>ONIVA12G06260.1 pep chromosome:AWHD00000000:12:5593883:5595869:1 gene:ONIVA12G06260 transcript:ONIVA12G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEWLLSHQLMPWYLAVILICQVVQMVFPVFLRLMHKNMDNDESLVFKFKMVSTGLSILTSIYDCWSFGTHTFNDGKRKWYIVTVCIVCAACKFLQGAVRFYGPERMAKHLEAPYDKMTDKLNAMRGGIKQAREDLFDRFHSCWTTLKMKMNFN >ONIVA12G06250.1 pep chromosome:AWHD00000000:12:5588904:5590516:1 gene:ONIVA12G06250 transcript:ONIVA12G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPVATTEIQHSDLGEKASLVAAAAAAAGEEHEHYTSDLLRRRAIRLRRAELSKLSEDPERDRRDREATLIVLTLLLALVMPILFWLSAGEMPAPLLVWRLSLLLSTYFFLCANVLFVTKSFCAIVVDVYFGALLAYYADHVFGTRIGTVTIYLNSIFTAAFAGYALAERRRSDGTEQSADNVPAFADEEEEEYARAVLISSAAVISITLLFPTAYVSWMILWPYATTDDDGFRVEDVLRDLSYTILAYLFFATTLVTRHLLRGALLGDGRFYVFLVVFSIITVLPLFFAGIFGDIAGIVVIWLGIIALAVLFGYSVSIYSYYTQIQTMRSSQPPSEKADAEKQELDEISGDKLEHSSSPAASTHVHSNPHSSASSSAEGSPSTHH >ONIVA12G06240.1 pep chromosome:AWHD00000000:12:5583796:5584158:1 gene:ONIVA12G06240 transcript:ONIVA12G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAGRKKKGGTPEASDAAAAAPACTLGRGVGLATAALPFSRGGRGVGLAPPPRSLGRGGGPAVAAIPPGGFPSYSASMDGFPFPPPLDGSYGGGFPSSSAWLDASGGDESSPGSKLR >ONIVA12G06230.1 pep chromosome:AWHD00000000:12:5569569:5576903:1 gene:ONIVA12G06230 transcript:ONIVA12G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPVATVTDDRSGDRRKAAAAGEEHTSDDLLLLLRRRLLDELRRLREAEKAERERDARSARLILLSLLLAVATPVLLWLSAGGEPLLLVWRLSLLLTAYFFLCAALLSLTTGLFALAVDFSYGALLAYFAGHAITPRVGMVVVFLNSVSAAAMAGHALAERRQSGGAERSADEIPALSHDKEEYARCCRITMAVLSSLMLVAPTVFVAWELISWWLTIADFPVDEIVGDLSIVVMFYVFCFLLLLIEEESHFYTIIAVFLVVAALPLFFSIVFGDAAAMVVSWIGVLALTVLLGYRLRLYSSHQQHKVMMMMRSDDKLDDQKQELAKSKDTPADDNHEPVDASSVPSPPPSAGSPPYIHPEEPILS >ONIVA12G06220.1 pep chromosome:AWHD00000000:12:5563427:5567908:-1 gene:ONIVA12G06220 transcript:ONIVA12G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASLFSFVSAMIKLAMKIATVAKKARQNQTKCLELSDRARRVAGILSNYNYKPAATGEAAAAATWDMLGSLNEALDEAHKLVESCCGDGQMYRLVGSRAVDAKLDSVNNKISNCLMDLLAAQGAGTAKKIDELHLVVVDRNHHRASNSNAGVREDKMTSHQHAAPGYSRPNNSARMKQNTAGIMFGNHTASDHGRRLNSSVRSLRVMNPALSHHQLANNSAWWKQSEINTGSSNYSLCQVVLGKIVDRDQLCQLFDIRSRTKINSAHSSIVRSQTEINSNRSSINSVREISSAKSIHKEKIDPLLAHSHHQANNSVRVSYTCKNGNKSVQQNGVNSSNSMRSEKASTAATARPPLQGSYSDAGVAGYPNGQGYALYQYSIEDDPTSCAVM >ONIVA12G06220.2 pep chromosome:AWHD00000000:12:5563427:5567908:-1 gene:ONIVA12G06220 transcript:ONIVA12G06220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASLFSFVSAMIKLAMKIATVAKKARQNQTKCLELSDRARRVAGILSNYNYKPAATGEAAAAATWDMLGSLNEALDEAHKLVESCCGDGQMYRLVGSRAVDAKLDSVNNKISNCLMDLLAAQGAGTAKKIDELHLVVVDRNHHRASNSNAGVREDKMTSHQHAAPGYSRPNNSARMKQNTAGIMFGNHTASDHGRRLNSSVRSLRVMNPALSHHQLANNSAWWKQSEINTGSSNYSVRSLGESSSAKSSSGRSWTEINSANSSIVRSRTKINSAHSSIVRSRTEINSAHSSIVRSRTKINSAHSSIVRSQTEINSNRSSINSVREISSAKSIHKEKIDPLLAHSHHQANNSTGHQGAKCPPEYITSNDLTQGRVSYTCKNGNKSVQQNGVNSSNSMRSEKASTAATARPPLQGSYSDAGVAGYPNGQGYALYQYSIEDDPTSCAVM >ONIVA12G06220.3 pep chromosome:AWHD00000000:12:5563427:5567908:-1 gene:ONIVA12G06220 transcript:ONIVA12G06220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASLFSFVSAMIKLAMKIATVAKKARQNQTKCLELSDRARRVAGILSNYNYKPAATGEAAAAATWDMLGSLNEALDEAHKLVESCCGDGQMYRLVGSRAVDAKLDSVNNKISNCLMDLLAAQGAGTAKKIDELHLVVVDRNHHRASNSNAGVREDKMTSHQHAAPGYSRPNNSARMKQNTAGIMFGNHTASDHGRRLNSSVRSLRVMNPALSHHQLANNSAWWKQSEINTGSSNYSVRSLGESSSAKSSSGRSWTEINSANSSIVRSRTKINSAHSSIVRSRTEINSAHSSIVRSRTKINSAHSSIVRSQTEINSNRSSINSVREISSAKSIHKEKIDPLLAHSHHQANNSVRVSYTCKNGNKSVQQNGVNSSNSMRSEKASTAATARPPLQGSYSDAGVAGYPNGQGYALYQYSIEDDPTSCAVM >ONIVA12G06190.1 pep chromosome:AWHD00000000:12:5524948:5530590:-1 gene:ONIVA12G06190 transcript:ONIVA12G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGNGAADGGGEHLELDFFPSPLLDNFDYGGASTSSSAAPAPAPPQEDYCSGCQVLREVVHSNGLEITKLCIHGGVASGEFYHAILDVYRVSASAPAPALAHHSIIKGRGYDWVKQYLTEYALRRAGGGFAVVQDSLSAFHDALCTTMAPCSSHVGDDDAHRRASSSSAAAAEERTNGNGDHGQLVVHNAAVLPMLESSRCLVAADQAATTNNNGSGDRRLLVLDTTAIQPPASGCILHMAFPSKSYQSSRPTTLPSRYQECTCNPVAYEMDGVRSSLAPICWPELLGYNVTNREKTKQLQLSDIAPYFELPIAKAAKKLDICATALKGICRKHGVLRWPYRKVRSIDRQIATLRRSGNGDATRNEIETLIASRRRIVAGLDQ >ONIVA12G06180.1 pep chromosome:AWHD00000000:12:5520503:5520811:-1 gene:ONIVA12G06180 transcript:ONIVA12G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALCGASASLDFANSAWLLHVPLAPVVSTPDSDHQLPDVQRAASKAIAEGVRTATATATSGDAASTAPPWLHRHPFCVSQTMQIHLCFSTRLLDVNVKAYQ >ONIVA12G06170.1 pep chromosome:AWHD00000000:12:5514601:5519079:-1 gene:ONIVA12G06170 transcript:ONIVA12G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGHAADKPTPPPPPRPVLLAGASGDAKLCRAAALSREEVIRRRRRGLLQLHSLYRAQLWALADGLSARHAEYWWEHGSSPDAGNAVGSGAPPPPHPAVNGGGAAVEIAAARAGCSAANCGAKAMPFAAYCFDHILFDPKQLLYKPCAFVTNRRKGGGEVAAGCKKEERIGGWSACCIEGRERKVDERSLLQCCTIGERDLDGGEVVAARKEAVGGMQNGVETCGKPVLTGITPSRCSDHDPKSQRLVIEALKKVGIDLHLTSNGVPKLNLLICETVRQIQRKRKMQLNGAKNAPFHRSSD >ONIVA12G06170.2 pep chromosome:AWHD00000000:12:5514601:5519079:-1 gene:ONIVA12G06170 transcript:ONIVA12G06170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGHAADKPTPPPPPRPVLLAGASGDAKLCRAAALSREEVIRRRRRGLLQLHSLYRAQLWALADGLSARHAEYWWEHGSSPDAGNAVGSGAPPPPHPAVNGGGAAVEIAAARAGCSAANCGAKAMPFAAYCFDHILFDPKQLLYKPCAFVTNRSGMQNGVETCGKPVLTGITPSRCSDHDPKSQRLVIEALKKVGIDLHLTSNGVPKLNLLICETVRQIQRKRKMQLNGAKNAPFHRSSD >ONIVA12G06160.1 pep chromosome:AWHD00000000:12:5507605:5512909:1 gene:ONIVA12G06160 transcript:ONIVA12G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQGLVDWRGRPVDPRRHGGLKAVMFIYVLIVMTNMGNIPTMLNIVSYLHGTMHMGIADASTTAANFYGAICVFSFLGAFISDSYIKRFYTILIFAPIEILGYMLLACQAHFPSLHPPPCDAAAGQCAAVSGRNLSLLRLGLYVIPLGEGALRVCGAALGGDQFDGCEVGGDDPAAAEARGKASFFNWFAFCISLGGLVGLVLVVWVQNNEGWDLGFALAALMALVAMAVVLAGLPFYRHRVPTGSPLTRILQVFVAAFRKRNVTMPESLVEMHECSDGSTIELLDKTPDFKFLDKAAVDDGDRRRWSACTVTQVEEAKIILRMLPVFLTSVLGYVPIPLLLTFTVQQGGAMDTRLAGTSVPPASLFVVPIVFQMLILVAYDRAAVPWLRRATGYAAGVTHLQRVGLGFASSVAALALAAAVESRRRRCLGVAAPVMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAVFFCILGVASWLGGALIQAVNRATAGGAGHGGWLDGADLDASHLDRFYWLLAVFELVAFFLYLYSAWRYTYRHHPRVQPSMEDAKVSATATTTTKKAEV >ONIVA12G06160.2 pep chromosome:AWHD00000000:12:5507605:5508737:1 gene:ONIVA12G06160 transcript:ONIVA12G06160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQGLVDWRGRPVDPRRHGGLKAVMFIYVLIVMTNMGNIPTMLNIVSYLHGTMHMGIADASTTAANFYGAICVFSFLGAFISDSYIKRFYTILIFAPIEILASILNHSYIFRII >ONIVA12G06150.1 pep chromosome:AWHD00000000:12:5484483:5486401:1 gene:ONIVA12G06150 transcript:ONIVA12G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGSIVGDVVDHFGASALLRLFYNHREMTSGSELRPSQVAGEPAVQITGGRDGRALYTLVMVDPDAPSPSNPSKREYLHWLVTDKEAIRVKTVRQSIYAPGWRSNFNTRDFAACYSLGSPVAAAYFNCQREGGCGGRRYRS >ONIVA12G06140.1 pep chromosome:AWHD00000000:12:5420229:5423295:-1 gene:ONIVA12G06140 transcript:ONIVA12G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLVVGGTGFVGRRVVAASLAAGHPTYVLLRPEIGLDIDKLQMLLAFKARGARLLEASLDDHDGLVAAVRQADVVVSAMSGVHFRSHNLMLQLKLVEAIKDAGNVKRFLPSEFGMDPSRMGDALEPGRVSFDEKMVIRRAIEDANIPHTYVSANCFAAYFCPNLCQMKTLLPPKERVGVYGDGNVKVFFVDENDVGTYAIKSIDDPRTLNKTIYIRPQDNCLTQNELISKWETLTGKSLEKFHIPGDEFLASMKDLDFASQVGIGHYYHIFYEGCLANFEIGDNGAEATQLYPEVQYTRMDEYLKRYI >ONIVA12G06130.1 pep chromosome:AWHD00000000:12:5403510:5403866:-1 gene:ONIVA12G06130 transcript:ONIVA12G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAGRKKKGGTPEASDAAAAAPARTLGRGVGSATAALPFSRGGRGVGLAPPPRSLGRGGGPAVAAIPLGGFPSYSASMDGFPFPPPFDGSYGGGFPSSSAWLDASGGDESSLGSW >ONIVA12G06120.1 pep chromosome:AWHD00000000:12:5399312:5400478:-1 gene:ONIVA12G06120 transcript:ONIVA12G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVSNKRVILKRYLTACEIGQLGDEMEVVTAEAVPLSVPAGSSAVLVKNLYISCDPYLRNRMIRHEVPTYISDFVPGEVVTSHGVMKVISSGHLDFKAGDLVWGMTGWEEYTLINNPESLFKINYPEFPLSNYTGVLAYW >ONIVA12G06110.1 pep chromosome:AWHD00000000:12:5395328:5396422:-1 gene:ONIVA12G06110 transcript:ONIVA12G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYSGMHGLTAYVGFFEMSKPKKGEYVFVSSACGAIGQIVGQLAKIKGCYVVGSAGSDDKVNLLKTKFGFDDAFNYKKETDLEAALKRCFPEGIDIDFENVGGAMLDAVLPNMRLGGRITMCGMISQYHLERPEGVRNLMYIITKRLRMEGFVIFDSIAVYRQFEEEMAGYLREGKVTYLEDIVQGLDAAPAALIGIYNGLNVGKQLVSIA >ONIVA12G06100.1 pep chromosome:AWHD00000000:12:5386870:5394997:-1 gene:ONIVA12G06100 transcript:ONIVA12G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEEALAMAMTTAVSNTRVILRRYMTWFPSEDEMEVTSYISDFVQGEVSTNNVVMKVMSSGHPDFKAGNLCLGDDWMARSSVQTFPLTEVTRTKSRRRSGGRSMAAATLSNKRVILKRYVTGLLSEDDMEVVTVEAPPLDVPTGSKGVVVKNLYVSCDPYMRNRMTRHELPSYIPDFVPGEVLENFGVMKVISSGHLDFKAGDLVWGMTGWEEYTVINNPESLFKINHPELPLSYYTGILGMPGLTAYAGFFEVPKPKKGEYVFISGASGAVGQVVGQLAKITGCYVVGSAGSDEKVNLLKSKFGFDDAFNYKKEQDLEAALRRCFPEGIDIYYENVGGKMLDAVLPNMRLGGRIVACGMISQYNLEQPEGVRNLYYIVTKRLRMEGFHVFDYYDRYYRFEEEMAGYLKEGKVSYVEDVVEGLDAAPAALIRLFTGCSVGKQLVAVARDSSDPDHT >ONIVA12G06100.2 pep chromosome:AWHD00000000:12:5386870:5394997:-1 gene:ONIVA12G06100 transcript:ONIVA12G06100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEEALAMAMTTAVSNTRVILRRYMTWFPSEDEMEVTSYISDFVQGEVSTNNVVMKVMSSGHPDFKAGNLCLGDDWMARSSVQTFPLTEVTRTKSRRRSGGRSMAAATLSNKRVILKRYVTGLLSEDDMEVVTVEAPPLDVPTGSKGVVVKNLYVSCDPYMRNRMTRHELPSYIPDFVPGEVLENFGVMKVISSGHLDFKAGDLVWGMTGWEEYTVINNPESLFKINHPELPLSYYTGILGMPGLTAYAGFFEVPKPKKGEYVFISGASGAVGQVVGQLAKITGCYVVGSAGSDEKSKFGFDDAFNYKKEQDLEAALRRCFPEGIDIYYENVGGKMLDAVLPNMRLGGRIVACGMISQYNLEQPEGVRNLYYIVTKRLRMEGFHVFDYYDRYYRFEEEMAGYLKEGKVSYVEDVVEGLDAAPAALIRLFTGCSVGKQLVAVARDSSDPDHT >ONIVA12G06100.3 pep chromosome:AWHD00000000:12:5384663:5394997:-1 gene:ONIVA12G06100 transcript:ONIVA12G06100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEEALAMAMTTAVSNTRVILRRYMTWFPSEDEMEVTSYISDFVQGEVSTNNVVMKVMSSGHPDFKAGNLCLGDDWMARSSVQTFPLTEVTRTKSRRRSGGRSMAAATLSNKRVILKRYVTGLLSEDDMEVVTVEAPPLDVPTGSKGVVVKNLYVSCDPYMRNRMTRHELPSYIPDFVPGEVLENFGVMKVISSGHLDFKAGDLVWGITGWEEYTVINNSESLFRINHPKLPLSYYTGMPGLTAYAGFFEVSKPKKGDYVFISAASGAVGQIVGQLAKIIGCYVVGSAGSDEKVSLLKTKFGFNDAFNYRKEPDLKAALRRYFPEGIDIYFENVGGETLDAVLPNMRLGGRIAACGMISQYNLERPEGVKNLFYIVTKRLRMEGFLVFDFYDKYYQFEEEMAGYLKEGKVAYVEDVVEGLDAAPAALIKLFTGRNVGKQLVAIARE >ONIVA12G06100.4 pep chromosome:AWHD00000000:12:5384663:5394997:-1 gene:ONIVA12G06100 transcript:ONIVA12G06100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEEALAMAMTTAVSNTRVILRRYMTWFPSEDEMEVTSYISDFVQGEVSTNNVVMKVMSSGHPDFKAGNLCLGDDWMARSSVQTFPLTEVTRTKSRRRSGGRSMAAATLSNKRVILKRYVTGLLSEDDMEVVTVEAPPLDVPTGSKGVVVKNLYVSCDPYMRNRMTRHELPSYIPDFVPGEVLENFGVMKVISSGHLDFKAGDLVWGMTGWEEYTVINNPESLFKINHPELPLSYYTGILGMPGLTAYAGFFEVPKPKKGEYVFISGASGAVGQVVGQLAKITGCYVVGSAGSDEKVNLLKSKFGFDDAFNYKKEQDLEAALRRCFPEGIDIYYENVGGKMLDAVLPNMRLGGRIYNLERPEGVKNLFYIVTKRLRMEGFLVFDFYDKYYQFEEEMAGYLKEGKVAYVEDVVEGLDAAPAALIKLFTGRNVGKQLVAIARE >ONIVA12G06100.5 pep chromosome:AWHD00000000:12:5384663:5394997:-1 gene:ONIVA12G06100 transcript:ONIVA12G06100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEEALAMAMTTAVSNTRVILRRYMTWFPSEDEMEVTSYISDFVQGEVSTNNVVMKVMSSGHPDFKAGNLCLGDDWMARSSVQTFPLTEVTRTKSRRRSGGRSMAAATLSNKRVILKRYVTGLLSEDDMEVVTVEAPPLDVPTGSKGVVVKNLYVSCDPYMRNRMTRHELPSYIPDFVPGEVLENFGVMKVISSGHLDFKAGDLVWGMTGWEEYTVINNPESLFKINHPELPLSYYTGILGMPGLTAYAGFFEVPKPKKGEYVFISGASGAVGQVVGQLAKITGCYVVGSAGSDEKVSLLKTKFGFNDAFNYRKEPDLKAALRRYFPEGIDIYFENVGGETLDAVLPNMRLGGRIAACGMISQYNLERPEGVKNLFYIVTKRLRMEGFLVFDFYDKYYQFEEEMAGYLKEGKVAYVEDVVEGLDAAPAALIKLFTGRNVGKQLVAIARE >ONIVA12G06100.6 pep chromosome:AWHD00000000:12:5384663:5394997:-1 gene:ONIVA12G06100 transcript:ONIVA12G06100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDELEEALAMAMTTAVSNTRVILRRYMTWFPSEDEMEVTSYISDFVQGEVSTNNVVMKVMSSGHPDFKAGNLCLGDDWMARSSVQTFPLTEVTRTKSRRRSGGRSMAAATLSNKRVILKRYVTGLLSEDDMEVVTVEAPPLDVPTGSKGVVVKNLYVSCDPYMRNRMTRHELPSYIPDFVPGEVLANFGVMRVISSGHPDFKAGDLVWGITGWEEYTVINNSESLFRINHPKLPLSYYTGMPGLTAYAGFFEVSKPKKGDYVFISAASGAVGQIVGQLAKIIGCYVVGSAGSDEKVSLLKTKFGFNDAFNYRKEPDLKAALRRYFPEGIDIYFENVGGETLDAVLPNMRLGGRIAACGMISQYNLERPEGVKNLFYIVTKRLRMEGFLVFDFYDKYYQFEEEMAGYLKEGKVAYVEDVVEGLDAAPAALIKLFTGRNVGKQLVAIARE >ONIVA12G06090.1 pep chromosome:AWHD00000000:12:5360131:5364551:-1 gene:ONIVA12G06090 transcript:ONIVA12G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVSNKRVILKRYVTGLVSEDDMEVVTVEAPPLAVPAGSKTVVVKNLYISCDPYMRNRMTYHEEPSYVPDFVLGEVLVNFGVMKVISSGHQDFNAGDLVWGITGWEEYTVIDNPETLFKINHPELPLSYYTGILGMPGLTAYGGFFEVAKPKKGEYVFISSAFGAVGQIVGQLAKITGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKKELDLEATLKRCFPDGIDIYFENVGGAMLDAVLPNMRVAGRIAACGMISQYNLEQPEGVYNTICIVTKRLRMQGFLVFDFYDKYYQIEEQIARYLKEGKVAYTEDVVEGLDAAPAALVKLFTSSSIGKQLVAVAREHKAEHANFI >ONIVA12G06090.2 pep chromosome:AWHD00000000:12:5360372:5364551:-1 gene:ONIVA12G06090 transcript:ONIVA12G06090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVSNKRVILKRYVTGLVSEDDMEVVTVEAPPLAVPAGSKTVVVKNLYISCDPYMRNRMTYHEEPSYVPDFVLGEVLVNFGVMKVISSGHQDFNAGDLVWGITGWEEYTVIDNPETLFKINHPELPLSYYTGILGMPGLTAYGGFFEVAKPKKGEYVFISSAFGAVGQIVGQLAKITGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKKELDLEATLKRCFPDGIDIYFENVGGAMLDAVLPNMRVAGRIAACGMISQYNLEQPEGVYNTICIVTKRLRMQGFLVFDFYDKYYQIEEQIARYLKEGKVAYTEDVVEGLDAAPAALVKLFTSSSIGKQLVAVARE >ONIVA12G06080.1 pep chromosome:AWHD00000000:12:5346155:5346648:-1 gene:ONIVA12G06080 transcript:ONIVA12G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGGAVAEEQQWRGSRWQQISGGGGYGLVMTKSTGGGVGLAQGRPPATAAAEGIVIETGPYKHKEPSSLVVEFTNSHVVVGSNPTHAIFSLIFPHQHHARAYNHVQAGSKRFYTPGLIALFKQNRVV >ONIVA12G06070.1 pep chromosome:AWHD00000000:12:5326975:5331442:-1 gene:ONIVA12G06070 transcript:ONIVA12G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPVLIHPPRPYCRHRRLACSRVARHQPPDLLRTRDRRRGGRRRARARRWMMNKLLLSGSIAKQSRRR >ONIVA12G06060.1 pep chromosome:AWHD00000000:12:5320640:5325830:-1 gene:ONIVA12G06060 transcript:ONIVA12G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSVTERCKPNPENCQYHSTCGMMQVFSLKLAKTTTNSSPIQLYGYIAARDVVDSMLNFVFNRSRDDPIVVQQGSIIEMTGPKRGIGMVADVIFEFDMRIKNGEKEEDDLQLIDEIIEIDDNVVTMIGTPRTFRLSGDCGSVDMSMAIFDNAVEATVEVAISELHYGFDLSISYVLSELEENREFQLFRGAIGESCGLRRFVIAVNLDTLMHLKFKVHKEGSNFVEHCCSFESKK >ONIVA12G06050.1 pep chromosome:AWHD00000000:12:5311088:5320801:1 gene:ONIVA12G06050 transcript:ONIVA12G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCDSGGGSDKGDADLSASTPDERFSTTGLSELDDPLILIHEVSNLHAVAKVLELALKALRN >ONIVA12G06050.2 pep chromosome:AWHD00000000:12:5313095:5320801:1 gene:ONIVA12G06050 transcript:ONIVA12G06050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKICDGIRGRAKVREGVPRHPELDDPLILIHEVSNLHAVAKVLELALKELDDRLILIHDKKVSLHAVAKVVELALKLQGL >ONIVA12G06050.3 pep chromosome:AWHD00000000:12:5311088:5320881:1 gene:ONIVA12G06050 transcript:ONIVA12G06050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEYMFCFDVFSAHLMEYFDPSFMKYLTSNYVGCNLFYLLQELDDRLILIHDKKVSLHAVAKVVELALKVHFY >ONIVA12G06050.4 pep chromosome:AWHD00000000:12:5311088:5320801:1 gene:ONIVA12G06050 transcript:ONIVA12G06050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCDSGGGSDKGDADLSASTPDERFSTTGLSELDDPLILIHEVSNLHAVAKVLELALKELDDRLILIHDKKVSLHAVAKVVELALKLQGL >ONIVA12G06040.1 pep chromosome:AWHD00000000:12:5292298:5293870:1 gene:ONIVA12G06040 transcript:ONIVA12G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSDSEADLAAVAAMTTTTTTSSSSSSSPSSSPPPPRRRHRHHRRAARRTHPVEAEAAEEVWRGAQWEAAWPRRTKPVVVAEDEPPSGGVIVGACTAGGGEDGGGGGGVGRARSLTDDDLEELKGCVDLGFGFSYDEIPELCGTLPALELCYSMSQRFLDDHPQSHHSPPPPPPPMPSPSIPNWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >ONIVA12G06030.1 pep chromosome:AWHD00000000:12:5290362:5291147:-1 gene:ONIVA12G06030 transcript:ONIVA12G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGGCAAMRETAAAVRRMLVTPAAVHDEGAHAVDTRDGSGRAGRWRRPCNKRGIRRRLSRTTTAVRQTRNMGVAEPEDGGSRSRRRVCRRRGRPSWTTVDAEDGGGRAAGAEDSGRTTDDGSRAAGAEDGGRTTDDGSRAAGADDGGRAEDEQDDGGCAADMEGDSGRAAGAEEGGRAVGAEDGGRAVDDWQTRKTSGTTAALAGVGDSGDPDNGRGDEGGRRHAASAGTPSSLAVAGGPTISVCAWSPATKSRYNKLV >ONIVA12G06020.1 pep chromosome:AWHD00000000:12:5276989:5281115:1 gene:ONIVA12G06020 transcript:ONIVA12G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVSRPSGADKRRRCGSSTAPHTRGGRRVIGAANMRCLSTVSSVSDAARAVMSNEPATVLGNSGSSGNGGVMAAEEMLRRYEIGEELGRGEFGVTRRCRDAVTGERLACKSISKRKLRSSVDVEDVRREVAIMRSLPAHANVVRLREAFEDADAVHLVMEVCEGGELFDRIVARGHYTERAAAAVMRTIMDVVQHCHKNGVMHRDLKPENFLYANASENSPLKVIDFGLSVCFKPGARFNEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRSHIDFQREPWPKVSDNAKDLVRRMLDPNPYTRLTAQQNEEHPWIQNASAAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPTEELDAIRELFNMLDTKKKGHLTLEELRKGLQVIGHNIHDTDVDMLMEAADIDGNGILDCKEFVTVSIHLKKIRSEEHLPKVFSFFDKNGSGYIEIEELKEALSPRGDQKSIDDIFLDVDIDKDGKISYEEFELMMSAGMDWRNASRQYSRAVYNTLSRKIFKEVSLKLDHSGPLVAAGK >ONIVA12G06010.1 pep chromosome:AWHD00000000:12:5274028:5274384:-1 gene:ONIVA12G06010 transcript:ONIVA12G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKDLNEMFLVADVEAVPTANPRRFTNLLWKQLDHLGNAGFDPVLFCVDAYGNVLYLHADSASPLAWDIDHWFHAPK >ONIVA12G06000.1 pep chromosome:AWHD00000000:12:5259424:5262380:-1 gene:ONIVA12G06000 transcript:ONIVA12G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKQESRAAARKAGGRFSKNEPQIQAIFWMAKKLLQFRLLYKLLSKKTRFVIILGDCLKQFSFFSKFQEDKNVCSKEIATLLMVEEKDSEELTSETENPYAVSDFSKLSPMANPASPPECGRSEFENNMTSLHNHLMAHLSLCSLNMKEVTTCTDREVPSTILNCPLKGVNKEASTELVIEWRLGMGNFPLVQSECGDSSCDSSVSEQSSVTSSPCTSFTAHSDTRSEDLDGVDIWVSSLDLDEEDSDLFQEKEQDLGFLSCDFPSPSFSAVRRSLQFGPSSSSPATSHGKQVNDSDEPIFWPFEHTSYYSPEFDKFLLVSPRRVTMDVGSAEFRRLNPIVQRLHKNKLSSARKSIGPHHGSVSLGAKGTKSSQDKVQNAAAVPSWLSRTTKTSTKASSSKHQQLSNCEKRKPSHLKISPPRKDRYPQLQSGHTVQELEASDHRKLAVEKILIEQFIGLDEFDGHEGISSDSSDNQLSLFLSPR >ONIVA12G05990.1 pep chromosome:AWHD00000000:12:5250732:5253636:1 gene:ONIVA12G05990 transcript:ONIVA12G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVTNRRVILKRYVTGLPSEDDMEVVTAKTTLAVPAGSEAVMVKNLYVSCDPYMRGRMTRHEVPSYVPDYVPGEVITNCGVMKVVSSGHPDFKDGDLVWGVTGWEEYTLVNNPKPYLHKINYPEFPLSYYTGVLGIAGLTAYGGFFEVSKPKKGDYVFVSAASGAVGQIVGQLAKITGCYVVGSAGSDEKVKLLKTKFGFHDAFNYKKELDLEGALKRCFPDGIDIYFDNVGGAMLDAVLPNMRIGGKITICGMISQYNLERPDGVRNLFYLFAKSLRMEGFLVSNYIAIYHRYEKEMAGYLREGKVVYVEDIVEGLEVAPAALIGLFTGRNVGKQLVTIARR >ONIVA12G05990.2 pep chromosome:AWHD00000000:12:5250732:5253636:1 gene:ONIVA12G05990 transcript:ONIVA12G05990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVTNRRVILKRYVTGLPSEDDMEVVTAKTTLAVPAGSEAVMVKNLYVSCDPYMRGRMTRHEVPSYVPDYVPGEVITNCGVMKVVSSGHPDFKDGDLVWGVTGWEEYTLVNNPKPYLHKINYPEFPLSYYTGVLGIAGLTAYGGFFEVSKPKKGDYVFVSAASGAVGQIVGQLAKITGCYVVGSAGSDEKVKLLKTKFGFHDAFNYKKELDLEGALKRCFPDGIDIYFDNVGGAMLDAVLPNMRIGGKITICGMISQYNLERPDGVRNLFYLFAKSLRMEGFLVSNYIAIYHRYEKEMAGYLREGKVVYVEDIVEGLEVAPAALIGLFTGRNVGKQLVTIARE >ONIVA12G05980.1 pep chromosome:AWHD00000000:12:5247165:5247440:-1 gene:ONIVA12G05980 transcript:ONIVA12G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWWSKEDVAHAQAAGRGDAGGGGCRGEDGSARTPTAKAQSVAGCGHATSGIARTAAVEPRRADDRDGGAKSRGRRRVDGESSG >ONIVA12G05980.2 pep chromosome:AWHD00000000:12:5247450:5247887:-1 gene:ONIVA12G05980 transcript:ONIVA12G05980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTAGYGQDGAQVARRWPREVPRSSSDPPPATAEQDGAKACGSALHAMKGEPPGWHVHSDLHEQSFGARVGIVRAILDEIDGELVPSGLGGVIGVRYYREMEE >ONIVA12G05970.1 pep chromosome:AWHD00000000:12:5219866:5228223:-1 gene:ONIVA12G05970 transcript:ONIVA12G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDERGDGDELIADYVDCLMSLDTNSRSCQNDALILEGAPDDVDDDAAAAAAAAAEQDVMRDFAPGDGDGDGDADAENDPKEPVLGMTFESDEAAKMFYNEYARWLGFPFRVGRSRRSKGMEEVVIMKRFVCSREGAHKKKQPSTSGEATSKRERASMREGCNAMMEVVRDKDHWVVSKLEKAHNHSLGIGTRYGYLRARGLPDVFGIRSASKISVNGTVVGQEAKAHDRNEGQLRDAYLINVKSQMQTAAKTRNHISH >ONIVA12G05970.2 pep chromosome:AWHD00000000:12:5221036:5228223:-1 gene:ONIVA12G05970 transcript:ONIVA12G05970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDERGDGDELIADYVDCLMSLDTNSRSCQNDALILEGAPDDVDDDAAAAAAAAAEQDVMRDFAPGDGDGDGDADAENDPKEPVLGMTFESDEAAKMFYNEYARWLGFPFRVGRSRRSKGMEEVVIMKRFVCSREGAHKKKQPSTSGEATSKRERASMREGCNAMMEVVRDKDHWVVSKLEKAHNHSLGIGTRYGCFFKFSPNAN >ONIVA12G05970.3 pep chromosome:AWHD00000000:12:5219866:5228223:-1 gene:ONIVA12G05970 transcript:ONIVA12G05970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDERGDGDELIADYVDCLMSLDTNSRSCQNDALILEGAPDDVDDDAAAAAAAAAEQDVMRDFAPGDGDGDGDADAENDPKEPVLGMTFESDEAAKMFYNEYARWLGFPFRVGRSRRSKGMEEVVIMKRFVCSREGAHKKKQPSTSGEATSKRERASMREGCNAMMEVVRDKDHWVVSKLEKAHNHSLGIGTRYGYLRARGLPDINVKSQMQTAAKTRNHISH >ONIVA12G05960.1 pep chromosome:AWHD00000000:12:5219388:5224329:1 gene:ONIVA12G05960 transcript:ONIVA12G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLSAPPPPPPMDEDASAGAHHAFPGPAPPPSPEGAPPPPRRRRPAVRVTSEFDSDARLFSHRLSCRVMDGLAKLRVRVHHGVGGAGAAAVEPPPPEVALLARNFSVAVDTVARSAMLRGAADLAGSLRLSAKHDTKEQKGEVAVRANLGDSPCKIELSSVVPPDGLPRTTFIFPNGEVSVKQKYLDEGDRILSVNGIVRSHVLNGVCTALYSDNEMNIKYRYKDEELSFIPSLSLPSNSLSFAFKRQFTPSDKLSYRYQFDTNYWSAIYKHKASKHVKWKAGYESDQRLGWASLWVGDAGGKTKEVPMKAKVQLTLKVPQDNVKNSAIMFNVKKRWDF >ONIVA12G05960.2 pep chromosome:AWHD00000000:12:5219388:5225509:1 gene:ONIVA12G05960 transcript:ONIVA12G05960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLSAPPPPPPMDEDASAGAHHAFPGPAPPPSPEGAPPPPRRRRPAVRVTSEFDSDARLFSHRLSCRVMDGLAKLRVRVHHGVGGAGAAAVEPPPPEVALLARNFSVAVDTVARSAMLRGAADLAGSLRLSAKHDTKEQKGEVAVRANLGDSPCKIELSSVVPPDGLPRTTFIFPNGEVSVKQKYLDEGDRILSVNGIVRSHVLNGVCTALYSDNEMNIKYRYKDEELSFIPSLSLPSNSLSFAFKRQFTPSDKLSYRYQFDTNYWSAIYKHKASKHVKWKAGYESDQRLGWASLWVGDAGGKTKEVPMKAKVQLTLKVPQDNVKNSAIMFNVKKRWDF >ONIVA12G05950.1 pep chromosome:AWHD00000000:12:5207709:5214611:-1 gene:ONIVA12G05950 transcript:ONIVA12G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQEVADQIAAAAAERGLQRSGSASRLNAGAPEFVPRVAAPVVPPPPPVIRVFAAAPPPPRAAFFAPPPPHHRPYEYYAPVRGGGFAAAAAAEEQEAEVDPDATEAAEPLVDGLPDEVVHKITKQVEYYFSDINLATTEHLMRFITKDPEGYVPITVIAGFNKIKALVHNNSMLAAALRTSSKLVVSDDGKRVKRLQSFTVSDMQDLQSRIVVAENLPGDPCYQNLMKIFSTVGSVKTIRTCYPQSSNGNSPATNRSAKLDMLFANKLHAFVEYETLEDAEKAILELNDEKNWRNGLRVRLLNTCMTKGAGKGKKGVHEADGNGEEDVSTSNQSNEKQLEEPSQLSDMLPDHLFDDSSNDKEGSRRGRGRGRGGRGRGRGYHQHNNNHFNNQNYHNGQHHNHHANNHHHQGGNRGGAHHVGTPPNQQQTKPEQHPQLPIGATKQPPGPRMPDGTRGFTLGRGKPQPLLPVLCAAVEP >ONIVA12G05940.1 pep chromosome:AWHD00000000:12:5199262:5203261:-1 gene:ONIVA12G05940 transcript:ONIVA12G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLMGAPSLAPLSVLATATPFGAVHLLEGVATGALVQLHFKSFLRVKT >ONIVA12G05930.1 pep chromosome:AWHD00000000:12:5154983:5155192:-1 gene:ONIVA12G05930 transcript:ONIVA12G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRNVITGVGRRLVLLVLTLLVLAALDDQAPPMIMASAARVLLQQYPPTYGPPRCYPPYCAPRHARR >ONIVA12G05920.1 pep chromosome:AWHD00000000:12:5150167:5150929:1 gene:ONIVA12G05920 transcript:ONIVA12G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFMPSMGTLIWSEDLDVEPRTVEQALSTSKELTWTQMATLKPREISRRKARHN >ONIVA12G05910.1 pep chromosome:AWHD00000000:12:5130948:5133332:1 gene:ONIVA12G05910 transcript:ONIVA12G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALKERFSWSSADAWSGRHAAGASVELAWSARPRMSSMASGGIRWCEIIDDKLRLTESFASSTAPATLASYACRCHRRVASKRSKRIAAKLALAGPSDMTLCAQHNLMRKLGLVSEKGHVLAEAVAAYNALFSQPLPPDHAMALSSLFPSSLPPTQARV >ONIVA12G05900.1 pep chromosome:AWHD00000000:12:5128367:5131099:-1 gene:ONIVA12G05900 transcript:ONIVA12G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAYHLMPPLAMLLILGLADHASSTEAPAACLPDQASALLQLKRSFNATIGDYPAAFRSWVAGADCCHWDGVRCGGADGRVTSLDLSHRDLQASSGLDDALFSLTSLEYLDLSSNDFSKSKLPATGFEKLTGLTHLDLSNTNFAGLVPAGIGRLTSLNYLDLSTTFFVEGLDDKYSITYYYSDTMAQLSEPSLETLLANLTNLEELRLGMVMVNMSSNYGTARWCDAMARSSPKLRVISMPYCSLSGPICHSLSALRSLSVIELHYNHLSGPVPEFLAALPSLSVLQLSNNMFEGVFPPIIFQHEKLTTINLTKNLGISGNLPTSFSGDSSLQSLSVSNTNFSGTIPGSISNLRSLKELALGASGFSGVLPSSIGKLKSLSLLEVSGLELVGSIPSWISNLTSLTVLKFFSCGLSGPIPASIGNLKKLTKLALYNCHFSGVIAPQILNLTHLQYLLLHSNNLVGTVELSSYSKMQNLSALNLSNNKLVVMDGENSSSVVSYPNIILLRLASCSISSFPNILRHLHEITFLDLSYNQIQGAIPQWAWKTLNLGFALFNLSHNKFTSIGSHPLLPVYIEFFDLSFNNIEGVIPIPKEGSVTLDYSNNRFSSLPLNFSTYLTNTVLFKASNNSISGNIPPSICDGIKSLQLIDLSNNNLTGLIPSCLMEDADALQVLSLKDNHLTGELPDNIKEGCALSALDFSGNSIQGQLPRSLVACRNLEILDIGNNKISDSFPCWMSKLPQLQVLVLKSNKFIGQILDPSYTGGGNNCQFTKLQFADMSSNNLSGTLPEEWFKMLKSMIMDTCDNDMLMREQHLYYRGKMQSYQFTAGISYKGSGLTISKTLRTLVLIDVSNNAFHGRIPRSIGELVLLRALNMSHNALTGPIPVQFANLKQLELLDLSSNELSGEIL >ONIVA12G05890.1 pep chromosome:AWHD00000000:12:5122415:5124654:-1 gene:ONIVA12G05890 transcript:ONIVA12G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYCYSDLVCYGHTDFGQKGLHNSVKPTKQNKFTNVVQINGGTFPESLFLDALKVTVSPRYGPFNAVETEIEVFHIKRQKRIRSEARKLYNANVVNSLKFPIEEGTGPDNPQL >ONIVA12G05880.1 pep chromosome:AWHD00000000:12:5121233:5124214:1 gene:ONIVA12G05880 transcript:ONIVA12G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILLQVQATTNTARTVVPPVRCHPDQASALLRLKHSFNATAGDYSTAFQSWVAGTDCCRWDGVGCGGADGRVTSLDLGGHQLQAGSVDPALFRLTSLKHLNLSGNDFSMSQLPVITGFEQLTELVYLDLSDTNIAGEVPGSIGRLTNLVYLDLSTGFYIVEYNDDEQVTFDSDSVWQLSAPNMETLIENLSNLEELHMGMVDLSGNGERWCDNIAKYTPKLQVLSLPYCSLSGPICASFSALQALTMIELHYNHLSGSVPEFLAGFSNLTVLQLSKNKFQGSFPPIIFQHKKLRTINLSKNPGISGNLPNFSQDTSLENLFLSNTNFTGTIPGSIINLISVKKLDLGASGFSGSLPSSLGSLKYLDMLQLSGLQLVGTIPSWISNLTSLTVLRIYNCGLSGPVPSSIGNLRELTTLALYNCNFSGTVHPQILNLTRLQTLLLHSNNFAGMVDLTSFSKLKNLTFLNLSNNKLLVVEGKNSSSLVLFPKLQLLSLASCSMTTFPNILRDLPDITSLDLSNNQIQGAIPQWAWKTWKGLQFIVLNISHNNFTSLGSDPFLPLYVEYFDLSFNSIEGPIPIPQEGSSTLDYSSNQFSSMPLRYSTYLGETVTFKASKNKLSGNVPPLICTTARKLQLIDLSYNNLSGSIPSCLLESFSELQVLSLKANKFVGKLPDIIKEGCALEALDLSDNSIEGKIPRSLVSCRNLEILDIGSNQISDSFPCWLSQLPKLQVLVLKSNKLTGQVMDPSYTGRQISCEFPALRIADMASNNLNGMLMEGWFKMLKSMMARSDNDTLVMENQYYHGQTYQFTATVTYKGNDRTISKILRSLVLIDVSGNAFHGAIPDTIGELVLLRGLNLSHNALTGPIPSQFGRLDQLESLDLSFNELSGEIPKELASLNFLSTLNLSNNTLVGRIPDSYQFSTFSNSSFLGNTGLCGLPLSRQCDNPEEPSAIPYTSEKSIDAVLLLFTALGFGISFAMTILIVWGSHMRKRH >ONIVA12G05870.1 pep chromosome:AWHD00000000:12:5107494:5107688:1 gene:ONIVA12G05870 transcript:ONIVA12G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAAPLRSSGAGGRQLVVLILLLLILFLFTAPIVMVFGEDPSVNGKCNIRWRKACPPPVPRP >ONIVA12G05860.1 pep chromosome:AWHD00000000:12:5095108:5095299:-1 gene:ONIVA12G05860 transcript:ONIVA12G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALIRITGGRQLLLVLLTLLILTTALQEGHMMASAARLLNWCSNPNPGRICGHPYRHGGGRP >ONIVA12G05850.1 pep chromosome:AWHD00000000:12:5081744:5084644:-1 gene:ONIVA12G05850 transcript:ONIVA12G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSKRVPHHVASLLAMMLILQLVQATTLDDLTTTSSETTPAMCLPDQASALLRLKHSFNATAGDYSTTFRSWVPGADCCRWEGVHCDGADGRVTSLDLGGHNLQAGGLDHALFRLTSLKHLNLSGNNFTMSQLPVTGFEQLTELTHLDLSDTDIAGKVPAGIGRLVSLVYLDLSTSFVIVSYDDENSITQYAVDSIGQLSAPNMETLLTNLTNLEELHMGMVDMSNNGELWCDHIAKYTPKLQVLSLPYCSLSGPVCASFAAMRSLTTIELHYNLLSGSVPEFLAGFSNLTVLQLSTNNFQGWFPPIIFQHKKLRTIDLSKNPGISGNLPNFSQDSSLENLFVSRTNFTGMIPSSISNLRSLKKLGIGASGFSGTLPSSLGSFLYLDLLEVSGFQIVGSMPSWISNLTSLTVLQFSNCGLSGHVPSSIGNLRELIKLALYNCKFSGKVPPQILNLTHLETLVLHSNNFDGTIELTSFSKLKNLSVLNLSNNKLVVVDGENISSLVSFPNLEFLSLASCSMSTFPNILKHLDKMFSLDISHNQIQGAIPQWAWKTWKGLQFLLLNMSHNNFTSLGSDPLLPLHIEFLDLSFNSIEGPIPIPQEGSSTLDYSSNQFSSVPLHYLTYLGETLTFKASRNKLSGDIPPSICTTATNLQLFDLSYNNLSGSIPSCLMEDAIELQVLSLKENKLVGNLPDSIKEGCSLEAIDLSGNLIDGKIPRSLVSCRNLEILDVGNNQISDSFPCWMSKLRKLQVLVLKSNKFTGQVMDPSYTVDRNSCAFMQLRIADMASNNFNGTLPEAWFKMLKSMIAMTQNDTLVMENKYYHGQTYQFTASVTYKGSDMTISKILRTLMLIDFSNNAFHGTIPETVGGLVLLHGLNMSHNALTGSIPTQFGRLNQLESLDLSSNELTGGDPQGASITQLPFNTEFVLQHVGWKNTKLISVFHLFQQFFSWKHWFVWTTVIQTM >ONIVA12G05840.1 pep chromosome:AWHD00000000:12:5080574:5083739:1 gene:ONIVA12G05840 transcript:ONIVA12G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGKEGTSRGTYSSPPRSREFVSGGLESESFPKLENWRTVREVRLDIHDGMDPTIWNPDTSSKSKYRKLPNEEGSVPEKPLAPMPNFFRDLRLLMELGIIPVKFVLLTNKFSKLLSCEKFGRLPDMPGFLERSIVLNFLCWKMMGGNHP >ONIVA12G05830.1 pep chromosome:AWHD00000000:12:5050536:5077898:1 gene:ONIVA12G05830 transcript:ONIVA12G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKREKKKKNLAAVHPPPRPRNTVTLRLEGTEEEFLENFNRCQAHFQYWLLMNSPFRIRFGDQVIYTTPLDGTFYYVLQHEGRCVILVVLARMAWLIGFCTTNGFFQMEFEDLMGLYMDSVHSHLLRFKGNHHTISPNGTGNTTVNLQFIRKCFHGLCTFVPSKRQDPKDLPMWIGLFVVLIMESKSREIHRRHCHAILEVITPELGRDYVNSFIKNWSSISAQVMGSLTNLTCHPHDCGIDVLVFYYLHVDAWNGGLFEHESLAPPPREMKWSPVDPGVGDANIRLPQEPALHGRGHKRTSAATAEMCSKRARGLLGGGLGGPPTAPDFFVRYVQGGDQMQKQECDHLVSHLVSFGITVKNAIRTPAPTSLVKFGQLEDIRRRNNLGHTFSSVVVPTPMSAKSPSLGIPSPPVGIFAQGKAPALHVDTAQRNPASNQEMPGVVFLLAGNQSYYTAVRTKSCDGARNGIPNEFYDLSEEREEDAPLAYLRVLLRRRGSWTVQSQSLVHKAMCQSASTMSILVSRSTDGSSLSGQNPDFTGCGCFPLNCSHLETINQLTGALPTSLGDLPYLEGWSVVVPVGDGNIVQVISRLHGLTGQPVNLSRPGDFNQTMMELGETLCSKVKLGCSQCPTTHDWFQKPKRCDFVAACVVRIAHGLDQGIADAMGMNILFLLIKWPEEGLRSGLWEFPSVLVNEETGALNRRKRWTDVKWRSSGDPQGRSWSTCSYCLTQSLDDVVPVVDAIMVLLFELSADLNQAMMELGATLCSDTKPSRFQCPLSLVAAKRSHFPAKARRALLLNILWPAVFAGLVKKKRGQKRACSLGSGNDGEELCKEGPDSTKLRLINENAVQSMGLTSGIRKVYNMVKVFKEKRLKEQSQVPTRKRSRRLK >ONIVA12G05830.2 pep chromosome:AWHD00000000:12:5050536:5066428:1 gene:ONIVA12G05830 transcript:ONIVA12G05830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKREKKKKNLAAVHPPPRPRNTVTLRLEGTEEEFLENFNRCQAHFQYWLLMNSPFRIRFGDQVIYTTPLDGTFYYVLQHEGRCVILVVLARMAWLIGFCTTNGFFQMEFEDLMGLYMDSVHSHLLRFKGNHHTISPNGTGNTTVNLQFIRKCFHGLCTFVPSKRQDPKDLPMWIGLFVVLIMESKSREIHRRHCHAILEVITPELGRDYVNSFIKNWSSISAQVMGSLTNLTCHPHDCGIDVLVFYYLHVDAWNGGLFEHESLAPPPREMKWSPVDPGVGDANIRLPQEPALHGRGHKRTSAATAEMCSKRARGLLGGGLGGPPTAPDFFVRYVQGGDQMQKQECDHLVSHLVSFGITVKNAIRTPAPTSLVKFGQLEDIRRRNNLGHTFSSVVVPTPMSAKSPSLGIPSPPVGIFAQGKAPALHVDTAQRNPASNQEMPGVVFLLAGNQSYYTAVRTKSCDGARNGIPNEFYDLSEEREEDAPLAYLRVLLRRRGSWTVQSQSLVHKAMCQSASTMSILVSRSTDGSSLSGQNPDFTGCGCFPLNCSHLETINQLTGALPTSLGDLPYLEGWSVVVPVGDGNIVQVISRLHGLTGQPVNLSRPGDFNQTMMELGETLCSKVKLGCSQCPTTHDWFQKPKRCDFVAACVVRIAHGLDQGIADAMGMNILFLLIKWPEEGLRSGLWEFPSVLVNEETGALNRRKRWTDVKWRSSGDPQGRSWSTCSYCLTQSLDDVVPVVDAIMVLLFELSADLNQAMMELGATLCSDTKPSRFQCPLSLVAAKRSHFPAKARRALLLNILWPAVFAGLVKKKRGQKRACSLGSGSSHLFS >ONIVA12G05830.3 pep chromosome:AWHD00000000:12:5066466:5077898:1 gene:ONIVA12G05830 transcript:ONIVA12G05830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYLKQSLSIDAAKNRRPTTRRPRAAAATASADIEDLASPSGCRAVAGPTTAAAAVRAELLRWYDANRRDLPWRRAAEPPAGSGSGRGEEQRAYAVWVSEVMLQQTRVPVVVDYYSRWMARWPTVDSLAAATQEEVNEMWAGLGYYRRARFLLEGAKQIVEKGEFPRTASTLREVRGIGDYTAGAIASIAFNEVVPVVDGNVVRVISRFYAIPDNPKESSTLTGELVDPSRPGDFNQAMMELGATLCSKTKPGCSQCPVSSHCQALALSSQNASVKVTDFPRVVPKAKPRSDFAAVCVVQISQGFGEGIAEAEGKDNLFLLIKRPEEGLLAGLWEFPSVLVNEGKTDTLNRRKEMDKYLKQLLSIDVARRSSVILREDVGQHVHIFSHIRLTMFVELMILNLKDDGEELCKEGPDSTKLRLINENAVQSMGLTSGIRKVYNMVKVFKEKRLKEQSQVPTRKRSRRLK >ONIVA12G05820.1 pep chromosome:AWHD00000000:12:5043303:5044948:1 gene:ONIVA12G05820 transcript:ONIVA12G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNRKALNLFDSNPMEGGDCRRPASDANEEAAVASRPSSRKRKAIEDLEEEEEEEEEEVDLQPEESRPPAPPAKGLSCLPAACHEDGIIPAFVIPGSKHRDGSIYRKDAHYWHGLYHLDDTSEKQDCRPCVTDCQWHIGCSMMQIFSLELAEISNFATGAAGAGAIQLYGFMAARDLLDPLRNYVFNRTRDDPFTIRDVSYPFIQMTGPKRGITMNSRVMIEYDLRIKRGENEQDDLVLIDGAATFSEITNFIPYIYRIHGDCGMAVDITLAHFILAIEATLQVRIYELKDGCGSLNLTITCRVSHMTPQIKLFQGPIDKLRDQNRFVVVATLNTLMITEFKLTHQHGSISRRIESRVVPHGSMSHCAKFADLATIGVEIFWSILPTSLQEGF >ONIVA12G05810.1 pep chromosome:AWHD00000000:12:5024879:5027116:1 gene:ONIVA12G05810 transcript:ONIVA12G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNRKALNLFDSMEGGGGGDCRRPDSDVHDGMALASRPSSSKRKATEDLEEDLQPEEESSPSPPPAPAPAKGSACLPAACHEDGVIPAFVIPDSKHRDGSIYRTDAHYWHGLYHLDDTSETRLEPMTPLYSEQDCKPCVADCQWHIGGSMMQIFSLKLAEISNFATRAPGAGAIQLYGFMAVRDLLDPLRNYVFNRTRDNPFTIGDVSYPFIQMTGPKRGIAMNARMMIEYDMRIKMGESEQDDLVLVDGAATFS >ONIVA12G05800.1 pep chromosome:AWHD00000000:12:5023472:5024027:1 gene:ONIVA12G05800 transcript:ONIVA12G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAPAHQRRGTDSIPQSSRAGPARSCISVPCDRLGFCSRISSLRFVLASVHPFSGLGNGARAVEQPGGVGQRRTKPAGRQRATTSSPSSSRPTTAAHRRAPARSSPERHCRRFASGPLSRPWLPTTARPHRPCTHTRPSSASTTA >ONIVA12G05790.1 pep chromosome:AWHD00000000:12:5004079:5009937:1 gene:ONIVA12G05790 transcript:ONIVA12G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSVALYGQLKAAQPFFLLAGPNVIESEEHVLKMAKHIKGITTKLGLPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMVNSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPCDGPTQWPLRNLEELLEELIAIARVTKGKKPLKIDLTPFKE >ONIVA12G05790.2 pep chromosome:AWHD00000000:12:5004079:5009936:1 gene:ONIVA12G05790 transcript:ONIVA12G05790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHIKGITTKLGLPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMVNSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEPLRNLEELLEELIAIARVTKGKKPLKIDLTPFKE >ONIVA12G05780.1 pep chromosome:AWHD00000000:12:4970035:4970616:-1 gene:ONIVA12G05780 transcript:ONIVA12G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYSLQENFQIRLVRAKKLILITRYIIFRNPSQKISQQQTVRTTHFMLLEHLMITIEDQMFREQQMQRGGRHHQHHTAREQEQQQKQQQRRRLMNNAANGGGGDGGGRSYFSTEAILVLACVTVSLLVLPLILPPLPPPPTLLLLLPVCLLALLVVLAFMPTDMRTMASSYL >ONIVA12G05770.1 pep chromosome:AWHD00000000:12:4960314:4967836:1 gene:ONIVA12G05770 transcript:ONIVA12G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPWIIIFLLIVLVQAFVASADTNAQDTSGLNGLAGSWGSAPSNWAGNDPCGDKWIGIICTGNRVTSIRLSSFGLSGTLSGDIQSLSELQYLDLSYNKNLNGPLPSTIGTLSKLQNLILVGCGFTGEIPKEIGQLSNLIFLSLNSNKFTGSIPPSLGGLSKLYWFDLADNQLTGGLPISNATSPGLDNLTSTKHFHFGINQLSGSIPSQIFNSNMKLIHLLLDNNKFSGSIPSTLGLLNTLEVLRFDNNAQLTGPVPTNLKNLTKLAEFHLANSNLTGPLPDLTGMSSLSFVDMSNNSFSASDAPSWITTLPSSLTSLLSGNPYCNQVNDDVHCKATGQSNPALPPYKTTSNCPALPPTCLSTQQLSPTCICSVPYRGTLFFRSPGFSDLGNSSYFIQLEGTMKAKFLNLSLPVDSIAIHDPFVDTNNNLEMSLEVYPSGKDQFSEQDISGIGFILSNQTYKPPSNFGPYYFLGQTYSFANGALQTSKSNTNHIPLIVGASVGGAAVIAALLALTICIARRKRSPKQTEDRSQSYVSWDIKSTSTSTAPQVRGARMFSFDELKKVTNNFSEANDIGTGGYGKVYRGTLPTGQLVAVKRSQQGSLQGNLEFRTEIELLSRVHHKNVVSLVGFCFDQGEQMLVYEYVPNGTLKESLTVVIESANTDCLSWWCHRKLCSGKSGVRLDWKRRLRVVLGAAKGIAYLHELADPPIIHRDIKSSNVLLDERLNAKGYLDPEYYMTQQLTDRSDVYSFGVLLLEVITARKPLERGRYVVREVKEAVDRRKDMYGLHELLDPALGASSALAGLEPYVDLALRCVEESGADRPSMGEAVAEIERIAKVAGAGGAAAAESAASDSMSYAASRTPRHPYGGGGGDSASEYSGGGLPSMRVEPK >ONIVA12G05770.2 pep chromosome:AWHD00000000:12:4960314:4967836:1 gene:ONIVA12G05770 transcript:ONIVA12G05770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPWIIIFLLIVLVQAFVASADTNAQDTSGLNGLAGSWGSAPSNWAGNDPCGDKWIGIICTGNRVTSIRLSSFGLSGTLSGDIQSLSELQYLDLSYNKNLNGPLPSTIGTLSKLQNLILVGCGFTGEIPKEIGQLSNLIFLSLNSNKFTGSIPPSLGGLSKLYWFDLADNQLTGGLPISNATSPGLDNLTSTKHFHFGINQLSGSIPSQIFNSNMKLIHLLLDNNKFSGSIPSTLGLLNTLEVLRFDNNAQLTGPVPTNLKNLTKLAEFHLANSNLTGPLPDLTGMSSLSFVDMSNNSFSASDAPSWITTLPSSLTSLLSGNPYCNQVNDDVHCKATGQSNPALPPYKTTSNCPALPPTCLSTQQLSPTCICSVPYRGTLFFRSPGFSDLGNSSYFIQLEGTMKAKFLNLSLPVDSIAIHDPFVDTNNNLEMSLEVYPSGKDQFSEQDISGIGFILSNQTYKPPSNFGPYYFLGQTYSFANGALQTSKSNTNHIPLIVGASVGGAAVIAALLALTICIARRKRSPKQTEDRSQSYVSWDIKSTSTSTAPQVRGARMFSFDELKKVTNNFSEANDIGTGGYGKVYRGTLPTGQLVAVKRSQQGSLQGNLEFRTEIELLSRVHHKNVVSLVGFCFDQGEQMLVYEYVPNGTLKESLTGKSGVRLDWKRRLRVVLGAAKGIAYLHELADPPIIHRDIKSSNVLLDERLNAKGYLDPEYYMTQQLTDRSDVYSFGVLLLEVITARKPLERGRYVVREVKEAVDRRKDMYGLHELLDPALGASSALAGLEPYVDLALRCVEESGADRPSMGEAVAEIERIAKVAGAGGAAAAESAASDSMSYAASRTPRHPYGGGGGDSASEYSGGGLPSMRVEPK >ONIVA12G05760.1 pep chromosome:AWHD00000000:12:4950708:4958064:1 gene:ONIVA12G05760 transcript:ONIVA12G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWKSAFLQVSMKYTHDIMHFKLHILKTNQMHLYPNNQDSKRAVYIDIHLLAEEEEQVPAMASSKPILYGAWISSCSHRIRIVLNLKGVDYEYKSVNPRTDPDYEKINPIKYIPALVDGDLVVSDSLAIALYLEDKYPQHALLPKDLKKKALNLQIANIVCSSIQPLQGYAVIGLHEGKLSPDESLQIVQHYIDKGFKAIEKLLEGSNFKYATGDEVQLGDVFLAPQIHAGINRFQIDITKYPILARLHDTYMEIPAFQAALPKNQPDAPSC >ONIVA12G05760.2 pep chromosome:AWHD00000000:12:4953601:4958064:1 gene:ONIVA12G05760 transcript:ONIVA12G05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWKSAFLQVSMKYTHDIMHFKLHILKTNQMHLYPNNQDSKRAVYIDIHLLAEEEEQVPAMASSKPILYGAWISSCSHRIRIVLNLKGVDYEYKSVNPRTDPDYEKINPIKYIPALVDGDLVVSDSLAIALYLEDKYPQHALLPKDLKKKALNLQIANIVCSSIQPLQGYAVIGLHEGKLSPDESLQIVQHYIDKGFKAIEKLLEGSNFKYATGDEVQLGDVFLAPQIHAGINRFQIDITKYPILARLHDTYMEIPAFQAALPKNQPDAPSC >ONIVA12G05760.3 pep chromosome:AWHD00000000:12:4950698:4954478:1 gene:ONIVA12G05760 transcript:ONIVA12G05760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEKTKPVLYSEWMSSCSYRVRIALNLKGIDYEYRAVTRGDTDYGKINPIKYVPALVDGDFTISDSLAIILYLEDKYPQHPLLPQDLKKKALNMQIANIVCSSIQPLQCYAGLADGKMSANESLQIVQHYTDKGFRAIEKLLEGCRSKYATGDEVQLADVFLAPQIHAGITRFQIDMSKYPILARFYKAYMELPAFQAAVPENQPDAPSS >ONIVA12G05750.1 pep chromosome:AWHD00000000:12:4948891:4949076:-1 gene:ONIVA12G05750 transcript:ONIVA12G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVVRHCRSHRCSAPPTSNSHHRRRWLPSDLAHAPALFAGPSEGGEVKTGSIPNRGYGC >ONIVA12G05740.1 pep chromosome:AWHD00000000:12:4939600:4947244:-1 gene:ONIVA12G05740 transcript:ONIVA12G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLGEGMLHIQAVLDVVNPDHFKDHSVVLDRWFWKLRMQSRKQRTEVALFVVVRMKKKKRHKRSCWHCAGMFVRPCELELAISDKEKPGRLEDQIEVEVVFQFHDFTDEEAKRSSKHCAGMFVRLCELELLIPAVLHTAMATSAAVVFAGKSVAAPVIKEIITRALNYLDGYLSAKSMEEMKNKLEEGMLQIQAVLDVTNPDQFKEHSVALDLWFWKLRDAVEEAEDAIDELEYYKLKEKAKDYKVSDWGSPLAKWKHKVVKSIKDVGVLDKSRLKKAMDGLDKAAAGTTKFLEVVRCINGATSSSQKLEHLASSNDRQTGSMLTADKFVGRETEKKRILEWLTKDTSVKESEIVPSANHVPIFSVVGHGGMGKTTLAQSICQQDEIVKHFKVIWITVSTSFDATSVTRKILESATRGEPSNKHLEALQQDLKEKLNSVKFLLVMDDVWEEGKRDEWEKLFAPLRSGKNGSKILLTTRMASVADMAAKAMGVARDCLILEGLEEDENIELFNHHVFSGFNLQDYSHFRKTGEKIARKLGGCPLVIKVACGHLQGNMTIEYWERFLHKHLEHFKGSAIDIMNVLKLSYQHLPTELQICFRFCSLFPEDHGFDKEDLVRMWMCSGLISQATSDSLDFEDIGERILADLTRKSFFDRNSIIYGYAYGLEPPEYYVMHDLMHELARNVSYGECARITNAVRTQSDTCISCIPQFSIDVVKKISQFKNLRSIIIDTESRLGKDTKYTLQKIIESTKSLRLFHSRLLIRFDFSSKFGKLKHLRYIDIVDISSKGIYHIAKLYHLLVLSFLCPTMVFPCRRSLLCVAKQKRFMVNLYRLRHVAYGFNDSDTYNFSGILPISRLESIRRLSIYHVKESRGNKVSLIRNLHCLRGLYVKGVENIENHEEAINAKLNEKQHLHSLSLEWSPHTGEHDTVDELVLQHLEPHTNIRNVRICGYEGCVVPFWIENLSVRKLVSIKLESCINWEQLPSLGELTLLRYLLLRNLPKLQQIGRHSHMSSSSSMELLLPPNLLSQEIEQCPELQELPLLPPSLVSFQIIEVNWTKLPRMGKLCIESDRTRLSNVSIIYCPCLSSLEDSFFEQKQHMVALRNLRIDSCIHLESASIPIEAMNSLTFLDILDCPKLRALRGAGEKFLPSSLQHLLIRSCGDYERTLFGSLQEQQLTNLFMLCLKNCSNLVSLPSADAFSNLTSLKNISIEGCENLSSLGGLESLPSLYTLSLSNCSNLVSLPSAEAFSNLTSLKNISIEGCENLSTLGGLGSLQSLSELTIRCCAKLIKFGSSVNPYASACEEEHLVDSRSSLYISHLTIDLPSLLLVDPLKSLCHTECLVIEDASQMENLGIAPTEYGDLTSLQYLKLSGVDQLLGSLPELPTSLLELDIWRCGSEWEKKFRKHGIPERNKIAHILRVRIVFHSFLMYVVTKH >ONIVA12G05740.2 pep chromosome:AWHD00000000:12:4940217:4947244:-1 gene:ONIVA12G05740 transcript:ONIVA12G05740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLGEGMLHIQAVLDVVNPDHFKDHSVVLDRWFWKLRMQSRKQRTEVALFVVVRMKKKKRHKRSCWHCAGMFVRPCELELAISDKEKPGRLEDQIEVEVVFQFHDFTDEEAKRSSKHCAGMFVRLCELELLIPAVLHTAMATSAAVVFAGKSVAAPVIKEIITRALNYLDGYLSAKSMEEMKNKLEEGMLQIQAVLDVTNPDQFKEHSVALDLWFWKLRDAVEEAEDAIDELEYYKLKEKAKDYKVSDWGSPLAKWKHKVVKSIKDVGVLDKSRLKKAMDGLDKAAAGTTKFLEVVRCINGATSSSQKLEHLASSNDRQTGSMLTADKFVGRETEKKRILEWLTKDTSVKESEIVPSANHVPIFSVVGHGGMGKTTLAQSICQQDEIVKHFKVIWITVSTSFDATSVTRKILESATRGEPSNKHLEALQQDLKEKLNSVKFLLVMDDVWEEGKRDEWEKLFAPLRSGKNGSKILLTTRMASVADMAAKAMGVARDCLILEGLEEDENIELFNHHVFSGFNLQDYSHFRKTGEKIARKLGGCPLVIKVACGHLQGNMTIEYWERFLHKHLEHFKGSAIDIMNVLKLSYQHLPTELQICFRFCSLFPEDHGFDKEDLVRMWMCSGLISQATSDSLDFEDIGERILADLTRKSFFDRNSIIYGYAYGLEPPEYYVMHDLMHELARNVSYGECARITNAVRTQSDTCISCIPQFSIDVVKKISQFKNLRSIIIDTESRLGKDTKYTLQKIIESTKSLRLFHSRLLIRFDFSSKFGKLKHLRYIDIVDISSKGIYHIAKLYHLLVLSFLCPTMVFPCRRSLLCVAKQKRFMVNLYRLRHVAYGFNDSDTYNFSGILPISRLESIRRLSIYHVKESRGNKVSLIRNLHCLRGLYVKGVENIENHEEAINAKLNEKQHLHSLSLEWSPHTGEHDTVDELVLQHLEPHTNIRNVRICGYEGCVVPFWIENLSVRKLVSIKLESCINWEQLPSLGELTLLRYLLLRNLPKLQQIGRHSHMSSSSSMELLLPPNLLSQEIEQCPELQELPLLPPSLVSFQIIEVNWTKLPRMGKLCIESDRTRLSNVSIIYCPCLSSLEDSFFEQKQHMVALRNLRIDSCIHLESASIPIEAMNSLTFLDILDCPKLRALRGAGEKFLPSSLQHLLIRSCGDYERTLFGSLQEQQLTNLFMLCLKNCSNLVSLPSADAFSNLTSLKNISIEGCENLSSLGGLESLPSLYTLSLSNCSNLVSLPSAEAFSNLTSLKNISIEGCENLSTLGGLGSLQSLSELTIRCCAKLIKFGSSVNPYASACEEEHLVDSRSSLYISHLTIDLPSLLLVDPLKSLCHTECLVIEDASQMENLGIAPTEYGDLTSLQYLKLSGVDQLLGSLPELPTSLLELDIWRCGSEWEKKFRKHGIPERNKIAHILRVRIVLRSLDAEKFEQTTSK >ONIVA12G05740.3 pep chromosome:AWHD00000000:12:4940471:4947244:-1 gene:ONIVA12G05740 transcript:ONIVA12G05740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLGEGMLHIQAVLDVVNPDHFKDHSVVLDRWFWKLRMQSRKQRTEVALFVVVRMKKKKRHKRSCWHCAGMFVRPCELELAISDKEKPGRLEDQIEVEVVFQFHDFTDEEAKRSSKHCAGMFVRLCELELLIPAVLHTAMATSAAVVFAGKSVAAPVIKEIITRALNYLDGYLSAKSMEEMKNKLEEGMLQIQAVLDVTNPDQFKEHSVALDLWFWKLRDAVEEAEDAIDELEYYKLKEKAKDYKVSDWGSPLAKWKHKVVKSIKDVGVLDKSRLKKAMDGLDKAAAGTTKFLEVVRCINGATSSSQKLEHLASSNDRQTGSMLTADKFVGRETEKKRILEWLTKDTSVKESEIVPSANHVPIFSVVGHGGMGKTTLAQSICQQDEIVKHFKVIWITVSTSFDATSVTRKILESATRGEPSNKHLEALQQDLKEKLNSVKFLLVMDDVWEEGKRDEWEKLFAPLRSGKNGSKILLTTRMASVADMAAKAMGVARDCLILEGLEEDENIELFNHHVFSGFNLQDYSHFRKTGEKIARKLGGCPLVIKVACGHLQGNMTIEYWERFLHKHLEHFKGSAIDIMNVLKLSYQHLPTELQICFRFCSLFPEDHGFDKEDLVRMWMCSGLISQATSDSLDFEDIGERILADLTRKSFFDRNSIIYGYAYGLEPPEYYVMHDLMHELARNVSYGECARITNAVRTQSDTCISCIPQFSIDVVKKISQFKNLRSIIIDTESRLGKDTKYTLQKIIESTKSLRLFHSRLLIRFDFSSKFGKLKHLRYIDIVDISSKGIYHIAKLYHLLVLSFLCPTMVFPCRRSLLCVAKQKRFMVNLYRLRHVAYGFNDSDTYNFSGILPISRLESIRRLSIYHVKESRGNKVSLIRNLHCLRGLYVKGVENIENHEEAINAKLNEKQHLHSLSLEWSPHTGEHDTVDELVLQHLEPHTNIRNVRICGYEGCVVPFWIENLSVRKLVSIKLESCINWEQLPSLGELTLLRYLLLRNLPKLQQIGRHSHMSSSSSMELLLPPNLLSQEIEQCPELQELPLLPPSLVSFQIIEVNWTKLPRMGKLCIESDRTRLSNVSIIYCPCLSSLEDSFFEQKQHMVALRNLRIDSCIHLESASIPIEAMNSLTFLDILDCPKLRALRGAGEKFLPSSLQHLLIRSCGDYERTLFGSLQEQQLTNLFMLCLKNCSNLVSLPSADAFSNLTSLKNISIEGCENLSSLGGLESLPSLYTLSLSNCSNLVSLPSAEAFSNLTSLKNISIEGCENLSTLGGLGSLQSLSELTIRCCAKLIKFGSSVNPYASACEEEHLVDSRSSLYISHLTIDLPSLLLVDPLKSLCHTECLVIEDASQMENLGIAPTEYGDLTSLQYLKLSGVDQLLGSLPELPTSLLELDIWRCGSEWEKKFRKHGIPERNKIAHILRVRIGISTFNTHILACFEACLLDVIACGR >ONIVA12G05740.4 pep chromosome:AWHD00000000:12:4939600:4947244:-1 gene:ONIVA12G05740 transcript:ONIVA12G05740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLGEGMLHIQAVLDVVNPDHFKDHSVVLDRWFWKLRMQSRKQRTEVALFVVVRMKKKKRHKRSCWHCAGMFVRPCELELAISDKEKPGRLEDQIEVEVVFQFHDFTDEEAKRSSKHCAGMFVRLCELELLIPAVLHTAMATSAAVVFAGKSVAAPVIKEIITRALNYLDGYLSAKSMEEMKNKLEEGMLQIQAVLDVTNPDQFKEHSVALDLWFWKLRDAVEEAEDAIDELEYYKLKEKAKDYKVSDWGSPLAKWKHKVVKSIKDVGVLDKSRLKKAMDGLDKAAAGTTKFLEVVRCINGATSSSQKLEHLASSNDRQTGSMLTADKFVGRETEKKRILEWLTKDTSVKESEIVPSANHVPIFSVVGHGGMGKTTLAQSICQQDEIVKHFKVIWITVSTSFDATSVTRKILESATRGEPSNKHLEALQQDLKEKLNSVKFLLVMDDVWEEGKRDEWEKLFAPLRSGKNGSKILLTTRMASVADMAAKAMGVARDCLILEGLEEDENIELFNHHVFSGFNLQDYSHFRKTGEKIARKLGGCPLVIKVACGHLQGNMTIEYWERFLHKHLEHFKGSAIDIMNVLKLSYQHLPTELQICFRFCSLFPEDHGFDKEDLVRMWMCSGLISQATSDSLDFEDIGERILADLTRKSFFDRNSIIYGYAYGLEPPEYYVMHDLMHELARNVSYGECARITNAVRTQSDTCISCIPQFSIDVVKKISQFKNLRSIIIDTESRLGKDTKYTLQKIIESTKSLRLFHSRLLIRFDFSSKFGKLKHLRYIDIVDISSKGIYHIAKLYHLLVLSFLCPTMVFPCRRSLLCVAKQKRFMVNLYRLRHVAYGFNDSDTYNFSGILPISRLESIRRLSIYHVKESRGNKVSLIRNLHCLRGLYVKGVENIENHEEAINAKLNEKQHLHSLSLEWSPHTGEHDTVDELVLQHLEPHTNIRNVRICGYEGCVVPFWIENLSVRKLVSIKLESCINWEQLPSLGELTLLRYLLLRNLPKLQQIGRHSHMSSSSSMELLLPPNLLSQEIEQCPELQELPLLPPSLVSFQIIEVNWTKLPRMGKLCIESDRTRLSNVSIIYCPCLSSLEDSFFEQKQHMVALRNLRIDSCIHLESASIPIEAMNSLTFLDILDCPKLRALRGAGEKFLPSSLQHLLIRSCGDYERTLFGSLQEQQLTNLFMLCLKNCSNLVSLPSADAFSNLTSLKNISIEGCENLSSLGGLESLPSLYTLSLSNCSNLVSLPSAEAFSNLTSLKNISIEGCENLSTLGGLGSLQSLSELTIRCCAKLIKFGSSVNPYASACEEEHLVDSRSSLYISHLTIDLPSLLLVDPLKSLCHTECLVIEDASQMENLGIAPTEYGDLTSLQYLKLSGVDQLLGSLPELPTSLLELDIWRCGSEWEKKFRKHGIPERNKIAHILRVRIGISTFNTHILACFEAFLMYVVTKH >ONIVA12G05740.5 pep chromosome:AWHD00000000:12:4940471:4947244:-1 gene:ONIVA12G05740 transcript:ONIVA12G05740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLGEGMLHIQAVLDVVNPDHFKDHSVVLDRWFWKLRMQSRKQRTRRGTKGVAGTVLACLCAHASSSWQFQFTDEEAKRSSKHCAGMFVRLCELELLIPAVLHTAMATSAAVVFAGKSVAAPVIKEIITRALNYLDGYLSAKSMEEMKNKLEEGMLQIQAVLDVTNPDQFKEHSVALDLWFWKLRDAVEEAEDAIDELEYYKLKEKAKDYKVSDWGSPLAKWKHKVVKSIKDVGVLDKSRLKKAMDGLDKAAAGTTKFLEVVRCINGATSSSQKLEHLASSNDRQTGSMLTADKFVGRETEKKRILEWLTKDTSVKESEIVPSANHVPIFSVVGHGGMGKTTLAQSICQQDEIVKHFKVIWITVSTSFDATSVTRKILESATRGEPSNKHLEALQQDLKEKLNSVKFLLVMDDVWEEGKRDEWEKLFAPLRSGKNGSKILLTTRMASVADMAAKAMGVARDCLILEGLEEDENIELFNHHVFSGFNLQDYSHFRKTGEKIARKLGGCPLVIKVACGHLQGNMTIEYWERFLHKHLEHFKGSAIDIMNVLKLSYQHLPTELQICFRFCSLFPEDHGFDKEDLVRMWMCSGLISQATSDSLDFEDIGERILADLTRKSFFDRNSIIYGYAYGLEPPEYYVMHDLMHELARNVSYGECARITNAVRTQSDTCISCIPQFSIDVVKKISQFKNLRSIIIDTESRLGKDTKYTLQKIIESTKSLRLFHSRLLIRFDFSSKFGKLKHLRYIDIVDISSKGIYHIAKLYHLLVLSFLCPTMVFPCRRSLLCVAKQKRFMVNLYRLRHVAYGFNDSDTYNFSGILPISRLESIRRLSIYHVKESRGNKVSLIRNLHCLRGLYVKGVENIENHEEAINAKLNEKQHLHSLSLEWSPHTGEHDTVDELVLQHLEPHTNIRNVRICGYEGCVVPFWIENLSVRKLVSIKLESCINWEQLPSLGELTLLRYLLLRNLPKLQQIGRHSHMSSSSSMELLLPPNLLSQEIEQCPELQELPLLPPSLVSFQIIEVNWTKLPRMGKLCIESDRTRLSNVSIIYCPCLSSLEDSFFEQKQHMVALRNLRIDSCIHLESASIPIEAMNSLTFLDILDCPKLRALRGAGEKFLPSSLQHLLIRSCGDYERTLFGSLQEQQLTNLFMLCLKNCSNLVSLPSADAFSNLTSLKNISIEGCENLSSLGGLESLPSLYTLSLSNCSNLVSLPSAEAFSNLTSLKNISIEGCENLSTLGGLGSLQSLSELTIRCCAKLIKFGSSVNPYASACEEEHLVDSRSSLYISHLTIDLPSLLLVDPLKSLCHTECLVIEDASQMENLGIAPTEYGDLTSLQYLKLSGVDQLLGSLPELPTSLLELDIWRCGSEWEKKFRKHGIPERNKIAHILRVRIGISTFNTHILACFEACLLDVIACGR >ONIVA12G05740.6 pep chromosome:AWHD00000000:12:4938949:4947244:-1 gene:ONIVA12G05740 transcript:ONIVA12G05740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLGEGMLHIQAVLDVVNPDHFKDHSVVLDRWFWKLRMQSRKQRTEVALFVVVRMKKKKRHKRSCWHCAGMFVRPCELELAISDKEKPGRLEDQIEVEVVFQFHDFTDEEAKRSSKHCAGMFVRLCELELLIPVATRKSQWQSRRIASRL >ONIVA12G05740.7 pep chromosome:AWHD00000000:12:4938963:4948616:-1 gene:ONIVA12G05740 transcript:ONIVA12G05740.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEGNFNSPSNQQFSTLPWLLRLQWCLQGNPWQLLALNYLDGYLSAKSMEEMKNKLEEGMLQIQAVLDVTNPDQFKEHSVALDLWFWKLRDAVEEAEDAIDELEYYKLKEKAKDYKSPLASRNGNLEE >ONIVA12G05730.1 pep chromosome:AWHD00000000:12:4931234:4947635:1 gene:ONIVA12G05730 transcript:ONIVA12G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHMDVDGESSGARALGDMGDLETYAFENESCGICRDIVIDRGVLDCCQHWFCYTCIDNWSAITNRCPLCKSEFQRITCMPVYDTTGVNNEDEYSLTSGDDDWLQGESNTLSFPSYYIDAEAVVCLDDGDCKIRSGLVSVEDDSTFDTSIACDSCDLWYHALCVGFNPEMATEDSWLCPRCVSTEVKHKADAILKQNFSGDCSTGSDRTTIDASFSGRVSVSVADEGETALVVSMVGVHSEIRDGLKTEQKGLNSNSYPSYSKDDLLNETVADAKILRNSDGFSMSHNRYSEMNLVPTVSSEATERPLEFSPIRESAHTLFRPEQGNMSNVQAPSCSFSQTSKVAENSGEENALFRNNARSTVIESPQLSSPAGNVARSNDIDMIDAGEVQQMRSAPVGQLTSMHDGESISDMEEVKYKESGDEIGHPAKRARSEVPEQEINMIGNSGISPTDDRTTSSAAKAAIGDTPEFLRSDKSVPDIMSIVEGEDYSRDPGRELARPVGRRAGDKPGLRVKKIFRKEEGKKSTAVVQKLQQEIREVVRDTGTTILEKENAFDEKLLTAFRAAIGKPADEPAGRANLSLIKSRRALLQKGKIRENLTKKLYGSSAGRRRSAWHRDWEVEFWKHRCSSGINPEKIETLQSVLQLLKKSSEMDKEIAQDKKGVNTDSILSRVYLADASVVPRKDDVKPLSAVAGCPPNNSKAPNKPTPGTETTKISSPNSTGRVLSSSIISKEASGRRENKNSQAAPNQQNQSAGDIKHDKRKWALEVLARKNASSITSKDKSEVADDLKGNYPLLAQLPVDMRPQLTTGRHNKVPLSVRQAQLYRIAEHYLQKANLSVIRRCADTELAIADAVNVEKDISERSSSKSVYVNLCSQATRQPAKGKSENDASDLIEKSESENGPLPQQVQTENTNICNSDTEESLNRTGHSDLPASPIQTIKGEIGGDLVPEKTVGFSNVEEALKMAGLLDSPPNSPERKNTIIEGGCIVDTDSEPSKKLQSTSDSIVRDISSHKDADDSSMLIDIHDENGQNLHSVTSSQQSKHTDDEHQKLILGEESTDVTANKIVSVNLDEAGCSAEHGNSNGSNKEIPAYTNTPDEGAGYVGDAKEVKVPASDSCNQTCQANSSPTKSKKDRKIPILDSTEERSCCNNAELNNGLPNRNKLSTDLAQSGDDSKKSARDPDNHKPDSSGSIHKKVEMFVKENIRPLCKSGVITVEQYRWAVAKTTDKVMKYHSDAKNANFLIKEGDKVKKLALQYVEAAQQKVT >ONIVA12G05730.2 pep chromosome:AWHD00000000:12:4931400:4947635:1 gene:ONIVA12G05730 transcript:ONIVA12G05730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHMDVDGESSGARALGDMGDLVYDTTGVNNEDEYSLTSGDDDWLQGESNTLSFPSYYIDAEAVVCLDDGDCKIRSGLVSVEDDSTFDTSIACDSCDLWYHALCVGFNPEMATEDSWLCPRCVSTEVKHKADAILKQNFSGDCSTGSDRTTIDASFSGRVSVSVADEGETALVVSMVGVHSEIRDGLKTEQKGLNSNSYPSYSKDDLLNETVADAKILRNSDGFSMSHNRYSEMNLVPTVSSEATERPLEFSPIRESAHTLFRPEQGNMSNVQAPSCSFSQTSKVAENSGEENALFRNNARSTVIESPQLSSPAGNVARSNDIDMIDAGEVQQMRSAPVGQLTSMHDGESISDMEEVKYKESGDEIGHPAKRARSEVPEQEINMIGNSGISPTDDRTTSSAAKAAIGDTPEFLRSDKSVPDIMSIVEGEDYSRDPGRELARPVGRRAGDKPGLRVKKIFRKEEGKKSTAVVQKLQQEIREVVRDTGTTILEKENAFDEKLLTAFRAAIGKPADEPAGRANLSLIKSRRALLQKGKIRENLTKKLYGSSAGRRRSAWHRDWEVEFWKHRCSSGINPEKIETLQSVLQLLKKSSEMDKEIAQDKKGVNTDSILSRVYLADASVVPRKDDVKPLSAVAGCPPNNSKAPNKPTPGTETTKISSPNSTGRVLSSSIISKEASGRRENKNSQAAPNQQNQSAGDIKHDKRKWALEVLARKNASSITSKDKSEVADDLKGNYPLLAQLPVDMRPQLTTGRHNKVPLSVRQAQLYRIAEHYLQKANLSVIRRCADTELAIADAVNVEKDISERSSSKSVYVNLCSQATRQPAKGKSENDASDLIEKSESENGPLPQQVQTENTNICNSDTEESLNRTGHSDLPASPIQTIKGEIGGDLVPEKTVGFSNVEEALKMAGLLDSPPNSPERKNTIIEGGCIVDTDSEPSKKLQSTSDSIVRDISSHKDADDSSMLIDIHDENGQNLHSVTSSQQSKHTDDEHQKLILGEESTDVTANKIVSVNLDEAGCSAEHGNSNGSNKEIPAYTNTPDEGAGYVGDAKEVKVPASDSCNQTCQANSSPTKSKKDRKIPILDSTEERSCCNNAELNNGLPNRNKLSTDLAQSGDDSKKSARDPDNHKPDSSGSIHKKVEMFVKENIRPLCKSGVITVEQYRWAVAKTTDKVMKYHSDAKNANFLIKEGDKVKKLALQYVEAAQQKVT >ONIVA12G05730.3 pep chromosome:AWHD00000000:12:4931400:4947635:1 gene:ONIVA12G05730 transcript:ONIVA12G05730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHMDVDGESSGARALGDMGDLVYDTTGVNNEDEYSLTSGDDDWLQGESNTLSFPSYYIDAEAVVCLDDGDCKIRSGLVSVEDDSTFDTSIACDSCDLCGDCSTGETALVVSMVGVHSEIRDGLKTEQKGLNSNSYPSYSKDDLLNETVADAKILRNSDGFSMSHNRYSEMNLVPTVSSEATERPLEFSPIRESAHTLFRPEQGNMSNVQAPSCSFSQTSKVAENSGEENALFRNNARSTVIESPQLSSPAGNVARSNDIDMIDAGEVQQMRSAPVGQLTSMHDGESISDMEEVKYKESGDEIGHPAKRARSEVPEQEINMIGNSGISPTDDRTTSSAAKAAIGDTPEFLRSDKSVPDIMSIVEGEDYSRDPGRELARPVGRRAGDKPGLRVKKIFRKEEGKKSTAVVQKLQQEIREVVRDTGTTILEKENAFDEKLLTAFRAAIGKPADEPAGRANLSLIKSRRALLQKGKIRENLTKKLYGSSAGRRRSAWHRDWEVEFWKHRCSSGINPEKIETLQSVLQLLKKSSEMDKEIAQDKKGVNTDSILSRVYLADASVVPRKDDVKPLSAVAGCPPNNSKAPNKPTPGTETTKISSPNSTGRVLSSSIISKEASGRRENKNSQAAPNQQNQSAGDIKHDKRKWALEVLARKNASSITSKDKSEVADDLKGNYPLLAQLPVDMRPQLTTGRHNKVPLSVRQAQLYRIAEHYLQKANLSVIRRCADTELAIADAVNVEKDISERSSSKSVYVNLCSQATRQPAKGKSENDASDLIEKSESENGPLPQQVQTENTNICNSDTEESLNRTGHSDLPASPIQTIKGEIGGDLVPEKTVGFSNVEEALKMAGLLDSPPNSPERKNTIIEGGCIVDTDSEPSKKLQSTSDSIVRDISSHKDADDSSMLIDIHDENGQNLHSVTSSQQSKHTDDEHQKLILGEESTDVTANKIVSVNLDEAGCSAEHGNSNGSNKEIPAYTNTPDEGAGYVGDAKEVKVPASDSCNQTCQANSSPTKSKKDRKIPILDSTEERSCCNNAELNNGLPNRNKLSTDLAQSGDDSKKSARDPDNHKPDSSGSIHKKVEMFVKENIRPLCKSGVITVEQYRWAVAKTTDKVMKYHSDAKNANFLIKEGDKVKKLALQYVEAAQQKVT >ONIVA12G05730.4 pep chromosome:AWHD00000000:12:4931638:4947635:1 gene:ONIVA12G05730 transcript:ONIVA12G05730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHMDVDGESSGARALGDMGDLVYDTTGVNNEDEYSLTSGDDDWLQGESNTLSFPSYYIDAEAVVCLDDGDCKIRSGLVSVEDDSTFDTSIACDSCDLWYHALCVGFNPEMATEDSWLCPRCVSTEVKHKADAILKQNFSGDCSTGSDRTTIDASFSGRVSVSVADEGETALVVSMVGVHSEIRDGLKTEQKGLNSNSYPSYSKDDLLNETVADAKILRNSDGFSMSHNRYSEMNLVPTVSSEATERPLEFSPIRESAHTLFRPEQGNMSNVQAPSCSFSQTSKVAENSGEENALFRNNARSTVIESPQLSSPAGNVARSNDIDMIDAGEVQQMRSAPVGQLTSMHDGESISDMEEVKYKESGDEIGHPAKRARSEVPEQEINMIGNSGISPTDDRTTSSAAKAAIGDTPEFLRSDKSVPDIMSIVEGEDYSRDPGRELARPVGRRAGDKPGLRVKKIFRKEEGKKSTAVVQKLQQEIREVVRDTGTTILEKENAFDEKLLTAFRAAIGKPADEPAGRANLSLIKSRRALLQKGKIRENLTKKLYGSSAGRRRSAWHRDWEVEFWKHRCSSGINPEKIETLQSVLQLLKKSSEMDKEIAQDKKGVNTDSILSRVYLADASVVPRKDDVKPLSAVAGCPPNNSKAPNKPTPGTETTKISSPNSTGRVLSSSIISKEASGRRENKNSQAAPNQQNQSAGDIKHDKRKWALEVLARKNASSITSKDKSEVADDLKGNYPLLAQLPVDMRPQLTTGRHNKVPLSVRQAQLYRIAEHYLQKANLSVIRRCADTELAIADAVNVEKDISERSSSKSVYVNLCSQATRQPAKGKSENDASDLIEKSESENGPLPQQVQTENTNICNSDTEESLNRTGHSDLPASPIQTIKGEIGGDLVPEKTVGFSNVEEALKMAGLLDSPPNSPERKNTIIEGGCIVDTDSEPSKKLQSTSDSIVRDISSHKDADDSSMLIDIHDENGQNLHSVTSSQQSKHTDDEHQKLILGEESTDVTANKIVSVNLDEAGCSAEHGNSNGSNKEIPAYTNTPDEGAGYVGDAKEVKVPASDSCNQTCQANSSPTKSKKDRKIPILDSTEERSCCNNAELNNGLPNRNKLSTDLAQSGDDSKKSARDPDNHKPDSSGSIHKKVEMFVKENIRPLCKSGVITVEQYRWAVAKTTDKVMKYHSDAKNANFLIKEGDKVKKLALQYVEAAQQKVT >ONIVA12G05720.1 pep chromosome:AWHD00000000:12:4924898:4925559:-1 gene:ONIVA12G05720 transcript:ONIVA12G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERRLIWKTAQLPATLIRKLRSFLLPAPQTLLLPHPPRAPAVGPPAAAPPLLPHTSDEVIPNPAFQVNISF >ONIVA12G05710.1 pep chromosome:AWHD00000000:12:4901482:4906640:-1 gene:ONIVA12G05710 transcript:ONIVA12G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMVEGCVGPSELHLRKELTALRKARFLRDPETSSTWRSPLDSRPLAAASNIVHNSNGVGDSIAPKHTESPTPSKGEKKQKKVYLYNWRQYSNKSSESGMKLDEDINLESPHNSNGVGLKSNACQDDPVNIYNFQSSTSCTPIKRVARRSRVLLSKKGTARDPAVSKLLDLHVNSTEQTEDTENSQVGYFSRPTSPLFAACGCVSASNTSRLLKVGRREGSSFSCTPISTRSYYKHGRANSSTIGSWDGRTATSFDGDESNQSTLWRSRRSHVPGYSSKRNKCKGSKRSYSPSLSDILRRKGSGLLCGSQTLHRKKRSFGSLKWGHSKTSAHGMSLLDGSCDFGSSSFDSSSDELSTNVGELDLEALSRLDGRRWSSCKSQDGVDPAVHGADLAMADRRSLSQKYRPKLFSEIVGQHIVAQSLSNAITREKIAPAYLFQGPRGTGKTSTARIFSMGLSCLAPGENKPCGICKECTDFLSGNGTNLIEVDASNRKSINRIKNLLENIPPSATSSRYKLFVVDECHMVSSKLWSAFMKFLDEPLPRVVFVFITIDPDNLPRAVISRCQKYVFSKIKDIDIVCRLRRICVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSTANDMAVGGRSLTGGELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLSCGHSSEMNQARSSTTECHKSMNDAVTEAGRESSSSRAASHSISAFGVSKKLLDPKATSLHSSPQALASHSSRSRLNGNFAYGGECMSADRFLQDSTQRSNYSEQKVLVNGNLESLAHIWMRCIENCHSKTLQQLLFDHGKLVCVRQCEGCVLAFIAFEDRSIRSRAERFLSSITNSIETVLRCTVEVRMGLLPDFIASGLTSEMGPKVRRAESDVLSCSSNSDRLKGTLNTSSRNFDYSDEVKKGLEQFKFASAGDERLQSASVTSVNSGKTKATHMSKISMIDEQRLESAWLQAAEKHTPGMVNQTRPDRHQVVPQIVSPYERRSSMALVVPSGQEDEDLSHGIKAMKIVDSYGVQKDQNGRNVNRNVVSPSKLHSDDYYMENCDKESIVLNHSYRLKFIVARKLDDLVALAFSTVGKLKDQRG >ONIVA12G05700.1 pep chromosome:AWHD00000000:12:4898150:4900838:1 gene:ONIVA12G05700 transcript:ONIVA12G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGCDACEQAEAAVLCCADEAALCRRCDAAVHSANRLAGKHTRVALLLPSSSSAAAGDDDHHPTCDICQEKTGYFFCLEDRALLCRSCDVAVHTATAHAAAHRRFLITGVRIGGSVDAAAAADVIVSPTSSSIAPAGSASSNHAVAGAGAAGNNNGRSPAPVRFSGGDGGVEPEQQWPWSDVFAADDDDDVSAAMEQCYYHGISEPHSSSLTG >ONIVA12G05690.1 pep chromosome:AWHD00000000:12:4891187:4894939:1 gene:ONIVA12G05690 transcript:ONIVA12G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTRTRKLVKGMAKPAPAWLKAMEQAPPPTFPRTDGKIKKIELPEDVYVKRFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKKDGVNEDDAMAVADMEYAAEKKAKKKAYKELKEIARIEGKRPPPNPYPSAIKEIQAEEKKYVRERFHNPKILEIVKKMKEDKELFFKDREASRAGQVMFTSQLTR >ONIVA12G05690.2 pep chromosome:AWHD00000000:12:4891187:4895360:1 gene:ONIVA12G05690 transcript:ONIVA12G05690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTRTRKLVKGMAKPAPAWLKAMEQAPPPTFPRTDGKIKKIELPEDVYVKRFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKKDGVNEDDAMAVADMEYAAEKKAKKKAYKELKEIARIEGKRPPPNPYPSAIKEIQAEEKKYVRERFHNPKILEIVKKMKEDKELFFKDREASRAGQ >ONIVA12G05690.3 pep chromosome:AWHD00000000:12:4892389:4895360:1 gene:ONIVA12G05690 transcript:ONIVA12G05690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTRTRKLVKGMAKPAPAWLKAMEQAPPPTFPRTDGKIKKIELPEDVYVKRFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKKDGVNEDDAMAVADMEYAAEKKAKKKAYKELKEIARIEGKRPPPNPYPSAIKEIQAEEKKYVRERFHNPKILEIVKKMKEDKELFFKDREASRAGQ >ONIVA12G05680.1 pep chromosome:AWHD00000000:12:4883256:4888290:-1 gene:ONIVA12G05680 transcript:ONIVA12G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33290) TAIR;Acc:AT1G33290] MAPSPTRLLHLPLLAPKPSPPRCLVSRRSRPRPAGDAARCGCATEAGGGGGGGGSVVVEDDLYELLQVLPRDLRDNLQNEPRKDQLLEVILDLGRRPEARFLGDSGGQYLRDSEISQQELEEAQRAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVTGHVHMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVAQPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGEHLANIIKNPTLSDLIGGVETVTLGDEEARARRSQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLHGKKPLVERVVGIDSKDLSSYSTATPAKELVLFIEKMK >ONIVA12G05670.1 pep chromosome:AWHD00000000:12:4872501:4875268:1 gene:ONIVA12G05670 transcript:ONIVA12G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGAQGELPLPMHAAASPYLGLHHDHHHHHGGGGGGGMNGRHMSPPTPPAAAEESKAVVVVSSSSSAAARYRECLKNHAAAIGGSATDGCGEFMPGGEEGSLDALRCSACGCHRNFHRKELDAAATPLHHHHQLLGVGAHPRGHGHHHHHLLVAALPPPTRMVMPLSAMHTSESDDAAARPGGGGAVAARKRFRTKFTAEQKARMLGFAEEVGWRLQKLEDAVVQRFCQEVGVKRRVLKVWMHNNKHTLARRHLHPSSSAAAAGDDDDGAPPHPDPGGASSPPPPPHHLRLQ >ONIVA12G05670.2 pep chromosome:AWHD00000000:12:4873771:4875690:1 gene:ONIVA12G05670 transcript:ONIVA12G05670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGAQGELPLPMHAAASPYLGLHHDHHHHHGGGGGGGMNGRHMSPPTPPAAAEESKAVVVVSSSSSAAARYRECLKNHAAAIGGSATDGCGEFMPGGEEGSLDALRCSACGCHRNFHRKELDAAATPLHHHHQLLGVGAHPRGHGHHHHHLLVAALPPPTRMVMPLSAMHTSESDDAAARPGGGGAVAARKRFRTKFTAEQKARMLGFAEEVGWRLQKLEDAVVQRFCQEVGVKRRVLKVWMHNNKHTLARRHLHPSSSAAAAGDDDDGAPPHPDPGGASSPPPPPHHLRLQGEEGGGRNPLRNKMKLHLASASASIRLQVT >ONIVA12G05660.1 pep chromosome:AWHD00000000:12:4835683:4839864:1 gene:ONIVA12G05660 transcript:ONIVA12G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G47830) TAIR;Acc:AT1G47830] MADTRETFQQIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKLE >ONIVA12G05640.1 pep chromosome:AWHD00000000:12:4823148:4828878:1 gene:ONIVA12G05640 transcript:ONIVA12G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGIAKIRARKNELLASEINYMAKREIELQNDNMDLRTKIAEEEQQLQQVTVARSAAMELQAAAAAQQQQQNPFAVAAAQLDMKCFFPLNLFEAAAQVQAVAAQRQQIIPTELNLGYHHHLAIPGAAAADAPPPHF >ONIVA12G05640.2 pep chromosome:AWHD00000000:12:4823148:4828878:1 gene:ONIVA12G05640 transcript:ONIVA12G05640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGIAKIRARKNELLASEINYMAKREIELQNDNMDLRTKIAEEEQQLQQVTVARSAAMELQAAAAAQQQQQNPFAVAAAQLDMKCFFPLNLFEAAAQVQAVAAQRQQIIPTELNLGYHHHLAIPGAAAADAPPPHF >ONIVA12G05640.3 pep chromosome:AWHD00000000:12:4823709:4828878:1 gene:ONIVA12G05640 transcript:ONIVA12G05640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGIAKIRARKNELLASEINYMAKREIELQNDNMDLRTKIAEEEQQLQQVTVARSAAMELQAAAAAQQQQQNPFAVAAAQLDMKCFFPLNLFEAAAQVQAVAAQRQQIIPTELNLGYHHHLAIPGAAAADAPPPHF >ONIVA12G05640.4 pep chromosome:AWHD00000000:12:4824533:4828878:1 gene:ONIVA12G05640 transcript:ONIVA12G05640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGIAKIRARKNELLASEINYMAKREIELQNDNMDLRTKIAEEEQQLQQVTVARSAAMELQAAAAAQQQQQNPFAVAAAQLDMKCFFPLNLFEAAAQVQAVAAQRQQIIPTELNLGYHHHLAIPGAAAADAPPPHF >ONIVA12G05630.1 pep chromosome:AWHD00000000:12:4816358:4816960:-1 gene:ONIVA12G05630 transcript:ONIVA12G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGLAMGTREVSRWLVEARDDTAAMEDEWGIGDDRSATEARDQATAMTMEGGGGGKWEEELTLRGSRGAGRTKDMEKGGSKQGYGEGSSSGLVGHVVEE >ONIVA12G05620.1 pep chromosome:AWHD00000000:12:4808032:4811427:-1 gene:ONIVA12G05620 transcript:ONIVA12G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMRRIENPVHRQVTFCKRRGGLLKKARELSVLCDADVGVIIFSSQGKLHELATNGNMHNLVERYQSNVAGGQMEPGALQRQVAEQGIFLLREEIDLLQRGLRSTYGGGAGEMTLDKLHALEKGLELWIYQIRTTKMQMMQQEIQFLRNKEGILKEANEMLQEKVKEQQKLYMSLLDLHSQQPTQPMTYGNRFFSI >ONIVA12G05610.1 pep chromosome:AWHD00000000:12:4807331:4807684:1 gene:ONIVA12G05610 transcript:ONIVA12G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPSTLRPCHRRRLAVALAANGGGGGDGDGGPPAEEGEEKRRKGPSLPALSEIRWGELLTPEPANAAAVALSAALAWAGASLLLQLALISFAIFTAAVKYSFVAALLLFVLIALL >ONIVA12G05600.1 pep chromosome:AWHD00000000:12:4792370:4804199:1 gene:ONIVA12G05600 transcript:ONIVA12G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVFAGKSATAVVIVDLIKKASAYLDTDCMSDRLQPSRDKLYQDLQMVGSFSKMKWRFMKSLTHSADQVSTLKRIGEGIKALERVIAEASGLPVLTQQFMRAHLLHQDHRETYVMADSMLCQHKERDLIVRWLINSLDDDSETQLMDRDNMVSEPTIRGIPGQEARWMTHSGSSDVQRLDPSIGGGCTRGACQVFREMPSWLGAGAGAALRVQVSQVLYSVTSEVLHQVYNGYGVVAVQVLATSCWGVEVLVWFRSSCDTERARSDTNERNIYDGCCLLDVQHTQSFPGNGANVMPTKCSTLGPSYATTTSGAKSIPAATERVFLATKASLAPSTSSTTMTTPAPSTETKDVGAGMDKAALKSEETTQDLYTKMMAMIDKLLETCRDTKEDYTMSVDSNGDAAAQCVNIDPVPILLEVSNEANSTQLVNTNKLCLVKVKSTKDLKKRKKEKVDGDASGMVTDDCVAFTNVDTKLISVFRPFRDVSLILCRSNYIAVANLPAVSSECEVCYDDFVLRADFTARLLVVPSWRPAGPLDFRFLPWLDIINQGSEGVVVKLLQPWPPPTQAEVKAKKKVLNLYGQKPEVQIIVTVCSVSKAAIKGLQLLGERMLHEEQLKCEVVKSSWYSFSNLLVGDIMDIALPMQSLRQVILSYGLAQSQNENSVIQEAMSCCQFKFSANYVMSPSQWRKDIVDSPTDKGFHFQEMIKQQIDGVDKRLLYYHQISTVFCSVSKDVLYDVTWTPIMPSKWIHGVAIGRIGLLSTFALMHFLEAWTMQLATKLGVIKFGLDKLPNHSDGSIMAMALLLAQSLEARFIEWAVYVGSTNYERSSTCLTQQFIPGWPEYSVRAELVQFQRGKVTITQYHLHLQAIVVFVQILLEFVHSDKILQEQVQPPLAIESPVRVSWDPGGSDASAWGQAEIYGGRNVTDRLVSNQITKQQEFHHEQIFSQQCYFWRWKMGKYRGLEGCPCQCADLDGMEQILREKLSSTKAALLVLDDVWEDKARDQLEKLFCVLKASKTRSKILLTTRTQSVQLITGCKELKLRLHELDDDENLDLFWRYAFAGQEVGAEDYLELGKIGAEIAKKLGGTPMTTGIDFFKICVILEQQQQGECKRLTNPEDSRDVKSSVRHISIAGIKNFSVGDVKELLRLTKLLTIIIEDHGDVEEDVVYAMAEVVQNSKSLRLLECSLFKRCHFPDRLSGLKHLRHVKISML >ONIVA12G05590.1 pep chromosome:AWHD00000000:12:4788464:4790411:-1 gene:ONIVA12G05590 transcript:ONIVA12G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLVFAGKSVATPAISFFVNKAFSYLNKYHKSEGLGDMKKRLKMNIPKIQSVIDVVDPDYIKERSEDLDTWLWQLRDAVEKAEDAIDELEYYELKEKQKDLKVSHLGSSFAKMNHKFFQSVKHVKMLGKTSDSPLKRLKKAMEDLDEAAKGVENFLTVVDQITRPNLNNPQRHHSISSYRETGRMLTADRVFGRENEKERIVGWLTSTSSEENEVVMNNNPVPIMSIVGHGGIGKTTLAQLITKDNRIKEHFKTVIWVSVSTNFHAETLISKIIQSVTLSKPSFDTYDALQEHLARTLETIKYLLILDDVWEDKEISEWEKLFASLRTGVYGRKILLTTRFSISCNEMERLPLCGLEETENLELFNHHVFTYPDPQKFEELQEAGEKIAKKLRGCPLVTKVIAGHLREDMTVQFWNKFLDEQLGYFDGGMEDIMKVLKVSYYHLPPGLQMWIASGLISQTTGEAENARDVAEECLAQLTRKSFYNLKLRNFHFERNECHEYYVMHDLMHDLATWVSSGECARIFDANGSKKVKRTVRHLSVVGINSFPADIIKSFSRFKNLRTIVFEDCHDIQDNTVCSVEEVVETLKALRVVKAHLFSRCHFPGKFANLKHLRNVSVSFN >ONIVA12G05580.1 pep chromosome:AWHD00000000:12:4784617:4786145:1 gene:ONIVA12G05580 transcript:ONIVA12G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAIGEEEKLLAKLVALVSAAAGDADAAVNSMAPRNQFCCCLLQSFYTLPNPLPRSSRRGASLRRRDEEDDSDDDDRKEEAVELEMLRSERRAVRLPRSHSTGQSLVASAAAAAESGDHDRFTLRLPQHAHKAYCGS >ONIVA12G05570.1 pep chromosome:AWHD00000000:12:4762739:4763629:-1 gene:ONIVA12G05570 transcript:ONIVA12G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAWTIVPGIIVSLIVLVVTKLWDPIAQVYGYPFDAERRVQKLVDEFSKLQDQLGELGILDPKPSSAVLSGWLQRAAGCKDKVEEIKRRHESVKSDLIAKGEGHLKEAGAAPHPIPIPIPLLPPPAAEFDNGQLAQSILDTAAAGTWGVGIQAMKPHLTSVLDFVREDGGGAPGVLGVWGMGGAGKTTLLKLARDPRVQTLDHIVLAEAGNVTNRATVLCNHLRNKKFLLLLDDLWNYIDLEAVGIPLPQST >ONIVA12G05560.1 pep chromosome:AWHD00000000:12:4757820:4762698:-1 gene:ONIVA12G05560 transcript:ONIVA12G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGVTIRMGCLDQQDAFKLFEDKVGSATINADTRIPELARQSGRRVHCSVAATPHIDAPTPATAVAVVAEMCGGLPLVLCVIGRSMCTKKNYKLWVDAVNRLEKSKVHNNLVGDDDIFNILRYMTTKHGVVFWRAPCSLHFTLRRSDSSANGFEGGESVIDSLQGASLLESAGSYSVDMHDIIRDMALWIVRGPGGEKWSVLNRAWVQDATIRKMNNGYWTREEWPPKDTWPELEMLAMESNRSYLDPWKVSSIGQMTNISFLELVSLDTFPMEICELHKLEYLCIKGGSMSRLPIELGKLSKLKQLHLRQSCSLGEIPTGLISQLVNLQVLDLFCSSIDYPYRPKSAAGGLYNFLGELAEARASEKLKILGICLDATRDNRAFLKQLMQKQVRIRSLCLSFINPISPGHDQPQPATSRYMIAELQPFSNDLGELAISSSDILQELVATSDGKELIQNLEHLCLENLNVLERVIWLNAARNLRRVDIKKCAKLTHATWVLQLGYLEELGIHDCPQFKRLIDHKELAENPPDHVIFPRLTYLDLSDLPELSDICVLPCEFKSSLALLVENCDKLMNISFHYPPGHDQKNIRVFCDNEWFNRLECKPNIMKSYLSQNMRFSAI >ONIVA12G05560.2 pep chromosome:AWHD00000000:12:4757820:4762698:-1 gene:ONIVA12G05560 transcript:ONIVA12G05560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGVTIRMGCLDQQDAFKLFEDKVGSATINADTRIPELARQVAEMCGGLPLVLCVIGRSMCTKKNYKLWVDAVNRLEKSKVHNNLVGDDDIFNILRYMTTKHGVVFWRAPCSLHFTLRRSDSSANGFEGGESVIDSLQGASLLESAGSYSVDMHDIIRDMALWIVRGPGGEKWSVLNRAWVQDATIRKMNNGYWTREEWPPKDTWPELEMLAMESNRSYLDPWKVSSIGQMTNISFLELVSLDTFPMEICELHKLEYLCIKGGSMSRLPIELGKLSKLKQLHLRQSCSLGEIPTGLISQLVNLQVLDLFCSSIDYPYRPKSAAGGLYNFLGELAEARASEKLKILGICLDATRDNRAFLKQLMQKQVRIRSLCLSFINPISPGHDQPQPATSRYMIAELQPFSNDLGELAISSSDILQELVATSDGKELIQNLEHLCLENLNVLERVIWLNAARNLRRVDIKKCAKLTHATWVLQLGYLEELGIHDCPQFKRLIDHKELAENPPDHVIFPRLTYLDLSDLPELSDICVLPCEFKSSLALLVENCDKLMNISFHYPPGHDQKNIRVFCDNEWFNRLECKPNIMKSYLSQNMRFSAI >ONIVA12G05550.1 pep chromosome:AWHD00000000:12:4750633:4755923:-1 gene:ONIVA12G05550 transcript:ONIVA12G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFKDNGAQIFELAETFNGLNWEAINANNRELVILELIDGILSLLVGVPELSHPCIKIVILKLIDGILSLLDCIPELPKPCIKVVEGLVGKEGDSGGCN >ONIVA12G05550.2 pep chromosome:AWHD00000000:12:4750633:4755923:-1 gene:ONIVA12G05550 transcript:ONIVA12G05550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFKDNGAQIFELAETFNGLNWEAINANNREIVILKLIDGILSLLDCIPELPKPCIKVVEGLVGKEGDSGGCN >ONIVA12G05540.1 pep chromosome:AWHD00000000:12:4750600:4755086:1 gene:ONIVA12G05540 transcript:ONIVA12G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLVFAGKSVASPTISFFANKAFNYLQNQYRKAQGIEDMKIRLQRNIPKIQSVIDIVDTDYIKESSEDLDTRLWQLRDAVEEAEDAIDELEYYDLKEKEKDQKVSHQGSSFTKMKRKCLQSIKHISVFGKTSDCPLKRLKNAMEGLDEAVKGVDNFLALVDQIKRTTLDNSQRVDEMNRTNMAFSVLVFAGKTDTTPAISLLVNKLRDADEEAEDAIDELEYYELKEKEKDQKVSHQGSSFTKMKSKCFQSVKHISVFSKTFDCPLKRLKDACGSCVDPKEFEDIREAGEKITKKLRGCPLVTKVIAGHLREHMTVQFWDRFLHEHLGYFDGSMENIMKVLKLSYFHLPPGAQVCFQYCSIFPQNHEFKKGELVQMWIASGLIPQTTGEAKRTQDVGEEYLIQLTRKSFVDLKFRNFHFGRNEGHEYYVMHDLIYDLATWVSSSECARIAYVNGSERVKRTLCHLSVVGINSFPVEAIKSFCQFKYLRTIIFEDSHDIQDDAVRAVEEVLESLKALRVVQCKVQ >ONIVA12G05530.1 pep chromosome:AWHD00000000:12:4747782:4749665:-1 gene:ONIVA12G05530 transcript:ONIVA12G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTLAGRSVSAMFIVNLINKASAYLDKDRKSDKLKPLMNKLHEDWLMIQPIFDALTANQVESFGYLDMWRLRDAIEKLEDAIDEHEYYKLREKANDQQEVSELGSCFSKMKQVVTSFLTHSGSSLEKLRKAIKDLGRSTARLLEQTNYTHVLRQDCETSFSPVMLNKMFGRHEEMDMIVQWLIKPLDGNAETQVSSTSPMHVPVISIVGPGGMGKTTLAHHVCTDARVRNHFDKIAWVRVSTIFDVERVIGEIVEQVTFSPCNYAHLEGMEHILRDKLMSTKALLVLDNVWEDQDISQWEKLFSVFSASKTGSKILLTTRLNSVATLPGLITGCEEHLMSLFVIEQNEILLLFNHFAFGGLEVGAPDYAELQSIGARIAKDLSWSPLGTKVAALHLRYNLTPEYWCKFLQDIDNFRATTTRDIAVLKISYYRLLPELQVCFRYCSIFRKNHPFRKEELVQTWISSGLISAQFRGEKKENLGELYLARLTAKSFFDRFGGEDDEPAYYVMNDMMYDLAKCVSRGECARLVDSADFRHVNSSVRHINIAGINNFTVGDVKELLRLKKLRTIIVEDCGHVQKEVVSAMAKVVKNSKSLRLLECSLFKRWHFPDGLSGLKHLRYVKISEL >ONIVA12G05520.1 pep chromosome:AWHD00000000:12:4738212:4738662:1 gene:ONIVA12G05520 transcript:ONIVA12G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKGLDEAAKGVDKFTIVRFQIHTELMRSILAAYSAATTRTTTTHNNEDDDGGEELEQRSAGLPSAVAEALVHYATPQLMRQEVRLATLLIEVEAYHVACHTKLTDADELRGAGPPLGLTYL >ONIVA12G05510.1 pep chromosome:AWHD00000000:12:4735053:4736981:-1 gene:ONIVA12G05510 transcript:ONIVA12G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTLSGRSVTAMFIVNLIDKALPYLDEDRNSDELSQPLMMNKLCEDWLMIQPMFDALTANQSGETRSLGDLDVWLWNLRDAIEELEDAIDEHEYYKLREKAKEQEVCELGSYFSKMKQVVTNFLTHSDSTLERLRKAIEDLEIVTSADAAHLMGRTTYSHVLDTHVLPQDRETGFTPEVPNKMFGRDKEMEMIVQWLINPLDGNAETQVSSTNPMHVPVMSLVGPCGMGKTALAHLVCTDASVRNHFDVIAWVCVSTSFDAERVIDEIVEQITCSPYKCAHGEETHYILRDKLMSTKSLLVLDNVWEDRDISHWERLLSVFSASKTGSKILLTTRLNSVATLARRITGCEERVMSLFVIEQNEISLLFNHFALGSLEVGAPNYAELQPIGAQIAKDLSWSPLGTKVAALHLRDNLTAEYWRKFLQYVDNFRRTTTRDMAVLKVSYYSLLPELQVCFRYCSIFGKNHPFRKEQLVQTWISSGLISAQSRGENKENLGELYLARLTAKSFFDRFGREDDEHAYYVMNDMMYDLAAFVSRGECARLVFAADFKRVNSSVRHINIAGINNFSVGDVEELLRLKKLRTIIVEDCGHVQEEVVSAMAEVVQNSKSLRLLECSLFKRWHFPDGLSGLKHLRYVKISML >ONIVA12G05500.1 pep chromosome:AWHD00000000:12:4722409:4724358:1 gene:ONIVA12G05500 transcript:ONIVA12G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVLVFAGKSLATPAISFFVNKAFSYLNEYRKAEGLEAVKNRLEENIPKIQSVIDVADPDYIKDKSKDLDAWLWQLRDAVEEAEDAIDELEVERDQNKVSHHQAGSSSFTRMKHKFVQSVKHVRVLGTTSNSPLKRLKKALEGLDEAAKGVENFLTLVQIHQNTRSNLNNPEQDDISFRAKGKDLNADRVFGRENEKEHIVGWLTNTSSEDNQDAKNNNHVPIMSIVGHGGIGKTTLAQLISHDSRIKKHFDTVIWVAVSMSFDAKTLFDKIIQSVTLSKPSVDTYEALQQHLKKEIETIKYLLILDDVWEDKDISKWENLFSSLRTGVCGRKILLTTRMQSVADLASAVMRSEREFFPLCGLEEDENLRLFNHHAFINPDPQEFEDFQQVGEEIAKKLRGCPLVTKVVAGHLRAHMKVQFWNTFLHEHLDNFDGSMEDVVKVLKLSYYHLVPGLQVCFRYCSIFPKNHEFKKEELVKMWIAAGLISKTTGEAERAQDAAEEYLDLLNRKSFFELKLRNFRFGRNECHEYFVMHDLMHDLATWVSSGECARIADVASSKKLKPTLRHLSVAGIDGFPVDAIKSLSQFKNLRTIIIEDCHDIQDDTSREVEKVIESLKALRVVQYHMFSRSRFPGKEANLKHLRYVSQ >ONIVA12G05490.1 pep chromosome:AWHD00000000:12:4716715:4718604:-1 gene:ONIVA12G05490 transcript:ONIVA12G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFAGQSATDEVIANLIDKASSYLGSNTDRLQESMRMLRLKLPMMRDTLIQAQSRESYSGLGVLLDKSLWRLRDAVEELEDAVDEHAYHEKKTKEREVSDGDLGSSFSKMKQRVIKSLANGRTLERRLRKAIEALDMVIVDIAYIVDIAKRHEQLDQHYPELCLMTAGFKDRVLGREKETDVIVRWLIDPLDDDDAETQVSPNNHHVSVMSIVGHGGVGKTTLAQLHILQDKINSTKAFLLVLDDVWEENLHEWEKLFSVLRGINTRIKILLTTRTQSVATLVESVTGCEDQHLRLHELEENGNLQIFCQLALADLKVRSEDYAELQSIGAKIVKKLGGTPVAIKIAAYQLRLHPTLEFWQRFLHGMDNIGAAVPTIMDVLKISYYRLSAELQSCFRYCSLFPKNHPFRKEELVRTWIWSGLIFPQFGKKDGELYLAQLTANLFLDRFGGENEEPAYYVMNDTMHDFATYISQGECKRLTEAADSRNVKSSVRHISIAGINNFAVADVKQLLRLTKLRTVIIEDCGNVEEDVVYAMAEVVKNSKSLRLLECSLFKMCHLPDRLSSLMHLRHVKISML >ONIVA12G05480.1 pep chromosome:AWHD00000000:12:4715195:4718424:1 gene:ONIVA12G05480 transcript:ONIVA12G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAGGERAGDASNLPGRRLSGSRGGSGTSRERRAQITITNLSLLGLLLVVGMLVDRILELLNCIPQAPQRLVQQNPKS >ONIVA12G05470.1 pep chromosome:AWHD00000000:12:4704403:4704708:1 gene:ONIVA12G05470 transcript:ONIVA12G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDAAGSRTDVARCGRALARCRLVPARCTIQMRPDAAGRRRPIPERRRGYRHDEEGRDPAAAAATSPRKGELGDAISGLSNVRGNRIRFLLHHRAVTSKG >ONIVA12G05460.1 pep chromosome:AWHD00000000:12:4676565:4680905:-1 gene:ONIVA12G05460 transcript:ONIVA12G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFAGKAVATAAISFWINKAFTYLKEYKVEGIEDIKNRLLQSMPKIQVVLDIVNPRYVKEQSSALDAWLWQLRYAVEEAEDVIDVLEYYKLKEMAKDHKVSDWGSSFSKVKHKVIKSVKHVSSLDKNLKQFTHRGTLKRLREAVEGLDKVASDIMSILTVTEHLKEVASCSQQQEYSTNDDRATGLTLTPPKFVGREKEKEKIVRWLTKASTDASGNLMSTNHIPILSLIGHGGMGKTTLAQRVCEEMVRKNFKVIWVCVSDSFDVTSVTSKILESATGAKPNANCLETLQQNLIHKLKYSTDFLLVLDDVWEDKKIDKWEKLFAPLRTGKSGSKILLTTRMHSVAVLAAEAMGIETEFLTIEGLEEGENLELFSHSVFSGQNPQDFPNLKPVSKQIVKKLGGCPLVTKVVGGHLRSKMSFQHWNNFLQEGLEHFKGSEDDIMEVLRLSYYCLPTELQICFRYCSIFPQDYAFKMKDLVLMWIGSGLISQAGNKPPKRLEDIGEQILAQLAGKSFFETNIKVDPYSQRKEKYYIMHDLMHELARYVSSGECARIISPGMLENEKDTVRHLCISGIDYLSTEEVKKILHFKNVRTVIIEGPGLIDSNLFHAVENVMEQSKSLRLLQSNLENTFHLPKIAHRKHLRYIDLPRISPDTISGLVRLYHLLLVKCFNGSREEPKQVRYLGNIDHLRYVNYGKTLEFPIGRLTSLQELHNYRIQGGKGNKISAISNLSILRELEVLGLENVESHEEADNVKLNNKKYITLLSLAWSARAAVENGKDELLLNHLEPHANIETLRISGYGGVKSPIWIENLRVKNLVSLELARCLYWEKLPSLGELLCLKHLWLECLPSLQQIGQSSDVSISGCIDLSLPPNLDTMIVRRCKELRALPILPTTLVHFETSNVGLTKLPRIGKECNENLETKSSRLLVVVVEECKCLNSLEESLLVQGDYIKSIHALRIADCEDLEAAPLAFEQMNELRELDIRNCPKLRTSRGVGDTFLPLTLQKLNVNYCGELELPLIGALQGLTRLSELVLEKCKYLESLPSDDVFKSLKSLKFLEIIGCENLSSLGGLGSLQYLIKLKISACSKLMAIGSSQTPDASSSGEEPVVVTTSTLQIDYLEIDLPDILHLEPFKGLCHTKGLVIRGGTQLESLPEEWLLRNRGKLQSLKIYSASSLESLPLHMRDLCSLNFLLLYGAGKLQSLPDFPSSLQKLDITCCCKELEEKVGVNESPEWNNISHIARVHIGDSYFMDGKKCSEETLDRQQ >ONIVA12G05450.1 pep chromosome:AWHD00000000:12:4672505:4675772:1 gene:ONIVA12G05450 transcript:ONIVA12G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNARESEDEHCLYAQELVFAYNRSMVLRAAIQLGLLDALAAGDDDALTADELAVKIHATDGVAVDRILRFLASFDVVRCSTETSPGGGAALIRRYTPAPVCRWLTKNNGEGSLAPFSVFIIDEDHLLPWQHIAEAVASGGPAPSERTNGMPYHEYIGNNKRLSGLFDHAMAQHSAIRARKMLERFEGFDGIQRLVDVGGGDGSTLGLITSRYKHIRGINYDLPHVISQAPSLPGVEHIAGDMYESVPNGDAILLQWMLLMFSDEDCIKILKNCHQALPEGGKVIIVEGLLPETPNTTPAARDSFTMDMILFVLFKVGKHRTEEEFAKLAKESGFTGTFRSTYIFLNFYALEFNK >ONIVA12G05440.1 pep chromosome:AWHD00000000:12:4640656:4648981:-1 gene:ONIVA12G05440 transcript:ONIVA12G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLEALASNLSNVLAKMARKEVGMLLGISDKIDSLRVRLDGLKEFLADAERRRITDLHVQGWVKELKDAMYDATDILELCQLKAMDQDSRRSNNPSLLSLRNPLNAHHIGSRIMALNQRLDGIKQRAEQFSFIKLDRYGDCSRTAQGHGLRRTTPELDRSGVVGNKIEQDTRRLVELLTREEEEASAASISSNVRVVAIVGVGGIGKTTLAQNIFNHQDIKEKFDKIIWLSINQEFSDPELVRTAITGAGGEHSGHQQLSLLQPILREAISGKKIFLVMDDMWSVHAWNNSLRIPLVNSAAQGSWVLITTRDERVAREMKAIQPYHRVDILSWQDAWLLLKKQVVSTLKDEYAIEKLKSTGFRILERCGGLPIAMKAIAGLLSHKEINEIEWGKVLRSPSWSVDGMPEEINHAIYLSYDDLAPHLKQCLLYCSLIPSYHKLKSHLIVEIWISEGFVNGKSNELEELGKEYFNELIIRNLIHEMPYSEFGMHDVVRSFCQHLAKDEALSSHMGQLRVSDLDSKRYRWLCIENELDWSALQEQNSVRTLFLYGRTEIKFKANDLCSKFSNLRVLSILYAQHGSFDSLCQLKNLRHLYFFRTDIRSLPDGIDKMKFLEFIGITRCEKIQQLPGSIIKLERLRCLNLMSSNIRSIPRGFGRLTSLRTLYSFPAQMGSSSSKDEWCSLEELGPLSQLRDLHIKGLENVSASSSAAKAMLGAKKHLAIWWLECTDRQRDDGFLKEEGIISIDEQRRIKEVFDELCPSYCLEQLYIRGYFGWQLPKWMTSKASVRLDRLTSLKLDGLPCCTKLPDGLCQLSCLKLLQVRRAPAIERIGHEFLQIQQHNGDCHPSRAAVAFPILETLEFTVVLELEEWVWEEHIQAMPLLHELTLDRCKLRQLPLGLASHARALKVLKLFMVHNLKSLQNLPSVVELHLYENPDMESIRGVPKLQVLSINYCPKLKLLEGIPALQKLYLLDFSMKTLPGYLQDVKPKSLVLDCSLPLLGNISMGASCSDWDKISHIQQISGYAGEMRIRRRWCVFYTREPFKLDTNIVCSSISRDKTTLPPTDLAGLRRLLATASLW >ONIVA12G05430.1 pep chromosome:AWHD00000000:12:4624500:4627662:1 gene:ONIVA12G05430 transcript:ONIVA12G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDVVLSSVGPAVADEVARFLGVPKEVQFIRNELEMMQAFIKTASSTLHPDAAASAAAARDDDILRTWVKQVRDLAYDIEDCLLDFALYAARISSLPTGISWLRPGSLAARRRIADRIRELKASVEELNHLRLRYNIVVVDDHHHHHPRRTYHERVVAMLPGGHGSSSDSDELAFQESEIIGRADEKEQLKDLIISRCSSGSPSPSVVAVWGMGGMGKSSLVRMVHNNPAVLDVFDCSAWVTVPHPLDGADEFRRRLRKQLGLGLAAAAGDDQNVIQEYLREKRYIIMVDDLLSQEEWDQIWQVLKPLNMNNKGSVVIVTTRRKDVAGHCTGLAPAMQGHVYELKRLSFVYKTPNYTLPEDMEPHISRILKGCWGLPLAISTIGGLLANRPKTGMEWKELHEHLGVELESDQLQDITKVLVSSYHGLPYHLKPIFLYLSIFPENNEIRRTRLLRRWIAEGYIAKNRDMPVEVVGERFFNELINRSMIQSSKVSHGLKVDRCRVHGMMLHIILSKSIDENQLFVIKKHCNEVPQSKIRHLVVNRWKKRDEKLENINLSLIRSLTVFGECPAFLITPEMRMLRVLDLEDTANLKNEDLKHIGKLRHLRYLGLRGTDISKLPSSLQNLLYLETLDIQDTQVTQLPDGIAKLEKLRYLLAGVNFSRDLLQKMPQFGMENHNSNLLGNLASCLYYHNARSCEISSMDQLSVMVPEGIEKLRNLHMLSVVNVRKSKDVAGKLERLTNLQRLGVTGLGEEEGKELWNSIKNLNRLQRLEVRSKSLSFLVWGFYLRRVPPYITHLRIYTL >ONIVA12G05420.1 pep chromosome:AWHD00000000:12:4623379:4623870:1 gene:ONIVA12G05420 transcript:ONIVA12G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLTGTIVSQQTFKRQMIRIILSWNSIGAMGFNAVDVLFRIFLEQTSDPTIKF >ONIVA12G05410.1 pep chromosome:AWHD00000000:12:4593441:4606269:-1 gene:ONIVA12G05410 transcript:ONIVA12G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPTGTNNLMGMGMGRHYPCPSRPIAIPRRKQDDPKDSDGSFHSAAANESDESGPVQGKGARSRVPIGPNHQAKLPDCTFGKKDGNVAEDSADSLSCLYLGSDRTENIGNNQAPVHSSVLHPTDSMPTDAMPLLLTPTLGPPIDAPQPEMTAVVHESAGEASTTSFDWLKLTEMGYRAVEVIQTWSSEVKRLKTIFMERKTGTSTMLGEEKDKNERLNIMLALEKDKNEHHKTMLAEERDRNERLKIMLVWEKDKNEHYKIMLAKEKDKAEHHKNMLEEEKDNIKRLKTMLVEEKDKNKHHNIMLEEEKDKNERLKAMLSEEKVKNKHLKTMLAEEKDKNERLQLRSRKQTGGAGPDSYPSSVSMARGRGGRTKGGSVGHSGKRGRPSAMAEEPEGGAARKRRKQDDPTNECGDSGPVQGEGVRRCVPIGLNHQAKLPDCTFGKKKSSVAEDSADSLSFLYLGCDWIENKSNKQAPVRCGPRPADSMPADAMPLLTPTSGPPTNPPQTETTEGPRAHHLTDDEDEAINQLMLPMSDETRAKLLDVADRLNATLDALVADSMPTNAMLLLTPTLGPPTNPPRPETTEKDKNECLKIMFVEEKDNNECLKTNLAEEKDKNGLLKTRRKTNMSALTPCSWRRKTRTSAFNLVFTKRTPSWEYIVIVVHRLISANLPFGTAVWAATKAWIIPSRVQQSKTEMKEKAIQVLIELGQSILPKNHNDNPSGERKVLIFCVHVNLYDCIFMDEFTSSINNLLKPACYCNQLNTKVAGYKIHRNLASRGTSFLPYVPCLLLQ >ONIVA12G05410.2 pep chromosome:AWHD00000000:12:4593441:4606269:-1 gene:ONIVA12G05410 transcript:ONIVA12G05410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPTGTNNLMGMGMGRHYPCPSRPIAIPRRKQDDPKDSDGSFHSAAANESDESGPVQGKGARSRVPIGPNHQAKLPDCTFGKKDGNVAEDSADSLSCLYLGSDRTENIGNNQAPVHSSVLHPTDSMPTDAMPLLLTPTLGPPIDAPQPEMTAVVHESAGEASTTSFDWLKLTEMGYRAVEVIQTWSSEVKRLKTIFMERKTGTSTMLGEEKDKNERLNIMLALEKDKNEHHKTMLAEERDRNERLKIMLVWEKDKNEHYKIMLAKEKDKAEHHKNMLEEEKDNIKRLKTMLVEEKDKNKHHNIMLEEEKDKNERLKAMLSEEKVKNKHLKTMLAEEKDKNERLQLNKNECLKIMFVEEKDNNECLKTNLAEEKDKNGLLKTRRKTNMSALTPCSWRRKTRTSAFNLVFTKRTPSWEYIVIVVHRLISANLPFGTAVWAATKAWIIPSRVQQSKTEMKEKAIQVLIELGQSILPKNHNDNPSGERKVLIFCVHVNLYDCIFMDEFTSSINNLLKPACYCNQLNTKVAGYKIHRNLASRGTSFLPYVPCLLLQ >ONIVA12G05400.1 pep chromosome:AWHD00000000:12:4584988:4589286:-1 gene:ONIVA12G05400 transcript:ONIVA12G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYAPIRRPVPRRHRLRRTVAGTGRTPQRTPRTPLPSPSLVQFLPSPPIRHRDAVHSAGHDLRCGRDASLPSKGRYCAKFIPNQNYPFPIRIVMLSLSKYSLIDSFLASEQKGLRVQPYKGGAVVGLVSVYLAARAVPMDMNRQQQDTGYRIEIQVYKIAYN >ONIVA12G05390.1 pep chromosome:AWHD00000000:12:4575488:4583862:1 gene:ONIVA12G05390 transcript:ONIVA12G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPIPPRSASPNPLLLRPPVLVVRRLRLRLLPPRRARLVVAAAAAAATTAAAASVAPDDIAQLNHRLRALVRRRDASSSAALSVDPAQAEAYLRMIREQQRMGLRQLRGDGDGAASQEGESDGDGDEGGGGRRKGVVAAGSSLGHRVDPRELEAGEYVVHKKVGVGKFVCISAEDGLDYVFIQYADAMAKLAVDQAARMLYRYNLPHEKQRPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQKRPPYPKPVGMDQFTAEFPYEPTPDQNQAFIDVDKDLTERETPMDRLICGDVGFGKTEVAMRAIFIVISAGFQAMVLAPTVILAKQHYDVMSERFSNYPDIKVAMFSGAQTKEEKDELITKIRNGDLHIIVGTHAVLTERMAYNNLGLLVVDEEQKFGVQQKEKIASYKASIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVRTYVSGFSKERALSAIKFELARGGQVFYVVPRIKAIDDVLQFLKDSLPDVPMAVAHGKKVSKNIQLAMEKFACGEVKILVCTHIIESGIDIPNANTMVVQYAELFGLAQLYQLRGRVGRSGTEGFAYLFYTDKSLLSKIATDRLGAIEEHSDLGQGFHVAEKDMGIRGFGSLFGEQQSGDVANVGIDLFFDMLFDSLSKVDQFCLIPVPYKDVQLDINISSRLSSEYISYLENPVELLNEAAKAAEKDLWTLIQFTEDLRRQYGKEPRDMELLLKKLYVRRMAADLGISRIYSSGKMIIMKTNMNKKVFRLMREAMSLETHRNSLSFTGKEIKGELLVNLPDTLLLNWLFHCLADCYAVIPALVKY >ONIVA12G05380.1 pep chromosome:AWHD00000000:12:4574013:4574513:1 gene:ONIVA12G05380 transcript:ONIVA12G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVSSLLRAAAAAVSVPGRSRSLSVAAATAAKKPAGDYVPVYVAMGMIAVSVSLGLATARQQLAHAPNVRLDKKKRETVPEVAAPDMALDEAERFVGGSLFRKVAHVQDDASLRAGVAADPVAEYPARKAVTLKDAGVEPPGIEQGREGILEVLGKKTKPAAAA >ONIVA12G05370.1 pep chromosome:AWHD00000000:12:4565437:4571920:-1 gene:ONIVA12G05370 transcript:ONIVA12G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSFMASQPPAAVEARLADLCKELGVDEGVAGEAAAVLEEGKGALLASPSFGSKSPEDAEKLCFAFVLYCVSKLKETKAGSSGVRLWEILKGCKLKYDDFFKESQRLASRIDQVLGSRYGSDWEARLELKQLENLVNLLADASRFYCKAFNELFLSPSTDQEPGSTTNIPDYIRFGWLLFLILRSKSPELFKDLVSCIHGLVAILAILLIHVPAKFRSFTIEDGLMYFKDLVDDEIFQSNLEKLEKLSSTTGCQGELDLEMFLTSNDYVLNAENSSGSSANFGCSKRVFETLASPTKTIKNMLAAPSSPSSPANGGSIKIVQMTPVTSAMTTAKWLRDVISSLPDKPSSKLEEFLSSCDMDLTSDVVKRVSIILEAIFPTKSIDRGTSIGLNCANAFDIPWAEARKMEASKLYYRVLEAICRAESQNNNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEETLPRELKRHLNSLEEQLLESMSWEKGSSLYNSLVVARPSLSTEINSLGLLAEPMPSLDGIVARQSIHPDGLPPTPSKRRPSAGPGAFSIILKFNVFVINYSHFQNYCSYWEDGNCYPQSPKRLCTESRNSLVEHNSQTPPPKQSQTGLSILKAKYHPLQATFASPTVSNPVSGNEKCAVVGVQIFFSKILKLAAIRIRNLCERLRHEELTVSVYNIFKQILDQQTALFFNRHVDQIILCCLYGVAKGFGSRHVDIIVFYNQVFVPTVKPLLVALMPSSTRPEDKRNTNSQIPGSPKSSPFSNLPDMSPKKVSSSHNVYVSPLRQTKMDALLSPSSRSFYACIGESTQAFQSPSKDLAAINSRLNYPTRRINTRINFDMVSDSVVAGSLGQPNGGSASSDPAAAFSPLSKKSKTDS >ONIVA12G05360.1 pep chromosome:AWHD00000000:12:4564049:4564579:1 gene:ONIVA12G05360 transcript:ONIVA12G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGSLPLPPPVVVTVSGCEPLNDARELYKYLEEYVNQEGWIVDDTQLAGLAELAEARDELVGARDKLTTLASDIGDDLLLGGAVTDDVPLLSGDFKAALARVLKLPEGMELPKDFESPLLAPAIAGRERIHGELINLRADLAALKQHVEEQWLPWANDRLSSAGVIGDQILLDME >ONIVA12G05350.1 pep chromosome:AWHD00000000:12:4562176:4563931:1 gene:ONIVA12G05350 transcript:ONIVA12G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCGHPSTHG >ONIVA12G05340.1 pep chromosome:AWHD00000000:12:4555925:4557003:-1 gene:ONIVA12G05340 transcript:ONIVA12G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSPPPPAAFLPPHPRIVNYISTRQGAFELAAQAAAHPGTSVIVVVTGSNPLQDARKLFDGLVARRDALGWCVLGLDKFSQLLERYDVLCDDRNKLRELVGSIYADMLIGGSSAPEVALESHDAADDVQGRVRRLLSIADGADAATLSLTLLDDADEARRLCRAGFLQGRRDLAALKQLVEDFWIPSINRQLEGPAFVDGVHLPEEIS >ONIVA12G05330.1 pep chromosome:AWHD00000000:12:4551367:4556990:1 gene:ONIVA12G05330 transcript:ONIVA12G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMREATSMARYGGRHTCPPADRLLSSTVDSSPAACRPISDSRSRTPPDAVATLDAATVITPRHHYPDCAMEEEEPSNNRRRRLRPISEATQIGKKIKDQCFFGLMAKRMNPCHGKLVPKNKRAILQFDDIPEDVVFLIIRLLSLTNAARVSMVSQKWLQSWRLYPNLEFTSKALGLNKRVHKQGRRAKFVRCVNTIIRHHAGTGINSFTLKRNLNNHNFPSSNVAAPEPTSIEYLKLCFMNLRPLPTFSGLRSLKTLDLALVCITTEDLESLLSYTPALQQLKLRQCPMLEYLKITDVLAKLVYIDIVLCLWLKILEIHAQNLVAINTYNICHLKIVLSEALVLKGAHIELVLSSDVIEYAFTDLAPLMPDLESLFLSGCTEMIISRRPPSNRFHCLKQLELKLQDISTKYDLLFLAKFVDAAPVLEALVLHLEDIEEPFCYMEKEAELRSLEKHCPHKNLKLVKMTGFSAGRSSLELALYFVENSPALELLILDHRLDRSVWRITFGGDLDAKCSKGYEHTISKYVSNAIPRRVRLSYS >ONIVA12G05330.2 pep chromosome:AWHD00000000:12:4551130:4556990:1 gene:ONIVA12G05330 transcript:ONIVA12G05330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARYGGRHTCPPADRLLSSTVDSSPAACRPISDSRSRTPPDAVATLDAATVITPRHHYPDCAMEEEEPSNNRRRRLRPISEATQIGKKIKDQCFFGLMAKRMNPCHGKLVPKNKRAILQFDDIPEDVVFLIIRLLSLTNAARVSMVSQKWLQSWRLYPNLEFTSKALGLNKRVHKQGRRAKFVRCVNTIIRHHAGTGINSFTLKRNLNNHKYAHYIDRWIYFAVRSGANELTIDLSPRWYAHPRDVKYSFPSSNVAAPEPTSIEYLKLCFMNLRPLPTFSGLRSLKTLDLALVCITTEDLESLLSYTPALQQLKLRQCPMLEYLKITDVLAKLVYIDIVLCLWLKILEIHAQNLVAINTYNICHLKIVLSEALVLKGAHIELVLSSDVIEYAFTDLAPLMPDLESLFLSGCTEMIISRRPPSNRFHCLKQLELKLQDISTKYDLLFLAKFVDAAPVLEALVLHLEDIEEPFCYMEKEAELRSLEKHCPHKNLKLVKMTGFSAGRSSLELALYFVENSPALELLILDHRLDRSVWRITFGGDLDAKCSKGYEHTISKYVSNAIPRRVRLSYS >ONIVA12G05330.3 pep chromosome:AWHD00000000:12:4551224:4556990:1 gene:ONIVA12G05330 transcript:ONIVA12G05330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARYGGRHTCPPADRLLSSTVDSSPAACRPISDSRSRTPPDAVATLDAATVITPRHHYPDCAMEEEEPSNNRRRRLRPISEATQIGKKIKDQCFFGLMAKRMNPCHGKLVPKNKRAILQFDDIPEDVVFLIIRLLSLTNAARVSMVSQKWLQSWRLYPNLEFTSKALGLNKRVHKQGRRAKFVRCVNTIIRHHAGTGINSFTLKRNLNNHNFPSSNVAAPEPTSIEYLKLCFMNLRPLPTFSGLRSLKTLDLALVCITTEDLESLLSYTPALQQLKLRQCPMLEYLKITDVLAKLVYIDIVLCLWLKILEIHAQNLVAINTYNICHLKIVLSEALVLKGAHIELVLSSDVIEYAFTDLAPLMPDLESLFLSGCTEMIISRRPPSNRFHCLKQLELKLQDISTKYDLLFLAKFVDAAPVLEALVLHLEDIEEPFCYMEKEAELRSLEKHCPHKNLKLVKMTGFSAGRSSLELALYFVENSPALELLILDHRLDRSVWRITFGGDLDAKCSKGYEHTISKYVSNAIPRRVRLSYS >ONIVA12G05330.4 pep chromosome:AWHD00000000:12:4551367:4556990:1 gene:ONIVA12G05330 transcript:ONIVA12G05330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMREATSMARYGGRHTCPPADRLLSSTVDSSPAACRPISDSRSRTPPDAVATLDAATVITPRHHYPDCAMEEEEPSNNRRRRLRPISEATQIGKKIKDQCFFGLMAKRMNPCHGKLVPKNKRAILQFDDIPEDVVFLIIRLLSLTNAARVSMVSQKWLQSWRLYPNLEFTSKALGLNKRVHKQGRRAKFVRCVNTIIRHHAGTGINSFTLKRNLNNHKYAHYIDRWIYFAVRSGANELTIDLSPRWYAHPRDVKYSFPSSNVAAPEPTSIEYLKLCFMNLRPLPTFSGLRSLKTLDLALVCITTEDLESLLSYTPALQQLKLRQCPMLEYLKITDVLAKLVYIDIVLCLWLKILEIHAQNLVAINTYNICHLKIVLSEALVLKGAHIELVLSSDVIEYAFTDLAPLMPDLESLFLSGCTEMIISRRPPSNRFHCLKQLELKLQDISTKYDLLFLAKFVDAAPVLEALVLHLEDIEEPFCYMEKEAELRSLEKHCPHKNLKLVKMTGFSAGRSSLELALYFVENSPALELLILDHRLDRSVWRITFGGDLDAKCSKGYEHTISKYVSNAIPRRVRLSYS >ONIVA12G05320.1 pep chromosome:AWHD00000000:12:4529159:4529962:-1 gene:ONIVA12G05320 transcript:ONIVA12G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLLLACHLLLSLALLAASLSHLLLAATTHLSPSSSHLRRLRHPLLRLLPVLLALPFPFLPHLPHLRPLLLSLPLLLLARAASLVAASFPPSDLQSHALHVAAALLLAAAVASLLAAISPPNRGLLAETALACAGAVGGLWVGQSGLVLYVDACVPAGCHRLMDAAVATPATRCDVEEARLRAVALMDLALSVHCVVVAAVAVGVHLGVAWWCGVDGGAGAGMGTGRRHNGVGGSYDALPTVASAEAEMEHLPMKGVVGKSIAQE >ONIVA12G05310.1 pep chromosome:AWHD00000000:12:4521538:4524127:1 gene:ONIVA12G05310 transcript:ONIVA12G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J7T5] MLKVRWLPFKKTHLARSNASDASSPTSAAAATATAVTTHRLYQVWRGRNRFLCGGRLIFGPDASSIVLTVSLIMTPLALFVAFVSFHLAALIGKPLGQAVPAVAIAVGVFVSPATTISASLEQNEAIDRSLCVHACVKDVIVLVMTSGRDPGIIPRNVRPPEPEDIGVSSPAFGGGGSLPPTRDVYVNGVVVKVKYCHTCLLYRPPRCSHCSVCNNCVDRFDHHCPWVGQCIGKRNYRFFFMFISSTTFLCLYVFVFCWVNLAMTARQFGCSMGRAVVESPVSGILIVYTFVTAWFVGGLTAFHSYLVCTNQTTYENFRYRYERKANPHNRGVAKNVAEIFLSPIPPSRNDFRSRVAVEHYYAAGAGAASGQYFYSYSIGPLSSESKAASFNTRGSLSFDMATASFDLGGVGVGGGVGGYSAKRTSVDVCSNSSDFGDIYGGEQQPPRHSIFGGDGGGGRTSVRKADDVPTEFGHYGAAAAAAAAAAGGGGRPRGREFEAV >ONIVA12G05300.1 pep chromosome:AWHD00000000:12:4508244:4510953:-1 gene:ONIVA12G05300 transcript:ONIVA12G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQKGPPKKSQAFFSLMIFLRFYPNLEFTTKALGLKKRIHKVQRRAKFVSCVNTVIRHHAGTGVKSFIIKKNLNNQKYTHYLDRWMYFAVSSGAKELTLDLRPQRFIHYRNIQYNFPSSNFATPMPTSVEHLKLLFCYLRPSPTFFGLSNLKTLELSFVRITKEDLESLLSYTFSLQELKLSQCPNIDHLRIPDVPSKLNYLDIDLCWIRALEIRIQNLVIFNYHGSVRFRIIQGEGSLFKEARFQFSCGDAIEYAITEMAPALPNLETLFLIGFSKVCNGSLYYPGKKTDLRRLEKREPHKNLKFAKMTGFDGERSSIELALHILESSTNLECLILDPRKYKSEWKYIYEENLRDVQWRVCGYNHCLELSTTFEIGFEL >ONIVA12G05290.1 pep chromosome:AWHD00000000:12:4505838:4506329:1 gene:ONIVA12G05290 transcript:ONIVA12G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRGDGAAGLAGWRVGRGGSCHHRRRHCCWWSRGWSRGGDREAVREVGALPKHMMEAELLTTFQKVAIVDEVTIIKDKRPLVVWIRTPRSRISTSKLPCCLVLCFDFGFYLQAPVLSCSAFDFGFYLQAPVLSCSVFDFGFYLQASVLSCSAFDFGFYMFYG >ONIVA12G05280.1 pep chromosome:AWHD00000000:12:4482473:4486054:-1 gene:ONIVA12G05280 transcript:ONIVA12G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAATSTYAESVASCRAPAPATPRRHLDFAAGDGGELDDDEVEDEDEDDFLFRAAEETERSHYEAQRRASAPPPPPSQPPAFLERPCICGRGGCDVEERELGRWAYVCPATPKCKYSVWCGEADICPNPQPAYMSHPKPNPHVFSSPCSPVVFNSPSNHLAGSTTPTPNNLQVFNGPRNPHVSNSPSNHLAGSTTPTPNNLQVFNAPGNLHVSNSPNNHRSGATTPVNANPRGSRSSDKQPICHCRAGKCKVETIKGQKYYVCCIQKGQGACPYQVPVNAFVEESPQAGNSVPLEDNRGNYSPVKVEANNDNGSINPDQPEYDEWPFDIVNNDVVCSGFLPTAEPTLRDGIVAGESPSTQHLSNATTEAKTPTKSPIMPPPHGSGSPFTPRSNPCYRCGEDGHWSRNCPKPASSPLNSPCYNCGKLGHWRGNCPGT >ONIVA12G05270.1 pep chromosome:AWHD00000000:12:4469494:4470120:1 gene:ONIVA12G05270 transcript:ONIVA12G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKGQRDGEPSCSSPECVQVSCLIPVVSRLIPRLDTPIMPGTCEYHDRYLYIRPGTRRYKAWYLGIMVWYQT >ONIVA12G05260.1 pep chromosome:AWHD00000000:12:4465014:4465506:-1 gene:ONIVA12G05260 transcript:ONIVA12G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLGKSISQVDGLRGVLMSKETETSDISKSYLPPPPLPHLSSLPQFSDEGGGAGGGGEGSSAALHGRCAEFGGGGGGIRRQRRTGAADPATAMRRGGGSGDGEVRAHPPCTACGCLSHHHRRESRFPDRLLNGNRGILPATMTITTASN >ONIVA12G05250.1 pep chromosome:AWHD00000000:12:4457175:4459256:-1 gene:ONIVA12G05250 transcript:ONIVA12G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSPPAMNMACARQGRLRQRYEGCYRLVSGCIPYMIKEDGENSSSSLQDDDHVLERLQVLMISTPKRSDLIFPKGGWEDDESIGEAACREAFEEAGVKGVLSGTPLGEWIFKSKSKQNSCGLQGACKGYMFALQVTELLESWPEQTTHGRRWVPVEEAYGLCRYDWMREALDKLKEQLLFAAGDDLRASPSPELDSSAGLYMVMPPVAEGAVALC >ONIVA12G05240.1 pep chromosome:AWHD00000000:12:4454566:4455264:1 gene:ONIVA12G05240 transcript:ONIVA12G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPALPPPPRLVDYISSLQGLVRLGDLADLEPDKSVVVVVSGCYPLEDAPILFDDLSARETWCVIGMPELCILRARAISLLQRREDLRALVRDMGHDLLVCGTESGKAEGVLARALKLPAGMDAPPGISLYLVGDADEGRRFLRRDIARARLQLAALAGRTERHILRRLGRELALRASTGVVAPQELWPDIQEQRLRHAPPDSTSDAALYAEAAEEAAWAAPAGTPPDSDA >ONIVA12G05230.1 pep chromosome:AWHD00000000:12:4450147:4450476:1 gene:ONIVA12G05230 transcript:ONIVA12G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGMWGAVVKDGDECFVNVPLVGFNVVRGPLLARPLLEKGSEKEVGRDYDNGG >ONIVA12G05220.1 pep chromosome:AWHD00000000:12:4447986:4449399:1 gene:ONIVA12G05220 transcript:ONIVA12G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAPHNALPRVLAFYHYWRKIYDGWGKRINGGRRAAGLAFLIWFGPTQRLTVAEPELVREIFLTRTEAFDRYEAHPVVRQLESDGLVSLHGDNSSCCVFSLDDGGAAATAWWCRRKANLSLPPLRDWPPALTRSRRRQRRDDEDDDSPPVEAFLGTCHGQASRVWGNLAISKNFLPILARKL >ONIVA12G05210.1 pep chromosome:AWHD00000000:12:4442459:4443111:1 gene:ONIVA12G05210 transcript:ONIVA12G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYDLLPQEVGKVGIVDGYRRRALTEASTLVPAACSSTGGMPCIPAARRGRRKLLGVATGDGRQLRCGWARKAVESAFQMADRQGQVWIDSATIDKGEYVMRWRQGVSTCRRQRRRMQVPMTRICYHLLHTDSNMTDY >ONIVA12G05200.1 pep chromosome:AWHD00000000:12:4427428:4431825:-1 gene:ONIVA12G05200 transcript:ONIVA12G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGVEILCSVVAVVARVLGRALMTICSPKFSTAGLIGSPTTAWGGGGAKTKVHVESEKQQLPPPPSPPALVLPAFKRNCTDQEQRAPATSTKAARGRPPRLVIPAAAPVAVARGGVDPFGGRETDVATETEVKGEGFCLASRRGVRHAMEDGYGVITRHKIEGGSQLAFYGVYDGHGGRAAVDFVADKLGKNVVAAAAAAATMSRHQAAGSSSPSQQRREEEDDVTAAIRAAYLTTDSEFLSQGVRGGACAATALVKDGELYVSNVGDCRAVLGSRGGVATALTSDHTPGREDERLRIESTGGYVSCGGSGVWRVQDSLAVSRAFGDAGVKQWVTCEPETARVSLTADGDCRFLVLASDGLWCKVSNQEAVDAVAAAAAAGGGSGVHVAGSTDPCKELVAMARSRGSRDDITVMVVDLQPFLPV >ONIVA12G05190.1 pep chromosome:AWHD00000000:12:4421917:4422423:-1 gene:ONIVA12G05190 transcript:ONIVA12G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHPLLLLLLAAAAVVVLVVAATPAAASGNGTSTPTAYEMLERYDFPRGILPVGVEGYELREDGSFEVYFPRDCEFMLARTWLVRYGARIAGAAASGRLTSLQGVYVKVLFVWLPVGEVDRSGDTLSFYIGPVSTSFPLSDFAHSPHCRGYDHLPAAAAAAAAAAL >ONIVA12G05180.1 pep chromosome:AWHD00000000:12:4411957:4420354:1 gene:ONIVA12G05180 transcript:ONIVA12G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAAPVRSEPHPKSPNPTAPPNPSPKGGKKTQTQNQKSERNISPPAATTPATATATMSALAPAPKLQPDERSNLLSLLAAASRPLADVAADFLARFPLERRLRVGAALGFLLEDKKMLHPTGRLIAFAILHQSYSSEPANPYVPLLLNAACDETSEKSERAFVQLLLTSASGNNNIEVLKQSAVDYINGSDSASQALLPREQLEKLFSSNSVQSQPQTSSFSAGTVRCAIPDPDVPQSCVNSSEPSISLPGTKPKSASDDRDFALAGLLQEKSWGRLGPQWIRPTPPRLHILDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPSQQEQVVIELAKDSKLVYHCGMTPQKLPDLVEHNPLIAVEILSKLMNSPDIDGYLDVLVHMEMSLHSMEVVNRLTTAVELPTEFVHEYISNCIQSCQNVKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >ONIVA12G05180.2 pep chromosome:AWHD00000000:12:4411957:4420356:1 gene:ONIVA12G05180 transcript:ONIVA12G05180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAAPVRSEPHPKSPNPTAPPNPSPKGGKKTQTQNQKSERNISPPAATTPATATATMSALAPAPKLQPDERSNLLSLLAAASRPLADVAADFLARFPLERRLRVGAALGFLLEDKKMLHPTGRLIAFAILHQSYSSEPANPYVPLLLNAACDETSEKSERAFVQLLLTSASGNNNIEVLKQSAVDYINGSDSASQALLPREQLEKLFSSNSVQSQPQTSSFSAGTVRCAIPDPDVPQSCVNSSEPSISLPGTKPKSASDDRDFALAGLLQEKSWGRLGPQWIRPTPPRLHILDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPSQQEDLVEHNPLIAVEILSKLMNSPDIDGYLDVLVHMEMSLHSMEVVNRLTTAVELPTEFVHEYISNCIQSCQNVKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >ONIVA12G05170.1 pep chromosome:AWHD00000000:12:4387530:4399425:-1 gene:ONIVA12G05170 transcript:ONIVA12G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRRGGGGRPGSGSSSNGGGSGYSWVEKKSKKSEKSVGKGQCAPCTSSNAAPKPATAWQARSGNGSLHPPGNGRVQHSDHRPAARGSPRSLPQNKHTETKLQAPCPVVTAPLANGLQWVPKSRSSDSQSNKDDAPTASSDPETDNVAPHPVVSAPVANGLQWVPRSHSSGSEMDNGEDYDSYDDDSDDDMVDDTSGDFDSKAAEKNFETRKRHKLLKSIFELLEKLSVEQINEKTRQWHCPACKNRIGCITGRGAVSYGNFNGIIWRWKGMGNKELLSYFSKYHVKEACHAYGPDGHSGMSALIFEGSAVAYKEAERLHNHFVDQRTDKYAWLNHRIVIPGGKRQLYGFLAEKEDLEAFNRHHGKDYLKYEMKSYNEMVVTQLKQMSEDNQQLNYVKNEMVKTERHSKEVEEALGVETQKLQGAIEDNIILKRKTKEMLSECVEQMEFNAKFYHEQIERLRKDTEEKENEFERLLQEELARAIECDVDSETTENCKLREEQIQRIIDCQVKDAEEFDAEQDELIKAHEEKKANVKMEYMAKDVELEEELYAALTSLMEKHKPDIFQPPQARLRFGSSLSLSLSPRKPQHTQREPHSAMASAGDRRGGGGPPGSGDDSGGGWETVEKRVKKPAQQVGKGQWGQWNSPNAAPAPTAPWSGSGAFHHSGNTLVRHSDRRPARGTPRPPPQNRSTGAELQAPRGVVTAPLANGWQWGARSCPPGTESKEGGLPLSGCDPETDNAEGDDTSDDDNDDDMSDDLSDDYDSDASEKSFETRKNHKLFKGFFEVLDALSVEQLNEPTRQWHCPACKNGPGAIDWYKGLQPLMTHAKTKGSIKVKRHRELASLLEEELSRKGTSVVPSGEQFRKWKGLREGTDREIVWPPMVVVMNTVLEQDEDDKWKGMGNQELIDYFSEYAASKARHAYGPNGHRGMSVLIFDSSAVGYMEAERLHDHFVRQRTDRNTWNSAHKVTFLPGGKRQLYGFLATKDDMETFNRHCHGKSRLKYEMRSYNEMVVTQMKQMSEDNQQLNYLKNKMVKKEQHSKLVEDTLSVVTQKLRETMEENTIVRNKAKEKHLEYEKEMKYQEEFFHDQIEKIHKATEEKEIKFEKLLQEERAKARQSDVDSGSTEDRRQRKEKIQNFIDCQVKDVEEFEAERDKLIKLHEEKKVKLKKEYLAKEFELEKELDTALTALMDKHKPDIFKSSTSPST >ONIVA12G05170.2 pep chromosome:AWHD00000000:12:4387530:4399425:-1 gene:ONIVA12G05170 transcript:ONIVA12G05170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRRGGGGRPGSGSSSNGGGSGYSWVEKKSKKSEKSVGKGQCAPCTSSNAAPKPATAWQARSGNGSLHPPGNGRVQHSDHRPAARGSPRSLPQNKHTETKLQAPCPVVTAPLANGLQWVPKSRSSGSESNMDDAPTSGSDPEMDNGATHPVVTATLANGLQWVPRSRSSDSQSNKDDAPTASSDPETDNVAPHPVVSAPVANGLQWVPRSHSSGSEMDNGEDYDSYDDDSDDDMVDDTSGDFDSKAAEKNFETRKRHKLLKSIFELLEKLSVEQINEKTRQWHCPACKNVRGGVTWYKGLQPLMNHARTKGSKRVKLHRELAALLEEELYRTGISMASSGEFFGIWKGLRENTDRPIVWPPVVIIMNTRLEQDKDGKVWKGMGNKELLSYFSKYHVKEACHAYGPDGHSGMSALIFEGSAVAYKEAERLHNHFVDQRTDKYAWLNHRIVIPGGKRQLYGFLAEKEDLEAFNRHHGKDYLKYEMKSYNEMVVTQLKQMSEDNQQLNYVKNEMVKTERHSKEVEEALGVETQKLQGAIEDNIILKRKTKEMLSECVEQMEFNAKFYHEQIERLRKDTEEKENEFERLLQEELARAIECDVDSETTENCKLREEQIQRIIDCQVKDAEEFDAEQDELIKAHEEKKANVKMEYMAKDVELEEELYAALTSLMEKHKPDIFQPPQARLRFGSSLSLSLSPRKPQHTQREPHSAMASAGDRRGGGGPPGSGDDSGGGWETVEKRVKKPAQQVGKGQWGQWNSPNAAPAPTAPWSGSGAFHHSGNTLVRHSDRRPARGTPRPPPQNRSTGAELQAPRGVVTAPLANGWQWGARSCPPGTESKEGGLPLSGCDPETDNAEGDDTSDDDNDDDMSDDLSDDYDSDASEKSFETRKNHKLFKGFFEVLDALSVEQLNEPTRQWHCPACKNGPGAIDWYKGLQPLMTHAKTKGSIKVKRHRELASLLEEELSRKGTSVVPSGEQFRKWKGLREGTDREIVWPPMVVVMNTVLEQDEDDKWKGMGNQELIDYFSEYAASKARHAYGPNGHRGMSVLIFDSSAVGYMEAERLHDHFVRQRTDRNTWNSAHKVTFLPGGKRQLYGFLATKDDMETFNRHCHGKSRLKYEMRSYNEMVVTQMKQMSEDNQQLNYLKNKMVKKEQHSKLVEDTLSVVTQKLRETMEENTIVRNKAKEKHLEYEKEMKYQEEFFHDQIEKIHKATEEKEIKFEKLLQEERAKARQSDVDSGSTEDRRQRKEKIQNFIDCQVKDVEEFEAERDKLIKLHEEKKVKLKKEYLAKEFELEKELDTALTALMDKHKPDIFKSSTSPST >ONIVA12G05160.1 pep chromosome:AWHD00000000:12:4383008:4387023:1 gene:ONIVA12G05160 transcript:ONIVA12G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Avirulence induced gene (AIG1) family protein [Source:Projected from Arabidopsis thaliana (AT5G20300) TAIR;Acc:AT5G20300] MLTTGITTLAPIAGAQLSATISRSASALADVTNPGAGRRGDDPVRFRLGWTDAPTLSSHPPKSNQLPPTPSSASPSPPPPLRPSDHDQARDWISYRLGSSVLSARPFSLSSHHDGAAAQPRGDGDGAMHNEIVETVSANRFPSNDIRTSDSEVTSSASYEPDHDNTKSDPLKHVEALQIKFLRLVHRTGVPPTTDVVAQVLYRLHLANLIKAGESDSKRTNLAINKARVIAAEQEAPGGPDLDLPLRILLLGKTGVGKSATINSIFDEPKVATNALAPATERIRRIDGTIKGIRVTVIDTPGLLPHYHRQRKNRKILHAVKRFIKRSPPDIVLYFERLDHINSRYCEYPLLKLITDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDSYARYCKNVVQRHIQVAASNTQMENPVVLVDNHPMCRRNTKGERVLPNGKVWVSELLLLCGATKLLAEANSLLKFQDSFLLSQANTRLPSLPHLLSSLLKPHPSSRSEDVGIDMTEVSDDEDESDQLPPFRVLKKSEYEKLSKEQRSAYLDELDYRETLYLKKQWKEGIRRQKLVEAQNVDASNAVGDDYEESVSPEVVHMSDMEIPSSFDSDYPVHRYRYLITDDMVFRPVLDPQGWDHDIGFDGINFEVSQDLEKNTTASIAGQMRKDKEDLYIQSECSVSYSGKNGRSLIGSMNMQTANKDLVCTVNGDARFHNLPWNTTGGGISITKFGSKYFTGVKLEDSITVGRRVQLVGNAGRMVGCGQVAHGGGLEMTFKGKDYPVREESITVAATALSFEKETVISTNLQSDFRMGRGSKVSVSANLNSRNLGRFCVKTSTSDHSEIALVAAVTLFQFFLRRRTASTDKGEQQFEFDTYSDE >ONIVA12G05150.1 pep chromosome:AWHD00000000:12:4366187:4369738:-1 gene:ONIVA12G05150 transcript:ONIVA12G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAASSVKGSLNVGGAKAFSNNLFRDYLKSSVSYPVSEISSPIRAQHVAYGSNLIVRGSKSRDFSSKLASTNGSSKISEERVTVLVIGGGGREHALCYALERSPSCDAVFCAPGNSGIAQSGDATCIPDLDISNSDAVISFCRNWGVGLVVVGPEAPLVAGLANELVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYHIPTAKYQSFTDPSDAKKYVEEQGAPIVIKADGLAAGKGVVVAMTLEKAFEAIDSMLVEGSFGSAGSRVIVEEYLEGEEVSFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEKLKCKVMESIIVPTVKGMATEGCKFVGVLYAGIMIEKKSGLPKLIEYNVRFGDPECQVLMMRLQSDLAQVLLAACRGELGSVSLTWSPELATVVVMASEGYPGVYKKGTVIKNIDEAEQVSPAVKIFHAGTALDRDGNFVAAGGRVLGVTAKGKDIEEARAKAYDALDVVDWPEGFFRHDIGWRAQKYRRMVAH >ONIVA12G05140.1 pep chromosome:AWHD00000000:12:4345446:4348253:-1 gene:ONIVA12G05140 transcript:ONIVA12G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFRSLFSVGIDAATSCRFRDAAREFALLTLTPNVSEFFPVVAMADLQGLRRRTARHITWMYQLIDGHVERRMRGRETAGAHGEKEKDLLDVMLDDLLTAGSETSSAVIEWAMAELLQNPQTMRKLQEELKKVIGSKTYIDEEDIDQLPYLQAVIKETHRLHPAIPLLMYKAAVPVEIQGYKIPKETTVVVNTWAIHQNSEVWIEPDKFIPERFLQKEISLSSGSTNMELVPFSAGRRFCLGYPVANRMLHLMLGSLVHQFQWTLPEVVKKNGGVDMAEKFGLTLSMATPLHAIAKNIV >ONIVA12G05130.1 pep chromosome:AWHD00000000:12:4320527:4321135:1 gene:ONIVA12G05130 transcript:ONIVA12G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFQMSLTVVFLPLLYTGLQAKGSMEEKSTRNIVPMQSMNTTSEAGYFPLSVTRECDPCTCCKGSNCFASSCCYESTCNQTQPPNHCIIRRISSCGCGSRCI >ONIVA12G05120.1 pep chromosome:AWHD00000000:12:4314362:4314667:-1 gene:ONIVA12G05120 transcript:ONIVA12G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRHSSCRCPTPSSFDNAYFTDLLSHRVLLRSDQELYGSGAGNGTMDVFVRAFAANATAFEDDFATAMERPGNLSPLNGKNGERRGPDQLLASELIMNM >ONIVA12G05110.1 pep chromosome:AWHD00000000:12:4303250:4306677:1 gene:ONIVA12G05110 transcript:ONIVA12G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSVKKSVKKPKTKKASSGLGPSIFSEKRLRKLLKKGTIADAKLLDFSAELLKRLFSRFALEGKNLLNELQNLKGITVFKRKRNFLANAFADFVRKKLKPLVITKSFSLGKRNLRNWCSVIRKRKSNLNLLDKLKKKKLPLIGNARQQLFKSCNKRVAILLAGLSRNLEPSLRSLMKMIQTLRVDNRLQAAKEMTVASKRKSVIHRVGPSENKNLKHIAFRAQTKYSPRVFYQSEDKIYYDDTFGKSDIEMVVYVILLSSLGIVG >ONIVA12G05100.1 pep chromosome:AWHD00000000:12:4284803:4285559:1 gene:ONIVA12G05100 transcript:ONIVA12G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFCCRRASGFLPAHAAHAYGIQTAPLLAFYASASSVLVALYAMCWKGRRRLLAGEKAGGAGERVRAAAGAAVPPRLWRPVRGRRVPRPPEGAGAQRAGREVVTTEAAGVPFNFLNGLDIDQRTSDIYFTDSSSTYWRRYPKLNQSVMFILHSVHASCS >ONIVA12G05090.1 pep chromosome:AWHD00000000:12:4272683:4273297:-1 gene:ONIVA12G05090 transcript:ONIVA12G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASIDVTKDFTEILIAHEGGNHALARRGSAGAAAGRVGGWGAAHAGAVAARSKGLDTVGILVGLTPTTSPSLGHTEPMLAASWAPWDDSIDTPSPLPHQILDFARKVSVRYVQRH >ONIVA12G05080.1 pep chromosome:AWHD00000000:12:4263680:4266263:-1 gene:ONIVA12G05080 transcript:ONIVA12G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTEEKIASARLMRQQAQVDITCIDCSIGGLIAATAAVVAAAVVIAAAAAAAIVVAAVAATVVATAAAIAIFTICALKQNYKVTHAQEKKEDKAREEAPMNTFIVIIPNEVIVQIRYISIEILNFVPIDDG >ONIVA12G05070.1 pep chromosome:AWHD00000000:12:4263659:4266610:1 gene:ONIVA12G05070 transcript:ONIVA12G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFDLNLPIINWDEVEDFDGDISDLNYDFVWDYDNEDGEDGNGRGSGNDGGCDGSDDDGGGGGGGDDDGGSDDGGGGGDQTTDGAVDAVCFIKIK >ONIVA12G05060.1 pep chromosome:AWHD00000000:12:4261203:4262609:-1 gene:ONIVA12G05060 transcript:ONIVA12G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIEPLEVSLEAGNQADSALLDDDGRPRRTGTFWTASAHIITAVIGSGVLSLPWATAQLGWVGGPAVMVVFGGVTYFTATLQAECYRTGDEETGARNYTYIGAVRAILGGANAKLCGIIQYANLVGTAIGYTIAASISMQAIKRAGCFHANGHNVPCHISSTPYMLIFGAFEIVFSQIPDFHEIWWLSIVAAVMSFTYSGVGLGLGIAQTVADGGFRGTITGVTNVTATQKAWRSLQALGNIAFAFAFSNVYTEIQDTIKAPPPSEAKVMKQASLLSIVATSVFYALCGWMGYAAFGNAAPDNLLTGFGFFEPFWLVDAANVAIAVHLIGAYQVYCQPVFAFVERKASRRWPDSGFVNSELRVGPFAISAFRLAWRSVFVCFTTVVAMALPFFGVIVGLLGAISFWPLTVYLPTEMYIAQRGVRRGSALWIGLRALAVAGFVVSAAATTGAVANFVGDFMKFRPFSG >ONIVA12G05050.1 pep chromosome:AWHD00000000:12:4259119:4259547:1 gene:ONIVA12G05050 transcript:ONIVA12G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLLANHGKITAKETVKRTICKAAGDRLDVDERMGTVSASWRKTAGRGRPGGSRPAAEAEIQGADPRGRGGRAGLVGAGGGPGGRGDDGDGRTCPPVPCCVQRRRRQPCTRGSVGHASGAPWRSGEDEVLAATQDRRLL >ONIVA12G05040.1 pep chromosome:AWHD00000000:12:4258255:4258473:-1 gene:ONIVA12G05040 transcript:ONIVA12G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVAIQAVGPTTSPSSSSNMIHRQRRRIFLDYTSPFSDNRVLLRQFSLYAVLAPRSSWRSSLLVSSDIGV >ONIVA12G05030.1 pep chromosome:AWHD00000000:12:4257868:4258251:-1 gene:ONIVA12G05030 transcript:ONIVA12G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPCLARAVLATPARAFVPSCPGDWQALCDVSSFAVRLHRLFGIIFLNDCRDYVTVFVSSASSRTLVHDALPCVHDHSTAPHAWPAARQTRHQLPDFGYIDHSYSTHGFIDHSSLGSFALATSTMA >ONIVA12G05020.1 pep chromosome:AWHD00000000:12:4248740:4253138:1 gene:ONIVA12G05020 transcript:ONIVA12G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAVVYDAEAVDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLVGFSCVTYYTSTLLANCYRYPDPVTGTANREYIDAVRCYLGPKNVMLCGCAQYVNLWGTLVGYTITASASMIAVKRVNCFHREGYGAGDCGASGSTYMVVFGVFQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWASHGGAVRGTLAGADLDFPRDKAFNVLLALGNIAFSYTFADVLIEIQDTLRSPPAENKTMKRASFYGLSMTTVFYLLLGCTGYAAFGNDAPGNILTGFAFYEPFWLVDIANICVIVHLIGAYQVFAQPIFARLESYVACQWPDAKFINATYYVRVPGRWWPAATVAVAPLKLVLRTIIIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHVARLGIRRGEPRWWSLQAMSFVCLLISIAASIGSVQDIVHNLKAAAPFKTVN >ONIVA12G05010.1 pep chromosome:AWHD00000000:12:4231531:4243844:1 gene:ONIVA12G05010 transcript:ONIVA12G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G09800) TAIR;Acc:AT1G09800] MSAATPDSAAAAKRPIDPSHADPSPAAKLQRSSPPDPSPPAEAQGDTTYGGGEVAGVRSGEMAGARNPQAQRYLVAVEYVGTRFSGSQQQLNQRTVVGVLEEAFHKFIGQPVSIFCSSRTDTGVHALSNVCHVDVERISKRKPGEMKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGSEPLSVFEKTSAWHIPEDLNVQAMKANSPMRTLDELSVTEVFPSMFFPSSMERSEMESLDGHLVYSRTSVVESSGKGSDASSTSEQSRFENGEEFGKRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTEDVERILNLKAVTAAPPMAPACGLYLANVKYDLSV >ONIVA12G05010.2 pep chromosome:AWHD00000000:12:4231573:4243844:1 gene:ONIVA12G05010 transcript:ONIVA12G05010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G09800) TAIR;Acc:AT1G09800] MSAATPDSAAAAKRPIDPSHADPSPAAKLQRSSPPDPSPPAAKLQRSSPPDPSPPAEAQGDTTYGGGEVAGVRSGEMAGARNPQAQRYLVAVEYVGTRFSGSQQQLNQRTVVGVLEEAFHKFIGQPVSIFCSSRTDTGVHALSNVCHVDVERISKRKPGEMKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGSEPLSVFEKTSAWHIPEDLNVQAMKANSPMRTLDELSVTEVFPSMFFPSSMERSEMESLDGHLVYSRTSVVESSGKGSDASSTSEQSRFENGEEFGKRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTEDVERILNLKAVTAAPPMAPACGLYLANVKYDLSV >ONIVA12G05010.3 pep chromosome:AWHD00000000:12:4231573:4243844:1 gene:ONIVA12G05010 transcript:ONIVA12G05010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G09800) TAIR;Acc:AT1G09800] MSAATPDSAAAAKRPIDPSHADPSPAAKLQRSSPPDPSPPAAKLQRSSPPDPSPPAEAQGDTTYGGGEVAGVRSGEMAGARNPQAQRYLVAVEYVGTRFSGSQQQLNQRTVVGVLEEAFHKFIGQPVSIFCSSRTDTGVHALSNVCHVDVERISKRKPGEMANSPMRTLDELSVTEVFPSMFFPSSMERSEMESLDGHLVYSRTSVVESSGKGSDASSTSEQSRFENGEEFGKRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTEDVERILNLKAVTAAPPMAPACGLYLANVKYDLSV >ONIVA12G05000.1 pep chromosome:AWHD00000000:12:4228737:4231560:-1 gene:ONIVA12G05000 transcript:ONIVA12G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESEPTPSLPQIAPSPPSFPSASFPPQTTGAATDPPAQCGTHRQGEAIMEHGSVTDSTASTFSIVEEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTGDPAKDVLKDSLQDLMVMCQHVRGTFDTAVTQFRQNNPTGMNIDQNKKK >ONIVA12G05000.2 pep chromosome:AWHD00000000:12:4228737:4231168:-1 gene:ONIVA12G05000 transcript:ONIVA12G05000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVTDSTASTFSIVEEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTGDPAKDVLKDSLQDLMVMCQHVRGTFDTAVTQFRQNNPTGMNIDQNKKK >ONIVA12G04990.1 pep chromosome:AWHD00000000:12:4222598:4228149:1 gene:ONIVA12G04990 transcript:ONIVA12G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANARFQKQALLPPRSPFPAAVGAAPSPSPHAELGPIARPRDAPHRHGHQRTSSESVLIDEQPSWLDDLLDEPEAPARPHGRPGHRRSSSDSFTLFDGGASAAAAGMYDNVFDGMRGRGGGGQQVGSWGAAPEFFPEQSSFGRPQGQGGRPWDSRLMLRQGGGGGGGMPVPMNGGHHGPPSAFGDHGHGSLPNGVDRKGPGDSAHDQRMGAERKEGAHLRHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQALQTEGVEVSAEMDFLGQQNIMLDLENKALKQRLESLSQEHLIKRFQQEMFEREIGRLRSLFQQQQQQQHIPQQQGPTHSRSNSRDLDSQFANMSLKHGDPNSGRDAVPGLRI >ONIVA12G04980.1 pep chromosome:AWHD00000000:12:4199514:4205297:1 gene:ONIVA12G04980 transcript:ONIVA12G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDDDWFWGRPTPVVVGDGETTSKPKPPVAGKTKKVEEQHPRRPGEPDCSYYVKFGSCKFGISCVYNHPDPRPQHGADDKKPAEQFPRRPGEPDCSYYVKFGSCKFGMNCRFNHPPRMPVPPQQEYFSGNACHCHHIEGKSKVEQVKLNVLGLPLRPGTGLCSYYMNRGICKFGTNCKFDHPDPGSDHEKWVVSSNANQVSSQVNIYSVLDHGESNEHTFTSEEVHQPGIPSFHQGISYTRDQLLQLCQNVEVPKDILKFCQNINVELNGEDKMSGFGAEKDHVQTPSYKRFDATDSRDWHSRSAQTNWEQKFWDNFSEAKEPYSLGWKQEKFNKPDQSSFHFDSKDQDDPISVLVKAEVPLSIQRGIISGKDEVLKTLKSILNTFTPKMFDLQKGQLIETRISSADILKDVINLIFEKIVAEPAFCSTYAQLCTYLNQNLTPFPPEDCDCEEITFKQALSNKCQEIFESAHTVCSEIGKLIGQDREMEQRDKERVVKLETLGNINFIRALLKKKLITNKIIDHIVQAVMDCCKFRFEPLGKVDLLNIIFEGMLDSDSAGAESNICVNAMIGGNKSSIASNDVEMTRKNVNRQNEEAILQKSYDEVPNNKMDPQKNYADGAISYLIEKEKPTNLESSVRICRGGCSISEIMELVVDAGAVEGSDEHFMATLLFIKPEYREIFLTLDTREGRLGWLKRMYKVKE >ONIVA12G04980.2 pep chromosome:AWHD00000000:12:4199514:4205297:1 gene:ONIVA12G04980 transcript:ONIVA12G04980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDDDWFWGRPTPVVVGDGETTSKPKPPVAGKTKKVEEQHPRRPGEPDCSYYVKFGSCKFGISCVYNHPDPRPQHGADDKKPAEQFPRRPGEPDCSYYVKFGSCKFGMNCRFNHPPRMPVPPQQEYFSGNACHCHHIEGKSKVEQVKLNVLGLPLRPGTGLCSYYMNRGICKFGTNCKFDHPDPGSDHEKWVVSSNANQVSSQVNIYSVLDHGESNEHTFTSEEVHQPGIPSFHQGISYTRDQLLQLCQNVEVPKDILKFCQNINVELNGEDKMSGFGAEKDHVQTPSYKRFDATDSRDWHSRSAQTNWEQKFWDNFSEAKEPYSLGWKQEKFNKPDQSSFHFDSKDQRGIISGKDEVLKTLKSILNTFTPKMFDLQKGQLIETRISSADILKDVINLIFEKIVAEPAFCSTYAQLCTYLNQNLTPFPPEDCDCEEITFKQALSNKCQEIFESAHTVCSEIGKLIGQDREMEQRDKERVVKLETLGNINFIRALLKKKLITNKIIDHIVQAVMDCCKFRFEPLGKVDLLNIIFEGMLDSDSAGAESNICVNAMIGGNKSSIASNDVEMTRKNVNRQNEEAILQKSYDEVPNNKMDPQKNYADGAISYLIEKEKPTNLESSVRICRGGCSISEIMELVVDAGAVEGSDEHFMATLLFIKPEYREIFLTLDTREGRLGWLKRMYKVKE >ONIVA12G04970.1 pep chromosome:AWHD00000000:12:4189933:4191950:1 gene:ONIVA12G04970 transcript:ONIVA12G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHRPTAGQCSLVQPPRLITCLLLLLLLLLLSSPLTLPCSASSAVITHLPGFHGRLPFYLETGYIGVEEKTGTELFYYFVESERNPDTDPLVLWLVGGPRCSGFCGVVYEEGPLNFLLETYNGSSPRLVYNQYSWTQMASIIFLDSPVGSGFSYARDPNGYDVGDISSSLQVVTFMKEWLNDHPRYCSHNFYVGGASYAGKVVPVITQYISEGSKFDKNFHVPYSHGVGIISDQLYEAAVTHCKGDFVNPTNQLCANVLSTIHKLMSEVSDGNILEDKCVKAAPKPTIDVSASRALLEEYNKLHR >ONIVA12G04960.1 pep chromosome:AWHD00000000:12:4170951:4181290:1 gene:ONIVA12G04960 transcript:ONIVA12G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLYNHPAKHAGGCDKLEHPQRPGEHDCLHYLRFGRCKYGMNCRFNHPPDRLPQQQVYFPWKACHCHHSEGKSEAEHVKLNFLGLPLRPGTGLCSYYMNRGICKFGSNCKFHHPNSGSGHEKWDGSLQTNQISSGVNIYSVLDHGELNEQPVPSIDDFQRGNSCFQPAEQTRYTRDQLLKLRETVDVPKDIMKLIQDINVELRAEDESRAPNETNYVPTLSYKRFQETDSHDWHSRSLQTPVVAEEEKSQDDTREAKEPYAFGWKQKEFNKQDQKSFQSDSKAQAGPTLALHKAEDPWSIQRSTVPEKYKVLKTVKGILNLLTPEKFDILKDQLIEAGITRTDILKDVIDLILEKAVAEPTLCPMYAQFCSYLNENLTAFPPKDTHCEQITFKQALSDKCQQAFEIARNVRADIYKLTGREQEMERRDKERLVKHQILGKIRLIRDLLKQKMVPDKIVHHIAQAVTDCENFHFEPLENVDLLNIIFDGVLDSVLPGTEANKVVNAIIGIEKCSIASNDAGIIHKDVNRRNEEAKLQKSSNDIPNNLIDP >ONIVA12G04950.1 pep chromosome:AWHD00000000:12:4167734:4169671:-1 gene:ONIVA12G04950 transcript:ONIVA12G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTHTIWDGLGRSIEMDREGRLRISTGDGGYGHEGAKDTMHGDSKKSVVDGAVVRHATVTGLVLTTKSPPDRERVTELISRFRGATSSGGLLETLHELRDVAAVSEPNRKLLTA >ONIVA12G04940.1 pep chromosome:AWHD00000000:12:4135497:4139410:1 gene:ONIVA12G04940 transcript:ONIVA12G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKIAKSTASRGSRSGVKSPNPRSRAANPSRTGGFFSDELRLGRWTCYHGEEEEEKPWLPPADKSSESIREYVAEAARRLPLEEMPELLHCVGAVGYCFGLADPVTNIILNAITHLASDDLEEHLPPLPPPKKRTRRTYNHGWGYISLNSFCGLLAFMKVYFRYLTDNQARHYLYLASYDLLLAIELVHHDRRRCLPRPSLLPDDGRMKIALRIAAVQADHPAPDKLVQTMTAQYPSHLLSPIMDKLRGSELLTTHDVRAIMDLLLARQCLPANMDFLCCPNGDACAHRATNHGTLQVATCIGGGAFARISTEIVTPDHIRPQQLQYISNLTFDDSSAMEMKLSKCSSGGCQLDYDFSSPCEYILSLKMCLLDAIHGFYIRALAVLPTGDDSMRRGRLLRALLVSGHCYGPLDPVSNIILNAVLYDAAYPPQPHEGDGKAELPHDIFDTRAMRDMASCSLDGLVALLCTITTTTTGTPLSKHEAVEYLWSWQCDLTEKLQQTVMAKNPYAAAAMASKHPQHTMLGVLLVSFSSEKLDRLRYFLRSISDGSGCVISGDDWEQLNKMIKTQLTTIITTREVLPFNPQALSASLRVSAYVNSHSFARSKLEELLLRYSRQHPWEPRYKLDLICGVEEPRSARCGCYHANFLASAHGSVLKFNGETTVAQTTVRRLFFAEFWDSQPGRFYESHAKPMCCPVQDSSPCFGRCIFCGEASTIVHPPCAARSHLDDDDYAPILDYDVQAAIRGFQKPNQVRGRTKEKAKGSW >ONIVA12G04940.2 pep chromosome:AWHD00000000:12:4135497:4139410:1 gene:ONIVA12G04940 transcript:ONIVA12G04940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKIAKSTASRGSRSGVKSPNPRSRAANPSRTGGFFSDELRLGRWTCYHGEEEEEKPWLPPADKSSESIREYVAEAARRLPLEEMPELLHCVGAVGYCFGLADPVTNIILNAITHLASDDLEEHLPPLPPPKKRTRRTYNHGWGYISLNSFCGLLAFMKVYFRYLTDNQARHYLYLASYDLLLAIELVHHDRRRCLPRPSLLPDDGRMKIALRIAAVQADHPAPDKLVQTMTAQYPSHLLSPIMDKLRGSELLTTHDVRAIMDLLLARQCLPANMDFLCCPNGDACAHRATNHGTLQVATCIGGGAFARISTEIVTPDHIRPQQLQYISNLTFDDSSAMEMKLSKCSSGGCQLDYDFSSPCEYILSLKMCLLDAIHGFYIRALAVLPTGDDSMRRGRLLRALLVSGHCYGPLDPVSNIILNAVLYDAAYPPQPHEGDGKAELPHDIFDTRAMRDMASCSLDGLVALLCTITTTTTGTPLSKHEAVEYLWSWQCDLTEKLQQTVMAKNPYAAAAMASKHPQHTMLGVLLVSFSSEKLDRLRYFLRSISDGSGCVISGDDWEQLNKMIKTQLTTIITTREVLPFNPQALSASLRVSAYVNSHSFARSKLEELLLRYSRQHPWEPRYKLDLICGVEEPRSARCGCYHANFLASAHGSVLKFNGETTVAQTTVRRLFFAEFWDSQPGRFYESHAKPMCCPVQDSSPCFGRCIFCGEASTIVHPPCAARSHLDDDDYAPILDYDVQAAIRGFQKPNQVRGRTKEKAKGSW >ONIVA12G04930.1 pep chromosome:AWHD00000000:12:4120957:4122027:-1 gene:ONIVA12G04930 transcript:ONIVA12G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRILPRFRLSPRQPAATYASTFAGASPRLTAANATDASLTSATDRASRRIRPPRGRGCADLNARYRLLHPHPPATSQQRSASLRSSAVSPAAASAAPPPASPVAGPSWRTTNCRPVCPFCE >ONIVA12G04920.1 pep chromosome:AWHD00000000:12:4115355:4117366:-1 gene:ONIVA12G04920 transcript:ONIVA12G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGATLDENNMLHLEIEFLSRHAAMKAMEHCNDIDPRHKRYIQVKWNDTNLDVSANNEEPVLQELSYLEPQPDSTEEVHDGPDVMGGEQLRSPQLVHDRREQMRRVLRCHGLHQFIRRRVVCLDGGDPECDLHPAIVGKQGWSGKTAAAVMVDELDGEKKVVGGEVEVLRSAGGEVGDGVEDDVGDGVGEAKAVSDRADAVDDLRDLLEG >ONIVA12G04910.1 pep chromosome:AWHD00000000:12:4113430:4114997:-1 gene:ONIVA12G04910 transcript:ONIVA12G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPCRVLFSLGCRTARCALGSRTPKYCRTQSRLRLVFPPSAPPRAPPPTRSNSIASASSTTSTPVSVGGGGELPNPRLAQAPQHPPQKPRGSPFPQLHRLSSAAGEIGRIPSARAAAGRS >ONIVA12G04900.1 pep chromosome:AWHD00000000:12:4102487:4113403:-1 gene:ONIVA12G04900 transcript:ONIVA12G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPSPEEDDQSYEEHADFSQSEHAESAVEIMRREREERRRKLKREQHDDGPRLNRQPIRNDHMNQNKIIRHGRIKEPPQGWLDCPGSGEPIDRIVPSKVPLDETFNESVPAGKRYSSKQVVNKQRKAGRDIGLVIDLTNTTRYYSPTEWTRQGTKYVKIACKGRDAVPDNESVNTFVYEVMAFLDRQKQSRNPKYILVHCTHGHNRTGFMIVHYLMRTQLSSVTEALNIFAQRRPPGIYKNDYIQAIYSFYHEIPENIVCPPTPEWKRPSDLDLNGEAKQDDDDDGNVDRAPSPNHEDDKVITNDDILGDAVPYDQQDFLRSICFRLLELVPSGRANAQFPGSHPVSLNSENLQILRQRYYYATWKADGTRYMMLITRDGCFLIDRNFCFRRVQMRFPLRNSNEGFHHYTLIDGEMIVDTVPDLGLKRRYLAYDLMSINSQSVVKLPFSERWKLLDDEIIRPRYHDKGRSPSYKYDMELFSVRRKDFWQLSAVNKILKEFIPKLCHESDGLILQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEIGSENRQFIFLYERGRKKLMDGARVMKLTRLQYQGKLLSALGIKKRTVMRSITDNITEDKLLEEIYEIMNLPMYADRKAKAHARRGYRGIYITVPLW >ONIVA12G04900.2 pep chromosome:AWHD00000000:12:4102487:4113403:-1 gene:ONIVA12G04900 transcript:ONIVA12G04900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPSPEEDDQSYEEHADFSQSEHAESAVEIMRREREERRRKLKREQHDDGPRLNRQPIRNDHMNQNKIIRHGRIKEPPQGWLDCPGSGEPIDRIVPSKVPLDETFNESVPAGKRYSSKQVVNKQRKAGRDIGLVIDLTNTTRYYSPTEWTRQGTKYVKIACKGRDAVPDNESVNTFVYEVMAFLDRQKQSRNPKYILVHCTHGHNRTGFMIVHYLMRTQLSSVTEALNIFAQRRPPGIYKNDYIQAIYSFYHEIPENIVCPPTPEWKRPSDLDLNGEAKQDDDDDGNVDRAPSPNHEDDKVITNDDILGDAVPYDQQDFLRSICFRLLELVPSGRANAQFPGSHPVSLNSENLQILRQRYYYATWKADGTRYMMLITRDGCFLIDRNFCFRRVQMRFPLRNSNEGFHHYTLIDGEMIVDTVPDLGLKRRYLAYDLMSINSQSVVKLPFSERWKLLDDEIIRPRYHDKGRSPSYKYDMELFSVRRKDFWQLSAVNKILKEFIPKLCHESDGLILQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEIGSENRQFIFLYERGRKKLMDGARVMKLTRLQYQGKLLSALGIKKRTVGPACAFEQINQLLMISILTERHVFLSSLTHVMRSITDNITEDKLLEEIYEIMNLPMYADRKAKAHARRGYRGIYITVPLW >ONIVA12G04890.1 pep chromosome:AWHD00000000:12:4088017:4100428:-1 gene:ONIVA12G04890 transcript:ONIVA12G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 3 [Source:Projected from Arabidopsis thaliana (AT1G55170) UniProtKB/Swiss-Prot;Acc:Q9C717] MRVAPRSHTAMLEEEIEIQEAEFRRLMADHRALAEERLALHRELQAGKDEVRHLNTIIADISAKKETYIGELVDKRRKLEAELRANESLRDEIVQLRGEIDKHLVVRKELSARSASIMHELTREQSNKQQIPMLKAEIDALRQELVHARSACELEQKGNFQLVEQKKAMEKNMISMAQEIEQMRAELANSEGRPWAPGATYGMKLGSPEVTFPTPYGDNYNIHVGGSEKGHSHLPESSSWGTYDNNRLQPHL >ONIVA12G04890.2 pep chromosome:AWHD00000000:12:4089884:4100428:-1 gene:ONIVA12G04890 transcript:ONIVA12G04890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 3 [Source:Projected from Arabidopsis thaliana (AT1G55170) UniProtKB/Swiss-Prot;Acc:Q9C717] MRVAPRSHTAMLEEEIEIQEAEFRRLMADHRALAEERLALHRELQAGKDEVRHLNTIIADISAKKETYIGELVDKRRKLEAELRANESLRDEIVQLRGEIDKHLVVRKELSARSASIMHELTREQSNKQQIPMLKAEIDALRQELVHARSACELEQKGNFQLVEQKKAMEKNMISMAQEIEQMRAELANSEGRPWAPGATYGMKLGSPEVTFPTPYGDNYNIHVGGSEKGHSHLPESSSWGTYDNNRLQLKSLVGLSL >ONIVA12G04880.1 pep chromosome:AWHD00000000:12:4087713:4087967:-1 gene:ONIVA12G04880 transcript:ONIVA12G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGAAAAAGGGVVVSPSAAKDSWPELVGVSSEEAKTKIGEERPELDVQVVPADAFVTTDYNAGRVRVFVDSDDKVARPPKIG >ONIVA12G04870.1 pep chromosome:AWHD00000000:12:4086114:4087243:1 gene:ONIVA12G04870 transcript:ONIVA12G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCFHGGGGGGGGRLAKSFNVISDFTKILIGRRGGDHALARRRRMRRCKDAAPAAAAAAAAAVASASAKIAPEEEGEGGGGGKEEEFCDKCCSALSGGGGAEEEAAAEGEREWVAEPEPGVLLTLAPRADGVSNRLRRIRFREEVFDAWAAQCWWADNHDRIAELYCLVKPDDDDDDEEAIAAAEAAMLPATPCQSEAEDDDDDDDGAAGAESSSRSPSTSTFSGGPSSGSGGGSTGTLGSPILGLVTAPNTTGGGEHDAVRDQHQPTAATWREWVEEYEPGVFITVGAYPGHRLQLRCVELSREKFGEVKARVWWEENKARLHHLYSF >ONIVA12G04860.1 pep chromosome:AWHD00000000:12:4081895:4082575:1 gene:ONIVA12G04860 transcript:ONIVA12G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWILPSCFFPRRSAAAIAAAVVPVATKEELLELERRLWDIAPAAAYELQKRRHWTPEQVAREAEKNRWIAEKKRRIEKESKRQQRRRNSGDSAAATTTVLLDGAGVNLDKVLGEDFERKRFYEEIRLQAETRRRATPEEEPSTAAVVVTEEDDEESDDDDVPARGEEGYLERRREILGRYCLTPAHDPAGSRADIKIGEEDGGSWSPFLIARNLGRRITLRAAD >ONIVA12G04850.1 pep chromosome:AWHD00000000:12:4070871:4074555:-1 gene:ONIVA12G04850 transcript:ONIVA12G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRAKDEMYAVGWCHADIDNTTCKSCVTDALRKVKVTQCTSTLVSFDEITSNAQGKKIALFLDYDGTLSPIVNNPEMAFMSPEMRGTVRDAAKIFPTAIVTGRSRRKVFEFVKLVERYYAGCHGLDITVLKVRPEDVADKGKVIKYLIGTLGLNDSNVLPIYIGDDETDEYAFKVLREQKWVWYRCNICIGNHQCAIEVGVIRSGAEQEVA >ONIVA12G04840.1 pep chromosome:AWHD00000000:12:4068330:4068944:1 gene:ONIVA12G04840 transcript:ONIVA12G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKRQHNQHGTLKMFLKEENLDMEGFIWKSMLRHVTRAFGRACRNTSSSSWRSIKRAKHLLERRPKPWSNIARRSAKGEAKDPRPKGDEEKGLCQQARRTKPQVGQPLRKAHLE >ONIVA12G04830.1 pep chromosome:AWHD00000000:12:4032426:4032665:-1 gene:ONIVA12G04830 transcript:ONIVA12G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLDCFGVLERKRSKPPPKQQEAEDAKMQKGAVEVEGGSSKAGEKMEEKEKSKKGKECRGAPLLVPHFPCRSTHGLL >ONIVA12G04810.1 pep chromosome:AWHD00000000:12:4016376:4019083:1 gene:ONIVA12G04810 transcript:ONIVA12G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQTAAAEAAVDEAVVAGKGGAMPTSTQDLLFRPCPTTTLLTLLRDTSEKRAAAAEQKMEWLRSQLVGKDVEFDTPFGRHLLTYADHTASGRSLRYIEDYLLNEVLPFYGNTHTEDSHVGRKTTRLMHRAARYVKRCMGGGAGDALLFCGAGTTAAIKRLQEVMGVAAPSAAAPLRARLAAGLRRDERWVVFVGPYEHHSNLLSWRRSLADVVEIGLVGDGLVDVAALRRALASPQYADRPMLGSFSACSNVTGIVVDTREIARVLHQHGAFACFDFAASGPHVKIDMKSGEIDGYDAVFLSPHKFIGGPGTPGILLMNKSLYRLNSQPPSTCGGGTVNYVNGFNEEDTLYYDDIEEREDAGTPAIIQKIRASLAFWVNEYIGYDTIDLHEQIYTEMAMKRLVDNPNVKVLGNTSANRLPIFSFLIYPPMVDSIFHGDDRLAIVRRKRLPLHGRFVTKLLNDLFGIQARGGCACAGPYGNILLEINNELSLRIRSALVEGYLGLKPGWTRLSFAYYISKEEFKFILDAIEFLAAYGHRFLSLYKFDWRSSNWTFSKQAAKELSAATGVLLGEDLQFKAEDKSDNNKPEPNHTKFATYLENAKRIALSLPDINQQIISIPQGVDPDIIIFHV >ONIVA12G04800.1 pep chromosome:AWHD00000000:12:4009327:4012674:-1 gene:ONIVA12G04800 transcript:ONIVA12G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISEPADTSRHRRPQAAVHLKMPPPQAAVPEPDTCSRTSGSRSTSRRSTRRRRAERRQEQVQGLRPRRPPDRPPLF >ONIVA12G04790.1 pep chromosome:AWHD00000000:12:3969152:3969361:1 gene:ONIVA12G04790 transcript:ONIVA12G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVVGACSMAVGDFASIYTQLNIELAEQAAPWPDWPASSDMIGEYHGVQSRKNGSEAAISIIKIGHV >ONIVA12G04780.1 pep chromosome:AWHD00000000:12:3943166:3946693:-1 gene:ONIVA12G04780 transcript:ONIVA12G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLQEAAAVDEAVSSMMSLLGAAMSSEKKGSAAAAAEQRVEWLRSQLIGKDAEFDTPFGRRLLTYADHTASGRSLRYIEDYLLNEVLPFYGNTHTEDSHVGSKTTRLVHKAARYVKRCMGGGAGDALLFCGAGTTAAIRRLQEVIGVAAPSAAPLRARLAAGLRREERWVVFVGPYEHHSNLLSWRQSLAEVVEVGVDGDGLVDVAALRRALASPRYADRPMLGSFSACSNVTGIAVDTRELARVLHQHGAFACFDFAASGPYLKIDMKSGEVDGYDAVFLSPHKFVGGPGTPGILLMNKSLYRLNSQPPSMCGGGTVAYVNGFNEEDTLYYDDIEEREDAGTPPIVQKIRASLAFWVKEYIGYETMELHERVYSEMAMKRLVDNPNIKVLGNTTVDRLPIFSFLIYPPVEDSLFLRVEPGCYNSLENKTNKRLPLHGRFVTKLLNDLFGIQARGGCACAGPYGHILLDVNNELSLRIRSAILEGYSGLKPGWTRLSFAYYLSKEEFKFILSAIEFIAAYGHRFLPLYKFDWITGNWTFREQAIKYHVLREELSLATSVQYAENIKSRIAKNLDKKPEPNHMRFETYLENARKIARSLPNINQQIVSIPKGVDPDMVLFHI >ONIVA12G04770.1 pep chromosome:AWHD00000000:12:3937279:3937870:-1 gene:ONIVA12G04770 transcript:ONIVA12G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRRKDVNDGQTGLSKTKLATPCPGSNKLGAAWSRCKWPMEVKVEMVSESELEPRDIVNNSVDGGLVDRKGSLHHVQTFPGRLGLLPFHGASPLLLTSLLLDSGRGEFHGKR >ONIVA12G04760.1 pep chromosome:AWHD00000000:12:3931149:3932793:-1 gene:ONIVA12G04760 transcript:ONIVA12G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT1G77090) TAIR;Acc:AT1G77090] MMNSSVLFLPSSSLFLTKQLVPATKGRASAAAAVRCSSGPNLSEAHEEEDGVASLMGRRHAMASAAAACGVSVFGFAGESMAVKQGLLAGRIPGLSDPDEKGWRTYRRPDDKSGGHGVGWSPIIPYSFKVRDGWEEVPVSIADLGGTEIDLRFANSKQGRLFVVVAPVRRFAELDDATIEKIGTPEKVIDAFGPEVIGENVEGKVLSMATAEYSGRTYYQFELEPPHIFITATAAGNRLYLFNVTANGLQWKRNYNDLKQIAESFRVV >ONIVA12G04750.1 pep chromosome:AWHD00000000:12:3925954:3931521:1 gene:ONIVA12G04750 transcript:ONIVA12G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64600) TAIR;Acc:AT5G64600] MANPRGGGGGGARHHLPLRPRRLLRSPISRCAVLLAASAALLLVLSLRQVHRVGLPPRDHPPAQEPNEQLWGSDGYGSHACVTPTSRYMAPKGSERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPRFIKALEGDVSIVNDLPQSLQSVPRARKHFTSWSGASYYEEVKQLWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIENLGKVLSQHNTYNRSFWSV >ONIVA12G04750.2 pep chromosome:AWHD00000000:12:3925969:3931400:1 gene:ONIVA12G04750 transcript:ONIVA12G04750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64600) TAIR;Acc:AT5G64600] MANPRGGGGGGARHHLPLRPRRLLRSPISRCAVLLAASAALLLVLSLRQEPNEQLWGSDGYGSHACVTPTSRYMAPKGSERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPRFIKALEGDVSIVNDLPQSLQSVPRARKHFTSWSGASYYEEVKQLWKDHKKLLERLRSRGKFIALHLRYEKDMLAFTGCTYGLSESEADELRIMREKTSHWKLKDINSTEQRSGGNCPLTPEEVGIFLRAMGYPESTWIYLAAGEIYGGDKYISKLRSYFPNLVSKEVLATKEELGKFNDHASQVAALDYIVSVESDVFIPSHSGNMARAVEGHRRFLGHRKTVTPDRRGLVELFDLLQKGELMEGPKFSSLVTEMHKNRQGAPRKRYGSLPGSKGRARLRTEESFYENPFPDYIEQVEPVSSGSCSDEDMRWFQLKLVVSSS >ONIVA12G04750.3 pep chromosome:AWHD00000000:12:3925954:3931521:1 gene:ONIVA12G04750 transcript:ONIVA12G04750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64600) TAIR;Acc:AT5G64600] MANPRGGGGGGARHHLPLRPRRLLRSPISRCAVLLAASAALLLVLSLRQVHRVGLPPRDHPPAQEPNEQLWGSDGYGSHACVTPTSRYMAPKGSERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPRFIKALEGDVSIVNDLPQSLQSVPRARKHFTSWSGASYYEEVKQLWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIENLGKAWAFS >ONIVA12G04750.4 pep chromosome:AWHD00000000:12:3925969:3931521:1 gene:ONIVA12G04750 transcript:ONIVA12G04750.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64600) TAIR;Acc:AT5G64600] MANPRGGGGGGARHHLPLRPRRLLRSPISRCAVLLAASAALLLVLSLRQEPNEQLWGSDGYGSHACVTPTSRYMAPKGSERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPRFIKALEGDVSIVNDLPQSLQSVPRARKHFTSWSGASYYEEVKQLWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIENLGKAWAFS >ONIVA12G04750.5 pep chromosome:AWHD00000000:12:3925954:3931400:1 gene:ONIVA12G04750 transcript:ONIVA12G04750.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64600) TAIR;Acc:AT5G64600] MLAFTGCTYGLSESEADELRIMREKTSHWKLKDINSTEQRSGGNCPLTPEEVGIFLRAMGYPESTWIYLAAGEIYGGDKYISKLRSYFPNLVSKEVLATKEELGKFNDHASQVAALDYIVSVESDVFIPSHSGNMARAVEGHRRFLGHRKTVTPDRRGLVELFDLLQKGELMEGPKFSSLVTEMHKNRQGAPRKRYGSLPGSKGRARLRTEESFYENPFPDYIEQVEPVSSGSCSDEDMRWFQLKLVVSSS >ONIVA12G04750.6 pep chromosome:AWHD00000000:12:3925954:3930416:1 gene:ONIVA12G04750 transcript:ONIVA12G04750.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64600) TAIR;Acc:AT5G64600] MANPRGGGGGGARHHLPLRPRRLLRSPISRCAVLLAASAALLLVLSLRQVHRVGLPPRDHPPAQEPNEQLWGSDGYGSHACVTPTSRYMAPKGSERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPRFIKALEGDVSIVNDLPQSLQSVPRARKHFTSWSGASYYEEVKQLWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIENLGKAWAFS >ONIVA12G04750.7 pep chromosome:AWHD00000000:12:3925969:3930416:1 gene:ONIVA12G04750 transcript:ONIVA12G04750.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64600) TAIR;Acc:AT5G64600] MANPRGGGGGGARHHLPLRPRRLLRSPISRCAVLLAASAALLLVLSLRQEPNEQLWGSDGYGSHACVTPTSRYMAPKGSERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPRFIKALEGDVSIVNDLPQSLQSVPRARKHFTSWSGASYYEEVKQLWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIENLGKAWAFS >ONIVA12G04740.1 pep chromosome:AWHD00000000:12:3908959:3912369:-1 gene:ONIVA12G04740 transcript:ONIVA12G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLTIKRVPTVVSNYQEDAAATAGERPRAGCGRDCLGDCCLPDSKLPLYAFKASPKKPSSQEDASNDEFFVNLLLGLWEDRMARGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDAAKFNFTKVGQEEVLFQFENGGGDDSFFVESSPISVADRAPNVVAINVSPIEYGHVLLIPRVLDRLPQRIDQESFLLALHMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLTVPFPVEKAATKRIFLAEGTMNSGVNVSKLMNYPVRGLVFEGGNSLSDLANVVSSACIWLQDNNVPYNVLISDCGKKIFLFPQCYAEKQALGEVSQELLDTQVNPAVWEISGHIVLKRRSDYEEASEASAWRLLAEVSLSEERFEEVKAYIFDAAGLVQSDEEEVSEDEDATYTSVSIAPPAVAEGCLVLQ >ONIVA12G04730.1 pep chromosome:AWHD00000000:12:3900027:3910805:1 gene:ONIVA12G04730 transcript:ONIVA12G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:las1-like family protein [Source:Projected from Arabidopsis thaliana (AT5G12220) TAIR;Acc:AT5G12220] MDAAAPEATGGFCGGGGGGGGLSTGRKLVPWSSWAEWRHVRDGLFSASPAAALRRIAAWRSRGTLPVPVDVTAAFVEIRLRDPFFRSVMAVDDALESEEMLAMLYSMAIMRRVHSYRDLRFCDVWGRTLKLEIVMLVNGFVENPHKKTGYSISELAEAVGIPRVLVDIRHESSHRTLPSLRLLRLAAIKAFDWLKCIYWDSQTNAIPDVQVEVRSKLHEINNFMKGKDSMKAKSGSKRKRSEKMISRNIKYVRRLYYACPSEVAFVILDFFQRGAPESSENSDVLETDKDVDQSSDIHSEISNNDMRTIITKLSEKEPRLLLGILKSVIETIETMEDLENKGEYNASLPAKVELLSSHVLWLVTKLKELKDSGCIGVVHEIGVLSSDRNAVPRFCLAKLLRKLLSLSIIGERCIIDAALVLIEMATNNVQEKLRKLPMLSLGKVARDSTLPEPTKETESVEEATEKLEMFKSRLKQKDLRLAENDTGASLNTIMPEKRNRWSTAKSWTPCPIGMIPCSFSSVAVLPTLDVVDHESRDEILEQHVSVEPDDHTERIGYYSDPEKQLDAERIPELSRPSPEECEISDMPELAFPLKGRLLVGGVWKMVSEEELLFIKSKMKILL >ONIVA12G04730.2 pep chromosome:AWHD00000000:12:3900027:3910805:1 gene:ONIVA12G04730 transcript:ONIVA12G04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:las1-like family protein [Source:Projected from Arabidopsis thaliana (AT5G12220) TAIR;Acc:AT5G12220] MDAAAPEATGGFCGGGGGGGGLSTGRKLVPWSSWAEWRHVRDGLFSASPAAALRRIAAWRSRGTLPVPVDVTAAFVEIRLRDPFFRSVMAVDDALESEEMLAMLLVNGFVENPHKKTGYSISELAEAVGIPRVLVDIRHESSHRTLPSLRLLRLAAIKAFDWLKCIYWDSQTNAIPDVQVEVRSKLHEINNFMKGKDSMKAKSGSKRKRSEKMISRNIKYVRRLYYACPSEVAFVILDFFQRGAPESSENSDVLETDKDVDQSSDIHSEISNNDMRTIITKLSEKEPRLLLGILKSVIETIETMEDLENKGEYNASLPAKVELLSSHVLWLVTKLKELKDSGCIGVVHEIGVLSSDRNAVPRFCLAKLLRKLLSLSIIGERCIIDAALVLIEMATNNVQEKLRKLPMLSLGKVARDSTLPEPTKETESVEEATEKLEMFKSRLKQKDLRLAENDTGASLNTIMPEKRNRWSTAKSWTPCPIGMIPCSFSSVAVLPTLDVVDHESRDEILEQHVSVEPDDHTERIGYYSDPEKQLDAERIPELSRPSPEECEISDMPELAFPLKGRLLVGGVWKMVSEEELLFIKSKMKILL >ONIVA12G04730.3 pep chromosome:AWHD00000000:12:3900492:3904085:1 gene:ONIVA12G04730 transcript:ONIVA12G04730.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:las1-like family protein [Source:Projected from Arabidopsis thaliana (AT5G12220) TAIR;Acc:AT5G12220] MALASLIWKDGKLGVEVRHSIGGGGEGFVLLTSPSSRPPASRPSFHVLAALVLAEDGSEERTEETSELSQPNWWASGGFPIIGLRLLLRSPPQSSLCYCCFTAAASSCPPTPQRWSRKREGHVRSSSLATHCWSPRFRLPIDELASSTLPASTANVCAHRKRREEVGKEDDDSKMRDMN >ONIVA12G04720.1 pep chromosome:AWHD00000000:12:3895270:3895947:1 gene:ONIVA12G04720 transcript:ONIVA12G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVTPASSAAGAPPPPPPPTTTCSTSCRFVLPPTRRHLLASSASSLLLAAAAAAAPRAASSKDDDAVTSYDPVTAAERAASASVSRRVGEAVRLLDLGRDLQARGEFPAALASFTAVATEYGDLSLSGYARVGRALVLYEVGDRDDAIAEMEDASVALRGYPEIHAALAAALYADKHAALLAENQFAIATLLDPHYTDLAYVRDTKHWPPSLVDSLRHFITLS >ONIVA12G04710.1 pep chromosome:AWHD00000000:12:3888341:3890013:-1 gene:ONIVA12G04710 transcript:ONIVA12G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVLIVGAGPSGLATAVCLGQLSIPYVIIEREDCTASLWRKHTYDRLKLHLAKEFCEMPHMPYPEDTPTYIPKIQFLRYMDDYVEHFNICPKFNSSVESCLYDDVQKYWVVTTHDQVNGMVSKYAARFLVVASGENSAGNIPSIPGLEDFSGHVIHSSSFRSADSYAAQRVLVVGCGNSGMEIAYDLSSHGANTSIVIRSPLHVMTKELIHMGMKLASWSLPVKFVDFILVVLAYLWFGNLSKYGIVRPNMGPLLLKAKTGRSAVIDVGTVELIKKGDIKVFGPISCIKGNVVEFDDGKESYFDAIVFATGYTSTANNWLKNGEDMMNKEGMPKKDFPNHWKGSNGLYCVGFARRGLSGIARDAKNVANDIKAFLDSMAPF >ONIVA12G04700.1 pep chromosome:AWHD00000000:12:3879379:3880892:1 gene:ONIVA12G04700 transcript:ONIVA12G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSTSVVGLKPAAAASSSPALPKRVHVAAPPKLEQQSGGRRAALLGLAAVFAATAAGQGQARAGVFDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCQFPYNFTGCQDLAKQKKVPFISDDLEIECEGKEKYKCGSNVFWKW >ONIVA12G04690.1 pep chromosome:AWHD00000000:12:3872683:3877087:1 gene:ONIVA12G04690 transcript:ONIVA12G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNGANNGNGHHGHGNGSGAAAAAGRSTSVSRKIESEGAVLMPGVYDALSAAIVQKTGFYAGFISGYAVSGSFLGTPDVGLLTPPEMAEVARRICASAPNTLFIADADTGGGNALNVKRTVQDLMAAGAAGCFLEDQAWPKKCGFSSLFFRLLIYFCVCLFIDHQGHMHGKQVIPAEEHAVKIAAAREVVGDRDFFIVARTDARSVTGLDDAIRRANLYIDAGADACFVEAPRSDEELMEICRRTKGYRVCNMLEGGKTPLHTRQELMEMGFHLIKSPLTTVYAAARALVDVLAALKRAETTRDELHRLTTFAEFNNLVGLDSWLDIEARFSVNSSVPKPLPAPETTTTTEMEKAKAKAAAVVAGNGATNGATNGVH >ONIVA12G04680.1 pep chromosome:AWHD00000000:12:3850686:3851122:-1 gene:ONIVA12G04680 transcript:ONIVA12G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLPSLLFWLPIPPVMLGLEEAAALRKLKEIGGMNSPAMGVAHQGVNVNRNGGVIEAECLVEWAGWEARTIVRAAPP >ONIVA12G04670.1 pep chromosome:AWHD00000000:12:3841262:3846943:1 gene:ONIVA12G04670 transcript:ONIVA12G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25800) TAIR;Acc:AT5G25800] MVYLHKSPFHHRGNTNISPSTTLSRSWVRPPQIRGLPCIILPPPRQSPRSAADAHMAAPSGSGEAAPASSTYYDVYGPDDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQSRHLSSLKEFCGNPKPVLASSCIPDERHTIDALLTCRVKRKRALKTTDQSHESDGQEKLSSLDDLKDIPFPIKYYTLSEKDLEDNGYNFSLEGFVPTVSAPPGSSPYAILALDCEMCVTAAGFELTRVTLVDIKGEVVLDKLVKPANPITDYNTRFSGITAEMLADVTTTLQEIQEEFVGLVHKETVLVGHSLENDLMALRISHDLIIDTAVLYKHNRGHRFKIALRVLAKKFLNREIQNTGSGHDSVEDAKAALELALLKIKYGPDFGSPPSTSRRKLASILHECGKKCSLIDDASVLERYSDASCNSIAVFSDDDALSRSMKEVKNDKVSFVWTQFSKLISYLRTRAQDPDKVKSCVAEAIALQTCDRKTAQKRKKHQTCPELKEILIGLDKKIRKLYSVLPDNAMLIICSGHGDTPLVQRHYSDDILADSVYCRKDGAPCIPTNIRTSG >ONIVA12G04660.1 pep chromosome:AWHD00000000:12:3833208:3833811:-1 gene:ONIVA12G04660 transcript:ONIVA12G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETCFRAWATLHAPQPPSSGGSRDRLLLSGAGSSQSKPRLSVASPSPLRPASRFACQCSNVVDEVVVADEKNWDSMVLGSEAPVLVEFWAPWCGPCRMIAPVIDELAKEYVGKIKCCKVNTDDSPNIATNYGIRSIPTVLMFKNGEKKESVIGAVPKTTLATIIDKYVSS >ONIVA12G04650.1 pep chromosome:AWHD00000000:12:3826689:3833571:1 gene:ONIVA12G04650 transcript:ONIVA12G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMRVGRAAVAAAAESLLEATSASRALDSVKDPRISPRITTIKDDEEKGVAGDTHREAKQGLKKKRKKTTMMMQSAADVLVDDGGEGGLGDGADDALLLLAVLEHEDGGDAPDALVAMFGESSVFTLQHLILPTYSLASSSITGAIILHGPHHGAQNSTSTGASLPSTMLSQFFSSATTTATTPHAHIRWFSF >ONIVA12G04650.2 pep chromosome:AWHD00000000:12:3826689:3834249:1 gene:ONIVA12G04650 transcript:ONIVA12G04650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGQTCVLPSSTTLLHWQAKREAGRSGDGEATDNLGLDWLLPAPERRSLSLLPPEDGGCGACRVAQALKHVSNAIIFSL >ONIVA12G04640.1 pep chromosome:AWHD00000000:12:3818872:3822543:1 gene:ONIVA12G04640 transcript:ONIVA12G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGSSPAATAAAHRHRRSRRRHPQGPPPRQPWCCSFALDPLSAVHRSPAGTTTAAPPPPHPHHRRRSKHAATTAPPLSRRMRSPGRVSPVDDATAYGGAAASASACSSARLSSVSECPPPPPPPPPRPRPPPPAVEALRIRLVSKGVILEVAEVERVRRECRVVGRILGGGGGEVAVEGKVEVEAIREAVEMMLEDADEAAAMRRLSRAGVARAIAVLEVSFSLMFERGVTNCLKYLEAVPWSEPEEERIKSLLSQYPCNKTVSQDLLARLQPQEPSSSAELVVELMDSITKGTNNNARKDLRTLVDGILSRTSIYIKSDKELDMMNIYSICHTCLNCLVELFGEASDLGPSGQTTISVGKGPHERICKQVENLTWLLQILIDRQMGEEFVDLWASQNTLSTMHERFSPMVRYELSRISATIFIAMGSGKLHCTSTSRLGIFEAWFRPLLVDFGWLRRCPKGLNMATLEDGIGQALLTLTLKHQQVLFMEWFETFSGQGRECPNLMRAFQVWWRRSFVRSLGSSS >ONIVA12G04630.1 pep chromosome:AWHD00000000:12:3806374:3806802:1 gene:ONIVA12G04630 transcript:ONIVA12G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGRAPVTARCGGNREVLRRRSWLTEGGAERRRHRRSSSSSPSRRSSAASGGPSCRLSYSSSPSYRSFAATASGGPPRHRAAAAPPQPFASAASGGPPRRAVESPLLRHQRFVAELPPLFRVAPLSRRFSAVLWREREST >ONIVA12G04620.1 pep chromosome:AWHD00000000:12:3786839:3789324:-1 gene:ONIVA12G04620 transcript:ONIVA12G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEMVAEEKAKVEATGRMPSIEMEPKTLTLDQLKFAREAALYVLSTKPAEEAIRIFTEGLKPVHLVGGGGGVRKSSTVAADSSSDDDLDIGCFHDDNSGKSYCRHHGGGRRRRRRSSSAVEKDVATAPF >ONIVA12G04610.1 pep chromosome:AWHD00000000:12:3779177:3782226:1 gene:ONIVA12G04610 transcript:ONIVA12G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGVVSFVFAAVELSPGAAATPASPPRHSQAAAPHPCLHRPPPRHPHDVLHFFQQPRPRRLRRDHLIPHAPECDSVGGEERFDDAGDDASSFSWRPRECGGDDGDFLEIQLVRLVPVVLEDRVGEVEVLKVVEVDEELPHDGLRTREKETTPVVLTSTAMVMAEAVASEKLGGVGSKRRWQTPAAGAVGSCIEEEAPLDVGWRPVVSRAAADLTGRVSEAMTAGSRTPCAAASGPKWFSAVARLNVICAEVQHLNTSVIRADMLDLDLRARVSGAN >ONIVA12G04600.1 pep chromosome:AWHD00000000:12:3773218:3777545:1 gene:ONIVA12G04600 transcript:ONIVA12G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQGAPVPRCCESAPKGGFTRMRPGTRRISPRSGVAPVARCLSRAPPPPPPARPPWLDGLQRGWKKGGRSDGPNQTGGTGSMATTYLVVEVISADIPSSSNTSQTNYSVELHFNSQSKSTTIKENVAVWNERFSFDMRQREDPSGNLILEAAVYCFDQMSNSKSLLGKVLLPEKYFHRHSANVDPMQYTLDNTEGVNAKVLLKLFLTDAVDRILLETEDRHTHQTEGNGLNGIYNYLFQTNYGYGKDQHDDPVVLETAGFDPMEINPNFEPGRLFERMQLLFVRVIKARKLPDMDANGSLDPYVEVKFGAYNRGVTRCFKRNKNPEWNETFAFSFQHDKIPSPTVDIVVNDKDLVRDDFVGKLHLDLKDIPKRSLDDVPLEPTWYPLLDQDGTKLAQASLLLAIWIGSQADEAYRHVGLSGYIPKVYENPNLWCLRVTVVEVQGVTVGDDEQEDMAGCNTGTDTGVFCRARFRGLGHLEVGKQRTTSGSYEWKEDLLFVAAEPFFEDDLELHVIVANPGKDEVVIGQQTVPLSSIVKGGDEHDHFDVMPSKWFDLKNPDKPQFDSSVDDGNDNSSRMRICLKNMLDGRYRIVHDSKGYMDDTRPADRKLWRPPVGRVHLGILRATGLPLRMGKSTVNLYCVAKYGDKWVRTRTILDGPEHVFNEQHTWSVYDIATVLTVGVFDHFPHTRKAHREIGKVQIHLSCLETDRVYAHSYPLIILNRRGFKKAGELQIAVKLSSESFISLLGMYARSTLPKMHYEHPLTVMEEDKFRSEVAEVMALRFSRVEPPLRSEIVAYMCNATRGTSCWSMRKSKVNFFRLMQVASPFIHLFQSVTSWKNPAVALISCVIFVLALCFHKLVLSMVIIYFVLVALWNYRFRPRKPPFFDHKVSCLGSVHPDEIDEEFDSVESSCSIDLVRMRYDRLRSVAGRVQTVVGDVATQGERIQSLLCWRDPRATAIFQFIIVMVSIVVYFVPKKVLVGIAGFYIMRHPRFRKKNNTPSIVENFFRRLPDKQVCRATSLVLVSWMCPCLCEYLASIVCGLNI >ONIVA12G04590.1 pep chromosome:AWHD00000000:12:3675057:3684932:-1 gene:ONIVA12G04590 transcript:ONIVA12G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGECGGGECHHYEATKSQENADLHSELLGSWDFSSLRSWEGSSARWKKNLGKGGVQESSAHNLFDRMTSQH >ONIVA12G04580.1 pep chromosome:AWHD00000000:12:3672882:3675005:-1 gene:ONIVA12G04580 transcript:ONIVA12G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEGISMDEALDRILEKFELMEANRRQEEKFNQILQKLEEATASSSHISEDTATTVPWELGDNKDKGHAPCVITKDSPEVTPTMCSTKCSGPTVEPDLTVAAVVTSATTAAASMELVAAGNTIGATYINNLDHPKVTHAKCLMLDLGSNSGADQTVVTFQTLVDMTKGVFAPDATIEGSSPRKIVEIDLVIVMPTGCSMLFFDKGASELLPVRGHIMWQLLLEQCKRNPWSPPNSVYQVNGIWELWHVPWLDFNYFRTRLSLMPPWPPSTQIGTIMLWLVANSWLRMVELKPWPDPQSSQCSTGGRWTELKVPWSAPDCECSMGDDFCSANCIRNEALSVALSCAPKGDLNHEKIDGSQKDTLVTLLILEHFHYVGDEEKVTQGMLNGLIAVGCNYLILIMCAAIITTTFHLAMITTQGNNVYGPNQLHEKLIPRLIPLTMRGLPLPIHAFEVVLHKGQVGHVYHIGTAKERRVIDEATDISVRDSILWPKLKHVDVLEDGNNRWTRDFSSRSIVLKGRQDCGLCWCALDVIRDVKVDLVLQLLKQYGSAKLVIINSLSIPWDPGGSRVTLAGHSSCHIGYITREALTEEIKYKSLHQTYLLVKFPHLRSSAWGQTKFQETGIVRKSCGLQCGPVQ >ONIVA12G04570.1 pep chromosome:AWHD00000000:12:3670970:3672306:-1 gene:ONIVA12G04570 transcript:ONIVA12G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSSVRHDNTMPLAGFCLDDDGGGAKLMLVYDYMARGSLEEILHGEKEGKDLFGWPERFKVAAGVARALVYLHGGDGDGRPVIHRDVKSSNILVSEDFQPKLCDFGLALWAAEAASPVTGDDVAGKFGSATRSIVYAFSVVLLELVSGRKPVSSGGGKGKESLVMWANTIIQGGKLTDLVDPSLPTDGGDVAGEVERMTLPAALCIRRSPQRRPSIANVLKLLDGDGDAVRWASSQAGLPAGDDTDDGDGASPEKKDIQSYINLALLIVDDDSASVSSGGADFTAANVSLEEYMKGRWSRSSSFD >ONIVA12G04550.1 pep chromosome:AWHD00000000:12:3661544:3664983:1 gene:ONIVA12G04550 transcript:ONIVA12G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGNRFALLEHGWDLSGDMAVDNILASQNVGTQLRAYPPPIKYFEALLRQEHAELLEYVDDVDRVVALLKPLPPPKTVRYPVLFERAWGFGRLFPCDVTDESPQYHAYLQEFYRRNCSAPPSVAAAISLCLKNERILRSEWQNRGVQVHPYNLSLSDNILECASNLVDKMNAESATVAYAALVCVQTLHFHLQHLQFVVLKLLITSFAVCVAKEAELMVQRLRLGDRIYVHYSDRNLSTRIRHEALGLMAGTGPTSSSVTDPMVHSALLGIAREAEWSWKIISHKDVGPLGDRRSEAIRGSALCIIKDELDEYAAAAATAADLSSEPFVVWQRNMIAVIEGGYPNWGTTVKKLDSAVYVAEDYSKDSLDNIFDEDMMSKYINGSHKRKMKKPR >ONIVA12G04550.2 pep chromosome:AWHD00000000:12:3661544:3664983:1 gene:ONIVA12G04550 transcript:ONIVA12G04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGNRFALLEHGWDLSGDMAVDNILASQNVGTQLRAYPPPIKYFEALLRQEHAELLEYVDDVDRVVALLKPLPPPKTVRYPVLFERAWGFGRLFPCDVTDESPQYHAYLQEFYRRNCSAPPSVAAAISLCLKNERILRSEWQNRGVQVHPYNLSLSDNILECASNLVDKMNAESATVAYAALCVAKEAELMVQRLRLGDRIYVHYSDRNLSTRIRHEALGLMAGTGPTSSSVTDPMVHSALLGIAREAEWSWKIISHKDVGPLGDRRSEAIRGSALCIIKDELDEYAAAAATAADLSSEPFVVWQRNMIAVIEGGYPNWGTTVKKLDSAVYVAEDYSKDSLDNIFDEDMMSKYINGSHKRKMKKPR >ONIVA12G04550.3 pep chromosome:AWHD00000000:12:3661544:3664676:1 gene:ONIVA12G04550 transcript:ONIVA12G04550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGNRFALLEHGWDLSGDMAVDNILASQNVGTQLRAYPPPIKYFEALLRQEHAELLEYVDDVDRVVALLKPLPPPKTVRYPVLFERAWGFGRLFPCDVTDESPQYHAYLQEFYRRNCSAPPSVAAAISLCLKNERILRSEWQNRGVQVHPYNLSLSDNILECASNLVDKMNAESATVAYAALVCVQTLHFHLQHLQFVVLKLLITSFAVCVAKEAELMVQRLRLGDRIYVHYSDRNLSTRIRHEALGLMAGTGPTSSSVTDPMVHSALLGIAREAEWSWKIISHKDVGPLGDRRSEAIRGSALCIIKDELDEYAAAAATAADLSSEPFVVWQRNMIAVIEGGYPNWGTTVKKLDSAVYVAEDYSKDSLDNIFDEDMMSKYINGSHKRKMKKPR >ONIVA12G04550.4 pep chromosome:AWHD00000000:12:3661544:3664152:1 gene:ONIVA12G04550 transcript:ONIVA12G04550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGNRFALLEHGWDLSGDMAVDNILASQNVGTQLRAYPPPIKYFEALLRQEHAELLEYVDDVDRVVALLKPLPPPKTVRYPVLFERAWGFGRLFPCDVTDESPQYHAYLQEFYRRNCSAPPSVAAAISLCLKNERILRSEWQNRGVQVHPYNLSLSDNILECASNLVDKMNAESATVAYAALVCVQTLHFHLQHLQFVVLKLLITSFAVCVAKEAELMVQRLRLGDRIYVHYSDRNLSTRIRHEALGLMAGTGPTSSSVTDPMVHSALLGIAREAEWSWKIISHKDVGPLGDRRSEAIRGSALCIIKDELDEYAAAAATAADLSSEPFVVWQRNMIAVIEGGYPNWGTTVKKLDSAVYVAEDYSKDSLDNIFDEDMMSKYINGSHKRKMKKPR >ONIVA12G04540.1 pep chromosome:AWHD00000000:12:3655405:3657729:-1 gene:ONIVA12G04540 transcript:ONIVA12G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFFPRMQRVASGLRAGHDRRGRYSGMGATGGVIDSCPIARSRSVPAAYGGGEGIFKYIEGLPLGHRSATAEELMSTDTREQEITWLARSCSSSGSDPNKKISETDTASVLLETITYIKFLHGTEVSIKLYGVEDTAMIQSALQLSDSL >ONIVA12G04530.1 pep chromosome:AWHD00000000:12:3650171:3651624:-1 gene:ONIVA12G04530 transcript:ONIVA12G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSPLLSLMVDQLRKLPAFLQDCLLVSGQEKEKRKRAKQPSIWPWAKGSSRTVAAGIAEQQRYSTPRRCSSSVIQSHLAFLAAASPAYKAFFSGMSRLLAHTRSAQCRPLSGRACFLLCERAPP >ONIVA12G04520.1 pep chromosome:AWHD00000000:12:3636559:3646331:1 gene:ONIVA12G04520 transcript:ONIVA12G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPHFFSPSCSWLIHLLSPISLKKASSSSKTQQWGVWGSRRKIASKLFDQLAGASRAECYLVAAPLRPASPIEKGAVTMDYQLVPYQSSLPVGEEHSGMPPQIHAIAYCPTPMSFDFYNQRRSLLAVSTRLGIQIWEAVFESSSLGWTKKFEAYCPGVKHMEWMPNKLGLAYGDERGLITLLQYNKEQGCFKSKIIHDKPSRGETTSISWSGNSSYLASSFHPRATEDETSNSMIIIHKRASASRTNEVQSIEMEGRPNLIAKFHPVHNCLFIAKRRWGLDIKIFSNTITGFEKESDKWVQVASLVDNIISFYKYDHYSGSEWTPTAKQRVSFQYKVNTGNRFKKILSMGCYIDSTIAIGYTDGSIEIFTKKRGKYVSALNKYREHHWSKAKCWASTIPCLSWQYNTEKIPKVLAVGGEHGFVLFYDFIEAGPYTEIPNRDYEWETNYYKCPSEPDEEVEEEEEEEEPTHDVTQEFNSQEEVEPVKETKFAICQQIFCSRTVNMEDIKAIGFKMEYTLINYKVTFENLAYVEAKKRLVNGKYPEKILEWNYNSEHMIRGLIIDKKKGNIIKVDHYNNVKMSYHGMNEMPYEESKNVYGSTFMFEEPEFAAMDTTFSCCEGYLFAQLVDLMDTNPQEVPAPTYMTLYKHLREAFDQGYKDGTLKQSVANDLARYIDKDPSLLPMLQNIKNKGISTFLITDSLWDYTDIVMDYALHQDKVNKHSWLQYFDIVFTGSRSFFSEESHVELFDVEIETGKISNPKEYQLGRSKTNQAPKEIQKVYQTPHNSPTHTHSYRVTPPRAVYMHAKPPPVKSKDEKCLVWIVEGWNDRFRLGGDVGHLQRLLPNTNDPKQVLYVTHHITKEMLNNKAQGWKTMQIIPELEKELQIIREQGSIRQIIIRARVKSNSVEDKICMLRSTTEVDVMEKINKLTSEKKGMDSERETAEKRLASKFNDKWGPVMKAGNKNSRFAREVERSAWLYTSRASNLAAERCYEQSDQLMAHDSDLLSFHLGKD >ONIVA12G04520.2 pep chromosome:AWHD00000000:12:3636559:3646331:1 gene:ONIVA12G04520 transcript:ONIVA12G04520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPHFFSPSCSWLIHLLSPISLKKASSSSKTQQWGVWGSRRKIASKLFDQLAGASRAECYLVAAPLRPASPIEKGAVTMDYQLVPYQSSLPVGEEHSGMPPQIHAIAYCPTPMSFDFYNQRRSLLAVSTRLGIQIWEAVFESSSLGWTKKFEAYCPGVKHMEWMPNKLGLAYGDERGLITLLQYNKEQGCFKSKIIHDKPSRGETTSISWSGNSSYLASSFHPRATEDETSNSMIIIHKRASASRTNEVQSIEMEGRPNLIAKFHPVHNCLFIAKRRWGLDIKIFSNTITGFEKESDKWVQVASLVDNIISFYKYDHYSGSEWTPTAKQRVSFQYKVNTGNRFKKILSMGCYIDSTIAIGYTDGSIEIFTKKRGKYVSALNKYREHHWSKAKCWASTIPCLSWQYNTEKIPKVLAVGGEHGFVLFYDFIEAGPYTEIPNRDYEWETNYYKCPSEPDEEVEEEEEEEEPTHDVTQEFNSQEEVEPVKETKFAICQQIFCSRTVNMEDIKAIGFKMEYTLINYKVTFENLAYVEAKKRLVNGKYPEKILEWNYNSEHMIRGLIIDKKKGNIIKVDHYNNVKMSYHGMNEMPYEESKNVYGSTFMFEEPEFAAMDTTFSCCEGYLFAQLVDLMDTNPQEVPAPTYMTLYKHLREAFDQGYKDGTLKQSVANDLARYIDKDPSLLPMLQNIKNKGISTFLITDSLWDYTDIVMDYALHQDKVNKHSWLQYFDIVFTGSRSFFSEESHVELFDVEIETGKISNPKEYQLGRSKTNQAPKEIQKVYQGGDVGHLQRLLPNTNDPKQVLYVTHHITKEMLNNKAQGWKTMQIIPELEKELQIIREQGSIRQIIIRARVKSNSVEDKICMLRSTTEVDVMEKINKLTSEKKGMDSERETAEKRLASKFNDKWGPVMKAGNKNSRFAREVERSAWLYTSRASNLAAERCYEQSDQLMAHDSDLLSFHLGKD >ONIVA12G04510.1 pep chromosome:AWHD00000000:12:3632115:3634194:-1 gene:ONIVA12G04510 transcript:ONIVA12G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARWAMQLPSRCPAPSPLNPRNNSGDQATSPAITASETPSPATDEAAEQVAQELASLRNKYSSKYTMFSYSELARITSNFSPDRIIGKGGASEVYKGCCDDGKEVAVKVLKSSDKVMEELVSEMEIVSSVRHGNAMLLTGFCLDGGGGAAKIMLVYDYMARGSLEEILHGEKEGKDLFGWPERFKVAAGVARALVYLHGGDGDGRPVIHRDVKSSNILVSEDFQPKLCDFGLALWAAEAASPVTGDDVAGTFGFVAAAASSHLLEEFKYLAPEYFMHGKVSDKIDVYAFGVVLLELVSGRKPVSSGGGKGKESLVMWANTIIQRGKLTDLVDPSLPTDGSGVAGEVERMTLAAALCIRRSSQRRPSIANVLKLLDGDGDAVRWARSQAGLSAGDDTDDGDGASPEKKDIQSYINLALLDVIDDSASVSSGGGDFTAANVSLEEYMKGRWSRSSSFD >ONIVA12G04500.1 pep chromosome:AWHD00000000:12:3627812:3632384:1 gene:ONIVA12G04500 transcript:ONIVA12G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNNDGSDITSGQSTSGCSKLDDRLHLPFMYSSSDTFAAVKSPPPLLTDAESSMTSSSARLMYDWMSFFSGEAPSPSSVSSPADRPACDLAHLTASPSPSSSFRT >ONIVA12G04490.1 pep chromosome:AWHD00000000:12:3621946:3623247:1 gene:ONIVA12G04490 transcript:ONIVA12G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPKRYVIVLLTFICTNVCYIERVGFSIAYTVAADAIGVNQANKGMILSMFYYGYVLSQIPGGWAAQRIGGRRVLLLSFVLWSLICGLIPLDPKREVILVLSRLFVGVAQGFIFPAIHTVLAQWVPPQERSRSVSLTTSGMYLGAAGGMLFFPSLVKHMGAQSVFFVEAVLGVAWSVIWLKFSSEPPRTDLPKVSMPKVASREKIKAQAGGVVAPRTVKIPWRRIIFSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQLSLQDMGSSKMLPYFNMFIFSNIGGVVADHLITRRILSITKTRKLLNTIGFVVSAVALMALPLFRTPSGTVLCSSISLGFLALGRAGFAVNHMDVAPKFAGIVMGVSNTAGTLAGIVGVGLTGSILEGAKASNMDLTNSETWKTVFFVPGYLCIFSSIIFLIFSTGEKIFE >ONIVA12G04480.1 pep chromosome:AWHD00000000:12:3610247:3612524:1 gene:ONIVA12G04480 transcript:ONIVA12G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQKAKQQVMKPMEVSVEAGNAGDAAWLDDDGRARRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAFVIYYTSTLLAECYRTGDPATGKRNYTYMDAVRANLGGAKVTFCGVIQYANLVGVAIGYTIASSISMRAIRRAGCFHHNGHGDPCRSSSNPYMILFGVVQIVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGIVQTISNGGIQGSLTGISIGVGVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKSATRLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFVQPIFAFVERWASRRWPDSAFIAKELRVGPFALSLFRLTWRSAFVCLTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIAQRGVPRGSARWVSLKTLSACCLVVSIAAAAGSIADVIDALKVYRPFSG >ONIVA12G04470.1 pep chromosome:AWHD00000000:12:3595512:3595856:-1 gene:ONIVA12G04470 transcript:ONIVA12G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELVFKSINLMWKLDKEILDTCCEGISECIVKLLMEHAGNVQTPIGWKTVLHLLSVTGRHPETFDQSVAAMIKLMNDDAQVVTRFNCAACIEAAFRFAALKISPLDISPRIL >ONIVA12G04460.1 pep chromosome:AWHD00000000:12:3595269:3595508:-1 gene:ONIVA12G04460 transcript:ONIVA12G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVNWLIQWHKSGYSDPGNAWSSSSSSSSSSSVVAAAATMMMMEDASRMGNLATSMFIKLAEALRKTSLVRREEIQN >ONIVA12G04450.1 pep chromosome:AWHD00000000:12:3590768:3591944:-1 gene:ONIVA12G04450 transcript:ONIVA12G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVADPGPVRAEGLLLQCPYCDSEAMHKLAQLLLPGLAAVCIDCTTGDLFRKPSVVAVDMRKEMVDYVTQRSETFISDSLIESEASQDEEKEMPEDPFEVVSIFMDDFSSTKRNIIGHVSGWLMSDSREDKIDDFVQEMEMTRFWPLERREAIAEVLLKNVDLKTKYHCPEKYENEERLADHKAQCSFRPVTCPNDGCRAKVSVRCMTDHDSACLFKILTCEQSCEKRLMRRDMDRHCVTVCPMRPMKCPFGCDSSFPERNLEQHCSEFLQAHLHKLLKAIHKKGFTDEGLKDHALLLEKHDNDGKLAKSRDVRSLTNVVKNLEAKMKNGGSS >ONIVA12G04440.1 pep chromosome:AWHD00000000:12:3575436:3579800:-1 gene:ONIVA12G04440 transcript:ONIVA12G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MVLTGAGMSTESGIPDYRSPNGAYSSGFKPLTHQEFVRSIRARRRYWARSYAGWRRFRRAQPNSAHYALASLERIGRVHSMVTQNVDRLHHRAGSKPVELHGSVYEVACLDCGTSIDRESFQEQVKDLNPKWALAIDSLEVGQPGSDKSFGMQQRPDGDIEIDEKFWEQDFDIPSCNQCGGVLKPDVVMFGDNVPEERAESTKEAARNCDALLVVGSALMTMSAFRLARLAHEANAPIAAITIGETRADSILSLKINARCGEILPRILQMGSLSVPNVS >ONIVA12G04430.1 pep chromosome:AWHD00000000:12:3570712:3573487:1 gene:ONIVA12G04430 transcript:ONIVA12G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLRSWNPDDNDDIDDDDEEEEEKEEMPAVVDYSLYHRRRPSTFTRCGVIFDTDLIVRKPVGGGAATGGGRASHRTSTGFPFSVSLKLAAPPALSSIYLHCAEAVMPPTLEHGYFGRSSLVAADATHLLLLVVVPVKIQGMYDHEYPEEYFVYTADALRPSLADALCPTLTRLPRFPDNRQRLAGDIGILNHAAAAGDGFSFAVASLQTFMEWQSGEGSAAILHLQEMAKLSVLQCSVGSDLDEDNTKNNDSRWVVKNLAMPFDSQGDFGPRQWKSNIAFAYAGKLYWADYDVGLIYCDVLESSPKLQLIKFPVPVRKFELGVSGPDDNCGNSESFRTAGVSNGKIKFVDVDNCRSQSFAVIIRTWTLQMPQMVWMIAVDMRAKSVKSCKNYPKGEKEDGYKGLSFNIDFICSMLSKS >ONIVA12G04420.1 pep chromosome:AWHD00000000:12:3564708:3567501:1 gene:ONIVA12G04420 transcript:ONIVA12G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAAAAAWRVEALSVKATDTECIDESVPYEGDTVSGTSSSSCTTSTGVPTIPASTSRNVTIYVMRYALTRYKEKKKRRKYLHGNKLTGVILPELGNMSVNVRGVTPRLYF >ONIVA12G04410.1 pep chromosome:AWHD00000000:12:3557724:3563145:1 gene:ONIVA12G04410 transcript:ONIVA12G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRVYSSWLQACGSPEGTMLKNYVLKKSFPIESTMSTPMLTNEEVGMTASVAANRRGEQRIQLHVSWPSTIKMVVWALVLPYV >ONIVA12G04410.2 pep chromosome:AWHD00000000:12:3559859:3563145:1 gene:ONIVA12G04410 transcript:ONIVA12G04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSVPVDDTSADAAAAPEEEEKKKKMAGAIFDTQLIIREPVTGTGAASHRTTTTGFPFSVSLSLAAPPAISHVHLHPMAAPHTLKHGLSSLLAADAHHLLLCVVVPVKTRYYSYDHPEEFFVYTAASALTPTLTRLPAFPDGRQRSSGDIGILTHGGGFTVASLQMWIVGEGTITVKELEEGTAIIEEFAKLTLLHCSGGDRDNNNSSSNSWWSSQIAFSHGGKVYWASYNIGLILCDVLESLPKLQLIKFPFPESEIQLLFHVKTDNCGPTASFRTVGVSDGKIKFVDVDKCRSRPSAIVIRAWTLQMPQMVWKLDDVLDVNDLWGSASFKKYEYPVVSLLDPHIVHFVLRQPMYHEQVWMITVDMRAKSVVSCKNYPNGEKGYEYKGLLFNPYYISSELSKPYV >ONIVA12G04400.1 pep chromosome:AWHD00000000:12:3543106:3554351:-1 gene:ONIVA12G04400 transcript:ONIVA12G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPESLSPAAAAVEDDWEEARGLLYEAYNELQGLAAELGGAAAPAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPRCHAPRCRLLAGSGAGDDEDEEAGVAGRAMPLADIQAYIEAENMRLENDPSQFSEKEIIIRIEYKHCPNLTIIDTPGLILPAPGRKNRVLQSQACAVETLVRAKIKHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKILQFARASDVEVFLHPPTCALDGSLLGDYPFFTSVPSGRVGSCHEAVFRSNEEFKKAISSRELEDVASLEDKLGRGLTKEEKNRIGVSNLRLFLEELLRKRYIESVPLIIPLLEKEHRGATRKLREVNQEISDLDEAKMKEKARLFHDSFLSKLSLLLKGMVVAPPDRFGETLINERINGGTFTGSENFLIPNKLMPNAGMRLYGGAQYHRAMAEFRLVVGSIRCPPITREEIVNACGVEDIHDGTNYSRTACVLAVAKARDTFEPYLHQVIIHNEETDSDICFSVGEFQQKDGENCSSHDVLVKRVKAAFDRFAESTEQSCRERCMEDLVSTTRYVTWSLHNKFNCFLLMPIVDKLPALLREDLESAFEDDLDSIFDVTQLRHSLGQRKRDLEIELKRIKRLKEKFAEINKKLNSLQVRQYYPTPPLSLPRKPWWLAPRIGHRQERGVVDDMTGGDKDHRRGGSGGGKETFLRSLDRVPSGLHIDADFPSDDDDDDDDEEVRVSFASTMGDHKMYSFRHHQAAVLEEEEEEDDDDEFSKYDMDEDMSIQERRRRLHQGLGLASSRDLALRRHSTRKRMVDIPRSVSKMPPLPSPAAASAAAAAAAPTTAAAAAAAPAPRPSARDMLMQRPAKPITRRRSDGCLAARDGGSSGKPPPPSSPSVRRVRSLPARHAGCDDVSLVEKFRNAMAKRDLPTAAVPPTTPPPAAAAAAAAPVDKGRTGDGGGKDRDDATKNQESSKEVAVVAAPKDAAPASNTQTGVQLGLEEIEKFIGNTPIVKHLMRRGQSQHHSGQLASPSGGAPPKAEKPAGGKKKGGWLKNIKSVAIGFIDSGGNSKSTTSTTTSSAGANATSSSSSSASSTERLKVHQSGKSCKELTGLYMCQEIMAHEGSIWSIKFSTDGRWLASAGEDHVVRIWQVVEANSPACLPNDGHSGPLPPHPPGAAPADGTSSSSTPALSQLSKKSVKGKSGRDTLPEHLVVPDKVFALADQPACVLEGHQDDVLDLTWSKTDQLLSSSMDKTVRLWDTTTKACLKVFAHNDYVTCIQFNPADDRFFISGSLDAKVRLWSIPDRQVVDWTDLNEMVTAASYTPDGQGAIIGSHKGSCRFYKTTDCKLDQEAQIDIETKKRKSQAKKITGFQLLKFKSLARTWPNCSSWPWPWTPQFAPGNPSEVLVTSADSQIRVFDGVTMVQKFRGFKNTSSQISAAYTSDGRYVVCPSEDSHVYLWRAARGAPAAAAAIGSIGGIGMKPKTWCTIRSFENFYCKDVSAAVPWPLAPSGAGGDGSTSGSSPSRRQGGVSCTDDVCSMPAKSGELGSAGTPLTHSGQLGSPAPGGGKGGADGNAWGLVVVTASLQGEIRVYQNFGMPFRIRGQGNLFY >ONIVA12G04390.1 pep chromosome:AWHD00000000:12:3537953:3539869:1 gene:ONIVA12G04390 transcript:ONIVA12G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVERPGPHRQEGQRQADASRLRQGTVKGCSRIARARGTRHDCEEGEGAVVPFPESSALKDMNVWVMNVVSVDSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHFFSKLKKSCKLLPVMVEVDRRCSSS >ONIVA12G04380.1 pep chromosome:AWHD00000000:12:3537678:3537920:-1 gene:ONIVA12G04380 transcript:ONIVA12G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPICAALGCAPSWRSRSRSAVVTARTHQLTGPPSPPSPSFRSLVPGEKLDQERGIWELGFCPSLLVGASSGAGTIGAVH >ONIVA12G04370.1 pep chromosome:AWHD00000000:12:3535780:3536573:1 gene:ONIVA12G04370 transcript:ONIVA12G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0J7H0] MVSPDLIRNVVGIVGNVISFGLFLSPVPIFWRIIKNKNVQNFKADPILVVTINGISLVIEAVYLTIFFLFSDKKNKKKMGVVLATEALFMAAVAVGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMVVKTKSVEYMPLLLSVTLYALIRFDIFITIPNGLGVLFAIMQLILYAIYYRTTPKKQDKNLELPTVAPIAKDTSIVAPVSNDDDVNGSTASHATINITIEP >ONIVA12G04360.1 pep chromosome:AWHD00000000:12:3526034:3530347:1 gene:ONIVA12G04360 transcript:ONIVA12G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDRDRYQRLDGNGGGGGGGRRSSPSSCSTATIVLFVALCLVGAWMMSSTGNVPMAVSPEDKPPAVVKEDDASSIDVTDGVKGRGGGGDGGDTPRTTDEAADDVGKKVQDAGDTAKTTDDVGDTGVKGGGVDTQTATDAVAKTTTGGTGAGESGKPAGDKVGDGETTTTSKNQTFSDENGKTEGGEVVSPEDPDKQSADDAPTDGKDTGDQASGDADEAPSTDTKGKKNSTAEEPRDTKDAGENADEASTETKADKSSDDTPTDAKATGDGGTPSKNQTSFDDENGKMDGVETVAEDGKVTEKSSEQVPTNGDDGGGGGEAQTTDDDTATGASSNNQTISDMNDDSNTTTTTTTTLAAVDSSNGTVSQTTEDDAPANSAAAAAAATEKINPAAEQELLPSGQAELLNETASAVAQNGSFPTQASESSAEKKARDRNKNKSNGSDTAAAAAVAVAHGWKLCNVSTGEDYIPCLDNEAAIKKLKTTKHYEHRERHCPAAAPTCLVPLPGGYRRPIPWPYSRDKIWYHNVPHTKLASYKGHQNWVKVSGEHLTFPGGGTQFINGAAHYIDLIEEAVPAVAWGRRSRVVLDVGCGVASFGGFLFDRDALTMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGGAYDAVHCARCRVPWHIWGGKLLLEVNRLLRPGGLFVWSATPVYRKTPEDVQIWHDMAALTKSMCWKMVKKTNDTVDETAMVIFKKPTSNGCYSNREKPEPPLCDADDDPDAAWNITLRACMHRLPTNKSVRGARWPELWPERMSAAPYWLSHSQVGVYGKPAPDDFAADEEHWNHVVNSSYLAGVGIDWSNDMNVWVMNVVPVDSADTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKLKKRCKLLPVMVEVDRILRPEGKLIVRDGRDTAAEVESILRSLHWEVRMTVSKQGEVMLCAEKTMWRPKEVEKAATTAS >ONIVA12G04350.1 pep chromosome:AWHD00000000:12:3513312:3522185:-1 gene:ONIVA12G04350 transcript:ONIVA12G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHRILRRGLSAASPLPSLRGLLLVSPQEPGRRPASSSAAEAAAGDVEAELRAAREDVRQLLKSNPCHPILVRLGWHDAGTYDKNITEWPKCGGANGSLRFGVELVHAANKGLLKALFLVIPIKSKYAGVTYADIFQLASATAIEEAGGPKIPMIYGRADVADGEECPPEGRLPAADPPSPAEHLREVFYRMGLSDKEIVALSRAHTLGRARPERSGWGKPETKYTENGPGAPGGQSWTSEWLKFDNSYFKEIKERRDEDLLVLPTDAVLFEDSSFKIHAEKYAEDQDAFFEDYAEAHAKLSNLGANEPLPKRHQNPPLPTSAAADMAVVHRLLRRGLSAASPLPSLQELGRRPASSSAAAAGDAAAELRGAREDVKQLLKSTSCHPILVRLGWHDAGTYDKNITEWPKCGGANGLVNALKLIQPIKDKHAGVTYADLFQLASATAIEEAGGPKIPMIYGRVDVAAPEQCPPEGRLPAAGPPSPAEHLREVFYRMGLSDKEIVVLSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTSQWLKFDNSYFKDIKERRDEDLLVLPTDAVLFEDSSFKIYAEKYAADQDAFFEDYAEAHAKLSNLGAKFDPPKLTCKS >ONIVA12G04350.2 pep chromosome:AWHD00000000:12:3513312:3522185:-1 gene:ONIVA12G04350 transcript:ONIVA12G04350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHRILRRGLSAASPLPSLRGLLLVSPQEPGRRPASSSAAEAAAGDVEAELRAAREDVRQLLKSNPCHPILVRLGWHDAGTYDKNITEWPKCGGANGSLRFGVELVHAANKGLLKALFLVIPIKSKYAGVTYADIFQLASATAIEEAGGPKIPMIYGRADVADGEECPPEGRLPAADPPSPAEHLREVFYRMGLSDKEIVALSRAHTLGRARPERSGWGKPETKYTENGPGAPGGQSWTSEWLKFDNSYFKEIKERRDEDLLVLPTDAVLFEDSSFKIYAEKYAADQDAFFEDYAEAHAKLSNLGAKFDPPKLTCKS >ONIVA12G04340.1 pep chromosome:AWHD00000000:12:3505159:3514965:1 gene:ONIVA12G04340 transcript:ONIVA12G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J7G6] MAAARSVGMEAEVAALRGRFAAGGTRGAEWRAAQLRGILRMAAEAEAEVCRALHADLAKPYTESYIALVKSSCKFALKNLKKWMKPQKPLGVVLIISAWNYPFLLSIDPIIGAIAAGNAVVLKPSEVAPATSSLLAELLPRYVDGSCIKVVEGGVAETTTLLEQKWDKIFYTGNGKVGRIVMASAAKHLTPVVLELGGKCPVVVDSNVNLHVTAKRIAAGKWGCNNGQACISPDFIITTKSFAPKLLEALEKVLEKFYGRDPLRSSDLSRIVNSNHFNRLKKLMDDENVSDKIVFGGQRDEHQLKIAPTIFMDVPLDSGIMKEEIFGPLLPIITVDKIHESFALINSMTKALAAYLFTKDSKLQEQYEAAISAGGMLVNDTAVHLTNQYLPFGGVGESGMGAYHGRFSFEAFSHKKAVLVRRFAGEAAARYPPYSPAKLKILRGVLKGNLGAMIKAILGFPRGK >ONIVA12G04330.1 pep chromosome:AWHD00000000:12:3496438:3502105:1 gene:ONIVA12G04330 transcript:ONIVA12G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J7G5] MSRRRGEGGGFLRDCGCGHVVAGSPPSAVEASPARRQCAAAIFVLHRLLAWAALSPSSTISRRRLAGHANYLHKGSSLSAKHASDVLRSTDGTFSFGFYNLSSTVFTLSIWFTNSADKTIAWSANQDRPVHESGSKVMLNKDGSMVLTDYDGTVVWQISSSAEAVRAELMDSGNLVVKDQGGSILWQSFDHPTNTLLPMQPVTATAKLVSTDPSHPTSYYTLRFDDRYVLSLAYDGPDIFNLYWPNPDQSSWTNYRISYNRSRSGVLDKLGKFMASDNTTFYASDWGLEIKRRLTLDYDGNLRLYSLNESDGSWYNSWMAFSQPCEIHGLCGWNGICAYTPKIGCSCPPGYVVSDPGDWSRGCKPAFNLTCSNDGQKMSFVRIPQTDFWGFDMNYVMSTSLHACRAMCLASCSCVAFVYKVYPNGCFLKSDLFNGKTVPGYPGAAYIKVPQSFLSWSQTHVSELANRHVCNASKTQMFNYATQSNKGTGTIWYYYYCFLAAFFLVELCFIAFGWWFMAKTHSARSAVWAAEEGYRVVTDHFRRFTYKELRRATRNFKDELGRGRYGSVYKGILDDDRIVAIKKLKDVKQGEAEFQTEVSVIGSIYHMNLVRVMGVCSEGSHRLLVYEYVENGSLAMFLFGSKGLLQWQHRYKIAVGVAKGLAYLHHECMDWIIHCDVKPENILLDQDFEPKISDFGFAKLLQRNQTDPNMSKIRGTRGYIAPEWVSGVPITEKVDVYSYGVVLLELVMGLRMSELPANGSADECAALRQLVWTVTEKIKTGDQTLIDGIVDPRLNGNFVRSEVLLVLEFAVLCLEKERNQRPNMNHVVQKFLSYE >ONIVA12G04320.1 pep chromosome:AWHD00000000:12:3494465:3496129:-1 gene:ONIVA12G04320 transcript:ONIVA12G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDPPPPLPPPPPPPATPQQNTAVELPPGVYFNPTREEAMHNYLNRWIAGKTIPEMEAGFVAGADVYGDGPDALRRRHRPGYWCNYVYKWFFLCHRKRQSSRRTTGNKRAERVVAAGGRWKVEQGKKVLGGGGGGGERDSLGFYSSNSTKKTSWIMEEYTSSAADGAAAAEDRMEPVLCKIYLSPRAPASEKRALFGEDGVAVGPDGRKRNARVTVLATLFDDVAALLGQPVAAAPPLPAPGDPLGHGHFDDVAARFGQVAVAALPASGDLGHGYFDDDAAALLGQVAMAPAPAPGHHQQGYVVAEALPESGYVGHYHHDGHLAQAAAAPEQDHYLGYHSHDAHVAEATPEQGYHDDAHVAVAPAPEQGDLRHDQGHLAAALTPEECGEIVGAYEFHPEMVQMLSMGFAVPDEQLVPQLLDPTGGGYDMASSATAAAAAAPTPRIRPNAAEAMAATATAETMPPPLDAVAAELSAPPRGLPPELAFRALPSVQQEPSCDDDDNFGEVVAEAMPPLIGENAGVDAGSDEPLPDLAGIMTELDFGHDFFSNQHRE >ONIVA12G04310.1 pep chromosome:AWHD00000000:12:3490297:3494467:1 gene:ONIVA12G04310 transcript:ONIVA12G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWARPAGFALLVVVVLAAAASADRPARGGLSVTAGAEESSAVFPLYGDVYPHGLYYVAMSIGNPPRPYFLDVDTGSDLTWLQCDAPCVSCSKVPHPLYRPTKNKLVPCVDQMCAALHGGLTGRHKCDSPKQQCDYEIKYADQGSSLGVLVTDSFALRLANSSIVRPGLAFGCGYDQQVGSSTEVSATDGVLGLGSGSVSLLSQLKQHGITKNVVGHCLSTRGGGFLFFGDDIVPYSRATWAPMARSTSRNYYSPGSANLYFGGRPLGVRPMEVVFDSGSSFTYFSAQPYQALVDAIKGDLSKNLKEVPDHSLPLCWKGKKPFKSVLDVKKEFKTVVLSFSNGKKALMEIPPENYLIVTKYGNACLGILNGSEVGLKDLNIVGDITMQDQMVIYDNERGQIGWIRAPCDRIPKFGSSLLDNTIHGFEDGYCWPQFPNIIGYQNEQSEVCYSSITK >ONIVA12G04310.2 pep chromosome:AWHD00000000:12:3490297:3494123:1 gene:ONIVA12G04310 transcript:ONIVA12G04310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWARPAGFALLVVVVLAAAASADRPARGGLSVTAGAEESSAVFPLYGDVYPHGLYYVAMSIGNPPRPYFLDVDTGSDLTWLQCDAPCVSCSKVPHPLYRPTKNKLVPCVDQMCAALHGGLTGRHKCDSPKQQCDYEIKYADQGSSLGVLVTDSFALRLANSSIVRPGLAFGCGYDQQVGSSTEVSATDGVLGLGSGSVSLLSQLKQHGITKNVVGHCLSTRGGGFLFFGDDIVPYSRATWAPMARSTSRNYYSPGSANLYFGGRPLGVRPMEVVFDSGSSFTYFSAQPYQALVDAIKGDLSKNLKEVPDHSLPLCWKGKKPFKSVLDVKKEFKTVVLSFSNGKKALMEIPPENYLIVTKYGNACLGILNGSEVGLKDLNIVGDITMQDQMVIYDNERGQIGWIRAPCDRIPNDNTIHGFEDGYCWPQFPNIIGYQNEQSEVCYSSITK >ONIVA12G04300.1 pep chromosome:AWHD00000000:12:3460628:3465020:-1 gene:ONIVA12G04300 transcript:ONIVA12G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGLMKQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQEGAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >ONIVA12G04290.1 pep chromosome:AWHD00000000:12:3454164:3461990:1 gene:ONIVA12G04290 transcript:ONIVA12G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKAASSADARAKWRKRKRNANTSAADHSDDSDSAAAAAANDDNDDDDAALHAATAAANGGGGTLGGGDDDPVVDLREAEVHPTAIERVSAFPPAFRRVVNRLHPSVLAVMAAERAAAAAGAGAGGGGAAVPALENISHGQLQVLSSVLPDHPSLSNDPDKPSSYVCTPPLLMECRGVAKQFDGKLLMVPKHSDWFSPMTVHRLERQVLPQFFSGKSPGHTPGKYIMLRNRVITTYLERPARRLAFSECQGLVTSTPELYDLSRIVRFLDAWGIINYLAAGSVQRGLRMAATLIREEPTGELHLMSAPLKSIDGLILFDRPKCSVRAEDIASGASISSSPGMENGDAGFDEKTLLERLSESFCSFCAQPLPSLHYESQKEADIALCSDCFHDARFVTGHSSLDFQRVDGKKDGLGNDGDSWTDQETFLLLEGIDKYKENWNAVAEHVGTKSKIQCLHHFLRLPVEDGLLENIKVPEASFSSKFWLMQNASGSVFKLPQLFALGSLPQSGEAGDLPFINTANPVMSLISIMSLALLFRDDLQIAFLASSLGPRVAASCASEALIVLTGGDSRISSIGNDVMGHAAQPNCDSSLAVSSENVRHAARCGLSAAATKCKLFADQEEREIQRLSATIINHQLKRLELKLKQFADIETYLLRDSEQSERMRQGLQAQRIRMMSGLRLASPRGNTMASNPLSQANIRPPGIPGSMPQAGTPAFYSNNMQVHPQMAFLQQQMQQQQQKQQQQQQMQLQQQQQQMQLQQQQQRQAFLQQQQQQMQQQQQQQLQQQQQRQLQMLSFGGRLPLSAMNAPSTSAAPNAMFDNPDMPGPSNQG >ONIVA12G04280.1 pep chromosome:AWHD00000000:12:3448096:3452313:1 gene:ONIVA12G04280 transcript:ONIVA12G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT1G21690) TAIR;Acc:AT1G21690] MAPLVPSSQPWVEKYRPRQVKDVAHQEEVVRVLTTTLQTADLPHMLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSARKGGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSNRILHICNEEGLSLDAQALATLSTISNGDLRRAITYLQSAARLFGSSISSTDLISVSGAIPEDVVKSLLASCKSGEFDVANKEVNNIIADGYPVSQLISQFLDVIVNADDIPDEQKARICKKLGEADKCLVDGADEYLQLLDVASETIRALFDMPQTLVF >ONIVA12G04270.1 pep chromosome:AWHD00000000:12:3441983:3444870:1 gene:ONIVA12G04270 transcript:ONIVA12G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIPDVAAVEPVTDKETGLELNEENVEKVLNEIRPYLAGTGGGGLQFLMIKGPIVKVRLTGPAAVVRTVRVAVSKKLREKIPSIQIVQLLS >ONIVA12G04260.1 pep chromosome:AWHD00000000:12:3436082:3439058:-1 gene:ONIVA12G04260 transcript:ONIVA12G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELAMVTAARAIAEWDHPATDITHLVTSTNAGAHTPGADERLAALLGLRPARHPLHARLLRLAKDIADNTHGLPKEKFLATPLSAMALAGFFDLSILPDDSKSTTTNTSVVARALDLGYSAVALDHPHRGLLTDSHAPIASSLRVSPSASLHRRRHPFLQYTRITLSLDSAAACASALAPSAARLLRTYDIVAARPLTQAAFDHLCQATFDHLDIVSIDFSHKLSFRLKLPMLKLALQRGLHLEIAYSPLIADAASRRQAIAEAKLLVEWTKGKNLIISSAAHTASEIRGPYDAINLSSYLLGLSTQRAKAALSVNCRSLVSKALRKKHFYKETIRIDRLLPNKQLNSADFKLADWISWDPMPHEVDLLSLELPAYKGEPQSLDINPEPSANEDD >ONIVA12G04250.1 pep chromosome:AWHD00000000:12:3430061:3435584:1 gene:ONIVA12G04250 transcript:ONIVA12G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0J7F5] MLLPTSASACRGSGRALLLALAAALLALATPRPASASESDHKYKVEEPVKLWVNKVGPYNNPQETYNYHSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFLRNEERGSICTLELDSKKVQQFSDAIDNSYWFEFFMGFVGETDKNNENKRYLYTHKSILVKYNDNRIIHVNLTQESPKLLEAGKKLDMTYSVKWLQTDVTFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLAFLSAVVGIGTQLAALILLVIVLAIVGMLYVGRGSIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMILTASLFPFLCFAIGFVLNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFLSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYLGSTLFVRRIYRNIKCD >ONIVA12G04250.2 pep chromosome:AWHD00000000:12:3430061:3435592:1 gene:ONIVA12G04250 transcript:ONIVA12G04250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0J7F5] MLLPTSASACRGSGRALLLALAAALLALATPRPASASESDHKYKVEEPVKLWVNKVGPYNNPQETYNYHSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFLRNEERGSICTLELDSKKVQQFSDAIDNSYWFEFFMGFVGETDKNNENKRYLYTHKSILVKYNDNRIIHVNLTQESPKLLEAGKKLDMTYSVKWLQTDVTFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLAFLSAVVGIGTQLAALILLVIVLAIVGMLYVGRGSIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMILTASLFPFLCFAIGFVLNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFLSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYLGSTLFVRRIYRNIKCD >ONIVA12G04240.1 pep chromosome:AWHD00000000:12:3424216:3427865:-1 gene:ONIVA12G04240 transcript:ONIVA12G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX-interacting protein 2 [Source:Projected from Arabidopsis thaliana (AT2G38270) TAIR;Acc:AT2G38270] MATSSAAAALRLPASQLPLSARPSSSSTLRFPPRRPARRGGLAVSAFTKLSEASPVAIPPEPAQPLPDEEALPPKPGVYGVYDPAGELQFVGISRNVRASVEGHRRKVPADLCGSVKVSIADEETPDRTVLTNAWKSWLEEHITATGKAPPGNVAGNHTWVGPPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKDNKVVAFIKGSRSAPQCGFSQRVVGILESHGVDFVTVDVLDEEHNHGLRETLKTYSNWPTFPQVFVGGELVGGCDIVSSMAEKGELAALFKK >ONIVA12G04230.1 pep chromosome:AWHD00000000:12:3415320:3417462:1 gene:ONIVA12G04230 transcript:ONIVA12G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAHINQHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTDEEDELIIKLHELLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGLDPLTHRPLNAAAAVAGHHHLAAGGSSCSPDATSGHSSCSDGDEYRGGIDLNLSISPPSSSSQPSSPPPPPHEAEARRAGATASYTYHHHYSETREKICLCLNHLGLHGGDECSCGGSSASSSSSPPPATASSRAFTFANASSSTV >ONIVA12G04220.1 pep chromosome:AWHD00000000:12:3382878:3384710:-1 gene:ONIVA12G04220 transcript:ONIVA12G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSCFNPQPAISHGIPFDSFLLQDELHHHADLDHPFEAEGITVHGSELEGGSVLPFATLHDLDHEFFRRGSREDFIDNASSIFLLNPKTEVSHFVRDVQVGAFGAYEMNGRLISRNKASRKGIKKADAVKGHWTVEEDRKLVKLVEQFGLKKWSLIGGMLPGRVGKQCRERWFNHLRPNIKKDTWSEEEDMVLIQIHKEVGNRWAEIAKRLPGRTENSIKNHWNATKRRQFARRRNRSTSKSGSTVLQNYIKSLAISPQESQMNNERSESNPSDMMITQGTPCCFDGNNCSQSHTSEENIVPSCGDFAAEMWSGLFDTKEDEEDEAQYLLYDMDTHVDMNCIFSNMDYGSNIEPGLASVVKAECSAESWAVNLKETD >ONIVA12G04210.1 pep chromosome:AWHD00000000:12:3381602:3381904:1 gene:ONIVA12G04210 transcript:ONIVA12G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKEEFVDLRGMAMGGGGTGGWQGGDAAAEVVELVAGGGPVLVVGTKRSDRIRRRPVMADPLARSHWRWGRRRGQAVVLCGGGCDRGGGGIVSFPWQR >ONIVA12G04200.1 pep chromosome:AWHD00000000:12:3373640:3380336:-1 gene:ONIVA12G04200 transcript:ONIVA12G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFAGVPRRAAQSSSSSSQAPLDEPPPPALTPEQVGLCREALEYFEGMCGRPEAMSDEFRRLQDTRHELMRSSNEARNAANREKNRYIDVVPFDTTRVRLKRSTTSQTSSNDYINASFIKVTEDNRVAKFISTQGPLAKTFDDFWEMVYEYQCPIIVMLTQFDSLKCDEYLPLRKQREAYGKYNVKITNAKRDSHQLWLRDVMVQCNESSRVHYVRHIEYPDWPDHGVPTNTDAVRQIRKWLQNTPMEHPIVVHCSAGIGRTGAYITIHSTIERLLLGDKSSYHLDETVKTLRTQRVGMVQTEI >ONIVA12G04200.2 pep chromosome:AWHD00000000:12:3375452:3380336:-1 gene:ONIVA12G04200 transcript:ONIVA12G04200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFAGVPRRAAQSSSSSSQAPLDEPPPPALTPEQVGLCREALEYFEGMCGRPEAMSDEFRRLQDTRHELMRSSNEARNAANREKNRYIDVVPFDTTRVRLKRSTTSQTSSNDYINASFIKVTEDNRVAKFISTQGPLAKTFDDFWEMVYEYQCPIIVMLTQFDSLKCDEYLPLRKQREAYGKYNVKITNAKRDSHQLWLRDVMVQCNESSRVHYVRHIEYPDWPDHGVPTNTDAVRQIRKWLQNTPMEHPIVVHCSAGIGRTGAYITIHSTIERLLLGDKSSYHLDETVKTLRTQRVGMVQTEKQYMFCYRAIADELKDLLESNR >ONIVA12G04200.3 pep chromosome:AWHD00000000:12:3373640:3380336:-1 gene:ONIVA12G04200 transcript:ONIVA12G04200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARFAGVPRRAAQSSSSSSQAPLDEPPPPALTPEQVGLCREALEYFEGMCGRPEAMSDEFRRLQDTRHELMRSSNEARNAANREKNRYIDVVPFDTTRVRLKRSTTSQTSSNDYINASFIKVTEDNRVAKFISTQGPLAKTFDDFWEMVYEYQCPIIVMLTQFDSLKCDEYLPLRKQREAYGKYNVKITNAKRDSHHAGIGRTGAYITIHSTIERLLLGDKSSYHLDETVKTLRTQRVGMVQTEI >ONIVA12G04190.1 pep chromosome:AWHD00000000:12:3372757:3373311:1 gene:ONIVA12G04190 transcript:ONIVA12G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 23 [Source:Projected from Arabidopsis thaliana (AT2G21100) UniProtKB/Swiss-Prot;Acc:Q84TH6] MAAMLISRRSIQLVLVVAAVVAIAGAVHAAAGETTATTTHIKVYWHDVVSGPSPTAVQVARAATTNSSASFFGAVVVIDDPLTSGPDLNASSPVGRAQGTYVSAGKDTVALLMNMNFVFQSGRYNGSTVAIMGRNEVFAAVREMAVVGGTGVFRWARGYAQARTHTFDMKTGDATVEYNLYINH >ONIVA12G04180.1 pep chromosome:AWHD00000000:12:3363731:3364010:1 gene:ONIVA12G04180 transcript:ONIVA12G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFPIVDKLFRQIRFLLVHDAYEVAEEQLGDAHAVGKEQIGLIDRMGKICLGRLGLPMASCSRGGQKAFSQADSCSACM >ONIVA12G04170.1 pep chromosome:AWHD00000000:12:3359696:3360424:1 gene:ONIVA12G04170 transcript:ONIVA12G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPLPPPPPTTTTMPLPLPPPPLPLPSPSSPSSSTTIPRRRHHRRLASSSSTSSSSTASIFSTSPSPSRRCSSSRAAVTSDVTTTASVVVVPFSWELHPGIPKNGLAASSSSAAGEPALPLPPPIRASPPPRRRRQHHRRRRSDGVPARSGAGDPFTAAIAECTREEDSADDLPGRRRSDAAAAASSEHRWRWLAGGSGFVGFLDLYGCKSAMAVADAAILARRRPVVARSKPSRATRREK >ONIVA12G04160.1 pep chromosome:AWHD00000000:12:3359641:3360177:-1 gene:ONIVA12G04160 transcript:ONIVA12G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKGSPAPERAGTPSERRRRWCWRRRRGGGDARIGGGSGSAGSPAAEEDEAARPFLGMPGWSSHEKGTTTTEAVVVTSLVTAARDDEQRREGDGDVENMEAVEEDEVEEEEARRRWWRRRGMVVDDDGDDGEGSGRGGGGSGSGIVVVVGGGGGSGCGMARSSGEGDRESDSGMDG >ONIVA12G04150.1 pep chromosome:AWHD00000000:12:3343647:3343988:-1 gene:ONIVA12G04150 transcript:ONIVA12G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAPLLPSWRAAAAAWVALCLVPVVLSLAVLWLPLLCCAVAVFRFRRVRMMRSSAATGGRRRCGGGGGGRWPEKGEGEDSGGDRGMLLQKYLEDQMELVGEFAADPPPVES >ONIVA12G04140.1 pep chromosome:AWHD00000000:12:3335083:3340446:1 gene:ONIVA12G04140 transcript:ONIVA12G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALYARGGGVGCGAKAAAAARRPGRGGGGGRRRAAAVLLLLLALAYVAGLLVFVLAGGGGVGGRVEVGREVGVMTVASLRRRRAAAAAAAQPGSVYRSHLVFERLWPDIRDDASSASAAASSLSSTSWRRSMLMTSHYQNPGELWMPCVNRKLIRPELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATLVIPAFHFNSVWRDHSKFGDIFDEDHFIETLKQHVRVVKELPVDVLTRFDHNISSIPNMRTKAYSSPNHYMQKVLPELLELGAVRIAPFSNRLAQSVPSNLQALRCFVNYQALRFAGPIRVLAEDMVERMVKRSTLTGGKFVSVHLRFEEDMVAFSCCTYDGGLKEKTEMENARERSWRGKFHRHGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNAEKYMAPLRQMFPLLATKDTLALPEELAEFEGHSSRLAALDYSVCLPSEVFVTTQGGNFPHFLMGHRRYLFGGNAKTIKPDKRKLVLSFDDPNIRWNRFKRHMQDILHHSDMRGTALRKPNDSIYTFPMPECMCQQDGMM >ONIVA12G04130.1 pep chromosome:AWHD00000000:12:3325499:3331216:1 gene:ONIVA12G04130 transcript:ONIVA12G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGGGGGGGGGGGGGGGGRGGRGGHARRDARPRDDRGRDAPRDDRVRHGDRRPHDYRPRRTPSPGRDHRIRRTLSPYRDRHRIPDYHPRRTPSPHRDRDRDRHAAGRPRGRGDDDRTRDPPRGRSPPPPPPPYRGDDRGRYDGPPDYMLPDHPSSLRPARKDGEFFGGRSGNKDSEFFGEPGMTLRVCSTEMGRTSSLYLDRRSPPLPLPPPPPPVRVASPPLYTLVPPAETGFLTGGSAMKAGENFGMGSSRSLLDGGEFQYRDRLDDPYVERSREREIDRLYAGRSLHLERDGEMDRLYPSKGVLGADLAPSAYAGSSSSLLAMERPYRVHDGPSYEPTNGYAMEELGRLSHDTLGHVSGHAHRFSGSPLEHDSGRDGKILLDITRQKHSNHSPRDASVEYGRRDPVNAYLPPENLRGNGSQVSSPSVRRMFGSSSLTGRKDERIDHQVRLPLRMAEDEDTFHGMHDGMERDVQHSYHGDALTRHRRTRNSDLRYSRSPETERLKLGRHPVQHGYSSFDDTHEFSDQEVSPVISRGRPRKAMYRDHVTEHYQADDSPLGRECYDDDVDSYDLSPQRMAEPYDMVDDQDKYDARYDLPNNRNVFSRITLRDDTNDGWTDEDQENNHQSNSITYGHSQNKPISQRLSRPTGHSQFGGFPMHGRGRGKSAKKRLRSALPQFHVGSTQGGDGFVRPNKRFKLTEVNHDDPEMSHEDAPEDEDISMQKDPPEGSEEFTKQVHQAFLKYTKLLNESPTVQKRYREAAKGSLSCCVCGSVPRKFPDIDALISHAYDTCKMGLKTKHLGFHKALCVMMGWNWHVAPDTTKTYHSMPDEEVNAMKDDLMLWPPVVIIHNSSIATKAKATDAKIVSKEEIEGVLTEIGVACDKAKISHGRPANQSVFLVKFLPTISGFQEAMRIHEHFTAKSHGKEEFQQIKGGKGKKDAPVDELEELLYAHIAVAEDLGYLDEETKKRCLVRSKNDIEAKADATLNLDS >ONIVA12G04120.1 pep chromosome:AWHD00000000:12:3315986:3318638:-1 gene:ONIVA12G04120 transcript:ONIVA12G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSGRNGTGHGQIAGPDEEIPAGGDAKRSREEGGRNTTTTCQEDEDEDNDYYNDDSNFLDSYRTTWATNYGKNDGSFEDETAIPPMQHTDGPVLPISSWPMEVLQIFSVKDSSLTLTGPSRAVVLINPVMFEVDLKVITHNNSGLPLSESEEDDKVLSYNAFFYDGVAHMNNTGFARRSVESTEHSTMEFVFAHLIFAVEATIAVRVIEGSTDFRARLTARTAGIDEDVVLLDSGDGKVAVVNDDDDSGPLVVLQRRVVVVEEKGSLILGVEAAEIGSEQVDIRPRHALRSRCGFNLGFCRMSVMIAWSVLP >ONIVA12G04110.1 pep chromosome:AWHD00000000:12:3311936:3312187:1 gene:ONIVA12G04110 transcript:ONIVA12G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0J7D9] MPANGDGPARGSAAAAASLRRRRTTGGAGAGARGGTSTMLQFYTEEAAGCKMSPNAVLIMSIGFFAVVALLHVFGKLYRTSSN >ONIVA12G04100.1 pep chromosome:AWHD00000000:12:3311891:3312151:-1 gene:ONIVA12G04100 transcript:ONIVA12G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNDREKPDAHDQNSIGGHLAPSSLFSVELKHCAGATSSTGTGTASGSAPAQACGSCCAPSGRAIAISRHLVLALCKGNTGFKD >ONIVA12G04090.1 pep chromosome:AWHD00000000:12:3301737:3302990:1 gene:ONIVA12G04090 transcript:ONIVA12G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGGGGGGAAPSSSNSGGGSGGGGGGGGRENHPHHPFYYSGPAAAAAAAAAAQQQQQTFMGALAITPVVAEQPQGSSGGGEKKVVAPTTPAAAGAAATTTLAKRPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSSLNISIRSGAASASSASNPNRASPFPALALHPHHQQHDVSAMLGYHHHLLPPPQQQQESPQDPGGAGAFMRKRYREDLFKEDDDRQDPSAPKAREQQPTTPQAAAAAAAAAMWAVAPNTAAPGGAFWMLPVSASSAAAARPTEQPMWSFAGGGGGAATVQAPLQFMSTRVNYPGSAGAGMSDTNLGMLAALNAYNRGGAGDQQPQQQPEMDQQGRNDDDDDDGDDSGDEDNGGANNNNNNSSQ >ONIVA12G04080.1 pep chromosome:AWHD00000000:12:3272330:3278057:-1 gene:ONIVA12G04080 transcript:ONIVA12G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANSSASAAGAGGGSAAAAGLKTYFKTPEGRYKLQYEKTHSAAVLHYNHGGKTVSQLTVAYLKEKPAGQGSTPSTPSSSSGMRSAAARLLGSGNGNRTLSFVGGNGVSRAVSGSSRIGGGLGTSGGIGSSQAVANYDGKGTYIIFNTADTLFISDLNSQDKDPIKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGSGDVYSMSLRQQLQDSGRKPVAAQHYNKATWIIVWAVVIKMPNFFFRCTSVAWVPEREGIFVVSHSDGNLYVYDKCKDGNTECTFPAIKDPAQLMISHAKSSKSNPIARWHVCQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSSDGKYLLTGGEDDLVWSMDDRKIVAWGEGHNSWVSGVSFDSYWSPPNSDGTGENTVYRFGSVGQDTQLLLWDLALDEIAVPLRHPSSGSPTFSSGSPSAHWDNACLPTGVLQPSPRMRDVPKLSPLVAHRVHVDPLSGNPVSKDRVITTSNKATGSSFKQSSAVVFT >ONIVA12G04080.2 pep chromosome:AWHD00000000:12:3272056:3278057:-1 gene:ONIVA12G04080 transcript:ONIVA12G04080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANSSASAAGAGGGSAAAAGLKTYFKTPEGRYKLQYEKTHSAAVLHYNHGGKTVSQLTVAYLKEKPAGQGSTPSTPSSSSGMRSAAARLLGSGNGNRTLSFVGGNGVSRAVSGSSRIGGGLGTSGGIGSSQAVANYDGKGTYIIFNTADTLFISDLNSQDKDPIKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGLFNVTEATIARFWKEACCGPTLQQGRCTSVAWVPEREGIFVVSHSDGNLYVYDKCKDGNTECTFPAIKDPAQLMISHAKSSKSNPIARWHVCQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSSDGKYLLTGGEDDLVWSMDDRKIVAWGEGHNSWVSGVSFDSYWSPPNSDGTGENTVYRFGSVGQDTQLLLWDLALDEIAVPLRHPSSGSPTFSSGSPSAHWDNACLPTGVLQPSPRMRDVPKLSPLVAHRVHVDPLSGLVFTNESILTICREGLIKIWVRPDQNENNHQSNSSELVLGNPVSKDRVITTSNKATGSSFKQSSAVRAD >ONIVA12G04080.3 pep chromosome:AWHD00000000:12:3272330:3278057:-1 gene:ONIVA12G04080 transcript:ONIVA12G04080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANSSASAAGAGGGSAAAAGLKTYFKTPEGRYKLQYEKTHSAAVLHYNHGGKTVSQLTVAYLKEKPAGQGSTPSTPSSSSGMRSAAARLLGSGNGNRTLSFVGGNGVSRAVSGSSRIGGGLGTSGGIGSSQAVANYDGKGTYIIFNTADTLFISDLNSQDKDPIKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGSGDVYSMSLRQQLQDSGRKPVAAQHYNKATWIIVWAVVIKMPNFFFRCTSVAWVPEREGIFVVSHSDGNLYVYDKCKDGNTECTFPAIKDPAQLMISHAKSSKSNPIARWHVCQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSSDGKYLLTGGEDDLVWSMDDRKIVAWGEGHNSWVSGVSFDSYWSPPNSDGTGENTVYRFGSVGQDTQLLLWDLALDEIAVPLRHPSSGSPTFSSGSPSAHWDNACLPTGVLQPSPRMRDVPKLSPLVAHRVHVDPLSGLVFTNESILTICREGLIKIWVRPDQNENNHQSNSSELVLGNPVSKDRVITTSNKATGSSFKQSSAVVFT >ONIVA12G04080.4 pep chromosome:AWHD00000000:12:3272330:3278057:-1 gene:ONIVA12G04080 transcript:ONIVA12G04080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANSSASAAGAGGGSAAAAGLKTYFKTPEGRYKLQYEKTHSAAVLHYNHGGKTVSQLTVAYLKEKPAGQGSTPSTPSSSSGMRSAAARLLGSGNGNRTLSFVGGNGVSRAVSGSSRIGGGLGTSGGIGSSQAVANYDGKGTYIIFNTADTLFISDLNSQDKDPIKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGLFNVTEATIARFWKEACCGPTLQQGRCTSVAWVPEREGIFVVSHSDGNLYVYDKCKDGNTECTFPAIKDPAQLMISHAKSSKSNPIARWHVCQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSSDGKYLLTGGEDDLVWSMDDRKIVAWGEGHNSWVSGVSFDSYWSPPNSDGTGENTVYRFGSVGQDTQLLLWDLALDEIAVPLRHPSSGSPTFSSGSPSAHWDNACLPTGVLQPSPRMRDVPKLSPLVAHRVHVDPLSGLVFTNESILTICREGLIKIWVRPDQNENNHQSNSSELVLGNPVSKDRVITTSNKATGSSFKQSSAVVFT >ONIVA12G04070.1 pep chromosome:AWHD00000000:12:3268181:3270128:1 gene:ONIVA12G04070 transcript:ONIVA12G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAARSFPNWVMLERFIFRVDDENPFHVAFRLSNPPGFPNSRNVLPFSVVATHRHLVRSTGLLYLGNQDQLSLPIQAPGGPSSTLFAAVAHIKAPMVHGNPDDVHQLYSWKTDTVIPFDNQLCWIDYMRGILFYDPAAIVVSFLPFPVDHETPRRNKECFWLYRGVSVLDASGVLKFIDVARDDGLGFESLRRDAGFTVTCYSLVLGEHKKKKHRRTMEWREDYKITSNELWSINSLGCLPRTLLMFPQVDIDRPHIVLFLAPELRYVIKKMWVVAIDMNTKIVESSSLYINGKEDLQTEDADLTRRGTIWNESHLSTSSILLLIVHLPAQLPFCFLLNMLWFLERILSFLDIG >ONIVA12G04070.2 pep chromosome:AWHD00000000:12:3268181:3270128:1 gene:ONIVA12G04070 transcript:ONIVA12G04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCAARSFPNWVMLERFIFRVDDENPFHVAFRLSNPPGFPNSRNVLPFSVVATHRHLVRSTGLLYLGNQDQLSLPIQAPGGPSSTLFAAVAHIKAPMVHGNPDDVHQLYSWKTDTVIPFDNQLCWIDYMRGILFYDPAAIVVSFLPFPVDHETPRRNKECFWLYRGVSVLDASGVLKFIDVARDDGLGFESLRRDAGFTVTCYSLVLGEHKKKKHRRTMEWREDYKITSNELWSINSLGCLPRTLLMFPQVDIDRPHIVLFLAPELRTRNNME >ONIVA12G04070.3 pep chromosome:AWHD00000000:12:3268106:3270128:1 gene:ONIVA12G04070 transcript:ONIVA12G04070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGNPDDVHQLYSWKTDTVIPFDNQLCWIDYMRGILFYDPAAIVVSFLPFPVDHETPRRNKECFWLYRGVSVLDASGVLKFIDVARDDGLGFESLRRDAGFTVTCYSLVLGEHKKKKHRRTMEWREDYKITSNELWSINSLGCLPRTLLMFPQVDIDRPHIVLFLAPELRTRNNME >ONIVA12G04070.4 pep chromosome:AWHD00000000:12:3268491:3270128:1 gene:ONIVA12G04070 transcript:ONIVA12G04070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGNPDDVHQLYSWKTDTVIPFDNQLCWIDYMRGILFYDPAAIVVSFLPFPVDHETPRRNKECFWLYRGVSVLDASGVLKFIDVARDDGLGFESLRRDAGFTVTCYSLVLGEHKKKKHRRTMEWREDYKITSNELWSINSLGCLPRTLLMFPQVDIDRPHIVLFLAPELRYVIKKMWVVAIDMNTKIVESSSLYINGKEDLQTEDADLTRRGTIWNESHLSTSSILLLIVHLPAQLPFCFLLNMLWFLERILSFLDIG >ONIVA12G04060.1 pep chromosome:AWHD00000000:12:3252134:3255428:-1 gene:ONIVA12G04060 transcript:ONIVA12G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYCYGQRIEEYRGNKEEEESIRALEGSNMWFRKGRKCLNLEASPAHYALRFSPRAVRCLYLLGLSLWLSPQVEL >ONIVA12G04050.1 pep chromosome:AWHD00000000:12:3251294:3252283:1 gene:ONIVA12G04050 transcript:ONIVA12G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGARSRGTEDGGPAVARGAGGLESGKFPYRFHPYVHSTMRARPDYRIWWEDRLRHGTGMRLFEMGLQLHLRAEPKRGRPGTGLFELGLQLHLRAEPKRGRAGTGLFELVNYRIWWEDWLRHAGTRLFKMLRAEPKRRPCTGLFELGLQLHLWAEPKGDRPSKGLFELGLQLYLWAKPKREAKQIEAADCSRTKSENEGKNERSVQKQESIRSKNKGV >ONIVA12G04040.1 pep chromosome:AWHD00000000:12:3238830:3246123:1 gene:ONIVA12G04040 transcript:ONIVA12G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRNNYWSAHQIIRNLFLSEDGSVPEDIQHLLNLILHEFDKREIFHFHGSLVSLANVSLFFKSMYDHIRFVMPPDDLRAILTNLPYADVWESKVKTNRILKKPYDFNPDGRIVPADKPSQTCLNKRQREFLHALGLTPIRGQKSLTPDQIALIETLFFFDFLRNRTSHRMDPWRSLILGYNAVDSEHFSWDIYSNF >ONIVA12G04040.10 pep chromosome:AWHD00000000:12:3238830:3244081:1 gene:ONIVA12G04040 transcript:ONIVA12G04040.10 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDMEAEGASGKLGHKRADLEKPTEEERASNGGGDAAKTPSEDHHDSARRRAMAMENDDDDDDEYYDEPLPNPLDAYRQSWARSYGTNGATFEDETDLPPMPNTDIPVLPPSAQPMETMQVFTVKVTQITGGLRWPLGVYGVVAVRDSMDHKRNVFCHY >ONIVA12G04040.11 pep chromosome:AWHD00000000:12:3244581:3246309:1 gene:ONIVA12G04040 transcript:ONIVA12G04040.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRNNYWSAHQIIRNLFLSEDGSVPEDIQHLLNLILHEFDKREIFHFHGSLVSLANVSLFFKSMYDHIRFVMPPDDLRAILTNLPYADVWESKVKTNRILKKPYDFNPDGRIVPADKPSQTCLNKRQREFLHALGLTPIRGQKSLTPDQIALIETLFFFDFLRNRTSHRMDPWRSLILGYNAVDSEYACHVRFPLVVPYLQLELYNRENCLHRARAMAARNKRVVRSSRRRPLWVSRNVPGSMESGKKVVKYKMPNVVIKQMMSYPYTYPEYAYTEEELAQRSASNSESSSMKR >ONIVA12G04040.2 pep chromosome:AWHD00000000:12:3238830:3246123:1 gene:ONIVA12G04040 transcript:ONIVA12G04040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDMEAEGASGKLGHKRADLEKPTEEERASNGGGDAAKTPSEDHHDSARRRAMAMENDDDDDDEYYDEPLPNPLDAYRQSWARSYGTNGATFEDETDLPPMPNTDIPVLPPSAQPMETMQVFTVKVTQITGGLRWPLGVYGVVAVRDSMDHKRNVLFRRGRNECQTLTSLQACGEEGDFCRHRWIPLAVSVALIPLTRHRTFSGATSLVGFLPLLSFSMATANNPGPLDLVPGFSPEDMDNPIHNAALSYVSYDRYVLDTSEVYFDDCIPLESYLNIRPMVTSDSYQFRSFGETNPSVTYLVATESCKKVIRTMLKGLRTNELRNLCFLDLNISNIFVSPTGKVKFKNISRV >ONIVA12G04040.3 pep chromosome:AWHD00000000:12:3238830:3246123:1 gene:ONIVA12G04040 transcript:ONIVA12G04040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDMEAEGASGKLGHKRADLEKPTEEERASNGGGDAAKTPSEDHHDSARRRAMAMENDDDDDDEYYDEPLPNPLDAYRQSWARSYGTNGATFEDETDLPPMPNTDIPVLPPSAQPMETMQVFTVKVTQITGGLRWPLGVYGVVAVRDSMDHKRNVERRAISVVIAGFHSPSPLVGFLPLLSFSMATANNPGPLDLVPGFSPEDMDNPIHNAALSYVSYDRYVLDTSEVYFDDCIPLESYLNIRPMVTSDSYQFRSFGETNPSVTYLVATESCKKVIRTMLKGLRTNELRNLCFLDLNISNIFVSPTGKVKFKNISRV >ONIVA12G04040.4 pep chromosome:AWHD00000000:12:3238830:3246123:1 gene:ONIVA12G04040 transcript:ONIVA12G04040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDMEAEGASGKLGHKRADLEKPTEEERASNGGGDAAKTPSEDHHDSARRRAMAMENDDDDDDEYYDEPLPNPLDAYRQSWARSYGTNGATFEDETDLPPMPNTDIPVLPPSAQPMETMQVFTVKVTQITGGLRWPLGVYGVVAVRDSMDHKRNVLFRRGRNECQTLTSLQACGEEGDFCRHRWIPLAVSVALIPLTRHRTFSGATSLVGFLPLLSFSMATANNPGPLDLVPGFSPEDMDNPIHNAALSYVSYDRYVLDTSEVYFDDCIPLESYLNIRPMVTSDSYQFRSFGETNPSVTYLVATESCKKVIRTMLKGLRTNELRNLCFLDLNISNIFVSPTGKVKFKNISRV >ONIVA12G04040.5 pep chromosome:AWHD00000000:12:3238830:3246123:1 gene:ONIVA12G04040 transcript:ONIVA12G04040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDMEAEGASGKLGHKRADLEKPTEEERASNGGGDAAKTPSEDHHDSARRRAMAMENDDDDDDEYYDEPLPNPLDAYRQSWARSYGTNGATFEDETDLPPMPNTDIPVLPPSAQPMETMQVFTVKVTQITGGLRWPLGVYGVVAVRDSMDHKRNVERRAISVVIAGFHSPSPLVGFLPLLSFSMATANNPGPLDLVPGFSPEDMDNPIHNAALSYVSYDRYVLDTSEVYFDDCIPLESYLNIRPMVTSDSYQFRSFGETNPSVTYLVATESCKKVIRTMLKGLRTNELRNLCFLDLNISNIFVSPTGKVKFKNISRV >ONIVA12G04040.6 pep chromosome:AWHD00000000:12:3238830:3246123:1 gene:ONIVA12G04040 transcript:ONIVA12G04040.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDMEAEGASGKLGHKRADLEKPTEEERASNGGGDAAKTPSEDHHDSARRRAMAMENDDDDDDEYYDEPLPNPLDAYRQSWARSYGTNGATFEDETDLPPMPNTDIPVLPPSAQPMETMQVFTVKVTQITGGLRWPLGVYGVVAVRDSMDHKRNVLFRRGRNECQTLTSLQACPLDLVPGFSPEDMDNPIHNAALSYVSYDRYVLDTSEVYFDDCIPLESYLNIRPMVTSDSYQFRSFGETNPSVTYLVATESCKKVIRTMLKGLRTNELRNLCFLDLNISNIFVSPTGKVKFKNISRV >ONIVA12G04040.7 pep chromosome:AWHD00000000:12:3238830:3246123:1 gene:ONIVA12G04040 transcript:ONIVA12G04040.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRNNYWSAHQIIRNLFLSEDGSVPEDIQHLLNLILHEFDKREIFHFHGSLVSLANVSLFFKSMYDHIRFVMPPDDLRAILTNLPYADVWESKVKTNRILKKPYDFNPDGRIVPADKPSQTCLNKRQREFLHALGLTPIRGQKSLTPDQIALIETLFFFDFLRNRTSHRMDPWRSLILGYNAVDSDGSPYQAIAGHDATSMQEDWLARA >ONIVA12G04040.8 pep chromosome:AWHD00000000:12:3240022:3246123:1 gene:ONIVA12G04040 transcript:ONIVA12G04040.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRNNYWSAHQIIRNLFLSEDGSVPEDIQHLLNLILHEFDKREIFHFHGSLVSLANVSLFFKSMYDHIRFVMPPDDLRAILTNLPYADVWESKVKTNRILKKPYDFNPDGRIVPADKPSQTCLNKRQREFLHALGLTPIRGQKSLTPDQIALIETLFFFDFLRNRTSHRMDPWRSLILGYNAVDSDGSPYQAIAGHDATSMQEDWLARA >ONIVA12G04040.9 pep chromosome:AWHD00000000:12:3238830:3246123:1 gene:ONIVA12G04040 transcript:ONIVA12G04040.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRNNYWSAHQIIRNLFLSEDGSVPEDIQHLLNLILHEFDKREIFHFHGSLVSLANVSLFFKSMYDHIRFVMPPDDLRAILTNLPYADVWESKVKTNRILKKPYDFNPDGRIVPADKPSQTCLNKRQREFLHALGLTPIRGQKSLTPDQIALIETLFFFDFLRNRTSHRMDPWRSLILGYNAVDSDGSPYQAIAGHDATSMQEDWLARA >ONIVA12G04030.1 pep chromosome:AWHD00000000:12:3232678:3238698:-1 gene:ONIVA12G04030 transcript:ONIVA12G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYLIPSSLTPLPLSHLFRPLSHLPSAIDRAAATVPSFAARGRGACQTAAHGRRSSSAGRARGEDLAGRGAHEEEIATVRTHEGAPSESCVGRRVVGWPCAGKELAIQHRAGREAPGRPCIWRGVVDRLRAAELLARRPHGGGEGGSSPTGRVLRRRSRPATRGRGARWPAVHGEIVVGQPCVGGAARLPVTPGERCRRPATRRGRSSPTGAAQGDDFPASGAREEESSAGHRHPPRPWYRLQRPSTWLSTPDLPEKEIRKKRRLRWLTGEGEEADPRTPHSPSQPANPTFPAAAAVMESGQSGDGASHGHTSTVVETAKEEALAGGYDEEEEDCTPLPNGLICSRQNWETMYGKFGAFEDETDASPMRNTDRPVPPYYLPISMMQFFLVKVIEIMGGLQWPLHVYGIVATRDSQDNKRNFLFRCDREHCQTLASPQDSCLRLTRPSRAIVIVNPVVVEVDLKVKGSRGPPSEDKVLSEHAFVHNHTGHRIKPGFPHRQLESTEDSTMEFVFAHLQSAVEATITVGVVEGSPDFRAHHGGDDTAVVKEEIFCARKTSRSQSYFMLGFCKLSIIIAWSLLP >ONIVA12G04030.2 pep chromosome:AWHD00000000:12:3232678:3237257:-1 gene:ONIVA12G04030 transcript:ONIVA12G04030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPTPLRRPHAHPPPSATCSAACSSRTPPLRSADRALAGACSCEEADPRTPHSPSQPANPTFPAAAAVMESGQSGDGASHGHTSTVVETAKEEALAGGYDEEEEDCTPLPNGLICSRQNWETMYGKFGAFEDETDASPMRNTDRPVPPYYLPISMMQFFLVKVIEIMGGLQWPLHVYGIVATRDSQDNKRNFLFRCDREHCQTLASPQDSCLRLTRPSRAIVIVNPVVVEVDLKVKGSRGPPSEDKVLSEHAFVHNHTGHRIKPGFPHRQLESTEDSTMEFVFAHLQSAVEATITVGVVEGSPDFRAHHGGDDTAVVKEEIFCARKTSRSQSYFMLGFCKLSIIIAWSLLP >ONIVA12G04030.3 pep chromosome:AWHD00000000:12:3232678:3235558:-1 gene:ONIVA12G04030 transcript:ONIVA12G04030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRLASLPKSHKLKANGEGEEADPRTPHSPSQPANPTFPAAAAVMESGQSGDGASHGHTSTVVETAKEEALAGGYDEEEEDCTPLPNGLICSRQNWETMYGKFGAFEDETDASPMRNTDRPVPPYYLPISMMQFFLVKVIEIMGGLQWPLHVYGIVATRDSQDNKRNFLFRCDREHCQTLASPQDSCLRLTRPSRAIVIVNPVVVEVDLKVKGSRGPPSEDKVLSEHAFVHNHTGHRIKPGFPHRQLESTEDSTMEFVFAHLQSAVEATITVGVVEGSPDFRAHHGGDDTAVVKEEIFCARKTSRSQSYFMLGFCKLSIIIAWSLLP >ONIVA12G04030.4 pep chromosome:AWHD00000000:12:3232678:3235040:-1 gene:ONIVA12G04030 transcript:ONIVA12G04030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESGQSGDGASHGHTSTVVETAKEEALAGGYDEEEEDCTPLPNGLICSRQNWETMYGKFGAFEDETDASPMRNTDRPVPPYYLPISMMQFFLVKVIEIMGGLQWPLHVYGIVATRDSQDNKRNFLFRCDREHCQTLASPQDSCLRLTRPSRAIVIVNPVVVEVDLKVKGSRGPPSEDKVLSEHAFVHNHTGHRIKPGFPHRQLESTEDSTMEFVFAHLQSAVEATITVGVVEGSPDFRAHHGGDDTAVVKEEIFCARKTSRSQSYFMLGFCKLSIIIAWSLLP >ONIVA12G04030.5 pep chromosome:AWHD00000000:12:3235606:3238698:-1 gene:ONIVA12G04030 transcript:ONIVA12G04030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYLIPSSLTPLPLSHLFRPLSHLPSAIDRAAATVPSFAARGRGACQTAAHGRRSSSAGRARGEDLAGRGAHEEEIATVRTHEGAPSESCVGRRVVGWPCAGKELAIQHRAGREAPGRPCIWRGVVDRLRAAELLARRPHGGGEGGSSPTGRVLRRRSRPATRGRGARWPAVHGEIVVGQPCVGGAARLPVTPGERCRRPATRRGRSSPTGAAQGDDFPASGAREEESSAGHRHPPRPWYRLQRPSTWLSTPDLPEKEIRKKRRRPAGRVGV >ONIVA12G04030.6 pep chromosome:AWHD00000000:12:3236691:3237257:-1 gene:ONIVA12G04030 transcript:ONIVA12G04030.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPTPLRRPHAHPPPSATCSAACSSRTPPLRSADRALAGACSCFRMCECEQDREYLSIEMFIA >ONIVA12G04020.1 pep chromosome:AWHD00000000:12:3221862:3229263:1 gene:ONIVA12G04020 transcript:ONIVA12G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G22660) TAIR;Acc:AT1G22660] MSLRLRGLLLLPLLRRRSCSPLLLRRRRLLRGWPPPLVSAIPSRAFCSSGLPARACASSGFSGMAAVSPPTPPQQQRCVVEVREGVELTEKEERIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSTDIDIALDNMTGQNFCEKVNEYSELLGEEQKGIGVIQCNPDQSKHLETARMLIFDIWIDFVNLRSEKYAENSRIPTVEIGTAKEDAFRRDLTINSLFFNINTNTVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLTEDLKDAASDEKVKSELGSKISKERIGHEVDLMMSDKHPVNAMCYIRDLGLFYVVFAFPEKPDPPVPDKCDRLCVSHIEVAWNLAHSIGCSVFSGGSDSKSQDEHRRLCFYSALFTPVRNTIYLDKKSKKIPVTNYIIRDSLKLKASDADTVVNVHAASEKFAELINLLESNENLTTVKEKLDDEYLEIPTDSVKRVLAGLILREIKDFWRVALFICTLTYPEVSSGGDPLGQPDELHQRKEKYTRAEQLDGVWKMKPLLDGKAIMGIMQVKGGPLIGKWQQRLLKWQLAYPRGTTEECIEWMKQSQSKRQKV >ONIVA12G04010.1 pep chromosome:AWHD00000000:12:3204355:3204654:1 gene:ONIVA12G04010 transcript:ONIVA12G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSCAWCLVVLAVAMAAAAPSSPAAADPTDGFTAVRLGERNFQLQWPYDVKNSSRYSFDGTVRRLWVFSDDKPHTPRSKTKPRTEIRMTVRAHVAS >ONIVA12G04000.1 pep chromosome:AWHD00000000:12:3195590:3198203:1 gene:ONIVA12G04000 transcript:ONIVA12G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVIGAASLRALYKPHKPLPNHPNSQNNRHAHTQATNMAASSWWVVAACVVLAAAAAADPRDGFTAVSLGDGNFQLQWPYDVESSSRYSFDGTVRRLWVFSDDKPFKPQSGTNPRTEIRMTGYDYSSGVWQFEGTGYVPSGTTGVSIMQVFGGGTATTLMLHVYGGDLWYYHQQVVETNIYDRWFRLNVIHDVAASQLTVFIDGRERLRVAGKGGDSHYFKFGVYMQTNPSNRMESRWKGISILNKT >ONIVA12G03990.1 pep chromosome:AWHD00000000:12:3182557:3192677:1 gene:ONIVA12G03990 transcript:ONIVA12G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cytidine acetyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J7A6] MRKKVDERIRTLIENGVRERQRSMFVIVGDKSRDQIVNLNYMLAKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLSSLTSLYTMVMDVHERFRTESHTQAAARFNERFLLSIASCKSCVVMDDELNILPISSHMKFIQPVTNNEDSEGLSERERELKDLKDQFREDFPVGPLIGKCFTMDQGKAVINFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFDFVCKGMNALEYKEHLHYDVVKSADPELKKATIQINVYKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSQPSAPSNGPNSSRLFKKIELNESIRYASGDPIESWLNDLLCLDLANSIPNISRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVVQVCLEGQISRKSAMKSLSEGRSPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPSAVRLGYGSAAVDLLTRYYEGQMTLFAEDEEENEEPEVRITEAAEKASLLEETVKPRANLPPLLVHLRERRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIPSAVTGEHTCMVLRPLNSDDIEVNESSKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDFSDHEPSDYYTNITSKILGDMLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLPVTLHGAQAAVLFCMGLQDKDIGATKEELGIEREQVLSNFIKTMKKLYGYLHNIAGKEIEATLPRLKEIDTAPLKSLDEDLDEAAREVKEQRRAIDEDDVDPKFLQKYAIDADDDEIEKALNGGKISASGVISVKSNKTKADKQEKRKEMKKSKRKGNDGEKSESKKKRS >ONIVA12G03980.1 pep chromosome:AWHD00000000:12:3177658:3181962:1 gene:ONIVA12G03980 transcript:ONIVA12G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPKRINYMAPMLASAVILLLLVSGYFELPSISSYSAAPAPALFATALDAVGTRERSPFTSLLSAFADWDAAVGCPRIRAKLDAVGPPGYGANSTAAAAASITGGAGWGGGGGGGRCEDVRTRHVGVLVKGWTWIPDALDGVYTCRCGVSCVWSKSAAAVDRPDALLFEGATPPPQRMKGLPLRVYLDLEAARKPTGFEDIFIGYHAKDDVQVTYAGKSFHTSRSYHVSTEKRNDALIYWSSSRCLPHRDKVAKDFLSLVPHHSFGKCLNNVDGPDMALSMYPVCSTNDNGKPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFIPPNSIIDASKFSSLRELASYVKAVANDPVAYAEYHAWRRCGTLGNFGRSREMSLDTLPCRLCELVSKRGGRNADAL >ONIVA12G03980.2 pep chromosome:AWHD00000000:12:3177658:3181971:1 gene:ONIVA12G03980 transcript:ONIVA12G03980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPKRINYMAPMLASAVILLLLVSGYFELPSISSYSAAPAPALFATALDAVGTRERSPFTSLLSAFADWDAAVGCPRIRAKLDAVGPPGYGANSTAAAAASITGGAGWGGGGGGGRCEDVRTRHVGVLVKGWTWIPDALDGVYTCRCGVSCVWSKSAAAVDRPDALLFEGATPPPQRMKGLPLRVYLDLEAARKPTGFEDIFIGYHAKDDVQVTYAGKSFHTSRSYHVSTEKRNDALIYWSSSRCLPHRDKVAKDFLSLVPHHSFGKCLNNVDGPDMALSMYPVCSTNDNGKPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFIPPNSIIDASKFSSLRELASYVKAVANDPVAYAEYHAWRRCGTLGNFGRSREMSLDTLPCRLCELVSKRGGRNADAL >ONIVA12G03970.1 pep chromosome:AWHD00000000:12:3175232:3176665:1 gene:ONIVA12G03970 transcript:ONIVA12G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDQMMNTMRDQAANLTSMNPLFYPFMADDALLGMAPPPPQQLLPSVSIQHTDWSPDTMLDNLTFIEEKIRQVKDVIRSMAGRRASSSSAATPEQQLVNADLTCLIVQLISTAGSLLPSLKNSSFLSRTTPPPAAAAGAAQAVSLAAGESSSSARNNETNREDEEEQMGSPDYDELFKGWTNGGAMDEFVGAAGDEQDARENPAAAAAAEEEEKYEVLQLEEDEILAPHTHFCGICGKGFKRDANLRMHMRGHGDEYKSAAALAKPPPPPEGEEQPERRYSCPHAGCKRNRMHASFQPLKTILCVKNHYKRSHCEKRHVCGRCGAKRFSVMADLKTHEKHCGRDRWLCSCGTTFSRKDKLFAHVALFQGHAPALPPPPPPPPTTTTSTAASGRRRHKQEETEFTWGGGGGGDEFLDVKGIAGVGSGSGGGDEFFSAGSFGAMDFGFGQLDASLAMLLPSESEQFAGDHQEENGDDK >ONIVA12G03960.1 pep chromosome:AWHD00000000:12:3167640:3170938:-1 gene:ONIVA12G03960 transcript:ONIVA12G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLLPPLRLLPATRLRATSSPASPRRGLSYSYPRRVAAVLRQCRAAAPPPPAAPDAVPRWHAALAAAAGLYPAYVTAGAAVAVARPDAFRWFVALAPGSYTFALGIIMLAMGLTLELREFLALLRERPLSILFGCAAQYTIMPAFGAIVSRVLGLSPPISVGLILLGCCPGGTASNVVTLVAKGDVPLSIVMTVCSTLGAVFLTPLLTKILAGAYVPVDAVKLSLSTLQVVVAPILLGSSIQSAFPSVVKFVTPFAPLLAVLTSSLLACSVFSENFVRLRSTIADASYGNGGLFSGDIGVVMLSVFLLHCAGFVVGYTTAAIGGFKERQRRAISIEVGMQNSSLGVVLATAHFSSSLVALPAALSAVIMNIMGSTLGLVWQFMTPPDSKNKNR >ONIVA12G03950.1 pep chromosome:AWHD00000000:12:3160158:3165956:-1 gene:ONIVA12G03950 transcript:ONIVA12G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRVRAHQALSARGCANLEVILNADAKNVEEHHEGDCQKLDCCHQPKPLVCQPSFSSGRFMWSRGFSSQAGASSGDKQDELEEGFSDLDVPPEAHKKDLDLTSDESSDEDTIDAIGLSEVEADAKPEEPIKKSQSTLLKALLESPRVDVAGALKKWLNDGNTLDRSELFYVLLSLRRRKLYIKALQLLEYVEESKLIDLGERDYASRVDLVAKTHSIYKAEKYIENVPASHRGEIVYRTLLANCVAIANVKKAEQVFNKMKDLGFPVSVFSCNQLLLLYKRVDKKKLGDVLTMMEKENVKPSLFTYKLLVDTKGAARDIEDMEKVIQAMQADGIEPDLLFQATIARHYIFGGYREKAEAILEQMVGDDINENRSACKFVLPLYAFLGKNDDVERIWKVCEANARLDECMSAIEAFGKLGDVEKAEEIFDNMFKTWKTLSSKYYNAMLKVYANKKLFDKGKELAKRMGDDGCRLGPYTLDSLVKLYSDAGEVEKADSILHKLSYKNKIKPMYTTYLMLLDSYSKKGDVHNAEKLFSKVRQMGYTGRIRQYQLLLEAYLNAKTPPYGFKERMKADDIFPNRAVASLLAATDPFNRKNAIFINTYINMGNAKMIYIVKRREQL >ONIVA12G03950.2 pep chromosome:AWHD00000000:12:3160158:3165956:-1 gene:ONIVA12G03950 transcript:ONIVA12G03950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRVRAHQALSARGCANLEVILNADAKNVEEHHEGDCQKLDCCHQPKPLVCQPSFSSGRFMWSRGFSSQAGASSGDKQDELEEGFSDLDVPPEAHKKDLDLTSDESSDEDTIDAIGLSEVEADAKPEEPIKKSQSTLLKALLESPRVDVAGALKKWLNDGNTLDRSELFYVLLSLRRRKLYIKALQLLEYVEESKLIDLGERDYASRVDLVAKTHSIYKAEKYIENVPASHRGEIVYRTLLANCVAIANVKKAEQVFNKMKDLGFPVSVFSCNQLLLLYKRVDKKKLGDVLTMMEKENVKPSLFTYKLLVDTKGAARDIEDMEKVIQAMQADGIEPDLLFQATIARHYIFGGYREKAEAILEQMVGDDINENRSACKFVLPLYAFLGKNDDVERIWKVCEANARLDECMSAIEAFGKLGDVEKAEEIFDNMFKTWKTLSSKYYNAMLKVYANKKLFDKGKELAKRMGDDGCRLGPYTLDSLVKLYSDAGEVEKADSILHKLSYKNKIKPMYTTYLMLLDSYSKKGDVHNAEKLFSKVRQMGYTGRIRQYQLLLEAYLNAKTPPYGFKERMKADDIFPNRAVASLLAATDPFNRKNAIFINTYINMGNAKMIYIVKRREQL >ONIVA12G03940.1 pep chromosome:AWHD00000000:12:3147692:3152207:-1 gene:ONIVA12G03940 transcript:ONIVA12G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPQPHGRGREKAAGAGPRLPPPVTAPSVGRPASVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVGKPDGGEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYTERAAALLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKATDFGLSVFYKPGDKFSDVVGSPYYVAPEVLQKIYGPEADVWSAGVILYILLCGVPPFWAETESGIFRQILRGKLDLESDPWPSISDSAKDLVRNMLIRDPTKRFTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYDELKNGLKRVGSDLMEPEIQALMDAADIDNSGTIDYGEFLAATLHMNKLEREENLVSAFTFFDKDGSGFITIDELSQACEQFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGGANAGVTSTGGTGRRTMRNSLRVNLGDILKPNEN >ONIVA12G03930.1 pep chromosome:AWHD00000000:12:3143265:3146913:1 gene:ONIVA12G03930 transcript:ONIVA12G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTVGKWIRGTGQAMDRLGSTIQGGLRVEEQLSRHRTIMNIFEKEPRVHKDVFVAPSAAVIGDVEIGHGSSIWYGSILRGDVNSIHIGSGSNIQDNSLVHVAKANISGKVLPTIIGNNVTIGHSAVLHACTVEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEIAFIAQSATNYINLAQVHAAENSKTFDEIELEKMLRKKYAHKDEEYDSMLGVVREIPPELILPDNILPNKAQKAVAH >ONIVA12G03920.1 pep chromosome:AWHD00000000:12:3137021:3139027:1 gene:ONIVA12G03920 transcript:ONIVA12G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSGGQSEVEATRKPQRHEPGGSVGQPVAVARLLLLRQGAAEHLPQDVGRPAGERRGGAGRAAAARQGELAGAARQVHQRRRGRRGQRGHVRQELHLLKIIFD >ONIVA12G03910.1 pep chromosome:AWHD00000000:12:3132698:3133442:1 gene:ONIVA12G03910 transcript:ONIVA12G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSAVGVHGSAADSGRGRSARTAAERDAEAEAMRDTKAAAVEPPEWLQTLPVAPEYHPTLVEFADPIAYILRIKPEASRYGICKIQVGLSTKNHRAASRRVWENGERYTLEAFRAKAPEFEPSRHAALPKNPTHLQLKALFWAVYTSRPFSVEYGNDIPSSGFASPHRLWVPHHRRLRVPLPCRSRLRADRPHPPSPTPSCASTAPSATIIAPP >ONIVA12G03900.1 pep chromosome:AWHD00000000:12:3125816:3130382:-1 gene:ONIVA12G03900 transcript:ONIVA12G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASATAPPSRRTRSRPPSASSRKSDDPSAAAANGNGKASSKPTSPGQLTGERTVRKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDAQGLLSGIVTDKDVATRVVAEGLRVEQTIMSKIMTRNPTYAMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSAIAAAVEGVERQLGGNFSAPYAFIETLRERMFKPSLSTIVTEGTKVAIVSPSDPVYVATQKMREFRVNSVVVATGNTLQGIFTSRDLLMRVVAQNLSPELTLVEKVMTVNPDFATLDTTILDALHIMHDGKFLHIPVLDREGQIAACLDVLQLTHAAIQLVVEGGNDTVNDVANTVMQRFWDSTLALESPDEECDSRRSVDGFHLLNVSLTCFPLIQFSSTFPSEVSLLLASETGDGKSSIYPPVIGNSFAFKLQDQKGRVHRFTCGSESLNELASSIKQRLSITDEEGIMQLLYEDDEGDRVLLTTDADLAGAVLHAKLSGLKVLKLHIDLSESSTEVTKPSQQLAAARRSRLSPVRVGLMAGVVALSGAAVMVYLKRAKV >ONIVA12G03890.1 pep chromosome:AWHD00000000:12:3114851:3121010:-1 gene:ONIVA12G03890 transcript:ONIVA12G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTPRLSFPSAFELASCSDLPAHSRLLRVVVEFEETSNLQLGELLINEKQHTVLMVMDRTSWLWRRKPSDKSPGGAENTVSVSSHSEHYSDDQEVLRPVSNNASPHLGQSPGMPSRVRDDGTQETGVTKPPNEKLALGFKLNDSSPRHGQSSEPQSSSNVRDEDVKENLKSLNDKLAAAFLTINAKEELITNLVIDIHFLGWEQAESEVAALKKLLEASAQKNGSLEVQVSHLAEKNASLEVQVSRLDEALKECVRQLHLAREDQAEKVHDVVTKSQELESENSKLQNRITELKKQLETTKLEASNMSIDHDLQEKFQAIKKENMDLKSKLLVQSKDLKILSLERDLSNQAAETASKQHLENVKKIARLEAECRRLHHLTRKATLINDSRPLANNTCVESLTDSQSDSAERMAAVDNELRNSDSWASALVAEFDQFKNGNADEKNLVNNPVVIDLMDDFLEMERLAALPESDRTSSTFDMETDSDKAVTRNSSSKLETEELRNQVADLHAQVEKIESEKKELEMALMEARNQLDISCNALVAAKNRLVEMQMELDLANDSKHDALRDFEGLNSEKKALEFQLESKSVRVEELLQVVASLEENTDKKELESQLELLSAEAKELRLTVTSLEEKIEAERSLSVQHQAEAVAACNAKESLEEQLYSANTEVERLHVIVKELEDEVEKEKMRQEELVAELEMKMETAVEAIKESLEAQLCAANTEVERLNGIVQALENDIEKEKALHKELTAQLEVKFEEEKVRSVQTVKESMEAQLCSSNTEVLKLRDIVKALENEVEKEKALHEDLSAQLEAKIEAERTFSVEAIKESFQSELQSVNSEVVELRGMVTALEHEVVKEKTFSAELQMQLEALEAIKRVLESEIESAHQDNRKLNDKVSSAADFTAKEEAMQSERRAMKQQLEAAKMEVGKLTNKVSLLQGEVLQERLLSEEFEQEYHKLEARLSRDSRDAKLWRLANSNGGLKAKQEKELANAAGKLAECQKTIASLGRQLKSLTDIDNTIVEPERLEPREIREMPLDFRNSDADFAVFADELYDFDLPKVNSSCFSPLPSIQPSSPPSEMSVFAGGLSSLSSFRSKRRK >ONIVA12G03880.1 pep chromosome:AWHD00000000:12:3103121:3108897:-1 gene:ONIVA12G03880 transcript:ONIVA12G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVYKPAAEVNLGPDSDEFYISPNVKAPRVAGLLVKIFVWILEMPIIGSMVLYILKKDNLINKLVQDAEIPEPPLFTSTHIWEDIPEQNVCLTKPDLSPPERVQEAVSCLPASLESTLAGSPPSSPKRWTIRDFNRAYSSGEVTPVQVAKRFLAAVKECSGPGLNMAFFISYSPEDIIRQAEESTLRYHRGTPLSAMDGILVAVKDEIDCLPYPTTGGTRWLGRARACAADAAVVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPHNPGRVSGGSSSGSAAAVCAGLCPVALGVDGGGSVRMPAALCGVVGFKPTAGRLSNAGVLPLNWTVGMPGILAGTVEDAAVAYSAIVDQSQPSYLRPELNLPLLKSSLSIKNIKLAKYAKWFNDSSEDIRSCCDKSLQMLHAHYGWETLDVTIPEIEEMRLAHYVTIGSECTASLAKYLDKLKRSEIGWDVRVALGVYGSFSSRAYLNSQRLRNRQMYFHKEIFKTADVIVSPMTGVTAYKLQDDALKSGELDYINGAALVRYSIAGNFLGLPAITVMEACKKHYKKPEVFYDLLKKD >ONIVA12G03880.2 pep chromosome:AWHD00000000:12:3103121:3108897:-1 gene:ONIVA12G03880 transcript:ONIVA12G03880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVYKPAAEVNLGPDSDEFYISPNVKAPRVAGLLVKIFVWILEMPIIGSMVLYILKKDNLINKLVQDAEIPEPPLFTSTHIWEDIPEQNVCLTKPDLSPPERVQEAVSCLPASLESTLAGSPPSSPKRWTIRDFNRAYSSGEVTPVQVAKRFLAAVKECSGPGLNMAFFISYSPEDIIRQAEESTLRYHRGTPLSAMDGILVAVKDEIDCLPYPTTGGTRWLGRARACAADAAVVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPHNPGRVSGGSSSGSAAAVCAGLCPVALGVDGGGKQTVVLPRSVSISSMTCTCADRRRRRRRGFAGSVRMPAALCGVVGFKPTAGRLSNAGVLPLNWTVGMPGILAGTVEDAAVAYSAIVDQSQPSYLRPELNLPLLKSSLSIKNIKLAKYAKWFNDSSEDIRSCCDKSLQMLHAHYGWETLDVTIPEIEEMRLAHYVTIGSECTASLAKYLDKLKRSEIGWDVRVALGVYGSFSSRAYLNSQRLRNRQMYFHKEIFKTADVIVSPMTGVTAYKLQDDALKSGELDYINGAALVRYSIAGNFLGLPAITVMEACKKHYKKPEVFYDLLKKD >ONIVA12G03870.1 pep chromosome:AWHD00000000:12:3099521:3101679:-1 gene:ONIVA12G03870 transcript:ONIVA12G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0J791] MSSVFSGDETAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >ONIVA12G03860.1 pep chromosome:AWHD00000000:12:3095448:3098061:1 gene:ONIVA12G03860 transcript:ONIVA12G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEGGIGEPPGLARERRPRLRRSVAATGDMDGDGDVGGGGGGGGGGGVRYVLALPAMASLAVLIAHLDAAVPVPRRPRSYLPRAVPMAWWAFRLPVFRPPPPPPPPPAKNPVKEEEGVARVVVVVAPPPPVDPGEEEAGKRAAKRARRCLNCDAVETPQWRSGPMGRSTLCNACGVRLRAVGSLPEHRAPAARTTTAAPASPPDSPIWTPGHKPPSSSPDIYLVRRTPKLPVTRPPRTKQAPPTAPAPAPPPPPPQPASPKTKTKAKAKKPKRKRSCVHCGSTETPQWREGPTGRGTLCNACGVRYRQGRLLPEYRPKGSPTFSPSVHAANHRQVLELRRQQRQSTNPSTPPPPPVSAAEPIPDEQKEEVVSVPVAAAAPATDGGAASSLDALLLDGPSAPLIVDGDDFLVS >ONIVA12G03860.2 pep chromosome:AWHD00000000:12:3095448:3097126:1 gene:ONIVA12G03860 transcript:ONIVA12G03860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEGGIGEHFVAEQPPGLARERRPRLRRSVAATGDMDGDGDVGGGGGGGGGGGVRYVLALPAMASLAVLIAHLDAAVPVPRRPRSYLPRAVPMAWWAFRLPVFRPPPPPPPPPAKNPVKEEEGVARVVVVVAPPPPVDPGEEEAGKRAAKRARRCLNCDAVETPQWRSGPMGRSTLCNACGVRLRAVGSLPEHRAPAARTTTAAPASPPDSPIWTPGHKPPSSSPDIYLVRRTPKLPVTRPPRTKQAPPTAPAPAPPPPPPQPASPKTKTKAKAKKPKRKRSCVHCGSTETPQWREGPTGRGTLCNACGVRYRQGRLLPEYRPKGSPTFSPSVHAANHRQVLELRRQQRQSTNPSTPPPPPVSAAEPIPDEQKEEVVSVPVAAAAPATDGGAASSLDALLLDGPSAPLIVDGDDFLVS >ONIVA12G03850.1 pep chromosome:AWHD00000000:12:3083276:3088876:1 gene:ONIVA12G03850 transcript:ONIVA12G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGLLVPIAVSLLLRKRRMVGKMRALPVDVGGEPGYAIRNYRFKQPVETHWEGVTTLAELFEQSCKDYVNMPLLGTRKLISREQEPSLDGRSFEKLHLGEYDWKCYAEVFKSVCNFASGLIRLGHQKTDRVAIFAETRAEWQIALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVVCGQKELKKMIDISGQLDTVKRVIYINEEGISAEVSLAQKSTSWIIEPFEDVGRLGDTAPVDANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPEIGKKDVYLAYLPLAHILELAAEALIAAVGASIGYGSPLTLTDTSNKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDTKGGVAKQLFDVAYNRRLAAVNGSWLGAWGLEKLLWDMLVFKKVRAVLGGKIRFVLSGGAPLSGDTQRFINICLGVPIGQGYGLTETCAGGTFSEYDDPSVGRVGAPLPCSYIKLIDWSEGGYLTSDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMIHADPFHSYCVALVVAAHNELENWASQQGVTYTDFVDLCQKPEAVKEVLGSLSKAAKQARLEKFEIPAKIKLISEPWTPESGLVTAALKLKREVLRKTYEDDLAKLYA >ONIVA12G03850.2 pep chromosome:AWHD00000000:12:3083345:3088876:1 gene:ONIVA12G03850 transcript:ONIVA12G03850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGLLVPIAVSLLLRKRRMVGKMRALPVDVGGEPGYAIRNYRFKQPVETHWEGVTTLAELFEQSCKDYVNMPLLGTRKLISREQEPSLDGRSFEKLHLGEYDWKCYAEVFKSVCNFASGLIRLGHQKTDRVAIFAETRAEWQIALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVVCGQKELKKMIDISGQLDTVKRVIYINEEGISAEVSLAQKSTSWIIEPFEDVGRLGDTAPVDANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPEIGKKDVYLAYLPLAHILELAAEALIAAVGASIGYGSPLTLTDTSNKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDTKGGVAKQLFDVAYNRRLAAVNGSWLGAWGLEKLLWDMLVFKKVRAVLGGKIRFVLSGGAPLSGDTQRFINICLGVPIGQGYGLTETCAGGTFSEYDDPSVGRVGAPLPCSYIKLIDWSEGGYLTSDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMIHADPFHSYCVALVVAAHNELENWASQQGVTYTDFVDLCQKPEAVKEVLGSLSKAAKQARLEKFEIPAKIKLISEPWTPESGLVTAALKLKREVLRKTYEDDLAKLYA >ONIVA12G03840.1 pep chromosome:AWHD00000000:12:3078789:3080182:-1 gene:ONIVA12G03840 transcript:ONIVA12G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRVVTWAFWSLSVFKAAAMVAEFLVGRSNDVASRRRMGVEVIARYMEIEESLTAGDQPANPRTMKGYKYIFHGEADWDYPWIDDQVGYSELEKDLARDICLAFTLYKLLKLRLYGRRKISSSLELFQAVIVFNSNWMMYIKTVYGCVRDERPWYNRRRRHLWWKEYIAPPKMNYWEDNLGEYVLLEGFNHRPWVWNLLSWLTLCLVEPRRQGQKRGRTKHLTREVSGAVLLSFKSSSGRLTNGISTLRRHGLSSRLGWACTFPNLTDQILVWHVVTTRCDWASGRGRSRRDDDHQNRLVARRLSNYCAYLVAFVPEMLPDPSYIAQQIFDTTVQQARDHFDGCRTTSSVLARLQEIQDKERCVSSTIIEKAALLGGQLRMAMDNEERLWQMLAEFWAEFILFLAPSDNVDIHVEMLGAGAEFMTHLWALVYNAGILTRPDGGASV >ONIVA12G03830.1 pep chromosome:AWHD00000000:12:3054983:3062638:1 gene:ONIVA12G03830 transcript:ONIVA12G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAASRLAAAATSSSTLEMFSRHLAAASSAWGIASSRAPYPGRERRSASWWCPTRLFHATRGMNARDYYDVLGVNKDASASDIKKAYYLLAKKFHPDTNKEDADAEKKFQEVQRAYEVLKDDDKRETYDQLGAEAYERQASGGGPDDFSGSHPFGDIFGDMFDNPFAMRGGRDVKVPIELSFMEAVQGCRKTIAYEADMEVVFLLALYLKHVKPVEVLIFMQRGIMSIETTCSRCGGSGKIVKNFCKTCKGEQLVKGKKSVKLDIMAGIDDNDTMKVFGKGGADVERNKPGDLYVTIKIREDPVFRREGNHVHVDAIISIAQAVLGGTVTVPTLTGNVSVKVRQGTQPGEKVVLRGKGIKARNSPAYGNQYIHFNVRVPTDVTQRQRELMEEFDKEECNEGERVAAASG >ONIVA12G03820.1 pep chromosome:AWHD00000000:12:3050008:3053371:-1 gene:ONIVA12G03820 transcript:ONIVA12G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ssu72-like family protein [Source:Projected from Arabidopsis thaliana (AT1G73820) TAIR;Acc:AT1G73820] MEARRKMRFAMVCSSNMNRSMEAHSLLGRAGLDVASYGTGTHVKLPGPSLHEPNVYDFGTPYNVIYDDLRRKDPDLSVLLLFSLLDSVFFPGALGGGTGEGRISFLEYKRNGLLPMLKRNTSVKLAPQRWQDNAGDGLFDVIFSFEERVFDLIVDDMHNREQRMLKNALIINMDVKDNHEEAGVGAKLALDLCQKLEGADEDWEEIIDDLIITFEKQHKRKLTYNMAFY >ONIVA12G03810.1 pep chromosome:AWHD00000000:12:3039315:3041405:-1 gene:ONIVA12G03810 transcript:ONIVA12G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCTGDEVAGPGEQRRRPLDADAGGDEGAVATTSSSLLAAPMLSRRRQAEEMAAMVSALASVVAGGGGTSLPAKRPAEREPEEGAAVEGVWWSYCSELAAAAAAPSPAAPFPAGYVPSPGQHSPASAAAGDEEELPSPSSADSSGGGGGTARKRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEDAARAYDGAALRFRGSRAKLNFPEHATLPPPPTTTTAAAHRCPPPPRTDALLESQELSPGAGGGDPYSQYARFLQGSGGDGGEAAARPRGGASQRTPPATTLLYSFGGEDASARYP >ONIVA12G03800.1 pep chromosome:AWHD00000000:12:3033511:3037373:1 gene:ONIVA12G03800 transcript:ONIVA12G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76730) TAIR;Acc:AT1G76730] MPLLPASPPPLSLSRSLAAAAAASRRGRAVTCAAARGAASSSSASFDAAGFEAERLRLDAEARAGMASAAAVAGAEAADPKAWKWAIRKRVWDALEAEGVARDPRPVHHRIPNFDGAAAAADSLGRLDVFQDAQCVKVNPDSPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVLESHMIPIGCVPEACTSVGAAKYGRPIGLDEKIKVDLIVIGSVAVDPSTGARLGKGEGFAELEYGMLRYMGSISDSTMIVTTVHDKQLVNDIPVEKLLVHDVPVDIICTPTQVIFTNTTIAKPQGIYWEKLSPEKLGQIRILQELKRRIESETGTTLPCGPSEKLPPTAQRRRRKRRR >ONIVA12G03790.1 pep chromosome:AWHD00000000:12:3030819:3033500:1 gene:ONIVA12G03790 transcript:ONIVA12G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDISKPCHLTSFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQMQLEKMKKYASIVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFFGKLKA >ONIVA12G03780.1 pep chromosome:AWHD00000000:12:3017001:3017460:-1 gene:ONIVA12G03780 transcript:ONIVA12G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELQALFRVHGLPTGGSSADLADRLAALAILPGRGDAAAAAEVTAARWGGKGCLKRPGGGGGSGPTKKVKFILEEEEAAAVAAAAADAGMEVSQRSQRLAGNPPGDASC >ONIVA12G03770.1 pep chromosome:AWHD00000000:12:3000524:3011159:-1 gene:ONIVA12G03770 transcript:ONIVA12G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDFESMKRRELQALCKRHGLSAGGSNAALVARLAATLSGAATEEKAVGVVVGKGCMKRSGGGSEGFGAAKTVTFALEEEEEVMETRGRGRRGRRPRVMWSPVAAKTRGRQKAGEVVTDSEAGSGGGGKQRRGARVGGDAAAEEGEPAAEAPRRRSRRNAVNSSDADGAEGDGAKEGEAVDNKRKQKQKQKQVAGDVGEEITVSVQDGVSGRSTRSSCLLTAVTVVQSPVVEKKRGRKRKGDVNEQICLEVQEHCAEVQDDGRKLRSGSTSVTTVSPPVVDCRRSRRKAEDPIVEKAAKVNVSTRSTRSSSVVTDIASPIVLENKRQKKEHIHLDVEPPTVPVVQANVAPSTRSLRNRVVQVKDNVMMETEVCKKLENKSLSCRPSTQYQTSAFVEEEDEQMVHDPSIGPKLRRSSRRQSVANELLLINSISESNNVSRAQTDAKGLKTAQPLMHNAAKTSMKNVVVRKDENAGLTKRNTGRGRDKIAKSVGKVSLSESSGEALPTEMQQEVIEPLKRSRRKSVVSTLLEEGTKCMHGSVRGDVLVKQPTEKRPVRRSTRKSVVSAMLDKESNGLTTEMMPEAHIRRSKRKSFLPNMLNDEKMDDCEMVRDEEHKHSKGNDPGKKLAIKEPARRTTRKSVVPIMFDRETKGLAAEMNPEVHVRRSTRKSVVPNMPNSENNYHDELVRTVVGVAVAKQLEAKKPVRSNGGGFKLGKRRRTSMEMSCSSGNNTKVSDRQKSRKQQKVQTPASEGPCAKESMADALQEIISFEESNADADDMVVRESTQDGNEGCHEYCNDSSASTQEVNRDNATVEETHREKWVNSEVHGSDSSDTAHEYVDLRTESIIHLSGISSEELGQSSSITELVSRAGISSENKVLLDDAEADLGAAVAQTLNANSNEEVLEDLDNPAAIAEELSSATALPLLDAEDHTDKNEIITLPEQLLGALSKLSSVDQLPPCTSDADALVIVNDSNACNIANWTAVKGTDDIQNVDIALSGDGIEASKSMTVAEVLSCAVATVLEHGTLAEHDFERTCMKNGDASLSLFDSGSAGNETDNGSFKALEFELNYLPTVNDERGKQAEEDKCPEVHSDAASEKSNQGDDLCKNLSTVKGESPLASNFHVEDAAEHGSMLQIEINAERGSSDGMDSSYGLKSLFAEEGNQQHYMIDDGNIAAEVDSGNKLSDERHSSLGLKSLFAEEGNQQHNLIDVQHIAAKVDSGSKSSDVRHSSFGLKSLFAEEGNQQCNLVDDENIAVKVNSGSNSSDGRHSSFGLNSLFVEESNQQCNFIHDENIAVKVDSGNKSIGFKTSTFYTRVDCDLEDAAAQLIGEGDNALDVEQGVAYDKIMLSPLNDIGACSSYGRNPSIGQRSLYAQERGGSNVTNGAFVAAETDGKKDLDNIIVGLHMVSDGIHTEMDVGLVSDNPENKLALEPVQQGDAEKGVHSENGVDESNIDDQTPVLEPVEDHGAHVDSILVTKSGEGNGNEESSKASCEEQVECGQLGLFKDANCTETTNCQGIGYEGEVCNRVHSTDISTLCEKSDDNGSSKDAKGNSDALLSSSVIVPANDNDVHLESTDCLDEPTLFFNMGVHLGPNEKCNKRMEDQVPSGVSTIDISVPATANGLESGLTLLPANETLNLQDDQHNSELESTQVGQSGISCAEKSTNILELGTVNVVDKGNQCDHSLPQDCPMDHYQQHEGLNDIPVDKSLEASDMYLGNSVFRIEGIIEKPAINLATPDCKLQGTLLEFSLKNDAETPNSMHSPFGLQSLFSEENMDGSRQQDNAGFPCAENKVDESNSSHGKCRVEKPVSAEPVRCEGSHENLGIVKEIGSCVSSCQQVNEQEEFSEASHKKRWVAPIQLDLADDVNQTEREIISSELVCEKEEKIEVMSSDIDTPVRESHGTTHASPFSRPQICDPRSSQIFDDAHPSSNPSQLELPDVFHHDHEVLCCERNDQILPGIPSSPFSEAVSIKFSENETMLLEAAETSELLDEKLNPQPGCDELAEHDLSGFKDTEDSSDTEFMRYSIFRFPADGQIDSCQEMELPNDQSATKAREESAFSEGESVVGSCETNEQRCQVDCKEENEHKADQVTPCIPTFDLSGAASTKGSEGGITLLPDAKLSVFTAVQLNSKLDGEHNLSGGKDTGNIFDNRSVDDSYHEQELLNDLSAPKSLVEPCSACMDSCNGQAILEDMPGPKSPEDYQDDSVSGSVGDMFEPSPTERAEQETTLVSPAEMLVFKFGQHNNPNLVSVGGHNDSCDEDTADMFSTEPVASNNQHEQELPNDVSAPVSLKESAICQEDISVHTESCPGKSLPVSEDISAPKSPEERAIHQDDSVPRSAVLCQTSGRRRIDEISTKLLSFKISSTVKPSHIAMNAPSTKQVDNLSESAIALLRNRENTLAIKTDRPVKPNPDRSVAKNSSRRPLQPIGRRPEGH >ONIVA12G03760.1 pep chromosome:AWHD00000000:12:2999217:2999636:-1 gene:ONIVA12G03760 transcript:ONIVA12G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSVAVVLVVVSAQALAAVAVADAARVNAGAAAFSPAVPLGGRLDGGGGGLVECWSAVAELRSCTDEIVLFFLNGETTQLGAGCCRAVRAATRDCWPAMLAAVGFTAEEADVLRGLCDAEAAAAAADSTSPAPSAA >ONIVA12G03750.1 pep chromosome:AWHD00000000:12:2993504:2995787:1 gene:ONIVA12G03750 transcript:ONIVA12G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLQPGAVPLCKAVALKGSVTVTDVQAGSNTCHVWDQGHFALVLGGAGDGGVVAEADEILCCRPIRFPRLYLLRIRIRIPFPLQTKNEIQSFDLDEQDQSPPLSQAMDAAAAAMVLLDRSVRFVDEVADVMKERGISHLDGDAAISAACAGITDWEARKAAEERAIEAESLHYRAERDAAAEAVIRRRKAGGGGGGGGGVTKMSFLSPGDLFERDGDILEGIDPDPPVVADVPGVSSLVFRLSSARTPADFERATYVAGADHNVVVVGAGHGHRFYLVYDAWANSLSTKSTEARHHRYGGARLPEERRSMCSGGDGVIRFVSIDDGEHPNDLTLTTWSLTMAPELKQWKQEAALVDKPFLVPVHNPL >ONIVA12G03740.1 pep chromosome:AWHD00000000:12:2991032:2991379:1 gene:ONIVA12G03740 transcript:ONIVA12G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKYKKTTSSWIMLRIGQVGVSSGRWQLQVCCVRPEVSDAEHRFLLPLLEVMSDRPCPKEYILIVVDRVALVVAINADKPDEAVVATAHGTALLLYLPPMLKARRVPFGAPCS >ONIVA12G03730.1 pep chromosome:AWHD00000000:12:2972625:2979975:1 gene:ONIVA12G03730 transcript:ONIVA12G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAQSLVSNVGTLVGQEFNQLRGVGVEVARLRNELATIHALLRMQSEADEAAVDHFAREWLKQLREVAYDAEDCVHLYHFRIRCRSGQLSVWTNCKRLLTTLLARRQLAGDIRALRALASSINEQHCSYGFSLDSLRRSTADAAALGTMPASARVVVVDADDHDEFVDNNGQATELANKVSALREGVDDDKKRRVFSIVGFGGLGKTMLAREVCRRLETVFHFQAQVAVSQTFSGKDLQGLLRRVLRQITQPIVDRETVDDAEIIQLQAAAASSQPNVNIDTMDVDDLATELKKRLDNKRYLILIDDVWSIAAWDAIRSKLPVTDSNCGSRIIVTTRIHTVAKACSAASDYIHHMKKLDDTESKQLFISKAFGSKSPCPDDLKDAMESILKKCSGLPLAIVSVASLLANYKPPEGKEMWETIKNSIGSQMENNPTLEGMRQILTLSYNHLPHHLKACMMYLSIFPEDYMIAKDRLLKRWISEGLIVEKRGLCQMDLAEGYFNELVSRNMVDMVISKATFNLHQGIREDQFRVHDMMLEILVSKSLESNFVSLVGGQYEGMSYTDHTIRRLSIHGGVEAGKDPSSSSKKRAAHRGTGGDSIKRMMMQHVRSLSIFDPEAHNILSRLGEFTLLRVLDLEDCTGLTNKHMSCICRMYLLRFLSLRGTDVKVMPSRIGDLEHLQMLDVRQTQLKDLPKSVTKLEKLEHLLFFDQGDSGWMLPQGINKMKALRQLKKAAVVFDAKVAEEIGELGQLQELAIFVDTGKKMNNGVVKKLASSLSKMYSLRWLDIGNLDAGKWPFAPIMEFLHDIEPPPQLLRYLRICGHMDRLPDWVESLHDLVELQLRWTHVDGFQLFNVLCKLPNLKRLFLGSYFIHGKHMVVHSSQHFRELKELILGYTPSSCDVYEFEERSMPNVERLVVYFGDQTKKIVGIEHFKKLKEVEYIGSKDNLRPVLEPVEQMNNKRDVSQRITIKVRYDW >ONIVA12G03720.1 pep chromosome:AWHD00000000:12:2967037:2967234:-1 gene:ONIVA12G03720 transcript:ONIVA12G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKCSRCLVLYEHGRLVILSVVNLLATAASPDLLGWWTWRLIYSWRPSRTATVTTMPPLCLHT >ONIVA12G03710.1 pep chromosome:AWHD00000000:12:2957720:2967837:1 gene:ONIVA12G03710 transcript:ONIVA12G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nrap protein (InterPro:IPR005554); Has 396 Blast hits to 382 proteins in 182 species: Archae - 3; Bacteria - 2; Metazoa - 142; Fungi - 146; Plants - 43; Viruses - 0; Other Eukaryotes - 60 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G63810) TAIR;Acc:AT1G63810] MAAAIAAAADDSIVYKLSALLEEVRPSAAALRAASEAADAVAGLVKRIPTQQATHEAVAGFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGGAVARPDVSADLLVRLPKECFNEKDFLNHRYHAKRCLYLHVIEKSLRSSPLIQKISWSTFLDEARKPILHYFTVAAKEIAELPGFYVRIIPTASFLFNVSKMNLSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENVEFISSSVADWKALQEALVLLKVCSSFLILVRLLINTTSKMWTKGLVIQPTKKRTITKEDMVCFLKTFDVVICDVSGHVNLASRMTKSAFIELQDEAACALNCLDKCKDGGFEELFMTKVDLGAKFDSCLRINLKGNLKITTSSFCLDDLAWRKLEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIMDAIKFRKFWGEKAELRRFKDGTIAESTVWESESWEKHTIIKKIADHVLTKHLSLQKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAFDSLAKKLRLLGDVPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKRSSQRLPNFTATCMQSLEVMIQDQGMFVTASEDEVNVLTSGYSFLLKIFHERDGDGKAQNVPSEDKELFLRSQHSSMINGLHGRYQVYGPVVRFLRLLSSFDWTFSPMIIDINNDFNLKDEKEINVLKRMASYAKSSAELLTNLIIQGQSGQYTWECVFRTPLSNYDAVVLLHQEKLCRPHQVLFPAETPNGKLVICGKPCKDFHPYMPLNKGVVKSLHDSREKILVNFDPTTYFLRDLKSAFPKTFKLWYDSIGGDAIGLTWENSKKRGRDEADETMLDPASILKEVGNVGKGLVRGVYLLKAPKLQ >ONIVA12G03710.2 pep chromosome:AWHD00000000:12:2957720:2967837:1 gene:ONIVA12G03710 transcript:ONIVA12G03710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nrap protein (InterPro:IPR005554); Has 396 Blast hits to 382 proteins in 182 species: Archae - 3; Bacteria - 2; Metazoa - 142; Fungi - 146; Plants - 43; Viruses - 0; Other Eukaryotes - 60 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G63810) TAIR;Acc:AT1G63810] MAAAIAAAADDSIVYKLSALLEEVRPSAAALRAASEAADAVAGLVKRIPTQQATHEAVAGFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGGAVARPDVSADLLVRLPKECFNEKDFLNHRYHAKRCLYLHVIEKSLRSSPLIQKISWSTFLDEARKPILHYFTVAAKEIAELPGFYVRIIPTASFLFNVSKMNLSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENVEFISSSVADWKALQEALVLLKVCSSFLILVRLLINTTSKMWTKGLVIQPTKKRTITKEDMVCFLKTFDVVICDVSGHVNLASRMTKSAFIELQDEAACALNCLDKCKDGGFEELFMTKVDLGAKFDSCLRINLKGNLKITTSSFCLDDLAWRKLEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIMDAIKFRKFWGEKAELRRFKDGTIAESTVWESESWEKHTIIKKIADHVLTKHLSLQKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAFDSLAKKLRLLGDVPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKRSSQRLPNFTATCMQSLEVMIQDQGMFVTASEDEVNVLTSGYSFLLKIFHERDGDGKAQNVPSEDKELFLRSQHSSMINGLHGRYQVYGPVVRFLRLLSSFDWTFSPMIIDINNDFNLKDEKEINVLKRMASYAKSSAELLTNLIIQGQSGQYTWECVFRTPLSNYDAVVLLHQEKLCRPHQVLFPAETPNGKLVICGKPCKDFHPYMPLNKGVVKSLHDSREKILVNFDPTTYFLRDLKSAFPKTFKLWYDSIGGDAIGLTWENSKKRGRDEADETMLDPASILKEVGNVGKGLVRGVYLLKAPKLQ >ONIVA12G03700.1 pep chromosome:AWHD00000000:12:2954377:2955946:-1 gene:ONIVA12G03700 transcript:ONIVA12G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMAMMVSWPAIAAAFIVAASLSSPAAMRASAAAAAGGGGGNNPTAGFAKVDLTGGDFQVQRPYDVPESRRFRYRDGVWTFWVYDSDKPFNTATHTNPRTEVRLRGHDYSSGVWQFEGYGYVPSGTSGVSVMQIHNEEGAEHATILMLHVYDGVLRFYDGPAIESNIYDRWFRLNVVHDVKASTVAVYIDGKQKFSTNVIPSESYYFKFGVYMQHRDWSNCMESQWTNVTVYTKSY >ONIVA12G03690.1 pep chromosome:AWHD00000000:12:2936639:2951949:1 gene:ONIVA12G03690 transcript:ONIVA12G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETDPYVRFLNNDYIPMNKRGGGGEEGDQEAAAAAAAAAGLVPEQAAMFGSSNPFGQSSTSPFGQTSSNPFGAQTGFGQASTSTSNPFAPKPFGSPTTTFGAQTGGSPFGTTSTGAFGQPSTPAFGSTSTGAFGQPSTPAFGATSAGAFGQPSTPAFGTPSSSPFGSSAPAFGASPAPAFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSNAFGGTFQQTQPAFGSSTFGASSTPAFGATTTPAFGATTTPAFGTTTPAFGSTSPSLFGATSAPAFGSSGFGSSGTPAFGASSTPGFGASSSASFGTSTSAFSFGSSPSFGQTASTFGSTPFGTSTSPFGAQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYTQTPDADSATSGAQPTAKLDSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAATPSFPSPLNNQFPQNPSNAFQTSNAFQTTSVSNPFAAKPSTGFGSTSTTLFNSPFNNTSAASSSPFASTTSSPLFTQTSSSLFANSTPGFASSSPFGASLSNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQASSGFGLSTPAFSTGSLFSTPTPGMTGGLFGSMSSPFSSTAFQQSAPTPSMFSFQPQTQTAPTGGFPGISNTMNQAPFGQPTPSQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVADKPLTNRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRGTVDRQPIPKNLVDTDKHKGPLAISPTRSTSIENGIQRDDRASNEPDTVTRHGNGTSVERLVPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEMLVKKAEEQGAEFISFDAVNGEWKFRVKHFSSYGFGEAEIVSC >ONIVA12G03690.2 pep chromosome:AWHD00000000:12:2936639:2951949:1 gene:ONIVA12G03690 transcript:ONIVA12G03690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETDPYVRFLNNDYIPMNKRGGGGEEGDQEAAAAAAAAAGLVPEQAAMFGSSNPFGQSSTSPFGQTSSNPFGAQTGFGQASTSTSNPFAPKPFGSPTTTFGAQTGGSPFGTTSTGAFGQPSTPAFGSTSTGAFGQPSTPAFGATSAGAFGQPSTPAFGTPSSSPFGSSAPAFGASPAPAFGATSSTFGSGFTFGASSTPAFGATTTPAFGATTTPAFGTTTPAFGSTSPSLFGATSAPAFGSSGFGSSGTPAFGASSTPGFGASSSASFGTSTSAFSFGSSPSFGQTASTFGSTPFGTSTSPFGAQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYTQTPDADSATSGAQPTAKLDSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAATPSFPSPLNNQFPQNPSNAFQTSNAFQTTSVSNPFAAKPSTGFGSTSTTLFNSPFNNTSAASSSPFASTTSSPLFTQTSSSLFANSTPGFASSSPFGASLSNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQASSGFGLSTPAFSTGSLFSTPTPGMTGGLFGSMSSPFSSTAFQQSAPTPSMFSFQPQTQTAPTGGFPGISNTMNQAPFGQPTPSQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVADKPLTNRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRGTVDRQPIPKNLVDTDKHKGPLAISPTRSTSIENGIQRDDRASNEPDTVTRHGNGTSVERLVPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEMLVKKAEEQGAEFISFDAVNGEWKFRVKHFSSYGFGEAEIVSC >ONIVA12G03690.3 pep chromosome:AWHD00000000:12:2936639:2951949:1 gene:ONIVA12G03690 transcript:ONIVA12G03690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNPFGQSSTSPFGQTSSNPFGAQTGGFGQANTTTTNPFAPKPFGSPITAFGAQTGNSPFGTTSTGAFGQPSAPTFGSTSTGAFGQPSAPAFGSMSTGAFGQPSAPAFGSTSTGAFGQPSTQAFGTPSSSPFGSSTPAFGASPAPVFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSSAFGGPFQQAQPAFGGSTFGAASTPTFGTTTTPSFGATTTPAFGTTTPAFGSTSTSVFGASSAPAFGSTGFGSSTTPGFGSSGTTAFGADSTPGFGASSSGMSTSAFNFGSSPSFGQTIPTFGSTPFGTTSSTFGSQTSTFGSQTTAPAFGQTSFGNQAGGTRIQPYTQTPDADSATSGTQPAAKLNSISAMEAYKVKSHEELRWEDNQRGDKGGPNPSGTPAVTPSFPSPVNNQFSPNPPNAFPSASANNPFAPKQPSTGFGSISSVFNSISSNTAPASSSPFAPTISNPFPTQTNCSQFVNSASSPSLFGTINQNSFSTSGTNSQSVGLFGPSPSIVQQPSQASSGFTSSFPSFSGSLFSPPTPVATGGLFGSGPSPSTPTLQQPVPAQMPSILFQPPAQTASTGGFPGVSNTNQAPFGQPTPSQSNMVMQPALVKNPFGMLPATPQMSIGNGGSAPSVQYGISSLPVAEKPHTSRASLSMVVRRHLSQRRIKLLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIDQWPSRGTMDRQQIPKNSADIDEHEGSLAEREFNKTAISPTRSTSIENGIHRDDRASNEPDTVTRHGNGASVERLAPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHCCGSIKFIGETNVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGEQYTEGPRVDKYKEILVKKAEEQGAEFISFDAAKGEWKFRVKHFSSYGFAFGQSSTSPFGQTSSNPFGAQTGFGQASTSTSNPFAPKPFGSPTTTFGAQTGGSPFGTTSTGAFGQPSTPAFGSTSTGAFGQPSTPAFGATSAGAFGQPSTPAFGTPSSSPFGSSAPAFGASPAPAFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSNAFGGTFQQTQPAFGSSTFGASSTPAFGATTTPAFGATTTPAFGTTTPAFGSTSPSLFGATSAPAFGSSGFGSSGTPAFGASSTPGFGASSSASFGTSTSAFSFGSSPSFGQTASTFGSTPFGTSTSPFGAQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYTQTPDADSATSGAQPTAKLDSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAATPSFPSPLNNQFPQNPSNAFQTSNAFQTTSVSNPFAAKPSTGFGSTSTTLFNSPFNNTSAASSSPFASTTSSPLFTQTSSSLFANSTPGFASSSPFGASLSNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQASSGFGLSTPAFSTGSLFSTPTPGMTGGLFGSMSSPFSSTAFQQSAPTPSMFSFQPQTQTAPTGGFPGISNTMNQAPFGQPTPSQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVADKPLTNRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRGTVDRQPIPKNLVDTDKHKGPLAISPTRSTSIENGIQRDDRASNEPDTVTRHGNGTSVERLVPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEMLVKKAEEQGAEFISFDAVNGEWKFRVKHFSSYGFGEAEIVSC >ONIVA12G03690.4 pep chromosome:AWHD00000000:12:2940910:2951949:1 gene:ONIVA12G03690 transcript:ONIVA12G03690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVETDPYVRFLNNDYIPMNKRGGGGEEGDQEAAAAAAAAAGLVPEQAAMFGSSNPFGQSSTSPFGQTSSNPFGAQTGFGQASTSTSNPFAPKPFGSPTTTFGAQTGGSPFGTTSTGAFGQPSTPAFGSTSTGAFGQPSTPAFGATSAGAFGQPSTPAFGTPSSSPFGSSAPAFGASPAPAFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSNAFGGTFQQTQPAFGSSTFGASSTPAFGATTTPAFGATTTPAFGTTTPAFGSTSPSLFGATSAPAFGSSGFGSSGTPAFGASSTPGFGASSSASFGTSTSAFSFGSSPSFGQTASTFGSTPFGTSTSPFGAQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYTQTPDADSATSGAQPTAKLDSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAATPSFPSPLNNQFPQNPSNAFQTSNAFQTTSVSNPFAAKPSTGFGSTSTTLFNSPFNNTSAASSSPFASTTSSPLFTQTSSSLFANSTPGFASSSPFGASLSNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQASSGFGLSTPAFSTGSLFSTPTPGMTGGLFGSMSSPFSSTAFQQSAPTPSMFSFQPQTQTAPTGGFPGISNTMNQAPFGQPTPSQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVADKPLTNRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRGTVDRQPIPKNLVDTDKHKGPLAISPTRSTSIENGIQRDDRASNEPDTVTRHGNGTSVERLVPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEMLVKKAEEQGAEFISFDAVNGEWKFRVKHFSSYGFGEAEIVSC >ONIVA12G03690.5 pep chromosome:AWHD00000000:12:2936639:2951714:1 gene:ONIVA12G03690 transcript:ONIVA12G03690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNPFGQSSTSPFGQTSSNPFGAQTGGFGQANTTTTNPFAPKPFGSPITAFGAQTGNSPFGTTSTGAFGQPSAPTFGSTSTGAFGQPSAPAFGSMSTGAFGQPSAPAFGSTSTGAFGQPSTQAFGTPSSSPFGSSTPAFGASPAPVFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSSAFGGPFQQAQPAFGGSTFGAASTPTFGTTTTPSFGATTTPAFGTTTPAFGSTSTSVFGASSAPAFGSTGFGSSTTPGFGSSGTTAFGADSTPGFGASSSGMSTSAFNFGSSPSFGQTIPTFGSTPFGTTSSTFGSQTSTFGSQTTAPAFGQTSFGNQAGGTRIQPYTQTPDADSATSGTQPAAKLNSISAMEAYKVKSHEELRWEDNQRGDKGGPNPSGTPAVTPSFPSPVNNQFSPNPPNAFPSASANNPFAPKQPSTGFGSISSVFNSISSNTAPASSSPFAPTISNPFPTQTNCSQFVNSASSPSLFGTINQNSFSTSGTNSQSVGLFGPSPSIVQQPSQASSGFTSSFPSFSGSLFSPPTPVATGGLFGSGPSPSTPTLQQPVPAQMPSILFQPPAQTASTGGFPGVSNTNQAPFGQPTPSQSNMVMQPALVKNPFGMLPATPQMSIGNGGSAPSVQYGISSLPVAEKPHTSRASLSMVVRRHLSQRRIKLLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIDQWPSRGTMDRQQIPKNSADIDEHEGSLAEREFNKTAISPTRSTSIENGIQRDDRASNEPDTVTRHGNGTSVERLVPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEMLVKKAEEQGAEFISFDAVNGEWKFRVKHFSSYGFGEAEIVSC >ONIVA12G03690.6 pep chromosome:AWHD00000000:12:2936639:2951949:1 gene:ONIVA12G03690 transcript:ONIVA12G03690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNPFGQSSTSPFGQTSSNPFGAQTGGFGQANTTTTNPFAPKPFGSPITAFGAQTGNSPFGTTSTGAFGQPSAPTFGSTSTGAFGQPSAPAFGSMSTGAFGQPSAPAFGSTSTGAFGQPSTQAFGTPSSSPFGSSTPAFGASPAPVFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSSAFGGPFQQAQPAFGGSTFGAASTPTFGTTTTPSFGATTTPAFGTTTPAFGSTSTSVFGASSAPAFGSTGFGSSTTPGFGSSGTTAFGADSTPGFGASSSGMSTSAFNFGSSPSFGQTIPTFGSTPFGTTSSTFGSQTSTFGSQTTAPAFGQTSFGNQAGGTRIQPYTQTPDADSATSGTQPAAKLNSISAMEAYKVKSHEELRWEDNQRGDKGGPNPSGTPAVTPSFPSPVNNQFSPNPPNAFPSASANNPFAPKQPSTGFGSISSVFNSISSNTAPASSSPFAPTISNPFPTQTNCSQFVNSASSPSLFGTINQNSFSTSGTNSQSVGLFGPSPSIVQQPSQASSGFTSSFPSFSGSLFSPPTPVATGGLFGSGPSPSTPTLQQPVPAQMPSILFQPPAQTASTGGFPGVSNTNQAPFGQPTPSQSNMVMQPALVKNPFGMLPATPQMSIGNGGSAPSVQYGISSLPVADKPLTNRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRGTVDRQPIPKNLVDTDKHKGPLAISPTRSTSIENGIQRDDRASNEPDTVTRHGNGTSVERLVPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEMLVKKAEEQGAEFISFDAVNGEWKFRVKHFSSYGFGEAEIVSC >ONIVA12G03690.7 pep chromosome:AWHD00000000:12:2936639:2951949:1 gene:ONIVA12G03690 transcript:ONIVA12G03690.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNPFGQSSTSPFGQTSSNPFGAQTGGFGQANTTTTNPFAPKPFGSPITAFGAQTGNSPFGTTSTGAFGQPSAPTFGSTSTGAFGQPSAPAFGSMSTGAFGQPSAPAFGSTSTGAFGQPSTQAFGTPSSSPFGSSTPAFGASPAPVFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSSAFGGPFQQAQPAFGGSTFGAASTPTFGTTTTPSFGATTTPAFGTTTPAFGSTSTSVFGASSAPAFGSTGFGSSTTPGFGSSGTTAFGADSTPGFGASSSGMSTSAFNFGSSPSFGQTIPTFGSTPFGTTSSTFGSQTSTFGSQTTAPAFGQTSFGNQAGGTRIQPYTQTPDADSATSGTQPAAKLNSISAMEAYKVKSHEELRWEDNQRGDKGGPNPSGTPAVTPSFPSPVNNQFSPNPPNAFPSASANNPFAPKQPSTGFGSISSVFNSISSNTAPASSSPFAPTISNPFPTQTNCSQFSISWAIWSFPINCATAQPGIIRVHIELPIFFWEPIQPTYSCGDWWIVWQRAFTINADIATTCPCSNAQHFVPTSSSNRTPSQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVADKPLTNRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRGTVDRQPIPKNLVDTDKHKGPLAISPTRSTSIENGIQRDDRASNEPDTVTRHGNGTSVERLVPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAVVTLLNIKCMNKKTGDQYTEGPRVDKYKEMLVKKAEEQGAEFISFDAVNGEWKFRVKHFSSYGFGEAEIVSC >ONIVA12G03680.1 pep chromosome:AWHD00000000:12:2928027:2930451:-1 gene:ONIVA12G03680 transcript:ONIVA12G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDGRLRRALAAFGGGADVWDLVDAALASAARDRPAELRARRDGIVERLYATAAGGRCGNCDAPRSAEPEAAAAAAASPASPDEDADVDGLDDDEGGGMESKILAIRDFLEDPDQSEDELVSLLQSLADMDITYKALQETDIGRHVNGLRKHPSGEVRQLVKLLVRKWKEIVDDWVRLHNSGGDGGSSVLTDGDSPDKIQGRSYQNAQVSDFKYSPSPQRHNGLSSERSSNNNGVESMIEKRRTSPAPTYHNNKQNNSIGYSTTSSSAPVRTVREQKDNLMDLEKLDSARKRLQENYQEAQNAKKQRTIQVMDIHDIPKPKNRNAFIRKGGGGLPGKHR >ONIVA12G03670.1 pep chromosome:AWHD00000000:12:2924448:2926550:-1 gene:ONIVA12G03670 transcript:ONIVA12G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRDSDSRSSHHAPHKSSSFSQPSARDDKPRDAIDRNLSLGSAGHHHHHDGRRLDLHHPPPAGDAIKEEYEEEERGGAGAPCGGGDGGGGDGGGGGDGGGGDGGVPDLAALSVEIDAFVAAGQDGGEALSDATLERFAAAVEMEIAQSESAVDKWATGANGERRALLAAISRIAALAAALAKAPDGKHATSGAHRVTAVLHRAMAFLEDEFLALLDDPRVPKATTFDQVQHEVDRCVLPASVDVGAGVGESAPPYPPETVDRLRSMADAMVTAGYVTECTQMFLVARRNASDASLRALGYEKASIDDVVKMTWEALEAEIATWTKAFRHTINVGLSTEHDLCARVFAGRHAAVGRGMFADLARCVMLHMLNFTEAVTMTKRAAEKLFKVLDMYEATRDASPVIDAFLTADDGNNSTALTDLKHELNSVRSRLGEFAAAIFRELESSIRADAGKQPVPGGAVHPLTRYVMNYLKCACAYNSTLEQVFREHGAHGGGGGGDGENPFAAQLMEVMELLHGNLEGKSRLYKDPSLSNIFLMNNGRYMLQKIRGSPETNAMLGEAWARKQSTNLRQYHKNYQRETWSRVLGLLRDDGVLTVKGSVQKPVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFAQTFSAGRQSEKYVKLSADDVEAIIDELFDGNATSMTRRRT >ONIVA12G03660.1 pep chromosome:AWHD00000000:12:2922998:2923967:1 gene:ONIVA12G03660 transcript:ONIVA12G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCCWLPWLIIILLPLPPCDGSSGADVDLTAGFAAVELTGDMFKLHKPYDLPPEQRYEFRDGVRRMWVLCSDRPFSPGSTTKPRSEILLNKTYTSGVWQFEAYGYVPSGTTGVSILQAFGASGRNTTLMLHVYGGRLMYYHDEARVVDGDIYDRWFRLNVVHDVTGVGKLAVFVDGERRLAVAGHGGYRHYFKFGVYVQRDPSHYMESRWRDVKVYTKIVD >ONIVA12G03650.1 pep chromosome:AWHD00000000:12:2919696:2921190:-1 gene:ONIVA12G03650 transcript:ONIVA12G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLAVQVSRRPVSGEDFAFATSDTDAAFLVLAHLPGYDKEEVEVVVGDGGREVGVVVGARKDDAFAVEAAVVGRRLRVAHRQVVEGFCRVFDVPPGVEVGRITVGFEEDDELLVVIMPKFRPAPAVSGGDEGRRLDVESADSECGSSDVEDFDVESGPEERQDDDVAVETEVELDDDDDDEDELSSLELEYEDWINVDSSESEPEPEPPRDVAVETPVAVEEEVAVEEEVAVETPVAVEEPPPPPPPSVVDIECDVVFEPAYRELPVETPIEVVGPPHAEPEPPSDVPDPIDILCVVEEPKPPATVDEPEQPKPPAAVEPPVQEPPAEETPTATEPEPEPPAAEEPPAAEPEQEPQPETPPVESETPAEEQAPVQEPPAADETPAAGEPEEQPKPRSSDSEDYSTSGEEGDGANRGGGRRRPRGQGPRRRRRRRRGGFPLGMVVGPAVILLALAAAAARRRRQQRGAGGQ >ONIVA12G03640.1 pep chromosome:AWHD00000000:12:2903578:2915728:-1 gene:ONIVA12G03640 transcript:ONIVA12G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05090) TAIR;Acc:AT3G05090] MAAVEQSSAGGGGMRGGGGGGRGRSLANPDRVATVEGERLMRRCASRIGRGLQGESEGEDEKRKAICPLILVIRRHLSMHRVGSAGNTAGSSRPRKEKRFTYVLNDADNKKHCAGINCLSYLNASTSGTSDYLFTGSRDGTLKRWEPKNGVASFSATFESHVDWVNDAIIVGQNLVSCSSDTTLKVWNCLSDGACTRTLRQHSDYVICLAASEKNSNIVASGGLGGEVFIWDLDSSLAPVAKSVDAKEDEAPNGNSGPALTTLCNVNSSSNLASTNGQSHGYSPITAKGHKDSVYALAMSDTGNTLVSGGTEKVVRVWDPRTGSKKMKLRGHTDNIRALLLDPTGRYCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSVWALASTPSFSHVYSGGRDQSVYLTDLSNRESVLLCTNEHPILQLSLQDDMIWVATTDSSVNGWKAEAHEPLKLFQKGSSFLAGNLSFSRARASLEGSAPVPIHKEPSSIIPGVPAITQHEILNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVAGAQEDLKINLAQETLREGSSGGPWRKRITDLDGTESDIPWWIVDCVEHNRFPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLDRPLDGNADSTFGMGLTSGQSQFSALDSSSRLGLKPWQKLKPSVLAPEMSLATVRAYVWKKPEDLILNYKVVQSR >ONIVA12G03640.2 pep chromosome:AWHD00000000:12:2903578:2915728:-1 gene:ONIVA12G03640 transcript:ONIVA12G03640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05090) TAIR;Acc:AT3G05090] MAAVEQSSAGGGGMRGGGGGGRGRSLANPDRVATVEGERLMRRCASRIGRGLQGESEGEDEKRKAICPLILVIRRHLSMHRVGSAGNTAGSSRPRKEKRFTYVLNDADNKKHCAGINCLSYLNASTSGTSDYLFTGSRDGTLKRWEPKNGVASFSATFESHVDWVNDAIIVGQNLVSCSSDTTLKVWNCLSDGACTRTLRQHSDYVICLAASEKNSNIVASGGLGGEVFIWDLDSSLAPVAKSVDAKEDEAPNGNSGPALTTLCNVNSSSNLASTNGQSHGYSPITAKGHKDSVYALAMSDTGNTLVSGGTEKVVRVWDPRTGSKKMKLRGHTDNIRALLLDPTGRYCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSVWALASTPSFSHVYSGGRDQSVYLTDLSNRESVLLCTNEHPILQLSLQDDMIWVATTDSSVNGWKAEAHEPLKLFQKGSSFLAGNLSFSRARASLEGSAPVPIHKEPSSIIPGVPAITQHEILNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVAGAQEDLKINLAQETLRGLLVHWSKKKQRSGSHGLSNGDTSTGKDVSLKNLPHPRSEVDDGAENHASQMLPSFEFSTVSPPSIITEGSSGGPWRKRITDLDGTESDIPWWIVDCVEHNRFPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLDRPLDGNADSTFGMGLTSGQSQFSALDSSSRLGLKPWQKLKPSVLAPEMSLATVRAYVWKKPEDLILNYKVVQSR >ONIVA12G03630.1 pep chromosome:AWHD00000000:12:2894865:2900275:-1 gene:ONIVA12G03630 transcript:ONIVA12G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRMRCLVGGGVEDSPRGAVRRVSPALRRVHNANASAAAAAGAEGKSGLPFRSPDVMETVHEVAIYIHRFHNLDLFQQGWYQMKISATWEEGGSKTPASPARVVQYEASDVGADDALGIWKIDDADNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASSVILKFELIYAPTLENGSDIQASSATSSAAVHEFRVPRRALLGSHSYCPVHFDAFHSVLVDLTLHIVYLKAGATKSSLKIPDQGLGPTSHHIVKALLTSREMLLEELKKISDAIGKTVEDLDVADLSLGKYEAVQPAKSGLPNSNKVFPATTKGVGHLAGILHDFLEKPNSAVDGANDAMLYTLPKEELLELFLTVSSQLSLLWNAFLKFHRINKTKILDYLRDIWALDRKSEWSIWTVHSKIEIPHRYLRSTDDESSHRHSLLRVSGSRKFHDDPVQNSASRAELHRKSIAQMKINTLSIQDMQIYADPSRVPVVLIEQHVMVVPQHGSSKDLATNSSEQKDTIVLPKLQGDSLALKSSAGKKGRILRAVIFGHHLDLRLVRNQWLLLDPGAECLMSEANEDKTSGDFKEMGGRLAGEVVAFLKKKVDKLAKYGGCKELKLSFVGHSIGNVIIRTALAEPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTFFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDNSRKGQVFTEMLNNCLDQMRAPTSETRIFIRCDVNFDQSAQGRNLNTMIGRAAHIEFLETDIYAKFIMWSFPELFR >ONIVA12G03630.2 pep chromosome:AWHD00000000:12:2894865:2900275:-1 gene:ONIVA12G03630 transcript:ONIVA12G03630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRMRCLVGGGVEDSPRGAVRRVSPALRRVHNANASAAAAAGAEGKSGLPFRSPDVMETVHEVAIYIHRFHNLDLFQQGWYQMKISATWEEGGSKTPASPARVVQYEASDVGADDALGIWKIDDADNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASSVILKFELIYAPTLENGSDIQASSATSSAAVHEFRVPRRALLGSHSYCPVHFDAFHSVLVDLTLHIVYLKAGATKSSLKIPDQGLGPTSHHIVKALLTSREMLLEELKKISDAIGKTVEDLDVADLSLGKYEAVQPAKSGLPNSNKVFPATTKGVGHLAGILHDFLEKPNSAVDGANDAMLYTLPKEELLELFLTVSSQLSLLWNAFLKFHRINKTKILDYLRDIWALDRKSEWSIWTVHSKIEIPHRYLRSTDDESSHRHSLLRVSGSRKFHDDPVQNSASRAELHRKSIAQMKINTLSIQDMQIYADPSRVPVVLIEQHVMVVPQHGSSKDLATNSSEQKDTIVLPKLQGDSLALKSSAGKKGRILRAVIFVHGFQAIRVKLIMVYKKQGHHLDLRLVRNQWLLLDPGAECLMSEANEDKTSGDFKEMGGRLAGEVVAFLKKKVDKLAKYGGCKELKLSFVGHSIGNVIIRTALAEPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTFFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDNSRKGQVFTEMLNNCLDQMRAPTSETRIFIRCDVNFDQSAQGRNLNTMIGRAAHIEFLETDIYAKFIMWSFPELFR >ONIVA12G03620.1 pep chromosome:AWHD00000000:12:2893152:2894295:-1 gene:ONIVA12G03620 transcript:ONIVA12G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGKTCCRVGCTSEPCPDDQRVEQFSWTVESSAQLSCHFHLHHHHYHYWVKAFQGHTMDEPSRRADLQRRDTAATTGGGAYHHIGDRQVLSMTVFPGSLNTAHSSRYQLSTTLCHSTNSAEIHNLLLEDEDIPPSLVMPSKQEQFCRCDFHGIDYLEDYYRYGKTCFETGQDHMAVETIKLMLATYTLVTELDDNLQYSTNFHAPQHKRLN >ONIVA12G03610.1 pep chromosome:AWHD00000000:12:2891247:2892494:1 gene:ONIVA12G03610 transcript:ONIVA12G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTEHQGSSSSPSTFSFGRSLLSLRRDHVAMPSGEEADLEAFQRHFAASLGELLPGEVEGGGGGGGGGGGGEEILSVAWIRRLLEAFILCQEEFRVFVAQARRRGALPAAGEKLVVEFHERAVKALDVCNAARDGVDQVRRWERLADIAASVLLAPGEIHEGQLRRARKALSDLSVLLVDDTAASGSGGVASFLASHRNRSFGRARASPSRASFAGATSATSSSHFRSLSWSVSRAWSASRQLQAIGAGLAAPRAHEAGLAAPVYAMGCVLHLVAWALVAAVPCPDRSTALQAHHLPAAPARAAFPWAPPLLTLQERLAEEGKRKDRRNSCGLLKEIHVLEKSTQKLTDAIDAAPIPLFGDREADVREAAAELAAVCAAMRDGLEPLERQVREVFHRIVRSRVEGLDSSMHNAD >ONIVA12G03600.1 pep chromosome:AWHD00000000:12:2886629:2888250:1 gene:ONIVA12G03600 transcript:ONIVA12G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGHRHVDSKGKAGAEELLIMAPFKINKTIAATFFMVLIMSCALTSTSACQGGMECTVEEPHCTMDSCREKCKDIGHQPQVQPNDS >ONIVA12G03590.1 pep chromosome:AWHD00000000:12:2882423:2886222:1 gene:ONIVA12G03590 transcript:ONIVA12G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKINKIIAATFFMVLVIMSCALTSTLACQANSRKCNRMTASCHKYARLEKCCCTFHAKRRREKPPVMAPVKINKFITATFFMILVIMSCALTSTLACQGGTECTIETPHCTMDSCNAKCKAEATSRKCNSLTVSCHKYATLEKCCCTFHAN >ONIVA12G03580.1 pep chromosome:AWHD00000000:12:2878107:2881499:1 gene:ONIVA12G03580 transcript:ONIVA12G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSLKICQFDIVGMMAKRCTDILLAPRPRKTMRIDINSCRSRFLLPYIPDEVMFDVLLRLPSKSLMRFKSVCKAWHAMISSPIFINAHLEWSKLKPSSLLMTPGFYQKQKNGQNIAFLMGLYKYQGGNNNVVHLHDFPRDFPQVLDTWTRPVHCDGLLLVSNMSKKMIIYNPSTREIVSLPKGSRNLHKGTGIGFGFDPRSSKYKVARVFYQRDDKTSMLVCKFEVLTLGTINVWRQTEDPPYPIGKSTPVHVKGAIYWMVSRTSLCPDPPNTLVRFCLTDEKFSLFPCPCNVKPSCLTGLGDELYCSYFFSQPLQLEIWGCSVVGQKPEWTRRCALQIPPDVIERPVASPLVVFHGKILLLALKKVYKYDIQACKLEKIPLVVEDFMCYDRENNMYQTYSKKEVMDFHLFNYVESLVSIREF >ONIVA12G03570.1 pep chromosome:AWHD00000000:12:2834543:2842778:-1 gene:ONIVA12G03570 transcript:ONIVA12G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSVTKPAGNMRRVLLAAPRARKTLSTSLQTLPTRTASTGPPSPTRGPHAGHTTWTRRTRPSRQVYERLVAEGNEEAAAPDFRAQLEAHFMRLPHSYQLDINVDKAEDVLVHQKVLAEAKDPDRRPAFHVRFLRLVVFGEKCHSTGGMMLTPTILSIEEVDPTYDSDASEDGADDGDDLSVRQDTSYAHIHEVVFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTFDNYSLDVFVVDGWPIEDTDGLHKALEASILRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGGMIASGSCGDLYHGTYLGEDVAVKILRSEHLNKNVWNEFTQEVYILREVQHTNVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLDLPTLLKFAVDVCRGMCYLHQRGIIHRDLKSANLLMDKDHVVKVADFGVARFQDQGGNMTAETGTYRWMAPEAYHPLKLLMEVHRAPRILKVINHQPYDNKADVFSFAIVLWELITSKIPYNTMTPLQAAVGVRQGLRPGLPENAHPQLLDLMRRCWEGIPSNRPPFSDILAELEDLLARVQEQQEHSNQMKEHNSSSNLKLSNAGMLGSNYIQDGNMDLDF >ONIVA12G03560.1 pep chromosome:AWHD00000000:12:2830551:2832767:-1 gene:ONIVA12G03560 transcript:ONIVA12G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPALLTEAPLNPKANREKMTQIMFESFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELNAAAAAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLVGMEAAGIHETTYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >ONIVA12G03550.1 pep chromosome:AWHD00000000:12:2825884:2827698:-1 gene:ONIVA12G03550 transcript:ONIVA12G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G15720) TAIR;Acc:AT4G15720] MTATSNAAAGAATLMPLLIHLLRGASDLASVSATHAKLLKLGAASSVASSNHLLAAYGRCGCTALARHLFDGMRDRNVVSWTALMSGYASAGRPRAAVALLRAMARSGVPPNAFTFSTAASSCARLADAGLGRQVHARAEVAGYACDSVVSTALVDMYGKAGRVGDARAVFDAMPVTARNAVSWASMLSVYAQNALGHDAIQLFAELRTNGEDMAPNHFMLSSVVNACAGVGRLGIGKCIHGMVLHHGHEDNDVVAVALVDMYSKCGIYEYSKKVFDRINQPSVIPYTSIIVATAKYGLGRCALALFSDMVGRGVQPNSVTLLGVLHACSHSGLVDTGLQLLRSMRSEYGIDPCASHYTCAVDMLGRAGQLEEAFELADEAQVNGNDALMLWSSLLSACRNHKRLDLATMAGQKVSEFTQDVAGALVVMSNAYASAGQADDAAAVWSNMRRRGIRKDPGCSWIEIKDISYVFYAGVVSPAGSRAGEVMLLLDELEGKMREKGYKGRIGSARVLDADEEEDGNHHHQEGRGVMVGVHSELLALGLGLLVIPKGMAIRVMKNLRMCRDCHEAFKDISAIVEREFVVRDLNRFHHFRMGSCSCNDYW >ONIVA12G03540.1 pep chromosome:AWHD00000000:12:2819151:2820449:-1 gene:ONIVA12G03540 transcript:ONIVA12G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNNLPSGSLMRSNSGQMHAPNPGKQGFDHTQMPGNLSMYVNQSTDSDHLSEFQFGELGKVDHHHHHHHRQHAKNSMSDDEEHGVNEDATDSQSGKGKKGAAWQRMKWTDSMVKLLITAVSYTGEDPGADSGAGKRNSAIMQKKGKWKAISKVMGERGCSVSPQQCEDKFNDLNKRYKRLTDILGRGTACKIVENHALLDCMSNLSDKMKDDARKILSSKHLFYEEMCSYHNNNRVSLPEDPALQRSLQLALRCKDEHDLRRGTSGDADEDDQSVDSDSEEENDEENYTLQGDKSALPMHKRLRLMTDQEDVGFGNSSSSHGCSRRSDSHGISLDINKAFPDGTNLALAQKDLAKQSADLEEQRLQIEVQAVYLAKQRLKWERFSKNKDRELEQMRLENEKMRLENKRLELEVRHKELELELKQKGSGNHA >ONIVA12G03530.1 pep chromosome:AWHD00000000:12:2811190:2815939:-1 gene:ONIVA12G03530 transcript:ONIVA12G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J746] MSFRSIVRDVRDGFGSLSMRGFEVRLVGHRRGRSHSAVHELRDGHAAAAAADVVQSSCWANLPPELLRDVIERLEASEAAWPSRKNVVACAAVCRTWRDMCREIVKNPEFCGKITFPVSLKQPGPRDGAIQCFIKRDKSTQTYNLYLCLSSAVLVESGKFLLSAKRYSRATCTEYTIFMSADNTSRSSNMYIGKLRSNLLGTKFVIYDTQPPCNTANVSQSGKTSRRFYSRKVSPKNPSSTYSIAQVSYELNVLGTRGPRRMNCVMHSIPASSLEAGGTVPCQPDSVLARSLDESFGSISFSKSSIMDRAIRFSSSRYSDISVGGPMVGGQALGDSDESKERPLILRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAATQPAAGAPTPSQPAPPPPPDHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ONIVA12G03520.1 pep chromosome:AWHD00000000:12:2807220:2809017:-1 gene:ONIVA12G03520 transcript:ONIVA12G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine hydroxylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J745] MESAEVAASSTFGPTPEMEKFLCDLLLDTAQPIAERFRALFSLRNLHGDGPRCALLQAARDSSNLLAHEAAFALGQMQDAEAIPALEAVLKDLSLHPIVRHEAAEALGAIGLEKSIPLLEESLAADPAVEVQETCELALRRIEQQKNAGVSESTTISPFLSVDPALPAKQGLSVHQLREILLNEQESMYERYAALFALRNDSGDAAVSAIVAALGAKSALLKHEVAYVLGQLQNKAASDALSTVLKNVDEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKSFETPGVQQES >ONIVA12G03510.1 pep chromosome:AWHD00000000:12:2799925:2806264:1 gene:ONIVA12G03510 transcript:ONIVA12G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) TAIR;Acc:AT3G55510] MAKKLGKKARKFARKHLQSAAKRNRKQRSQFARRPRRGGSGRGNERDGDGDDEMPQRAIDNVMNNGDAAALVNGLEFPEDECELNSDLSDSDGYLSEDSECLYYSDSEDGNAVNDCFVQDGLDGENDKMNTEIKKQKKKLNKLMDKDPEFANYLEKWQSELKSDGSKEDSAEDEMDSVDNDADSSDENLSNDKILTRKTISEWCQLVAKEPKAPSLRSLLNAYRDACRFGVSKSPSVQRIQSTEVFHQIITFVLSEADNIFHALLEISDDVNKGKIMNLRSAKKWKTIEPLVKSYLQNSLDLLSQLTDNQILEFVLTRLRASAVLFSAYPSTSRRLLKILIRLWASGDHNLSLSSFLMIREVASLLPECLDLCLNKSYNTYLASSKLVNEGNIKHIDFLMDCLVELYSLDVQKSCERATTSIGQLNSILRQACKTKEKEDLRKVDNWQYINCVNLWVRFLCCNYKDYNMHPLLSSVVQIIRGVAHLFPGTRYLPLRLRLVQMLNELSSCSQMFFPIPSLLFDCLEFRDTSQKEQGKKAKVNFSSLLKVPKNMLKSRDFHEECVLSAIDVLSAHFAQWCYHVSFPEVATIPLILLKRLHEQTTIESLRRPIKRLIDQVDENKNIVERKRDGVSFSPNDTTSVESFVQNLQAEKSSAKFSQFYKIVPKKLR >ONIVA12G03500.1 pep chromosome:AWHD00000000:12:2798449:2799009:1 gene:ONIVA12G03500 transcript:ONIVA12G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASANGNDDGKSATAAQGGIGGGGRGRQNPRRAAPPESGGDGGNGGRTEPDPDDDNGNLRDDDSGWRRCRRRRQRLATSMTMVALRRGFKTLAIVLSAAGPHFCAASSSAPQEPHHNPLLAGCPRPSARGQGAPPHHPGDAGDAWKPVLAAVHDACVEVLAACAIRVEGERGEGGGICVGPTVGQ >ONIVA12G03490.1 pep chromosome:AWHD00000000:12:2786149:2789625:-1 gene:ONIVA12G03490 transcript:ONIVA12G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLHRHVLPPFRRPPLPFFRSGGGGRGGATASGPSAAGAPASASAAAAAPARRRPWTPRRILDPGDDVVLRWNRLFLVTCMVGLFVDPMYFYLLHTGLKSCVTMDMQIGVGVTAVRTVADLFYLAHMILKFRTAFVAPSSRVFGRGELVRDPDQIAIRYLKNDFIIDLAAMLPIPQVIIWFVIPAVNNSSANHTNNTLSMIVLIQYIPRVFLIVSLNSKIVKSSGVVTRTAWAGAAYNLLLYTLASHVLGALWYLLSIERQYTCWMDVCTRENGTNPAIPKCYMGYLDCKTLEDPIRMDWHSRSEIDHQCLLPEATYVYGLFADALNLDVAKVNFWDKYLYCLWWGFRNLSSYGQNLENSTYRGETIFCILICIMGLVFFSHLIGNMQTYLQSMTVRLEEWRVKRRDIEEWMRHRQLPLELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVSEMLFVIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVRSVTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRYKKRKLARELSKQEELYYMQGQGGDDGDGHDDSDSAPLLGAGAGAGGDHRDGAAAGAAHLGATFLASKFAKNTKKSAAAHHGKARMEDVSSIKFPKLAKPDEPDFSLSSDDVL >ONIVA12G03480.1 pep chromosome:AWHD00000000:12:2779259:2784046:-1 gene:ONIVA12G03480 transcript:ONIVA12G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVLLRRIPVRRHCGPSSSRLLSSAAAGAGGGAGGVGRRGPYQQQQQEEEESKAVKVSVWWDFENCNLPNGVNPGRVAPRVTAALRAAGIRGPLSITAFGDVVQLARPSQEDLVATGVSISHVPNSGKNSSDRSFMADLVYWIAQNPPPAHFFLISGDKDFANILHRLRMSNYNILLASPGTATTSVLCSAATIMWPWEALVKGEDFSPKRFNHPPDGLAGSWYGHYKGALDDPFVDTEPEELVVVPSDLKHGSIPKYVVNAIQQVLKSYPNGVCLSDLRLELKKKNIYLGNDFFGHKKFSCLLQSLPNFVEFISPPVGANQPCVVAANRKLMGPDKQNFESSTESNVGDNNLNRAIHNDKPPPLPVSTSFSEKNAKTENSSQNIAKKLQTASENPPTFAVLSSRSDVLPEDQKEHPAANLNAQIESPEDHKEVDPTTASGIPSSSGVEDNVNKEGLFKRIWLLCKGPESAKSEVSHLESTSTVVVGDAQSPQQEHNANEHRRLLKRVYKKSCRNGSTDGSDSVTTGSASTSSHDDRSKKLKHVDDMRSLERDTSNSEPCDRSVSVSVDKAEKGDGISKMDKGLFSWVTGWLKFGKSDADNVTANRNVIDEASTDSLKRPESLKVSACGSGEEEVHEIFTKSFFWDVLQQQLSKPLGSELVSKAKTREELVHQLHKLDCWPLKGLAEKDLHQLVNILVSEKKWVEETPSRYFPFRLTLPHKRTCIPSNSRKFDGLSSLFSNGKPLPGKYTGDQSTNSPLPREETLLDCHKLLKDLLLEYEYGFNISIFKLRFAQKHGYELDPQKLGYPDLKSLLQIMPGVRVKFPRVLPAENGNGQAGSKGNGNQSNGDDFVWEELGPVSGTTETVQTVDKEMCYRPPTLSDDDFSEDENHADQQPRKEAEASSLLQIIDSWHNSKDVSSKKTQDIDGLRVHGNKKMD >ONIVA12G03480.2 pep chromosome:AWHD00000000:12:2779631:2784046:-1 gene:ONIVA12G03480 transcript:ONIVA12G03480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVLLRRIPVRRHCGPSSSRLLSSAAAGAGGGAGGVGRRGPYQQQQQEEEESKAVKVSVWWDFENCNLPNGVNPGRVAPRVTAALRAAGIRGPLSITAFGDVVQLARPSQEDLVATGVSISHVPNSGKNSSDRSFMADLVYWIAQNPPPAHFFLISGDKDFANILHRLRMSNYNILLASPGTATTSVLCSAATIMWPWEALVKGEDFSPKRFNHPPDGLAGSWYGHYKGALDDPFVDTEPEELVVVPSDLKHGSIPKYVVNAIQQVLKSYPNGVCLSDLRLELKKKNIYLGNDFFGHKKFSCLLQSLPNFVEFISPPVGANQPCVVAANRKLMGPDKQNFESSTESNVGDNNLNRAIHNDKPPPLPVSTSFSEKNAKTENSSQNIAKKLQTASENPPTFAVLSSRSDVLPEDQKEHPAANLNAQIESPEDHKEVDPTTASGIPSSSGVEDNVNKEGLFKRIWLLCKGPESAKSEVSHLESTSTVVVGDAQSPQQEHNANEHRRLLKRVYKKSCRNGSTDGSDSVTTGSASTSSHDDRSKKLKHVDDMRSLERDTSNSEPCDRSVSVSVDKAEKGDGISKMDKGLFSWVTGWLKFGKSDADNVTANRNVIDEASTDSLKRPESLKVSACGSGEEEVHEIFTKSFFWDVLQQQLSKPLGSELVSKAKTREELVHQLHKLDCWPLKGLAEKDLHQLVNILVSEKKWVEETPSRYFPFRLTLPHKRTCIPSNSRKFDGLSSLFSNGKPLPGKYTGDQSTNSPLPREETLLDCHKLLKDLLLEYEYGFNISIFKLRFAQKHGYELDPQKLGYPDLKSLLQIMPGVRVKFPRVLPAENGNGQAGSKGNGNQSNGDDFVWEELGPVSGTTETVQTVDKEMCYRPPTLSDDDFSEDENHADQQPRKEAEASSLLQIIDSWHNSKDVSSKKTQDIDGLVDCSRSDHGYLDNLTGGNAPKPTRPQHKQYSFVSDSEEDTEKGKLVESVLGSLQKARTSKLHN >ONIVA12G03470.1 pep chromosome:AWHD00000000:12:2775406:2778033:1 gene:ONIVA12G03470 transcript:ONIVA12G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGGAASPPPPGAHHLTTKEEEEDAQRDRDRARDEDDEAPARRCSWVILQAVTRVKDLPPGEDLAFKSEKPPGTSVLYVDKAIGFFNFVVPRAKPFSVNLSRPRPTTPLVVAVHGSGMVVASAFNGVRYFCDAHTRVATMIPPSLIPPVISIGVVQDPIRPDYTMVACLVCTNSSPQFMELRCWTYTSGSQWVVKPLTNCLQHPVWGSQGGVLSHMNKIWFVDLPLGLLFCDPFIEKPKLTYVALPEGCLMLVPESDRVTILRSGVASRSAKTRYATFNEGEACLWSLLYSESESPEWQLEYKAPLADIWGDKIYKTSGLTPGKVPAIAMIDPTHCAVLYFIEQDVLFSFDIRSKRVLMSKSLEMRTDFCYPSQFLHSWLLPSNMFEENGPVESDKLPSNDLDEQSGSDDDEESDNEDDEDEEHGRQNSWVCAQEVISSGQAAWEYFESQLEAVQGNQDGEQ >ONIVA12G03460.1 pep chromosome:AWHD00000000:12:2743328:2745016:1 gene:ONIVA12G03460 transcript:ONIVA12G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMSYPCSLLIPFSTQFEEISSSSLLLWSPQAEENPHENANMYEFDADHSHDQIHQDHQFLDMMVIQESANEFDGNHSHDQIHQDHEFLETMVIQESANEFDGDHSHDQIHQDHEFLEMMAIQESANDLLQLQDDFSVPNADPLVASFEFDERLAVAGHENGNVVAAQEESAGDLLLAGAMAVDAGDAVHASAIMSRLDDLLADIAGRRSCEATSPVDHLAYYFARGLKLRISGAATPASSPPPPAANWSSPAYRMLQELTPFVKFAHFTANQAILEATADDLDVHVVDFNVGEGVQWSSLMSDLAAAGRHRSSKPPLFHLTDVITSGAGTPRTADARRWLSEFAESLHLPFRYTSLHVHDGDDDDELHHELAMICNGSSSPPVILTCDDTTTTTNTPLRSRLKLLLLGTITILQPKLVILIEDELSRISKNPPSPSLAAPPPFPEFFSDAVAHFTAVMESTASCLVSYDDEAWLSLRRVGEEVVGSRVEDAVGRYGSLAGGAQMMEGLRAREVSGFSVAQGKMLAGLFGGGFGVVHQEKGRLALCWKSRPLISVSLWCPK >ONIVA12G03450.1 pep chromosome:AWHD00000000:12:2722583:2727086:1 gene:ONIVA12G03450 transcript:ONIVA12G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFPLPPASGGGRGHHRRAHSETFLRLPDADLLLDPEGDFSFSDLDFPSLSDDSPAISDPTPPPPPPMAATPAPAPRPPGGAHMRSLSLDTAFFEGFSLQGGGGGGGGGSGGSGGHKRSGSMDGVNSPFEGESALSGGLPDYAKKAMPAERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNEALREEVQRLKIAAGQAPNMNGNPFNGGLQQQIPPYYTQQQQQQQQMPYLGGHHAQQRHPSHQQSSSNGGQSLSGQSLNDSMDFM >ONIVA12G03440.1 pep chromosome:AWHD00000000:12:2715788:2718977:-1 gene:ONIVA12G03440 transcript:ONIVA12G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 7 [Source:Projected from Arabidopsis thaliana (AT4G26560) UniProtKB/Swiss-Prot;Acc:Q9SUA6] MRLVDWGAAGCSLQLQMVDSSEGLRRLAALLFKCCSLDSSNRPNGLQDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDEIIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRLRTHEVKLQTIF >ONIVA12G03440.2 pep chromosome:AWHD00000000:12:2715788:2718977:-1 gene:ONIVA12G03440 transcript:ONIVA12G03440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 7 [Source:Projected from Arabidopsis thaliana (AT4G26560) UniProtKB/Swiss-Prot;Acc:Q9SUA6] MRLVDWGAAGCSLQLQMVDSSEGLRRLAALLFKCCSLDSSNRPNGLQDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILGFEEFARALSVKQMVVATLAESGMNLSDEIIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRLRTHEVKLQTIF >ONIVA12G03430.1 pep chromosome:AWHD00000000:12:2708919:2709411:1 gene:ONIVA12G03430 transcript:ONIVA12G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVEMEGCAERSGRDVGKGKEATEPNPSTGAVPGIGSNRSQPSPPYPSARILVDEELRREMQKQGGAAWSRERCAGRSGTEVGRESRRRHVDGINPGGGGGEKRERMRERRERNLGGDKESVFCGTKPSL >ONIVA12G03420.1 pep chromosome:AWHD00000000:12:2699800:2702444:-1 gene:ONIVA12G03420 transcript:ONIVA12G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEAEAEEQPPDEDGDEEGYVEADPAGRFIRYDEIVGSGAVKTVYKAFDKLEGVEVAWSQSRIDDSVMGSSKKMKQLNTEIQLLKTLKHKNIEKMFASWVDEEKKTVNIITELFTSGSLTQYRRKHKKVNMKAMKRWAIQILTGLEYLHSQKPAIIHRDLKCDNIFINGNHGKVKIGDFGLATFMQQQKKSIKGTLEFMAPELLTGHYNELVDIYSFGMCMLEMVTCEYPYSECQGMAHIFKKIDEGKKPAAFYEIKDAEVRSFIENCLAPVENRMSATELLKSSFLQDDDLISVSLVKNMSEDGQQPVSCMLRKGEFLLTGNVDVASHVDLWLRFPDPSGCFKSVEFPFNLTEDTSLSVAVEMVEQFGLTQDSRPIIAQLIDAFLVILIPEWTPCVAIRQVVSEGANGLTIEKR >ONIVA12G03420.2 pep chromosome:AWHD00000000:12:2699800:2702444:-1 gene:ONIVA12G03420 transcript:ONIVA12G03420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEAEAEEQPPDEDGDEEGYVEADPAGRFIRYDEIVGSGAVKTVYPFINLIYKAFDKLEGVEVAWSQSRIDDSVMGSSKKMKQLNTEIQLLKTLKHKNIEKMFASWVDEEKKTVNIITELFTSGSLTQYRRKHKKVNMKAMKRWAIQILTGLEYLHSQKPAIIHRDLKCDNIFINGNHGKVKIGDFGLATFMQQQKKSIKGTLEFMAPELLTGHYNELVDIYSFGMCMLEMVTCEYPYSECQGMAHIFKKIDEGKKPAAFYEIKDAEVRSFIENCLAPVENRMSATELLKSSFLQDDDLISVSLVKNMSEDGQQPVSCMLRKGEFLLTGNVDVASHVDLWLRFPDPSGCFKSVEFPFNLTEDTSLSVAVEMVEQFGLTQDSRPIIAQLIDAFLVILIPEWTPCVAIRQVVSEGANGLTIEKR >ONIVA12G03410.1 pep chromosome:AWHD00000000:12:2689100:2695384:-1 gene:ONIVA12G03410 transcript:ONIVA12G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITVCEVCGVLGYKNLLLSCKNCNGAAVHRYCLDKVDFDGTVDWSCDECHPRHGKGTNRRSLEVTLDDKTVVGKQPESQSSLDTNRDKPGMNGGNDDYVSDDLMLERNKERFQLHDEANNDIHLKSMSANVPRSSTLHENSVARNVSSSANTGLPMDSNCVPSAHIDIGNPRDSSVRLILTGENRRESSMLLDGACSGSLSKDSSKEKIDREANSSHMEPSDAVKNFCKDNPMKRRKLMLLDDDDDDDVGVELSDTVQNVVKDNPSKRRKLILPDDDDVEVELCNTVQSIAKDNPGKTIQLIVLDDDDDKQEDAENLNHLSLEFEGPIEKHKIHIGYATGQRCLEDDEHGLLDSLDHRSLENTCPTKKRRRYICPSDDEEEEVIKGSTTTGCAPNDVANTASQHVDAKDHHLQSRMAFASDFTKHQYYIYSQPMGEPAWSGIFMTDSNVPIMLAAHLSTKACQRVSEFARSLQPVVEVIKLPRLKAWPERWDKSGPTDDSIGLFFFPHSMRPNEELDKLVKEVIESDVVLKAVLGTVELLIFPSILLPEQYHEFQGKYYLWGVCKARKHNPDTAVLVEEQCGLVSASEEGSSDKESYVMKHVEDRLPADCNPEAREGDIKTALGEGLGDKPHEPGVADQKEDEQDFTSLPRRNDQNATNPPIDSLPSATRLFGFVTARSERCQQLIQEMVKEGALLFSVPEDMTINRSTISRSNGVGAAKAPDSGCQHVQERCEPIEFVPIDHNDPDSASEACLDLFPVRHEQIGLTAQVDVKEVELDLSLGAFRRAPSELP >ONIVA12G03410.2 pep chromosome:AWHD00000000:12:2689100:2695384:-1 gene:ONIVA12G03410 transcript:ONIVA12G03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITVCEVCGVLGYKNLLLSCKNCNGAAVHRYCLDKVDFDGTVDWSCDECHPRHGKGTNRRSLEVTLDDKTVVGKQPESQSSLDTNRDKPGMNGGNDDYVSDDLMLERNKERFQLHDEANNDIHLKSMSANVPRSSTLHENSVARNVSSSANTGLPMDSNCVPSAHIDIGNPRDSSVRLILTGENRRESSMLLDGACSGSLSKDSSKEKIDREANSSHMEPSDAVKNFCKDNPMKRRKLMLLDDDDDDDVGVELSDTVQNVVKDNPSKRRKLILPDDDDVEVELCNTVQSIAKDNPGKTIQLIVLDDDDDKQEDAENLNHLSLEFEGPIEKHKIHIGYATGQRCLEDDEHGLLDSLDHRSLENTCPTKKRRRYICPSDDEEEEVIKGSTTTGCAPNDVANTASQHVDAKDHHLQSRMAFASDFTKHQYYIYSQPMGEPAWSGIFMTDSNVPIMLAAHLSTKACQRVSEFARSLQPVVEVIKLPRLKAWPERWDKSGPTDDSIGLFFFPHSMRPNEELDKLVKEVIESDVVLKAVLGTVELLIFPSILLPEQYHEFQGKYYLWGVCKARKHNPDTAVLVEEQCGLVSASEEGSSDKESYVMKHVEDRLPADCNPEAREGDIKTALGEGCFSSDSCLSSNKASPVKGGSPCFMQPGLGDKPHEPGVADQKEDEQDFTSLPRRNDQNATNPPIDSLPSATRLFGFVTARSERCQQLIQEMVKEGALLFSVPEDMTINRSTISRSNGVGAAKAPDSGCQHVQERCEPIEFVPIDHNDPDSASEACLDLFPVRHEQIGLTAQVDVKEVELDLSLGAFRRAPSELP >ONIVA12G03400.1 pep chromosome:AWHD00000000:12:2687101:2687451:-1 gene:ONIVA12G03400 transcript:ONIVA12G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAVLVFRVRVVRIDCRHLPRLPSSSSSTATVACRVAQHGRRSLSHRLARSPPSSCSSSALSARPPFGLTTAVVTHFRRTVAIHVKKKEKTGKVTRKGIGGRKRKVGEDGGFH >ONIVA12G03390.1 pep chromosome:AWHD00000000:12:2671850:2680673:-1 gene:ONIVA12G03390 transcript:ONIVA12G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMKNSTRSSFGPSQRKNCRSKRSKGFKSKVMNGIANDVRKSQFYYRQPNKRGNVNGDRRNDDRNKAQTHGVTSTVASKEIPKKSNRKESLSSSKLYNATTNTSSKLSKVLTSPPSRLPMLSPSKGVRSVPSTRREERRKEEATQGCRDHSWKNARQVRTEQSTRREDRGKEKNRHDRHDHSRKNAHQISREPSTRREESGNKKVTYDHQIHLGENENAHQMRSEPSTRREERGNKEVAKEHPNLLQKNARPMCSEDLLRDGKDGGLRISDECSEHSTVDHLNEFKLVKRKLEGCIQGRIPNVLVREEDDIGNVESTKGRNRQMGKKHKTNLDVSEESRHLASNGSIVQTQCRGFEDYEDGQDSRLVKDGISGVSIPTILGAIKGHCSLPVDEPIWSGIFKIDSKEYVPLAGHLSTKSCENVCMLSRSLRALVNVTKHSRLEVWPASLGTSSFTDENIALFLFPPKMRPDGKLDQLVKEVIEYDLALRAVMGKTEMLIFPSTMLPKQYQAFQGKHYLWGLFRPRKDIVGVAEEQAAHAMCLENQEGSKDGTEQVEFHGVPDPNMDTEEAAEMQDAADRNMAPPIGGSNASRANHPSMAATQPANREQIDPSLGIPQGRMFAFVAQPTPRFEQLMQELEREGALISTMPRVTYGPGCGQSQATTAKG >ONIVA12G03390.2 pep chromosome:AWHD00000000:12:2671850:2680673:-1 gene:ONIVA12G03390 transcript:ONIVA12G03390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFKIDSKEYVPLAGHLSTKSCENVCMLSRSLRALVNVTKHSRLEVWPASLGTSSFTDENIALFLFPPKMRPDGKLDQLVKEVIEYDLALRAVMGKTEMLIFPSTMLPKQYQAFQGKHYLWGLFRPRKDIVGVAEEQAAHAMCLENQEGSKDGTEQVEFHGVPDPNMDTEEAAEMQDAADRNMAPPIGGSNASRANHPSMAATQPANREQIDPSLGIPQGRMFAFVAQPTPRFEQLMQELEREGALISTMPRVTYGPGCGQSQATTAKG >ONIVA12G03380.1 pep chromosome:AWHD00000000:12:2668858:2669902:-1 gene:ONIVA12G03380 transcript:ONIVA12G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLTKEIPKTSKWRENISSAEISSKAKKVGKPYNAMTNTSSKLSKKNARPMCSEDLLRDGKDGGLRISDECSEHSTTDCVNQIKLVKRKLVGCSQGRIPNALGREEDDVGNVESTRGRKGQMGKKHKTNLDVRKDSRHLASNDSILEKKCRGSKANEDDQDSRLVKNGISGVSIPTILEAIKGHCSLPINEPIWRYNILFRLKIVCGPFL >ONIVA12G03370.1 pep chromosome:AWHD00000000:12:2653745:2666288:-1 gene:ONIVA12G03370 transcript:ONIVA12G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRETKTDAQFKSAKELMVHKGNSYEDNKCDKICGEVTMGDRKHHVNLGSTKSLKRDYIPSTCGDHYKDDTKGNEVPNPSPLTKDGPKKHDRDECEDYKNLRPTKKRRRYIVNVDEEDDYDDKNPMDIKDSTKVAMPIAAESIKRQFYHCIQPIDEPIWSGIFKIGGNDYIPFSAHLSTKSCKKVWDLSVSIPSIVQVTKLSRSVVWPKSLEASSPTDDSIGLYFFPPKMRLDKGMDQLVKEIVEKDMALSAVIGEAQMLMSPSTLLPEKYQRKHYLWGVFKRRGGDEQQAAAMAAEQQRGSDQRVKQEQENTQFQGDETQQRIKKPNSNLQETAVIKQQPSSPSSSSVTAAHIGVDVVQEKSTAASGGRPAVPAGSHGRVIGLVVRQTPGVEELIQQMRRGGALLATMEGEMMGGFEANDDDGAKKFKMTPYACRFFMWEGQYEQFLADGHVGLGHQTEHEKFNVEAISSMGIEGLELKGFAALGRMLVYLAVVQALLLLVILVVVISK >ONIVA12G03370.2 pep chromosome:AWHD00000000:12:2653745:2668205:-1 gene:ONIVA12G03370 transcript:ONIVA12G03370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPRHLSTPSTTILSSASTVPVYLLPRKGNHNHDENRRGYDDKFKAIIADERTYKWNYLKDKQKKVTLQIPVLYPSKSSIRPPFTQLTDKNIGPMAKGDSKSNHVLAPMLRKEGLLANSIEKSNPQLTSPIRAHPIHALVRTKKGIPARSVLKSNPQPIRPKQMRGSVRGVSLKGQSTMANDNSGEELKSCKVKNYSISKESAKELMVHKGNSYEDNKCDKICGEVTMGDRKHHVNLGSTKSLKRDYIPSTCGDHYKDDTKGNEVPNPSPLTKDGPKKHDRDECEDYKNLRPTKKRRRYIVNVDEEDDYDDKNPMDIKDSTKVAMPIAAESIKRQFYHCIQPIDEPIWSGIFKIGGNDYIPFSAHLSTKSCKKVWDLSVSIPSIVQVTKLSRSVVWPKSLEASSPTDDSIGLYFFPPKMRLDKGMDQLVKEIVEKDMALSAVIGEAQMLMSPSTLLPEKYQRKHYLWGVFKRRGGDEQQAAAMAAEQQRGSDQRVKQEQENTQFQGDETQQRIKKPNSNLQETAVIKQQPSSPSSSSVTAAHIGVDVVQEKSTAASGGRPAVPAGSHGRVIGLVVRQTPGVEELIQQMRRGGALLATMEGEMMGGFEANDDDGAKKFKMTPYACRFFMWEGQYEQFLADGHVGLGHQTEHEKFNVEAISSMGIEGLELKGFAALGRMLVYLAVVQALLLLVILVVVISK >ONIVA12G03370.3 pep chromosome:AWHD00000000:12:2653745:2668205:-1 gene:ONIVA12G03370 transcript:ONIVA12G03370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPRHLSTPSTTILSSASTVPVYLDDTKGNEVPNPSPLTKDGPKKHDRDECEDYKNLRPTKKRRRYIVNVDEEDDYDDKNPMDIKDSTKVAMPIAAESIKRQFYHCIQPIDEPIWSGIFKIGGNDYIPFSAHLSTKSCKKVWDLSVSIPSIVQVTKLSRSVVWPKSLEASSPTDDSIGLLDKGMDQLVKEIVEKDMALSAVIGEAQMLMSPSTLLPEKYQRKHYLWGVFKRRGGDEQQAAAMAAEQQRGSDQRVKQEQENTQFQGDETQQRIKKPNSNLQETAVIKQQPSSPSSSSVTAAHIGVDVVQEKSTAASGGRPAVPAGSHGRVIGLVVRQTPGVEELIQQMRRGGALLATMEGEMMGGFEANDDDGAKKFKMTPYACRFFMWEGQYEQFLADGHVGLGHQTEHEKFNVEAISSMGIEGLELKGFAALGRMLVYLAVVQALLLLVILVVVISK >ONIVA12G03370.4 pep chromosome:AWHD00000000:12:2653747:2663964:-1 gene:ONIVA12G03370 transcript:ONIVA12G03370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKLPRKGNHNHDENRRGYDDKFKAIIADERTYKWNYLKDKQKKVTLQIPVLYPSKSSIRPPFTQLTDKNIGPMAKGDSKSNHVLAPMLRKEGLLANSIEKSNPQLTSPIRAHPIHALVRTKKGIPARSVLKSNPQPIRPKQMRGSVRGVSLKGQSTMANDNSGEELKSCKVKNYSISKESAKELMVHKGNSYEDNKCDKICGEVTMGDRKHHVNLGSTKSLKRDYIPSTCGDHYKDDTKGNEVPNPSPLTKDGPKKHDRDECEDYKNLRPTKKRRRYIVNVDEEDDYDDKNPMDIKDSTKVAMPIAAESIKRQFYHCIQPIDEPIWSGIFKIGGNDYIPFSAHLSTKSCKKVWDLSVSIPSIVQVTKLSRSVVWPKSLEASSPTDDSIGLLDKGMDQLVKEIVEKDMALSAVIGEAQMLMSPSTLLPEKYQRKHYLWGVFKRRGGDEQQAAAMAAEQQRGSDQRVKQEQENTQFQGDETQQRIKKPNSNLQETAVIKQQPSSPSSSSVTAAHIGVDVVQEKSTAASGGRPAVPAGSHGRVIGLVVRQTPGVEELIQQMRRGGALLATMEGEMMGGFEANDDDGAKKFKMTPYACRFFMWEGQYEQFLADGHVGLGHQTEHEKFNVEAISSMGIEGLELKGFAALGRMLVYLAVVQALLLLVILVVVISK >ONIVA12G03360.1 pep chromosome:AWHD00000000:12:2639737:2641050:1 gene:ONIVA12G03360 transcript:ONIVA12G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGTPQHFMCPISLQPMQDPVTSPTGISYDRRAIHRWLAAGHSSCPVTGHPLSLSDLTPNLTLRRLIHSWHHSTTTPFPVERSTPSPPPLREVDDDDVVERLVMEMEGGGGGSWCPPSCDLLREAAAVAAGSGVARRRMVGAGVLRRVLRLVVWCGGRGSSSGEAAVMVEMFDACLALFHALDVSADELRPLVADGHDLVDAVTRVMATLEAGDANATRARESAVRLLETVTEAADAPVLERLSPEFFAAATAVVRDRGAVSPGAARAAVRALANACRARASGACRNRALAVDAGAALEAIELELDAWSSPQAPGGRRATEAVMALLAELCACAEGRAAVASHPAGIAVVARRVLRVSAAADACAVRVLAAVAGRAASPEVLREMARVGAVGKLCCVLQAECDAGVKEAARAVLRMHSGVWSGSPCVSAYLLSRYL >ONIVA12G03340.1 pep chromosome:AWHD00000000:12:2621587:2622225:-1 gene:ONIVA12G03340 transcript:ONIVA12G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGKHGDDDFRQVCRRFITVLVVLAILVGIIALIVYLVLRPTHPRFYLQDATLRQLDLSNSSSTAGGVLSTTIQVTVASRNPNDRVGVYYDRLDVYASYKYQQITVAASLPPVYQGHGDVDVWSPVLAGPSVPFAPYLADAISQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTAGGNGSPGASGFRFQTTTYCHVEV >ONIVA12G03330.1 pep chromosome:AWHD00000000:12:2590775:2594695:-1 gene:ONIVA12G03330 transcript:ONIVA12G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYFTGGGAGTDVVQAAGTDGLQTLYLMNPSYVGFTDAAAAPGGGAAAANMVFLNSAVSTLTPASFGHHHQPTPAAQHFVGIPLQSGYNLWGPDATGGNDLSPPRHGAQQQAPAAAGTSAAAVSPVLSLSSREAAPPVTVAAAAVPGGTDQEKVVMRSRYLKAAQELLDEAVSVSKGAATAVKKKEDSEGGVSGGGGGAEDGGGSKSGAAAEMSTAERQELQMKKSKLLNMLDEVEQRYRQYHRQMQGVAAAFEAAAGAGSATTYTSLALRTISRQFRCLRDAIAAQVRAASRGLGEDCGDDEGGGGGGGGRTTVGSRLRFIDHQLRQQRAMQQLGMVHAAAAGGAAGGGWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKVMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYAEETKAKEEEEEEHDAAAAAAGDRGGVAEQAPSKPDDSAGIGMSSSSPAAAASRSVGVHAGDQHAQASFYGGGGGGDDPFQCRIKKARTTTADEPAAAAAFHVSGEAAVSHRELLMKFTEAGGEGVRTGHPHVNDDDDDVPGGAGGYSLFTAAQYGHQFGSDHFAFAGHGGGGGGGVSLTLGLPHGADQTPASFLIGAGAGSDGGGAPVTTAGYDMNMQSTKSLAAQLMRDFVA >ONIVA12G03320.1 pep chromosome:AWHD00000000:12:2584568:2592129:1 gene:ONIVA12G03320 transcript:ONIVA12G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEARWSYAVFWKAIGAADPVHLVWEDGFCGHASCSAGSEASEAGCESGGAVCTLVRKIMASQVHVVGEGTIGRAAFTGNHQWIVHETANDHGLRSEVAAEMNNQFRAGIKTIAIIPVLPRGVLQLGCTSVILENISSVQQYKKLCCQLNNRSSMVASASAKNDLSQKVQSRSLHGLPSIHPYEQCYGHDARALSSSTSANTGRNTSLLKVAQRNDQAIREQVLYAPDMRFRQQLPYSDRRVDINTHSSAMSSGFISSISASVEKYPLLTNNIGQVEQGNMEESSGPRNVLLKSLSCRNPVVHENTNTSLFHGGDEVPAFLNSHGSFDFLQAGPRVVEANLYNNGTSSQVLDQRCSSTAGMAGYKPSVSYKFPHSAQFIVKMENPRRQSFQDPAAPSSGSDVQVSSGLKTTTCRFNPEHMCQNKKTNEVNDSSAAVSTQDVKNMDRHKILDISNERTSSFLMDPSTENDLFDIFGTDFHQLHRSLDGDLSWNTAKPQSSDRDAPESSIYLDSSPAFGAQEDEFSYSGIFSLTDTDQLLDAVISNVNPGGKQISGDSASCKTSLTDIPSTSYCGSKETKQCKSSGAPPLLIKNELAVSNFVKQPCFLEKAEDGCLSQNNGVQKSQIRLWIESGQNMKCESVSASNSKGLDTANKANRKRSRPGESPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMVFLQSVTKHADNLKDSNESKIHGGGENGPLLKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGGGGGVGDNPNNVKIPPGIVQHPVIPATGHLR >ONIVA12G03310.1 pep chromosome:AWHD00000000:12:2574458:2576523:1 gene:ONIVA12G03310 transcript:ONIVA12G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSPVKVVPRAACSMKCPSGTGHALCGCGIGGQARRSARSVPKAVQKDEMFDKVVEAALDCRNVQEWEEDVWRMTIRGTRGYKQSAFKSKDKFYGSSSRVPAMTLSKKAKQSSTFDHKNGLIEDPLSFEVEKTRIRTTGLRSSIEAKKRFSYLVTSKRKCACKKSAAALNIMDGSTVASAEKHKGMASGQSSSDCSRKAIVAKKLPEDQNQDLPYDSASMGIILQRSEDNLESILVSGEWETYTILLFLGSGLCGSINRMFVAFPLRPGINGIVNQYEFSKHRPGM >ONIVA12G03310.2 pep chromosome:AWHD00000000:12:2574458:2576523:1 gene:ONIVA12G03310 transcript:ONIVA12G03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSPVKVVPRAACSMKCPSGTGHALCGCGIGGQARRSARSVPKAVQKDEMFDKVVEAALDCRNVQEWEEDVWRMTIRGTRGYKQSAFKSKDKFYGSSSRVPAMTLSKKAKQSSTFDHKNGLIEDPLSFEVEKTRIRTTGLRSSIEAKKRFSYLVTSKRKCACKKSAAALNIMDGSTVASAEKHKGMASGQSSSDCSRKAIVAKKLPEDQNQDLPYDSASMGIILQRSEDNLVQGQLKKCITCYCCWNPSWSQLCGSINRMFVAFPLRPGINGIVNQYEFSKHRPGM >ONIVA12G03310.3 pep chromosome:AWHD00000000:12:2574458:2576523:1 gene:ONIVA12G03310 transcript:ONIVA12G03310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSPVKVVPRAACSMKCPSGTGHALCGCGIGGQARRSARSVPKAVQKDEMFDKVVEAALDCRNVQEWEEDVWRSAFKSKDKFYGSSSRVPAMTLSKKAKQSSTFDHKNGTTGLRSSIEAKKRFSYLVTSKRKCACKKSAAALNIMDGSTVASAEKHKGMASGQSSSDCSRKAIVAKKLPEDQNQDLPYDSASMGIILQRSEDNLESILVSGEWETYTILLFLGSGLCGSINRMFVAFPLRPGINGIVNQYEFSKHRPGM >ONIVA12G03310.4 pep chromosome:AWHD00000000:12:2574458:2576523:1 gene:ONIVA12G03310 transcript:ONIVA12G03310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSPVKVVPRAACSMKCPSGTGHALCGCGIGGQARRSARSVPKAVQKDEMFDKVVEAALDCRNVQEWEEDVWRMTIRGTRGYKQSAFKSKDKFYGSSSRVPAMTLSKKAKQSSTFDHKNGLIEDPLSFEVEKTRIRTTGLRSSIEAKKRFSYLVTSKRKCACKKSAAALNIMDGSTVASAEKHKGMASGQSSSDCSRKAIVAKKLPEDQNQDLPYDSGIHPGLRMFVAFPLRPGINGIVNQYEFSKHRPGM >ONIVA12G03310.5 pep chromosome:AWHD00000000:12:2574458:2576523:1 gene:ONIVA12G03310 transcript:ONIVA12G03310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSPVKVVPRAACSMKCPSGTGHALCGCGIGGQARRSARSVPKAVQKDEMFDKVVEAALDCRNVQEWEEDVWRSAFKSKDKFYGSSSRVPAMTLSKKAKQSSTFDHKNGTTGLRSSIEAKKRFSYLVTSKRKCACKKSAAALNIMDGSTVASAEKHKGMASGQSSSDCSRKAIVAKKLPEDQNQDLPYDSGIHPGLRMFVAFPLRPGINGIVNQYEFSKHRPGM >ONIVA12G03300.1 pep chromosome:AWHD00000000:12:2568823:2570677:-1 gene:ONIVA12G03300 transcript:ONIVA12G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESYALEMDDLHRRWLPKEILDDIGFADDGDAPPPPAAIEGLAVHLAGILGSGARKAAAPPPTAAASPASYHNQLHRVPVCGQVLVAYGGAAGWPFTPYSSPAQWQVAAGLVNGGAVDHRRRLGSPPPKMRGGGGGGTGVFLPRTYVYHAKEESPAPAATKASSRDGKASNDLLVEEQQKQPGQGEEEEEGSPAAKAKIEQQCPPPMTGTASSEVMRVRPNAAAAAAAALPELAALPQEWTY >ONIVA12G03290.1 pep chromosome:AWHD00000000:12:2561117:2561545:1 gene:ONIVA12G03290 transcript:ONIVA12G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKWRSTSSWPPMLPVIMMAMAILAVSFNGAAAQPPPDTNVLCVSKCGTCPTVCSSPPPPASSSSSSSGNYNPVLSPPKGTGSGSVGGSSSSPSAPLAKGGQPGGSNYYYFFTSGGSSHGCAAALLLPPLVSLAVAALSQ >ONIVA12G03280.1 pep chromosome:AWHD00000000:12:2551740:2557896:-1 gene:ONIVA12G03280 transcript:ONIVA12G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAELPRVMDALARRASTLRDALGRSQGNTESMVAILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADAILSQFDLARRAEATILRGPHEDLEGYLEAVDLLKSIVCFFTSNKSLKNNDGLLNRVNNILSKSALKIEEEFKQLMTTYSKPIEPDRLFDCLPKPPRAPKDDNDADGGHTHSEHPSKGLETGICRTPTLMPPRILPLLHDIAQQLVQAGNQQSCYRIYRDSRGSALEVSLRKLGVEKLSKDDVQRMQWEALEAKIGNWTQFMRIAANRLCFNQVKLLLAGERRICDQVFDGINFNKDQCFAELAGSSVLTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEVEEIFEGRFCSEMREAALGLTRRLAQTAQETFADFEEAVEKDTSKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKILFQEFETGSETESQLAVVTMKIMQALQNNLDGKSKQYKDPALTYIFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRVVQQNANQYKRVAWAKLLKCSNDAYSNLLIADQNGQLVLSQLKVHLKPTAEILQTLSVQATGSSPAELSSSGVSRAMIKERFKSFNMQFEELHAKQTQWTIPDQELRESLRLAVAEVLLPAYRSFIKRFGNLVEHGKNPNKYIRYSPENIDQALGEFFDGQQFGEQKR >ONIVA12G03270.1 pep chromosome:AWHD00000000:12:2544827:2545465:-1 gene:ONIVA12G03270 transcript:ONIVA12G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGKHGDDDFRQVCRRFITVLVVLAILVGIIALIVYLVLRPTHPRFYLQDATLRQLDLSNSSSTAGGVLSTTIQVTVASRNPNDRVGVYYDRLDVYASYKYQQITVAASLPPVYQGHGDVDVWSPVLAGPSVPFAPYLADAISQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTAGGNGSPGASGFRFQTTTYCHVEV >ONIVA12G03260.1 pep chromosome:AWHD00000000:12:2538873:2539426:1 gene:ONIVA12G03260 transcript:ONIVA12G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIVASVMESLLLGDPSMERLRLFMLGATPRCRQGEGANPAAAILLAGGRRSRARLQAFFHPLLFFLLRARSS >ONIVA12G03250.1 pep chromosome:AWHD00000000:12:2535553:2536174:-1 gene:ONIVA12G03250 transcript:ONIVA12G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAVWVIVMGFFTGVWVDKCDRFALWWEAFSFHNNEVVSAAQILSSDVAAIDLVTFIVSNPTEHLEDCCCSSYNYTNSISV >ONIVA12G03240.1 pep chromosome:AWHD00000000:12:2516656:2521556:-1 gene:ONIVA12G03240 transcript:ONIVA12G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHTKTHPSPLSNSNPSPPRRAVDQRLRRATSSSAAAAVSSAMSSGGNQERTCCGSLFTFIVTGGFVVLIYWAIFQPHHIRATVASADLTNLTVAGAAVSYKLAVRLNLYNPSLRVNIYYDELDSELRFRGERLGHATGATPAEFYQRRKSSDDVTFEFAGTGVAVAGDAAGELGKEKGKGSVSLEVAVDGKVRYRFGSIKIRQKPRIWCSLTIPVTADGGGRLDSGDRCSVNCLCAFLVSIGVAVLIYWATYQPHRIRAAVESAELSNLTVVVRNGTADGGGSGGVVYYRLAVNVTMYNPSGRAGVHYDAIRPRLLLLLAGGASLGAANATVPGVFHQPRMSTTVVAIDFDRSGGGGVAVAGDVAAELDREIKGSGGGGEVGFEMVIDARVRYKLGFIPIRARPKVRCPVRIPVKAERRRGGGGVTGFLRSGDRCTVKY >ONIVA12G03230.1 pep chromosome:AWHD00000000:12:2509129:2513750:-1 gene:ONIVA12G03230 transcript:ONIVA12G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGSSEVAARVLLQRYQPFAPPPGEYHQFGSGGAAAAGDMTEAVLIRTPLKRKHDREENEAAESNDWMMSPGYTNPAGSPVPTPLSGKGSKAFAKSKAAKGQKSCPQTPLCASSPGNPVTPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALSLQEHSVDQQISEMRDKLRGLTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRTVQPVSMDSLENPRTPLAAEPNKAAESQPNIQDGLLMPSDAPSSSQDIGGMMKIVPSELDTDADYWLLSDAGVSITDMWKTAPEVEWEGIEKFNAEDFLEVSTPRQQDKPSSDIMDGDSCIS >ONIVA12G03220.1 pep chromosome:AWHD00000000:12:2503520:2504041:-1 gene:ONIVA12G03220 transcript:ONIVA12G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAAATGMTESSSPSSSPSPPRKFRRVRSPLANGGAAGDFELRHWRTPPKRARSSAAPPWAPPEIEIPCGGGEAAGRGGGYTSLRDILMSPGYAASCSPAACGGGGGGGSCGDIHMIRHPLVKHAAYAYLQMTPSARDDPGRRHRRRWRGPLCRLLLGCLSFIGALFRP >ONIVA12G03210.1 pep chromosome:AWHD00000000:12:2496082:2504102:1 gene:ONIVA12G03210 transcript:ONIVA12G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J703] MLGELLSRILLLLFGYAMPAFECFKTVEARPNDAHMLRFWCQYWIIVAMVIAFESLISWMPMYGEIKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLLSFYMKNFADKGTAFFMDVLRYVVSDKPEGSNQEDSDAQPAAVACSVVGKKNHPAGNVGGQFLPDDPQQRNKKSGGWSPFATKRRPPSPPRPPQESLFESNPEAAAVAEVLKATINPRPRRGAQNGKNYY >ONIVA12G03200.1 pep chromosome:AWHD00000000:12:2488655:2489549:-1 gene:ONIVA12G03200 transcript:ONIVA12G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNVCVYTTAGCIKFLADMGSWGDELTALNLIWQERTPPTTILYSLMMLAQSCYPATAHNWAPRPETQQNLWTKFYNTRICSLSGCSTSASSHGNKTCKPLKQQQQQQNEIKTLV >ONIVA12G03190.1 pep chromosome:AWHD00000000:12:2480132:2480887:-1 gene:ONIVA12G03190 transcript:ONIVA12G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAASRARRGGGGGGGRHFPVGGGRWRHVPVVDTGCGCRPRRPRQLLMSLPSFLRPSALKPPVPRSTSSHSSSFFPSSASTASFSSSSAATYTTTYSSSSATNQYPYYGKAAAAAAAPVTVTTNNHGKSSSSSAAAAAARRRPSRKKRYEKMAAAEEEEDVGVAVEKESSDPRADFRESMVQMVVEMGLCGWDDLRCMLRRLLALNAPRHHAAILTAFAEVCAQLAAPPAPAPPPPPQPTAAYHYHYYHY >ONIVA12G03180.1 pep chromosome:AWHD00000000:12:2467176:2468328:1 gene:ONIVA12G03180 transcript:ONIVA12G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGLTSLFSRLAVAAADSPSCAKNPPHTASFRGFYYVDEPCTTAGGGGGGRSPAAGRLRKGGDEMYKTVNSVFFDDSADAAHAVADGCAFSGEDDDDDDRFSTTTAADEEWSEAVIRSLGRRTSTDRFFFDAGPGRPAATNSILATVRPRRPPPPPPPPPPAEEEKEKAAAEAAQLPGKSSSTSSSQLVEESVAVAVESEDPYGDFRASMEEMVAAHGLRDWDALEELLSWYLRVNGKHNHALIVAAFVDGGGARPPRLGRAGGAPLLHNHPLIVAAFVDLLLALAAAPSSSSSSDTTTTTTTAATTTTSDTSCSTASTSTTSNGATSVTAAATAAEQCGGGGGGGDEEAGCSSSSSCCAASDHDHEEVSAIS >ONIVA12G03170.1 pep chromosome:AWHD00000000:12:2438628:2440507:1 gene:ONIVA12G03170 transcript:ONIVA12G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSPPPPPPSSRLSHGLLRRHHRSGSSAAATAYSAAGSFASASAFTDNAEANLAAPKEEHGSGRHGDGGAEEEHGGAGAEEKHSDDMEEEHGGGGSGFLGYVFALPPQFPTWYCTRDRIGTARYKLYQPLDRGSMSRSNGNGGGGGGSTRGARLELQLNLSPPAVGMEVDGNDDSDSSSPTSCVSSDGRSSAGGSPGDKSPMVIGACTRCLMYCMVAKKDYPTCINCKQPSLVDLLQNQDAAAADADADKKRGGKRK >ONIVA12G03160.1 pep chromosome:AWHD00000000:12:2427549:2428576:1 gene:ONIVA12G03160 transcript:ONIVA12G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTTTELNITPANMLPSRNISAKALQLVRRRRHRSAYGSDEMDAPLLRSLPSLGQALLSSSPAPARMLSAAASDALVEIKPGEISMVSGIPKEHLRRKVLLWTYSDLCSVDRFASPHRSVWESLEMSRFGGFFWLLLGLGIEPGELGRNVLARARPRQSARSSLRNTLFASARPRNDVMLKKLGRISPVRLFCDKANMRSAGRRDKPSGMELSRRFWSNSSCTIFVRFTSDGGMWPEIELWLSRSTVRFSNASSHRGTPPTIEVVVIEVRDVEGGAIAEHVRYLAGERVVA >ONIVA12G03150.1 pep chromosome:AWHD00000000:12:2427506:2428614:-1 gene:ONIVA12G03150 transcript:ONIVA12G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSGRCGILAYATTRSPARYLTCSAIAPPSTSRTSITTTSIVGGVPRWLDALLNLTVLRLSHNSISGHIPPSLVNLTKIVQLEFDQNLLESSIPDGLSRLPALRMLALSQNSLTGEILPSFFNMTSLRGLALANNVFRRRRTLPRRASARARETMRGAPAPGRGGSAGAGRPSRRSRTPTDDGGVWEFLDNLTNCNALAEIFLDGNMFAGVMLSSVVVPSAIGRLATSRSYSSST >ONIVA12G03140.1 pep chromosome:AWHD00000000:12:2422347:2426376:-1 gene:ONIVA12G03140 transcript:ONIVA12G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAQASASSTSSPRFSMASRAFSRQELDDLRALFVSLAAQSQTGGRAISRPVFLEYFGIRGALGDRLFQLVARESGGGEGVTFEDLIVSKATYERGTRDEVDEFIYQLCDVTGDEVLERSDLETILASIRETIFSENKEAGEGSNKRTFEAFINSAVFSKDAEGVTRKSMSLSDFRSWCILIPSLRKFLGSLLMPPDSGRPGFQVPALHYLENISPDLLLLNKEYAWHIGGGFSQQEVQEWRLLYHSSLHGQSFNTFLGNVTNGDAQTVIIVKDTEGFVFGGYASHPWERHSDFYGDMKTFLFKLYPEASIFRPTGANKNLQWCAVNFSSDSIPNGIGFGGQPHHFGFFLSANFDQGHSFTCTTFTSPPLSKTNRFRPEVIECWGIQTKGALDEKAELVKGTVLERFKEDRNMLKLVGLANASD >ONIVA12G03130.1 pep chromosome:AWHD00000000:12:2406161:2407135:-1 gene:ONIVA12G03130 transcript:ONIVA12G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPLAQGHPQAWPWGVAMYTNLHYHHHYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLGGGDSGEKGLLLSFEDESGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVHFERVRGLGAADRLFIGCRRRGESAPAPPPAVRVTPQPPALNGGEQQPWSPMCYSTSGSSYDPTSPANSYAYHRSVDQDHSDILHAGESQRDADAKSSSAASAPPPSRRLRLFGVNLDCGPEPEADQATAMYGYMHHQSPYAAVSTVPNYWSVFFQF >ONIVA12G03120.1 pep chromosome:AWHD00000000:12:2400185:2418667:1 gene:ONIVA12G03120 transcript:ONIVA12G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04780) TAIR;Acc:AT5G04780] MGIWFHYHPLCQRTKALTLACSGIYFATRAFCDKPNHRIVHKDKNLVRVERDLIDAFTLHELLQLCAKRRSLLVGKSCHGLAIHFGLVTDTVTCNILINLYTKCGQNDCARRVFDAMSVRSIISWNTMIAGYTHNREDVEALKLFSRMHREGTQMTEFTLSSTLCACAAKYAIIECKQLHTIAIKLALDSSSFVGTAFLDVYAKCNMIKDACWVFENMPEKTSVTWSSLFAGFVQNGLHEEVLCLFQSTQREGMQLTEFTVSSILSTCASLALIIEGTQVHAVIVKHGFHRNLFVATSLVDVYAKCGQIEKSYEVFADMEEKNVVLWNAMIASFSRHAHSWEAMILFEKMQQVGIFPNEVTYLSILSACSHTGLVEEGRHYFNLLLSDQTAEPNVLHYSCMVDVLGRSGKTDEAWKLLDKMPFEPTASMWGSLLGSSRIHKNIRLARIAAEQLFRLEPENGGNHVLLSNVYAASGNWENVVVARKYLRDSGAKKEMGRSWIEAKGKIHVFVAGEREHPGITDIYNKLEEIYHEMRKISHRANTQCDLHDVHADQKEELLKHHSEKLAFAFGLISLPPNIPITIYKNLRICGDCHSFMKINAKSLSEISIDFTILRMAHVLVGTSGDLGLNEEYQSSTSGALIIHCGVGALVVHVAVHCRRLIRLRLRAAVEVNAEQPEPPRRRRRRRRAAALGVCVSLRLSCKLFHRERLTDVIEKGRGGDDGREKLGYLKERSIQLDELEKHPGQFGTQTGISGLSTTKTRRRRHAGCRRACCNWLGPGAGVHVPPRRRAGGRGPRLAGRRSSLAQRSPPRARATHRGDVKVMDEVPDLYCTCGPRSKTPSSFSHAAGVHTLHSARMIVTALQCIMPLETVINCPPQSLRLTTVHADARYTPRWILSAGCMHRVGPLVR >ONIVA12G03120.2 pep chromosome:AWHD00000000:12:2400185:2418667:1 gene:ONIVA12G03120 transcript:ONIVA12G03120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04780) TAIR;Acc:AT5G04780] MGIWFHYHPLCQRTKALTLACSGIYFATRAFCDKPNHRIVHKDKNLVRVERDLIDAFTLHELLQLCAKRRSLLVGKSCHGLAIHFGLVTDTVTCNILINLYTKCGQNDCARRVFDAMSVRSIISWNTMIAGYTHNREDVEALKLFSRMHREGTQMTEFTLSSTLCACAAKYAIIECKQLHTIAIKLALDSSSFVGTAFLDVYAKCNMIKDACWVFENMPEKTSVTWSSLFAGFVQNGLHEEVLCLFQSTQREGMQLTEFTVSSILSTCASLALIIEGTQVHAVIVKHGFHRNLFVATSLVDVYAKCGQIEKSYEVFADMEEKNVVLWNAMIASFSRHAHSWEAMILFEKMQQVGIFPNEVTYLSILSACSHTGLVEEGRHYFNLLLSDQTAEPNVLHYSCMVDVLGRSGKTDEAWKLLDKMPFEPTASMWGSLLGSSRIHKNIRLARIAAEQLFRLEPENGGNHVLLSNVYAASGNWENVVVARKYLRDSGAKKEMGRSWIEAKGKIHVFVAGEREHPGITDIYNKLEEIYHEMRKISHRANTQCDLHDVHADQKEELLKHHSEKLAFAFGLISLPPNIPITIYKNLRICGDCHSFMKINAKSLSEISIDFTILRMAHVLVGTSGDLGLNEEYQSSTSGSGEAAGEGYINFTSRLTPNSLSRLDGGGADAALLLLASASLCDSPANCSTAKGGLSTTKTRRRRHAGCRRACCNWLGPGAGVHVPPRRRAGGRGPRLAGRRSSLAQRSPPRARATHRGDVKVMDEVPDLYCTCGPRSKTPSSFSHAAGVHTLHSARMIVTALQCIMPLETVINCPPQSLRLTTVHADARYTPRWILSAGCMHRVGPLVR >ONIVA12G03120.3 pep chromosome:AWHD00000000:12:2400185:2419092:1 gene:ONIVA12G03120 transcript:ONIVA12G03120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04780) TAIR;Acc:AT5G04780] MGIWFHYHPLCQRTKALTLACSGIYFATRAFCDKPNHRIVHKDKNLVRVERDLIDAFTLHELLQLCAKRRSLLVGKSCHGLAIHFGLVTDTVTCNILINLYTKCGQNDCARRVFDAMSVRSIISWNTMIAGYTHNREDVEALKLFSRMHREGTQMTEFTLSSTLCACAAKYAIIECKQLHTIAIKLALDSSSFVGTAFLDVYAKCNMIKDACWVFENMPEKTSVTWSSLFAGFVQNGLHEEVLCLFQSTQREGMQLTEFTVSSILSTCASLALIIEGTQVHAVIVKHGFHRNLFVATSLVDVYAKCGQIEKSYEVFADMEEKNVVLWNAMIASFSRHAHSWEAMILFEKMQQVGIFPNEVTYLSILSACSHTGLVEEGRHYFNLLLSDQTAEPNVLHYSCMVDVLGRSGKTDEAWKLLDKMPFEPTASMWGSLLGSSRIHKNIRLARIAAEQLFRLEPENGGNHVLLSNVYAASGNWENVVVARKYLRDSGAKKEMGRSWIEAKGKIHVFVAGEREHPGITDIYNKLEEIYHEMRKISHRANTQCDLHDVHADQKEELLKHHSEKLAFAFGLISLPPNIPITIYKNLRICGDCHSFMKINAKSLSEISIDFTILRMAHVLVGTSGDLGLNEEYQSSTSGSGEAAGEGYINFTSRLTPNSLSRLDGGGADAALLLLASASLCDSPANCSTAKGGLSTTKTRRRRHAGCRRACCNWLGPGAGVHVPPRRRAGGRGPRLAGRRSSLAQRSPPRARATHRGDVKVMDEVPDLYCTCGPRSKTPSSFSHAAGVHTLHSARMIVTALQCIMPLETVINCPPQSLRLTTVHADARYTPRWILSAGCMHRCVGRHSEHLGFCPDSG >ONIVA12G03120.4 pep chromosome:AWHD00000000:12:2400185:2419565:1 gene:ONIVA12G03120 transcript:ONIVA12G03120.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04780) TAIR;Acc:AT5G04780] MGIWFHYHPLCQRTKALTLACSGIYFATRAFCDKPNHRIVHKDKNLVRVERDLIDAFTLHELLQLCAKRRSLLVGKSCHGLAIHFGLVTDTVTCNILINLYTKCGQNDCARRVFDAMSVRSIISWNTMIAGYTHNREDVEALKLFSRMHREGTQMTEFTLSSTLCACAAKYAIIECKQLHTIAIKLALDSSSFVGTAFLDVYAKCNMIKDACWVFENMPEKTSVTWSSLFAGFVQNGLHEEVLCLFQSTQREGMQLTEFTVSSILSTCASLALIIEGTQVHAVIVKHGFHRNLFVATSLVDVYAKCGQIEKSYEVFADMEEKNVVLWNAMIASFSRHAHSWEAMILFEKMQQVGIFPNEVTYLSILSACSHTGLVEEGRHYFNLLLSDQTAEPNVLHYSCMVDVLGRSGKTDEAWKLLDKMPFEPTASMWGSLLGSSRIHKNIRLARIAAEQLFRLEPENGGNHVLLSNVYAASGNWENVVVARKYLRDSGAKKEMGRSWIEAKGKIHVFVAGEREHPGITDIYNKLEEIYHEMRKISHRANTQCDLHDVHADQKEELLKHHSEKLAFAFGLISLPPNIPITIYKNLRICGDCHSFMKINAKSLSEISIDFTILRMAHVLVGTSGDLGLNEEYQSSTSGSGEAAGEGYINFTSRLTPNSLSRLDGGGADAALLLLASASLCDSPANCSTAKGGLSTTKTRRRRHAGCRRACCNWLGPGAGVHVPPRRRAGGRGPRLAGRRSSLAQRSPPRARATHRGDVKVMDEVPDLYCTCGPRSKTPSSFSHAAGVHTLHSARMIVTALQCIMPLETVINCPPQSLRLTTVHADARYTPRWILSAGCMHRVRASHRSCTAASLSLLLPNQ >ONIVA12G03120.5 pep chromosome:AWHD00000000:12:2400185:2418667:1 gene:ONIVA12G03120 transcript:ONIVA12G03120.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04780) TAIR;Acc:AT5G04780] MGIWFHYHPLCQRTKALTLACSGIYFATRAFCDKPNHRIVHKDKNLVRVERDLIDAFTLHELLQLCAKRRSLLVGKSCHGLAIHFGLVTDTVTCNILINLYTKCGQNDCARRVFDAMSVRSIISWNTMIAGYTHNREDVEALKLFSRMHREGTQMTEFTLSSTLCACAAKYAIIECKQLHTIAIKLALDSSSFVGTAFLDVYAKCNMIKDACWVFENMPEKTSVTWSSLFAGFVQNGLHEEVLCLFQSTQREGMQLTEFTVSSILSTCASLALIIEGTQVHAVIVKHGFHRNLFVATSLVDVYAKCGQIEKSYEVFADMEEKNVVLWNAMIASFSRHAHSWEAMILFEKMQQVGIFPNEVTYLSILSACSHTGLVEEGRHYFNLLLSDQTAEPNVLHYSCMVDVLGRSGKTDEAWKLLDKMPFEPTASMWGSLLGSSRIHKNIRLARIAAEQLFRLEPENGGNHVLLSNVYAASGNWENVVVARKYLRDSGAKKEMGRSWIEAKGKIHVFVAGEREHPGITDIYNKLEEIYHEMRKISHRANTQCDLHDVHADQKEELLKHHSEKLAFAFGLISLPPNIPITIYKNLRICGDCHSFMKINAKSLSEISIDFTILRMAHSRLTPNSLSRLDGGGADAALLLLASASLCDSPANCSTAKGGLSTTKTRRRRHAGCRRACCNWLGPGAGVHVPPRRRAGGRGPRLAGRRSSLAQRSPPRARATHRGDVKVMDEVPDLYCTCGPRSKTPSSFSHAAGVHTLHSARMIVTALQCIMPLETVINCPPQSLRLTTVHADARYTPRWILSAGCMHRVGPLVR >ONIVA12G03110.1 pep chromosome:AWHD00000000:12:2386273:2393574:1 gene:ONIVA12G03110 transcript:ONIVA12G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGALMPSPDETCCVGGGGGSSFSSLRAYGRALAQTPRRLAARACAAASPGEEMSRVRARSGADMARALRWPDLVGLGLGGMVGAGVFVTTGRATRLYAGPAVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIAMPGLPKGFNEVDLVAVGVILLITVCICYSTKESSSVNMVLTAVHVLFIMFVIVMGFWRGDTRNLTRPADPEHNPDGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPSRDIPIGVSGSVVLVTLLYCLMAASMSMLLPYDAIDTEAPFSGAFKGSSGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGVFTAALALFTELDILLNLVCIGTLFVFYMVANAVVYRRYVAAAEDDEEGRRRGAVPTLAFLLAFSLVALCFTLVWKLAPRGGARTGLLVACGAAAAAAVAAFRALVPQARRPELWGVPAMPWVPAASVFLNVFLLGSLDRPSYVRFGFFTAAAALVYVLYSVHASYDADEAAAALDGAKVLDEGCKV >ONIVA12G03100.1 pep chromosome:AWHD00000000:12:2379951:2380807:-1 gene:ONIVA12G03100 transcript:ONIVA12G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNIASVVERDAKGRERSDLLLSTVVAVTFCVTLASRHGATAMAAFQICAQVWLATSLLADGLAIAGQALLASVFAKKDHYKVAVTTARVLQLAVVLGVGLTAFLAAGMWFGSGVFTIDIAVISTIHKGVPFVAGTQTINTLAFVFDGEWRGMASIRIG >ONIVA12G03090.1 pep chromosome:AWHD00000000:12:2363444:2364427:-1 gene:ONIVA12G03090 transcript:ONIVA12G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCSAAAVSLDGAVAGATTTTAIEDIPGDVLSLVLRRLDDGASLAALGCACSAFRELAADAETWRGLCLATWPSLRDVDGLDECGGVTGGGGYRALFADAFPLPAEARGLVPSSASLLPARLVSAVDLHHGEVCLMSRVVETDASSEWFLGSPFRVDALVQEGFSAPAPITPAELTLSWILIDPATGRAVNASSRRPVSVDREWLAGETVARFTVVLGGGVVALDAAVTCDDRHGHVREVSLRAEDGDGGGVSGRGVLAAVAAAMEGARHGRGAEAAAWRRYEAFARGRAARKVKKARRDGAVDFFCSGVAAAAFVGVLSTLTLR >ONIVA12G03080.1 pep chromosome:AWHD00000000:12:2359354:2362845:1 gene:ONIVA12G03080 transcript:ONIVA12G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAPATTAGAGGKVTPNLAMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIELNQWSRKDVEGSLFVVKRNKQPRFQFIVMNRRNTDNLVEDLLSDFEFELQPPYLLYRNAAQEVNGIWFYNQQDCDAVAGVFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSSSSTVLVSNAPDESLTDYFSGAVNVGNVSITPMVGRTHQPIESVASSHVPLIIPSAAPTHQMGVPSGAASAPPLPVIDTNVHSSHSTNLVTPAFFAPPSSSSASLVAPASSFMPTASPFHPTSADAHRPAYGHGTPLLQPFPPPTPPASLTPVHNDEPVISRDKVKDALLRLVQNDEFINLLYQELQNAHA >ONIVA12G03070.1 pep chromosome:AWHD00000000:12:2354947:2356517:1 gene:ONIVA12G03070 transcript:ONIVA12G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDHSGGRGEQRQCRRGFAVDVVELGAALALADMAGAAVKPAMQLQLQPTAAQEEDEEMATTRLSLQLGNNVGSIIQSSSCSSSGSSAGLPAPPPPPPPATAYGTKPRHMLTEEEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSTQNESMKKERETVMQEYLSLKETNKQLKEQAQHHLSLSLF >ONIVA12G03060.1 pep chromosome:AWHD00000000:12:2347945:2348868:1 gene:ONIVA12G03060 transcript:ONIVA12G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSGGGGGARIVSDPAATPGFRFYPTEEELIGFYLRHRLAGTRADDVARVIPVVDVYGYHPSQLAAMAGVATAGDREQWFFFCPRAERELHGGRPARTTPSGYWKATGSPSFVFSSSSAAAARVIGVKRTMVFYQGRAPSGTKTRWKMNEYKAVAAAAADDDHNAAGVAVQLPPMAPPPSSSACVRLRNELSVCRVYVSTGTLRSFDRRPLDAPPVISHHQPQLQQQQRQLPSSAAAAATNGNLIALAGGYECSHDSSGGSSEDAAIDWSSLITAATDSATAAVDFSFNDDIDFSPAAVGPWAPQL >ONIVA12G03050.1 pep chromosome:AWHD00000000:12:2336983:2337480:1 gene:ONIVA12G03050 transcript:ONIVA12G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLLFRPRKPASSSSVAAVADGDLLERDVLWPASSAPGLLAALPDDEGSKKKKRAGPAAVRSASRPVPETAPLTPTAGAARSAPVRIPSEAAAAAGRRGRWAAAQSSVGGEDGDAAMVVPPHEVVARRAAAHSSVLEGAGRTLKGRDLRRVRNAVLRRTGFLD >ONIVA12G03040.1 pep chromosome:AWHD00000000:12:2332513:2335289:-1 gene:ONIVA12G03040 transcript:ONIVA12G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLSKATPTAAAATAPPPASRQPLWFDLPTDLAGVVLLRLPSHADRVRFGSVCRQWCHAATTAPPPLPPALPWLNFHDGTLQSFPGGERRRFSRLNRHTICAGSTAAGWLLFRRPGHGPRRRHYLKNPLTGEVVRLPGHCHCGDPARLRRQHPPGSPTAYFYIRKVIVCGGGGLVVARISYSHDNADDVVACCRPGTSPSWSAGPWEGKGYHDMAFHDGKVYTVAGRGDLFAHEITTHRKTKQPMVSDLATQIIQVGLFESFLLAGTYAAVRCKRLHYLVMSKQSNGLMFISRAWVMRRSSLVQAAPWQFVRRAMEVMWKQFVFAAHSRGAMGLCFGIDTRSSPPPSPPHPRPQPPPPGSSDLPTDVAILPSPPHPRPPTPPCWSDLPTDLAGQWRLGALRQHPLPPPPPWLLILRSPCVYQSLPDGELRPVPGANSSRSIPYFSSYDDGWLLEYQCFGRRDRIRNPFSRAAIDIPRCFDQRIRSLDYFLGDNDGSHSINPAEYTLPKIIVCSPGLVVAAVVHSTCIAAFRPGIDHSWSVISGDEVMSTTGGDDDDDDDDDYPWMRRKYEDISLYRGKLYALTSKEELLVHGIINDDDIDTVSTNAVLSRAEHAIRAVHHHPLTLLERIQNFSSDESRYLVISCSGKLLMIRCTTKYSPDGSSSMGDGSSSMGGTTIKFKVFEADFWRVWLEVNSLDGQIIFLSKACSKAIPRSPGNGDPRFAGNCIFFLGEDITWR >ONIVA12G03030.1 pep chromosome:AWHD00000000:12:2331196:2331631:-1 gene:ONIVA12G03030 transcript:ONIVA12G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKIMLPFANLCAIPVLQKMARDGGRRRGVLRGASWKPPRRSLTSPSPTWARPSTSRFGAYHPESECWAAPLTTTPDGDDTTAATATKVTQRWRQQHASSHLGEERRCSNRLPKIFYAKRPPYPSTGAVPPK >ONIVA12G03020.1 pep chromosome:AWHD00000000:12:2329143:2330153:-1 gene:ONIVA12G03020 transcript:ONIVA12G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDALWCVSSHDDGWLMHMDVFKPCIMACDNRHFLKNSFSNATIDIPCRFDRPINAFVVDNRYSTWPERFTLHKIIVCSPDLIVAASRLIDNNIVSFRPGIDTSWSVLPYDDDDGDHKRMYEDIALYRGKLYALTSNGDLLVHEIRDNNTLSRAELVIRGAAAELPLSHLQWQYSIDDIVHQYRVISCKYLVISCSGTLLMFRCTISPMLGTSANVDDYEIKFKVFEADLEGGQWLEVKSLDGQVVFLSKACSKAILSFDHGDPRFGGNCIFFLGGDLTRQWGDIHTRITNSYVYQQKEGIPMYGVYDFRTSKISLSTLGQHRFSSMVQWFFPRM >ONIVA12G03010.1 pep chromosome:AWHD00000000:12:2326458:2327378:-1 gene:ONIVA12G03010 transcript:ONIVA12G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICYIFHRYKLSPIXAVEAGLAWATGGGDEPDSQRRRWTSPGRLVAEANPAAGGGSGPRLGGWWRRRTQQPTAEATNAELGLQAAGTSLHADYPPCISYLTFAASFVDPRPCHDTESEILGTVSTDIHATSGDGLVLVCFCDSRNHVPTIGSRGGELMREWSARRQSSERDSAGAWSASASIVGRHCLNHRLPRRASPQLPATRVSSVSAVDRLVHLRLQSRLPERARGREKESDRGGGEREGYDRL >ONIVA12G03000.1 pep chromosome:AWHD00000000:12:2311307:2311903:-1 gene:ONIVA12G03000 transcript:ONIVA12G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLKVLNLSGCSLATANQLLLPVNLTNLEVLDASSNSFDHPMSASWFWNITSLQYLDLSSNRLYGQIPHKLMMTSLQFLDLSSNGDGDKNMGVMATDLSNLCSLKVLKIRWALLYGDITEMFKNLSSNCSPNQLKELDLGVNQLTGTLPKWIGQLTSLVKQDLRGNTSHSVKSNVLKLLGLVVQQSYGRNSIGNTA >ONIVA12G02990.1 pep chromosome:AWHD00000000:12:2298940:2309439:1 gene:ONIVA12G02990 transcript:ONIVA12G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMESNKPLAETIGKVTVHCQYHKSGCQWHGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMTPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQHMVPFQPQNQPHLTQLQAPAAQSQSQQHPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPRQQHPNAQQQSYPQMQAYHQPPPMSHAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGIQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNAPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGRSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNGTGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVPGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGTKKNNSVGNGPHGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHRGIDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSGGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKNSFRNSGGPKSFKKKVPGKGSFRGNRR >ONIVA12G02990.2 pep chromosome:AWHD00000000:12:2298940:2309439:1 gene:ONIVA12G02990 transcript:ONIVA12G02990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMESNKPLAETIGKVTVHCQYHKSGCQWHGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMTPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQHMVPFQPQNQPHLTQLQAPAAQSQSQQHPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPRQQHPNAQQQSYPQMQAYHQPPPMSHAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGIQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNAPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGRSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNGTGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVPGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGTKKNNSVGNGPHGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHRGIDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSGGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKNSFRNSGGPKSFKKKVPGKGSFRGNRR >ONIVA12G02990.3 pep chromosome:AWHD00000000:12:2298940:2305466:1 gene:ONIVA12G02990 transcript:ONIVA12G02990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMESNKPLAETIGKVTVHCQYHKSGCQWHGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMTPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQHMVPFQPQNQPHLTQLQAPAAQSQSQQHPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPRQQHPNAQQQSYPQMQAYHQPPPMSHAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGIQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNAPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGRSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNGTGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVPGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGTKKNNSVGNGPHGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHRGIDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSGGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKNSFRNSGGPKSFKKKVPGKGSFRGNRR >ONIVA12G02980.1 pep chromosome:AWHD00000000:12:2291333:2295315:1 gene:ONIVA12G02980 transcript:ONIVA12G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIEGDVRHVLHVRRPPYLHPFAAAAVLRAAPEQRLPQQREQQRQERPLLLLLLRFSSLFLAPFLDSQASCRSRAAAAAAAASSWEHVAIGGG >ONIVA12G02970.1 pep chromosome:AWHD00000000:12:2287624:2291754:-1 gene:ONIVA12G02970 transcript:ONIVA12G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVALFRGPTNLASPASRSSSSSSSSSSSLRYLATSDGDVLPRRSSSGSGSSPGSTGSLGIQERREEEGGESEEEEEEWSFLALLLALLRKSLLGCSAEDGGGGEGMEIGWPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEELVRDQLNGGIVPEGIDVHCLAGLIKAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPTEAALLDWAVNLMADVVQEEQINKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQKTLKDREESDLDDLSLPQKDPSDENGHQTTGLSLDSHPDDGSRRPSFVSEEPLLNSPVHSTEEKPNKTNLAEGKFADSSCPENVALTSMETEGSTSCSQPALAAAAAAPRATAMNLLQGKGSRSLNSRRTRKGKVQFGTRAAPASEKSKGASIVSRINSKVERIEAWR >ONIVA12G02960.1 pep chromosome:AWHD00000000:12:2284701:2285534:-1 gene:ONIVA12G02960 transcript:ONIVA12G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGALRRLFEKPLPVPENPTLLEALSACNHVHHRKKLVDTASFTEIFGELHFQEKPVDVAAAARFLPPPPPVRAASWIDVADDKSKDDSSLDALLRPKSSAVKRSASFCLKSSESSLLLCTEGLGTESTVDADDMVKDGDGDGEAIRRGEEETDGVEEDDGAGREKRGTPTLAPTPPTFPPPIRSIGRGGKPCVCFRSFRAEGRFVLMEVVIPGKELLQATREGGRLTLRFANAAAVGGGGEADDDVNDDDVDGGETKNACAARDDMLANNCTC >ONIVA12G02950.1 pep chromosome:AWHD00000000:12:2270989:2278517:-1 gene:ONIVA12G02950 transcript:ONIVA12G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNFFLLTAFIALVATQAMASDPSPLQDFCVADKHSPVRVNGLPCKDAKDVSVDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLSISMARIDYAPKGQNPPHTHPRATEILTVLEGSLYVGFVTSNQANRENKLFTKTLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSHPPISDDVLAKAFQVDKKAIDWLQAQSNSSINLNMASSNFFLLIPLIALVTTQAMASDPSPLQDFCVADKNSPVRVNGFPCKDAKDVSVDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILSVIEGSLYVGFVTSNQANGENKLFTKTLNKGDVFVFPEGLIHFQFNPSYDKPAAAIVALSSQNPGAITIANAVFGSNPPISDDVLAKAFQVDKKALYKKHRPLLVHKQKFHQLNMASSNFFLLTALIALVATQAMASDPSPLQDFCVADRNSPVRVNGFPCKDAKDVNVDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVLEGTLYVGFVTSNQANGENKLFTKTLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSNSPISDDVLAKAFQVDKKAVDWLQAQFWENNHN >ONIVA12G02950.2 pep chromosome:AWHD00000000:12:2270989:2278517:-1 gene:ONIVA12G02950 transcript:ONIVA12G02950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNFFLLTAFIALVATQAMASDPSPLQDFCVADKHSPVRVNGLPCKDAKDVSVDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLSISMARIDYAPKGQNPPHTHPRATEILTVLEGSLYVGFVTSNQANRENKLFTKTLNKEGLIHFQFNPSYDKPAAAIVALSSQNPGAITIANAVFGSNPPISDDVLAKAFQVDKKALYKKHRPLLVHKQKFHQLNMASSNFFLLTALIALVATQAMASDPSPLQDFCVADRNSPVRVNGFPCKDAKDVNVDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVLEGTLYVGFVTSNQANGENKLFTKTLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSNSPISDDVLAKAFQVDKKAVDWLQAQFWENNHN >ONIVA12G02950.3 pep chromosome:AWHD00000000:12:2267326:2270981:-1 gene:ONIVA12G02950 transcript:ONIVA12G02950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKQKFHQLNMASSNFFLPTALIALVATQAMAFDPSPLQDFCVADRNSPVHVNGFPCKDAKDVNVDDFFLEANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVFEGTLYVGFVTSNQANRENKLFTKTLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSNPPISDDVLAKAFQVDKKAVDWLQAQFWENNHN >ONIVA12G02950.4 pep chromosome:AWHD00000000:12:2267328:2270981:-1 gene:ONIVA12G02950 transcript:ONIVA12G02950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKQKFHQLNMASSNFFLPTALIALVATQAMAFDPSPLQDFCVADRNSPVHVNGFPCKDAKDVNVDDFFLEANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVFEGTLYVGFVTSNQANRENKLFTKTLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSNPPISDDVLAKAFQVDKKAVDWLQAQFWENNHN >ONIVA12G02940.1 pep chromosome:AWHD00000000:12:2263001:2266557:1 gene:ONIVA12G02940 transcript:ONIVA12G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSKSDKKKVLDFAAWSFNITTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPLPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSPNSFNLLGHTAPAQAGSLLLVGPFVDFLLTGKRVDHFGFTSIALFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPSGALDEKDGSEK >ONIVA12G02930.1 pep chromosome:AWHD00000000:12:2261834:2262031:1 gene:ONIVA12G02930 transcript:ONIVA12G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLGQTKEEGVPGFGLRGCPCGEFIGVTRIWAGFLGTPLGPIGLRPLRHSTTETKRKNQI >ONIVA12G02920.1 pep chromosome:AWHD00000000:12:2257445:2258826:1 gene:ONIVA12G02920 transcript:ONIVA12G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRAAIVLVVAASSVAVAFSHAEGNEGPDFTYIEGAMDGPSNWGKLSPEYRMCGEGRSQSPIDINTKTVVPRSDLDTLDRNYNAVNATIVNNGKDLTMKFHGEVGQVIIAGKPYRFQAIHWHAPSEHTINGRRFPLELHLVHKSDADGGLAVISVLYKLGAPDSFYLQFKDQLAELGADECDFSKEEAHVAAGLVQMRSLQKRTGSYFRYGGSLTTPPCGENVVWSVLGKVREISQEQLHLLMSPLPTKDARPAQPLNGRAVFYYNPPGSAVSFQEFAK >ONIVA12G02910.1 pep chromosome:AWHD00000000:12:2255243:2256543:1 gene:ONIVA12G02910 transcript:ONIVA12G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNDAGGTSSKLNDRAEVSSKDKTSVSELEDGNVCSHHGIEEPNEESMQGIVMEQEELSDSEEDSQHVEFEREEMDDSDEDQVQGVDPLLAQNKEVSTSVGCGEYEGSNNQSQNQQMMSKQGAATQKPQRLSNATPAREKLKGDNAKRIGSRTSPRSSTSPTTEPNQTKTRRPKAQQMIARQSAVIRISVKGAARSTFEGETLQVHVHC >ONIVA12G02900.1 pep chromosome:AWHD00000000:12:2249231:2250490:1 gene:ONIVA12G02900 transcript:ONIVA12G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPAAADWSELPADVLGLVLLELEFPDLFRSAAVCKLWRATARDIRRLGLYSRAQTPCLLYTTAAAGPRAAVLYSLADKTTSYTVSLPDPPIAERHIVGSSHGWLVTADHRSELHLLNPATGEQLDLPPVATIEHVRPLYDDAGNLNNYKLVYYDGGGNSHRRNDDDMHTVTHPPETFREFLYLKAVISSDPSRGDDYTVMLIHHPYLQLSFARSGDKKWTWIKMGNNECEWFEDCIYHDGVFYAQIVHGAIHAIDVVSASSSFTHRLILKPTMGELGTLYIVRTTEGDILQVLRVTEEDEGGEHKDVRTTEIGVYKVDYKKQDLDDVDDIGNNALFIGTSYSMCLPVKDYPHLMPNHIYFDDDYGYFVHRKHLRRDVGVYDYTNDTAIDVESPQPWLNWPLAPVWITPSFTKTAKYS >ONIVA12G02890.1 pep chromosome:AWHD00000000:12:2241051:2241440:-1 gene:ONIVA12G02890 transcript:ONIVA12G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMVIQDRNREIKIMSMDVDGEILKLPPPPPPLNGVSSDDDDEALRQATADMADDPPGGAVVRVKLVVRKQELKKMLLHNDAAAISLNDMVSLMQKQAEADELLHQQESCGSVWQPTLQSIPEGSVF >ONIVA12G02880.1 pep chromosome:AWHD00000000:12:2223457:2228136:1 gene:ONIVA12G02880 transcript:ONIVA12G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGVLWGDKVRDRAEDFKESVRVAALGHGYTESQLAALMSSFIIRKPPPKLPFTKAAIKTLESIRELEKFIVKHRKDYVDMHRTTEQERDNIEHEVSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQRGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >ONIVA12G02880.2 pep chromosome:AWHD00000000:12:2223654:2228136:1 gene:ONIVA12G02880 transcript:ONIVA12G02880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRAEDFKESVRVAALGHGYTESQLAALMSSFIIRKPPPKLPFTKAAIKTLESIRELEKFIVKHRKDYVDMHRTTEQERDNIEHEVSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQRGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >ONIVA12G02880.3 pep chromosome:AWHD00000000:12:2223540:2228136:1 gene:ONIVA12G02880 transcript:ONIVA12G02880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRAEDFKESVRVAALGHGYTESQLAALMSSFIIRKPPPKLPFTKAAIKTLESIRELEKFIVKHRKDYVDMHRTTEQERDNIEHEVSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQRGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >ONIVA12G02870.1 pep chromosome:AWHD00000000:12:2204985:2208924:-1 gene:ONIVA12G02870 transcript:ONIVA12G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQHHHIRWNHHGQQGSDGYTWIQFRHNINWSSFCDNHLDDYRVSVIAKLCMIPASCLLEVVFDRVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPNGALDEKDGSEKYIPEGSTIIGDDSNH >ONIVA12G02870.2 pep chromosome:AWHD00000000:12:2205749:2208924:-1 gene:ONIVA12G02870 transcript:ONIVA12G02870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQHHHIRWNHHGQQGSDGYTWIQFRHNINWSSFCDNHLDDYRVSVIAKLCMIPASCLLEVVFDRVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPNGALDEKDGSEK >ONIVA12G02870.3 pep chromosome:AWHD00000000:12:2205749:2208924:-1 gene:ONIVA12G02870 transcript:ONIVA12G02870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQHHHIRWNHHGQQGSDGYTWIQFRHNINWSSFCDNHLDDYRVSVIAKLCMIPASCLLEVVFDRVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPNGALDEKDGSEK >ONIVA12G02860.1 pep chromosome:AWHD00000000:12:2201693:2202457:1 gene:ONIVA12G02860 transcript:ONIVA12G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAARDLAGDPPESTLLRVGGEVAWPDVYDRDDSLKENTNPKCILKTYGGGGGGNSSQRFSGNLKPTAAPIIGLSRKLGHGGGGGGGGGGGFRPPAIFPKKAKTGGGGRTPRAAVPDHEPGSPKVSCIGKVLSDRERARRHRRWSLETRPRGVGGGCCPGLGSLFVRRSRSRKNVVECVDDQSPPPPPPPPPTVAALRRREEKVVLMTEEAAAAPAPALGGMTRFASGRRAADWAAEMEMDGHVVRSGPL >ONIVA12G02850.1 pep chromosome:AWHD00000000:12:2200716:2201134:-1 gene:ONIVA12G02850 transcript:ONIVA12G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSISFAESGEAHTKIYGSASNLCFVPRWGLPAYQVECTSNESLGSSCHGIAVAPCQSAPFLLLSFIGKSTPLVQLNSHFNRVALCFQILSVMYWGLSPKL >ONIVA12G02840.1 pep chromosome:AWHD00000000:12:2192823:2193941:-1 gene:ONIVA12G02840 transcript:ONIVA12G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPANIPADSSTVIGDDSMRKNKYFMGISLGTPPVFNLVTIDTGSTLSWVQCKNCQIKCYDQAAKAGQIFNPYNSSTYSKVGCSTEACNGMHMDLAVEYGCVEEDDTCIYSLRYGSGEYSVEYLGKDRLTLASNRSIDNFIFGCGEDNLYNGVNAGIIGFGTKSYSFFNQVCQQTDYTAFSYCFPRDHENEGSLTIGPYARDINLMWTKLIYYDHKPAYAIQQLDMMVNGIRLEIDPYIYISKMTIVDSGTADTYILSPVFDALDKAMTKEMQAKGYTRGWDERRICFISNSGSANWNDFPTVEMKLIRSTLKLPVENAFYESSNNVICSTFLPDDAGVRGVQMLGNRAVRSFKLVFDIQAMNFGFKARAC >ONIVA12G02830.1 pep chromosome:AWHD00000000:12:2190168:2195320:1 gene:ONIVA12G02830 transcript:ONIVA12G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGRSASAPASPCVVLGDDAADAAAVRVSSSSSSSCLWFDWFAIRCARAPSFAFALKRVFVWVVAKVDRKYGSMLNAGSPLQILYTDQLADAKGGLKANAPCEITSVTECTCPLELQGMLKEECVDQYKLLSDRLLAKIVPKVARVKKPVSSSEVDAEFGVSAYSKYGTRTVSTSLRVCRQEKTSSSGMSNPVQKDHPVRNAHGTGNEVFKIPWIFGSVAFCVILLIFLWYLWWSKAASNACQLQSLPLNRNWRLSSDGGPRAERRRSSGRRSSAQLKERRMSYS >ONIVA12G02830.2 pep chromosome:AWHD00000000:12:2190168:2195320:1 gene:ONIVA12G02830 transcript:ONIVA12G02830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGRSASAPASPCVVLGDDAADAAAVRVSSSSSSSCLWFDWFAIRCARAPSFAFALKRVFVWVVAKVDRKYGSMLNAGSPLQILYTDQLADAKGGLKANAPCEITSVTECTCPLELQGMLKEECVDQYKLLSDRLLAKIVPKVARVKKPVSSSEVDAEFGVSAYSKYGTRTVSTSLRVCRQEKTSSSGMSNPVQKDHPVRNAHGTGNEVFKIPWIFGSVAFCVILLIFLWYLWWSKAASNACQLQSLPLNRNWRLSSDGGPRAERRRSSGRRSSAQLKERRMSYS >ONIVA12G02830.3 pep chromosome:AWHD00000000:12:2190168:2195589:1 gene:ONIVA12G02830 transcript:ONIVA12G02830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGRSASAPASPCVVLGDDAADAAAVRVSSSSSSSCLWFDWFAIRCARAPSFAFALKRVFVWVVAKVDRKYGSMLNAGSPLQILYTDQLADAKGGLKANAPCEITSVTECTCPLELQGMLKEECVDQYKLLSDRLLAKIVPKVARVKKPVSSSEVDAEFGVSAYSKYGTRTVSTSLRVCRQEKTSSSGMSNPVQKDHPVRNAHGTGNEVFKIPWIFGSVAFCVILLIFLWYLWWSKAASNACQLQSLPLNRNWRLSSDGGPRAERRRSSGRRSSAQLKERRMSYS >ONIVA12G02820.1 pep chromosome:AWHD00000000:12:2180869:2187115:-1 gene:ONIVA12G02820 transcript:ONIVA12G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTGRMGEARRGQNPHHVLGYGFHGTTLPNSMASANLFEQGGGGGGGAAYFGELEEALVHQVATLRRRAQQTATTTTSHHGHTTPFSTAAAAATATATARPPATLDIFPSWPMRRSSLPTPKDGCSNVTADTTDSESSSKNNGDQGAAAADMASQFDQIPQQQQKQHKKMAASSTHSDHRMTKTLDPKIMRRLAQNREAARKSRLRKKAYIQQLESSKLRLAQMEQDLERARSQGLLLGGSPGGNTSAGAAMFDAEYGRWLEDGGRRMAELHGGLHAHLPDGDLRAIVDDALAHYDELFRLRAAAAKADVFHLITGTWATPAERCFLWMGGFRPSDLFKTVAPQLDPLTEQQVVGICSLQQSSQQAEEALSQGLEQLHQSLAETVANGGSVVDEASLGSFMGYMALALGKLSNLEGFVIQADNLRQQTLHQMHRILTIRQAARCFLAIGEYHNRLRALSSLWASRPREILVADEGNCGELSIAAQPSESQFSAF >ONIVA12G02820.2 pep chromosome:AWHD00000000:12:2180869:2186680:-1 gene:ONIVA12G02820 transcript:ONIVA12G02820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARRGQNPHHVLGYGFHGTTLPNSMASANLFEQGGGGGGGAAYFGELEEALVHQVATLRRRAQQTATTTTSHHGHTTPFSTAAAAATATATARPPATLDIFPSWPMRRSSLPTPKDGCSNVTADTTDSESSSKNNGDQGAAAADMASQFDQIPQQQQKQHKKMAASSTHSDHRMTKTLDPKIMRRLAQNREAARKSRLRKKAYIQQLESSKLRLAQMEQDLERARSQGLLLGGSPGGNTSAGAAMFDAEYGRWLEDGGRRMAELHGGLHAHLPDGDLRAIVDDALAHYDELFRLRAAAAKADVFHLITGTWATPAERCFLWMGGFRPSDLFKTVAPQLDPLTEQQVVGICSLQQSSQQAEEALSQGLEQLHQSLAETVANGGSVVDEASLGSFMGYMALALGKLSNLEGFVIQVKSLLPALFPVLILLSLAKSEILSSCTKTATVKLDFQADNLRQQTLHQMHRILTIRQAARCFLAIGEYHNRLRALSSLWASRPREILVADEGNCGELSIAAQPSESQFSAF >ONIVA12G02820.3 pep chromosome:AWHD00000000:12:2180869:2186680:-1 gene:ONIVA12G02820 transcript:ONIVA12G02820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARRGQNPHHVLGYGFHGTTLPNSMASANLFEQGGGGGGGAAYFGELEEALVHQVATLRRRAQQTATTTTSHHGHTTPFSTAAAAATATATARPPATLDIFPSWPMRRSSLPTPKDGCSNVTADTTDSESSSKNNGDQGAAAADMASQFDQIPQQQQKQHKKMAASSTHSDHRMTKTLDPKIMRRLAQNREAARKSRLRKKAYIQQLESSKLRLAQMEQDLERARSQGLLLGGSPGGNTSAGAAMFDAEYGRWLEDGGRRMAELHGGLHAHLPDGDLRAIVDDALAHYDELFRLRAAAAKADVFHLITGTWATPAERCFLWMGGFRPSDLFKTVAPQLDPLTEQQVVGICSLQQSSQQAEEALSQGLEQLHQSLAETVANGGSVVDEASLGSFMGYMALALGKLSNLEGFVIQADNLRQQTLHQMHRILTIRQAARCFLAIGEYHNRLRALSSLWASRPREILVADEGNCGELSIAAQPSESQFSAF >ONIVA12G02810.1 pep chromosome:AWHD00000000:12:2177071:2183023:1 gene:ONIVA12G02810 transcript:ONIVA12G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTTLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAACWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWSKYRASQKKDK >ONIVA12G02810.2 pep chromosome:AWHD00000000:12:2177071:2182684:1 gene:ONIVA12G02810 transcript:ONIVA12G02810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTTLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAACWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWSKYRASQKKDK >ONIVA12G02810.3 pep chromosome:AWHD00000000:12:2177710:2183169:1 gene:ONIVA12G02810 transcript:ONIVA12G02810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTTLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAACWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWTRAWCLLSGTRSPEGAEGTEAIMIGRSETAHPEEAALGGGGPRASDEVEHVGLGGGCPQAEELVVVGQGVVDDRPQVAVRQVRV >ONIVA12G02810.4 pep chromosome:AWHD00000000:12:2177071:2183169:1 gene:ONIVA12G02810 transcript:ONIVA12G02810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTTLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAACWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWTRAWCLLSGTRSPEGAEGTEAIMIGRSETAHPEEAALGGGGPRASDEVEHVGLGGGCPQAEELVVVGQGVVDDRPQVAVRQVRV >ONIVA12G02810.5 pep chromosome:AWHD00000000:12:2177071:2182879:1 gene:ONIVA12G02810 transcript:ONIVA12G02810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTTLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAACWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWSKYRASQKKDK >ONIVA12G02810.6 pep chromosome:AWHD00000000:12:2177158:2178997:1 gene:ONIVA12G02810 transcript:ONIVA12G02810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPLFTHLCSPLLHRLCPCRRRRLPSPIPRVSASSSGVPVHRWPVAASPAPSASTVRIC >ONIVA12G02810.7 pep chromosome:AWHD00000000:12:2177071:2180162:1 gene:ONIVA12G02810 transcript:ONIVA12G02810.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTTLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAACWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWSKYRASQKKDK >ONIVA12G02810.8 pep chromosome:AWHD00000000:12:2177071:2179701:1 gene:ONIVA12G02810 transcript:ONIVA12G02810.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFLLHRFLPWQMNGTPLFTHLCSPLLVSIRKKETSFSTSPICSASHRGWRRLLLARGCRREELGLPCAASPPRAESPTPRVRFDLHNPKEEVLVQANDNGDIQGDWHRWNNSSSHVCWSVEDRLKNCRGSFSSNQYYCLYHRCCIPQFHQHTLDLGKEFKGEVSNLVKCTFWSFLDTCPGICNCEETYEEEGGCV >ONIVA12G02800.1 pep chromosome:AWHD00000000:12:2176010:2176947:-1 gene:ONIVA12G02800 transcript:ONIVA12G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRCVVVSSLQPHTRPPRRFLLHARDERESNPTSCQREREVVDGGGGGEAAAASAMMSASVPPGHDGARSQGYAPCFCSRDKVGEMATTSSSEVSKASIVLCILLALGEMAYTSPAVVSVSVMASQNGSNIALWMTRKLTDKVNLVMFSG >ONIVA12G02800.2 pep chromosome:AWHD00000000:12:2176010:2176776:-1 gene:ONIVA12G02800 transcript:ONIVA12G02800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVPPGHDGARSQGYAPCFCSRDKVGEMATTSSSEVSKASIVLCILLALGEMAYTSPAVVSVSVMASQNGSNIALWMTRKLTDKVNLVMFSG >ONIVA12G02800.3 pep chromosome:AWHD00000000:12:2175970:2176776:-1 gene:ONIVA12G02800 transcript:ONIVA12G02800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVPPGHDGARSQGYAPCFCSRDKVGEMATTSSSEVSKASIVLCILLALGEMAYTSPAVVSVSVMASQNVEIMVNLVRH >ONIVA12G02790.1 pep chromosome:AWHD00000000:12:2170657:2174744:-1 gene:ONIVA12G02790 transcript:ONIVA12G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G04740) TAIR;Acc:AT5G04740] MALAAAAAAHHLVAPTAVSPYYSARVSPGFLRLHDFPRSVGLAATAAPRIFCQSINSADVLGASSTTSDDAIPQPVVLIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGSVSTESAVTQTKFHIMRSGRKVEDPDTLEKIRLTVINNLLQYHPESSENLAMGEFFGIKAPEKKVDVDVVTHVIVEDDGPKRSMLYIETADRPGLLLEIVKIITDVNVDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLVNCLRYYLRRPETDEDSY >ONIVA12G02780.1 pep chromosome:AWHD00000000:12:2167420:2169570:-1 gene:ONIVA12G02780 transcript:ONIVA12G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22960) TAIR;Acc:AT1G22960] MLFSIHPCKSQAPAASAAAAAISGLRFVSSSSSSSSTALIPPPRTEESPFASLLASDPPPPEALREVLAAGDVHAALRGLPGLARQLFRWAEATPRGFPRCASAYAAVLVPLAEAKHLHAAHPVSVRAIHLGLLLPLVSLLLSFPTTVSLHYLLNLLLRLSTKFNTRARNPAPSTCSTLCLAAFREMAHHGVPPFIKECNLLLRALRDEARWDDMRSVYAEMLQLGIEPSIVTYNTLLDSFFREGRVDQAAKLLREMEARPGGCLPSDVTYNVVINGLARKGELEKAAQLVDRMRMSKKASAFTFNPLITGYFARGSVEKAGALQLEMENEGIVPTVVTYNTIIHGMFRSGNVEAARMKFVEMRAMGLLPDLITYNSLINGYCKAGNLKEALWLFGDLKRAGLAPSVLTYNILLDGYCRLGDLEEARRFKQEMVEQGCQPDVSTYTILMNGSRKVRNLAMVREFFDEMLSKGLQPDCFAYNTRISAELILGSTSEAFQLTEVMISRGISSDTVTYNIFLDGLCKSGNLKDAYVLWMKMVSDGLQPDCITYTCLIHAHCERGRLREARDIFDGMLVSGLPPSAVTYTVFIHAYCRRGNLYSAYGWFQKMLEEGVRPNEVTYNVLIHALCRMGRTNLAYQHFHEMLERGLSPNKYTYTLLIDGNCKEGNWEEAIRLYSEMHQHGIHPDHCTHNALFKGFDEGQSKHAIQYMENVVLGA >ONIVA12G02770.1 pep chromosome:AWHD00000000:12:2163157:2166064:1 gene:ONIVA12G02770 transcript:ONIVA12G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKWLPSSAAAMHHPAIFSKHDMEVLQHMIAMPVIEGYVHIWQGYKDSWCWLSCNGNFKEAFLGSIIMKSQKEDTCSCWQMDGLTKYSGHSWLENNSRSCEPTTVTQSQILKSTVTQPDKLLALENQTPEYLIHKN >ONIVA12G02770.2 pep chromosome:AWHD00000000:12:2163194:2164766:1 gene:ONIVA12G02770 transcript:ONIVA12G02770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRIGLLVVLVGLAFQAILHPPPQKLCGSPGGPPVTSPRIKLSDRRHAYKEGGELVDELGIYLLAFDRAGYGENYPNPKRNVRSEALDIEELTDQLKLGQKFCVGNVDGRIPNLGCLQYIPNRLAGAALVLPIINYWWPSSPAELSRQAFMGLIMPEQRTLWIAHNINFLALPLDDPEVAPFFCGSHASSCNI >ONIVA12G02770.3 pep chromosome:AWHD00000000:12:2163194:2164766:1 gene:ONIVA12G02770 transcript:ONIVA12G02770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRIGLLVVLVGLAFQAILHPPPQKLCGSPGGPPVTSPRIKLSDRRHAYKEGGVQKDKAKAGYGENYPNPKRNVRSEALDIEELTDQLKLGQKFCVGNVDGRIPNLGCLQYIPNRLAGAALVLPIINYWWPSSPAELSRQAFMGLIMPEQRTLWIAHNINFLALPLDDPEVAPFFCGSHASSCNI >ONIVA12G02760.1 pep chromosome:AWHD00000000:12:2147836:2150361:-1 gene:ONIVA12G02760 transcript:ONIVA12G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPAAADWSELPADVLGLVLLELEFPDLFRSAAVCKLWHATARDIRRLGLYSRAQTPCLLYTTAAAGPRAAVLYSLADKTTSYTVPLPDPPIAERHIVGSSHGWLVTADHRSELHLLNPATGEQLDLPPVATIEHVRPLYDDAGNLNNYKLVYYDGGGNSHRRNDDDMHTVTHPPETFREFLYLKAVISSDPSRGDDYTVMLIHHPYLQLSFARSGDKKWTWIKMGNNECEWFEDCIYHDGVFYAQTVHGAIHAIDVVSASSSFTHRLILKPTMGELGTLYIVRTTEGDILQVLRVTEEDEGGEHKDVRTTEIGVYKVDYKKQDLDDVDDIGWRMAAAEEGSHFWVIHR >ONIVA12G02760.2 pep chromosome:AWHD00000000:12:2147836:2150361:-1 gene:ONIVA12G02760 transcript:ONIVA12G02760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPAAADWSELPADVLGLVLLELEFPDLFRSAAVCKLWHATARDIRRLGLYSRAQTPCLLYTTAAAGPRAAVLYSLADKTTSYTVPLPDPPIAERHIVGSSHGWLVTADHRSELHLLNPATGEQLDLPPVATIEHVRPLYDDAGNLNNYKLVYYDGGGNSHRRNDDDMHTVTHPPETFREFLYLKAVISSDPSRGDDYTVMLIHHPYLQLSFARSGDKKWTWIKMGNNECEWFEDCIYHDGVFYAQTVHGAIHAIDVVSASSSFTHRLILKPTMGELGTLYIVRTTEGDILQVLRVTEEDEGGEHKDVRTTEIGVYKVDYKKQDLDDVDDIGNNALFIGTSYSMCLPVKDYPHLMPNHIYFDDDYGYFVHRKHLRRDVGVYDYTNDTAIDVESPQPWLNWPLAPVWITPRWRMAAAEEGSHFWVIHR >ONIVA12G02750.1 pep chromosome:AWHD00000000:12:2146436:2148769:1 gene:ONIVA12G02750 transcript:ONIVA12G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRILVVLLVVLLGLAFQAILRPPPQKLCGSPGGPPVTSPRIKLRDGRYLAYREDGVQKDKAKFKIISVHAFDSTKDFPLQVSKELVDELGIYIVGFDRAGYGESDPNPKRDVKSEALDIEELADQLELGHKFYVLGVSMGGYSIWGCLQYIPNRLAGAAMVVPIINYWWPSFPAELSRQAFKRLIVPEQRTLWIAHNMPSLLYLWMTQKWLPSSAAAMRHPEIFSKHDLEVLQKMMAMPLIENKSRQQGIYESTHRDLLVAFGKWEFDPMNITNPFPQNEGSVHIWQGYEDRLVLVELQRYIAQRLPWIQYHEFPEGGHMFMLVDGWTDKIIRALLVGEQL >ONIVA12G02740.1 pep chromosome:AWHD00000000:12:2140065:2141042:-1 gene:ONIVA12G02740 transcript:ONIVA12G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLVVGRVIGEVIDSFNPCTKMIVTYNSNKLVFNGHEFYPSAVVSKPRVEVQGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREIISYESPKPSIGIHRFVFVLFKQKRRQAVVVPSSRDHFNTRQFAEENELGLPVAAVYFNAQRETAARRR >ONIVA12G02730.1 pep chromosome:AWHD00000000:12:2138898:2144828:1 gene:ONIVA12G02730 transcript:ONIVA12G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERTYQKRHPLFQVTIIFVHGLNESITSPITRPTTRGSTDLDMVGALEGQMHSTLSAWKPINPQNVCR >ONIVA12G02730.2 pep chromosome:AWHD00000000:12:2138898:2144828:1 gene:ONIVA12G02730 transcript:ONIVA12G02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERTYQKRHPLFQVTIIFVHGLNESITSPITRPTTRGSTDLDMVGALEGQMHSTLSAWKPINPQNVCR >ONIVA12G02730.3 pep chromosome:AWHD00000000:12:2138898:2144108:1 gene:ONIVA12G02730 transcript:ONIVA12G02730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERTYQKRHPLFQVTIIFVHGLNESITSPITRPTTRGSTDLDMVGALEGQMHSTLSAWKPINPQNVCR >ONIVA12G02730.4 pep chromosome:AWHD00000000:12:2138898:2141509:1 gene:ONIVA12G02730 transcript:ONIVA12G02730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERTYQKRHPLFQVTIIFVHGLNESITSPITRPTTRGSTDLDMVGALEGQVIIFSEISALASCK >ONIVA12G02720.1 pep chromosome:AWHD00000000:12:2137361:2137954:1 gene:ONIVA12G02720 transcript:ONIVA12G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEANAATTHHEITTAAAVTAGERQQLNAGGEDDKNIGMIVTDDDDEDEDDELFELDIALIDHRDGEEYYTKRLVTSSGCVAVAAAAAAADDDDEEDGGDALLANCLLPVSSVSRAVPVTASSSFVVLSSYPVGRRYHGGGGCGDDDDDDASWRWRRFFFTSGGGGRTRIGRHNSNNSARFSFSRFQSLANFQRY >ONIVA12G02710.1 pep chromosome:AWHD00000000:12:2133619:2133864:1 gene:ONIVA12G02710 transcript:ONIVA12G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVNLKLYLENVYIMEENERLRRKAQALNQENKALLAKLNTNHAAASSTSTTTQHRPPTAASAAGAGASSTLKPGKQQPK >ONIVA12G02700.1 pep chromosome:AWHD00000000:12:2128887:2130077:1 gene:ONIVA12G02700 transcript:ONIVA12G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHLPPVLVLLLLSILSLSFRRRLLVLQGPPSSSSSSRHPVGDPLLRRLAADDGAGSSQILAEAAALFANASISTFPSLGNHHRLLYLRMPYAFSPRAPPRPKTVARLRVPVDALPPDGKLLASFRASLGSFLAARRRRGRGGNVAGVMRDLAGVLGRRYRTCAVVGNSGVLLGSGRGPQIDAHDLVIRLNNARVAGFAADVGVKTSLSFVNSNILHICAARNAITRAACGCHPYGGEVPMAMYVCQPAHLLDALICNATATPSSPFPLLVTDARLDALCARIAKYYSLRRFVSATGEPAANWTRRHDERYFHYSSGMQAVVMALGVCDEVSLFGFGKSPGAKHHYHTNQKKELDLHDYEAEYDFYGDLQARPAAVPFLDDAHGFTVPPVRLHR >ONIVA12G02690.1 pep chromosome:AWHD00000000:12:2118718:2121619:1 gene:ONIVA12G02690 transcript:ONIVA12G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J6R9] MAMASVAALRLVVLLAAAVPLLPPPAASLAVTSTYVRPTARATLSVLHDGDGRTPQQVHISAVGSDKMRVTWITDDDAPATVEYGTVSGEYPFSAAGNTTTYSYVLYHSGNIHDVVIGPLKPSTTYFYRCSNDTSRELSFRTPPASLPFKFVVVGDLGQTGWTASTLRHVAADDYDMLLLPGDLSYADFYQPRWDTFGRLVEPLASARPWMVTEGNHEVERIPVIHPRPFTAYDARWRMPHDAGASPSGSNLYYSFDVAGGAVHVVMLGSYAGYAAGSAQHRWLRRDLAGVDRAKTAFVVALVHAPWYNSNRAHRGEGDAMRAAMEELLYGARVDAVFAGHVHAYERFARVYGGGEDACGPVHVTVGDGGNREGLATRYVDPQPAASAFREASFGHGRLEVVNATHALWTWRRNDDDEAVVADEVWITSLASNPACNKKDSISLY >ONIVA12G02680.1 pep chromosome:AWHD00000000:12:2115246:2115673:1 gene:ONIVA12G02680 transcript:ONIVA12G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTIFTTLEFSNLLCCGTVCATWLTTARALLRDGFYSHPKTPCLLLPSPTRPPMPPPSQAHPLVTDTSSALLLPSVIADARSELHLLNLITGDQISLLSVNPVLKDDAGNNVTAYNVFFYDTNIPRK >ONIVA12G02680.2 pep chromosome:AWHD00000000:12:2115677:2117052:1 gene:ONIVA12G02680 transcript:ONIVA12G02680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAIYQLDELHNFIYMKAMTSSDPATGDFTIMLIHNPYMQLDHQTSNGIRSPSTTTSASPTASSMTASFYTITYHRVVHLIDINPDSSYVTGVIVQETLPMMYLIVYLARSPNHGDFIQIFRFTSSLETDQTDRFVDYDLGDNDVFIGRNYTACLSTKDYPGLMPNRIYFTDDDECSLQAFKGTPRDIGVYNYEDDTLSEVVSPQPWLKWPPPFWITPSFKDFPNTL >ONIVA12G02670.1 pep chromosome:AWHD00000000:12:2109173:2110931:-1 gene:ONIVA12G02670 transcript:ONIVA12G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J6R6] MANSGKKLMDGGGVAFQTLPRAAGDDNADDDYVRLPPRPLVSTVHDKPATHPQQVHISVVGANRMRICWVTDDDDGRSSPPSVVEYGTSPGEYTASATGDHATYSYSDYKSGAIHHVTIGPLEPATTYYYRCGAGEEEELSLRTPPAKLPVEFVVIGDVGQTEWTAATLSHIGEKDYDVALVAGDLSYADGKQPLWDSFGRLVQPLASARPWMVTEGNHEKEKTPPPPPVAGAGAGVRLSPSRFAAYNARWRMPREESGSPSSLYYSFDAAGGAAHVVMLGSYAYVEERGEGTAEQRAWLERDLAGVDRRRTPWVVAVAHGPWYSTNGAHQGEGERMRRAMEPLLYDARVDVVFSAHVHAYERFTRIYDNEANSQGPMYITIGDGGNVDGHSDKFIEDHELAHLSEFREMSFGHGRLRIVSETKAIWTWHRNDDQHATVRDVVVLESMAGAKTN >ONIVA12G02660.1 pep chromosome:AWHD00000000:12:2076565:2078094:-1 gene:ONIVA12G02660 transcript:ONIVA12G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELPWGARCAVAAFFVSSLCVAALGVVLLLLRRWPWCGCHVCRAYLAGSWRREFANLGDWYADLLRRSPTGTVHVHVLGCTVTANPANVEYMLKTRFDNFPKGRPFAALLGDLLGDGIFNVDGDAWRHQRKMASLELGSVAVRSYAYKIVAQEVEARLMPVLANAADSGAVVDLQDVFRRFAFDTICKISFGLDPGCLDREMPVSELADAFDAASRLSAMRGAAASPLLWKMKRFLNVGSERELKKAIKLIDGLAAAMIRERRKLGVANSHDLLSRFMASSGDDARGAADDKFLRDIVVSFLLAGRDTVSSALTTLFMILSKNPDVAAAMRAEAGAAAGESAAVSYEHLKRLNYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVDGGARVMYHPYAMGRMPRIWGADCDAFRPERWLTGAGGAFVPESLFKYPVFQAGLRVCLGKELAITEMKAVSVAVVRAFDVEVVGENGRCGGGAAAAPRFVPGLTASISGGLPVKIRRV >ONIVA12G02650.1 pep chromosome:AWHD00000000:12:2069358:2069810:-1 gene:ONIVA12G02650 transcript:ONIVA12G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRHKYNVRSIPIRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKASGRAADKAKGKFTAEDVAAAGASLQEID >ONIVA12G02640.1 pep chromosome:AWHD00000000:12:2057926:2064160:1 gene:ONIVA12G02640 transcript:ONIVA12G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSGVKYPDGWELIEPTLSELHSKMREAENDPHDGRRKCEALWPIFKINHQRSRYLYDLYYNRKEISQELYEFCLDQGHADRNLIAKWKKQGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCKGCASGD >ONIVA12G02640.2 pep chromosome:AWHD00000000:12:2058800:2064160:1 gene:ONIVA12G02640 transcript:ONIVA12G02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSGVKYPDGWELIEPTLSELHSKMREAENDPHDGRRKCEALWPIFKINHQRSRYLYDLYYNRKEISQELYEFCLDQGHADRNLIAKWKKQGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCKGCASGD >ONIVA12G02630.1 pep chromosome:AWHD00000000:12:2049686:2054664:1 gene:ONIVA12G02630 transcript:ONIVA12G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDHGGDADLAADELQSLSFGSSERSRSGSTVSTATTVSTTTSGPPPPPPPPPPRAAAAPRLGAVSLSDIRFVRRLGSGDIGSVYLAEVKGARGGGAAVVAAKVMDRKELAGRNKEGRARTEREILEAVDHPFLPRLYGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFTESAVRFYAAEVVAALEYIHMMDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPIALAGGHYSASSSCIIPSCIVPAVSCFQLFPGRGRRRRHRRKKKTASGGGGGISGSSFPAGGLELEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFVFELLYGVTPFKGYDNEMTLANIVARALEFPRDPPVSSAAKDLVTSLLAKDPTRRLGATVGAAAIKRHPFFSGVNWALLRCATPPYVPPPFSVAAATAAAAAADMSDDDSCPGTPVEYY >ONIVA12G02620.1 pep chromosome:AWHD00000000:12:2042018:2043409:-1 gene:ONIVA12G02620 transcript:ONIVA12G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGGGRPAARQQAARGKQMQRTFNNVKITLICGFITLLVLRGTVGINLLTYGVGGGGGSDAVAAAEEARVVEDIERILREIRSDTDDDDDDEEEEPLGVDASTTTTTNSTTTTATAARRRSSNHTYTLGPKVTRWNAKRRQWLSRNPGFPSRDARGKPRILLVTGSQPAPCDDSAGDHYLLKATKNKIDYCRIHGIEIVHSMAHLDRELAGYWAKLPLLRRLMLSHPEVEWVWWMDSDALFTDMAFELPLARYDTSNLVIHGYPELLFAKRSWIALNTGSFLLRNCQWSLELLDAWAPMGPKGRVRDEAGKVLTASLTGRPAFEADDQSALIHILLTQKERWMDKVYVEDKYFLHGFWAGLVDKYEEMMERHHPGLGDERWPFVTHFVGCKPCGGYGDYPRERCLGGMERAFNFADNQVLRLYGFRHRSLASARVRRVANRTDNPLVNKEAALKMDAKIES >ONIVA12G02610.1 pep chromosome:AWHD00000000:12:2034736:2038366:-1 gene:ONIVA12G02610 transcript:ONIVA12G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVSRSMVLTLLGFCVSVLFIVFVCSRLACALLRRRRGRARLRRASPLAVSGVLSIYVDRHGHHQPSSAAGAASGTGGLDPAAVAAFPTRAFSPAASSSASASTQCVVCLAEYEEKDVLRVLPYCGHGFHVACIDIWLMHHSTCPVCRISLCDYPDSKHTMSPVPSAVIIPLPPCSPEASRSDQCNCLFVGTGHSPRTSQVLRNEPDQVKLPVILETSTQGDPWIAVIGSDGDMISLLKPGASSGRRTAYMFVHAWMSAGPIMAHTIDLNFVCSKHQQQQWFFFPAATGNQEMLTQGFTHVFSPTFRN >ONIVA12G02600.1 pep chromosome:AWHD00000000:12:2026639:2027625:1 gene:ONIVA12G02600 transcript:ONIVA12G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSVRDFASCIGDGAACTAPSSTRTRRGSAAVQAQPSTLSVTASYRVALASSSSPPLQLRLTWAHSPLGPTLSFSPSASGRKVLVRRRRGSCSVPSSGEDEAVESESELSASSPRLALFWDLTAARFDPAASPEPVSGYYVVVAVESAEVVLALGDLAAEFVKAKFEGTTQIPMAAPFARGERVVVAVSSDAAAAVTHTARARFAEGGAEHEVSVGCAPGGGGGGGGDELWVSIDGKRAVQARRLRWNFRGNQTVFVDGEPVDVMWDLHGWWFRREPPAPGCAVVMLRARSALESRLWLEEEAAAPAFSLLVEAFKSPP >ONIVA12G02590.1 pep chromosome:AWHD00000000:12:2008709:2012432:-1 gene:ONIVA12G02590 transcript:ONIVA12G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRLLRDREATTSSALVSPSLLLCLAAAQATRTAAAGLRPRARAWEGDLLEGRNRLDPCRRLDLAVEQPTAVSGGGGSGVEALAAAAEETARSGPGSGGTAAGGGVWWRGWRRRRGRQGDGVDEVNLAALAADPAPGGLAVATVVAMTTAAQATTAGRLRRVSSELDDGDKVREDDEMAAGMEGWQGDGVGEANLAAPAAEPTPEEFGCRGDGGDELAATAARATTVGGLRRVPSELDDGEKGREDGEMAAGMEGQRWLWWWRPSPRMPPFRSSTGRLRIPPLGPLEDPSPRTYTKIKQFWNNTFYTVKQY >ONIVA12G02580.1 pep chromosome:AWHD00000000:12:2007732:2010918:1 gene:ONIVA12G02580 transcript:ONIVA12G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALIAVGPDDLGGSGRARPVTSPARPAQEGGRVQGLSSAGEAASGGHRRRRWDLGRMANSSYLGLQC >ONIVA12G02570.1 pep chromosome:AWHD00000000:12:2006490:2007745:-1 gene:ONIVA12G02570 transcript:ONIVA12G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALIPDSDLRERRSTSIPFRSGSMECLLGVCDNASTVPVSLMGAPQGLLKNAANFSIGGHYPLHQLWDTASQLLGDRRSWASRSKVAATTHVSFRVVHFQDLVYRSFGEIAVWLGGQYGIHDSWWDCGRSQTVKGCKPSLAK >ONIVA12G02560.1 pep chromosome:AWHD00000000:12:2003364:2004983:-1 gene:ONIVA12G02560 transcript:ONIVA12G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSKYHRDYPFLPAAASSSDGDGDGDGFYIGEPRNPAAGADSTDVFLFLAVPAGWLVRLAAFVGELVASAILGLVYPVAALIGWLRAVPAAVASLLRRAATGLLAAACTFAVLAAAFVVSLVLGFALVRHWVAEPVTARHPLYFDYTEAQPSAAVALGGGAAAAVPAGHAVRVSMALLLPDSYHNRHIGVFQIKSEAISASGITIASTTQPYLIKYKSSPVRLIQTALLCVPLTMGIRSESQDANLKLLHYREGHGRHKRTVLIRVMLQPRAMTVHLPQVYQAEIVVQTTLPWTKELVRALKWTLCVWVSLCVYVFILVLAMICWSRSPSAFSSRDRRLYDHQVIENSGMDMGDSGERSDKELYGGVGVKWKQRIRKRKAQHGTLQGDRMELKFTEGSTSGVAMDGE >ONIVA12G02550.1 pep chromosome:AWHD00000000:12:1995457:2002592:1 gene:ONIVA12G02550 transcript:ONIVA12G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAEAETASSTKSTAQLQQHSYSEEEDLTMYKSHQQLQVSEEKDTTIEENSEKKWHARLIDEYIRGILEGNDNSDTEIEDDENVLSKSPVEVDPCAIGQFQHFKEMNSLREECVTPNPKNVDSSSKISSQQGHAKLAAGSSSSHYKAVGYHEYADLINRINKGVQLYSIACKNFLRKE >ONIVA12G02540.1 pep chromosome:AWHD00000000:12:1972267:1990438:-1 gene:ONIVA12G02540 transcript:ONIVA12G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNPVLPKPNDPKRSDSKHSECESNIAGRMAVAEPSPPAAVAAAAGQTHAGRVDALERMLTRLALTEDSVASLLCRFLPYTTISLVSPGASVRELLMENGSLFDKLVKQRPDISMMMDLWRIYTESTSTVVQNYCIVYVEMGFERLLSEDKGSIAPDLLINISNVPEQHQGIILRLVLKAIGEWDTHKVDQTVASKYKSISASNDGLVFADFCLHMILYQTPPQGIRCPAGLSVAQSDRVTGNLPLKGDTLASRKLGILDVIETMQFKLEIVYPLYLAAASDSQEAVAKRGEEMLILKASAVNLEDSDLIKRLFTLFNGTASSENIASELEVAPAHSSLRVRLMGVFCRSIAAANAFTHTFQCIFGCIYGNGTTSRLKQLGMEFTVWVFKHATNKQLKAISPFILSGILHSLDGSSTTEADSSSRDIRIFAYQAIGLLAFRMPNLFCNKTGMAIRLFTALRLEEQSLRSIIQEAATALATAYKGASVVILKDLEALLLENSQMEQSEVRFSAVRWAVTLYNMKHCPSRYICMLGASDVKLDIREMALAGLNLLNDERQSSTMATDISYPDIAEMVNYIYSQQPQLLHCYEQRNGKLLFPTDTFLAMIKFLMKCFNTHDGSDFLQEDLSNSTLAKMCVLLEHAMSYDGSSELHTLALKSLVDISSRQPKMVSSFYVNRLDWPRTLLHADTHEEAPRYGRQCQNTTDINEELGSCCNGRTNHSLEHEVCGGGNNSDNLGGDGCDEELVTGCHHRHGRIDHNLEFLIDRMMSWSVRDILKAPPVIKKAQTTFVSIDNYFESLCIEAVALTTAQLKMPLQLLGTANWHDVNKCHTIRRGDLILLDPTSPYSKKPKGCFFAVAVEDEDEYFRSAFKVQIIRKSRPVDLVINYAALLDINIQGQVEFWSSIHQDIDNKCQCIINSILQAPLVIWLPKLCLHNESTTKMIKVLDEIEDLLGNRNLSDGSMRKAFEVEAAVQTFDTGDCGIAEELNDKRMKCAQLLRKFKEDLQSIDLPTFKTREDLEEFCMKNSSIIFCSTNNSFHLREIQLKIDCLIIDSANLFNEYETLVPLCLPSLHSIILAGDEAKKPTVGNQRLLDLGFNQHLLLDQYITGQHGRRVSSKSKVLKPEFTWIARPHNRKYILAPIRDQGPKDFNVILDIQDMLLQYKEETGYTYGDELRGERGQIRLEKALQTLKKRGVRGIDGCDNDKKMEQIRISSFRRIDIEAEGFEQIFERLLQGRMLVGSFKVSCNYFELAEGEVYHYDYARPIVRDGVTWSHAVMFVGFGDPAGDVLLDNHLAAIDTCDFELERMKHNSIGHLVYQNSYGKLFGFPHFRCGYGRVGLQSIVSVWEVTV >ONIVA12G02540.2 pep chromosome:AWHD00000000:12:1972267:1990438:-1 gene:ONIVA12G02540 transcript:ONIVA12G02540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNPVLPKPNDPKRSDSKHSECESNIAGRMAVAEPSPPAAVAAAAGQTHAGRVDALERMLTRLALTEDSVASLLCRFLPYTTISLVSPGASVRELLMENGSLFDKLVKQRPDISMMMDLWRIYTESTSTVVQNYCIVYVEMGFERLLSEDKGSIAPDLLINISNVPEQHQGIILRLVLKAIGEWDTHKVDQTVASKYKSISASNDGLVFADFCLHMILYQTPPQGIRCPAGLSVAQSDRVTGNLPLKGDTLASRKLGILDVIETMQFKLEIVYPLYLAAASDSQEAVAKRGEEMLILKASAVNLEDSDLIKRLFTLFNGTASSENIASELEVAPAHSSLRVRLMGVFCRSIAAANAFTHTFQCIFGCIYGNGTTSRLKQLGMEFTVWVFKHATNKQLKAISPFILSGILHSLDGSSTTEADSSSRDIRIFAYQAIGLLAFRMPNLFCNKTGMAIRLFTALRLEEQSLRSIIQEAATALATAYKGASVVILKDLEALLLENSQMEQSEVRFSAVRWAVTLYNMKHCPSRYICMLGASDVKLDIREMALAGLNLLNDERQSSTMATDISYPDIAEMVNYIYSQQPQLLHCYEQRNGKLLFPTDTFLAMIKFLMKCFNTHDGSDFLQEDLSNSTLAKMCVLLEHAMSYDGSSELHTLALKSLVDISSRQPKMVSSFYVNRLDWPRTLLHADTHEEAPRLLGIASTLLSIAALYVLPELTSIFDQNPPSGYGRQCQNTTDINEELGSCCNGRTNHSLEHEVCGGGNNSDNLGGDGCDEELVTGCHHRHGRIDHNLEFLIDRMMSWSVRDILKAPPVIKKAQTTFVSIDNYFESLCIEAVALTTAQLKMPLQLLGTANWHDVNKCHTIRRGDLILLDPTSPYSKKPKGCFFAVAVEDEDEYFRSAFKVQIIRKSRPVDLVINYAALLDINIQGQVEFWSSIHQDIDNKCQCIINSILQAPLVIWLPKLCLHNESTTKMIKVLDEIEDLLGNRNLSDGSMRKAFEVEAAVQTFDTGDCGIAEELNDKRMKCAQLLRKFKEDLQSIDLPTFKTREDLEEFCMKNSSIIFCSTNNSFHLREIQLKIDCLIIDSANLFNEYETLVPLCLPSLHSIILAGDEAKKPTVGNQRLLDLGFNQHLLLDQYITGQHGRRVSSKSKVLKPEFTWIARPHNRKYILAPIRDQGPKDFNVILDIQDMLLQYKEETGYTYGDELRGERGQIRLEKALQTLKKRGVRGIDGCDNDKKMEQIRISSFRRIDIEAEGFEQIFERLLQGRMLVGSFKVSCNYFELAEGEVYHYDYARPIVRDGVTWSHAVMFVGFGDPAGDVLLDNHLAAIDTCDFELERMKHNSIGHLVYQNSYGKLFGFPHFRCGYGRVGLQSIVSVWEVTV >ONIVA12G02530.1 pep chromosome:AWHD00000000:12:1958282:1959106:1 gene:ONIVA12G02530 transcript:ONIVA12G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDDVSTAALTDGGHGQRHRFTFLLPSQNDDEDATMPPPPTSTDDEDDDFSVDDVAQILSFLLVNGVISGETALLLQILTVALHFDLGGGGGGGHSENDDEDAMMAAPLPSIDDEDDDDGSPLLDQVLCYLLLNGIISGERALQILQNANMPLDLDLDDGGANMPLDLDDGGGFRGVPASAAAVAGLEKQVFHQFDHHGGDDDDDDEAKDSAAGCVICMEEFVAGDEVCAIPCAGNHSFHHHCITEWLGCSNVCPLCRHALPVEEQDEGGAT >ONIVA12G02520.1 pep chromosome:AWHD00000000:12:1949822:1950930:1 gene:ONIVA12G02520 transcript:ONIVA12G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTGRRSSPPVAAALALLLLLVLFFFSHCASAARPLPASAAAELVLQDGATGNGDEVSELMGAAEEEAAGLCEEGNEECVERRMLRDAHLDYIYTQKRNRP >ONIVA12G02510.1 pep chromosome:AWHD00000000:12:1930103:1933275:1 gene:ONIVA12G02510 transcript:ONIVA12G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28600) TAIR;Acc:AT2G28600] MAKGDDALARKRNKVRRKRMRSSENAVSARVAGIIASKRRRKSGKRRACEGMCFSLPTPEDPFNDRHGKKRKGDDEPTGDAPAAAAAGRDESKKKKKKKDSSAKKQPAREAAAAAANAKSREKDGAEYDRPSKFLVVCLNAIRDAAASEDGGGGGIHDTGSWGVELWNCCSAAPPTHVLDTSGECATREKTAWLVSTACDIVARKEKLGVVVSCPFLLYLVPSQEKAVQVRSICKPLKSLGIHSVSLHPGASIEHQISGLKSCEPEFLISTPERLLELIALKAIDISGVSMLVIDGLKCFTDLNVSDKLCSIRDAILSNPQITIFSDPSDRRVAALATKLVGGKKITRLCTNDSVTSRGAFITQKIHICPSKDQKAPKKQLQISCAIKICLFACHGKLMGFSNMQVKEILEQILNDHARKTAKVLLVTASDHEAQHLSSSLKLQNCTVTNDSHGNSFTICSSVGLINVLVKDWENITTANFEDFDTVLVADLPPSVDEYIEILAGASCHVLVGEVHCIFSSADALLAKPLSEVLTSCGQVVPEFLRKLASS >ONIVA12G02500.1 pep chromosome:AWHD00000000:12:1924174:1928634:-1 gene:ONIVA12G02500 transcript:ONIVA12G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAWFPFVPMPPQAPPSEQEEDSPLDNSGSMKDEMIHLYLNNSTMALAIPREACLLGAPRCHGIGMLVGAFSICKEQEQVREAILEERLMLTAFLLTYSEPHAGEGVLSVQPCNANNRTVLAPESSQGNQSVMQMQRWPNSGRQTTEEARTLKGEETPTVPEVERAGPTDGDGEGRENVWGRRERKRRRGWGRWTATEEADKAAMERAGDTNGDGGGGQGGDAGGGIATRLHATESDLMRCDKESDLM >ONIVA12G02490.1 pep chromosome:AWHD00000000:12:1923069:1923512:1 gene:ONIVA12G02490 transcript:ONIVA12G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYMRATHRDEEGKKVTEKVAVPETRHPDTARHFERKLDQQGLHRMERHPANGSRGIGAPPPKSGRGGKFTWEGPDSIVDSQLDPLPAAIDRNDPNYEEEEGDHERAADVVGEVEVAKVAGDARDGVARVDVVAPPQLHEKLQLQPQ >ONIVA12G02480.1 pep chromosome:AWHD00000000:12:1912811:1920270:1 gene:ONIVA12G02480 transcript:ONIVA12G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRSREGIAVASGHEAAAAPAGYGLFIRTADCSMAEAAAQQEAAAAAKGYRSSPSSPSSMSPTPSPPPAAAVHGGGGAEDAAAAAVATPAARSLGAGDSGSMQVSGHGEHAGLSSGRRRGRPKGSGRRQILANLGEWYALSAGGSFTPHVIIVATGENVAARIMSFSQKGPRSVCILAANGTISNVVLNQPGSSGSTFSYEGCFEILQLTGSFTIAEEGVRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNNLKQHQRRMGLHPQPSAAPAFPAPMAPLHPPPVLTAAMPISQAAPGNNGCRSPQVSISSMPPQAHAGVEQSRGAMNLNSSSSSTGFAMVGWPAAASSQSMVHRPSPDINVCLTPQE >ONIVA12G02480.2 pep chromosome:AWHD00000000:12:1914881:1920270:1 gene:ONIVA12G02480 transcript:ONIVA12G02480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQRQQATACSSEQQIVPWLKQLHNKKPQQQRKGEWYALSAGGSFTPHVIIVATGENVAARIMSFSQKGPRSVCILAANGTISNVVLNQPGSSGSTFSYEGCFEILQLTGSFTIAEEGVRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNNLKQHQRRMGLHPQPSAAPAFPAPMAPLHPPPVLTAAMPISQAAPGNNGCRSPQVSISSMPPQAHAGVEQSRGAMNLNSSSSSTGFAMVGWPAAASSQSMVHRPSPDINVCLTPQE >ONIVA12G02470.1 pep chromosome:AWHD00000000:12:1910140:1911220:-1 gene:ONIVA12G02470 transcript:ONIVA12G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAGAVDPPPGGGSGVHDGGGGDSDQRLGRRDEPSWIAAATTHPSEGSRGSGPHAAGSGLPEPNLAGKLQGRRPRLHDNGGGGATARQLRLDGIGVDGDGCGAVSGRLDGSGGAGALPLLYRELLGTGGGDGRPTGKTQVAKARLFPVRSHRPDSTWRPTGGVAEVAWVSVLGSSGVVKGVGGRVIFLAVGQANPVWGAPPLLCGELLGRMKMVVGLQGKLWLLRQCCSLSRHPWAGFDGEAGERRGGGSLGQRAGGGDRCCGSLVIGRTGSRPVEGRRSGVAEAMCRRC >ONIVA12G02460.1 pep chromosome:AWHD00000000:12:1898358:1900076:-1 gene:ONIVA12G02460 transcript:ONIVA12G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT5G04550) TAIR;Acc:AT5G04550] MRKLSGGGGERRVVGAVAFEVAALMSRAAGLWRALGDAEVGRLRGDRVRLEGVRLLVADDDAALLSLALAEMAAACADLSRAVARLSARCADPLLRRFDALFAALVRGGRLADPHRLRYSAARKMDRKARKMQRLVASTALLSQELDVLAELEQAAAGGLRRSGTRRKGAASGGGGEGEAARRVAQQRQEVDRLRAASLWNRSFDYAVRLLARSLFTIVARIAQVFGLEPKNVATMDDDAMISLATTRLSWTNSFVGSVHSLVYPSDFAADTHTPRRSLLDAKSGKVSNGGEHVRRFLVSRSQSLRQLKWPMAGKHLIGYMVSGSRSPDSERWKIHGDGDLPLSFSYYVSASNDDYSSINSPFQGDHTNSNLSIVFESSSHNWVMNAPAVTTLGAAALALHYANLIIFIEKLAVAPRHICPDERDALYNMLTDRIRASLRARLRPIAKNMAASSSSSSSACDPAMAAEWSGTVQRILGWLAPLAHNMLRWQSERNFEQRNVASSGTGVLLLQTLHFADQKKSEAAIVELLVGLNYLWKAGRELDAKAKKLVSGGGKRDEFTDYYSSNRMECR >ONIVA12G02450.1 pep chromosome:AWHD00000000:12:1896655:1897451:-1 gene:ONIVA12G02450 transcript:ONIVA12G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRQRHLLRPLLRGLHATAQALARPEPHEFSNPSEHLGSWGEPAGDPREAWARLERLRKGYARDYAYEAQLLGAERQRRAEARAEAARLATDERKAAAAQTRAAERRAFEDYFLQPLMKVRGEKLENWREKEKLKADKRAEDRELLGRKSSVWIADNELENRILKAIKFTTPL >ONIVA12G02440.1 pep chromosome:AWHD00000000:12:1877395:1877796:-1 gene:ONIVA12G02440 transcript:ONIVA12G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRSSATVPFPNSNGEKSFGNGGVPAPLSPHELVVLWKLCPHAGSTPPSASSDRQMAHSTSAHLPTIRTPNAAPTAPDLSVLASSSDAGLGGCGCAHSPESRQRARQRMRHRAAAWR >ONIVA12G02430.1 pep chromosome:AWHD00000000:12:1866916:1869128:-1 gene:ONIVA12G02430 transcript:ONIVA12G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G36570) TAIR;Acc:AT2G36570] MAMLLLLRSLEFLAIMAVASSLAMPVAAAAAVPQPEPEVKPSDTDALTIFRNGADAHGILAANWSTSNACAGGWIGVGCAGDGRRVTSLSLPSLDLRGPLDPLSHLGELRALDLRGNRLNGTLDTLLLGVPNLKLLYLSHNDISGAIPDAIARLLRLLRLDLADNSLRGAIPVAALANLTGLLTLKLQDNLLTGLLPDVTAALPRLAEFNASNNQLSGRVPDAMRAKFGLASFAGNAGLCGLAPPLPACSFMPREPAPTSPSVPSSPQSVVPSNPAASSSSVASSSPALATPESRDGSGKGGLSTGAIAGIVVGNALFLFAMLSLLVAYCCCSTGGESGGEPPKKRKRGGRVGLEDDDDGGMFGQGKGVQPGRPGSAGMRSDDGGDSDGARSKLVFFGVDGGEDDDDDDGGGSDSSAGRRATGGGWTAAPHQPHGRRSRFALEELLRASAEMVGRGSLGTVYRAVLSDGRMVAVKRLRDANPCARDEFHRYMDLIGRLRHPNLVPLRAFYYAKQEKLLIYDYLPNGNLHDRLHGHRMSGESPLDWTTRVRLLLGAARGLACVHREYRTSAIPHGNVKSTNVLLDKNGVACVADFGLERKDKRCSTAVSLPEWVRSVVREEWTAEVFDVELLRYKDIEEEMVAMLHVALACVTPQPEQRPSMADVVRMIESIPVDQSPFPEEDRDISTMSPSIGITTDDGDGRLSC >ONIVA12G02420.1 pep chromosome:AWHD00000000:12:1861154:1865076:-1 gene:ONIVA12G02420 transcript:ONIVA12G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J6N8] MHSTNLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVARFDFSWGDAEYHQETLENLKVAIKSTKKLCAVMLDTVGPELQVVNKSEASISLEENGTVILTPDQGQEASSQVLPINFAGLAKAVKPGDTIFVGQYLFTGSETTSVWLEVSQIKGDDVVCVIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKEVIRKWGAPNKIDFLSLSYTRHVEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNNFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKHVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTNATNESVLKVALDHGKVSGVIKSHDRVVVCQKVGDSSVVKIIELDD >ONIVA12G02410.1 pep chromosome:AWHD00000000:12:1855974:1856342:-1 gene:ONIVA12G02410 transcript:ONIVA12G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWPGGERRPGNGSAGGWRRSQSGNVSGVGAGLDGCAKGAGGGGSSSSLPVSTLTLPGAPPLLCGEFLSWIEAAACQRGKLRLLKQCHPIPGSPSARSGEEAGGWWNGEVLGQFLGMVVR >ONIVA12G02400.1 pep chromosome:AWHD00000000:12:1850701:1861694:1 gene:ONIVA12G02400 transcript:ONIVA12G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J6N4] MDHPSESEEGTPRRRAARSPAKLSSPRAIRLQIRGPAACPPSRTDGRAGRRSGPGTRRRFYLRDDEITVEETSFVHTEPPQDGTAPPVVTSDMEVLNDKVKKQVIKEGHGKKPSRFATCFVHYRAWVQGSSHKFEDTWQEQHPIELVLGKEKKEMTGLGIGVSNMRSGERALLHVNWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCTIVLSEDENNVKALFRRGKARAELGQTESAREDFLKAKKYSPEDKEIQRELRWLAEQDKALYQKQKELYKGLFGPRPEPKPKASNFLVLFWRWLVSLIGYLPHAASNGSKPADLKDLIAGLYGSQPLSSPVADEAGEEEMVPVVEDGDEFGDDGWEFKAAPSSDGGRANGDGIEVSYVAHASNHVSTVQTHTTERKAKHRTGHRYARPIATELTTPLRHEDSKTTPSRGSRRQKTPPSSIQRLDKVFTQSSLPREEGYLNSALKRVTMPEGEDVPKSLGSDQEDVSYLTCKQEQFSQMRFLSRQSNRIASSAANRLQILLSLGAIVLRQSIITIIPDRRLSTGPNDAAILDLYKETELVDAVHMTQSSSESVQSPSDMFSNNEMNSSFETDENHSIKSSSDRTLIDFYHKLREETLTVIFRNGKDFKEICEKLPEGFCIEEHTAKDVFISELLDSAREVHLKDFEEEYHLIEKIPMHGVVLWQESCQSNVCNVVISQGGQFFIALGEIYRVAQILNLSLQSFKPWVLADPGMVSKMLVCWDGCLNAWTNNGLGTALRMVVDSNNLDAPVAKVLLESIIKIDEIEVATLQCSLPNSKMTCRHTLLPTSVLPGMEVIIWDGDHYFVKVANLWTNRISSDPPQFSHSCHLNK >ONIVA12G02400.2 pep chromosome:AWHD00000000:12:1850751:1861694:1 gene:ONIVA12G02400 transcript:ONIVA12G02400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J6N4] MAVLEEDPAPVPAADSTSGASDDEITVEETSFVHTEPPQDGTAPPVVTSDMEVLNDKVKKQVIKEGHGKKPSRFATCFVHYRAWVQGSSHKFEDTWQEQHPIELVLGKEKKEMTGLGIGVSNMRSGERALLHVNWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCTIVLSEDENNVKALFRRGKARAELGQTESAREDFLKAKKYSPEDKEIQRELRWLAEQDKALYQKQKELYKGLFGPRPEPKPKASNFLVLFWRWLVSLIGYLPHAASNGSKPADLKDLIAGLYGSQPLSSPVADEAGEEEMVPVVEDGDEFGDDGWEFKAAPSSDGGRANGDGIEVSYVAHASNHVSTVQTHTTERKAKHRTGHRYARPIATELTTPLRHEDSKTTPSRGSRRQKTPPSSIQRLDKVFTQSSLPREEGYLNSALKRVTMPEGEDVPKSLGSDQEDVSYLTCKQEQFSQMRFLSRQSNRIASSAANRLQILLSLGAIVLRQSIITIIPDRRLSTGPNDAAILDLYKETELVDAVHMTQSSSESVQSPSDMFSNNEMNSSFETDENHSIKSSSDRTLIDFYHKLREETLTVIFRNGKDFKEICEKLPEGFCIEEHTAKDVFISELLDSAREVHLKDFEEEYHLIEKIPMHGVVLWQESCQSNVCNVVISQGGQFFIALGEIYRVAQILNLSLQSFKPWVLADPGMVSKMLVCWDGCLNAWTNNGLGTALRMVVDSNNLDAPVAKVLLESIIKIDEIEVATLQCSLPNSKMTCRHTLLPTSVLPGMEVIIWDGDHYFVKVANLWTNRISSDPPQFSHSCHLNK >ONIVA12G02400.3 pep chromosome:AWHD00000000:12:1850760:1861694:1 gene:ONIVA12G02400 transcript:ONIVA12G02400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J6N4] MAVLEEDPAPVPAADSTSGASDDEITVEETSFVHTEPPQDGTAPPVVTSDMEVLNDKVKKQVIKEGHGKKPSRFATCFVHYRAWVQGSSHKFEDTWQEQHPIELVLGKEKKEMTGLGIGVSNMRSGERALLHVNWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCTIVLSEDENNVKALFRRGKARAELGQTESAREDFLKAKKYSPEDKEIQRELRWLAEQDKALYQKQKELYKGLFGPRPEPKPKASNFLVLFWRWLVSLIGYLPHAASNGSKPADLKDLIAGLYGSQPLSSPVADEAGEEEMVPVVEDGDEFGDDGWEFKAAPSSDGGRANGDGIEVSYVAHASNHVSTVQTHTTERKAKHRTGHRYARPIATELTTPLRHEDSKTTPSRGSRRQKTPPSSIQRLDKVFTQSSLPREEGYLNSALKRVTMPEGEDVPKSLGSDQEDVSYLTCKQEQFSQMRFLSRQSNRIASSAANRLQILLSLGAIVLRQSIITIIPDRRLSTGPNDAAILDLYKETELVDAVHMTQSSSESVQSPSDMFSNNEMNSSFETDENHSIKSSSDRTLIDFYHKLREETLTVIFRNGKDFKEICEKLPEGFCIEEHTAKDVFISELLDSAREVHLKDFEEEYHLIEKIPMHGVVLWQESCQSNVCNVVISQGGQFFIALGEIYRVAQILNLSLQSFKPWVLADPGMVSKMLVCWDGCLNAWTNNGLGTALRMVVDSNNLDAPVAKVLLESIIKIDEIEVATLQCSLPNSKMTCRHTLLPTSVLPGMEVIIWDGDHYFVKVANLWTNRISSDPPQFSHSCHLNK >ONIVA12G02390.1 pep chromosome:AWHD00000000:12:1845847:1849780:1 gene:ONIVA12G02390 transcript:ONIVA12G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARLYTGSSSGTTSAGATASSVGGSIDAMMVGSTSAAKRLARGVMRRPLEKAGAAGLAAMLAAAAALLLLVCAASLRCSAAVGSALAAPKKLLSGGVSIEEPRGGGAGGGGGGEECDLFDGEWVWDDGYPLYASRDCPFLDVGFRCSENGRPDDSYTKWRWRPSRCDLPRFNARNMLEKLRNRRVVFVGDSIARNQWESLLCMLAAAVPDKSSIFEVNGNPITKHMGFLIFKFREYNCTVEYYRSPFIVLQGRAPAGAPAVVKYTIRVDAMDWMSGRGKWKDADLLIFNTGHWWNHEKTIKGGAYFQEGNEVKMEMTVRDAYQRSMQTLFHWLRNEVNTNKTQIIFRTYAPVHFRGGDWKTGGTCHLETLPDVTPIKSLEPWADLLEPINHVLGNSITTKLVGLDILNVTQMTALRKDGHLSVYLSPSGPASHHRQDCSHWCLPGVPDAWNELLYALFLRRKMVMPHDVSSVGAKRLNTG >ONIVA12G02380.1 pep chromosome:AWHD00000000:12:1843746:1844478:1 gene:ONIVA12G02380 transcript:ONIVA12G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTDKRPMQTPATAMRAATVGEGRETVHARLGAESAEASQAPPPSPLCRPILAAPPRRRSPLCRACPPPLSPLSRSSAAGPPSAEIDFEGDGDESHLTDGGNGGEEQKRYEAPDAPSFFLGIDSDSDGDKERRREEQRRSYEAPNAPSFSLGINSDGGDPEPPLARCHTRPPLAAANADAPQPCSPTTADAPRSPLARPFAPPVPRVVARPPPPRLPAAPPTSGREPLPLPIRGKENGD >ONIVA12G02370.1 pep chromosome:AWHD00000000:12:1842247:1843119:1 gene:ONIVA12G02370 transcript:ONIVA12G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGDLPLPVGAIRLRLVHPCRQPPSSLHVRPWMTTTATSLSLLAPSASASSTRACPPTPPASIVSPCAAMDDDGGILPLPVGAIRLRLVLPRRRSRRASPTPPPLRRGRQICSGRRPAGEQLHGILALVGSWQAVRTSVLPRAGARVWRWWAAMAYRTSPTVPPHLAGLLPTSRPTSAELACSDLVDRGDFDGARRVADAVLAAAGPRGEVSDRLVHHFARTLLALRGEDKGGHSGDEGASETREAMAGLDRDVLLCCTDDRAHHTVLSRPGCSPPAIDVERRGERK >ONIVA12G02360.1 pep chromosome:AWHD00000000:12:1830799:1833914:-1 gene:ONIVA12G02360 transcript:ONIVA12G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSGDVVEVPAELVAAGSRTPSPKTRASELVSRFLGGAEPAVSVQLGDLGHLAYSHANQALLRPRSFAAKDDIFCLFEGVLDNLGNLNQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLAGSYAFVLFDKSTSTLLVASDPEGKVSLYWGITADGSVAFSDNIDLLKGSCGKSLAPFPQGCFYSNALGVGGLKCYENPKHKVTAVPAKEEEICGATFKVEGSTILTALH >ONIVA12G02350.1 pep chromosome:AWHD00000000:12:1808221:1813010:1 gene:ONIVA12G02350 transcript:ONIVA12G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAAVNNQFQKLHLDGGGGGKGQPKDGGGKGHPKDAGGKGQKGGGGGGGNGGGGSKDVKMMMPPQMPQPTPQQIQQLQQQLQMKGLKLPQFMDAAKMAPFAAAAPIKDPKSVKFNLPPEDDFGDDGSEFDDEFDEFDDEDDFDDDGLDEDYFDDPKMMKQMAMPPPNAGGGGDKKGGGKKGGGGNEIPVQIKGNANNAAGGGGKKDAGGKQNQGGGGGNGKNGGGGGQPNNAKGGGAPNGGGNHPAQGKKGGGGGGGGQGGGVGGPMGGMPAQQQAMMMRPNMMGGGAGFPGMGQMGGGPMTMPMAHHPHMGSAQGGGAGAVQGMPPAAFYQGGGGGGGGGMPSGPEMLQAAAAAGNPMAQQQYMAMMQQQQQQQMMMNGHGHHGHGHHHGHHGHGGGAAPAGYPAMGYGYGRPPMPYPMHYPMQPHPHADPYNYFSDENPNSCSENSTSLKAKEGGGGGFLLAPYKVVAMHGRRTMCKAKCVAKWFVCASVTVTVDKDYNTPSQGEEGDNNDNCGSNAMEERGWMIMMMAVVVVVVHVEEEEGSHRGEELLQFWPWLQPFPAPCTYIIDGGGKFFRQLMTTGPYTRIAHFNPMTTVSTTASACPDHSTAWSLSLTTIDSTPNYRGKSCVVFVQVGKSSFLQSDPWSRRKDLIVGQAMEPDGRECYSLSMHVGQAGYALLCMYGSIVVFIGAS >ONIVA12G02350.2 pep chromosome:AWHD00000000:12:1808624:1813008:1 gene:ONIVA12G02350 transcript:ONIVA12G02350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAAVNNQFQKLHLDGGGGGKGQPKDGGGKGHPKDAGGKGQKGGGGGGGNGGGGSKDVKMMMPPQMPQPTPQQIQQLQQQLQMKGLKLPQFMDAAKMAPFAAAAPIKDPKSVKFNLPPEDDFGDDGSEFDDEFDEFDDEDDFDDDGLDEDYFDDPKMMKQMAMPPPNAGGGGDKKGGGKKGGGGNEIPVQIKGNANNAAGGGGKKDAGGKQNQGGGGGNGKNGGGGGQPNNAKGGGAPNGGGNHPAQGKKGGGGGGGGQGGGVGGPMGGMPAQQQAMMMRPNMMGGGAGFPGMGQMGGGPMTMPMAHHPHMGSAQGGGAGAVQGMPPAAFYQGGGGGGGGGMPSGPEMLQAAAAAGNPMAQQQYMAMMQQQQQQQMMMNGHGHHGHGHHHGHHGHGGGAAPAGYPAMGYGYGRPPMPYPMHYPMQPHPHADPYNYFSDENPNSCSENSTSLKAKEGGGGGFLLAPYKVVAMHGRRTMCKAKCVAKWFVCASVTVTVDKDYNTPSQGEEGDNNDNCGSNAMEERGWMIMMMAVVVVVVHVEEEEGSHRGEELLQFWPWLQPFPAPCTYIIDGGGKFFRQLMTTGPYTRIAHFNPMTTVSTTASACPDHSTAWSLSLTTIDSTPNYRGKSCVVFVQVGKSSFLQSDPWSRRKDLIVGQAMEPDGRECYSLSMHVGQAGYALLCMYGSIVVFIGAS >ONIVA12G02340.1 pep chromosome:AWHD00000000:12:1786120:1790639:1 gene:ONIVA12G02340 transcript:ONIVA12G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRREEEFYASLFDSPKGGDAVKSRGQMIERKIEVLEDMATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSDGEWDAFRSIDMDVEARLMQQMKQSSTKQKNHVDSDELIALNAWHRIDRQTREAIKRNFLPDLLDIYEDRIRAFIEDASGKDVLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTMRDGKLWKTTTIKRRPGTGAPSRLTLVSFLRMKKNGSQTVAELGHDPPHYVHS >ONIVA12G02340.2 pep chromosome:AWHD00000000:12:1786120:1789763:1 gene:ONIVA12G02340 transcript:ONIVA12G02340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRREEEFYASLFDSPKGGDAVKSRGQMIERKIEVLEDMATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSDGEWDAFRSIDMDVEARLMQQMKQSSTKQKNHVDSDELIALNAWHRIDRQTREAIKRNFLPDLLDIYEDRIRAFIEDASGKDVLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTMRDGKLWKTTTIKRRPGTGAPSRLTLVSFLRMKKNGSQ >ONIVA12G02340.3 pep chromosome:AWHD00000000:12:1786120:1789998:1 gene:ONIVA12G02340 transcript:ONIVA12G02340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRREEEFYASLFDSPKGGDAVKSRGQMIERKIEVLEDMATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSDGEWDAFRSIDMDVEARLMQQMKQSSTKQKNHVDSDELIALNAWHRIDRQTREAIKRNFLPDLLDIYEDRIRAFIEDASGKDVLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTMRDGKLWKTTTIKRRPGTGAPSRLTLVSFLRMKKNGSQ >ONIVA12G02340.4 pep chromosome:AWHD00000000:12:1786072:1789998:1 gene:ONIVA12G02340 transcript:ONIVA12G02340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRREEEFYASLFDSPKGGDAVKSRGQMIERKIEVLEDMATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSDGEWDAFRSIDMDVEARLMQQMKQSSTKQKNHVDSDELIALNAWHRIDRQTREAIKRNFLPDLLDIYEDRIRAFIEDASGKDVLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTMRDGKLWKTTTIKRRPGTGAPSRLTLVSFLRMKKNGSQ >ONIVA12G02340.5 pep chromosome:AWHD00000000:12:1790569:1795296:1 gene:ONIVA12G02340 transcript:ONIVA12G02340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPSSGYPITGSSLQVTPCGALVEQYNELNFRNLYLHHLYLGPNRTQSVMLAADAATGLGATTVNNWPIYDGLGSLVARARGLHVYAGDWHNSFTIVFEDQSKAIPLRLDHGVELEALLTTSLLHPNV >ONIVA12G02340.6 pep chromosome:AWHD00000000:12:1790569:1795024:1 gene:ONIVA12G02340 transcript:ONIVA12G02340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPSSGYPITGSSLQVTPCGALVEQYNELNFRNLYLHHLYLGPNRTQSVMLAADAATGLGATTVNNWPIYDGLGSLVARARGLHVYAGDWHNSFTIVFEDQSKAIPLRLDHGVELEALLTTSLLHPNIQLGLSEYVMEMSGTYGAYNSNVVVMSLRVATNLRAYGPFGRAEGTSFTASGRVVGFFGRSGELLDSIGVYTA >ONIVA12G02340.7 pep chromosome:AWHD00000000:12:1790569:1795296:1 gene:ONIVA12G02340 transcript:ONIVA12G02340.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPSSGYPITGSSLQVTPCGALVEQYNELNFRNLYLHHLYLGPNRTQSVMLAADAATGLGATTVNNWPIYDGLGSLVARARGLHVYAGDWHNSFTIVFEDQSKAIPLRLDHGVELEALLTTSLLHPNV >ONIVA12G02330.1 pep chromosome:AWHD00000000:12:1776181:1784452:-1 gene:ONIVA12G02330 transcript:ONIVA12G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQQQREEERAPMGAAQRRLRAVSGHLQPPTESGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRSAKSPLKLINRFPDNPDIGTLHDNFVYAVETFRDCRYLGTRVCVDGTVGDYKWMTYGEASTSRTAIGSGLIYHGIPEGARIGLYFINRPEWTIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVQAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTATGVEIITYSRLLSQGKMSSQSFRPPKPEDIATICYTSGTTGTPKGVVLSHGNLIANVAGSSLVIKFYPSDVYISYLPLAHIYERVNQVSVVHYGVAIGFYQGDNLKLMDDLAALRPTVFPSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIINGRNPSPMWDRLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFGGEVLEGYGMTETSCIISAMNIGDRLIGHVGSPNPSCEIKLVDVPEMNYTSEDEPYPRGEICVRGPTIFCGYYKDEIQTREAVDEDGWLHTGDIGLWMPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQSFIYGDSLNSSLVAVVAVEPDVLKAWAASEGIQHEDLRQLCADPRARSAVLAEMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEIADMYAQLRQAEQIKPKL >ONIVA12G02330.2 pep chromosome:AWHD00000000:12:1776195:1784743:-1 gene:ONIVA12G02330 transcript:ONIVA12G02330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTRSRSPPRFVLCFPRATNFFAFFPRNASPEKQETSSRRLVRAKGMARQQQQREEERAPMGAAQRRLRAVSGHLQPPTESGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRSAKSPLKLINRFPDNPDIGTLHDNFVYKWMTYGEASTSRTAIGSGLIYHGIPEGARIGLYFINRPEWTIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVQAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTATGVEIITYSRLLSQGKMSSQSFRPPKPEDIATICYTSGTTGTPKGVVLSHGNLIANVAGSSLVIKFYPSDVYISYLPLAHIYERVNQVSVVHYGVAIGFYQGDNLKLMDDLAALRPTVFPSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIINGRNPSPMWDRLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFGGEVLEGYGMTETSCIISAMNIGDRLIGHVGSPNPSCEIKLVDVPEMNYTSEDEPYPRGEICVRGPTIFCGYYKDEIQTREAVDEDGWLHTGDIGLWMPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQSFIYGDSLNSSLVAVVAVEPDVLKAWAASEGIQHEDLRQLCADPRARSAVLAEMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVGPLIQVGGDFYTRSKDRKLRHTLQKKSQICMHNCAKQNR >ONIVA12G02330.3 pep chromosome:AWHD00000000:12:1776195:1784452:-1 gene:ONIVA12G02330 transcript:ONIVA12G02330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQQQREEERAPMGAAQRRLRAVSGHLQPPTESGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRSAKSPLKLINRFPDNPDIGTLHDNFVYAVETFRDCRYLGTRVCVDGTVGDYKWMTYGEASTSRTAIGSGLIYHGIPEGARIGLYFINRPEWTIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVQAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTATGVEIITYSRLLSQGKMSSQSFRPPKPEDIATICYTSGTTGTPKGVVLSHGNLIANVAGSSLVIKFYPSDVYISYLPLAHIYERVNQVSVVHYGVAIGFYQGDNLKLMDDLAALRPTVFPSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIINGRNPSPMWDRLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFGGEVLEGYGMTETSCIISAMNIGDRLIGHVGSPNPSCEIKLVDVPEMNYTSEDEPYPRGEICVRGPTIFCGYYKDEIQTREAVDEDGWLHTGDIGLWMPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQSFIYGDSLNSSLVAVVAVEPDVLKAWAASEGIQHEDLRQLCADPRARSAVLAEMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVGPLIQVGGDFYTRSKDRKLRHTLQKKSQICMHNCAKQNR >ONIVA12G02320.1 pep chromosome:AWHD00000000:12:1768813:1772692:-1 gene:ONIVA12G02320 transcript:ONIVA12G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPNTAPSRRRPNRKTSPSSFQKAQVAHRRSRSNRTVHTRNGATQPLRRLPLLLPSPPSPRSAPTTSPPPPTGGQMAPSKQYSEGGQLQLMDAERIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFITGSDLLIKRKSVVRITTGSQALDKLLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDLKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAISFCIIHIHVYQNFLCNW >ONIVA12G02310.1 pep chromosome:AWHD00000000:12:1763040:1763730:-1 gene:ONIVA12G02310 transcript:ONIVA12G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSEDQVNGGYGDYSEGGIGRGTAWDGYQLEHGDSALKGDLQHLCAVVNMGHLLGASNRGANFDVDCSRIGSGRGGYYSKGGVVRDGDQLECRSSVLKGDQHRHTAEDGCRSLRAADGGGVQCPSATMSIDCLLVSSGREAMVCGIIRRAAPTKPVMVYGETLEADAIGRGHGRGPQGGGGMEGSPDMVMS >ONIVA12G02300.1 pep chromosome:AWHD00000000:12:1756375:1757948:-1 gene:ONIVA12G02300 transcript:ONIVA12G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLFPLFVCTHARATTPHIGNFIHYPAGAARLCPGHTCVVWALYTDPPQTRGLCISRCPGTPRRLTTDPGASHPPSTVHSTPTHHGPGGFASPIVRALLADSPRIQGLRLRRPGALRRPRHGLGGLNLPRCPGALHRLRHGLGGFASSVVLALHADPPRTRGLRLSRRPGALCRLHHGLRGLASLVIWVPFADLATDSGASSPPLSKRFTPTRHGLGGSAFLSARAFYADLTTNSGTSPPLSSGCSSLTCHGLGGFASLVVQELCTDSATGSGASPPSLSGRSAPTRHRLRGFAPSLSGRSAPTPPRARGLRLPRCLGTLRGLCHVLGGFAYLVVRVHFADLATNLGASPHSSSGLAPSRPHTPLSGDPLRAQDGYCVFERRLAPPSRPHTPPPGDLLPAQDDYCVIDWRLAPSGRPHTPPQGDPFPAQDGYCIADRKLAPSSQPPTPPPSDPLLAEDSRTSTSMPSDFLPMHHGCFTATD >ONIVA12G02290.1 pep chromosome:AWHD00000000:12:1751207:1751470:-1 gene:ONIVA12G02290 transcript:ONIVA12G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWWKVWQQGIILSLSTATKSCRHTAHSTSLAPATVTSHRPWMARGVEAGNIVQSSAPVGGGGGSRVGGWRCGVRGVVVDLLLVVG >ONIVA12G02280.1 pep chromosome:AWHD00000000:12:1744153:1750667:-1 gene:ONIVA12G02280 transcript:ONIVA12G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKLMRRKEEKKEEPETPRYRDRAKERREDQNLDYEPTELGSFHAVAPPGADLRLADVHKISIEKSKYLGGDLEHTHLVKGLDYALLHKKPDAEDGKDTQTRSTKEDQAVSFRTATAKSVYKWIIKPQSIIKSNEMFLPGRMAFIYNMEDGLTNDIPTTLHRSKADCAVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGNNSLASGDYDEVARPGQTGSSLKHQFQKDMPPPPPPRNNNLSKNEEQSIPVARADEDDIFVGDGVDYSVPNKEMSHSPVSEDMDESPHNHQKQSYFTEEKPVYGPIPPSDPAQAWPQTNGYDAIQAQMVAAGYQGEWSGYQYGEQQMPYPEQYMQQSTQDYDVLADPNIAQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDSREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDLPSGKKQRA >ONIVA12G02280.2 pep chromosome:AWHD00000000:12:1744153:1750667:-1 gene:ONIVA12G02280 transcript:ONIVA12G02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKLMRRKEEKKEEPETPRYRDRAKERREDQNLDYEPTELGSFHAVAPPGADLRLADVHKISIEKSKYLGGDLEHTHLVKGLDYALLHKKPDAEDGKDTQTRSTKEDQAVSFRTATAKEDGLTNDIPTTLHRSKADCAVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGNNSLASGDYDEVARPGQTGSSLKHQFQKDMPPPPPPRNNNLSKNEEQSIPVARADEDDIFVGDGVDYSVPNKEMSHSPVSEDMDESPHNHQKQSYFTEEKPVYGPIPPSDPAQAWPQTNGYDAIQAQMVAAGYQGEWSGYQYGEQQMPYPEQYMQQSTQDYDVLADPNIAQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDSREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDLPSGKKQRA >ONIVA12G02270.1 pep chromosome:AWHD00000000:12:1736703:1741191:1 gene:ONIVA12G02270 transcript:ONIVA12G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAADDDAPPSPPPSAFHSASADTPMSDATPSAADTPNLPDTPASASAEPETPFSDAALADASDADASGVAAPPDDDGTNPLGGAMKHMALAPPAPPSKKSKKKNSNSAQLPQGQEEGQAAGQRPRRRQRXXXGANGRLPKPSSGEDELVLTPAPRFAAERNDDAPDRPVLLSRVFKSDKVEVSDDRLTAGSTKGYRMVRATRGVAAGAWYFEVKVLHLGSTGHTRLGWATNNADIHAPVGYDVFGFGYRDMDGTKVHKAWRANYAEQGYGDGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKAAEQKTPDPVPGSEICYFKNGICQGTAFVDIPGGRYYPAASMYTLPDQPNCQVRFNFGPNFEFFPEDFGGRLIPRPMSDVPYRPFELANGGPAENGTAEKNI >ONIVA12G02260.1 pep chromosome:AWHD00000000:12:1736545:1742372:-1 gene:ONIVA12G02260 transcript:ONIVA12G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPHGPLKLTPIRKFPHGVVASPARWEMNLSATFRSAKIPRALPPKSGEPAAAALASASCAPGNPSAEAAKGKAALGWCVYLIASSRISRTYVGVTTDFPRRPWNLACLIEGFVNRSEACEFESKWKNISRKMARKRSEPSMTSLLQHRDMALSRNVSSDEDIDTLEQDNEIASLKNWIRRLLLSRLGSFCAERKPLVVNQEGLLGLIQLPVRKMNVEAEDIAIAIALLSVIGPPCLVHLGAIHVAVSESKHIVANGCVDVSIVGSPSKAGVAGAAEVKDLDLEVPSAGSDAAGGAHHPVALCAAGGEAVVGDLDLVGLEDAGEEHGPIGRVVVALGGESGRRGEDELILAAGGLGEAAVGAXXXXLPPARALAGCLAFFLPLRELGLVQTLLLFFFLDFLLGGAGGARAMCFMAPPNGLVPSSSGGAATPEASASDASASAASEKGVSGSAEAEAGVSGRFGVSAADGVASDMGVSADAEWNAEGGGEGGASSSAAASAMGRREEDAVHGTRHPASREWKR >ONIVA12G02260.2 pep chromosome:AWHD00000000:12:1740023:1742372:-1 gene:ONIVA12G02260 transcript:ONIVA12G02260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPHGPLKLTPIRKFPHGVVASPARWEMNLSATFRSAKIPRALPPKSGEPAAAALASASCAPGNPSAEAAKGKAALGWCVYLIASSRISRTYVGVTTDFPRRPWNLACLIEGFVNRSEACEFESKWKNISRKMARKRSEPSMTSLLQHRDMALSRVKNDLACSPNYLATPEAQIEYRQA >ONIVA12G02260.3 pep chromosome:AWHD00000000:12:1736545:1739833:-1 gene:ONIVA12G02260 transcript:ONIVA12G02260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPEEPQNVSSDEDIDTLEQDNEIASLKNWIRRLLLSRLGSFCAERKPLVVNQEGLLGLIQLPVRKMNVEAEDIAIAIALLSVIGPPCLVHLGAIHVAVSESKHIVANGCVDVSIVGSPSKAGVAGAAEVKDLDLEVPSAGSDAAGGAHHPVALCAAGGEAVVGDLDLVGLEDAGEEHGPIGRVVVALGGESGRRGEDELILAAGGLGEAAVGAXXXXLPPARALAGCLAFFLPLRELGLVQTLLLFFFLDFLLGGAGGARAMCFMAPPNGLVPSSSGGAATPEASASDASASAASEKGVSGSAEAEAGVSGRFGVSAADGVASDMGVSADAEWNAEGGGEGGASSSAAASAMGRREEDAVHGTRHPASREWKR >ONIVA12G02260.4 pep chromosome:AWHD00000000:12:1736545:1738862:-1 gene:ONIVA12G02260 transcript:ONIVA12G02260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWIRRLLLSRLGSFCAERKPLVVNQEGLLGLIQLPVRKMNVEAEDIAIAIALLSVIGPPCLVHLGAIHVAVSESKHIVANGCVDVSIVGSPSKAGVAGAAEVKDLDLEVPSAGSDAAGGAHHPVALCAAGGEAVVGDLDLVGLEDAGEEHGPIGRVVVALGGESGRRGEDELILAAGGLGEAAVGAXXXXLPPARALAGCLAFFLPLRELGLVQTLLLFFFLDFLLGGAGGARAMCFMAPPNGLVPSSSGGAATPEASASDASASAASEKGVSGSAEAEAGVSGRFGVSAADGVASDMGVSADAEWNAEGGGEGGASSSAAASAMGRREEDAVHGTRHPASREWKR >ONIVA12G02260.5 pep chromosome:AWHD00000000:12:1736545:1739874:-1 gene:ONIVA12G02260 transcript:ONIVA12G02260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIEAMRCYTKVKWPNQKNLRNWIRRLLLSRLGSFCAERKPLVVNQEGLLGLIQLPVRKMNVEAEDIAIAIALLSVIGPPCLVHLGAIHVAVSESKHIVANGCVDVSIVGSPSKAGVAGAAEVKDLDLEVPSAGSDAAGGAHHPVALCAAGGEAVVGDLDLVGLEDAGEEHGPIGRVVVALGGESGRRGEDELILAAGGLGEAAVGAXXXXLPPARALAGCLAFFLPLRELGLVQTLLLFFFLDFLLGGAGGARAMCFMAPPNGLVPSSSGGAATPEASASDASASAASEKGVSGSAEAEAGVSGRFGVSAADGVASDMGVSADAEWNAEGGGEGGASSSAAASAMGRREEDAVHGTRHPASREWKR >ONIVA12G02250.1 pep chromosome:AWHD00000000:12:1733857:1734078:1 gene:ONIVA12G02250 transcript:ONIVA12G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLRDYEIHDGMGLELYYN >ONIVA12G02240.1 pep chromosome:AWHD00000000:12:1718800:1723372:-1 gene:ONIVA12G02240 transcript:ONIVA12G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAVARGLPRDFPPIESYVDRHGFFHNSWTVGSRSYSSIMFRPRSTPPLTLAEVHESTREDVREAISEGEAQVSEARESDCAVCFEGFDQGEKLTRMPCSHCFHATCILDWLSLSHLCPLCRFPMPTGQ >ONIVA12G02230.1 pep chromosome:AWHD00000000:12:1717396:1718588:-1 gene:ONIVA12G02230 transcript:ONIVA12G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKWRGAAAGFLGHGTGGATAAPAVTGGDRGVLLVARHRAFRPFLGGGGSKKASKKAISSSSRRRRKVGLELSFHAEDGVWRKEILMEEQCQSLDFSGMIYYDVAGRRLEQPPPPRTLLCSPLPSSIKLAANAAGGY >ONIVA12G02220.1 pep chromosome:AWHD00000000:12:1713272:1715873:-1 gene:ONIVA12G02220 transcript:ONIVA12G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEPLPSAAGDATADETPPGRAVCSSGCGRPTTVCLCPSPGAADLASWCRSTPPAARANPTLLLLDGTWKQAKEMHAASLPFLSSFAVPVSLPVDCGAKEMHAASLPFLSSFAVPVSLPVDCGVDGDSMFEGELVVKKEPHKGCVSTMEAVARALRLLEPEGRGEEIEETMVGVLRAMVAFQAEHLQHRPMKPRVKMRKKKDIKREEEMKRNTLDWMIKRRRGQEMLEELLEQQQNTLKACVSLYHK >ONIVA12G02210.1 pep chromosome:AWHD00000000:12:1712882:1716453:1 gene:ONIVA12G02210 transcript:ONIVA12G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIATNKAKQEFSTYASTGSETGTAKEDRNGRLAACISLACFHVPSSSSSVGLARAAGGVDRHQEARSAAPGEGQRQTVVGRPQPELQTARPGGVSSAVASPAAEGSGSKSITILASRNGLPLANPRYRAHGSNESRTSSLASLPPQRPPVKQRMQLSSFASLAQASCSSAMAVLDAGTAPNPLFLYAGTSLKLPFLYAIIVGCMVVVVVAAAMAP >ONIVA12G02200.1 pep chromosome:AWHD00000000:12:1682262:1684893:1 gene:ONIVA12G02200 transcript:ONIVA12G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPDPVYNGIYRSVVNIAIEKTPERTIRRNGIIVECDPTYAYIVADARAFEGNIAADKITVDFPGEQCIKPLPEHCNITNGLVGIYCCPGDEKFNTDLFKRVEMCNQPLQMSEAVTLNGHEFSHNCAVSVSAEFGTPVINKNGELVGMNCSLSYHLTARNISALVGTIRDIQNTLRNRV >ONIVA12G02200.2 pep chromosome:AWHD00000000:12:1682195:1684893:1 gene:ONIVA12G02200 transcript:ONIVA12G02200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSLSYHLTARNISALVGTIRDIQNTLRNRV >ONIVA12G02190.1 pep chromosome:AWHD00000000:12:1671969:1679949:-1 gene:ONIVA12G02190 transcript:ONIVA12G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRLRSVPGGPSTRRRAPPPSPPPSPRSRSSSSLGGRKEPTLPGYLPGNKEITPKTVNDLKLINAGRILENNRTLVESRVRVEVPGGVITMHVVVHPPQSDKNSGDMQIHIWSLDWTMLYFTNLCTCVVEGNKRRSGISFSTATVKEDGLTNDIPITLHRSKADCPVPEKNIKERDSRSEKQPIAREDEDYNFVGDEVWMSLHITIRSNPVLLNLSTSPSHHLTLLKIGHNRLCYLDLYLIKVNVSSDVTGNDTVQVRMVTAGYQEELSGYQFGEQQMAYPEQYMQQSRPIYIPGTKA >ONIVA12G02190.2 pep chromosome:AWHD00000000:12:1671868:1679949:-1 gene:ONIVA12G02190 transcript:ONIVA12G02190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRLRSVPGGPSTRRRAPPPSPPPSPRSRSSSSLGGRKEPTLPGYLPGNKEITPKTVNDLKLINAGRILENNRTLVESRVRVEVPGGVITMHVVVHPPQSDKNSGDMQIHIWSLDWTMLYFTNLCTCVVEGNKRRSGISFSTATVKEDGLTNDIPITLHRSKADCPVPEKNIKERDSRSEKQPIAREDEDYNFVGDEVWMSLHITIRSNPVLLNLSTSPSHHLTLLKIGHNRLERLREEDALDGERS >ONIVA12G02190.3 pep chromosome:AWHD00000000:12:1672580:1679949:-1 gene:ONIVA12G02190 transcript:ONIVA12G02190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRLRSVPGGPSTRRRAPPPSPPPSPRSRSSSSLGGRKEPTLPGYLPGNKEITPKTVNDLKLINAGRILENNRTLVESRVRVEVPGGVITMHVVVHPPQSDKNSGDMQIHIWSLDWTMLYFTNLCTCVVEGNKRRSGISFSTATVKEDGLTNDIPITLHRSKADCPVPEVAGPGQTRFYSETSSSKAYAVATTPSK >ONIVA12G02190.4 pep chromosome:AWHD00000000:12:1672580:1679949:-1 gene:ONIVA12G02190 transcript:ONIVA12G02190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRLRSVPGGPSTRRRAPPPSPPPSPRSRSSSSLGGRKEITPKTVNDLKLINAGRILENNRTLVESRVRVEVPGGVITMHVVVHPPQSDKNSGDMQIHIWSLDWTMLYFTNLCTCVVEGNKRRSGISFSTATVKEDGLTNDIPITLHRSKADCPVPEVAGPGQTRFYSETSSSKAYAVATTPSK >ONIVA12G02190.5 pep chromosome:AWHD00000000:12:1671969:1672531:-1 gene:ONIVA12G02190 transcript:ONIVA12G02190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKITILLEMKLTILLTNKSALSLKVWMSLHITIRSNPVLLNLSTSPSHHLTLLKIGHNRLCYLDLYLIKVNVSSDVTGNDTVQVRMVTAGYQEELSGYQFGEQQMAYPEQYMQQSRPIYIPGTKA >ONIVA12G02180.1 pep chromosome:AWHD00000000:12:1670782:1671850:-1 gene:ONIVA12G02180 transcript:ONIVA12G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMISRRWTWVGLRSGPNITTGSHAKGCIPVWREMQDCRKTRKQNKDQKLTNDLHKINKILARKMNHETDDGGPYDDDLPSGKKLLATFTSYSITK >ONIVA12G02170.1 pep chromosome:AWHD00000000:12:1668033:1669781:1 gene:ONIVA12G02170 transcript:ONIVA12G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGESKPKPPKPSSTSPLRAMSSAAGDHLILGPPVAGLPVGNASSSAEAGSTSTAGHVAGAEVVEHLQSGAFGNPCLNFFALVDRDTPPQHLRDLLAAAWEHDALTALKLVFNLRGVRDNGKGDREGFYTAALWMHQYHPRTLAGNLSAFAEFGYIKDFPELLYRIIHGADARKVAKAKSKAWGGDLARLSGRKRARDDDDDPTPAASTDAVQVQPQPQPDHLLADAVNLETEDVVGEAPVKGSPSKKVLKAARLAKLAMKIYHEDDNYRLLFNSITSFFVDNLRSDLEHHKSGKLSKIGLTAKWCPSPDSSFDQSTLLCEAIARGLFPRESDASYANMKEEHYIFLVRRRLRREVLVPLRKDLELPEIYMSKNQWSDLPYERVASEAMRIYEHLFKKHDEGRFTAFLKDHKDSREAAKHKAKKAAPQPPLLQDIITSLGLASHASNIKRREDAAQQWRTLVDHLRGKGSLCNCMAVCDVNKGGLVKSEGQKLLKICVGLGFLISELSSPPWTNSVHAFASNYFPLVLPVGSYREKLNFIRQMPCEERFNLKKVFEGIITRAVTSGVTPDNMVKTIFIFTD >ONIVA12G02160.1 pep chromosome:AWHD00000000:12:1653704:1658724:-1 gene:ONIVA12G02160 transcript:ONIVA12G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRYDLISNLKRDEKTDFVMTYSKKHRIKEDIVCNEGEIAESLVSGDLPCESNQGVFWSELSGEVASNLSKSVVSLALHDGNTMLFVCSGIAVHRNGHVIKLLTSASLLKAFNDARKDHDNLKVEVHHEDTVVIGFLREYNLDHNMAAVIVENLPDLRPVPFNNVQKFVPHSKVVALGRDISGKLMTTSGVLIGESYNGYLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSFTTEGTVFVPGDRVLDQLVNCILDHEVRFAARLEALKEVWVGESPSGEMPSSHQVHRDVLNKDRYGDLESLGYPEPPKSKDGMILAYTFEEPFGDIFGKGVWSELSEDVASSICENTVALASFNGDKRTFACTGFFIEWNECATILTSANLLRDSSDENRIAENLSIEVLLPNNLRTVGTVQHYNLHYNVALVSVKDHCVRQPVKIQPYGHNCRKLLAVGRIFESGRLMAARGQQFPTVVTHDCKFLSYSGCTTTKAGIGGPLLCFDGTFVGMNFYDEGVEGTAFLSWCEIREILKYFKTKGAVAEHSHGNPSDVLDWKIAGDDSVHPDRWPVPMPYWTLPEDLVQRKLAAKIRRQEAILC >ONIVA12G02160.2 pep chromosome:AWHD00000000:12:1653704:1658724:-1 gene:ONIVA12G02160 transcript:ONIVA12G02160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRYDLISNLKRDEKTDFVMTYSKKHRIKEDIVCNEGEIAESLVSGDLPCESNQGVFWSELSGEVASNLSKSVVSLALHDGNTMLFVCSGIAVHRNGHVIKLLTSASLLKAFNDARKDHDNLKVEVHHEDTVVIGFLREYNLDHNMAAVIVENLPDLRPVPFNNVQKFVPHSKVVALGRDISGKLMTTSGVLIGESYNGYLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSFTTEGTVFVPGDRVLDQLVNCILDHEVRFAARLEALKEVWVGESPSGEMPSSHQVHRDVLNKDRYGDLESLGYPEPPKSKDGMILAYTFEEPFGDIFGKGVWSELSEDVASSICENTVALASFNGDKRTFACTGFFIEWNECATILTSANLLRDSSDENRIAENLSVGASKYSVIPIEVLLPNNLRTVGTVQHYNLHYNVALVSVKDHCVRQPVKIQPYGHNCRKLLAVGRIFESGRLMAARGQQFPTVVTHDCKFLSYSGCTTTKAGIGGPLLCFDGTFVGMNFYDEGVEGTAFLSWCEIREILKYFKTKGAVAEHSHGNPSDVLDWKIAGDDSVHPDRWPVPMPYWTLPEDLVQRKLAAKIRRQEAILC >ONIVA12G02160.3 pep chromosome:AWHD00000000:12:1653704:1658724:-1 gene:ONIVA12G02160 transcript:ONIVA12G02160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRYDLISNLKRDEKTDFVMTYSKKHRIKEDIVCNEGEIAESLVSGDLPCESNQGVFWSELSGEVASNLSKSVVSLALHDGNTMLFVCSGIAVHRNGHVIKLLTSASLLKAFNDARKDHDNLKVEVHHEDTVVIGFLREYNLDHNMAAVIVENLPDLRPVPFNNVQKFVPHSKVVALGRDISGKLMTTSGVLIGESYNGYLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSFTTEGTVFVPGDRVLDQLVNCILDHEVRFAARLEALKEVWFSDIVVPLQFSIDVLNKDRYGDLESLGYPEPPKSKDGMILAYTFEEPFGDIFGKGVWSELSEDVASSICENTVALASFNGDKRTFACTGFFIEWNECATILTSANLLRDSSDENRIAENLSVGASKYSVIPIEVLLPNNLRTVGTVQHYNLHYNVALVSVKDHCVRQPVKIQPYGHNCRKLLAVGRIFESGRLMAARGQQFPTVVTHDCKFLSYSGCTTTKAGIGGPLLCFDGTFVGMNFYDEGVEGTAFLSWCEIREILKYFKTKGAVAEHSHGNPSDVLDWKIAGDDSVHPDRWPVPMPYWTLPEDLVQRKLAAKIRRQEAILC >ONIVA12G02160.4 pep chromosome:AWHD00000000:12:1653704:1658724:-1 gene:ONIVA12G02160 transcript:ONIVA12G02160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRYDLISNLKRDEKTDFVMTYSKKHRIKEDIVCNEGEIAESLVSGDLPCESNQGVFWSELSGEVASNLSKSVVSLALHDGNTMLFVCSGIAVHRNGHVIKLLTSASLLKAFNDARKDHDNLKVEVHHEDTVVIGFLREYNLDHNMAAVIVENLPDLRPVPFNNVQKFVPHSKVVALGRDISGKLMTTSGVLIGESYNGYLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSFTTEGTVFVPGDRVLDQLVNCILDHEVRFAARDVLNKDRYGDLESLGYPEPPKSKDGMILAYTFEEPFGDIFGKGVWSELSEDVASSICENTVALASFNGDKRTFACTGFFIEWNECATILTSANLLRDSSDENRIAENLSVGASKYSVIPIEVLLPNNLRTVGTVQHYNLHYNVALVSVKDHCVRQPVKIQPYGHNCRKLLAVGRIFESGRLMAARGQQFPTVVTHDCKFLSYSGCTTTKAGIGGPLLCFDGTFVGMNFYDEGVEGTAFLSWCEIREILKYFKTKGAVAEHSHGNPSDVLDWKIAGDDSVHPDRWPVPMPYWTLPEDLVQRKLAAKIRRQEAILC >ONIVA12G02150.1 pep chromosome:AWHD00000000:12:1650590:1650814:-1 gene:ONIVA12G02150 transcript:ONIVA12G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTRVTAPPFLASLLSPLSATPPLPHPIVHPARAAEAQTSAAAPASAQLQTNAAAGAGSSGREDSGTAGPPAD >ONIVA12G02140.1 pep chromosome:AWHD00000000:12:1649085:1649330:1 gene:ONIVA12G02140 transcript:ONIVA12G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYSCATQIEDPATQMSPPPLERAPPSKTTCGLLLRVLTSGSVGAVLSFCKCALNKVCAPFDPEEEKKNEKGSRASKARI >ONIVA12G02130.1 pep chromosome:AWHD00000000:12:1647647:1648444:-1 gene:ONIVA12G02130 transcript:ONIVA12G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYHVISGNPSGALKVRLPRDTKDYVAELLYEHQGYDLAIIKVNGVSGECPILQFGDLEGVAHRANVVQLGYILGSQFALNLDPSVSPGSVIRPANQNGMMGSQDVVYSAAARHGASGSAVMFDDKVIGVLYSMSTNSQVAYARSSTTVHMALKNWLHPNDAAITTEKMIELVVKPLNDSELDD >ONIVA12G02120.1 pep chromosome:AWHD00000000:12:1644834:1646387:-1 gene:ONIVA12G02120 transcript:ONIVA12G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNKVQQIREAKIKLFLFEGVRVDFCHVKMSMKHINTIRSHKPESSSDVQESASGQCELCILMTDYHSNSKTVEFFEGDVDHSYAVAIAPESKYSVHNMPGFIIHRSLEAASDSSESVYKGSEDYFTFACRYGDTSPNLVSRLITGPVFNLNGQVLGIVVDDIEYKFWPKKPRKEDGSQEIIHEDLFYRAGYFLKVAMRVNNLQQDLRSMVKDNDWQNGLKKIATERRV >ONIVA12G02110.1 pep chromosome:AWHD00000000:12:1631871:1632473:-1 gene:ONIVA12G02110 transcript:ONIVA12G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGWRRGTVPATISESGTGDKEVKLATAVGSSGMGGRASDVSVNGSSSPCLDKVPLRRIWQGPWPAPRGRKLAWPEAAIKAVRGGAMGHGGGQAAHVAERCVLDTGAGGQSGGCGGFGPPVARSGAGSDKAHGEQAAPTTLLLATVAELAATADGWRRGVECGSAPVVGGGGGRQRKRWLHDTTVGEAEGMEAVTVRPA >ONIVA12G02100.1 pep chromosome:AWHD00000000:12:1623868:1627928:-1 gene:ONIVA12G02100 transcript:ONIVA12G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLPPPASRLWEASIRKLKYSTILRGSVVPSGAAFDGAAAAATGGDPVTLTPSLSVSSSTSNTIYQYEDGDDDIDSVLDDVDTDDDDVDEASLGEPSHSDQLLPSGDFYQGDLRGDLPHGAGKYLWTDGSMYEGSWRGGRAAGRGKFSWSSGAIYEGDLAGGYMHGQGTYIGELGDTFAGLWANNLRHGRGTQAYVNGDVAADQGMWRPPEVGADQGRRSSRRNSMSSDIDSLVEGEDGGEETRNDRSWVRTPSCMRAPTLPKPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPASLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPGDYMLSICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKAEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYSIHRRFDLKGSSHGRTTDKPLDQIDETTTLKDLDLNFIFRDHGMKISAGKWTKIVSFWSKRELWITVFWLAFTSKTDAKIYSSSPDNETTQTALEDEEKRKAPVKLGIGMPSRVENVVKNPESESQLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFSEDVQ >ONIVA12G02100.2 pep chromosome:AWHD00000000:12:1623868:1627928:-1 gene:ONIVA12G02100 transcript:ONIVA12G02100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLPPPASRLWEASIRKLKYSTILRGSVVPSGAAFDGAAAAATGGDPVTLTPSLSVSSSTSNTIYQYEDGDDDIDSVLDDVDTDDDDVDEASLGEPSHSDQLLPSGDFYQGDLRGDLPHGAGKYLWTDGSMYEGSWRGGRAAGRGKFSWSSGAIYEGDLAGGYMHGQGTYIGELGDTFAGLWANNLRHGRGTQAYVNGDVAADQGMWRPPEVGADQGRRSSRRNSMSSDIDSLVEGEDGGEETRNDRSWVRTPSCMRAPTLPKPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPASLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPGDYMLSICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKAEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYSIHRRFDLKGSSHGRTTDKPLDQIDETTTLKDLDLNFIFRDHGMKISAGKWTKIVSFWSKRELWITVFWLAFTSKTDAKICSHYNSSSPDNETTQTALEDEEKRKAPVKLGIGMPSRVENVVKNPESESQLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFSEDVQ >ONIVA12G02100.3 pep chromosome:AWHD00000000:12:1623868:1627928:-1 gene:ONIVA12G02100 transcript:ONIVA12G02100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLPPPASRLWEASIRKLKYSTILRGSVVPSGAAFDGAAAAATGGDPVTLTPSLSVSSSTSNTIYQYEDGDDDIDSVLDDVDTDDDDVDEASLGEPSHSDQLLPSGDFYQGDLRGDLPHGAGKYLWTDGSMYEGSWRGGRAAGRGKFSWSSGAIYEGDLAGGYMHGQGTYIGELGDTFAGLWANNLRHGRGTQAYVNGDVAADQGMWRPPEVGADQGRRSSRRNSMSSDIDSLVEGEDGGEETRNDRSWVRTPSCMRAPTLPKPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPASLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPGDYMLSICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKAEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYSIHRRFDLKGSSHGRTTDKPLDQIDETTTLKDLDLNFIFRLEGSWYEDFCRQVDKDYSSSPDNETTQTALEDEEKRKAPVKLGIGMPSRVENVVKNPESESQLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFSEDVQ >ONIVA12G02090.1 pep chromosome:AWHD00000000:12:1619760:1622831:1 gene:ONIVA12G02090 transcript:ONIVA12G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAYADAAPPRRAPAPATSTVAKEAEFLWELRKYVLLLATLAATVTYTAGLDPPGGFWTDNVGELLAGDPVLQKTYPRRYKAFFYCNATAFVASLVIVNLLLVRFLCRRRWWLRALQAAMTLDMFGLMGAYAAGSSREAAMSAYILVLVILVCSYVSAHVLLYGLTTAQVSAPDAPERVERARKYLLIFATLAATVAYQAGLSTPGGFWLGSLENQHLAGDPMLRGNHPYRFMVFFYFNTTAFVASLVTIMLLMSRTVSRHGFRSSALWVCVGAAMVGLMGAFAAGSCRSFKTSIYVIALVGAVLLYIAIQFMVFISEPVKDWLHRAGETLQKCLKLDELEQRNQQQITLSNQGNGDAYLLLKKSRMYLLLLGILAASVAMILNLFGLIGAYAAGSCRQASKSVYVSVLVLPVFLYVGIHVLVFMLEVSPTWATWRVEVREKLKQCMPEWLKNLLELEKHVEEEEEEWKLEKRRKLLLLVAILAASLTYEAGMNPPGGFWQEKKSGDVGDPVLNNDNYRHRYLAFFFCNTTAFVASLAIIMLLVNRKLSARGIRSYALRVCVILVLVGLMGAFAAGSCRKVKTSIYVFVLVLAVLLCIAFQVALVVSGSLRRRRSYTAADGGDGEPRDLWDEKLPKYLLLLAALAAAVTYQAAMSPPGGLWDDGQTEHIVGDPVLLTNYARRYKVFFYCNATSFMASLVIMVLLLIKRVSNTQPALLALHAAMILDLFGLMGVYAAGSCRRVTTSAYILALLVGVSAYIVVLVVVSVGVARWMKKVMDKVGEKLTHCFSFEDL >ONIVA12G02080.1 pep chromosome:AWHD00000000:12:1617714:1618069:1 gene:ONIVA12G02080 transcript:ONIVA12G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELVGYFAMPCVTSMIGVAKCDDKDARYEDCGKMIHVFDKDGDGRIRLDEFRAV >ONIVA12G02070.1 pep chromosome:AWHD00000000:12:1616786:1617319:-1 gene:ONIVA12G02070 transcript:ONIVA12G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRRVDSNRPPLRDANRIRVETMMRGRRYTLLHSPPEAQRYTRYHQLPHREMRQRRWLRDYLASVSEEMDRRRLQARAGIDDHRLESLHRPRLGETREEACAVCLQDFGEKDEELRMMPCSHSFHQRCIFGWLAIRDNCPVCRSAMSSYNDVLEELHAELEQWIQVLQLRFNNAN >ONIVA12G02060.1 pep chromosome:AWHD00000000:12:1614973:1615621:1 gene:ONIVA12G02060 transcript:ONIVA12G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEPIQDAGRMEAMRAWHLRQLLSRLEILQAHSAPAFPGVACHRLLQLGHGLARRGDSAEPTVPVRILLHYSIHGNGSGSATALTLKNMIANLINKLLQEVGVQFYAPPTNVRPQALANDLMSFFLQWWDQTTSVKEAPKKALCSLTLLVAWEIWNEKNRRTFQHKELPPSGLLTKIKDEAKIWALAGAHHLRNWLVP >ONIVA12G02050.1 pep chromosome:AWHD00000000:12:1609752:1610141:1 gene:ONIVA12G02050 transcript:ONIVA12G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTTTTDSECDFIKKIPSWMIRNSPMHCMEVGHFGKDAAAAAAAATADAMEHALPCSDLHHLRVGKTAEQSCSVCLKNFEEGDYIWSMPCSHTFHQLCILGDRSCRVCHPAAPPSTEEKPEAPRTVN >ONIVA12G02040.1 pep chromosome:AWHD00000000:12:1609719:1613686:-1 gene:ONIVA12G02040 transcript:ONIVA12G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGELLLLSMAAFATAHPGERASIQAALGSLPRAPSSTLYYRFGSHGLQVLGENREPSASGGGGQAQATQQQQGTSSGVADNRREHAFDFGVGSSSSSSGRRHAMGAAPSVATGISGSKRVAREEGGHSCGFEFEGSDQGRHAARGQACGLGGLDQGPSGGSAHDEDARGYGFGDARCFGQRKDAAPTHQLRIGAGRDQGRSVRLRRDAREDGRGRRLEEGNRAGDGYVAASVQAHGEPQLPSSVDGSDGMIAFEVGKELTLHGSVVSSPWNELWLGKPLIFHDLDLVRAMKDQGGMPNERIDLSGKITSILLSHPGPVSYFRIDSSVINNGAQQKIEEWCDVLRKKNVETVVMENCQWPSHPIEFPLQSLNCSSLRTLHLCFFNIPDMYLDHVSSLAVIDLACCRISDENLFALVCQCVSLRELDIGMFSEGKERIRSESLKFLQIWRSSVSHITIQWAPKLEKVIIGAAQGMKSFSSRTSSSTWISILGAPMLREVWFNLSSQTISIDNVYLDVGHVPITSLRKLELSIAFKERKGRHALLNFFRSCTELKELVLWREDKVYFEEECDVHSDDWSSALKDIACLKSHLQVLKLFDYGGGETEIAIASAVLEHGASIENLTIISTTSNADDILSQAKQKLEKVESPRGLRFLLRGWGSSRVTDPAGAVAQDAELMESVGAGHRPDVVALLEILEAHRAALLCRLAHSQVVKIAAGECMFHGVRGGGGGGGGGILAKVADLHTMHWRIPYHPTRDLLDEVALGICRGGCGIHACANASRSRG >ONIVA12G02030.1 pep chromosome:AWHD00000000:12:1608268:1608617:-1 gene:ONIVA12G02030 transcript:ONIVA12G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQETRLRKLCHHGGHDIQDAAIAHLKDVVFTQRSSPRKGEGNPRQRPKEITTPEDVVVAGPVNHRTRLSPGTLQP >ONIVA12G02020.1 pep chromosome:AWHD00000000:12:1607766:1608170:1 gene:ONIVA12G02020 transcript:ONIVA12G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWVIQASVVARRGGWAAGMPPRWPGVAQRPNVGGAGSERQCHRRLDGSAKGAGGGGSSSSLPVGTLGLHGAPPLLCGEFLCWIEAVAPQWGKLRLPKQCHLVPGSPSAKSSEAAGGWWNGGVLGQLSGVVVR >ONIVA12G02010.1 pep chromosome:AWHD00000000:12:1605795:1606169:1 gene:ONIVA12G02010 transcript:ONIVA12G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAGTGSSTKKIPSWMVTELPMLSTEANRLPQIPSWMIRKPLMLCMEAGRSGKAAAAATADAMEQSCSVCLKNFEKDDCIWSMPCSHTFHQLCILGDRSCRVCHPAAPPSTDEKPEAPRTMH >ONIVA12G02000.1 pep chromosome:AWHD00000000:12:1604652:1605092:1 gene:ONIVA12G02000 transcript:ONIVA12G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVFLPLFQPLNFLLCDKHNTHSTCPSEHSETKARTELTHGDVAVVMAALGLSFNAEGNEVEDEALVLLEEKQASWEELEEAFSVFDGDGDGFISPLELQNVMRRLGLQHDAGHEECERMLKVFDRDGDGMINFDEFKVMMQGVV >ONIVA12G01990.1 pep chromosome:AWHD00000000:12:1602511:1603890:-1 gene:ONIVA12G01990 transcript:ONIVA12G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKRKTRDRHGARGKASYDKPKPSITDPELEETEDADQFESGHLGIIGGDSDKDQADCDQPMQEATEDLNQPGIVGDELDEGRGRSVYLVACHWDWSRYSKPYSVYNVGVTATATATSSPPQAKRKRLRRITRLPTAAGGKSFTSVRSIHRAWIVGVGGDPGETVIFDTRTEKVVHGPALNSAKWCPALMAVGDKVYAMSKSPSWIADPDFPPWFELLDLSQSKVVAATAGRGYHLEGCSWIKLPHPPCFPWKLRPVDYTLLPVVIVMSYVVVFAYILVSFNQPWGTYAFDTNSIKWHKVDNKKLPFTGCAAPHGSVFLGLSKDNGPINAYRINVTTSDKEYDPCLSIVVLPVKYMEHEVDAGSCFFSLEDGLFCSLSFSLDSNSVILSKNLDFFPTKAHVDLRTYQTENTSPLEAPEETLLAVKPEVTVCNQWEHAFKISCSSHGFSPFAFAILSI >ONIVA12G01980.1 pep chromosome:AWHD00000000:12:1598818:1600419:1 gene:ONIVA12G01980 transcript:ONIVA12G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPDDDDDVGESTDEFANPFDDTMEFAKPVYLVAVRDDDQAAAYSVLKIDAAAVAGNDEPRRVRAVAVLTTGNEPGMSFVTARSRHGSWIVGVGGGLRAGTIIFDPGTSRTFQGPRLGYPKHKPVLISHGSEVYAISGTPRVKPSMDCEPWFESLSFKDGVPYEFRNPPEISVSSYAVIGSYILISPQPELVAVHLGGSLFAACPISKTASTSTSASVFHMSIKVSSSIPSLSIQKFKVMASVDKITFPLFCPMGMGSFCCIRLGPSRLRHRRKTNYRRWRSPKTSCLKEVHSQESKAKDQLLALQVKEQMHSCESKEIHGLLGSGIPVVAALSM >ONIVA12G01970.1 pep chromosome:AWHD00000000:12:1587012:1589967:1 gene:ONIVA12G01970 transcript:ONIVA12G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIEAPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALEFLGLHDHEDSPISTQSDQQEVAVNLIITDYCMPGMTGYDLLKKIKESSYLRDIPVVIMSSDNIPSRINR >ONIVA12G01970.2 pep chromosome:AWHD00000000:12:1588095:1589967:1 gene:ONIVA12G01970 transcript:ONIVA12G01970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIEAPFHVLAVDDSLPDRKLIERLLKTSSFQVTTVDSGSKALEFLGLHDHEDSPISTQSDQQEVAVNLIITDYCMPGMTGYDLLKKIKESSYLRDIPVVIMSSDNIPSRINR >ONIVA12G01960.1 pep chromosome:AWHD00000000:12:1582095:1584926:-1 gene:ONIVA12G01960 transcript:ONIVA12G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVLLLAAAAAVVVVAMVLRWLLLLGGPAAGRLGKRALMPPGSTGLPLIGETLRLISAYKTPNPEPFIDERVARHGGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVHSSYPSSIATLLGARSLLLTRGAAHKRLHSLTLTRLGRPASPPLLAHIDRLVLATMRQWEPAATVRLMDEAKKITFNLTVKQLVSIEPGPWTESLRREYVKLIDGFFSIPFPLANLLPFTTYGQALKARKKVADALREVIKKRMEEKAENGGSIGDDEGKKEKKDMVEELLQAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPAALAELKEEHANIRDMKGKKQPLEWSDYKSMPFTQCVINETLRVGNIISGVFRRANTDIHYKDYTIPKGCKIFASFRAVHLNNEHYENARTFNPWRWQINNKLQNAVGANIFTPFGGGPRLCPGYELARVVVSIFLHHLVTRFSWEETEEDRLVFFPTTRTLKGYPINLRLLSESIC >ONIVA12G01950.1 pep chromosome:AWHD00000000:12:1578548:1578949:1 gene:ONIVA12G01950 transcript:ONIVA12G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPFLSFSPLSLSLTHTQPSLWTGQAGRPAGGEEGVGSRRHGGSARGGDDDDTGEGGDVAACASSGTERPGRQEKEEGQREKAAGKGGENQTSFISSPTTTSSTKNVVPLSPSSLPFGGCDGVRGVRDGQR >ONIVA12G01940.1 pep chromosome:AWHD00000000:12:1564405:1570464:1 gene:ONIVA12G01940 transcript:ONIVA12G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRPEYVPNRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGEEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFSRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >ONIVA12G01940.2 pep chromosome:AWHD00000000:12:1564405:1571436:1 gene:ONIVA12G01940 transcript:ONIVA12G01940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRPEYVPNRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGEEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFSRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >ONIVA12G01940.3 pep chromosome:AWHD00000000:12:1570683:1577902:1 gene:ONIVA12G01940 transcript:ONIVA12G01940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQQRAVFCLTLMAVTYGHCRQPADAELLHRLQRADQPPPIRGARVIENTEPNVLFAYTPHDGLLFNDDDGVADYFAADDDEAYSNGGFGAVPALSEAIVSLPEMAVGCGCGGGGEAREEECGVCLEGFEEGEKLRKMPCEHYFHESCVFKWLQGPSYVPHGVESAYIHINRDIEEDDDTYSDDGFCAVPASSDAIAALPVPETTVSETETREEEACAVCLEGFKEGDRVKKMPCSHDFHANCISEWLRVSRLCPHCRFALPAERDSEQKNPEEAEASDDVPDTSHMSDEQFQQFIDQYWAEQGFNIWSWIRASRTSSSSTPGPTRRTAASWQAVTFDGDGVARFSGNSDRSGGLDDQATSGFSIVDLLDGILQADDDGNGGGATPASSMAIVNLPEITVGDEKGEAKDCPVCLQGFEEGDKLRKMPCADSHCFHEQCIFSWLLINRHCPLCRFPLPAETEEDEEVVQAENDDDDDDEETILCLHRLFADAADEPNAAAAVPEGYSNGRFGSVPACSEAIAALEETSPGEAKEKDCSVCLEAFEEESDKLMRKMPCCHAFHESCIFEWLQVSRLCPLCRFALPTQAEAEAGLWPLLTPGSGSGT >ONIVA12G01930.1 pep chromosome:AWHD00000000:12:1561461:1563905:1 gene:ONIVA12G01930 transcript:ONIVA12G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTAKLLALAVFAAAAILSLDSRSDVRQLEIRDGDVELIPLLDGAAGPESIVFGDAGDGPYTSVSDGRILKWLPPPERRWVEHSCSVPELLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKRTGSPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLRFPNGLAMSIDGSYLLLAETTTGKILRYWIKTPKASTIEEVAQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKLILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKDGNLWIGSVLSPFLGLYRI >ONIVA12G01920.1 pep chromosome:AWHD00000000:12:1559968:1560255:1 gene:ONIVA12G01920 transcript:ONIVA12G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLVVLAAAVAAAAALLVSLDPRSDDVPVLEIRERDVELITVDAGGAVGPESVAFDGDGEGPYTGVSDGRVLKWLPLERRWVEHSSAVIEPQL >ONIVA12G01910.1 pep chromosome:AWHD00000000:12:1540860:1541075:1 gene:ONIVA12G01910 transcript:ONIVA12G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVADTVAPPVGGSTSTSAADDTSQPVPHLTPPHAAAMPDLQGEGGEGDAPPDPPPPCLPSAGSTRRGR >ONIVA12G01900.1 pep chromosome:AWHD00000000:12:1535054:1535302:-1 gene:ONIVA12G01900 transcript:ONIVA12G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGFTGVPLSYAAIRKGNDMVRRCGLRRCENRECGGCLLLCWSSRPLYSISAWRPAASGGAGSGSERSEYIHVGAEADDR >ONIVA12G01890.1 pep chromosome:AWHD00000000:12:1528840:1533395:-1 gene:ONIVA12G01890 transcript:ONIVA12G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGLWVQDQGMVDHLAQLVPLLHECASHVTEGSFEKADFSFKKIRMLTIADGPLQHLSKIIVDSLDHRLLSSIQGLYGALINPSDYFEKSTLRAARHNFFKLNPYLSTGFVTINRAIMEAMEDEKNFLEIKVKSNLCSILKLSHYNFKTLQGAIYVERSLMFNMVELQVVHIVDLSCSAAHPWQWLKLLDDFHGRPGGAPELYLTVLHDDNDFLAEMQSLLSKKAESLEVSFRFISVIGRLETLDFSNLRSTFQIKFGVAVAISCALQMHRLLLVDDNLSSTSIAQLQKMANFTQPKQMASSVCSPASTLNYLQTPSPRTPKLLARLLSAIRALKPNIMVNMEQDADHNALLFRDRFNEVLNYYAALFDCFHAVAAANPGRTDERLRVERMILREEIKNILVCEGVHRHERHERLDQWAMHMEESGFHNVQLSFSAIREGKENLLSFGLKNCQNKEDRGCFLLCWGSTNLYSISLRLCCTDRGMFQDDMLSSATSSPASSVYSPSPSPSNGSWVQELSHDQQSVRLIGLLYQCAAEVSAGSFDRANLCLEHITQLASLDAPHALQRLAAVFADALARKLLNLIPGLSRALLSSANSADAHLVPVARRHMFDVLPFLKLAYLTTNHAILEAMEGERFVHVVDFSGPAANPVQWIALFHAFRGRREGPPHLRITAVHDSKEFLANMAAVLSKEAEAFDIAFQFNAVEAKLDEMDFDALRHDLGVRSGEALAVSVVLQLHRLLAVDDGRRHAATGCLTPVQIIARSSPRSFGELLERELNTRLQLSPDASVVSSLSSHSPAAATAAHPTTSTPKLGSFLSAVRSLSPKIMVMTEQEANHNGGAFQERFDEALNYYASLFDCLQRSAAAAAERARVERVLLGEEIRGVVACEGAERVERHERARQWAARMEAAGMERVGLSYSGAMEARKLLQSCGWAGPYEVRHDAGSHGFFFCWHKRPLYAVTAWRPAASRRGHTRS >ONIVA12G01880.1 pep chromosome:AWHD00000000:12:1526610:1527203:1 gene:ONIVA12G01880 transcript:ONIVA12G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLAHLFGSSSSSSSSKKENKVSSKKRRSGAKSCSFGSTTSSSSSSLAASSSDDSAATTPRSVLPTSAAASSSGTKKPAAAAVTREDLEVALRRIVSSKEELAAMLAEADYAGELVLEEIAAAAADEGELKETFAVFDADGDGRISAEELRAVLASLGDELCSVDDCRRMIGGVDTDGDGFVCFDEFARMMMCGRA >ONIVA12G01870.1 pep chromosome:AWHD00000000:12:1524336:1525457:-1 gene:ONIVA12G01870 transcript:ONIVA12G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J6F4] MAPPSPNHLHRPRLSLERAPSSYPPPPPPASRSPPRPPPTGTAACIAAPSDATIKSSLITRHPVPVAPPRLHAANPCRPSRRAACRPTSPLRRHRFRRSCPLARATDIFLVTFPKSGTTWIKALLYSALHRRADELLAHSPHQLISFLESQVFVKDRIPDLSSLPEPWLLMTHIPSQSLPDSVAASGCKVVYLFRDPKDCFVSLWHFWNRFMPWNIDDAHQQFCNGVSLFGLYWEHVLSYWNWHVERPSEVLFLTYEELAADTLGHLRRLTEFVGRPFTTEEQDARVDRKIVEICAMESLSGLEVNRSGMTNFTKKDVPNNISFRRGVVGDWRNHLTPEMARRIDEITEVKFKGSGLLLHPPFLQVKRELNEL >ONIVA12G01860.1 pep chromosome:AWHD00000000:12:1520808:1521522:1 gene:ONIVA12G01860 transcript:ONIVA12G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELHCKYADLTLWNQNGIAANVCLDHYKLGRNGTGTSLIYAFLVNEAEDVVVDEQLRLEERDELVEGLCATSLIVSATVESGVQKHLEPMSHVLPSEEASALIQ >ONIVA12G01850.1 pep chromosome:AWHD00000000:12:1511299:1521483:-1 gene:ONIVA12G01850 transcript:ONIVA12G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWLKVLLNSALHCGTHDQAGGAQSFHQLVPFLESQLEYDWIKEKIHCSLTGVLLDSFFMAPSFRLSSAPESADEATAHKEIYDQLRRVAETFPSAPSLIGLPCSRHPDGWYTFTNGVVSSMVIKEHLTARATDIFLTTFPKSGTTWLKVLLYSTLHRGTDELVAHSPHQLVPFLESQVFVNDRIPDLSSLSSPRLFMTHIPSQSLPNSVATSGCKVVYLCRDPKDCFVSLWHFWNRFMPWDIDEAHRQFCDGVSQFGPFWEHILGYWRWHVEKPNQVLFLTYEELAADTLGQLRRLAEFVGCPFTTEEQKHGVDRNIVEACALENMSGLEVNRSGTITIVDSTVPNNTFFRRGVVGDWRNHLTPEMARRIDEITKSKFKGTTLFMASSSTAEAADGTTSHKEIHDQLRQVVETFPAAVSGIGQPYCRHPDGWYMSRRGVVSAMAIKRHLMARTTDVFITTFPKSGTTWLKALIVPFLESQLFVNDRIPDLSSLPEPRLLTTHIPAQSLPDSIAASGSKVVYLCRDPKDSEARLCSLYTDERYVTTGGRTTYGPTQMGLSYIPVQLLTTHIPAQSLPDSIAASGSKVVYLCRDPKDCFVSLWHFWNRFVSWNIDVAVRQFCDGISHFGPFWEHVLGYWRWHVEMPSQVFFLTYEELAADTLGLLRRLAEFVGHPFTVEEQEAGVDRKIVEICAMESLSRLEVNLSGTTDFIEKDVPNNIFFRCGVVGDWRNYLTPEMAMKIDEIIEIKFEGTGLLFHPQLLREKGE >ONIVA12G01840.1 pep chromosome:AWHD00000000:12:1506423:1510848:1 gene:ONIVA12G01840 transcript:ONIVA12G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2A phosphatase associated protein of 46 kD [Source:Projected from Arabidopsis thaliana (AT5G53000) TAIR;Acc:AT5G53000] MVEVEEVSNKMQAQMRLHPAAAAEEDDADLPLPALFDKASHLHSLASSSSLDQEGIRKGVDLLRRCDEMVSKLGLFSSNETKDDVSTANLKYLLVPYYLGEMTERVAQEDRIPVLKASQDHLKEFISICEALELISEDELEISRQKQPDTMANRRAQKVARFKRQKAAETKLVEIKERKERRRRSLRAAALSAPIEAGEEDAFEDDGEEEREAWLATISLALCKAFDLLDMLKKEEEMLLAVKERQAKDGNAFAREMLDERTKRAEAWHHNAANRAPYSKPADPITCATFAQDVIEGRASVSQAHEHKHQPLIFGPASLVGGGLTSERERMAAQVFQPSYRLPTMSIEEAGLREMKMMEKWQERTAKMIQESNSAWHKDGSRSAQEDEDAEEEKARAWDDWKDDNPRGAGNKKLTPCG >ONIVA12G01830.1 pep chromosome:AWHD00000000:12:1499809:1505421:1 gene:ONIVA12G01830 transcript:ONIVA12G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEPPRTPSASAFTSSASRVFIGQFGLGVNTQPPNCQQPAQSKDTGSHSRDSAKQNPAAQPDQKPTLLRDFQFFVNSEKNRVSASGHNCHPVPLWEREFCIYVGGISWHRFCDNKRYVCMYKNIEQWDDSEAFDKFKNAKARFWANYHGQPSDISLPDPDMYIDKIDHNSKIDPELIADLNMVRLPFERDDELLPADGLGSTDTDNKCQQKQNQSGNWDIYVEKPTEVNKWEQDSRSNMDWGTKHESWNEWSKNCSGWGSALADSSALADSSWGNWNNSNNHHSSSNRDSFNGVNRNRYQDPNSISGRKRNSGGHIQQRNSRQRNQTEGYQGSTPRW >ONIVA12G01820.1 pep chromosome:AWHD00000000:12:1494163:1496988:-1 gene:ONIVA12G01820 transcript:ONIVA12G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLLLAAAAAAVVVAMALRWLLLLGGPAAGRLGKRARMPPGSTGLPLIGETLRLISAYKTPNPEPFIDERVARHGGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVHSSYPSSIATLLGARSLLLTRGAAHKRLHSLTLTRLGRPASPPLLAHIDRLVLATMRQWEPAATVRLMDEAKKITFNLTVKQLVSIEPGPWTESLRREYVKLIDGFFSIPFPLANLLPFTTYGQALKVINETLRVGNIISGVFRRANTDIHYKDYTIPKGCKIFASFRAVHLNNEHYENARTFNPWRWQINNKLQNAVGANIFTPFGGGPRLCPGYELARVVVSIFLHHLVTRFSWEETEEDRLVFFPTTRTLKGYPINLRLLSESIC >ONIVA12G01810.1 pep chromosome:AWHD00000000:12:1479994:1489984:1 gene:ONIVA12G01810 transcript:ONIVA12G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQSIVMSEQQRAEFCLTLMAVMYGHTRQPADAELLRRPQRRRAAAAADPPPHIRNASVVENTEPDVLFAYTPHDGLSPEFDDDSGGVADYFAADDDEAYSNGGFGAVPALSEAIVSMPELSVGEAREKQCGVCLEGFEEGDKLRKMPCEHYFHESCVFKWLQVSRLCPYCRFAMPAAEEEEHNNDDEEEEDEAMMCIRFLFPDKEALFSRFVMCNNETRRKCSCSYTGGPSYVPHGVESAYIHINRDIEEDDDAYSEDGFCAVPASSDAIAALPETTVSETETREEEACAVCLEGFKEGDKVKKMPCSHDFHSKPWSRDASDDVPDTSHMSDEQFQQLIDQYWAEQGFNIWSWIRASRTSSSSTPGPTRRTAASWLAVTFDGDGVARFSGNSDRSGGLDDQATGGFSIVDLLDGILQPDDDGNGGGATPASSMAIVSLPEITVGDEKGEAKDCPVCLQGFEEGDKLRRMPCADSHCFHEQCIFSWLVINRHCPLCRFLLPAETEEEEEVAQAENDDDDDDGEETILCLHRLRRSMATTGRLLLPHDMYRRLQAPTAAAAASLFILAPHLHFQRPNAAAAAPEGYSNGRFGSVPACSEAIAALEETSAGEAKEKDCSVCLEAFEEESDKPMRKMPCCHAFHENCIFEWLQVSRLCPLCRFALPTQAEAEAGLWPLPTPGSGSGT >ONIVA12G01800.1 pep chromosome:AWHD00000000:12:1473691:1479171:1 gene:ONIVA12G01800 transcript:ONIVA12G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLRLNIAHLTLRKALPGLLGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGDEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFNRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >ONIVA12G01800.2 pep chromosome:AWHD00000000:12:1473691:1479171:1 gene:ONIVA12G01800 transcript:ONIVA12G01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGDEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFNRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >ONIVA12G01790.1 pep chromosome:AWHD00000000:12:1466094:1472202:1 gene:ONIVA12G01790 transcript:ONIVA12G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWWNIMSRSKCDKAINHISTLHKQIRSRSRVAISLIAVTISLIAVIHIGSGLLVPMKARLVVLAAAVAAAALLVSLDPRSDDVPVLEIWERDVELITVDAGGAVGPESVAFDGDGDGPYTGVSDGRVLKWLPLERRWVEHSSAVIEPHLLAYLATMSTTAKLLALAVFAAAAILSLDSRSDVRQLEIRDGDVELIPLLDGAAGPESIVFGDAGEGPYTSVSDGRVLKWLPPPERRWVEHSCSVPELLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKWTESPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLCFPNGLAMSNDGSYLLLAETTTGKILRYWIKTPKASTIEEVVQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKVILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKNGNLWIGSVLSPFLGLYRI >ONIVA12G01790.2 pep chromosome:AWHD00000000:12:1466094:1472202:1 gene:ONIVA12G01790 transcript:ONIVA12G01790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWWNIMSRSKCDKAINHISTLHKQIRSRSRVAISLIAVTISLIAVIHIGSGLLVPMKARLVVLAAAVAAAALLVSLDPRSDDVPVLEIWERDVELITVDAGGAVGPESVAFDGDGDGPYTGVSDGRVLKWLPLERRWVEHSSAVIEPHLLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKWTESPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLCFPNGLAMSNDGSYLLLAETTTGKILRYWIKTPKASTIEEVVQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKVILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKNGNLWIGSVLSPFLGLYRI >ONIVA12G01790.3 pep chromosome:AWHD00000000:12:1466094:1471815:1 gene:ONIVA12G01790 transcript:ONIVA12G01790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIWWNIMSRSKCDKAINHISTLHKQIRSRSRVAISLIAVTISLIAVIHIGSGLLVPMKARLVVLAAAVAAAALLVSLDPRSDDVPVLEIWERDVELITVDAGGAVGPESVAFDGDGDGPYTGVSDGRVLKWLPLERRWVEHSSAVIEPHLLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKWTESPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLCFPNGLAMSNDGSYLLLAETTTGKILRYWIKTPKASTIEEVVQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKVILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKNGNLWIGSVLSPFLGLYRI >ONIVA12G01780.1 pep chromosome:AWHD00000000:12:1435829:1436564:-1 gene:ONIVA12G01780 transcript:ONIVA12G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAFPPTTFFQGSWGSEIESNHFFIAGEGGAVEAIHMLQHIRRPSICWEPQRRLWWERTPHIRSSTDIGRHYW >ONIVA12G01770.1 pep chromosome:AWHD00000000:12:1428720:1433633:-1 gene:ONIVA12G01770 transcript:ONIVA12G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAGAQERWEGKRWEGGVESIWNEEVAGCDSRKGSTRERPPRRLDLEASSTTTGACLSSAHGLHRLPFHRHRSAPRWRAIRRNQRPSSSSAAAVPPSSAGGLQIATIAHACCPRPPPVEDAEESENPDAASMQMRIILTTMYIETFIVQSIGTNPCSWCRWLWDASLDVHG >ONIVA12G01770.2 pep chromosome:AWHD00000000:12:1428329:1429586:-1 gene:ONIVA12G01770 transcript:ONIVA12G01770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRIILTTMYIETFIVQSIGTNPCSWCRSLLTAKLNFSLDLNDIRVISEDIGSLRINETNPTVIHLLRE >ONIVA12G01770.3 pep chromosome:AWHD00000000:12:1428720:1429586:-1 gene:ONIVA12G01770 transcript:ONIVA12G01770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRIILTTMYIETFIVQSIGTNPCSWCRSLLTAKLNFSLDLNDIRVISEDIGSLVHLTWTLEMWLWDASLDVHG >ONIVA12G01770.4 pep chromosome:AWHD00000000:12:1430001:1433633:-1 gene:ONIVA12G01770 transcript:ONIVA12G01770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAGAQERWEGKRWEGGVESIWNEEVAGCDSRKGSTRERPPRRLDLEASSTTTGACLSSAHGLHRLPFHRHRSAPRWRAIRRNQRPSSSSAAAVPPSSAGGLQIATIAHACCPRPPPVEDAEEV >ONIVA12G01760.1 pep chromosome:AWHD00000000:12:1416929:1427016:-1 gene:ONIVA12G01760 transcript:ONIVA12G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLWPNGGSTGSSVDVSLTSGRRRPTSAGSGSGSGGGGRAEVYFADVEEVRPAPADACREDPAVYLTWEDVCVTASGAGSRATPARILEGISGHARPGEVLAIMGPSGCGKTTLLDALAGRLGPGMSKTGLILINGRQEKLAFGTSAYVTQDNVLMSTLSVREAIYYSAHLQLPDTMLVSEKRTHAEHVIQEMRLDDIMDTRIGGRITKGISGGQRKRVSICVEMLTRPRLLFLDEPTSGLDSAASYHVMSHITSVAAGEGMTVVAAVHQPGEVFELFHSLCLLAHGRTVFLGTVSDATEFFNLNGFPCPCLRNPSEHFLGTINKDFDEEIVEDSRYRRKTAAKAIDTLTNAYQSSAYLEKTTNQIIQMKEMGGAPFRTRERASFCTKLLALTKRSFVNMHRDMGYYWMRFAVFTVACTCVGTVFHHIDNSYNSIQTRCNVIMYMTIFLTFMAIGGFPSFAEDIKVFRRERLSGHYGVTEFVISNTLSATPYLAVMIIIPGAILYYLTGLTRGGSNIAYFVVTLYMCIVLVESIMMVIAAVVPDFLMGIVVGSGVQALMMTNGGFFRLPNQLPKPVWKYPCYYISFHKYAVQGFYKNEFVGQTFASDQLIMANATITGHQVLEALQVEMWYSKWVNLAILFGMAVIYRMMFFAIVKVAEGIRPKMRRMKCSLI >ONIVA12G01760.2 pep chromosome:AWHD00000000:12:1416929:1424376:-1 gene:ONIVA12G01760 transcript:ONIVA12G01760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPNVAAPHQQAASTSSAETTEELVADHKKETDPRRRNPRRTKAYVTQDNVLMSTLSVREAIYYSAHLQLPDTMLVSEKRTHAEHVIQEMRLDDIMDTRIGGRITKGISGGQRKRVSICVEMLTRPRLLFLDEPTSGLDSAASYHVMSHITSVAAGEGMTVVAAVHQPGEVFELFHSLCLLAHGRTVFLGTVSDATEFFNLNGFPCPCLRNPSEHFLGTINKDFDEEIVEDSRYRRKTAAKAIDTLTNAYQSSAYLEKTTNQIIQMKEMGGAPFRTRERASFCTKLLALTKRSFVNMHRDMGYYWMRFAVFTVACTCVGTVFHHIDNSYNSIQTRCNVIMYMTIFLTFMAIGGFPSFAEDIKVFRRERLSGHYGVTEFVISNTLSATPYLAVMIIIPGAILYYLTGLTRGGSNIAYFVVTLYMCIVLVESIMMVIAAVVPDFLMGIVVGSGVQALMMTNGGFFRLPNQLPKPVWKYPCYYISFHKYAVQGFYKNEFVGQTFASDQLIMANATITGHQVLEALQVEMWYSKWVNLAILFGMAVIYRMMFFAIVKVAEGIRPKMRRMKCSLI >ONIVA12G01760.3 pep chromosome:AWHD00000000:12:1425447:1427016:-1 gene:ONIVA12G01760 transcript:ONIVA12G01760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLWPNGGSTGSSVDVSLTSGRRRPTSAGSGSGSGGGGRAEVYFADVEEVRPAPADACREDPAVYLTWEDVCVTASGAGSRATPARILEGISGHARPGEVLAIMGPSGCGKTTLLDALAGRLGPGMSKTGLILINGRQEKLAFGTSVNILSVI >ONIVA12G01750.1 pep chromosome:AWHD00000000:12:1411644:1416316:1 gene:ONIVA12G01750 transcript:ONIVA12G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTPDTAHNSLSNDRSATPEPPVGSGLNHAGSEMFQPTERKGRLKGSAPHDTLSNAGTAASAGMGTSVLVPVKATQFESGSAITHTKDIPRPAGDISNAIISTGTLIPQKVSQVKLVKDISQQTNTQKLVGSTSNTGDRNDPMISAKVPQLQLVRDTTLQMVTGKPASIATSASHGTAVPISRQVPWVKLVKDVTPQMLTSRLGSAAVKVDYRTAVAIPQKLSQLKLVKDITPHTATQKPATIAAKAIHQKKRKANSDPGESPLARHKPTINDVPPSPFERSSVSSIPHHFSKAMLTDNLRSLTKLHLADELPRTLTTSTKNDDMRFLTRSELMENLRFLAKNHNFSNVATNDGGCSRQPSQHPQAFAKLIQAQKLIMPCKI >ONIVA12G01740.1 pep chromosome:AWHD00000000:12:1398949:1399672:1 gene:ONIVA12G01740 transcript:ONIVA12G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPLLELCCFIFSAMAGNPLHSIHVLFCFLHSRSKFKSLIIS >ONIVA12G01730.1 pep chromosome:AWHD00000000:12:1376535:1380056:-1 gene:ONIVA12G01730 transcript:ONIVA12G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J6D2] MAMASHHLAQPPTRAALSSRPTYPLSSHHHSSRLQLPLVSGARRSRLSPAVATSPVAAPAMDAVADWGLTTLEEADPEVYDLVEREKRRQRAGVELIASENFTSLAVMEALGSPLTNKYSEGMPGSRYYGGNEVIDEVEELCRARALAAFHLDPEAWGVNVQPYSGSPANFAAYTGLLQPHERIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSETGYVDYDKLEEKAMDFRPKLIICGGSAYPRDWDYARFRAIADKCGAMLLCDMAHISGLVAAQEAANPFQYSDVVTTTTHKSLRGPRSGMIFYRKGLKPPKKGQPEGALYDYEDRINFAVFPSLQGGPHNHQIAALAVGLKQTMSPGFKSYIKQVKANAVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVEKVCDLCSITLNKNAVFGDSSAMSPGGVRIGTPAMTSRGLVEEDFVQIAEFLHQAVTICLDVQKERGKLLKYFNEGLENNKDIEDLRAEVEKFATSFEMPGFRVSDMKYKD >ONIVA12G01720.1 pep chromosome:AWHD00000000:12:1372837:1373681:1 gene:ONIVA12G01720 transcript:ONIVA12G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAASLVYYRDGWLLFLSLPGEKKRDCQMVLMNPFSGETFTMPPAPLGLRCGRPKASFTYWSSYSVYLRGPYLVEFEGRVLSVCAPKPFEPDGGGKFFVGELTVGENRAALVELDDSELSNKSWFLGPVQSFRARIKGHRVYNFAYKPQWDGEDIEKNHHYHVHYHDLLKKKFRYLTVDEFSSGHSWVDLGGVLVTRYPKTQA >ONIVA12G01700.1 pep chromosome:AWHD00000000:12:1366311:1366613:1 gene:ONIVA12G01700 transcript:ONIVA12G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVHHRRQGIEIRPEGSSLAVDLSSVNTDREADWALDHCHRREVIPGEAAACGGDRASGDGEAKGDARRRGLRRGGGVGGVGGEVKGVGGATVKIGGGA >ONIVA12G01690.1 pep chromosome:AWHD00000000:12:1361560:1368096:-1 gene:ONIVA12G01690 transcript:ONIVA12G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACGSAGPAHRHAGALPRSPGPRDRHGTASPACGTGWFVLAPVQPFRGLGIFLQINHSQEEERLLRGLRRDPGELAPSLESGPSIHIQTGGLRRGSGAVTSSTTATLELEKKSGIARALQGRTSEKELLSLSLVPILFGLGNYKKLIRKGKQLILHGLNEESEAVRLIKRRLLYLGPETIEEGSLLVLKVSPHSVSKEDHFESHTTVGNFFLARRQSRSQSPTTC >ONIVA12G01680.1 pep chromosome:AWHD00000000:12:1344073:1345448:1 gene:ONIVA12G01680 transcript:ONIVA12G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAIPAHFRCPISLDLMRDLVMATMGITYDGEGIEAWLDTGRAVCPVTHAPLWLEDLVPNHAIRHVIQDWCVANMSHSVERIPTPKIPATPIQASEMLFNVAESARCGAAERATERSLLLVLLPSFYNVSEVKIDHFSVC >ONIVA12G01670.1 pep chromosome:AWHD00000000:12:1343673:1344353:-1 gene:ONIVA12G01670 transcript:ONIVA12G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGILGVGMRSTLWDMLATHQSWMTWRMAWLGTRSSSQSGAWVTGHTARPVSSHASIPSPSYVIPIVAITRSRIRSSEIGHRKCAGIASSAIAPPLFYNSDACAFTITAFRDLHASSNIPNSRSITRDRVAGAAAEEEAAAATAAAAAVEEDVTAIAAAAATVEEPIADGAAAEERGREEEEDANIFAVRAREGKMTEIGNKIRSPEVRYDISGVGNQIYWEIG >ONIVA12G01660.1 pep chromosome:AWHD00000000:12:1335908:1339757:-1 gene:ONIVA12G01660 transcript:ONIVA12G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTVAVFKMFEKTWALWIANFKVILSSVEREDGEEEPRQLYRVYLEEDELPRGGFKGKEVDEEEFLMRRAHAVFLVCKSAMVDSSMYDPDRYFLRILAYLRENRVDLWTLMEMELSLMYDILYTKAAVIHTWTGYCIRIVSSLTVAASFLLFQLYEEKKGGTGRGASPLTKTPQD >ONIVA12G01650.1 pep chromosome:AWHD00000000:12:1333548:1340380:1 gene:ONIVA12G01650 transcript:ONIVA12G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYREIYTRPSSRINSQLLPTVHTFNSLLLAFYREGKCDVFKVVLQEMDRYSCVHNVCTYNIRMAAYCDSKEVEKARGLWDEMVEGGIQPDVPAYNTMISGYCGVGEVGMAEEMFKDMEMGGIDPSVTTFEWLVRGHCRVGDIDAAMLVRADMSRRGFQMAAEVVEEVVNVLCQKKRVKEALGVLKEEMRKEEFVPSRECYEVLIRELCEQGEVEVAMRLQAEMAGMGFKVGSEVYCAFVCAYEKAEDYEMAEKLRKELSVISIQDG >ONIVA12G01650.2 pep chromosome:AWHD00000000:12:1333006:1341365:1 gene:ONIVA12G01650 transcript:ONIVA12G01650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPTADPSSAYFIDADHPYAAAAASALTSHRSRSKWSHLSSLAVPDPLPASAVAAVPLLLRRRPHVALSFHLFATRRLLPSGSPPPLLLSVSAAHVAAASRLRRAAISLLSSAARHYPHSQIFAALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGARPLPSTSAALLRSLPSAAAALDMYREIYTRPSSRINSQLLPTVHTFNSLLLAFYREGKCDVFKVVLQEMDRYSCVHNVCTYNIRMAAYCDSKEVEKARGLWDEMVEGGIQPDVPAYNTMISGYCGVGEVGMAEEMFKDMEMGGIDPSVTTFEWLVRGHCRVGDIDAAMLVRADMSRRGFQMAAEVVEEVVNVLCQKKRVKEALGVLKEEMRKEEFVPSRECYEVLIRELCEQGEVEVAMRLQAEMAGMGFKVGSEVYCAFVCAYEKAEDYEMAEKLRKELSRRKRMKVWAGRRRQAWAGPTPIPRGAASRRKERTILRRQCHFLEHKAACRRLVHRVAHRKENVQVDQSALKSDAISMSKEELSKT >ONIVA12G01650.3 pep chromosome:AWHD00000000:12:1333006:1341365:1 gene:ONIVA12G01650 transcript:ONIVA12G01650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPTADPSSAYFIDADHPYAAAAASALTSHRSRSKWSHLSSLAVPDPLPASAVAAVPLLLRRRPHVALSFHLFATRRLLPSGSPPPLLLSVSAAHVAAASRLRRAAISLLSSAARHYPHSQIFAALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGARPLPSTSAALLRSLPSAAAALDMYREIYTRPSSRINSQLLPTVHTFNSLLLAFYREGKCDVFKVVLQEMDRYSCVHNVCTYNIRMAAYCDSKEVEKARGLWDEMVEGGIQPDVPAYNTMISGYCGVGEVGMAEEMFKDMEMGGIDPSVTTFEWLVRGHCRVGDIDAAMLVRADMSRRGFQMAAEVVEEVVNVLCQKKRVKEALGVLKEEMRKEEFVPSRECYEVLIRELCEQGEVEVAMRLQAEMAGMGFKVGSEVYCAFVCAYEKAEDYEMAEKLRKELSASRRKERTILRRQCHFLEHKAACRRLVHRVAHRKENVQVDQSALKSDAISMSKEELSKT >ONIVA12G01650.4 pep chromosome:AWHD00000000:12:1340583:1344057:1 gene:ONIVA12G01650 transcript:ONIVA12G01650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWWLRQRLAGRQIASSCRCLLHLSLSRAHGENVRILFLLATSFLRGSAISDGLFHGGCGGSDGSDIFLNGGGSGCGCGGLFLRSGAGDSAVMVKAQASLL >ONIVA12G01650.5 pep chromosome:AWHD00000000:12:1333006:1337986:1 gene:ONIVA12G01650 transcript:ONIVA12G01650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPTADPSSAYFIDADHPYAAAAASALTSHRSRSKWSHLSSLAVPDPLPASAVAAVPLLLRRRPHVALSFHLFATRRLLPSGSPPPLLLSVSAAHVAAASRLRRAAISLLSSAARHYPHSQIFAALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGARPLPSTSAALLRSLPSAAAALDMYREIYTRPSSRINSQLLPTVHTFNSLLLAFYREGKCDVFKVVLQEMDRYSCVHNVCTYNIRMAAYCDSKEVEKARGLWDEMVEGGIQPDVPAYNTMISGYCGVGEVGMAEEMFKDMEMGGIDPSVTTFEWLVRGHCRVGDIDAAMLVRADMSRRGFQMAAEVVEEVVNVLCQKKRVKEALGVLKEEMRKEEFVPSRECYEVLIRELCEQGEVEVAMRLQAEMAGMGFKVGSEVYCAFVCAYEKAEDYEMAEKLRKELSRRKRMKVWAGRRRQAWAGPTPIPRGAIKRWLNGKEIDQKYN >ONIVA12G01650.6 pep chromosome:AWHD00000000:12:1333006:1337986:1 gene:ONIVA12G01650 transcript:ONIVA12G01650.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPTADPSSAYFIDADHPYAAAAASALTSHRSRSKWSHLSSLAVPDPLPASAVAAVPLLLRRRPHVALSFHLFATRRLLPSGSPPPLLLSVSAAHVAAASRLRRAAISLLSSAARHYPHSQIFAALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGARPLPSTSAALLRSLPSAAAALDMYREIYTRPSSRINSQLLPTVHTFNSLLLAFYREGKCDVFKVVLQEMDRYSCVHNVCTYNIRMAAYCDSKEVEKARGLWDEMVEGGIQPDVPAYNTMISGYCGVGEVGMAEEMFKDMEMGGIDPSVTTFEWLVRGHCRVGDIDAAMLVRADMSRRGFQMAAEVVEEVVNVLCQKKRVKEALGVLKEEMRKEEFVPSRECYEVLIRELCEQGEVEVAMRLQAEMAGMGFKVGSEVYCAFVCAYEKAEDYEMAEKLRKELSIKRWLNGKEIDQKYN >ONIVA12G01650.7 pep chromosome:AWHD00000000:12:1333006:1341365:1 gene:ONIVA12G01650 transcript:ONIVA12G01650.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPTADPSSAYFIDADHPYAAAAASALTSHRSRSKWSHLSSLAVPDPLPASAVAAVPLLLRRRPHVALSFHLFATRRLLPSGSPPPLLLSVSAAHVAAASRLRRAAISLLSSAARHYPHSQIFAALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGARPLPSTSAALLRSLPSAAAALDMYREIYTRPSSRINSQLLPTVHTFNSLLLAFYREGKCDVFKVVLQEMDRYSCVHNVCTYNIRMAAYCDSKEVEKARGLWDEMVEGGIQPDVPAYNTMISGYCGVGEVGMAEEMFKDMEMGGIDPSVTTFEWLVRGHCRVGDIDAAMLVRADMSRRGFQMAAEVVEEVVNVLCQKKRVKEALGVLKEEMRKEEFVPSRECYEVLIRELCEQGEVEVAMRLQAEMAGMGFKVGSEVYCAFVCAYEKAEDYEMAEKLRKELSASRRKERTILRRQCHFLEHKAACRRLVHRVAHRKENVQVDQSALKSDAISMSKEELSKT >ONIVA12G01640.1 pep chromosome:AWHD00000000:12:1328936:1332772:-1 gene:ONIVA12G01640 transcript:ONIVA12G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGDVGGRRIGRKKRRLQWSSDRHPRHHLGGDARRAILLPSRCLLLLLFFPRVASSLPPLSPPVTSTAAPSSPPRRRRRPLPGEASGAARPALPSPSLSRAFWAQKSLNRSQRTPSPPNSESPPKANWGIASNNCYSRMIIASAALTSDRRMSNACDRMLAAVIPSPTAQPHAGHKGRDVCVLGCASPCPCPHLADATAMNAT >ONIVA12G01630.1 pep chromosome:AWHD00000000:12:1311145:1319422:-1 gene:ONIVA12G01630 transcript:ONIVA12G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTEN 2 [Source:Projected from Arabidopsis thaliana (AT3G19420) TAIR;Acc:AT3G19420] MVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGLFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLVISFCHSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLLYLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGVLFTTKKHPRTKELMPEDFWFSAPKKGIMVFALPGEPGLTEVAGDFKIQFHDRQGDFYCWLNTTMMENRVTLNPTDLDDFDKRKLPSPGFQVEVVLVDYDGSQPPKPKPAAASTDQKSEADSSTGTVAKGNNASSAEVSNKESARNDKDDVFSDSEAEDGSSKGRREKVSRNVEGTTNAAKASETSSVQKEASAAASRIEKVSITSEQGSARTPDAAPLKSGVSSKSSSTTAPPPPPAAADSSMSEFKAIAADASVFSFGDEDDYESE >ONIVA12G01620.1 pep chromosome:AWHD00000000:12:1309167:1309469:-1 gene:ONIVA12G01620 transcript:ONIVA12G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLGRTSKGRQHIDNKERRQVTFTKRRGGLFKKASELALLAGASIAVVVFSETNLAYAFGDPSVDAVLLSYGPVPGEDAEPAPVHSGGLGKDVDLEMLR >ONIVA12G01610.1 pep chromosome:AWHD00000000:12:1301221:1307543:-1 gene:ONIVA12G01610 transcript:ONIVA12G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT1G30010) TAIR;Acc:AT1G30010] MTARGALRRLIPSHLQPVSRRAPPPPPSAADEGPFPDPYALLVHDPIDLLSSLWRRAFAHPLPAPFPNLSGYASRLDLWLLSYQRACAHATGSFPPRHAVQLPTLHSLLRLRAAALRRHPAFPWGASTHLLIRSPADPPSTVTISRRKLEARLANAPPPFQDRVVQELLLLLLEPVFEPRFSPKSHAFRPGRGPHTAIRSVRSHFAAYLWFISADLTGVVDALSPYTILSCVQKAVSDRKVLSLLKSALNAPVRPGSVPPREKELDGLAKKRLKRKVLRKSRKKKVLNENEPKPDPYWLRLFFGFAPEQACHAPNYGHCGILSPLLANVCLNELDWWLEERIHEYFRPSSHDSIWKEAGDEGCHNPAWPEFVPSSGKEKTRKMDYLRFGSHVLIGIRGPREDAVEIRRQLMEFCESTFGLRPENSMVEIEHITRGIEFLDHVITRRVIYPTLRYTASGGNIVSEKGIGTLLSVTASLQRCIRHFRKLELVKGDRDPEPLPCSPMLYSGQAHTNSQMNKFLETMADWYRYADNRKKIVGFCAYVIRSSLAKLYAARYRLKSRAKVYKIASRDLSRPLRESTRNDAPEYSDLLRMGLVDIIEGVQFARMSSIPSCDYTPFPRNWVPHHELVLREYIKLQDPKFFCELHKTIKRKEINSPQDDVSRMVWYYKVYGVYDAKRSLQKLNDWKNTDEAANKENQILLGSVSMQVKPSDSHPPPATPVDSQDSTAPPVRTPDPVSQATSNDSATQFASTFHVAPSPAPVPNAVPIQDAAGREAQSSIFSTSGLSSWAKNLKIPQPSSGVLFTTKKHPRTKELIPEDFWFSAPKKGIMVFALPGEPGLTEVAGDFKIQFHDRQGDFYCWLNTTMMENRVTLNPTDLDDFDKIFEYGTL >ONIVA12G01610.2 pep chromosome:AWHD00000000:12:1302209:1307543:-1 gene:ONIVA12G01610 transcript:ONIVA12G01610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT1G30010) TAIR;Acc:AT1G30010] MTARGALRRLIPSHLQPVSRRAPPPPPSAADEGPFPDPYALLVHDPIDLLSSLWRRAFAHPLPAPFPNLSGYASRLDLWLLSYQRACAHATGSFPPRHAVQLPTLHSLLRLRAAALRRHPAFPWGASTHLLIRSPADPPSTVTISRRKLEARLANAPPPFQDRVVQELLLLLLEPVFEPRFSPKSHAFRPGRGPHTAIRSVRSHFAAYLWFISADLTGVVDALSPYTILSCVQKAVSDRKVLSLLKSALNAPVRPGSVPPREKELDGLAKKRLKRKVLRKSRKKKVLNENEPKPDPYWLRLFFGFAPEQACHAPNYGHCGILSPLLANVCLNELDWWLEERIHEYFRPSSHDSIWKEAGDEGCHNPAWPEFVPSSGKEKTRKMDYLRFGSHVLIGIRGPREDAVEIRRQLMEFCESTFGLRPENSMVEIEHITRGIEFLDHVITRRVIYPTLRYTASGGNIVSEKGIGTLLSVTASLQRCIRHFRKLELVKGDRDPEPLPCSPMLYSGQAHTNSQMNKFLETMADWYRYADNRKKIVGFCAYVIRSSLAKLYAARYRLKSRAKVYKIASRDLSRPLRESTRNDAPEYSDLLRMGLVDIIEGVQFARMSSIPSCDYTPFPRNWVPHHELVLREYIKLQDPKFFCELHKTIKRKEINSPQDDVSRMVWYYKVYGVYDAKRSLQKLNDWKNTDEAANKENQILLGSVSMQVKPSDSHPPPATPVDSQDSTAPPVRTPDPVSQATSNDSATQFASTFHVAPSPAPVPNAVPIQDAAGREAQSSIFSTSGLSSWAKNLKIPQPSSGVLFTTKKHPRTKELIPEDFWFSAPKKGIMVFALPGEPGLTEVAGDFKIQFHDRQGDFYCWLNTTMMENRVTLNPTDLDDFDKVIVASFLKLFYEYACQNLYQAISTRHTTKPIYL >ONIVA12G01600.1 pep chromosome:AWHD00000000:12:1295577:1299361:1 gene:ONIVA12G01600 transcript:ONIVA12G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAISESMDSSKVIFFNKACVEEKGKRGKFVGEEIDRLQWAPVVLVTLLIQATTNSVELNLALSIQIQRVGVGGCRTGVRIANERRKTASKSLVPILYMAVMIVDIDQDLFRAPSWISRHARTSIQIDATSPISSVPPPTIRHHTQIIVEDSLPVVDDFIAAISDFFALDLRHWVPSTGIQIAVCSLRSAARLFINSKMLTVHICHFVI >ONIVA12G01590.1 pep chromosome:AWHD00000000:12:1289719:1292414:1 gene:ONIVA12G01590 transcript:ONIVA12G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKCCQVPPRVSFFASQGSDVAQDNVLGLYKQTVDAIMCILLHTKYHPLQSHACCYSLLSGIHSSFLNLVGGGVRFPRHSFYSDYMLSLGKTELSGSRKSFSLADLRKFAKPQLYLSEGKI >ONIVA12G01580.1 pep chromosome:AWHD00000000:12:1286883:1287467:-1 gene:ONIVA12G01580 transcript:ONIVA12G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPLGRTSMGRQRIEIRRIDNKERRQVTFTKRRGGLFKKASELALLTGASVAVVVFSPAKHVYAFGHPSVDAVLRSYASVPGEAAAVAPVPVHGGSGGEDVDLLGLRLAADDTGAQVAAEHARMRDVAARIVQAKAGRRFWWEADVDALGEAELLEFFTALKKLRDNVGRHANALLAPQPPPLPLQQKQRRRR >ONIVA12G01570.1 pep chromosome:AWHD00000000:12:1282189:1282668:-1 gene:ONIVA12G01570 transcript:ONIVA12G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGETVLGRVGAMGRGARWGGDECARASIGSAAVPICATARASVDWRLLGIGGDLAEMNRRWRDGRGEMRASCVGAMESIGGGGGALDNGAGGEREARMRYGREVRGSRGECGREGDAGEDVRSRRCGVDCGRERRRRRSDARIWDVRSNSSDDFTL >ONIVA12G01560.1 pep chromosome:AWHD00000000:12:1280000:1280703:-1 gene:ONIVA12G01560 transcript:ONIVA12G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTCGFRLGRSKTQTLDGSVTSHAAAAAAAALQLRSSGLRASAPTLRWPFPRLLDAIAFRPLPRALACCGSSAPSAVRHLRACGSNVFLRGRLEGRASVDWRLLGIGGDLAEMNRRWRDGRGEMRASCVGAMESMASRRP >ONIVA12G01550.1 pep chromosome:AWHD00000000:12:1279827:1285621:1 gene:ONIVA12G01550 transcript:ONIVA12G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIAPTQDALISPLPSRHRRFISARSPPIPSSRQSTLALAVAQIGTAADPMLALAHSSPPHLAPLPIAPTRPSTVSPPRAISAGRHPHQAVADPIADRSPSGLHQIAAAPPDHYPRQRGAKEVLGLMEGELFRSSGEDQDTIHVLDRSQIDSLFEGAITLLCILLCHRDR >ONIVA12G01540.1 pep chromosome:AWHD00000000:12:1278256:1279519:-1 gene:ONIVA12G01540 transcript:ONIVA12G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSHNRRSPPPGHPPRRTPVARSPSGPGCPPLAATPRAAPNSCRQVTATSRSSAVRRSSKTYTIPSASTPAHKICGCCHSPEVED >ONIVA12G01530.1 pep chromosome:AWHD00000000:12:1272709:1277251:-1 gene:ONIVA12G01530 transcript:ONIVA12G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGAVSLCIHLNQDLAYIFRQEVYGEAVVRSQVPPLIGNGCKHRQIRFTEKFFLGDTVVHKVAATSTDPIHAGPPPQLRHRLAAGLADGSSASDVPLSAASATAPPDPPPQAVAVR >ONIVA12G01520.1 pep chromosome:AWHD00000000:12:1269179:1274462:1 gene:ONIVA12G01520 transcript:ONIVA12G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRPIIHDTCSGGSGGAVAEAKDSGAPEAEGPSARPAARRWRKPRRQAGVDGVGGGGGDLVRQREGVNARGFRQGSQCEGVQARLAAWLAPRRLLSSGGKVLGEEEKAAENIYIKMKSKVLISNSXHGDGLRRRIRWRRRRGGGQRHVRGGGPIGQAGSETVAELRRRAGVDGVGGGGGDLARLAAWLAPRRLLSSSGKVLGEEEKAAENIYIKGQFQIIM >ONIVA12G01510.1 pep chromosome:AWHD00000000:12:1256180:1257703:-1 gene:ONIVA12G01510 transcript:ONIVA12G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPSLQDLLVSSLMILLVLLYIAYWRSKYQSLFPVDWPIVGLLPTLVANLHRLHDKITKYLAASGHSFTGNGPAASNMRLFVTCDPDNVRHIFTTNHDNYPKGHEFAEIFDIMAGAFFTMDGELYRRQRAKTHSILSDPRMVASMASSCADKVRDGLLPLLTSMASTRTPFELQDLATRLMFDVTAMPVFGVDPGRLSPDMPSMHVSAAMDTIMEVGLFRHTVPPSCWKAMRRLKIGPERKLAAAHAALHVFVSEMMEKARKQEAAPSSMSMDVLSSYINDPDYVGLLHSILITYMVAGRDTVGTTLPWFFYNLAMNPRVVSGIREELAHNIATSTCNGDGAPVMFSPEDTKSLVYLHAALFETLRLYPPGWIERKTVVADDVMPSGHEVRAGDAVLISIYSMGRMESLWGEDCRVYRPERWLYDSRGGRKMRHVPSHKFLSFNSGPRMCPGKNIAVMQMKIIAAAVVWNFDLEVVEGQAVVPKLSCLLQMKNGVMVKVKKRAV >ONIVA12G01500.1 pep chromosome:AWHD00000000:12:1183587:1188788:1 gene:ONIVA12G01500 transcript:ONIVA12G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAAACTKLPLLLLLVAVAVAASSASSLTPQHAAVPAKEPRPGGGGVTLHLDHRQVMVDNGIVQVSLSNPGGHITGVRYNGERNLLRFDGQPNSAGYWDVVWNFPGSNNPRGMIDMLDSTEFKVVSSSEDQVELSFRSTYNPSRPNSVRLNIDKRLVMLKGSSGFYCYAIFEHVRDWPALNISEARLAFKLNTDNLILKTCVHERFVRFNYMAISDDIQRFMPSATDRDAPRGAPLAYKEAVLLVDPKEPQFRGEVDDKYEYSLDNKDNRVHGWISSNHPNPMGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYVGNDIVLKIEEGEYWKKVMGPVFIYLNSNPRRGDLHSLWVDAKLQAEAETRKWPYSFPVSPDFHKASQRGSVTGRLFVRDRYMSSKDMAAGMAFVGLATPGQPGSWVTESKNYQFWTRATPCGSFSISNPPRSGPTLWEMGVPDRSAAEFFIPDPNPKYLNKIFITKDKYRQYGLWERYAELYPDGDLVFTIGESDISKDWFFAHVTRKQGDGYAATTRQIRFRLERVVADATYTLRVELAAAQMARVQVVVNGRADEALTTAAAFGDGNAIARHGAHGVQWSLEFAIKGYMLVEGEKENVVFITQTRALSPFFGVMYDYIRLEGPSSSWRDPMTTRGR >ONIVA12G01490.1 pep chromosome:AWHD00000000:12:1177165:1178801:-1 gene:ONIVA12G01490 transcript:ONIVA12G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEEEEADLERGIHSILKNHPIMKKLNKGIHPVVLALHVLLEEEEEEEEEEEVVQEEDMAKGLAELDEYLSRHTYHTIEEGKHKILVSEYIENGSLAHKLFGRDGFDDDVLDWNQRFRIALCVAKGLAYLHSECSEWIVPCDMRPENILLDKDLEPKITDFGLSKLLNRDGSDAILTRIRGTRGYMAPEWVTNLPVIEKVDVYSYGVILLELVKGIWISEWVIHGIKVCEMDIRIVVRVTREKMESNEEKSIEDLVDYRLNGDFNHVQVKLMLEIALSCLEEDRSKRPNMNSVVQALISFEG >ONIVA12G01480.1 pep chromosome:AWHD00000000:12:1160544:1164273:-1 gene:ONIVA12G01480 transcript:ONIVA12G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J6A1] MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTNIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSYGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRVTIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSRTLKDVVWKSDDLQNQLS >ONIVA12G01470.1 pep chromosome:AWHD00000000:12:1158881:1160677:1 gene:ONIVA12G01470 transcript:ONIVA12G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLTKEQIAEFREAFNLFDKDGDGTITSKELGTVMGSLGQSPTEAELKKMVEEVDADGSGSIEFEEFLGLLARKLRDTGAEDDIRDAFRVFDKDQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKVMMAKRRQNMMEGHGSGGHRSSNSHKKSGCCGPNSSCTIL >ONIVA12G01460.1 pep chromosome:AWHD00000000:12:1154023:1155276:1 gene:ONIVA12G01460 transcript:ONIVA12G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGLLNAIHDTDHVPATSSRLYSLRLPSVSSVSLLLTATFLPRSAAPKKPAARSLPAETIASPAATMRWVGSRVSVGWSKLCHRTFPLPSSMARLPESCSRARAAVALALTVEFQTTSPS >ONIVA12G01450.1 pep chromosome:AWHD00000000:12:1152525:1155627:-1 gene:ONIVA12G01450 transcript:ONIVA12G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSCSSSGALRAAATKALLLVVFVSLSRPFPCTARDSLLRGASIAVEDHATDVLLSPDGTFACGFYGVSPTVFTFSVWFARAADRAVVWSANRARPVHSKRSRLKLSGRRGALVLTDYDGEVVWNSTVSASATAARARLHDSGNLAIEDGSGNVLWQSFDHPTDTLLPTQRIVAAGEAMVSAGKLLAAGFYSLRFSDYAMLSLRQCDLRRRRXYGVSPTVFTFSVWFARAADRAVVWSANRGRPVHSKRSRLKLNGRRRALVLTDYDGEVVWNSTVSANATATATAARARLHDSGSLAVEDASGNVLWQSFDHPTDTLLPTQRIAAGEAMVSADKLLAAGFYSFRFSDYAMLSLVYDNHEMSSIYWPNPYYSYWQNSRKIYNFTREAFFDASGHFSSSDNATFGAADLGKNVAVRRRLTLDTDGNLRLYSLDEVAGTWSVSWMAFSNPCIIHGVCGANAVCLYSPAPVCVCAPGYARADPSDWSRGCRPTFNSGDGGGRPGAMKLVALPHTDFWGFDINSSENLSLDECSTRCMSEPSCVVFQYKQGKGECYPKSLMFNGRTFPGLPGTAYLKVPADLDMPEIHIHQWQTDGDRHAIAIQEDIVRCGGMSSPEFLLNVSNASSSKSNQGKSIWFYFYGFLTAFFVIEVFVIAFGCWLFSNKGVFRPCQVSAIDEGYRMVTNHFRAYSYVELRNGTRKFQSEIGRGGSGVVYKGILDDERTVAVKVLQDVKQSEDVFQAELSVIGRIYHMNLVRMWGFCSEGIHRILVYEYIENGSLAKVLFQGRDSGMLLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDGSGSDMSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGVRISDWVLDGKEELEAELRSVVKMVVSKLESNMESLVADLMDDRLHGEFNHLQARLLMQLAVSCLEEDKNKRPTMKYIVQMLISAEDDAHAFT >ONIVA12G01440.1 pep chromosome:AWHD00000000:12:1141135:1143640:-1 gene:ONIVA12G01440 transcript:ONIVA12G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J697] MGKSCSRATATVLLLLVVVVFLSSSRPFPCEARRDSLPRGASIAVEDHATDVLLSPDGTFAAGLYGVSPTVFTFSVWFARAAGRTVVWSANRSRAPVHGARSRVALDGRRGALVLTDYDGEVVWNSTVANATAARARLHDSGNLAIEDASGNILWQSFDHPTDTLLPTQRIVAAGEAMVSAGKLLAAGFYSLRFSDYAMLSLLLAEQPNIYYNFTREAFFDASGHFLSSDNATFDAADLGEGAGVRRRLTLDTDGNLRLYSLDETAGTWSVSWMAFVNLCVCVPGYARADASDWTRGCQPTLNHTDGGGGRPRAMKLVALPHTDFWGFDINSSAHLSLHECTARCMSEPSCVVFEYKQGTGECYTKGLMFNGRTHPAHLGTAYLKVPADLDMPELHVHQWQTNGLAIEEDIAGCSGSSKSEFLLNVSDMSSSSSNNQGKSIWFYFYGFLSAIFVIEVFLIAMGCWIFSNKGVFRPSQVSVLEEGYRIVTSHFRAYRYSELERGTKKFNNKIGHGGSGIVYKGSLDDERVVAVKVLQDVSQSEDVFQAELSVIGRIYHMNLVRMWGFCSEGIHRILVYEYIENGSLAKVLFDRRDSSKFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDGSGSEMSRIRGTRGYMAPEWVSNLPITEKVDVYSYGVVLLELVKGRRITEWVVDGKDGVETDVRSVVKMVVDKLDSKNESWIMDLIDDQFGGEFNHLQAQLVIKLAISCLEEDRNRRPSMKYIVQMLISAEDEGHAFT >ONIVA12G01430.1 pep chromosome:AWHD00000000:12:1136133:1138584:1 gene:ONIVA12G01430 transcript:ONIVA12G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J696] MDAPFLTTSLAVLAILFLLALPWSAATHDILPLKSSLFVEEYETNILQSSDGTFSCGFYNITKAYNITSAFTFSIWYSNSADKAIVWSANRGRPVHSRRSEITLRKDGNIVLTDYDGTVVWQTDGKFPNVRYVQLLNTGNLVLKNSSGNIVWQSFDSPTDTLLPTQRILATTKLVSTTGLQVPGHYSFCFSDQSILSLIYDDTNVSGVYWPDPDYQYYENNRNLYNSTRIGSLDDYGEFFSSDLAKHQARVASDRSLGIKRRLTLDYDGNLRLYSLNNSDGTWTISWIAQPQTCMTHGLCGPYGICHYSPTPRCSCPPGYKMRNPGNWTQGCKPIVEIACDGKQNVTFLQLRNTDFWGSDQQRIEKVPWEVCWNTCISDCTCKGFQYQEGNGTCYPKSFLFNGRTFPTPFVRTMYIKLPSSLDVSKKPIPQSSIHDYTPSGLDCDRVNTITTEAVRNMNKIDGEEPKWFYFYGFIGVFFVVEVFFFAFAWFLVLRKEMRSSEVWAAEEGYRVMTSHFRMYSYRELVKATERFKHELGWGGSGVVYKGILDDDRAVVIKKLENVTRNREEFQDELHVISRINHMNLVRIYGFCSERFHRLLVLEYVENGSLANVLFNSKILLDWKQRFNIALGVAKGLAYLHHECLEWVIHCNLNPENILLDENLEPKIADFGLAKLLSRSGSKQNVSRARGTIGYIAPEWISGLPITAKLIYGVVLLELVSGKRVFDLIIGEDKTKVHEMLKKFIKMICYRLDNEKSLWLAEFVDFRVGDEFNYLQAKTLVKLAVSCLEEDRKKRPTMESIVESLLSVDLARS >ONIVA12G01420.1 pep chromosome:AWHD00000000:12:1134174:1135126:1 gene:ONIVA12G01420 transcript:ONIVA12G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLRKSAVLRAYSAPREEWMHHGNYNPTPISVATLRWLTSGPANGLGSCHDVGGGWRMSNALLWRPILVPGVFPRLWPRSHVKSSGALELAHGPPGTPNRRRASGGPWLGSGILRAFRLASNFPEPTALFGLGRLPRPSEWVLPAETGSAGCWRRASGRRPYLLRSHQGVNGARSQSFPQQ >ONIVA12G01410.1 pep chromosome:AWHD00000000:12:1132789:1133997:1 gene:ONIVA12G01410 transcript:ONIVA12G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVFGQRNVHVFPLRRHILDEVFQHGPCNCSTQRRWKKPIDSARTRLEGRIRDHKLDKVMIQLKNLRLALDLHEFISQRRNGYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKNNQCCKVTPKMADLIAEEDAAIWENEPAIVKRLKKLLMLSTDGTLNMHALWLIRRELGDENLAVADVEEWRVKEYTEKWLAESETKYSFPINFPTGFKIEKGFREKLGNWQRLPYTKAYENNELHPIHNVEQLEKHIVGILHELLSLTVEKMIPLERFSHFRRPFDMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVDPNPVYNVRRKMLDLILSGCHGIDETDGPIQLVEEHNQESSNVS >ONIVA12G01400.1 pep chromosome:AWHD00000000:12:1130906:1131295:1 gene:ONIVA12G01400 transcript:ONIVA12G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDGPAVWWVSLMDEPIGYFHESAFAAPFIESFHNEMGGHVLDRRPGGRHTLTPMGSGMYPSDGLQNAACIHAYLAIAYTGADQVDDPVNTIVTHPKCYDVKDDGPDLYRPGINVAFGGPGGYDCDHN >ONIVA12G01390.1 pep chromosome:AWHD00000000:12:1128502:1129003:1 gene:ONIVA12G01390 transcript:ONIVA12G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHITVHRRGFLYGKLGWDPLNSPRATSPSPVQQSGNLRQSQAKIHPTSTTKLLSKSPFTLNFLVTIFLGSTYTPL >ONIVA12G01380.1 pep chromosome:AWHD00000000:12:1123932:1125055:-1 gene:ONIVA12G01380 transcript:ONIVA12G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLGVLSPLPAPPLSAVSKLIPSLEMVFSPIPFQPDALVATHEPPAVTEAAEPAEVVPRTSLASTIAESFKQMLFPSCDGGICLWSASYHGNVAFVKSGTFPRGSSGQGMLVDILYYSSAEGSRKGSYGLENIVYSLQQIIDIIIEKCTDIFFIHMISLKEVKLLRACRVLK >ONIVA12G01370.1 pep chromosome:AWHD00000000:12:1120214:1123218:1 gene:ONIVA12G01370 transcript:ONIVA12G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRREEGDDEVSVGKDEEEAEYCSSISCLPEACLAHAISFTTPMDACRCSAVSAAFQTAASSDAVWEHFLPPDYHSILARADDPVDFTTSKKELFLSLAQDHVLLDQRTKNKRSQVLHAIIEIIMDNMGGSSPVLETEVAELVAVCWFDLSGRVNCRELSPNTEYVAYLIFKLADESYGLDCRTQEAYITMDDQVVSAKRAISLHPNMQDTPVDMGRSEKEGQAEEETVSYPRERDDGWMEVQLGHFYNHQEDTGVVAIHLYEHVQLNWKKGLILEGMEIRHNIGP >ONIVA12G01360.1 pep chromosome:AWHD00000000:12:1115851:1119321:-1 gene:ONIVA12G01360 transcript:ONIVA12G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMKLAVSGFLSAVVDGAATKAVACLESNYNMPERAKELLAELEAKLTMVKAISEAADSRLITNNNLVHWLMRLHAAAQEADDALDEFEVDDAIVTRKRKASDLILSSLRSLKNLVIPDDSLTRLEHVVKTLTQLCATSATFIELIKMDISKANQLHKAGDTAEASSHLPVDVPVFGRDEVKELILNMVAGSLSHDHAESSSGTAKVRAARHNLLVLPIVGTSGVGKTTLAQVIYDDAKVKENFAHRAWVCVSEHFTIKRILQEILLSFKGYDGTSFDGDENMEATITRLRVKISGRHRFFLVLDNIWEVMCQEWSMLLTALSDEARQHGSVLLVTTQSQRVAQIVATICPISLKALPWESFWPLFQYHAFGGMEVFDDNQNMLLIAEGIAKKLDGLPLAAKIIGNLLRCRFSWDNWRRVAESDWWNSDEALQGILPYLKISYQHLSPKQRQCLAYCSIFPRNYLFDKDRTVQMWLGHDFIQWNNIADGTRLEDVGRQLFDELVERSLFQPTFVSNKYVMPDLVRGLAIAVSLNQCFFHGERSTGVSSPALGNIRHLALQGSSLEQRQELKKYRNLRTLLLFGRFESDAFFDILDGMLENSPSIRVLDLSYVEAPGKVWPKDARPLRKLRFLDLSFTKIIKLKDLPTNLQVLHLRGYDADRVPQSITMLTNLRHLYVDDSALSKIQSIGQQTELQESESFIARKGQGFMIRELKNMRELTGRLCIRGIENIRSKDEAMEARLMDKKHVGALVIEGKRVPKFALEGLQPHTNIQELTIKFFQEQDFPDWMCPDNLVNLLQVNLESYHFLSTIPPLGHLPLLKLLTLRKLPSVKHVNGTSFGGFPSLEEFELHSMEKWEEWTEPDAAAHADGSSLFLGYLRKLHLAYCPSLKHFPHLPCLSALKELKISKPGSWILALPACSQVLSSLITLAVEYCNHNVVMSAQQFKSLENIKLIKSEGLRLADGFRYFSKLRSARVEGRPQLLSAITTSVSVGFGQDCCVAHDEKQQQEASLLTHLRADDSLMYGDYFRTVGKLPSLRNLTICNESNGTNFSVKQELWFQQQNSLEHLCIEGFHALQRLPSFLVTMLSIKILELHGLHGLQSIPDNALPLTLQEFNINNCTSCLSTRVSKDGADWPYVAHVPYIRVNGTTVQNL >ONIVA12G01350.1 pep chromosome:AWHD00000000:12:1114871:1115271:1 gene:ONIVA12G01350 transcript:ONIVA12G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNGREGVYTLSGWLHLKQNDDLHPMRNVEQLEKCAGILHENLCLITENMKPFERLSHFGIPSALEVNLQEFVLKHPTFSTSQQEADIQSCLGGVTV >ONIVA12G01340.1 pep chromosome:AWHD00000000:12:1110276:1113525:1 gene:ONIVA12G01340 transcript:ONIVA12G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAESIWTFMPGKKGSVVQGPNTRTLTNAHDGILDDINCAQIAGKHVGDHSNCANVIKAGVISLLGKLVQYPERPGEPFCRYYMKFGECKHMTFCKYNHPKDRFSCKTTNTIRSESLCLHDQVFVCICGEKLLFHTNFNTTAVKELVVFALQRRNIKYCDIYVTWLIPMEYERMDELIDRAVRDNNNDLFYYVNLPPELINSYKDTWVTFLSDFSRYIIHQLLQYLNDTFGDPPSWIADISWVPDMWKTYNYSPNNNSTLWTPRYTLDLNSCSHFAKNFLNHFGREVTAANLALMLG >ONIVA12G01330.1 pep chromosome:AWHD00000000:12:1097792:1101689:-1 gene:ONIVA12G01330 transcript:ONIVA12G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGGVTVCEINRDLGMLWRMRCPMIEPRMPTGMSSEMVFSPIPFQPDALVATHEPPAVTEAAEPAEVVPRTSLASTIAESFKQMLFPSCDPNLLQEIDTQKVSWNPHKHCLAFVSGKNQVTVHDFEEPDNKESYILTSDHQKDVKAVEWRPNSGKVIAVGCKGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSGGQWILVDILRGSSAELGANWDPEGRTALLSFSNSTTLGSIHFSSKPPSLDAQLLPVELPEISSLIVSRGIDKLAWDSSGERLALSFKYGNEMYHGLVAVYDVRRSPLVSVSLVAGAVAGVVHIPSYFVLIDSLRGVRKARWVKR >ONIVA12G01320.1 pep chromosome:AWHD00000000:12:1089589:1099284:1 gene:ONIVA12G01320 transcript:ONIVA12G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDAFFCTFCSLLLFCFSSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRRFESGGPHTPSNIDPWSIIGDESSIYITTDRSSCFSKNSVALRMEILCENCPAGGVGIYNPGFWGMNIEEGKTYNLVMYIRSLESVELTASLTCSDGMQNLASVSIQEIDPSNWTKIEMQLLAQGTCRTSRLELTSMKRGVIWFDQVSLMPSDTYKGHGFRKELIYMLLELRPQFLRFPGGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDLAEDLGAAPIWVFNVGISHHDEVDTIIIEPFVKDVLDSLEFARGSAESTWGSVRSAMGHPERFPLKYVAIGNEDCGKEFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNDNDSTWNPDAIVFNSWQQYGTPSYWVQTYFRESSGSVIHPITISSRYSDSLAASAITWQDSEDIFLRVKIVNFGPNAVNLTISSRGLQDGVNTAKSTVVPVESELPNAAEVMEAVLPPFSFTSFDLALDQYSKLVAEM >ONIVA12G01320.2 pep chromosome:AWHD00000000:12:1090303:1099284:1 gene:ONIVA12G01320 transcript:ONIVA12G01320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDAFFCTFCSLLLFCFSSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRRFESGGPHTPSNIDPWSIIGDESSIYITTDRSSCFSKNSVALRMEILCENCPAGGVGIYNPGFWGMNIEEGKTYNLVMYIRSLESVELTASLTCSDGMQNLASVSIQEIDPSNWTKIEMQLLAQGTCRTSRLELTSMKRGVIWFDQVSLMPSDTYKGHGFRKELIYMLLELRPQFLRFPGGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDLAEDLGAAPIWVFNVGISHHDEVDTIIIEPFVKDVLDSLEFARGSAESTWGSVRSAMGHPERFPLKYVAIGNEDCGKEFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNDNDSTWNPDAIVFNSWQQYGTPSYWVQTYFRESSGSVIHPITISSRYSDSLAASAITWQDSEDIFLRVKIVNFGPNAVNLTISSRGLQDGVNTAKSTVVPVESELPNAAEVMEAVLPPFSFTSFDLALDQYSKLVAEM >ONIVA12G01320.3 pep chromosome:AWHD00000000:12:1089589:1099284:1 gene:ONIVA12G01320 transcript:ONIVA12G01320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDAFFCTFCSLLLFCFSSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRSNSQFDKHSSWKLKNSVALRMEILCENCPAGGVGIYNPGFWGMNIEEGKTYNLVMYIRSLESVELTASLTCSDGMQNLASVSIQEIDPSNWTKIEMQLLAQGTCRTSRLELTSMKRGVIWFDQVSLMPSDTYKGHGFRKELIYMLLELRPQFLRFPGISHHDEVDTIIIEPFVKDVLDSLEFARGSAESTWGSVRSAMGHPERFPLKYVAIGNEDCGKEFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNDNDSTWNPDAIVFNSWQQYGTPSYWVQTYFRESSGSVIHPITISSRYSDSLAASAITWQDSEDIFLRVKIVNFGPNAVNLTISSRGLQDGVNTAKSTVVPVESELPNAAEVMEAVLPPFSFTSFDLALDQYSKLVAEM >ONIVA12G01320.4 pep chromosome:AWHD00000000:12:1089589:1099284:1 gene:ONIVA12G01320 transcript:ONIVA12G01320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDAFFCTFCSLLLFCFSSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRSNSQFDKHSSWKLKNSVALRMEILCENCPAGGVGIYNPGFWGMNIEEGKTYNLVMYIRSLESVELTASLTCSDGMQNLASVSIQEIDPSNWTKIEMQLLAQGTCRTSRLELTSMKRGVIWFDQVSLMPSDTYKGHGFRKELIYMLLELRPQFLRFPGISHHDEVDTIIIEPFVKDVLDSLEFARGSAESTWGSVRSAMGHPERFPLKYVAIGNEDCGKEFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNDNDSTWNPDAIVFNSWQQYGTPSYWVQTYFRESSGSVIHPITISSRYSDSLAASAITWQDSEDIFLRVKIVNFGPNAVNLTISSRGLQDGVNTAKSTVVPVESELPNAAEVMEAVLPPFSFTSFDLALDQYSKLVAEM >ONIVA12G01310.1 pep chromosome:AWHD00000000:12:1085476:1086051:-1 gene:ONIVA12G01310 transcript:ONIVA12G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHGIVNAFFLFAVALVAASQAQHAANADSFMSGACKIVAGSSSGVISVTFCMDALGSDSRSLSASHYSDLAIIAIDLLTSNTTSTKAKIDNILKDDGNGLKPGDATTVCFQSCQAAYASVLQGQLGIFYNVQAGRFPEAMSALEKAANMVEECEKGFGKSNVKSLLTTENHDSFELAKLGALLLNEEH >ONIVA12G01300.1 pep chromosome:AWHD00000000:12:1080627:1081304:-1 gene:ONIVA12G01300 transcript:ONIVA12G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic protein of unknown function (DUF872) [Source:Projected from Arabidopsis thaliana (AT3G29170) TAIR;Acc:AT3G29170] MASRRNVRGYAPLPTEDRDDSNLTDDVDLRFTYTPKSLRKIPWKSIALALFLLLLGCSLLFLSYFIFTGHMEGDNSQAYGLLFLGILAFLPDSRQTSLLLYPFSEKDYIVLTLFCRFL >ONIVA12G01290.1 pep chromosome:AWHD00000000:12:1057455:1060197:-1 gene:ONIVA12G01290 transcript:ONIVA12G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J679] MASSQEFEANDPQQLHAKPKRLYQVWKGNNIFLCGGRLIIGPDAASLLLSMFLILGPAIVFSYQMESTIHRSQQRMHRAAQLIVIITTAADLFFLFMTSARDPGIVPRNTRAPPEADEFLGSTTPSMEWSSGRTPRMRFRRSKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLRNYRYFFLFVATSTFLCIFVFIFSWVNVYYERGYNGGSIWKALRKEVYSFVLIIYTFIVVWFVGGLTVFHLYLISTNQTTYENFRYHYNKKDNPYRKSIAANFVDVFFTKIPPPQNNFRSWVGEGALEAGFYTPYIALDLTDPREKIDLEMGNKDILVGGIQIPTVLQNIDYGSFEDNPDDKNRNEDDRLVPFASTWAQQANEGARTSEIATVEYKDEISEDGGKEIISSNTSSEQTSIEANAAASEDESNEDIAGKSNSSDRSSTQNLGDVN >ONIVA12G01280.1 pep chromosome:AWHD00000000:12:1055345:1059618:1 gene:ONIVA12G01280 transcript:ONIVA12G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEAISSLEKSASAVKECQDGFGKSNVTSPLSAENDDAFQLAELIMEQCEDRGGRYLRQVSQNFTFTVKPLTVTSFDLRNLIRGVLPELHSIDGVVEPRNSSASGGALVFLGTIPGSLADVMNRKKRSCNLKVKFT >ONIVA12G01270.1 pep chromosome:AWHD00000000:12:1054946:1055275:1 gene:ONIVA12G01270 transcript:ONIVA12G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNVNNGVSVLFLFAVLLIAASQLAAGTNSFLYGACKTIAGGSELLSVTFCIDALSSDNRSSNISSYKEFAVIAVDLLTANATSTKSEIDGKLRNGSGDAAATRCL >ONIVA12G01260.1 pep chromosome:AWHD00000000:12:1044804:1053025:1 gene:ONIVA12G01260 transcript:ONIVA12G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRQAIVVVVVFLVLFFVGGGSSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGFEAGANTSNIDPWSIIGDESSVHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMGHGFRKELMYMLLDLKPRFLRFPGGRKLLVHGKRDLDTMEMSGTTGRMMALGMSHHDAVNGTMLAPFIKDATDSLEFAKGSDKSTWGSVRATMGHPEPFPLKYVALGNEDCAPFKLIYRENYPKFYNAIKEAYPDIQIISNCDGSSGPLDHPADLYDYHIYENASTVFLKKNEFDRTSRNGPKVFVSEYAVNGEDAGNGSLLASLAEAAFLIGLEKNSWNPDAIVFNSWQQYGTPSYWMQTYFRESSGAMIHPIMISSSYSDLLAASAITWQDAENTFLRVKIVNFGPNAVNLTISSSGLQAGVDTAKSTVTVLTSSNLLDENSFSEPNKNLEEGNFSITKIWSVTIRHAGGMPRICQVVVPVASELPNAAEQMQVLHLPYSICSFDLALV >ONIVA12G01250.1 pep chromosome:AWHD00000000:12:1042240:1043924:1 gene:ONIVA12G01250 transcript:ONIVA12G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLQAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMFHPITITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVITSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEM >ONIVA12G01240.1 pep chromosome:AWHD00000000:12:1033353:1034572:-1 gene:ONIVA12G01240 transcript:ONIVA12G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEWLPAKFPAMLARSSLVDGAGEAADDRMGSRRRSPLFSLPSQGAAAKLEREKKDKMGQEICSAIPPDLQDYDRNFNIGREQTTKRKGQLFHLDY >ONIVA12G01230.1 pep chromosome:AWHD00000000:12:1030324:1032079:1 gene:ONIVA12G01230 transcript:ONIVA12G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRLDSFYARLHAAAAASAADASSPLLILPSAADADALCALKVLTHVLSADSIRFSIYPVASAAAAASLLASFSASQPLCLLLINWGAHRDLRAVLPPAATAFVVDSHRPIHLHNLSAANDRVVVLFTTDDEHTADLSYDFDKRFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNAESKDSKGSSAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTDKLCQPQALTKFCFFLMDALRERGARMKPLICACLAREPEKVLVVGVCGKPRLGAVKGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >ONIVA12G01220.1 pep chromosome:AWHD00000000:12:1020925:1021245:1 gene:ONIVA12G01220 transcript:ONIVA12G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQQDRSAAKPYANGSTAAAAAAAGRKENNKVVRYRECQRNHAASIGGHAVDGCREFMASGADGTAAALLCAACGCHRSFHRREVEATAAECDCSSDTSSGTGRR >ONIVA12G01210.1 pep chromosome:AWHD00000000:12:1011341:1014284:1 gene:ONIVA12G01210 transcript:ONIVA12G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAIRVVCDNDMVGATRPLPLRLRHQTLMQAGTFIEASNQICGAEDKLAEKKGPSIASHRQTQNLTCT >ONIVA12G01200.1 pep chromosome:AWHD00000000:12:1010316:1016129:-1 gene:ONIVA12G01200 transcript:ONIVA12G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAATLLVASTIFLFAATGARWRPADTGLPVPAADFSAAVLESAVTDTTAAAKELSFVDENGRPDDPASSSAAAARCDPTHAAVRVFMYDLPPEFHFGILGWSPPTDGAADAAMWPDVGSGAAAPRYPGGLNQQHSVEYWLTLDLLSSSSPPCGAAVRVADSRDADVVFVPFFAVADSRDADVVFVPFFASLSYNRHSRVVPPEKVSRDKELQEKLVRYLMAQPEWKRSGGADHVIVAHHPNSLLHARSVLFPVVFVLSDFGRYHPRVASLEKDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIFRKEGGNIRQELYYMLKDEKDVYFAFGSVQDHGASKASKGMHASKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDALDYSKFSIFVRSSDAVKKGYLMRLIRGVSKHQWTRMWNRLKEVDKHFEYQYPSQKDDAVQMIWQALARKVPAIRLKSHRSRRFSRYDRGK >ONIVA12G01190.1 pep chromosome:AWHD00000000:12:1007134:1007583:-1 gene:ONIVA12G01190 transcript:ONIVA12G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPPGTVQCFGRKKTAVAVSYCKPGRGLIKVNGVPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEASKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >ONIVA12G01180.1 pep chromosome:AWHD00000000:12:993829:1002549:1 gene:ONIVA12G01180 transcript:ONIVA12G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEIALNTGQTTSILSPLIHKEIKKRTKKARHCISLPLLSSEHKSQTQMEAAVATPSLLFSSPTPRRPSSCLSLPPPCSSSYASNGAKLQQPRLQFVSQLTSRNSNGSGRRSISILSLRCSSSGTDSASSSATSERWVLEPAGDGDWRHIGYRVARPGGFQIASEAAVTVGRVPEQADIVLSVATVSGTHARLEKKEGSLLVTDLESTNGTYINERRLTPGFPTPIDPGSLLIFGDIHLAMFRVSKMVVDVPGDASGAEQDAAETAQVSAAAQQTN >ONIVA12G01180.2 pep chromosome:AWHD00000000:12:993829:1002549:1 gene:ONIVA12G01180 transcript:ONIVA12G01180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEIALNTGQTTSILSPLIHKEIKKRTKKARHCISLPLLSSEHKSQTQMEAAVATPSLLFSSPTPRRPSSCLSLPPPCSSSYASNGAKLQQPRLQFVSQLTSRNSNGSGRRSISILSLRCSSSGTDSASSSATSERWVLEPAGDGDWRHIGYRVARPGGFQIASEAAVTVGRVPEQADIVLSVATVSGTHARLEKKEGSLLVTDLESTNGTYINERRLTPGFPTPIDPGDIHLAMFRVSKMVVDVPGDASGAEQDAAETAQVSAAAQQTN >ONIVA12G01170.1 pep chromosome:AWHD00000000:12:989243:993098:-1 gene:ONIVA12G01170 transcript:ONIVA12G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWAAAVAVMLLLAQVSAAAPVMGPAFLWAPKNYGFSSDETKEMVYYQTVSPKSLVKSVLEEGGWSNLVCSREDHAKSVDVAVLFLGSKLQSSDISKEKQADSTLVDMLKNSFASSEFSMAFPYVAMSDDDKLEKSLLSGFAENCNNGLGNNHITYTDTCSVSEDLKKHHDMDSIHGLVASQTKKNPSGQTDLVVFCDGGFKDNTKSEGELLSELVTLLKKSGAKYTILYASQPYGLLESPSNLPLGRYLAEKTNTTKPGRGKCDGECLVKSTLLEGSFVGIVLLIILISGLKCMMGIDTPSKFDAPPES >ONIVA12G01160.1 pep chromosome:AWHD00000000:12:984215:986263:1 gene:ONIVA12G01160 transcript:ONIVA12G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESTTSLVKLEQDGGLFLPPGFRFHPTDAEVILSYLLQKLLNPSFTSLPIGEVDLNKCEPWDLPSKAKMGEKEWYFFSHKDMKYPTGMRTNRATKEGYWKATGKDREIFRQPAAVNTSSYGGSSNKKKQLVGMKKTLVFYMGRAPKGTKTNWVMHEFRLHANLHNHHPNLRLNPKDEWVVCKVFHKKQGDEAINNQQQQPQYAAVDQYSAETPNSGSSVVQAGDIDGGDDFFQLDDIIDPSIYFVSNSSNILSAPPNNNNAVYSVSASTTTTNTTAVSFQQQPNYYSLINKSSSSSSNYSAPLQQHVSSWNNTPGAGGAHGIGSSYYNLQQQQAAMVKALENVIAVPNFGTLLPSSNKLKGLSKSAMAGVTQQNPLGVPQYKIENYGDHYISRQ >ONIVA12G01150.1 pep chromosome:AWHD00000000:12:972406:978600:1 gene:ONIVA12G01150 transcript:ONIVA12G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWMQPAVTFVTEAVIALVAKTMFLLGQDDVSHGNIRAPSTRIRRKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKSILSTPTSDNIGVKKALVFYKGKPPKGVKTDWIMHEYRLTGTSANNTTTTTKQRRASSMTMRLDDWVLCRIHKKSNDFNSSDQHDQEPEGSTVDEQLEDIHDNNSSSQQPPAPPDMNNQQSDFQPMTAMSMSKSCSLTDLLNNLDCAALSQFLLDGSSDAIAELPAPPSPLIYPNQTLNYNINNNMPHAFESRLDHHDGYVNNYNVNGLRRKRMMACSATSFDDGSSSSSDFLHVAKKPLLLPSDSRGSGFGGGYCNQQLSETATGFQFQNGNMLSRPFP >ONIVA12G01140.1 pep chromosome:AWHD00000000:12:953614:967911:1 gene:ONIVA12G01140 transcript:ONIVA12G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHLVVAMLLLLALSPPAVADDTAVLGRKGGVVEGQAAGPGRYAVILDAGSTGTRVHVFRFDNKLDLLKVGDDIELFAKATAGLRLIGDEKANQILEAVRDVVHTNSKYQYNPNWINVLEGSQEGSYIWVALNYLLDKLGGDYSKTVGVVDLGGGSVQMAYAISSNTAANAPKVPEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAHILERKDGPFSNCMLRGFSGNFTYNGKQYDATAAPQGADYHKCREEVVKLLKVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFIDSDAPSAKSTPATFKAVAEKVCKLSVKEAKVEYPNVRDHAYLCMDLIYEYSLLVDGFGLHPSKEITLVDKVKHGEYYVDAAWPLGTAIEAPAGAIIGSTVPMRRHLIHLRPPNRDAASCSLAPAACWSTKTGLGFLLRCHILIEDTNHELHQVDPGLSSYAGRPQEAAKSIMPLLDKANHAIPIWLMNKTPLELGVRDVVHTKTKFQYNPNWINVLSGSQEGSYMWVALNYLLDRLGGDYYKTVGVIDLGGGSVQMAYAISSGTAANAPEVPDGQDPYITKEYLKERDYNYDATAAPQGADYHKCRDDVVKALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDIGFINSEAPSAKSTPAAYKVAAEKVCRLSVEEAKAAYPRARDHAYLCMDLINQYTLLVDGFGLEATKEMTLVEKVKHGEYYIEAAWLLGTASLRLSHLKRSTKRHEFPLR >ONIVA12G01140.2 pep chromosome:AWHD00000000:12:953614:967077:1 gene:ONIVA12G01140 transcript:ONIVA12G01140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHLVVAMLLLLALSPPAVADDTAVLGRKGGVVEGQAAGPGRYAVILDAGSTGTRVHVFRFDNKLDLLKVGDDIELFAKATAGLRLIGDEKANQILEAVRDVVHTNSKYQYNPNWINVLEGSQEGSYIWVALNYLLDKLGGDYSKTVGVVDLGGGSVQMAYAISSNTAANAPKVPEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAHILERKDGPFSNCMLRGFSGNFTYNGKQYDATAAPQGADYHKCREEVVKLLKVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFIDSDAPSAKSTPATFKAVAEKVCKLSVKEAKVEYPNVRDHAYLCMDLIYEYSLLVDGFGLHPSKEITLVDKVKHGEYYVDAAWPLGTAIEAPAGAIIGSTVPMRRHLIHLRPPNRDAASCSLAPAACWSTKTGLGFLLRCHILIEDTNHELHQVDPGLSSYAGRPQEAAKSIMPLLDKANHAIPIWLMNKTPLELGMTRQTRFLKRLGGDYYKTVGVIDLGGGSVQMAYAISSGTAANAPEVPDGQDPYITKEYLKERDYNYDATAAPQGADYHKCRDDVVKALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDVSD >ONIVA12G01140.3 pep chromosome:AWHD00000000:12:956291:967911:1 gene:ONIVA12G01140 transcript:ONIVA12G01140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTLTRLGHWVQLLKLCHPKNGFEKYTNDLEIDPAGAIIGSTVPMRRHLIHLRPPNRDAASCSLAPAACWSTKTGLGFLLRCHILIEDTNHELHQVDPGLSSYAGRPQEAAKSIMPLLDKANHAIPIWLMNKTPLELGVRDVVHTKTKFQYNPNWINVLSGSQEGSYMWVALNYLLDRLGGDYYKTVGVIDLGGGSVQMAYAISSGTAANAPEVPDGQDPYITKEYLKERDYNYDATAAPQGADYHKCRDDVVKALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDIGFINSEAPSAKSTPAAYKVAAEKVCRLSVEEAKAAYPRARDHAYLCMDLINQYTLLVDGFGLEATKEMTLVEKVKHGEYYIEAAWLLGTASLRLSHLKRSTKRHEFPLR >ONIVA12G01140.4 pep chromosome:AWHD00000000:12:953614:956818:1 gene:ONIVA12G01140 transcript:ONIVA12G01140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHLVVAMLLLLALSPPAVADDTAVLGRKGGVVEGQAAGPGRYAVILDAGSTGTRVHVFRFDNKLDLLKVGDDIELFAKATAGLRLIGDEKANQILEAVRDVVHTNSKYQYNPNWINVLEGSQEGSYIWVALNYLLDKLGGDYSKTVGVVDLGGGSVQMAYAISSNTAANAPKVPEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAHILERKDGPFSNCMLRGFSGNFTYNGKQYDATAAPQGADYHKCREEVVKLLKVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVYIQVRR >ONIVA12G01130.1 pep chromosome:AWHD00000000:12:945254:946542:-1 gene:ONIVA12G01130 transcript:ONIVA12G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDEQQHATELQRCCLMRLPLLNLQDWGHHLSSPMGSHVLLGQVDNKYNINENDNPTHLEDVTFRDNKLKNEFAMREIASTAISTAAKRTVISTEEGKREYGPKAATPNDACGFLESGMEYNLPHSPFSSPTKASNVAATAHTSNISSSSSPHKVASSLFPPTCTLELPPTTHASFKRQDSPLAEE >ONIVA12G01120.1 pep chromosome:AWHD00000000:12:943013:945937:1 gene:ONIVA12G01120 transcript:ONIVA12G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRIKGVEYDYIEEDLRNKSNLLLECNPVHKKVPVLIYQGKPIAESDVILEFIDDVWKDLRYRILPEDPYECAMARFWSKFGLDKLSPPIWKWFTTQGKEQEDAYEAAMEQLLVLEKVLDEKKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLLPLDKLRPSDLGKRFGLGFYLGA >ONIVA12G01120.2 pep chromosome:AWHD00000000:12:943013:945937:1 gene:ONIVA12G01120 transcript:ONIVA12G01120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRIKGVEYDYIEEDLRNKSNLLLECNPVHKKVPVLIYQGKPIAESDVILEFIDDVWKDLRYRILPEDPYECAMARFWSKFGLDKLSPPIWKWFTTQGKEQEDAYEAAMEQLLVLEKVLDEKKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLLPLDKLRPSDLGKRFGLGFYLGA >ONIVA12G01120.3 pep chromosome:AWHD00000000:12:943013:945937:1 gene:ONIVA12G01120 transcript:ONIVA12G01120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRIKGVEYDYIEEDLRNKSNLLLECNPVHKKVPVLIYQGKPIAESDVILEFIDDVWKDLRYRILPEDPYECAMARFWSKFGLDKLSPPIWKWFTTQGKEQEDAYEAAMEQLLVLEKVLDEKKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLLPLDKLRPSDLGKRFGLGFYLGA >ONIVA12G01120.4 pep chromosome:AWHD00000000:12:943013:945937:1 gene:ONIVA12G01120 transcript:ONIVA12G01120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRIKGVEYDYIEEDLRNKSNLLLECNPVHKKVPVLIYQGKPIAESDVILEFIDDVWKDLRYRILPEDPYECAMARFWSKFGLDKLSPPIWKWFTTQGKEQEDAYEAAMEQLLVLEKVLDEKKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLLPLDKLRPSDLGKRFGLGFYLGA >ONIVA12G01120.5 pep chromosome:AWHD00000000:12:943013:945052:1 gene:ONIVA12G01120 transcript:ONIVA12G01120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRIKGVEYDYIEEDLRNKSNLLLECNPVHKKVPVLIYQGKPIAESDVILEFIDDVWKDLRYRILPEDPYECAMARFWSKFGLDKLSPPIWKWFTTQGKEQEDAYEAAMEQLLVLEKVLDEKKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLLPLDKLRPRYQAIREAFLSK >ONIVA12G01110.1 pep chromosome:AWHD00000000:12:940590:943314:-1 gene:ONIVA12G01110 transcript:ONIVA12G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRARVLAHAGGLAPWTPSVERSVASLPPVVRRRRRLRNHHQAPAPMPMDILGQSIPTIASLFGLTLHGLCDGPGPQNYPHHYQSLLPLPHTNRIGLGSPSAAAAAPAPMLGHLRRSSQRLPVANLAVYSIQSSHIEFIAGWCRNHSTRNFSVDTSNKVSNDRGYQSRELKPSLVKDDVAIIKHIQNSTKELKQGPVGKNLSSAEKRKFLVNTLLDLEDSKEGVYSTLDAWVAFEQEFPVASLKQALVALEKEEQWHRIVQVIKWMLSKGQGKTIRTYEQLVCALEKDNRADEACRIWELKIAHDLQSVPWRFCRLMLGIYYRNNRLDTLVKLFKNLEACGRKPPSKDIVRKVEDTYEMLGLVEEKKELLEKYKELFDKPSSNDRKKGRQFKKAEKNTKTG >ONIVA12G01100.1 pep chromosome:AWHD00000000:12:931953:935837:1 gene:ONIVA12G01100 transcript:ONIVA12G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESSWIVLDVVAVSSFMIRFAAAPWDGREKRGDRRRLPIRSSAASPAPSTKLDRASIAGNFADT >ONIVA12G01090.1 pep chromosome:AWHD00000000:12:931531:939508:-1 gene:ONIVA12G01090 transcript:ONIVA12G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTEEEMPAAKKMKMTKEVLLAYLSREEEDGKKRKKVVRRLGKEVERLLSVTVTVTVPTLSEEAMEEDDEDDEEDVREREMLLRADRTLREAVMSMRKDQDLIRSLFEAKGYVDVLDEVSDDDDDMEMEPLLRMTGWAAGGGLLSFLFHPKEQQRSWRERKRTKWAKKSAPQYRLEVVKPMPDDDEDDVWQKEVLLRANRLLRESAIRMRKNQELIRSLFEAKGYVDVEDEVSDDEMDMDMQLV >ONIVA12G01080.1 pep chromosome:AWHD00000000:12:916998:921569:-1 gene:ONIVA12G01080 transcript:ONIVA12G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J649] MPRPPSAPTTTTSCHCSLLWKVVKQVSLGFTMKGHHHHHSLPPSPPPKRRCTALAAAVPALVVCSILLPLVFLLGLHRPGHGSEERAAVVISTEMGFSKHKHLDGRMKHKLLKGYSLYTVSQGFVAILDVSRKKIPGSDGILGEKSGSRSKSKNVSTKSKEKLKGVFSLVQLKNETRKNKELHTQRRYQLKDLSWRSKDTTIDKKENQEVEHEENPKSCELEYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARAHYPSIAKLKNQETFTRELKQNIQEHERMLSDTIADADLPPFFAKKLEKMEHTIERAKSCEVGCTSVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSTSIHTVQSNKQKLEDPTFHHYVIFSKNVLAVSTTINSTVMNSKDSGSIVFHLFTDSQNFYAMKHWFDRNMYLEATVHVTDIEDHQKLSKDVDFHDMKLLRPAEEFRVTFRNHYQSFQKQMKTEYISTFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVVGAIQFCEVKLGQLKAYTEERNFDNNSCVWLSGLNVVELKKWRDLHITSRYEQLLQKLKKDSVTSFPLKVLPISLLVFQDLIYPLEDSWVQSGLGHDYGVSQTDIKRSVTLHYNGVMKPWLDLGIHDYKGYWRKYMTNGERFMTECNIH >ONIVA12G01080.2 pep chromosome:AWHD00000000:12:916998:921569:-1 gene:ONIVA12G01080 transcript:ONIVA12G01080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J649] MPRPPSAPTTTTSCHCSLLWKVVKQVSLGFTMKGHHHHHSLPPSPPPKRRCTALAAAVPALVVCSILLPLVFLLGLHRPGHGSEERAAVVISTEMGFSKHKHLDGRMKHKLLKDVSRKKIPGSDGILGEKSGSRSKSKNVSTKSKEKLKGVFSLVQLKNETRKNKELHTQRRYQLKDLSWRSKDTTIDKKENQEVEHEENPKSCELEYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARAHYPSIAKLKNQETFTRELKQNIQEHERMLSDTIADADLPPFFAKKLEKMEHTIERAKSCEVGCTSVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSTSIHTVQSNKQKLEDPTFHHYVIFSKNVLAVSTTINSTVMNSKDSGSIVFHLFTDSQNFYAMKHWFDRNMYLEATVHVTDIEDHQKLSKDVDFHDMKLLRPAEEFRVTFRNHYQSFQKQMKTEYISTFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVVGAIQFCEVKLGQLKAYTEERNFDNNSCVWLSGLNVVELKKWRDLHITSRYEQLLQKLKKDSVTSFPLKVLPISLLVFQDLIYPLEDSWVQSGLGHDYGVSQTDIKRSVTLHYNGVMKPWLDLGIHDYKGYWRKYMTNGERFMTECNIH >ONIVA12G01070.1 pep chromosome:AWHD00000000:12:892111:895596:1 gene:ONIVA12G01070 transcript:ONIVA12G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRIKRSWVKVVELVRRAVPLALSPENDSRKEELKKLQEKKEEIDKLAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYEDFMERLYLSRHRKLCAKNSFDMEKYLELQKHCKCPLEGHYPHGPKFHDL >ONIVA12G01060.1 pep chromosome:AWHD00000000:12:889893:890087:1 gene:ONIVA12G01060 transcript:ONIVA12G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSSATHSSYSPSSSHAITSLLPPLPSDHHLLLYLDHQEQHHLAAAMVRKRPV >ONIVA12G01050.1 pep chromosome:AWHD00000000:12:840234:840868:1 gene:ONIVA12G01050 transcript:ONIVA12G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTADPAVEEGRWEARLHPMRTWRAVGDASGDTEEDVVREVGRSTNQTSIITSLYFAIHANERNQSGVATFIFVTGYHEI >ONIVA12G01040.1 pep chromosome:AWHD00000000:12:836580:839283:1 gene:ONIVA12G01040 transcript:ONIVA12G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTVTDPLEELWNHTMSMDKTHLMCFYPSKITMGGVWTGDNPLDFSIPLLLFQILLITSTTRAATLLLSPLRLPTYISQILAGFLLGPSVLGHLPHFSNLVFPVRSLFVLESMALLGLVYYTFIVGVEIEVSAITRAGIRSFGFAIGCTLPPFLVGALTGYVALSTDDKHKDDTFLNKLSFPIFLGSTFSSTAFAVLARNIAELKLAGTDVGQLTLSASLINDTFAWTGLTVATVLGHSRCTITQTTWTLTSGVVIFGASYLLLRPMLLRLARRAAEGEAVGEDRECWILIGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVALVEKVEDFVVGALLPLFFALSGLRTDTAKITNMHSAVLLMVAAMVAAVLKVVAAIGVAGVFGMPLGDGTSIGLLLNTKGIIELVILNIARNKGIMSDQSFTVLVFVSALITAMVSPFLGMVVKPARRLVFYKRRTVAWAHPESELRVLACVHVPRDVPPLLTLLDVVTPSSRSPVGVLALHLIEFVGRSSALLLINASAPSSSSYDASVHGRSHTEMQFKHISHAFMAYEEQSVGVSARTMAAVSPYASMHEDITSAAENQHSALILLPFHKYRSVDGGLEVSHPAIQPLNCSVQSFSPCTVGILVDRGLAAVPGGGYRVVALFFGGSDDREVAALATRMVRNPTIDLTLLRFVQKGGSFTASEFDALKERKADEGCKDLFVVGKVPGGSGLTAGMAEWSESPELGPIGDLLASKDFQTTASVLVLQAYGRPAAVVGAGAGAMSVDFGGDSVAMAERTASGRRPWARPGV >ONIVA12G01030.1 pep chromosome:AWHD00000000:12:830837:835216:-1 gene:ONIVA12G01030 transcript:ONIVA12G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGHRRDKRSRSRSQPMLKKLLSKPKSKKKKEAASSALPTLDRLHETLEMLEKKECFLQKKASAEVERAKDYTKAKNKSAAIQCLKKKKLYETQIEQLANFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELENDLPEPPQRTSMEPSARVTTTQPANDLAELTKLQAEMAL >ONIVA12G01030.2 pep chromosome:AWHD00000000:12:830839:835216:-1 gene:ONIVA12G01030 transcript:ONIVA12G01030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGHRRDKRSRSRSQPMLKKLLSKPKSKKKKEAASSALPTLDRLHETLEMLEKKECFLQKKASAEVERAKDYTKAKNKSAAIQCLKKKKLYETQIEQLANFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELENDLPEPPQRTSMEPSARVTTTQPANDLAELTKLQAEMAL >ONIVA12G01030.3 pep chromosome:AWHD00000000:12:830839:835216:-1 gene:ONIVA12G01030 transcript:ONIVA12G01030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGHRRDKRSRSRSQPGLGRLAEVVNSASGPDLFFFRGRRSGGLRLVRLYGSFTQCGASQPVAKVKMLKKLLSKPKSKKKKEAASSALPTLDRLHEKKKLYETQIEQLANFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELENDLPEPPQRTSMEPSARVTTTQPANDLAELTKLQAEMAL >ONIVA12G01030.4 pep chromosome:AWHD00000000:12:830839:835216:-1 gene:ONIVA12G01030 transcript:ONIVA12G01030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGHRRDKRSRSRSQPMLKKLLSKPKSKKKKEAASSALPTLDRLHEKKKLYETQIEQLANFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELENDLPEPPQRTSMEPSARVTTTQPANDLAELTKLQAEMAL >ONIVA12G01020.1 pep chromosome:AWHD00000000:12:824082:829306:-1 gene:ONIVA12G01020 transcript:ONIVA12G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGSSSAKLVAACVIGGIVLGASVVALHLAGPVAIPTLPPVDALRRRFRRGRRRPVRVYMDGCFDMMHYGHCNALRQAHALGDELIVGVVSDDEITANKGPPVTPLHERLIMVRAVKWVHDVIPDAPYAITEDFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSTTDIVGIDSILRLARELGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMKDDLNPYAVPRAMGIYRRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYDSKSFVNGEIVVEYEC >ONIVA12G01010.1 pep chromosome:AWHD00000000:12:813781:817907:-1 gene:ONIVA12G01010 transcript:ONIVA12G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAVAERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVRFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTATPLSWATRMSVALGAAKGLACLHNAERPIIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQGSGGSDGAVQSVLGSGLPSYRVNRRLTTNSVHCRAIPNPKCSPAVPACRVR >ONIVA12G01000.1 pep chromosome:AWHD00000000:12:810952:811444:-1 gene:ONIVA12G01000 transcript:ONIVA12G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPHVSISLTGLAMVVLLIFSSSFLQAAQGSDKKMAMKYDVPVKRLVYRPSAMQAAVIGTEAAAYEPFELCMGCRCCASSNASSCVDTRCCYAIDCNIPGKPFGVCAFSPHTCDCGATNCTSQQQP >ONIVA12G00990.1 pep chromosome:AWHD00000000:12:808738:809424:1 gene:ONIVA12G00990 transcript:ONIVA12G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLRRHPNPLLAASVPAHLLRRFSALPDVDHPPLPTSTPPPASTCSSILDLQLAVCGEADLARIHSLVATTLSRPDDYPCLHGSRPLFSLAVSCLPRLRRLDLAVSLLCALLDSALASPGLLTRAISLFSGPNNALRAFSDSAPVACSNVSLSALLSALFGAGRVDDVESTLASAESSFGVAPGRASHNVFGASEKSKRDGRKKGEKKGKKGDVDTLTCGVYMGPR >ONIVA12G00980.1 pep chromosome:AWHD00000000:12:791432:796511:1 gene:ONIVA12G00980 transcript:ONIVA12G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSRYRFGPHEIAERQVFRTSPLSFAIVNLRPTRPGHILYFCQLCSLVKRFADLSPDETRDLWIMAKDIGVRVEQYQRASSLTFTIQDGPHSGQTVPHVHVHILPRRKEDFENNDNNNGMMNAKNETLDLDIERKDRTMEEMAQEAKEYQLITLNYEEQIIGVYGFQQIRSRTMTKAMIIAQSTFGWTSRLKTSSARLLLLKLPSIEKAGTWPVRSDDAWVHPDMCTSAS >ONIVA12G00980.2 pep chromosome:AWHD00000000:12:791432:796511:1 gene:ONIVA12G00980 transcript:ONIVA12G00980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSRYRFGPHEIAERQVFRTSPLSFAIVNLRPTRPGHILYFCQLCSLVKRFADLSPDETRDLWIMAKDIGVRVEQYQRASSLTFTIQDGPHSGQTVPHVHVHILPRRKEDFENNDNNNGMMNAKNETLDLDIERKDRTMEEMAQEAKEYQLITLNYEEQIIGVYGFQQIRSRTMTKAMIIAQSTFGWTSRLKTSSARVSDNDAAPAFFLVILATSSPPLLRILQLLLLKLPSIEKAGTWPVRSDDAWVHPDMCTSAS >ONIVA12G00980.3 pep chromosome:AWHD00000000:12:791432:796426:1 gene:ONIVA12G00980 transcript:ONIVA12G00980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSRYRFGPHEIAERQVFRTSPLSFAIVNLRPTRPGHILYFCQLCSLVKRFADLSPDETRDLWIMAKDIGVRVEQYQRASSLTFTIQDGPHSGQTVPHVHVHILPRRKEDFENNDNNNGMYIVNIFRIFLRGTANLKHKYNANETRSAGLKKLSNT >ONIVA12G00980.4 pep chromosome:AWHD00000000:12:791525:796511:1 gene:ONIVA12G00980 transcript:ONIVA12G00980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSRYRFGPHEIAERQVFRTSPLSFAISLVKRFADLSPDETRDLWIMAKDIGVRVEQYQRASSLTFTIQDGPHSGQTVPHVHVHILPRRKEDFENNDNNNGMMNAKNETLDLDIERKDRTMEEMAQEAKEYQLITLNYEEQIIGVYGFQQIRSRTMTKAMIIAQSTFGWTSRLKTSSARLLLLKLPSIEKAGTWPVRSDDAWVHPDMCTSAS >ONIVA12G00980.5 pep chromosome:AWHD00000000:12:791525:796511:1 gene:ONIVA12G00980 transcript:ONIVA12G00980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGSRYRFGPHEIAERQVFRTSPLSFAISLVKRFADLSPDETRDLWIMAKDIGVRVEQYQRASSLTFTIQDGPHSGQTVPHVHVHILPRRKEDFENNDNNNGMMNAKNETLDLDIERKDRTMEEMAQEAKEYQLITLNYEEQIIGVYGFQQIRSRTMTKAMIIAQSTFGWTSRLKTSSARVSDNDAAPAFFLVILATSSPPLLRILQLLLLKLPSIEKAGTWPVRSDDAWVHPDMCTSAS >ONIVA12G00970.1 pep chromosome:AWHD00000000:12:788385:796400:-1 gene:ONIVA12G00970 transcript:ONIVA12G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASEEGQGKRTRSQQLFSMRKCSVMQSSHEAEVHMSGCTHASSDRTGHVPAFSIEGSFKRRSCKIRNSGGEEVARITRKKAGAASLSLTLAEDVFSLEVQPNVDCAMIMAFVIVLDRICWKPYTPMICSSYIKQVGYTHTEEEAKLVSSTKREPEKEMLSYFHQPHQAIASMRRIQIHPSHGGGGGTGGRARRQAADQPVVYTVWKRSSIGFQGTDGFSVYDSAGKLAFRVDNYSRRRKAFAGDLMLMDGHGTPLLSLRPQADMSKQNILSLHNRWNCYRAQEEEGLDSTSSPSVSQQQVFSMRKSSALQSNDEAEVFMSTRTSGDSQLPDASPSPSFRIDGCFSMRSCKIRGRNGEEAARITRKNAGVMSRPVSLGDDVFTLVVRPGVDVAVVMAMVVVMDRICRRPYTPMACSSSGNSVVHSGEIIKSKEKYHLNRIENLLLMEMKHAYYLGVYIPANICNNVLNTTIASKHQRQPVVGMQAKQTRSHDYDRSLLGVDYLVHRQQSQPTSEGLQIAYRYQILRSGATVISHFRTQPAKLPIKLFFTTCQR >ONIVA12G00970.2 pep chromosome:AWHD00000000:12:788385:796400:-1 gene:ONIVA12G00970 transcript:ONIVA12G00970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLHTEFDLSASSFYFPTEVLLPLVTSAADGPKEQKPLIEPMGSKASEEGQGKRTRSQQLFSMRKCSVMQSSHEAEVHMSGCTHASSDRTGHVPAFSIEGSFKRRSCKIRNSGGEEVARITRKKAGAASLSLTLAEDVFSLEVQPNVDCAMIMAFVIVLDRICWKPYTPMICSSYIKQVGYTHTEEEAKLVSSTKREPEKEMLSYFHQPHQAIASMRRIQIHPSHGGGGGTGGRARRQAADQPVVYTVWKRSSIGFQGTDGFSVYDSAGKLAFRVDNYSRRRKAFAGDLMLMDGHGTPLLSLRPQILSLHNRWNCYRAQEEEGLDSTSSPSVSQQQVFSMRKSSALQSNDEAEVFMSTRTSGDSQLPDASPSPSFRIDGCFSMRSCKIRGRNGEEAARITRKNAGVMSRPVSLGDDVFTLVVRPGVDVAVVMAMVVVMDRICRRPYTPMACSSSGNSVVHSGEIIKSKEKYHLNRIENLLLMEMKHAYYLGVYIPANICNNVLNTTIASKHQRQPVVGMQAKQTRSHDYDRSLLGVDYLVHRQQSQPTSEGLQIAYRYQILRSGATVISHFRTQPAKLPIKLFFTTCQR >ONIVA12G00960.1 pep chromosome:AWHD00000000:12:784086:789465:1 gene:ONIVA12G00960 transcript:ONIVA12G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALSAVLRRAPTPFSLLPLPLAPPPPPTLLRRRPLLLPRAISSSSSPPPVQEMEAAYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVCPKREVKRFADLSSNETSDLWVTAKEVGVRLEQYHKASSLTFAIQCLQDGPEAGQTVSHVHIHVIPRKKGDFEKNDEIYDALPLTSGKEQFDWQFGWLCSEVGYDCCTRPEDLVTVVCMLHLHQQEILNCKCKSSSFGKKYSASGPPADTVHDNDHSHDDRDIDAWPDDEGEHVVAEADRSGHHAGVLPRDPRCLLAVSAADLAAPHGEAAVDSEAGAGRSIRAELFLMEKTCCCDTEGELVLSKPSSSCAL >ONIVA12G00950.1 pep chromosome:AWHD00000000:12:772805:775229:-1 gene:ONIVA12G00950 transcript:ONIVA12G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNVAAAPRPPCITTTSIVSQQQQEEEEPPVVLTVWRKSLLFNCHGFTVFDAKGNLAFRLDCYDATSSRRADLVLMDAAGKPLLTIRRKRISLYDSWIIYDGDGAATATATPLLSVRRHRRVGLRASKAIAHVTPLSSSLPLPEAYVVEGSYGRRSCAVRDARGDAVAEVRRKESVGDDVFRLVAQPRLGAPLAMAIVIAIDEMLRGGSSSLLRRTCSARDIELAARGSEARGGEKSLEH >ONIVA12G00950.2 pep chromosome:AWHD00000000:12:773849:775229:-1 gene:ONIVA12G00950 transcript:ONIVA12G00950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNVAAAPRPPCITTTSIVSQQQQEEEEPPVVLTVWRKSLLFNCHGFTVFDAKGNLAFRLDCYDATSSRRADLVLMDAAGKPLLTIRRKRISLYDSWIIYDGDGAATATATPLLSVRRHRRVGLRASKAIAHVTPLSSSLPLPEAYVVEGSYGRRSCAVRDARGDAVAEVRRKESVGDDVFRLVAQPRLGAPLAMAIVIAIDEMLRGGSSSLLRRTCSASMMDGAELSLEVHNP >ONIVA12G00940.1 pep chromosome:AWHD00000000:12:767173:769851:-1 gene:ONIVA12G00940 transcript:ONIVA12G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPGVLLKLLQAMHTDDRVAGDHRSPVLQVTAVVPALTASTADSLWPSNGFLLQLSDGLHSTYVQPSSADADALVSARPQLVGHLVHLDRLRFARPVPRAVGIRPVPSSRSVSFVGNPEPLVARPAACSRGYVIQPGSHSDSAPPLMPSSSGNAVQSDATDAVKRIVLAPKNVSEAAPPAAISAAKRRFSSPAPSKQRDPSPSVKGGASRPSSPSVKGASRASSPAVRGTPRATSPAPSKCVVPSLVAAKEENRRTAREPAIIVPSRYRQPSPVGGRRGAGSPAPGGRRASLSPSSRRLSGEGSSKKKVGVLVAGISKMTDLTNGSAVKPGRKSWDNTSIAAAAGSVMKSKVKVDKSTILRTQEAMARRLSDVTTELSSNDDDSSVDEKPKPRKKIESPAVKTKAMAPKIMLHDPKWTDGSIPLDGVSDVLSKMGKEATERRDAAAIAAADALQEALITESVIRNLSKFSELTSASKTSNPLPTVDIFLAVYEDTLKWKKIAESISTNRTETASWENSATHWVEAALATDLEVLKLMNKAPESLSRKRGADKPKAPLVVEAPRTTISKRQSHGTSAKVQSKVLPTSSASCAWNKTQGVNETAELATTLCCEMHTWFLKFVDEAMDLGFHLFEDQNVASRGKQSSHITMVLSQFKKISDWLDGVGKIAEEATTKDKVEQLKCKIYGFVINHMGSAFDSSVSISSRN >ONIVA12G00930.1 pep chromosome:AWHD00000000:12:764466:765167:-1 gene:ONIVA12G00930 transcript:ONIVA12G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTATAAGNGSGSILPTHTTTAPPFRAHKDADLESTTRRRRRRCLCCCLLVTLVVLLVLAITLLVLFLTVLRVRDPTTHLVSTRLTGLSPRLSFPAMSVQLNVTLLITVAVHNPNPASFTYATGGHTDLTYRGAHVGDAEIDPGRIPSRGDANVTMALTLQADRFAGDLTQLVSDVMGGSVALDASTRIPGRVAILGVFKRHAVAYSDCHFVFGVTEMAVRSQQCSDRTKL >ONIVA12G00920.1 pep chromosome:AWHD00000000:12:760529:766214:1 gene:ONIVA12G00920 transcript:ONIVA12G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRCVLGNLQIKLESHDQSELGAVAALLATHGHLRDAEHEMAIRVRHRVALEHAQDGHPPGDPSARVQRHRPAHHVGDELREVAGEAVGLQGERHGDVGVPTARDSARVDLGVADVGAPVGEVGVAPRGVGEGGRVGVVHRHGDEERDVELDRHGREGEARGEAGEAGGDEVGGGVADAEHREEEDQEGDGQDE >ONIVA12G00910.1 pep chromosome:AWHD00000000:12:759225:760177:1 gene:ONIVA12G00910 transcript:ONIVA12G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQEGIVLAAGGLIPIPVGATQTNLVPQSRAAARCANKELLSGLLPFSCIGLDWKIHPGLD >ONIVA12G00900.1 pep chromosome:AWHD00000000:12:752155:757418:1 gene:ONIVA12G00900 transcript:ONIVA12G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLARRPAPPSTAAPPPSSHPASSPRPAPTIPKGVEGVVVVPISLRYFPADPIAHDHVARLSSVAASTSRLTSISSAQPSIPRRSPPRPPSASPGHQRRRPLLRQPPPQPVQWEVFGLPHH >ONIVA12G00900.2 pep chromosome:AWHD00000000:12:752155:757418:1 gene:ONIVA12G00900 transcript:ONIVA12G00900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRRRRSVAGIDQDDLLDPDALANPDSSFYEINGVRVHHKVCTHEDSSDQSPDSGITNADQNQIGLPIVLLHGFGSSPIPSPMTMLPAYPPSLPPRVASRLSPPRNHQSRVDLLRVPLLRAPATNDGALYCGNLLLSRCIAAAWLRSLEVTYYLTSIAAAWLRSLGITYYPARSVLHAATAAVSTPSMHAVVRFAWMSDLSLMGGVVRTGVVAFKHWALAMPSLLLVVAAQGMVLYMASNFLLLLAWPLSMMNLPAACGTAIGEDKPIEAISITGILCASPRQCTVRGNSKGLILPINSNH >ONIVA12G00900.3 pep chromosome:AWHD00000000:12:753521:757418:1 gene:ONIVA12G00900 transcript:ONIVA12G00900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLPAYPPSLPPRVASRLSPPRNHQSRVDLLRVPLLRAPATNDGALYCGNLLLSRCIAAAWLRSLEVTYYLTSIAAAWLRSLGITYYPARSVLHAATAAVSTPSMHAVVRFAWMSDLSLMGGVVRTGVVAFKHWALAMPSLLLVVAAQGMVLYMASNFLLLLAWPLSMMNLPAACGTAIGEDKPIEAISITGILCASPRQCTVRGNSKGLILPINSNH >ONIVA12G00900.4 pep chromosome:AWHD00000000:12:753490:757418:1 gene:ONIVA12G00900 transcript:ONIVA12G00900.4 gene_biotype:protein_coding transcript_biotype:protein_coding KKKKGNGADSSPSTAAPPPTSLPPPPPPPHHHRPSIHPRASLDRRPSAVVASRLLHTIAAPQSTLATPHIAASAPPSSPSRIRHRRPPLSRTHPVTRRRRLLPPPSSRRNPRPAPPSTAAPPPSSHPASSPRPAPTIPKQGVEGVVVVPISLRYFPADPIAHDHVARLSSVAASTSRLTSISSAQPSIPRRSPPRPPSASPGHQRRRPLLRQPPPQPVYCRRLAALPRSHLLPHQYCRRLAALPRHHLLPRQVGTTCSDGRRLHS >ONIVA12G00890.1 pep chromosome:AWHD00000000:12:742922:745666:1 gene:ONIVA12G00890 transcript:ONIVA12G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRDADVTYDATDQLNLTTGSIYLYSTGSIQVLNVTDPNMVKELANCKSLDLGKPCYLQKERGALLGMGILTSNGDLWVHQRKVIAPELFMERVKGMVNLMMEAAMSMLNSWKNEVEDRGGSAEIVVDEFLRTFSADVISRACFGSSFSEGKEIFIKIRQLQKAMAKQSMLIGVPGSRYLPTRSNRGIWNLDSSIRTLILNISKKYEHDSSTSVNKDLLHSIIQGSKDGPFASCTPEDFIVDNCKNIYFAGHETTSTTAAWCLMLLASHHEWQSRARVESLDICQGRPLDFDILRKLKKLTMVIQETLRLYPPASFVAREALNDMKLGGIDIPKGTNIWIPIAMAHRDPSVWGPSADKFDPDRFANGIAGACK >ONIVA12G00880.1 pep chromosome:AWHD00000000:12:740009:741896:1 gene:ONIVA12G00880 transcript:ONIVA12G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLWHSLTNSVPRRPSLSVFRRKGVKEYGVGEQEWQNKKDSNGSNLPTNPLNRIWGKFLELCLWIAGFLMNMIRATVSIVRSLYCKAVVAVLRSSVGVRMPLRSRGWEMALLEYTISMIMDSISSSKVPVSERLSEISCPVLVVSGDTDRLVPRWNTERVARAIPGAGFEVIKNSGHLPQEERPEEFVSVVERFLRRASGRPNNEQEQVLQAAV >ONIVA12G00870.1 pep chromosome:AWHD00000000:12:738206:738991:1 gene:ONIVA12G00870 transcript:ONIVA12G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRYLQSPPSAPHPQHSAAFSRGLRPRRPNGPPPAFASAEFPGSVPDSAQMPPRRRRRRRSVAGIDQDDLLDPDALADPDSSFYEINGVRVHHKVCTHEDSSDQSPDPAITNADQNQIGLPIVLLHGFGSSVFSWTHIMRSLARIAGAKVLAFEAMYNA >ONIVA12G00860.1 pep chromosome:AWHD00000000:12:727797:728024:-1 gene:ONIVA12G00860 transcript:ONIVA12G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVEGWKPTWLSAADLDGDAAPVGSGDAAGPVGGARSAVAVDRGVTHAIWREMLRGDQGKEAERHGVDLARAQG >ONIVA12G00850.1 pep chromosome:AWHD00000000:12:721538:723363:1 gene:ONIVA12G00850 transcript:ONIVA12G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J614] MAALNFKAVAAVMVMAMVVAAPGASAAITCGQVGSAIAPCISYVTGRGGLTQGCCNGVKGLNNAARTTADRQAACRCLKTLAGTIKSLNLGAAAGIPGKCGVNVGFPISLSTDCSKVS >ONIVA12G00840.1 pep chromosome:AWHD00000000:12:707808:712689:1 gene:ONIVA12G00840 transcript:ONIVA12G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J611] MARAQLVLVALVAAALLLAGPHTTMAAISCGQVNSAVSPCLSYARGGSGPSAACCSGVRSLNSAASTTADRRTACNCLKNVAGSISGLNAGNAASIPSKCGVSIPYTISPSIDCSSVN >ONIVA12G00840.2 pep chromosome:AWHD00000000:12:712530:714096:1 gene:ONIVA12G00840 transcript:ONIVA12G00840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J611] MARAQLVLVALVAALLLAAPHAAVAITCGQVNSAVGPCLTYARGGAGPSAACCSGVRSLKAAASTTADRRTACNCLKNAARGIKGLNAGNAASIPSKCGVSVPYTISASIDCSRVS >ONIVA12G00840.3 pep chromosome:AWHD00000000:12:707808:708875:1 gene:ONIVA12G00840 transcript:ONIVA12G00840.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J611] MARAQLVLVALVAAALLLAGPHTTMAAISCGQVNSAVSPCLSYARGGSGPSAACCSGVRSLNSAASTTADRRTACNCLKNVAGSISGLNAGNAASIPSKCGVSIPYTISPSIDCSSVN >ONIVA12G00830.1 pep chromosome:AWHD00000000:12:702186:703932:1 gene:ONIVA12G00830 transcript:ONIVA12G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J610] MAGARRTMALVALVAVVAAAVVAERASAAVSCGDVTSSIAPCLSYVMGRESSPSSSCCSGVRTLNGKASSSADRRTACSCLKNMASSFRNLNMGNAASIPSKCGVSVAFPISTSVDCSKIN >ONIVA12G00820.1 pep chromosome:AWHD00000000:12:700416:701104:-1 gene:ONIVA12G00820 transcript:ONIVA12G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNHLDGLSGGEVKEWLKRVNKRERRRKRRSKWSSVPPSCRTLHNRCRGHLDHSARELMFPTKGTLLISLALQGVGRTPAKMALSSQSSAPLQLALRAELGTN >ONIVA12G00810.1 pep chromosome:AWHD00000000:12:697844:699228:1 gene:ONIVA12G00810 transcript:ONIVA12G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGEHAGAVHHVRDGEGVRPHRRLLRRGQDPQLRRRHHRRPPDHLRLPQAADQRHGRPEARPRRRHPLQVRRQHPLRYQPFHRLLQGALSGSTSSDATIIMERWIHRSAALILRLLSAIFVVVREIELEMHVTPPFCYYLLTCCFVAV >ONIVA12G00800.1 pep chromosome:AWHD00000000:12:689438:690730:-1 gene:ONIVA12G00800 transcript:ONIVA12G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTESVCLSDEQQGVAVREVAQVYELIKTQQPLLLVHQQPQQLAHGLLNHAMRALNVALSVMNQPHASSSSSAAAAAGGHHFPVMTMIKAESTPANSPAADVSDNHVAGKARRSSPAKRRRINCEDKSSWVYHTVVPHEDGYQWRKYGEKKIQGTHFTRSYFRCTYRDDRGCQATKQIQQEDKNDPPMFQVTYSNEHTCTTTRLINNTNNNPAALHSLTANPNGHPDDDSDDTILTKMIKQEQQAAWLPSPPPDLTTISNNFDETPGLHVSQEVPPCSSNSSAISHYADEFDHHQMGQPLETTVMEEALGLGADLDDPYFYDPNLLLIYENLMNCY >ONIVA12G00790.1 pep chromosome:AWHD00000000:12:680082:687834:-1 gene:ONIVA12G00790 transcript:ONIVA12G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSPNSMLWLVLLVWAALLCGSCHGRFVVEKNSLKVTSPSDMKGTYECAIGNFGVPQYGGTMVGVVAYPKANKKACKSFDDFDISYKAKPGSLPTFLLVDRGDCFFTKKAWNAQNAGAAAILVADDKTEPLITMDTPEESGNTDYLENITIPSALITKSFGDKLKKAIDNGDMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTQFTPHYITWYCPDSFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVYKVAKEHGKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADKENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANISACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKDSRHGRTYSACTLQFKSIFMLFLMYRMMVVNVRMGSKVMESTNAKAKMQQQRGTWIQRSVPSWPSTCPWTTKEMFPIILTISSYEKSEDSMQLPPMLPKWDEKSFAWFLRKKKNQAFHTCWWKRMPFTSINWLRDRLFTSIRRRRRRRRALAPLAPTTIAMPSCFTLDSASDDGRSTAQGQGWCAGVGGFLSSFFSAGAARADGGKPSPDWDAHGLAASALPVPLSRLDGKKRYKVSELTFLDRRTRAAAEDPLFDALRPGGVYTRAQLRDELDALAASGMFDHVTFQTKPKPDGTLGLTVSYAETQWPAVEHLKCINVGGLMAQPDGDELEPDDDMTARERMEHLRRQEREYRQLVRRAKPCVLPEKLQRELQGMVKKQRKVSSGLLKRMAGRIERWYHDEGFQCAQVVGYNGNLDAGEVLRPGHIYNNGAGKQALKNIDSLGLFSTVEVEPRPDETNQGGVIVAIKLKEHDPKSAQVITDWSIVSGSQGTPTLASIQPGGTVSFEHHNICGLKRSPIGSVTTSNLLNPEDDLSFKLEYAHPYLDGVDNRSRNRTFKISCFNSRKLSPIFVAGPNMYAAPPIWVDRIGFKANITESFTKQSKFTYGLVVEEITTRDENNNICTHGSRQLPSGALSMIGPPTTLSGTGVDRMAFLQANITRDNTEFVNGATIGDRCIFQYSEQSFLVGYRWIKASVLEARTLFNRHQLTVTKFINLNKQEKGPRKPPPAVLALHGRYAGCVGDLPSYDAFALGGPHSVRGYGMGELGASRNLLEVATELSVPITVKNRHTQVYAFAEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGVGVKLGAVRAEYAVDHNTGTGAFFLRFGERF >ONIVA12G00780.1 pep chromosome:AWHD00000000:12:676987:680747:1 gene:ONIVA12G00780 transcript:ONIVA12G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEKVLLFKLLPTKKAKRMNGSFMTTFEESRLNESDVGDRQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVTDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >ONIVA12G00780.2 pep chromosome:AWHD00000000:12:676962:680661:1 gene:ONIVA12G00780 transcript:ONIVA12G00780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNLLYWNGRIIDFDLPITVRLTVTDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >ONIVA12G00780.3 pep chromosome:AWHD00000000:12:676962:680747:1 gene:ONIVA12G00780 transcript:ONIVA12G00780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNLLYWNGRIIDFDLPITVRLTVTDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >ONIVA12G00770.1 pep chromosome:AWHD00000000:12:669164:670398:-1 gene:ONIVA12G00770 transcript:ONIVA12G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J602] MATVEVEGIPFPQEITVSKPLSLLAHGITDIEIHFLQIKYNAIGVYLEKDNVLGHLESWKGKKAEELVQDDGFFQALVSAPVEKLFRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEDEEEESLEKVTEFFQSKYFKPNSVLTFHFPNTPGIAEISFVTEGKGEAKLTVENKNVAEMIQKWYLGGESAVSPTTLLSCACYN >ONIVA12G00770.2 pep chromosome:AWHD00000000:12:669271:670398:-1 gene:ONIVA12G00770 transcript:ONIVA12G00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J602] MATVEVEGIPFPQEITVSKPLSLLAHGITDIEIHFLQIKYNAIGVYLEKDNVLGHLESWKGKKAEELVQDDGFFQALVSAPVEKLFRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEDEEEESLEKVTEFFQSKYFKPNSVLTFHFPNTPGIAEISFVTEGKGEAKLTVENKNVAEMIQKWYLGGESAVSPTTVKSLADQFAALLSA >ONIVA12G00760.1 pep chromosome:AWHD00000000:12:663031:667529:1 gene:ONIVA12G00760 transcript:ONIVA12G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGLHAAGRRELRRLGRQHGHRPRHAALRRHLLPRPQLPHPLRAPLRPRPLTLTRHGHAGSCHHHGRAEEEGAEEDSGGGVRRQAGRRPRRRVRHLPGRLRRRRQGARAPQVPPRLPRRLHRHVARRAHVLPYLPGLHSLRPCRSHRRPNIASNIINSFVCNFSNRRGLQCK >ONIVA12G00750.1 pep chromosome:AWHD00000000:12:648279:648599:-1 gene:ONIVA12G00750 transcript:ONIVA12G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESRRRRRAAAEAVAWCLALGVVALLLVGSVEKEEEVVVVRGARLAAARPCEEIYVVEEGETLHSISDRCGDPYILEQNPHVHDPDDVFPGLVIKITPRPGRRN >ONIVA12G00740.1 pep chromosome:AWHD00000000:12:639665:641554:-1 gene:ONIVA12G00740 transcript:ONIVA12G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGRASSSAPVLAAAAAVLLSLCLAALSEEQEQLENLRFVRHAQDAPLVSSYNYIVIGGGTAGCPLAATLSEHSRVLLLERGGLPYANMSSEQHFTDALADTSPASPAQRFISEDGVVNARARVLGGGSCLNAGFYTRASNEYVRAAGWDARLVNSSYRWVERSLVFRPDVPPWQAALRDALLEVGVTPDNGFTFDHVTGTKIGGTIFDNSGQRHTAADFLRHARPRGLTVLLYATVSRILFKSQDGVPYPVAYGVVFSDPLGVQHRVYLRDGDKNEVIVSAGTLGSPQLLMLSGVGPQAHLEAHGIEVIVDQPMVGQGVADNPMNSVFIPSPVPVELSLVQVVGITRSGSFIEGVSGSEFGMPVSDGALRWARSFGMLSPQTGQLGTLPPKQRTPEALQRAAEAMMRLDRRAFRGGFILEKILGPVSSGHVELRTTDPRANPSVTFNYFREAEDLERCVHGIETIERVIQSRAFSNFTYANASVESIFTDSANFPVNLLPRHVNDSRSPEQYCMDTVMTIWHYHGGCHVGAVVDDDYRVFGVQGLRVIDSSTFKYSPGTNPQATVMMLGR >ONIVA12G00730.1 pep chromosome:AWHD00000000:12:632674:641830:1 gene:ONIVA12G00730 transcript:ONIVA12G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNHGGGGYPYPPQQYPYPYGQYPYQYPPPQQQPPPPSAYLSPSRSFHGYPSAPPPQPQPQPYAHHSAPLQPYPPPPQHHAYPPPQPHPPSPYVYDPYHAPAAAYPSYPSPNPSPSISPSSSFHHHPEPPSPSPSAPSYPSIADGLANMHVSDRHDYPPPPSPAAVPAASSPSVLPPSASFPGGGSSHGGGGMQMVPYGPPAGGSQHGGMQMVAYGSPAGGSQHGSVRPSLKVVLLHGTLDVWVYDARNLPNKDLFSKRVGDLLGPRLIGAVGSKMSSANMTSDPYVTIQVSYATVARTYVVPNNENPVWTQNFLVPVGHDAAEVEFVVKDNDVFGAQLIGTVSIPAEKLLFGERINGIYDVLESNGKPCAQGAVLRLSIQYIPVAQLKMYHHGVIAGPDSLGVPNTYFPMRRGNRVTLYQDAHVPDGCLPDFCLDHGMRYQHGQCWRDIYNAICQARRLIYIVGWSVFHTIHLIREGVEKMPSLGELLKMKSQEGVRVLLLVWDDPTSRSILGIKTDGFMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVILDADAGNHKRKIIAFVGGLDLCGGRYDTPSHPLFRSLQTVHKEDYYNPNFATVDARGPREPWHDLHSKIDGPAAYDVLQNFQERWLKASKRHGIKKLGKSYDDALLSIERIPDFISINDAIYFSDNDPETWHVQVFRSIDSNSAKGFPKDPREATRKNLVCGKNVLIDMSIHTAYVNAIRGAQHFIYIENQYFIGSSFNWDSNKDIGANNLIPIEIALKIANKIKAKERFSAYIVIPMWPEGNPTGAPTQRILYWQHKTMQMMYETIYRALKEEGLDDLYEPQDYLNFFCLGNREVADSPSTSNSTSTPQEQARKHRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWASKVSAPRGQIYGYRMSLWAEHIGVVEEGFNYPETMECMRRVRQIGEQNWERFVDNEVTEMRGHLMKYPVSVDRKGKVKPLPGCTSFPDMGGNICGSFRAIQENLTI >ONIVA12G00720.1 pep chromosome:AWHD00000000:12:626502:628781:1 gene:ONIVA12G00720 transcript:ONIVA12G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSSMRWWCLAVVLLLSTPLLAAGYLQERKNYIVHLEPRDEAAAAAGDASVEEWHRSFLPQVAKLDSDSDGADGGPRIVYSYSDVFTGFAARLTDEEAEAVRATAGCLRLYPEEFLPLATTRSPGFLGLHLGNEAFWSHSGFGRGVVIGILDTGILPSHPSFGDDGLQPPPKNWKGTCEFKAIAGGGCNNKIIGARAFGSAAVNSSAPPVDDAGHGTHTASTAAGNFVENANVRGNADGTASGMAPHAHLAIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGASSGTQFNYDPIAIAGFKAMERGIVVSCAAGNSGPDPGTVGNGAPWMLTVAAGTMDRAIRTTVRLGNGDEFDGESLFQPGNNSAANPLPLVYPGADGSDTSRDCSVLRGAEVTGKVVLCESRGLNGRIEAGQTVAAYGGAGIIVMNRAAEGYTTFADAHVLPASHVSFDAGTKIAAYVNSTDNPTASIAFKGTVIGSSPSPAVTFFSSRGPSKASPGILKPDITGPGMNILAAWAPSESHTEFSDGVGLSFFVESGTSMSTPHLSGIAALLKSLHPDWSPAAIKSAIMTTSDAVDRTGVPIKDEQYRHATFYAMGAGYVNPALAFDPGLVYDLHADDYIPYLCGLGIGDDGVKEIAHRPVTCSDVKTITEAELNYPSLVVNLLAQPITVNRTVTNVGKPSSVYTAVVDMPKDVSVIVQPPMLRFTELKEKQSFTVTVRWAGQPNVAGAEGNLKWVSDEHIVRSPIIIPATAA >ONIVA12G00710.1 pep chromosome:AWHD00000000:12:619210:624289:1 gene:ONIVA12G00710 transcript:ONIVA12G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAERGGDSCYSPAAVMATAGALALVAICSYLAVTSNKQKRRRRPPVVGTVFHQLYNVRRIHDYHTALSREHTTFRMLVPAGGDQIYTCDPAVVEHILKTNFANYGKGPFNHGNAKDLFGDGIFAIDGEKWKQQRKIASYDFSTRALRDFSCAVFKRNAAKLAGIVSNHAASNQSMDFQGLMLRATMDSIFTIAFGTDLNTLDGSGEGSRFAAAFDDASEFTMLRYISPLWKLARLLNVGVEAMLKERIKVVDEFVYRLIRARSDELSNSHDSGSRQDILSRFLQATTSDSGVDYKYLRDIILNIVIAGKDTTAGALAWFLYMVCKHPEVQEKICHEAMVATSAGDTASVDEFLQSLTDQALNNMHYLHAALTETLRLYPSVPMENKQCFSDDVLPNGFNVSKGDIVFFIPYAMGRMESLWGKDAEYFRPERWLDENGVFQQESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLRFFVLKLRDEKEIVGYRTTLTLAIDQGLHLTATAR >ONIVA12G00700.1 pep chromosome:AWHD00000000:12:609254:611612:1 gene:ONIVA12G00700 transcript:ONIVA12G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANASTVKPVVAACYDNNLVNSQGMFLGDEPLRFALPLLLVQVSIILTLSAAAHHVLRRLGQCRFVTHMLVGIFLGPSVLGRNPHLRTALFSERGTYILESVSLVALILFLFSMAVKTDLTLLRRPTARALAVGLAGSLVPLAHDVCLLAHSRKANLILLPFHKSSDGARSTANNAIRGINRSVMQYAPCSVGILIDHGVAAGSACATASNSTLQRVALYFLGGADDREALAYVARMAECGVVAVTVVRLKLRDWVGMGGRDEMRDEEALQEFWQRYSSAGAERVAYVEKTVEDGEGTASVVRAMSDKFDLLVVGRREGGGDGAEGSSAAALTSGLSEWSECPELGVLGDMLASADFAAKVSILVVQQQAATRNDDDY >ONIVA12G00690.1 pep chromosome:AWHD00000000:12:602390:607327:1 gene:ONIVA12G00690 transcript:ONIVA12G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIASSSGILMDANGKANGSAPSALVAYFLGMGFSREMVFRAIKEIGNDNNNTFPHLLQLLPFLSGDTDSEQILELLLTYQAIGSDPSVGNSSHSACDPQILDEEDEEDVNWDEDDTVDNFDRATYSDGSGDEMSEKDEKIKSLVSMGFPEDEAMRAITRCGLDASVDLLVESIYAPASAGNVYFTNLSDYEDTEFSSFGGRKKTKLIDGTKKKRERYRSRPQWNQVPFDGSHEEPMPLPNPMVGFSLPNDGLRSVHRNLPDHALGPPFFYYENVALAPKGVWTTISRFLYDIYPEFVDSKYFCAAARKRGYIHNLPIKNRSPVLPIPPKTISEAFPSTKMWWPSWDPRRQFNCLQTCVASVKHTERIRCALGRFGDALPPAVQKSVLEECRKWNLVWAWNLYEDSGLSRNIRGVWTTISRFLYDIYPEFVDSKYFCAAARKRGYIHNLPIENRSPILPIPPKTISEAFPSTKMWWPSWDPRRQFNCLQTYVASAKHTERIRCALGRFGDALPPAVQKSVLEECRKWNLVWVGKKKVATLEPDEMEFLLGYPRNHTRGEARYRALGNSFQVDTVAYHLSVLRDIFPNGMNVLSLFSGIGGAEIALHRLGIRMKTVVSVEISEANMALLRSWWDQTQTGTLIEIADVQNLTAERIELFIRRFGGFDLVIGGSPCNNLAGSNRYDRDGLEGKHSTLFYHYYRILDSVKTIMASMKVVSPCLQGPSDETILLLDTEN >ONIVA12G00680.1 pep chromosome:AWHD00000000:12:587688:590870:1 gene:ONIVA12G00680 transcript:ONIVA12G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSKGKEELEEEGFPWKHDAFFHDQLWSAGVSMHTKQGWKGANQDAMTTCQDFAGHKGQIFCGVFDGHGPLGREVARHVRDVLPMKLSSSLALKTEQDPSSNTDKEALEKSDCTSLSDTSNEKQLLSTWKNIFVKTFEDVDDDLRQNSGIDCICSGTTAVTVVRQGDHLIIANLGDSRAVLCTRDSKDRPIPVQLTTDLKPNLPSEAERILNCKGRVFAMDDEPDVSRMWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSEKDEFLVLATDGIWDVLSNKEVIKIVSSATDHSKAAKQLVERAVRAWRRKFPTSMVDDCAVVCLFLKPSPSSEESTHVDAKAPQVVSFTGSFRKALGGGGGGEAEEGTNVWRALEGVARVNSVVRLPRMDAVLSWRRRSTSLEEDDEARID >ONIVA12G00680.2 pep chromosome:AWHD00000000:12:587688:590900:1 gene:ONIVA12G00680 transcript:ONIVA12G00680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGICCSKGKEELEEEGFPWKHDAFFHDQLWSAGVSMHTKQGWKGANQDAMTTCQDFAGHKGQIFCGVFDGHGPLGREVARHVRDVLPMKLSSSLALKTEQDPSSNTDKEALEKSDCTSLSDTSNEKQLLSTWKNIFVKTFEDVDDDLRQNSGIDCICSGTTAVTVVRQGDHLIIANLGDSRAVLCTRDSKDRPIPVQLTTDLKPNLPSEAERILNCKGRVFAMDDEPDVSRMWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSEKDEFLVLATDGIWDVLSNKEVIKIVSSATDHSKAAKQLVERAVRAWRRKFPTSMVDDCAVVCLFLKPSPSSEESTHVDAKAPQVVSFTGSFRKALGGGGGGEAEEGTNVWRALEGVARVNSVVRLPRMDAVLSWRRRSTSLEEDDEARID >ONIVA12G00670.1 pep chromosome:AWHD00000000:12:582978:586795:1 gene:ONIVA12G00670 transcript:ONIVA12G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPTHHAKRRRLALPPPPPPHLNDLADELLFLILDRAAAHDPRALKSFSLVSRACHAAESRHRRVLRPFRPDLLPAALARYPAISHLDLSLCPRLPDAALAALPAAPFVSAVDLSRSRGFGAAGLAALVAAFPNLTDLDLSNGLDLGDAAAAEVAKARRLQRLSLSRCKRITDMGLGCIAVGCPDLRELSLKWCIGVTHLGLDLLALKCNKLNILDLSYTMIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALTSLDQECSKSLQVLDMSNSYNVTHVGVLSIVKAMPNLLELNLSYCSPVTPSMSSSFEMIHKLQTLKLDGCQFMDDGLKSIGKSCVSLRELSLSKCSGVTDTDLSFVVPRLKNLLKLDVTCCRKITDVSLAAITTSCPSLISLRMESCSLVSSKGLQLIGRRCTHLEELDLTDTDLDDEGCRLLSKLDIKKCFEINDMGMIFLSQFSHNLRQINLSYCSVTDIGLISLSSICGLQNMTIVHLAGVTPNGLIAALMVCGLRKVKLHEAFKSMVPSHMLKVVEARGCLFQWINKPYQVAVEPCDVWKQQSQDLLVQ >ONIVA12G00660.1 pep chromosome:AWHD00000000:12:568743:571913:1 gene:ONIVA12G00660 transcript:ONIVA12G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVNCSTEEQTQDKIIVNIHTALISAPSSKQEMGQMTCIAHLLMKVVIPELQYCASYSEIHCHWSRSNIWGSHVILSHLPWQEAVSNIAMLTLRPLFVLWWEDKTKDMFICIGLGIDQLMF >ONIVA12G00660.2 pep chromosome:AWHD00000000:12:568743:571913:1 gene:ONIVA12G00660 transcript:ONIVA12G00660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVNCSTEEQTQDKIIVNIHTALISAPSSKQEMGQMTCIAHLLMKVVIPELQYCASYSEIHCHWSRSNIWGSHVILSHLPWQEAVSNIAMLTLRPLFVLWWEDKTKDMFICIGLGIDQLMF >ONIVA12G00660.3 pep chromosome:AWHD00000000:12:568921:571913:1 gene:ONIVA12G00660 transcript:ONIVA12G00660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVNCSTEEQTQDKIIVNIHTALISAPSSKQEMGQMTCIAHLLMKVVIPELQYCASYSEIHCHWSRSNIWGSHVILSHLPWQEAVSNIAMLTLRPLFVLWWEDKTKDMFICIGLGIDQLMF >ONIVA12G00660.4 pep chromosome:AWHD00000000:12:569183:571914:1 gene:ONIVA12G00660 transcript:ONIVA12G00660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELSWEAEQAATGGIKEQGGKWFLKPSIKRANALSSAQENIMDEAVNCSTEEQTQDKIIVNIHTALISAPSSKQEMGQMTCIAHLLMKVVIPELQYCASYSEIHCHWSRSNIWGSHVILSHLPWQEAVSNIAMLTLRPLFVLWWEDKTKDMFICIGLGIDQLMF >ONIVA12G00650.1 pep chromosome:AWHD00000000:12:558792:566025:-1 gene:ONIVA12G00650 transcript:ONIVA12G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYRSHLWGFTAKKEEAYSGENDERQQILQLIIEEIQPETSELPDGRIQVHQEIDAAVAELIEQEALDELEQLVGAQLEAPADQQNPVEQHQDEDDKLSLDNLLEQHRWEGALQRLMRMVRNGEAINGPVPDAPPQDIYVAHPEIVILLMAEELMRSGNAAAAMRYYHDKIEIIYSGNTGSAFVNGGVLKEIQDWVNAKRDTPSGKDEATTHMENTCQAIHDYLKLYFPAYRPQIGGKVRGHQVSKVWEFGERLGKDGGRCLACHKKVKGFNVTKLQNHLQGSVKRQGTQCPAINDYILSRVLLHVTSDFDPKQRQKTRLGYKPATPASRPSEDTSSAHRQSDCASSSREAVGRDSSKRTAPQPPPGGSGSRQQLQGGGLKRRRAVSGKPSSPPSSPFFRRRFRCDWFLCKSNPREEKKRKRNKVGFFSITPITYRPHMITPLLLPPLLPHSTTIVVFLSPLPHLQSCTKQAISKVQAFSSSCVWISLRFPLCLPLPMALDSGRSSAFTTVLDDPKMSSNSTLTDSLHERTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQTWIKRSRRTFKEIPITQIPSASKDIKEVRAVEEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFAQEDKTIQGEDNSSSVPLHYVDNYDGIQSVSTCEQSSSHAPADSVPLPGLPEFSYLGWGHWFTLRDLELATNCFSKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPDEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNSEKRPRMDQVVRMLDSNEPIPQEERRQRQNHISNNSETEPLRGKSSSGKSDAPENEMRPPRYKNRSFPPK >ONIVA12G00650.2 pep chromosome:AWHD00000000:12:558794:562993:-1 gene:ONIVA12G00650 transcript:ONIVA12G00650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQNNKKEKKRGNTDTDRATARAPAGKPSAETPANGRRRSLRQAAVGAGSSCKVAASSGGEQSQGNQARRRRPHSSVADFAVTVLHQASNLQSASFLFFLRLDLAQVSPLPATPHGSGFRSLFSIHYRIHFLKANFWFLTIVLDDPKMSSNSTLTDSLHERTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQTWIKRSRRTFKEIPITQIPSASKDIKEVRAVEEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFAQEDKTIQGEDNSSSVPLHYVDNYDGIQSVSTCEQSSSHAPADSVPLPGLPEFSYLGWGHWFTLRDLELATNCFSKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPDEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNSEKRPRMDQVVRMLDSNEPIPQEERRQRQNHISNNSETEPLRGKSSSGKSDAPENEMRPPRYKNRSFPPK >ONIVA12G00650.3 pep chromosome:AWHD00000000:12:564432:566025:-1 gene:ONIVA12G00650 transcript:ONIVA12G00650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYRSHLWGFTAKKEEAYSGENDERQQILQLIIEEIQPETSELPDGRIQVHQEIDAAVAELIEQEALDELEQLVGAQLEAPADQQNPVEQHQDEDDKLSLDNLLEQHRWEGALQRLMRMVRNGEAINGPVPDAPPQDIYVAHPEIVILLMAEELMRSGNAAAAMRYYHDKIEIIYSGNTGSAFVNGGVLKEIQDWVNAKRDTPSGKDEATTHMENTCQAIHDYLKLYFPAYRPQIGGKVRGHQVSKVWEFGERLGKDGGRCLACHKKVKGFNVTKLQNHLQGSVKRQGTQCPAINDYILSRLEQILKEMNEDQ >ONIVA12G00640.1 pep chromosome:AWHD00000000:12:553274:557732:1 gene:ONIVA12G00640 transcript:ONIVA12G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGCSCWLLSLALLCSLAAAKEQYHEFVIRETTVKRLCKSQSIMTVNGQFPGPTLEIKEGDSLIINLINRGRDNVTLHWHGVRQMRTGWSDGPEYVTQCPVRPGQSYRYRFTVAAQEGTLWWHAHSSWLRATVYGALLIRPRDGTRYPFHVQPTRELAPILLGEWWDMNPVDVVRAATRTGAAPNISDALTVNAQPGDLYSCSSHDTAFFPVTSGETNLLRFINAALNTELFVSLAGHNMTVVAADASYTKPYTTSLLLLAPGQTTDVLVTFDQPPGRYYLAARAYASAQGVVLQGTNIFAGENHPIHLHGYDFYILAEGLGNFDAGADTGKFNVEDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVDDGVGELQSLEAPPPDLPLC >ONIVA12G00630.1 pep chromosome:AWHD00000000:12:546001:546492:-1 gene:ONIVA12G00630 transcript:ONIVA12G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSCPSPATPSQAEDYQSWTLKQKLEDLINCDAIHGVMPKNPKYKAYFEEKFEEKLSKYVRVVLPKLRPAIQKDSVKQFYQASN >ONIVA12G00620.1 pep chromosome:AWHD00000000:12:545191:545613:1 gene:ONIVA12G00620 transcript:ONIVA12G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAVATALETSHRGSRTGAAAPKPSCADGIEDCDGNSSRDLPPQMASRTAVAMAVALETSRHGSRTEAAVAAPEPSRHRWCRGRRRRLLSPPVWMTPEPSRTDGVEDGGSDSSIDLSLQMASRTAAAAPEPSCGGRG >ONIVA12G00610.1 pep chromosome:AWHD00000000:12:541893:544606:-1 gene:ONIVA12G00610 transcript:ONIVA12G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGGDSQRFGKMVVESKFRRKRRRGGTTMILAGTTDTEGMMDQEEEEDDDDDQPADVLEDRKHQPADVLEDRKHRDGSIYRGTDYWSIYYRIADTNETPLKPMMLSDPTTDCRPNWSGCIVHSGCSMLQIFSLKLVSMAAPAIGDGPIQVYGFMAVRDHMDCLRNYVFNRGRDKPFIVNLSDPFILLSGPKRGFGMETPALLEYDIRIKRGDGEDDDLQLIDGAATISETELPPPYAQAYTRRIAGNYGAMNISLALLHNAIEATMHIQITEVRGSGGFNMSMACRVGQIPNEIKLFESVAIAKPCQLNKRFVLAIVKRGILVLDLKVKRSGASEEEDPVRMLRGLKAKAHSQVILPMIFDCATILVLQTAVRHRLAKVQGYLKAKKGSIR >ONIVA12G00600.1 pep chromosome:AWHD00000000:12:541270:541810:-1 gene:ONIVA12G00600 transcript:ONIVA12G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCFDLTYPETVTPYNFEGPKYIIWGDAQRLDLCYVKKRTDQYLELCYNASTKIVSESDLLMVERHLDDGDFVLFNRQPSLHKMYIMGHRIKIMPHSTFHLNLSATSPYNAVFDGDKMNMHVPQSFDGDEMNMH >ONIVA12G00590.1 pep chromosome:AWHD00000000:12:539949:540551:1 gene:ONIVA12G00590 transcript:ONIVA12G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAKGTARGLAFLHDDMTIVHGNLTASNVLLDDHSNPKIADFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKASAKTDVYSLGVIILELLTGKSPAETTNGMDLPQWVASIVKEEWTSEVFDLELMRDGDNGPAGDELVDTLKLALHCVDQSPSVRPDAREVLRQLEQIRPGPEGGAGPSEEGGAGHVAAASAGNE >ONIVA12G00580.1 pep chromosome:AWHD00000000:12:533926:534802:1 gene:ONIVA12G00580 transcript:ONIVA12G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J5X6] MHSKQRETLGGLIVASAFVIFLTSVGSLIFPALALGAAIVCAHGAFRIPEDLFLDEPDQANGAASVNLLSFITSATGGRV >ONIVA12G00570.1 pep chromosome:AWHD00000000:12:529514:532010:1 gene:ONIVA12G00570 transcript:ONIVA12G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tautomerase/MIF superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51660) TAIR;Acc:AT3G51660] MPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKRSDFGWNGTTF >ONIVA12G00570.2 pep chromosome:AWHD00000000:12:529514:532010:1 gene:ONIVA12G00570 transcript:ONIVA12G00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tautomerase/MIF superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51660) TAIR;Acc:AT3G51660] MPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKRSDFGWNGTTF >ONIVA12G00560.1 pep chromosome:AWHD00000000:12:523537:525897:1 gene:ONIVA12G00560 transcript:ONIVA12G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLALPAAASSPSLPCYDGSRPEPRRAATVRPPMPLAGSGELIGGREKEPGETPAAAESSASLRLTARWLIPAEEIGRSGRMCRVAKQQRRCGNETNRGEIGGGHRILLDMHFIYRIYIWLYTTGSL >ONIVA12G00550.1 pep chromosome:AWHD00000000:12:492706:494133:1 gene:ONIVA12G00550 transcript:ONIVA12G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAISAIASDLTSRFLSFLIKKYTVTTVKDDKIKRMKELLLRVHAVVEEADGRCITNPKMLTQFKMLAEIMYRGYYMLDTINYKPPNNEVRRLSTMSVSLKRSRTIFGTPRNPAIDNELETALNNLEAAVSNMNEFVVMLVGCERMCRRPYDTYLYTDNFMFARHAEKQQIINILLQNPCHHSAPLVLPIIGGCRVGKKALISHVCNDERIRSYFSFILYISGDSMGRIEYAKLKKETSLIVCEYFTDIDEDDWINFYSTISQMTAGGSKVVIISRIENLARFGTVKAVRLNSLSKEVYNYLFKKLAFGSIDEKEDPKMASIANDLAVVLGGSLITANVIADLLRRNHDVHFWLHILQRFEGMVKNNLSKYGEHPKDIIEKEQPVDATRFVSSYPTRLHIMPPRVERDDLPNIGMPTIFFGDLIAGSVAIPNGDFEIVTWKSRIPPYTKYVSAGTSFVDDKNGFKTMRKRRSSS >ONIVA12G00540.1 pep chromosome:AWHD00000000:12:472299:482997:-1 gene:ONIVA12G00540 transcript:ONIVA12G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKSAITGDLTSRLISFLVNKFSDHTCSEEKVTRLEQLLLRVHMVVEEADGRYITNSCMLVHLKMVVTAMYSGYRVLDTIKYMKNKEDTGDLVNDSAALSFATPLKRSRTTVCTARKRIFSSELQCALEKLESVVGDMNEFVILLAGCERISQKPYDTYIYIDNFMFGRHVEKQHLINFLLENNIAGPPPVLPIIGGRGVGKRTLVTHVCNDERVSSQFNFILHINGANLGQITENGNLSARTLVIVEFFSDVDDNDWKKFYSHVTNLSRENKVIILTTIEKLERFGTVKPMTLNRLVYEEYRYLFKTLAFGSTDPVDHPQLIPIVEEFAELLEGRLIPANILADVLRKNLNVHFWLCKLRQVQITAKKNMTMSGAHPHKLFDQGHPAHFTGYILSPPTIITPNVPQNDLPQLRFGDLLTGHIFPPNGDFKLISWESRIPPYTSFVHTARFCLSLSQDQPESPLDEKVSKNTSSAQPASYLPLPQRVHHTLRWSSSYHLSQVKRLEQLLRRVHMVVEEADGRYITNRCMLAQLKTIVAAMYSGYHVLDAIKYMKNNEDTTENAPNNDLPKLTFGDLTTGCIFPPKGNFNLVSWESRIPPYTSFVHMARFSPSLAEDKPESHLSGRKRPRSWEALASAGIIVIIPALATTRRIKPPLLALVLASWAASS >ONIVA12G00540.2 pep chromosome:AWHD00000000:12:472299:482997:-1 gene:ONIVA12G00540 transcript:ONIVA12G00540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKSAITGDLTSRLISFLVNKFSDHTCSEEKVTRLEQLLLRVHMVVEEADGRYITNSCMLVHLKMVVTAMYSGYRVLDTIKYMKNKEDTGDLVNDSAALSFATPLKRSRTTVCTARKRIFSSELQCALEKLESVVGDMNEFVILLAGCERISQKPYDTYIYIDNFMFGRHVEKQHLINFLLENNIAGPPPVLPIIGGRGVGKRTLVTHVCNDERVSSQFNFILHINGANLGQITENGNLSARTLVIVEFFSDVDDNDWKKFYSHVTNLSRENKVIILTTIEKLERFGTVKPMTLNRLVYEEYRYLFKTLAFGSTDPVDHPQLIPIVEEFAELLEGRLIPANILADVLRKNLNVHFWLCKLRQVQITAKKNMTMSGAHPHKLFDQGHPAHFTGYILSPPTIITPNVPQNDLPQLRFGDLLTGHIFPPNGDFKLISWESRIPPYTSFVHTARFCLSLSQDQPESPLVHMVVEEADGRYITNRCMLAQLKTIVAAMYSGYHVLDAIKYMKNNEGCIFPPKGNFNLVSWESRIPPYTSFVHMARFSPSLAEDKPESHLSGRKRPRSWEALASAGIIVIIPALATTRRIKPPLLALVLASWAASS >ONIVA12G00540.3 pep chromosome:AWHD00000000:12:472299:482997:-1 gene:ONIVA12G00540 transcript:ONIVA12G00540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKSAITGDLTSRLISFLVNKFSDHTCSEEKVTRLEQLLLRVHMVVEEADGRYITNSCMLVHLKMVVTAMYSGYRVLDTIKYMKNKEDTGDLVNDSAALSFATPLKRSRTTVCTARKRIFSSELQCALEKLESVVGDMNEFVILLAGCERISQKPYDTYIYIDNFMFGRHVEKQHLINFLLENNIAGPPPVLPIIGGRGVGKRTLVTHVCNDERVSSQFNFILHINGANLGQITENGNLSARTLVIVEFFSDVDDNDWKKFYSHVTNLSRENKVIILTTIEKLERFGTVKPMTLNRLVYEEYRYLFKTLAFGSTDPVDHPQLIPIVEEFAELLEGRLIPANILADVLRKNLNVHFWLCKLRQVQITAKKNMTMSGAHPHKLFDQGHPAHFTGYILSPPTIITPNVPQNDLPQLRFGDLLTGHIFPPNGDFKLISWESRIPPYTSFVHTARFCLSLSQDQPESPLDEKVSKNTSSAQPASYLPLPQRVHHTLRWSSSYHLSQVKRLEQLLRRVHMVVEEADGRYITNRCMLAQLKTIVAAMYSGYHVLDAIKYMKNNEGANDLVNDSSSLFFATPLKRSRTTTVCPETKKKFSMELQGALQNIETVIGDINEFVILLARCERMSHRPYDAYLYIDNFMFGRHVEKHHLINFLLENNILGPPAVIPVIGGHKVGKRTLVTHVCNDERIRSHFPLIFHISGANLSRITENGNLSARTLIIVEFISDVDDNDWITFYSSMANLNRGNKVIILTKIQKLERFGTVKPITVDRLVYEEYRYLFKTLAFGSANPMDHPQLVPIVEEFAMLLRGRLIQANILADVLRKNLNVHFWLFALKGVQITVKKYLSMYGTHPHELFDQGHPVHLTDYVLYPADTTENAPNNDLPKLTFGDLTTGCIFPPKGNFNLVSWESRIPPYTSFVHMARFSPSLAEDKPESHLSGRKRPRSWEALASAGIIVIIPALATTRRIKPPLLALVLASWAASS >ONIVA12G00540.4 pep chromosome:AWHD00000000:12:476460:482997:-1 gene:ONIVA12G00540 transcript:ONIVA12G00540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKSAITDGRYITNSCMLVHLKMVVTAMYSGYRVLDTIKYMKNKEDTGDLVNDSAALSFATPLKRSRTTVCTARKRIFSSELQCALEKLESVVGDMNEFVILLAGCERISQKPYDTYIYIDNFMFGRHVEKQHLINFLLENNIAGPPPVLPIIGGRGVGKRTLVTHVCNDERVSSQFNFILHINGANLGQITENGNLSARTLVIVEFFSDVDDNDWKKFYSHVTNLSRENKVIILTTIEKLERFGTVKPMTLNRLVYEEYRYLFKTLAFGSTDPVDHPQLIPIVEEFAELLEGRLIPANILADVLRKNLNVHFWLCKLRQVQITAKKNMTMSGAHPHKLFDQGHPAHFTGYILSPPTIITPNVPQNDLPQLRFGDLLTGHIFPPNGDFKLISWESRIPPYTSFVHTARFCLSLSQDQPESPLTPPVHNLRATYLSHKECIIH >ONIVA12G00540.5 pep chromosome:AWHD00000000:12:472299:476458:-1 gene:ONIVA12G00540 transcript:ONIVA12G00540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELIISSITGDLTSRFISFLINKFLYNLFSEEKVKRLEQLLRRVHMVVEEADGRYITNRCMLAQLKTIVAAMYSGYHVLDAIKYMKNNEGANDLVNDSSSLFFATPLKRSRTTTVCPETKKKFSMELQGALQNIETVIGDINEFVILLARCERMSHRPYDAYLYIDNFMFGRHVEKHHLINFLLENNILGPPAVIPVIGGHKVGKRTLVTHVCNDERIRSHFPLIFHISGANLSRITENGNLSARTLIIVEFISDVDDNDWITFYSSMANLNRGNKVIILTKIQKLERFGTVKPITVDRLVYEEYRYLFKTLAFGSANPMDHPQLVPIVEEFAMLLRGRLIQANILADVLRKNLNVHFWLFALKGVQITVKKYLSMYGTHPHELFDQGHPVHLTDYVLYPADTTENAPNNDLPKLTFGDLTTGCIFPPKGNFNLVSWESRIPPYTSFVHMARFSPSLAEDKPESHLSGRKRPRSWEALASAGIIVIIPALATTRRIKPPLLALVLASWAASS >ONIVA12G00540.6 pep chromosome:AWHD00000000:12:476460:482997:-1 gene:ONIVA12G00540 transcript:ONIVA12G00540.6 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKSAITGDLTSRLISFLVNKFSDHTCSEEKVTRLEQLLLRVHMVVEEADGRYITNSCMLVHLKMVVTAMYSGYRVLDTIKYMKNKEDTGDLVNDSAALSFATPLKRSRTTVCTARKRIFSSELQCALEKLESVVGDMNEFVILLAGCERISQKPYDTYIYIDNFMFGRHVEKQHLINFLLENNIAGPPPVLPIIGGRGVGKRTLVTHVCNDERVSSQFNFILHINGANLGQITENGNLSARTLVIVEFFSDVDDNDWKKFYSHVTNLSRENKVIILTTIEKLERFGTVKPMTLNRLVYEEYRYLFKTLAFGSTDPVDHPQLIPIVEEFAELLEGRLIPANILADVLRKNLNVHFWLCKLRQVQITAKKNMTMSGAHPHKLFDQGHPAHFTGYILSPPTIITPNVPQNDLPQLRFGDLLTGHIFPPNGDFKLISWESRIPPYTSFVHTARFCLSLSQDQPESPLTPPVHNLRATYLSHKECIIH >ONIVA12G00530.1 pep chromosome:AWHD00000000:12:447935:463592:-1 gene:ONIVA12G00530 transcript:ONIVA12G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIVSSITGDLTSRLISFLMNKFLDNLYSGEKVKRLEQLLQRVHMVVEEADGRYITNRCMLTHLKTIVAAMYSGYHVLDTIKYMKNNKGANDLDMELAVSAVTGEIVSRFISFLLSKYSSHEISEEKQLERLQQLLLRVSTVVEEADGRYITNSGMLMQLKGLADAMYRGHHVLDMFRCRNKIQENSIKEVSSPFPPLKRFRAIVDAAGNNKARYLELHKTLGILEAAVDHMAEFVVILGGCDRMSRRPYDAYLYTDNFMFGRHTEKQRLLNFLLEYNSQGLPPVLPIIGSLAVGKKTLVAHVCADERVQSLFSSILHLNEDDLLRLAHSDTLLSGKMLVVVEFVSDLNEKNWEEFYTSLTQMNQGSKVITISRFRKSEKLGTVKPIALDIHSYEELSYLFKTLAFGSVNPKDHPRLLQIAEEFAMQLQLKSSLVAANFLADVLRRNLDVNLWLCMLNRCITVAEKNFSLYGEHTRLLLEQGHRVDITNFDSSPAAPLHIVPCVGANSTGKDLPRDMEIAVSAVTGELFSRFISFLLSKYSSHEISEDKQLERLQQLLLRICAVVEEADGRYITNSGMLMQLKGLADAMYRGHHVLDMLRCRTLTQENSIKEVQVGSPFPPLKRFRAIVDAAGKDKARYFEIHRTLEILETAVDHMPEFVVLLGGCERMSRRPYDAYLYIDNFMFGRHTEKQRLLNFLLEYNPPSLPAVLPIVGGHAVGKKTLIAHVCADERVQSQFSSILHLNEDDLLRIAHSDTLLSGKMLVVVEFVSDLNEKNWEEFYTSLAQMNEGSKVITISRFRKSEKLGTVKPILLDIHSHEELTYLFKTLAFGSANPMDHPRLLQVAEEFAMQLQLRGSLISVNICADVLRWNLDVNFWISILNRCITVAEKNFSLYGKHVRSLFEQGHRLDITNFASSSAAPLHIIPFAGHSSALKDLQRVTLRELLLDPSVRPKGDFVHRFISFLSKKCESQENLETKMERLHNLLLKAHMIVEEAEGRYITNSKMLLQFKKIVETMYQGYHILDIIKHRTLCSSRPEEEVSSSNTLSTRTCYVDPFRTSQSYTIRHDQLQSTLDSLETIVSSMTEFVILLGGCERMSPKPYDTYLYFDNFMYGRQVEKQQVISILLQENIPHFAPTVLP >ONIVA12G00530.2 pep chromosome:AWHD00000000:12:447935:458490:-1 gene:ONIVA12G00530 transcript:ONIVA12G00530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHDMEIAVSAVTGELFSRFISFLLSKYSSHEISEDKQLERLQQLLLRICAVVEEADGRYITNSGMLMQLKGLADAMYRGHHVLDMLRCRTLTQENSIKEVQVGSPFPPLKRFRAIVDAAGKDKARYFEIHRTLEILETAVDHMPEFVVLLGGCERMSRRPYDAYLYIDNFMFGRHTEKQRLLNFLLEYNPPSLPAVLPIVGGHAVGKKTLIAHVCADERVQSQFSSILHLNEDDLLRIAHSDTLLSGKMLVVVEFVSDLNEKNWEEFYTSLAQMNEGSKVITISRFRKSEKLGTVKPILLDIHSHEELTYLFKTLAFGSANPMDHPRLLQVAEEFAMQLQLRGSLISVNICADVLRWNLDVNFWISILNRCITVAEKNFSLYGKHVRSLFEQGHRLDITNFASSSAAPLHIIPFAGHSSALKDLQRVTLRELLLDPSVRPKGDFVHRFISFLSKKCESQENLETKMERLHNLLLKAHMIVEEAEGRYITNSKMLLQFKKIVETMYQGYHILDIIKHRTLCSSRPEEEVSSSNTLSTRTCYVDPFRTSQSYTIRHDQLQSTLDSLETIVSSMTEFVILLGGCERMSPKPYDTYLYFDNFMYGRQVEKQQVISILLQENIPHFAPTVLP >ONIVA12G00530.3 pep chromosome:AWHD00000000:12:462147:465207:-1 gene:ONIVA12G00530 transcript:ONIVA12G00530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIADFSTDNREERQSKNTSSAQPSSYLPSPTNKASYPEMELIVKRLEQLLQRVHMVVEEADGRYITNRCMLTHLKTIVAAMYSGYHVLDTIKYMKNNKGANDLVNNSSALSFATPLKRSRTTTICPETKNKFSMELQGALKNIETVIGDINEFVILLTGCERMSHRPYDTYLYIDNFMFGRHVEKQHLINFLLENNNIGPPPVLPIIGGRGVGKKTLVAHVCNDDRVRSHFYFIFHINGENLGEITENKNMSERTLVIVEFVSDVDDNDWGTFHSSLMSLNRGNKVIVLTRIKKLERFGTVRPITLDRMVHEEYRYLLKTLTFGSANPMDYPQLIPIVEEFAVLLGGRLIPANILGYVLRNNLNVHFWLSRLKGIRFVVKKNLSMSGSHPNELFDQGHPAHLTDYILYPASTSTDSPKNDLPKLTFGDMLAGQNFPPKGDFNLVSWESRIPPYTSFVHMARFFPSFAQDHLESPLSGRKHARPFSVSYDE >ONIVA12G00520.1 pep chromosome:AWHD00000000:12:438353:442736:-1 gene:ONIVA12G00520 transcript:ONIVA12G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSAQDWAFGWQPWTGPALEDLLPQLSHEEQLRLHNHLREHERILKWHNKNSPPISSLHSEGERDFFIIIHVRYALHHYNAKHPDEEFDAVKPLMESSVRFRGQVWFHINFWARSRKSKKIKRFFAEVHYKPPSSSSSVCSYLPFPVPGAERPPSSSSVSSDLLLPLPIPVVEACTIIEEPLGQYRKSCAFCRGHLDILHPMGRKFVCGNDKDRFEQQLLPCGSIGLEMPFTCRLGPASVNSSHGEKED >ONIVA12G00520.2 pep chromosome:AWHD00000000:12:438353:440268:-1 gene:ONIVA12G00520 transcript:ONIVA12G00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAQDWAFGWQPWTGPALEDLLPQLSHEEQLRLHNHLREHERILKWHNKNSPPISSLHSEGERDFFIIIHVRYALHHYNAKHPDEEFDAVKPLMESSVRFRGQVWFHINFWARSRKSKKIKRFFAEVHYKPPSSSSSVCSYLPFPVPGAERPPSSSSVSSDLLLPLPIPVVEACTIIEEPLGQYRKSCAFCRGHLDILHPMGRKFVCGNDKDRFEQQLLPCGSIGLEMPFTCRLGPASVNSSHGEKED >ONIVA12G00520.3 pep chromosome:AWHD00000000:12:440294:442743:-1 gene:ONIVA12G00520 transcript:ONIVA12G00520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSLLSSSPSSHLFQTSGKLGFRRLASGGRCSSPGSSRRPRRREGQSSVSILSSVGHPPSLSPVAIALFHWFALSVKPFGSAGSLCHAPLFRRLSPLSSLSTFT >ONIVA12G00510.1 pep chromosome:AWHD00000000:12:419401:422639:-1 gene:ONIVA12G00510 transcript:ONIVA12G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKRPGTRRRRRPRRGWSHRFPAPRRARRSGAELAGVERDGTELGQPPLASHFRRHPSAAVAVAVAVAARRLNPSESSAADRGAVPGSRYVQGCGGPTTLLHVGPTCPSLLRLENSPHPRAQRPLLSSSLSLRQGSRNPLPVAAVRLLLLNTTTPSEPQLIGGYLLFLGVMPLPATPAYGAVHLPRHTGVSMDTSAEAIFVGPSPPVTADIEESLSDYTSMMEGWTKEHVLAARGLRNKVAPIRVEAMRLGIAADSAGITCFLDAFDECMKRVDLHLVTQKNSFQEFLGSPLQQTVPDTCAIVSSTKCVEVQHRREYETMHGTGSFPCNAAAPRKLRRACFCQKVWKPKKGARVGDVIDMIQRLGGARTTSAPAPAPYMLPVRSWQRHRWDVGGGLTADRIAELLDTRGPFIGTIWVCPWYDLFNSVEDEDLVYRSGCARSKVLQRLSKFCFGKDLVGCCALNTGSATDNSTYTSWITTRPLDRSDGFITVERINHLGDRHIRYPHSGVS >ONIVA12G00510.2 pep chromosome:AWHD00000000:12:419401:422639:-1 gene:ONIVA12G00510 transcript:ONIVA12G00510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKRPGTRRRRRPRRGWSHRFPAPRRARRSGAELAGVERDGTELGQVRERAEALRSNRSGQGAAAAAPTHHLFLTQSQLDLMQPPLASHFRRHPSAAVAVAVAVAARRLNPSESSAADRGAVPGSRYVQGCGGPTTLLHVGPTCPSLLRLENSPHPRAQRPLLSSSLSLRQGSRNPLPVAAVRLLLLNTTTPSEPQLIGGYLLFLGVMPLPATPAYGAVHLPRHTGVSMDTSAEAIFVGPSPPVTADIEESLSDYTSMMEGWTKEHVLAARGLRNKVAPIRVEAMRLGIAADSAGITCFLDAFDECMKRVDLHLVTQKNSFQEFLGSPLQQTVPDTCAIVSSTKCVEVQHRREYETMHGTGSFPCNAAAPRKLRRACFCQKVWKPKKGARVGDVIDMIQRLGGARTTSAPAPAPYMLPVRSWQRHRWDVGGGLTADRIAELLDTRGPFIGTIWVCPWYDLFNSVEDEDLVYRSGCARSKVLQRLSKFCFGKDLVGCCALNTGSATDNSTYTSWITTRPLDRSDGFITVERINHLGDRHIRYPHSGVS >ONIVA12G00500.1 pep chromosome:AWHD00000000:12:414286:419087:1 gene:ONIVA12G00500 transcript:ONIVA12G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0J5V6] MTATSPPMRSVAAAALVLTPTPTLNRLSFPFAHRHCPSTAAPRWRPARCRGKPAVEDVVHDDEEETWRREANPERKDGGEEMLGRGWFMVDEIGMEILTIALPAVLALAADPITALIDTAFVGHVGSTELAAVGVSISIFNLVSKLLNVPLLNVTTSFVAEQQAVDADYNSSVENSHIGEEISISQEKAGEQRKFLPAVSTSLALAAGIGLMETVALILGSGTLLDIVGVPVDSPMRIPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNSKIVLFSWNIVSGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIIGGVTGAALSTTLLLGFGYLSMLFTDDAAVLDVAQTGVWFVTVSQPINAVAFVMDGLYYGVSDFAFVAYSTVQISILIMSHCILFAGAISSTVLLVAAPKFGLGGVWAGLTLFMSLRAIAGFWREQRWTMENNLLFAGAVSSAVLLAVAPNKLGLGGVWAGLTLFMSLQAIAGFWRLRSKDGPWKIIWSVTE >ONIVA12G00500.2 pep chromosome:AWHD00000000:12:414286:419087:1 gene:ONIVA12G00500 transcript:ONIVA12G00500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0J5V6] MTATSPPMRSVAAAALVLTPTPTLNRLSFPFAHRHCPSTAAPRWRPARCRGKPAVEDVVHDDEEETWRREANPERKDGGEEMLGRGWFMVDEIGMEILTIALPAVLALAADPITALIDTAFVGHVGSTELAAVGVSISIFNLVSKLLNVPLLNVTTSFVAEQQAVDADYNSSVENSHIGEEISISQEKAGEQRKFLPAVSTSLALAAGIGLMETVALILGSGTLLDIVGVPVDSPMRIPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNSKIVLFSWNIVSGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIIGGVTGAALSTTLLLGFGYLSMLFTDDAAVLDVAQTGVWFVTVSQPINAVAFVMDGLYYGVSDFAFVAYSTLFAGAISSTVLLVAAPKFGLGGVWAGLTLFMSLRAIAGFWREQRWTMENNLLFAGAVSSAVLLAVAPNKLGLGGVWAGLTLFMSLQAIAGFWRLRSKDGPWKIIWSVTE >ONIVA12G00500.3 pep chromosome:AWHD00000000:12:414286:419087:1 gene:ONIVA12G00500 transcript:ONIVA12G00500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0J5V6] MTATSPPMRSVAAAALVLTPTPTLNRLSFPFAHRHCPSTAAPRWRPARCRGKPAVEDVVHDDEEETWRREANPERKDGGEEMLGRGWFMVDEIGMEILTIALPAVLALAADPITALIDTAFVGHVGSTELAAVGVSISIFNLVSKLLNVPLLNVTTSFVAEQQAISISQEKAGEQRKFLPAVSTSLALAAGIGLMETVALILGSGTLLDIVGVPVDSPMRIPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNSKIVLFSWNIVSGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIIGGVTGAALSTTLLLGFGYLSMLFTDDAAVLDVAQTGVWFVTVSQPINAVAFVMDGLYYGVSDFAFVAYSTLFAGAISSTVLLVAAPKFGLGGVWAGLTLFMSLRAIAGFWREQRWTMENNLLFAGAVSSAVLLAVAPNKLGLGGVWAGLTLFMSLQAIAGFWRLRSKDGPWKIIWSVTE >ONIVA12G00500.4 pep chromosome:AWHD00000000:12:414217:419087:1 gene:ONIVA12G00500 transcript:ONIVA12G00500.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0J5V6] MTATSPPMRSVAAAALVLTPTPTLNRLSFPFAHRHCPSTAAPRWRPARCRGKPAVEDVVHDDEEETWRREANPERKDGGEEMLGRGWFMVDEIGMEILTIALPAVLALAADPITALIDTAFVGHVGSTELAAVGVSISIFNLVSKLLNVPLLNVTTSFVAEQQAVDADYNSSVENSHIGEEISISQEKAGEQRKFLPAVSTSLALAAGIGLMETVALILGSGTLLDIVGVPVDSPMRIPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNSKIVLFSWNIVSGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIIGGVTGAALSTTLLLGFGYLSMLFTDDAAVLDVAQTGVWFVTVSQPINAVAFVMDGLYYGVSDFAFVAYSTVQISILIMSHCILFAGAISSTVLLVAAPKFGLGGVWAGLTLFMSLRAIAGFWREQRWTMENNLLFAGAVSSAVLLAVAPNKLGLGGVWAGLTLFMSLQAIAGFWRLRSKDGPWKIIWSVTE >ONIVA12G00500.5 pep chromosome:AWHD00000000:12:414217:419087:1 gene:ONIVA12G00500 transcript:ONIVA12G00500.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0J5V6] MTATSPPMRSVAAAALVLTPTPTLNRLSFPFAHRHCPSTAAPRWRPARCRGKPAVEDVVHDDEEETWRREANPERKDGGEEMLGRGWFMVDEIGMEILTIALPAVLALAADPITALIDTAFVGHVGSTELAAVGVSISIFNLVSKLLNVPLLNVTTSFVAEQQAVDADYNSSVENSHIGEEISISQEKAGEQRKFLPAVSTSLALAAGIGLMETVALILGSGTLLDIVGVPVDSPMRIPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNSKIVLFSWNIVSGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIIGGVTGAALSTTLLLGFGYLSMLFTDDAAVLDVAQTGVWFVTVSQPINAVAFVMDGLYYGVSDFAFVAYSTLFAGAISSTVLLVAAPKFGLGGVWAGLTLFMSLRAIAGFWREQRWTMENNLLFAGAVSSAVLLAVAPNKLGLGGVWAGLTLFMSLQAIAGFWRLRSKDGPWKIIWSVTE >ONIVA12G00490.1 pep chromosome:AWHD00000000:12:413639:414380:-1 gene:ONIVA12G00490 transcript:ONIVA12G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRERVTVDCGKAHSKPLPSLSSPPASPSHLLLVGRRLRRIRLRLRLIAHFAPATERPAGSLVATIELSAREYKKFASRPGLSEEGMRFLGWYLKIAAGGAAIGAAMELFMIHTGFYEKVTVLESEKRAWETSPEAQAMREALNPWRKHDDQEKR >ONIVA12G00490.2 pep chromosome:AWHD00000000:12:413639:413950:-1 gene:ONIVA12G00490 transcript:ONIVA12G00490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGWYLKIAAGGAAIGAAMELFMIHTGFYEKVTVLESEKRAWETSPEAQAMREALNPWRKHDDQEKR >ONIVA12G00480.1 pep chromosome:AWHD00000000:12:403825:414130:1 gene:ONIVA12G00480 transcript:ONIVA12G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQQQQGRRRGAGWRRWAVLVATVWIQAVTGTNFDFSAYSSALKASLGVSQEALNYLATASDLGKALGWSSGLALIHLPLPAVLLLSAASGLAAYALQYALILDYLHLPYPLVFLICLVAGCSICWFNTVCFVLCIRSFSSSNRPLALSLSISFNGLSAAFYTLFANALSPFSPSVYLLLNAIVPLVVSLVALPAILLCHPHDGHLHVVPKHDKRIFLGLYLLAFITGIYLVIFGSFNTTNSTAWVVLTGAMVLLALPLIIPASSSCSHVDTHDPEPTVQLNHDDSRKPLLLNSDHSTESNAMMQKTVEQPMQDCCLGTVLEKGRMLVLCEEHSAKKLIQCVDFWLYYIAYFCGATVGLVYSNNLGQIAQSFHRESQVTMLLAVYSSCSFFGRLLSALPDFLHRKVSFARTGWLAAALVPMPMAFFLMWKLHDVNTLVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLKMSVIDNHNGMIDTMVVCMGPKCYSTTFFVWGCITFLGLVSSIILFLRTRTAYSAANGQQVINTTAKFRVDRTP >ONIVA12G00470.1 pep chromosome:AWHD00000000:12:396866:400747:1 gene:ONIVA12G00470 transcript:ONIVA12G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSALFSHLREVHKRSGVKEEKLIMKSPPAAGEAGCHKPQATATNKMTVLQSPLGLRTILTSLVAFFIVVSSVSLLFDRGQDAQAQLAVEQHQHQEVLLKQKPASAAVGEQKSVVVDQSSLRSQEAQVQWTSELQDVATDSGDGGFDGEEDCNWSLGRWVYDNASRPLYSGLKCSFIFDEVACDKYGRNDTKYQHWRWQPHGCNLPRFNATKFLEKLRNKRLVFVGDSVNRNQWVSMVCMVEHFIPDGRKMRVYNGSLISFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHANVWKDADFIVFNSYLWWRKQRDGMMMKVMYGSFEDGDAKLDEVQMVDGYEIALKKLTEYLGANINKNKTRIFFAGSSPAHSWASNWGGDDNNKCLNETEPIQIEDYRSATTDYGMMDKAKEIFGTLEPKGIHVQILNITQLSEYRKDAHPTIFRRQYVPLTKEQIANPSIYADCTHWCLPGVPDVWNEFLYAYIMHK >ONIVA12G00460.1 pep chromosome:AWHD00000000:12:381399:388608:1 gene:ONIVA12G00460 transcript:ONIVA12G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAGDGDEHLLSLFASALSHRRFGDQELSLLDAALSAGADVPSLLHTRSSARCLLRKAAAQAFSSVPDLGTTLSTADFFARAFALAGDVESCLAMRYEALLLRQAKYSDDLHLQVSNEEWLTFAKDSLDNGFYTIASKAFANALLHIDPSHPGYLDSTNSILKKDKINDISGLQNLAKSLSARHSGEHFRAMGILILMSWMGIWDPETMRVSLASSTAVSLGT >ONIVA12G00460.2 pep chromosome:AWHD00000000:12:381399:385775:1 gene:ONIVA12G00460 transcript:ONIVA12G00460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAGDGDEHLLSLFASALSHRRFGDQELSLLDAALSAGADVPSLLHTRSSARCLLRKAAAQAFSSVPDLGTTLSTADFFARAFALAGDVESCLAMRYEALLLRQAKYSDDLHLQVSNEEWLTFAKDSLDNGFYTIASKAFANALLHIDPSHPGYLDSTNSILKKDKINDISGLQNLAKSLSARHSGEHFRAMGILILMSWMGIWDPETMRVSLASSTAVSLGT >ONIVA12G00450.1 pep chromosome:AWHD00000000:12:377526:380272:-1 gene:ONIVA12G00450 transcript:ONIVA12G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:A0A0E0J5U6] MLPPRVAPAAAAAAPTYLAAAASTPASVWLPVPRGAGAVAVCRAAGKGKEVLSGVVFQPFEELKGELSLVPQAKDQSLARQKFVDECEAAINEQIKFFKESSDEERDHAEKLMKYQNMRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVASRCNDPQLTDFVESEFLEEQVEAIKKISEYVAQLRRVGKGHGVWHFDQKLLEEEA >ONIVA12G00440.1 pep chromosome:AWHD00000000:12:365932:373579:-1 gene:ONIVA12G00440 transcript:ONIVA12G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVAILVILWLCMESWSRRGCVLDLTRQLSPAAFSLVLAVTVAVGLLGGALHYMLTRKREELAGEVHDLHKKTRADDEPADDNHTMTTGRAPEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLNGLGAEIAKNLVLAGVKSVTLHDDDNVELWDLSSNFFLTEKDVGQNRAQTCVQKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISLEKAVEFDSYCHNHQPPIAFIKSEIRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMSELNDGKPRKIKNARPYSFTLEEDTTSYGTYVRGGIVTQVKPPKVLKFKTLKDAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNDLRRFPIAGSSDDVQRLIDFAISINESLGDSKLEELDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPAELKPENTRYDAQISVFGSNLQKKLEQAKIFMVGSGALGCEFLKNLALMGISCNQNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPGGYATAARTAGDAQARDQLERVIECLEREKCETFQDCITWARLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFSTSDPSQLNFILAAAILRAETFGIPIPDWVKNPAKMAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFQMKPIQFEKDDDTNYHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLGGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPPYRRHLDVVVACEDDDDNDVDIPLVSIYFR >ONIVA12G00440.2 pep chromosome:AWHD00000000:12:365930:377300:-1 gene:ONIVA12G00440 transcript:ONIVA12G00440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVAILVILWLCMESWSRRGCVLDLTRQLSPAAFSLVLAVTVAVGLLGGALHYMLTRKREELAGEVVHDLHKKTRADDEPADDNHTMTTGRAPEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLNGLGAEIAKNLVLAGVKSVTLHDDDNVELWDLSSNFFLTEKDVGQNRAQTCVQKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISLEKAVEFDSYCHNHQPPIAFIKSEIRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMSELNDGKPRKIKNARPYSFTLEEDTTSYGTYVRGGIVTQVKPPKVLKFKTLKDAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNDLRRFPIAGSSDDVQRLIDFAISINESLGDSKLEELDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPAELKPENTRYDAQISVFGSNLQKKLEQAKIFMVGSGALGCEFLKNLALMGISCNQNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPGGYATAARTAGDAQARDQLERVIECLEREKCETFQDCITWARLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFSTSDPSQLNFILAAAILRAETFGIPIPDWVKNPAKMAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFQMKPIQFEKDDDTNYHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLGGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPPYRRHLDVVVACEDDDDNDVDIPLVSIYFR >ONIVA12G00440.3 pep chromosome:AWHD00000000:12:365932:373579:-1 gene:ONIVA12G00440 transcript:ONIVA12G00440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVAILVILSRRGCVLDLTRQLSPAAFSLVLAVTVAVGLLGGALHYMLTRKREELAGEVHDLHKKTRADDEPADDNHTMTTGRAPEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLNGLGAEIAKNLVLAGVKSVTLHDDDNVELWDLSSNFFLTEKDVGQNRAQTCVQKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISLEKAVEFDSYCHNHQPPIAFIKSEIRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMSELNDGKPRKIKNARPYSFTLEEDTTSYGTYVRGGIVTQVKPPKVLKFKTLKDAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNDLRRFPIAGSSDDVQRLIDFAISINESLGDSKLEELDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPAELKPENTRYDAQISVFGSNLQKKLEQAKIFMVGSGALGCEFLKNLALMGISCNQNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPGGYATAARTAGDAQARDQLERVIECLEREKCETFQDCITWARLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFSTSDPSQLNFILAAAILRAETFGIPIPDWVKNPAKMAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFQMKPIQFEKDDDTNYHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLGGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPPYRRHLDVVVACEDDDDNDVDIPLVSIYFR >ONIVA12G00440.4 pep chromosome:AWHD00000000:12:365930:373579:-1 gene:ONIVA12G00440 transcript:ONIVA12G00440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVAILVILWLCMESWSRRGCVLDLTRQLSPAAFSLVLAVTVAVGLLGGALHYMLTRKREELAGEVHDLHKKTRADDEPADDNHTMTTGRAPEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLNGLGAEIAKNLVLAGVKSVTLHDDDNVELWDLSSNFFLTEKDVGQNRAQTCVQKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISLEKAVEFDSYCHNHQPPIAFIKSEIRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMSELNDGKPRKIKNARPYSFTLEEDTTSYGTYVRGGIVTQVKPPKVLKFKTLKDAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNDLRRFPIAGSSDDVQRLIDFAISINESLGDSKLEELDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPAELKPENTRYDAQISVFGSNLQKKLEQAKIFMVGSGALGCEFLKNLALMGISCNQNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPGGYATAARTAGDAQARDQLERVIECLEREKCETFQDCITWARLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFSTSDPSQLNFILAAAILRAETFGIPIPDWVKNPAKMAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFQMKPIQFEKDDDTNYHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLGGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPPYRRHLDVVVACEDDDDNDVDIPLVSIYFR >ONIVA12G00440.5 pep chromosome:AWHD00000000:12:365930:377525:-1 gene:ONIVA12G00440 transcript:ONIVA12G00440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEETVSRRGCVLDLTRQLSPAAFSLVLAVTVAVGLLGGALHYMLTRKREELAGEVHDLHKKTRADDEPADDNHTMTTGRAPEIDEDLHSRQLAVYGRETMKRLFASNVLVSGLNGLGAEIAKNLVLAGVKSVTLHDDDNVELWDLSSNFFLTEKDVGQNRAQTCVQKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISLEKAVEFDSYCHNHQPPIAFIKSEIRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMSELNDGKPRKIKNARPYSFTLEEDTTSYGTYVRGGIVTQVKPPKVLKFKTLKDAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNDLRRFPIAGSSDDVQRLIDFAISINESLGDSKLEELDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPAELKPENTRYDAQISVFGSNLQKKLEQAKIFMVGSGALGCEFLKNLALMGISCNQNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPGGYATAARTAGDAQARDQLERVIECLEREKCETFQDCITWARLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFSTSDPSQLNFILAAAILRAETFGIPIPDWVKNPAKMAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFQMKPIQFEKDDDTNYHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLGGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPPYRRHLDVVVACEDDDDNDVDIPLVSIYFR >ONIVA12G00430.1 pep chromosome:AWHD00000000:12:359719:362334:-1 gene:ONIVA12G00430 transcript:ONIVA12G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHNATPRRLLQARELASNQTQTHPKSQSSPVQSSASHLLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >ONIVA12G00430.2 pep chromosome:AWHD00000000:12:359719:362583:-1 gene:ONIVA12G00430 transcript:ONIVA12G00430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYMIGTEMEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHNATPRRLLQARELASNQTQTHPKSQSSPVQSSASHLVPRWAIYALPVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >ONIVA12G00430.3 pep chromosome:AWHD00000000:12:359719:362334:-1 gene:ONIVA12G00430 transcript:ONIVA12G00430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHNATPRRLLQARELASNQTQTHPKSQSSPVQSSASHLVPRWAIYALPVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >ONIVA12G00430.4 pep chromosome:AWHD00000000:12:359719:362322:-1 gene:ONIVA12G00430 transcript:ONIVA12G00430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHNATPRRLLQARELASNQTQTHPKSQSSPVQSSASHLVPRWAIYALPVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >ONIVA12G00430.5 pep chromosome:AWHD00000000:12:359719:362322:-1 gene:ONIVA12G00430 transcript:ONIVA12G00430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHNATPRRLLQARELASNQTQTHPKSQSSPVQSSASHLVPRWAIYALPVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHSKPPNNDFLCAAILTNLKNKRTVTGNCCMYTFGFAVREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >ONIVA12G00420.1 pep chromosome:AWHD00000000:12:354880:357551:-1 gene:ONIVA12G00420 transcript:ONIVA12G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSPASVQDYPDLQEDDDDDFQDDDDDDLDDEDEEDDDQEPSPSPSDEARLESVLRRLTAEEVRIRVHDVEIRGCCRTRRAAVEAAVGSDLPRAATVRDLVRAAAAAADRIRRLGAFDTVSITLDAAPPGIPGNAAVIVLVDVAEARGRAAGELGIFANKGLSARGGDIAVTAFADLSFDIPLKPLRELGIHGHAFVSAGNLAKLTEPDLRKFPLAEFLQTFRSSAGFGVVVPTRLFRIEVNYCHILKQFDYDLGKAGIQLNFSSP >ONIVA12G00410.1 pep chromosome:AWHD00000000:12:349390:351032:-1 gene:ONIVA12G00410 transcript:ONIVA12G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSAGKEEGSESKTAAANNDGSSTSSTTEEEESGESQRRTSSSSSVRPYIRSKNPRLRWTPELHLSFVRAVDRLGGQDRATPKLVLQLMNVRGLSIGHVKSHLQMYRSKKIDESGQVIGGGSWRSSDEQQYHHLQMQGGGDGGQAYNLGHLSLPAALHHRHITAGSGTILQSRVANAWSPWRCHGSYWLRAGHHLLVGSKPYYHPPPAEARANTSSNHPDFVQGSSSSPDDHTMNHQRPVVLKEMIYNEGSNHQGGPLNLDLSLDICPRGEKRKREFSWRKHEEDHDHTTIAIGGDQEAESCATGLSLSLF >ONIVA12G00400.1 pep chromosome:AWHD00000000:12:342318:343872:-1 gene:ONIVA12G00400 transcript:ONIVA12G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVLRDAGAAVLTGATALAVLRFWEEVGNRALLDQKLCRKLVHITVGLVYFLMWPLFSADDVYAPFLASIVIAFNIIKVTLIGLGIVKDDGVINSMTRNGDPRELLKGPLYYACAMTLATVIFWRTSPISIAVICNLCAGDGVADIAGRQLGRIKLPYNPDKSYAGSIAMFLAGFLASILYMCYFHLFGFVEESWTMVIAFGVTSLSAAIVESLPISTRLDDNLTVPLASVLIGVLVFYYIGARNLCCMSADSSDISALVQNQMFLGRF >ONIVA12G00390.1 pep chromosome:AWHD00000000:12:317711:330735:1 gene:ONIVA12G00390 transcript:ONIVA12G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIVDMAMPAQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPAKMFDRTANLANNQIINYRCDPSEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFASFKVVGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQISQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSASGGFYAINRRGQVLHATVNDATIVPFVSSQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRVAQLCEKAGLYLRALQHYTELPDIKRVMVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIVVQELKVKMH >ONIVA12G00380.1 pep chromosome:AWHD00000000:12:311285:315598:1 gene:ONIVA12G00380 transcript:ONIVA12G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQQQSPPSTTTSSPPPPPPSTPPPASSSRSLLSALRRSPVTTLVAAFFLLALFMYGEDVRTLAELSIDDYLYPDADFYNVSALPPLLLPPPTCDLSRGRWVFDNTSLPAYREKECTFLTKQRIISPERIESHANNWKDVDYLIFNTYIWWMNNEDIKVRRPNSTSWSDHDEVPRIETYGRVFKTWSTWLEQNVDPARTSVFFMTISPLHNSPAQWGNPNGIKCVKETLPVLNYTKPLDLNHDMRMYDLVAKVAKNMKNVPVSLIDITRMSDYRKDAHTSLYSIRQGKLLTPEQKADPQKYADCIHWCLPGVPDVWNQILYTRILSKSSPPSTHPSLPPQ >ONIVA12G00370.1 pep chromosome:AWHD00000000:12:294904:298253:-1 gene:ONIVA12G00370 transcript:ONIVA12G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATQEADCKASEDARLFFDAAKPPPFRIGDVRAAIPAHCWRKTPLRSLSYVARDLLIVAALFAAAATRIDVSVAWAAWPLYWAAQGTMFWALFVLGHDCGHGSFSDSAMLNNVVGHLLHSFILVPYHGWRISHRTHHQNHGHIEKDESWHPITEKLYWQLETRTKKLRFTLPFTLLAFPVYLWYRSPGKTGSHFLPSSDLFSPKEKSDVIVSTTCWCIMISLLVALACVFGSVPVLMLYGVPYLVFVMWLDLVTYLHHHGHNDLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGVLLRSLRVDHFVSDVGDVVYYQTDHSLNGTDWAEDAKHK >ONIVA12G00360.1 pep chromosome:AWHD00000000:12:287926:292326:1 gene:ONIVA12G00360 transcript:ONIVA12G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVAAARQCLSPAAVPALDAAVASARRRAHAQTTSLHLISSLLAPPAPPLLRDALARARSAAYSPRVQLKALDLCFAVSLDRLPSVSASSSSGAADEPPVSNSLMAAIKRSQANQRRNPDTFHFYHQAATAQTPAAVKVELSHLVLAILDDPVVSRVFAEAGFRSGDIKLAILRPAPPMPLLGRLPTRTRPPPLFLCSFAAADDADVPSPAGNLAGAGEENCRRIAEILSRGRNPMLVGVGAASAADDFAAASPYRIIHVDPNTIDRSDLGVAAAMASATSGLIISIGDLKQLVPDEDAEAQENGRRVVAEVTRVLETHSKVGRVWVMGWSATYETYLAFLSKFPLVDKDWDLQLLPITAVHAAPAAAGPAAAGGLMPPATTVAAFSKPAASLMDSFVPFGGFLCDNYEENSLTANSCPQALRCQQCNDKYEQEVATIISASGITAEDHHQGGLPSLLQNGSMMGPNNGFDPVKARDDRMVLNSKILNLRKKWNEYCLRLHQDCQRINRDPYKPFPRYIGVPADKERSANSSKGSESVGVQKDVIKPCAVSAVHSSSTARPISSPSVTNKRNEDLVLNLQARHSKSDENLQERGMQSQHGTLSNADNPDDHASPSSAAPVETDLVLGTPRECSSKGSSSTCSKRVEDSERSVHLVPKKVDDLNLKHPQLS >ONIVA12G00350.1 pep chromosome:AWHD00000000:12:273537:275909:1 gene:ONIVA12G00350 transcript:ONIVA12G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRGDRRKKKSSTSTSDGGERMMRDLLMMQRLEVEEEEEEEESQAEDYEIVMFRRVWTRWHGRNFGSFDDTTYPAMRYTFGRIPKSSFAGCDNGLQIFSIKLLLRNTSTTDHQLQWPLHVYGLVATWDSLDPRRNLLFNRTRDNCQILTQQDPFLVLTGPSRAIVLIDPVQFEVQLKAKSNNNTLHDHPDQDQIVNFGVVDSGYLPGPTRHCIGKRSEVEFTISVLDRSIEATIISVQLVGGSSWPDHLQGRLVSRTASAIHQEIVLLDSQKQQDGKMPIDDGGFIQLSRRVVSVELAGQLIVQVLAFNSQQQVVDNDNDNKKDEIVAKHEIVFDPKEASLSVETCELQLGGGGGGPCKLQISVAWSLVDRLPPVGYF >ONIVA12G00340.1 pep chromosome:AWHD00000000:12:264470:271374:-1 gene:ONIVA12G00340 transcript:ONIVA12G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLGVVVVASVAALTLKRANSGNRDGQARKGKDKTRYSEHSDVVSHAREEINKLRHANEDLTKQEKAPTANAESSDQPSDNQNNPLVVTQLKLANIEKRAPRVPRPPPAPSATANTASALPPPPPRPPGAPPPPPPPGKPGGPPPPPPPPGSLPRNLAGGDKVHRAPEVVEFYQSLMKREAKKDTTSLGSTTSSASDVRSNMIGEIENRSTFLLAVKVDVETQGDFVESLANEVRAASFVNIDDVVAFVNWLDEEVSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLEHKVSSFTDDPKLACEEALKKMYSLLEKVEQSVYALLRTRDMAISRYREYGIPVDWLSDSGVVGKIKLASVQLAKKYMNRVATELDALQGTEKEPNREFLLLQGVRFAFRVHQV >ONIVA12G00330.1 pep chromosome:AWHD00000000:12:257432:260757:-1 gene:ONIVA12G00330 transcript:ONIVA12G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome assembly factor mrt4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0J5S6] MENGEQGSLGLTCGGEEWGCGSTAASTTGGLCRRLAHSQGSLDGGGDIGIGIGGEGSDGGGIEGGGGGSGERERAGRANIEDDVLLNPRATTPQSNIAAATASRNSTDDEDELRIRGDAEIQAQPPCSKLVISTKALICAPDSSAVTLSKTKKKPGLEHKGKVVTDIKDAVEHYANAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGDTGLFFTNLPRDDVERLFREFEEHDFARTGSIATETVELKEGPLEQFTHEMEPFLRKQGMPVRLNKGAVELVADHIVCEEGKPISPEAAQTLRLLGMQMATFRLYLVCRWSSDDFEVYKEGLAQLRAEADDSS >ONIVA12G00320.1 pep chromosome:AWHD00000000:12:253069:255241:1 gene:ONIVA12G00320 transcript:ONIVA12G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKIPNPQQQLGQIYVLPRRRQPHRGLHAAPWPPPPRPWTPSPTSYPQRPLVPHRLTKATLMMIPLPRRPRHPPPPVTHYPNMRPWSTQCDLTDKLQQQHTMTMTKKKNPYAAAPAAPRARVIPPVPLRRRREARPAAPLAEIHYRWLWPCHLRAAKRNDDPRAAYDNQKDWQKKSAASSICCSTRLGRIIQEKVSLCEATEEPRYKLDVICGVEEPKSYHWRSYHANFLASANGTNVLNGGEANPPVRKLFFAEFWDSQSGRFNKSNSKPICFPVQNYNACFGRLCSFCDEPRTILHPPCATGSHSNDDDDADADVIPDYNVDDAIRMYGSVAPELSEGRDLVESDIIYFDHEKDAANLTQVLNDPSFKEEDNNLGRRRKQ >ONIVA12G00310.1 pep chromosome:AWHD00000000:12:250259:250708:-1 gene:ONIVA12G00310 transcript:ONIVA12G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSVFAAFDKDGDGKVSASELRGCMAAALGEEVSEEEAAAILATADTDGDGLLDHHEFMRLSAAHQLQEPAEESLRCLREAFDMYAEEEETAVITPASLRRMLRRLGSEHQRLEMEECRAMICRFDLNGDGVLSFDEFRVMMLMA >ONIVA12G00300.1 pep chromosome:AWHD00000000:12:240199:249792:1 gene:ONIVA12G00300 transcript:ONIVA12G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0J5S3] MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIVDMAMPAQPLRRPITADSALMNPNTRILALKEMCMLMVVYLYNFAHMNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLFEEAFAIFKKFNLNVQAVNVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATHFLDVIRAAEEANVYDDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNESLNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWMNNMVDFAFPYLLQFIREYTSKVDELVKDRIESQNEVRAKEKEEKDLVAQQNMYAQLLPLALPAPPGMGGPPPPMGMPGMPPMGGMGMPPMGPGPMPAYGMPPMGSY >ONIVA12G00290.1 pep chromosome:AWHD00000000:12:232130:238107:1 gene:ONIVA12G00290 transcript:ONIVA12G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKDNGGHVRQEDGNVNNSPPPTLPNLPSRRTPNFPSDPLQILRPDSSESRRAQLSEPSRGRKGASLAAVAMTKPQQQSPPSTTATTTTSPPPPPSTPPPASSSSSLAKLPLRLHSLASSSRSLLAALRRSPVTTLVAAFFLLALFMYGEDVRTLAELSIDDYLYPDADFYNVSALPPLLLPPPTCDLSRGRWVFDNTSLPAYREKECTFLTKQVSCLANGRPDDLWQYWRWQPNNCSLPTFDARRFMEKMRGKRMMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSFNIFYAKEYRATLEFYWAPFLVESNSDNPNFHHIDQRIISPERIESHAKNWKDVDYLIFNTYIWWMNNEDIKVRRPNSTSWSEHDEMPRIETYGRVFKTWSTWLEQNVDPASTSVFFMTISPLHNSPAQWGNPNGIKCVKETLPVLNYTKPLDLNHDMRMYDLVAKVAKNMKNVPVSLIDITRMSDYRKDAHTSLYSIRQGKLLTPEQKADPQKYADCIHWCLPGVPDVWNQILYTRILSKSSPPSPHPPLPPQ >ONIVA12G00280.1 pep chromosome:AWHD00000000:12:221833:222099:-1 gene:ONIVA12G00280 transcript:ONIVA12G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPRSTPRLLPSWSLTPPHWLPATATSHSPSVACSASGLPFPHPNSSCSVLPHNRRCLFVSITSATALPPHLPTDAPSPSLSLPYT >ONIVA12G00270.1 pep chromosome:AWHD00000000:12:219616:220079:-1 gene:ONIVA12G00270 transcript:ONIVA12G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKHFKGDHTYVHVSRKGYWQFNTRDLLTDGHSTGFYAKGCAAIVDSRTSLLTDPTAIVAQVNHATEAEGIISTE >ONIVA12G00260.1 pep chromosome:AWHD00000000:12:206667:211806:-1 gene:ONIVA12G00260 transcript:ONIVA12G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATQEADCKASEDARLFFDAAKPPPFRIGDEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGVLLRSLRVDHFVSDVGDVVYYQTDHSLNGTDWAEDAKHK >ONIVA12G00250.1 pep chromosome:AWHD00000000:12:200785:205534:1 gene:ONIVA12G00250 transcript:ONIVA12G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVAAARHCLSPAAVPALDAAVASARRRAHAQTTSLHLISSLLAPPAPPLLRDALARARSAAYSPRVQLKALDLCFAVSLDRLPSVSASSSSSGAADEPPVSNSLMAAIKRSQANQRRNPDTFHFYHQAATAQTPAAVKVELSHLVLAILDDPVVSRVFAEAGFRSGDIKLAILRPAPPMPLLGRLPTRTRPPPLFLCSFAAADDADVPSPAGNLAGAGEENCRRIAEILSRGRNPMLVGKRSPLAAQNSDLSNYKLLVERLFKVVGRQEEAMSAICESIVRCRSTESRRGPSRNDIWLCFHGSDSMAKKRIAVALAELMHGSKDNLIYLDLNLQDWDDSSFRGKTGIDCIVEQLSKKRQSVLFLDNIDRADCLVQDSLSDAIKSGRFQDMRGKVVDINDSIVVLSRSMIHGSKNGLEEGLSFSEEKILATRGHRLKILVEPGRAITSGCPSGKVVVSPRHFLTKIQASLCSGSISKRKLSISDDQEKLQESPSSSKRLHRTSSVPFDLNLPVDEDEPLDADDDSSSHENSYGNTEKSIDALLHSVDGSINFKPFDFDKLADDMLQEFSNILRKNLGSECMLEIDVGAMEQILAAAWKSEEDRKPVPTWLEQVFARSLDELKLKRKHVSSSTLRLVACEDTVPTVKGDGLGVLLPPRIILDC >ONIVA12G00240.1 pep chromosome:AWHD00000000:12:180432:182491:-1 gene:ONIVA12G00240 transcript:ONIVA12G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome assembly factor mrt4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0J5R7] MPKSKRNRPVTLSKTKKKPGLERKGKVVTDIKDAVEHYANAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSSADEAKTGLHKLSKFLQGDTGLFFTNLPRDDVERLFREFEEHDFARTGSIVTETVELKEGPLEQFTHEMEPFLRKQGLPVRLNKGAVELVADHIVCEEGKPISPEAAQTLRLLGMQMATFRLYLVCRWSSDDFEVYKEGLAQLRAEADDSS >ONIVA12G00230.1 pep chromosome:AWHD00000000:12:178527:179483:-1 gene:ONIVA12G00230 transcript:ONIVA12G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVPEWLNKGDNAWQMLSATLVALQGFPGLALFYAGAVPRKWALTSAFMALYAMAATMPCWALWAHNMAFGRRLLPFVGRPAPALAQDYMLSQALLPSTLHLRSNGEVETAAVASLYPSASMVFFQWAFAGVTVGLVAGAVLGRMSVKAWMAFVPLWTTLSYTVGAYSIWGVMDYSGGYVVHLAAGVSGYTAAYWVGPRRKEEDEEEMATASGGNLVVMVAGAGILWMGWTGFNGGDPFSANTDSSVAVLNTHICATTSIVAWVCCDVAVRGRPSVVGAVQGMITGLVCITPRSNIKYSFLLVVISDEMPVPDLS >ONIVA12G00220.1 pep chromosome:AWHD00000000:12:176754:179247:1 gene:ONIVA12G00220 transcript:ONIVA12G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGPSRIYSTPANGHRPTPTSCAANNATCSNLHPNHGEVQSQQQLRYISDLTFGTAAMKTKLSKCVSAHATQHIPTDDSLYMCLLVVSGHCYGPLDPVSNIILNAIWYDAAYPLPPHQGDGDDEIDDELPHDISDTRAMARVASRSLDGLVTLLRAITTGATLSKHEAVEYLWSTQCDLTDELQQQHTVTMTKKKNPYAAAAEASKHPQHTALGSFLLSLSGDGEKLDRLRHLLRSITDGSGRTKLQT >ONIVA12G00210.1 pep chromosome:AWHD00000000:12:171776:173507:1 gene:ONIVA12G00210 transcript:ONIVA12G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSDDGDRTCPLCAEDMDITDQQLKPCKCGYEICVWCWHHIIDMAEKEDTEGRCPACRTRYDKDRIVKMAATCDRTVVEKNVDKKQKTQKVKSKAAVTVEAKKHLASVRVIQRNLVYIIGLPANLCNESILERREYFGQYGKVLKVSVSRPTGAPSQQAPTNNSISVYVYVNLMPHVYDVSLMDKHDLLFQMSDILHMPKKKRPSGVFKLYTILFWKGKC >ONIVA12G00200.1 pep chromosome:AWHD00000000:12:166162:169248:-1 gene:ONIVA12G00200 transcript:ONIVA12G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGEEEEERVLSHGDVVLLRCDLTILRGPHFLNDRIIAFYLAHLAADHDADDDLLLLPPSIPYLLSNLPDPASVAAVADPLRLASRRLVLLPVNDNPDVSHAEGGSHWTLLVLDNSNAVSGPRFVHHDSLPPTNLPFARRLAAVLRPLLPASAIPLIEGPTPRQTNGYDCGVFVLAVARAICNWWLTRARHSDSDSDWLEAVKREPFNSTSFSPFDCIYQSLPVTAQDFGTWCDMQSHKKVAAVKPVASRPSSRLRSFSMLQEDSTAIDSPRLEDSGTHTTYDQKKADRGKGACWDNLTVSQSVRKPNVSAKNSLSYDGYSWRKYGQKQVKGSEFPRSYYKCTHPTCPVKRKVEMTPDGRIAEIVYNGEHNHPKPHPPRKPTLSTSVETLVATNDAGLENKLEGCDQAIGSDAVVEALRGGCHCLDGFRNGNEISDCKKRLFRFGVRFHDGSCKRILAQPHNIINLGSHLVAEYVQEKQ >ONIVA12G00190.1 pep chromosome:AWHD00000000:12:162445:167366:1 gene:ONIVA12G00190 transcript:ONIVA12G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYLPECNLPKDFWKNELKDFSNKSGAEGNRSAESGPMLLDVLEGYLKYENLSQTRMAGTGRRIINSESDPALNAEHRNTRRPPSSSSVTGLPPMGRPMPSSQMSDRRGGSSASNARKDEYNWRYDADDISEEVLRASSALENVQLDRKARNLTTSWRHPGDGAE >ONIVA12G00190.2 pep chromosome:AWHD00000000:12:162445:169641:1 gene:ONIVA12G00190 transcript:ONIVA12G00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYLPECNLPKDFWKNELKDFSNKSGAEGNRSAESGPMLLDVLEGYLKYENLSQTRMAGTGRRIINSESDPALNAEHRNTRRPPSSSSVTGLPPMGRPMPSSQMSDRRGGSSASNARKDEYNWRYDADDISEEVLRASSALENVQLDRKARNLTTSWRHPGDGAE >ONIVA12G00190.3 pep chromosome:AWHD00000000:12:162445:167372:1 gene:ONIVA12G00190 transcript:ONIVA12G00190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYLPECNLPKDFWKNELKDFSNKSGAEGNRSAESGPMLLDVLEGYLKYENLSQTRMAGTGRRIINSESDPALNAEHRNTRRPPSSSSVTGLPPMGRPMPSSQMSDRRGGSSASNARKDEYNWRYDADDISEEVLRASSALENVQLDRKARNLTTSWREVTRGESIAVESSWSIEKDLNLEEGLEATGFTAATFLWLCISHW >ONIVA12G00180.1 pep chromosome:AWHD00000000:12:158403:161246:1 gene:ONIVA12G00180 transcript:ONIVA12G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLLPVAAKRLTARARRLSTSTSTSPPATAVLYDQHGPPDKVLRVAVDSRLLSPGDWVIPSPPSLGTWQTYIGALPEPALGLNCVGGNAASVILKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWMSSDKAEESRTMIDYLLDLVHEGKLKYEMELTPFSDFHLALDKALGKHGSQPKQVLKF >ONIVA12G00170.1 pep chromosome:AWHD00000000:12:152334:153997:1 gene:ONIVA12G00170 transcript:ONIVA12G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKD >ONIVA12G00160.1 pep chromosome:AWHD00000000:12:130084:134464:-1 gene:ONIVA12G00160 transcript:ONIVA12G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDHLLDALLAAARAALSHLHLPFPGSNPKPHYSDDLHLLGVGVAHFIHRSPPFTTRACFRRTKHPPPPPPGPPPHQLLLCIGIAFHNLLDNHLLHHFHTLLIHANKPHFDAFLSNLPFAKLKVAPPPQPSASVSPAPPTPAQTGDKEDTDTAANHSPRSTLPVRLLNIPVDRLRSTLSTLSLTDLIDLVARSLPSPDTHPDKKKLFSVHDFFRYAEFEGKRFFEELDRDGDGQVTLEDLEKEPTILRAYTTLCLSKSGTLHKNQILTSLKGAGLPANEGNAAAMLRYLNADSEESISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISAGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLPDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQTESDSADSVHEKRTTVGSRG >ONIVA12G00160.2 pep chromosome:AWHD00000000:12:130084:134464:-1 gene:ONIVA12G00160 transcript:ONIVA12G00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDHLLDALLAAARAALSHLHLPFPGSNPKPHYSDDLHLLGVGVAHFIHRSPPFTTRACFRRTKHPPPPPPGPPPHQLLLCIGIAFHNLLDNHLLHHFHTLLIHANKPHFDAFLSNLPFAKLKVAPPPQPSASVSPAPPTPAQTGDKEDTDTAANHSPRSTLPVRLLNIPVDRLRSTLSTLSLTDLIDLVARSLPSPDTHPDKKKLFSVHDFFRYAEFEGKRFFEELDRDGDGQVTLEDLEVAMRKRRLPRRYARDFLRRTRSNLFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKGAGLPANEGNAAAMLRYLNADSEESISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISAGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLPDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQTESDSADSVHEKRTTVGSRG >ONIVA12G00150.1 pep chromosome:AWHD00000000:12:122863:128275:1 gene:ONIVA12G00150 transcript:ONIVA12G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADDLAALHHQVSLASSAALSASDHDLAFHLQLSEAIQASLSSNAAAPSHPAPPPPPPEEPSDASRALAVHAADLAQAEQDHRDAQACRAYHARAAASVHVAAHDALFARDLAAILEDKWAHDGDYFERPLPLEGGGALFRVLFKGMASREVGGRVTATLASGCSPSPSAGQGGRWCSVEAQQGRMMLEAMALMSGIWRPRQKRLVDLINEAFSAKQKFEQCEILCVARTQVNYVTKLATDSLHTQIAKAAVRIREAQIPPTHKIYCPYPKCSALMSMRELIHPMQESSSKYTLVDAATLRKCVKCSGSFCISCKVPWHHQMTCYDYKRSSAKLPLVDIGEEEEALVFEDEEKTELIRVQDFRRVWEHRFSPRYAFDDSTTVCPMRYTEGPIPRYARPDDALQILSLQVIEAKDGLNWPLHVYGLVATRDSVDQKCNLLFKRTRDNCQLLTPQDSYLQLTGPSRAVLLIDPVTFEVDLKVKGKTETGDKVLSLRVFTHHMAPSYVKYSPMIRRCLSSKHSELELAYVVLADTVEATMVSVQVIEGSWPDHMPSRQRRGRGFRVA >ONIVA12G00140.1 pep chromosome:AWHD00000000:12:120856:121230:1 gene:ONIVA12G00140 transcript:ONIVA12G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLTHGVVDEGSGERRWGWIWLVTEEAGKEAARRGRGEGGKRKTRRWSRRVAEESGKEAARRGRGEGGKRKTRRWSRRVAEESRTTPSASGGGGGDGGDAAQLDGELKLNFVEKKPRVASGK >ONIVA12G00130.1 pep chromosome:AWHD00000000:12:116098:120521:-1 gene:ONIVA12G00130 transcript:ONIVA12G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCRIPAATGSGNKENNISQNRXHVLDCQTPCEGKGVATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEVGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKAPAGTQDKRIMPLPVPNSSGTAQIQRIPKKSEPSPSVVSRSRSTSSVTNVPKPTTRPATVTSERTHKVEGLPLESKTERSSVIKSSGPTIGKNMVPTVTAICQETNGSGKCETFSPYSQDNPSSSVVAPAQISAKPSALRMPSPSVGFFTQGKASVSHSDNAQRNPERCFSGNISSLVKPLSLPVQPATRDPNVLASSLPGVEDTNVRSLKQSLSENQMPQFDSSTGIKPSLAYSQLDSNTSLCSEVQLTSSEGPDIDSEMELDTDDAFTVEEPPLLHVGGECDHDYRSAECSHMNLAAPSPRVDQKALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDTIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTKHRSDHVPGKRLANKSIKGNLLFHFVPAPR >ONIVA12G00130.2 pep chromosome:AWHD00000000:12:116386:120521:-1 gene:ONIVA12G00130 transcript:ONIVA12G00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCRIPAATGSGNKENNISQNRXHVLDCQTPCEGKGVATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEVGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKAPAGTQDKRIMPLPVPNSSGTAQIQRIPKKSEPSPSVVSRSRSTSSVTNVPKPTTRPATVTSERTHKVEGLPLESKTERSSVIKSSGPTIGKNMVPTVTAICQETNGSGKCETFSPYSQDNPSSSVVAPAQISAKPSALRMPSPSVGFFTQGKASVSHSDNAQRNPERCFSGNISSLVKPLSLPVQPATRDPNVLASSLPGVEDTNVRSLKQSLSENQMPQFDSSTGIKPSLAYSQLDSNTSLCSEVQLTSSEGPDIDSEMELDTDDAFTVEEPPLLHVGGECDHDYRSAECSHMNLAAPSPRVDQKALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDTIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTSD >ONIVA12G00130.3 pep chromosome:AWHD00000000:12:116386:120521:-1 gene:ONIVA12G00130 transcript:ONIVA12G00130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCRIPAATGSGNKENNISQNRXHVLDCQTPCEGKGVATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEVGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKAPAGTQDKSGTAQIQRIPKKSEPSPSVVSRSRSTSSVTNVPKPTTRPATVTSERTHKVEGLPLESKTERSSVIKSSGPTIGKNMVPTVTAICQETNGSGKCETFSPYSQDNPSSSVVAPAQISAKPSALRMPSPSVGFFTQGKASVSHSDNAQRNPERCFSGNISSLVKPLSLPVQPATRDPNVLASSLPGVEDTNVRSLKQSLSENQMPQFDSSTGIKPSLAYSQLDSNTSLCSEVQLTSSEGPDIDSEMELDTDDAFTVEEPPLLHVGGECDHDYRSAECSHMNLAAPSPRVDQKALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDTIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTSD >ONIVA12G00130.4 pep chromosome:AWHD00000000:12:116386:120142:-1 gene:ONIVA12G00130 transcript:ONIVA12G00130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEVGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKAPAGTQDKSGTAQIQRIPKKSEPSPSVVSRSRSTSSVTNVPKPTTRPATVTSERTHKVEGLPLESKTERSSVIKSSGPTIGKNMVPTVTAICQETNGSGKCETFSPYSQDNPSSSVVAPAQISAKPSALRMPSPSVGFFTQGKASVSHSDNAQRNPERCFSGNISSLVKPLSLPVQPATRDPNVLASSLPGVEDTNVRSLKQSLSENQMPQFDSSTGIKPSLAYSQLDSNTSLCSEVQLTSSEGPDIDSEMELDTDDAFTVEEPPLLHVGGECDHDYRSAECSHMNLAAPSPRVDQKALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDTIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTSD >ONIVA12G00130.5 pep chromosome:AWHD00000000:12:120522:121799:-1 gene:ONIVA12G00130 transcript:ONIVA12G00130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDDRFEEEEDVRRSSLAAATSTTTAATRFPLPPPSSRRRRRSSMLDLPRAPAAASIPFFRVVATASPAGPASPPSPPPPPLADGVVLDSSATRLLHLLVFLLPPSPLPLLAASFPDSSATRLLHLLVFLLPPSPLPLLAASFPASSVTSQIHPHLRSPLPSSTTPWSSIHM >ONIVA12G00120.1 pep chromosome:AWHD00000000:12:110294:110694:1 gene:ONIVA12G00120 transcript:ONIVA12G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPNLPFFNGCSSVVHWRCGARSPLLRCSSLLRLLPVNTGELLGSVGVACGVGFQRPVSLVVGRAVGEVGAACLASSATMTLNRRPGNAARVWRWSILREPRRENLA >ONIVA12G00110.1 pep chromosome:AWHD00000000:12:105075:108528:-1 gene:ONIVA12G00110 transcript:ONIVA12G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASAAAAALVLLFLAFSLSNAQPGFISLDCGGDDDYTDGIGIQWTSDAKFVSAGQKANLLLQNQQLQQYTTVRSFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDLSLGPTPWTTVVIDDATTPVVQEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDEKQFFLRLSARINFGAESNASVRYPDDPFDRIWESDLVRRANYLVDVAPGTERISTTKPIFVSTNEEPPQRVMQTAVVGKNGSLTYRIDLEDFPGNAWGVSYFAEIEDLAPNQTRKFKLVIPGKPEFSKPTVDVEENAQGKYRLYEPGYTNIPLPFVFSFGFKKTNDSSEGPILNAMEIYKYIEISVGSQDANIMASLVSRYPEAGWAQEGGDPCLPASWSWVQCSSEAAPRIFSISLSGKNITGSIPVELTKLSGLVELKLDGNSFTGQIPDFTGCHDLQYIHLEDNQLTGALPPSLGELPNLKEFFSGNSDLRMGHSNTGRTIVIIVCAVVGAILILVAAIVCYLFTCKRKKKSSDETVVIAAPAKKLGSFFSEVATESAHRFALSEIEDATDKFDRRIGSGGFGIVYYGKLTDGREIAVKLLTNDSYQGIREFLNEVCFFSCLIIFS >ONIVA12G00100.1 pep chromosome:AWHD00000000:12:91670:99534:1 gene:ONIVA12G00100 transcript:ONIVA12G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVNGHAWVGKEQKGKKKSLQSDRKTTLGFVSLGSMAAEKTKQRRGIEESARMRDKGRLSDETPSEEGHEIIRFRRGWESLYSHPHRSFDATTFAPMRYTHVPIPKYADCNYGLQIFSVKVNQLLLNEEEEEEEGLHWPLHVYGLVATRDSFYPRRNLLFNRTRDNCQILTQQDPFLLLTGPTRAVVLIDPVKFEIQLKAKGTSESEDKVLNFRVLVYHHDYSLADPPFIVRRRRRCKRIEATISVQVVDGSSWPDDLGVQVAARTASISDEAIKLLDSRSAHGGRVPICPDDGVIKLSRRVVSVELAGGLEVDVLALHNKQLVDGSKGGLLDVAAADKVILMVRCLKFITFIKTKRKLAHLSYIKKIQGVYTTNTINLFRKTLIVSMVMKKTRRGDPGDGERTKNKTYPAMRYTFGQIPKSSFAGCDNGLQIFSIKLLLRNTSTTDHQLQWPLHVYGLVATRDSLDPRRNLLFNRTRDNCQILTQQDPFLVLTGPSRAIVLIDPVQFEVQLKAKSNNNTLHDHPDQDQIVNFGVVNSGYLPGPTSHCIGKRNGKMPIDDDGFIQLSRRVVSVELAGQLIVQVLAFNSQQQVVDNDNDNKKDEIVAKHEIVFDPKEASLSVETCELQLGGGGGGPCKLQISVAWSLVDRLPPVVNLVAVVVRGGREPVPRIDGLGGGGQKLAPRIDGLDSDNSREPMTPIDGLCGEGREPTPLFDGLLDSNI >ONIVA12G00090.1 pep chromosome:AWHD00000000:12:80713:81036:-1 gene:ONIVA12G00090 transcript:ONIVA12G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0J5P5] MARSSSQSQSSVGASAGAARPATVGPRGTAAAAAGMRRRRASTAGGSGGFSGGGGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAASASA >ONIVA12G00080.1 pep chromosome:AWHD00000000:12:73511:75615:1 gene:ONIVA12G00080 transcript:ONIVA12G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J5P4] MADQQEALAVARRMAEQRVRLHLQRSMGVRVLDSISSSSFSSSSPPPPPRFMGFLLPPPDLNRRGQGRVPRIDEEEASTTVDGMLVTPPDLKLAIPAGEFGRPEDRQTFHIHKILLLLFRETDLYFVAFRPLAPGVNPDSANGWFHFKQAEPIMPSFLNSHQINYGYGYINATNYQVGTGCLSDIYFCLREFTPANARLQSQQRRRVVMVCGLMLSETQRFMQMQREVIENIHSDNGERQDITHLDGLIHDWGVESNRRVAAADHQHQHGGGEAPAAAAPGQVVDYGLWVLKYNPYYVLPLIRRQLQQHPQPPLTRRQKKQQLRRRLLQQRLRQLRLLQPHQHQHQQALYL >ONIVA12G00070.1 pep chromosome:AWHD00000000:12:66658:72994:1 gene:ONIVA12G00070 transcript:ONIVA12G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIKLPLADGEERLSCCGELLAMGKKLEEAVTISGKLPLEEGMGKGKRRPVVAELEDEEEFEDEELTEQIIARDFRVSWEHRFSPRYSFHDTTTVSPMRYTEGPIPRYACCCDALQIFSLQVKEAKDGLDWPLHVYGLVATRDSDSHLLLTGPSRAVVVIDPVTFEVELKVRGKTEAEDKVLSLTVFMHHTAYPYTKHTYMIRRCLSSKHGELELTYAALVGTVEATMVSFQVTEGSWPDHLRGVVVCKTASVEGGDVVLLDSRDGKMPINCNGAIELSRRVVSAELGGELSVDLVALQANNSSEIVSRGRVVFTPDEAGRSSGVFDLVFCKVEATVCWSLLATLRQMLSGNPWA >ONIVA12G00070.2 pep chromosome:AWHD00000000:12:68082:72994:1 gene:ONIVA12G00070 transcript:ONIVA12G00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSASTLLLFDNKYFYYLTAATVSPMRYTEGPIPRYACCCDALQIFSLQVKEAKDGLDWPLHVYGLVATRDSDSHLLLTGPSRAVVVIDPVTFEVELKVRGKTEAEDKVLSLTVFMHHTAYPYTKHTYMIRRCLSSKHGELELTYAALVGTVEATMVSFQVTEGSWPDHLRGVVVCKTASVEGGDVVLLDSRDGKMPINCNGAIELSRRVVSAELGGELSVDLVALQANNSSEIVSRGRVVFTPDEAGRSSGVFDLVFCKVEATVCWSLLATLRQMLSGNPWA >ONIVA12G00070.3 pep chromosome:AWHD00000000:12:66658:67462:1 gene:ONIVA12G00070 transcript:ONIVA12G00070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIKLPLADGEERLSCCGELLAMGKKLEEAVTISGKLPLEEGMGKGKRRPVVAELEDEEEFEDEELTEQIIARDFRVSWEHRFSPRYSFHDTNCPGGIKPVLGNDKVD >ONIVA12G00060.1 pep chromosome:AWHD00000000:12:64226:64943:1 gene:ONIVA12G00060 transcript:ONIVA12G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQGWSSSSSSSSLLRRVEEEEAVGRYSAEERRERIDKYRSKRNQRNFDKKITYACRKTLADSRPRVKGRARNSSDDAAAAAAAQVEVSPATNNNVPEWWPAVQEALARQEQEAAGLHLCDTADDDLLAAYLGVSSIDLYSPRGH >ONIVA12G00050.1 pep chromosome:AWHD00000000:12:50632:51607:-1 gene:ONIVA12G00050 transcript:ONIVA12G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNNKIINKFTNHLKKSQIIIDPPDIAPHCCRLQFPLPHAPPPAASPPTPWLRWSMVRMVPHGSMKKWWYLSSIKGPPCISLPRKLGATDKCDFCHLGKDQFSSSVGAKEVGGGGGESRGRVEGEGDACVVSARAAVEGGRGNGCVGIISKEATLRVIMSMTLE >ONIVA12G00040.1 pep chromosome:AWHD00000000:12:48164:49666:-1 gene:ONIVA12G00040 transcript:ONIVA12G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMMSRLIGDMQRHRRTLSTTVVEETVAAAATLVSKWHPDDHHSSLFLHASSPEADHFLRAAADLHRAMLFFASDPTNAHNGHGLVQAHHLLDTAMRRLQLELPRLLAPPPAGSRDRLRALADTMMSAGYGKECISTFKEQRRAALAATLRRQHTVVQVPFHKLTWEQVDDNIQSWLAAARIAFSSVFPAEKELCDTVFAGDASVGDAVFEDVANNQAANLLAVAEAAVARARRAPERLFRVLDVHDALTEILPEIMSVFGDRSEVAKRACSALFKAGEAARGALANLEVAIEKEPSKATVAGGGVHPLTRYVMNYLVFLADYEGALDRINQQQGSPERSWSIGWLVQVLMRKIEAKAGSYREAALRHLFMANNTHYVARKLAIIPSLGDDDGEAQDAARRHVEAYVRAAWGKVLKAIAAADGVEVEEAVMQAVAKQEKWVAADEEMGQVLRAAATAAVVPKYRVLYRRHGATLRLTPGDVNAIIAALFGGIIATPSSC >ONIVA12G00030.1 pep chromosome:AWHD00000000:12:29482:31344:1 gene:ONIVA12G00030 transcript:ONIVA12G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWMSSDKAEESRTMIDYLLDLVHEGKLKYEMELTPFSDFHLALDKALGKHGSQPKQVLKF >ONIVA12G00020.1 pep chromosome:AWHD00000000:12:11169:26125:1 gene:ONIVA12G00020 transcript:ONIVA12G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phototropin 1 [Source:Projected from Arabidopsis thaliana (AT3G45780) TAIR;Acc:AT3G45780] MASKGTEGGHGGVERKEQQQQRGYQLPRDSRGSLEVFNPSSASSFRTAAAAPKSASPFLAIPDREEDNVVAQQRAAEWGLVLQTDHHTGLPQGVSTRPSSGSARTSSEDNPQQQQSAAAIPRVSEELRAALSAFQQTFVVSDATRPNHPIMYASAGFFNMTGYTSKEVVGRNCRFLQGSGTDPHEIDKIRQSLANGSNYCGRILNYKKDGTPFWNLLTIAPIKDEDGRLLKFIGMQVEVSKYTEGKKETVVRPNGLSESLIKYDARQKDHARSSVSELLLALKNPRSLSESSNNTLKRKSQESLSMSMSEVPSKRSSESGSRRNSRSGTRSSLQKINEVPDQVNRTRKSGLRAFMGFLGMGHGSVEKNMLKPRDEDPLIDSDDERPESFEDEFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYNREEILGRNCRFLQGPETDRATVRKIRDAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTEHVQDDAAKEGVVLVKKTADNIDEAAKELPDANLRPEDLWANHSKVVLPNPHMKDTASWRAIQKVLESGESIGLKHFRPIKPLGSGDTGSVHLVELLNTGEYFAMKAMDKSIMLNRNKVHRATAERQILDLLDHPFLPTLYASFQTKTHICLITDYCPGGELFVLLDNQPLKVLHEDAVRPQVFLPEDADEKKGRKNGSYPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASISVSLAARQLMYRLLHRDPANRLGSYEGANEIKGHPFFRGINWPLIRATAPPKLEIPLFSKDDMEKKGLVTDNRTDIF >ONIVA12G00020.2 pep chromosome:AWHD00000000:12:11169:26180:1 gene:ONIVA12G00020 transcript:ONIVA12G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phototropin 1 [Source:Projected from Arabidopsis thaliana (AT3G45780) TAIR;Acc:AT3G45780] MASKGTEGGHGGVERKEQQQQRGYQLPRDSRGSLEVFNPSSASSFRTAAAAPKSASPFLAIPDREEDNVVAQQRAAEWGLVLQTDHHTGLPQGVSTRPSSGSARTSSEDNPQQQQSAAAIPRVSEELRAALSAFQQTFVVSDATRPNHPIMYASAGFFNMTGYTSKEVVGRNCRFLQGSGTDPHEIDKIRQSLANGSNYCGRILNYKKDGTPFWNLLTIAPIKDEDGRLLKFIGMQVEVSKYTEGKKETVVRPNGLSESLIKYDARQKDHARSSVSELLLALKNPRSLSESSNNTLKRKSQESLSMSMSEVPSKRSSESGSRRNSRSGTRSSLQKINEVPDQVNRTRKSGLRAFMGFLGMGHGSVEKNMLKPRDEDPLIDSDDERPESFEDEFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYNREEILGRNCRFLQGPETDRATVRKIRDAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTEHVQDDAAKEGVVLVKKTADNIDEAAKELPDANLRPEDLWANHSKVVLPNPHMKDTASWRAIQKVLESGESIGLKHFRPIKPLGSGDTGSVHLVELLNTGEYFAMKAMDKSIMLNRNKVHRATAERQILDLLDHPFLPTLYASFQTKTHICLITDYCPGGELFVLLDNQPLKVLHEDAVRPQVFLPEDADEKKGRKNGSYPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASISVSLAARQLMYRLLHRDPANRLGSYEGANEIKGHPFFRGINWPLIRATAPPKLEIPLFSKDDMEKKGLVTDNRTDIF >ONIVA12G00010.1 pep chromosome:AWHD00000000:12:407:3026:1 gene:ONIVA12G00010 transcript:ONIVA12G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQPSPPSSTVPPAPTAAAAGAVVQVHPAVMQLHHHHHHHHPYAAAAAAQSHHLQQQQQQQAEWPAAVDYCSTASASASATAADMAIPPCCRPLKTLELFPTKSTSGGLKEDCCSSSKSSSCSTSTN >ONIVA11G23410.1 pep chromosome:AWHD00000000:11:24358087:24370521:-1 gene:ONIVA11G23410 transcript:ONIVA11G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MQARGTHANQSEEGLRAGRPLAREAATAPTPGQTPLGGRHVGLGRPSPSVQGTPGPISPTDYLFIFFPAPHLGSTYLSRFPNLRCGVVRCEPDAGVASPRRARGCRERSLVDTLTSSTGLALLARTASSTGLGLLARTAGGACRFGGVATATRPTSGCSMYEEDNIQGELLLVQAELDDIQGQISALIDRQEELYERESQLKAMLEVSKASNNTINNTPSVGPKDWSGSFLWDSRADDVRFNVFGISSYRQNQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLHDGITLVVSPLLSLIQDQVMGLAALGIQAYMLASTTNKEVEKFVYKALDKGEGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILKVQFPSVPMIALTATATSKVQIDLIEMLHIPRCVKFVSTINRPNLFYKVFEKSPVGKVVIDEIANFISESYPNNESGIVYCFSRKECEQVAKELSERGILADYYHADMDVISREKVHMRNQNEPVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECILYYRPGDVPRQSSMVFYENCGLQNLYDIVRYCQSKRSCRRGAFFRHFGEAAQDCNGMCDNCTSSLELKEIDATHHTKIIVSLLHDIQLNDQRATLLQLVDKFKAKWKDLGCSNESIDLKKEGIEQLKEEFQHTAYATNAYVALGPLWKPALKGNRPVKLSVAFHSQDKGSGSKRTKRNQMSNLEAKLDDLRRELSSSNGGVFPHAVLSAQQISLLNRQKPTTVAELEKLIGKVKTVKYGSAIIELMLLHVDSEVAGGKACASKRQKKDKEDVICVESSEEDKKQQTIVR >ONIVA11G23410.2 pep chromosome:AWHD00000000:11:24358321:24370521:-1 gene:ONIVA11G23410 transcript:ONIVA11G23410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MQARGTHANQSEEGLRAGRPLAREAATAPTPGQTPLGGRHVGLGRPSPSVQGTPGPISPTDYLFIFFPAPHLGSTYLSRFPNLRCGVVRCEPDAGVASPRRARGCRERSLVDTLTSSTGLALLARTASSTGLGLLARTAGGACRFGGVATATRPTSGCSMYEEDNIQGELLLVQAELDDIQGQISALIDRQEELYERESQLKAMLEVSKASNNTINNTPSVGPKDWSGSFLWDSRADDVRFNVFGISSYRQNQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLHDGITLVVSPLLSLIQDQVMGLAALGIQAYMLASTTNKEVEKFVYKALDKGEGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILKVQFPSVPMIALTATATSKVQIDLIEMLHIPRCVKFVSTINRPNLFYKVFEKSPVGKVVIDEIANFISESYPNNESGIVYCFSRKECEQVAKELSERGILADYYHADMDVISREKVHMRNQNEPVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECILYYRPGDVPRQSSMVFYENCGLQNLYDIVRYCQSKRSCRRGAFFRHFGEAAQDCNGMCDNCTSSLELKEIDATHHTKIIVSLLHDIQLNDQRATLLQLVDKFKAKWKDLGCSNESIDLKKEGIEQLKEEFQHTAYATNAYVALGPLWKPALKGNRPVKLSVAFHSQDKGSGSKRTKRNQMSNLEAKLDDLRRELSSSNGGVFPHAVLSAQQISLLNRQKPTTVAELEKLIGKVKTVKYGSAIIELMLLHVDSEVAGGKACASKRQKKDKEDVICVESSEEDV >ONIVA11G23410.3 pep chromosome:AWHD00000000:11:24358087:24370521:-1 gene:ONIVA11G23410 transcript:ONIVA11G23410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MQARGTHANQSEEGLRAGRPLAREAATAPTPGQTPLGGRHVGLGRPSPSVQGTPGPISPTDYLFIFFPAPHLGSTYLSRFPNLRCGVVRCEPDAGVASPRRARGCRERSLVDTLTSSTGLALLARTASSTGLGLLARTAGGACRFGGVATATRPTSGCSMYEEDNIQGELLLVQAELDDIQGQISALIDRQEELYERESQLKAMLEVSKASNNTINNTPSVGPKDWSGSFLWDSRADDVRFNVFGISSYRQNQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLHDGITLVVSPLLSLIQDQVMGLAALGIQAYMLASTTNKEVEKFVYKALDKGEGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILKVQFPSVPMIALTATATSKVQIDLIEMLHIPRCVKFVSTINRPNLFYKVFEKSPVGKVVIDEIANFISESYPNNESGIVYCFSRKECEQVAKELSERGILADYYHADMDVISREKVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECILYYRPGDVPRQSSMVFYENCGLQNLYDIVRYCQSKRSCRRGAFFRHFGEAAQDCNGMCDNCTSSLELKEIDATHHTKIIVSLLHDIQLNDQRATLLQLVDKFKAKWKDLGCSNESIDLKKEGIEQLKEEFQHTAYATNAYVALGPLWKPALKGNRPVKLSVAFHSQDKGSGSKRTKRNQMSNLEAKLDDLRRELSSSNGGVFPHAVLSAQQISLLNRQKPTTVAELEKLIGKVKTVKYGSAIIELMLLHVDSEVAGGKACASKRQKKDKEDVICVESSEEDKKQQTIVR >ONIVA11G23410.4 pep chromosome:AWHD00000000:11:24358321:24370521:-1 gene:ONIVA11G23410 transcript:ONIVA11G23410.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MQARGTHANQSEEGLRAGRPLAREAATAPTPGQTPLGGRHVGLGRPSPSVQGTPGPISPTDYLFIFFPAPHLGSTYLSRFPNLRCGVVRCEPDAGVASPRRARGCRERSLVDTLTSSTGLALLARTASSTGLGLLARTAGGACRFGGVATATRPTSGCSMYEEDNIQGELLLVQAELDDIQGQISALIDRQEELYERESQLKAMLEVSKASNNTINNTPSVGPKDWSGSFLWDSRADDVRFNVFGISSYRQNQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLHDGITLVVSPLLSLIQDQVMGLAALGIQAYMLASTTNKEVEKFVYKALDKGEGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILKVQFPSVPMIALTATATSKVQIDLIEMLHIPRCVKFVSTINRPNLFYKVFEKSPVGKVVIDEIANFISESYPNNESGIVYCFSRKECEQVAKELSERGILADYYHADMDVISREKVHMRWSKSKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECILYYRPGDVPRQSSMVFYENCGLQNLYDIVRYCQSKRSCRRGAFFRHFGEAAQDCNGMCDNCTSSLELKEIDATHHTKIIVSLLHDIQLNDQRATLLQLVDKFKAKWKDLGCSNESIDLKKEGIEQLKEEFQHTAYATNAYVALGPLWKPALKGNRPVKLSVAFHSQDKGSGSKRTKRNQMSNLEAKLDDLRRELSSSNGGVFPHAVLSAQQISLLNRQKPTTVAELEKLIGKVKTVKYGSAIIELMLLHVDSEVAGGKACASKRQKKDKEDVICVESSEEDV >ONIVA11G23410.5 pep chromosome:AWHD00000000:11:24358321:24370521:-1 gene:ONIVA11G23410 transcript:ONIVA11G23410.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MQARGTHANQSEEGLRAGRPLAREAATAPTPGQTPLGGRHVGLGRPSPSVQGTPGPISPTDYLFIFFPAPHLGSTYLSRFPNLRCGVVRCEPDAGVASPRRARGCRERSLVDTLTSSTGLALLARTASSTGLGLLARTAGGACRFGGVATATRPTSGCSMYEEDNIQGELLLVQAELDDIQGQISALIDRQEELYERESQLKAMLEVSKASNNTINNTPSVGPKDWSGSFLWDSRADDVRFNVFGISSYRQNQREIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLHDGITLVVSPLLSLIQDQVMGLAALGIQAYMLASTTNKEVEKFVYKALDKGEGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILKVQFPSVPMIALTATATSKVQIDLIEMLHIPRCVKFVSTINRPNLFYKVFEKSPVGKVVIDEIANFISESYPNNESGIVYCFSRKECEQVAKELSERGILADYYHADMDVISREKVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECILYYRPGDVPRQSSMVFYENCGLQNLYDIVRYCQSKRSCRRGAFFRHFGEAAQDCNGMCDNCTSSLELKEIDATHHTKIIVSLLHDIQLNDQRATLLQLVDKFKAKWKDLGCSNESIDLKKEGIEQLKEEFQHTAYATNAYVALGPLWKPALKGNRPVKLSVAFHSQDKGSGSKRTKRNQMSNLEAKLDDLRRELSSSNGGVFPHAVLSAQQISLLNRQKPTTVAELEKLIGKVKTVKYGSAIIELMLLHVDSEVAGGKACASKRQKKDKEDVICVESSEEDV >ONIVA11G23400.1 pep chromosome:AWHD00000000:11:24357214:24366689:1 gene:ONIVA11G23400 transcript:ONIVA11G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGNLLGRKNSMWEYASITGRELSPQWLLKLAAATQTQAKDRKLKSWWPRQHHVSKQTRQV >ONIVA11G23390.1 pep chromosome:AWHD00000000:11:24356208:24357146:1 gene:ONIVA11G23390 transcript:ONIVA11G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDGVVALYGGGKVSCKPPAATFSVKVGLAQMLRGGVIMDVVTPEQARLAEEAGACAVMALERVPADIRAQGGVARMSDPALIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTPADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGEIRALRNMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILADVSAGLGDAMVGINLNDQNVERYAARSH >ONIVA11G23380.1 pep chromosome:AWHD00000000:11:24351866:24354768:1 gene:ONIVA11G23380 transcript:ONIVA11G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11210) TAIR;Acc:AT3G11210] MLGFAPAPGRPLFVLFGSSIVQFSFSNGGWGAALADIYARKADILLRGYIGWNSRRALQVIDKIFPKDSPVQPSLVIVYFGGNDSVAAHSSGLGPHVPLEEYIDNMRKIADHLKSLSEKTRVIFLSCPPLNEETLRKSTSTVLSEIVRTNETCRLYSEACVSLCKEMDLKVVDLWNAMQKRDDWATACFTDGLHLSEEGSKIVVEEILRILKEAEWDPCLHWKAMPTEFGEDSPYDLVSSSGQSTVNPSDWTFHRTIQWD >ONIVA11G23370.1 pep chromosome:AWHD00000000:11:24350401:24350702:-1 gene:ONIVA11G23370 transcript:ONIVA11G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAQRRYEIAAESISANAHLLPCRHHLDLTVEVRALCCQPPSTNAALATDVTVVLMKIAATS >ONIVA11G23360.1 pep chromosome:AWHD00000000:11:24342634:24348604:-1 gene:ONIVA11G23360 transcript:ONIVA11G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQMPCWHIVREGVGHAKLWKDDDSTMDVIMRQSLMTIYPHIELVMPGDGVGAESLKQEAGGHEEQGEPA >ONIVA11G23360.2 pep chromosome:AWHD00000000:11:24342634:24348604:-1 gene:ONIVA11G23360 transcript:ONIVA11G23360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSLMTIYPHIELVMPGDGVGAESLKQEAGGHEEQGEPA >ONIVA11G23350.1 pep chromosome:AWHD00000000:11:24342616:24345366:1 gene:ONIVA11G23350 transcript:ONIVA11G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J5M2] MAVLPESRRLSLLLMAACFLLQALSAHAITRHYKFNVVMRNMTRLCSTKPILTVNGKFPGPTLYAREGDNVLVKVVNHVAHNVTIHWHGVRQIRTGWYDGPAYITQCPIQPGSSFLYNFTITGQRGTLLWHAHINWLRATVHGAIVILPKLGVPYPFPAPHKEAVIVLGEWWKEDTETVINQAMQLGVGPNISDSHTINGHPGPLSECASSQDGFKLSVENGKTYMLRIINAALNDDLFFKVAGHELTVVEVDAVYTKPFKTDTLLITPGQTTNVLVRANQGAGRYLLSVSPFMDAPVQVDNKTGTATLHYANTVSSSMASLTLVKPPPQNATHIVSKFTDSLRSLNSKEYPANVPQTVDHSLLLTVGVGVNPCPSCINGTRVVGTINNVTFIMPSTPILQAHYYNIPGVFTEDFPATPLHKFNYTGSGPKNLQTMNGTRVYRLPYNASVQVVLQDTGIISPESHPIHLHGFNFFVVGKGVGNYNPRTSPSTFNLIDPIERNTIGVPTGGWTAIRFRSDNPGVWFMHCHFEVHTSWGLKMAFVVDNGKRPSETLIPPPKDLPQC >ONIVA11G23340.1 pep chromosome:AWHD00000000:11:24334762:24335907:-1 gene:ONIVA11G23340 transcript:ONIVA11G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETVSVCGLHPGVAVTGFCPACLRDRLAGLHPPSDLRRCKSFSYYARSSSYLEAHPQQDALVLPDAADAPTNTKPALGSLGKRWQEWRRKSKLKHHHPPPATATAPALLARRSCDAFSTRTMLDEPRASYHPTLFVPRSDDQIPVEEEERAYMPGGSAQTRDYYLDSSSSSRRRRSVDRKSSSDAGDQIPRMVAAAANARVSPATELYHQPHTFHYHQPSFEPPPLLARERQEAKSKPKNKGIKGWSIWGLLHKKSSTTNSVGATASAFAGEARAAPPELRARGYDGQMLRCNSSVSARSSFSGIGSSFNGGRLGMRRADEVLLERNFSARYSSSSSCRYQFHKDDYSNSIANNSGAGAGSRPPRSSTLPRTSLGLYYY >ONIVA11G23330.1 pep chromosome:AWHD00000000:11:24330374:24337525:1 gene:ONIVA11G23330 transcript:ONIVA11G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHGSKPDISFAGRFTASAIAACFAEVCTIPLDTAKVRLQLQKNVAADAAPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVRTVKSFYVGKDHVGDVPLTKKIAAGFTTGAIAISIANPTDLVKVRLQAEGKLAPGAPRRYAGAMDAYAKIVRQEGFAALWTGIGPNVARNAIINAAELASYDQVKQTILKLPGFKDDVVTHLLSGLGAGFFAVCVGSPVDVVKSRMMGDSAYTSTIDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKLFVRKPGS >ONIVA11G23320.1 pep chromosome:AWHD00000000:11:24327451:24329702:-1 gene:ONIVA11G23320 transcript:ONIVA11G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G59140) TAIR;Acc:AT5G59140] MLRKGEAPGHQTPPHLHKDDGDDDDDAPSGFVKLISAEGFEFVVDKKAAMVSNTLRNMLTSPGGFSETREGEVRFPEISTPILEKICQYFYWSLHYSSGKETSEFQIEPEITLELMMAANYLDT >ONIVA11G23310.1 pep chromosome:AWHD00000000:11:24323590:24326381:-1 gene:ONIVA11G23310 transcript:ONIVA11G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALDSAWEGLTGSFTEFQLATVVTFLLHETVFFLSGLPSLLFERFGLFAKYKIQKKSNTPSYQNRCVLRLILYHVCVNLPVMVLSYPAFKFMGLRSSLPLPHWTVIVSQVLFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYVMLHLGLILLHFVIVPVLLNNNFLGRYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKDYRNAKAIEEKDGKHL >ONIVA11G23300.1 pep chromosome:AWHD00000000:11:24322186:24322530:-1 gene:ONIVA11G23300 transcript:ONIVA11G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIAGAPPWPPPMPADDDRTTTTSHVWAARLEKRRRRGTVSVQHVVKASSDKLMRKFTDPDAHAKQITPPRRSLALRRKQSSSKVALGLSARDLELGAELVAPKRRRSIGGST >ONIVA11G23290.1 pep chromosome:AWHD00000000:11:24315876:24316613:-1 gene:ONIVA11G23290 transcript:ONIVA11G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRGEEEWVMWGSAGRRRIIRQSASWEEQAFARDAAANANLGGCVWPPRFYTCSFCQREFRSAQALGGHMNVHRRDRARLRQRQTSSSSSPSHQEEEAEAPQDQQAGPYYTSFSKPSTTSTDNTTCSNDILLLARDQETIKKRVPRQQVQVVADQDEDEPAGRRYKRRRLGLVDQLPSSCEGGDHHHQVLIITPTTAAASPSSIIASASALVVGDQQHQQAGSVLDLELRLGTSTPPKAVVHA >ONIVA11G23280.1 pep chromosome:AWHD00000000:11:24306208:24308064:-1 gene:ONIVA11G23280 transcript:ONIVA11G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFSSTVGAPGSGLVVKNWLRTAGYSHHGGRSRCRCRKIMAMAGGSSKEVDEEKQTEQDRWKGLAYDISDDQQDITRGKGLVDSLFQAPMGDGTHEARVPQPGSQNVQPGQHHGHISKKLMKLPNIQGKSFQCELVFAKMGINLIMMSAGEPAKLIRQRYREAADMINKGKMCVLFINDLDAGRHDAVHGEQPDGERDADEHRGQPHQRAAPRDVQPPCPHHRHRQRLLPLIRDGRMDKFYWAPTREDRIGVCRGIFRSDKVPDAFPSTSSGRCGRGCTATRCGGGWQEAGELRRIDTGRHMLVREQENVKRVRLADKYLSEAALGDANHDSGEFYGKAAQQSPVPVPAGCTDQRAANYDPTARSDDGSCVYN >ONIVA11G23270.1 pep chromosome:AWHD00000000:11:24301126:24303887:-1 gene:ONIVA11G23270 transcript:ONIVA11G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSSTVGAPASTPTNFLGKKLKKQVTSAVNYHGKSSNINRFKVMAKELDEGKQTDQDRWKGLAYDISDDQQDITRGKGFVDSLFQAPTGDGTHEAVLSSYEYLSQGLRTYDFDNTMGGFYIAPAFMDKLVVHISKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRVGVCKGIFRTDNVPDEDIVKIVDSFPGQSIDFFGALRARVYDDEVRKWVSDTGVENIGKRLVNSREGPPEFEQPKMTIEKLMEYGYMLVKEQENVKRVQLAEQYLSEAALGDANSDAMKTGSFYGQGAQQAGNLPVPEGCTDPVAKNFDPTARSDDGSCLYTF >ONIVA11G23260.1 pep chromosome:AWHD00000000:11:24288843:24291004:-1 gene:ONIVA11G23260 transcript:ONIVA11G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDDTSFNNSLDLYCDEDPFDSTPPPPPPPPEQQQQAGTTTPDDIDDEVMEYYKAKQRCYALQIRDYCCYLQRHHLLLQQQQHGVAAARLKAAMGRLGLEAATAFNAANYLDRFLSINCHLKWEEWMVEVVSVACLSLACKLDEVTIPSLHDLQMEEAMGHSFRASTIRDMELTLLKALRWRLACVTPFSFLPVTTTTTTTRALLLRSLLDPSFLRFDASLLAASALTLSSTTPQHPNHLLLNRLIHPFSQTISNKPMSIWQRQIKARSI >ONIVA11G23250.1 pep chromosome:AWHD00000000:11:24286578:24287422:-1 gene:ONIVA11G23250 transcript:ONIVA11G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02140) TAIR;Acc:AT5G02140] MATPLLLVVNAILIMAITACGGGGGNGGIQLIMVNNCGESVWPGLLGTAGHPTPQSGGFHLGAGEEAALEVPAGWSGRVWPRRGCSFDSRGRGSCATGDCGGVLRCNGAAGATPATVVEMTLGTSASAMHFYDVSLVDGFNAPVSMAAVGGGVGCGTAACGADVNVCCPSALEVRDREGRVAGCRSACRAMGGDRYCCTGDYASPSACRPTIFSHLFKAICPRAYSYAYDDATSLNRCHAKRYLITFCPPQPS >ONIVA11G23240.1 pep chromosome:AWHD00000000:11:24281593:24285142:1 gene:ONIVA11G23240 transcript:ONIVA11G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVESSYRSEMMDGEGWKMMQPNTNKQLVQIKTRSSSMQVVKEEIEEEEVAGGRGRRRGGLRRSVSGRGYNSSNQKVIANANTNRDTNNLAMQTQVPTHTREGPTFTQLLLGEEDFDLPPYVPDDAKESNQFYQQTTNEFLNMNQLDNNGIGTAQLESREHMMTYGLSSGISSQLLGSQAIDVVDVVGCLTSPRGTQLHEGHLAHLMSYIRACRDLEQHTPPNFVMHQSIGPVLNHYALSAEMSYSMRYPENAVTRGPQNILGFPKKCRHTRRERHTQTHMVNRNFFMLIHYVHGRHVLARLQILLAARGVQSLLHAQRRRLLALLTPSLYYLG >ONIVA11G23230.1 pep chromosome:AWHD00000000:11:24264409:24269294:1 gene:ONIVA11G23230 transcript:ONIVA11G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLKLRPDIVDTIRDEVNKYHHKDFLLGEDGQRRQLLPPMPPANSSTPVPPYRPAASPCCPISPRTLRNLDYHKPQPTAAAAAPAPHPVIDAFLPESARSERGRETHVWFRREAAQAREGRRRPCPRCVAAVAEVWLMGSAGRRSDVAWVDGRCGSGGLA >ONIVA11G23220.1 pep chromosome:AWHD00000000:11:24263260:24268381:-1 gene:ONIVA11G23220 transcript:ONIVA11G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLSPVRPLAGTTLSFGVPYQNKRRIPTMRVYCAADEEEEVNDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSQYSMQAYKKYGVAKGTILTAWRLCHCNPLGVRLYIRKDSIAYCLPMQLSQARVFRSSTAPDKGNLFVYSSIDSCHVEKKKKKRKKPNSHPEEVSCDWRTHAEALHQVCTLTGDGIEGVRPQKVLLQRQPQPTIPWGGRVQYAKQSREGGTIATIDDALDEHVWLHLADVTEGNIPGVWPIAEDTLVHQSTETPEVVHHKHLIRLDVQVLGTNCLPLCCCNSLESEWHTKLIGTAAQAMPCLLNTLKWTKRRLEDVNDGDPHLRRPVFPASQPAEQIAGCLSPWPVHTGSAATHQFVQQLVSTSLLCPCNRQGS >ONIVA11G23220.2 pep chromosome:AWHD00000000:11:24263260:24268381:-1 gene:ONIVA11G23220 transcript:ONIVA11G23220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLSPVRPLAGTTLSFGVPYQNKRRIPTMRVYCAADEEEEVNDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSQYSMQAYKKYGVAKGTILTAWRLCHCNPLGVRLYIRKDSIAYCLPMQLSQARVFRSSTAPDKGNLFVYSSIDSCHVEKKKKKRKKPNSHPEEVSCDWRTHAEALHQVCTLTGDGIEGVRPQKVLLQRQPQPTIPWGGRVQYAKQSREGGTIATIDDALDEHVWLHLADVTEGNIPGVWPIAEDTLVHQSTETPEVVHHKHLIRLDVQVLGTNCLPLCCCNSLESEWHTKLIGTAAQAMPCLLNTLKWTKRRLEDVNDGDPHLRRPVFPASQPAEQHQFVQQLVSTSLLCPCNRQGS >ONIVA11G23220.3 pep chromosome:AWHD00000000:11:24263679:24268381:-1 gene:ONIVA11G23220 transcript:ONIVA11G23220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLSPVRPLAGTTLSFGVPYQNKRRIPTMRVYCAADEEEEVNDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSQYSMQAYKKYGVAKGTILTAWRLCHCNPLGVRLYIRKDSIAYCLPMQLSQARVFRSSTAPDKGNLFVYSSIDSCHVEKKKKKRKKPNSHPEEVSCDWRTHAEALHQVCTLTGDGIEGVRPQKVLLQRQPQPTIPWGGRVQYAKQSREGGTIATIDDALDEHVWLHLADVTEGNIPGVWPIAEDTLVHQSTETPEVVHHKHLIRLDVQVLGTNCLPLCCCNSLESEWHTKLIGTAAQAMPCLLNTLKWTKRRLEDVNDGDPHLRRPVFPASQPAEQVWPVQPTALFFPCTACMIVMAANMSATFLKQQAAM >ONIVA11G23220.4 pep chromosome:AWHD00000000:11:24264546:24268381:-1 gene:ONIVA11G23220 transcript:ONIVA11G23220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLSPVRPLAGTTLSFGVPYQNKRRIPTMRVYCAADEEEEVNDLGVNVALSMLKFYKTIIGLAPLPFDINYISIACSPLCEQEKYRPYYPQAAVMCQLAASTLCKHTKNTALQRVVMVMIPQGGLVRKSYQSNDGLDSIAYCLPMQLSQARVFRSSTAPDKGNLFVYSSIDSCHVEKKKKKRKKPNSHPEEVSCDWRTHAE >ONIVA11G23220.5 pep chromosome:AWHD00000000:11:24264546:24268381:-1 gene:ONIVA11G23220 transcript:ONIVA11G23220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLSPVRPLAGTTLSFGVPYQNKRRIPTMRVYCAADEEEEVNDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSQYSMQAYKKYGVAKGTILTAWRLCHCNPLGVRLYIRKDSIAYCLPMQLSQARVFRSSTAPDKGNLFVYSSIDSCHVEKKKKKRKKPNSHPEEVSCDWRTHAE >ONIVA11G23210.1 pep chromosome:AWHD00000000:11:24257350:24264387:1 gene:ONIVA11G23210 transcript:ONIVA11G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEEDLNMETAFPDEGWKSRILFAHSTWVAHDYMANPEDFFWEALLKENEAPSPSPVFSELPPTPLANSDGSTDPSSLLDNQLLSYVSSMLMEDEMGSSAAVTNLQCVNRGSTEEANNMLPGSEVVRAFLKGMEEASKLLPRNNSFRMLETVDQVSSHGHCRGGKKKNHDRDEQQLEEELGRSSKLAAMTNAGTEEAGARELLDELMLHSHETCIKDMEKLRIDMDNEADKTIKKKGKKGSSSKVVDLRMLLIQCAQAMATDNQQSAGELLKKIKQHALATGDAMQRVAHYFAKGLEARLAGSGKHLYQNHVRMSLVEYLKVYKLYMAACCFKKVALMFAAMTIMQAVQGKKRLHIVDYGIRCGLHWPDLFRRLGSREDGPPEVRITIVDIPQPGQLPLNREKVMMVKNHYHKDFVIEEDQYMANPEDFFWEALLKKNEAPSPPPVFFDLPATPLSNSDGTDPSSLDNQLLSYVSRMLMEDEMGSSAAITNLQCVNRGSTEEANNMLPGSEVVRAFSKGMGEASKLLPRNNSFRTLETVDQVSSDGHCRGRKNKNHDRDEQQLEEELGRSSKLAALTIAGTQEAGAHELLDELMLHAHETCIKDMEKLRIDMDNEAEKKINKKDKKGSSSKVVDLRLLLIQCAQATATDNQQSAGELLKKIKQHALATGDAMQRVAHYFAKGLEARLAGRGKHLYQNQMRMSLVEYLKVYKLYMAACCFKKVALMFAAMTIMQAVQGKKRLHIVDYGIRCGLHWPDLLRRLGSREDGPPEVRITIVDILQPAFRPFQRIEEAGHCLSSCANEFRVPFRFQAVAAAKWETVGAEDLHIEPDEVLVVNDLWSFSALMDESVFCDGPNPRDVALRNISKMQPDVFIQGIINGSYGASFLSRFRAVLLYYSALFGILDATTPRDSGLRLALEQNLLGPYALNAIACEGADLVERPEKYKQW >ONIVA11G23200.1 pep chromosome:AWHD00000000:11:24255243:24257171:1 gene:ONIVA11G23200 transcript:ONIVA11G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPEAEESLRFRWPAAEEEFDNDMVLPYISRLLMEDDVHDHFFYQYPDHPALLRAQQPFAQILASSPSSAAGASSSSSSSDAPPSRPFFDDEAATAKTFPTAAVHSVDHQYSGGLDMVNMAFLKGMEEANKFLPTNTLLLSTDSSTTLQLQVQGEVVVDGHGMLGGVGGAAAAHAHGAINSKKVNCRDDDLEAGTGRATKLMAPEPELEEEGARQMFDEMMLQEHEICMKGVKQLSLKSKSSSSKKARGRRTVIHTEPVDLHNLLLHCAQAVATDDRRSAHELLRQIKQHSSAWGDAGQRLAHCFAQGLEARLAGTGSQVYQSLMSQRTSVVDFLKAYRLYMEACCCKKVAFVFSNKTIYDAVAGRRKLHIVDYGLSYGFQWPGLLRELAARRGGPPEVRITGIDLPQPGFRPDQHIEETGRRLSRYADELGVPFKFHGIAATKKESVRREELGEAEEDEVVVVISLCHFRNVMDESLQEDSSRSPRDEVLGNIRRMRPDVFIHGIMNGAYGATYFLTRFREALYYYAAQFDLLDATVGRESHERMLVERDIFGRAALNVIACEGAERVERPEMYKQWQARNQRAGLRQLPLNPQVVRLVLDKVRDKYHKDFVVDEDQRWLLHRWKGRVLYALSTWVAQH >ONIVA11G23190.1 pep chromosome:AWHD00000000:11:24251424:24253340:1 gene:ONIVA11G23190 transcript:ONIVA11G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPKPEELVVAIEQPFSPSLFLDLPPTPHHDDDPNNVNDDLLLPFISRILMEDDIDDKFFYQFPDHPALLQAQQSYAQILHAPATSSSSDDTTINNNTTNSTSVPDTLAMPDHDADTQSAPDDMEMLNMAFLKGREEATKFLPTNNTLFSGFKAEPVLDIQPTFTFGPSGGGRGRKNRHAEEDDLETETSRSSKLMAPEHDDAAAADEIFDEIILNGYQMIIKGIDELRVAMGSQSQADKNGRRASRAKTAVVDLHTLLIHCAQAVATGDWRSATELLKQIKQNSSARGDATQRMACCFAEGLEARLAGTGSQMYQSLVAKRTSTVDFLKAYKLFTAACCIKKVSVIFSNKTIYNAVAGRRKLHIVDYGLSYGFQWPALFFLLGAREGGPPEVRMTGIDVPQPGFRPADQIEETGRRLSICARQFGVPFKFRAIAAKWETVRREDLHLDPEEEEEEVLVVNCLHGLNTLQDESVVVDSPSPRDVVLDNIRDMRPHVFVQCVVNGAYGAPFFVTRFREALFFYSAHFDMLDATIPRDNDERLLIERDMLGRCALNVIACEGADRVDRPETYKQWQVRNHRAGLRQLPLEAEVVELVRGKVKSLYHKDFVIDVDHNWLLQGWKGRILYAMSTWVAHHP >ONIVA11G23180.1 pep chromosome:AWHD00000000:11:24237846:24239976:1 gene:ONIVA11G23180 transcript:ONIVA11G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPQLEELVDLEPFSPSLFLDLPPTPHSDDPNDDDLILPFISRMLMEDDIDDKFFYQFPDHPALLHAQQPYAQILDAPSDDTTTNSSDDSASATTNNTTNSAAAANASWPYDPIELSQLLQSPPHPVSDNHDADVGDTRSAPEDDKDLKLLFSGADNMEMLNMAFLKGREEATKFLPTNNTLFAGFDGASLLKTEPAVDEPTLMFGRSGGSGRGRKNRHGEEDDLEAETGRSSKLMVPPQEDTAAASEMFDEIMFNGYEVIMKGMEELRVAMDSEAEKKARNGGGAGRRAARAKAAVVDLHTLLIHCAQAVATSDRRSATELLKQIKQNSSARGDATQRLACCFAEGLEARLAGTGSQDESVVVDSPSPRDMVLNNIRDMRPHVFVQCVVNGAYGAPFFLTRFRETLFFYSSQFDMLDATIPRDNDERLLIERDILGRCALNVIACEGADRVDRPETYKQWLVRNHRAGLTQLPLQPQVVELVRDKVKKLYHKDFVIDVDHNWLLQGWKGRILYAMSTWVADRDHKSLF >ONIVA11G23170.1 pep chromosome:AWHD00000000:11:24233112:24237697:1 gene:ONIVA11G23170 transcript:ONIVA11G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSPTRHRQRLLRAILNLVPSFPGTKLFGVSVGRKRMRHDGGDGDNDHTAAVKVEPMDGRPPAATPALAARRGREREGGAARREKELEGEREKGHPSPLLSLSDGRWAAGAVGSATARRGVGGSNGEATLGGSAPSAQLASPPSQIQPKGGGGGQWPATRGGKQRREVGGSAPTSQIQLSVLLSRIQKRHGGGWRRRLHAVAEAGGIGAQTTLGGGDDQ >ONIVA11G23160.1 pep chromosome:AWHD00000000:11:24232640:24233113:-1 gene:ONIVA11G23160 transcript:ONIVA11G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSMLLQSFLIWLSSRASCEFSRMPPDATPPEPEPEPDGACGSMSGGSGDELEDMTCSSPDSTGEPSRVIIGSAIGIAAATVTAPVVVVAGTGGVPLHLPSDNVGLGPITLRRRRRRLLLDPLSRVTGLVAPGSVPRWIGCQLACPSSRCVAALGT >ONIVA11G23150.1 pep chromosome:AWHD00000000:11:24226195:24229721:-1 gene:ONIVA11G23150 transcript:ONIVA11G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J5J8] MKPSPAANLDVRVERPRPPPVHPHRPGSLRARPYYRRWTPWIVAAIALSCVVVFLVSMYVNDCPGRNSGDCAAGFLGRFAFQPLKENPLLGPSSATLLKMGALDVTKVVHGHQGWRLITCIWLHAGVVHLLINMLCLLFIGIRLEQEFGFVRIGLVYLISGLGGSLMSALFIRSSISVGASGALFGLIGSMLSELITNWSLYANKVAALLTLVFVIVVNLALGILPRVDNFAHIGGLISGFLLGFVMFIRPQFAWINQRRVAPGQQPAPVKRKHKTYQYILWLAAAIMLIVGFTVAIVLLLRGYNANDHCSWCHYLSCVPTKRWKCNSSPTYCTVMQQANTLNLTCEGTNVHRSYLIADATQDKINQLCNQLCS >ONIVA11G23140.1 pep chromosome:AWHD00000000:11:24218816:24225518:-1 gene:ONIVA11G23140 transcript:ONIVA11G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSPSKRRRHGSRGRSPPSSRHGCAKDKEGAAVSLFVSNLPRSCRPEDVQVPFQKFGPVRDVYLPKDYNTGEPRGFAFVEFAHSSDASKARYHMNRKMLSGREISVAFAVQTRKRPEEMRRIIGARHNSPQRKEECRTNSPGQPKGHDEKRKRRSYTPKYKDRQYADIGRRRLHLIVSDPGLCAGHPGHPLQGNLILAHTHVPILFISMTMLEHGRQDDQYASPQRKEHQTKSSGQTKGHDDMRRSYTPEYNECQDADNGHLRHLMVSDPLCWAGHPGHPLQDGLIVIHTHVPALLNSVAMLDHGHALLPQEGKITSLLPHREGRSTKQNHQDRLKNMMRSADPILLNIMIAGMLTMVMIRRRRHLMVSNPGHWADHPSHPLQDGLIFIHTRVPALLNSVAVLDLGHALLPPEGKEMTSMLPHRERRSSKQNHHDRLKNMMRSEDPALLNIAIAVMLSSDTAVSRMGE >ONIVA11G23140.2 pep chromosome:AWHD00000000:11:24218816:24225518:-1 gene:ONIVA11G23140 transcript:ONIVA11G23140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSPSKRRRHGSRGRSPPSSRHGCAKDKEGAAVSLFVSNLPRSCRPEDVQVPFQKFGPVRDVYLPKDYNTGEPRGFAFVEFAHSSDASKARYHMNRKMLSGREISVAFAVQTRKRPEEMRRIIGARHNSPQRKEECRTNSPGQPKGHDEKRKRRSYTPKYKDRQYADIGRRRLHLIVSDPGLCAGHPGHPLQGNLILAHTHVPILFISMTMLEHGHALLSQEGKMTSMLPRRGRSTKQNHQDRQKDMMICADPILLNIMNARMLTMVLMRHLRHLMVSDPLCWAGHPGHPLQDGLIVIHTHVPALLNSVAMLDHGHALLPQEGKITSLLPHREGRSTKQNHQDRLKNMMRSADPILLNIMIAGMLTMVMIRRRRHLMVSNPGHWADHPSHPLQDGLIFIHTRVPALLNSVAVLDLGHALLPPEGKEMTSMLPHRERRSSKQNHHDRLKNMMRSEDPALLNIAIAVMLSSDTAVSRMGE >ONIVA11G23140.3 pep chromosome:AWHD00000000:11:24218767:24225518:-1 gene:ONIVA11G23140 transcript:ONIVA11G23140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSPSKRRRHGSRGRSPPSSRHGCAKDKEGAAVSLFVSNLPRSCRPEDVQVPFQKFGPVRDVYLPKDYNTGEPRGFAFVEFAHSSDASKARYHMNRKMLSGREISVAFAVQTRKRPEEMRRIIGARHNSPQRKEECRTNSPGQPKGHDEKRKRRSYTPKYKDRQYADIGRRRLHLIVSDPGLCAGHPGHPLQGNLILAHTHVPILFISMTMLEHGHALLSQEGKMTSMLPRRGRSTKQNHQDRQKDMMICADPILLNIMNARMLTMVLMRHLRHLMVSDPLCWAGHPGHPLQDGLIVIHTHVPALLNSVAMLDHGHALLPQEGKITSLLPHREGRSTKQNHQDRLKNMMRSADPILLNIMIAGMLTMVMIRRRRHLMVSNPGHWADHPSHPLQDGLIFIHTRVPALLNSVAVLDLGHALLPPEGKEMTSMLPHRERRSSKQNHHDRLKNMMRSEDPALLNIAIAVMLSSVMMSKFCSYMTPPSAEWGSKLCTVQVISNTAASSN >ONIVA11G23130.1 pep chromosome:AWHD00000000:11:24211254:24215176:-1 gene:ONIVA11G23130 transcript:ONIVA11G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLAALLVVAAASFRAAEAALPSMAVGVNYGANADNLPPPKDVAAFLAAHTTIDRVKLFDANPAFISAFANTPISLAVSLPNSDLPSLADKQTGLDTARAWVRANLSPYVPATNVTLLLAGNEILLSPDPNLVLSLLPAMRHLAQALRLEGLTGVRVTTPHYLGILAPSDGIPSNARFRPGYDTKLFPPMLQFHRDTGSPFMVNPYPYFSYNNQTLDYALFRPNRGVYDPNTKLNYTSMFDAQMDAIYTAMKRLGYGDVDIAVGEAGWPTQADPGQVGVGVEEARDFNEGMLRVVSSGKGTPLMPNRKFETYIFSLFDENQKPGPIAEKHFGILNPDFTPIYDLGLLRQSSDSGAPNPSPNPSPNPSPKPAPSGGGKWCVPKAGASDTDLQNNINYACGYVDCKPIQSGGACFDPNNVQSHAAFVMNAFYQANGRHDYDCDFKGTGAVTSNDPSKLRELQIRLLTGRSKPWQCRCSGTNLESTSRLKSRKRWRSKLLPN >ONIVA11G23120.1 pep chromosome:AWHD00000000:11:24201762:24208686:1 gene:ONIVA11G23120 transcript:ONIVA11G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGNNTGFDVGMSWASISIGMESGKVLDSIAAHEHVEVAVIPPAATHFDEEPASWQKIAIGKGEIQHIVRRTEGTLSMIEKRLRCWGRAYSGRTKH >ONIVA11G23120.2 pep chromosome:AWHD00000000:11:24199681:24202155:1 gene:ONIVA11G23120 transcript:ONIVA11G23120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDKNVGYEYHAKDYIFLNCSSAVNLFISTIIMQARHISPQRKREKKQREKVLQLETQSNGYSCPHHRRRRLHPPRLT >ONIVA11G23120.3 pep chromosome:AWHD00000000:11:24199681:24202155:1 gene:ONIVA11G23120 transcript:ONIVA11G23120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDKNVGYEYHAKDYIFLNCSSAVNLFISTIIMQARHISPQRKREKKQREKVLQLETQSNGYSCPHHRRRRLHPPRLT >ONIVA11G23110.1 pep chromosome:AWHD00000000:11:24193231:24196839:1 gene:ONIVA11G23110 transcript:ONIVA11G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein Obg/CgtA [Source:Projected from Arabidopsis thaliana (AT1G07615) TAIR;Acc:AT1G07615] MWRRQHALLRRISLLKPPAATGIGCYYATEPEGRKPKTAPLQSRGMVDRFRLHAKGGDGGNGCISLRRSRSDRQGKPDGGNGGRGGDVILECSRSVWDFSGLQHHMKASRGANGISKNQIGTRGSDKIAQVPVGTVIHLVEGEQPSLSVNKATRALDPWDIPDAVEHSPFSSSCIGNKMMKGLDSSRSSQHISSKKNTAENDRERGNRNHREKEPCYMTEFVRTEDYDGTSYPRQVRVDENDQSDDEDDEFWEDDEEELDMEEVTEEKEEEEDVRYSVAEMTKPGQRLIIARGGEGGLGNACILKEMWLSKAHKEEEMASLSTGHPGTETYLILELKSIADVGLVGMPNAGKSTLLSALSRARPEIADYAFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAATLNGRKGVPPWEQLRDLVVELEHYQEGLTKRPSLIVANKIDEEGADEMYEELKKRVQGVPMFPICAILQEGVPDLRVGLRDLMDASDPQGIELSKIVVD >ONIVA11G23100.1 pep chromosome:AWHD00000000:11:24181041:24190421:-1 gene:ONIVA11G23100 transcript:ONIVA11G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLKQVAKDELNLLFGVSGEIATLHDKLRILKDYLADADRRRITDQGVQGWVTKLKHAMYDATDILDLCHLKAMQRGGGSSSSSSEPVGCHDSLLFCLRNPLFAHDIGSRIKALNARLDAICKSAAAFSFLKLESYEDMAAPRRSSAADRKTDPVLERSAVVGEKIEEDTRALVRRLTDGKHKKQDAVMVVAVVGTGGIGKTTLAKKVFNDEAIKEAFDKKIWLSVTEDVNEVELLRTALKSVSGAGDGRESNKSLLVPALVDAIRDKRFFLVLDDVWSERAWDKLLKAPFSHGAAGSHVLVTTRHDTVARGMQAMHPFHHVDKLCPQDAWLLLKKQVASSDDMEEVQIDGTLKDIGMEIIEKCGGLPLAVKVMGGLLCRREKRRADWEQVLQDFIRSVPPAELNEAIYLSYQDLHPCLKQCFLHYSLLPKNVVFYNVTVIGMWISEGFLHGDTDDLEKLGEGYYQELIYRNLIEPDEEYTNQCVSSMHDVVRTFAQHLSRDEAFVVSTLDERGKGALKTQKFLRLSIETNDLQSNDEFEWRLIQGQGSLRTLIVIGELKINHGDSLINFSSLRILHIEDANCTAFSLVGSLHQLKHLRYIFLECNDIARLLQNIGKLKLLQYLEIISENLVRLPNSIVKLGQLRHLELLGTSISGIPRGFCGLTNLRYLYGFPAQADGGWCSLQELGPLAQLRELKLGNLENVPAISLAAKARLSEKLHLSYLRLDCSNRLGEDGLVEDEEGVSEEEQRRIEEVFDELTPPLCVENIEIRGYFGEQLPRWMVSRATGAYERLMIVMIKNLACCTQLPDGLCWLPSLQYFEVTRAPAIKRVGPEFVTMHASSIQLQHAHPFRRLKEMRLIKMVEWEEWEWDQQITTMQAMPELGELRLKSCKLRHLPPGLSSQATALTSMYLTDLQQLNSIDNFTSLVKLELQDNPDLERVTSLPKLQKLIIVGCPKMRALEGVPELRRLELKDYDMEQLPRYLQQSVSLVHLVLDCTLELLTSIALGESGPDWGKLSHIQHVKAYADQEDDERKWHVLYTREPYNFEINIVLEEAIVLDAFASYVGDLLKQVTEDEINLLLGVSGEIASLDDKLRSLKDYLADAERRRITDDSVQGWLPWWALAGSARRR >ONIVA11G23090.1 pep chromosome:AWHD00000000:11:24178401:24180978:-1 gene:ONIVA11G23090 transcript:ONIVA11G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEVNEVELLRTALKSAGAGAGDARDSNKTLLVPALVDAIRNKRFFLVLDDVWSERAWDKLLKAPFSHGAAGSRVLLTTRHDGVARGMQAAYPLHHVDKLNPEDAWSLLKRQVASSEMEELEIDDTLKDIGMKIIDKCDGLPLAVKVMGGLLRRMGRRRADWEQVLQDFIREGFLHGDTNDLEKLGQDCYKELIYRNLIEPDAGYADERVSSMHDVVRSFAQNLARDEALVVSFRDEITKGALKSQKFLRLFVETNHDEFGWKIIQGQKSLRTLIVIGELKINPGDSLINFSNLRTLHIQDTNCTASLVESLHQLKHLRYIFVYCSDIARLLRNIGKLKLLQHLEIMSENYVKLPDCIVKLGQLRFLNIPVTSIPRRFSRLTNLRNLFMFPAQADGDWCSLQELGPLTQLQELSLKNLENVPATSLATKARLGEKSHLSYLRLECSSRLGEDGLVEDENGVSEEEQRRTEEVLDELTPPLCLENIDIVGYFGQRLPRWMTSRAASAYERLTIVTVEDLACCTRLPDSLCQLPCLNVFQVARAPVIKRVGPEFVTMQPSSSQRRHGHAFPRLKAMNLLGMVEWEEWEWDQQLNNVPAMPALEELMVHNYKLRSLPPGLSSQAMALTSMGVPALQRLHLEDYDMEQLPRYLQQDVSPRHLQLDCSLELLTSIALGKSSPEWSKLSHVQHVKAYADQGDIERKWHVFYTRDPYSFETNIDNSSSSS >ONIVA11G23080.1 pep chromosome:AWHD00000000:11:24175703:24178235:1 gene:ONIVA11G23080 transcript:ONIVA11G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSPAATAAVGSPRKTRSMAAGKQRAEAPAKAAKKEAAAAAAPPEQKGRKRAKKEDAEVAAAAEKDSGAVVSGGKRIVVEACTQCRQFKIRAAKVKEDLESSVPGVSVVINPEKPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIKDIANKIS >ONIVA11G23070.1 pep chromosome:AWHD00000000:11:24170882:24174842:1 gene:ONIVA11G23070 transcript:ONIVA11G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFACDAKATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYAYNMRNTIKDEKIASKLLAADKKKIEDAIDQAIQWLDGNQLAEADEFDDKMKELEGICNPIIAKMYQGAGADMAGGMDEDDAPPAGGSGAGPKIEEVD >ONIVA11G23070.2 pep chromosome:AWHD00000000:11:24170972:24174842:1 gene:ONIVA11G23070 transcript:ONIVA11G23070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYAYNMRNTIKDEKIASKLLAADKKKIEDAIDQAIQWLDGNQLAEADEFDDKMKELEGICNPIIAKMYQGAGADMAGGMDEDDAPPAGGSGAGPKIEEVD >ONIVA11G23060.1 pep chromosome:AWHD00000000:11:24162967:24163710:1 gene:ONIVA11G23060 transcript:ONIVA11G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSRVGVGLAVVSALLLLALAAELYYLFVYKRRRSAAISDAASSPSSSSRELLQLFCFKKPPALASTYAQEPHAGEAVVAVAVDDDDDTVEAQLMRLGSLVGPTRLLFTIKEETKEDLESEDGRSRCGRSRSLAELLHSSETPFMTPASSPLPMDKSFNPLFEPTVAAAVTVSPPPKFQFLKDAEEKMYRRALAEEAMRARRSPQTRSPAAAGEEDGGYITIMVGKNNKVIPLPSPPSNGDGDLQ >ONIVA11G23050.1 pep chromosome:AWHD00000000:11:24159406:24161012:1 gene:ONIVA11G23050 transcript:ONIVA11G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQLSISIGDSSEELSELIQCISCLVISSPHHKQQQLPGKNKQQQQLVIDEEENRGWLDFSISLLIAAYICLTNRPSKPAMWPTRLAGPTCQRDTLASVVPRDLKICNFVSSSGKVLLYIRRRMELNYAWIFSTLHPALPICFVFHVVLCICDMHATGNSVGGKKEKKLLISVVRKHIDGHIHYALVVFIANDGPVKAIFSISCLRICC >ONIVA11G23050.2 pep chromosome:AWHD00000000:11:24159406:24161019:1 gene:ONIVA11G23050 transcript:ONIVA11G23050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQLSISIGDSSEELSELIQCISCLVISSPHHKQQQLPGKNKQQQQLVIDEEENRGWLDFSISLLIAAYICLTNRPSKPAMWPTRLAGPTCQRDTVQLTTPSPPFASRLLAT >ONIVA11G23050.3 pep chromosome:AWHD00000000:11:24159406:24161019:1 gene:ONIVA11G23050 transcript:ONIVA11G23050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQLSISIGDSSEELSELIQCISCLVISSPHHKQQQLPGKNKQQQQLVIDEEENRGWLDFSISLLIAAYICLTNRPSKPAMWPTRLAGPTCQRDTVQQGITLH >ONIVA11G23040.1 pep chromosome:AWHD00000000:11:24155549:24157304:-1 gene:ONIVA11G23040 transcript:ONIVA11G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKRKTPAELLRENKRMLDKSIREIERERQGLQAQEKKLIAEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMRQMNRQMNLPALQKIMREFEIQNEKMEIVSSTMNDAIDDALEGDEEEEETEELVNQVLDEIGIDVNSELVGAPSTAVAAPVGAGKVAAQAEAAGGGDGGIDDDLQARLDNLRKM >ONIVA11G23030.1 pep chromosome:AWHD00000000:11:24154557:24155072:1 gene:ONIVA11G23030 transcript:ONIVA11G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNGNPGYYNNNRNAGDQSDYKATIAILFSVFFVVILIRLIHFIINQPNNLAPANGGAAATSDRRLGGGGGVSRVPARLPRQRPGGAGAGNGTAMAWQPPPCTSTYRRDDGWKETACPVCLSEFADGELIRLLPECMHYFHAACIDEWLRTRATCPLCRAAPAGDVSTA >ONIVA11G23020.1 pep chromosome:AWHD00000000:11:24153406:24153927:1 gene:ONIVA11G23020 transcript:ONIVA11G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGVSASTFKSPVFIGLLAVMCVAVVLLLHHCVLVTFCDTRRRRRRRRRRGATAHHQQQHVQQGGEEEEEDDEDMVSSSSQAKLVVCPYKKAEEWGEAMCPVCLSEFGDGEAVRVLPECMHYFHVDCIGTWLRANTSCPLCRADTTPTPSSGDLHHHLSISVSLEEILVRT >ONIVA11G23010.1 pep chromosome:AWHD00000000:11:24147640:24150505:1 gene:ONIVA11G23010 transcript:ONIVA11G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQADRRRRHPELEHAAADDYGGAFSCHLPLHSGFKIPRLPTTACALMRRKKTHRTKLSLENLPEDLLCKVVSQLTVKEAGQTSILSSRWKDRWIYHSNLCFDHSEFPRYTADRFINYVNHVLQQHSFLAVDRFEIRFPLQKQQTKHVDRWVAFASASRAKHFVLDLSPAVRTNHQTEEHKYEFPVDLLNGQNGSPIISLRLGLVCLKLPSDFLGFKDLKKLELHLISDLGNLINLFLAKCPALERLSLSHCSMTDLNIPNPLCHLQYLKANIRLDKGSGNMDYIVNQLTCSLAHVGYLLIKLSTFDTKATGFTKNHSQFTCLRHLMNANYSGPSTLTVTNCISPVVHHHLKRVHMTGMIGLAGQFELAKYILLSAVDLELMIVDVAKERFAHMPWVLYPDKVQTVEQIAKDYLDPRGLYRHVLKVWGIFPW >ONIVA11G23010.2 pep chromosome:AWHD00000000:11:24147640:24150505:1 gene:ONIVA11G23010 transcript:ONIVA11G23010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQADRRRRHPELEHAAADDYGGAFSCHLPLHSGFKIPRLPTTACALMRRKKTHRTKLSLENLPEDLLCKVVSQLTVKEAGQTSILSSRWKDRWIYHSNLCFDHSEFPRYTADRFINYVNHVLQQHSFLAVDRFEIRFPLQKQQTKHVDRWVAFASASRAKHFVLDLSPAVRTNHQTEEHKYEFPVDLLNGQNGSPIISLRLGLVCLKLPSDFLGFKDLKKLELHLISDLGNLINLFLAKCPALERLSLSHCSMTDLNIPNPLCHLQYLKVVNCCVQSIESHAMSLTTFEYAGLPVPIKLYDSLKLSQANIRLDKGSGNMDYIVNQLTCSLAHVGYLLIKLSTFDTKATGFTKNHSQFTCLRHLMNANYSGPSTLTVTNCISPVVHHHLKRVHMTGMIGLAGQFELAKYILLSAVDLELMIVDVAKERFAHMPWVLYPDKVQTVEQIAKDYLDPRGLYRHVLKVWGIFPW >ONIVA11G23010.3 pep chromosome:AWHD00000000:11:24150419:24153081:1 gene:ONIVA11G23010 transcript:ONIVA11G23010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSKQKVVMALAFAVLATFAAAAAATPTTTTNLTLHNLCTHPVWPLVTANAGLPAIADAAGAATRLDGNGDGLATLAFPPGAWSGRVVARTGCRGNATGPVRCDTGDAPPVTVAQVSVHGVGELAEYSVSLVDGFNLPVVVTPHGFEQGRLCPSLGCAVDLAADCPGDGAGRGGCMAAGQAEAFKARCPDTRTTPTDVEATPQRCIQPAELKPPHESSCIHPSISGGIMKASMAFTVLALAAVAVSGAAAAWTTTFTMHNLCPYTVWPLVTPNAGQPAIVTGGATICLDPNGLASLAFPPAAGWSGRVVPRTGCAGAATCATGDAPPATVAQVSVNAAGGLAEYSVSLVDGFNVPATITPHAFDAGQACPVLGCAADINAACPADSRVGAGCKASPQFFKEMCPEARTTATDVEATPQKCFGPGELKVVFCPTN >ONIVA11G22990.1 pep chromosome:AWHD00000000:11:24137447:24139594:1 gene:ONIVA11G22990 transcript:ONIVA11G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERWPADEGGRRHRRFFLPPPAAAQGVEKVTAEFARSMRSSATRRSGMDPINLKSKWLSRGKVLQWGSTAEARGARNPADDGEPVALEPDELCYLGADAVLAGVDSEAELDVGLDGVVAVVQEVVGAVAVRPGEQGVGGDDAGAGEQGGGDGRGLDLAMVRSLNGVRVADEILRLVPDAAAFHTTLRCVKELTSCSEDSTAPRRRIIRRRLAASKEPRLQRQREREAISAAAASTPRISGRRGQRERSGGEDIDRPAPHPANASVSMRFVLGGDAAAETRDSKLGGGKRARLRMGSGGADDSAPLGLIVVGGSGRGRRSPLIGDGGHGHRATVRGGGVAPPASRRSSAITDRTRGTRRPASSRLPEPARRHRGAAVAGRTTLRSRAPPPVRE >ONIVA11G22980.1 pep chromosome:AWHD00000000:11:24127025:24137099:1 gene:ONIVA11G22980 transcript:ONIVA11G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDCRIYTPGRSITLTEYILFQAAVVFGAAPDEAGLGLQAMFLMSLALADTHIAMAYRASCRERCRLLVYRINVEAISD >ONIVA11G22970.1 pep chromosome:AWHD00000000:11:24123029:24123931:-1 gene:ONIVA11G22970 transcript:ONIVA11G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQRRRALPLSFVVIVLLILAGPGPVAGDKTGELTVFWGRNKDEGSLREACDTGIYNTVIISFLTVFGHGRYWADLSGHPVAGVGADIKHCQHAKNVTVLLSIGGDGDQYSLPTPRSAKDVADHLWHAYLGGGRHGVFRPFGDAVVDGIDLYIDHGGSANYDELAKRLGEHGGVLLTATVRCMDGQETSGEAAVATGLIGRIHVRFYDDRRCSYDSSERRPFYGAWLGWTARYANASVHVGLPAAWDAASDGWINPAALVFDALPLVRGTPNYGGVVLWNRHFDRRSRYGQTIKGML >ONIVA11G22960.1 pep chromosome:AWHD00000000:11:24109741:24125684:1 gene:ONIVA11G22960 transcript:ONIVA11G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITMAMTQMKNATAVRVAMNIAMAMAQMRNESTTHLKHHHPTQEISGVPDADPKIEHICRRFPIHIDVDNAKEASKVKPKPHSPTLENKYNY >ONIVA11G22950.1 pep chromosome:AWHD00000000:11:24107573:24107899:1 gene:ONIVA11G22950 transcript:ONIVA11G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHRWWALTVASTLVPAACGSAGGMPCVPVARGGGHKLLGIATGGGRQLRCGWPRKAVESAFQMADQQGKVSGLIRQPLTKGKTSCGGVRVSLRAGGGSGGACRCR >ONIVA11G22940.1 pep chromosome:AWHD00000000:11:24100170:24100403:1 gene:ONIVA11G22940 transcript:ONIVA11G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLAHLKFSVSVLGLGRREDPVGLGLGYLPFLELVILYLQCSDASAVEVVEVEAMLRIEVHVHPNHPTLNLEEYHC >ONIVA11G22930.1 pep chromosome:AWHD00000000:11:24099643:24100070:1 gene:ONIVA11G22930 transcript:ONIVA11G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISLQQLRLHSANKFPSAIAELDKLVELRVIEIQFCKMDQNSRRSLVESMCNLRNIQVLKTYIVAIPGQQLGRLGAPSTAPPVLAKNHLSDTTTIVDQLLACRAPFLPSTRSGLYRFAGSVDSWEAANALLLL >ONIVA11G22920.1 pep chromosome:AWHD00000000:11:24087472:24090385:1 gene:ONIVA11G22920 transcript:ONIVA11G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATGAMGCLLSKLGELLKEEYNLQRSVKKDIRFLSRELPMMNAALRKVPLDQLDDLVKIWAREVRELSYDMEDIADTFKVHIEQGSQQAKLGCSEGFVRKMVNLFKKGRSRHQIANEIKDIKDRVKEVAERRDRYKVESIFANAQATATIDPRHRARWHKWGKDMLIRRLSKVANASEEKLKIVSIVGPGGLGKTTLAKATYDILVPSKQFDSGAFLPVGQNPDMRKVFKDILLDLDKHKYMHFTALINELLEFLDKKRYLIVIDDIWDTSTWDLIKNALLDCNCGSRIIATTRIAKVAKEVGDVYNLQPLSDDNSEKLFYTRIFGGNGKCPDNQLTEILKKCGGIPLSIITIASLLASKPKGDWSKVYDSIGFGQEDNKDVQDYWIEKYQLIWMWIAEGFVEEENGLVLFEQGERYLDELINRSLIQPTDCFHSSIVDGCRIHDMVLDLIHSLSSEENFSTLLDKEQHTLSQSNNVRRLAIHKRVLEHNPKMNVCMAQVRSFNAYMCDHMDCMPPLWSFKVVRVLVLDLCNFTGNAHLEPIGKLLHLKYLGLVNTTIAELPKEVGNLMLLQTLDIWRSGIEELPSTVSKLKQLICLRADNNMRVPAGLIGDLTSLQQLRLHSADKSPSAIAELGKLTELRVLEIQFCKMGQNSRKALVESVCNLCNIQVLEVRYDHSGPAELVYLGSSWEGWVPHPRLRQFLLGAFFLPRLPLWINSSHVAHLSYLQLGVGSMDVQDLETLGRLTVLRCLYISSEISLSYVIVSGDGLFPNLRHCTTNLEFVFRQGAMPMLAHLEFRVSVLGLCRREDPAGLGLGHLPFLERIVIYLQCSDASSPEVEQMEAMLTNEVHVHPSHPTLNLEKYHCQGQQVCTYRY >ONIVA11G22910.1 pep chromosome:AWHD00000000:11:24069872:24073472:1 gene:ONIVA11G22910 transcript:ONIVA11G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAALRMVGEVPLDQLDDQVKIWAREVRELSYDMEDIADTFKVHIEQGSEQADLGCSEGFIRKMVNLFKKGRSRHQIANEIKDMKDRVKEVAQRRDRYKVESIFACYTQATATIDPRLTALFKKVTELVGINGARDMLIRRLSKGAGAFEEKLKIVSIVGVGGLGKTTLAKAVYDMLVLGEQFDCCAFAPLGRNPDMKRFFKDILLELEKHKYMHITAVTLDERQLINELLEFLDKKRYLIVIDDIWETSTWDLIKNALPDSNCGSRIITTTRISKVAEKVGDIYNIQPLSDDNAEKLFYNRIFGFDGKYPSNQLTEVSKKILKKCGVNPTMNSLRFMTLLALDKKTTRMFGTQERYCLLRWIAEGFVHEEKGPVLFEQGERFLDELINRSLIQPTDHCRSGIIEGYRVHDMVLDLICSLSSEENFCTVLDKEQDMLSQSNNVRRLAIHKRILEHNPEMNVRMAQVRSFNAYMCGHMDCMPLWSFKVVRVLVLDLCNFTGSTHLEPIGKLLHLKYLGLVNTLRRTSSDTTIAELPKEVGNLTLLQTLDIWLTCIEELPSAIGKLKRLICLRADSNTRVAAGVIGSLLELPDRASCSQERGRRQQGNKGQSA >ONIVA11G22900.1 pep chromosome:AWHD00000000:11:24065115:24068344:1 gene:ONIVA11G22900 transcript:ONIVA11G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSHLHLFILFFAIAASSLLSTATSSRASPADTAVGGIAAKVYNKVCDATRFAGLGLNMTEFRYCDASLPYADRVRDLIGRMTVEEKVGALGDWTDGAARIGLPAYRWWSEALHGLSSTGPTTKFDDLATPHLHSGVSAVYNATVFANVINSVASFNETLWKSIGQAVSTEARAMYNMGKGGLTYWSPNINVVRDPRWGRALETPGEDPYVVGRYAVNFVRGMQDIPGHEAVAAGGDPNTRPLKTSACCKHYAAYDLDDWHNHTRFEFDARVDERDMVETFQRPFEMCVRDGDVSSVMCSYNRVNGIPACADARLLSQTIRRDWGLHGYIVSDCDAVRVMTDNATWLGYTGAEASAAALKAGLDLDCGESWKNDTDGHPLMDFLTTYGMEAVNKGKMRESDIDNALTNQYMTLMRLGYFDDIAQYSSLGRQNICTDEHKSLALDGARQGIVLLKNDNKLLPLDANKVGFVNVRGPHVQAPEKIMDGDYTGPPCRYVTPRQGVSKYVRFSHRANTTIYFGGLNLNIEREGNDREDILLPKNQTEEIIRVAKASPNPIILVILSGGGIDVSFAQNNPKIGAILWAGYPGGEGGNAIADVIFGKHNPSGRLPLTWFKNKYIYQLPMTSMDLRPVAKHGYPGRTYKFYNGPDVLYPFGYGLSYTKFLYEMGTNGTALTVPVSGGHCKKLSYKSGVSSAAPACPAINVNGHACTETVSFNVSVTNGGDTGGSHPVIVFSKPPAEVDDAPIKQVVAFRSVFVPAWSTVSVSFELNVCKAFGIVEKTAYTVVPSGVSTVLVENVDSSVSFPVKISFSV >ONIVA11G22890.1 pep chromosome:AWHD00000000:11:24044287:24048148:1 gene:ONIVA11G22890 transcript:ONIVA11G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLAGIDLQFLSAQVTQSTDFTELVNQQPWLSTMKLVVKPDMLFGKRGKSGLVALNLDIAQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKPITPDACAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFLEMNPFTIVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSSTEGFIHDLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVGATFSGIIRALREKESKLKAARMHIYVRRGGPNYQTGLAKMRKLGAELGVPIEVYGPEATMTGICKQAIECVMAAA >ONIVA11G22890.2 pep chromosome:AWHD00000000:11:24044207:24048148:1 gene:ONIVA11G22890 transcript:ONIVA11G22890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLAGIDLQFLSAQVTQSTDFTELVNQQPWLSTMKLVVKPDMLFGKRGKSGLVALNLDIAQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKPITPDACAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFLEMNPFTIVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSSTEGFIHDLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVGATFSGIIRALREKESKLKAARMHIYVRRGGPNYQTGLAKMRKLGAELGVPIEVYGPEATMTGICKQAIECVMAAA >ONIVA11G22870.1 pep chromosome:AWHD00000000:11:24032237:24039675:-1 gene:ONIVA11G22870 transcript:ONIVA11G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17340) TAIR;Acc:AT3G17340] MEVEAQTSAAAAAAGDELRSLLSATLSPDKAAVDAATEGLSRIAAASDPRFPISLLAVAAADGDQGTKVAAATYLKNYTRRNIDWGLSSPELYKEFRNRLAQALLQVEPFLLRVLIEVFRQVIEKDFVKENLWPELVPQLKQVIHSSNIISPGQHPEWNTINALRVLQSVVRPFQYFLNPKVAKEPVPPQLEQIASEILVPLQVTFHHIADKVLLSRDETNLEYEQLLLITSKCMYFTVRSYMPSRVKQILPSFCKDMFRILESLDFNSQYEDGATTRLKTAKRCLIILCTLVTRHRKHADDQMAHIVNSATRISSQSIHLHKLDPLSDRIISLSFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALALNGKDITEWEDDTDEYMRKNLPCELDDISGWAEDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKGKGERSSIGELLVIPFLSKFPIPPQGEDVSSKAVQNYFGVLMAFGGLQDFLTEKKDLTNTIIRNRILPLYSLDPCSPYLISAANWIIGQLALCLPEAMSTNIYHSLMKALTMEDFDELSCYPVRASASGAITELIENGYAPPDWLVLLQVVMKRISVEDENESTLLFQLLGTIIESGQEKVMPHIPEIVSNIANTIMKLLPPVPDPWPQAVERGFAALVSMAQAWESSAPDENKDIEMRVWQSGQFAMAQTFSHVLQKAWLLPVEQMGLSVCSSLPPLSCVNDASILLEFIMRSITSMEETASMKVFELVAIWADIIACWDSWEEMEDQGIFNTIKEAVNFHQNFDSNGFFLKILPSRSENSSQSSVISWVSSFITRAIEAYPSATWRACSCMHTLLHTPNFSHGAEDTRMTLAVSFAQAAFSRFKSVSDSPSGIWKPLILVISSCYICYPDAIEQVLRKYDSNGYAIWASALAQISSSSFNPGLSSESEIKLAVLTLATVIEHLLALSMGGTKLLHDCCVSLMESCIHLKEVQEDGDDGDGAEDLDDDDDDDEEEEDTDEDDEDSDDDDVREETEEEFLQRYAAAAGGESIEIVEDGDIDDETQDIELGSLDEMDVQQVVLSMMKIRPDLIRAQTFPDGLMERMAETFPEYEQLFHVHRQA >ONIVA11G22870.2 pep chromosome:AWHD00000000:11:24032237:24039675:-1 gene:ONIVA11G22870 transcript:ONIVA11G22870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17340) TAIR;Acc:AT3G17340] MEVEAQTSAAAAAAGDELRSLLSATLSPDKAAVDAATEGLSRIAAASDPRFPISLLAVAAADGDQGTKVAAATYLKNYTRRNIDWGLSSPELYKEFRNRLAQALLQVEPFLLRVLIEVFRQVIEKDFVKENLWPELVPQLKQVIHSSNIISPGQHPEWNTINALRVLQSVVRPFQYFLNPKVAKEPVPPQLEQIASEILVPLQVTFHHIADKVLLSRDETNLEYEQLLLITSKCMYFTVRSYMPSRVKQILPSFCKDMFRILESLDFNSQYEDGATTRLKTAKRCLIILCTLVTRHRKHADDFLSQMAHIVNSATRISSQSIHLHKLDPLSDRIISLSFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALALNGKDITEWEDDTDEYMRKNLPCELGPPVVSAASKRKKGDKSKGKGERSSIGELLVIPFLSKFPIPPQGEDVSSKAVQNYFGVLMAFGGLQDFLTEKKDLTNTIIRNRILPLYSLDPCSPYLISAANWIIGQLALCLPEAMSTNIYHSLMKALTMEDFDELSCYPVRASASGAITELIENGYAPPDWLVLLQVVMKRISVEDENESTLLFQLLGTIIESGQEKVMPHIPEIVSNIANTIMKLLPPVPDPWPQAVERGFAALVSMAQAWESSAPDENKDIEMRVWQSGQFAMAQTFSHVLQKAWLLPVEQMGLSVCSSLPPLSCVNDASILLEFIMRSITSMEETASMKVFELVAIWADIIACWDSWEEMEDQGIFNTIKEAVNFHQNFDSNGFFLKILPSRSENSSQSSVISWVSSFITRAIEAYPSATWRACSCMHTLLHTPNFSHGAEDTRMTLAVSFAQAAFSRFKSVSDSPSGIWKPLILVISSCYICYPDAIEQVLRKYDSNGYAIWASALAQISSSSFNPGLSSESEIKLAVLTLATVIEHLLALSMGGTKLLHDCCVSLMESCIHLKEVQEDGDDGDGAEDLDDDDDDDEEEEDTDEDDEDSDDDDVREETEEEFLQRYAAAAGGESIEIVEDGDIDDETQDIELGSLDEMDVQQVVLSMMKIRPDLIRAQTFPDGLMERMAETFPEYEQLFHVHRQA >ONIVA11G22860.1 pep chromosome:AWHD00000000:11:24022329:24025489:-1 gene:ONIVA11G22860 transcript:ONIVA11G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLTLLVLLVIMSSSTSTTVAEHHRIRSNDTDLAALLAFKARVSDPLGFLRDGWREDNASCFCQWIGVSCSRRRQRVTALQLPGVPLQGTLTPHLGNLSFLIVLNLANTSLTGTLPGDIGKLHRLELLDLGYNALSGNIPATIGNLTKLELLDLQFNRLSGPIPAELQGLRSLGSMNLRRNYLSGSIPVSVFNNTPLLAYLNIGNNSLSGLIPTAIGSLSMLQVLVLQYNQLSGSLPPTIFNMSRLEKLQASDNNLSGPIPFPTGNQSTIQLISLAFNSFTGRIPPRLAACRELQLLAISGNLLTDHVPEWLAGLSQLSSISLAANDLVGTVPAVLSNLTKLTVLDLSYSKLSGMIPLELGKLIQLNILHLSANQLTGPFPTSLGNLTKLSLLALDRNLLTGPLPVTLGNLRSLYHLHIAENHLQGELDFLAYLSNCRKLQFLDISMNSFSGSIPSSLLANLSINLLKFFAEDNNLTGRQIGTLKGMVTLSLGGNKISSSIPNGVGNLSTLQYLSLSYNWLSSYIPASLVNLSNLLHLDISHNNLTGALPSDLSPLKAIAGMDISANNLVGSLPTSWGQLQLLSYLNLSQNTFNDLIPDSFKGLVNLETLDLSHNNLSGGIPKYFANLTFLTSLNLSFNNLQGQIPSGGVFSNITLQSLMGNARLCGAQHLGFPACLEKSHSTRRKHLLKIVLPAVIAAFGAIVVLLYLMIGKKMKNPDITASFDTADAICHRLVSYQEIVRATENFNEDNLLGVGSFGKVFKGRLDDGLVVAIKILNMQVERAIRSFDAECHVLRMARHRNLIKILNTCSNLDFRALFLQFMPNGNLESYLHSESRPCVGSFLKRMEIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDEEMTAHIADFGIAKMLLEDDNSAVSASMPGTIGVCTHGKGITEERCVQLRNNATRGVHWEEAYRSHVHWWIDPEAVGFSIIS >ONIVA11G22850.1 pep chromosome:AWHD00000000:11:24015075:24018666:1 gene:ONIVA11G22850 transcript:ONIVA11G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPQNYIHLVILVQLLPLMVLDGFVLGGGGNGTGGDDLSALLDFKAQLSDPLGVLATSWTTNASLCRWVGVSCSRRRRRVVELHLRGVPLQGELTPHLGNLSFLRVLDLAAANLTGPIPANLGRLRRLKILDLAHNTLSDAIPSALGNLTKLETLNLYDNHFSGHVPMELQNLYSLRVMALDQNYLTVPPAIFNMSRLETISIRKNNLTGAIPTNESFNLPMLRKIDLYMNKFTGPIPSGLASCKHLEMISLGGNLFEDVVPAWLATLSQLKSLSLGGNELVGPIPGQLGNLSMLNMLDLSFSNLSGPIPVELGTLSQLTFMSLSNNQLNGTFPAFIGNLSELSHLELAYNQLTGHVPSTIGNNIRHLKHFEIRGNHLHGDLSFLSSLSNSQRLEVLIISENLFTGCIPNSVGNLSTGILEFRANNNRLIGGLPAILSNLTNLRWINFADNQLSKPILPASLMTLENLLGFDLSKNSIAGPIPKEISMLTRLVCLFLSDNKLSGSIPDGIGNLTMLEHINLSNNKLSSIVPTSIFHLNNLILLLLFNNALTGALPSDLSHFQNIDHIDVSDNMLDGQLPNSYAYHPMLTYLNLSHNSFRDSIPDSFSHLTNLATLDLSYNNLSGTIPKYLANFTYLTTLNLSFNKLEGEIPTRGVFSNITLKSLRGNAGLCGSPRLGLLPCPDKSLYSTSAHHFLKFVLPAIIVAIAAVAICLCRMTRKKIERKPDIAGATHYRLVSYHEIVRATENFNDDNKLGAGSFGKVFKGRLRDGMVVAIKVLNMQVEQAMRSFDVECEVLRMVRHRNLIRILSICSNLDFKALLLQYMPNGSLETYLHKEGHPPLGFLKRLDIMLDVSMAMEHLHYHHSEVVLHCDLKPSNVLFDEEMTAHLADFGIAKLLLGDDNSAVSASMQGTLGYMAPEYASMGKASRKSDIFSYGIMLLEVLTGKRPTDPMFVGDMSLRKWVSDAFPARLLDVLDDRLLQGEILIQQGVLQNNDTSLPCSATWANEDLLVAVFELGLMCCSNSPAERMEINDVVVKLKRIRKDYLTCTKAI >ONIVA11G22840.1 pep chromosome:AWHD00000000:11:23999374:24002848:-1 gene:ONIVA11G22840 transcript:ONIVA11G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGPHCTTSLLIILAVVITSSLLTTTIKADEPSNDTDIAALLAFKAQFSDPLGFLRDGWREDNASCFCQWIGVSCSRRRQRVTALELPGIPLQGSITPHLGNLSFLYVLNLANTSLTGTLPGVIGRLHRLELLDLGYNALSGRIPPGLAACRKLQMLELGGNLLTDHVPEWLAGLSLLSTLVIGQNELVGSIPVVLSNLTKLTVLDLSSCKLSGIIPLELGKMTQLNILHLSFNRLTGPFPTSLGNLTKLSFLGLESNLLTGQVPETLGNLRSLYSLGIGKNHLQGKLHFFALLSNCRELQFLDIGMNSFSGSISASLLANLSNNLQSFYANNNNLTGSIPATISNLTNLNVIGLFDNQISGTIPDSIMLMDNLQALDLSINNLFGPIPGQIGTPKGMVALSLSGNKLSSSIPNGVGNLSTLQYLFLSYNRLSSVIPASLVNLNNLLQLDISNNNLTGALPSDLSSFIAIGLMDISVNNLVGSLPTSLGQLQLSSYLNLSQNTFNDSIPDSFKGLINLETLDLSHNNLSGGIPKYFANLTYLTSLNLSFNNLQGQIPSGGVFSNITLQSLMGNPRLCGAPRLGFPACLEKSDSTRTKHLLKIVLPTVIAAFGAIVVFLYLMIAKKMKNPDITASFGIADAICHRLVSYQEIVRATENFNEDNLLGVGSFGKVFKGRLDDGLVVAIKILNMQVERAIRSFDAECHVLRMARHRNLIKILNTCSNLDFRALFLQFMPNGNLESYLHSESRPCVGSFLKRMEIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDEEMTAHVADFGIAKMLLGDDNSAVSASMPGTIGYMAPEYAFMGKASRKSDVFSFGIMLLEVFTGKRPTDPMFIGGLTLRLWVSQSFPENLIDVADEHLLLDEETRLCFDHQNTSLGSSSTGRSNSFLMSIFELGLLCSSESPEQRMAMNDVVSKLKGIKKDYSASMLEMQRPRQY >ONIVA11G22830.1 pep chromosome:AWHD00000000:11:23997875:23998830:1 gene:ONIVA11G22830 transcript:ONIVA11G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISKKNNTMALGCLVAFVILAVVLSSCKADESTDVCFYAGLRACQVRMCGAYCLKYYGNLVEWKGAYCNEQGKCCCKARSISR >ONIVA11G22820.1 pep chromosome:AWHD00000000:11:23991743:23992897:1 gene:ONIVA11G22820 transcript:ONIVA11G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILNKKAAAVFLFTSLMVMATVNFSSGHTTQGGYGEMDSCMVLVNCDMNKCLSDCQIKGFNGGLCDGESNDHCCCTDEARTNNRFPLSKSTTTVV >ONIVA11G22810.1 pep chromosome:AWHD00000000:11:23975279:23978558:-1 gene:ONIVA11G22810 transcript:ONIVA11G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPWGKGDLDEKRPGLGFVVGYQSEPLVLVAGNGEEFAAAAAAAAGSLSYPGPCHRSHLFRCHHLHQLLMRPPSPSSLRPVSSRRQDPGPPRRGALAGVSNPKMLGCFQSLMKDFKDHLAVLMVQVAMSSHSKNTALSIKASHGMFQMLVQDGYHLKFPNRIREAPKKACT >ONIVA11G22800.1 pep chromosome:AWHD00000000:11:23960896:23987489:1 gene:ONIVA11G22800 transcript:ONIVA11G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASPIFRFSAQCLIALGAGPYAMDRTVEQLVNKLTQHHFSVDMALGSPVCIIMSALLLNTLSPVVAAAAASPPGTSKSNGSDSDLAALLAFKGELSDPYNILATNWTAGTPFCRWMGITCSRRQWQRVTGVELPGVPLQGKLSPHIGNLSFLSVLNLTITNLTGSIPDDIGRLHRLELLDLGNNALSGVIPASIGNLTRLGVLRLAVNQLAGPVPPGVFNMSMLGVIALALNGLTGPIPGNESFRLPSLWFFSIDANNFTGPIPQGFAACQQLQVFSLIQNLFEGALPSWLGKLTNLVKLNLGENHFDGGSIPDALSNITMLASLELSTCNLTGTIPADIGKLGKLSDLLIARNQLRGPIPASLGNLSALSRLDLSTNLLDGSVPSTVGSMNSLTYFGDLKFLSALSNCRKLSVLEINSNYFTGNLPDYVGNLSSTLQAFIARRNNISGVLPSTVWNLTSLKYLDLSDNQLHSTISESIMDLEILQWLDLSENSLFGPIPSNIGVLKNVQRLFLGTNQFSGSISMGISNMTKLVKLDLSHNFLSGALPADIGYLKQMNIMDLSSNHFTGILPDSIAQLQMIAYLNLSVNSFQNSIPDSFRVLTSLETLDLSHNNISGTIPEYLANFTVLSSLNLSFNNLHGQIPETGVFSNITLESLVGNSGLCGAVRLGFSPCQTTSPKKNHRIIKYLVPPIIITVGAVACCLYVILKKKVKHQKMSVGMVDMASHQLLSYHELARATNDFSDDNMLDSGSFGEVFKGQLSSGLVVAIKVIHQHMEHAIRSFDTECQVLRKARHRNLIKILNTCSNLDFRALVLEYMPNGSLEALLHSDQRIQLSFLERLDIMLDVSMAMEYLHHEHCEVVLHCDLKPSNVLFDDDMTAHVSDFGIARLLLGDDSSMISASMPGTVRYMAPEYGALGKASRKSDVFSYGIMLLEVFTAKRPTDAMFVGELNIRQWVLQAFPANLVHVIDGQLVQDSSSSTSSIDGFLMPVFELGLLCSSDSPEQRMVMSDVVVTLKKIRKEYVKSIATMGRDENRTAVNDTKINEYATLIIPAVPMHKSSDMALGLLVWIYIVLLIALSTVSAASPPGPSKSNGSETDLAALLAFKAQLSDPLSILGSNWTVGTPFCRWVGVSCSHHRQCVTALDLRDTPLLGELSPQLGNLSFLSILNLTNTGLTGSLPDDIGRLHRLEILELGYNTLSGRIPATIGNLMRLQVLDLQFNSLSGPIPADLQNLNYLIGLIPNNLFNNTHLLTYLNIGNNSLSGPIPGCIGSLPILQTLVLQVNNLTGPVPPAIFNMSTLRALALGLNGLTGPLPGNASFNLPALQWFSITRNDFTGPIPVGLAACQYLQVLGLPNNLFQGAFPPWLGKLTNLNIVSLGGNQLDAGPIPAALGNLTMLSVLDLASCNLTGPIPADIRHLGQLSELHLSMNQLTGPIPASIGNLSALSYLLLMGNMLDGLVPATVGNMNSLRGLNIAENHLQGDLEFLSTVSNCRKLSFLRVDSNYFTGNLPDYVGNLSSTLQSFVVAGNKLGGEIPSTISNLTGLMVLALSDNQFHSTIPESIMEMVNLRWLDLSGNSLAGSVPSNAGMLKNAEKLFLQSNKLSGSIPKDMGNLTRLEHLVLSNNQLSSTVPPSIFHLSSLIQLDLSHNFFSDVLPVDIGNMKQINNIDLSTNRFTGSIPNSIGQLQMISYLNLSVNSFDDSIPDSFEYLANFTILTSLNLSFNNLHGQIPEGGVFTNITLQSLVGNPGLCGVAHLGFSLCQTSHKRNGQMLKYLLLAIFISVGVVACCLYVMIRKKVKHQENPADMVDTINHQLLSYHELAHATNDFSDDNMLGSGSFGKVFKGQLSSGLVVAIKVIHQHLEHAMRSFDTECRVLRMARHRNLIKILNTCSNLDFRALVLQYMPNGSLEALLHSDQRMQLGFLERLDIMLDVSLAMEYLHHEHCEVVLHCDLKPSNVLFDDDMTAHVSDFGIARLLLVDDNSISASIPGTVGYMAPEYGALGKASRKSDVFSYGIMLLEVFTAKRPTDAIFVGELNIRQWVLQAFPANLVHVVDGQLLQDSSSSTSSIDAFLMPVFELGLLCSSDSPEQRMVMSDVVVTLKKIRKEYVKSIATMGRDENQTARLPSSAVKYL >ONIVA11G22800.2 pep chromosome:AWHD00000000:11:23960896:23973682:1 gene:ONIVA11G22800 transcript:ONIVA11G22800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNASPIFRFSAQCLIALGAGPYAMDRTVEQLVNKLTQHHFSVDMALGSPVCIIMSALLLNTLSPVVAAAAASPPGTSKSNGSDSDLAALLAFKGELSDPYNILATNWTAGTPFCRWMGITCSRRQWQRVTGVELPGVPLQGKLSPHIGNLSFLSVLNLTITNLTGSIPDDIGRLHRLELLDLGNNALSGVIPASIGNLTRLGVLRLAVNQLAGPVPPGVFNMSMLGVIALALNGLTGPIPGNESFRLPSLWFFSIDANNFTGPIPQGFAACQQLQVFSLIQNLFEGALPSWLGKLTNLVKLNLGENHFDGGSIPDALSNITMLASLELSTCNLTGTIPADIGKLGKLSDLLIARNQLRGPIPASLGNLSALSRLDLSTNLLDGSVPSTVGSMNSLTYFGDLKFLSALSNCRKLSVLEINSNYFTGNLPDYVGNLSSTLQAFIARRNNISGVLPSTVWNLTSLKYLDLSDNQLHSTISESIMDLEILQWLDLSENSLFGPIPSNIGVLKNVQRLFLGTNQFSGSISMGISNMTKLVKLDLSHNFLSGALPADIGYLKQMNIMDLSSNHFTGILPDSIAQLQMIAYLNLSVNSFQNSIPDSFRVLTSLETLDLSHNNISGTIPEYLANFTVLSSLNLSFNNLHGQIPETGVFSNITLESLVGNSGLCGAVRLGFSPCQTTSPKKNHRIIKYLVPPIIITVGAVACCLYVILKKKVKHQKMSVGMVDMASHQLLSYHELARATNDFSDDNMLDSGSFGEVFKGQLSSGLVVAIKVIHQHMEHAIRSFDTECQVLRKARHRNLIKILNTCSNLDFRALVLEYMPNGSLEALLHSDQRIQLSFLERLDIMLDVSMAMEYLHHEHCEVVLHCDLKPSNVLFDDDMTAHVSDFGIARLLLGDDSSMISASMPGTVRYMAPEYGALGKASRKSDVFSYGIMLLEVFTAKRPTDAMFVGELNIRQWVLQAFPANLVHVIDGQLVQDSSSSTSSIDGFLMPVFELGLLCSSDSPEQRMVMSDVVVTLKKIRKEYVKSIATMGRDENRTAVNDTKINEYATLIIPAVPMHKSSDMALGLLVWIYIVLLIALSTVSAASPPGPSKSNGSETDLAALLAFKAQLSDPLSILGSNWTVGTPFCRWVGVSCSHHRQCVTALDLRDTPLLGELSPQLGNLSFLSILNLTNTGLTGSLPDDIGRLHRLEILELGYNTLSGRIPATIGNLMRLQVLDLQFNSLSGPIPADLQNLNYLIGLIPNNLFNNTHLLTYLNIGNNSLSGPIPGCIGSLPILQTLVLQVNNLTGPVPPAIFNMSTLRALALGLNGLTGPLPGNASFNLPALQWFSITRNDFTGPIPVGLAACQYLQVLGLPNNLFQGAFPPWLGKLTNLNIVSLGGNQLDAGPIPAALGNLTMLSVLDLASCNLTGPIPADIRHLGQLSELHLSMNQLTGPIPASIGNLSALSYLLLMGNMLDGLVPATVGNMNSLRGLNIAENHLQGDLEFLSTVSNCRKLSFLRVDSNYFTGNLPDYVGNLSSTLQSFVVAGNKLGGEIPSTISNLTGLMVLALSDNQFHSTIPESIMEMVNLRWLDLSGNSLAGSVPSNAGMLKNAEKLFLQSNKLSGSIPKDMGNLTRLEHLVLSNNQLSSTVPPSIFHLSSLIQLDLSHNFFSDVLPVDIGNMKQINNIDLSTNRFTGSIPNSIGQLQMISYLNLSVNSFDDSIPDSFGLPSCQTTSSKRKGRMLKYLLPAITIVVGAFAFSLYVVIRMKVKKHQKISSSMVDMISNRLLSYQELVRATDNFSYDNMLGAGSFGKVYKGQLSSGLVVAIKVIHQHLEHAMRSFDTECHVLRMARHRNLIKILNTCSNLDFRALVLEYMPNGSLEALLHSEGRMQLGFLERVDIMLDVSMAMEYLHHEHHEVALHCDLKPSNVLLDDDMTAHVSDFGIARLLLGDDSSMISASMPGTVGYMAPEYGALGKASRKSDVFSYGIMLLEVFTRKRPTDAMFVGELNIRQWVYQAFPVELVHVLDTRLLQDCSSPSSLHGFLVPVFDLGLLCSADSPEQRMAMSDVVVTLKKIRKDYVKSISTTGSVALPVADVYLSSGINLKLIIP >ONIVA11G22800.3 pep chromosome:AWHD00000000:11:23960896:23991270:1 gene:ONIVA11G22800 transcript:ONIVA11G22800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNASPIFRFSAQCLIALGAGPYAMDRTVEQLVNKLTQHHFSVDMALGSPVCIIMSALLLNTLSPVVAAAAASPPGTSKSNGSDSDLAALLAFKGELSDPYNILATNWTAGTPFCRWMGITCSRRQWQRVTGVELPGVPLQGKLSPHIGNLSFLSVLNLTITNLTGSIPDDIGRLHRLELLDLGNNALSGVIPASIGNLTRLGVLRLAVNQLAGPVPPGVFNMSMLGVIALALNGLTGPIPGNESFRLPSLWFFSIDANNFTGPIPQGFAACQQLQVFSLIQNLFEGALPSWLGKLTNLVKLNLGENHFDGGSIPDALSNITMLASLELSTCNLTGTIPADIGKLGKLSDLLIARNQLRGPIPASLGNLSALSRLDLSTNLLDGSVPSTVGSMNSLTYFGDLKFLSALSNCRKLSVLEINSNYFTGNLPDYVGNLSSTLQAFIARRNNISGVLPSTVWNLTSLKYLDLSDNQLHSTISESIMDLEILQWLDLSENSLFGPIPSNIGVLKNVQRLFLGTNQFSGSISMGISNMTKLVKLDLSHNFLSGALPADIGYLKQMNIMDLSSNHFTGILPDSIAQLQMIAYLNLSVNSFQNSIPDSFRVLTSLETLDLSHNNISGTIPEYLANFTVLSSLNLSFNNLHGQIPETGVFSNITLESLVGNSGLCGAVRLGFSPCQTTSPKKNHRIIKYLVPPIIITVGAVACCLYVILKKKVKHQKMSVGMVDMASHQLLSYHELARATNDFSDDNMLDSGSFGEVFKGQLSSGLVVAIKVIHQHMEHAIRSFDTECQVLRKARHRNLIKILNTCSNLDFRALVLEYMPNGSLEALLHSDQRIQLSFLERLDIMLDVSMAMEYLHHEHCEVVLHCDLKPSNVLFDDDMTAHVSDFGIARLLLGDDSSMISASMPGTVRYMAPEYGALGKASRKSDVFSYGIMLLEVFTAKRPTDAMFVGELNIRQWVLQAFPANLVHVIDGQLVQDSSSSTSSIDGFLMPVFELGLLCSSDSPEQRMVMSDVVVTLKKIRKEYVKSIATMGRDENRTAVNDTKINEYATLIIPAVPMHKSSDMALGLLVWIYIVLLIALSTVSAASPPGPSKSNGSETDLAALLAFKAQLSDPLSILGSNWTVGTPFCRWVGVSCSHHRQCVTALDLRDTPLLGELSPQLGNLSFLSILNLTNTGLTGSLPDDIGRLHRLEILELGYNTLSGRIPATIGNLMRLQVLDLQFNSLSGPIPADLQNLNYLIGLIPNNLFNNTHLLTYLNIGNNSLSGPIPGCIGSLPILQTLVLQVNNLTGPVPPAIFNMSTLRALALGLNGLTGPLPGNASFNLPALQWFSITRNDFTGPIPVGLAACQYLQVLGLPNNLFQGAFPPWLGKLTNLNIVSLGGNQLDAGPIPAALGNLTMLSVLDLASCNLTGPIPADIRHLGQLSELHLSMNQLTGPIPASIGNLSALSYLLLMGNMLDGLVPATVGNMNSLRGLNIAENHLQGDLEFLSTVSNCRKLSFLRVDSNYFTGNLPDYVGNLSSTLQSFVVAGNKLGGEIPSTISNLTGLMVLALSDNQFHSTIPESIMEMVNLRWLDLSGNSLAGSVPSNAGMLKNAEKLFLQSNKLSGSIPKDMGNLTRLEHLVLSNNQLSSTVPPSIFHLSSLIQLDLSHNFFSDVLPVDIGNMKQINNIDLSTNRFTGSIPNSIGQLQMISYLNLSVNSFDDSIPDSFGLPSCQTTSSKRKGRMLKYLLPAITIVVGAFAFSLYVVIRMKVKKHQKISSSMVDMISNRLLSYQELVRATDNFSYDNMLGAGSFGKVYKGQLSSGLVVAIKVIHQHLEHAMRSFDTECHVLRMARHRNLIKILNTCSNLDFRALVLEYMPNGSLEALLHSEGRMQLGFLERVDIMLDVSMAMEYLHHEHHEVALHCDLKPSNVLLDDDMTAHVSDFGIARLLLGDDSSMISASMPGTVGYMAPVFTAKRPTDAMFVGELNIRQWVQQAFPAELVHVVDCQLLQDGSSSSSSNMHDFLVPVFELGLLCSAGSPEQRMAMSDVVVTLKKIRKDYVKLMATTVLQQFIVGVKM >ONIVA11G22800.4 pep chromosome:AWHD00000000:11:23987660:23991270:1 gene:ONIVA11G22800 transcript:ONIVA11G22800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRLPVWIFVAALLIASSSTVPCAPSLGPIASKSNGSDTDLAALLAFKAQLSDPNNILAGNWTTGTPFCRRVAATAAGRQRVTALELPNVPLQRELSSHLGNISFLFILNLTNTGLAGSVPNEIGRLHRLELLDLGHNAMSGGIPIAIGNLTRLQLLNLQFNQLYGPIPAELQGLHSLGSMNLRHNYLTGSIPDDLFNNTPLLTYLNVGNNSLSGLIPGCIGSLPILQHLNFQANNLTGAVPPAIFNMSKLSTISLISNGLTGPIPGNTSFSLPVLRWFAISKNNFFGQIPLGLAACPYLQVIAMPYNLFEGVLPPWLGRLTNLDAISLGGNNFDAGPIPTELSNLTMLTVLDLTTCNLPGNIPADIGHLGQLSWLHLAMNQLTGPIPASLGNLSSLAILLLKGNLLDGSLPSTVDSMNSLTAVDVTENNLHGDLNFLSTVSNCRKLSTVQMDLNYITGILPDYVGNLSSQLKWFTLSNNKLTGTLPATISNLTALEVIDLSHNQLRNAIPESIMTIENLQWLDLSGNSLSGFIPSNTALLRNIVKLFLESNEISGSIPKDMRNLTNLEHLLLSDNKLTSTIPPSLFHLDKIVRLDLSRNFLSGALPVDVGYLKQITIMDLSDNHFSGRIPYLIGQLQMLTHLNLSANGFYDSVPDSFGNLTGLQTLDISHNSISGTIPNYLANFTTLVSLNLSFNKLHGQIPEGGVFANITLQYLEGNSGLCGAARLGFPPCQTTSPNRNNGHMLKYLLPTIIIVVGIVACCLYVVIRKKANHQNTSAGKADLISHQLLSYHELLRATDDFSDDSMLVFGSFGKVFRGRLSNGMVVAIKVIHQHLEHAMRNFDTECRVLRMARHRNLIKILNTCSNLDFRALVLQYMPKGSLEALLHSEQGKQLGFLERLDIMLDVSMAMEYLHHEHYEVVLHCDLKPSNVLFDDDMTAHVADFGITRLLLGDDNSMISASMPGTVGYMAPVFTAKRPTDAMFVGELNIRQWVQQAFPAELVHVVDCQLLQDGSSSSSSNMHDFLVPVFELGLLCSAGSPEQRMAMSDVVVTLKKIRKDYVKLMATTVLQQFIVGVKM >ONIVA11G22800.5 pep chromosome:AWHD00000000:11:23973881:23987489:1 gene:ONIVA11G22800 transcript:ONIVA11G22800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSLVCLSALLLIPLSTVSAASSPGLTKSSNNDTDLTALLAFKAQFHDPDNILAGNWTPGTPFCQWVGVSCSRHQQRVVALELPNVPLQGELSSHLGNLSFLSVLNLTNTGLTGLLPDDIGRLHRLELLDLGHNAMLGGIPATIGNLSRLQLLNLQFNQLSGRIPTELQGLRSLININIQTNYLTGLVPNDLFNHTPSLRRLIMGNNSLSGPIPGCIGSLHMLEWLVLQHNNLTGPVPPSIFNMSRLTVIALASNGLTGPIPGNTSFSLPALQRIYISINNFTGQIPMGLAACPYLQTISMHDNLFEGVLPSWLSKLRNLTGLTLSWNNFDAGPIPAGLSNLTMLTALDLNGCNLTGAIPVDIGQLDQLWELQLLGNQLTGPIPASLGNLSSLARLVLNENQLDGSVPASIGNINYLTDFIVSENRLHGDLNFLSTFSNCRNLSWIYIGMNYFTGSIPDYIGNLSGTLQEFRSHRNKLTGQLPPSFSNLTGLRVIELSDNQLQGAIPESIMEMENLLELDLSGNSLVGSIPSNAGMLKNAEHLFLQGNKFSGSIPKGIGNLTKLEILRLSNNQLSSTLPPSLFRLESLIQLNLSQNFLSGALPIDIGQLKRINSMDLSRNRFLGSLPDSIGELQMITILNLSTNSIDGSIPNSFGNLTGLQTLDLSHNRISGTIPEYLANFTILTSLNLSFNNLHGQIPEGGVFTNITLQSLVGNPGLCGVAHLGFSLCQTSHKRNGQMLKYLLLAIFISVGVVACCLYVMIRKKVKHQENPADMVDTINHQLLSYHELAHATNDFSDDNMLGSGSFGKVFKGQLSSGLVVAIKVIHQHLEHAMRSFDTECRVLRMARHRNLIKILNTCSNLDFRALVLQYMPNGSLEALLHSDQRMQLGFLERLDIMLDVSLAMEYLHHEHCEVVLHCDLKPSNVLFDDDMTAHVSDFGIARLLLVDDNSISASIPGTVGYMAPEYGALGKASRKSDVFSYGIMLLEVFTAKRPTDAIFVGELNIRQWVLQAFPANLVHVVDGQLLQDSSSSTSSIDAFLMPVFELGLLCSSDSPEQRMVMSDVVVTLKKIRKEYVKSIATMGRDENQTARLPSSAVKYL >ONIVA11G22790.1 pep chromosome:AWHD00000000:11:23953333:23960867:1 gene:ONIVA11G22790 transcript:ONIVA11G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNDEIRIRRLIAMPHIVVVVLCWFLHAPAAAATLSDLAVPAMPAPAPVPAACPSKCGDVEIPYPFGIGVDCAWPGFTIKCNHSFSPPRPYTGTIEIKDISLEAGEMRVYTHVAHQCYDSSHHEEHVSTRLKLHGKSRLSRTRNEFTAIGCNTVAFLIGRKKGSYSTGCISTCASLDKAAHDGEPCTGLGCCQVPSIPPNLSVLNISWGDGFDDDLVRADSPCSYAFVAEKGWYNFTRKDFSRAGSKRFGHRNGKNMVPTVLDWAIRKNGSCPSAAGSAAGQVAPACVSANSKCVNVTNGKGYLCNCSEGYAGNPYCELRKADPAKYEKLYPCYRGSRCIDTVGGYDCKCRFGLKGNGKTSDQGCRPMIPAPIVAILATVCAVIAFLALLFLQKKWRRRWFFDNNGGRLLEGMGITIFTEKELDSITKGKCTKIGQGAFGEVYKGTYKDQQVAVKYSIAKGATRTQNAFRWPKFFVPTKVPSSRARGQEFVDELRIQSLIRHVNVVRLIGCCLQTKVPMLVFEFIPQGSLEKKLHGFERQTLSLLNRLDIAIGSAEALSYMHSSGLQSVVHGDVKPANILLDDNLIPKVSDFGSSELALKMKHVCADMNYVDPVCIQTGKYCTMESDVYSYGVVLLELITRKKAKYDDGRSLPIEFVNRYKDNNERRKMYDQDMLLSTDALYPYCMECLDRMAAVAVRCLKNKVEKRPTMADVVKELKQLREQICTRVSS >ONIVA11G22780.1 pep chromosome:AWHD00000000:11:23943083:23946588:1 gene:ONIVA11G22780 transcript:ONIVA11G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVMLWYDDLLAVLVLSVLVATSSTAANCGRKCGDVRIPFPFGIGVDCAWPGFDLSCNHSFTPPRPYTGNVEIMDISLEAGEMRVYTHVVSNCYTSDNTSEYVTTSSQLDLGTPFLYARSRNEFTAIGCGVIAFLRGRDDASYSTGCITTCASLDEAAHDGDDCTGLGCCQVPSIPPNLDILNISFDPGSIIGNLAWKDSPCSYAFVAEQGWYNFSRQDFSRAGSKSFVERGGERSVPTVLDWAIRRNGSCPSTAGQVAPACISAHSECVDATNGEGYLCNCSKGYAGNPYVIGGCTNINECELRRAEPAKYEELYPCSSHSKCHDTEGGYDCKCRFGHHGDGKISGKGCTPIIPAPYVATVATAFAVVALVALAWFMRRGHKRWERRGFFDSNGGKLLEDMDIIQFTEEQIERITNKKRIKIGQGAFGEVYKGTHNNQDVAVKYSKTIGNLKGVTRTRGIEGFINKIAVQSSISPSDDALGHEASVNEIKAQLQIRHANVVRLIGCCMETEVPMLVFEFIPNCLESMLHGDERHALSLSKRLDIAIGSMEALAYMHSLGLQSIVHGDVKPGNILISDNLIPKVSDFGSSKSALKIKHACADRNYVDPVCLKTGNITEKSDVYSFGVVLVELITRKKAKCNGTSARPDFVRYYTDDDVRRKMYDPDMYASALQSARCMECLDKMAAIAVWCLKDDVDERPTMAEALEELKQLRASMQEI >ONIVA11G22770.1 pep chromosome:AWHD00000000:11:23928141:23929343:1 gene:ONIVA11G22770 transcript:ONIVA11G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHSRYVTALLHATAAGRQRAGCPIKCGDVDIPFPFGVGVGCAWPGFDIDCNHSLALPDRSTGLIISHPININSMQ >ONIVA11G22760.1 pep chromosome:AWHD00000000:11:23921661:23927440:1 gene:ONIVA11G22760 transcript:ONIVA11G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVMRVVAILAMPQQLIVLLLLFHATAAPAGGQRAGCPSKCGEVDIPFPFGVGVDCALPGLNVSCNHSFAPPRPYIADDNEFIDVSLETGETRVYTPVLQNCFDLSNTSSSSDAIWQGLDLTGTPFLVSPERNEFTATGCDTLGMMYGREDGSYLTGCSIPGNLTLLGMTLGMTLMANITDTKISAWNTCRYAFITERDRYNFSRKDFGRSGNKIFANRDGEMVVPTVLDWAIRGTNGSCSGSVAPACVSEHSYCANATNGDGYLCKCSTGYAGNPYLKGNGGCTNIDECKEPDRCSTGSRCHDTEGGYYCKCRFPRRGDGKINGKGCHLPKDIVVTLATVCIVIFLVFFVCWYERRKRRRHFNNNGGRLLNGMEIKHFSKKDLDKMTKNRTTMLGEGSFGKVYMGTHKNQPVAVKYSNGNRKLAQMTHGKDIKCMNKKMFQNAFCWSKVPSSPEEDSSSRVSGPELVDELRVQSLIQHENVVTLLGCCMETEEPTLILEFIPNGSLEKKLHKDKQHPLSLSQRLDIAIGSAEALSYIHSSSDHQSIVHGDVKPANILLDDKLIPKVSDFGSAELTLKIKLVCGDLDYIDPVYLQTRNFTVKSDVYSYGVVLLELITRKRAKYDDGRSLPVEFVKHYKDNNERRKMYDQDMLSSMDALLQPYCTECLDRIAAIAVRCLKNKVDKRPTMAEVVEELKQLREQISTRMS >ONIVA11G22760.2 pep chromosome:AWHD00000000:11:23921661:23927440:1 gene:ONIVA11G22760 transcript:ONIVA11G22760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVMRVVAILAMPQQLIVLLLLFHATAAPAGGQRAGCPSKCGEVDIPFPFGVGVDCALPGLNVSCNHSFAPPRPYIADDNEFIDVSLETGETRVYTPVLQNCFDLSNTSSSSDAIWQGLDLTGTPFLVSPERNEFTATGCDTLGMMYGREDGSYLTGCSIPGNLTLLGMTLGMTLMANITDTKISAWNTCRYAFITERDRYNFSRKDFGRSGNKIFANRDGEMVVPTVLDWAIRGTNGSCSGSVAPACVSEHSYCANATNGDGYLYIDECKEPDRCSTGSRCHDTEGGYYCKCRFPRRGDGKINGKGCHLPKDIVVTLATVCIVIFLVFFVCWYERRKRRRHFNNNGGRLLNGMEIKHFSKKDLDKMTKNRTTMLGEGSFGKVYMGTHKNQPVAVKYSNGNRKLAQMTHGKDIKCMNKKMFQNAFCWSKVPSSPEEDSSSRVSGPELVDELRVQSLIQHENVVTLLGCCMETEEPTLILEFIPNGSLEKKLHKDKQHPLSLSQRLDIAIGSAEALSYIHSSSDHQSIVHGDVKPANILLDDKLIPKVSDFGSAELTLKIKLVCGDLDYIDPVYLQTRNFTVKSDVYSYGVVLLELITRKRAKYDDGRSLPVEFVKHYKDNNERRKMYDQDMLSSMDALLQPYCTECLDRIAAIAVRCLKNKVDKRPTMAEVVEELKQLREQISTRMS >ONIVA11G22750.1 pep chromosome:AWHD00000000:11:23906454:23913857:1 gene:ONIVA11G22750 transcript:ONIVA11G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVLAADKRLGPSAAKSTSARVPGVIPKPIDLPSQRGTATQGDKSMPPASNAWGSPSVMYPKNGGGSDLFSHISDRPSSRGSSTTSTIGSDFLDIPSLRGPKSSHSSVSHVLPPNHLPTAANRLQSTVTIARSSRPSRFPDSFTQVLKAPLRTNNRKRGLKMPEKGFTLIMDDFPVLGSVNSESNTRRGHNLQGRSTFGSGTQIAQDEQRKIHLTGVGEVISSSNYEHEHDLRTDYVNEGDAQVTAAILPWGAKHAQQHGTNAPKQSVPPPWFNYWHPPPDHPPDGNEMLHEGATAYGSDKPADPHMICSVEPLAYYGQFLLNQEAAPMQDPGYSGYISDNQDGYHCDMEADALVIIQPHILGKVKHGHSEGLQKQPLIKKDVALLEKIKCLNIKARKLRACKISELSPSKESMIERSKNTDEKADHVKKDVLFSSITSDTMSAFDSASSFSESNDFVPSNSANVPGSATITSSSELEATEFRKAGEPGKLGDHDAYGRVSTSRSRHGGSAKNMSSNISENGWEEHSTVDSLQVVMANAQQDKSFSRNLSLQVHVAAVDEMLNLLDNEIQLHSRTRELSAHHAKQVLEDQDWNSQQKAKSITELDELIRHSPEQSQKTNDAPLEEDNLHLRQKDGSHGTTTYCIASESFNAPLPANRVNHITDSISFIPASNTTGISKDPVIHKVISPAKNTEINMMETAPKSTSQSQGNSAPKHWKIDNRQRHVESWERITIERSNIAENAEYAKNIAETPTDAPSAEAQCHEDLSTVDKNSWRDASAATTASQPVFDKKNATKVPSAHKTLSGVVISNSMIPVTSVSGLTVGSIMLGDISFISVNQVGATAAREIHDTKNTHSRTTTIQQPSKKEQPEEGALNNMAVAAPTLLSGNHSIVQDTAMIAEWSEMEKHKSVEKEQLNQWNLGKMLPAQSHRASYGNPGTFNFGAESRANKALYNFTADKVEPTTKLDKWLDRESSWVQVKTGQQYTDGSASLMQHLTEQVDKIDQWQSPEPDKQVKRQFEFKTHDGSDSRLEPVRTVPLPVNNWEMRHASYSQKQNHVEGQRNVRTSDATNIYEGRDRTRAFYEVPSLSKSFSDALNKSQGTVVSEWMQDPYQGVYNMDNSRGFDSAFVDSSCNELIQNVDGDSEMDLYSEQFKEQVKFEDGHLLIWNPREWEYQLPSPPPHGQHSGSEMELCSDQIEGDMIWEDGHPLIWNPRDWEYQPLNLEPHHHGHGQHKESEMVLLYSEQFKEDMVQEDGKPLISNPEDWEYKQLNPELNQHDHAHRGSEMVLYSKQMEEDVIWEDGHPLIWNPIDWEYQPLNPETHQHDHGQPMGSEMVLYSDQIEGNVIWEDGHPLIWNPTDWEYQTLNPEPHHLDHGQHRGSETDLYSDQVEGDVIWEDGCTLIWNPTDWEYQPLNPAPHHHDQHSGRYHRGGDTYSEWGYDAGEPTYGANEGRRKGGIHSEYQSKPVGSSDVAPDIQRNAGADDQSRRHPASGAAYRERRYYI >ONIVA11G22740.1 pep chromosome:AWHD00000000:11:23904637:23905731:-1 gene:ONIVA11G22740 transcript:ONIVA11G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPVIVQVPAGEQDLLIQVQVQLQAQDRLKQDRLRLQAGACVPVLHPGHSFCSCCDLGCGCGYGSGSSSCCGSYYVTSSCFGFCSYYLGSYCHFFGQSLASGLYLGCGCSSGPDPCHQILGGYRIFHIVHALQIHALSHHVHQRNHHGLVHQSQKNHPCHVHQSQKSHPSHVHQNHENHPCHHGHQNHQDFLHLCHQNHQIQNGYHHHQVHPNGSSHQLDFLELQLHLLQPSLATLEGHAD >ONIVA11G22740.2 pep chromosome:AWHD00000000:11:23904637:23905731:-1 gene:ONIVA11G22740 transcript:ONIVA11G22740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPVIVQVPAGEQDLLIQVQVQLQAQDRLKQDRLRLQAGACVPVLHPGHSFCSCCDLGCGCGYGSGSSSCCGSYYVTSSCFGFCSYYLGSYCHFFGQSLASGLYLGCGCSSGPDPCHQILGGYRIFHIVHALQIHALSHHVHQRNHHGLVHQSQKNHPCHVHQSQKSHPSHVHQNHENHPCHHGHQNHQDFLHLCHQNHQVHDHLSHQSYQEQHPLDLQRHQIQNGYHHHQVHPNGSSHQLDFLELQLHLLQPSLATLEGHAD >ONIVA11G22730.1 pep chromosome:AWHD00000000:11:23899355:23906307:1 gene:ONIVA11G22730 transcript:ONIVA11G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT3G06480) TAIR;Acc:AT3G06480] MAATEAATDSSGPRYAPDDPTLPAPWKGLIDGSTLYYWNPDTNETQYERPVAAVPPLPTGPPPVTTTPMPTSASGAFSQPSMQSNQGGQVSQSQQERPGQTVYPQASHLGHQQLQQPTQQSPFQPTAQHQAPFQHSQRAPYQQQQQQMSQQPPAHQYPSTHPQHMPYQHGHYMQPQQQQFQQGPQYSYQVGQQQQMPQAAYNQGQQQPISQAAYNQSQQPAQAVGAYNQGQQPPVSQASYNQSQQPTQAAGAYNQGQQPSMPQASYNQVQPPQMAHATYNQGQQPPGMRIPQGQVQPQQSPGFHQPAQVSQVLQGSQSQGLQMPPQQGQLQHGFHLTTPQGKQPHHGHVGPQLSQVPLGQQSSTLKVDETGVTGGLDGKQTGFSLPLSQQRGQGPVLKQQLPSNHQLSGSHNQPNIPGAGGPSYPAKHHLGGSSPGEANNMNFLSSPAQMHQGGMDTNYRQHPASSPVVPNHIGPSPVRPPMGFKMGSSEDHFERNELYSSGRMDGTNNLQQQPKLAALPPLNRPQDMRNGPPYPQPDNLGAFNMGPPHSVPNLHNHGPFPEASMRPPSRMFAPPNFPSIASADAYRQHHEVTAVGENVPPPFMTFEATGFPPEILQEIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRYQNNPMLGPTVLVLAPTRELASQIQDEAVKFGRSSRVSCTCLYGGTSKGLQLRELERGADIVVATPGRLNDILEMRKISLHQVSFLVLDEADRMLDMGFEPQIRKIVDEIPRNRQTLMYTATWPKEVTKIAGDLLKDPVQVNIGSIDELVANKSITQYVEVVPPLDKQRRLEQILRAQERGSKVIIFCSTKKMCDQLARDIGRSFGAASIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKVLEGANQHVPPELQEMAARGAAGAPRNQAGGMSRWDGPGGGGNRFESAVGIPGSYGGIRDGPGGFGGRDGPGGFGGRDGPGGFGGRDGPGGFIGREGPGGFGGREGPVGFGGQESPGGFGGRKGPGAFEGREGAAPGSFGGRGGRGPGGFGGRGGGSPGGFGGRGGRGDSHGFGGRGRGDFSGFGGRGRGDSSGFGGRGRGDFSGGRGGRGRGFGGRGRSDRGPHDRFISDGRGRYDNRRGFGGKGRDRSYSRSPDRGRSRGFDRRSGSRSLSSRSRSRSRSWSRSRSRSRSWSRSHSRSRSPSRSRSRSYDQGAGPARRPRPRSGFDVLPPATGAGPASTGPGPVAVPAPGSAGPVPPQVPAQSLADASAMSPMSPGGLAQEGAPFNGGNDGGLVPAQGVLPFQGSDVAMPPNFAAAEAFPGPAVEQEAPDV >ONIVA11G22720.1 pep chromosome:AWHD00000000:11:23893048:23897963:-1 gene:ONIVA11G22720 transcript:ONIVA11G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTEDGGEVQPTETTTDDSSSPTTVEKEEQAASTGMEIVKAGNSNAQETGGLSLNYEEARALLGRLEFQKGNVEAALCVFDGIDLQAAIQRFQPSLTDKTTSKKGQTKSESGIENPATLVLEAIYLKSLSLQKLGKSTEAANQCKSVLDSVESMFQNGPPDIEQKLQETINKSVELLPEAWKQAGSHQEALASYRRSLLSQWNLDDECCTRIQKRFAAFLLYSCVEGSPPSSGSQVEGSFIPKNNVEEAILLLMVVLKKWYQGKTHWDPSVMEHLTYALSLCDQPSLLAKNLEEVLPGIYPRTERWHTLSLCYYAIGQEEVALNFLRKSLNKHENPNDIMALLLASKICSEERHLASEGVEYARRAIKLSESSDVHLKSVGLHFLGNCLGMKSKTVSSDHQRSLLQNETLKSFSESIALDRHNPDLIFDMGVEYAEQRNMNAALRCAKEYIDAIGGSVSKGWRLLALVLSAQQRYPEAEVATNAALDETAKLDQGSLLSLKAKLKVAQSSPMEAVEAYRALLALVQAQKNSSGSSKTDAKGQNDSVSEFEIWQGLANLYSSLSIWRDAEICLRKARALKSYSAATMHAEGYMLEARDQNKEALAAYVNAFSIELEHVPSKVAIGALLCKQGSRYLPAARCFLSDALRIEPTNRMAWLHLGKVHRNDGRINDAADCFQAAVMLEESDPVESFRSLA >ONIVA11G22710.1 pep chromosome:AWHD00000000:11:23892089:23892268:-1 gene:ONIVA11G22710 transcript:ONIVA11G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVSHADPWGPPVGLLGKATPRFHSCQRNADEKSGEPHHGHGFAASAGNTSAAAAGG >ONIVA11G22700.1 pep chromosome:AWHD00000000:11:23885510:23890584:1 gene:ONIVA11G22700 transcript:ONIVA11G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGASEATMRSLLGKLGNLLAQEYSLVSGVRGDIQYINDELASMQAFLRDLSVVTEGHNHDNRRKDWMKQIRDVAYDVEDCIDDFAHRLPQDSISDAKCSFILTKMYELLTWWPRRDIASRIAELKVRAQQIADRRNRYGVNNPEHCDSSNSPRPRAHAAAQDIAEYQDTKPQIVSIKEPVGMKTVMENLEKWLTEPQPDKGRAVLSIVGFGGVGKTTIAMALYRKVSGKFDCQASVAVSQNYDEDEVLRSILNQVSKQEEAGGSTESSSRDENTREPQGSSSTSSREENTAESGTKRMLNKLKKALPLSLLGGNDDKTSVRQQETMGSLQLREELKRRLAEKRYILLIDDIWSAKTWNSIIIPFLPSENDKDSRIIVTTRFHAVGSTCSPRHKNDEATSSPGHGKDLLHKVDFLTGDKPLDLFNASIPDPMKRTDRDKKLSKICGGLPLAIVTMAGLVACNPNKANSDWSKLCESLFPYPVTTLNLDGVTRILDCCYNDLPADLKTCLLYLSIFPKGWKISRKRLARRWIAEGFATEKQGLTEEEVAEAYFNQLARRNLIRPVEHGSNGKVKAFQVHDMVLEYIMSKSIEENFITVVGGHWQMTAPSNKVRRLSLQSSGSKHGNSTKGLNLAQVRSLTVFGNLNHVPFHSFNYGIIQVLDLEGWKGLKERHVTEICQMLVLKYLSIRRTEIAKIPSKIEKLEYLETLDIRETYVEELPKSVGQLKRISSILGGNKNTRKGLRLPQEKRNKAMKNPSPQGKTKEPAEKGFLSQEKAKGTMKSLRVLSGIEIVDESAAVAASLHQLTGLRKLAIYKLKISEENDTFKELLSSIEYLGSCGLQTLAINDENSKFINSLYNMSAPPRYLVSLELSGKLKWLPKWITSITTLNKLTISITVLTTETLEILRNLPSLFSLTFAFSLSAAKQDQDTVKGILEDNKLATDGEIVIPAKEFKSLKLLRFFAPFVPKLSFPDKSAMPALEIIEMRFQEFEGLFGIEILENLREVHLKVSDGAEAITKFLVSDLKDNTEKPKVFVDGIVTA >ONIVA11G22690.1 pep chromosome:AWHD00000000:11:23871662:23884592:-1 gene:ONIVA11G22690 transcript:ONIVA11G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYSVATGALAPVLSKLSALLGDEHLDLAERTRSDAMFIRCQLEAVHSLLLPRISWGMTGEEVDALCKDELMAEVRELSYDMDDAIDEFFLEEPMAGGDGGPFDELKTRVEDVSKRFSDSRRWRPPVEQHQPSLTAATVDCPPPHARFVHNMMDVSELVEMDKHEKELIKLLEQGADTSIYASRWRIATPWHDKEQSIVVKVPEKRRDDMYDDALHWAVSSRLHGVPSGGAYGDYSRLQLRGEGANIRKLLSTLRNKVGRAQLVKVEDKRKRVEEATKPCEFHEVKTICILGLPGAGKTTLAKLLYSHHSTTEQQFQHRAFVSLSPGANLTDTLTDILLQVGTYNDDATPYCGTGTPHQQYLIDNISAYLIGKKYLIIIDDVWHWEEWEVIRKSIPKNDLGSRIIMTTRLNSIAEKCRNDDMDAFVYETEALDYVDAWLLCDKVARKSVTCMNINPCYDIVDMCYGMPLALIRVSSALAEETQALDSDERQIWRALRRVEDGILDIPSLKPLAESLCLGYDHLPLYLRTLLLCCSVYHWLDGGIVQRGRLVTRWIAEGFVSEEKAAEGYFDELVGRGWMKHRELNEYEIHPMMLAILRYKSKEYNFVTCLGTGSDTCTSASLSYSSPTMAIRRLCLQRGYPMKCFSSMDVSHTRSLVILGDVIGVPLDMFKRLRVLDLEDNIGIEDSHLKKICEQLESLRLLKYLGLKGTRITKLPQEIQKLKHLEILYLRSTGIKELPREIGELKQLRTLDVRDTRISELPSQIGELKHLRTLDVSNNMWNIIELPSQIGELKHLQTLDVRNTLVRELPWQAGQLSESLRVLIDEDDSEEGMQLPKGICEDLIKGIPKAELAKCNEVLSIAIADRLGPPSVGIFKVIGSHKCIPKVLKDHFDGLSRLDIRLCKLDEKDHEFLANNMPNLQMLVLRLQAPQREPIIINCTGFQMLERFLLDSRVPWITFQEGAMPKLKHLEFKFYAGPPSNDPAVGITHLLSLQNVVFRCSEWYKSDNPGIKATIDVVKKDARQHPNRPISLLITEGDKEVPNIEAHGSSENIVIVHAAPDDAISCSSCGRTSTMTHSTTEQQFQYRAFVSVSPGANLTQSLADIFLQVGADNDATPYCGTETPHQQYLIDNISAYLIGKKYLIIIDDVWHWEEWEVIRKSIPKNDLGSRIIMTTRLNSIAEKCRNDDIDAFVYETEALDYVDAWLLCDKVARKSVTCMNINPCYDIVDMCYGMPLALICVSSALAEEIQALAGDEQQKWRALRQVEDGILDIPSLKPLAESLCLGYNHLPLYLRTLLLFCSVYHWLNGGIVERSHLVTWWIAEGFVSEEKEAEGYFDELVGRGWIKHRERNEYEIHPMMLAILRYKSKEYNFVTCLGMGSDTSTSASLSYSSPTMMIRQLCLQRGYPRKCLSSMDVSHTRSLVILGDVIGVPLDMFKRLRVLDLKDNLDIEDSHLKNICEQLESLRLLKYLVLMGTRITTLPQEIQKLKHLEILCMRNTYIGDLPSQIGELKHLRILDVRNTEVRELPWQAGQIWESLRMLTDDSEEGMQLPKGVCEDLIKGIPEADLAKCREVLSITIVNRLVSPPVGIFKVIGLRKCIPEVFKDYFDVLSCLDIWLWKLEEEDHKFLANNMPNLQMLVLRFEAPQREPIIINNTGFQMLERFHVDSRVPRITFQEGAMPKLKHLKFKFYTGPPSNDPAVGITHLLSLQKLVFRCSKWYKSDNPGIKATIDVVKKEARQHPNRLISLLITEGDKELVPNEAHGSNENIAVTTGSSGVVVHTAPDYAIACSSYGRTSTSIQEETARDRIPATDLFSPEFKNYEKAKRN >ONIVA11G22690.2 pep chromosome:AWHD00000000:11:23871660:23884592:-1 gene:ONIVA11G22690 transcript:ONIVA11G22690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYSVATGALAPVLSKLSALLGDEHLDLAERTRSDAMFIRCQLEAVHSLLLPRISWGMTGEEVDALCKDELMAEVRELSYDMDDAIDEFFLEEPMAGGDGGPFDELKTRVEDVSKRFSDSRRWRPPVEQHQPSLTAATVDCPPPHARFVHNMMDVSELVEMDKHEKELIKLLEQGADTSIYASRWRIATPWHDKEQSIVVKVPEKRRDDMYDDALHWAVSSRLHGVPSGGAYGDYSRLQLRGEGANIRKLLSTLRNKVGRAQLVKVEDKRKRVEEATKPCEFHEVKTICILGLPGAGKTTLAKLLYSHHSTTEQQFQHRAFVSLSPGANLTDTLTDILLQVGTYNDDATPYCGTGTPHQQYLIDNISAYLIGKKYLIIIDDVWHWEEWEVIRKSIPKNDLGSRIIMTTRLNSIAEKCRNDDMDAFVYETEALDYVDAWLLCDKVARKSVTCMNINPCYDIVDMCYGMPLALIRVSSALAEETQALDSDERQIWRALRRVEDGILDIPSLKPLAESLCLGYDHLPLYLRTLLLCCSVYHWLDGGIVQRGRLVTRWIAEGFVSEEKAAEGYFDELVGRGWMKHRELNEYEIHPMMLAILRYKSKEYNFVTCLGTGSDTCTSASLSYSSPTMAIRRLCLQRGYPMKCFSSMDVSHTRSLVILGDVIGVPLDMFKRLRVLDLEDNIGIEDSHLKKICEQLESLRLLKYLGLKGTRITKLPQEIQKLKHLEILYLRSTGIKELPREIGELKQLRTLDVRDTRISELPSQIGELKHLRTLDVSNNMWNIIELPSQIGELKHLQTLDVRNTLVRELPWQAGQLSESLRVLIDEDDSEEGMQLPKGICEDLIKGIPKAELAKCNEVLSIAIADRLGPPSVGIFKVIGSHKCIPKVLKDHFDGLSRLDIRLCKLDEKDHEFLANNMPNLQMLVLRLQAPQREPIIINCTGFQMLERFLLDSRVPWITFQEGAMPKLKHLEFKFYAGPPSNDPAVGITHLLSLQNVVFRCSEWYKSDNPGIKATIDVVKKDARQHPNRPISLLITEGDKEVPNIEAHGSSENIVIVHAAPDDAISCSSCGRTSTMTHSTTEQQFQYRAFVSVSPGANLTQSLADIFLQVGADNDATPYCGTETPHQQYLIDNISAYLIGKKYLIIIDDVWHWEEWEVIRKSIPKNDLGSRIIMTTRLNSIAEKCRNDDIDAFVYETEALDYVDAWLLCDKVARKSVTCMNINPCYDIVDMCYGMPLALICVSSALAEEIQALAGDEQQKWRALRQVEDGILDIPSLKPLAESLCLGYNHLPLYLRTLLLFCSVYHWLNGGIVERSHLVTWWIAEGFVSEEKEAEGYFDELVGRGWIKHRERNEYEIHPMMLAILRYKSKEYNFVTCLGMGSDTSTSASLSYSSPTMMIRQLCLQRGYPRKCLSSMDVSHTRSLVILGDVIGVPLDMFKRLRVLDLKDNLDIEDSHLKNICEQLESLRLLKYLVLMGTRITTLPQEIQKLKHLEILCMRNTYIGDLPSQIGELKHLRILDVRNTEVRELPWQAGQIWESLRMLTDDSEEGMQLPKGVCEDLIKGIPEADLAKCREVLSITIVNRLVSPPVGIFKVIGLRKCIPEVFKDYFDVLSCLDIWLWKLEEEDHKFLANNMPNLQMLVLRFEAPQREPIIINNTGFQMLERFHVDSRVPRITFQEGAMPKLKHLKFKFYTGPPSNDPAVGITHLLSLQKLVFRCSKWYKSDNPGIKATIDVVKKEARQHPNRLISLLITEGDKELVPNEAHGSNENIAVTTGSSGVVVHTAPDYAIACSSYGRTSTSIQEETARDRIPATDLFSPEFKNYEKAKRN >ONIVA11G22690.3 pep chromosome:AWHD00000000:11:23871662:23891903:-1 gene:ONIVA11G22690 transcript:ONIVA11G22690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWIDNQSSEIPTTSQTHLRPTAQTPGRVPADCGAGGGGGDLQSIVVKVPEKRRDDMYDDALHWAVSSRLHGVPSGGAYGDYSRLQLRGEGANIRKLLSTLRNKVGRAQLVKVEDKRKRVEEATKPCEFHEVKTICILGLPGAGKTTLAKLLYSHHSTTEQQFQHRAFVSLSPGANLTDTLTDILLQVGTYNDDATPYCGTGTPHQQYLIDNISAYLIGKKYLIIIDDVWHWEEWEVIRKSIPKNDLGSRIIMTTRLNSIAEKCRNDDMDAFVYETEALDYVDAWLLCDKVARKSVTCMNINPCYDIVDMCYGMPLALIRVSSALAEETQALDSDERQIWRALRRVEDGILDIPSLKPLAESLCLGYDHLPLYLRTLLLCCSVYHWLDGGIVQRGRLVTRWIAEGFVSEEKAAEGYFDELVGRGWMKHRELNEYEIHPMMLAILRYKSKEYNFVTCLGTGSDTCTSASLSYSSPTMAIRRLCLQRGYPMKCFSSMDVSHTRSLVILGDVIGVPLDMFKRLRVLDLEDNIGIEDSHLKKICEQLESLRLLKYLGLKGTRITKLPQEIQKLKHLEILYLRSTGIKELPREIGELKQLRTLDVRDTRISELPSQIGELKHLRTLDVSNNMWNIIELPSQIGELKHLQTLDVRNTLVRELPWQAGQLSESLRVLIDEDDSEEGMQLPKGICEDLIKGIPKAELAKCNEVLSIAIADRLGPPSVGIFKVIGSHKCIPKVLKDHFDGLSRLDIRLCKLDEKDHEFLANNMPNLQMLVLRLQAPQREPIIINCTGFQMLERFLLDSRVPWITFQEGAMPKLKHLEFKFYAGPPSNDPAVGITHLLSLQNVVFRCSEWYKSDNPGIKATIDVVKKDARQHPNRPISLLITEGDKEVPNIEAHGSSENIVIVHAAPDDAISCSSCGRTSTMTHSTTEQQFQYRAFVSVSPGANLTQSLADIFLQVGADNDATPYCGTETPHQQYLIDNISAYLIGKKYLIIIDDVWHWEEWEVIRKSIPKNDLGSRIIMTTRLNSIAEKCRNDDIDAFVYETEALDYVDAWLLCDKVARKSVTCMNINPCYDIVDMCYGMPLALICVSSALAEEIQALAGDEQQKWRALRQVEDGILDIPSLKPLAESLCLGYNHLPLYLRTLLLFCSVYHWLNGGIVERSHLVTWWIAEGFVSEEKEAEGYFDELVGRGWIKHRERNEYEIHPMMLAILRYKSKEYNFVTCLGMGSDTSTSASLSYSSPTMMIRQLCLQRGYPRKCLSSMDVSHTRSLVILGDVIGVPLDMFKRLRVLDLKDNLDIEDSHLKNICEQLESLRLLKYLVLMGTRITTLPQEIQKLKHLEILCMRNTYIGDLPSQIGELKHLRILDVRNTEVRELPWQAGQIWESLRMLTDDSEEGMQLPKGVCEDLIKGIPEADLAKCREVLSITIVNRLVSPPVGIFKVIGLRKCIPEVFKDYFDVLSCLDIWLWKLEEEDHKFLANNMPNLQMLVLRFEAPQREPIIINNTGFQMLERFHVDSRVPRITFQEGAMPKLKHLKFKFYTGPPSNDPAVGITHLLSLQKLVFRCSKWYKSDNPGIKATIDVVKKEARQHPNRLISLLITEGDKELVPNEAHGSNENIAVTTGSSGVVVHTAPDYAIACSSYGRTSTSIQEETARDRIPATDLFSPEFKNYEKAKRN >ONIVA11G22690.4 pep chromosome:AWHD00000000:11:23884731:23891903:-1 gene:ONIVA11G22690 transcript:ONIVA11G22690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWIDNQSSEIPTTSQTHLRPTAQTPGRVPADCGAGGGGGDLVRTVDWASILRRPNSDWNLIRYFMWFLCLKPSAGSSLASIEDWMCGILPPVVMVVTLRHNAKVTEEGLHAGKLVIDVLDVTTDAADQRILLGKKVAQLAQERSHGGFRSTYRQLHQALRDLKTKVKLEGWPGMGTGHPSNKSQRGNRLGQPPSKGTERREAQQLARSFGRRLQRPTAGAGLALR >ONIVA11G22680.1 pep chromosome:AWHD00000000:11:23839331:23840305:1 gene:ONIVA11G22680 transcript:ONIVA11G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTALPAEWIGSLSALYYLCIEAPELRLGDLKILGRLPSLRSLWISSRSNERPLVITAQFTLLNGAFGPDFQRGAMPKVRRVEFSFSLRDFSSRADFGFGLENLLSLEHVTIRLHDKVHSVEAALRHLTKKHPRRPTITLIRDGEEPTDTAASNDTRTQEELAEMEAKQLEERRDKFIQELHEENLLLDDLQAQLMKISEHKR >ONIVA11G22680.2 pep chromosome:AWHD00000000:11:23833083:23839330:1 gene:ONIVA11G22680 transcript:ONIVA11G22680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWEVIRLALLENNNGSRVITTTRIFEVASSADDVYTMRSLSPENSKRLLCKRIFSGEDNSDGVELAEVRDKLLKKCDGLPLAVLTIAGALAGKTIEQWYMVYNSIAFGHVNGRVMDDMTWIAEGFIEYDGGRSLFGVGESYFAELINRSMIQPVEVGDRDSVDGCRVHGAVLHLLRYLAREENFVTLLIDNMLSSGRGRPRRLALQGNNEDHTLQTNIDLANWDWNLVALEDLRLYSVSTLHFVKDELGQLTKLRILEIRFEELDEQMEDAFLRSRATCKTCKLSSLIILVDHPTLIAWRSSLIHLVVFGD >ONIVA11G22670.1 pep chromosome:AWHD00000000:11:23821087:23822040:1 gene:ONIVA11G22670 transcript:ONIVA11G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSIDVATGALGSVLAKLGVLLQDGFKHNLPKSAKHDIHSLRMDLQIMYSVLRDVVDSRAEQQGHNKLWALELRELSYDVEDAVDTILVRLGGLESSTEAASSTGSSWLIKITKRATTHKVFDEIKDIRLRVKEVNEWRDRYMIDDSLHKPRVSAIYDPPRLPADLSVDQHSLVGIDQAAAELIEMLALEGGAFERRLKTVSIVGMGGLGKTTLAKLVYSMLKDRDQFQCGAFVSVSQCPNNRKKVFWEMLYQLDGKNYNNVQYNADKNVDQLIHASRDSLQNKRYIYTTSTIIATRFMSILGFQTINWRLLFRL >ONIVA11G22660.1 pep chromosome:AWHD00000000:11:23792425:23803495:1 gene:ONIVA11G22660 transcript:ONIVA11G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFLLIDDVWSATTWEQIRKHLPTDNLSYRIIVTTRFQAVATACKRKESDRIHKVDVLRGKKPEKLFNEVESESRISKDGERKEIDDGEQKEREGQQKEIDGEQKERDGQQKDIVRPRRIWEMCSGLPLAIVTMAGHVACNSDKDETHWLNVCKSLVPESGRDMTQEGVTRILGHCYNDMPAELRTCSLYLSIFPKGNKISRKRLTRRWIAEGFVSEKQGQSVEDYIVSKASEENFVTVVGGYWLMPPPSSKVRRLAIQSGDSKRGSGTDSMNLSHVRSLTMFGSLSQLPSNSFKFGIVQVLDLQGCKGFKQHHTKELCNMLLIKYLSLRRTDINKLPKKIGKLQYLEILDIRETNVTKLPRSVCQLERVANILGGNKRTRKALKLPAEDVKKTIKSPWGKEAKESGGKKTLKTLRILSGIEIVGESTAEGDFHHLTDLRKLAIYKLNVRRGDKPFENLISSIEYLCGYSLHTLVIEDVSSEFLESLGDLSSPPKFLKSLELSGKLVELPRWITQLEELTKLTLSVTVLRTDNLRSISQLKKLFSLTFSLSGAKPDPLSTAILEENKNYSDGEILVPAGGFENLKLLRFSAPLLPLLNFQEKAMPSLERLELRFRIFEGLFGIQNLEILKEVHLRVNYRAGEVTKSIVQNVATQVKKEATKSVATEANKENVASATNKEGTEFIIENVTLEANEATAAMKEATTSTVENVATEGNKENVTTDTNKENVATAEKKEVKGPIIIVDQYYD >ONIVA11G22650.1 pep chromosome:AWHD00000000:11:23789970:23791343:-1 gene:ONIVA11G22650 transcript:ONIVA11G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIPTRANLALAALLILLTIAATTPASAKCIAKNKPEGEEGEPGGAGAAAAHASPEKKPGSNGGLTTMSVGESVPEIKKDSSDDGAAVNESKKPKSSGGLTTLSVDDSQAEPADSIAEPVEDGTDDGEDESEKKKKKKKKKKKSKSKSSDDDDDDAEKKSKKKSKNSDDDEDDKKKSKKKPKNPDDDEDDKKKSKKKSSDEDNDGAKKKKKKKSKGKSSDEEDDEKPKKKSKSKSKSSSSDEEDEKKSKSEGQAAAKPKEEDEEGGSASASASTSAPKNEHHSGTMSLPDPDMIAQPVMQALNPVVKALCGKTDHADLCESSIGQLPQQPPAQLDDIGVLRLSMNALRAKVQEAISVATNRMGAASGDEVSKDAMGDCLQMYDDMKSNLDSADAALKKGDKDTAHTMLDSARTDVDTCEDGFSEREGLKPIMGDLDKILAELSSNTIAIASAIIE >ONIVA11G22640.1 pep chromosome:AWHD00000000:11:23784878:23786248:-1 gene:ONIVA11G22640 transcript:ONIVA11G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLLKNIGRRAPASMACVSSAFSPGGCGVQVLPATTAAGVAFSETNKGYSELKQMYQAFCFNHPGYKTQVDTGCDEYVKRMRAIVELVCRLEKEGQDWTSCTIEWDSERDLPIVPQWTPSSRTRTMTVGDRHALLAAAIVVAGAATLVAGAAVVSRQK >ONIVA11G22630.1 pep chromosome:AWHD00000000:11:23764861:23786510:1 gene:ONIVA11G22630 transcript:ONIVA11G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLICWMATIVDTLVGSCINKLQAIITDKAILILGVKDELEELQRRTDLIRSSLQDAEARRMKDSAVQKWLDQLRDVMYDVDDIIDLARFKGSVLLPNYPMSSSRKSTACSGLSLSSCFSNIRIRHEVAVKIRSLNKKIDNISKDDVFLKLSRTQHNGSGSAWTPIESSSLVEPNLVGKEVVHACREVVDLVLAHKAKNVYKLAIVGTGGVGKTTLAQKIFNDKKLEGRFDHRAWVCVSKEYSMVSLLTQVLSNMKINYEQNESVGNLQSKLKAGIADKSFFLVLDDVWHYKAWEDLLRTPLNAAATGIILVTTRDETIARVIGVDRTHRVDLMSADVGWELLWRSMNIKEEKQVKNLRDTGIEIVRKCGGLPLAIRAIAKVLASLRDQTENEWRQILGKNAWSMSKLPDELNGALYLSYEVLPHQLKQCFLYCALFPEDANIFCGDLTRMWVAEGFIDEQEGQLLEDTAERYYHELIHRNLLQPDGLYFDHSWCKMHDLLRQLASYLSREECFVGDPESLGTNTMCKVRRISVVTEKDIVVLPSMDKDQYKVRCFTNLSGKSARIDNSLFERLVCLRILDLSDSLVHDIPGAIGNLIYLRLLDLDRTNICSLPEAIGSLQSLQILNLQGCESLRRLPLATTQLCNLRRLGLAGTPINQVPKGIGRLKFLNDLEGFPIGGGNDNTKIQDGWNLEELAHLSQLRCLDMIKLERATPCSTTDPFLLSEKKHLKVLELQCTEPTDEAYSEEGISNVEMIFEELSPPRNLEDLMIVLFFGRRFPTWLSTSLLSSLTYLKLKDCKSCVHLPPIGQLPNLKYLRINGASAITKIGPEFVGCWEGNLRSTEAVAFPKLEWLVIEEMPNWEEWSFVEEEEVQEEEAAAAAKEGGEDGTAASKQKGEEAPSPTPRSLWLLPCLTKLQLVECPKLRALPPQLGQQATNLKELDIRRARCLKTVEHLPFLSGILFVQSCQGLEIISNLPQVRELLVNHCPNLRHVEMLGGLEQLWLSKNMQKISSLWVPGLEEQHRQLHGDEHKLEVNLEGFGLQSPVCRHDKRQGTPDKMCNETFESQSPDMPENATPAAVVAGKTCTPQPPGEKAELTQAMDAGARRPMFFSSRIAMGWKKTTTTMTRRKNRRRRYNAHGYRVEIYGRKTRGKVLVAAT >ONIVA11G22630.2 pep chromosome:AWHD00000000:11:23764181:23776350:1 gene:ONIVA11G22630 transcript:ONIVA11G22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVDTLVGSCINKLQAIITDKAILILGVKDELEELQRRTDLIRSSLQDAEARRMKDSAVQKWLDQLRDVMYDVDDIIDLARFKGSVLLPNYPMSSSRKSTACSGLSLSSCFSNIRIRHEVAVKIRSLNKKIDNISKDDVFLKLSRTQHNGSGSAWTPIESSSLVEPNLVGKEVVHACREVVDLVLAHKAKNVYKLAIVGTGGVGKTTLAQKIFNDKKLEGRFDHRAWVCVSKEYSMVSLLTQVLSNMKINYEQNESVGNLQSKLKAGIADKSFFLVLDDVWHYKAWEDLLRTPLNAAATGIILVTTRDETIARVIGVDRTHRVDLMSADVGWELLWRSMNIKEEKQVKNLRDTGIEIVRKCGGLPLAIRAIAKVLASLRDQTENEWRQILGKNAWSMSKLPDELNGALYLSYEVLPHQLKQCFLYCALFPEDANIFCGDLTRMWVAEGFIDEQEGQLLEDTAERYYHELIHRNLLQPDGLYFDHSWCKMHDLLRQLASYLSREECFVGDPESLGTNTMCKVRRISVVTEKDIVVLPSMDKDQYKVRCFTNLSGKSARIDNSLFERLVCLRILDLSDSLVHDIPGAIGNLIYLRLLDLDRTNICSLPEAIGSLQSLQILNLQGCESLRRLPLATTQLCNLRRLGLAGTPINQVPKGIGRLKFLNDLEGFPIGGGNDNTKIQDGWNLEELAHLSQLRCLDMIKLERATPCSTTDPFLLSEKKHLKVLELQCTEPTDEAYSEEGISNVEMIFEELSPPRNLEDLMIVLFFGRRFPTWLSTSLLSSLTYLKLKDCKSCVHLPPIGQLPNLKYLRINGASAITKIGPEFVGCWEGNLRSTEAVAFPKLEWLVIEEMPNWEEWSFVEEEEVQEEEAAAAAKEGGEDGTAASKQKGEEAPSPTPRSSWLLPCLTRLELVGCPKLRALPPQLGQQATNLKELDIRRARCLKTVEDLPFLSGCH >ONIVA11G22630.3 pep chromosome:AWHD00000000:11:23764676:23771151:1 gene:ONIVA11G22630 transcript:ONIVA11G22630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTPHLCWLLLCWMATIVDTLVGSCINKLQAIITDKAILILGVKDELEELQRRTDLIRSSLQDAEARRMKDSAVQKWLDQLRDVMYDVDDIIDLARFKGSVLLPNYPMSSSRKSTACSGLSLSSCFSNIRIRHEVAVKIRSLNKKIDNISKDDVFLKLSRTQHNGSGSAWTPIESSSLVEPNLVGKEVVHACREVVDLVLAHKAKNVYKLAIVGTGGVGKTTLAQKIFNDKKLEGRFDHRAWVCVSKEYSMVSLLTQVLSNMKINYEQNESVGNLQSKLKAGIADKSFFLVLDDVWHYKAWEDLLRTPLNAAATGIILVTTRDETIARVIGVDRTHRVDLMSADVGWELLWRSMNIKEEKQVKNLRDTGIEIVRKCGGLPLAIRAIAKVLASLRDQTENEWRQILGKNAWSMSKLPDELNGALYLSYEVLPHQLKQCFLYCALFPEDANIFCGDLTRMWVAEGFIDEQEGQLLEDTAERYYHELIHRNLLQPDGLYFDHSWCKMHDLLRQLASYLSREECFVGDPESLGTNTMCKVRRISVVTEKDIVVLPSMDKDQYKVRCFTNLSGKSARIDNSLFERLVCLRILDLSDSLVHDIPGAIGNLIYLRLLDLDRTNICSLPEAIGSLQSLQILNLQGCESLRRLPLATTQLCNLRRLGLAGTPINQVPKGIGRLKFLNDLEGFPIGGGNDNTKIQDGWNLEELAHLSQLRCLDMIKLERATPCSTTDPFLLSEKKHLKVLELQCTEPTDEAYSEEGISNVEMIFEELSPPRNLEDLMIVLFFGRRFPTWLSTSLLSSLTYLKLKDCKSCVHLPPIGQLPNLKYLRINGASAITKIGPEFVGCWEGNLRSTEAVAFPKLEWLVIEEMPNWEEWSFVEEEEVQEEEAAAAAKEGGEDGTAASKQKGEEAPSPTPRSSWLLPCLTRLELVGCPKLRALPPQLGQQATNLKELDIRRARCLKTVEDLPFLSGCH >ONIVA11G22630.4 pep chromosome:AWHD00000000:11:23764861:23771151:1 gene:ONIVA11G22630 transcript:ONIVA11G22630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLICWMATIVDTLVGSCINKLQAIITDKAILILGVKDELEELQRRTDLIRSSLQDAEARRMKDSAVQKWLDQLRDVMYDVDDIIDLARFKGSVLLPNYPMSSSRKSTACSGLSLSSCFSNIRIRHEVAVKIRSLNKKIDNISKDDVFLKLSRTQHNGSGSAWTPIESSSLVEPNLVGKEVVHACREVVDLVLAHKAKNVYKLAIVGTGGVGKTTLAQKIFNDKKLEGRFDHRAWVCVSKEYSMVSLLTQVLSNMKINYEQNESVGNLQSKLKAGIADKSFFLVLDDVWHYKAWEDLLRTPLNAAATGIILVTTRDETIARVIGVDRTHRVDLMSADVGWELLWRSMNIKEEKQVKNLRDTGIEIVRKCGGLPLAIRAIAKVLASLRDQTENEWRQILGKNAWSMSKLPDELNGALYLSYEVLPHQLKQCFLYCALFPEDANIFCGDLTRMWVAEGFIDEQEGQLLEDTAERYYHELIHRNLLQPDGLYFDHSWCKMHDLLRQLASYLSREECFVGDPESLGTNTMCKVRRISVVTEKDIVVLPSMDKDQYKVRCFTNLSGKSARIDNSLFERLVCLRILDLSDSLVHDIPGAIGNLIYLRLLDLDRTNICSLPEAIGSLQSLQILNLQGCESLRRLPLATTQLCNLRRLGLAGTPINQVPKGIGRLKFLNDLEGFPIGGGNDNTKIQDGWNLEELAHLSQLRCLDMIKLERATPCSTTDPFLLSEKKHLKVLELQCTEPTDEAYSEEGISNVEMIFEELSPPRNLEDLMIVLFFGRRFPTWLSTSLLSSLTYLKLKDCKSCVHLPPIGQLPNLKYLRINGASAITKIGPEFVGCWEGNLRSTEAVAFPKLEWLVIEEMPNWEEWSFVEEEEVQEEEAAAAAKEGGEDGTAASKQKGEEAPSPTPRSSWLLPCLTRLELVGCPKLRALPPQLGQQATNLKELDIRRARCLKTVEDLPFLSGCH >ONIVA11G22630.5 pep chromosome:AWHD00000000:11:23774518:23776350:1 gene:ONIVA11G22630 transcript:ONIVA11G22630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATILDSLIGSCAKKLQEIITKEAILILGVKEDLRELQEKMEQIRCFISDVERRGMEDSSIRNWISRLKGAMYDADDIIDLASFEGSKLLNGHSCSPRKTIACSGLSLLSCFSNIRVHHEIGNKIRSLNRKLEEIAKDKIFVTLENTQSSHKDSTSELRKSSQIAESNLVGKEILHASRKLVSQVLTHKEKKTYKLAIIGTGGIGKTTLAQKVFNDEKLKQSFDKHAWICVSQDYSPASVLGQLLRTIDAQCKQEESVGELQSKLESAIKDKSYFLVLDDVWQSDVWTNLLRTPLYAATSGIVLITTRQDTVAREIGVDEPHHIDLMSPAVGWELLWKSINIEDEKEVQNLRDIGIEIVQKCGGLPLAIKVIARVLASKDKTENEWKKILANYVWSMDKLPKEIRDCTIRRDDLIRLWVAEGFVEVHKDQLLEDTAEEYYYELISRNLLQPVDTSFDQSKCKMHDLLRQLACHPSREECYIGDPTSLVDNNMCKLRRILAITEKGMVVIPSMGKEEIKLRTFRTQPNPLGIEKTFFMRFTYLRVLDLTDLLVEEIPDCVGYLIHLRLLDLGGTNISCLPNLLVL >ONIVA11G22620.1 pep chromosome:AWHD00000000:11:23747712:23754070:-1 gene:ONIVA11G22620 transcript:ONIVA11G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLLPLLVLAGAAVGGGVVPVAARSAFACAAGGPAATLPFCRRSLPARARARDLVARLTRAEKVRLLVNNAAGVPRLGVAGYEWWSEALHGVSDTGPGVRFGGAFPGATAFPQVIGTAASFNATLWELIGQVMPILKGGHARCNQRPSCIRISVFMYVYVCAQAVSDEGRAMYNGGQAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVAARYAAAYVRGLQQQQPSSGRLKLAACCKHFTAYDLDNWSGTDRFHFNAVVTRQDLEDTFNVPFRSCVVDGRAASVMCSYNQVNGVPTCADAAFLRGTIRRRWGLAGYIVSDCDSVDVFYSDQHYTRTREDAVAATLRAGLDLDCGPFLAQYTEGAVAQGKVGDGDIDAAVTNTVTVQMRLGMFDGDPAAQPFGHLGPQHVCTAAHQELAVEAARQGIVLLKNDGRALPLSPATARRAVAVVGPHAEATVAMIGNYAGKPCRYTTPLQGVARYAARAAHQPGCTDVACAGSGQPIAAAVDAARRADATIVVAGLDQKIEAEGLDRASLLLPGRQAELISSVAKASKGPVILVLMSGGPIDIGFAQNDPKIAGILWAGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPQDYLQKVPMTNMAMRANPAKGYPGRTYRFYTGPTIHPFGHGLSYTSFTHSLAHAPSQLTVRLSAAASSTASASLNATARLSRAAAVRVAHARCEELRMPVHVDVKNVGERDGAHTVLVYAAAAPPSEARDGSGAPVRQLVAFEKVHLAAGGTARVEMGIDVCDGLSVADRNGVRRIPVGEHRLIIGELTHTVTIALEQLGV >ONIVA11G22620.2 pep chromosome:AWHD00000000:11:23747712:23754070:-1 gene:ONIVA11G22620 transcript:ONIVA11G22620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLLPLLVLAGAAVGGGVVPVAARSAFACAAGGPAATLPFCRRSLPARARARDLVARLTRAEKVRLLVNNAAGVPRLGVAGYEWWSEALHGVSDTGPGVRFGGAFPGATAFPQVIGTAASFNATLWELIGQAVSDEGRAMYNGGQAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVAARYAAAYVRGLQQQQPSSGRLKLAACCKHFTAYDLDNWSGTDRFHFNAVVTRQDLEDTFNVPFRSCVVDGRAASVMCSYNQVNGVPTCADAAFLRGTIRRRWGLAGYIVSDCDSVDVFYSDQHYTRTREDAVAATLRAGLDLDCGPFLAQYTEGAVAQGKVGDGDIDAAVTNTVTVQMRLGMFDGDPAAQPFGHLGPQHVCTAAHQELAVEAARQGIVLLKNDGRALPLSPATARRAVAVVGPHAEATVAMIGNYAGKPCRYTTPLQGVARYAARAAHQPGCTDVACAGSGQPIAAAVDAARRADATIVVAGLDQKIEAEGLDRASLLLPGRQAELISSVAKASKGPVILVLMSGGPIDIGFAQNDPKIAGILWAGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPQDYLQKVPMTNMAMRANPAKGYPGRTYRFYTGPTIHPFGHGLSYTSFTHSLAHAPSQLTVRLSAAASSTASASLNATARLSRAAAVRVAHARCEELRMPVHVDVKNVGERDGAHTVLVYAAAAPPSEARDGSGAPVRQLVAFEKVHLAAGGTARVEMGIDVCDGLSVADRNGVRRIPVGEHRLIIGELTHTVTIALEQLGV >ONIVA11G22610.1 pep chromosome:AWHD00000000:11:23744215:23746457:-1 gene:ONIVA11G22610 transcript:ONIVA11G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAVSFLAAGVLFAPDALLGGSGRSGAGVAAARLAHVLCFATAWGAALWVTFIGGIVMFKYLPRHQFGSLQGKMFPAYFMLISVCSAISVAAFAYLHPWKTASTIERYQLGFLISALGFDLSNLLVFTPMTTEMMMRRHKIEKDLGIGSEVGFSKNAEVAKTSPTLAAMNKKFGMIHGLSSLANIMAFGSLAMHSWYLASKLQI >ONIVA11G22600.1 pep chromosome:AWHD00000000:11:23739123:23743638:-1 gene:ONIVA11G22600 transcript:ONIVA11G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09190) TAIR;Acc:AT1G09190] MPGDGEPPALSAAVTTPDGWHPRTAERRLLHLLHHSPPARRRPLELLAFAVRHCLPSSPPSPHHHSLAALLLLSSPPPPALPLLRLVPPHAPPPLPLLNAAIRALSASSPPLAFRLLSSLRRLHAPDRLSFLPLLGCVSSLSLLSAVHALLLRLGFLSHHAISLALLKPYPLHYARVLFDEMPQRNKCTVAYNTLITACFRADDLGAARHLFDEMQRYKRSRRSVVSWNVMIAGCAWCKKDDIAVLCFERMVREGEVALDDGTLAAVLPVCGRLGNVGVGRWAHEHARKSGLLDRKVHVANAVMDMYCKCGDVHSAREVFKGMRQRSVVSWNTMISGFSLNGHGIEGIELYREMRTHGEMPNEVTFLGVLGCCAHAGAVDVGRDIFQSMQLEHGIEPVIEHYGCMVDLLGRSGLLEEAYALIQGMPMRPNAAIWGALLSACHAHSGISIAEVALKELINLEPWNSGNYVLLANLYAETGRWEEAGDARRLMRRMRTLWPPCCHTLKGPHWLLLHLGIDAPVARCRPAVTPVGGATAVDPAAKCQWSRGASIIPKQYGLSTAAVVNPAEDMHAAVS >ONIVA11G22590.1 pep chromosome:AWHD00000000:11:23734787:23735836:1 gene:ONIVA11G22590 transcript:ONIVA11G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADHAATAIAIPGDPLAGGGGGVDSACSTPFVSAPSSPSREREREHHFASSHGACFFSAPASPTRGGGGGCLGEFDFDFSSRFPSPSAAAMSSADELFHNGQIRAVRLSAMLLQPQPLAPLVDGDGRASHLAEEEDAAAVEEDGAEADERGRIRSRSVRRKARSMSPFRTRWRAPSPAPAPESAEEVEAVATPAASRSSSSSSTASSASSTSSRGSRRWAFLKDLLHRSKSDGGKNHHHHDTAPPPPQSNTTTAPKRSPSSSPSPAAAASARGGRGAATGRSRRRSAHERLYEARRAEAEEMRRRTYLPYRQGLLLFGCIGLGSRGYGAVHGLARGLNAAAAVSSRS >ONIVA11G22580.1 pep chromosome:AWHD00000000:11:23723256:23727654:-1 gene:ONIVA11G22580 transcript:ONIVA11G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase l1 [Source:Projected from Arabidopsis thaliana (AT3G05740) TAIR;Acc:AT3G05740] MAGYEREKKRLLDLAADSGFERDLAADCLDRIVRLYGEGGQGFITVENCGDDFLGALADATNNNDDWDDLNAIENEACGNLNGMMKHGVIDDKEVEVRTPLFRQAESSARQTRINLDSFGFSSDDDFETLESHCDRSVSTQKKVNRGNNRCESSTSTSNRETLSYQQLYSLDDINFANVVIFGNKSFRPLQYEACRAAVSNMDTFVLMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIEDQIVALNFKFAIPAAFLNSQQTPSQSSAVIQELRSGKPSFKLLYVTPERMAGNSSFIGILIGLHQRGLLARFVIDEAHCVSQWGHDFRPDYRGLGCLKQNFPRVPIMALTATATASVCKDILSTLRIPNATVLKRSFDRTNLNYEVIGKTKTPQKQLGDLLKERFMNMSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRSNVQGKWHSGEVKVICATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDNLPAHCIVLYQKKDLGRIVCMLRNSGNFKSESFKVAMEQAKKMQTYCELKTECRRQTLLGHFGEQYDRQRCKHGCSPCDNCIKIPS >ONIVA11G22570.1 pep chromosome:AWHD00000000:11:23706614:23712887:-1 gene:ONIVA11G22570 transcript:ONIVA11G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEGEEAVVATAAVVEDELRGNGDGGGGGVGEVVGVGRSIDMEWRKAEEAAIRRYEAANWLRRVVGVVCGKDLAEEPSEEEFRLGLRNGIVLCNALNKVQPGSVPKVVEAPSDSADGAALCAYQYFENVRNFLMGLQDLGLPTFEASDLEKGGKGVRVVDCVLSLRSFSESKQVGRSAPLKYGGILKPSMSGKHFIRKNSEPFVKTMVRSYSAELLRDGVSLEQSLGLDFSLEHVERTTPDSIRMLVQTMLSDKKPEEIPSLVESLLSRVIHEFERRTANQNESVKHALDPNDDKLLSRADTPPEMESTCTCSTGNMDEEDHTSVSMKEEVSTAVLVNGENVVEHIQAKQTDKYFDQQQKHIKDLKSNLATMKSGMEHIKLQYSEDLDKLGKHVHTLSHAASGYHKVLEENRKLYNQIQDLREYIVEYDLSYLESVAGLEDRTITVMTPSKHGKDARKSFTFNRVFGPLATQEQVFADMQPLIRSVLDGYNVCIFAYGQTGSGKTFTMSGPKVLTEEGLGVNYRALNDLFNIQAQRKDTFCYEISVQMIEIYNEQVRDLLQNETVDIKNSSQKGIAVPDANIVPVTSTSDVIDLMNLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDLTSRTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLAALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEPDAIGESISTLKFAERVATVELGAAKSNKEGGEVKELKEQIACLKAALAKKDGETESIRSTQSSPDIYRMRMGSAPPAFRNPMEEVGNLETRSNGTPRQKKRNFELPDVENDTSSWLDTSSQKEAALGEWVNNSQFGSSNSLLELGPDATQDVVFYQRNSPEPQWSWAGSVATEDSDDFEVTTSCSSEQDMVRPTSAPKAPGSANGSASIARKAQPKGAKSTDIRSTNPAKRAAPLQKKINGPPSASTKNGKQSSLSAADGKRAPNGKVSAKK >ONIVA11G22550.1 pep chromosome:AWHD00000000:11:23692144:23692977:1 gene:ONIVA11G22550 transcript:ONIVA11G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLVSNVTSIVSIASDIAGAAKTARQNKKRCQRLAERVGDIGELTKDLAVDGGGSSSSSSSPSTAATRRLVVKLEEALGSALLLVRSCQASSRRTYYSLLVAGGWQYAEQFDEVNAEIDRCLRDLTVAIVSRIDRKLNAAAGDTNTDIVVDVDVVPADANIVGTHDDGADQVDDKDNNGEQDGKSNSGDDVVGVHHQFSPPPPPYYGYYLYYWQCTDGLAGGYHQQRGGHYCHCAAGDGHGHYYSPSSCPWHSDRVDSIRQMFSDDNPNSCSIA >ONIVA11G22540.1 pep chromosome:AWHD00000000:11:23685027:23691643:-1 gene:ONIVA11G22540 transcript:ONIVA11G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSRFRKKSIPTTEQKKSIPADGAEEVCGTLRIIFGIRSNYMQYYSFVDNMAPSNLITALTPKNDRWRIKVKVIRLWDAVNPTMVDEFYGIQMIVLDAEGNSIHVSISKQLANHFRPKIKINSIYTFKNFKVMEHDKYRVLKNNLKILFYHDTIVKETCLYLDVIGLLSGMKPIEQRMLGKNTSRERVCNMREIELLLLEGEKVKITLWGDILANMVDDDLLGKQTVFIATGLLVKEYEKLLSFGVTSSTEVFLDMEIPASMEILSRHNAEKVLPTMIEVDASTQGTIEEQMFYNRKTLKEITELRYTNIQQKEFICTVKAKIEEIKSRNWWYMSCDKCFCGTRKESNVYICNSCGKEAVNPKPRYVINLEISDHTTRTTCTIFNEEAERIFGHKSVSTMLEEQNGQIDMIPDTIRQICGRILIFRLKLTKRNLEECKEDYKVNYTFTPNEKLEMNYVNDKAEEFGQHNFQVKEEPKNESSDDYEMNNHRKTKKERSNMRSTKRSNKEPYITDSDGKTNEKAITIDDDSEESLDDYFDEEYNEKVTQESTKTNPSKRRVTRRFKTSNKAKKGKCSEMKTQRNKEPYTTDCEKIANDKPISLDDDFDEEYNKNMVMADTSTSKRKVRGKGCGKKSTNMGTCTKSAIENTIQDDEVHDGKIASGTAKRPSRKFIISDSEVIYRQEGPKEPIKRRVQPILFIEE >ONIVA11G22530.1 pep chromosome:AWHD00000000:11:23684609:23689458:1 gene:ONIVA11G22530 transcript:ONIVA11G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWGWQDINGRGSKQGSTHIHVLKMIRKVPQTSSAPSAGIDFFCSVVGIDFFRNRLMSHAGGVYIYACKPYEYCLMYMELILVAINNLS >ONIVA11G22520.1 pep chromosome:AWHD00000000:11:23675724:23683966:1 gene:ONIVA11G22520 transcript:ONIVA11G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVAGVDGGDGGGRRGWRGLRAESGSGELQALWTGGRRRGWRGRRSRCDVRSCKVVECEAELVAPPSYCIANRFTRDWAVQRALSSGLQRHVHIHLPCSVLTSTFSLNYLLAQWVGWRLRGFGISCWGVRQGTIGSDGVVVHSSMERRLSLEYVVAQSMLYWREAGIEQLQ >ONIVA11G22520.2 pep chromosome:AWHD00000000:11:23675724:23683966:1 gene:ONIVA11G22520 transcript:ONIVA11G22520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVAGVDGGDGGGRRGWRGLRAESGSGELQALWTGGRRRGWRGRRSRCDVRSCKVVECEAELVAPPSYCIANRFTRDWAVQRALSSGLQRHVHIHLPCSVLTSTFSLNYLLAQWVGWRLRGFGISCWGVRQGTIGSDGVVVHSSMERRLSLEYVVAQSMLYWREAGIEQLQ >ONIVA11G22520.3 pep chromosome:AWHD00000000:11:23675724:23683966:1 gene:ONIVA11G22520 transcript:ONIVA11G22520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVAGVDGGDGGGRRGWRGLRAESGSGELQALWTGGRRRGWRGRRSRCDVRSCKVVECEAELVAPPSYCIANRFTRDWAVQRALSSGLQRHVHIHLPCSVLTSTFSLNYLLAQWVGWRLRGFGISCWGVRQGTIGSDGVVVHSSMERRLSLEYVVAQSMLYWREAGIEQLQ >ONIVA11G22510.1 pep chromosome:AWHD00000000:11:23671426:23675657:1 gene:ONIVA11G22510 transcript:ONIVA11G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSLVSGVTTIVKLANDIDGAVKAASRSKKSCEKLAERVADIGDLLKGVDTSSPSTAAVATRRLVGRLERALRRALLLVTSCQSTSRIYSLVAGGWQAEQFDKVNSEIDRCLLDLSLSSLALVSTIDHKLNAAAAAGERNTVEVVAPPPPVKCSHDHDQDDGEDKMAAGGAAEKNGELICFGGEQDGKAETDAGEVTTTTTTIGVPAATAAYMHYHLSPPPPPPCYGYHLHYCHCTHGHCHCAGGRHYSPSYYSDDSADVRLRHIYRGETSN >ONIVA11G22500.1 pep chromosome:AWHD00000000:11:23666528:23667601:-1 gene:ONIVA11G22500 transcript:ONIVA11G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPRPETGLEKLRKAATTRPLSMPTTPTTPVTPSSSSPTTATTTTPRGSNVWRSVFHPGSNLATKSLGANLFDRPQPNSPTAVQRRDQEQPSLN >ONIVA11G22500.2 pep chromosome:AWHD00000000:11:23666457:23667601:-1 gene:ONIVA11G22500 transcript:ONIVA11G22500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPRPETGLEKLRKAATTRPLVINKGCTATRPGAAIAELNNAIDRRLVDLSVTPCLLVLVVI >ONIVA11G22490.1 pep chromosome:AWHD00000000:11:23661775:23662338:1 gene:ONIVA11G22490 transcript:ONIVA11G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVVGYGASSARGLIKMIMAAVQTAKRNKKQCRELEERVRMVSAVLSRHRRHDQPEPSTTTMAARGLPPGAREAVDGLDGVLREAHELAVAFSQSGGGGGKKTMRRRLVCWARRVVGARRDAERLASVLSRIDFYLSLYPAIAHADTACRVDRLLWTTTVNVVVSAAVAFAGFVVVSISMVSRKK >ONIVA11G22480.1 pep chromosome:AWHD00000000:11:23649528:23661737:1 gene:ONIVA11G22480 transcript:ONIVA11G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWNGLGQVANIAQLAGVDAYGLIKMIVEAAQTAKRNQETCQKLARHVKMIGDLLQRLQSTELMQHQETRNPVEQLEETLRHTYMLILSCQDSSYLHSCFMGGKQAHQLREVQSDITFYLQLFPLVSFVNTTRTWERLLRRAQPSCTEDTADELQKVHHSDHRIRFNTEILNATEFGDQSVTQCPEVFEEKRSEQASIRSLNLDQLVVNDIGKGAVLTFSQILAATNNFSGRSLIGQGGFGPVYKGKLPNGLEIAVKRHDTSSHQGEEEFMAEIDVIPKLRRKNIIELIGFCVQGKECILVYEYIPNGNETKRILLNWSKRLKIIEGISDGLLYLHNHSPKCIVHRDIKASNILLDYEMNAKISDFGLAIKLAPKATTELQVHCCDDLLSSFRGYADPEYVATGVISEKTDVYSFGIVLLEIISGKLCVSGYNVKSRSRRTIFPEFALKNRKKLHKLIDPSLGAKKHERAQIMQCLRVAMLCVRDRAEHRPTMSEVVTMLPSIKTPKDRKSLIQGRRPLAIPPATASAASHRRHRLLPASPLLCLHRLLPLHKPRSPSTHPTRRSRPSCLVEVEARGIDSAPVLRLSPQATDLETVRSASRRRLQFRLGSMRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFALKHKNDIRKNPLFRQQFHEMCAKVGVDPLASNKGAWAELLGIGDFYYELGVQIVDICIATRATNGGLIDLLDLRKLLCQKRKADLGSLTSDDCLRAISKLKAIISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVKRKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCATLSSDSIGADAKGEAPAPPLTASGDSSSPTPAAAADSSSPSLDLIPDIARRLTSLEHFFSLRASYLPASRRLLASQSPLLLVSLYPSFAEAFFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATHRRQQLPAKAPPPPPLHRRAAPPPQGLRALLPRHPHGGPPRRHLLGRPGRATVQHCHPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLKLSESSLELPFLGKERKWEMITNLGGRSLFLGLDGFAACVDEDHPGVGEDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGSPPLNKQFTDQTISLDLPQLVRWGRGGVGLGAVEEVGAEALGGKVAPRVEDAPRSTRCCSAASSETKTTASPSSSGSSAMTASAYTLEIREPEMITTYMAI >ONIVA11G22480.2 pep chromosome:AWHD00000000:11:23649528:23661737:1 gene:ONIVA11G22480 transcript:ONIVA11G22480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWNGLGQVANIAQLAGVDAYGLIKMIVEAAQTAKRNQETCQKLARHVKMIGDLLQRLQSTELMQHQETRNPVEQLEETLRHTYMLILSCQDSSYLHSCFMGGKQAHQLREVQSDITFYLQLFPLVSFVNTTRTWERLLRRAQPSCTEDTADELQKVHHSDHRIRFNTEILNATEFGDQSVTQCPEVFEEKRSEQASIRSLNLDQLVVNDIGKGAVLTFSQILAATNNFSGRSLIGQGGFGPVYKGKLPNGLEIAVKRHDTSSHQGEEEFMAEIDVIPKLRRKNIIELIGFCVQGKECILVYEYIPNGNETKRILLNWSKRLKIIEGISDGLLYLHNHSPKCIVHRDIKASNILLDYEMNAKISDFGLAIKLAPKATTELQVHCCDDLLSSFRGYADPEYVATGVISEKTDVYSFGIVLLEIISGKLCVSGYNVKSRSRRTIFPEFALKNRKKLHKLIDPSLGAKKHERAQIMQCLRVAMLCVRDRAEHRPTMSEVVTMLPSIKTPKDRKSLIQGRRPLAIPPATASAASHRRHRLLPASPLLCLHRLLPLHKPRSPSTHPTRRSRPSCLVEVEARGIDSAPVLRLSPQATDLETVRSASRRRLQFRLGSMRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFALKHKNDIRKNPLFRQQFHEMCAKVGVDPLASNKGAWAELLGIGDFYYELGVQIVDICIATRATNGGLIDLLDLRKLLCQKRKADLGSLTSDDCLRAIIISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVKRKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCATLSSDSIGADAKGEAPAPPLTASGDSSSPTPAAAADSSSPSLDLIPDIARRLTSLEHFFSLRASYLPASRRLLASQSPLLLVSLYPSFAEAFFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATHRRQQLPAKAPPPPPLHRRAAPPPQGLRALLPRHPHGGPPRRHLLGRPGRATVQHCHPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLKLSESSLELPFLGKERKWEMITNLGGRSLFLGLDGFAACVDEDHPGVGEDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGSPPLNKQFTDQTISLDLPQLVRWGRGGVGLGAVEEVGAEALGGKVAPRVEDAPRSTRCCSAASSETKTTASPSSSGSSAMTASAYTLEIREPEMITTYMAI >ONIVA11G22480.3 pep chromosome:AWHD00000000:11:23649528:23661737:1 gene:ONIVA11G22480 transcript:ONIVA11G22480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALWNGLGQVANIAQLAGVDAYGLIKMIVEAAQTAKRNQETCQKLARHVKMIGDLLQRLQSTELMQHQETRNPVEQLEETLRHTYMLILSCQDSSYLHSCFMGGKQAHQLREVQSDITFYLQLFPLVSFVNTTRTWERLLRRAQPSCTEDTADELQKVHHSDHRIRFNTEILNATEFGDQSVTQCPEVFEEKRSEQASIRSLNLDQLVVNDIGKGAVLTFSQILAATNNFSGRSLIGQGGFGPVYKGKLPNGLEIAVKRHDTSSHQGEEEFMAEIDVIPKLRRKNIIELIGFCVQGKECILVYEYIPNGNETKRILLNWSKRLKIIEGISDGLLYLHNHSPKCIVHRDIKASNILLDYEMNAKISDFGLAIKLAPKATTEVLVRGTWGYADPEYVATGVISEKTDVYSFGIVLLEIISGKLCVSGYNVKSRSRRTIFPEFALKNRKKLHKLIDPSLGAKKHERAQIMQCLRVAMLCVRDRAEHRPTMSEVVTMLPSIKTPKDRKSLIQGRRPLAIPPATASAASHRRHRLLPASPLLCLHRLLPLHKPRSPSTHPTRRSRPSCLVEVEARGIDSAPVLRLSPQATDLETVRSASRRRLQFRLGSMRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFALKHKNDIRKNPLFRQQFHEMCAKVGVDPLASNKGAWAELLGIGDFYYELGVQIVDICIATRATNGGLIDLLDLRKLLCQKRKADLGSLTSDDCLRAISKLKAIISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVKRKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCATLSSDSIGADAKGEAPAPPLTASGDSSSPTPAAAADSSSPSLDLIPDIARRLTSLEHFFSLRASYLPASRRLLASQSPLLLVSLYPSFAEAFFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATHRRQQLPAKAPPPPPLHRRAAPPPQGLRALLPRHPHGGPPRRHLLGRPGRATVQHCHPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLKLSESSLELPFLGKERKWEMITNLGGRSLFLGLDGFAACVDEDHPGVGEDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGSPPLNKQFTDQTISLDLPQLVRWGRGGVGLGAVEEVGAEALGGKVAPRVEDAPRSTRCCSAASSETKTTASPSSSGSSAMTASAYTLEIREPEMITTYMAI >ONIVA11G22470.1 pep chromosome:AWHD00000000:11:23648682:23649522:1 gene:ONIVA11G22470 transcript:ONIVA11G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNKYRLGPRNQRLRAIHPLISAATATEESAGLDADARAAGEHYRRPPSSQRQSTSRSARSLVADNMSRRCSSKCHREEAVLVFGGEQMTRPIQLEENPEEF >ONIVA11G22460.1 pep chromosome:AWHD00000000:11:23635908:23648372:1 gene:ONIVA11G22460 transcript:ONIVA11G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEVCCCLGLAANVAQLAGLDVITLVKVIKSRVETVRQNKEDCELLAERANMIRDLLRRVQASKVIEDPDMWKPTEGLKSTLRRAGALVKSCQEEWSYAYRFCKGRRIARELRKVLKDLKFYILLLIGMITIINHDQNTRYYRIPETDIVKPQDGAQEVPSVALGLPAHNYCNDMGQTTGSSGQTQLVTEPSIVNEGHLPENQRSGCCWWWPWKRIATASNAGNLVALEETGLKKFTFSELEVATDNFSLENQIGVGAFSIVYKGQLNEIPEVAVKRASYVNRIPFEQLENEAKIVSKLQHTNIVKLLGYCIQDRERILVFEYMPSRSLDSFITGERAEESPLDWSKRSQIVKGIAEGAEYLHKQCEPRIIHGDLKPGNILLDAALKPKICDFGTSKALRPGQDMDCTGIVVGSRGYMAPEYKQGGCVSLKTDVYSFGATLLEIIRGSRIPPSTLELSDESRDYGPLNKWAWNLWRGGNQMEFIDPSLRGDTLAAAEIQSKEELRYNRRLRRVIREENAISQRRTLEVFQKSLDNACQNLVNHINCSLQSLTARTDILHHEVEQLKRSNSNRRYRSEANREHAAIIEEVNQEQTVVRFATSEAHEGQRVELRFLNKLNEDAIIYTKDKITADDGNAIKIAIYKDNQIVRSGQLSSARIQILALHGNFNDHVPENWTEGQFDERIVKNTKGPVLGGVCQQVKLKNGEASLSDVYFDIPSGKTESGKLILAAKVHCSDRTGLRIKEAVTNPVKVQVHRNKHNRNSDCPKLKDEVYRLKGISRTGGRFEWLKNNQIYTVEDFLKALNKNEEKIRTDAVICLKQQAYDVLDGIHFDHKMEENGPVSLFSAMNTSIIGGDASVPFTDTAGQNPPDFHVAYREVETAHHANIYQAHELPQAFRNNNNAFGTPGGNNIIPTNVPLPRNVSCDIYEGLLDIIVDSLNS >ONIVA11G22450.1 pep chromosome:AWHD00000000:11:23625241:23626118:1 gene:ONIVA11G22450 transcript:ONIVA11G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGGRDSARGSMASARRRRRTGWPAGRRSGAASVGDASWKGWSAARRGKERPGWTTRAARPNDGRTARGGTDAARPSGGWDGSRVRRGRRTATRVARPSGADGPVGRSQSEDSGRCGMGELGSHRSAWTSDTGKLVAVPWRTGPCVEMVVCLAEKAATVPNHA >ONIVA11G22430.1 pep chromosome:AWHD00000000:11:23604085:23617378:1 gene:ONIVA11G22430 transcript:ONIVA11G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCDSIGELCGVGDNPSISVSGGRPASDDNMNSKRAPPAPAHGAPPPKRLQIDAAGRGVEAFAQRAPTSSPVKRQLRRSMLVLFFVSVAQVKEERRNKNNARPGRVIHEESSTRKAPEDDAFQRGIMKAFDNVLQNHLNPIYRSLQHLTKQTGTLSERIEAKQENAAVTEEVNQEQTALRFAANEVHEGQGVELRFLNKLKDHLVYTNDKITSDDGTAIKIAIFRDNQIVTAGQLSSARIEILVLHDKFYDAAPDKWTECDFDAHIVSSSKGAVLGGVLRVKLTNGKASLSDVFFNMPSSKTGSKKLILAARVLSSDKAGLQIKEAVMNQPVEVQVNQNKSNKKSDHPKLKDEVHRLKGISGKGNRAKWLKDNGIHTVADFKKALNKDEEKIYTECFNMKKDNMLWKATVQHAEQCDLEGNCKLKSYGVEEKHVILFFNCVHDLVGATFRGRYVAKDNFNSDEQDEVNCLKIQAYNELDNIVFDHEMKDNFPVPLSSTLNTSIGDDASIRFTDTTGLNPPDLHVTSQVQDAAAVQTAHHATFAHANQLPQTFLNNNNACGFIIGSEQISFDPSFFNGYQGTMRQISRGSSQFDMTAIGYCIAQPSEALPVRAETTGGNNLSGLMNLDENVSDDSLDALALFGGWVPMASVGTSTGEQKVFVEMSSRNEHGKGFRSQEPGCLVSHVMCEPPAPHVYKQRLREMIHRPIIEGKDREKGEKLI >ONIVA11G22430.2 pep chromosome:AWHD00000000:11:23604085:23617378:1 gene:ONIVA11G22430 transcript:ONIVA11G22430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCDSIGELCGVGDNPSISVSGGRPASDDNMNSKRAPPAPAHGAPPPKRLQIDAAGRGVEAFAQRAPTSSPVKRQLRRSMLVLFFVSVAQVKEERRNKNNARPGRVIHEESSTRKAPEDDAFQRGIMKAFDNVLQNHLNPIYRSLQHLTKQTGTLSERIEAKQENAAVTEEVNQEQTALRFAANEVHEGQGVELRFLNKLKDHLVYTNDKITSDDGTAIKIAIFRDNQIVTAGQLSSARIEILVLHDKFYDAAPDKWTECDFDAHIVSSSKGAVLGGVLRVKLTNGKASLSDVFFNMPSSKTGSKKLILAARVLSSDKAGLQIKEAVMNQPVEVQVNQNKSNKKSDHPKLKDEVHRLKGISGKGNRAKWLKDNGIHTVADFKKALNKDEEKIYTECFNMKKDNMLWKATVQHAEQCDLEGNCKLKSYGVEEKHVILFFNCVHDLVGATFRGRYVAKDNFNSDEQDEVNCLKIQAYNELDNIVFDHEMKDNFPVPLSSTLNTSIGDDASIRFTDTTGLNPPDLHVTSQVQDAAAVQTAHHATFAHANQLPQTFLNNNNACGFIIGSEQISFDPSFFNGYQGTMRQISRGSSQFDMTAIGYCIAQPSEALPVRAETTGGNNLSGLMNLDENVSDDSLDALALFGGWVPMASVGTSTGEQKVFVEMSSRNEHGKGFRSQEPGCLVSHVMCEPPAPHCSLANSLQDGTSLAATI >ONIVA11G22430.3 pep chromosome:AWHD00000000:11:23604085:23617349:1 gene:ONIVA11G22430 transcript:ONIVA11G22430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCDSIGELCGVGDNPSISVSGGRPASDDNMNSKRAPPAPAHGAPPPKRLQIDAAGRGVEAFAQRAPTSSPVKRQLRRSMLVLFFVSVAQVKEERRNKNNARPGRVIHEESSTRKAPEDDAFQRGIMKAFDNVLQNHLNPIYRSLQHLTKQTGTLSERIEAKQENAAVTEEVNQEQTALRFAANEVHEGQGVELRFLNKLKDHLVYTNDKITSDDGTAIKIAIFRDNQIVTAGQLSSARIEILVLHDKFYDAAPDKWTECDFDAHIVSSSKGAVLGGVLRVKLTNGKASLSDVFFNMPSSKTGSKKLILAARVLSSDKAGLQIKEAVMNQPVEVQVNQNKSNKKSDHPKLKDEVHRLKGISGKGNRAKWLKDNGIHTVADFKKALNKDEEKIYTECFNMKKDNMLWKATVQHAEQCDLEGNCKLKSYGVEEKHVILFFNCVHDLVGATFRGRYVAKDNFNSDEQDEVNCLKIQAYNELDNIVFDHEMKDNFPVPLSSTLNTSIGDDASIRFTDTTGLNPPDLHVTSQVQDAAAVQTAHHATFAHANQLPQTFLNNNNACGFIIGSEQISFDPSFFNGYQGTMRQISRGSSQFDMTAIGYCIAQPSEALPVRAETTGGNNLSGLMNLDENVSDDSLDALALFGGWVPMASVGTSTGEQKVFVEMSSRNEHGKGFRSQEPGCLVSHVMCEPPAPHVYKQRLREMIHRPIIEGKDREKGEKLI >ONIVA11G22430.4 pep chromosome:AWHD00000000:11:23612881:23618112:1 gene:ONIVA11G22430 transcript:ONIVA11G22430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAHLAGLDAVKLVRMIMQAAQKVRHNKKICQQLVHHVQIVGDLLKKLQTSEMMQQPEIRNGLNELEEILREGYMLVTSCGSSSYIYHFFMGGQLADQFRVVQSRIDSSLQVFPLISHIDTSDRLDQILEIIQPRRTQAVKGGPRLFNVCATSSSTGCFPPDARIEAHREVERCSLQSYCTGSVKFYLSQLVDATENFSDGNKIGQGSFGCVYKDQLHDGLEVAVKRCFELPSSRNQMDFQDLEFQNEICFLGKLQHTNVVKLLGYCIQGTERILVYEYMLNGSVDTFIFGMCSVLLHLNFGSKVLCRLFHLDWSTRSRIINGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSTKSDVYGFGALVLEIISGKRCFSLASGDSGDDYGFLNKRAWHLWRTGRLIKLADSPSGDEFETREILRCIHIALLCVEENPANRPTIQEVVLMLSCQTALLPKPRCPAYLRAEIVLVADKMSRRCLSKCHKEEVVLVFGSLELRG >ONIVA11G22420.1 pep chromosome:AWHD00000000:11:23590995:23594392:-1 gene:ONIVA11G22420 transcript:ONIVA11G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQNLMLLSAGFFSTLLLAQVTSAAAGMTVKVSTTPIFSKIPRAQTNKDFQVLLRIEAPPPVDLKGRVPIDLVAVLDVGGGGMSLEPVKKAMKFAIRQLSDEDSIAIFGPPMSREVIPKFMNIHGSRRIAEKKVDELEGRRFAHPARSSLDEALKMLEEQPASSSVGRAKFIVLVTDITRFSSDMPELSKYPVHAFGLGASHDAAALRLVAQRSQGTYSFLDDANADKVAAALALCLGGLKSVAAVGARVVLKAASGSGVRIDRISSGGYASSVSQVDGASGEIAIGALYAGEVKTFVVHLDVPAAPEISPGEGVCCDQQQLLVASLDGQLYTSGGGVDVIDDDAAAGGGGPIQDVLVVERPPAAVLPKVPSAIVVNHIFQFRVLEMVDAFINDEILLRRTPATTGRTKDVDVDDDRGMKLLARWERFVLEHQFWVGLDLGSLDGEITAVANSLRKQQHVVGGVLPSSSMAAYIFSWMSSYKMQRPTAMGSPAKVVGLFVTLEVHLTLQVAITAENGGGGGDGECEYSCVEQLPPAPPLLVASGRDDDSYRFNAAYEGR >ONIVA11G22410.1 pep chromosome:AWHD00000000:11:23585724:23587294:-1 gene:ONIVA11G22410 transcript:ONIVA11G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTIPRDHTNKDFRVLLRVEAPPMADLKGHVPIDVVAVLDVSGSMGDPAMASSDFEKNKPPSRLDVLKDAMKFIIRKLEDGDRLSIVAFNDRPVKEYSTGLLDISGNGRRIAEKKVLDCRPGDSRNRVGFILLLTDGDDTSGFRWSRDVINGAVGKYPVHTFGLGAAHSSEALLYIAQESRGTYSFVDDGNLDKIAGALAVCLGGLKTVAAVDTRVSVRVAELSGARIERIDSGGYESRVACGGASGEVVVGVLYAGEVKSFIIHLHLPAASVSSLECGYCDAATVCDHHGHHCHRRHEQRLLDVGYSYRRAPDAAAISIVGRGVFVQRPEEV >ONIVA11G22400.1 pep chromosome:AWHD00000000:11:23566863:23567824:-1 gene:ONIVA11G22400 transcript:ONIVA11G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQHIVRFELLEIVAGFVESEIIILEKKTTTTTTEQLQRKWEEVRRAWQVLGVVELDGMEKEVDAMVASLRSGLAYVSSWVSSHQMQRATAMGSPEKVAAEFMTPAMVSMVEEARRLLPPTAAKKNPGFGCDDDVQDMIGQRLELWSKVRREVPLMYEASASAEEEEQQQAVVHASNRKRCDGHRDPATGK >ONIVA11G22390.1 pep chromosome:AWHD00000000:11:23547501:23551359:1 gene:ONIVA11G22390 transcript:ONIVA11G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLYYAHQLQEEGSQKHPVKRSSYVDVFKKVGQLMDKINNRIGHLLSIREQSKLAPQLVPHSPYPDSEGQPELIGTNGPINVLLKFLKHGEDGAVLQLKVLSMLGIGGIGKTALASKVYRMLREEFQSHAFVTVSKMPDMTRVLFDILDQIGLRKYPASLNENQVITQIGQDLKDKSYLIVIDDLWDMQHWKTIKDALPDVNCGSRLIVITRLNNIAKTCSSGQNNLTYKVMPLAHHDSRTLFLKEILGHEGSCLDAPVFDEILKMFGGMPSALKCIGSFLRNKSVTTESQKINMSSLYSELENFPSWKKLKIALFLSCCGPSQTLEACSLYLSTLPDNHKIERDILTRKWISEGIILKDDELSINGVVNNCFEELINRNVIQQVDNSFGEETYEIQFLMHHILRQIARERNFATFLSENILISCKEPIHRLSFHCSKLRISIDKGDIQIISDSGDSNQKPKSLSLARSITLCGYAKPVSFKLLEHLHVLDLEGCWNVDNSTLDDICRMILLQYLSLKKTRI >ONIVA11G22380.1 pep chromosome:AWHD00000000:11:23537067:23538448:-1 gene:ONIVA11G22380 transcript:ONIVA11G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVVGASEATMRSLLTKLGGLLSQEYALIRGVRGDIQYIRDELTSMQAFIRDLSGTPEGKGDEHDHRMKDWMKQIRDVTYDIEDCIDDFAHRLSHDPGGDYLCGFVVSRVYEILTWWPRRDIASNIAELKMRAQQIGERRTRYGVENPQKRSGDENKSELVGVEAYMKELTKWVTNETYQDGVLSVLGFGGVGKTTIATALYRQLGYQFDRRAMVTVSQSSDVEAILRSILEQVMPQSKDGQELQGGHASQKKRLHQAVISYLHPLMPKALRRRSSSNSNSDNSKIREGKLTRLPRALTLDRQYDHDPQDGNDAAAGSSETVVTTPATKGTATSDEKPTSVIATIRGAFTPWDRRRHPPQDDKGGSTSGSGKEAINIQTMNKPGEKNIQTMKRDGLSKLLQEHLNQKRYFASTPCFVMIDRYHIHLHFMAKYRIGFTVLFR >ONIVA11G22360.1 pep chromosome:AWHD00000000:11:23505822:23512790:1 gene:ONIVA11G22360 transcript:ONIVA11G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKRAPPPAPAHGAPPPKRLQIDAARSGAEALAQLAPTSSSPVKRQLRRGMLVLFFVSAAQVKEERRNKNKNNARPARVAIHEESSTSQRKAPEDDAFQRGIMKAFDNALQKHLNPIYCSLQLLTKQTGTLSERIGTLSHEVGQIKRLISDRDTNERYRSEANQENAAVTEEVNQEQTALRFAANEVHEGQGVELRFLNKLKDHLVYTNDKITAEDGTAIKIAIFRDNKIVTAGQLSSARIEILVLHDKFYDAAPDNWTACEFHAHIVSSSKGAVLGGVLRVKLKNGEASLSDVSFNMPSSKTGSKKLILAARVLSSDKAGLQIKEAVMNQPVEVQVNRNKSNKKSNCPKLKDEVHRLKGISGKGERAKWLKNNGIHTVADFKKALNKDEEKICTDPQNIRCLFGVFQHEEGQHALESYCEHAEQCDLEGNYKLKSYRVEEKHVTLFFNCVHDLVGAKFRGRYVAKDNFSSDEKDEVNCLKIQAYDELDNIVFDHEMKDNYPVPLSSTLNTGLNPPDLHVTCQVQDTAVVETAHYATFADANQLPQTFLNNNSACGFIIGSEQIPVDPSFFNGYRGNQAGTMRQIDMTAIGNCIAQSSQALPVIAETTSGGNNLTGLMNMDENVSDDSVDAFALVNSWMNSSPPSFK >ONIVA11G22350.1 pep chromosome:AWHD00000000:11:23490608:23504105:1 gene:ONIVA11G22350 transcript:ONIVA11G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKRPLPAQAPQAGLVLVPAPKRPHVDAAAGGGVASPRGKRQLRSGMLVLFFVAQVKEEMRYNQRLRRVIRGENAISQQRAIQAFDCVFQKAFDNAFQKHLDPIYRSLQSLNKRTDILSHEVEQIKHSNSNHHANQQYRSKANQESAAITEEVNQEQTAARFVTREAQEGQRVELRFLNKLNPLVFTKEKITAEDGTAIKIAIVRDNQIITSGPLSSARIEILALHGNFYDVVPDNWTESEFDHRIVSSSQGPALGGVCQVKLKNGEASPSDVFFNIPSSKTESGRLIVAAKVHTSDNGGLRIKEAVMMNPVVVQVYRNKLNRSSDRPKLKDEVHRLKGISGKGCRTKWLKDNQINTVEEFVKALNKDEEKIRNECFKLKKDNKLWKDTIKHAKECDLEGNCKLKLYRAEEQHVVLFFNCVHDLVGAKFRDHYVAKDNFSSDQQDAVNRLKKQAYDELDSIGFDHEMKNNYPVMTLSDDGYIPFTDTAQNPPDLHVTFQVQGIAAAEIYHEHELPQAFPNNNNDFGQDFLHGFQGALTQMDHDYAQFGIADMQCYTTRAPEGTSYGGNNMIGPANVPQNVIGDGSMDMFDCYAYIVPDNENQNERPHSSAYPGPAGVRCIIRYPLILAEFLTEQADLKVCQRNQSDMIIEVCCCLGFAANVAQLAGLDVASLVKEIKERVQTVSQNKEDCELLAERAELILDLLGRLQKSKVIEDPDMWKPTERLRSTLRRACEVIEFCRERSCTYRFCKSDHTAKELRKVLKALKFCVTHLTALATIINGDQTTRYFLVQQTPDVVQLQDGVQVPALGLPAQHFKYNDRIVYQGRLNEGLEVAVKRASYVDKIPFHQLENELDLIPKLQHTNIKEGEDSFITGERATKEPLDWPKRSQIVRGIAQGAVYLHKLCEPRIIHGDLKPGNILLDASLKPKICDFGISKALKADADKDCTGVVVGSRGFMAPEYKQGGCLSLQTDVYSFGATLLQIIRGKHISPSSLALSDESRNYGPLNKWAWNLWKDGNLMELIDPSLHDETHAAEIKRWVQIALLCVQQSPEERPSMWDVLLMLSCDSVILPEPKLPAYH >ONIVA11G22350.2 pep chromosome:AWHD00000000:11:23490608:23504105:1 gene:ONIVA11G22350 transcript:ONIVA11G22350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKRPLPAQAPQAGLVLVPAPKRPHVDAAAGGGVASPRGKRQLRSGMLVLFFVAQVKEEMRYNQRLRRVIRGENAISQQRAIQAFDCVFQKAFDNAFQKHLDPIYRSLQSLNKRTDILSHEVEQIKHSNSNHHANQQYRSKANQESAAITEEVNQEQTAARFVTREAQEGQRVELRFLNKLNPLVFTKEKITAEDGTAIKIAIVRDNQIITSGPLSSARIEILALHGNFYDVVPDNWTESEFDHRIVSSSQGPALGGVCQVKLKNGEASPSDVFFNIPSSKTESGRLIVAAKVHTSDNGGLRIKEAVMMNPVVVQVYRNKLNRSSDRPKLKDEVHRLKGISGKGCRTKWLKDNQINTVEEFVKALNKDEEKIRNECFKLKKDNKLWKDTIKHAKECDLEGNCKLKLYRAEEQHVVLFFNCVHDLVGAKFRDHYVAKDNFSSDQQDAVNRLKKQAYDELDSIGFDHEMKNNYPVMTLSDDGYIPFTDTAQNPPDLHVTFQVQGIAAAEIYHEHELPQAFPNNNNDFGQDFLHGFQGALTQMDHDYAQFGIADMQCYTTRAPEGTSYGGNNMIGPANVPQNVIGDGSMDMFDCYAYIVPDNENQNERPHSSAYPGPAGVRCIIRYPLILAEFLTEQADLKVCQRNQSDMIIEVCCCLGFAANVAQLAGLDVASLVKEIKERVQTVSQNKEDCELLAERAELILDLLGRLQKSKVIEDPDMWKPTERLRSTLRRACEVIEFCRERSCTYRFCKSDHTAKELRKVLKALKFCVTHLTALATIINGDQTTRYFLVQQTPDVVQLQDGVQVPALGLPAQHFKYNDRNDRGETLGISGKAQLVTEPSSVNEPGERATKEPLDWPKRSQIVRGIAQGAVYLHKLCEPRIIHGDLKPGNILLDASLKPKICDFGISKALKADADKDCTGVVVGSRGFMAPEYKQGGCLSLQTDVYSFGATLLQIIRGKHISPSSLALSDESRNYGPLNKWAWNLWKDGNLMELIDPSLHDETHAAEIKRWVQIALLCVQQSPEERPSMWDVLLMLSCDSVILPEPKLPAYH >ONIVA11G22330.1 pep chromosome:AWHD00000000:11:23478194:23478530:-1 gene:ONIVA11G22330 transcript:ONIVA11G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLPTSVADNASLCSFLASCSKPLTPALLPPRPPSAPLATPVGVISKRSNGIVAKLTLARPSHTVSHAQRNLMRKLGLVLQEAYNAFFAQPLSLDHVITLS >ONIVA11G22320.1 pep chromosome:AWHD00000000:11:23459051:23462064:-1 gene:ONIVA11G22320 transcript:ONIVA11G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDYRLQFTSNQESPLFTGCQIKLEVRMINSDGNVIKSGPLSSAKIELLVLRDDFACDVVGNCTAEQLEEKEVKTRDGHISVLKGVVARRLVEGTCSFPGIQFREGSLRRTFTIAARVNRNEATGGHRVQEAFMGPVVVQTNRNKRIKEDHKDWKTIIEHARECDLKENHYLKAYKIVEFNGKYTAKENFNRYQKAIVDSQRKEAYNALESIPFDYIMNENGAPTKISPNRNVSEDLSLSMQDAAPPPNPSNHNAAYQVNNFIPGLTHVSQTCPSLENCNTAARIPVPGGGGSNPLLADSENDLSVFMGNHMYQCKKQNNHQILLITMQRTFKVTECFIVSFLFIIYVLQ >ONIVA11G22310.1 pep chromosome:AWHD00000000:11:23448696:23455100:1 gene:ONIVA11G22310 transcript:ONIVA11G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSPPPPPPPHGPVVVVPPPPYRRRLEIIDVPQGADAPTTPGKWQLPRVPIAFIALYFIVLVRKENIISQEKVLQAFDNALQKHLNPIHRSLESLTERIDTLTHEVGQIKQSNPNHHANEHYRSEANQDAAGFAHYGEAVELRFLNKLKPRLYYTQEKITAEDGTVIQIALFKDNQIVKSGPLSSARIEFVALEGDFNDVAPENWTEYMFNQNIARSPKGPVLGGVCQIKLKNGEASPSGISFVVPSSKSRSGMFILAARVHSSDKAGFRIMEALMNPVEVQVYRNKANKNSDTPKLKDDVYRLKGISKKGTRFDWLKHNGINTVEDMLKALNKNEKKIRTECFKLEKNSKDWKETVKHARKCDLEGNCNLKSYRVEEKHVVLFFNCVHDLVGAQFHDGYVTKDNFNSDQQDAVNCLKKQAYDALDDIAFDDKMKDNYPVSLSSAMNTSITDGDASIPLTDRAGTNYPDLHVTSQDEGYRAGAVAQIYGGFSEVDIPIGCYIGQTSEGTSSGGNALIGLMNMSQNGSDGSNIAELIDNDIDPYQYII >ONIVA11G22300.1 pep chromosome:AWHD00000000:11:23441924:23443333:1 gene:ONIVA11G22300 transcript:ONIVA11G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSVSTIVRIAQEIAGAVSTVSRNRSRCRKLANRVQCIGDLLRELESSAAAGDDDDDDEATRRLLDGLEDALHRALELVTSCQDSGCPRSLIAGGRMAGQFDEMDREIDRCLLDLGVANRIQIARLERLLHQNAAFRYGDGDPPAALTLRIGMPCDDDGVEHIKRRIHTVQAAAACSKDQRLALKDNKLNRNVELVNVKRPVPVSNGGGARKYDGEEKYSKGAGEVRKEKAAARANMVVTNANLPFAVALVPIGDVAAGGMYHPASPYSFSPYPQGPPGNAARHRVPTPANKYGGRGDTMVDADSDMGAGKSVKSFTADSGGGDMKAAPPIDGGEWKARHKKAAAGNVTAIGVPAHEVVPPPSHGYGYWPYAGHGPPDAAAAVAVAAYYRTFEHMFSDENPNACTIM >ONIVA11G22290.1 pep chromosome:AWHD00000000:11:23433981:23436165:1 gene:ONIVA11G22290 transcript:ONIVA11G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGDYSNPFDLFESLFEGFGGMGGMGGRAARNRPMQGDDEAYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGTGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCNTCGGDGRVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELANLNKAQTANSRR >ONIVA11G22280.1 pep chromosome:AWHD00000000:11:23431642:23433488:-1 gene:ONIVA11G22280 transcript:ONIVA11G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYREIENGILWEVDGKWVIQGAIDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVTFMKRYIKNLSAKLDAEKQEEFKKNIEGATKYLLGKLKDLQFFVGESMHDDGGLVFAYYKDGATDPTFLYFSHGLKEVKC >ONIVA11G22270.1 pep chromosome:AWHD00000000:11:23404511:23414385:-1 gene:ONIVA11G22270 transcript:ONIVA11G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAASKRSSPSPSSSSAPPPKRPKAEAAPASPTASVPGRIEEDSAATKSAGSGEDAAAKRDQGGDKAAVAVVESSRKKKEQQQQQQQQQQQQATPWAKLLSQSSQSPHLPISVPQFSVGQNKSCNLWLKDQPQGTCELEVLGKKGTVQLNGRSITAGTKVPLKGGDEVVFSPCGKHAYIFQHPLNDKIPKMVPPSPVTLLEPPVAGVKRLRMENRTGDTSAVAGTELLASVSDQLKDLSAAPPAPAGENNQRLVRPMASSASDKSKGNGIIPDKECENGENANEVNSNVEDSPLDVAAAPVVSPDAVPNDISQHNGFGSDAHLGAEIALEDQRDLIRHLNSSASLPPSRCQAFKDGMKQGIISPNDIDVTFENFPYYLSDNTKNVLLSCAFIHLEKKEFIKQFSEISSINQRILLSGPAGSEIYQETLIKALAKHFGARLLVVDSLLLPGAPSKDPESQKDAAKSDKSGDKAGSEKLAILHKNRSSLADAMHFRRPAVQPSSVHADIVGTSTLHSASLPKQESSTATSKSYTFREGDRVRYVGPAQQSSLSQRVMLAFEENGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSADLLRPDFSGGEEVERLAMAELIEVISEEHKAGPMIVLLKDVEKSFTGITESLSSLRNKLEALPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQDETLLTDWKQQLDRDVETLKAKSNVGSIRTFLSRNGIECSDLEELFIKDQSLTNENVDKIVGYAVSYHLKHNKVEISKDGKLVLASESLKHGLNMLQNMQSDNKSSKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELAPGIDMDSLATMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNVAKAEGRPEPALYGSEDIRPLTLDDFKSAHEQVCASVSSDSANMNELLQWNDLYGEGGSRKKKALSYFM >ONIVA11G22270.2 pep chromosome:AWHD00000000:11:23404511:23414385:-1 gene:ONIVA11G22270 transcript:ONIVA11G22270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAASKRSSPSPSSSSAPPPKRPKAEAAPASPTASVPGRIEEDSAATKSAGSGEDAAAKRDQGGDKAAVAVVESSRKKKEQQQQQQQQQQQQATPWAKLLSQSSQSPHLPISVPQFSVGQNKSCNLWLKDQPQGTCELEVLGKKGTVQLNGRSITAGTKVPLKGGDEVVFSPCGKHAYIFQHPLNDKIPKMVPPSPVTLLEPPVAGVKRLRMENRTGDTSAVAGTELLASVSDQLKDLSAAPPAPAGENNQRLVRPMASSASDKSKGNGIIPDKECENGENANEVNSNVEDSPLDVAAAPVVSPDAVPNDISQHNGFGSDAHLGAEIGKIATYKIRPVLRMIAGTTISEFDLTGDLFKALEDQRDLIRHLNSSASLPPSRCQAFKDGMKQGIISPNDIDVTFENFPYYLSDNTKNVLLSCAFIHLEKKEFIKQFSEISSINQRILLSGPAGSEIYQETLIKALAKHFGARLLVVDSLLLPGAPSKDPESQKDAAKSDKSGDKAGSEKLAILHKNRSSLADAMHFRRPAVQPSSVHADIVGTSTLHSASLPKQESSTATSKSYTFREGDRVRYVGPAQQSSLSQRVMLAFEENGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSADLLRPDFSGGEEVERLAMAELIEVISEEHKAGPMIVLLKDVEKSFTGITESLSSLRNKLEALPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQDETLLTDWKQQLDRDVETLKAKSNVGSIRTFLSRNGIECSDLEELFIKDQSLTNENVDKIVGYAVSYHLKHNKVEISKDGKLVLASESLKHGLNMLQNMQSDNKSSKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELAPGIDMDSLATMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNVAKAEGRPEPALYGSEDIRPLTLDDFKSAHEQVCASVSSDSANMNELLQWNDLYGEGGSRKKKALSYFM >ONIVA11G22260.1 pep chromosome:AWHD00000000:11:23400967:23403822:1 gene:ONIVA11G22260 transcript:ONIVA11G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoplasmic reticulum-adenine nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G17400) TAIR;Acc:AT5G17400] MPSAAAGDGKGKRRLVGMPPARAAAADFAMGGAAAVVAKTGAAPVERVKLLLQNQAEMLRRGSLTRPYRGIADAFGRVLREEGVAALWRGNQANVIRYFPTQAFNFAFKGYFKSIFGYDKEKDGKWKWLAGNVASGSAAGATTSSLLYHLDYARTRLATDAIESQGSKRQFSGLLDVYKKTLKTDGIRGLYRGFSVSIVGITLYRGLYFGIYDTMKPLILVGPLQENFFASFALGWAITTFSGACAYPFDTLRRRMMLTSGQPLKYKNAFHAAKQIVSTEGFFTLFRGVGANILSGMAGAGVLAGYDQLHRFAGQHGYNFESKMKGALK >ONIVA11G22250.1 pep chromosome:AWHD00000000:11:23393923:23400415:1 gene:ONIVA11G22250 transcript:ONIVA11G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEGLLADFGVRPQGKAAPMAASRSRSTTTTGSAWPNPRSTPAPAPSYDGLFGAPPSAAATTTTSSPFDSLFNGPPTSSSSSSSAAARATVYDDDIFGAVPGLRPSSNSASSSSAARYDGDDVFGGGRRAAASPAFDDVFSSNRSPAAPSYDDILGGFGVKPQAGEGKRSVVVEDDDLLGGFGRKPHSEAEKKPVVVEKANGGNGFDDLIPGFSGSGPQRSRKIIDDNKDEPAVRTSRSTASVLDDPFVVLETNSASGSTYPSPSSFMDPLEHLNNSASSKGKNVDNTTDNDSLPDDSSAFNQVPKSDPLFTSEFNGDTKYMNPPSKARDSNPLHGSMNGNSARGSSTEDLGDAKTKSQSARYSDIYVDGSSSDRYATDGVGDQSPRSTESEDDIWLTVSEIPLFTQPTNAPPPSRSPPLLKQRPLQAKANGNYDGHVRQSNQNHNQYRDMPDQAEVSSLDEMEGFAKDKSQMPSYDDNFFGEAEQSERTSSDREEKERQARLEQEQEMKLMEEKKREQRRLEKERELEQQKERERQAMERATKEARERASAEARAKAEREATQRAQRAAVQRAQQEARERAAAEAKEKAARIAAEARERAASEAKERERAAAERAAAERVQQEARKRAERAAVERAAAEARERQAAAAAAAAREKQSSADDLESFFGAGARANSAPKQRTPTVDSMFDSQPQSRATTNGSQRSASTSASMRKAPSATNIGDDLSDLFGAPASSDVFQEVEGESEERRRARLERHQRTRERAAKALAEKNERDMQVQREQAERDRIGDTLDFEIRRWAAGKEGNLRALLSTLQYILWPECGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGANLQQKYTAEKVFDILKEAWNKFNSEELF >ONIVA11G22240.1 pep chromosome:AWHD00000000:11:23376829:23382750:-1 gene:ONIVA11G22240 transcript:ONIVA11G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGERRRIIDQSIQNERLRYWGGRHEADLCMLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSRLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRCMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGRSEEALQLFRSMPGRNLFAWTALISGLVNTGESVGAVELFVEMRRDGVRIDDAFVLSIEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVCVDCHTVMKLISEITHRKIVVRDSSRFHHFEGGKCSCSEFWEDLSSGREDILCFNLGEGQTTAAHMTGKGRTQLREASTDWAACGGWAVVSGRADEICSLTKGKR >ONIVA11G22240.2 pep chromosome:AWHD00000000:11:23376829:23382750:-1 gene:ONIVA11G22240 transcript:ONIVA11G22240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGERRRIIDQSIQNERLRYWGGRHEADLCMLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSRLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRCMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGRSEEALQLFRSMPGRNLFAWTALISGLVNTGESVGAVELFVEMRRDGVRIDDAFVLSIEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVCVDCHTVMKLISEITHRKIVVRDSSRFHHFEGGKCSCSEFWEDLSSGREDILCFNLGEGQTTAAHMTGKGRTQLREASTDWAACGGWAVVSGRADEICSLTKGKR >ONIVA11G22240.3 pep chromosome:AWHD00000000:11:23376829:23382750:-1 gene:ONIVA11G22240 transcript:ONIVA11G22240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGERRRIIDQSIQNERLRYWGGRHEADLCMLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSRLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRCMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGRSEEALQLFRSMPGRNLFAWTALISGLVNTGESVGAVELFVEMRRDGVRIDDAFVLSIEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVCVDCHTVMKLISEITHRKIVVRDSSRFHHFEGGKCSCSEFWEDLSSGREDILLVYVVLALWTYGKGRTQLREASTDWAACGGWAVVSGRADEICSLTKGKR >ONIVA11G22240.4 pep chromosome:AWHD00000000:11:23377319:23382750:-1 gene:ONIVA11G22240 transcript:ONIVA11G22240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGERRRIIDQSIQNERLRYWGGRHEADLCMLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSRLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRCMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGRSEEALQLFRSMPGRNLFAWTALISGLVNTGESVGAVELFVEMRRDGVRIDDAFVLSIEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVCVDCHTVMKLISEITHRKIVVRDSSRFHHFEGGKCSCSEFWEDLSSGREDILLVYVVLALWTYVNEEKPIFEI >ONIVA11G22240.5 pep chromosome:AWHD00000000:11:23376829:23382750:-1 gene:ONIVA11G22240 transcript:ONIVA11G22240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSRLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRCMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGRSEEALQLFRSMPGRNLFAWTALISGLVNTGESVGAVELFVEMRRDGVRIDDAFVLSIEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRGRSFIREGGHTGQTTAAHMTGKGRTQLREASTDWAACGGWAVVSGRADEICSLTKGKR >ONIVA11G22240.6 pep chromosome:AWHD00000000:11:23376829:23382750:-1 gene:ONIVA11G22240 transcript:ONIVA11G22240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSRLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRCMLSADALHPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGRSEEALQLFRSMPGRNLFAWTALISGLVNTGESVGAVELFVEMRRDGVRIDDAFVLSIEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRGRSFIREGGHTGQTTAAHMTGKGRTQLREASTDWAACGGWAVVSGRADEICSLTKGKR >ONIVA11G22230.1 pep chromosome:AWHD00000000:11:23369729:23370205:1 gene:ONIVA11G22230 transcript:ONIVA11G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHNYPTRILIDSSGRQSGVSACGSFRRGAIIPLGRGETTLQRGATAQPLANWHGIVKKLSEDTYQNLTAKAILEELHEQNITIYAEIIYRVSY >ONIVA11G22220.1 pep chromosome:AWHD00000000:11:23369173:23369580:-1 gene:ONIVA11G22220 transcript:ONIVA11G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPLSRSANPPLPPPPRSATAASILHPRRLDLHPLHPDPRAAEETGVWRRGVPPHRSTPPLPRSVAAASIPTSCASIHRHQGGERRRCLQGRELELERGKRADELGGARLVKWDGVGRGLGCRWGNEGEEILY >ONIVA11G22210.1 pep chromosome:AWHD00000000:11:23365000:23369169:1 gene:ONIVA11G22210 transcript:ONIVA11G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALSAWRSMDIYQTVPCDRELTKGMANARGTLKCHLGWGSNTPRKLISHHKFKFYTKHPKI >ONIVA11G22200.1 pep chromosome:AWHD00000000:11:23360320:23363332:-1 gene:ONIVA11G22200 transcript:ONIVA11G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATSRLPMAAAAAAPFLHQPSQPAAEGIAESIRELEEIIRRQQQQHCGLVFVLAGGDLAPSSTPTTSGFDVVAAPPPPPPSPPALSGSGPLPQLPLNIEHLLPPQRATRNIPLYADKMKGCRTVAQQAKSFAEIALEHYNKTKKIKYELVEATRGNFIQGLDRRGYGHVNFTARRNREGSVEQIFFAELYYCGRKRTKTGFTVICCVPLGLDFTVGQRGVQRSYVKPLRKDRDFSYCYACGETMKHPRGDLFVAGHSAIRCAYALT >ONIVA11G22190.1 pep chromosome:AWHD00000000:11:23353141:23359320:1 gene:ONIVA11G22190 transcript:ONIVA11G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDFKRGIVNARRYKIHQFYQMGNPENVAITPQITLDKHIIKAALDNFLKKSVLIGRIPISLNYYDLEDGEHIYYFDGRCAALVEGLVETHSIAVIGFGFDEDVPFFEFIDCNGNGFSKEGFGRVSPTSVIELFGFDICLD >ONIVA11G22190.2 pep chromosome:AWHD00000000:11:23353141:23359320:1 gene:ONIVA11G22190 transcript:ONIVA11G22190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPENVAITPQITLDKHIIKAALDNFLKKSVLIGRIPISLNYYDLEDGEHIYYFDGRCAALVEGLVETHSIAVIGFGFDEDVPFFEFIDCNGNGFSKEGFGRVSPTSVIELFGFDICLD >ONIVA11G22180.1 pep chromosome:AWHD00000000:11:23349629:23352350:1 gene:ONIVA11G22180 transcript:ONIVA11G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29830) TAIR;Acc:AT4G29830] MKLAGLKSVDGAHEESIWAAAWVPAADHRPAALLLTGALDETVRLWAPDDLASAAASPSRGHALGVVSLAAHPAGAVAAAVSLDSYVRVFDVDSGSSVATLEAPPSEVWGIQFHPKGSALAAAGGGSGSVKLWDTEKWKPITSLAVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTASDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGMAVATGSSDRTVRLWDINTRASVQTMSNHNDQVWAVAFRPPGGTGVRAGRLASVSDDKSITLYDYS >ONIVA11G22170.1 pep chromosome:AWHD00000000:11:23340264:23343057:-1 gene:ONIVA11G22170 transcript:ONIVA11G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANINMADTAPSCDTYLLFNGETLLPIGVRAFIYTAVLAYCFIGLSAITGRFFKSMESIMRHSREVVTVDPHTNATIVKHEKVWNYTIADVALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVVMPRAGSKKKISDLGVWLVELFWSFWAYIWLYIILESDHPLGGLADSTAIDTASATHIRTRKARHICQNPWYHHVSEEDVEESSTGLTLKNKWEDTHWFSIWWQQFVDAATLESSVSRKMDSTCLRVIGISWNLIIAPWKMLFAFIPPYEIAHGWIAFICSLIFISGIAYGVTKITDQISCVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQITADSAITNITCSNSVNIYVGIGVPWLVDTMYNYFVYKKPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRVILGAELGGPRMWAWATSVYFMILWVVFVVLSSLKISGVI >ONIVA11G22160.1 pep chromosome:AWHD00000000:11:23329684:23334566:-1 gene:ONIVA11G22160 transcript:ONIVA11G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J563] MTRKSLLFLQLGLLVAASLPPASKQMEVSLKKWLADNQLLYTTPDAEDTAMDAGVVAASKAQVHVGVDPAGSGSGGGKRRTIAAALAGVPDSGGGEPKSYELSLKPGQVFREKVVVGKGKAYVTLKSDPANPAVIVWNDTAATLGKDGEPLGHVRSATLTVEADNFVASGVVIKNDAPSGLEGGKTVALREQKHPSSNARSRPAGKARYTYDDDGQHYFKECTINGGADVIFGFGRSFYEDCRIISKKDPATVVYSRTQAQAQRAPKYDGLDGTTNPAFLGFSFHNCTIEAGAGDSGGADDKVYLGRAWDDSSFVVFSNTMMARLCLLASKAKKWKSQQKGAGTIMACTSALGLVWKQARRWAGPKSSLMASPMPTKNLSRKKLTRSMRSSYIIG >ONIVA11G22150.1 pep chromosome:AWHD00000000:11:23327310:23329655:-1 gene:ONIVA11G22150 transcript:ONIVA11G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J562] MATTKTQLLMKLGLLLLALASQPSPSQQSQAFFEIWLGQNSMQYGTPTMDTPSIAGDIVAANATAVHAAVDPAGGGKQYRTIADALAAVPDANNTRRYVFRLKPGQVFREKVAVGEGKRYVTFESDPANPAVVVWNNTAATPGKDGKPLGAAGSATVAIEASNFIANGVVFKNDGPTGGKQGQTVVLRVAEKRASFFNCTIEGGQGVLYDEMGTHYFRNCTINGGVDAIFGFGRSFYDDCRIDLQARPRRAHGASDKRPPSHTKQINSTWNGFAFHNCVIETGGADDKVYLGRAWEDSSFVAYTYSKIANEIVPIGYDDHGNIQKPPQGSGFYYGVYNCSGLGLDASKKMGWAEEIADSYYAFVDGESWVVPRPADHIEIHM >ONIVA11G22140.1 pep chromosome:AWHD00000000:11:23301288:23301635:-1 gene:ONIVA11G22140 transcript:ONIVA11G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPCSCIICGVGDKDCDLAPFTPVGSRGPPPGGLPPTPGGVHLRRKGPYRRPRKPTESKEGSRLREVRSQAKCPGDLRAQSRALKATELAQGPRRIRSWLKGLQSSYQESGAGD >ONIVA11G22130.1 pep chromosome:AWHD00000000:11:23287159:23291049:1 gene:ONIVA11G22130 transcript:ONIVA11G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLTHRPKTQKSKKGDHILLEEVEQGRLYSLGRPAAARLSHRPSPLGDTGGDAPAGARSLKPRGHKDGGDPCLPAGSSREVAGGGVERWGGGDWRCCRRRVEVRG >ONIVA11G22120.1 pep chromosome:AWHD00000000:11:23258236:23258785:-1 gene:ONIVA11G22120 transcript:ONIVA11G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIVAATAASELEEFRSCAHERWQQLHPKGKGEKNLSCSYDLAIGGWIRPKGGSGCSDPKAGPALAAEAENGSMMLWSCAPPKLVTIHARGEVNSCTTTDASITGPHGGWPNLATMNSGKSLIPQWSAREWRWRWQPALRGKKMQPLVRG >ONIVA11G22110.1 pep chromosome:AWHD00000000:11:23239344:23243375:-1 gene:ONIVA11G22110 transcript:ONIVA11G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTAAAVSSLLGLIRNAELMMSGRAKEDMQFIKDEMDSINGTLMYLTENKASHNDQVRVWMRQVRDIAYVADDCITLYRRDLTPPEPGFWPWLRHVPTWLSTVPTRHRLAEKIHELRDRVRDVSERRQRYGVVGEIPTKNAASSSGHGSQTAPSSDAAAATAAADARADEEDDDQNHAATATVVDGRPDRRRALEPRTLEDYCCENLHKWLKEFNTKRGSMVPFIAVEAPRPEDAAAVSSIVSYVAAEAAGFNPAVWVNLPLLHAEFDLPLEPWEILCYILGELKPRSQPVQAQGSRDQRKQKQEEGGKDDKAMGSDDDDDNLQSQASDEKWQVFDEMWEEIEKRNFDDRIEQLKTKIDSIKDKKTTNEIEKTVRSQEKVNLNALVYNKPLGILFLALLPPMPNDVKSVRRALRTLASGEANIIRKIAEALKIHMEVSEVNKIHLSKKQYVNILREVFPTVSSTPLQQDKSTTKSTALGPSAAATMLGKDQIKEIVHKAILDKQLEKHLEVADAIEETKNKIGDIKEKIKVQLVIQGIVDKIKDHLEKDKRTLIILQDDKGFLSSCEETTMNALSLLGYTCADAMVVVITKESQVANKFCYPPREPITYSIAGLYHDTVLQLTSQQAKQNNNYNSQLFRDILDKCDPDEFCMKMFAHALYAEPNRSNNDLRELYKTLVPQKSLASNATKASPGPDKSNDSTQLVSEESSLCNNAKKIFEFSYNDMPTEYLSCLLYLAIFPQGQSIRRSTLQARWVVEGLITGKDDWPSADCAVRNAERCFDVLIDRWLVYPGDVTAAGKVKSCMVDNLVHEFITKAAKEKQFVKESQLHHLAHHFSIFCNLRLRRSDNIEKFMQNLKYFSPYLQLLKVLDLEGCQSFDKNNHYLEDICNKVLLLKYLSLKDTNVAHLPNAINNLHELEVLDIRQAKVPEIDTNSIMLFNLKRLLAGQVYPSPRYNSTGMYLKRHEETISSSVRIPRKIEKMVKMEVMYSVNVSRDGNMLTEIGKLRQLRKLGVVIDDHDGHLRKLLQVISDLSGSLRSLSITLIGTGSEQAPSSEETSADCLKQHPKLLESLSISGVIDKVQLLPLLAIGQGNRNLAKVTISGTMLKQEDLKKDIAKLHNLCCFRLRHRSYDDEKITFEENEFLELKYLIIEGTNMMSIIFKQGAAPKIEKIILSFTSTTHIAGIVDLPNLKELELKGNNNDRKLVACIAGAPHLSRLTLDGTLLDLNELKILAELPSLRSLILLQQSCVRSSLNFNNGEFSKLNLLVVNCSNITSISFTDEGAAPKLEKITWSFTTKMLSLSGICYLPQLKELELNGKIIPDQVIQDIKEHKNKPIFNHQ >ONIVA11G22100.1 pep chromosome:AWHD00000000:11:23218976:23221522:-1 gene:ONIVA11G22100 transcript:ONIVA11G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTLGAVASLLGALAKAVKDEAKLLKGVEGDIQFIKDEMDSMNGFLVHVTKTTNHDDQLRAWMKQVRDITYVADDCIKLYMRDVVLEEKAGLRGCLLRRVPSDCKPYCSFLHRNLTTRDQLARRIHELKDRVREIGERRKRYDVKLPVGDVAVPPSVSQQDSKMKERRDEFICALEDGQPPFRDAVRRLSSDDALIRHRAAPALVHGIIKMLDDGAHAHIIKMLLRSLYAHRYGTKELESLSNNLKERAHVAKKVMLFCYSKLSVHYKSCLQYLTTFEDEESVSRTCLVRRWLAEGLVSKDQHHGLDEDDTSMEEAGERCFDELLFRGFLSPAPGHHFPRSGGLKLKCCVLDASVKKFINEMSRSENFVADMPTHLRHQLDIRRWARRRELPQEQHKPRWTQSTICCCYCPVPRIIKATTADHGRGSNINDPLLQLHHPMDEIVTLLKGLPTEYRLNVLDLGGCVGLKMSHLENICKMVPSLKYLSLRKTNVSQLPKKMNQLLHLETLDIRDTNIRGAAMRGIFLNELKHLLVGRNIIPDASHRADEAEALLSTVLMPPKIGNKMEILRHVQITDGQEAYHQLLRVAYLERLRKLGVVLDGREDNIKLLLQIIARRSDTLRSLSVWITAPPPEHIVIGEGGGVFVTLDSNEKPGDSVSLFSLPSKLESLNLKCYKAKINNTGYNIPPWIIRLQKLSKITLRHSLLNREGLRELGKMKSLRCLKLCHESYIEAEVTVKKGDFEGLRLLQVDKISNKMTKLVFEEDAAPKLEKIVWNFDTMTTLMGITVNNIKGIENLQNLKELWINGVNISIPSPSRSREWKGITTVTRDLWGNILGPVIEGLASEG >ONIVA11G22090.1 pep chromosome:AWHD00000000:11:23194007:23195576:-1 gene:ONIVA11G22090 transcript:ONIVA11G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSIPDRWTLAGATALVTGGSKGIGHAIVEELAGFGARVHTCARNAAELEASRRRWEERGLRVTATVCDVSARGDRERLVAAAAGEFGGRLDILVNNVGRTMFRAAAACSGEDFALLVATNLESCFHLSQLAHPLLLAAGGGGGCVVNISSVAGTVGIPALAVYSMTKGGMNQLTRSLAAEWAGDGIRVNCVAPGGVKTDICQDETIDPELIKSEMDRLPMRRLAEPEEVAATVAFLCMPAASYITGQVVGVDGGRTIT >ONIVA11G22080.1 pep chromosome:AWHD00000000:11:23186077:23190083:-1 gene:ONIVA11G22080 transcript:ONIVA11G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNQVCVVCVQQRCYPPAADHHSLVTHAQQLWNDWEIQCLVVVSFSLQVFLLFAAVFRKRCRSRVLSVLLWLAYLSADSVAVFVLGRLTLLGDNQQQHRLVLFWAPFMLLHLGGQETITAFSMEDCALWKRHLLTLTVQMLMAIYVVSKQWRGDKWLAVPTAIMFVAGTTRYAERIWALRRAQSTSLESSDMEFYAPSAEYDFNTHSTDYYSKLSSIISDEQERNFERIVEVATKGFRLGLDFLMDVIPPRPAYWYQGGTELWGGGEPLDSLVDMAYKLADIHLSMIYDYFYTKFGGGLVVGLLCRITTLALNCIALSLFLVSRLDHHLKAGSSYNIADVTICYILLVGAFTLEISSVLLWLMSSYSTWNLLRIEWSGELQQYNMIDECIHEKKAGRQLGRVMRLVGIGRACSTKPVKVSTDVKRLILDKMLKIWATSTSANKLDLTRFHGEWAQRWVKRYYHHEAPPPFEFTAGTSDEEQASASPSARAQRALWISRIQDLGFVASVFIWHLVTDICLEADSTRVAEKLTNSSWELSNYVMYLVVKCKAMVSKYERDSLSYSREQVMWPVILDRPVDRSEFVENLLSARHHDVLGDAIDVSSELLKMEEAAARWDLISTVWVEMICYMAHNCGVAFHAKQLCAGGELVTHVKMLLMILRFPV >ONIVA11G22070.1 pep chromosome:AWHD00000000:11:23175669:23178587:1 gene:ONIVA11G22070 transcript:ONIVA11G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGLSSTHSAVKSLVGRLTDVLSDQVQLLGGLRREVQFIRDEMESMNGFLLNHARKGRMDHQLQAWMNQVKDLANHSQYCVDQYLRCLGTTSHRSPGGLWGSVRRLPRFVSTLPARYRLAIQIQDIKIRVVQVSQRQQRYPLHGTATEQEPQSGMASDHSQQAFLTGDSEADQQEHLRRRILAEDDSDLFKEAADELTSWLTVEGDGRSDLRIIPIVGSRGMGKTTLAEQVYKGYSSRLADHKAWISVGSNQSPQQVLRDILAQIVGLHANNLQDMGTWGNSQIALMIQEQLEGKRFLIVLDDVCSESLWKDIEASLHCGNSAPSAILVTTSLPEVAQSFCPYRIYDLRYIQEEHNRSLVDFFLVRAANLISDNGHGKAGLKEEVLRSILVKCSPCIFSMKMLLRFLYANPNKTLQELHDFSNSLCFCSPLHLSSWLSNAEKMLTFCYNALPCDYRSCLLYLTIFPNDHNIRRTSLLRKWIAEGLIAERDGLSAFDVANRCFDALLAQRFVLPGDVGNSGKVNSCRVHNLVRDFIAWVIRDDNFVYTKLPVDLAHRLPIHNGERLQQVSRIKLRASHFDDCWSMTRCCFTTKSVDPLAGISMLLRSIQESAQLGLRLNVLDLEGCKGLEKYHLNSVCKIFQLKYLSLRNTDVSHLPKKIDKLQYLETLDIRQTQIKAFPGKHFILPGLKHLLAGCTNCPSKKNNLMEKESCSFSTVLMPQKIVRMGKLEILCYAEVSSGLTGLMGICQLRRLRKLGVLLQGNAACNLDYLFRQIDMLDRSLHSLSIRMERLKLAKDDARKRDDMVPASFPFSPPKFVQKLNISGIRSALLGWIGDLHQLSKITLHETSLTEHVLSILGQLGSLRCLKLQCNSTMGSSLSFRSGAFRNLVALVVQDNNLLDIIFDYGAAPRLERVILSIAAIDSLSGVQHLQQLKELELHGSARNIGEVEQAIAGHHNNPVFRHEQWNDLH >ONIVA11G22060.1 pep chromosome:AWHD00000000:11:23175536:23176481:-1 gene:ONIVA11G22060 transcript:ONIVA11G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYNLREYVPEYLLWRLVGANRDPCLVPAGELISSLLEQIRVVLGQDTPPKMLLLIGFTVTGKESLLAMIAGHARLRLLLGSRAMERIPLLPLANLHYPDLDVLDLDSQPRAGNVLTNRGRRRTEPQRPPGERWLVVPRHRKYWSTQYWEWLARSLTWFIHAWSWWSMRPLRAWLRRKPFMLSISSRMNCTSRLSPPSSCTWSERTSVSRPTSDFTAEWVELRPKPSISGISLTQLAHQTHTMATTGQLSRKDELNLNRWKCNWRWGKTYY >ONIVA11G22050.1 pep chromosome:AWHD00000000:11:23170181:23172949:1 gene:ONIVA11G22050 transcript:ONIVA11G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVVSSAKGAVKSLLGRISTMLVNEAQLLGRVRRDMQFIKDEMEMMDAFLLLLLHQQAGTLDHHYHSQCTPWIRQAMELARDCQDCVEQYAQCIAAGPPSKSLLLGLLRRVSRLVRSFPERHRLAVQIQDIKVRLGEVSHRRKTYDICANLNSYRPPEQDNGGEQEDEAAWRRYLASAEPPADLKNTVNELVRWLMEDQPAGLRIIPVVGICVDTSAIIAERVYQHSSVTSLFDCKAWITVRDCQSPLQILKDILCQLVLPLNKFRSEMIGWNEEQLVEKLRCYLRGKIFLIVLHDVRDESIWSHIKLALPDDCSAGSAIIITTDDDKVAESFSAYKIFNPDSPGYVLNFFLSKAIALLKHENEKQLRKILPCMLIHLEPETFFMKMLLRYLYYGRYPMSRLRDALQHTSSLHDYWPKKMVYLCYNYLPDKYRSCMLYLSIFPPGCSIRRTSLVRRWIVEGLITDEQERSALKQADHCFDALVGRLILCPRDIDASGKVRTCIVPDLVHDALTDLVARGSNITSVDTVLAPPELARHLSIRFSTKLHMSPSEPINSILSFLKSLLSSSRLGLLKVLDLDGCRGLKRHHLKNICGIYLLKYLSLRDTDVTRLPREIENLIHLETLDIRQTKINTFPRKSLVLPMLKHLFSGYTVCSSEDIIRQQESFSAIHIPHRIGRMRNMEILSHIEVSHGGMELIDVGQLLKLTKLGVVIHDTDKDGFDHLLHVISRLHKCLRSLSIRIRLYSPDDGSRGFDMSMMDATLPRLLESLTISGIRSGLPHWIEHLHQLTKVTLHDTSLTESAIHVLGKLVGLRYLRLRHRSDIPGNLTISGREFKNLQLLSIENSDIVSIRFDEGAAPRLERLVWCFTVMDSLVGIDHLLSFRELQLHGDCDTEKIGVIMHDINAHPNDPSLTHIPAAGN >ONIVA11G22040.1 pep chromosome:AWHD00000000:11:23169735:23171417:-1 gene:ONIVA11G22040 transcript:ONIVA11G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTITDSDPSLAVKQAGDRGVLVDSLSNNRTCIHADSDHSVLQVSRWFSRSQITPPSSFIFLLTAVILLRWTVAVEVGTNVIRLPPVAHLAQPDLDVLDLHGQPVAFGEATDKPGHPAEEAEEEALGGWPSCDALRVLLDAVLAVAGELHRLPDPWRALAVVVVVEGAGLLVQEEQEEGVHHLHLVLDELHVAADAAKQLCLVDEHGADAAQQRLHGALGRADHELRHCRRLVATKLDLLVGFQTLSAWERGSSLYINGESRGFKVC >ONIVA11G22040.2 pep chromosome:AWHD00000000:11:23169735:23171417:-1 gene:ONIVA11G22040 transcript:ONIVA11G22040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTITDSDPSLAVKQAGDRGVLVDSLSNNRTCIHADSDHSRWFSRSQITPPSSFIFLLTAVILLRWTVAVEVGTNVIRLPPVAHLAQPDLDVLDLHGQPVAFGEATDKPGHPAEEAEEEALGGWPSCDALRVLLDAVLAVAGELHRLPDPWRALAVVVVVEGAGLLVQEEQEEGVHHLHLVLDELHVAADAAKQLCLVDEHGADAAQQRLHGALGRADHELRHCRRLVATKLDLLVGFQTLSAWERGSSLYINGESRGFKVC >ONIVA11G22040.3 pep chromosome:AWHD00000000:11:23169735:23171417:-1 gene:ONIVA11G22040 transcript:ONIVA11G22040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLTITDSDPSLAVKQAGDRGVLVDSLSNNRTCIHADSDHRYDPKPCRLILHEPPDKLVHSVLQVSRWFSRSQITPPSSFIFLLTAVILLRWTVAVEVGTNVIRLPPVAHLAQPDLDVLDLHGQPVAFGEATDKPGHPAEEAEEEALGGWPSCDALRVLLDAVLAVAGELHRLPDPWRALAVVVVVEGAGLLVQEEQEEGVHHLHLVLDELHVAADAAKQLCLVDEHGADAAQQRLHGALGRADHELRHCRRLVATKLDLLVGFQTLSAWERGSSLYINGESRGFKVC >ONIVA11G22030.1 pep chromosome:AWHD00000000:11:23165508:23166079:-1 gene:ONIVA11G22030 transcript:ONIVA11G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMNGFLLHLTKSRTPHNDQLRAWMKQGGLWPWIRHIPKRLRTVPARHRLANKIHKLKNRVREIN >ONIVA11G22020.1 pep chromosome:AWHD00000000:11:23162576:23164333:-1 gene:ONIVA11G22020 transcript:ONIVA11G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTNGAVDGVLGYLSKAVKDEAKLLSTVQRDIQFIKDEDSMNGFLMYLTKKKASTHLEKGLRAIEVERRCDQVEDGHVSLAYIEAYKTLKKDVMDKQLPLDVADQVSTIMGTVMMRKDAVSAKMLLRAVYADPYGITKLKLELEELLNNLKERSAVLHDNVMSHHVMVLCYSKLSTHHKSCLQYLTTFGEEKSISRTCLVRRWLAEGLVAKDQQQQGGRLRDGSGDDISMEEAGERYFNELVFRGFIRPAPPLRPAGLKIKSCIMDPSVKTFISKSDNFIDDLPTHLQRQIEIRKLLRSHNPHSTNRGGGVRCRHVSFAATTTMTGKPLPPMDEMVMLLKDLPEEYRLHVLDLGGCTGLTMLHLTNICELVPSLKYLSLRKTNVFLLPSQMNLLHLETLDIRDTRLQANALRNILIQELRHLFAGYVSEVTVDAAQLSTVKIPKKLGKNTEILKHVQIIDDGRQAQAQLRRVGSLKRLRKMGIVLSGSQENMAHLLTTISMRSESLRSLSIWITTLPLESDGGFVTVDSTIGGSVAKFFSPPEKLETLNLKCFKGNSTRGSIPQWIKGLQFLSKITLRHSLLY >ONIVA11G22010.1 pep chromosome:AWHD00000000:11:23162211:23162546:-1 gene:ONIVA11G22010 transcript:ONIVA11G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLRWLKLGRESYVEEKVTLKKGEFKELSFLLLDHVSNNTKKLKIEDDVAPKLKNIVWNMDSSMAAGIKVEEGEISWFENQRELKINGDIYHHPKPSVLSLSGVEEENSN >ONIVA11G22000.1 pep chromosome:AWHD00000000:11:23148236:23150641:-1 gene:ONIVA11G22000 transcript:ONIVA11G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSCSRAWRATFQFIKDEMDSMNGFLMHLTKTTTTNHDDQVRAWMKQVRDIAYVADDNIKLYMRDLVPPEKGSLAWLRHRPKYILTIRTRCRVAKDIKELKERVREVGKRRLRYGVDLPKAGDAVPPPPSDQDDDDKMREDFRRALLDEPPEQPASFVIRWAKKAAAAVAPPLSPIENTINKINCSDAAIKEALKDYFLKKPVAADDDVATSAMKMLLCTLYAYPYRANKKAVDDLLKKLEENKGRRATWDVMLFSYSMLSTPYKSCLQYLTTFNKEKSISRTCLVRRWVAEGLLDAAATGGGRGTGVGEGISLEEAGECCFAELVFLGFLSPAAPAAPTGLKLKSCVVPDGVKEFISSMSKNENFVSNLPTHLQHQVEIRRFAGQDLPPTLLILQQQRRPWRRRLPTVCGPMALDDDGRKVVHPMDQMVHQVLEKLPQEYRLNVIDLGGCQTLRTCHLKKICELVPSLRYLSLRKTNVHRLPKQMRDLLHLETLDIRDTNVLPATLRHIVLNDLKHLLAGVVSTDAIATVFVPRRIGLKAEVLKHVQIQDGGDELVRVGALEQLRKLGVVLDGREDNMARLYRVIGRRSDTLRSLSVWITAPSMAAGNGGFVTLGSKRDDDDDGNNTGSGAPSSVVLPEKLESLNLKCFKGNKFKPAGYSIPHCIQGHQYLSKITLRHSLLNKEGLRELGKLKSLRCLKLRHESYMEAEVTLSEGEFLDLRLLVLDQVSVKMTKLVFEARAAPKLEKIVWNLDKTTTSVKIAADNISGIDNLTGLKELKINDVAYPKPFPFSTTSPASK >ONIVA11G21990.1 pep chromosome:AWHD00000000:11:23130664:23136255:1 gene:ONIVA11G21990 transcript:ONIVA11G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAKLASERAVVVFTASNCGMCHAVTSLLVGELGVNAAVHELDKDPRGRDMERELARRLNGGAGGGGGRAVPAVFVGGNLVGGANRVMSLHLAGELVPMLKNAGALWLYNELTKQARQKKLLEPSRSKLANRTMAERVARLASERAVVVFTKSGCCMSTAVTTLLGELAVSAAVHELDREPLGKEMEKELARRLYGSGGRGGPAVPAVFIGGSLVGGTSKVMAMHLKGELVPLLKSAGALWL >ONIVA11G21980.1 pep chromosome:AWHD00000000:11:23124684:23129683:1 gene:ONIVA11G21980 transcript:ONIVA11G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRERSSRRRMASSSTAAAALVVAALLLSSSPSPAAAAAAGRGGELRMKHSDGGYSYNRTLAHIFVQYASAVYTSDLTSLFAWTCPRCQGGTKGFEMIEIIVDVENCLQAFVGIAPDPQSIIIAFRGTQEHSVSNWIEDLFWKQLDVGYPGMPDAMVHHGFYTAYYNTTVRHEILESVRWARKTYGRLPINVVGHSMGGALASFCALDLSVKYGSQEVQLMTFGQPRVGNPSFAAYFSDQVPRTIRVTHQNDIVPHLPPYFCYLGEWTYHHFSREVWLHETIVGNVVTRNETICDGSGEDPTCSRSVYGRSVADHLEYYGVSLHADSRGTCQFVIGSTNTAYGSILEVDRTIIMTRYPQDSCNVGVLGSPGALLRIRRDLRSQELMRIRKESEDAKLYGWWALPEKICKAKICPFGFPRGECLHI >ONIVA11G21970.1 pep chromosome:AWHD00000000:11:23114684:23119226:1 gene:ONIVA11G21970 transcript:ONIVA11G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISERPTMEQPTNPTRESYGPSTRPHPSSPHMEDDAAILAVAGRHQPANPPLAAAAEGLAMTTAPHGLIGSRRAVGGEASTAVEETPAAAFCRRSPALRLAHQHGGQPPKQRVQGTLGIHGSIDTPRPSACSWALTHRYNICSIYRNSLLLFLVWKDD >ONIVA11G21960.1 pep chromosome:AWHD00000000:11:23110168:23113314:1 gene:ONIVA11G21960 transcript:ONIVA11G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLALSTVHALLGVIRKEAELLGGVRGDVQFIRDEMESINGLLRHLAGTKERASDHQVRAWIKQVMELAYDSNNCVERYARTRSGRRRRRGFLGRLRRAARLPWAMWVRRRVATRIRQLKVRAREVGERQQRYGVAVPAKKDGAAAAEDDGDNKRPLEYSSMPRKVAGGGDASRRRAAAIVSECGTDHMLKECTDELINWVDMGVAPDGRSGVELKRPKLSVAVIVAPDAADGAIVVDKVYRHYKPMLQPPSSGSGTPVTAAATSPFKCRLSVTLRRPTNLMEVLMDMLRQLQSEGCVESMGDDVQTWDLDTLKEKIKNSLRGKRLLLFLTNADYLDIWFPIEEVLASTDCDHGSAVVLSSKDREVANKLMPPLMNTSIISDNLNNGSASAAAAAAVEVEVEEDKDLESNNSKSKSKSKLPLMKTVSYSHVDFHYKKANQLMLQLTSSYNTSDAVKQVLTRCDTDDFCAKVFLHALHNNPNRTADELKILTENLAPDRCSNDPLEKRVRLAAFCYYGLPDRYKNCLWYAAAFIRGSYDIRRASLTRRWIAEGLIIRSGQPTEQEEAERCVDTLLSLNLLIPKERERGVIEGKVKTCSVNTPVIDIVNGSRSISASTVDDFLDTNQLPLDLDLHFSVRNGIRIRQLDAMDGSTMEPRPPAPKKQLESVMEFLRKLPSSSRLRLLRVLDLEGCGVIITNRHLNNICQIRKLKYLSLRGTNIVWLPKKLHQLELLETLDIRQTRVCVFESTLPKSLKHLLAGRVDCLGDDAVTVKSKESFSTVRMPSGIPAGDMSKLEILSHVWVSDSAKELDNLGEKLKQLRKLGVVLCGGSKANLKDLFAQINELHTTLRSLSIRMKPVGSWGSTEAVLMTPPLLLESLRICGVRDWLPRRMKELNNLSKLTLRDTLLNEDNLAVLGALKGLRCLRLRYHSFDSGGLTFSSDSFPNLVGLVIEDDMLVTITFAPGTAPKLAKIIWSFQRMESLTGVKNLQSLRRIELNLLAGNGATDDYPQLKQEIKEHPGKPVLVCQLIDPKKGGQVANRAVGAATT >ONIVA11G21950.1 pep chromosome:AWHD00000000:11:23087895:23088457:1 gene:ONIVA11G21950 transcript:ONIVA11G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALVGEGDGGGRRWSGGEGVDTGGWGMEAAVAGSTYQNPVEAGSSGGCWVGVGRRRGRIREAGGAVNGGGRLSSSSQPPSTSSSSLSQLPPPWPLAPARLSPPPPLPRAETGRSAAARAAAASPPAGSGGGEGATASLPSVGPPDLAEGRRSHHPRHCTSTPSAATTPPFPRLPSRPCRI >ONIVA11G21940.1 pep chromosome:AWHD00000000:11:23072431:23075323:-1 gene:ONIVA11G21940 transcript:ONIVA11G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLLLAPGGRRAAMALILAVLAACLSSAANVGAVTSAEVSYIAHRQLLAMKEAGVSEEGDLPSDDFDFDDRVGVAVGDFPNPRLRKAYIALQAWRRAFYSDPKGYTNNWTGNDVCSYNGVICYAAIDDPKIMVVAGIDLNGADIAGYLPPELGLLTDLAFFHINTNRFCGIIPKSMSRLSLLHEFDVSHNRFVGVFPHVCLEMAVLKYLDIRFNDFEGELPPALFDKELDAIFVNSNRFVGYIPGNLGNSTASVIVFANNAFVGCIPKSIGCMAKTLDEISFMNNKLDGCVPMEMGYLQNTYVIDISGNVLVGTLPTSLSNCSKLEQLDVSRNVFTGIVHESICELPVLVNFSFAYNFFNSESAPCMPSESSKVNLDDKDNCLGALRPAQKTTLQCAPVLARPVDCSKHVCPGHPTPGKPSEPPEKPPLIPVPVGPPEKSPAYEEPPAAPSTPTSHGPPPPEEESPEEPPEEPTPSPTPSSPESPAKMAPPPAPAIKGVTSPPAEYGAPPPPSSGWLPKSPERKKAPPPQAEPPTEYSPPATPESSPPPEGKSPPTPTASHSPPPVPEGHTPSPPESTPPSEKSPPTPESKASSPPPPTPEGHTPSPPKEVPSYADGLALATTEGHTPSPPESTPPSEKSPPTPESKASSPPPPTPEGHTPSPPKSTPPTEKSPPTPESESSSPPPPAPEGHMPSPPKSTPPVEKSPPTPESEASSPPPPAPEGHTPSPPKSSPPEEKSPPIPPTSHTSPPTPEEYTPSPPKSSPPEEKSPPPHSPEKSPPSEAHPTSPPPSEKSPPTPAEESSPPTPEKSPSPPSGHEGTPPSPVKSSSPPPEAHVSSPPPEKSSSPPPEAHVSSPPPPEKSPPPAETKSPPTPTPEISPPPEGKSPPSHTPESSSPPSKESEPPPTPTPKSSPPSHEEREVPTITYSGELIPTI >ONIVA11G21930.1 pep chromosome:AWHD00000000:11:23071106:23072031:1 gene:ONIVA11G21930 transcript:ONIVA11G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSILGTEVVEVRRTGGASASFAGGGNDSCFGGGRETCGTGGGRGFTAGGGRLTGAGGGRGFTAGGDRLTGAGGGSDFTTGGGRLTGAGGGSDFTAGGGRLTGAGGGRDFTAGGDKITGAAGGGDFSRGGGEMTGAGGGGDFTGGGGKMTGAGGGGDFTGGGVEMTGAGGGGDFTGGGGKITGAGGGGDLTGGGGEMTGAGGGGDLIGGGGKITGAGGGGDFSGGGGELTGTGGGKGLTVGGGKLTVAGGGRDFSVGGDEALGTEGGDDSSGVDGG >ONIVA11G21920.1 pep chromosome:AWHD00000000:11:23067670:23069991:1 gene:ONIVA11G21920 transcript:ONIVA11G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDAEIEDAE >ONIVA11G21910.1 pep chromosome:AWHD00000000:11:23065732:23067406:-1 gene:ONIVA11G21910 transcript:ONIVA11G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02485) TAIR;Acc:AT4G02485] MAAAADDDELRRRAAALREVFGDSSDSEADDLPVGGAGREQWRWEAVEGVRGLWLCAAFLSADEQSRLLTAIRREGWFSDARNQAMRFGDLPSWAVELSALIHEAICFGDVRVGCGLELKNEDEDACPLPSDLLWRKPLFDQMIANRYEPGEGICAHVDLMRFDDGIAIVSLESPCVMHFSRAEQEVPICETLESVHAEPTKIPVYLNPGSLVLMSGDARYLWKHEINRKPGAQQWGGRELEQQIRTSITLRKLLPSPN >ONIVA11G21900.1 pep chromosome:AWHD00000000:11:23060510:23065273:1 gene:ONIVA11G21900 transcript:ONIVA11G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 1 [Source:Projected from Arabidopsis thaliana (AT3G62910) TAIR;Acc:AT3G62910] MEAFLAGFRAPRPRVPAVSAAARSLPPNTSFFSGGRRRRRLLSSSVVCMAEPYLIAKLDSAEKAWKEMSVRLADPDTVSDPSEYQKLAQSVAELDQVVSTYRQFKDCEKQIEETKVLQKENEDDPDMAEMIDSEMESLSNQLEELEEKLKLLLLPNDPLDARNILLEVRAGAGGDEAGIWAGDLVRMYQKYCERNSWKFKPVSSSEAEKGGFKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNRERAFQLLRAKLYEIKLREQQESIRNQRKLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTGFLMGDIESAVQSCSSMEQKELLEEMATSVGAAKV >ONIVA11G21890.1 pep chromosome:AWHD00000000:11:23054675:23060450:1 gene:ONIVA11G21890 transcript:ONIVA11G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARTKRSSHPNFSRFVQGEDQVTTSVSGRADDLAWRCSSDTFDLNGRAFENSENWAVLSTEGDKPIPRFDHAAAMVGSKMVVFGGDSGHHLLDDTKILSLDKLTWDSMAPKIRVSQGGHAPKFRPCKGHSLVPWGKNVILVGGKTDPPSDRISVWSFNTETDLWSYIEVKGDIPVARSGHAVIRAGPVLILFGGEDGKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDVEPLLYEDSPPSSKELSDHLNNNCAPLYSTGSVARHSLATTVESSSGRKSLPDSLLQQPNLGSSSLRRQFRQEEECSLAHKLQKPIDDDRYKDVDDCSELPSVTNQKQRNDIYQSPDADAKAKRVGRSSSDINHQYDTKIANLIRRNMALEEQLSAAMASKDEAEKNLSLVIDSKEELEKRLSEKDREVETLKEKVTGLELAQEESNSLSNTVHADNVRLEREVAFLKAVMDETQKAG >ONIVA11G21890.2 pep chromosome:AWHD00000000:11:23054496:23060450:1 gene:ONIVA11G21890 transcript:ONIVA11G21890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARTKRSSHPNFSRFVQGEDQVTTSVSGRADDLAWRCSSDTFDLNGRAFENSENWAVLSTEGDKPIPRFDHAAAMVGSKMVVFGGDSGHHLLDDTKILSLDKLTWDSMAPKIRVSQGGHAPKFRPCKGHSLVPWGKNVILVGGKTDPPSDRISVWSFNTETDLWSYIEVKGDIPVARSGHAVIRAGPVLILFGGEDGKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDVEPLLYEDSPPSSKELSDHLNNNCAPLYSTGSVARHSLATTVESSSGRKSLPDSLLQQPNLGSSSLRRQFRQEEECSLAHKLQKPIDDDRYKDVDDCSELPSVTNQKQRNDIYQSPDADAKAKRVGRSSSDINHQYDTKIANLIRRNMALEEQLSAAMASKDEAEKNLSLVIDSKEELEKRLSEKDREVETLKEKVTGLELAQEESNSLSNTVHADNVRLEREVAFLKAVMDETQKAG >ONIVA11G21880.1 pep chromosome:AWHD00000000:11:23049673:23050002:1 gene:ONIVA11G21880 transcript:ONIVA11G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVAMLASERAVVVFTKSGCCMCTAVTTLLGELAVSAAVHELDRDPLGKEMERELARRLYGSGGRGGPAVPAVFIGGSLVGGTSKVMAMHLKGELVPMLKSAGALWL >ONIVA11G21870.1 pep chromosome:AWHD00000000:11:23029489:23034043:1 gene:ONIVA11G21870 transcript:ONIVA11G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J531] MASSTTASSQLALALGIPGLGGLLIPTDAAATTTTTAEGNDLHADASHPVLRLAGAVQHYEWGGRRGSSLVARLAGEGDDGDERPYAELWMGTHPAAPSSLAASGESLREWLGRRGPAALLGRDVAARWGGDLPFLFKVLSVARALSIQAHPDAALARALHALRPATYRDDNHKPEMAVAVTDFRALCGFVSVQELKDVLRTVPEVRELVGKEEAVKLMGAKEHDGGIGVRSYLQSAFTNLMTASKETVSEAVSKLKSRLNIESKVRTLTKKEQLVLSLEMQYPEDVGVLAAFFFNYVKLNPGEALYIGANEPHAYLSGECVECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQNYPEILRGVPVQAYVTRYIPPSEEFEVDRCLLPSGESVTMSPVPGPSIFLVMTGEGKIEADSMLDEGKAKEGDVFFVPAHTEVRILASGPGSMQLYRAGVNSRFFS >ONIVA11G21860.1 pep chromosome:AWHD00000000:11:23015805:23022970:1 gene:ONIVA11G21860 transcript:ONIVA11G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVNVEGLGNDGGAVEKPSEAVNSSVVAAASLSTTVDTVEEGGGEEEPLIQAAECRICQEEDSIKNLEKPCACSGSLKYAHRACVQRWCNEKGDITCEICHEQYKHGYTAPPRVEPDDTIIDIGGDWARDPRIIAVAAAQRRLLETEYDEYAGTDASGAAFCRSAALILMALLLLRHALSISDNEGDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEAAAIAAAEVAFILQSAQGHALQFTIAPDSPATPQHEPQQQQPQPQPQQ >ONIVA11G21860.2 pep chromosome:AWHD00000000:11:23015850:23022970:1 gene:ONIVA11G21860 transcript:ONIVA11G21860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVNVEGLGNDGGAVEKPSEAVNSSVVAAASLSTTVDTVEEGGGEEEPLIQAAECRICQEEDSIKNLEKPCACSGSLKYAHRACVQRWCNEKGDITCEICHEQYKHGYTAPPRVEPDDTIIDIGGDWARDPRIIAVAAAQRRLLETEYDEYAGTDASGAAFCRSAALILMALLLLRHALSISDNEGDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEAAAIAAAEVAFILQSAQGHALQFTIAPDSPATPQHEPQQQQPQPQPQQ >ONIVA11G21860.3 pep chromosome:AWHD00000000:11:23016559:23022970:1 gene:ONIVA11G21860 transcript:ONIVA11G21860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVNVEGLGNDGGAVEKPSEAVNSSVVAAASLSTTVDTVEEGGGEEEPLIQAAECRICQEEDSIKNLEKPCACSGSLKYAHRACVQRWCNEKGDITCEICHEQYKHGYTAPPRVEPDDTIIDIGGDWARDPRIIAVAAAQRRLLETEYDEYAGTDASGAAFCRSAALILMALLLLRHALSISDNEGDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEAAAIAAAEVAFILQSAQGHALQFTIAPDSPATPQHEPQQQQPQPQPQQ >ONIVA11G21860.4 pep chromosome:AWHD00000000:11:23015805:23020260:1 gene:ONIVA11G21860 transcript:ONIVA11G21860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVNVEGLGNDGGAVEKPSEAVNSSVVAAASLSTTVDTVEEGGGEEEPLIQAAECRICQEEDSIKNLEKPCACSGSLKYAHRACVQRWCNEKGDITCEICHEQYKHGYTAPPRVEPDDTIIDIGGDWARDPRIIAVAAAQRRLLETEYDEYAGTDASGAAFCRSAALIVSTKQQFKVLLCLIS >ONIVA11G21850.1 pep chromosome:AWHD00000000:11:23012849:23014214:1 gene:ONIVA11G21850 transcript:ONIVA11G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHGCRRIVEKHFKMKRSPAVHLAVVLLAFCCLIHASSSAETPLPPALKVMQRFEATPVSSRGDDQLQLQTVCSISNMIHKAAAVIAGEAEAGEVSGRMELELTDYPGSGANDRHSPWGQERRN >ONIVA11G21850.2 pep chromosome:AWHD00000000:11:23012831:23014214:1 gene:ONIVA11G21850 transcript:ONIVA11G21850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHGCRRIVEKHFKMKRSPAVHLAVVLLAFCCLIHASSSAETPLPPALKVMQRFEATPVSSRGDDQLQLQTVCSISNMIHKAAAVIAGEAEAGEVSGRMELELTDYPGSGANDRHSPWGQERRN >ONIVA11G21840.1 pep chromosome:AWHD00000000:11:23010295:23010870:-1 gene:ONIVA11G21840 transcript:ONIVA11G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPTMYFLPELESQEPVCLLILFTMFTWFISKVQMILPSSCQHCNCVVSKVTSTPVLADRKISKNLSKHRDDGIEMTHEDVESVMTKMGLDFDHGRTMVYKAIGSNCMSELFDDDEPSLDEVKQAFLVFDEDNDGYIDALDLYRVLRNLGLREGVGVDECEQMIAKYDMNRDRRIDMVEFIRVLEASFC >ONIVA11G21830.1 pep chromosome:AWHD00000000:11:22930018:22931742:1 gene:ONIVA11G21830 transcript:ONIVA11G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAMASSSGSRSTNGMLEETVVADVAALVEKWRPDDDGRRRRCSLFLDGGVAEAGRFMSAAVELHRGMLVLASDVEDARGRGDERLVRAQGVLEDAMRRLQLELEILLSAVRSNAADDGDGDGAAAISGHGLDGDGAVVVGHIRLVAEAMMAAGYGMECVTTFMSHRRAEFAGAVRRLLGYAPSQHARXXXXXXWDDVDGKVRSWHTAAGFAFNFAFSGERVLCHRVFAAADAGVADRVFEGIASDHAADLLAVAEAAVARARRAPERLFHVLDVHATLVEILPAIVCVLGDKSEAAVRATAALRNAGEAARGILVSFEEAIQKATSKSSAAATGGAVHPLARYVMNYLVLLADYDDTLARIYQQGRGSTSPHSPSSSSSSSNPIGRLVSVLLRKLDAMAGRHRSPAARSLFMANNTHYVSKKVRGSSKLVGIVGGEGWAVAQSAETRRHVDAFVHAAWRDVLVVGGEGADAAVREAVASQRRWVAVADDEMGDAVRAAAAAAVVPAYRALYRRHGMAAWMTPGDVNAMIGRLFGGPRNAAAGARPVAAGGATPRRHRLRLTSSSQKLAHKQ >ONIVA11G21820.1 pep chromosome:AWHD00000000:11:22907180:22929812:1 gene:ONIVA11G21820 transcript:ONIVA11G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFGSNYILEGRKDHDKKRPGFIVLISDGDDASVLRDAMNLNCSVHAFGFRDAHNARAMHRIANTSAGTYGILNDGHDGLADAFVTSVGNITSIVAVDAEVSVSCSGAESTAAKLTAIESGRFKHDINGGGKRGTIQAGALQAGAVRSFLVYVDNVGDDELEHLPSMLTVGVQYEDHSTTTSQNAAENQGGREMARQTAQVVVVRDGDEHSRLVAAEIVRVAAMRIVGEIIQKYGDNGRALAGAADELHEQWSLLKKSEFAKEAAPACLVSALDAEMSELEATLRRSSGMSYMLSWQTCHSLQHLQHARSSSSPSATTSVAAAAKGNGGASAVAAAARQSFTAGGAAAMGKFVWSGAHHGGGERKRKYQSSEMEMIEQRLAYWTKVKCELPPMHHDGECPDHMTTIFRDASRDSIDRAMFHDVFLASEDARAAGAASLAVLEREIEEMEASLVRCTGLSTMLSWLNRHKLQLHTAAAAAATARVSPAPPSSSNVVADAITAGEGHVKEVAGVAVVSGGTKRKCVEMDMIEERLAYWSKVKHDLPLMFPDHAAAAATAAAAAAAEGTASTGDHVAAVFRDASLETINRAMFHDVYLLIKLNIQVWNIITHNLLTTRSELGQEQRWRESKMQVNTH >ONIVA11G21810.1 pep chromosome:AWHD00000000:11:22893269:22895494:-1 gene:ONIVA11G21810 transcript:ONIVA11G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSFSLQVLLFFSAIFRKRCRSRVLSVLLWLAYLSADSVAVYLLGRLTLLVGDAPGHQLVLFWAPFLLLHLGGQETITAFSMEDCALWKRHLLNLAVQVSLAIYVVGKQWRGDKQLVAPTVLMFITGTTKYAERIWALWRAQSTTLAARNHQQDALVRDNWALFFSDTYRYQKMLTSIISDKKERNFKRVMEVANTGSLLSMDFFMDLTHPKYIPHYDDEQPRNISFYYKDNELWRQHGSSDELVHMVYKLADIHLSMIYDRLYTKFRGGLMGVLCRLCTFALTCIALALFPVSRLAFDHKGIINSYSKADVTISYILLAGAITLEILAGLLWLMSSYSSWNFLRALLPRAEDALLYKQIVGHVHPESRVEWSGQLQQFNMIDMGIQETTRGRLERMMRCVDIIIDGGCSTEPAVKVSAEVKKLLVDKILAQLISDTDPESELDLTRFHGQWAQRWVEKRVQDHDFSESNPAHRALVKSLGTVDERQIFVLTASRMVEFFVDGPKDTRNRPGFFQKVGTTTGRRYSLAPLVSSELREMEAAGRWELIATVWVEMLCYIAMNCGACSLHAKQLCDGGEFITHVKMLLFILDVPCL >ONIVA11G21800.1 pep chromosome:AWHD00000000:11:22890457:22891032:1 gene:ONIVA11G21800 transcript:ONIVA11G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVRPIRAPRDVLEGAGEYIDRAVGPAAAVHNLEPPLLAASAITDDLPGYLAVMSRFEEALHFLSNNCGITSQWLVDIVEYLEDRSLAAALAFSRLTAATATASSPAACSSPASPRWPCGRSSHRRGASRRPRRAGTAAPPSSPDAFPPRQQPESRSQRWWWRRRIARTRRPSSAAPLSLERFGEGLET >ONIVA11G21790.1 pep chromosome:AWHD00000000:11:22887645:22888901:1 gene:ONIVA11G21790 transcript:ONIVA11G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGMECVTTFMSHRRAEFAGAVRRLLGYAPSQHARFRKLTWDDVDGKVRSWHTAAGFAFNFAFSGERVLCHRVFAADAGVADRVFEGIASDHAADLLAVAEAAVARARRAPERLFHVLDVHATLVEILPAIVCVLGDKSEAAVRATAALRNAGGAARGILVSFEEAIQKSSASVAAATGGAVHPLARYVMNYLVLLADYDDTLARIYQQGRGSTSPHSPSSSSSSNPIGRLVSVLLRKLDAMAGRHRSPAARSLFMANNTHYVSKKVRGSSKLVGIVGGEGWAVAQSAETRRHVDAFVHAAWRDVLVVGGEGADAAVREAVASQRRWVAVADDEMGDAVRAAAAAAVVPAYRALYRRHGTAAWMTPGDVKAMIGRLFGGPRNAAAGARPVVAGGATPRRHRLRLTSSLEKLAHKQ >ONIVA11G21780.1 pep chromosome:AWHD00000000:11:22865448:22874842:1 gene:ONIVA11G21780 transcript:ONIVA11G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKKAMGFVIDKLGEHDRLAVVPVQASAAVAEKLDLVEMNAEGRKEATMRVQSSLTVTGENKLSTALKEAVTILEGRKDHDKKRPGFIVLISDGDDASVLRDAMNLNYSVHAFGFRDAHNARAMHHIANTSAGTYGILNDGHDGLADAFVTSVGNITSIVAVDAEVSVSCSGAESTAAKLTAIESGRFKHDINGGGKRGTIQAGALQAGAVRSFLVYVDNVGDDELEHLPSMLTVGVQYEDHSTTTSQNAAENQGGREMARQTAQVVVVRDGDEHSRLVAAEIVRVAAMRIVGEIIQKYGDNGRALAGAADELHEQWSLLKKSEFAKEAAPACLVSALDAEMSEMEATLRRSSGMSFTAGGAAAMGKFVWSGAHHGGGERKRKHYYDQKQRSESEMEMIEQRLAYWTKVKCELPPMHNDGECPDHITTFFHDASRDSLDRAIHYDVFMTVVNAAGRRSSKYGGSGGGDFSCGHCCGGGGGRVVGPANRMDLLKRAIHGELVIDKMSSQDRLAIAMDLVIGKLGADDRLAIVPFHSSVVDATGLLEMSVEGRGVASRKVQSLAVAGGTKLFPALNAAVEILEARCWEARRGRVGAVVLISDGGDRTIFREAINPRYPVHAFGFRGAHDARAVHHVADHTSGVYGVLDDEHDRVTDAFAACVRRVTSVVAVDAQVDLTCGAYSRASLLAVERSGDHRSHVDEDRRSGFIYAGALCAGDVKNFLVYVDVDREADGGVTELLTAHGTYTDAVRRNDTVHLDERMAVVQRRDKVPDVSRDVAAELVRVDTVKMVAAVLDRFKDMGSAAAATELREGWCRVKASEDARAAGAASLAVLEREIEEMEASLVRCAGLSTMLSWLNRHKLQLHTAAAAAATVRVSPVPPSSSNVMADAIAAGEGHVKEVAGVAVVSGGTKRKCVEMDMIEERLAYWSKVKHDLPLMFPDHAAAAATAAAVEGTASTGDHVAAVFRDASLETINRAMFHDVYLAVLHASTVRRCGQTSQSKEHPHHDQDDS >ONIVA11G21770.1 pep chromosome:AWHD00000000:11:22856678:22857232:-1 gene:ONIVA11G21770 transcript:ONIVA11G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J517] MSYGCQVSDDEPNGSKAVSLLLRLSTLALALTSAVVMATASECTVVQLNGVVATITYKDFPPFVYLVGFNIAAAMLEAAAIYLRLSTGGGDDDDEGFKGKLPGILLVVIDVAVQALVYTATGGAFAAVSAYGPQINACGAGAGRFCGQVHQSKLLSFAGSASVGLAVVFRDVSLPFSLWPTSSD >ONIVA11G21760.1 pep chromosome:AWHD00000000:11:22854707:22855422:-1 gene:ONIVA11G21760 transcript:ONIVA11G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J516] MCEGEKKKDSSSGALYCVNLALRIVVLGLAVAAAALMATASQCTIFLYYGGPLHTITYKDFGPFVYLVVASSIGAFMEAIAIFLTICKKKDGTPAKVLLPLLDAAVPVLLYSATAAAFAAGDMSYCAVGKRVGVCTTAAAGNFCNQVHIAMYVSLAAGVALLVAEIVKHWPDSGKKKEGGGGGCGSDSDSDKSTPCHHGCHSKH >ONIVA11G21750.1 pep chromosome:AWHD00000000:11:22849094:22849657:-1 gene:ONIVA11G21750 transcript:ONIVA11G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J515] MAHAKSSSSCSGRGGASLALRIATVALSVVSAVMTATATAQPATGGGAAPTSGGVSYSDYSSLRYSLAATVICAALQAAAVWLEASGKAGEAKAAASLAELVDTAAQVLLYSSAALSFAVDDFGTCGRRAAGVCAAAGAFCLRVRASGAASLSAGVALSASLYLKDVPVSGERKPEPRCGHGCHCHH >ONIVA11G21740.1 pep chromosome:AWHD00000000:11:22845248:22845860:-1 gene:ONIVA11G21740 transcript:ONIVA11G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J514] MTEMDGAARAVSLFFRIAVVGLSVAAAVVMATASQAFPFNYGGAVSYTKYPAFVYFVVAAVVSAVCSAAALYLSVVREAAAGWAVALLDVVTMGLLFSAAGAVFAVRRMAPLYLGVAGADTVAGRWVNGEFCHAAGAFCWRVTTSAIICAFAAAAVSVAVLTKGARHRGKH >ONIVA11G21730.1 pep chromosome:AWHD00000000:11:22833664:22837801:-1 gene:ONIVA11G21730 transcript:ONIVA11G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGDGGGGGGPATGGRAGRGGVPDRRPRKSRFSVLASMEDDSAEEELEEYMDDLVRPGSAAFPSDRKWRQGRAEFARRHGHTVAGARTLIRQSVLYSDRPKRSQGRRGRVKPQTESLAEKDASEVSNSELGATTTDMPKFKTLSLNDSPSALDSADRATVRASSMDSDLPDSATAKLPDVEEALSPRSERKRKIHLYLAEHTFDDLREGFAAMINGFRDPPKDAAQPNVELPESSKLYPCGFDAESSHDSESLSPAAEDVGRHNLSTEEIVQNGKRWMSEEVMLAFEKYIEGKNEFRDVVYHLDELQYQCFSVDAYQKIFHHYNFTVKMKKPTSEDWSVTCYFAEVKQVYGKKFYLCWPVKSHDDGYCHGCANQGMVALKHPANDEVKYEVGFFDTGCPFMFLSDDDSDDDERVFSEESIKEIFSGIFG >ONIVA11G21720.1 pep chromosome:AWHD00000000:11:22822749:22831792:-1 gene:ONIVA11G21720 transcript:ONIVA11G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSYASWVYISIYGLSQREESQAKGVDSLSFLLARPRRRRQAQGAGGGWHRAAGGVAEEEAPGVGGGRHGRPRRRRRVGNDEMGGGGGADPDDNNEWANLEAAGLVLPGSGSNGPRPTRIRQRRASSRPNPAAASLVPPGSRGGGPRPAQIQQQRASSRPDPVAAGLVPPKSSSSEPRPARIWSQERPTGAGAGGDGSGGGRHLGRRHRRLWLVGLRCKDGDVVGFSHAGALAACKDGDFPPIACENLSRPYAKNSFVVVII >ONIVA11G21710.1 pep chromosome:AWHD00000000:11:22791391:22815337:1 gene:ONIVA11G21710 transcript:ONIVA11G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRRRKGKTQVGAAARDEEPSVVVDADDADGGARSHVVAGEAAGGGGADGGSPASRAASPPPPTAAGGQGGGGGGPVSGGGGSAPGGAATAQREGAPPAAATSVQGAPRAPATAETVPQGSPRGPGVRTVGPVPSTAQSAPGGSATGQTATAQSSPRAATSTQALMDEGHLQLEKSVINHLEEEGPTFGDARRRKKATGVDSDDRSRDSADVGGDTNNPVLSKIVLSWTIQEILLDDETHKSKFILAEIRSNLKVSLQNLILSPYYNVKEMLRCESSEVFFVDINLTDIQLKSDHSYRVAQDGDLFLFSSHPPHCRDFDSSLDFLGIVFNTSQCASFHRGFKVLVSDQNCTRCYEENSKFGIFLINIMDAMKAWSVFNFDKTEDNCSGIKSILNISDMATDCKMCEKSIDFEKVRLSHLNQQQLYSLKSIISAVQCRTNKHVELIQGPPGSGKTEIIIALLQVLHHMNQKVVLCVPKASVVKILVNLEKCLFPLEDALVLDNLDNTKLAKQFQRHCLCHRSQDFLVGMTLFKIWLHELFVLLNLDPYCTEKCDHETTRIRCSTNSLVVFTLSSFKDKFTKLLMRKEWLTNLKEKFSEIYLSANIAKDITNLLSLLKDFEDLLCHDERLCDKHVQWTFGLSSVPYKLGENSAAKELNDLRLQCVDFIQRFRSSLKLPKFVERKFLEDFCIKHAKVIISTTQSTYRLHEAAMEPINLFIVDDAAKINECDLIIPLRLPVTHILMLGDDFNLQPTKVQENARFAMNPFKRLLNLGFRKHMLTEQYAIHPSIWQFPNKKFYEGKITNGATVISPEYNKQFKGLKFPNYCFIDVTGTDGNSCKEKNTIELATIQYMLEIISQGLEGTEVIDVGVLCLCGSNISGIKSSLRQKYATHNKINVHIESADSFEGETYQVVILPMLLKDENTILQIEKINTALTRARHCLWMFGEAASVSARGGVFKELVDDMIERKCILKWNTTATSQSKYALGYDDFHCSSSASSNETIHQVCKEFTWSGRPKRTKYILAPLRDQGNSDTCTMHSCLGAMESMYKHQYACLEPPQDFSWILSTDNLKEEYENVVAKEIGSEEIEKKGKDRLATVLQILEERGVLGRRKQQPEVSSNFRIKSHTQLPIKEDQGIKTVFDTVKDGKFLVAHFRISENYFSLRPGEIYHFDRHKPYLHPVSFLPASHAVMIIGLGKTMTKVKNILERSIHLNLQNSAGSLFGENGCGYTAAGGQGGGGPVSGGGGSAPGGAETAQRQGAPPAAVTSVQGAPRAPASTAATVPQGSPRRTVAAQSAPSGAAAMAQSAPGGSATGQTATAQSSPRAATSTQALMNEGFLHLDKSVSNNLEEEGPAFGDAQRRKKAIGVDNDDSNRDDADGGRDPNKSLLSEIVLSWTIQDILLDDEAHKSKVVKIPTHFKGVDEYLGLYSQFILEETWSYLKVSLQNLTSSAYYDINNMLRCESSGVFFVDINLKKIELKSTHSYRVAQDGDVFLFSSHPHSHDFDSSLDFLGIAFNTSQCTSFHRGFKVLVSDQNCTLYCEENGKFGIFLINIMDALKAWSVFNLDKTEDNCSGIKSMLNLSEMAKTDCKMCDMSFDYEKIKLSHLNQQQLYSLKSIISAVHCRSNKHIELIQGPPGSGKTEITIALLQVLHHMNLKVLLCAPKTNIVKFLTNLDKCLFPLEDALVLDNLDSTELAKEFQRHCLCHRSQDFLVGITLFKKWLREMFVLLNLDPYCTEKCDHEPTRIRCSTNSLLVFTLSSFKEKFTKLLMRKEWLTNLKERFSEIYLSADIANDITNLLSLLKDFEDLLCHERLQDKRVQWTFGLSSVPCKLGGNSAARKLNDLRLQYVDFIQRFRSSLKLPKLEERKSLEDFCIKHAKVIISTTQSTFRLHEAAMEPINLFIVDDAAKINECDLIIPLRLPVTHFDARRRLQFAAKQGMGKCQHMLTEQYAIHPSIWQFPNEKFYEGRITNGATVVSPEYNKQFKGLKFPNYCFIDVTGTDGPSCKNTIELATIQYMLKIISQGLEDTEVIDVGVLCLCGSNVGGIKSSLGKKYATHNKINVHIESADSFEGETYHLVILSMLFKDENTILQIEKINAALTRARHCLWMFGEVDSVSDRGGIFAELVHDVIERKCILKWNTITTIQSKYALESDNFHGSSSASSNETIHQVCTEFTWSGRPKRTKYILAPLRDQGNSDTCTMHSCLGAMESMYKHQYACLEPPQDFSWILSTDNLKEEYENVVAKEIGSEEIEKKGKHRLATVLEILKEPGVLGSRKQQPEVVSNFKIKSHSQLPIKEDQEIKTVFDTVKDGKILVGHFHMSENFFSLRPGEIYHYDRQKPYLNPVSSLQASHAVMIIGSGVTMTKVKKRIQCAIHLSLQNSAGRLVGENGCGYVGLESMRGLYQLDI >ONIVA11G21700.1 pep chromosome:AWHD00000000:11:22772585:22778973:-1 gene:ONIVA11G21700 transcript:ONIVA11G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKQRRKGKKKVGAAGATAAGEEAAARDEDAGTSSHAVAGEASSGAGGGADGGSPAPRAASPPPTAAGGQGGGGGGPVSGGGGSAPGGAATAPRQGAPPAAATSVQGAPRAPATAATVPQGGSPRRTVPAQSAPGGPATAQSSPRAATTTKLDKSVSNNIEEKGPAFGDAPCRNKATGVDIDDSSRDDADGGDANNMSLLSKTVLSWTIQDILLDNEVQKVPTKFKGLQHYLDVHSNLLMEEVRITIKSSLLKVETTQCFRDFVVSFAGPPSIYYIDIDLYGIDNCQHVVKDGDLFFLSTQPLRGQLSGCFGIATDVGCDNQFQRSFKMLVSENQKNTDLESIRYICFLTNIMDNLNISKAMVTMSSGRCGIINSIIRRNEKCKKTCACAELCAFGIEDSSYLEKYNEEQQCAMTCIMSKVGCHHNHSVDLVWGPPGTGKTRLAAGLAICMLNLRLRILVCVPKKRDIHIFLQSLQKVDPSFDFRGIVVLNRLSNSESIENCNKFHEMNLENRAQALYCCIFLWRSFVKELGFVLGLKPYCKEKCDHDGCTICSKSKLAVFSFSSFKEKVCALAIDVEKCSRILIDSLSDILLSNYNIEISNKLLSGLSHLEDRIKNSDITQSGVEKEFGLASGIDFSWEEVGCNVAELNEIRMTCLGLIEVVMNSIELPQLDDRKDLEEFCIRHSRIIICTPVCSSQLRELKLDTIDILLVDDAAQIKEIDMLIPLSFSPRHIVMFGDHLHLQPMVKSEVCKEAGYASSLFQRLMHSSSENKRLTKQYMMDPSISQFVSENFYEGRLEDDSTVKSDDYNKLLKEFPVPAYGFFDISGVDELTGKGKGFVESSVIMFLLQFLCKGRTNAIGKINVGIICLYNNRMDALRNLLGIKYESHDRINIEVNSLGNLHEKWYDVVILSSVSDEKAELLEGSKMNVAFSRSRYCLWIIGEGKNLIASEDLWKKLIGYAKNLHCVATLNSNVLSKVMSQLNDRDKDIPTASALPKKGKNLHGQEDPRIQNTSWLPFEIKRMLLDFTWSLSLNDLKTRYENTVAEEFASEECKKRGTHRVETALKILKDDGVIGRDKVDPEDRLFKIASYEKVGINEPQKAGNIMVGHFRVSRNYFYSLIYSYDKRVPYIHAKSNLPVSHAVMVIGDGRHREPMASAANDREHVMIQNSEGKRFGIDGLGRVDKLSFRGIYQIILQD >ONIVA11G21690.1 pep chromosome:AWHD00000000:11:22760521:22766064:1 gene:ONIVA11G21690 transcript:ONIVA11G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAGGRGDVTFAGPGGGRSPRPRRPVRRGTRYPVSSSSSSSSSSSLEGGEGLGEEGRSEEDRPRRRIIRLVRPGLAAWIPGCASADRRPRVRQSVLYSDRPKRSQGIRGQVQSKTKSLDEKNVSEVSSELGTTTADIPKFKALMINDSLSRLDSTERSNESTYDAGTPGSNQVTSKFVKDKPKDDSDDVEECLRQLQEYYSKGHVHTSIEDAWNEVVAYEHVALTALALEWGMEPPPDPFQKPKSSLVDQEAQTLRAPQQTSDAAQHNIVSCKEEPSQQILPIHQPRTEVSIEEIVERGKKWMGEEVMVAFKKYIEGKADLAGHQYHLELCHQCFHVESYFQNFHHYNFNVKIKKHDSDEWSESMYFAEVKMILRRKYYFCCPLEPRENGHCYACRNQGMDDLRHPATGGFEMGSPDTVFPYMYTSD >ONIVA11G21680.1 pep chromosome:AWHD00000000:11:22755256:22755722:-1 gene:ONIVA11G21680 transcript:ONIVA11G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGCADQRLVHYLYACNYNSRVKNPYFSRVQMQQIKNADEVDGWIEERIKDQDDKLGGWNN >ONIVA11G21670.1 pep chromosome:AWHD00000000:11:22744619:22751169:-1 gene:ONIVA11G21670 transcript:ONIVA11G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRRRKGKTQVGAAARDEEPSVVVDADDADGGARSHVVAGEAAGGGGADGGSPASRAASPPPPTAAGGQGGVGGGPVSGGGGSAPGGAATAQREGAPPAAATSVQGAPRAPTTAETVPQGSPRGPGVRTVGPVPSTAQSAPGGSATGQTATAQSSPRAATTTKLDIEEKGPAFGDAPCRNKATGVDIDDSSRDDADGGLSKTVLSWTIQDILLDNEVQKVPTKFKGLQHYLDVHSNLLMEEVRITIKSSLLKVETTQCFRDFVVSFAGPPSIYYIDIDLYGIDNCQHVVKDGDLFFLSSQPLRGQLSGCFGIATDVGCDNQFQRSFKMLVSENQKNTDLESIRYICFLTNIMDNLNISKAMVTMSSGRCGIINSIIRRNEKCKKTCACAELCAFGIEDSSYLDKYNEEQQCAMTCIMSKVGCHHSHSVDLVWGPPGTGKTRLAAGLAICMLNLRLRILVCVPLKREIHIFLKSLQENYPSFNIGKALVLNNLLDKDMCNIISETTLANRASELYVALFVWKAWVKEMAALLGLDMYCRKKCVHHDEDLTCNKCEPIEFSLMSFKKKFGNTAVELRKCSTCLIKSLSATSLSDLDVKNVNNLLIALSQFENLMQKSEISDYSVKRAFGITIAVDYDFEDCCTAKSLDQIRRTCLALTETVLSSIELPQLEGWSDLEDFCIRHSHIIISTPGCFARLQSLKMDQVDVLIVDKAAQIKENDLLVPLSIPPRHVVLLGDHQHLQPIVKTEGCKEAGCTRSLFQRLLHLSFTRHKLIKQYMMHPLIRQFPSEHFYKDKIVDGQSVESINLQFPAYTFFDVVDMEDFSCMGKKSMEAAVVLFLLQKLCEGLTNATGRLNVGIVCFCSNQVNAIITQLGRKYQNHDRVNLEVNSLENMHEDWYDVIILSSLFDDKSELPTDNRINVALTKSRHCLWIIGQADILLQIPGTWKSLIHHSMQQNCVVVLDSKSLTMDMEPLSETTDQDGLVSTQSTTPNKDLEDHITLNTFWHVFETKKVLQDFQWNLSVDNLKSQYEHHESTEFGSEEKNKRGKHRLESALDILKVHGVIGSNEVNSEEALFRISAHNRVDAAEPENLRSIEDGNVMVGCFRLSYNYFYLKPGEVYWYDKSKPYVHSRSNLPAAHAVMVIGHGKRMMDRGGGTSNNVVRRHVVIQNSEGKRFGFDGTGRVLRRSLTHLYQMKI >ONIVA11G21660.1 pep chromosome:AWHD00000000:11:22738165:22742076:-1 gene:ONIVA11G21660 transcript:ONIVA11G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDAGGGGGGGGGDPATGGPAEFPGEAKITLRPDFLLLQAAPLQAAARKSKRYAIQQSILYKEHKRQSRHQLRGAQPSTSQKPRHAEAGSKTHVRQSVLYSERKRQPRHERGGTQPPTLLTASEHDSTTVEPSRLKEASPSLSDELRELEEYRKTHTFSSFEDAIHYVLSVHPRTLSGLPVTEDDHHDGEAFELTTPAQSVPVQVPTSEASVDVVQNGNKWMGEEVMTAFEKYVEERDYLKGIEYKLDELCHQCLNVKNYNHIFHHFNFSVKTKTPGSTDWTSELYFAEVKTMFRQKVYFCWPLEPNENGHCNACKNQGMDDLKHPVIGAFDRGDNDTMFPYMYIGDDTACPYFWLSESDDEFPNRVLDDSDDDDII >ONIVA11G21650.1 pep chromosome:AWHD00000000:11:22734474:22735745:1 gene:ONIVA11G21650 transcript:ONIVA11G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAFPPGVLVKSKMKEAATITTVIEDNIATSSKADDGKTEKHTGATSSTVSAKVEDSIAVSGKVSDSPYQNAVFGKVDDPTASLPMTTTPPLLYPTRSPCHRPPLRMASPRGGNFPVGPWDPPGA >ONIVA11G21640.1 pep chromosome:AWHD00000000:11:22725787:22730256:-1 gene:ONIVA11G21640 transcript:ONIVA11G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAGGDHVSPAAATRNHQQPPQVNGSSSRARALDARGTGTISHIQQSALYSQRKRRSGQKRGEQSPTLPVKERDDDVSSSELGPTSKDLSKVEALSLDDSQHASGSTDCVVVHAGNTGSDGLDSTFAQPAALEEAVSPSLSEEIREYEEYLKEHTFDSMEAAFEYLRTGQRVVLPKVEFSDDESSSEQFLLEKSEDQSTLEPEHDQSVVTQGQCDDSSLEEITQNGKKWMSEEAMVAFEKYITRRDDLKEYDYQFDELLHQCFNVEHYYKIFHHFNFTVKMKAPCSTDWTSVLYFAEVKELLGHKIYFCSPLEPNEDGNCYACKNQGMENLKHPIVGVFDRGFPTQVFPYTYSSGSEDEAWL >ONIVA11G21630.1 pep chromosome:AWHD00000000:11:22719091:22724773:1 gene:ONIVA11G21630 transcript:ONIVA11G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRAGRGRGDAPFAGPGGGRSPRRRPGRARYSLEEGEGLGEKGRSEEDRPRRRIIHLVCPGLEAWIPGCPSADRRPRVRQSVLYSDRPRRSQGRRGQVQSKTKSLDEKNVSEVSSELSTTTADIPKFKALTINDSLSRFDSTERSNESTYDAGTPGSNQRSSKFVKDKPKGDSDDVEECLRQLQEYYSKGHVHTSIEDAWNEVVAHEHVALTALALEWGMEPPPDPFQQKKSSLVDQEAQAHQAPQQTSDAAQHSMMPCKEEPSQQILPIHQPRTELSIEEIVENGKKWMSEEVMVAFKKYIEGKADLAGHQYRLELCHQCFNVESYLQNFHHYNFNVKIKKHDSDEWNESMYFAEVKMILRRKYYFCCPLEPRENGHCYACRNQGMDDLRHPATGGFEMGSPDTVFPYMYTSD >ONIVA11G21620.1 pep chromosome:AWHD00000000:11:22718271:22718588:-1 gene:ONIVA11G21620 transcript:ONIVA11G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRTVPHRSDVSVFATSTPLVLEDADLLPDDGGSHLKSSPSRTSSHRYVVPVLAISMPLVLDYADLLPNNGGCRSQILWRDVEDAISHVLAAHPGPFQCVRLW >ONIVA11G21610.1 pep chromosome:AWHD00000000:11:22691992:22699315:1 gene:ONIVA11G21610 transcript:ONIVA11G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRRRKGKTQVGAAAGEGSAAARDEEPSVVVDVDADEGPSHVVTGEAAGGGADGGGSPGPASRTAAASATAGGGGGGGSVSGGSAPRGEVTVQSAPRAAAIVPRGPGVRAEGAVTAQSAAAPAATVQSAPGGSATGQTSLQAGTTTTAQSSPRAATTTEALEEGDLQLYKFDVDDTEKKFSSQHSFLIDTILSWKMDDILNGNLLKHQIKKIPDSFESIEHYVNIHSNLLIEETRSTLNSAILDITKSQYYHILSMQYCGLKNQYFVDIDLKMAATQCFHIAKDYDVCLLSAISPLDPKFNMSKWCLGIVNGVKKDFFYQKGFQVLISRSSDEDIQLEEMKYAIFLVNITNEMKISEVLSVAADTTKCAAIDTILNLEKRKTEMVWAPPGSGKAGMILALVEAMVYLKLRVLICMPKISNILELLLDLKESSALSNPFGSVVVLNSFRGTEKYKELVTPARRKKYKELEEAFLENKSEELYCCLTLCKPWMKQMACLLLLDGYYHTHCVQPYDCPKCRKTGLLTFAVDSFIERFGILIVHLRENLKYLVNKLSAVCILDSDSEKIEELLDTMARFEDLLHDERLTEYNIEQALELAPIHDLTSEDNGYTLAKELLESRMSCLRLIETISVSLDLPEFDEKEGYHKLCIMHSPVVITTPDCATQLHGLKMNPFDVFIIDDAGKVKEAELLVPFVAPVRHVVLFGDHCHSQPRVKSKICEEAGYGMSIFQRLQPVAYKMHMLTEQYVIHPFIMQFPSSHFYGGKIVNVPDVKSTHVPKEFGNLNLPIYMFHDIATKNEWVQKEKSLINTASIFMLLQRLCEGLKNASRRNKIRVVCLTSNEANVVRSKLRFKHEIHDIIDLQVNSIDCLQEELCDMVILSTIAEDNREVKLVQDNNINAALTISRHFYWIVGDSTTLINSEGTWKSLVINAKERNCIQRLDINALNEVELKMNDVDDATDPNGESLSKILSMPGQEFSWAGRPYKTKHILAPIRDQRGDPDTCTFQSSLGATESLMKFQKACLEPPQDFDWILSVDDLKSQYGKKLSENLVSQKKTLGSEEKSEKGCKRLQTALDIMKDTGVSGIDKSEQVQRFKISSYEYVDPKETTSVTSHLEEGSIMVGHFRLSYNYYYLKPGEVYMFDKTKPFIHPKSNLAAAHAVMMIGIGKKQTRWNEETKSPVFLRHMVMQNSEGKRFGMDGIGRIWKNSVRGLYRIQV >ONIVA11G21600.1 pep chromosome:AWHD00000000:11:22669566:22683577:1 gene:ONIVA11G21600 transcript:ONIVA11G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMPNDSLVGAATEWDRRRARSNGWLRRAVYSSARDLDDAWPRERRSASLLRRRQPPSPSLKFGLADAMGAICWAERTSGWRPKREERMRAGLGEEEGRMGQIWPRLKRKSLCTPSVFFATSFTNGEAEAAGFGDPGLSVDGYDG >ONIVA11G21590.1 pep chromosome:AWHD00000000:11:22645710:22647708:-1 gene:ONIVA11G21590 transcript:ONIVA11G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYREHKILSFSIWECICKFTSKSYTSRRKPGHAEAGSKTHVRQSVLYSERKRQPRRERGGTQPPTLLTTSEHDSTTVEPSRLKEASPSLSDELRELEEYRKTHTLSSFEDAIHYVLSVHPHTFSGLPVTEDDHHDGEAQSVLIRVPTSEASVDIVQNGNKWMGEEVMTAFEKYVEERDYLKGIEYKLDELCHQCLNVKNYNHIFHHFNFRVKTKTPGSIDWTSELYFAKVKTMFRHKVYFCWPLEPNENGHCNACKNQGMDDLKHPVIGAFDRGDNDTLFPYMYMGDDTACPYFWLSESDDEFPNRVLDDLDDDDII >ONIVA11G21580.1 pep chromosome:AWHD00000000:11:22639794:22642761:-1 gene:ONIVA11G21580 transcript:ONIVA11G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDARRRRRHRAAPANPSPESPPPLESVDRRSPQAIARQTRTSTITKQIIPFAIYTSMIFTRHDLFRVEKDVSEVSSSEQPNDSVSTLDHSTDRLNEPTYDAGSKQGSSKIAEDKTRDDSDDVEECLRKLKEYYSKGHIHSSIDDACNEVLAYEHATLTALALEWGMEPPEDPFRQQNSSVDDQEELHQQALSQQNLQIHRLPRHEVSLEEIADNGKIWMGEEVMVAFKNYIEGKPDLAGHEYRLELQHQCFNVENYY >ONIVA11G21570.1 pep chromosome:AWHD00000000:11:22632465:22637322:-1 gene:ONIVA11G21570 transcript:ONIVA11G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLTPSPLTVLALAFGSKGTLFLKGEGQAQEAAGSPQGVVLEEEIKVKEEFNNHKFKW >ONIVA11G21560.1 pep chromosome:AWHD00000000:11:22629630:22632353:-1 gene:ONIVA11G21560 transcript:ONIVA11G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27950) TAIR;Acc:AT5G27950] MEGHVIVPLEKLSLELNNGGIMLNHDKDISALQEEISALRSRQRHLDHRRQEALDKLIDLKGSIRVFCRVRPSISANNFMTKSPVTVENEKIVVRAVGIKKEFSVDRVFDQESTQEDVFQEVKPILRSALDGHNVCILAYGQTGTGKTYTMEGNNGKLGIVPRAIQELFSHASQDSSSTYSFSISMLEVYMGTVRDLLTPRQPLFRSTECNTSSIISILATKSGAVEVEGLTDVAIQDLKKANQWYCRGRRARSTSWTNVNDVSSRSHCLTRITIKRSSEGATEECSKLWLVDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPSDDDIGETVCSLSFAKRARSIEYSKELSEDIKKLKQKRIAELDKEICDAEQELKDLNEQIKRAETSLEERKKLSSSVCQALSDEKGSPRSTLVVVGHIDSAESPQATEKTKSRASHGSVPHFMSPTVCSRQRHSSASHSATKTRLTKSVNRYPAAELSGSHSFSYSSCKNAAKARSVAFSSSMPKMKCLPLKSDQINMSNNSIDSTAASAPRRRESFISRPAQRAPLHQHRRRMSSLT >ONIVA11G21550.1 pep chromosome:AWHD00000000:11:22621820:22625801:-1 gene:ONIVA11G21550 transcript:ONIVA11G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLGMGMGLGDPPADYGSIAAVGLFVALICVCIVVGHLLEESRWMNESITALIIGLGTGGVILMVSSWKHSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAIGTLISFSVISLGSLGLISRLNIGSLDLGDYLALGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSVVLFNAMQNFDLANFSSVKFLQFIGNFLYLFATSTFLGVAAGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNRVPGSQPSKHAFATLSFIAETFLFLYVGMDALDMEKWKIVGETYSPMKSIALSATILFLVLVARAAFVFPLSFLANLTKKTEEGKISIKQQVIIWWAGLMRGAVSIALAYNKFTRSGHTQLPSNAIMITSTITVVLFSTMVFGLLTKPLIRLLVPARHLNRESSALSDPPSPKSFLDPLILNGSDADLEIGVGIRRPTSLRLLLASPTRSVHHYWRKFDNAFMRPVFGGRGFVPFVPGSPTERSGGDLTGGGLAVVRPLLSTAAWMRGIGDSGGGARGCMAASSSSSLPGGFPQLLRRRGGAARRRPFPAARMVAQRLPFCRGGGKASSPVAHVADFLG >ONIVA11G21550.2 pep chromosome:AWHD00000000:11:22621820:22625828:-1 gene:ONIVA11G21550 transcript:ONIVA11G21550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLGMGMGLGDPPADYGSIAAVGLFVALICVCIVVGHLLEESRWMNESITALIIGLGTGGVILMVSSWKHSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAIGTLISFSVISLGSLGLISRLNIGSLDLGDYLALGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSVVLFNAMQNFDLANFSSVKFLQFIGNFLYLFATSTFLGVAAGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMHAFATLSFIAETFLFLYVGMDALDMEKWKIVGETYSPMKSIALSATILFLVLVARAAFVFPLSFLANLTKKTEEGKISIKQQVIIWWAGLMRGAVSIALAYNKFTRSGHTQLPSNAIMITSTITVVLFSTMVFGLLTKPLIRLLVPARHLNRESSALSDPPSPKSFLDPLILNGSDADLEIGVGIRRPTSLRLLLASPTRSVHHYWRKFDNAFMRPVFGGRGFVPFVPGSPTERSGGDLTGGGLAVVRPLLSTAAWMRGIGDSGGGARGCMAASSSSSLPGGFPQLLRRRGGAARRRPFPAARMVAQRLPFCRGGGKASSPVAHVADFLG >ONIVA11G21550.3 pep chromosome:AWHD00000000:11:22621820:22625801:-1 gene:ONIVA11G21550 transcript:ONIVA11G21550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLGMGMGLGDPPADYGSIAAVGLFVALICVCIVVGHLLEESRWMNESITALIIGLGTGGVILMVSSWKHSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAIGTLISFSVISLGSLGLISRLNIGSLDLGDYLALGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSVVLFNAMQNFDLANFSSVKFLQFIGNFLYLFATSTFLGVAAGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMHAFATLSFIAETFLFLYVGMDALDMEKWKIVGETYSPMKSIALSATILFLVLVARAAFVFPLSFLANLTKKTEEGKISIKQQVIIWWAGLMRGAVSIALAYNKFTRSGHTQLPSNAIMITSTITVVLFSTMVFGLLTKPLIRLLVPARHLNRESSALSDPPSPKSFLDPLILNGSDADLEIGVGIRRPTSLRLLLASPTRSVHHYWRKFDNAFMRPVFGGRGFVPFVPGSPTERSGGDLTGGGLAVVRPLLSTAAWMRGIGDSGGGARGCMAASSSSSLPGGFPQLLRRRGGAARRRPFPAARMVAQRLPFCRGGGKASSPVAHVADFLG >ONIVA11G21540.1 pep chromosome:AWHD00000000:11:22616923:22621242:1 gene:ONIVA11G21540 transcript:ONIVA11G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVAAVTSLLGQIRNEALFLGRVKSDVRFIKHEMESMRSFLEHLAKTGGDHDPQVWTWMEQVRELARDCRSCVDIYLQRGNPAAVLGPRGGALRRYLCWAPWFVQSMVHQHYAGIELSELKERACDVAQRRKRYGVVVAPKLEAVPPPASSSEAEEDYGATRDNLAGGGSSNSDLGRTSALEGRSLENYCGEELAHWVMGTTTTSASIPSIAIVSPPIQEADDTAEMVAIHDALASVAATHFERSLLVYLPAMHHNPSRIRIKLSDILCYILYQCHMEKESWHSRWGREYLSMVEEYRASNPVNAMKCYIYKMKKIADICSGYTFKRVFQNVVQIGRMVTQRLNGELERGVDAMLGLPNKKPLCILLKALDYLEYGADFTDVRKYHELRVHRMPFQFQMLQLDEEKLLVATAQKLKGHIETNIPIHLSHATYESILREVFQASNKNLQAQEGTTAPSPGVGTSHDVAAAAATPTTTTFDKDQIKQIIYIHMVQQEVLQELQDKQPSQVPEAGKSLVKAEQATPNPANQDDHEFTSAIQETKEKIAQIGVKIEERLLIECIVEEIKELLGGKRTLIIIEDDKNYVSQWYELRNSLEQLSCSGSAMIVTTQDTQRAKEICYPPREPITNSIVGMYHDILLKVTSQRVNGDASQIFRDILNKCCPSEFCMKTFAHALYTNPNRSNEDMCKLLGSLHSQQSSGINAEKMIKFSYDDLRKEYKSCLLYLSIFPHGYSIRRSTLVERWVVEGLITKEDWPSAIHHAERCFDTLIDRWLIYPNDIGAAGKIKSCIVGNLVHEFITKIAKKQHIVEPRLSHHLARHFSIFNELQLRGSDTIDRFFKNLSKSSQLSMLKVLDLEGCCCFKGNEHYIKDICSNILLLKYLNLRGTDITKLPHQINNLYDLEVLDIRQTKVPAFATKHVLLLKLKRLLAGSSISETTMSIRDKEPLSSYVRIPLGIKKMANVEVLFNVKVWTGQELKDIGKLWQLRKLGVVIDDKDNLLKNLLTAISDLCECLRSLSITIVPCSTKREGTPSIGDLLEYISRCLKYRPKLLESLCLQGTTQKGQLLTLLVECFTKLAKVTLSCTSLKQKNLDGLGDLPNLCYVRFRNKGYTDGKLTFIQQKFKNLKYFLVEGKNMRGIKFQKGAAPRLEKIVLSFTNIESLDGVGDLPRLEELELKRNRFLLSLSEVGETLEKYMLTFKKDEFQHLKYLLAEGFSKIFETNITFEDGAAPKLEKIILNSFANIMSHPGVSSLPKFKELELKCNKPLLSSFENANKISKVTLHSTLMKHDDLQIFAKRPSICCLILLGNSYDDTLLTFNKGEFPKLNLLIVDCPTITNISFTEGAAPMLEKIIWSFTKMNSLSGIKNLSKLKELELIGDLVPDEVRIDINTHRKHPVLNHKQPQTQDQEIGSEQGEEEDLKFPACSWLSLKNKYWSCN >ONIVA11G21530.1 pep chromosome:AWHD00000000:11:22607901:22611801:1 gene:ONIVA11G21530 transcript:ONIVA11G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J4Z1] MASSSKLSSAFALAVVVLLLAGGVMPAAEAASAHLHFFMHDTLTGAAPTAVQVVNGPRSHFGDTIVIDDVLTAAASRSSAAVGRAKGQYVWASSGNPELLVTMEVVLTSGPFAGSSVTVVGRDDIAAPVRELSVVGGTGEFRMASGYVLWKTVSLDHPNAILELDVYVNPLPRLMELELNGSNKHVLLPLLKESANRIAKALHKLFFGPSLIDDDHDDKLRHGDDEVTMKASSNGELVMMKANSDRELVLLANDVEKRLLDEEKPKGREDKVMARRRRAAIGAPLLDSSYNESKLTFKKEEFLKLTLLTVDCPAINKITFTDGAVPKMEKITWTLSRIESLSGIKNLPKLNRLQLFGDHVPYQVKEDIKALRMRLVYTHGFTQEQQKQAKRGAEEDQEKDDVRFQLSCFTSKNWCISPSSP >ONIVA11G21520.1 pep chromosome:AWHD00000000:11:22604629:22607580:1 gene:ONIVA11G21520 transcript:ONIVA11G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPTFHLAPDLAVSRLCFGQPAPQTTLLLSLSRGFLLTQRPCVEGTMTMGEQSRLPESLRLLDAAFDAGVNFFDSAEMYPVPQRSETHGRSEEILGRWLRARWAPRDSVVLATKVAGPSGEMTWIRGGPASLDSRNIAEAIDGSLRRLGVDYIDLYQIHWPDRYVPMFGETEYDPSHQYMSVPMEEQLLALGRAIDAGKIRYIGLSNETPYGLMKFLQLSRDSQLHSKILTVQNSYNLLCRNFDAGLAECCHHERISLLAYSPMAMGILSGKYYSSDDGGPPDARMNLFKGRYSEGESRYNLQNPKMKAAVKEYVKISAKHGISPAILAVAFVLRHPLVSSAVFGATEISQLTEVLQATRIHLSEEIVAEINEVHARYPNPCP >ONIVA11G21520.2 pep chromosome:AWHD00000000:11:22604659:22607580:1 gene:ONIVA11G21520 transcript:ONIVA11G21520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPTFHLAPDLAVSRLCFGTMTMGEQSRLPESLRLLDAAFDAGVNFFDSAEMYPVPQRSETHGRSEEILGRWLRARWAPRDSVVLATKVAGPSGEMTWIRGGPASLDSRNIAEAIDGSYVPMFGETEYDPSHQYMSVPMEEQLLALGRAIDAGKIRYIGLSNETPYGLMKFLQLSRDSQLHSKILTVQNSYNLLCRNFDAGLAECCHHERISLLAYSPMAMGILSGKYYSSDDGGPPDARMNLFKGRYSEGESRYNLQNPKMKAAVKEYVKISAKHGISPAILAVAFVLRHPLVSSAVFGATEISQLTEVLQATRIHLSEEIVAEINEVHARYPNPCP >ONIVA11G21520.3 pep chromosome:AWHD00000000:11:22604629:22607580:1 gene:ONIVA11G21520 transcript:ONIVA11G21520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPTFHLAPDLAVSRLCFGQPAPQTTLLLSLSRGFLLTQRPCVEGTMTMGEQSRLPESLRLLDAAFDAGVNFFDSAEMYPVPQRSETHGRSEEILGRWLRARWAPRDSVVLATKVAGPSGEMTWIRGGPASLDSRNIAEAIDGSYVPMFGETEYDPSHQYMSVPMEEQLLALGRAIDAGKIRYIGLSNETPYGLMKFLQLSRDSQLHSKILTVQNSYNLLCRNFDAGLAECCHHERISLLAYSPMAMGILSGKYYSSDDGGPPDARMNLFKGRYSEGESRYNLQNPKMKAAVKEYVKISAKHGISPAILAVAFVLRHPLVSSAVFGATEISQLTEVLQATRIHLSEEIVAEINEVHARYPNPCP >ONIVA11G21510.1 pep chromosome:AWHD00000000:11:22603425:22604128:1 gene:ONIVA11G21510 transcript:ONIVA11G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKFSSVIFGVLIFVAIAATLFSTGLAQGGPAYEYCLLKCIDRCDEFCKTMEYPNGGDCNTGPCCCLW >ONIVA11G21500.1 pep chromosome:AWHD00000000:11:22601795:22602390:1 gene:ONIVA11G21500 transcript:ONIVA11G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFSSVIFGVLIFVAIAATLFSTGLARPPPAGYEFCFVKCIDDCDQTCRSSGYTHGGDCNTGPCCCLW >ONIVA11G21490.1 pep chromosome:AWHD00000000:11:22598396:22599084:1 gene:ONIVA11G21490 transcript:ONIVA11G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFSSVICKVVLIVVTMVALLFSSGLADDGPGYEYCFLKCIDECNQTCKSSGYTHGGDCNTGPCCCLW >ONIVA11G21480.1 pep chromosome:AWHD00000000:11:22582142:22588405:-1 gene:ONIVA11G21480 transcript:ONIVA11G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGTGAITAIATVLGGAPGANVLLPRPGFAPYEAACELAGAEPRFYDLLPRRGWEADLAGVRAMADSATAAIVVINPNNPCGAVYSAQHLFQIAETARELGIPIIADEVYAHMVFGGSKFVPMATFAHITPVITIGALSKRFMLPGWRLGWLAFCDPNGALKHVRNATEMLLNVTSGPASIVQAAVPKILSNEHNEFHRNVVNLLESAADALYRRVNQIEALQCYSKPHGSMFMMVEVNTSLLFGVEDDMDFARELIKEESVLVLPGSVIGLKNWIRIFFGAPTSVILEACDRIEAFCQKRAVQVKLLKKKF >ONIVA11G21470.1 pep chromosome:AWHD00000000:11:22579786:22580268:1 gene:ONIVA11G21470 transcript:ONIVA11G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J4Y3] MAPKVFVPLCVVVLAAALAAGPPVADAASAHLHFYMHDVLGASAVQVVRGPRGMFGNTVVMDDVLTEGPAATSSVLGRAQGQYIVASTGSMDLMVTMNVVLSSGPFAGSSVTVVGRDDTGAAVRELTVVGGTGQFRMARGYVLWRTIRPDLLELDVYVNP >ONIVA11G21460.1 pep chromosome:AWHD00000000:11:22574983:22576593:1 gene:ONIVA11G21460 transcript:ONIVA11G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKKRPAAAAVAAVDEEPTAGSTSSAAAAEADGGDATVINRSVEGDDGGEEAVAVEEERRESSSPEMDEAAAEKVKEMNRLLVRESVEERARVAALAAGIGELEADAGAVADEERAVLRVALAVKLAAASDEAAATRARLDAARESLEAAEAAAAREAAGRGEAAARLEAAAAENGRAMELLATKEAELAAISANLAESDAMVAQLEEQNSQLRAERGELEKRLEETKASSRRVHTQKTEVEESFEEFKKNTEKHKQQMEEKLGEKMAELKLLTSIKTEMAAKIESFEAELSMAMARSSELESEIDSSKSELAAAKTEAEKLRSEVAEIDEKHRMAEAKVNELQIEIEETMKTKDAEASAFRADKITMEKTLETLSLEMKKIHAEKDAAAAMVLQKSDESENLRAELKNLHKSISELRIRCNDLTDHSSRLQADKNSVMRALSNEKSESTKLRLKLRELESYVSNKDNEIGVLNSEAEDREGMVDGMSRQFEQLRIAAAEAHRRGKNGVWTWMCHPATTTVLAAASVVYAASRR >ONIVA11G21450.1 pep chromosome:AWHD00000000:11:22560465:22563084:1 gene:ONIVA11G21450 transcript:ONIVA11G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVIVASSPSIIVFMDTSAARGDGSGGGGGGGGEPHVLVVPFPAQGHMIAHLDLAALLATRGGMAVTVAVTAGNAPLLEPLLAACPSVGVVTLPFPPSPLLPPGCGENTRGLPWRLFWMFVPALAALRAPLLDWCEAQHQRRRRVTAIVSDLFTGWARPLADELGAAHVTFSPCTAFFVAMAPPTWHPPSTPTPMPQSSADADDDATAAALLFPHVADDPKPGDEAYEEIRQILLWSLENKCVVVNSFAALEAAYWASPLAARVRSRSRVLSVGPLSEAWPTSSNRGGRPAVAASEVAAWLDAFDDGAVVYVSFGTQHALSAAQVACVAEALARSSAAFVWATGGATAVPEGLEAATAVAARGMVIRGWAPQVAILRHRAVGWFLMHCGTNAVLEAAAAGVAVLAWPMGADHFVNRALLEEAGVAVRLAEGGDAVPDAGEMAKAIAAAIGDEGMPFRERAVRLAAMAAAAVAEGGSSYRDLQELIHMLAKVE >ONIVA11G21440.1 pep chromosome:AWHD00000000:11:22556938:22558370:-1 gene:ONIVA11G21440 transcript:ONIVA11G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFAVSAAKAAVVATEEGAAETASASASPSWPRRTPMLRASIPMRQRVAVCIWRRRWRLQRGRAAQGAGASGGWVASGGGGGELDEFDEISR >ONIVA11G21430.1 pep chromosome:AWHD00000000:11:22552942:22554348:-1 gene:ONIVA11G21430 transcript:ONIVA11G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAAVPAGEDLTIRVVSRRLVKASDATIQPHVATVSNLDLYFNNYQASMVCLYPSNLPVAGVAGSFDAVVAAFEAGLPSLLNHFYPLAGRIVVDPVSRLPELHCHNQGAELVVGEVDAALGSLDFAGMDGSLRRILLPYPDDVMLSVQLLRFACGGFSVVWGNNHLPNDGHGISMVVRMWSELARTGRIGDGVVVNHDRSVFRPRSPPSYGAAVRATFAAYHDESRLVNVLTTQDSFVERLYYIEAGDVARLRDMASTGQRRASRVQAVSAFLWKALAGVVAASRVPEERCRMGWWVDARRRVASPALVPAMHSFFGNMTAYALGEAAVEEILERPLAEVAAMAREAIASIDYDAYVQELVDWVEEHKAEKMMEVSALGLGSPTVNQTVFASFPLDTDFGFGEATLAMPVWENGRVSSGTLAVGARPGGDGSWLVSAYIWPRLAAALESDDHRIFKPLTAAYLGFV >ONIVA11G21420.1 pep chromosome:AWHD00000000:11:22551307:22552450:1 gene:ONIVA11G21420 transcript:ONIVA11G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAAVPPAGEDLTIRVVSRRLVKASDATIHPHVATVSNLDLYFNNYQACAWSASNPTTSPWPPSSPPSRLAARRCSTTSTLSLAGSSSTLSPASRSRSCTATTRARSSSSARTTPRWAAWISLRRIMLSYPNVVMPVKIIVSL >ONIVA11G21410.1 pep chromosome:AWHD00000000:11:22520729:22521922:1 gene:ONIVA11G21410 transcript:ONIVA11G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRPRALMLLAAVLLVAVPVAAATLHPVDYLALQAVRRALSDMPGSRFFASWDFTGDPCGFAGVSCSGDGRVVTLALGDPRAGAPGLSGALPAAALARLSELASLSLVPGRVSGELPPAVAALPSLRFLALAGNLLSGDLPATFSPMLRTVDLSKNSFSGRIPPSLLLIRSLRTLVLSHNSLSGEIPKLVSSPLVHLDLRNNRLTGGVPPLPATLVYLSLAGNRFSGRVGGVLRRLPRLSFLDLGGNWFSGEVPGEVFSFRISYLQLRKNAFSGELRPSGRVPAGATVDLSHNALSGRVPAELAPAAAVYLNGNKFAGQVPREIAAAAEGGRMRVLFLQDNFLTGIGVGGVPASAAVCAHMNCVAPPPPVVAACPAKGGRGRRRPPSQCGGRRR >ONIVA11G21400.1 pep chromosome:AWHD00000000:11:22511382:22515769:1 gene:ONIVA11G21400 transcript:ONIVA11G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPSLAPTMSSTLRRFSIRSGAWPQWPSAAAAAAAAPADGSQACALRPPIRTFSLSELKKATRNFSKENVVGRGGHAKVYRGCLPGGELVAVKRLSAPERGGRVESFLAELGHIVSLSHPNVARLVGVGVDGGEHLVFPFSRLGCLSGRLHGAAAGEEAMPWAARFRVAVGAARGLEYLHERCARRIVHRDVKPANILLKDDYEPMECPLVQKGKRNFAWALLNSQIVVLVFGLNFQICDFGLAKWLPASMTHHQVTTFEGTFGYLPPEYTSHGIFNEKTDVFAYGVVLLELLTGRRAIDAKKLSLLTWARPFLYGGGGDGDDDDDDAVRMMVDPALGGQYDAGQLAAVAYAAKICIQNSPELRPKMSEVTQILQENEEDRRSVEGSRRTFTLDRTVEMHETNGQDSTTRRQLDDLRRHMALAFDFECEHTSSAEIEQLSDHSN >ONIVA11G21390.1 pep chromosome:AWHD00000000:11:22505829:22508961:-1 gene:ONIVA11G21390 transcript:ONIVA11G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSGASESLLPRAGGDGSLAMVIASTGVAVLGSFVFGVSIGYSAPTQSKIREDLQLSLSEYSVFGSIITIGAMIGAVASGHLADISGRKGAMRTSALVCIVGWLAIFFAQGAVSLDFGRFCTGFGVGVFSYVVPVFIAEIAPKALRGGLTTLNQLLVCTGLSVTYIVGTMVTWRMLVIAGLVPSIILIVGLSFITESPRWLAKVGRQKEFEIALQRLRGKDADVSIEASEIKEFIETIENLPKAGVQDLFNRAYIRPVIVGVGLMVFQQFVGINGILFYASETFVSAGFASGDLGTILMGCIQAPITAVGALLMDRSGRRPLLLISTSGLLIGSLMSAVSFYLKIHGLFLEQVPIIALAGILVYIASYSIGMGAVPWVIMSEIFPINIKGIGGSFVTLVNWSGSWAVSFAFNFFMSWSSSGTFFLFALVCAVAILFIVKIVPETKGKTLEEIQASMNSST >ONIVA11G21380.1 pep chromosome:AWHD00000000:11:22496724:22506491:1 gene:ONIVA11G21380 transcript:ONIVA11G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: transport; LOCATED IN: nuclear pore; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nuclear pore protein 84/107 (InterPro:IPR007252); Has 5399 /.../ hits to 5001 proteins in 612 species: Archae - 19; Bacteria - 730; Metazoa - 2186; Fungi - 823; Plants - 382; Viruses - 37; Other Eukaryotes - 1222 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G14120) TAIR;Acc:AT3G14120] MEVDPPPPPPPPMANYFDPESSGRREEYRRYRKRLSSSNASPLLGTAVSKFSEARLFCDGNSIQRRPNAGLLLEDIKQEAADISDFDSLDESKLFGSGKKRASLDASDAGFSSGRQAVRSALKSVKLEEDMPHEGETTSTIFASLLDSAIQGLMPFSDVILQFERTCRNASESIRSAGTGKLRMVEDRLMQQKAQLLLDEAASWSLLWYLYGKGNEELPGELFVAPTTSHQEACRFVVTDLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYIRRKNNDTTIVKHVDFDAPTREGAQLLPDDKKQDELLLEDIWTLLRAGRLEEASELCRSAGQSWRAATLCPFGGIDLFPSLEAMLKNGKSRTLQAIELESGVGRQLCLWKWASYCASEKIAEQDGGRYEMAVYALQCSNLKRILPICTDWESACWAMTKSWLGVQVDLVLSQYQTSRPEEKQFDDEMNGTQPMLNSAGPESWPHSVLDQQPRDISALLQKLHSSDLVHETVSRACREQHRQIEMNLMSGNIAHLLDLLWSWVSPSEDDQNILRPRDDPDMIRFGAHIVLVLRYLFSDEMEDEFEEKLVAVGDLIINMYVRYLFSEQQEELVGVYASQLERDLCIELFVEMMELRLNSSLHTMFKLFLSAVEYLPFSSGDASKASLEEIIERVLSRSREPKPIKYDEDIFDVAEMHHLQALQKAMVIQWLCFTPPSSIPDFHMISGKLLIRALMHSNTLFREFSLISMRRVPELPVGPHKLLAILAEPLKQKENLISLEDPEVSDNLQEFEDWHEYYSLDATYRSWLKFEMENASISPEMLSAEEKSQAVAAAKETLELAFLLLYREDIPWLNAVESSPIEPSEHVFLELHATAILCLPSGECMLPDATSCTALTSALYSTVSETEVLHRQLKVDVNVSSKDPCCIQVSLLCLAVEGDGLGLHEANDGGLLAAIMAAGFKGELNRFQPGVSIEISRLDAWYSDGHGSVESTAAYIIRGLCRRCCLPETILRSMQASIALSEAGDSLDHCDKLIELVASSESGIMHLFSQQQLQEFLLFERECYLSKMELEEEQLEQLPADG >ONIVA11G21370.1 pep chromosome:AWHD00000000:11:22492688:22492888:-1 gene:ONIVA11G21370 transcript:ONIVA11G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDSAMADPVVGRTAVADLAMGRTAAVDPAIGRTTDPVTEEERQRRAKRSSRRSRGGGVPWSCGR >ONIVA11G21360.1 pep chromosome:AWHD00000000:11:22491089:22491667:-1 gene:ONIVA11G21360 transcript:ONIVA11G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAAWSCTESLGSESGDVGGCDEDDVVVDHHQQQLPQAAAAEGPQTSSPEKRRRTDQRRLPPAMPRAAEGGPFMRAERRGGRLILTEVARGPDRRREVFRASRAGGRLQLRFAGVEEEEGEGEEAPDDADADAEPAAPAETAESVATTSGGGGVVVSGYCCNGGGGGGGFCQVAGGAGRRLEIGAVMGT >ONIVA11G21350.1 pep chromosome:AWHD00000000:11:22481662:22481974:-1 gene:ONIVA11G21350 transcript:ONIVA11G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVELFLVQVYRLITSQEVTKALRARKNEKMQRCKAIRQQHDQLQRGDPLTDVAKEEMQRRWRGDFKFFLLMC >ONIVA11G21340.1 pep chromosome:AWHD00000000:11:22477603:22484303:1 gene:ONIVA11G21340 transcript:ONIVA11G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCTEPAATRRRLLLLLLLLLLLLASSPLCSRVSASARARSNGGGSSRRVVRHLPGFDGPLPFELETGYVEVDHIAGVRLFYYFIRSERRPAADDDPLLLWLTGGPGCSAFSGLVYEVGPLTFDLHHGRHGRQGGDLPRLLYKPESWTKRASVIFLDSPVGTGFSYAADADTDGAGFRTSDTIAVRHILSFLRKWLQEMHPDLLSNPLYIAGDSYSGMIVPAGYLLGNPLTDHNFDTPSKIPFAHGMGLISDELYECVKDIHQNHILEPYCTWASPHNPRIDKPFTSGGRQMLQLQELHLSEISSECRTTRYTMSRIWANNDTVREALGIHQGTVPSWQRCNLDLPYTRDIKSSIRYHLDLTTRGYRSLIYSGDHDMSIPFIGTQAWIRSLNFSVVDEWRPWFVDGQVGGAKYED >ONIVA11G21330.1 pep chromosome:AWHD00000000:11:22466725:22469767:-1 gene:ONIVA11G21330 transcript:ONIVA11G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPPPATAYGTAPHPLAGEKVPLSYRRTTSGRRFCRCGGLAVGLIVHHHVGDGHSMSAFCATWARAVLEGEAFNVPSPFLDRAVTGAPRSPPAPVFDRRSIEFEVGNHAGRKPFGTGAVDTNMDKDIRNLAVHFTAEFVDELKARARVGQFADNFGRCSTPLPEDQQQQRELDADTGAGGFTHGAVDEFDLPAAVDWRQMRYNLVPEAVTEVKDQGECGSCWAFAAAAAVEGFASIRTNNLSSLSSQQLVNCVAASHGCANGWASTALDYVARRGGMASEAAYPYTATQSTCLLDDSSSTAAPPAVSAATAIDGFARVPQYDEPTLRKAVAAQPVVNSWGADWGENGCIRISRDVSAATGKEGACGILMRALNLEKVILLIAKC >ONIVA11G21320.1 pep chromosome:AWHD00000000:11:22462491:22463554:-1 gene:ONIVA11G21320 transcript:ONIVA11G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKVVLKVPTMTDDKTKQKAIEAVADIYGIDSIAADLKDNKMTIIGDMDTVAIAKKLRKIGKIDIVSVGPAKEEKKPEKKEEKKEEKKEEKKEEKKEEKKDKK >ONIVA11G21310.1 pep chromosome:AWHD00000000:11:22459743:22460198:1 gene:ONIVA11G21310 transcript:ONIVA11G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKNITIPLTDIGNKSNNSLCAVYAVESSYSLCQVAQLNNFNKQTVSAFNRVSATALQTYR >ONIVA11G21300.1 pep chromosome:AWHD00000000:11:22458265:22458555:-1 gene:ONIVA11G21300 transcript:ONIVA11G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRLAVLVLAVAMVAACGGGVVGVAGASCNAGQLTVCAGAIAGGARPTAACCSSLRAQQGCFCQFAKDPRYGRYVNSPNARKAVSSCGIALPTCH >ONIVA11G21290.1 pep chromosome:AWHD00000000:11:22453132:22455095:-1 gene:ONIVA11G21290 transcript:ONIVA11G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKKVEVTKDIAEEKAVVPLPTPPATEHDDSKAIVLVKEAEATGGSAERDAYLAKIVSEKRLVLINAWEESEKARAENRAAKKLSYITSWENAKKAEMEAELKRIEQELEKKKAAYEEKLKNKLALLHKTAEEKRALTTAKRGEELIMAEEMAAKYRAKGEAPTKLFGLLKA >ONIVA11G21280.1 pep chromosome:AWHD00000000:11:22445968:22451769:-1 gene:ONIVA11G21280 transcript:ONIVA11G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVSPSAGTGGGGGAAAPSRDPPISRYKQRHDGNLRTRLLEEKAQTEEEEEGPPATTAAAAPSPTHTHTYYYDDTSSGRRIRGGARLSLRCTCTRHMPHRPHLAQLRRLLLLPCSSVPVPVPARRLSSPRAMASSSVSAAAAAAAQAGGAVAAAEYEDVMGRLSSLITQKVRAHSGNRGNQWDLMAHYLQILELEEPIARMKVIHVAGTKGKGSTCTFTEAILRSCGFSTGLFTSPHLMDVRERFRLNGVDISEEKFLKYFWWCWNKLKEKTDDDIPMPTYFRFLALLAFKIFSAEQVDVAVLEVGLGGKFDATNVVEAPVVCGIASLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPEEAMIALKQRASELGVSLQVAHPLEPHQLKDQHLGLRGEHQYVNAGLAVALASTWLEKQGHVERIPLNRTDPLPDQFISGLSNASLQGRAQIITDSQVNSGEEDKDCSLVFYLDGAHSPESMEICARWFRHVTKEDRTVPSSVILLFNCMSVRDPMRLLPHLLDTSTQNGVHFDMALFVPNQSQYNKLGTNSSAPAEPEQIDLSWQLSLQRVWQKLLHGDKGMNNTNSSENSLVFESLPLAMEWLRTNARQNRSTSFQAIK >ONIVA11G21270.1 pep chromosome:AWHD00000000:11:22438207:22447576:1 gene:ONIVA11G21270 transcript:ONIVA11G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAPVGFVYTSRRREARRQRRVVAIAIAFLLLLRRRALPRRRAPRAIGGGRPRPRARERGARDYRTSRFAELSRMVDWASDSDNDKFEWDTDGEAETSSAPALRNIDAPGPSTRLPQDANGKANGSGALVAEFMGMGFPKEMILKAIKEIGDTDTEQLLELLLTYQAIGGDASVGNCSASACAPQTLEVDEEEDDTNWDEYDTAGNCDRTPHSDGSGDEDFFQEMSEKDEKMKSLVNMGFPEDEAKMAIDRCGLDAPVAVLVDSIYASQEAGNGYSANLSDYEDTEFSSFGGRKKTGFVDGSKKRKRYGSGPSGNQVPFDGSHEEPMPLPNPMPEFVDSKYFCAAARKRGYIHNLPIENRSPVLPMPPKTISEAFPNTKRWWPSWDPRRQFNCLQTCMASAKLTERIRCALGRFSDVPTPQVQKYVLDECRKWNLVWVGKNKVAPLEPDEMEFLLGYPRNHTRGVSRTERYRALGNSFQVDTVAYHLSVLRDLFPNGMNVLSLFSGIGGAEVALHRLGIRMKTVISVEKSEVNRTILKSWWDQTQTGTLIEIADVRHLTTERIETFIRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSALFYDYIRILEHVKATMSAV >ONIVA11G21270.2 pep chromosome:AWHD00000000:11:22438207:22445696:1 gene:ONIVA11G21270 transcript:ONIVA11G21270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAPVGFVYTSRRREARRQRRVVAIAIAFLLLLRRRALPRRRAPRAIGGGRPRPRARERGARDYRTSRFAELSRMVDWASDSDNDKFEWDTDGEAETSSAPALRNIDAPGPSTRLPQDANGKANGSGALVAEFMGMGFPKEMILKAIKEIGDTDTEQLLELLLTYQAIGGDASVGNCSASACAPQTLEVDEEEDDTNWDEYDTAGNCDRTPHSDGSGDEDFFQEMSEKDEKMKSLVNMGFPEDEAKMAIDRCGLDAPVAVLVDSIYASQEAGNGYSANLSDYEDTEFSSFGGRKKTGFVDGSKKRKRYGSGPSGNQVPFDGSHEEPMPLPNPMPEFVDSKYFCAAARKRGYIHNLPIENRSPVLPMPPKTISEAFPNTKRWWPSWDPRRQFNCLQTCMASAKLTERIRCALGRFSDVPTPQVQKYVLDECRKWNLVWVGKNKVAPLEPDEMEFLLGYPRNHTRGVSRTERYRALGNSFQVDTVAYHLSVLRDLFPNGMNVLSLFSGIGGAEVALHRLGIRMKTVISVEKSEVNRTILKSWWDQTQTGTLIEIADVRHLTTERIETFIRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSALFYDYIRILEHDVDASFCSDPSSHNTSCCKLLSSASTAPAQGPTRRVVFCTRSVLGSSNGAPSGGLVKKRKIVEHIILLRAKPNISDAEEKDMLDYLYTSQYQMRGILAVSLGRIEDPNTENFTHAVLMRFQQKDDIAKFHSSPYYYKILDEHDFNYGVEFMLLISFLESASGDSVEDALASLQRFISQCSSFIVQATLVDDFKIFREGMEYKDMWASTFQPIVEKSLELHFTVDPVGNQLM >ONIVA11G21270.3 pep chromosome:AWHD00000000:11:22438207:22445696:1 gene:ONIVA11G21270 transcript:ONIVA11G21270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAPVGFVYTSRRREARRQRRVVAIAIAFLLLLRRRALPRRRAPRAIGGGRPRPRARERGARDYRTSRFAELSRMVDWASDSDNDKFEWDTDGEAETSSAPALRNIDAPGPSTRLPQDANGKANGSGALVAEFMGMGFPKEMILKAIKEIGNDNNNLVLLFASGCILNFLFQLLSFLSGDTDTEQLLELLLTYQAIGGDASVGNCSASACAPQTLEVDEEEDDTNWDEYDTAGNCDRTPHSDGSGDEDFFQEMSEKDEKMKSLVNMGFPEDEAKMAIDRCGLDAPVAVLVDSIYASQEAGNGYSANLSDYEDTEFSSFGGRKKTGFVDGSKKRKRYGSGPSGNQVPFDGSHEEPMPLPNPMVGFSLPNERLSLSLWTPSTFVLLPGRGVIFITCRLRTGHLFSQCLPKQYLKPFLIPRGGGLPGIQGGSSTACKLVWQAQSLQSEFVVLWVDSVMYQLHKFRSMSWTNVGNGT >ONIVA11G21270.4 pep chromosome:AWHD00000000:11:22438207:22445696:1 gene:ONIVA11G21270 transcript:ONIVA11G21270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAPVGFVYTSRRREARRQRRVVAIAIAFLLLLRRRALPRRRAPRAIGGGRPRPRARERGARDYRTSRFAELSRMVDWASDSDNDKFEWDTDGEAETSSAPALRNIDAPGPSTRLPQDANGKANGSGALVAEFMGMGFPKEMILKAIKEIGNDNNNLVLLFASGCILNFLFQLLSFLSGDTDTEQLLELLLTYQAIGGDASVGNCSASACAPQTLEVDEEEDDTNWDEYDTAGNCDRTPHSDGSGDEDFFQEMSEKDEKMKSLVNMGFPEDEAKMAIDRCGLDAPVAVLVDSIYASQEAGNGYSANLSDYEDTEFSSFGGRKKTGFVDGSKKRKRYGSGPSGNQVPFDGSHEEPMPLPNPMVGFSLPNERLSLSLWTPSTFVLLPGRGVIFITCRLRTGHLFSQCLPKQYLKPFLIPRGGGLPGIQGGSSTACKLVWQAQSLQSEFVVLWVDSVMYQLHKFRSMSWTNVGNGT >ONIVA11G21270.5 pep chromosome:AWHD00000000:11:22438207:22445696:1 gene:ONIVA11G21270 transcript:ONIVA11G21270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAPVGFVYTSRRREARRQRRVVAIAIAFLLLLRRRALPRRRAPRAIGGGRPRPRARERGARDYRTSRFAELSRMVDWASDSDNDKFEWDTDGEAETSSAPALRNIDAPGPSTRLPQDANGKANGSGALVAEFMGMGFPKEMILKAIKEIGNDNNNLVLLFASGCILNFLFQLLSFLSGDTDTEQLLELLLTYQAIGGDASVGNCSASACAPQTLEVDEEEDDTNWDEYDTAGNCDRTPHSDGSGDEDFFQEMSEKDEKMKSLVNMGFPEDEAKMAIDRCGLDAPVAVLVDSIYASQEAGNGYSANLSDYEDTEFSSFGGRKKTGFVDGSKKRKRYGSGPSGNQVPFDGSHEEPMPLPNPMVGFSLPNERLSLSLWTPSTFVLLPGRGVIFITCRLRTGHLFSQCLPKQYLKPFLIPRGGGLPGIQGGSSTACKLVWQAQSLQSEFVVLWVDSVMYQLHKFRSMSWTNVGNGT >ONIVA11G21270.6 pep chromosome:AWHD00000000:11:22438207:22442343:1 gene:ONIVA11G21270 transcript:ONIVA11G21270.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAPVGFVYTSRRREARRQRRVVAIAIAFLLLLRRRALPRRRAPRAIGGGRPRPRARERGARDYRTSRFAELSRMVDWASDSDNDKFEWDTDGEAETSSAPALRNIDAPGPSTRLPQDANGKANGSGALVAEFMGMGFPKEMILKAIKEIGDTDTEQLLELLLTYQAIGGDASVGNCSASACAPQTLEVDEEEDDTNWDEYDTAGNCDRTPHSDGSGDEDFFQEMSEKDEKMKSLVNMGFPEDEAKMAIDRCGLDAPVAVLVDSIYASQEAGNGYSANLSDYEDTEFSSFGGRKKTGFVDGSKKRKRYGSGPSGNQVPFDGSHEEPMPLPNPMVGFSLPNERLRSVHRNLPDQALGPPFFYYENVALAPKGVWTTISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPIENRSPVLPMPPKTISEAFPNTKRWWPSWDPRRQFNCLQTCMASAKLTERIRCALGRFSDVPTPQVQKYVLDECRKWNLVWVGKNKVAPLEPDEMEFLLGYPRNHTRGVSRTERYRALGNSFQVDTVAYHLSVLRDLFPNGMNVLSLFSGIGGAEVALHRLGIRMKTVISVEKSEVNRTILKSWWDQTQTGTLIEIADVRHLTTERIETFIRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSALFYDYIRILEHVKATMSAV >ONIVA11G21260.1 pep chromosome:AWHD00000000:11:22427303:22430657:1 gene:ONIVA11G21260 transcript:ONIVA11G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSSPAPDAHRRGVFLLSVGAGGGGAGTAVVEVEVEHPHSLSLSPPPMSSCGRYILHRVCRFDTLAGVAIKYGVEVADVKRVNGLTTDLQMFAHKTLRIPLPGRHPPAATATATATATAPSHPPPSSSPAATHRPREWATRRPPKNSALDPLLKPPRSTVAPSMDLLQNYYGLARPPKGDPENEGTEMVTYSIGQHTKARSLSTGFSLVNGEVDDAEKPIRRRQKSDAEFSTREGNSGGVLMKAGPGLALRPKSGSRPEINNSQQDLVATAVPSYGDGLQAVRKSSSTPEFQDSDNSIASVWLKSKWNLKPDAFTLPLPILLLDSIPKPIFDTFPKQIAAWRNKAARD >ONIVA11G21250.1 pep chromosome:AWHD00000000:11:22409055:22421038:1 gene:ONIVA11G21250 transcript:ONIVA11G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTPPLPSNAGLRILLSKDRPPASSSSALAAATSAAVSSHTDRDRIIGVFRDALSRTESPEAFALQAVQDAIKPQKQTVLVLEENQSLENALRKLLQELAVVLSTFEAQPLSDDDGKLNNLDQEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDFLKAPGKTDKEGPTGSMNALTDSERICMPSVTEYWKPLAEDMDPSAGIEDEYHHKNNRVVPQELLPPEVRSKFYSKPGDKAKRPKREDPKGTSAQPKEQQVVSATPETDGGGSGAEPEEGAVPMDSDNAAIDEGRKQSPEEVSGPESGQCEAEADGEDNMKNEATRRKKTTWPHRSHTSLTQERERDKAQSCFLPKASSNNNGVVLSSSPLNRRRRPDPTCLLPASATRREGPSPRLVLWIVAMDMETEKQQQQTRCPPWLQAAIADIEQRVRALAVSVPEDAAATATDHSFAERAENYYHKRPQLLALLTDLHHRYLYLADRYSQSLLAANKPFHAAAASSDCGSSDVDDRSSDAGSSLSFQPPPATSSSVRDAVDAELVVAELVAAWIDREILADEAERRKAESARKIELQGSLVEVLESERLVLLGENARVGFRASAAEEEAAAAAAELGYMRRRAAEMARLVVKLREDHRVCMLGRKIEALQSQVYGLELRNRECYEAMAAWEAERKVGLAEIERLRADNKRLAAEAAMAAAARRKRKGGNGSGWLWWARVRMAAEWTPCAPAVRKVGEQIKHGGGRKDVKYNAGGCFCL >ONIVA11G21240.1 pep chromosome:AWHD00000000:11:22405617:22406135:1 gene:ONIVA11G21240 transcript:ONIVA11G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLLLLAVVAAVFLLSPAAAGRPCGHAQTLLISFSSVSRPNPDPNNPTPLTTTVVTVLRVRRLGPHHPLQIPRPDPLPAASDAASSVQERAKDILVVVSGLLFGFGCGALTAATMYLVWSLLASTCAPSYDEVYGEDDDDELSDSESPKKAGYVIIHDAEQYVGGKN >ONIVA11G21230.1 pep chromosome:AWHD00000000:11:22390756:22401555:-1 gene:ONIVA11G21230 transcript:ONIVA11G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRRTPSFLRSSSSGLHSRRRSCRWPFFHVTKLVVIKALDIYRRTRNLPLQ >ONIVA11G21220.1 pep chromosome:AWHD00000000:11:22387288:22390463:1 gene:ONIVA11G21220 transcript:ONIVA11G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPAPLGSSASHQESEGDQCQLQADRSHASASNDSSSKASDQMANRSVQTRIDTTAPIDSVKGAANKFGGSLDLRERRKQAQDELDKVQEEVSECLKRSQEAEAGRAQAVKELGGANGVIDELTLGLEKAQAEEARARQDAEIAELRLRETQQGVSESTAAKAELAVARDRHANAVADLQSVTAELEILRMEQPVAAAKADAAAARARRMVSSSQEAVKVVEELTAELVALKKELDASHHAHDEAEEKRMRLAQVLEQDKDQWQLVLEVSEQEVKKLRNSLIAAADLEYKVEAASEQLAALRAELFAHAVEGTIGEEAAAATASSRAKLDKTKKELEDVKATVDKAKDEAKCLRVAAASLSADLEKQKAELAALRRRDGVSATSIPSLEEELSRLTAALTAAQEARAKERRVETKLGALLEEARREAAQAKANAQSAQEEVSKARQEAILAKAAVDAMEARLEAAKRETLAATTSAEMAAASAAALQQEDENARRRRETGVGEGSVTLTMEEYDELSRRARETEEIAGKRVTEAVKLIKEAKDAEVRSLEKMAQLAKQVEQRRQALEAATMEVEEAEFSKLAAERELRQWRAEHEHQRRLTGEGMASPRTGLAEISVFDGGEGRGNPHILSPRGGYMPRTEMAAAEVEAGAKQRPTFFPRMVMFLARKRAQNWK >ONIVA11G21220.2 pep chromosome:AWHD00000000:11:22387498:22390463:1 gene:ONIVA11G21220 transcript:ONIVA11G21220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPAPLGSSASHQESEGDQCQLQADRSHASASNDSSSKASDQMANRSVQTRIDTTAPIDSVKGAANKFGGSLDLRERRKQAQDELDKVQEEVSECLKRSQEAEAGRAQAVKELGGANGVIDELTLGLEKAQAEEARARQDAEIAELRLRETQQGVSESTAAKAELAVARDRHANAVADLQSVTAELEILRMEQPVAAAKADAAAARARRMVSSSQEAVKVVEELTAELVALKKELDASHHAHDEAEEKRMRLAQVLEQDKDQWQLVLEVSEQEVKKLRNSLIAAADLEYKVEAASEQLAALRAELFAHAVEGTIGEEAAAATASSRAKLDKTKKELEDVKATVDKAKDEAKCLRVAAASLSADLEKQKAELAALRRRDGVSATSIPSLEEELSRLTAALTAAQEARAKERRVETKLGALLEEARREAAQAKANAQSAQEEVSKARQEAILAKAAVDAMEARLEAAKRETLAATTSAEMAAASAAALQQEDENARRRRETGVGEGSVTLTMEEYDELSRRARETEEIAGKRVTEAVKLIKEAKDAEVRSLEKMAQLAKQVEQRRQALEAATMEVEEAEFSKLAAERELRQWRAEHEHQRRLTGEGMASPRTGLAEISVFDGGEGRGNPHILSPRGGYMPRTEMAAAEVEAGAKQRPTFFPRMVMFLARKRAQNWK >ONIVA11G21210.1 pep chromosome:AWHD00000000:11:22384399:22387036:-1 gene:ONIVA11G21210 transcript:ONIVA11G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVSRAAFASVLLAPRAVGVAARCASSSSSAASPSVAAATYDHASFIKEVAATDPPEHLSSLLNVLQARGEKLVSPGAKRGLIPLVVPLAESPAGNLTSLLRWPTAPSGMEMPVVEVRNHGLWLLAKNVNQYIHRVLVEADINGYADDLWSAVGDTGKKLYTKGDFKESQMADLDAYLLKKVGLFPDVIERKTSRHFEKGDYVSALITGEFYTRDQFPGFGRPFVFNAEILKRVGRTTEAKDSARVALKSPWWTLGCSYEEAAELAGWEDEQIEFIREKVSEEGKREDLKKGKAPEQVVLDEAAFLMDLASVDGNWDDVVDRIAGCYREAGLDDIAKFIAYRE >ONIVA11G21200.1 pep chromosome:AWHD00000000:11:22377198:22383422:-1 gene:ONIVA11G21200 transcript:ONIVA11G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0J4U8] MDEDYLILPKDEPFFYQDDLNLCDPEGTAFPAPYIGELGLLHPTTLGGDDAEPEEAAAPSFPQFIGDLSAQPPPPTPGGSVKAAPQFIGDDVSAQPPYHNGAGEGSGLPPAMSSGMEEAAHLAPSNAPLHPFDDVFDLLEPFDEVPRPEETEELFAYALELDKGNDDQWILGAAHLDANPNQTPCSLLSQFDADSLLGTNHQTAIHNSAAATHQLVQEIPSSDTPPARAAADAMEMAELSRVADECGGEASMVWSSDEDELLLDGFSRLANQDSVSMCMEIAYGLPKKTAMDVALRIRWFQGKGIENPNKKRNKHALSERGQDCMSTKALIRDNSMLLDQIYDKLSTGQLACAPSMFDKVKMNLDAILAKMRAMGVNTDEYKLDLEALEEIKQGFHPSMNVDKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRVGVNNIPGIEEVNIFKDDVVIQFQNPKVQASIGANTWVVSGTPQTKKLQDLLPTIINQLGPDNLDNLRRLAEQFQKQVPGVEAGASAGNAQDDDDDVPELVPGETFEEAAEEKEPEEKKEAEAEEKKESS >ONIVA11G21200.2 pep chromosome:AWHD00000000:11:22379420:22383422:-1 gene:ONIVA11G21200 transcript:ONIVA11G21200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0J4U8] MDEDYLILPKDEPFFYQDDLNLCDPEGTAFPAPYIGELGLLHPTTLGGDDAEPEEAAAPSFPQFIGDLSAQPPPPTPGGSVKAAPQFIGDDVSAQPPYHNGAGEGSGLPPAMSSGMEEAAHLAPSNAPLHPFDDVFDLLEPFDEVPRPEETEELFAYALELDKGNDDQWILGAAHLDANPNQTPCSLLSQFDADSLLGTNHQTAIHNSAAATHQLVQEIPSSDTPPARAAADAMEMAELSRVADECGGEASMVWSSDEDELLLDGFSRLANQDSVSMCMEIAYGLPKKTAMDVALRIRWFQGKGIENPNKKRNKHALSERGQDCMSTKALIRDNSMLLDQIYDKLSTGQLACAPSMFDKVKMNLDAILAKMRAMGVNTDEYKLDLEALEEIKQGFHPSLHKRV >ONIVA11G21200.3 pep chromosome:AWHD00000000:11:22377198:22378875:-1 gene:ONIVA11G21200 transcript:ONIVA11G21200.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0J4U8] MARFAPYESSGGVCEMNVDKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRVGVNNIPGIEEVNIFKDDVVIQFQNPKVQASIGANTWVVSGTPQTKKLQDLLPTIINQLGPDNLDNLRRLAEQFQKQVPGVEAGASAGNAQDDDDDVPELVPGETFEEAAEEKEPEEKKEAEAEEKKESS >ONIVA11G21190.1 pep chromosome:AWHD00000000:11:22375998:22376432:-1 gene:ONIVA11G21190 transcript:ONIVA11G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFSTHLMRRIQRGPVRGISLKLQEEERERRMDFVPDRSALEVDDIRVDKETLDMLTSLGMADLPGVVRQPDASTSAPQQYGAARLPYARRDRA >ONIVA11G21180.1 pep chromosome:AWHD00000000:11:22366365:22374615:1 gene:ONIVA11G21180 transcript:ONIVA11G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGSSSDGGGYDKVSGMDSGKYVRYTPEQVEALERVYADCPKPTSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTPLANDTSCESNVTTPQNPLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRNLEVFTMIPAGNGGTVELVYTQLYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSAASAQQYVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGVEDVVIACNSTKKIRSNSNAGIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASTLKTSACSLPGLRPMRFSGSQIIIPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDDFPDETPLISSGFRVIPLDMKTVKRCQIPNYIIHYPFDCSLGPYDGASSGRTLDLASSLEVGSATAQASGDASADDCNLRSVLTIAFQFPYELHLQDSVAAMARQYVRSIVSAVQRVSMAISPSQTGLNAGQRIISGFPEAATLARWVCQSYHYHLGVELLSQSDGDAEQLLKMLWHYQDAILCCSFKEKPVFTFANKAGLDMLETSLVALQDLTLDRIFDEPGKEALFSNIPKLMEQGHVYLPSGVCMSGMGRHVSFDQAVAWKVLAEDSNVHCLAFCFVNWSFV >ONIVA11G21180.2 pep chromosome:AWHD00000000:11:22366365:22374615:1 gene:ONIVA11G21180 transcript:ONIVA11G21180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGSSSDGGGYDKVSGMDSGKYVRYTPEQVEALERVYADCPKPTSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTPLANDTSCESNVTTPQNPLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRNLEVFTMIPAGNGGTVELVYTQLYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSAASAQQYVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGVEDVVIACNSTKKIRSNSNAGIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASTLKTSACSLPGLRPMRFSGSQIIIPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDDFPDETPLISSGFRVIPLDMKTDGASSGRTLDLASSLEVGSATAQASGDASADDCNLRSVLTIAFQFPYELHLQDSVAAMARQYVRSIVSAVQRVSMAISPSQTGLNAGQRIISGFPEAATLARWVCQSYHYHLGVELLSQSDGDAEQLLKMLWHYQDAILCCSFKEKPVFTFANKAGLDMLETSLVALQDLTLDRIFDEPGKEALFSNIPKLMEQGHVYLPSGVCMSGMGRHVSFDQAVAWKVLAEDSNVHCLAFCFVNWSFV >ONIVA11G21180.3 pep chromosome:AWHD00000000:11:22366960:22374615:1 gene:ONIVA11G21180 transcript:ONIVA11G21180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRGSSSDGGGYDKVSGMDSGKYVRYTPEQVEALERVYADCPKPTSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTPLANDTSCESNVTTPQNPLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRNLEVFTMIPAGNGGTVELVYTQLYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSAASAQQYVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGVEDVVIACNSTKKIRSNSNAGIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASTLKTSACSLPGLRPMRFSGSQIIIPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDDFPDETPLISSGFRVIPLDMKTDGASSGRTLDLASSLEVGSATAQASGDASADDCNLRSVLTIAFQFPYELHLQDSVAAMARQYVRSIVSAVQRVSMAISPSQTGLNAGQRIISGFPEAATLARWVCQSYHYHLGVELLSQSDGDAEQLLKMLWHYQDAILCCSFKEKPVFTFANKAGLDMLETSLVALQDLTLDRIFDEPGKEALFSNIPKLMEQGHVYLPSGVCMSGMGRHVSFDQAVAWKVLAEDSNVHCLAFCFVNWSFV >ONIVA11G21170.1 pep chromosome:AWHD00000000:11:22354311:22359429:1 gene:ONIVA11G21170 transcript:ONIVA11G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J4U3] MRSRFKRTCVFCGSSQGNKTTYRDAAVDLAKELVARGIDLVYGGGSIGLMGLVSQAVYDGGRHVIGVIPKTLMTPEIIGETVGEVRPVSDMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDQAVEEGFISPSARRIIVSAPTAQELMDKLEEYVPYHDRVASGLNWETGHLGF >ONIVA11G21160.1 pep chromosome:AWHD00000000:11:22345561:22348429:1 gene:ONIVA11G21160 transcript:ONIVA11G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCFLCTFCYHIFFWEKEFVHTVSNASWTRQQPTLLEPHGAIINMRMLYYPCENPRCRSRQAQNVTVNTCIVCNREIPGIFLRSYDP >ONIVA11G21160.2 pep chromosome:AWHD00000000:11:22345563:22347286:1 gene:ONIVA11G21160 transcript:ONIVA11G21160.2 gene_biotype:protein_coding transcript_biotype:protein_coding SRPLPQPETKSVSYPSQYYQAATLLSSTHHSAAPPLGRGSRFPNLLEAWCSSCSPPPLPLRGTLSAGDAGTPSLGNILALRFYEALGSDLFFS >ONIVA11G21150.1 pep chromosome:AWHD00000000:11:22340560:22342377:1 gene:ONIVA11G21150 transcript:ONIVA11G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLISDDSMMMGGEVRAIESRLPPGFRFHPSDEELVGYYLRNKQQQQQQQTAATSMLVEVDLHACEPWDLPEVAKVGSDEWYFFSRRERKYATGWRRNRASKQGYWKATGKDKPILHPTVAGARKTLVFYSGRAPNGRKTAWVMHEFRLLHHHHHPNPNIQNMQQQEGDDWVLCRVFRKGNNSNGQPLATSSPPAHHLVESLISSPAPTIMSDHDRLFTIQLPHHQHCDEQYFFLDDDEQHQQQLLDLSVLQAPTSFESEQAPGHGGMEINIAEMESFDTTCAALQDASDYCMQLY >ONIVA11G21140.1 pep chromosome:AWHD00000000:11:22335760:22336420:1 gene:ONIVA11G21140 transcript:ONIVA11G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLLTFDRLRAAGVAFKPSSLSNGRSKHTVENFVSYSSTVAEAKTMEAHAQASPPAAADKFAG >ONIVA11G21130.1 pep chromosome:AWHD00000000:11:22328337:22332570:1 gene:ONIVA11G21130 transcript:ONIVA11G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPSMAGPEFSYAFAIVADPICTLMREGDSIGTLAAAKERRSQRESRTQLGEAGKASWEKERSRSEQWRQQQQLCPPLPLFPTSPPINPLLPFLRLPYLLAPPPPPPSHWAANGLMEQYEKEEKIGEGTYGVVYKARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHRNIVRLHDVIHSEKRIGLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNTLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQALATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMER >ONIVA11G21130.2 pep chromosome:AWHD00000000:11:22328923:22332570:1 gene:ONIVA11G21130 transcript:ONIVA11G21130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKEEKIGEGTYGVVYKARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHRNIVRLHDVIHSEKRIGLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNTLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQALATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMER >ONIVA11G21120.1 pep chromosome:AWHD00000000:11:22326882:22327962:-1 gene:ONIVA11G21120 transcript:ONIVA11G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGTKRMRAAAAAAAAMSNGGEGEREGEEEMASQGSAGGGAAASSGVAVTITTAPMTETEDDMAVAEEEEVAAASAETEEHVQRILLAIDAFTRQQVSEMLEAGRALFKNLAADFEDRLCSIHKERVERWEEEIRELRARDAANEQARSLLHNAQLHLLATVRHDHT >ONIVA11G21110.1 pep chromosome:AWHD00000000:11:22322021:22327517:1 gene:ONIVA11G21110 transcript:ONIVA11G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT3G06980) TAIR;Acc:AT3G06980] MPHQHRPSLKPSKESIFTGFKNVGNVITGFTVERNDPMGIKKGEKVDYSLNQSGDLVEASPYRPIKQTSPNTALDNISGSPLRSIEYRIGRKETAALREMEVAGAQAGILPLLLRHPASLRGSLSLSCGGARRSWAAAAATAEGGGGEEGRGYERVPMDTPGAYRLVDRATGRSVIVWGGTDDVSMPSPAVLSTTTRVPDRPKENGRSTSIGNFGRLKAQKVKVLARRSAHLKREDSGRISTSRFSESPSDESDEDGAYFERDRARNTRQNSRSRDDKTRGAHSLNSVLRQYRGADDLDFPGSEATSGSKRWGNISDVTFGRQNQRQKGPLDSGFFSRRSFKEIGCSDEILGALRSFGFPRPSHIQAMAYRPVLEGKSCIIGDQSGSGKTLAYLCPVVQNLRKEEVEGLHRSSPRNPRVVVLTPTAELASQVLNNCRSISKSGVPFRSMVATGGFRQKTQLESLDQELDVLIATPGRFLYLLQEGFVQLNNLRCVVLDEVDILYGEESFEQVLHQLITVAPLTTQYLFVTATLPLDIYNKVVETFPDCELIMGPGVHRTSSRLEEILVDCSGDDNEEKNPETAFSNKKSALVKIIEESPVRKTIIFCNKIETCRKVENALRRVDRKASQIKVLPFHAALDQQQRIANIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNIKGHPLHDVPCV >ONIVA11G21110.2 pep chromosome:AWHD00000000:11:22322021:22327517:1 gene:ONIVA11G21110 transcript:ONIVA11G21110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT3G06980) TAIR;Acc:AT3G06980] MPHQHRPSLKPSKESIFTGFKNVGNVITGFTVERNDPMGIKKGEKVDYSLNQSGDLVEASPYRPIKQTSPNTALDNISGSPLRSIEYRIGRKETAALREMEVAGAQAGILPLLLRHPASLRGSLSLSCGGARRSWAAAAATAEGGGGEEGRGYERVPMDTPGAYRLVDRATGRSVIVWGGTDDVSMPSPAVLSTTTRVPDRPKENGRSTSIGNFGRLKAQKVKVLARRSAHLKREDSGRISTSRFSESPSDESDEDGAYFERDRARNTRQNSRSRDDKTRGAHSLNSVLRQYRGADDLDFPGSEATSGSKRWGNISDVTFGRQNQRQKGPLDSGFFSRRSFKEIGCSDEILGALRSFGFPRPSHIQAMAYRPVLEGKSCIIGDQSGSGKTLAYLCPVVQNLRKEEVEGLHRSSPRNPRVVVLTPTAELASQVFYTLNVILPMFSDCFYAKLGKAGVLIGTFLISQVLNNCRSISKSGVPFRSMVATGGFRQKTQLESLDQELDVLIATPGRFLYLLQEGFVQLNNLRCVVLDEVDILYGEESFEQVLHQLITVAPLTTQYLFVTATLPLDIYNKVVETFPDCELIMGPGVHRTSSRLEEILVDCSGDDNEEKNPETAFSNKKSALVKIIEESPVRKTIIFCNKIETCRKVENALRRVDRKASQIKVLPFHAALDQQQRIANIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNIKGHPLHDVPCV >ONIVA11G21110.3 pep chromosome:AWHD00000000:11:22322021:22327936:1 gene:ONIVA11G21110 transcript:ONIVA11G21110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT3G06980) TAIR;Acc:AT3G06980] MPHQHRPSLKPSKESIFTGFKNVGNVITGFTVERNDPMGIKKGEKVDYSLNQSGDLVEASPYRPIKQTSPNTALDNISGSPLRSIEYRIGRKETAALREMEVAGAQAGILPLLLRHPASLRGSLSLSCGGARRSWAAAAATAEGGGGEEGRGYERVPMDTPGAYRLVDRATGRSVIVWGGTDDVSMPSPAVLSTTTRVPDRPKENGRSTSIGNFGRLKAQKVKVLARRSAHLKREDSGRISTSRFSESPSDESDEDGAYFERDRARNTRQNSRSRDDKTRGAHSLNSVLRQYRGADDLDFPGSEATSGSKRWGNISDVTFGRQNQRQKGPLDSGFFSRRSFKEIGCSDEILGALRSFGFPRPSHIQAMAYRPVLEGKSCIIGDQSGSGKTLAYLCPVVQNLRKEEVEGLHRSSPRNPRVVVLTPTAELASQVLNNCRSISKSGVPFRSMVATGGFRQKTQLESLDQELDVLIATPGRFLYLLQEGFVQLNNLRCVVLDEVDILYGEESFEQVLHQLITVAPLTTQYLFVTATLPLDIYNKVVETFPDCELIMGPGVHRTSSRLEEILVDCSGDDNEEKNPETAFSNKKSALVKIIEESPVRKTIIFCNKIETCRKVENALRRVDRKASQIKVLPFHAALDQQQRIANIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNIKGHPLHDVPCV >ONIVA11G21110.4 pep chromosome:AWHD00000000:11:22323442:22327936:1 gene:ONIVA11G21110 transcript:ONIVA11G21110.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT3G06980) TAIR;Acc:AT3G06980] MGIKKGEKVDYSLNQSGDLVEASPYRPIKQTSPNTALDNISGSPLRSIEYRIGRKETAALREMEVAGAQAGILPLLLRHPASLRGSLSLSCGGARRSWAAAAATAEGGGGEEGRGYERVPMDTPGAYRLVDRATGRSVIVWGGTDDVSMPSPAVLSTTTRAMAYRPVLEGKSCIIGDQSGSGKTLAYLCPVVQNLRKEEVEGLHRSSPRNPRVVVLTPTAELASQVLNNCRSISKSGVPFRSMVATGGFRQKTQLESLDQELDVLIATPGRFLYLLQEGFVQLNNLRCVVLDEVDILYGEESFEQVLHQLITVAPLTTQYLFVTATLPLDIYNKVVETFPDCELIMGPGVHRTSSRLEEILVDCSGDDNEEKNPETAFSNKKSALVKIIEESPVRKTIIFCNKIETCRKVENALRRVDRKASQIKVLPFHAALDQQQRIANIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNIKGHPLHDVPCV >ONIVA11G21100.1 pep chromosome:AWHD00000000:11:22317836:22319399:-1 gene:ONIVA11G21100 transcript:ONIVA11G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAAVGRGLTWAEAAWFRYSASIPDYCLYCHNVPILLLVYTLAPLPLALLELRRHLPLPHKLQPGVRHPPAAFLRCYAATARVLLLAVGPVQLASFPAVRAVGIRTGLPLPSAGETAAQVAVYLLVEDYLGYWIHRLLHTPWAYHHIHRVHHEFTAPMGYAAPYAHWAEILILGFPAFAGPAIVPCHMTTFWLWFVLRHLEAIHIHSGFKLPFDPTKYIPLYGGVEYHDYHHFVGGHSQSNFSSVFTFCDYIYGTDRGYRYHKASLSKLKEMAGNHVEKGDENGFGNGKQD >ONIVA11G21090.1 pep chromosome:AWHD00000000:11:22315397:22317536:1 gene:ONIVA11G21090 transcript:ONIVA11G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKSLLKPKPTPQQQLREWQRRLRNEGRNIDRQIRDVQREEKKVEKSIREAAKRNDIGSAKALAKEVVRSRKAVNRLYENKAQLNSISMHLGEIVATARTVGHLSKSTEVMKLVNNLMKAPEVAATMQEFSKEMTKAGVMEEMVNDAVDSALDNEDIEEEIEEEVDKVLSAIAGETASELPDAVRKEKEKMKQPSTSEPAERTAIAEAVDDDDELEQIRERLAKCLLWQEVKSAVDNDS >ONIVA11G21080.1 pep chromosome:AWHD00000000:11:22310705:22311730:-1 gene:ONIVA11G21080 transcript:ONIVA11G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J4S9] MEQKPPAVAANNNQLLLMMIMVVVACSNYMISGAGAQPSPGYYPSKTIRSMAFGEGYDNLWGGQHQTLSADQTALTVWMDRSSGSGFKSKRSYRNGYFGASIKVPSGYTAGVNTAFYLSNNELYPGQHDEIDIELLGTVPGEPWTLQTNVYVHGTGDGAIIGREMRFHLWFDPTADFHHYAILWNPDHIVFLVDDVPVRRYPRAAGNTFPDRQMWAYGSIWDASDWATDGGRYKSDYRYQPFVSRYRDLKIAGCEAAAPASCQPVPASPSGATGELSAQQKAAMRWAQQRSMVYYYCQDYSRNHANYPEC >ONIVA11G21070.1 pep chromosome:AWHD00000000:11:22305748:22310501:1 gene:ONIVA11G21070 transcript:ONIVA11G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWYRIPTRHAGRSPTFLRCSSISPFAALPASVEKKRGVVVAVLLCPLSLLLRRHCHRFAMASRPRGRRLPPPHPPLIQEILDDDLFDVEVNYSDEEEEEEEESDEEESESEDNEPEEVVPGQESIGEGCGPAIQARVSGAAAEKNACPVCMEAWASQGAHRICCIPCGHIYGRSCLERWLRHKGNTSATCPQCGKRFRPKDITNLYAPEVAVPNNDLEKEVLYLRGKAESLGETVMKHEKLIEEMNERLVELTSAQKRQILSEQRLMNVGSSKRQKLAEHLAGTTYLEPPTSVTADFNSSNSCDFVFQKEFFMDGLRVMAIDAFNQTILASGKAPGIGQEHVLYKFSMVSHHEARNIQLPLDTKTVRDICILPSGSAIFTSLGRKLSSFSMTADRVVLQCDLPCPGWSCSADESSRQICAGLQNGNLIIFDIRPCMWDTDGIQGRPKLLLGTDNQRVCISLACAPSSSDLLVASFRPKVETSEDATASQVYLSQTPTPSGGGKLGYHSFIRRAGNSSSFTEDRTCSTLVSEIRMSKSAIIPYGNNQHLFAYGDESLRGVRTWRLPSFEMHSDLSSLQQPVLDLRYAESSSGGRYLGYLSTEKLQVFRIR >ONIVA11G21070.2 pep chromosome:AWHD00000000:11:22305748:22310501:1 gene:ONIVA11G21070 transcript:ONIVA11G21070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWYRIPTRHAGRSPTFLRCSSISPFAALPASVEKKRGVVVAVLLCPLSLLLRRHCHRFAMASRPRGRRLPPPHPPLIQEILDDDLFDVEVNYSDEEEEEEEESDEEESESEDNEPEEVVPGQESIGEGCGPAIQARVSGAAAEKNACPVCMEAWASQGAHRICCIPCGHIYGRSCLERWLRHKGNTSATCPQCGKRFRPKDITNLYAPEVAVPNNDLEKLLLSDNSSIMNRTLFHSSGSIVMKHEKLIEEMNERLVELTSAQKRQILSEQRLMNVGSSKRQKLAEHLAGTTYLEPPTSVTADFNSSNSCDFVFQKEFFMDGLRVMAIDAFNQTILASGKAPGIGQEHVLYKFSMVSHHEARNIQLPLDTKTVRDICILPSGSAIFTSLGRKLSSFSMTADRVVLQCDLPCPGWSCSADESSRQICAGLQNGNLIIFDIRQTSRPLHSMVGLSTHPVHTLHTVIDNNGSRKFLSASSIGPCMWDTDGIQGRPKLLLGTDNQRVCISLACAPSSSDLLVASFRPKVETSEDATASQVYLSQTPTPSGGGKLGYHSFIRRAGNSSSFTEDRTCSTLVSEIRMSKSAIIPYGNNQHLFAYGDESLRGVRTWRLPSFEMHSDLSSLQQPVLDLRYAESSSGGRYLGYLSTEKLQVFRIR >ONIVA11G21070.3 pep chromosome:AWHD00000000:11:22305748:22310501:1 gene:ONIVA11G21070 transcript:ONIVA11G21070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWYRIPTRHAGRSPTFLRCSSISPFAALPASVEKKRGVVVAVLLCPLSLLLRRHCHRFAMASRPRGRRLPPPHPPLIQEILDDDLFDVEVNYSDEEEEEEEESDEEESESEDNEPEEVVPGQESIGEGCGPAIQARVSGAAAEKNACPVCMEAWASQGAHRICCIPCGHIYGRSCLERWLRHKGNTSATCPQCGKRFRPKDITNLYAPEVAVPNNDLEKEVLYLRGKAESLGETVMKHEKLIEEMNERLVELTSAQKRQILSEQRLMNVGSSKRQKLAEHLAGTTYLEPPTSVTADFNSSNSCDFVFQKEFFMDGLRVMAIDAFNQTILASGKAPGIGQEHVLYKFSMVSHHEARNIQLPLDTKTVRDICILPSGSAIFTSLGRKLSSFSMTADRVVLQCDLPCPGWSCSADESSRQICAGLQNGNLIIFDIRQTSRPLHSMVGLSTHPVHTLHTVIDNNGSRKFLSASSIGPCMWDTDGIQGRPKLLLGTDNQRVCISLACAPSSSDLLVASFRPKVETSEDATASQVYLSQTPTPSGGGKLGYHSFIRRAGNSSSFTEDRTCSTLVSEIRMSKSAIIPYGNNQHLFAYGDESLRGVRTWRLPSFEMHSDLSSLQQPVLDLRYAESSSGGRYLGYLSTEKLQVFRIR >ONIVA11G21070.4 pep chromosome:AWHD00000000:11:22305748:22310501:1 gene:ONIVA11G21070 transcript:ONIVA11G21070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWYRIPTRHAGRSPTFLRCSSISPFAALPASVEKKRGVVVAVLLCPLSLLLRRHCHRFAMASRPRGRRLPPPHPPLIQEILDDDLFDVEVNYSDEEEEEEEESDEEESESEDNEPEEVVPGQESIGEGCGPAIQARVSGAAAEKNACPVCMEAWASQGAHRICCIPCGHIYGRSCLERWLRHKGNTSATCPQCGKRFRPKDITNLYAPEVAVPNNDLEKEVLYLRGKAESLGETVMKHEKLIEEMNERLVELTSAQKRQILSEQRLMNVGSSKRQKEFFMDGLRVMAIDAFNQTILASGKAPGIGQEHVLYKFSMVSHHEARNIQLPLDTKTVRDICILPSGSAIFTSLGRKLSSFSMTADRVVLQCDLPCPGWSCSADESSRQICAGLQNGNLIIFDIRPCMWDTDGIQGRPKLLLGTDNQRVCISLACAPSSSDLLVASFRPKVETSEDATASQVYLSQTPTPSGGGKLGYHSFIRRAGNSSSFTEDRTCSTLVSEIRMSKSAIIPYGNNQHLFAYGDESLRGVRTWRLPSFEMHSDLSSLQQPVLDLRYAESSSGGRYLGYLSTEKLQVFRIR >ONIVA11G21070.5 pep chromosome:AWHD00000000:11:22305748:22310501:1 gene:ONIVA11G21070 transcript:ONIVA11G21070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWYRIPTRHAGRSPTFLRCSSISPFAALPASVEKKRGVVVAVLLCPLSLLLRRHCHRFAMASRPRGRRLPPPHPPLIQEILDDDLFDVEVNYSDEEEEEEEESDEEESESEDNEPEEVVPGQESIGEGCGPAIQARVSGAAAEKNACPVCMEAWASQGAHRICCIPCGHIYGRSCLERWLRHKGNTSATCPQCGKRFRPKDITNLYAPEVAVPNNDLEKEVLYLRGKAESLGETVMKHEKLIEEMNERLVELTSAQKRQILSEQRLMNVGSSKRQKEFFMDGLRVMAIDAFNQTILASGKAPGIGQEHVLYKFSMVSHHEARNIQLPLDTKTVRDICILPSGSAIFTSLGRKLSSFSMTADRVVLQCDLPCPGWSCSADESSRQICAGLQNGNLIIFDIRQTSRPLHSMVGLSTHPVHTLHTVIDNNGSRKFLSASSIGPCMWDTDGIQGRPKLLLGTDNQRVCISLACAPSSSDLLVASFRPKVETSEDATASQVYLSQTPTPSGGGKLGYHSFIRRAGNSSSFTEDRTCSTLVSEIRMSKSAIIPYGNNQHLFAYGDESLRGVRTWRLPSFEMHSDLSSLQQPVLDLRYAESSSGGRYLGYLSTEKLQVFRIR >ONIVA11G21060.1 pep chromosome:AWHD00000000:11:22302925:22304751:1 gene:ONIVA11G21060 transcript:ONIVA11G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPWMEAERQKDETAATATMEEGHGLRRHKRRRLVGQQAATTQLVEELHSLWTCLRQIVPGEVAGTCSSTRHWTSKATNQLVTILSMQCPQCGEELEPHRITNLYAPGINIWDGCCKNHANEVGEYYNREYEGLKTQMESTIAKQARIWKNWMCSQFESLESSLKTFLLSMTERMKMMATQLPAAMDLVQYLEKDVHDIMSALSQKPPYLARLMHPLLIDICKHGVSCLSTDNMPLNSSGFDEKPLSRTAGRLAAADNGMTGWREE >ONIVA11G21050.1 pep chromosome:AWHD00000000:11:22300684:22302406:-1 gene:ONIVA11G21050 transcript:ONIVA11G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSYVSAAQLVPMLRDPRIAVVDVRDEERIYDAHIAGSHHYASDSFGERLPELAQATKGKETLVFHCALSKVRGPSCAQMYLDYLSEADEDSDVKNIMVLERGFNGWELSGRPVCRCKDAPCKDFRVAIYALWSLQHIKNRVSHFGGSAIVLLCGSINSVAVNVTSRHVN >ONIVA11G21040.1 pep chromosome:AWHD00000000:11:22296884:22299775:1 gene:ONIVA11G21040 transcript:ONIVA11G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glucuronoxylan glucuronosyltransferase IRX7 [Source:Projected from Arabidopsis thaliana (AT2G28110) UniProtKB/Swiss-Prot;Acc:Q9ZUV3] MAMAMRGDPKQRRASASAPHGGAAHHVADKLRRHSTFLLLLLLLLLWFALSLYLFLSATPPPPRPAFLPSTSTPRPALRIYVYDLPARFNRHWVAANARCATHLFAAEVALHEALLAYAGRAARPDDATLFFVPVYVSCNFSTDNGFPSLSHARALLADAVDLVRAQMPYWNRSAGADHVFVASHDFGACFHPMELFVIIHFELGVNAKSNLALEQEDVAIADGIPEFLKRSILLQTFGVQGTHVCQEADHVVIPPHVPPEVALELPEPEKAQRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQNYGRNRKFYLKRKRYGNYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADDIRLPFPSVLQWLDISLQVAEKDVASLEMVLDHVVATNLTVIQKNLWDPVKRKALVFNRPMEEGDATWQVLRELEILLDRSQRRHVESWKR >ONIVA11G21030.1 pep chromosome:AWHD00000000:11:22289184:22294287:-1 gene:ONIVA11G21030 transcript:ONIVA11G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase (DsPTP1) family protein [Source:Projected from Arabidopsis thaliana (AT3G52180) TAIR;Acc:AT3G52180] MNCLQNLLKEPPIVGSRSMRRPSPLNLTMVRGGSRRSNTVKTASGASTSSAESGAVEAGTEKSDTYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRDIGVKTVFCLQQDPDLEDFDAFDLRLRLPAVISKLHKLVNHNGGVTYIHCTAGLGRAPAVTLAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNSITLKWESDSCSSVEISGLDVGWGQIIPLTYNKEKRAWYLERELPEGRYEYKYIVDGKWVCNDNEKKTKANADGHVNNYVQVSRDGTSDEERELRERLTGQNPDLTKEERLMIREYLEQIDIGLSTRFGLAVQQGRPADEQQQIMELPDEQSRRSFQICSRSDEVGLWVSHDD >ONIVA11G21030.2 pep chromosome:AWHD00000000:11:22289184:22293986:-1 gene:ONIVA11G21030 transcript:ONIVA11G21030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase (DsPTP1) family protein [Source:Projected from Arabidopsis thaliana (AT3G52180) TAIR;Acc:AT3G52180] MVRGGSRRSNTVKTASGASTSSAESGAVEAGTEKSDTYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRDIGVKTVFCLQQDPDLEYFGVDICAIQEYCLQCKDIEHCRAEIRDFDAFDLRLRLPAVISKLHKLVNHNGGVTYIHCTAGLGRAPAVTLAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNSITLKWESDSCSSVEISGLDVGWGQIIPLTYNKEKRAWYLERELPEGRYEYKYIVDGKWVCNDNEKKTKANADGHVNNYVQVSRDGTSDEERELRERLTGQNPDLTKEERLMIREYLEQIDIGLSTRFGLAVQQGRPADEQQQIMELPDEQSRRSFQICSRSDEVGLWVSHDD >ONIVA11G21030.3 pep chromosome:AWHD00000000:11:22289184:22293986:-1 gene:ONIVA11G21030 transcript:ONIVA11G21030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase (DsPTP1) family protein [Source:Projected from Arabidopsis thaliana (AT3G52180) TAIR;Acc:AT3G52180] MVRGGSRRSNTVKTASGASTSSAESGAVEAGTEKSDTYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRDIGVKTVFCLQQDPDLEDFDAFDLRLRLPAVISKLHKLVNHNGGVTYIHCTAGLGRAPAVTLAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNSITLKWESDSCSSVEISGLDVGWGQIIPLTYNKEKRAWYLERELPEGRYEYKYIVDGKWVCNDNEKKTKANADGHVNNYVQVSRDGTSDEERELRERLTGQNPDLTKEERLMIREYLEQIDIGLSTRFGLAVQQGRPADEQQQIMELPDEQSRRSFQICSRSDEVGLWVSHDD >ONIVA11G21030.4 pep chromosome:AWHD00000000:11:22293990:22294287:-1 gene:ONIVA11G21030 transcript:ONIVA11G21030.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase (DsPTP1) family protein [Source:Projected from Arabidopsis thaliana (AT3G52180) TAIR;Acc:AT3G52180] MNCLQNLLKEPPIVGSRSMRRPSPLNLVTPLPFHSIHVLVFSPIIDGLAPLFVPLFDVHDD >ONIVA11G21020.1 pep chromosome:AWHD00000000:11:22283851:22286067:1 gene:ONIVA11G21020 transcript:ONIVA11G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; CONTAINS InterPro DOMAIN/s: Domain of unknown function DUF1990 (InterPro:IPR018960); Has 259 Blast hits to 259 proteins in 120 species: Archa /.../ Bacteria - 197; Metazoa - 0; Fungi - 0; Plants - 57; Viruses - 0; Other Eukaryotes - 5 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G17695) TAIR;Acc:AT2G17695] MAWGGLFLSFSRPSQDQQKSCLSAAGGFNYDAPLHGASRPKSVAKSTAGDTEASDKALVERGFFVNRSRVLVGSGTTTFNHAKSALLSWKHLALGWANVEPDTPVKAGTRFCICYKELIPWVMLPLQIAYVTDGNGSNSSGHGKGCVFAYGSGTLQGHLLAGEERFSVQLDEDDQVWYEVMSFSKPAHILSSLCYPYVQLRQKHFAHQSGQALLRHVASRSRDTR >ONIVA11G21020.2 pep chromosome:AWHD00000000:11:22284096:22286067:1 gene:ONIVA11G21020 transcript:ONIVA11G21020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; CONTAINS InterPro DOMAIN/s: Domain of unknown function DUF1990 (InterPro:IPR018960); Has 259 Blast hits to 259 proteins in 120 species: Archa /.../ Bacteria - 197; Metazoa - 0; Fungi - 0; Plants - 57; Viruses - 0; Other Eukaryotes - 5 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G17695) TAIR;Acc:AT2G17695] MQNKYPYYRFIAHIMIFNSEIIRHCSEKSMAWGGLFLSFSRPSQDQQKSCLSAAGGFNYDAPLHGASRPKSVAKSTAGDTEASDKALVERGFFVNRSRVLVGSGTTTFNHAKSALLSWKHLALGWANVEPDTPVKAGTRFCICYKELIPWVMLPLQIAYVTDGNGSNSSGHGKGCVFAYGSGTLQGHLLAGEERFSVQLDEDDQVWYEVMSFSKPAHILSSLCYPYVQLRQKHFAHQSGQALLRHVASRSRDTR >ONIVA11G21010.1 pep chromosome:AWHD00000000:11:22267697:22274799:1 gene:ONIVA11G21010 transcript:ONIVA11G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPVSNTMEPDLRAKDHRACSASATDTSSRIIAQWAARRRQLACDDQVIDRRDRDSELLALARLHAVSMLDASFLRAHDDAGGGGRRARSPERALVRRIAREWTASSRTSPRGGGAGGEELLGETERQRVRAVRERVRMASQGQGHGGAHTPRLMRGRGRHGQDVVTRMAMERQRELQGLSDHRASFLRGRSFHSGSPMHDERPLSMAARELGQLRQSHPVSRFREEVRSRTEVTTNGPATNHTGPMDTIVDLHLHENDHRQENATHNEIQTHQSMENESVDIQRSITTSNDDVVQSDFGQEQLHRYEDYPDSGSSEEASEQSDSSSPSDNSNQQEEETYEQQTNLLWSRETSSSEDGDHEWNVMNSQEAEAQWRSGPIFSSNRNINRFSPPDDDVYGVELRELLSRRSVSNLLRSGFRESLDQLIQSYVRRQEEHDDPLDWDYQRQGTATGLHSDDQGEDRIDEATNQTVSDTRDHQPSILPQQRHWQMELPHHHHNWSQQAMRHSEVDWDAIHVLRDDLTGLQRGMTSMQQMLEACMEMQMELQRSIKQEVSAALNRSVAVPAGEEGMLEDGSEWKLARKGTCCICCDRQIDSLLYRCGHMCTCSKCASELLHGVGKCPLCRAPIVEVVRAYCIIFAFIPCSSNSVQRYSATKTDKNKSSDLIIQPQINDVTEESNQRPTDSSEINHTVGVFGEHYLFWTQHICQLSSHRDGTIYNNQLYWKNNYDIDVTNREETRYHVDSMLNYVFNRSRDDPIAVHQGSLIEMTGPKRGIALIPECLFEFDMRIKTGEKEEDDLQLIDGMIELDEMRMPETPYTTRINGDSSSVDLCLANVSNGVEATVEVVISELMVNGFDLSISCVVSSSRYEYDESKEFQIFGGSIGEACGLRRFVGCLLGYCDAAEVEDQKGSNGVEHCCSFSCKLHGCASEDVKLEEVASISVKVTWSALIE >ONIVA11G21000.1 pep chromosome:AWHD00000000:11:22255771:22263146:1 gene:ONIVA11G21000 transcript:ONIVA11G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRVGQRFDFRFSNFRAVQVPAVSDRLFLSIVSVDTGKTVAKSGKAAARSGICQWPDSILESIWFSQDEVSKEFDECQYKIVVSVGSIKSGVLGEIFLNLTNFLNLVDPTAISLPLKRCNSGTVLQLKVQYLGAKSKSSGVRSWKDLSPRLDDRSPTNDDIDSKSDGSDSVANRSVRSSSGNPLGGTTQDELGNREMSFSASGSHRSSNSGDSTADRTNLSPRDSSNGGMHVGRQDSASSYVSASRGDDGFRSNNSSFSSRASGPNVLQGNTPKSFGNGFGQLSLGTSDSSKELLEAAEETIEELRDEAKMWERHSRKLKADLEMLKKECSKKSKQQTELEAELSAAHAERDSYRQEIEELKSSMKEVTTRQKVGGTSKYGDWIDLQKELEDDVKFLKESNANLSIQLKNTQEANIELVSILQELEETIEEQKAEISKISKVKNVTDADALKKGPLVKQDTEWAKQLSIKEDEITMLREKLNHVLNIENLGSDAVYLELEKENELLRVKIQELEKDCSELTDENLELIYKLKEVGGATKGQGPCIPNDSNLQIEELKSQICQLEEELRSKELLHTGSFADASISSSKVLQEKCADLELKLLNFRSQTYELEEKFQKSQEELEQRNLELSELRQKLDGSHSMAGEGVQTSGARGYQFRNGMDSEPETDVLKAKIQLQQQENDDLRCSKVEMESVISKIQAEKSQLEERLEASRKESSISSKCLDEVRQDILVLSSSIDSHVSANKVLERKVTELESCKADLELHISDLEQENIELSERISGLEAQLTYMTNEKESSELQIHDSKSLIVNLKDKVERQQAEMGTQRLEFKQKQQEAQRKLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNQIAELKRQKLELHGHLTQQEQELDNSKKRNLDFCKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHTEQEEKINRAHFMLNKIEKEKTLEVENLEREVMSLTAQASSTQEERENATVEAIREVSVLRADKVKLEASLQDVSAQLRHYESQLEDLRKESKSKIKGLVDSLNASKQSEEMLAADAEHMKKLMEDAKSNEDKLRKSSGELELKLKASDYEKQQMIEEISGLKLQVQKIMSLQDEVLKLKSSLDEAKFERGKLEELHRSVTEECEELKAQKAMLTDKMSNMQETLDNGEEEKRSRIAMQAKLVRLESDLSAVEASHVHEAELKNELNRIKRSNSEYQRKIQSLEQENEDLTSQLEQMAHIKEEDLGKQDIGGSPVDEESGIHLKIQVLEAKLAEALEENKMYRAQQKSPMPDGQCAAGNGNESSNERVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKATKKGGGRWF >ONIVA11G21000.2 pep chromosome:AWHD00000000:11:22255459:22263146:1 gene:ONIVA11G21000 transcript:ONIVA11G21000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRVGQRFDFRFSNFRAVQVPAVSDRLFLSIVSVDTGKTVAKSGKAAARSGICQWPDSILESIWFSQDEVSKEFDECQYKIVVSVGSIKSGVLGEIFLNLTNFLNLVDPTAISLPLKRCNSGTVLQLKVQYLGAKSKSSGVRSWKDLSPRLDDRSPTNDDIDSKSDGSDSVANRSVRSSSGNPLGGTTQDELGNREMSFSASGSHRSSNSGDSTADRTNLSPRDSSNGGMHVGRQDSASSYVSASRGDDGFRSNNSSFSSRASGPNVLQGNTPKSFGNGFGQLSLGTSDSSKELLEAAEETIEELRDEAKMWERHSRKLKADLEMLKKECSKKSKQQTELEAELSAAHAERDSYRQEIEELKSSMKEVTTRQKVGGTSKYGDWIDLQKELEDDVKFLKESNANLSIQLKNTQEANIELVSILQELEETIEEQKAEISKISKVKNVTDADALKKGPLVKQDTEWAKQLSIKEDEITMLREKLNHVLNIENLGSDAVYLELEKENELLRVKIQELEKDCSELTDENLELIYKLKEVGGATKGQGPCIPNDSNLQIEELKSQICQLEEELRSKELLHTGSFADASISSSKVLQEKCADLELKLLNFRSQTYELEEKFQKSQEELEQRNLELSELRQKLDGSHSMAGEGVQTSGARGYQFRNGMDSEPETDVLKAKIQLQQQENDDLRCSKVEMESVISKIQAEKSQLEERLEASRKESSISSKCLDEVRQDILVLSSSIDSHVSANKVLERKVTELESCKADLELHISDLEQENIELSERISGLEAQLTYMTNEKESSELQIHDSKSLIVNLKDKVERQQAEMGTQRLEFKQKQQEAQRKLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNQIAELKRQKLELHGHLTQQEQELDNSKKRNLDFCKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHTEQEEKINRAHFMLNKIEKEKTLEVENLEREVMSLTAQASSTQEERENATVEAIREVSVLRADKVKLEASLQDVSAQLRHYESQLEDLRKESKSKIKGLVDSLNASKQSEEMLAADAEHMKKLMEDAKSNEDKLRKSSGELELKLKASDYEKQQMIEEISGLKLQVQKIMSLQDEVLKLKSSLDEAKFERGKLEELHRSVTEECEELKAQKAMLTDKMSNMQETLDNGEEEKRSRIAMQAKLVRLESDLSAVEASHVHEAELKNELNRIKRSNSEYQRKIQSLEQENEDLTSQLEQMAHIKEEDLGKQDIGGSPVDEESGIHLKIQVLEAKLAEALEENKMYRAQQKSPMPDGQCAAGNGNESSNERVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKATKKGGGRWF >ONIVA11G21000.3 pep chromosome:AWHD00000000:11:22255771:22263146:1 gene:ONIVA11G21000 transcript:ONIVA11G21000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRVGQRFDFRFSNFRAVQVPAVSDRLFLSIVSVDTGKTVAKSGKAAARSGICQWPDSILESIWFSQDEVSKEFDECQYKIVVSVGSIKSGVLGEIFLNLTNFLNLVDPTAISLPLKRCNSGTVLQLKVQYLGAKSKSSGVRSWKDLSPRLDDRSPTNDDIDSKSDGSDSVANRSVRSSSGNPLGGTTQDELGNREMSFSASGSHRSSNSGDSTADRTNLSPRDSSNGGMHVGRQDSASSYVSASRGDDGFRSNNSSFSSRASGPNVLQGNTPKSFGNGFGQLSLGTSDSSKELLEAAEETIEELRDEAKMWERHSRKLKADLEMLKKECSKKSKQQTELEAELSAAHAERDSYRQEIEELKSSMKEVTTRQKVGGTSKYGDWIDLQKELEDDVKFLKESNANLSIQLKNTQEANIELVSILQELEETIEEQKAEISKISKVKNVTDADALKKGPLVKQDTEWAKQLSIKEDEITMLREKLNHVLNIENLGSDAVYLELEKENELLRVKIQELEKDCSELTDENLELIYKLKEVGGATKGQGPCIPNDSNLQIEELKSQICQLEEELRSKELLHTGSFADASISSSKVLQEKCADLELKLLNFRSQTYELEEKFQKSQEELEQRNLELSELRQKLDGSHSMAGEGVQTSGARGYQFRNGMDSEPETDVLKAKIQLQQQENDDLRCSKVEMESVISKIQAEKSQLEERLEASRKESSISSKCLDEVRQDILVLSSSIDSHVSANKVLERKVTELESCKADLELHISDLEQENIELSERISGLEAQLTYMTNEKESSELQIHDSKSLIVNLKDKVERQQAEMGTQRLEFKQKQQEAQRKLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNQIAELKRQKLELHGHLTQQEQELDNSKKRNLDFCKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHTEQEEKINRAHFMLNKIEKEKTLEVENLEREVMSLTAQASSTQEERENATVEAIREVSVLRADKVKLEASLQDVSAQLRHYESQLEDLRKESKSKIKGLVDSLNASKQSEEMLAADAEHMKKLMEDAKSNEDKLRKSSGELELKLKASDYEKQQMIEEISGLKLQVQKIMSLQDEVLKLKSSLDEAKFERGKLEELHRSVTEECEELKAQKAMLTDKMSNMQETLDNGEEEKRSRIAMQAKLVRLESDLSAVEASHVHEAELKNELNRIKRSNSEYQRKIQSLEQENEDLTSQLEQMAHIKEEDLGKQDIGGSPVDEESGIHLKIQVLEAKLAEALEENKMYRAQQKSPMPDGQCAAGNGNESSNERVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKATKKGGGRWF >ONIVA11G20990.1 pep chromosome:AWHD00000000:11:22246511:22248002:-1 gene:ONIVA11G20990 transcript:ONIVA11G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELASAPKVALGSIAFAVFWMMAVFPSVPFLPIGRTAGSLLSAVLMVIFHVISPDDAYASIDLPILGLLFATMVVGSYLRNAGMFKHLGRLLAWKSQGGRDLMCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSAATPIGNPQNLVIAFNSKITFPKFLMGILPAMLVGMAVNMVMLLCMYWRELGGGAELSVDGKQMEAVEEGRSPASAKSTPQLNGNGNTMMSLEMSENITTKHPWFMQCTEARRKLFLKSFAYVVTVGMVVAYMVGLNMSWTAITTALALVVVDFRDAEPCLDTVSYSLLVFFSGMFITVSGFNKTGLPGAIWDFMAPYSKVNSVGGISVLSVIILLLSNLASNVPTVLLMGDEVAKAAALISPAAVTTSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYDLTFWQHIVFGVPSTLIVTAVGIPLIGKI >ONIVA11G20980.1 pep chromosome:AWHD00000000:11:22238958:22243017:1 gene:ONIVA11G20980 transcript:ONIVA11G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKFLMKLFSLWEWNNIFPKYRNMICVILNSMSWVTVSSTVVSLTIASAGQRSSMMSITIYLLATSLTACLTVKLVVEYAKAPLEAKAYAPRAKVLRDGMWINVHAVNLVPGDIIFLKVGDIVPANARVLRFEKINTMTCWAKRSVDCVHGFLIYYAWTVSCGQGTAAVIATGRDIPRSTLRLYPQRYTRPGQLKEGIMIVGCFCFSLVLFGTIAELWSYAAGWRFMALIGVVPMAMPVVLYLALAFGSLRLCLLGVASRGTVALEDLASMDVMLFNMTGTITCNKPSFARDKIELFAKGVNEDQAIVLASRASRSQHELYIEPIDPAILSLLDDPEQARAGVQVIEHHAHFFVSLKLMFLATYIDENGSKCCVFKGDPANASHLYNIHTTEKRVAHQCGCSKAVKERISMIMDNLAVDGYQAIAVGHQSDSCWEFAGLLPFKEDLRHDSADALNGLISLGLDIIVLTGESHIGISVADATDYTKTVQISREICQMMKGYMIYTVSSTVHLFGVHAILLLWNFDLPSFLTLVIAAFNYCCGQCKIDHKSLMDTDEEIRAALFLQMSIVNQAVALFAYSDDCCHIRCPGPVVTFAFIFTQMVATRKAVGGDLDFAIAKGVGWLKAGLIWLYNFVLLLVPVDLSDMEACKDDWRNIVDEAFSHPTYSSALVTVHNT >ONIVA11G20970.1 pep chromosome:AWHD00000000:11:22231782:22235830:-1 gene:ONIVA11G20970 transcript:ONIVA11G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGIDKDSTGRVPPFRFASPKPQAHPHQPKNHNLPLSSPLLAAGAAAAAVTAAFSLSSISFSPPRESELAVIKPEALKSYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPTSLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDVQVQEEQKDERSLDEILCFINGDGGSGGGKASKNKKKNKRRKDHSKNPPKANPEPVNKEEATRGVPFNAGTGNISRTPCQSSDVQDDVEYPFEDADLDDGLDPAMQEELDREVEDFARRLNSVWPERMHLGQERRIESHMIGGNGSLQRFSGFNHR >ONIVA11G20960.1 pep chromosome:AWHD00000000:11:22230589:22231343:-1 gene:ONIVA11G20960 transcript:ONIVA11G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITSRPKRFWPLGQEVASSQAQHGDGDGVGSNKMWASIFLVRLIDAKCFSLENFYHVWGPLRPW >ONIVA11G20950.1 pep chromosome:AWHD00000000:11:22225580:22226383:-1 gene:ONIVA11G20950 transcript:ONIVA11G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLVCVVVAAMVSAVSCGPPKVPPGPNITTSYGDKWLEAKATWYGAPKGAGPKDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEIKCSKPEACSDKPALIHVTDMNDEPIAAYHFDLSGLAFGAMAKDGKDEELRKAGIIDTQFRRVKCKYPTGTKITFHIEKASNPNYLALLVKYVAGDGDVVEVEIKEKGSEEWKALKESWGAIWRIDTPKPLKGPFSVRVTTEGGEKIIAEDAIPDGWKADSVYKSNVQAK >ONIVA11G20940.1 pep chromosome:AWHD00000000:11:22221529:22222332:1 gene:ONIVA11G20940 transcript:ONIVA11G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCLLLACVVVAAMVSAVSCGPPKVPSGPNITAAYGKQWLEARGTWYGKPKGAGPDDNGGACGYKDVDKDPFLGMNSCGNDPIFKDGKGCGSCFEVKCSKPEACSNKPVIIHITDMNTEPIAAYHFDLSGHAFGAMAKEGKDEELRKAGIIDMQFRRVRCKYPGETKVTFHVEKGSNPNYFAVLVKYVGGDGDVVKVELKEKGSEEWKPLNESWGAIWRIDTPKPLKGPFSLRVTTESDQKLVANDVIPNNWKADALYKSEIQVD >ONIVA11G20930.1 pep chromosome:AWHD00000000:11:22218933:22221252:1 gene:ONIVA11G20930 transcript:ONIVA11G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPELGHTASLDQTFPCCQAIASSLLMELPTEEGRTSTKREMPGQCQRRGPAIILKSVAACTIEERGCARLSMQTRACLRREGDLELHAR >ONIVA11G20920.1 pep chromosome:AWHD00000000:11:22215909:22216711:1 gene:ONIVA11G20920 transcript:ONIVA11G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLASVVVAAMVSAVSCGPPKVPPGPNITASYGDKWLEARATWYGRQGLRLMLRDQVLKPEACSDKPVLIHVTDMNDEPIAAYHFDLSGLAFGAMAKNGKDEELRKAGIIDTQFRRIKCKYPADTKITFHIEKASNPNYLALLVKYVAGDGDVVEVEIKEKGSEEWKALKESWGAIWRIDTPKPLKGPFSVRVTTEGGEKIIAEDAIPDGWKADNVYKSNVQAK >ONIVA11G20910.1 pep chromosome:AWHD00000000:11:22214044:22214334:-1 gene:ONIVA11G20910 transcript:ONIVA11G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLWVAAALLLSTPRLLSLSPGLPPVAHGLLLSAEAGRNLLSVVATSGLLLFAAGRCEPGAGSGCRDDGGVRIGRRDNGGGRRGGSDDFSTVAGG >ONIVA11G20900.1 pep chromosome:AWHD00000000:11:22207232:22207921:1 gene:ONIVA11G20900 transcript:ONIVA11G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTVGGIGMCDRLLTFLAKNLSMNRQKTITDGPRNDSSNNNGGHEEERGEEDDDEFTIEIEKAEVLLVDEKDDDDDHKSITATILEEKSTEVATSNGGASVQKEDEEGGRRNDPPVAAEAATVAEAAPVKEEKKVKKTVTIKEESGAAAAAGSASSAVKRLLSKKRQASSSQLGGDHDGGQQTKPPARRPGLRPRMPSILRVPSNINEKSSSFIEERKKSFGGKPEK >ONIVA11G20890.1 pep chromosome:AWHD00000000:11:22201209:22207153:1 gene:ONIVA11G20890 transcript:ONIVA11G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGADLINLLLPDEILDEVLRRVAVSGAKRDLDACALVCSRWRRHDRATRRSAKLAASGARADEVLRLVAERFPALVEVSVDERISVEAAAAGPSCAAARSRRRPMYDVSPSGRRRRMSRSSNFGAHMSPFPLDQPGSDNETERTCLTDVGLTSLARGCKGLEKLSLACYIGDPGLIAIGEGCKLLRNLNLRFVEGTSDEGLIGLIKNCGQSLVSLGVATCAWMTDASLHAVGSHCPNLEFLSLESDHIKNEGVVSVAKGCRLLKTLKLQCMGAGDEALDAIGLFCSFLESLSLNNFEKFTDRSLSSIAKGCKNLTDLILNDCHLLTDRSLEFVARSCKKLARLKINGCQNMETAALEHIGRWCPGLLELSLIYCPRIRDSAFLEVGRGCSLLRSLYLVDCSRISDDALCYIAQGCKNLTELSIRRGYEIGDKALISFAENCKSLRELTLQFCERVSDAGLTAIAEGCPLRKLNLCGCQLITDNGLTAIARGCPDLVYLDISVLRSIGDMALAEIGEGCSQLKDIALSHCPEVTDVGLGHLVRGCLPLQSCQMVYCRRVSSTGIATIVSGCPKLKKLLVEEWKLQETNEFFAPAYPLLPSYATVFLLLLEIPYPLQPKNIKLKHL >ONIVA11G20880.1 pep chromosome:AWHD00000000:11:22188536:22188922:-1 gene:ONIVA11G20880 transcript:ONIVA11G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKKKKTTAGRKVRMTQEEIDSYINYQTIRMPDEIFPIVSKERLACTDLSDKGDLPVPMDQIDDYVAKIFREINQIDDQFMKHRDGILNQYYRKGYAMRKATDDDDDEQEEEKKEETSWTRFFCT >ONIVA11G20870.1 pep chromosome:AWHD00000000:11:22181691:22182176:1 gene:ONIVA11G20870 transcript:ONIVA11G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDKGKETAAAAVDAPSSSSGSSPEKKKKNSSNNNNRGEATAAAVAGDEGRKKMVRMSPPQINLLMSFDPPPLEPVRGLTKEEEGIDALAAEWERGIRAVTEAVRTQYEQGGYVEYEAGADLLACPGRSKKKPQGGGEGANSTELSVFYFGSYFITNV >ONIVA11G20860.1 pep chromosome:AWHD00000000:11:22178640:22180511:1 gene:ONIVA11G20860 transcript:ONIVA11G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALARMNTTAQGVEEDIIIPLLARLATIRAVLDAAPAPTPTPDRQDAPAPAMATAAAEEENARAEAAALLEKVRGEMSHLRVVFRRIEDADKSIRYGFDPVEERIDDALQHARPDAGHVREALLAVDAGIEAIRSSIREVYRFPCDGDERLDPPPPSTAPATGVVMTRRMGEIRRGPQMRHLGLAIGGFEARLRGCVLTLAAFPECAVIKKHLLIHWWLGEGFVRSAAEGKSRFDELIAKGFIVPIPGHLCATVHRCTVRPWMRDLLTGVAKRSAFLDLDPGNDFTLARRACLNAGRMSSGFSAEARAIYNVDQKYLELDDAWFAGKKELRALQLGQWREFGPLEQIANPMDSHIELSGVEHLAGMESCKNLRYISFRGISRIESLPDSIGKLRDLTVLDLRACHNLEELGQGITRLDRLEYLDLSECHLLVGMPKGIGRLTRLEVLKGFVVANPSRREPCHLNELTKLNKLRKLGIVIGTMAVPTDDEFMKLGEFRVLESLKIRWGVLASDNKGKIEASSHQKPIDKMKFALPPNLKKLDLRCFPLTDFAQWVMPKDVKKLYIRGGKLMTLGDEQGWEAEVLRLRFLNDLEYDHDRLKRSFRKLKPENTEIHACPKFIRGQ >ONIVA11G20850.1 pep chromosome:AWHD00000000:11:22167498:22176039:-1 gene:ONIVA11G20850 transcript:ONIVA11G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLRVIDMLRTTRMEEQGELLGIRSLLEKLRSITVELDEISPPSVAYRIKIESIDLRGKLEIVLSYHIRAETWHEVPAIFLKKKIFCRMTIRSLCQSLQNFTKIADDVKKGFVHSLVRCDSTKHAIHAKTNGNSVQYPIEGRSELVDKMTRVLLADRANEEELPMVFLIVGGPGMGKTHLAQALYKDARVRGKFNRQRWVNFKDAANMSLGFLNGTQHESSYLIVLDDVWYENAQEWDNLIKDLPSKGATILTTRSTAVSSRLATMPTCKPYYLPALQQEFSYSCLEWVASQSGHYPTELYEVCRVVVEQCDGVPLLLKHACDFFSTSAIELWEEFTIQDFLMVQQVKSHQLQLMRKFWQRLFDSDLQQDWLSKQNEVLESALVSFRRLTSGLRNCLLYCSMFPLDYIFDVEELADRLAAQGFIPPMETFAQWRTFLQPLLDDCFYPEQEYDHGVKPMYRMHRIFHMYVKYIEREFNSIVIADQGNSISVQNSIGHVGAQGPMYIMKPIHKIHGIFNLCTQCMERKFITIIINYIEDQDESIVQNSIRHVSLIVGPSTEPIPVQFLRLKDLRTLILLPAGQKNMSDKKCEIKEIPQVLWQSNRNLEVLSLHGTKIRKFPHKIELLHYLRYMDLSWTDIRIIPSSISKLQFLQTLKLSHCEKLQKLHDNTSKLFRLQKFDLEGCHYLVQLPLHLSKMKSLECLNILECSSLTRMPCGISRLTNLQSLLGYVTTHNDGCSISELQSLANLQNLCLEGLEKVIDHSEARDSNLRDIVKLESLVLRWNVASENTSLTAEQVLECLQPNKGLKTLEIVAYEGEKLPSWLMSTEPYLNSLVEIRMINIRACRSLPPLGLLPCLRIAEISGAEAITCIDDSFYGSKGIFYSLEKLTFSHMRNLTEWKQAHTEDVFPRLAEVTIIQCPKLTALHVVLRSVKKLNLWMNINSLFNSKGGLRGVAESLRHVSISFCEELRASSDCMGLQDLGCLKRLEICGCDEMTHLPQGLKHLLSMRSLTIDNCSKLESLPDWLENLPYLRVIRLSGCSVLCSVPEGMQQRGGIEIYVEGCPNFPTQPSGQSVTKRVKKGLSFEIAPNLWSILPPPLLFVDLWSLPKSHDGGGGGAMDVAVDLQRVMDMLSSTRMEYQCHLLGLDALLGEVRDAFLGFSSLVTDIIGRRGEYLLSDARVSLAHLRGELEAIQGRLARAGAWYQVPTRSITNLLFRRAATRQLRRLMLDLSAIRGDLLPSVPRLNTPLDNFDPAGTWTDDVDSGDVRTPMVGRSELAEKMLQRILLAAADDDGPLVLPIVGGPGTGKTHLARFIFNDERINKAFQVRHWVHLSPNFDLSKAAITSRWIDREDDCSYLQRVIFGVLRGGVDYLLVLDNVWNARQDHPWPEWDALLLAFPPNGKILLTTRTPSIIPRTAAVVRTTDPYFLQPLDQESSEQVMYQYLSPHHEHGIKLVEKCAGVPLLLEYTSFCMLNRYQLNLIRWERVLEGFWSIQQQEEVTDIFQRAYASYQHLPSDLRNCFLFCSLFPSEFNFNAEELADLLAAEGFIPSTVSEAQRIRFLQQFLDECFYPVQEYEHGGRHMFRMHKILHIFVQYADRVSSSIIRVGHSNAVQNDILSLFQKKIDILSPRNASLLVRPSTESLPIDMSKMKMLKTLILLQEMKMCSSVQQCEIKDIPQELCQTLQHLEVLSLEATKIRKLPNKFERLFHLRYLNLSGTDIEFLPSSISKLQLLHTLKLSHCKRLQKLHQNTCRLARLQKLDLEGCQSLTELPQNICKIKSLEFLSVLGCASLIRIPHRMGHLTNLQTLLGYVVSNSNGAMMSELQPLAHLHRLSLERLEKVLDMKDARDAMLQEKLELESLALRWNMDAEHANTAAYELIEILQPQEHLKELELVAYEGDRFPSWMTSTEPYLKSLVEISLLNLRECKLLPPLGLLPCLKIAEINGAEKISCIDYNFYGQNGTFPSLEKLTFSYMINLELWEQVGRTGVFPHLAEVTIIQCPKLRVLSMELPSVEKLILWMNNKMLYDSKGGLLGVAKNLEQISICFGEELRASSNFEGLQDLVMLQKLDLCGCHELTCLPQGLQHLSSIKSLAIDNCGKLETLPEWLEKLPYLQVIYLSGCHALHSIAKGLLQCHSIQIHIDDCPKLPEQSSGRKPVIQVKKQKEIIGDDEETHVEDDTYLEEFFFGPRGITGRDDEETHIEDNTYLEEFFFVPQGITGSTKFPSVKL >ONIVA11G20840.1 pep chromosome:AWHD00000000:11:22166041:22166257:1 gene:ONIVA11G20840 transcript:ONIVA11G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRKEVDDYFDKFHAESYFARQAEAEAVIKEEWAKKDFSRICFRDWDEEAGCYK >ONIVA11G20830.1 pep chromosome:AWHD00000000:11:22154401:22157550:-1 gene:ONIVA11G20830 transcript:ONIVA11G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSSSQMEEQCRLLRARSLLDEMRRAVADVHGALTATGATTSSVALAARTRSVCAPLRDALEAVLAMEGAATTNTLFRAIISSHRRRRRRRGAIINDLGQSLHHLRELSSLASAESSRSFLRYDSLEIERAVRANTIRDALAEPMVGRSELAEKMVRVLLAVGGEEDGPLVMPIVGGPGIGKTRIVQALFNDSMVREKFPVRRWENVSERFNLFKMRMPNIWFNSTKFQNFLDEFINKSLNGRKGKYLVVLDDVWNENEAQDWPEWDSLMQALPSNGAVIFTTRTPMLVSKTAAVVPRTFPYFLQPLQQEHTVQFVHQWLKRCWLDRSSEPFNIGMKIASKCDGVPLLIQSAGAILCRRPEAAFWQQFLEDFDVFFEGSGLYSSYEEGSDILESAYSSYKHLPSHLQSCFLYCSMFPLGFNFDAEELADLFATAELTGAQRIGFLEQLLNECFYPIEDSEYGGKFIYRMHKILHIFAVYMERELSTVVTADKDFTQVQPSVRLLSLIIAPSTASFPRYIDQLKHLKALILLQDSRMLFSDQRCEIKEIDPMLCQSLKHLQALSLQATKIRKLPNKIEQVPHLRYLNLSQTNIETIPSSVSKLRLLQTLILSHCEKLWKLHENICKLVQLHKLDLEGCLYLVTLPTKMSKMKKLQYLNVLNCYSLTAMPLAMGQLTNLHTLLGYFVPNNGSSAMSELQSLPDLNRLSLVNLEKVSDTEDARMAKLQDKEKLETLMLRWNMDVGNASRIDHEVLETLQPSQCLKTLEIVAYEGYVFPSWMTRTEPYLTSLVEIRLVNMRACEKALPPLGILPCLKIAEISGVDNLSSIGDHFYGHNGTFPSLEKLILSYMTSLEVWEQSSRMNLFPRLAELVIIQCPKLRALHMEFPSIEKLILWMNNKMLYSSKEGMRGVEKSLENLSISFCEELHASSGCEGLQALDRLKKLEICGCHELSCLPQGLQHLSSLTSLKIDNCNKLEILPEWLENLPFLQIMCLSGCPILHSIPEGLTCSDIIVEDCPNFKEPSGMSSVLCSWKAMFLIFIELFLKQLN >ONIVA11G20820.1 pep chromosome:AWHD00000000:11:22148617:22157804:1 gene:ONIVA11G20820 transcript:ONIVA11G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Folate-sensitive fragile site protein Fra10Ac1 (InterPro:IPR019129); Has 8455 Blast hits to 5700 proteins in 376 species: Archae - 6; Bacteria - 264; Metazoa - 3820; Fungi - 744; Plants - 645; Viruses - 76; Other Eukaryot /.../900 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G15030) TAIR;Acc:AT4G15030] MASSLGRLKSSIFDKEERKMQYQSHIRGLNAYDRHKKFMKDYVQFYGHDKNVDNRAPIKTDKDTLREGYRFILSEEDDVDSTWEKRLVKRYYDKLFKEYCIADMSQYKKGKIGLRWRTEKEVISGKGQFICGNRICNEKNGLGSYEVNFSYIEAGEQKQALVKLVACQRCAEKLAYKRQKEKEREKEKELSREKEMELKERDKRKREREGSEETEDTSEDESTEKYSRRKKDRKRSSRKSSNNDEGFEEFLEGMFP >ONIVA11G20810.1 pep chromosome:AWHD00000000:11:22137192:22143546:-1 gene:ONIVA11G20810 transcript:ONIVA11G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G51350) TAIR;Acc:AT1G51350] MPATASGGARPEEAAASASPPSPTASYCMGTRPEELTARLAAAAGGRPVGGGGGGRGGGGGGGEEEGEHERVRTLREIKNQIIGNRTKKLQYLRLGAVPAVVAALAGPGASPAALVQAAAAAGSFACGVDDGVRAVLAAGAVAHLTRLLAHPDEKVVDASARALRMIYQSKLAPKFDVNNNEKNMEFLLTLLNSENENVTELAANIISHSCDSTTEQLALCAAGVPQRLVNHFGGSMNLRDACLDSLTAIIRNNWEVASRFALLDHGKALRSIVALIHDRSPRTRLLACLCLIALSHASPCHFQDRQIKTKLILVLLELIEEPGHVGDDAPLALTTLIKDSVELQKQALSTNAVQKLSNHLIANSLESRRAVTILLALAELCSKLEESRSQLMSVQASTLILDALKHASVDIRVAACSCLKNISRSSKVLSAGKLSCDTFIAPLVQLLYDSSMSVQVAALGAICNIAVNLTPRKSILLQSGAISQLVHLSKSMDPTLRLKAVWALRNIMFLLNPKDKDFILKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVNSFNYVIGEDGMILNAISRQLNNAPAPGVCIQGMFVLANVAAGGELNKEAVMNILVPRRADRVKQSFIVNFLLSKDKQLRVATLWCVLNLIYPKCEASSVRVVRLQNAGVISQVKGMINDPCLDCKLRVRMVLEHCLDNADDGFM >ONIVA11G20800.1 pep chromosome:AWHD00000000:11:22129298:22129819:1 gene:ONIVA11G20800 transcript:ONIVA11G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYKIEFSEAKRILAAALLTSDDEEDGKPPATMEKRKTKMARFTQQQIKNCMAFSADISDDDEESLPKLSEVLSKDILNRVPPEVLESLIEFENTREERKARWEKLRDDLHEERDAILKQYYAKGYAEYEVYDDEDEDNKVPARVAPPGRRRRFRNGVAVKKNQSGGNIRKI >ONIVA11G20790.1 pep chromosome:AWHD00000000:11:22127637:22128149:-1 gene:ONIVA11G20790 transcript:ONIVA11G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDEGSSGKRSDGQIPVPAPVMEKKKKMMKTKMVRFTQAQINNCIAYKEEEMPDYDSMPSIIEILSDDLANCPQDYIDELKTIDDSREEDKTFWIKMQNEIRDEREWILRQCFTKGYAEYEVDIDDDDDEDNKVPARVAAPPGRRRFRNGVAVKKNLSLSGGGSVRKI >ONIVA11G20780.1 pep chromosome:AWHD00000000:11:22126340:22126609:1 gene:ONIVA11G20780 transcript:ONIVA11G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGYKNFSKELLACFLVDRLDDYFARKNKIHDEGVKPILEEQERIRKEYKEKGYAEHGITDEKDEAATLSFGAGTEEGSTRDHEEEAY >ONIVA11G20770.1 pep chromosome:AWHD00000000:11:22124837:22125205:1 gene:ONIVA11G20770 transcript:ONIVA11G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFSYRETQRVIENNAAAPTIADAPGRGRSDVSSPSQSILDPPQAPGLERNKRKKVKKMVEHVIDGGDLDLVLSYENDEECLLNESMLEDQETFRHQIENLGYAFIHVVREVILTDDEDQ >ONIVA11G20760.1 pep chromosome:AWHD00000000:11:22117903:22118628:1 gene:ONIVA11G20760 transcript:ONIVA11G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPGPQTAFKIEEMIELCEFYANMSNSKRNTIPAKMLKNAQSQEDFGVLDLIDQAQKDNVCWDVFVLQVTNSG >ONIVA11G20750.1 pep chromosome:AWHD00000000:11:22116271:22116531:1 gene:ONIVA11G20750 transcript:ONIVA11G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFVIDGDDLEFTLSYEEDELSFLFEKSNELMLKDQEMFQRQIENFGYAFIHGVKEVILTDDEDEEGCDILSDDDEDISIARHPN >ONIVA11G20740.1 pep chromosome:AWHD00000000:11:22114451:22114888:1 gene:ONIVA11G20740 transcript:ONIVA11G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGSDEMQQGAEVDTTRVIENTASTIDSETPVEQKRRKKVETEYVLGGKDLEFILSYEDDELETFDEDMLKDQAMVRQQIENFGYGFLHSWREVICTDSEEEDDPVSDNDDDCDEQDDFFTNDDDDDDQQNVDQMDKNMYAEA >ONIVA11G20730.1 pep chromosome:AWHD00000000:11:22112306:22112755:1 gene:ONIVA11G20730 transcript:ONIVA11G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFSYGEMQRVTENNAAAPTLVAAVDASGRGGSDVSSPSQSILDPPQASGLERNKRKKVTKMVEHVIDGGDLDLVLSYENDKECLLNESMLEDQETFRHQIENLGYAFIHVMREVILTDDEDQEEGEIVTYAEEEDDIVTDDDDEDD >ONIVA11G20720.1 pep chromosome:AWHD00000000:11:22109154:22111580:1 gene:ONIVA11G20720 transcript:ONIVA11G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVAGGGGGGGGGVSSAASSRWRGRRPNGAQWGRPIPRGGFLATPTPSSDDELSLATGARTDSPNGMGGSFGWLGFLLSTSHPCEDDAAEELPVEPPVAAIDAAHDGRGEVWVEVPFEPPEVVAGEKEEAVAAMDAAHDGRDEVRGEVPFEPSEVLAGEEAAMDAPHDGRGEVRGEVSFERAKEEAAMDAAHDGRGEVRGEVPSERANGASSRADYALNDSELGVCRPNFGFVDRPPRHNPKRRHPKNQRDKGINALGKDGKDCSPVKIQKQDDFVTELNNHLVTVYQTALKIREMIELCEFYADMSNSKRNAILAKMLKNTHCQEDFGVLDLIDQAQKYDKFFANLAVKLKRLLGRLRTAGYKLRLIIIKNNGRPINDPMSLDSAR >ONIVA11G20710.1 pep chromosome:AWHD00000000:11:22101226:22107590:1 gene:ONIVA11G20710 transcript:ONIVA11G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G12080) TAIR;Acc:AT3G12080] MAAMATTSTSSLLPLLPSRTPTPIPRRRLPFAAAAAAAPPRPFLVSSPPPPPAPRARLARRAAAGGLYGGGGGGEEEGDGEDGEMEEEYYDDGDEEGDWEDDDAEEGEEMDVEAMEEEALGAVADLSERLSRELRIDDDVRDKRRIIRDKTSAPKHIPDNLLPRVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDREFMVIDTGGVITLSKSQAGVMEELAITTTVGMDGIPLASREAAIARMPSMIEKQAVAAVDEAAVILFIDGLVAADIEIADWLRRNYSDKRIILAVNKCESPRKGQMQALDFWSLGFSPLPISAITGTGTGELLDMELDGVEEDGNYIPAIAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFTTEDGQKYKLIDTAGIRRRAAVASAGSTTETLSVKRAFRAIRRSDVVALVVEAMACITEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHQSTTYYEQDVREKLRILDWAPIVYCSATHGSSVDKIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQAAIRPPTFVFFVNDAKLFPETYRRYMEKKLRSDAGFPGTPIRLLWRSRKRPDKKGKSADNSLQSSVIPSRMALAT >ONIVA11G20710.2 pep chromosome:AWHD00000000:11:22101226:22107590:1 gene:ONIVA11G20710 transcript:ONIVA11G20710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G12080) TAIR;Acc:AT3G12080] MAAMATTSTSSLLPLLPSRTPTPIPRRRLPFAAAAAAAPPRPFLVSSPPPPPAPRARLARRAAAGGLYGGGGGGEEEGDGEDGEMEEEYYDDGDEEGDWEDDDAEEGEEMDVEAMEEEALGAVADLSERLSRELRIDDDVRDKRRIIRDKTSAPKHIPDNLLPRVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDREFMVIDTGGVITLSKSQAGVMEELAITTTVGMDGIPLASREAAIARMPSMIEKQAVAAVDEAAVILFIVDGQAADIEIADWLRRNYSDKRIILAVNKCESPRKGQMQALDFWSLGFSPLPISAITGTGTGELLDMELDGVEEDGNYIPAIAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFTTEDGQKYKLIDTAGIRRRAAVASAGSTTETLSVKRAFRAIRRSDVVALVVEAMACITEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHQSTTYYEQDVREKLRILDWAPIVYCSATHGSSVDKIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQAAIRPPTFVFFVNDAKLFPETYRRYMEKKLRSDAGFPGTPIRLLWRSRKRPDKKGKSADNSLQSSVIPSRMALAT >ONIVA11G20700.1 pep chromosome:AWHD00000000:11:22092158:22097835:-1 gene:ONIVA11G20700 transcript:ONIVA11G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVLVVLPLGLLFLLSGLIINAIQAVLFLSIRPFSKSLYRRINRFLAELLWLQLVWLVDWWAGVKIQLHADDETYKAMGNEHALVISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAVSQCLPAPRNVLIPRTKGFVSAVTIMRDFVPAIYDTTVIIPKDSPQPTMLRILKGQSSVVHVRMKRHAMSEMPKSEDDVSKWCKDIFVAKDALLDKHLATCTFDEEIRPIGRPVKSLLVTLFWSCLLLYGAVKLFLWTQLLSTWKGVGFTGLGLALVTAVMHVFIMFSQSERSSSAKAARNRVKKD >ONIVA11G20690.1 pep chromosome:AWHD00000000:11:22084889:22089579:1 gene:ONIVA11G20690 transcript:ONIVA11G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQHALSKSGGSAHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYAVNRANNFLNGYTQGYNPSPVGGYGMRMDARFGLLSGGRSSYPSFGGGYGVGMNFDPGMNPAIGGSSSFNNSLQYGRQLNPYYSGNSGRYNSNVSYGGVNDSTGSVFNSLARNLWGNSGLSYSSNSASSNSFMSSANGGLGGIGNNNVNWGNPPVPAQGANAGSGYGSGNFGYGSSETNFGLGTNAYGRNAGSGVVNTFNQSTNGYGRNFGDSSGGGGGGSIYGDTTWRSGSSELDGTSPFGYGLGNAASDVTAKNSAGYMGH >ONIVA11G20690.2 pep chromosome:AWHD00000000:11:22084673:22089579:1 gene:ONIVA11G20690 transcript:ONIVA11G20690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQHALSKSGGSAHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYAVNRANNFLNGYTQGYNPSPVGGYGMRMDARFGLLSGGRSSYPSFGGGYGVGMNFDPGMNPAIGGSSSFNNSLQYGRQLNPYYSGNSGRYNSNVSYGGVNDSTGSVFNSLARNLWGNSGLSYSSNSASSNSFMSSANGGLGGIGNNNVNWGNPPVPAQGANAGSGYGSGNFGYGSSETNFGLGTNAYGRNAGSGVVNTFNQSTNGYGRNFGDSSGGGGGGSIYGDTTWRSGSSELDGTSPFGYGLGNAASDVTAKNSAGYMGH >ONIVA11G20680.1 pep chromosome:AWHD00000000:11:22073176:22075352:-1 gene:ONIVA11G20680 transcript:ONIVA11G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRRKFRRKKPSQNVDSIGLQTPRSSALENQEPLLSSEYSGHNSAIQNIPEDLTVWDVVKGDVAIVASKMKSMTERLLEELKIALRLLMEDIDDQSHVEQLVRLQKHVDIRPDLTSATLLTAHHVQLEMFVALKMGIPAYLHENVSVPRSRLAEIFAYERCKNISCQSVLPAEECDCDVCCCRRGFCNLCMCVVCNGFDFDVNTCRWIGCDGCSHWTHAGCAIREEQIKTVITVEDGVAHYVTVFFCKACHGTSELLGWVRNVFQHCAKIWGTDALARELEYVQEVFSVSEDSKGKKLFEKCTDLIERLKVVQAESMGPEVLLQALQEIELDDAPEITENEKQVQQNTDPHETCNNQLSEYVQETAMTNKKVRLSVDAITDGEVEKAKEAEQQLQPMAAEQEEVPPPPASSSGGVAAPTTQNAMLCKILDALSGMPSPPSSESAAAVSKVHELLREALSMPRSSGRATAAAVDDDDVAQNGRDRNDGDDPRQMMMLKEIYDMVIGMTKTMTK >ONIVA11G20670.1 pep chromosome:AWHD00000000:11:22063582:22065570:1 gene:ONIVA11G20670 transcript:ONIVA11G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLLPPLPAPETTAAAGRDWSELPADALSVVFAKVGAVEVLAGAGLACRSWLDAARVPELWRAVDMLRGAVRCLHLGQDRDLMCAMAKVAVDRSGGRLEVFKGEDFVTDELLEYIGDRSPSLKVISVWCSDETRMSTEGFAELTRKCPLLEEIVLSGGGHRRPPLPRLALAVAELRHLRRLTLQGIGVSNDELTAIVDGCPRLELLDVCSCWDLCVDDDAQLLAKCARIRTLKLPPSEEDDYYDYYCLL >ONIVA11G20660.1 pep chromosome:AWHD00000000:11:22040109:22072619:-1 gene:ONIVA11G20660 transcript:ONIVA11G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARVRARGRCHGGAVRALACACTAGRSLDRDRSRRRERARRAAATWIWSAAWRAKVVAVNKSTGASWKRRPRRRKSTPSQNLTAQSNTSSVHHAHESRPICTNLEGIWTDGIIPVQGSGSWTRTTPPSHDHRRRQATRPAEMTTSRRLADRKTAKFQKNITKRGSVPETTVKKGNDYPVGPLVLGFFIFVRISYDRDRSLLIGGGGRRAMASGGDDAAAPLLASKHGGEPRRNTFAFACATLASMTTILMGYNLALMSGAELFIREDLGLADEQVEVLSGSMNVFMLASILAAGTVADAAGRRGTLVLANALLMAGALAMSLGGSYAALMAARFVTSVGVGFAVVVAPVYAVEISPASSRGVLSSLPEIFINAGILLSYVSNYALAGLPLRLGWRVMFAAGVVPPVFLAAGVLAMPESPRWLAMRGRDAEARAVLARTSDTPAKADLRLEEITRAVAAQAGVAGGVWRQLLVRPTPTVRRIMTNVLSLHFFQQASGIDVIVLYSPLVFKQAGMASNTSVLAATVAVGVVKTCSILVATLLSDRVGRRPLLLWSAAGMAVALASLALTLCVGAGAPSPARAAAGVASAAAYVAAFSVGLGPLAPSYGSEIMPLWLRAQGAGVGVAVNRVTCGVLSMTFISVAGGITMAGCFFVYAGAAVAAWVFVYVRLPETRGRSLEDMDVLFTKNQATVTMAHAGDATAPLLSSPAKPGDEPRRNMYAFGCATLASMTTILMGYNLALMSGAQLFVREDVGLSDAQIEVLAGSMNVFMLVSILAAGWAADVLGRRGTLVLANAYLMAGALAMSLGATYAALMAARFVTSVGVGFSLVVAPVYNAEISPASARGVLSSLLDMFVNVGILLSYVSNYALAGLPVHVGWRVMYGIGVLPPVFLAAGVLAMPESPRWLAMRGRHADARAVLVRTSDSVEEAELRLEEIKRAVEAPQESAGVGVWRELLLRPSAMVRRIVTCVVGLHFFQQASGIDAIVLYSPLVFKKAGMASNTSVLGATVAVGVVKTCFILVATLLSDRLGRRPLLLASTGGVAVTLTSLALALRVASPSTASAAACVASVMAFVAAFSVGFGPMTATYTAEIMPLRLRAQGASLGMAVNRLTCGVVSMTFISLAGGITMAGCFFLYAGVAAVACVFVYVRLPETRGRSLEDMDVLFANDAAAPLLTPSGDNDDEPRRRRNMFAFGCARLASMTTILMGYNLALMSGAQLFVREDMGLSDAEIEVLAGSMNVFMLASILAAGWAADTLGRRGTIVLANAFLMAGALAMSLGATYAALMAARFVTSVGVGFARVVAPVYNAEISPASTRGVLTSLLDMFVNVGILLSYVSNYAFAGLPVHLGWRVMFAIGAVPPVFLAAAVLAMPESPRWLAMRGRHADARVVLARTSDSADLRLEEIKHAVAEPHDAGGGVWRELLFRPSAMVRRILATVIGLQFFQQASGIDAIVLYSPLVFKKAGMASNTSVLGATIAIGVVKTCFILVATLLSDRLGRRPLLLASTGGMAVTLTSLALTLRVASPPSTASAAACVASVMAFVAAFSVGLGPTTATYTAEVMPLRLRAQGTGLGVAVNRLACGAVTMTFISLADGITMAGCFFLYAGVAAAACVFVYVWLPETRGRSLENMDMVFSNHGARRRRRRRYAPRIVRRQRRQAPTPPQHVRLRLRHPRLHDHHPHGLQYVHSSMFLAMVRASWQHIHGGSSCCVLADLALMSGAQLFVREDMALRDAEIEVLTGSMNVFMLVSILAAGWAADVLGRRGTLVLANAFLMAGALAMSLGATYAALMAARFVTSVGVGFSLVVAPVYNAEISPASARGVVSTLFEMFVNVGILLGYVSNYALSGLPACTSAGASLLLAAGVLAMPESPRWLAMRGRHADARAVLVRTSDSVEEAELRLEEIKHAAEAPPQEDGGGVWRELLLRPTAMVRQILTCVVGLQFFQQASGVNVVVLYSPVVFKKAGMASNTSVLAAIVAVGVAKTCSILVATLFSDRLGCRPLLLASTGGMAVTLTSLALTLHGASLGIAVNRLTCGVMSMTFISVAGGITMAGFFFLYAGVAAAACVFVHARLPETRGRSLEDMDALFHK >ONIVA11G20650.1 pep chromosome:AWHD00000000:11:22035104:22039543:-1 gene:ONIVA11G20650 transcript:ONIVA11G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHEEQPYEGNGNGGDPAPASAYAEYPAPEGSPPAAAAKPTGFSDGATDGGRSQHETQPHDGRSSKSRERERERDKDKERDRDRGRDRRDRDRGDKDRDRDRHREHRDRSERREHRDRERSDDRDRRRGHDSERRRDRDRDGHRRHRSRSRSPSKGRDRRSRSRSRSRSSKRVSGFDQGPQAAIPALAAGAAPGQVPVVAPAISGMLPNMFNLTQTPFTPLVIQPQAMTQQATRHARRVYVGGLPPTANEHTVAVYFNQVMAAVGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESMLLHVQQQAQMQKLMFQVGGGALPTKVVCLTQVISPDELRDDEEYEDIVQDMREEGCRYGNLVKVVIPRPDPSGAPVAGVGRVFLEFADIESSTKAKNGMHGRKFANNQVVAVFYPEDKFAEGQYDG >ONIVA11G20650.2 pep chromosome:AWHD00000000:11:22035104:22039543:-1 gene:ONIVA11G20650 transcript:ONIVA11G20650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHEEQPYEGNGNGGDPAPASAYAEYPAPEGSPPAAAAKPTGFSDGATDGGRSQHETQPHDGRSSKSRERERERDKDKERDRDRGRDRRDRDRGDKDRDRDRHREHRDRSERREHRDRERSDDRDRRRGHDSERRRDRDRDGHRRHRSRSRSPSKGRDRRSRSRSRSRSSKRVSGFDQGPQAAIPALAAGAAPGQVPVVAPAISGMLPNMFNLTQTPPQAMTQQATRHARRVYVGGLPPTANEHTVAVYFNQVMAAVGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESMLLHVQQQAQMQKLMFQVGGGALPTKVVCLTQVISPDELRDDEEYEDIVQDMREEGCRYGNLVKVVIPRPDPSGAPVAGVGRVFLEFADIESSTKAKNGMHGRKFANNQVVAVFYPEDKFAEGQYDG >ONIVA11G20640.1 pep chromosome:AWHD00000000:11:21944031:21964148:1 gene:ONIVA11G20640 transcript:ONIVA11G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLVHLPQQLLVLLLFIAPFFFFFLIRSMRRRDGGSVRLPPSPWALPVIGHLHHLMGTLPPHHAMRDIALRHGPLVWLRLGGLQVILASSVDAARESFRPIREEEVGRLLRAVAAASPARRAVNLSELISAYSADSTMRALIGSRFKDRDRFLMLLERGVKLFATPSLPDLYPSSRLAELISRRPRQMRRHRDEVYEFLDIIIKEHQENRSSSDDQEDLDLVDVLLRIQRKGDFPLSTDNIKTTIGDLFNGGSETTATTLKWIMAELIRNPRVMQKAQDEVRQVLGKHHKVTEEALRNLSYLHLVIKEGLRLHPPGLPLLLRESRTTSQVLGFHVPQGTMILVNMWAISRDPMYWDQAEEFIPERFEHVNIDYYGTDVKYMPFGVGRRICPGIAFGLVNLELVLASLLYHFDWELPDGTELGNLDMKEEMGAIARRLHDLSLVPVIRHPLPRWPCPSILLAASSLHRAGSGRLDVGGCWIRPPGGGIDSDLMIQKFSNFVSIWCKTTQAMADQLVHLPQQLLVLLLFIAPFFFFFFIRSIRRRDGGSVRLPPSPWALPVIGHLHHLMGALPPQHAMRDIALRHGPLVRLRLGGLQVILASSVDAAREVMRTHDLAFATRPSTRVMQLVFPEGSQGIVFTPYGDSWRNLRKICTVELLSAKRVQSFRPIREEEVGRLLRAVAAASPARRAVNLSELISAYSADSTMRALIGSRFKDRDKFLMLLERGVKLFATPSLPDLYPSSRLAELISRRPRQMRRHRDEVYEFLDIIIKEHQENRSSSDDQEDLDLVDVLLRIQRKGDFPLSTDNIKTTIGDLFNGGSETTATTLKWIMAELIRNPRVMQKAQDEVRQVLGKHHKVTEEALRNLSYLHLVIKEGLRLHPPGLPLLLRESRTTSQVLGFHVPQGTMILVNMWAISRDPMYWDQAEEFIPERFEHVNIDYYGTDVKYMPFGVGRRICPGIAFGLVNLELVLASLLYHFNWELPDETELGNLDMKEEMGAIARRLHDLSLVPVIRHPLPVDM >ONIVA11G20640.2 pep chromosome:AWHD00000000:11:21944031:21964148:1 gene:ONIVA11G20640 transcript:ONIVA11G20640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLVHLPQQLLVLLLFIAPFFFFFLIRSMRRRDGGSVRLPPSPWALPVIGHLHHLMGTLPPHHAMRDIALRHGPLVWLRLGGLQVILASSVDAARESFRPIREEEVGRLLRAVAAASPARRAVNLSELISAYSADSTMRALIGSRFKDRDRFLMLLERGVKLFATPSLPDLYPSSRLAELISRRPRQMRRHRDEVYEFLDIIIKEHQENRSSSDDQEDLDLVDVLLRIQRKGDFPLSTDNIKTTIGDLFNGGSETTATTLKWIMAELIRNPRVMQKAQDEVRQVLGKHHKVTEEALRNLSYLHLVIKEGLRLHPPGLPLLLRESRTTSQVLGFHVPQGTMILVNMWAISRDPMYWDQAEEFIPERFEHVNIDYYGTDVKYMPFGVGRRICPGIAFGLVNLELVLASLLYHFNWELPDETELGNLDMKEEMGAIARRLHDLSLVPVIRHPLPVDM >ONIVA11G20640.3 pep chromosome:AWHD00000000:11:21944031:21964148:1 gene:ONIVA11G20640 transcript:ONIVA11G20640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLVHLPQQLLVLLLFIAPFFFFFLIRSMRRRDGGSVRLPPSPWALPVIGHLHHLMGTLPPHHAMRDIALRHGPLVWLRLGGLQVILASSVDAAREGIVFTPYGDSWRNLRKICTVELLSAKRVQSFRPIREEEVGRLLRAVAAASPARRAVNLSELISAYSADSTMRALIGSRFKDRDKFLMLLERGVKLFATPSLPDLYPSSRLAELISRRPRQMRRHRDEVYEFLDIIIKEHQENRSSSDDQEDLDLVDVLLRIQRKGDFPLSTDNIKTTIGDLFNGGSETTATTLKWIMAELIRNPRVMQKAQDEVRQVLGKHHKVTEEALRNLSYLHLVIKEGLRLHPPGLPLLLRESRTTSQVLGFHVPQGTMILVNMWAISRDPMYWDQAEEFIPERFEHVNIDYYGTDVKYMPFGVGRRICPGIAFGLVNLELVLASLLYHFNWELPDETELGNLDMKEEMGAIARRLHDLSLVPVIRHPLPVDM >ONIVA11G20630.1 pep chromosome:AWHD00000000:11:21909580:21914212:1 gene:ONIVA11G20630 transcript:ONIVA11G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J4L9] MLARDLPIPCSGAGGRRAPAAAADGRLAGGVLRPPWGGVAAGVRLVAAARPMSVVTAAAAGSSWDRKAGRSEAAVPAAAPVDGKDDDDVLPVLNKLMTSTVGKSTNIRWHDCPVNQLDRQKLLNQKGCVVWITGLSGSGKSTLACALSRELHSRGHLTYVLDGDNLRHGLNKDLSFKAEDRAENIRRVGLFGEVAKLFADAGLICITSLISPYKSDRSACRKLLPNSSFIEVFLNVPLEVCEERDPKGLYKLARAGKIKGFTGIDDPYETPSDCEIVIQCKVGDCPSPKSMADQVVSYLEANGFFQN >ONIVA11G20620.1 pep chromosome:AWHD00000000:11:21903569:21904900:1 gene:ONIVA11G20620 transcript:ONIVA11G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARCANIWSSADARSEESEMIDQLKSMFWSSTDAEINFYSPDSSVNSCVTTSTMPSSLFLPLMDDEGFGTVQLMVSTGMDMCSDHQHQVITGNKRMFPMDEHFEQQQKKPKKKTRTSRSVSSSSTITDYETSSELVNPSCSSGSSVGEDSIAATDGSVVLKQSGNSRGHKQCSKDTQSLYAKRRRERINERLRILQQLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDTWMFAPLAYNGMNMDLGHTLAENQE >ONIVA11G20610.1 pep chromosome:AWHD00000000:11:21894328:21896129:1 gene:ONIVA11G20610 transcript:ONIVA11G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPAPPPSPAPRRRIRSNKGSESSRSPSPAASDGPLQRVELPPQELRARLPRLPGLREPSWADQEVWAHVLQAVLPQQRQGYWLHQVPLKAILPRPPEPEKWIF >ONIVA11G20600.1 pep chromosome:AWHD00000000:11:21870977:21871724:-1 gene:ONIVA11G20600 transcript:ONIVA11G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREQMRMAILRQEETFRQQVHELHRLYHVQKQLMKQMQIAKLNQAQAIAANAETKPKFEITFAENSTNHHHHHQFYSFQSSKIMSPPAAAAAAADQEEEEECDLQLTLATGSSGGGDGTERGHKGKKEVRSSNSDSGTAASSTSTESELAQFKNHHHHQLDCAAAAPVASPAARFQGESKKRVVVVVDNEMSLLQPPWLNQCLSLRMA >ONIVA11G20600.2 pep chromosome:AWHD00000000:11:21870977:21871712:-1 gene:ONIVA11G20600 transcript:ONIVA11G20600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREQMRMAILRQEETFRQQVHELHRLYHVQKQLMKQMQIAKLNQAQAIAANAETKPKFEITFAENSTNHHHHHQFYSFQSSKIMSPPAAAAAAADQEEEEECDLQLTLATGSSGGGDGTERGHKGKKEVRSSNSDSGTAASSTSTESELAQFKNHHHHQLDCAAAAPVASPAARFQGESKKRVVVVVDNEMSLLQPPWLNQCLSLRMA >ONIVA11G20580.1 pep chromosome:AWHD00000000:11:21867863:21869687:1 gene:ONIVA11G20580 transcript:ONIVA11G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAMHARAAAAAAASIHRQYRRCNPWRPCWTPVAAPSSSGAARRGHGVSPWLLGVSSSTSSSSTPPHGRRCHRRGYYTGVALPAPVRGIFVSYVDYDRTHLFAHPSITPACPAIDGVLDFMPEHRYSGDGWSVSDHCNGLLLFSNCSRWLCVCNPATQRWEKLPDHAAGVGSSYKICTYLAFDPAMSSSHYEVLVIPSVPDPRWMMALCNHRGRAKDIDDSCRLMEWPPSPWRVDVFSSRTGRWEERAFVRDGEPAGTVEEMRLDPMEPTGLGPFQRYAVYQHGCRGDFVARLSLSNDTYQVIKTPENHIKSTREAVPYLGRSPNGLCFGISDRDAQLWIWILRESHGKMEWILKYHDDLKPFAKQLLSYYGYRRKLFCGQPWIIEEANNRKHQNTKNKPDPKGAVDEYCYTFFDMIGFHPYKEVIFLGDILTVFAYHLDTSKLQYLGHTRPEDYCQMYTNGIYGSFVYTPYVSGHFYAVITGAGAHPQHLHQMLRNNAAHAIDQPKEPAD >ONIVA11G20570.1 pep chromosome:AWHD00000000:11:21866116:21867399:1 gene:ONIVA11G20570 transcript:ONIVA11G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAMEGGGVLIDDRVVGGESVRPQGEPAGTMENIWLDPFEPLSWGSRQCYAVYQLGALYVRCRVFAYHLDSSRIQHLGHSYPKCYSTIHSNGIYETFVYTPYISRQFHAVTTGAAHPQHFHLILRNNAGHSVDQSKEPAGMEHPTNLSGDF >ONIVA11G20560.1 pep chromosome:AWHD00000000:11:21865832:21866074:1 gene:ONIVA11G20560 transcript:ONIVA11G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSTAMASRGSSVANPATQRCEKLPEHLVAGSVYKPSTYLVFDPAVSSSSHYEVFVIPSAPRKQKTETVTCHRHSHH >ONIVA11G20550.1 pep chromosome:AWHD00000000:11:21864226:21864885:-1 gene:ONIVA11G20550 transcript:ONIVA11G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRSGPARPFRATGTVPRAHYAAAEPSRSAVPRDRAPEGAHSRERSRRSDVWYRAVRNRGPSHAAILRDRAGAARPFCVTEPALAGPAIYRAAALWTCGIVPMPHARYAGPLRSRIFIPRNRDVHRSIVAPASPTRGLGIFVQISAMQAGMLYSII >ONIVA11G20540.1 pep chromosome:AWHD00000000:11:21860821:21863952:-1 gene:ONIVA11G20540 transcript:ONIVA11G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPDDAFAEILGRLPPKSLAVARCVCKPWRELVDGRGLLLRRLLPRSVDGVLFNYVGHHRPHLLSRRRPSSSSSSSVPASCGGGRVDGDLTASVPAGDRAWWAVVDHRDGLLLCDVYWGSRLFVCNPATRRWATLPQPPPEREPAAACAGGKYLAFDPAVSPHYEVLLIPALPEKAAEDAVDGDEAAAAYLSMEWPPSPYKVEVFSSETGRWEERVFVREEEGEAAAATTVEDMKSWEYVFARPRQGYSVFWKGALYVHSGGHFVTRFSMSSNKYQIIKTPIIIRNNMFVRPYLGKSKMGVSFGFIDDYQLSVWILKESAGQIKWVLSYQHDLWAAINQVDSFDFGGHQINGPWVLEETIPKYRTIENKETLSDKEWDSDNDDFLDTEVDDFLIDTEVDDEGHNDFAYFRILGFHPYKEVIFLEETLRTFAYHLNSSKIQYLGYSCPKYCYGRYTIHESFVYTPGMIGELNGHYAAGQSSPQ >ONIVA11G20530.1 pep chromosome:AWHD00000000:11:21856605:21859069:1 gene:ONIVA11G20530 transcript:ONIVA11G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPDDAVADVLRRLPPRGLAAARCVCKPWRDLVDVRALLRPRLLPRSAHGVLINYIDHGRPHLFSRPSSSSPSSAAAIGGEIIDGNLTFLPNDGDRDWWCVLDHCDGLLLCGIEWGSQLCACNPATRRWATLPAARQGPSRYAAAYLAFDPAASPDYEVLLIPNLPEKPSPPVPNQPRQRRRRRQDELAGPFCLHMLFSPLDAADESDLDGDVDVDDDDDDDEVATPAASSVDDDQYKLMEWPPSPYLLEVFSSRSGRWEERAFVREGEKVTTVEDMMPLGYPYRGPRRGYSVYHHGSLYAHCRGAFVTRYSLANGKYQVIETPINMANYKWEKPYLGKSEMGVLFGMIHGGQLSVWILQESAGQMGWILTYQHDLRPFAKEVSSLRYNGNLTTGSWTVEENSTGMHGNRDTLSAEDFEWDSDNDDFLAVEVRNEEYDDDCEHFDILGFHPYKEVVFLDQSFKTIAFHLNSSKIQYLGYSRPKCYYRNYTNGIYESFVYTPCMIGELHGVIGQSSS >ONIVA11G20520.1 pep chromosome:AWHD00000000:11:21856574:21859540:1 gene:ONIVA11G20520 transcript:ONIVA11G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPDDAVADVLRRLPPRGLAAARCVCKPWRDLVDVRALLRPRLLPRSAHGVLINYIDHGRPHLFSRPSSSSPSSAAAIGGEIIDGNLTFLPNDGDRDWWCVLDHCDGLLLCGIEWGSQLCACNPATRRWATLPAARQGPSRYAAAYLAFDPAASPDYEVLLIPNLPEKPSPPVPNQPRQRRRRRQDELAGPFCLHMLFSPLDAADESDLDGDVDVDDDDDDDEVATPAASSVDDDQYKLMEWPPSPYLLEVFSSRSGRWEERAFVREGEKVTTVEDMMPLGYPYRGPRRGYSVYHHGSLYAHCRGAFVTRYSLANGKYQVIETPINMANYKWEKPYLGKSEMGVLFGMIHGGQLSVWILQESAGQMGWILTYQHDLRPFAKEVSSLRYNGNLTTGSWTVEENSTGMHGNRDTLSAEDFEWDSDNDDFLAVEVRNEEYDDDCEHFDILGFHPYKEVVFLDQSFKTIAFHLNSSKIQYLGYSRPKCYYRNYTNGIYESFVYTPCMIGELHGVIGQSSS >ONIVA11G20510.1 pep chromosome:AWHD00000000:11:21853013:21855575:1 gene:ONIVA11G20510 transcript:ONIVA11G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRRPRAAAAALGARRCHLLRRPPPPAPLLPPLLLILLVGGGGERRTKPLPAPRRRQKLAVARDRPLRRPPPPLGNWGGELRVCNPATRRRATLPPPPQRACRDDVTAIPVGEYLVFEPAASSPSPHYEVFLIPTVPGHPGPPPTPPAHIRLKPMAAAAAPFCLDERLASLRGASYPTVEEMMEDMAEATVDSPPPSPYEWDQQLFCLMCWQVEYQYLSAEWPPPSYKIDAFSSRTGRWEERVFVREGETATTLEDMKPWNYVYAGPWQGCSVLWQGALYVHSGGACVTRFSLSNDKYQMIRAPINILDNKFDKPYLGKSKMGVSFGFIHDWQLSIRILKESAGLMEWVLTYQHDLQAIANQLDSIDSHIDQINGPWIVEEDDTDIPLNTETLSQRF >ONIVA11G20500.1 pep chromosome:AWHD00000000:11:21852921:21855106:1 gene:ONIVA11G20500 transcript:ONIVA11G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPDDALAAILRRLPPRSLAAARCVCKQWRDLVDDRALLLPHSAHGVVISYVDHHRPHLFSRPSSSSSSSAAAASDGPSLCLPRAAKLAVARDRPLRRPPPPLGNWGGELRVCNPATRRRATLPPPPQRACRDDVTAIPVGEYLVFEPAASSPSPHYEVFLIPTVPGHPGPPPTPPAHIRLKPMAAAAAPFCLDERLASLRGASYPTVEEMMEDMAEATVDSPPPSPYEWDQQLFCLMCWQVEYQYLSAEWPPPSYKIDAFSSRTGRWEERVFVREGETATTLEDMKPWNYVYAGPWQGCSVLWQGALYVHSGGACVTRFSLSNDKYQMIRAPINILDNKFDKPYLGKSKMGVSFGFIHDWQLSIRILKESAGLMEWVLTYQHDLQAIANQLDSIDSHIDQINGPWIRLSHKDFDWDSDNDDFLAIEVGGEKNEGHNCAPFGILGFHPYKEVIYLEEEFKTVAYHLNSSKIQYLGYSRPKCYGQYSTNGIEGSFVYTPCMIGDL >ONIVA11G20490.1 pep chromosome:AWHD00000000:11:21839469:21843260:1 gene:ONIVA11G20490 transcript:ONIVA11G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSAAVGSISNLAAQETSLLCGVMDEVGFLKAELERLHGFLEDAKHKRRSGDASAAVLVGQIRDAAYDAENVIEASEYMVKRNKLKKGFMGAISRYARLPTDLIALHKIGVEIQRIRRKISEIFDSANRLKIVGLGNPTTDIGHADDEFPQDYDIMYQNFEDDDVVGFDNEYNEIVEKLVEQENELNVVSIVAMGGAGKTTLARKIYNSTRIRNHFDTTAWVTVSQKFKGIDLLKDIMRQIMPNKLESREIDQMQELEVGKKIHEFLLNKRYVVVLDDVWATDTWNQINRVGKVFPDANNGSRVLLTTRKEDVANHIEMSTYIHPLKLLDDEKSWELFSRKSLPSYKRSSLQDVNEFEELGRKLARKCNGLPLALAVLGGYLSKNLNIQAWSDIFKSRISTKNGQMMRDILARSYNDLPNNYMKSCFLYIAVFPEDYSISTADLVELWTAECFVQPRRKYKPEELAYKYISELAQRSLVQVVDRSTAHGSILRIKIHDILRDWCIEEATQDGSS >ONIVA11G20490.2 pep chromosome:AWHD00000000:11:21833499:21839462:1 gene:ONIVA11G20490 transcript:ONIVA11G20490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLPDGSGQLPSLQELVLKRTSLAKIYKGKDARGTSFSIEPKRRRSAAIAVAVDPPPRRRGTASPSSLSNRL >ONIVA11G20480.1 pep chromosome:AWHD00000000:11:21831032:21831759:1 gene:ONIVA11G20480 transcript:ONIVA11G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRAAAVAKVDLPSPTLPSSPLPLPSDNNRAWRWRIDGGLTTSLVDPPLASLERSRFGKQIRGGSIAEVGLPSPALSSPVPLPSDGDQARRWLIDASLEDQPLACLERCGSGSGGAREGRSCGGGKVEVGLSSPAPPTVAEGGGNRSTFEANCTKVPVEYRGFYPASNGKQKELWTFHLDRTSFSDFKSSMSGMVKFLGQMTQLVTFVLDFTDTDIPVEMIK >ONIVA11G20470.1 pep chromosome:AWHD00000000:11:21825528:21829889:1 gene:ONIVA11G20470 transcript:ONIVA11G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYGGGGTSKTIGNEEPQFIRKTRDKKQMPQHEKKRYFGEDIIYKMYNNPLQERSRKKMADYPIFIILKKVDYPIFIILKKVSEVYLEHYYIIDFCGRDPSKLYMDRNCLRMRKSLP >ONIVA11G20460.1 pep chromosome:AWHD00000000:11:21825520:21826345:-1 gene:ONIVA11G20460 transcript:ONIVA11G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYKQLTTSTGIRVLDTKIKKIMDRILTMQEHRTKVASRRAQRDESTWFARCASLLSLALAIVVAPARSHLKPGDNNEEDLVLTVAPLRSVDESPSPPQKPAVADTVAVEGFGGETAASASLPQTRLPISNSEAGIEWSKWD >ONIVA11G20450.1 pep chromosome:AWHD00000000:11:21774862:21781321:-1 gene:ONIVA11G20450 transcript:ONIVA11G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPLQLADPLINGRGILSKPIQFFDKLQELFSDSSANGTYMQDPSSATDFDDETEDFDFLNDMSTYAETKVPQGEDSDKLESDSDDCKEVATLSAAASVSQVSSCNMSEGVSKGVESQRPCPNHITTRVTSLRPNTNTLHGDDDVDGLITNTLVGIKNNLDKPIQTAAPPDPNAPLWNMLKKIVLEPDDKMRLGLHLCKPEFQAHRGFFISMGQENLERWVYKF >ONIVA11G20440.1 pep chromosome:AWHD00000000:11:21762762:21770836:1 gene:ONIVA11G20440 transcript:ONIVA11G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKYGEIHGNTVGWGILRAHLEDQWMEMGVGGLPPKRLKLFPIFKHVSGIDSDENMSPTIATFEVRNETPSNVSIPSSTIVTGTLANVTNLSAVELKRKPDREVYASLSAEEKEAKLQNNRDYRQRKKEAKTSLTGTLDDITNLSAIELGRKRDKEMYASLPAQKKEAKLQKKRDYRQRKKEATISPTGTLGDITNLTPIELTWKRARDRKFGQYDQYNHYRCAMARQWDVRSSSNYIHDYLVHWKLFLSSCALGGVAVLQQSQRRKEAFIEVGSAKVEAMSGSAR >ONIVA11G20430.1 pep chromosome:AWHD00000000:11:21761737:21762232:-1 gene:ONIVA11G20430 transcript:ONIVA11G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSSSHSVHQPSPWSVVRASPAQADPPELNCACDFSATAQTSHTENPNRSFLTCGSKIARLQDDLESAHPSIEENILKLKEADGKA >ONIVA11G20420.1 pep chromosome:AWHD00000000:11:21746377:21747048:1 gene:ONIVA11G20420 transcript:ONIVA11G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKANTTTSPPSASTIALTATTGCHLLKVKGYSSYARLLRGKRFMSPKFSAAGHTWRIAFYPSDGNLVSFYLHLVDGRFSKDVTAEVQFSMLHRCNSADDEMPKNPYNHRKIIRHTFRSASCNVSSCGISRFVNAKEKKTVDMPLYKYTNEDDDSIVVRCDIKVMNKPAIHGDTLENLGLICHCKDDTCKHLHDTWPAMSAQPVVVNNKWAFARLFSCFLA >ONIVA11G20410.1 pep chromosome:AWHD00000000:11:21744992:21745454:-1 gene:ONIVA11G20410 transcript:ONIVA11G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVHRDISQRKWSTEDTASDKSRWVVTLFHNSDLKQQADEADTKESDGDGGRKERCVRANMTSIPIVPLMN >ONIVA11G20400.1 pep chromosome:AWHD00000000:11:21743081:21744259:-1 gene:ONIVA11G20400 transcript:ONIVA11G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAATDDSPPLLTATPAAADDYCGSADSPAACTIVGKVERVCYNVRVDGYSKTKETTKNGSYIASTEFVAGGEPWRIRYYPNGYSQSTAGHVSVFVYRVGGVDVGLHADVQIDLVARHGDATAPPETEVAGRFRCTFWPDSSFGFQRFISTEKLDMSPWCVRDDGFTIRCDITVEGPPFVVAVKPSSSPLGWHLGDLLGDTDTADVAVVVGGDVGDGEETTFAAHRYVLAARSLVFKAQLFGPMKKAAEGNGGAAMISVDDMRADVFRAFLHFVYTDELPPGELDVAGDGDADTAAIMAQHLLVAADKYDLPRLKLVCERKLSESLAAGTVATTLALAEQHGCHDLKEVVLRFIRLPANMEAVKCSDGFKHLLESCPSLHQDLKSRHIIS >ONIVA11G20390.1 pep chromosome:AWHD00000000:11:21691142:21702420:1 gene:ONIVA11G20390 transcript:ONIVA11G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSCVAEANGSTSTIVAAAKPTGHHILKIDGYSRTKAMVAAGDSIDSCRFHVGDHAWRIRYYPNGTDRSNQNPDAISVMLELQDATAAAGRNGAAVKAQFVFSLLDEDGEPVPSRTYRSSVHSFPSSDGFKNWGFLRFITHGDLDKSEHLVDDGFAVRCDVTVMGGIELRVEPASLLAVPEPDLHRHLGRLLSTGDGADVTFRVAGGEAFAAHRCVLAARSPVFRAELYSRGGFLRPAAAGRPETRVVDVDDMDAGAFRALLHFVYTDTLPEMASADVPGMARQLIPAADRYKVERLKLVCEDKLSRRADASMTPTDSAATCDMPQRYPWFKGIFLKLKSGAADVQPSPSSCSTIVVTEASGHHVLKIDGYTRTTMMVATGEHLDSGEFHVGGYAWHLRYYPNGYDQEFSSSISFALVRTAGAGDNVRLHARAKISLLDLAGEPVARYSQPVDKCSTSKASDPWVCKSFIERDELEKSGHVVGDRLAVRCDLTFNVQDRLVRELVAVPPTLLRRHIGELLGDARTSDVRFKVGGETFSAHRCVLAARSPVFRAELLGPMREHAATTIRVDDMDAAVFAALLRFVYTDELPELDGGSAAAMAQHLLVAADRYDMERLKKVCEDKMVRHLDVGTAATSLALAEQHDCPELKKAILRFMASPARLKAVMASDGYEHLVTSFPSIATEILAICAVAAEANGSTSTIVATTKPTGHHILKIDGYSRTKAMVAAGDSIDSSRFHAGDHAWRIRYYPNGTDRSNQNPDAISVMLELQDAAAGRNNGAAAAAAVKAKFVFRLLNKDGEPVPSRTYRSSVHSFPSSDGFKNWGFLRFITHGDLEKSGHLADDGFAVRCDVTVMGGIELRVEPASSLAVPEPDMHRHLGRLLSAGDGADVTFRVAGGEAFAAHRCVLAARSPVFKAELYSRGGFLRPAAAGRPGTRVIDVDDMDAGAFGALLHFVYTDTLPEMASADVPALARQLIAAADKYKVERLKLVCEDKLSRRVVADDTSMTPTPMSTPTTMTDSTTTGDDPQRRQRRARFLGKFKPGDAAVMPSPSCSTIAVTEIDGYTRTTVMIATGKHLDSGEFQVGGYTWHLWYTLRASDCILVTGAGMPVGGEISNPAPASMSTRLLDLAGERVARYSQSGDKCSISKKTDRWVCNSFIKRDELEKSGHVVGNRFAIRCDLTFNVQDLRVRGLVAVQLPPPVLGCHLAERLLFDDETADVRFRVRGETFPAHRCVLAERRRRAELLGSSMKEHAARTIRVDDMKVPVFAALLYFVYTDELPEMEDDERTVIMAPHLLVPADRYDMDRLAEEGDKMVRHLDVGTAATSLALAELHGCPRLKEAILRFLVVSPPEKLKTVMASEEYQHVITDFPSIATEIVLAMLAANSA >ONIVA11G20380.1 pep chromosome:AWHD00000000:11:21670158:21670790:-1 gene:ONIVA11G20380 transcript:ONIVA11G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSGQSCCSDNASDVAAVATTTCLNSTSSHTFFSLSMPYLSAATSRYCAITAAASSPSSSISGSSSTKCRNAANASASMSSTRTMALAARSRMEPNSSARNTGDRAARMHRCAANASPSTLNLTSAAASEARRSPRWRCRRGGGTAGTVTAAASSTSTRMSGTLKVRSHRTAKESPPPPPSSRCPESSRSTLLMKLLMAQRSCPS >ONIVA11G20370.1 pep chromosome:AWHD00000000:11:21657930:21670923:1 gene:ONIVA11G20370 transcript:ONIVA11G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAAAGRIVRTASASVSRPSTTSHVLRVDGYSHLVGVLQPGEHVDSCVFDAGGHSWRLQLYPNGSNDQTHRSHIGVFLQLAAAGGHPSDGDGRVRARPRFSLVDSAGDKPAAAPPSHHAGFHSFGHGDGWGFQSIISREELERSEYLRDDCFAIQCDVDVTTVRKCHDHPSTLPAMSLVAAVAGRIVRTASAIVSRPSTTSHVLRVDGYSHLVGVLQPGEHVDSCVFDAGGHSWRLQLYPNGSNDQTNRSHIGVFLQLAAAGGHPSDGDGRVRARPRFSLVDVAGKPAPSRDAGVHGFYHGHYWGFKDFIAREELERSEYLRDDCFAIQCDVDVTTVRKCHDHPVFISQNISENRSTLPAMSLAAAGRIVRTASAIVSRPSTTSHVLRVDGYSHLVGVLRNGEHVDSCVFDAGGHSWRPQLYPNGKNKKHRDHIGVFLQLAAAGGHPSDSDGHGSAWLMSPARRRRRGTPEFMVQCEVDVTAVRKCHDHPVFISQNISENRVSDATYDHCSLQLADGVDHRVLCSHSRVDIPGRRLDYFRSRVATDCHLRPHSSADTDGRRFLRSRLSADTCSRNILCNISPPSPRASTLPIPSARSGRCRGWGARFGNGGRLAAGGLPEIARGLAMTTMSTTDAAIVWLGDCEGDISGRRAEKERHYLVPRAGGVAHVRWQRAQVPEPQGSSSWGFHKFIRHDELERSGHLAGDRFAVRCDVTVMRATELRVEPACLAVPEPDLRAHLRRLLSTGDGADVTFRVGGGETFAAHRCVLAARSPVFKAELCGRGGAAAGRCVDVDDMGAGEFGALLHFVYTDTLLEMASRDVPAMARRLIAAAGKYQVERLKLVCEDMLRRRVDTSMAMATTTDSAATTDDQLSQRPWLTQLFFKFASRMVGGMVVDAFTPEPPTAAEKSGDASPSPLPSPSCSTVAVSEASGHHVLRIEGYKRTKMMMATGEHLNSGEFHVGGYTWRLRDYPNGSISFALVRTGRDDDDVVVRARVKISLLDVAGEPVTRYSHSDNKCTFYEGHDLWAIKSFIRRVDLEDSGHLDDGGGGGDSFAVRCDLTFNVPDIRVDVDDAAAVTVPAVPPPLLHRHLGDLLASEAAADVRFNVDGEAFAAHRCILAARSPVFRAELFGSMRERAARAIVRVDDMDADAFAAFLHFVYTDELPEMDDDGEEAAAVMAQYLLVAADRYGMERLKKVCEDVLFRHVVVATAATSLALSEQHDCPELKDAILRFVTSPARLKAVMASDGYEHLITSFPSIATEILAMLAAQLST >ONIVA11G20360.1 pep chromosome:AWHD00000000:11:21656597:21657423:1 gene:ONIVA11G20360 transcript:ONIVA11G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTATPDRRPTPPRDHDKGSRPQSSPHASRSQGNQDPRVPRTRSGDGDGGEPAPKCGDGEGDGRFPSMRVRDGVVKPGGCSPVAISCLPAKLVSWSGGGGGTAGAYACDGVCVT >ONIVA11G20350.1 pep chromosome:AWHD00000000:11:21647380:21651107:1 gene:ONIVA11G20350 transcript:ONIVA11G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIMVSAVTGVMNSLLAKLTALLGEEYKQQKRVKRGIQSLKDELSSMNALLEKLADMDVVDPQMKEWRNQVREMAYDIEDCIDRYMLQLHDEPDKYTGIKGFIPKTMKKLKRLGARHDIGERIQELKARIDEANQRHDRYKLDEVLDSSRTSTVEAIDPRLPALYAEVSSLVGVDGPIDELIKLVDDGEQSLKVVSIVGFGGLGKTTLANQVYKKLGQQFDCQAFVSVSQKPDVKKIFRKILSQIKNSDEELREEDWLINELGIFLENKRYLIVIDDIWSTQAWKIIKCALPESTCGSRILLTTRNGNVAKSCCYPHHDTLYQIRPLNEADSKGLFFRRIFGSEDQCPVHLKEVSVDIINKCGGLPLAIITIASLLTVKSKNREEWMSIRNSIDSGIGENCDKDEMKRILSLSYIDLAHHLKTCLLYFSMYPEDCEIDVQQLLRRWRAEGFIKVNCGRNIMEEGEFYLNELINRSLIQPEKMLFDNRIRTCRVHDIILDLIVSKAIEDNFVTVFSDPNSLVSQGKVRRLLLDYRGQENVMPMCSMVTCNVRSVSIFGYREQMLPISDLNVFRVLHIESGNKMMEICGIGKLLQLRYLRIDLVTHLTEEIGELLFLETLDLPRGIGTEELPKGILKLRRLKFLHVHDARLPDGVGNMQALEEPVVSTKEDNLSSINSLEQLGTLTKLRILHLSLSITDENNHKSKHLDTLTSSLNKLLSYNLRYLYFDSYWQLGSAYINLDFSSSPSYLLQQLHIRPLLLHGIPERLASLANLTYLDIRIQQVTQETLEILGDLPALLSLLLVSAFDNTERFSIYRNKFRCLESLNLDCSASDMMFHAGAMPTLIDIVFTIKAHSTEYACANRNLGIHHLSTLKALNVCIDCQGATAKEVEAVVAAIKNEASLLPNCYIQYICLWREEGLEDIDISREEG >ONIVA11G20340.1 pep chromosome:AWHD00000000:11:21613607:21618780:1 gene:ONIVA11G20340 transcript:ONIVA11G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFMVSVATGAMNSLIDKLTMLLGQEFRLHKGVQRDIALLNGELSCMNALLEKLAGMEVLDPQMMEWRNQVREMAYDIEDCIDRYIYQLHYEPQRPTGIVGFFHDYVHKVKELLARRAVAQQIKVLKDDIVEASHRRKRYKIDPELYSETTNVVLIDPRLPALYVEASNLVGIDIPRDQLINLVDDGDQSFKVISIVGVGGLGKTTLANEVYKKTGGRFDCQAFVSVSQKPDVKKILRSIICQTMEPYHASTNPDKAVISQIKKQDYSSTEAGDVEWLINILRVFLKDKRYLIVIDDIWSTQEWMTIKFALFENTCGSRILVTTRISTVAKSCCSPDRGTVYELRPLSEADSMCLFFRRIFGSEDLCPVNLKDVSTEIIKKCGGLPLAIITMASLLADKSDRREEWVCIRNSIGSGLEKKYDLEVMRSILSLSYRDLPLHLKTCLLYLSIYPEDYKINMHQLVRRWIAEGFIKDKSGRNLMVEGKCYFNELINRSMIQPVDIGIDGQPKACRVHDMILDLIVSKAVDENFSTSIGDETHRLASQAKIRRLSVDYSGQEVSVSWPSLMLAHVRSLSIFGYSEQMPPISEFKALRVLDLESSVKLQNSDLNNVVDLFQLRYLRIAASRITHLPEQIGELQFLETLDLRRTWIRKLPASIVKLRRLSCFSANGARLPDGVGKMQSLQELSGITVYDECSTNSLLELGNLNSLRTLKLTWYIRESRKDRTHYTDSLASSLGKLVSSSLESLSIINGPFSGYIPFDSWSWSSSPHLLQELYIPKCCFQRIPDWMASMNNLYRLCIRSKQVTKQILQILGDLPALLDLELRSESDDPMEILIISKCIFRCLKIFRLYGSFVGLIFEDGSMQKVREISVVVRAHKAKSAFADHPDLGIRNLTSLMDLNVWINCEGARVQEVTVLEAAIADATALLPNHPTPHFFRENEENMVKDDLPNHPAPHFFRENEEKMVKDEAHMQEKMLE >ONIVA11G20340.2 pep chromosome:AWHD00000000:11:21613607:21618780:1 gene:ONIVA11G20340 transcript:ONIVA11G20340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFMVSVATGAMNSLIDKLTMLLGQEFRLHKGVQRDIALLNGELSCMNALLEKLAGMEVLDPQMMEWRNQVREMAYDIEDCIDRYIYQLHYEPQRPTGIVGFFHDYVHKVKELLARRAVAQQIKVLKDDIVEASHRRKRYKIDPELYSETTNVVLIDPRLPALYVEASNLVGIDIPRDQLINLVDDGDQSFKVISIVGVGGLGKTTLANEVYKKTGGRFDCQAFVSVSQKPDVKKILRSIICQTMEPYHASTNPDKAVISQIKKQDYSSTEAGDVEWLINILRVFLKDKRYLIVIDDIWSTQEWMTIKFALFENTCGSRILVTTRISTVAKSCCSPDRGTVYELRPLSEADSMCLFFRRIFGSEDLCPVNLKDVSTEIIKKCGGLPLAIITMASLLADKSDRREEWVCIRNSIGSGLEKKYDLEVMRSILSLSYRDLPLHLKTCLLYLSIYPEDYKINMHQLVRRWIAEGFIKDKSGRNLMVEGKCYFNELINRSMIQPVDIGIDGQPKACRVHDMILDLIVSKAVDENFSTSIGDETHRLASQAKIRRLSVDYSGQEVSVSWPSLMLAHVRSLSIFGYSEQMPPISEFKALRVLDLESSVKLQNSDLNNVVDLFQLRYLRIAASRITHLPEQIGELQFLETLDLRRTWIRKLPASIVKLRRLSCFSANGARLPDGVGKMQSLQELSGITVYDECSTNSLLELGNLNSLRTLKLTWYIRESRKDRTHYTDSLASSLGKLVSSSLESLSIINGPFSGYIPFDSWSWSSSPHLLQELYIPKCCFQRIPDWMASMNNLYRLCIRSKQVTKQILQILGDLPALLDLELRSESDDPMEILIISKCIFRCLKIFRLYGSFVGLIFEDGSMQKVREISVVVRAHKAKSAFADHPDLGIRNLTSLMDLNVWINCEGARVQEVTVLEAAIADATALLPNHPTPHFFRENEENMVKDDLPNHPAPHFFRENEEKMVKDEAHMQEKMLE >ONIVA11G20340.3 pep chromosome:AWHD00000000:11:21613736:21618780:1 gene:ONIVA11G20340 transcript:ONIVA11G20340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFMVSVATGAMNSLIDKLTMLLGQEFRLHKGVQRDIALLNGELSCMNALLEKLAGMEVLDPQMMEWRNQVREMAYDIEDCIDRYIYQLHYEPQRPTGIVGFFHDYVHKVKELLARRAVAQQIKVLKDDIVEASHRRKRYKIDPELYSETTNVVLIDPRLPALYVEASNLVGIDIPRDQLINLVDDGDQSFKVISIVGVGGLGKTTLANEVYKKTGGRFDCQAFVSVSQKPDVKKILRSIICQTMEPYHASTNPDKAVISQIKKQDYSSTEAGDVEWLINILRVFLKDKRYLIVIDDIWSTQEWMTIKFALFENTCGSRILVTTRISTVAKSCCSPDRGTVYELRPLSEADSMCLFFRRIFGSEDLCPVNLKDVSTEIIKKCGGLPLAIITMASLLADKSDRREEWVCIRNSIGSGLEKKYDLEVMRSILSLSYRDLPLHLKTCLLYLSIYPEDYKINMHQLVRRWIAEGFIKDKSGRNLMVEGKCYFNELINRSMIQPVDIGIDGQPKACRVHDMILDLIVSKAVDENFSTSIGDETHRLASQAKIRRLSVDYSGQEVSVSWPSLMLAHVRSLSIFGYSEQMPPISEFKALRVLDLESSVKLQNSDLNNVVDLFQLRYLRIAASRITHLPEQIGELQFLETLDLRRTWIRKLPASIVKLRRLSCFSANGARLPDGVGKMQSLQELSGITVYDECSTNSLLELGNLNSLRTLKLTWYIRESRKDRTHYTDSLASSLGKLVSSSLESLSIINGPFSGYIPFDSWSWSSSPHLLQELYIPKCCFQRIPDWMASMNNLYRLCIRSKQVTKQILQILGDLPALLDLELRSESDDPMEILIISKCIFRCLKIFRLYGSFVGLIFEDGSMQKVREISVVVRAHKAKSAFADHPDLGIRNLTSLMDLNVWINCEGARVQEVTVLEAAIADATALLPNHPTPHFFRENEENMVKDDLPNHPAPHFFRENEEKMVKDEAHMQEKMLE >ONIVA11G20330.1 pep chromosome:AWHD00000000:11:21596642:21607236:1 gene:ONIVA11G20330 transcript:ONIVA11G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIMVSAATGVMNSLLTKLTVLLGEEYKLQKRVKHGIECLKDELSSMNALLEKLADMDVLDPQMKDWRNQVREMAYDIEDCIDRYMLQLHDEPDKRAGMKGLFRNTIKKVKKLGARHEIGKQIKELRTHIDEASQRRYRYKLDAILDSCSTCAVETIDPRLSALYVEESSLVGIDGPMNELIKLVDDREQSLKVVSIVGFGGLGKTTLAKQVYKKVGEQFDFQAFVLVSQKPDVQKIFRNILSQIKDLGNESREVGWLIDELRIFLKDKRYFVVIDDIWSTQAWNIIKCALPENTYGCRILLTTRNGNVAKTCCYPQNDTVYEIRPLTEADSKGLFFRRIFGSEDRCPIHLRDVSVEIIDKCGGLPLALITIASLLNVKSKNREEWLNIRNSIGLGLEENSDIDDMKRILSLSYSDLPHHLKTCLLYLSMYPEDCQINVDHLLRRWRAEGFIKVRCGRNLMEEGEFYLNELMNRSLIQPEHRRIDGRAMTCRVHDIILDLIVSKAVEENFVTVVSDPSILVSQDKIRRLLLVYCGRENVMTMPSMASANVRSLGIFGYSEQMLPISDLHALRVLDIDAGNKMMEICDIRKLLQLRYLRILSPTHLPEQIGELQFLETLDLFDTCGIVKLPASIVKPRQLKCLSADCAMLPDGVGNMQALEELSVVIVDESSMNFLQELGSLIKLRRLGLRWYIPDDDYNRSTYGYTLALSLGKLLSSNLRYLQILGPRTGAIPLDFLSSSYHLMQELYIYPCLLHGNPERLVSLASVTSLTIRIQQVTQETLEILGDFSALLSLTLTSEDETTERLSVYSNKFGCLKYLHLGYLANGVMFHAGAMPKLETIDFMIKAHSSQSACSHQNLGIHHLSALKVLNVNIDYDCEEAMVEEVEALEAAIKNEASLLPNCSSQHIDRISRQVEMVTEGWLEGDDVMMEQQDEMPSPQLLELQSAD >ONIVA11G20330.2 pep chromosome:AWHD00000000:11:21596642:21607236:1 gene:ONIVA11G20330 transcript:ONIVA11G20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIMVSAATGVMNSLLTKLTVLLGEEYKLQKRVKHGIECLKDELSSMNALLEKLADMDVLDPQMKDWRNQVREMAYDIEDCIDRYMLQLHDEPDKRAGMKGLFRNTIKKVKKLGARHEIGKQIKELRTHIDEASQRRYRYKLDAILDSCSTCAVETIDPRLSALYVEESSLVGIDGPMNELIKLVDDREQSLKVVSIVGFGGLGKTTLAKQVYKKVGEQFDFQAFVLVSQKPDVQKIFRNILSQIKDLGNESREVGWLIDELRIFLKDKRYFVVIDDIWSTQAWNIIKCALPENTYGCRILLTTRNGNVAKTCCYPQNDTVYEIRPLTEADSKGLFFRRIFGSEDRCPIHLRDVSVEIIDKCGGLPLALITIASLLNVKSKNREEWLNIRNSIGLGLEENSDIDDMKRILSLSYSDLPHHLKTCLLYLSMYPEDCQINVDHLLRRWRAEGFIKVRCGRNLMEEGEFYLNELMNRSLIQPEHRRIDGRAMTCRVHDIILDLIVSKAVEENFVTVVSDPSILVSQDKIRRLLLVYCGRENVMTMPSMASANVRSLGIFGYSEQMLPISDLHALRVLDIDAGNKMMEICDIRKLLQLRYLRILSPTHLPEQIGELQFLETLDLFDTCGIVKLPASIVKPRQLKCLSADCAMLPDGVGNMQALEELSVVIVDESSMNFLQELGSLIKLRRLGLRWYIPDDDYNRSTYGYTLALSLGKLLSSNLRYLQILGPRTGAIPLDFLSSSYHLMQELYIYPCLLHGNPERLVSLASVTSLTIRIQQVTQETLEILGDFSALLSLTLTSEDETTERLSVYSNKFGCLKYLHLGYLANGVMFHAGAMPKLETIDFMIKAHSSQSACSHQNLGIHHLSALKVLNVNIDYDCEEAMVEEVEALEAAIKNEASLLPNCSSQHIDRISRQVEMVTEGWLEGDDVMMEQQDEMPSPQLLELQSAD >ONIVA11G20330.3 pep chromosome:AWHD00000000:11:21596698:21607236:1 gene:ONIVA11G20330 transcript:ONIVA11G20330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADVLLQAGAAMAATVPAAVGPDDLTAREAAAEGPTKATSPISWQVQRLAIAWVADLLSSRIGQEMEGNGIMVSAATGAMNSLLAKLAALLEEDYQMHKGMKREIAFLKDELSSMNALLERLADTEAALDPQTKEWRSQVREMSYDIEDCIDEYTRQLRHGRPQRPGGNGIMGFFHGYVQKVKDLVGRHEIAEQIQELKARIVEAGHRRKRYKLDSAVNCKSNHVVPIDRRLPALFAELDALVGIDRPRDEIIKLLDDGEQRMKVVSIVGSGGLGKTTLANQVYQKIGEQFDCKAFVSLSQHPDMEMIFQTILYQVNDEVGRIRSGDKEQVISELRDFLKNKRYFIVIDDIWSAQAWNTIRYSLLENNCGSRILVTTRIGTVAKSCSSPCLNLVYELRVLSENDSKRLFFRRIFGSEDKCPHQLKDIAVEIVRKCGGLPLAIISMASLLTTKSYVRAEWFKVRDSIGSGIEKNSDVEEMNMILSLSYYDLPHHLRTCLLYLSMFPEDYVINRDYLVRRWVAEGFIKANGGRTFEEEGECYFNELINRSMIQPVHTLYDGRVYSCKVHDMILDLIISKATEENFVTIVTDRKQMLVSKDKVHRLSFDNYGQEDVTLYSMVTTHVRSLNIFRYSEQMPPLSNFPALRMLDLDGNNNLESSYLEDIGKLFQLRYLRIRASNISLPDQIGELQFLVMLDLLNCIGISKLPASIVKLRHLKCLVVHRVELPDGVGNLQDLEYMSLVVVDYSTSVSSLQELGSLTKLRTLGLDWRIGDFHKEKLTYADNFVSSLGKLGRSNLQYLTLISPWSLDFLLDSWSPPPHLLQRLGITGWYLSRIPVWMASLADLTYLDIEVKVRQETLQILGNFPALQFLELYSNAADYGDRWLTVSNGGFRCLQKFKFVHWMNLMFEEGAMPMLETLEFQIIAHEARAESGFGPPDLGICHLSALRNLIVNIYCECARVEDVEALEAAIWIAVSMLPNHPTPTLHRFREAEMAKTVLRCYTLMSCFLLAISQTASVAGSIAGRFMVISDHLKPTTLRQQRCILTLLLHATFPKKSSPAPLIAHEPDSRTRPWPWNNRSDNHKPPLVSELVRRSFTVYKNTT >ONIVA11G20330.4 pep chromosome:AWHD00000000:11:21596833:21607236:1 gene:ONIVA11G20330 transcript:ONIVA11G20330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRRRASGNGGGLLDGVQRRYPHPHVRPPNPNRNRSSAWRARPMCCCRQARQWRPRFQRRSVPTTSPRARQQPRGQICLSPRGATPTKATSPISWQVQRLAIAWVADLLSSRIGQEMEGNGIMVSAATGAMNSLLAKLAALLEEDYQMHKGMKREIAFLKDELSSMNALLERLADTEAALDPQTKEWRSQVREMSYDIEDCIDEYTRQLRHGRPQRPGGNGIMGFFHGYVQKVKDLVGRHEIAEQIQELKARIVEAGHRRKRYKLDSAVNCKSNHVVPIDRRLPALFAELDALVGIDRPRDEIIKLLDDGEQRMKVVSIVGSGGLGKTTLANQVYQKIGEQFDCKAFVSLSQHPDMEMIFQTILYQVNDEVGRIRSGDKEQVISELRDFLKNKRYFIVIDDIWSAQAWNTIRYSLLENNCGSRILVTTRIGTVAKSCSSPCLNLVYELRVLSENDSKRLFFRRIFGSEDKCPHQLKDIAVEIVRKCGGLPLAIISMASLLTTKSYVRAEWFKVRDSIGSGIEKNSDVEEMNMILSLSYYDLPHHLRTCLLYLSMFPEDYVINRDYLVRRWVAEGFIKANGGRTFEEEGECYFNELINRSMIQPVHTLYDGRVYSCKVHDMILDLIISKATEENFVTIVTDRKQMLVSKDKVHRLSFDNYGQEDVTLYSMVTTHVRSLNIFRYSEQMPPLSNFPALRMLDLDGNNNLESSYLEDIGKLFQLRYLRIRASNISLPDQIGELQFLVMLDLLNCIGISKLPASIVKLRHLKCLVVHRVELPDGVGNLQDLEYMSLVVVDYSTSVSSLQELGSLTKLRTLGLDWRIGDFHKEKLTYADNFVSSLGKLGRSNLQYLTLISPWSLDFLLDSWSPPPHLLQRLGITGWYLSRIPVWMASLADLTYLDIEVKVRQETLQILGNFPALQFLELYSNAADYGDRWLTVSNGGFRCLQKFKFVHWMNLMFEEGAMPMLETLEFQIIAHEARAESGFGPPDLGICHLSALRNLIVNIYCECARVEDVEALEAAIWIAVSMLPNHPTPTLHRFREAEMAKTVLRCYTLMSCFLLAISQTASVAGSIAGRFMVISDHLKPTTLRQQRCILTLLLHATFPKKSSPAPLIAHEPDSRTRPWPWNNRSDNHKPPLVSELVRRSFTVYKNTT >ONIVA11G20320.1 pep chromosome:AWHD00000000:11:21589019:21592152:-1 gene:ONIVA11G20320 transcript:ONIVA11G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVISARAGLSHSLSVTQTVPNRPLQASQLATRCTSPSFLSAKLCKTRPLVVAAAMEVSKEAPSADFANRQPSKGVLETWCNADAVCFDVDSTVCLDEGIDELADFCGAGKAVAEWTAKAMTGTVPFEEALAARLSLIKPSLSQVDDCLVKRPPRISPGIADLIKKLKANNTDVFLVSGGFRQMIKPVASELGIPPENIIANQLLFGTSGEYAGFDPTEPTSRSGGKALAVQQIRQNHGYKTLVMIGDGATDLEVCNWNAPGYYIRCKYVSLARDQLPAVVKDARQPGGADLFICYAGVQMREAVAAKADWVVIDFQELISELP >ONIVA11G20310.1 pep chromosome:AWHD00000000:11:21585219:21586027:-1 gene:ONIVA11G20310 transcript:ONIVA11G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGVSSGGGQSSLGYLFGGGETAPAAKAKPAAAAEKETTPAPVKKAAVAAAASPSAAEKMKEIPAGIQSTQANNYFRAQGQNCGNFLTDRPSTKVHAAPGGGSSLGYLFGGK >ONIVA11G20300.1 pep chromosome:AWHD00000000:11:21580951:21586014:1 gene:ONIVA11G20300 transcript:ONIVA11G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCSCLQADYSDHHGHHASPALGGCMCLRCFTQQLINAYTVLFRAGTVHAVSQSIEATPVDSSESSFDTYRSPPRPLPYDDPRFSPPQRDWLVSRHGPSCHSPEESEPLRANDDEEMETPSSTHKSSKTNYDTKMKRSSSTHGEKLPTKEPGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKKMEFDETT >ONIVA11G20300.2 pep chromosome:AWHD00000000:11:21580964:21586014:1 gene:ONIVA11G20300 transcript:ONIVA11G20300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCSCLQADYSDHHGHHASPALGGCMCLRCFTQQLINAYTVLFRAGTVHAVSQSIEATPVDSSESSFDTYRSPPRPLPYDDPRFSPPQRDWLVSRHGPSCHSPEESEPLRANDDEEMETPSSTHKSSKTNYDTKMKRSSSTHGEKLPTKEPGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKKMEFDETT >ONIVA11G20300.3 pep chromosome:AWHD00000000:11:21580951:21586217:1 gene:ONIVA11G20300 transcript:ONIVA11G20300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCSCLQADYSDHHGHHASPALGGCMCLRCFTQQLINAYTVLFRAGTVHAVSQSIEATPVDSSESSFDTYRSPPRPLPYDDPRFSPPQRDWLVSRHGPSCHSPEESEPLRANDDEEMETPSSTHKSSKTNYDTKMKRSSSTHGEKLPTKEPGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKKMEFDETT >ONIVA11G20300.4 pep chromosome:AWHD00000000:11:21580964:21586217:1 gene:ONIVA11G20300 transcript:ONIVA11G20300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCSCLQADYSDHHGHHASPALGGCMCLRCFTQQLINAYTVLFRAGTVHAVSQSIEATPVDSSESSFDTYRSPPRPLPYDDPRFSPPQRDWLVSRHGPSCHSPEESEPLRANDDEEMETPSSTHKSSKTNYDTKMKRSSSTHGEKLPTKEPGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKKMEFDETT >ONIVA11G20300.5 pep chromosome:AWHD00000000:11:21580951:21585977:1 gene:ONIVA11G20300 transcript:ONIVA11G20300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCSCLQADYSDHHGHHASPALGGCMCLRCFTQQLINAYTVLFRAGTVHAVSQSIEATPVDSSESSFDTYRSPPRPLPYDDPRFSPPQRDWLVSRHGPSCHSPEESEPLRANDDEEMETPSSTHKSSKTNYDTKMKRSSSTHGEKLPTKEPGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKLLAWVLCIPAGISFIFSAADGDAAAATAAFFTGAGVVSFSAAAAGFAFAAGAVSPPPKR >ONIVA11G20300.6 pep chromosome:AWHD00000000:11:21580964:21586217:1 gene:ONIVA11G20300 transcript:ONIVA11G20300.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCSCLQADYSDHHGHHASPALGGCMCLRCFTQQLINAYTVLFRAGTVHAVSQSIEATPVDSSESSFDTYRSPPRPLPYDDPRFSPPQRDWLVSRHGPSCHSPEESEPLRANDDEEMETPSSTHKSSKTNYDTKMKRSSSTHGEKLPTKEPGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKVILL >ONIVA11G20290.1 pep chromosome:AWHD00000000:11:21575355:21580372:1 gene:ONIVA11G20290 transcript:ONIVA11G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIGAFKAPCDVFITFADERSRKQVAIKKDNGKTLMVPAFQSLETIAGEVSVAPVPGKRVEHQGVKIELLGQIELYHERGHFYDFTSLVRELEVAGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTIGRPYATSVVECRDFCVRNYTPLPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPGTYIETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFNVKYYLNLVLVDEEDRRYFKQQEITMYRLQETPQSS >ONIVA11G20290.2 pep chromosome:AWHD00000000:11:21575355:21580372:1 gene:ONIVA11G20290 transcript:ONIVA11G20290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIGAFKAPCDVFITFADERSRKQVAIKKDNGKTLMVPAFQSLETIAGEVSVAPVPGKRVEHQGVKIELLGQIELYHERGHFYDFTSLGLISYVRNYTPLPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPGTYIETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFNVKYYLNLVLVDEEDRRYFKQQEITMYRLQETPQSS >ONIVA11G20280.1 pep chromosome:AWHD00000000:11:21570189:21573392:1 gene:ONIVA11G20280 transcript:ONIVA11G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGSRRYSFVRGDTCQHARCPRTWGHVIRDVGGLGRPVYMRPHHTPLPSLSITPRLHLHLHLFFISSLHTSCSFLFYFSLHLHVRKEELNTRHTISMAEKVIMISTLILKVDLACHKCYNKIRKILCNLQDQERITTISYDTKNNIVVIAGTFDPQRLCCRIRCKGGKIIKDIHIVDAAAGGKPAKMPDSPPPSLPPPVNTGKKKWKKDKRKEIPPPPPLAETPPPMNERPPTPPPVQPPPDRETSAMVPAIVEEEKPRDRVAELEPPSPHKEMPLPQPTTMEMPPPPVTCTPVVEKPRPPPCARPFYPVDMATPTMVEIPSWPAAPAPPSCCAPPPCYQGCYEGCRCGGCGRVYGYSVPSARPPPLLPPPCYSGGGGGGYTPYCGGYSGCRLVNEEDPTACVIM >ONIVA11G20270.1 pep chromosome:AWHD00000000:11:21561510:21561732:-1 gene:ONIVA11G20270 transcript:ONIVA11G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRASWTKVRENAQDDDGDAIAAVVEVDDTEEPIRTVKDETSRRVCQTRGQLGTNARNGVAIPSGRGRGPP >ONIVA11G20260.1 pep chromosome:AWHD00000000:11:21560843:21561227:-1 gene:ONIVA11G20260 transcript:ONIVA11G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVEEEDSEWLTMTSADDEVVDARTARKTTKAAKLRSERTTQQQLYVNAAARTLPRSNNQWRWTTSSGTTARGGRRSGNPKLDGVDAYSMTIGCRSRCSWALKRVIKPSMREVER >ONIVA11G20250.1 pep chromosome:AWHD00000000:11:21536546:21549402:1 gene:ONIVA11G20250 transcript:ONIVA11G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMRYIQVSGRLKRKNDRQGNSKVNGNFVEKANIAIPIIVPTRNESLHLSGKKLQDYNPGRS >ONIVA11G20240.1 pep chromosome:AWHD00000000:11:21535000:21536277:-1 gene:ONIVA11G20240 transcript:ONIVA11G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFATHEWPMLLLILVRLLIIFPALNSDTDRDALLSLKSQLSDPSGALASWRNDSSMFCDWHGVTCSRHNASQVISLDLESLNLTGQIFPCIAQLSFLSRIHMPNNQLNGHISPDIGLLTRLTYLNLSMNSLNGVIPHSISSCSRLEVISLQSNSLQGEIPQSLAECSFLQKIVLSNNNLQGSIPSKFGLLANLSVILLSSNSLSGSIPELLGSTRSLTEVNLNNNSISGKIPPSIFNSTTLSYIDLSHNHLSGSIPPFSKSSMPLQLLSLAENNLTGEIPVSLGNISSLSFLLLSQNNLQGSIPESLSKIVNLRVLNLKYNNLSGVVPPALFNISSLTDLILNNNQLVGTIPANLGSTLSNITELVIGGNQFEGQIPNSLANASNLQTLDIRSNLFSGHIPSLGLLSELKMLDLGTNMLQING >ONIVA11G20230.1 pep chromosome:AWHD00000000:11:21528783:21529537:1 gene:ONIVA11G20230 transcript:ONIVA11G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAWFIRLVLPVIQLLIVLVFVLDDRDSYVLAGHSTPSPCRIFDPRNCGRNK >ONIVA11G20220.1 pep chromosome:AWHD00000000:11:21520761:21524144:-1 gene:ONIVA11G20220 transcript:ONIVA11G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVLHSGTDRDALLCLKSQLSDPSGALVSWRNESSTFCSWHGVTCSRQNASQVISLNLESLNLTGQIFPCIAQLSFLARIHMPNNQLNGHISPDIGLLTRLRYLNLSMNSLNGVIPYAISSCSHLKVISLQNNSLEGEIPQSLAQCSFLQQIVLSNNNLQGSIPSKFGLLSNLSVILLSSNKLTGMIPELLGGSKSLTQVNLKNNSISGEIPPTLFNSTTLSYIDLSRNHLSGSIPPFSQTSLPLRFLSLTENNLTGEIPPSIGNISTLSFLLLTQNNLQGSIPDSLSKLTNLRVLNLKYNKLSGTVPLALFNVSSLTNLILSNNKLVGTIPANIGVTLPNIIELIIGGNQFEGQIPNSLSNSTNLQNLDIRSNSFTGDIPSLGLLSNLKILDLGTNRLQAGDWTFFSSLTNCTQLQMLCLDFNGFEGKIPSSIGNLSQNLKILLLTENQLTGDIPSEIGKLTSLTALSLQSNNLTGHIPDTIGDLQNLSVLSLAKNKLSGEIPQSMGKLEQLTILYLMENGLTGRIPATLDGCKYLLELNLSSNSFYGSIPYELFSISTLSIGLDLSNNQLTGNIPLEIGKLINLNSLSISNNRLSGEIPSTLGDCQYLQSLHLEANFLEGSIPRSFINLRGLIEMDLSQNNLTGEIPDFFGSFSSLMVLNLSFNDLNGKVPNGGVFENSSAVFMKGNDKLCASFPMFQLPLCVESQSKRKKVPYILAITVPVATIVLISLVCVSVILLKKRYEAIEHTNQPLKQLKNISYHDLFKATNGFSTANTIGSGRFGIVYRGHIESDVRTVAIKVFRLDQFGAPSNFIAECVALRNIRHRNLIRVISLCSTFDPTGNEFKALVLEHMVNGNLESWVHPKPYKKNPKETLSLVSRISIAVDIAAALEYLHNQCTPPLVHCDLKPSNVLLDDEMVAHVSDFGLAKFLHSDSSLASSTSYSIAGPRGSIGYIAPEYAMGCKISFEGDIYSYGIILLEMITGKYPTDEMFTDGMNLHKMVASAIPDKIGDIVEPSLTEDHLGEDKNYESVETPRFFMQLAKLGLRCTMTSPKDRPKIKDVYTEIVAIKNMLSALQY >ONIVA11G20210.1 pep chromosome:AWHD00000000:11:21520191:21527937:1 gene:ONIVA11G20210 transcript:ONIVA11G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGANGITGVDGGRTGVSRNEENLRPNGLSRKRNSNLEYTERHADDLEVRARRYGIWDNSIQGIHAKIENRYPYELSRRPGAGRALATASSMVATGDRVGDGGRELALLGAWGPLLVWVRLALNLKGLSYEYVEVDLASKSDLLLAANPIHRKIPMLLHAGKPICESMLIVE >ONIVA11G20200.1 pep chromosome:AWHD00000000:11:21503313:21504939:-1 gene:ONIVA11G20200 transcript:ONIVA11G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMGKFLKVVRLTIQVLCSSKETTCYVQLLECYNYHCVESPTKRKKIFYILAILVPVSTVVLICLECVMVILLKKRYKATRTINQSLKQFKSFSYHDLFKATNGFSSTNIIGSGRFGFIYRSCLDFVVCTIVIKVFRLDQFGAPNYFIAECEALRNIRHRNLVRVISLCSTFDPARNEFKALILKHMANGNLESWLHPKPYEQIAKEPLSLATRISLAVDIAAALEYLHNRCIPPLVHCDLKPKYGMGCKISFEGDIYSYGIILLEMITGKYPTDEMFTDGMNLHKMVESAIPHKIGEILEPSLTKDYFGEGTNNELVEMPRCVMHLAKLGLRCSVTSPKDRPKIEDVYTEMIAIQNMF >ONIVA11G20190.1 pep chromosome:AWHD00000000:11:21498592:21501882:1 gene:ONIVA11G20190 transcript:ONIVA11G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSLCATGGKSTQVDVGGDDLGTEETKKRRSTYVDEGGGSGIKKGEGGGDRRRSSNGEGGGDRQPWRSVKEAAVGAWGFQIRWSPTTWRPDLAPTTSRRPPKPLLELRDQEDPSEISRIRQGREGRRGGAGAVDGGRGGGRGPTTTTVSTARGSAAVDASASAHANRPSSPPTMEPAMEATSLGPNAVRPSAGAWATRPDLVVGGRGKEAAVTGGLGEGRAGLLAEEERREWRRREGVRGREKRRGLFT >ONIVA11G20180.1 pep chromosome:AWHD00000000:11:21487409:21491546:1 gene:ONIVA11G20180 transcript:ONIVA11G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTSTVIVYVDLDCRRCYRQIRKVLCKLQAVLQDQMHGRQGDQGHPDQTFAAAAAADMQM >ONIVA11G20160.1 pep chromosome:AWHD00000000:11:21480096:21481050:-1 gene:ONIVA11G20160 transcript:ONIVA11G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIEGERNEEVVGQLTLEQKARLDEVMKQAELSLQSDELLNKAAAGKLLGNNYIAVSGVLSNYSKGPLTLVAQHQYSGSVIMDYTNPIDAADSNNNPGRGYFIMEGDGSVEAAAVYNGKNNKGDQDCGWLFGFNINKRIQPEKMSFYAVCGRMANFINPDWAAIKIKIEHGGHIGYYPDPKTGTQIYGGISKDPKTGRYSVSVAFYGA >ONIVA11G20150.1 pep chromosome:AWHD00000000:11:21446338:21459340:1 gene:ONIVA11G20150 transcript:ONIVA11G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEACNTTSHENIQSIDSLLLDLSTLRAATDNFAEHKRLGEGGFGVVYKGILHDGQEIAVKRLSQNSRQGIGELKTELLLVAKLNHKNLVRLVGVCLEKHENILVYEYLPNRSLDILLFDTQKNKELHWAMRYNIIDGIARGLQYLHEDSQMKIVHRDLKASNILLDSAYNPKISDFGLAKIYRGDRSHIVTKRIAGTFGYMSPEYAMRGQYSSKSDVFSFGVLVLEIITGRRNYGSYDYEKDTDLINAIWQHWIREKAIELIDPSLSNNSPIDQLLKCIHIGLLCVQENPADRPLMSAVNFMLTSNTVQLPSLSRPGFCTLQEICVDSTESPEPIRSCLSRTRFNPRV >ONIVA11G20150.2 pep chromosome:AWHD00000000:11:21443924:21459340:1 gene:ONIVA11G20150 transcript:ONIVA11G20150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRLIQSVAIVAVALLVPRTSGEPWVVCREEFGTFTPRSRFFANLQLIAATLPRNASASPDLYATAVDVGAIPEQVSAAALCRGDVSAKSCLSCLTQAFADLPNACSNTKDATIYYDRCMVIYSDIHFLSDDDPRQITDYTVNNNGKVVTELDRYNCLVAALANATADYAAYNSTRLYASGEVDFNKEFPKVYSWAQCRPDLTPARCRSCLAEIMAQEIWSYKDNIGGRTLSVRCSFRVETEPFLNGTILVRLPATTALSGSPPAPPSTTAVGVKTDTTSHENIQSIDSLLLDLSTLRAATDNFAEHKRLGEGGFGVVYKGILHDGQEIAVKRLSQNSRQGIGELKTELLLVAKLNHKNLVRLVGVCLEKHENILVYEYLPNRSLDILLFDTQKNKELHWAMRYNIIDGIARGLQYLHEDSQMKIVHRDLKASNILLDSAYNPKISDFGLAKIYRGDRSHIVTKRIAGTFGYMSPEYAMRGQYSSKSDVFSFGVLVLEIITGRRNYGSYDYEKDTDLINAIWQHWIREKAIELIDPSLSNNSPIDQLLKCIHIGLLCVQENPADRPLMSAVNFMLTSNTVQLPSLSRPGFCTLQEICVDSTESPEPIRSCLSRTRFNPRV >ONIVA11G20150.3 pep chromosome:AWHD00000000:11:21443924:21446586:1 gene:ONIVA11G20150 transcript:ONIVA11G20150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRLIQSVAIVAVALLVPRTSGEPWVVCREEFGTFTPRSRFFANLQLIAATLPRNASASPDLYATAVDVGAIPEQVSAAALCRGDVSAKSCLSCLTQAFADLPNACSNTKDATIYYDRCMVIYSDIHFLSDDDPRQITDYTVNNNGKVVTELDRYNCLVAALANATADYAAYNSTRLYASGEVDFNKEFPKVYSWAQCRPDLTPARCRSCLAEIMAQEIWSYKDNIGGRTLSVRCSFRVETEPFLNGTILVRLPATTALSGSPPAPPSTTAVGVKTVPNLDLPSTKIGRLKTVSSERYNPSAWGTGRRKLRWKEKQTPRRIHSIAISRTTPSASSPPIAAQCCSTIRAAAGLGDGGRWNVPLLLSVPVGSGLLRCRLQALLPVVFKRRHPRFLSTGAGWN >ONIVA11G20150.4 pep chromosome:AWHD00000000:11:21443924:21446586:1 gene:ONIVA11G20150 transcript:ONIVA11G20150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRLIQSVAIVAVALLVPRTSGEPWVVCREEFGTFTPRSRFFANLQLIAATLPRNASASPDLYATAVDVGAIPEQVSAAALCRGDVSAKSCLSCLTQAFADLPNACSNTKDATIYYDRCMVIYSDIHFLSDDDPRQITDYTVNNNGKVVTELDRYNCLVAALANATADYAAYNSTRLYASGEVDFNKEFPKVYSWAQCRPDLTPARCRSCLAEIMAQEIWSYKDNIGGRTLSVRCSFRVETEPFLNGTILVRLPATTALSGSPPAPPSTTAVGVKTEKQTPRRIHSIAISRTTPSASSPPIAAQCCSTIRAAAGLGDGGRWNVPLLLSVPVGSGLLRCRLQALLPVVFKRRHPRFLSTGAGWN >ONIVA11G20140.1 pep chromosome:AWHD00000000:11:21429406:21432363:-1 gene:ONIVA11G20140 transcript:ONIVA11G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHVALSVPVAAAVALLLLVLPRAAESYPWAKCNDTAGDFPARRSSSYLASINLIAATLPGNASASPDLFATAEGVGAAPDQVSALALCRGDANASTCLACLTQAFLDLPNACAYHKVAAIFYDSCLLAYSNATIAAGDFSTENIPIYGFVSYANVTTEQARYNRLVAALVNATADYAARNSTRRYASGEADFNREFPKVYSWAQCTPDLAPASCRSCLANIIGTYIGHFENSVGGFVRAVRCSFQYSTTPFLDGPMLVRLQGTSGASPAQAPSPAAVVPAVNQTPPAATPTLEGVNSGRKYSVPGLVLIILLPTIAAINVVVGLCFWRRRRPVKEAKRTYANYSTEAEDIENLDSMLIDISILRSATGDFAESNKLGEGGFGAVYKGVLPDGYEIAVKRLSKSSTQGVEELKNELALVAKLKHKNLVSLVGVCLEQQERLLVYEFVPNRSLDLILFDTEKSEQLDWEKRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFGRDQTHAVTKNVIGTYGYMAPEYLTRGNYSVKSDVYSFGVMVLEIVTGRKNNHSYNSQQSEDLLTMIWEQWVAGTVLEMVDPSMNSFFSESDVMRCIHIGLLCVQGDPAERPVMSSVVLMLGTDTVELHAPAKPTLFARKGGEESGVASGGMSIVSLEEQS >ONIVA11G20130.1 pep chromosome:AWHD00000000:11:21382799:21383508:1 gene:ONIVA11G20130 transcript:ONIVA11G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIPDSTAPIGCQSCFCDEAATPSANEGQMQLSIQAATGRRGRPPFIPHCDGSPLPVQEGWVIALIRPLYLVLQCTLPVRLATTQANLVALIDI >ONIVA11G20120.1 pep chromosome:AWHD00000000:11:21368274:21372021:-1 gene:ONIVA11G20120 transcript:ONIVA11G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFHQTKICKESAIASKRESKMPVAAAAQKALLAQPVLILFILIVSSCPCVSSLAPSRTHNTSEADRQALLCLRSQFSDPLGALDSWRKESLAFCDWHGVTCSNQGAARVVALRLESLNLTGQIPPCIADLSFLTTIYMPDNQISGHIPPEIGRLTQLRNLSLGMNSITGMIPDTISSCTHLERNNLGKQIDQSSKEWKFTYAEIAKATNEFSSDNLVGSGAFGVVYIGRFKIDAEPVAIKVFKLDEIGASNNFLAECEVLRNTRHRNLMHVISLCSSFDPMGKEFKALILEYMANGNLESWLHPKVQKHRQRRPLGLGSIIQIATDIAAALDYLHNWCTPPLVHCDLKPSNVLLDEDMVAHVSDFGLAKFICNHSSAGLNSLSSIAGPRGSVGYIAPEYGMGCQISTAGDVYSYGVILLEMLTGKHPTDDMFKDGLNIHKLVDCAYPHNVVEILEASIIPWYTHEGRNHDLDNDVDEMSIMKRCITQMLKIGLECSLESPGDRPLIQDVYAEITKIKETFSALDS >ONIVA11G20120.2 pep chromosome:AWHD00000000:11:21368274:21372021:-1 gene:ONIVA11G20120 transcript:ONIVA11G20120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFHQTKICKESAIASKRESKMPVAAAAQKALLAQPVLILFILIVSSCPCVSSLAPSRTHNTSEADRQALLCLRSQFSDPLGALDSWRKESLAFCDWHGVTCSNQGAARVVALRLESLNLTGQIPPCIADLSFLTTIYMPDNQISGHIPPEIGRLTQLRNLSLGMNSITGMIPDTISSCTHLEVIDMWSNNIEGEIPSNLANCSLLQEITLSHNNLNGTIPPGIGSLPNLKYLFLANNKLVGSIPRSLGSRTSLSMVVLAHNSLTGSIPPILANCSSLRYLDLSQNKLGGVIPSALFNSSSLLSLDLSSNNFIRWSIPSAPLISAPILRVILTNNTIFGGIPAALGNLSSLSSLLVAQNNLQGNIPDSITKIPYLQELDLAYNNLTGTVPPSLYTISTLTYLGLGVNNLFGRIPTNIGYTLPNIETLILEENHFDGPLPTSLVNALNLQVLEVRDNTFTGVVPSFWALQNLTQLDLGANLFESVDWTSLSSKINSTKLVAIYLDNNRIHGILPSSIGNLPGSLQTLYMTNNRIAGTIPSEIGNLNNLTLLHLAENLISGDIPETLSNLVNLFVLGLHRNNLSGEIPQSIGKLEKLGELYLQENNFSGAIPSSIGRCKNLVMLNLSCNTFNGIIPPELLSISSLSKGLDLSYNGFSGPIPSEIGSLINLDSINISNNQLSGEIPHTLGECLHLESLQLEVNFLNGSIPDSFTSLRGINEMDLSQNNLSGEIPKFFETFSSLQLLNLSFNNLEGMVPTYGVFSNSSKVFVQGNRELCTGSSMLQLPLCTSTSSKTNKKSYIIPIVVPLASAATFLMICVATFLYKKRNNLGKQIDQSSKEWKFTYAEIAKATNEFSSDNLVGSGAFGVVYIGRFKIDAEPVAIKVFKLDEIGASNNFLAECEVLRNTRHRNLMHVISLCSSFDPMGKEFKALILEYMANGNLESWLHPKVQKHRQRRPLGLGSIIQIATDIAAALDYLHNWCTPPLVHCDLKPSNVLLDEDMVAHVSDFGLAKFICNHSSAGLNSLSSIAGPRGSVGYIAPEYGMGCQISTAGDVYSYGVILLEMLTGKHPTDDMFKDGLNIHKLVDCAYPHNVVEILEASIIPWYTHEGRNHDLDNDVDEMSIMKRCITQMLKIGLECSLESPGDRPLIQDVYAEITKIKETFSALDS >ONIVA11G20110.1 pep chromosome:AWHD00000000:11:21336801:21338050:1 gene:ONIVA11G20110 transcript:ONIVA11G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDQRPHTAGHQRPHTRDQRPVTRDLVVEAENRREEKLIYQSGLILVEAEQEGSGTVTKNLHVLPDHLLKSVTKLPGTGLEDQLKQESLATGSQKGRIVKLLNCQ >ONIVA11G20100.1 pep chromosome:AWHD00000000:11:21327814:21329184:-1 gene:ONIVA11G20100 transcript:ONIVA11G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRCDAASSWTLTKVWYRPPWQVALAGFRRGAVTVAGDAMHAMGPFIGQGGSAGLEDAVVLARSLSSAAAGDGRAPPRQQLRDDAVGAAIDEYVAERRRRATTLCLHSFAIGTLLTTRWLAVKLACVAVLALLGGDSRRDADYDCGRL >ONIVA11G20090.1 pep chromosome:AWHD00000000:11:21326679:21326999:-1 gene:ONIVA11G20090 transcript:ONIVA11G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPRPQVSQSSQPSRQPSRKANPSPHLLSSSRLALGSPQHADAAAPPHPACPRFLGISAAQGRKGAAHPCHSGANRWKEAARIGGVSRQRRAHWRRAGDGPTAAG >ONIVA11G20080.1 pep chromosome:AWHD00000000:11:21323422:21324366:1 gene:ONIVA11G20080 transcript:ONIVA11G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSSSSSPSPVSAPAGQAAMTAGGIATVAAVLIVFAALTLAFVLLQCYCDERRRAVTTTSTSGRGRRPRPRSGSGGDGGTGGGVDPEVLRSLPVTVYSRSTAAAAAKEEEEDDDGVECAVCLAELEDGEEARFLPRCGHGFHAECVDMWLGSHSTCPLCRLTVVVPPPPPPPLPPVPPEPPASYTVSLPASVLLGLSDHGAGAVTMTAEGRSTLVIEIPESAASMTPRDAAARSSPSLARLRSLRRLWSFGRQGAAGSTSSCSCATGGDNDDGDVEHGVSVTVAIRAVEAATPARPPEAEAGARTAAAHVRN >ONIVA11G20070.1 pep chromosome:AWHD00000000:11:21280628:21281740:-1 gene:ONIVA11G20070 transcript:ONIVA11G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSPATSDAATGDVPEPSWSSSVVKAMSGYHVLKMEGYAAGVKGLGVGKFIDSGSFDVGGQRWCIRYYPKRSPASPGDGDWISIYLNLCSTAAAIGDANASFTISFLDQDDDEHQPVAAYSRSCSSTVTFSSAATKAWGFPRFVERKTLEESPYLRDDSFVLRCDVTVFKETIIEPTAPTPLVAVPPPDMHRHLGSLLSGGHGADVTLQVGDETFAAHRCVLAARSPVFMAELFGPIATSRHNDRETIRVHDMEPRVFEAMLHFIYNDSLPKVDDDEVVAMAQHLLVAADRYGMERLKLMCEDTLCSHVDASTAATALTLAEQHHCEGLKDACFKFMADPDNLKVVMESDGYLHLTRSCSYVLKKLAT >ONIVA11G20060.1 pep chromosome:AWHD00000000:11:21275225:21275639:1 gene:ONIVA11G20060 transcript:ONIVA11G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISLSLPVFLRLSPSTTRVVTDQIDHRFQAIVAARVDPLPVPGSPFCLSASLSHEFATSTVMGGVDPMTSSPPVRIPSSLGDGPLAVVTPEVRGNETGGQRIRRQGRLEDGGDEAGGKPALGGTCAKMRLEGTVG >ONIVA11G20050.1 pep chromosome:AWHD00000000:11:21269477:21271963:-1 gene:ONIVA11G20050 transcript:ONIVA11G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSATRDDNGAAGDGSEPSRPLVAVPPPDMHRHLGSLLSGGHGADITVQVGDETFAAHWCVLAARSPVFMAELFSLMGQNNKETIHVHDMEPRVFEAMLHFIYNDSLPKEDDDEVVAMAQHLLVAADRYGVERLKLICEDTLCSHVDASTAGTTLAHGAEHLLVAADRYGMERLKLICEDTAAQPRRHQHGGYGVDHHCDGLKEACFKFMADPGNLKVVMKTDGYLHLTRSCSYVLKKLASVLKKVGCLNWEQRN >ONIVA11G20040.1 pep chromosome:AWHD00000000:11:21258797:21262778:1 gene:ONIVA11G20040 transcript:ONIVA11G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDDLKKEHPDAILIRLNGMLHSDDNCATKEIARQLCLEHQLSFPKMASSDDNTEFMIDMLRCTRDDQLGLSSLIKKRRSGSSNISIKAVGLGRGQRIRGIQWSYLLEFVSMHFVAHVRLEANRKLSPLEGIKLMKKVAHTLITAKDASLAK >ONIVA11G20040.2 pep chromosome:AWHD00000000:11:21258797:21262778:1 gene:ONIVA11G20040 transcript:ONIVA11G20040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSDDNCATKEIARQLCLEHQLSFPKMASSDDNTEFMIDMLRCTRDDQLGLSSLIKKRRSGSSNISIKAVGLGRGQRIRGIQWSYLLEFVSMHFVAHVRLEANRKLSPLEGIKLMKKVAHTLITAKDASLAK >ONIVA11G20030.1 pep chromosome:AWHD00000000:11:21241110:21244185:1 gene:ONIVA11G20030 transcript:ONIVA11G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLLAFRLSTLFLLLLLAAGAIAVDPDGAAAPDTEAAALLRLKASLIDPTNALEAWSPSSPSPPCDETHRWPRVQCYNGVLIGLRLARLNLSGDFDFAALSRLPGLHSINLIRNNFSGPLPASLAAVRSLRALYLSRNAFSGPVPGDVFAAMSWLKKLYLDRNDFSGELPAGAIAGAPRLQELHLDHNRIEGRVPSKLPATLRLFNVSHNRLTGVLPEAVAARFNESAFAGNPGLCGAPGSGAGACAAAAPGPAHSAMPPMSAADYFAVQEETSVFVVMGIIMLVVLLVAGAMVLMLRQDEGTSTASSGYEHPAIGAPSGNLSVPHAAGAAASAQLVTMEQGGSGGGGGGGVGGVGGARKQVAEFVLMSNAAGEFGLPELMKASAEVLGNGTLGSAYKAAMRNGVTVAVKRMRDMNRVGRAEFEEHIRMLGELRHPNVLSPVGYHYRKEEKLIVSEFMPRGSLLYVLHGDQSPDRVVLDWPARMRIAVGVVRGLSYLHEKLGIPAMRLVSMTGADFDAPPPPPPHGNLKSGNILLDAHLEPRIVDYGFFPLVNTSQAPHAMFAFRSPEAASAAGAGAGAAAQRAALSARSDVYCLGIVLLELVTGKFPSQYLLTARGGTDVVQWAASAVAGGTEQEVVDPVVAAGAGPAAVRLLRVGVRCTIPEPESRPSMADVARMVEQVAGGGGGGAS >ONIVA11G20020.1 pep chromosome:AWHD00000000:11:21233623:21240949:1 gene:ONIVA11G20020 transcript:ONIVA11G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSTVFSAVAVSIGYTLLGWDFTTVLEANIHMKKEFGLNNGPSIDGIILAVSVFGSIAITVFSGSLLDWLGRRAALIYSSLLLISSGLLMVWSPNIYILLLARLIVGSGSGLVFTCVPIYISETSPPNMRGSLGTMPQFMFFVGIVFSYCLIFWMTLIPSPNWRIMIGAIFAPSLVYFALLVFYLPESPRWLVSDGKISEARISLQWLRGKDDVSGEIALIADGMNMITETAVGGHAVGAVRSQSFLGTSTNQMSRHSTFYWHLSDPLVDLLGSIHESMSELGAGRNSYFPVFNSFNIVEQERTSEQRGNDSLQQSREAYSAEEGNNGDNLQASLLSQVASAETNDINTSFTSEGSSSYLRRHGTSTSGLAQDLISSLHDHDIEEDDEEIHIAALSSQPALGSGLHPFRQQIVRLSETADIKPKWRVLLQPGVRHALCYGMLIQALQQSAGISGLLRYTPQILEQVGVISLFSDIGLDSHSASILISVLNASLMLPCITVAMILMDVCGRRVLLLVSIPFLTLSVGAISLSNIVKMGSLPHEILFQLSLTICFCSYVIGLGPIPNILCSEMFPTRARATCASFCSLAFWFGRLLSIYCFPVMLSTIGLSGACAIYAFVCCLVLVFVYLRVPETKGLPLELIAEIFKFSRQECL >ONIVA11G20010.1 pep chromosome:AWHD00000000:11:21218956:21221908:1 gene:ONIVA11G20010 transcript:ONIVA11G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSIPLIRRRRRKRSAEGAMSCQYLRCHLTTLKCRRHHATGGQVLLRLAGTTVYPRLCCSSHRQAHEMIPELNAKI >ONIVA11G20000.1 pep chromosome:AWHD00000000:11:21217108:21217401:1 gene:ONIVA11G20000 transcript:ONIVA11G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVGVDVVEAAVMVGVGVVEAVAVASPRCNPTLLTPYAGAALFRAACLCAYARSPNYGSYIQRHKTRCLFAVCGLPMPCCP >ONIVA11G19990.1 pep chromosome:AWHD00000000:11:21209365:21213584:-1 gene:ONIVA11G19990 transcript:ONIVA11G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQQRMKQAAAAAQQQQMMQQALLMQQQQAAAVAAAAQQQQAPLFPPHHPHPGLLAAPQIEPIVSGNLPPGFDSSTCRSVYVGNIHLQVTDSLLHEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRSAAIAIVSLNGRQLFGQPIKVNWAYASTQREDTSGHFNIFVGDLCPEVTDAALFAFFAGFTSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQNAINELNGKWLGNRQVRCNWATKGANAGEEKQNTDSKGMIELTNGSSEGGKDNANEDGPENNPQYTTVYVGNLPHDVNSNDVHRFFHSLGVGSIEEVRVTRDKGFGFVRYSTHEEAALAIQTGNGQLIGGRQIKCSWGSKPTPPGTASAPLPPPAPAPFNPGMSATDLLAYERTLALSKMAANPALMSQHAALKQAAAMGMGAGASQAIYDGGYQSANAVFY >ONIVA11G19990.2 pep chromosome:AWHD00000000:11:21209365:21213584:-1 gene:ONIVA11G19990 transcript:ONIVA11G19990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQQRMKQAAAAAQQQQMMQQALLMQQQQAAAVAAAAQQQQAPLFPPHHPHPGLLAAPQIEPIVSGNLPPGFDSSTCRSVYVGNIHLQVTDSLLHEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRSAAIAIVSLNGRQLFGQPIKVNWAYASTQREDTSGHFNIFVGDLCPEVTDAALFAFFAGFTSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQNAINELNGKWLGNRQVRCNWATKGANAGEEKQNTDSKEGGKDNANEDGPENNPQYTTVYVGNLPHDVNSNDVHRFFHSLGVGSIEEVRVTRDKGFGFVRYSTHEEAALAIQTGNGQLIGGRQIKCSWGSKPTPPGTASAPLPPPAPAPFNPGMSATDLLAYERTLALSKMAANPALMSQHAALKQAAAMGMGAGASQAIYDGGYQSANAVFY >ONIVA11G19980.1 pep chromosome:AWHD00000000:11:21204140:21207748:-1 gene:ONIVA11G19980 transcript:ONIVA11G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFARRLGGIPWREIAGEAFSRVFLVAQAVCAVHVVNAHVCSFALVMGPSMLPAMNLAGDVVAVDRVSARLGRVASGDAVLLVSPEDPRKAVVKRVVGMEGDAVTFLVDPGNSDASKTVVVPQGHVWVQGDNIYASRDSRQFGPVPYGLITGKIFCRNSSKSACISRDFYDQATRPYILVHGVCYGTFYSKTRASKFIAQFFNMGILAIVLKGISNQTARLAAISYQIQTNRIALKEFQASLLEAITVMLCWR >ONIVA11G19980.2 pep chromosome:AWHD00000000:11:21204738:21207748:-1 gene:ONIVA11G19980 transcript:ONIVA11G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFARRLGGIPWREIAGEAFSRVFLVAQAVCAVHVVNAHVCSFALVMGPSMLPAMNLAGDVVAVDRVSARLGRVASGDAVLLVSPEDPRKAVVKRVVGMEGDAVTFLVDPGNSDASKTVVVPQGHVWVQGDNIYASRDSRQFGPVPYGLITGKIFCRNSSKSACISRDFYDQATRPYILVHGVCYGTFYSKTRASKFIAQFFNMGILAIVLKGISSSQPCSCIN >ONIVA11G19970.1 pep chromosome:AWHD00000000:11:21200992:21203791:1 gene:ONIVA11G19970 transcript:ONIVA11G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPWPRRLPCSAHPPALRVLRRRRLGSRAAATTAPMARATATVRHHKYGTRQDRWFSKMAGSSPSTGGGGGEASTVPSTSTIVAEMATGSHVLTVDGYSGTKGLAVGEHVKSGTFVAGGHSWHIKYFPNGATDEASEWVSVFVCLTGKSSDAAKTKDAAVTVKARCKLTLLDGRDGRAALPPPPPLTKSSELRTFSSGKGGSDQWGHKKFVRRKELEDPSKRLLRHDRFSVRCDVTVAVGIRTDDVTAKLPAPPPSDLHRHLGRLLKTKAGAHVTFDVAGVTFAAHRCVLAARSPVFMTELLGPMKEKDAESHVVAIRDMDARAFKAMLHFIYTDSLPKVDDGGEAAAMAQHLLAAADRYDIERLKLICEDKLRGRVDATTAATTLALAEQHGCRRLKEACLRFMASSPANLKAAMASDGFEHLARSCPSLLKELAANLARSTCPDHPRPPPTLVTTSTIVVEVVSGSHVLKIDGFSRTIGASDGGSYVKSGRFVVGGHGWRVGYRANGDGDDDADAGWISIALHLDDPNVDGVRARFKISLLAAAHDGHPPPPPRSDQSTTCTFSTAFGVWLFYQYWRGKASPTWSFPRFITTKALEESVYLVGDSFSLRCDVAVVKDIRTEDDADATTAKKLVGVLVPPSDIGAHLGRLLAAGHGADVAVHVGGETFAAHRCVLAARSPVFMAELLGPMAMSRHNNEDTVHVHDMEPRVFEAMLHFIYNDSLPEVDDDEVAAMAQHLLVAADRYDMERLKLICEDTLCSHVDASTAATALTLAEQHHCERLKEACFKFMENPSNLKAVMASDDFLHLTRSCSSLLKKLAKLAA >ONIVA11G19960.1 pep chromosome:AWHD00000000:11:21192417:21195865:1 gene:ONIVA11G19960 transcript:ONIVA11G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEALASFKAELKWVEEEMSDPHAQTRANMWRNDVVNLAYDVEDLADLADLMFQDVKVTQNQERHDMVMNQINLLDERRIAELRQWQPSHYDPQLPVPPMEAELLGVGRARENLISLLLDGEEPLKAIALVGCAGSGKTSLAMELYQQGQFQCRAAAFVSRNPDMKKLLNHLLSQLHPKAPLQPQMTELQQLIDYVRQYLVVLDDIWQSSPGIESVLPQNNCGSRIIMTTRNKSLANLGFEKWLADPGCGVIYEMEPLSVAQNLLSRETIFHDDNYMDHWREASQGIARRHGEVPHDVPELVRVRQRIYLSYADLNPFLKLHLMLMCMFPPNYHFTRDLLIRIWKAEGFIMSRSSGETTTNGILHELIDRNVILPVRHKDISQVEAWKVHDMVLECALYHSAEENFLVTSNTLQSTRLERVRRMAFHSHNEELGNLINELYSGVLSSLSIFGKCNTKIPLAKFRHLRVVEVQSCRQLTNDNLVQFCKTSLLIRYLSLRNTGVSQIPTEISNLKFLETLDLRGTLVKVIHELVGQLHRLSDLLVGDQQQQPNSSRVKICMGHRYFSSLQTLETIHLNDAWIILKYLRRLKEVAIMCPSQQSSYSQDKLCSSLKECHELQALTFYSCLGCSMEFLHSLVKPPRDLRSLVVNGGFVSLPRWIASLKNLVLLQIKVCRLSPDDLKALSELPRLQRLTLGLDFLLEQEIVINGFPDLERFSVDCRVPWLAFQLGAMPKLAELELKFREGPKDQRRIPSGISDLLSLKQINIFCSSWCRYSSSVNVTCQAIASALREHVRPVKLFINGSFYSR >ONIVA11G19950.1 pep chromosome:AWHD00000000:11:21178708:21181038:-1 gene:ONIVA11G19950 transcript:ONIVA11G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPVQWITSLLNEKQFTLVGVELLVVVISGLFVAMFIMNFTRNSSHGGVRRSILNVLDGVSNDMVAYVLGAMTAAGVGNNPLFPVWALVIVSFYSGVVYTCGFMELCRAEMVRLLQSISFPLSSSRSTGSPLKVQLWLIWGLLMLRYLYRLVASFKASRSRWSGFSSSFVPDYMRPDHYRSNLRPEMCNPSTMQGYTYLVYGESKQGSGLVRPQYIRHLNMVNSSLLITLDKIWQCNNGRLLSRSSSRGDNLKDLCLAFALSGLLNCSAVDEPLHSDCVTVTRGLVRSKILARDADRAFAILEMEIAFLNEKLHTLYPMVYCHGLLSLSLNILRSLVTFGAACWLAVDIARAYTPAKGGAMDNRIHGVNVDIIITCVLMLFMILKEIWEMFRYLLSDWTKLLLVCKYVQWADKNSLVEKLIQRCLGRRRCDVGGRWHGVFDQYEFLRSLDYDPTSWNRMHLITLGLLPAKEHGAKLSAAINVPGCTKAAVVRALRSMNLEGGGQLPNEIPALANAAGLMDRFGWACQLPSCSQVILVWHIATSLCEIELAEDRGGVDLGRKIPPGFLSSASSCLTGICRSRQPFLVNESAMDGHLKTDYLVANSLSRYCAYLLISQPGLLPDSLLLPNLIFAAAVRDARQILKRDGDSSLHTRYKQLTQEAEQGILDDDTLRQSGNTVRMGAFLAWNLNLIGNRQLRWKILAEVWAALIIHIAATPNSEAHMERLKSGGEFITFIWALLTHLGIENSGLWSREEATPTVAGAHQSAPQHGTDKAA >ONIVA11G19940.1 pep chromosome:AWHD00000000:11:21175493:21175699:-1 gene:ONIVA11G19940 transcript:ONIVA11G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGCGDDYLRVWSRLLRRLVRESRSFYSLSSSRHGGMVATTFHYDATSYAKNFDNGRRPHHATGRRS >ONIVA11G19930.1 pep chromosome:AWHD00000000:11:21165059:21167143:1 gene:ONIVA11G19930 transcript:ONIVA11G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAVSSAAKLFHADKLTAMMSSVELWVVLTTVLLVMKFTIDSFGPWYSNKFMSGTVAFLESTSQKMVHFTLGLMRPSPTATNDFFQAWAVLMVTLQCSINVGSPYGSKQTTLVGLLSSLWTAKLLRDQTFLLLDAPLLLIWSLTAARMIAHFISSETATKINQENMRLVTDYMKYEHERSPAAADPVAMSGYKYLVAGEQDKTTTGSTGPPPDQLCFQWHDKLITTESVWSLSEDRLLGNTEDPRNEFKDVCLSFALYKLLRRRFYSLPMHEAADSKTKRLVFEGILGQEQKSGGDDDDYERAFRITEVELSFLQDYFYGNHAVVFVNGFPLRRLALSLLLVAALLFIAYPVHSIPTRRSDHHQQQQQQLGQNTVTHGVFITYSIIALIIGKEVWEILIHVFSHWTKVWMLCWYIREPKLQRPTMEKVVRAMFRLVTRGKWNQNIGQYNILVSANIFRLILFKLRPRTVKLPARVKSAVFESFRGLQSRESLRSYFSDTFECNQHLMNQFSWANEVEADTHRILVWHIATCFCEIANKPQETNDGYQHYVVAVGISNYCAYLLTLGSKLVPDSDDVSSKVFGAVREEVFRATRRCKDIRRRLMEVAAQPDGQGESILKMGAMLGRQLMQTYEGKADDDVWRVVAKFWTGFLLHLAASTDADDHKTHLEGRGELVTHLWALLCHAGFLGSTCHE >ONIVA11G19920.1 pep chromosome:AWHD00000000:11:21152452:21156062:1 gene:ONIVA11G19920 transcript:ONIVA11G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVGTSSASMEHLLAKLEGLLSQEHALIQHVRGDIQYISDQLAAVRAFLHGHRAAAESSARRRRRDDDEQMKLWVKLTRDLAYNVEDCVDGIRLWLNRVPEPRDGDHGLLSSLRRAWYAISTVYVRRDIAAKISTLKLRVHHLEAPTPTPWCDGASPESYTTAGDILSGRSYTTAGPSASFMGMVGTEQAMGELGPWLTISGVRDSRKILAIVGCRGLGKRTLAAGLRCAAGEEFDCRVSVVASRKLRVEAFLRSLLKQIMPRVAYNDLQIGGMDGWEVRRLKEKVEEQLLDRRYVVVIDDVCSVPSCELILNSLPENQKDSRIVVTTRFHAVAEAIGRLEHGHVYKLNPLSVHDSYLLLLRRTFGSNYQCSSVIDRRTSKILDKCGGVPLALVALAGLLGCKLKSDPNWIRVCDSVNSELEKEHLPDEVTAKIIYLCYEDLPADLKTCMLYLSTFPVGLNISKKRVIRRWISEGFIAEKHGKTAEQVADDCFDQLFKRSMISAVDIGTNGEVKTFQVHEMILEYILRKSNEEGFITVIDEDCPGLMPRSKIRWLSVHGSTNSMRAKEFMKTVSLLHVRSLTSSRTMKQLSSFKILQVLDLEGCEDLTADQLVKICKMYLLKYLSLRRTYMKGLPSVIGRLKYLEILDIRETNVQRLPTSVKCLQRMTHLLCGDKSRHLSLTFTVEIAEMLELQTLSGIEIDTASAEAFAAIHKLTKLKKLSIYNLKVQPQNISRSFEHLLFAILTLTACSLTSLAIDDGFTGFLNELVTLSTFPSYLRALELSGGLNKVPEWIVHLHFLEKLSLSLTSLRTDSLVLISKLPVLFSLTFSVNSARQRPGVLSIHLENVLNSVGEIFVPPNGFSNLHTLRLLAVGLPLQLISFLEGAMPALQRLEVRCRMFEGIYGLETLQNLSQVHLEVSKQASEVAKEKVRQTRSSVSNHPNRPAVIFDEYFE >ONIVA11G19910.1 pep chromosome:AWHD00000000:11:21142636:21144088:-1 gene:ONIVA11G19910 transcript:ONIVA11G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAESILDELVASNIILPVKHNDISQVEAWKVHQLMFQTILLDSADENFLVTGDMLQSRRSNRIRRLAIHTHTRHLERLLNAIDLPFVHSLSIFGTENRIPLEKFEGLRVLDVQGWRKLDNDDLLHICKMPLLRYLGLRDTRVTKIPPEIGNLRCLETLDLRQTPVTELPKQVGRLHRLFHLLVGNHQDQSNASRIRVCQLLPGDLEILSALPSLQHLLLGLDFFPEQELESLLSAKKRQKYFDAIMIMINEY >ONIVA11G19900.1 pep chromosome:AWHD00000000:11:21140702:21142900:1 gene:ONIVA11G19900 transcript:ONIVA11G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISASNGPVYQQQYTKTLGLNWAVHIGLLLGSPRSCASSSARWRRPGRFAGGVAIPQPATMGTRRAEVGREREGFPSSSRVACSSEFPLLRPSLCLARSADGGEGKVRSEISQVADT >ONIVA11G19900.2 pep chromosome:AWHD00000000:11:21140702:21146112:1 gene:ONIVA11G19900 transcript:ONIVA11G19900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISASNGPVYQQQYTKTLGLNWAVHIGLLLGSPRSCASSSARWRRPGRFAGGVAIPQPATMGTRRAEVGREREGFPSSSRVACSSEFPLLRPSLCLARSADGGEGKVRSEISQWRFRVKLRH >ONIVA11G19890.1 pep chromosome:AWHD00000000:11:21128028:21139991:1 gene:ONIVA11G19890 transcript:ONIVA11G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEIRDLVSSVFIMLNQKKYILFRIEFLVVLITVLFFVMFIMDNFRRHIHNATMKAIFTLVDTVSDSIVIYLLGAMQTAPFKNGLFPVWALVLVNFRYSTDFISGYGVPDLRGRRFTEWRNVVKLLGSAFLNWSRGSQFALPLWSLWALQILRSSYRFQSRSLALNSSWLGGSSELISEYMRDASNWKPDECNPGTMEGYKYLVYGEKVKLQKPRYVLHIKNISTSQQRRRRSKPGKHPTTTGSKKITRSTLITLDKICGCRRHLLHPCDNIPSPNNSGNIIQGKDQKDLSLAFALSRLIRCRLEDVRLPRDTFRVNKILVKTRIIDEKDVNRAFGIMEQQLAFLNDYFNTRYPMVFWFGLTSLFWSLLASVVTFGVVCWLSVDIRKIYKPPEGELVHLKQGVNVDMIITWVFMFFMMFKEIWEMVIYLLSDWTRLLLVCMYARWDDEYTRNHCMENLILCCFKSNIIAKRWHGHIDQYVFLESYDDRPKIWNLIHTISTGMVPKKDNGAKLSNAIDIPECVKHAILEKLNSMDLTAGYLPKVVNSLRDDKWKSYQWACFELETCTHTILAWHIATSLCEIKLAQGHGVNLSKHGFLCNLLSCFTNCFCSNMYLMDEKKLPGKLQERYIIANSLSRYCAYLLVSKPDLIPDSFFVPNMIFRETVTLAHDDILKGCESLQERYDKLMPKENNNTQNVREENINVDVLRQGAKLADKLMKEENEDCWEILSGVWTELLIHLAPSWNASAHKKYLESGGEFITHIWAVLWHCGIEKSILWPVEDVPLNNAPGATQNNNAENSKVQPVNEMSQAARDKQQMPATTTPNGGHRSCLANGKGNVVRKMKNLGNTCYFNAVLQSLLALNELRVRMLEQDPPPERVLHWELKKLYMDTINCEENTVEPKDLFQLMCSRHEDINQGDTADSNHALHSLLDDLINEEPEGMDLPSTVKSLFNGQVVKSVSSKQCEHRSDTTEALVLSLAIPSKKPVSIQDCLDLYTIGEVDDWECNDCSDAAANASSSQTDKTVDNDQTEKLNSAHQKEHFSHSAKKISTPDQDKGKLPFLDGNSDQMDKCHDKPEEGKKIRRVATIRYHIKKAPPILTIQLKRFEYVHDDGSGKLEEHVSFQETLDLTKYMDTDKDTRCVGNEEYKYCLVAVIVHKGRSLDDGHNFSYVRAGRNDGQNRKSSDTPSWFLANDEEVEEVSLEKVLECEAYILFYERVQQSKEKHGRAKWIRMGDILKNVRCLAQKKYLLNKECQTPND >ONIVA11G19880.1 pep chromosome:AWHD00000000:11:21120488:21124346:-1 gene:ONIVA11G19880 transcript:ONIVA11G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVFSFMKRLFKMDKVTRIASVELWVLFTTLLLVVRFFMDLFGPWNADRSMVATIQIIEMLNYSMVHYTIGLMQLSAAKVNDYFQVWAVLLVTLQYSVKIGRPYSRSKQLPLLDLMSSLWTANLIRLQTFLLLKIPLWIIWSLNSVRIISYFFSSDKAADFNQENTRLVSDYMRYEHTLDAAESHSGDEEFTMMQRYRYLVLGEDEALKQVQQDRREGRAAPAQYRIRLDPRHEKLVTLDKIWNVARGSQSGLLGSSGNTDVCLSFALYKLLRRRFYDLPMHEAGQEKTAQLVFDYILQDGTASYERAFRIAALELSFLQDLFYSKHAALFAGGFPAKSLLLSMLLIAATGYIGYPVRRIPERMDQADRNTITHGVFITRLIIALIVVKELLEIYLYVFSQWTKVLILCKHVRSLCLRHRLVEAVTRMVFWFISRGEWDKNIRQYNLLISPIWSKFTFKIFWLTISFRKVRFFKFKPIFRYRCHSFHWFWKTSSIKLEPVVKKAVLDSFTLLKGCPERLESYFCNAFGLNEGRMQELAWAIDDLEADTHRIVVWHIATCLCEINLSDEATALKVALLQARPLVDRSRAPVDVWPHYATASTLSNYCAYLVSEALVPDNGLVAGLVFREVRRETMHHVCFNRRSLYDVHQHLWKKGEELLKKQQQQVAGGEQEEDPSTNGSTISEHSREEEDIEEAQDGNDDSVPIGGGSQENNSQEEDIEVEGNGGRDDNDEMHNSIVLLGAKLAVQLMKSYEIDREGLWRDLAQFWTGFLLHLAASTRAAKHKTHLVCRGELITILWALLSHAGFLGRTSHGHTLLDPEDLNDADPLSSNVEAHAKDLKSDTEFITLIWALFCHCGIEKSELWQEKKGAKSGNDTPGLVNQSGPASGMS >ONIVA11G19870.1 pep chromosome:AWHD00000000:11:21115119:21117125:-1 gene:ONIVA11G19870 transcript:ONIVA11G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAAAMVVAAAATAVAVAHTLVFWLNRNSQVALPSPYLLKSQDLAERILSLEADLNRQSENTLKEKEEHEKTRTELEVKEKQLEEERQNHTLTVQRHGEELERQSRELERQREELERQGRELKIKDGKLNRMKTLTIVYEKFRQWIEDEASNSSSGFTEFNHSEVDDSIPKDQKYHLGKGSYDIVYKAELRGKTVAMKILDKSSWQGEREFKQEVGILKRIRHPNLVILLGACSEKFALMYEFLPSGTLEDCLSKEERKELFSWEERVRVATSICSALVFLHNTKPNPIAHGDLKPSNILFDAENVCKLSDFGISRLLQHSTDTVIPNHITEVPKGSGPYMDPEFLSTGKLTPQSDVFALGIILLQLVTGQSATGLRKHMVDKLERQNLGKMDTRRQKMILEKLQILDDKLKLDDKFIQDAVRMLSLGLRCSYNERKRRPNLEAEVWPEIESMNKSECL >ONIVA11G19860.1 pep chromosome:AWHD00000000:11:21107528:21110542:-1 gene:ONIVA11G19860 transcript:ONIVA11G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSDSADKVLFGILELDVRFLRDSLHSSYPMVFCRGLLSLFFTLLLSLVKFFMATWLFVDVSMVHVPKSVRDRGPRARFSYSLHSFGYDSGITAAAMYIVMIDEIFRMLGYFLSRWAKLIAMCNFVNFRNRWLKYVIVNTPARYGERTIVIHQHAFLQTFSSSISGWKIFSCILERNQNARMVWSEGKTNDSTAKNVKAAVIQALHSMDLEGHLLSRDLPLPHVSDRAERYWLACLAEVPTCSRIILVWHIATSLCEIKLANDHKINLTTMSRSSSFLVDEKALTDELQKAYTVSNCLSRVLMKCSVIHSFQSIYDKLMEKKEKALVPSKNGLNLSGNILQQGAILAKALIDEECQECRWEILSDVWVHLLVHIAPSSDAAALAEDLISGVEFVTAIWALFCHCGIEKSELWQQQKSANFRNNTPVLDHLIRADTVSSSPPAARSSEIHVEAGPTGFHQQLR >ONIVA11G19860.2 pep chromosome:AWHD00000000:11:21108692:21110542:-1 gene:ONIVA11G19860 transcript:ONIVA11G19860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILSDSADKVLFGILELDVRFLRDSLHSSYPMVFCRGLLSLFFTLLLSLVKFFMATWLFVDVSMVHVPKSVRDRGPRARFSYSLHSFGYDSGITAAAMYIVMIDEIFRMLGYFLSRWAKLIAMCNFVNFRNRWLKYVIVNTPARYGERTIVIHQHAFLQTFSSSISGWKIFSCILERNQNARMVWSEGKTNDSTAKNVKAAVIQALHSMDLEGHLLSRDLPLPHVSDRAERYWLACLAEVPTCSRIILVWHIATSLCEIKLANDHKINLTTMSRSSSFLVDEKALTDELQKAYTVSNCLSRVLMKCSVIHSFQSIYDKLMEKKEKALVPSKNGLNLSGNILQQGAILAKALIDEECQECRWEILSDVWVHLLVHIAPSSDAAALAEDLISGVEFVTAIWALFCHCGIEKSELWQQQKSANFRNNTPVLDHLIRAVMCLPMFRTQSALVHLLLDLQKFMK >ONIVA11G19850.1 pep chromosome:AWHD00000000:11:21104290:21107452:-1 gene:ONIVA11G19850 transcript:ONIVA11G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMQQRGRLQPAGLYRGVGHQNPAPLKDNKTIGASQPERRTTTAIHGGGYQKSTGVRSHQKQPVVQPTVRPSSWLPGGTYKKPVTFKTQKPPKPAIVKPVVRPRPSIQQGGYQKNVIGPQSRAKPAPHLQFRKPEGPISIPEKNHEHHEQNRVKPASSSGKVKFTEFKASDIDAAVSKPAMRLHETKDYSVYQSKFGAIMLPKEGASPSMEEFTRALEIFRSIQHKNLANLVGACSPRRALVYELLPDTLEDRLTDIKLKKSFTFRGKKSFTWRDRVTTAASICSALDYLHRNNHKPIIHGDLKPRNVHFTADNICKLRNFGISTLLHPTKHVPSAIEEVIQGMPGEKVIQGIFRTFMDIDDCKIQIQTDVSALGIILLQLVTGHSDAKGLRDFVAQKLGDDSEFQRKSILQKREILKGIVDPELKRCQTPVEGAARMLFLGLRFSDPAGKQCPPLASEVLPQIQSMHMRQ >ONIVA11G19850.2 pep chromosome:AWHD00000000:11:21104634:21107452:-1 gene:ONIVA11G19850 transcript:ONIVA11G19850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMQQRGRLQPAGLYRGVGHQNPAPLKDNKTIGASQPERRTTTAIHGGGYQKSTGVRSHQKQPVVQPTVRPSSWLPGGTYKKPVTFKTQKPPKPAIVKPVVRPRPSIQQGGYQKNVIGQSQHLICNLGSLKAPFPFQKIEPENILSIQNAFANQKNHEHHEQNRVKPASSSGKVKFTEFKASDIDAAVSKPAMRLHETKDYSVYQSKFGAIMLPKEGASPSMEEFTRALEIFRSIQHKNLANLVGACSPRRALVYELLPDTLEDRLTDIKLKKSFTFRGKKSFTWRDRVTTAASICSALDYLHRNNHKPIIHGDLKPRNVHFTADNICKLRNFGISTLLHPTKHVPSAIEEVIQGMPGEKVIQGIFRTFMDIDDCKIQIQTDVSALGIILLQLVTGHSDAKGLRDFVAQKLGDDSEFQRKSILQKREILKGIVDPELKRCQTPVEGAARMLFLGLRFSDPAGKQCPPLASEVLPQIQSMHSTTYSQMVWIHRSFF >ONIVA11G19850.3 pep chromosome:AWHD00000000:11:21104634:21107452:-1 gene:ONIVA11G19850 transcript:ONIVA11G19850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMQQRGRLQPAGLYRGVGHQNPAPLKDNKTIGASQPERRTTTAIHGGGYQKSTGVRSHQKQPVVQPTVRPSSWLPGGTYKKPVTFKTQKPPKPAIVKPVVRPRPSIQQGGYQKNVIGPQSRAKPAPHLQFRKPEGPISIPEKNHEHHEQNRVKPASSSGKVKFTEFKASDIDAAVSKPAMRLHETKDYSVYQSKFGAIMLPKEGASPSMEEFTRALEIFRSIQHKNLANLVGACSPRRALVYELLPDTLEDRLTDIKLKKSFTFRGKKSFTWRDRVTTAASICSALDYLHRNNHKPIIHGDLKPRNVHFTADNICKLRNFGISTLLHPTKHVPSAIEEVIQGMPGEKVIQGIFRTFMDIDDCKIQIQTDVSALGIILLQLVTGHSDAKGLRDFVAQKLGDDSEFQRKSILQKREILKGIVDPELKRCQTPVEGAARMLFLGLRFSDPAGKQCPPLASEVLPQIQSMHSTTYSQMVWIHRSFF >ONIVA11G19850.4 pep chromosome:AWHD00000000:11:21104634:21107452:-1 gene:ONIVA11G19850 transcript:ONIVA11G19850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMQQRGRLQPAGLYRGVGHQNPAPLKDNKTIGASQPERRTTTAIHGGGYQKSTGVRSHQKQPVVQPTVRPSSWLPGGTYKKPVTFKTQKPPKPAIVKPVVRPRPSIQQGGYQKNVIGPQSRAKPAPHLQFRKPEGPISIPENRVKPASSSGKVKFTEFKASDIDAAVSKPAMRLHETKDYSVYQSKFGAIMLPKEGASPSMEEFTRALEIFRSIQHKNLANLVGACSPRRALVYELLPDTLEDRLTDIKLKKSFTFRGKKSFTWRDRVTTAASICSALDYLHRNNHKPIIHGDLKPRNVHFTADNICKLRNFGISTLLHPTKHVPSAIEEVIQGMPGEKVIQGIFRTFMDIDDCKIQIQTDVSALGIILLQLVTGHSDAKGLRDFVAQKLGDDSEFQRKSILQKREILKGIVDPELKRCQTPVEGAARMLFLGLRFSDPAGKQCPPLASEVLPQIQSMHSTTYSQMVWIHRSFF >ONIVA11G19840.1 pep chromosome:AWHD00000000:11:21100066:21101676:-1 gene:ONIVA11G19840 transcript:ONIVA11G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGHQNPAAAQPVKREKAKVQKNPHQQAEEKPMDFAVGIVVVVVGAIIAIATFILLGAVMVTLAIVTIIVVCVVTAAQQYLEQANKNKASRSSGGIKFIVFKPSEIDAAVSKRAKWLRGTATYNVYRSDFDGMDIATTVPKGTLPSMQEFHQAIEILRNISHPNVVPFLGACIEKRAIVYRFRENNTLESHLKYLTWEIRVKSAASICSGLMFLHSRKPKPIIHGDLKPSNIIFRPGNACMLSDFGMCYLYSKEFGRLITDPCKIQLDVSALGIVLLQLVTGKLDANGLRERVIYYLGDAKGFYKKTSSQQRKILEKIVNLELKTDRTSEDVARMLFLGLRCSDPISKNHPSLATEVLPQIESMKK >ONIVA11G19830.1 pep chromosome:AWHD00000000:11:21089350:21091932:-1 gene:ONIVA11G19830 transcript:ONIVA11G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAAAVVSNFIFKWSQEKYILLRFRILMATLMVVYLFEVYFTYLAPILFGQTVSSFSLLQPASDAILMYVLGAMQAAPVKYGLFPVWALALVSFRSSFKGLTETYNTQVELGNVVKLLVVAYMNVTQGTEIGRVPFWIFWSLLVLKCFYRILTLCLASKTLWHSRSSELLQAYMGPDQPHSNFVDNNTSSSTPNGAMEGCKYLVYGESEPEYSCRINATRDLNIDGLRDLLTLDTIYKREDVSTHSKKVCLDFALSRLLRCKLEGAKLHAGTVSMNRKLLIRNCDEPELLDLFNILEWDVGFLRDFLFTNYPMIFSKGFCSLGAGLIMAALKFSMALWLSGDFFSEARHLSLDRSGLAHQHKLSATDLKITGVAIVFTAFSDGYEMFKYCFLSDWMRLLAVHSWVYCVLDYLSLGCRALFHSLIASASNSTKWFLRGVEYTEQYVFLESYNSACKCSCLLHLLTVGRVGSSTKEDGKELATSIRTRKELATSIRTPQQVKTAVLSEAFQVLDHLVDDVHSLPRDCFAPMQQDANAPAPASALVELQYWSEIIQTTRAPKCSRVILILHIATSLCEMDLAREHGVSLSRSPFSDALSRLKLFLHSFCPYGKPYNGDPCIPYLVDEKLPSDDLWKNYMVANCLSRYCAYLLVSKPDLLPGNIWVSNKAFQQTVQCAREMLDGCDSLESKYDKLILASHEEATLVLPATDEGSEILRQGTRLAKKLINDEVEEKRWEILAKLWPRLLVHLSPSSNAQAHVKYLESKYFPELITIVWALFSHCGIEKSELWDAASLALMREQDAHVDNIRLRSTSRQPPAEVQETTGPSDPHIDNIRLWSTSHQPAGDLEDGGGEIQEINRGG >ONIVA11G19820.1 pep chromosome:AWHD00000000:11:21087575:21093611:1 gene:ONIVA11G19820 transcript:ONIVA11G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSTARSGPLEIKPTNLHVGPTQRCIGKPGLSTKTWAWVCFQRGPYTTFIAGGAAVPVAGGRRRWGRGGGGGIPLQFRPSLSPYVPLLRPEGLPSQRPPSVGPRTERRRRGRAADDRRGCHRRRISQCCLECVIGEEDQTLEEPKPRICTNQRRGA >ONIVA11G19810.1 pep chromosome:AWHD00000000:11:21086312:21086623:-1 gene:ONIVA11G19810 transcript:ONIVA11G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARKTFPHPLCKVHCASGLTGEETSGEVRGINNRCSEKSAAEERCKGGCGDIDKDTGDELCSIEDCCGEKNSSKECGKGDGDDLNPRIATVRRGRARSIATT >ONIVA11G19800.1 pep chromosome:AWHD00000000:11:21077459:21077776:-1 gene:ONIVA11G19800 transcript:ONIVA11G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEFRAAHPLHNKEAQVSHVQHCETMVAADALAFHVQPKRHAVAIDGDKRIQCTGSTSAETLCRRRNLAIDVGCTRRQRRKPATTDSRRGFQMSTVSGVSDGSR >ONIVA11G19790.1 pep chromosome:AWHD00000000:11:21074232:21074654:-1 gene:ONIVA11G19790 transcript:ONIVA11G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J4B2] MADQLPLPALHHHQQHGCCFRRNQLILDLYLDQVPNGGSKNQSVVLRPNAPSNFGMLAVNDWALYDGDQHDRKLVAHARGHHMQTSQHDVNHQWFISCQIVFADDSSATK >ONIVA11G19780.1 pep chromosome:AWHD00000000:11:21067206:21070478:1 gene:ONIVA11G19780 transcript:ONIVA11G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSIRTLDRSPFSIALPLHSIGDSIPHGRTLGRLQPRPPLHSLLPSSALSSLSTPPLPTHHDAHQQHAGGGATRATKRAAGRRRTMCRRRKGQAQVADGGSGAGAGDRWRERHGLSWPTAVGRRRYCLVDFAVSTFSNHVAIP >ONIVA11G19770.1 pep chromosome:AWHD00000000:11:21054324:21069619:-1 gene:ONIVA11G19770 transcript:ONIVA11G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFIEFNAWEIDDALRNSHMVGEGGYGKVYKAVPEDRTVAIKVHKRESLQGEREFNQEGRCGEGGERRGREEAVERRAWLEAAEGAAVRDAVADAVEWKSNGERGSVYPTRVKTVVTIMSVDILERIRHPNLVTLVGACREKFALVYEFLPDSLENLITGAGEEPDESEMVVGRHCFHGGSGRRRRKQQQPEKFPWEKRVEVAASVCSALVFLHNHRPDPIAHGDLKPSNVLFDKNGVCKLSDFGISRRLQVTDDTETPHHVTEQPMGSQSYIDPQFVMTHTLTPHSDAYALGIILLQLVTGKKDALRLRRWVLANANCKLSRRGGKTRRQQEGGEDVRWSRDMESELRWKSMERMVDKKLKLVSNRSKRDAMKMVSLGLECSNYERRDRPSLESVTFFSSSRELRERAIGRVCHGFNFDHRTHGIHLHKFRSVAASLRLRWEVRANNAIKENQKLREELRRTETIVSIYTKHRWWMEEQASRSYQMIRFTEYRPSLIHRVVSDRDAIFLGTGSYGSVLQCKIGEKTVAVKIPNNRDSRQPLPSMREFNQEANRRALKMQVAILKKIRHQNLVTLIGACPERQILIYEFLPNGSLKDHLTESGQRRRFTWKRRVRAASSICSALIFLHNTEPNPIVHGNLKTSNILFSKDNVCKLSNFAMSHLLQYTSKPVSFWGGLKGFARMLIGSDTHKIQLDVSALGIILLQLVTAQKPKDLRKNVLSKLGDIIRFQGKSMEQQHEVLKSIVDPDLKKCQLDDAAEMLFLGLKCSDPNEKHRPDLAADVWPLIEEMKSRLSEAEEALSLEARRINYKITQLVWCEISPLLKFVWQATCSTDMKLSLCIVFMLSFAKLSLCSVHNSQA >ONIVA11G19760.1 pep chromosome:AWHD00000000:11:21046646:21049030:-1 gene:ONIVA11G19760 transcript:ONIVA11G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRQEAYYRYPTTSTIVASAPMPTGHHVLRIDGYSLTKARFAAGEECDESCSFVVGGHAWRIRYYPNGAVVSGSGDFVSLMLSLDHQPGAALPAAVVKAKFVFSLLDMDGEPVPSRTYASDGVVSFSANSSSTFGAERFIGHGELEASGHLAGDRLAVRCDVTVVKDIAVVKRDGPPTTSTLCPVEQDMFRCLLDTGDDADVAFRAAGGETIAAHRRVLERRAPELAKLCRRRGDASCFMRLVGRHIIDVDGDMDAAAFKALLHFVYTDTLPETMAPREVPAMAPQLIAAARKYGLDWERLRLLCEDKAWGWRVDDTSMDTTAAAAPATGDDPKRSQRRGNALYFRMVGARATFYCYKIGVFSPHVLSISVVATYTQVLFLARKGVAMRVFESASPAVTTTAASPSSRTTPSCAPGRRPSRATARRESGGLRRRLLSLLDGTDVTFRVGGETFAARAQLRGRRCSGPPSPPPPSSSTLQWTAYVDADVFKALLYFMYTGTLPPRPPETMPPCPAAQDDDGAPPPAADRFHLDRLEAMRLRLLCEELWTTGEEHGSEHVPWFKNIFCSVASHDVFQGNYSNLSRRCYLVCSKTI >ONIVA11G19750.1 pep chromosome:AWHD00000000:11:21034162:21037529:-1 gene:ONIVA11G19750 transcript:ONIVA11G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein [Source:Projected from Arabidopsis thaliana (AT1G53860) TAIR;Acc:AT1G53860] MEPKSSSSSHYLQPSATLPSTRRNSFQGVGAEAGGGGMNPFGPTFSDPLCSLNLKETSEFVRSSFPMATMARSNSSNGATGNGGHGYHRETSTASSSSSSSASAQRRRAEQHQHQQQQVPATPGRPLLFFNSSSPAHHQLVSARRSVPSKWEDAEKWVRQASSDHHGGHHHHHGKGSKLQEEKRASAVRRSVDADVSALALYTAPAAEVFLKDKFTDNVEPSKESFVFRSSYCEPTKNTAAQAAAAGDGIDHRRDIGTEMTPLGSSTTSRCHTPIKSTSPARHNTPASRSGPLVPYAGGGGGQDISDLADCHFAKLDLGAQFDAMLINWSSKEEEEEEVSKSLRHFEASVAAVGEKRGGAGDCRWEDDDRAKSCIRYQREEAKIQAWINLESAKAEAQSRKLEVKIQKMRSNLEEKLMRRMTTVHRRAEEWRATAQAQHLQQLKRAAEQVRRAKATSHHHHHHHLAGSNASCGCFPCNGSNNIISGNLLNYY >ONIVA11G19740.1 pep chromosome:AWHD00000000:11:21027464:21032807:1 gene:ONIVA11G19740 transcript:ONIVA11G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPGGSVDQSEDYSPAATVVRFDPPLPLLRAPVPSSAASGEPPVLAFRDAASWRAAWEAAEASLLSQCEAGARSGCSITASRKCKPPWWKGLLGGAPTDYQERERCEEREMAACLEAAREACVKFAKEKCIEPFRDARIASEGLLENTKFAIWGAGSNRTSSASLCIANSQYPFNPRPGSTNYKGSDLLDSFPSEDNNIKDDD >ONIVA11G19740.2 pep chromosome:AWHD00000000:11:21027464:21031457:1 gene:ONIVA11G19740 transcript:ONIVA11G19740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPGGSVDQSEDYSPAATVVRFDPPLPLLRAPVPSSAASGEPPVLAFRDAASWRAAWEAAEASLLSQCEAGARSGCSITASRKCKPPWWKGLLGGAPTDYQERERCEEREMAACLEAAREACVKFAKEKCIEPFRDARIASEGLLENTKFAIWGAGSNRTSSASLCIANSQYPFNPRPGSTNYKGSDLLDSFPSEDNNIKDDD >ONIVA11G19730.1 pep chromosome:AWHD00000000:11:21013445:21026431:1 gene:ONIVA11G19730 transcript:ONIVA11G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J4A5] MAHELIPAQTTSSTTHKHLNLDLFLHQAYSGPNKNQQVLIKPGGNYLQFGVLAVHDWPLYDDEDQSKGKLVARARGHHMQTVQEMVDQWFTTGQIVFVDGSEFVGSTLLVAGTYTTGQKGEWAIVGGTGKFSLAQGVIHKEMVRTNPGTGECEQNAEDKQKNHDIRLKTLLEQFARYREWIEREPSALVNFIDYKASEVDAAIDDTNRHLLGTGGFGTVYKAVIRGATVAVKITNEISHRGVRAFAQEIEILRRIRHPNLVTLIGACTEKLALVYEYLPNGTLQDRLSEEHRESFSWEERVKVAASICSALLFLHETKPNPIAHGDLNPSNILFNAENECKLCDFGISRHLEYTQHTATPLHGTKEPKGTWKYIDPEFESSKQLTPQSDVFALGIILLQLVTGQSAVFLETYLSYSSADVNLETQNTPRQKLLRTLEIVDSKLNLEDKYAGHVVQMIYLGLKCSSNDRKQRPDLATEVLPKIEMMKG >ONIVA11G19720.1 pep chromosome:AWHD00000000:11:21001255:21001687:-1 gene:ONIVA11G19720 transcript:ONIVA11G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEWQPKATKFARLHTLGQKDVKQQRDDRTADLGGAPVTPSVDVEAPARDYEPPEHLELLVTDLGILQPLARCLLSSLMSQNSGTYKVVFDKVSEVYSEFS >ONIVA11G19710.1 pep chromosome:AWHD00000000:11:20995709:21001190:1 gene:ONIVA11G19710 transcript:ONIVA11G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAALVFAGKSVATPAISFIVNKAFSYLSEWHQAEGMKVVKDRLLQMLNQIQAVYDAVDHQQINEQSHALDKWLWQFRDAVEGAEDVLDEIDYYKLEEEAGVHNLELEVCNSVAKNFKQNFVRKVAKYTSEGNMVKNLRMALTRLDETAAGVGTFLHLTSRFGSALLPDHAEKINQETSSTLVATEIFGRDTEKNEVMRWLVDNIEGDSNTPGINRVPVSAIIGMGGIGKTTLAQIVCEELRDLTYFDCIVWVHVSDNSFNAARITKNILEALTKQKPNADTLEALQHILKENLESKKFLLILDDVWEDSNWGEWQKLMAPFQNSPHNGSRILLTTRMTSVADMVTSVMQSNNNYMNLNGLDEYHNFMMFKKYAFYGMKTEDYEHLLPLAEKIAKKFQGCPLVTKIASEHLRSNVSHHHWNNLYRQLENLEGKMSAIITTVLQSSYHHLPEHLQLCFRYCSIFPKGYEFKKDEIVKMWMGSGLILIDSGTERPEDIGERYLVQLARKSFFTFATVGDPCSKFYAEYYVMHDLLHELACSVSVGECLRLESSGYMQHKCTVRHLWIANFNKLTTEEIKAISSFENLRSLIIEDSYHVNDVCIAALEEVVQLLRGLRLLSLKGITKFCLAKEVVNKHLRYISFSGMQDIDGISKLYHLQVLTAVKRISTALKQVNNIENLSHLRYVSYGSNGFGEFFVGRLTSLQELHNFEIQLKEGYRISSLRNLSSICKLQICNLENVGTHEEIIEAKLRDKSYLRSLSLNWSETTNVLKNDDDLILDKLEPHSHLENLEISGYNGLRFPTWLSHPCLINMVSLELRKCRSWAYLPALGNLQRLKHLELHKLAELKRIDQSSGNSLPPNLKTLVVEGCKKLGELPLLPSSLTRLEVNDVGLTTLPRIYDHHVNNMDFESKETKLISVIISNCSNLISLEESFLLQEHHIRSLRILRIVDCEKLIRAPLLFSEMDNLAEFHIGKCYRLRVRENDDNVLLPRTLKELSMVQCGDLQLPLLESLVGLTNLTSLSLCNCSRVRSLPQSDVFRSLRSLREMAVADCTSLASLGGLGALSYLNWLEITECSRLQGYARYDEVDEDPVLEFSLEVYSLWIHTPDMLQREPLRRLHNTKCLIISVGRDNIPWQWMQQNRTSLETLEILKPEVKLLVNNLCSLKRLEFDTTHRFLEFPILPSSLESFIIRRCNPELLARWKRKDSSEWDRISLIRHMRID >ONIVA11G19700.1 pep chromosome:AWHD00000000:11:20991219:20995235:1 gene:ONIVA11G19700 transcript:ONIVA11G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAAAEQQQEEGEHGPFPIEQLQASGIAALDVKKLKDSGLYTVESVAYTPRKDLLQIKGISEAKVDKILVPLGFTSASQLHAQRLEIIQVTTGSRELDKILDGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMIVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQIASEGVADVKD >ONIVA11G19690.1 pep chromosome:AWHD00000000:11:20983404:20984195:1 gene:ONIVA11G19690 transcript:ONIVA11G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAEGLGFGNGRPGLAFRLDKPPPPLRLSPHRRSVLGFRIPQFCSKSSKHKKRNPPLLLRRSSRSHPPMDLDLDREQIKRCVVAFLPPPEEEDAGEEIRVVPCPAAAAEEERRRSSKRKGKRCASKGSKPAGTLVPADGEEMILVPPGKFALSKNLVDKILSLERMELPHVADIVDDGNPNPSEADKALRRCVLDLDRDNRRHQDKIAACQAIIRRVRHCKGYAVVDNRLDFRVAVCRAEGVFLLPCHIADLIPVGFDLVSN >ONIVA11G19680.1 pep chromosome:AWHD00000000:11:20975698:20990890:-1 gene:ONIVA11G19680 transcript:ONIVA11G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0E0J496] MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVSPNYHLYTPAEVEARANPFMTSALR >ONIVA11G19680.2 pep chromosome:AWHD00000000:11:20962527:20990890:-1 gene:ONIVA11G19680 transcript:ONIVA11G19680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0E0J496] MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVTPNNVDIAKVSPNYHLYTPAEYDT >ONIVA11G19680.3 pep chromosome:AWHD00000000:11:20962527:20990890:-1 gene:ONIVA11G19680 transcript:ONIVA11G19680.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0E0J496] MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKEAETIALSILKQVMEEKVTPNNVDIAKVSPNYHLYTPAEYDT >ONIVA11G19680.4 pep chromosome:AWHD00000000:11:20962527:20972171:-1 gene:ONIVA11G19680 transcript:ONIVA11G19680.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0E0J496] MSLEYLGCRIKENPNRKEAPSGVLDPAHPDRREKGRSRQDSAKLVTFYGDIAQHRYNRFNLKRAKASNKKLKKNWKKLLELLEKARTYQDDVRHVNGKAVPIPSHFNEEELTLQEAETIALSILKQVMEEKVTPNNVDIAKVSPNYHLYTPAEYDT >ONIVA11G19680.5 pep chromosome:AWHD00000000:11:20962527:20969011:-1 gene:ONIVA11G19680 transcript:ONIVA11G19680.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0E0J496] MTKCVSQSGGDSCSCSAASQPAVSSCFLQQPKMLCRTELTLQEAETIALSILKQVMEEKVTPNNVDIAKVSPNYHLYTPAEYDT >ONIVA11G19670.1 pep chromosome:AWHD00000000:11:20957043:20957267:-1 gene:ONIVA11G19670 transcript:ONIVA11G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIAVTAEGEEIGSGVAIGGLRRRRRRRSTSGRSSGLRRSEEKRRMLAAAAANGECEEEEGGGGGAPAGAAVAG >ONIVA11G19660.1 pep chromosome:AWHD00000000:11:20956981:20960722:1 gene:ONIVA11G19660 transcript:ONIVA11G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSSLRLNPLLLPLVLLLRRRRRSPPMATPEPISSPSAVTAIHITGSSCARHQVVVDPSSHRATIRLEAADPPARCCSSISAVASSTLSVDPPATVASGLPPIEELSIPTKDAGILRGKVLILSCVCYFPFSQIN >ONIVA11G19650.1 pep chromosome:AWHD00000000:11:20945444:20948458:-1 gene:ONIVA11G19650 transcript:ONIVA11G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMAMPAGAAAAAVPPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPPQNPGSRPQMMQPGATPGAGHYMSQVPMFPPRTPLTPQQMQEQQQQQLQQQQAQALAFPGQMLMRPGTVNGMQSIPVADPARAADLQTAAPGSVDGRGNKQDATSEPSGTESHKSAGADNDAGGDIAEKS >ONIVA11G19640.1 pep chromosome:AWHD00000000:11:20940954:20944956:1 gene:ONIVA11G19640 transcript:ONIVA11G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQPAASSPKRKKKHSKKPEDSNATVDDSLAAAASPSPKKKEKHSKKKREAIDATMAAASPKKKEKKHSKKQEDTNVPEKKREVVHVTVDASLTGAAAAGAAPVVAYFPTGYDPLAAGGGRKGREAPRTRLFRHTKHPSRIELVVGAATGGGGGPDFVGRSYAGEAVLPQLTGYALGVLDKASGTLKVVPIAANKILRLEPHLEVQKLAHSQHSGAVGEAVSSAGDADLKVQDITKAFGTQKDKAKDIKWQSLNEQRNDPSAFMDLDLGNADTSVGANESQEPTVRNIPPYDPAADTSERAYLFDEIIPKSIRPHLVDIIGHFESGEISSKGYGSFVSNRVNKLQELQGEDKERLAWILSYITHLLSLLARNSSMSKRHRKENQATSGPVIPQYVYRKMVLMFTEPGSSALSTEKHELLINYILVLTLYADDFRSDPKDICEDLKMTRQMIKPYYDQLGCKSSSAGAFKSSVMTLPAPLKFPKEATRRKRRRF >ONIVA11G19630.1 pep chromosome:AWHD00000000:11:20933913:20940537:1 gene:ONIVA11G19630 transcript:ONIVA11G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidic acid phosphohydrolase 2 [Source:Projected from Arabidopsis thaliana (AT5G42870) TAIR;Acc:AT5G42870] MYAVGKFGSFISRSVYTVSGPFHPFGGAVDVVVVQQQDGGGFKSSPWYVRFGKFQGVLKTREKVVTIAVNGIEAGFHMYLDSNGEAYFLRNGEPNLEEGEFAVSPVSSGDERDEAAPPPPLPVQDTQLRKSKSISCDSSTMEANAGDGKILARTSSRRVTILERMFGRKSIKDGPDGVDRVSSLERAEIAAELLDTNWSTNPPRGAKARRSLDEPSKSNLEDPVNGNQVETSKVVSPSCSIDQEKDMGSSNRGSVDSNFFSPQVGTDSLGDENNHYIQTTSVKEEVVEIYTRDSSVSIDGTDQAGIESSSNDPDDIGREMHTREVLSHVIFETHAGETNITIGKSEEISQFVTVKTCQDCSDANSPVYETAGLSSEMHDISSITSAQDACQEKVVIVSSSETVETSYNVPDILVDKVCHAVGNPLDDSLQPKEQSGVSIEEIEHVSFEDKPLSYYGASSNIEDMTKLGIQDQQVPVFEDSGSQNSQEFVPDKDICVDTVVNDRSAHIVNDLACNHDFVFPAASSSVEEISNYVPDNHLDDVTKDFIVENKTCNGELNISLVQTSTTGDETTECISPSANIPNKVELQGSQIISDLSSLRKVEAESTTLEDTESRSSSASGVEIKLVPEAIYEPREEAEAVVSFSEFVEEIQFQFSDSESFADRKTTDDAASTKEAGAVEHDESDCDTEQQGGNNTGLGNNLENCSDSSRPETIPVPIPGSEFHSDDNNLEAKSLPNLRSHIHDLERSDSFQLSRSLQSNGENNGVEPVKSTTSDLPVQEPEDTGNSKENFVPPEPTNSAIADNLKIDPFNPCVELSLCRHLLSEGMGEDAACKAFNAEKVTLEKFRAMKQSLIRNNKLVVRIAGRYFPWDAAAPVILGMVSFQEEQSFEPQGMIKVERVEPNAAPGGWRIWPFSFKRTRSVNTVQPVSESTEEASSSAPVKEVERENNKARAKRMERKVRSLTPTSEELASLDLREGRNVVTFTFSTGMLGKQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLGAIKALFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVNGMFPPISTSSEQEDYNTWNYWKMPLPAVDI >ONIVA11G19620.1 pep chromosome:AWHD00000000:11:20924221:20928328:1 gene:ONIVA11G19620 transcript:ONIVA11G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRQYWGGGRRPSGGGGGVVVMFAWLSSQERQVRAYVELYAALGWACLVCHSEFLTLFFPDKAAMLADRVLAELVKELKVRPVPVVFASFSGGPKGCTYKVLQLIERRCEGQLSLEEYQLVRDCLCGQMYDSSPVDFVSDLGTRFLLHPSVLKMSQPPRILSWMTRGIASGLDTLFIGKFEAQRAEYWDTLYSSVHVGPILIFCSEDDELAPCSIVQKFGRRLLELGGDVNLVKWQNSPHVGHYKHHPEEYRAAVTELLTKASMLYMSRRQLNSYDLGTSEHSDMLASDVHKAGTNSNNRLRRSPDDPIDHFLLPSSMEYHESSNEEPKPELFNMPSVESINNPHGVLGQMLYDVCVPKNVEGWDFKPSASINGRHINSIARQHGTFNPIKCIRRSKL >ONIVA11G19610.1 pep chromosome:AWHD00000000:11:20914683:20916131:1 gene:ONIVA11G19610 transcript:ONIVA11G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYLRSSCKQQQQPSSPAAVASVAAAAVSSYSYLTLRSGRRVPAAAAAAGGSACRRRHRRGGRRGCAKNGAGSARACGARSPSSSASSGQRRRCEAVECSRGGGRAELSRSPPLGNSVVVVSGDVVSGERKSLKPNSCSREVAAEHAGEHKHNPEAAAAAGRRPPLSPPEAEIEAFFAAAELAERRRFAEKYNYDIALDRPLQGRYEWEPVST >ONIVA11G19600.1 pep chromosome:AWHD00000000:11:20909290:20914347:1 gene:ONIVA11G19600 transcript:ONIVA11G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDRQDLTADATALQLDHRYHLPPCIQPTPPPRVQPPPPPRVRPPSTVPLELAASSPLQPPRIPPPRVRLLAAVPLKPTASSPIQPPPLPSRVQAPAAVPLKPAATPPLCSPDARTARRHSRLPPAT >ONIVA11G19590.1 pep chromosome:AWHD00000000:11:20890300:20909205:1 gene:ONIVA11G19590 transcript:ONIVA11G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0J487] MASNGEATTGSETAAPVPVPEPTPPPCQGRLITVLSIDGGGIRGLIPATILACLEAKLQELDGPEARIADYFDVIAGTSTGALITSMLAAPDDDRRPLFAAGDLTNFYLENGPKIFPQRRVGFLTPVANLIGVVRGPKYDGSFLHDKIKSLTHDVTISNTVTNIVVPAFDVKYLQPIIFSTYEAKNEPLKNAHLSDICISTSAAPTYFPAHFFKTTDVSSGKSREFHLIDGGVAANNPTMVAMSMISKEVLRENQDFKLGKPADYKHYLVISIGTGTAKMAEKYTAPACAKWGVLRWLYDGGFTPLIDIFTHASADMVDIHASVLFQSLCCEKSYLRIQDDSLEGHTSSVDIATKENMEALIKIGKDLLTKRVARVNIDTGVYEPVDGEGTNEEALARFAKKLSEERRLRRNNLTFMASNGEATTESETAAPAPVPEPTPPPCQGRLITVLSIDGGGIRGIIPATILACLEAKLQELDGPEARIADYFDVIAGTSTGALITSMLAAPDDNRRPLFAADDLTKFYLENGPKIFPQRRVGFLTPVANLIGVVRGPKYDGSFLHDKIKSLTHDVTIADTVTNIVVPAFDVKYLQPIIFSTYEAKNEPLKNAHLSDICISTSAAPTYFPAHFFKTTSPSGESREFHLIDRGVAANNPIPSIYHLTMVAMSMISKEVLRENQDFKLGKPADYRHYLVISIGTGTATMAEKYTAPACAKWGVLRWLYDSGFTPLIDIFSHASADMVDIHASVLFQSLSCEKSYLRIQDDSLVGHTSSVDIATEENMEALIGIGKDLLKKPVARVNIDTGVHEPVDGEGTNEEALARFAKKLSEERRLRRNNLNSS >ONIVA11G19580.1 pep chromosome:AWHD00000000:11:20876523:20878475:1 gene:ONIVA11G19580 transcript:ONIVA11G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSLQVFLLMSAPFRRRHCSRLLNGSLWVAYQMADYVTTYVLGRLTLLLAVAGGNGNDATPQRHKLPLFWAPFLLLHLGGQETITAFSMEDNTLWKRRLLDLVTQVAMSVYVVGKQWHGDRLLVAPMVLVFMLGIVKYGERIWALRAAAALAPESSSFDSLVAHSYKNLDQDISVRGSRDHYKRLLYISSQDKISTESILMEASVDFQASLDFFMDMTPSTPNSSHWRFAHRLLRDVSLQLKSSKNVHGMAYKLAEMQVSLIYDYLYTKFGTIRFQASPSLAEFDSIMVAVLQWLVSLGLTSVALVLFARAMAGNTNYSGSDVLITYILLVGAISMEIASIFIALTSTWWACIIVAKHLHRLHIGEWPGKLAQFKMVDACAQERERRQQTSPGGAVGALIRWILPAPYETRPPHIVVSPEVKKLLLNKLLEMVIDVEKFRWDFSRFRGCWALWVANRVDSGLAAADPAHRALSASGIQELNFVSNVIVWHLVTTICLMVPGGPDNLKNPCNDLSSYIMYLVAKRGVMVDSSGHFVIARSQGEMPDFLDDLHQDGFIQKILQGDQPFFDSLKTSSRSKAFKACKELFKIPEARDRWELIAAVWMEILCYMAFNCGATFHAKHLATGGEFLTQVKMLLFILRFPFFNQQV >ONIVA11G19570.1 pep chromosome:AWHD00000000:11:20856726:20858577:1 gene:ONIVA11G19570 transcript:ONIVA11G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSLQVFLLLAAPFRRRHCSRLLNSSLWVAYLMADYMATYVLGRLTLLLAIAGDNGGNGSTPRHQLALFWAPFLLLHLGGQETITAFSIEDNTLWKRRLLDLVAQVTMSVYVVGKQWQGNRLLVAPMMLVFVLGAVKYGERIWALRAAAAWAPESSSTAILSARAYKNIDQNTEFSRDWMARYSRLVNISSQDKRSIESILMEASIEFQASLDFFMDLTPSSLRFASRPSNLMIRVIPPSSQRNAYWPNNPMMERLIPSKNAYGIAYKLVEMEVSLIYDYLYTKFGTVRFQASPKLIPTMAAALQWLVSLGLTSVALVLFARAMADNTTSNFDYSESDVLISYILLVGAIAIEISSIFIALTSSCWAGIAVAKHFHRLHIGEWSGKLAQYNMVDECVQEKERQQLKTLGAAVGALIRRILQAPCETPPPHIVVSPEVKKLLINKVLEIAFNRDNNFWDSSRFQGQWALWVAIRVEGQVRHPDTAGPAHKALSAGSIQELDFVSTLVVWHLVTTICLLAGDGPDDLTNPCNDLSGYIMYLVVKRGVMVDSNGHLVIARSQREVLYKIIVLRDLDLPQLARFVWSCSRSQKQEIDGS >ONIVA11G19560.1 pep chromosome:AWHD00000000:11:20833461:20835655:-1 gene:ONIVA11G19560 transcript:ONIVA11G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADADDEEKGRAGVANGVLLAFLAPEEALALGGDHNEALHGELRVRQGHEVDVGGDDDDEARRGEVRAPQGRAYDDDAAEDEEGLRFLPGRARYGVETRGFRAEDVGDEGCRGQGHEVDAAGDADDEARRGEVRAPQGRAYDDDAAEDEEGLRFLPGRALGEEVRGVLPLRDRALRLRRDRMGYDDEGDVLRLQARGDAEDDEGLLHRRAHGEGLPLPAFGVSGERRPLGGRARLYHDANDDYQGLRGRARYGVETRGFCAEDVGDEGRRGRAHDDGHERHRGCGRVGGERQSSCANDDDQGLRGGAHDDPDEPRRGRVLLPLRARLKDDDDEELMRDQEGALGDEVHRGANGYREGHRSAHDDGEGPPFAALDDGEERPLGGLVEELFVCRLGDDDEVRVGANHNGEGRRLRGPRPDGEEARVRCGRAYQLAPRAHAQEEPRVRRDRAQEDEEEPPVRRGRARSPLRLQARGDAEDGEGLPFRLRAHGEGLPLPGLGVGGRARLYGEGLGREDDAERLEVAVDDAEGGVDLGALELQEEEPAGGKKVAVSAAVAAARAVKNAAGKKAAKEAMVRWGQRNPNKNTPKKGSGTANCSIYKEPVEVGAFQSDQKIYEPDKRFMTGNEVTDSEYIELVGLYNKMNNLQLETKDMIKGNAMRSDILDNCLLMRNLVRKMRSLRAMIKRKVEGEPPIIPPNLLEIPDIS >ONIVA11G19550.1 pep chromosome:AWHD00000000:11:20829902:20830393:1 gene:ONIVA11G19550 transcript:ONIVA11G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSKQLRMPQEHISWILHRREPSFDEADKAAARRELYSDDHELVRSGWFDDLLALQRGFVERRKASWARFCEMAARVRAEFEANGFVEVDDGYFDRQEENRALVWENCGREFAQMLRENKDGEFGDRDDEAVSDDEHQHEDEEEDEPHDQESESDGDL >ONIVA11G19540.1 pep chromosome:AWHD00000000:11:20827717:20828867:1 gene:ONIVA11G19540 transcript:ONIVA11G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPQPPLLLQPLRLLELVDVVLHLDEAIAAVLDADPALEVPELGPGGLQDAVDVHQQRLRLVVVDLREVVLVDVGVGDEGDLLSQKAFKINIE >ONIVA11G19530.1 pep chromosome:AWHD00000000:11:20826841:20828279:-1 gene:ONIVA11G19530 transcript:ONIVA11G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYIPFVPDADVDEDHLPEIYYDEPEALLVHINGILKATWAKFRDFQSWVRVEYGSNGFVEVEYDVDEFEEAQRLQEERRLWRAHVWEKIFADDPPEEGKFVEYVNVYDQETRAFVMRPKELEEACP >ONIVA11G19520.1 pep chromosome:AWHD00000000:11:20824677:20825964:1 gene:ONIVA11G19520 transcript:ONIVA11G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVEQLEACPNSDATATATRRTATMRLSQENIEWILARTELCTDDAPDIERYIPFVPDADVDEDHLPEIYYDEPEALLVHVNGILKATWAEFRDFQSWVRVEYGSNGFVEVEYDVDEFEEAQRLQEERRLWRAHVWEKIFADDPPEEGEFVEYANVYDQETRAFVMRPKELEEAYP >ONIVA11G19510.1 pep chromosome:AWHD00000000:11:20815767:20816788:1 gene:ONIVA11G19510 transcript:ONIVA11G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHEGDRPGDAATHWCHRRRGQRSGSAECMSRASVAKSTRHSSGDHPKQQGHKRREPVYRNCQRYIPEILMPVIEYLDVYREGLYVYVVRSMHMLSVFRCYFFPVDAYAVFFLVNYMLFACFNLHMNVYVLTLIAILVSV >ONIVA11G19510.2 pep chromosome:AWHD00000000:11:20815767:20816595:1 gene:ONIVA11G19510 transcript:ONIVA11G19510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHEGDRPGDAATHWCHRRRGQRSGSAECMSRASVAKSTRHSSGDHPKQQVSIGVFLQPSVYFYGQIDARRLNFSCLQGHKRREPVYRNCQRYIPEILMPVIEYLDVYREGLYVYVVRSMHMLSVFRCYFFPVDAYAVFFLVNYMLFACFNLHMNVYVLTLIAILVSV >ONIVA11G19490.1 pep chromosome:AWHD00000000:11:20805657:20809430:-1 gene:ONIVA11G19490 transcript:ONIVA11G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVITVLGWLLSPIISLLVNRFISYLFDASPKIQELEIQTVPKLEQMLRKIEEERMHRKAKKERSAVQNLDTLAKLVKSALYEAEDVLDLIAYHQIEKDVIGDDEPQGSSSKWHPHIDDAIHACKTSWIGRCITTLLEWAQGLYRSLRSRSAALLPISRSRCCGSASDSLLERLSCFSGQFDFIRCCQSLFIWSVNWFEVARSYRDWFYDATGITATGYQLEDGTAVYSFMPAIARWKLRKRIEKLENTVTNVEKSHYLTQTSSGAWNDIVNMNRRSITSSSTRKVFGRDRERDMIRSMLREDDSLPSSSSRKCYSVICIYGIPGSGKTTLAQYVCEYEKEDKYRYFDTVMLIYVSKTYRLEDIFRDMLEEITRNRHSEINDCKGLEAKLVENLRGKRFLLVLDDLWVNDENHEKLLSPLSVGKSGSRILVTAQSKEAALGSNRLIPISDLEEEQYFSMFMHYALDSTIFDDREYIPIGRKIAKKLNRSPIAAVTVAGQLWRNPDIRFWQTTANLDVLNKTKGALWWSYNQLVVDVRRCFQYCSIFPRRYELERDNLVRMWIAQGFVKDNDGNNEDVEDVGQDYFHDLHSCSFLQLKRKAPSDISTGEYFTVHDMFHELAKTIAGSDCVKIEKSITEHLPKHVRHLCIESYSEILFPEKILELKNLRTLIMCYSVERMNQDDFERVLKKLTKLRVVHLDLRHLSRVPPCIGGLKHLRYLGIMSPPPHSLILPAEFSKLYHLQELSVNPNTRLHCPSQLKIANLINLRYMLTWYGLNIPDVGKLTSLRALYHFYVRKEKGYEIQQLEHLNNLRGKLFIDCIENVQSKEEAVRARLSDKVYLTELTLRWGGTDERCSKKALESYKKLFFPPVTEIKQHQPPELQEEVLEGLRPPSGITVLCIRDYGGVIYPSWLTGDGCDKEQEQDRPALQNLMFWSCKGSSDPPKIGEFFTCLHILSVTDCSWNYLPVKLCRLKTLRELIVQECPNMMTLPKLPQSLKSIVISGCHPSLADTCLTPGHPNWRRIKHIDQQIIR >ONIVA11G19480.1 pep chromosome:AWHD00000000:11:20800204:20805200:1 gene:ONIVA11G19480 transcript:ONIVA11G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAIRIHVKTDYQNQEIKIEKEKKTRTGNATGTVTEIEEETEIGTRTRRKTETKTGIGIGTGTRIGIATIGITVKEENTEIALMIMIATKAVILKGEETMNGMGVAGIGLAHALVLGAGITDLGLALVQKGQFPGTAIPGMFPNMLPMGVGQQFNPLVIQPQAMTQQATRHARRVYVGGLPPTANEQSVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGAAQPRPEQESILLQAQQQVQLQKLVYQVGALPTKVVCLTQVVSADELKDDEEYEDIMEDMRLEAGKYGVFSFVYSQVPSLGNLIKVVIPRPDPSGLPVAGVGKVFLEYADVDGATKAKTAMHGRKFGGNPVVAVFYPENKFASAEYDA >ONIVA11G19470.1 pep chromosome:AWHD00000000:11:20798026:20798484:-1 gene:ONIVA11G19470 transcript:ONIVA11G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITSGGRRCQAATAAVAVPPPDLHRHLAALLGSGVGADVRIKVGGKLFAAHKNVLAARSPVFMAELFGNNGGKDQKETTKAAAATGNGVIRIDDMDLRVFRAMLQFIYTDTLPSIDKGDMAFMAQNLLVAAHRYGIERLKSISVDMIRKGA >ONIVA11G19460.1 pep chromosome:AWHD00000000:11:20786673:20798746:1 gene:ONIVA11G19460 transcript:ONIVA11G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPMYQEERLTNEEVDEMIHETDGSKRINYNEFPLAKENFLTEKIFSSTTAPHVPLSRLSVNFASTTSSAAAAAAGRLRQR >ONIVA11G19450.1 pep chromosome:AWHD00000000:11:20786391:20790867:-1 gene:ONIVA11G19450 transcript:ONIVA11G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTVLLILGCCFFFLPMPMVAAQPWMICGSSKYTANSIYQSNLDSLLSSSFLVVSGDSSSGALFAKGSRGAAPDTVYAVALCRGDANASACSGCVDAAYAAATARLCPLSKDAAVFYDECALRFSDEDILNMDAFGRVNTSAAVGVAPLVLMNITSEPMLSGWNTNIQGTKNFTQFFIKTMNYIVAQALSTTKHYAAIRVDMDDADASNTVTLPRRLFCLAQCAPDLVEDICYNCLQNFSDLATANFAGRQGGRILALRCNLRYDTDKFFAEPVAKVLVPALVAPLLALFLCIIASIILRRHIKGKTNADEDEALIWGLQGRSSEFTIYDFSQVLEATDNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQGQEKILIYEYLPNKSLDFFIFDETRRALIDWHKRLAIIDGIAQGLLYLHKHSRLRVIHRDLKAGNILLDREMNPKIADFGLAKIFSVNDNEGNTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILEIVSGKKTSSFHRYGEFINLLGHAWQMWKDETWLQLVDPLLPTDSHTIEIMRCINIALLCVQENAADRPTTSEVVAMLSNETMTLPEPKHPAFFNMRLTNEEASTVIAASSVNGLGVRDEVIFYSLLKKRNISLCPSYQYLSFSTLLGLGTCGSQCYCCRRCPSGSEYVLWFQAPWWLLNLDFRRTYLNSSIIFKVLFCSNICS >ONIVA11G19450.2 pep chromosome:AWHD00000000:11:20786391:20790867:-1 gene:ONIVA11G19450 transcript:ONIVA11G19450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTVLLILGCCFFFLPMPMVAAQPWMICGSSKYTANSIYQSNLDSLLSSSFLVVSGDSSSGALFAKGSRGAAPDTVYAVALCRGDANASACSGCVDAAYAAATARLCPLSKDAAVFYDECALRFSDEDILNMDAFGRVNTSAAVGVAPLVLMNITSEPMLSGWNTNIQGTKNFTQFFIKTMNYIVAQALSTTKHYAAIRVDMDDADASNTVTLPRRLFCLAQCAPDLVEDICYNCLQNFSDLATANFAGRQGGRILALRCNLRYDTDKFFAGKTNADEDEALIWGLQGRSSEFTIYDFSQVLEATDNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQGQEKILIYEYLPNKSLDFFIFDETRRALIDWHKRLAIIDGIAQGLLYLHKHSRLRVIHRDLKAGNILLDREMNPKIADFGLAKIFSVNDNEGNTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILEIVSGKKTSSFHRYGEFINLLGHAWQMWKDETWLQLVDPLLPTDSHTIEIMRCINIALLCVQENAADRPTTSEVVAMLSNETMTLPEPKHPAFFNMRLTNEEASTVIAASSVNGLGVRDEVIFYSLLKKRNISLCPSYQYLSFSTLLGLGTCGSQCYCCRRCPSGSEYVLWFQAPWWLLNLDFRRTYLNSSIIFKVLFCSNICS >ONIVA11G19440.1 pep chromosome:AWHD00000000:11:20764683:20766803:-1 gene:ONIVA11G19440 transcript:ONIVA11G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDMVHGLNSPKLRLIRIEVLVFLGVVGLFVLLILGSYRRRSSCEAVKMTIWVAYAASIPMVSYTLGLMQSSPYKNSLFSVWAIILFIFLGSADSFSAYSLLDNDDWKRFYLKQLIESFWVGWLVVSSGGSDFQYILWVIYCIVILKSGTRVASFKLASRSSMLSKSTKWVADYMSYEHLRPAGDGVQRRDAVDMQGYHYVVAGEDEQNPRVEPPEYHLRYNDDDRAKLVTVQDIWNCNGSLLSGGNGGRLKDVCLSMALSKMLNRRFAGFQVLAESNLHKTRDFLFGGLLHGDRCVERTFRVIEVELAFVHDYFYTKYFLIYSSHHLFVTLSFAMVPTCGWLAYKLFQHFLRVPDEDELKFLVNANHRNYDALFTSVTAIAIALLEGLQVYIYLASAWSKVAMISKYVTTDSWNSSVLFSKLIGCITSLRYFRSWEDKLGQYTLLKSFDYKSMNILYHATFSLVNKTKKGRKEDKRVRLSMDVKKTVIETLKKNRGLGQLGNCVISLHANEVYYQLSWSCTTLPTTTHIIMAWHIATTLCEVEDEDQHGIDSTTTTTNQHVACSLSRYCAYLVAFAPELLPDHSFVSESIFDALVEEARELLKGKKTMQQRKEALRSQDHGDNRLLVVGGRLANNLIEIEHPGDRWKVLCDFWAEMMLYIAPSNDAKAHLETLPRGGEFITHLWALLTHGGILERPTGPDQNV >ONIVA11G19430.1 pep chromosome:AWHD00000000:11:20757023:20757547:1 gene:ONIVA11G19430 transcript:ONIVA11G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNDKIDLLCQEKGSMHQFIASASVPAAIHATNPFTALVLPKKTMFDFAPLMGQEVKDWAMAPTKSDEDTMSSQAQVALEVLEVMPLSVQPPSSPVCQAPALPVLPKAPVKKRDGKTLLYNPYRRQSARLQQSKEEVQLQVDPRIGIGKPRGKYAKKLKELADGSGYVRPSS >ONIVA11G19420.1 pep chromosome:AWHD00000000:11:20746529:20756941:1 gene:ONIVA11G19420 transcript:ONIVA11G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNDKIDLLCQEKGSMHQFIASASVPAAIHATNPFTALVLPKKTMFDFAPLMGQEVKDWAMAPTKSDEDTMSSQAQVALEVLEVMPLSVQPPSSPVCQAPALPVLPKAPVKKRDGKTLLYNPYRRQSARLQQSKEEVQLQVDPRIGIGKPRGKYAKKLKELADGTIAPVRKEKVQVSVQDPVQDPKVQEFLAKLDKNARSESPTHPFFYPMSGLNDKIELLCQEKGSMHQFIASTSVPAAIHATNSFTALVLPKKTMFDFAPLVGQEIKDWALVPTKSDEDTMSSQAQVALEVLEVMPLSIQPLSSPICQAPTLPSVFDRLKEPLKVHDRVPPAITILAKSPVIKQAVSLKFRNERAVAPVRKEKVQASVQDPV >ONIVA11G19410.1 pep chromosome:AWHD00000000:11:20729367:20742769:1 gene:ONIVA11G19410 transcript:ONIVA11G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAASASTGLLDAVLRKLGKMLADEYELQTGAKDGIRYIRAELESMQAALAKVSEVPPEQLDNQVKLWAKKVRETSYSIEDTIDSFMLHVGHGDADDESGICACVRTISMLPWRYKSQRDLATEIKRIKEEVDEVGNRRERYKLDSIIVATAPSDPRLLALYEDKAKLVGIDHSTDEIIKLLSMEREGDGTSEQKLKLVSIVGPGGMGKTTLANAVYQKLEEKFDCTAFVSVSLQPDVKNILSGLLRQVTASKVKDDLEEDEGKDKDKKSLHRRESQKHDGNTETWSEKELIDKIRHVLEKRRYLIVIDDIWEEQPWKLIKCALFENKLGSKVITTTRNTEIAKLCSADEVDGIIHQLQPLSDGDSEQLLYYKIFKNEGCPTELKDVSQKILKKCKGWPLAINAIASLLANKPTQTQGQWYSVLNSISTGLENNHGVKDMRLILSLSYRDMPAQLRDCLLYLSIFPEDHIIGRDDLIQRWIAEDLVHGRQDDYLYELGNKYFNELINRSMIQPIDVDAFGRAQACKVHDLVLEYINSLSAEEDFVTIFNGLQSFPQSDSIHRLSLRNSEGEHGIPKAIKRLPHVRTLVVSSCFFYSTPSLSIFPVLRVLELQRCTESNIKGVENLVHLRYLRLTQAYYFFYDGDADHCINLPERIGNLQLLQTLDLKDAMIKELPHTVVQFSQLRVLEISLRKFDKRCETLLLQCLCNVKQLEALCINAPDLSLDFMLQVDWAPTHLRRFTASPREQSKHMLRSGWVELSPFSRLPRWINSSLLLSDLSIMVRTLAQEDLEILEVLPVLRSVDLEVIQATGTRLEFNGSVGGNGHATAFQCLGNLKFASRAVGLVFKPGAVQELQKLYLCFDVAETKDVHGDFDFHSLENIASLKTLDVDIDCRCARLWEVEAVEAALSNATKLNPNCPTLGLTRHFPDLILHDQEEEIPEHLQAKKKEDALLSRVGPFGGYGGRARDIRVTPHRLEDVTIHSANVVHSLAFSYADHNGQQHSTGPWGSRDARVSTIHLGPSECLIKVSGSIGSSSEAAKLITSLIFVTNEASYGPFGKCIGDPFEFHVPSHSSIVGFFGRAGTRLDAIGFYFRPL >ONIVA11G19400.1 pep chromosome:AWHD00000000:11:20649436:20650735:1 gene:ONIVA11G19400 transcript:ONIVA11G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPLTIAAVGWGISTVGWVISPIVAKLVNAKLDKLKKLLMELKSAFYQAYCRLQKQILARSKKQSPQNKVWNTMTKGMIRKVAILLLSAAAACCLLKNRKGSIAILNWKY >ONIVA11G19390.1 pep chromosome:AWHD00000000:11:20617989:20627078:1 gene:ONIVA11G19390 transcript:ONIVA11G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVGRLPTACDGEDVPIGSNYTVPGTSKHRLKELLEHIVNLIDGADNIVALANLPEITENRAHIPGRALPPRSTSNPPKVTGRDKTREELKNKLRDTEHDGSGTCYSVIGIHGIPGAGKTTLAQYVCEFEKRDDYFDLVMWIHVTENFSVEGIYREMFEAASGKKCPRYNSLDALQINLKQVLHEKRFLLVLDDIWCNKYNQGEKLEDLVRPLEAGKEGSKILATSRSTDAFSQLGPVRVDRFVIPELGRGDFRQLFMYYAVGDITTIDDRDRRLIQVIGAEIAKKLKGSPLAATIVGAQLRHKDLDYWRDYNNAREHFDDVMNSLALSFLQQLDEEVRICFAYCSMFPRKYNFERDELVKLWVTQGFINTKSGEEKEAAAQRYFESLLSALFLQPSYDDNNERCYTMHDLLYDLAKEVAGSEYFTIENGKIGGFSQDVRHLFIGIRYGKENIIKNLLELKELRTLIIDHTGLNDNEFISEIFDRVYTKLPKLRVLILKVEWFQSNMDIFFVPASIGNLRHLRYFGFRKSYKVSFRKLNLPNTFVRLHHLENEEGYELYQLKRLNKLRCKLNIHGLRNVSNKEDALQAELHKKKNLTRVKLSWYSDSSGGVISAQEEDLQSEVLEALRPPVWLQKLRIVCYKGSAYPDWMMTNGPEVPKYLQDLKLENCRPLGRKIPEHNELFKYLRKLHVFDCSWTYFPANMENLKSLQELLIHFCRNLLSLPTKLPLSLLKLEIAECRSIKKLPVMPHSLLELILSSHNQEFITSCKTPGHQYFENIRHIPRKEIEYEEDNLSTDSDEDEEREDILYPSKDDHASVVQVGDGGGDADAICDRAGDADMTVVTRIRSAVVAATRMWSAAAVAAPWKRLAAANAARIQSAAATAARMRPAAATAALERMRPVVAAWMRYE >ONIVA11G19380.1 pep chromosome:AWHD00000000:11:20591256:20592011:-1 gene:ONIVA11G19380 transcript:ONIVA11G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGQGGAGRSNSVPVVGGGASGGGEAGDGSQKQAAAATPAISGEGGWPGENQGCKGSMVVAAARLRAAWSGGAPCSRRQPNRAATPGGDAGDVSATDWMGKERGKERRRYGGGKLEVSRRHSFVVRPRVSACERVACVGWHELGAGAHECGAGLARGGTGAGSAWLGLAV >ONIVA11G19370.1 pep chromosome:AWHD00000000:11:20590855:20600196:1 gene:ONIVA11G19370 transcript:ONIVA11G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKNADVGPVVGASEISVPGGDDLYDYIKPEDSVIGREDKTMEALRWTYEHLDVPVQRCFSYCSIFPRGHHFYSDELINLWVAEGFIDSYGGKYELEAVGQLYLDKLVSCSFLQKENLRGSFTVPDLVHFLAVEVAGSECLSIQNGWEGDLPQNVHHLFIEIDGGNISEEILELTELRTLIINHTGQNWQNLSYEIFENVFMNLPRLRVLILEVEWFQSEMKIFDVPLSINLLRHLRYFGFRVSVTGSSLWVLNLPATFARLSHLLVLDVTGFDKLSFPLNEDMSDLTELRHVIINSEDPHFPYIGRHESLRTFKHFTVKMEAGYELHQLKRLNKLRGELNIYGLENVPGNEDALQPELHNKVNLTRVKLSWGNPQEDQGLQSQVLEALRPPAWLQTLQIVRYKGLAYPNWMMTNCPEAPKCLQDLKLEECRQLGEEIPEHNRLFKYLRILHVANCIWEFFPANMERLESLQKLKIEDCLNLQSLPTRLPLSLRILHISNCDRWNQWPDSVEDDLRSLLELEIEDCHDIESLPAQLPGSLEKLKIQTRTKKWKTINEDLYPSEDGEGSVL >ONIVA11G19360.1 pep chromosome:AWHD00000000:11:20545219:20545797:-1 gene:ONIVA11G19360 transcript:ONIVA11G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATGSHEEVPATAMAKLRLSQENVDWILARKELCGDDAPDISRYIPFLLQSPSGVPGAEDPLPESYYGNPKALMRYIDDLLMDEFDKFRDLERWVRAEHEEKGFVEVEYDHNHFEQRQHRREERRALWAEMLAEEMADILPTIEDGEFGDYIEVYDEKRREFIMREVEGNIGVPVFDKEKKRFVFVKKN >ONIVA11G19350.1 pep chromosome:AWHD00000000:11:20541256:20541620:1 gene:ONIVA11G19350 transcript:ONIVA11G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIKISSAHPHTRCVDENSQEPQDRMITYIDKSPREPPVPHNLDNKESLGSNFSTLGGSERDRGRYRGSTIFVIN >ONIVA11G19340.1 pep chromosome:AWHD00000000:11:20528359:20531218:1 gene:ONIVA11G19340 transcript:ONIVA11G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPESGGAGKGSNGEAVGSGAVDKHAAVVRRAAGIASVEAKRSATADVRRAAGASGTVGYPDGGGRRDDEPVREELHGSGGDYNDPSKSKRVLGEPHVERALSAVFRGEETHVERVPSEEVFGEADVEQDLGDAVNYLRGDEDYVGREITVDVRCGAHVKVKRAAELPDEVLVEELVGQNDCALTAVFRGEEAYVEPAPGWEVRGEDAAEAYVEPAPGGEVRGENGEEAYVEPALDGEVRGEDGEEVHVEPAPGGEVRREHGEEVHVEPAPGGEVRREHGEEVHVEHVVVLDHEPVEATAGLVLGAPVPAAVRAAVLEARALKNMEGAKAAKEAAKQWANGNQNVANNAFSSAPSKKRRNLAGHKKLSSIQNVGSSVLEFVDIVEINKTFCFQKVEQFRIEEYLTGIEEIDDDLRKLIGLFNQMIPLVKAAQEESLSQEGLSDVNREMKFATYQMRVLRKKINGKGGKAPIIPPQFLERYC >ONIVA11G19330.1 pep chromosome:AWHD00000000:11:20526506:20528037:1 gene:ONIVA11G19330 transcript:ONIVA11G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTFDSGSDMKRSKVGRGEMKPLGLDGFLYHCRHSTSLGETTTNRLAPVDRVPCYPFSFPFHRRHHLLRPPLEQELRRLRHREMKES >ONIVA11G19320.1 pep chromosome:AWHD00000000:11:20523852:20525509:-1 gene:ONIVA11G19320 transcript:ONIVA11G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFPGCQKLIPTSAIIHQAEAYSISRPSSTLLGFTGCSHPAISVHCFFCSESDLVIQIISKKTPEEWKLCAELRWSNEEWIKKSCEGEEEEASGIFEAYAEARKSGKDGEDYCTDRVKDKLEAYFGVVKEKHGASPDLMK >ONIVA11G19310.1 pep chromosome:AWHD00000000:11:20513254:20513478:-1 gene:ONIVA11G19310 transcript:ONIVA11G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSDLTCERCDQLMAVSRSCRSAHAGVAVAAARVRTHPPETRAPNQETHDAAALRRGLDEAVKGIRRIKLRTP >ONIVA11G19300.1 pep chromosome:AWHD00000000:11:20509180:20509758:-1 gene:ONIVA11G19300 transcript:ONIVA11G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATGSHEEVPATATAKLRLSQENVDWILARKELCGDDAPDISRYIPFLLQSPSGVPGAEDPLPESYYGNPKALMRYIDGLLMDEFDKFRDLERWVRAEHEEKGFVEVEYDHNHFEQRQHRREERRALWAEMLAEEMADILPTIEDGEFGDYIEVYDEKRRKFVMKEVEGNIGVPVFDKEKKRFVFVKKN >ONIVA11G19290.1 pep chromosome:AWHD00000000:11:20506794:20507431:-1 gene:ONIVA11G19290 transcript:ONIVA11G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAVEQHGQQEACPNSDGHLGKSWAKFRVEYGSNGFVEVEYDVDEFEETQRLQEERRLWRAHVWEKIFADDPPEEGEFAEYVSVYNQETRAFEVRPKELEEGTRTIAVFDKVKMKLVLKKLDQYLVL >ONIVA11G19280.1 pep chromosome:AWHD00000000:11:20505308:20505730:1 gene:ONIVA11G19280 transcript:ONIVA11G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEYSTKAAAVEAIDGGEEVVVPAGKLLLPREMVDKILAIKRRPFSFGDDDIGSDDDELRELAVQHEALQDKFAACQAMIREHRHENKGYAIVDDELQVRMAVTRALHPFVERYHWVGEEDEEEEQVADVVGGEEKLI >ONIVA11G19270.1 pep chromosome:AWHD00000000:11:20503564:20504127:1 gene:ONIVA11G19270 transcript:ONIVA11G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTRDDEACPSTTTTTKSTTDDEPAAPAATTPAVTTTTTVIHDDGAPAPPSPKRRKVAAAAAPAPAVVDERARLKRRIAWVADKIATHRDEVETPYGFPDTCYGYAGYGFVGWVRADFAGEERAAERAALEAWMQIEWERRLLRWRRSEQQQPGVDGGGDSSFGVWDDDDQEDSEKKTEYKIIAG >ONIVA11G19260.1 pep chromosome:AWHD00000000:11:20485498:20486743:1 gene:ONIVA11G19260 transcript:ONIVA11G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPVWAIDSSDGEPGFTIPTRPFLPCPPSRHYGTTGKPYAIDVWGDGGSLGASWAPVGGWWWGARTVVACSEVLRMVAADGNNEDATI >ONIVA11G19250.1 pep chromosome:AWHD00000000:11:20481143:20483944:-1 gene:ONIVA11G19250 transcript:ONIVA11G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMNAFLFKIADANEDNDPQVRAWLNQVRDVAVRSEFFVDRYVYCLDGTHDAGCCGPLRHFARLLATILARHRLATHIRDLTARARDVGERRMRYGVIDMWMWRPGPARQMIIPISQPERRPEPELDAIPDQHRPRAIDSRPFGELDQPEAAIPGEPVPAREQQHQRAASEHEDLERRRILADDDSDLLTEVSKELLDRIPLMDQYVHFLQHREPNVISILGLRGTGKTILARKVHDMYGQYDPDCSRTAYWISLGDDQSSKKVLESILVSIAPNVPGVENVSSWEQGSILGMIIRRLNDTRFLLVFDDVRSESLMSDIGDIVRCNCYAGSAILLVTSIPQVAATSCNPQNIFDFNDFPEQHKESLINFFLERAVSLVANSQQNDLLEVLKSILTRCAPSIFTMKMLLRCLFVNPNRNIEELRDLNNSLQPSSALNANSMLNFCYRSLPSHYRNCLAYLAISPRNHTFRRTSLTTRWLAEGLISRTDVTSASEEPSDVANRCFDALCNHRFLLPAGDFTGTSFWGRFKSCTVHGIVRDFLSTIMEDEGVVDEDLFPDMAKRISIQNEFLHACRDRSLVESLATHPGLHLINVLDLEGCSGFQAKDLKVICTRARHLTYLSLRDTGIYHLPKQIQELHNLQTLDIRQTNVRVLNVVFPRLKYLLAGKEAPSGESFSTVKMPDISFMTDMEVLYHVEISSQDDGLIDLGRLRRLRKLGVVFNRGRVDLMKFLLSQIQMLESCLRSLSIRIIEGATGSEAENSGSGYSLIDSHMLSPPRLLQTLNIRGARGGLPRWMTELHQLAKITLRETYLTEDALRMLGSLRGLQCLRFLRKALTEGTIIFRDSEFKKLVDLSFEGSYLSTVIFDNGTAPKLERVAFKVPRVASLHGIQHLPSLKELEFIGNLCEEHIVKKAIAEHSNHPHYTYLDIDIKGS >ONIVA11G19240.1 pep chromosome:AWHD00000000:11:20457339:20460266:-1 gene:ONIVA11G19240 transcript:ONIVA11G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNIGALSVKLTPEEMAELESYASTDDVRGDRYPQAMANTTWQNSETPPYNVMSYSIFIWSVEC >ONIVA11G19240.2 pep chromosome:AWHD00000000:11:20457723:20460266:-1 gene:ONIVA11G19240 transcript:ONIVA11G19240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNIGALSVKLTPEEMAELESYASTDDVRGDRYPQAMANTTWQNSETPP >ONIVA11G19230.1 pep chromosome:AWHD00000000:11:20448485:20451890:-1 gene:ONIVA11G19230 transcript:ONIVA11G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATAAVRRMKLGSQGLEVSAQGLGCMGMSAFYGPHKPEADMVALIHHAVAAGVTHLDTSDMYGPHTNELLLGKALQGGVREKVEVATKFAVSFADGKVEIRGDPAYVRAACEGSLRRLGVDCVDLYYQHRVDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAHLPRFQPANLEKNAEIFERKRMHTVTTRIGLGPSSGKRHVVQGDRYAQTAGTWKDSETPPLSSWKAE >ONIVA11G19230.2 pep chromosome:AWHD00000000:11:20448485:20451890:-1 gene:ONIVA11G19230 transcript:ONIVA11G19230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATAAVRRMKLGSQGLEVSAQGLGCMGMSAFYGPHKPEADMVALIHHAVAAGVTHLDTSDMYGPHTNELLLGKALQGGVREKVEVATKFAVSFADGKVEIRGDPAYVRAACEGSLRRLGVDCVDLYYQHRVDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEELGIGIVAYNPLGRGFFSGGAKLVESICSGCSQGKFLWILLIQFSLRMGMKEHLPRFQPANLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNVAALSVKLTPGEMTELESYASTDVVQGDRYAQTAGTWKDSETPPLSSWKAE >ONIVA11G19230.3 pep chromosome:AWHD00000000:11:20448485:20451890:-1 gene:ONIVA11G19230 transcript:ONIVA11G19230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATAAVRRMKLGSQGLEVSAQGLGCMGMSAFYGPHKPEADMVALIHHAVAAGVTHLDTSDMYGPHTNELLLGKALQGGVREKVEVATKFAVSFADGKVEIRGDPAYVRAACEGSLRRLGVDCVDLYYQHRVDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEELGIGIVAYNPLGRGFFSGGAKLHLPRFQPANLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNVAALSVKLTPGEMTELESYASTDVVQGDRYAQTAGTWKDSETPPLSSWKAE >ONIVA11G19230.4 pep chromosome:AWHD00000000:11:20448485:20451890:-1 gene:ONIVA11G19230 transcript:ONIVA11G19230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATAAVRRMKLGSQGLEVSAQGLGCMGMSAFYGPHKPEADMVALIHHAVAAGVTHLDTSDMYGPHTNELLLGKALQGGVREKVEVATKFAVSFADGKVEIRGDPAYVRAACEGSLRRLGVDCVDLYYQHRVDKKVPIEVTFSLRMGMKEHLPRFQPANLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNVAALSVKLTPGEMTELESYASTDVVQGDRYAQTAGTWKDSETPPLSSWKAE >ONIVA11G19220.1 pep chromosome:AWHD00000000:11:20427174:20432429:-1 gene:ONIVA11G19220 transcript:ONIVA11G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSTEWMRDRADKLKEDVCTLFTPTKDILRRMYLVDEIVHLGIDHLFEKEIETALKDIHETEFASSNLHEVALRFRLLRERGFWVSPDIFNKFKGDDGNFLNEFAEDPRSLLCLYNAAHLFIHGELELEEAISFARNYLESMSSHSVLKAPLADQVKRHLCLPLPRTHKRVEMLHYMFEYDQENEHNPVLLELAKLDINLLQQVHLKELKEISWWWKDVLAHMGLDHIRDRVIECYTWSYAVYHEKDLALARMIFAKLVALTSVLDDTYDVHAYTSIEECRMLNVAIQGWDDSTALLVPEYLRKFYEIILRTFREFEDQIPRNQRYLAAFSKAELGSQNKADMACAVEAYIEEHKVTADVAIARINEVLEEEWKTTNQARVDHRAVLPVV >ONIVA11G19210.1 pep chromosome:AWHD00000000:11:20416032:20420631:1 gene:ONIVA11G19210 transcript:ONIVA11G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERVDKLKEEVCTLFTPSKDMLRRMYLVDEIVHLGIDHLFEKEIETALKDIHESEFASSNLYEVALRFRLLRERGFWVSPDVFQKFKGDDGNFLNELADDPRGLLSLYNAAHLFIHGELELEETISFARNHLESMIRDSVVKGPLADQVKRALRLPLPRTLKRVEMLHYMFEYDQDNGHNPVLLELAKMDFNLLQQVHLKELKEISSAILDDTYDVYAFSSIDECRTLNAAIQGWDDTAISLVPEYLRKFYEIMLSTFREFEDQMPSNKRYLVAFNKAEAAEWPHRNYKPSFSEQVALATETTGVRSLAAGVMLGMSDAATTREAFEWAVTSTDAIISCAKIGRFMNDISGYKVTVDVAIAKINELMEDEWKTTNRARIDNQAVIPVTQRLINLTMAIPLFYGYDSDGFTFGEQLREILENLYVKPMPI >ONIVA11G19200.1 pep chromosome:AWHD00000000:11:20395920:20396689:-1 gene:ONIVA11G19200 transcript:ONIVA11G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGRVMADEDFVEERRFWAWENHRGFLVDVGASVEYEEDDDYDYDGDHQEMDWDNLFPRSGNIEMKSN >ONIVA11G19190.1 pep chromosome:AWHD00000000:11:20391710:20392439:1 gene:ONIVA11G19190 transcript:ONIVA11G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDEEGSGSRSPSKAAPAPAPAKKKKTKTTTTTSAMVPLPLAEVKWILAQKREPYTNPDDIEGFKISSNPNNDNDDGFPEELKASCRDSIRRSNILRKVADDRFFEYQSEVRAAMESSGRFLVDAGFFERRARGRAKLNEAWAKLRDGLPLSDSDSDADEEDDEDMALLAAMGLEFD >ONIVA11G19180.1 pep chromosome:AWHD00000000:11:20384544:20389620:1 gene:ONIVA11G19180 transcript:ONIVA11G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seryl-tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT1G11870) TAIR;Acc:AT1G11870] MLTCGRFLSSSAAASTPSLSPFRNLTLSLLRRPHLRLLSSSATASAAAAAVEPDTKGGAGGGAAKPQWKAAIDFKWIRENTDAVAVNIRDRNSAANLDLVLQLYDEYLALQKEVERLRAERNAVANKMKGKLEPSVRQALVEEGKNLKEGLIALEEDLVQLTDKLQLEAQSIPNTTHPDAPVGGEESSVVRKEVGSQRNFNFTIRDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMALVNWAITEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIDNSDQCLIGTAEIPVGGIHMDSILPDSDLPRKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFVFCRPEESDKCHEELITIEEELYASLGLHFKTLDMATGDLGAPAYRKFDIEAWMPGLDRYGEISSASNCTDYQSRRLGIRFRPSPADPPPANAKKGKGSSGPTQFVHTLNATAVAVPRLIICILENFQQEDGTVVIPEPLRPFMGGLEVLSPKTK >ONIVA11G19170.1 pep chromosome:AWHD00000000:11:20379761:20381012:-1 gene:ONIVA11G19170 transcript:ONIVA11G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLREDGVDANSEQYHLAKELLRSRTRRTVFKRFDSKETRLKWLQWSWQNRKAMKYLV >ONIVA11G19160.1 pep chromosome:AWHD00000000:11:20375313:20379109:-1 gene:ONIVA11G19160 transcript:ONIVA11G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGQTYGFPIYCASWLPLAHILNPPPPVEEGDADADAGAASSESPATPPPPPPLMAALGGGGGEGRSGVPNALVVAALDTSAGAAAAAALSPDPVLRMGTEEQVPYRMGVHPRGDGVLCAFPNGCRLFRWESQEGEGPDNIALRADQEALTELSDAGLQLAVSFSGEGSILATGGEDGHLRVFKWPSMDSILEEPDTKTSVKDLTFSSDEHFLAVNRSSGPCRVWDLKSAEVVANLPREAGEIFGFCRFSNQTDNSQILFVTAMQGDYGKIISWNTTSWTRIGSNKITREAISAFAVSPDCTLLAIGTIEGSIIVLSSKNMRSLVTVKKAHLGIITTLAFSQDSRTLLSTSFDSTARVTSIGSPKSRGISIWTMILVIILAILAYYYMQHKEDLLARFLH >ONIVA11G19150.1 pep chromosome:AWHD00000000:11:20370949:20372187:1 gene:ONIVA11G19150 transcript:ONIVA11G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEPDKDKPLPKPKPPKPKPKPPKPEPEPNTRSAPERDQTAAPYGTVPPDPFSCATDCSPDCVFYHLCPSPPPPVAPAAEGGAPVHLRSSRLPTPLIALSASLLGVSVVLLVALLVCRLMRGRGRRGRRRRGGRNALAPQEAPLTQQPQQGDEEGGAAGAAMAAEEVEGDDDDDDDGGGGGVHHVWYIRTVGLDERAIAAITALVYDAKKTGGGIGLAGGGGGGSCAVCLTEFRDGETLRLLPRCRHAFHRGCIDTWLRAHVNCPLCRAPVQISDKSAAAAAAAAAANAAPGAAAAVPGGAPAPNPRNAAAAEADRGELQGSPERGVRRAASMVTLPRRPWPEVSLRSPASNSGRMGEMGLAKIARLMKFSEVLEMAGIGATRSVSFGGHGRSGQSAAAGAGNNADEISR >ONIVA11G19140.1 pep chromosome:AWHD00000000:11:20361039:20363874:-1 gene:ONIVA11G19140 transcript:ONIVA11G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVYIPSDLGSRGRGQRLQRGGRGPCIAGLTRTEPFLVYTTSTKTALYSGTRSNKKSNTTHSPFPQFAEVIKKCVVERGEVLEEDDGIVDAGTLMPIQEGLSMVDEVGGGGGVADEHLPPHDVDRLVLVADEGGHGAYRWLQELGAEEEQVGASAVADGKGAFNGDAKNDREAPLQGAGASAVADDKSGLPDAKNGDKKRGLPDASNNNAGRQLQGAGAAVEDKGGAALLVAGEQPPPQEVAAQAGEAAPDAHWLQPPLQEAVAHVGDGEAAPDAHWLQPPLQEAVAHAGEAAPDAHLLHDDDDADDADWPDWDAFDQDWEGFDQDWDADTDKTAALQEEDEEPPVSIVAGETPPQHGPLLSFFVECDQAGRGEKPCTSCFEGSKPRSLSSGSMRPHYCTCHRKLMRSKDRVECSYCHNFFQNLADYYRHKKNIHGK >ONIVA11G19130.1 pep chromosome:AWHD00000000:11:20357867:20360649:1 gene:ONIVA11G19130 transcript:ONIVA11G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGSILRGFAAAQVWRQRAGLSETRESGEVGGGAPSDGKIEKLSAEERVAAVLHHLVGAVDGQVDIHCFPMGYLPTRLEVGIPAARYHLELPALLDGQHAHVGFPPGQQLQYKDAAESKERSEALSAAAKKFKLPSSENLGEIAEHCNERKCAGHCAEEAGQKLYMWTLIKRKELLVADAIVLGLRTKFMYVYVPKLTMERRIHYDEVESLSIECLEATGMLVLEACRNRPPQRRGNQVNW >ONIVA11G19120.1 pep chromosome:AWHD00000000:11:20356469:20357493:-1 gene:ONIVA11G19120 transcript:ONIVA11G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDWAELLEDVLLIIMERLDIPDLIRSSAVCASWCAASTAVRRARFPLPSSAKQLPCLFYACEAYSPNNAVVHCPLTGESIRVPFPLGVVTEHSVVGAGHGWIVTADEVSNLRLINPITGAQACLPPITGIHHVEKSFTGAGNNGAMMYNVFVSSTPGLDPEPLLLTANEARECMYHRVVLSCSPSTGGGACVALLAHMECGELSFARPGDERWTWVSLDKHPCFGGFEDFFHNDDDGFFYALCFDGSIYTLDLNGDSPIVRQITGKVPQRWYPSAMYLLRAPWGDILQVRRWRSYVDLMATSSSEHPNNLEVDDDDDDLDPIVGINDDIAQDN >ONIVA11G19110.1 pep chromosome:AWHD00000000:11:20344425:20355245:-1 gene:ONIVA11G19110 transcript:ONIVA11G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHRRRRRLTAGSRRRGGGWLVWRPPSEAERMSWANRSAHAREPRAHHKERVQHDLVFITDEFQMMQSFLNVVGRGQANNNVVRTWVTQKSAWWHRLFPSFMAPVLPSCLAPVLPLDEAVADIKQIKARVEDVSQRNMRYNLISDSGSKPVGPAAGGSSASDALVEVRDIAKELSGSGDLAELLTNNDGDLQVISIWETEGDHGESSIIRNVYDDPEIKRNFECLAWIKIMHPFDLSEFFQALRSQFYTNSGQQRQGAEVKLFTKFRTEVYKKRYLIVLEDLNTVSEWESIRSNLPNMRNGSRVVVSTQKVEIASLCTGHPFQVSQIRRFSYYHCVCMFFKEISAANELDRMKPKQEIKGIDTVQAIWRCKNLLMCFGSLCELRQLVSFRILLYLCCLGLFGHRLEPVGDHPAHHVSCGGPGTPPNLAEERVTYEGKGGAEPQNKATTQAGLWPTILRRVSYTLSCPKGGYAAYKKSPQGSYRDSRSKDEKTFGCNNDILIDWLLMKDGLRQDDISSFYAKTGLVLDDMFTRLRECNKQLLFLITEAIFRSRGEFRFGVISVWGIAGVGKSTFVRTIYNSHGQFEMYGWVNVSHPFNLRDLACSLLLDLHQNGRCFLWLGEKDIDMFRTKDPIQECHKLLHKHKFLIVIDGLQSTEEWDLIKSTLLSGNSESFIIVITNEESVARHCAVEDNFVFNVNGLKADAALYLFIKEVYGSAHCMSDTELRLMLGKANTPGMTEEISPLLKKHGQDRLLYKQNIDLTPEMIDEAKLIIKKCGGLPKVIVAIGRFMATRSKHAVLWRRFNKKFMHELQTNPGFESLRGLFAWMQSYFHNSPDSLKPLFGKWESFFISDNMKLLRVLDLENASDVTNDDLERVVSLPHLKFLSLRGCKMVSRLPDSLGGLRQLQTLDIRHTSIVRLPTVIVKLHKLQYIRGGTKVTLGEEGTSASLAAAETETQPKCRGRHAGDPCSGIEVPRGIEELTALHTLGVINVGVAGGKAFLKEFKNLTQLRKLGVSGINWKNIQELCSALSCHRYLESLSVRLDKDEQGSCDDKLKEDLRHRIA >ONIVA11G19100.1 pep chromosome:AWHD00000000:11:20332203:20337642:-1 gene:ONIVA11G19100 transcript:ONIVA11G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:general regulatory factor 13 [Source:Projected from Arabidopsis thaliana (AT1G78220) TAIR;Acc:AT1G78220] MKEREKVVRLAKLAEQAERYDDMVEFMKTLARMDVDMSAEERLLFSVGFKKTIGARRASWRILESLEQKVTAGEQPGVTINGYKKKVEDELRAVCNEVLSIIAIHCLPLANSGENVVFFYKMKGDYYRYLAEFSTGTEKKAATDQSLMAYQHAMVVSSSELSPAHQFRLGLALNFSVFFYEIMNSPERASQVAKQALDEATAEINSAGVEGYKDSMLMMQLLKENLALWTSELTGGETSKDDDVVMEMYTCLIHWMG >ONIVA11G19100.2 pep chromosome:AWHD00000000:11:20332652:20337642:-1 gene:ONIVA11G19100 transcript:ONIVA11G19100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:general regulatory factor 13 [Source:Projected from Arabidopsis thaliana (AT1G78220) TAIR;Acc:AT1G78220] MKEREKVVRLAKLAEQAERYDDMVEFMKTLARMDVDMSAEERLLFSVGFKKTIGARRASWRILESLEQKVTAGEQPGVTINGYKKKVEDELRAVCNEVLSIIAIHCLPLANSGENVVFFYKMKGDYYRYLAEFSTGTEKKAATDQSLMAYQHAMVVSSSELSPAHQFRLGLALNFSVFFYEIMNSPERASQVAKQALDEATAEINSAGVEGYKDSMLMMQLLKENLALWTSELTGGETSKDDDVVMEG >ONIVA11G19090.1 pep chromosome:AWHD00000000:11:20293574:20326627:1 gene:ONIVA11G19090 transcript:ONIVA11G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLREVIMESLVSASHGAMGSLRAKLQKIQLEDWGPRGVVKDEIEQLRTNLGSTHDDLIKLSEVQDPPNAARYWMKDVRELSYDMDDWIDHFIQLNGDVKNDWIGKISGFKARWEERLGTDEQRQLVVSIVGVQGVGKTTLAQKIWHKFGGQFEYRAFVQTAKKPDMRGILRNMLTQVNPSQPTDACEVHRLYGIRIIITTTVEDIAMACCNYQAEHIFKMEPLSSDLSKKLFLDVVLGSGKECPEQFNSVLDEITGKCGGLPLALISVANIVSSQPETLKHWELVKYFLRNNLGTNPTPEEILKAVLEHCYSSLPHYLKTCLMYLSVYPENYMILKDDLVKQWAAEGFVSAKEGKDVVEVGGNYFDELVNLGLIQHMDLDYNDEMLYVVPHMVLDLITRKSIEDNFVIVINYSQTKVRLSEKVRRLSLHFGSATNATTPTSINLSQVRSLSFVGLFNRMPSIKDFKFLRVAILHTLGDNRSRCFNLTAICALFQLIYLQIRCNVDVKLPHKMDHLTQLETLEINAAVPSDVMRIPSLLYLQPEREKKLHTRLELLPIPRLPQWIQQPQKLSCLKIMVGELHRSDIGVLAGLPALTVLCVYIRQPTAESFIIERGTFPVLKYFEFMCDVILFLEFQEEAMPNLRRLKVGFNAHKGQKYGNMLAGIQHLLNLKEIARKIGAATDADESDKRAAEYAFHCAISKHPCCRNFDVRRVDQVDEDYSPSEIQEWDQDKDSSSEQQWTPKKQPEELENESKDSGIAQVSIQPEIHLSPRLKSGSTSASGNIIQIELHQRSVMLGDVTIQFLENITDHFSEECIVGIGRYGNVYKGVLENGEEIAVKKLNRKLSLDAVQFKNELVNLMNVQHQNTVQLIGYCYETRDQVEERAVCFEYLPRGSLDKYLSDESAGLDWCTRYKIIKGICDGVNYLHNGRQEGIYHLDLKPGNILLDKNYIPKIADFGLSRLLGIPSFDHITEKHIGTDGYTPPEYVETGRISEKFDVFSLGLMIIEIMTGHKKQSKRGEMSSKQFIDVIHENWKKRWQQATPMYTTEELDRLQLQVKICIEMALQCVEPEQLKRPTMLEVVSRLDDMFQNRSLSPVTSIF >ONIVA11G19090.2 pep chromosome:AWHD00000000:11:20293558:20326627:1 gene:ONIVA11G19090 transcript:ONIVA11G19090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAKAEFLSFSSRDRYCSSRRSLYSSFVSRLSNFRASICGWSFERDGSSFLAIGWRTSSCRTCIYGTQEVIMESLVSASHGAMGSLRAKLQKIQLEDWGPRGVVKDEIEQLRTNLGSTHDDLIKLSEVQDPPNAARYWMKDVRELSYDMDDWIDHFIQLNGDVKNDWIGKISGFKARWEEVKDQYIRYNLVDCVPSSTNIAIGHPSPPSMDPVEEKITVGMEGLMHEIIERLGTDEQRQLVVSIVGVQGVGKTTLAQKIWHKFGGQFEYRAFVQTAKKPDMRGILRNMLTQVNPSQPTDACEVHRLYGIRIIITTTVEDIAMACCNYQAEHIFKMEPLSSDLSKKLFLDVVLGSGKECPEQFNSVLDEITGKCGGLPLALISVANIVSSQPETLKHWELVKYFLRNNLGTNPTPEEILKAVLEHCYSSLPHYLKTCLMYLSVYPENYMILKDDLVKQWAAEGFVSAKEGKDVVEVGGNYFDELVNLGLIQHMDLDYNDEMLYVVPHMVLDLITRKSIEDNFVIVINYSQTKVRLSEKVRRLSLHFGSATNATTPTSINLSQVRSLSFVGLFNRMPSIKDFKFLRVAILHTLGDNRSRCFNLTAICALFQLIYLQIRCNVDVKLPHKMDHLTQLETLEINAAVPSDVMRIPSLLYLQPEREKKLHTVNGNTKYLTLGPTASSTVNAYSPPVFLQRLELLPIPRLPQWIQQPQKLSCLKIMVGELHRSDIGVLAGLPALTEEAMPNLRRLKVGFNAHKGQKYGNMLAGIQHLLNLKEIARKIGAATDADESDKRAAEYAFHCAISKHPCCRNFDVRRVDQVDEDYSPSEIQEWDQDKDSSSEQQWTPKKQPEELENESKDSGIAQVSIQPEIHLSPRLKSGSTSASGNIIQIELHQRSVMLGDVTIQFLENITDHFSEECIVGIGRYGNVYKGVLENGEEIAVKKLNRKLSLDAVQFKNELVNLMNVQHQNTVQLIGYCYETRDQVEERAVCFEYLPRGSLDKYLSDESAGLDWCTRYKIIKGICDGVNYLHNGRQEGIYHLDLKPGNILLDKNYIPKIADFGLSRLLGIPSFDHITEKHIGTDGYTPPEYVETGRISEKFDVFSLGLMIIEIMTGHKKQSKRGEMSSKQFIDVIHENWKKRWQQATPMYTTEELDRLQLQVKICIEMALQCVEPEQLKRPTMLEVVSRLDDMFQNRSLSPVTSIF >ONIVA11G19090.3 pep chromosome:AWHD00000000:11:20293558:20326627:1 gene:ONIVA11G19090 transcript:ONIVA11G19090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAKAEFLSFSSRDRYCSSRRSLYSSFVSRLSNFRASICGWSFERDGSSFLAIGWRTSSCRTCIYGTQEVIMESLVSASHGAMGSLRAKLQKIQLEDWGPRGVVKDEIEQLRTNLGSTHDDLIKLSEVQDPPNAARYWMKDVRELSYDMDDWIDHFIQLNGDVKNDWIGKISGFKARWEEVKDQYIRYNLVDCVPSSTNIAIGHPSPPSMDPVEEKITVGMEGLMHEIIERLGTDEQRQLVVSIVGVQGVGKTTLAQKIWHKFGGQFEYRAFVQTAKKPDMRGILRNMLTQVNPSQPTDACEVHRLSTVAIPVANCSPEVKPTTILCRYFIIIDDLWAISVWDVLSRGFPDNNSCSRIIITTTVEDIAMACCNYQAEHIFKMEPLSSDLSKKLFLDVVLGSGKECPEQFNSVLDEITGKCGGLPLALISVANIVSSQPETLKHWELVKYFLRNNLGTNPTPEEILKAVLEHCYSSLPHYLKTCLMYLSVYPENYMILKDDLVKQWAAEGFVSAKEGKDVVEVGGNYFDELVNLGLIQHMDLDYNDEMLYVVPHMVLDLITRKSIEDNFVIVINYSQTKVRLSEKVRRLSLHFGSATNATTPTSINLSQVRSLSFVGLFNRMPSIKDFKFLRVAILHTLGDNRSRCFNLTAICALFQLIYLQIRCNVDVKLPHKMDHLTQLETLEINAAVPSDVMRIPSLLYLQPEREKKLHTVNGNTKYLTLGPTASSTVNAYSPPVFLQRLELLPIPRLPQWIQQPQKLSCLKIMVGELHRSDIGVLAGLPALTVLCVYIRQPTAESFIIERGTFPVLKYFEFMCDVILFLEFQEEAMPNLRRLKVGFNAHKGQKYGNMLAGIQHLLNLKEIARKIGAATDADESDKRAAEYAFHCAISKHPCCRNFDVRRVDQVDEDYSPSEIQEWDQDKDSSSEQQWTPKKQPEELENESKDSGIAQVSIQPEIHLSPRLKSGSTSASGNIIQIELHQRSVMLGDVTIQFLENITDHFSEECIVGIGRYGNVYKGVLENGEEIAVKKLNRKLSLDAVQFKNELVNLMNVQHQNTVQLIGYCYETRDQVEERAVCFEYLPRGSLDKYLSDESAGLDWCTRYKIIKGICDGVNYLHNGRQEGIYHLDLKPGNILLDKNYIPKIADFGLSRLLGIPSFDHITEKHIGTDGYTPPEYVETGRISEKFDVFSLGLMIIEIMTGHKKQSKRGEMSSKQFIDVIHENWKKRWQQATPMYTTEELDRLQLQVKICIEMALQCVEPEQLKRPTMLEVVSRLDDMFQNRSLSPVTSIF >ONIVA11G19090.4 pep chromosome:AWHD00000000:11:20303565:20326627:1 gene:ONIVA11G19090 transcript:ONIVA11G19090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACCNYQAEHIFKMEPLSSDLSKKLFLDVVLGSGKECPEQFNSVLDEITGKCGGLPLALISVANIVSSQPETLKHWELVKYFLRNNLGTNPTPEEILKAVLEHCYSSLPHYLKTCLMYLSVYPENYMILKDDLVKQWAAEGFVSAKEGKDVVEVGGNYFDELVNLGLIQHMDLDYNDEMLYVVPHMVLDLITRKSIEDNFVIVINYSQTKVRLSEKVRRLSLHFGSATNATTPTSINLSQVRSLSFVGLFNRMPSIKDFKFLRVAILHTLGDNRSRCFNLTAICALFQLIYLQIRCNVDVKLPHKMDHLTQLETLEINAAVPSDVMRIPSLLYLQPEREKKLHTVNGNTKYLTLGPTASSTVNAYSPPVFLQRLELLPIPRLPQWIQQPQKLSCLKIMVGELHRSDIGVLAGLPALTVLCVYIRQPTAESFIIERGTFPVLKYFEFMCDVILFLEFQEEAMPNLRRLKVGFNAHKGQKYGNMLAGIQHLLNLKEIARKIGAATDADESDKRAAEYAFHCAISKHPCCRNFDVRRVDQVDEDYSPSEIQEWDQDKDSSSEQQWTPKKQPEELENESKDSGIAQVSIQPEIHLSPRLKSGSTSASGNIIQIELHQRSVMLGDVTIQFLENITDHFSEECIVGIGRYGNVYKGVLENGEEIAVKKLNRKLSLDAVQFKNELVNLMNVQHQNTVQLIGYCYETRDQVEERAVCFEYLPRGSLDKYLSDESAGLDWCTRYKIIKGICDGVNYLHNGRQEGIYHLDLKPGNILLDKNYIPKIADFGLSRLLGIPSFDHITEKHIGTDGYTPPEYVETGRISEKFDVFSLGLMIIEIMTGHKKQSKRGEMSSKQFIDVIHENWKKRWQQATPMYTTEELDRLQLQVKICIEMALQCVEPEQLKRPTMLEVITAVVFNFFNDRSSVTPISGRSLQSLQSFSNFIGSTCKSDIRILL >ONIVA11G19090.5 pep chromosome:AWHD00000000:11:20293558:20303537:1 gene:ONIVA11G19090 transcript:ONIVA11G19090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAKAEFLSFSSRDRYCSSRRSLYSSFVSRLSNFRASICGWSFERDGSSFLAIGWRTSSCRTCIYGTQEVIMESLVSASHGAMGSLRAKLQKIQLEDWGPRGVVKDEIEQLRTNLGSTHDDLIKLSEVQDPPNAARYWMKDVRELSYDMDDWIDHFIQLNGDVKNDWIGKISGFKARWEEVKDQYIRYNLVDCVPSSTNIAIGHPSPPSMDPVEEKITVGMEGLMHEIIERLGTDEQRQLVVSIVGVQGVGKTTLAQKIWHKFGGQFEYRAFVQTAKKPDMRGILRNMLTQVNPSQPTDACEVHRLYGMFLAVVFRIIIVAAE >ONIVA11G19080.1 pep chromosome:AWHD00000000:11:20280924:20285868:-1 gene:ONIVA11G19080 transcript:ONIVA11G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVIGPLVSMVKEKVSSYLLDQYKVMEGMEQQREILERKLPAILDMRRRRELFDLEALKKVAYEANDVFDEFKYEALRRDARKKGQFNMLGMDVVSLFPSYNPIMFRNKMGKKLQKIVGSIEVLVSEMNSFGFIHRQQAPPSNQWRQTDSIMADSEKDIIRRSRDEEKKKIVKILHNHASSNRDLLVLPIVGMAGLGKTTFVQLIYNEPEIKNHFELWRWCCVSDDFDVGNIANSICNSTEKDHEKALQDLQEAISGKRYLIVLDDVWNREADKWEKLKTCLKLGGKGSAILTTTRDSQVARIMITGVVEAYNLEKLGEEYTKEIIQTRAFSLAGSDELSEIVQKFVDRCQGSPLAAKAFGSMLSTKTSILEWKNIIAKSDICNEKTGILPILKLSYADLPSHMKQCFAFCAIFPKNYEINVENLIQLWMAHDFIPLEEKYHFETTSGEEIFKELAWRSFFQDVKQTPLVCSNNGDRVQLRYTTTCKIHDLMHDIALYVMGKECVTITDRSYRKELLSNRSTYHLLVSRHRTGDHFDDFLRKQSTTLRTLLYPTWNTYGSIHHLSKCISLRGLQLYEIKELPIRPIKLKHLRYLNLSENCDIKELPEDISILYHLQTLNVSHCIRLRRLPKDMKYMTSLRHLYTNGCKNLEYRPPDLGHLTSLQTLTYFVVGAISGCSTVRELQNLNLCGELELCGLENVSEAQASTVNIENKVKLTHLSLEWSNDHLVDEPDRQKKVLDALKPHDGLLMLRIAFYKGNGFPTWMTDLSVLQNLAELYLVGCSMCEEFPQFCHLNVLKVLCLTSLDNLASLCSYTTSNFFPALRELQLHRLERLERWSATEGEEVTFPLLESASIMNCPMLKSLPKAPKLRILKLVEEKAELSLLILRSRFSSLSKLTLSVSDGNAGLELDQNYEAPLSEMELCGCAFFFPLGPSRPTVGIWKWFGQLVDLKIESCDVLVYWPEEEFICLVSLKNLAIEKCNNLIGHRHVSGESTRVPSDQLLPYLTSLSIRQCKSLEEIFRLPPSLTSISIHDCRNLQLMWREDKTESESVIQVERRSEHCNDLASTIVPDQQSPSLRNNSLPCLESLTIGRCHRLVTLNHLPPTVKSLGIGQCDNLHSVQLDALKHSLKKLLIFGCEKLCSVSGQLDALKLLIIDHCNKLESLDCLGDLPSLRILRLEGCRRLQSVAGCHGRYPLLQDITIKYCPAINVKPLYERLGQRIDSLEIRELSDVHSRNPEEGERRQKDCRNILDEIKVIYRQENNKNTKTKKSIKEVGKPRVFDQYLEELGWPPYQPLWDFFGRYAYSVPTE >ONIVA11G19070.1 pep chromosome:AWHD00000000:11:20274331:20279132:1 gene:ONIVA11G19070 transcript:ONIVA11G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVSVSHGALGPLLGKLNTLLVDECARLKGVHREIRSLRSELSNMHAALHKYTSLEDPHIQVKTWISELRELAYDIEDCIDNNGVKDFFGKSAKRLKTLGSRHNIAAEIEELKMRVISVRDQKNNYKLDDIFCSSSSNTNAFVDPRLAALFAEENHLVGIDSPRDELVNWLDADSRLIKHRKVLSIVGFGGLGKTTLANEVYRRVKIHFDCPAFTSVSQKPDMKKIFKDIIYHMPTKDAFLKDIDTWNEKKFIEKLRELLVDKRYLVIIDDVWSISAWKAISVVFPENGSSIIIVTTRISDVGRSCCLNGIDRNFEMEPLSEIHSRRLFCQRIFSTDEDGCPDILQEVSTDILKKCGGIPLAIISISGLLSNRPIIKEEWEKVKESIGFVLDKNQNLEGMKSILSLSYNDLPNYFKTCLIYLCIFPEDYIIETNMLLRRWIAEGFVSEDCGMNLEDVAESYFCELVNRSLVQPVDIRFDSKARACRVHDIMLELITSKATEENFITLLRGQTRKTNLHGYVRRLSIQDTDNDLSSLLVNKDLSHVRSLTCFGGNMNLLPQLARFEAIQVLEFESSMNLEQYDLENTDKLFQLKYLSLRGSDISHIPRQIAKLQNLLTLDISETFVEELPTELCLLKNYYIYSEIP >ONIVA11G19070.2 pep chromosome:AWHD00000000:11:20274025:20279028:1 gene:ONIVA11G19070 transcript:ONIVA11G19070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVISVRDQKNNYKLDDIFCSSSSNTNAFVDPRLAALFAEENHLVGIDSPRDELVNWLDADSRLIKHRKVLSIVGFGGLGKTTLANEVYRRVKIHFDCPAFTSVSQKPDMKKIFKDIIYHMPTKDAFLKDIDTWNEKKFIEKLRELLVDKRYLVIIDDVWSISAWKAISVVFPENGSSIIIVTTRISDVGRSCCLNGIDRNFEMEPLSEIHSRRLFCQRIFSTDEDGCPDILQEVSTDILKKCGGIPLAIISISGLLSNRPIIKEEWEKVKESIGFVLDKNQNLEGMKSILSLSYNDLPNYFKTCLIYLCIFPEDYIIETNMLLRRWIAEGFVSEDCGMNLEDVAESYFCELVNRSLVQPVDIRFDSKARACRVHDIMLELITSKATEENFITLLRGQTRKTNLHGYVRRLSIQDTDNDLSSLLVNKDLSHVRSLTCFGGNMNLLPQLARFEAIQVLEFESSMNLEQYDLENTDKLFQLKYLSLRGSDISHIPRQIAKLQNLLTLDISETFVEELPTELCLLKNYYIYSEIP >ONIVA11G19060.1 pep chromosome:AWHD00000000:11:20262882:20270130:-1 gene:ONIVA11G19060 transcript:ONIVA11G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLVRPLLSAVTNKASSYLVYQYKVMEGMEQQRKALERMLPLILSVIQDTEEKRSKKPELSAWLDELKKVSYEAIDVFDEFKYEALRREAKKKGHDATLGKGIVSLFPHRNPIVFRYRMEMDYSGLIKQQQETPKQWRQTDSIMVDTEKDIISRSRDEEQKKIIKMLLDEARGKDLTVLPIVGMGGLGKTTFAQLIYNDPEIEKYFPLRRWCCVSDVFDVVTIANSICMSTERDREKALQDLQKEVGGKKYLIVLDHVWNRDSDKWGKLKTCFKKGGMGSVVLTTTRNAEVARIMVIGEVPVHNLEKLGEAYLMEIIQSKAFSLSKKSDEHFEVLRKIVQRCDGSPLAAQSFGSVLFNRTTLQEWKDILAKSNICNEGEDIIFPILRLSYDDLPLHIKRCFAFCAIFPKDFEIDMETLINLWLAHDLIPLQEDDNIEMVAKHIFNELVWRSFFQDVQKFPLQTTCKIHDLMHDIAQSAMGEECVSIVGRSDYRSKSLEHPRYHFYSLDDDNTILLDDFMRKQSSTLRTLLFDRDYIHISTSLLSKSSSLRALRLRYLNTESLPIRPRHLLHLRYLDISRNYHVKVLPEDICTLYNLQTLILSDCKILVGLPKDMKYMTSLRHLYTNGCLRLKCMPPELGQLTSIRTLTYFVVGASMMMKKRYIPFSMKWISEPSQTVLRLFIDLEMWLMMMMTMDLHKLFFGPLTDDDALHKLFFGPSLIDDDHDDKLRHGDDEVTMKASSNGELVMMKANSDRELVVTLRELHSLNLCGELELRGLENVSQEDAKAANLRNKEKLARLSLVWNSECCVEEPNCNGKVLDALKPHHGLLMLNVISYKSTHFSSMDDRSKYTAKLGGAQIRGLLDKLQTLCCKEVRQGKEQTFHLLQNVVIKSCPKFLTLIPDMASVTFSSLKKIKLHDLEALERWVATGGRQENEPVFPLLEKVEIEKCPKLQTLCCEMAFTPFPALKKIKLYDLEGLERLVENESTFPLLEKADIRNCPKLRSLPEAPKLKIFTLNENKAELSLFLLQSSYMSLLSKPVTCPHYPSRGVVLTKDNLIKRKWQGNKNCCFCCNDETIRHLFFECRFALSVWSIIQAASGLPPPHCVAHIFHYLKKSKLILDVDDKEGRVQLDQIHESSLSELDLKHCNFFFPTSPSQPIIMFWKCLRQLVCLEIWFCGVLIYWPEEEFLCLVSLKTLGIEGCDKLIGRPTLVKGEPPCCARDQLLPRLTSLEISNCDSLRELFVLPPSLTYVYNQRCASMLLNKKAHENQKHRSTPCSKLEFIWGKGDIVSESVQVDHHNTFTSSKHPIIVRAQACQSNRHQQQTILCHA >ONIVA11G19050.1 pep chromosome:AWHD00000000:11:20259503:20261866:1 gene:ONIVA11G19050 transcript:ONIVA11G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGRQPCKREEEFGWGIVHPSSAHQLFDEMSSPFEVYEEDIILVMKEEKVSRDEALRLLLEEWMDAKRKLEEKLNRVLEKFEEMEAHNGAEDATVIIKAATTDLREASSPTPKTSLSPVCFNENSMHAATSSSHNIELTALTVAKCLKGCSNHGVGAGSLMPTRCPTNCSSPGVIPDHTMATVVACATTSMAFMELVVGEEATCDTYTGTTNCPEVTHTKCSTLGLDVKGDTDQVMVAFLAQPCVFLLNMDNTGTKLVNMVEVTSLQTWAPPCRVICKGSLVKKHPATWLEFEWKQHDVQQIQPWPPPQWLETWLFLSCFSDVAPHDSVSPFIIDIIPYALDDREEMLRRSIELRPCTHPQYLGKGKYWAGTAMSYGGNHLLAVKRLLARFKEDFSMVPSWMSWNYVRRILWSPGCSLLSARELWKCLQLFCGKGELLDGESFMQRRRSNMTSYEVCWERKFISKTYSDSSQNWFELYTSLSSELWQKPTGYKLMQSEATSGATYLISADWTITESCKLSYKITLEDGEVDVYWLNTKDQEFSYEQLIFHKEELATVWVYQSTSFIKSKGSGRVVTIAASTSFTNSIPVPLASHVWKEFSWTLHYWNDGSVWKLWFCYMVFLISVKQAAVPMDILDGNLEESDPARLKMWPDHPKYVQRPCVNQRDELRLSAPDLQISWDPGGLCLLKFLAISSLGQVTFQSVKFSFQDSSSLFHMRSARICLSCSFETQVFCWQAENKLDIDEAFGTMQEYWRNQSGGLPFLQFTCHRLVDKSNFKERRLSGTH >ONIVA11G19040.1 pep chromosome:AWHD00000000:11:20250842:20255368:-1 gene:ONIVA11G19040 transcript:ONIVA11G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLVGPLLSKVLEKASSFLVDMYKVMDGMEDQRETLERLLPAILDVIQDAEEKKNHRSGLVCAWLKSLKKVSYEAIDVFDEFKYESLRREAKKKGHRNHTMLGMDSVSLFPSRNPIVFRYRMGKKLRKIVEKIKELVSEMNSFGLVHQQETPKQWRKTDSIMVDFDKDIVIRSRDEEKKKIIRILLDKANNTDLTVLPIVGMGGLGKTTFAQLIYNDPEIEKHFPLRRWCCVSDVFDVVTIANNICMSTERDREKALQDLQKEVGGKKYLIVLDDVWERDYDKWGKLKTCLKKGGMGSAVLTTTRDAEVARIMVTGEVEVHNLENLGEIYMKEIILRRALTLPNNDEHFGILCKIVHRCHGSPLGAKAFGSMLSTRTTMQEWNDVLTKSNICNEGEDKIFPILRLSYDDLPSHMKQCFAFCAIFPKDYEIDVETLIQLWLAHDFIPLQEEDHLETVAQNIFKELVWRSFFQDVNKISQREENVYRSQLRDRTTCKIHDLMHDISQSVMGKECLSIIGSSNLKNLMREHPLYHVLIPYTSIALPDDFMGNEAPALRTLLFRGYYGNVSTSHLFKYNSLQLRALELTRREELPIRPRHLQHLRYLNLSDNSNIHELPADISTMYNLQTLNLSDCYNLVRLPKDMKYMISLRHLYTNGCSKLKYMPPDLGQLTSLQTLTYFIVGASASCSTLREVHSLNLSGELELRGLENVSQEQAKAANLGRKEKLTHLSLEWSGEYHAEEPDYPEKVLDALKPHHGLHMLKVVSYKGTNFPTWMTDLSVLENLTELHLEGCTMCEEFPQFIHFKFLQVLYLIKLDKLQSLCCEEARDGKVQIFPALKEVKLIDLERFESWVKTEGKQENKPTFPLLEEVEISNCPKLSSLPEAPKLKVLKLNENKAELSLPLLKSRYMSQLSKLKLDVLDKEAILQLDQIHESSLSNMELRHCNFFFSTIPSEPIIGIWKWFRQLVYLEIKSSDVLIYWPEEEFLCLVSLKMLAIFGCVNLIGRTTLVKGEPTRCATDQFLPCLTSLSICCCDNLRELFVLPPSVTLIHVSGCRNFEFIWGKGDIESENVHVEHHDTFTSSEHCNDLEYRSVPEQSSSAVNHPLPCLEMIHISFNDKMVELQNLPPSLTSLEFHSCPKLQSLSGQLHALKFLDIRCCNKLESLNCLGDLPSLERLCLVSCKRLASLACGPESYSSLSTIAIRYCPAMNMKPLYERLRPRLDILKERDLSHAHAKCPYGGVIHFSLGTEHKRPTLWDPKSWKYAIPGCRWLQV >ONIVA11G19030.1 pep chromosome:AWHD00000000:11:20248592:20255147:1 gene:ONIVA11G19030 transcript:ONIVA11G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGLARPCLNESSGREVGAAVLTGRDHMETMMRFKSIKNLLRTIGLREGKRLTESMPSIVWLRCPFFLASRRSDSYLNSSKTSIAS >ONIVA11G19020.1 pep chromosome:AWHD00000000:11:20234221:20240459:1 gene:ONIVA11G19020 transcript:ONIVA11G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAERRVAARRSGSSEEGQQCAAAKRRWRQQRWPNAEVHPSSPHPSSSSSSLLHLGKFAHVCDGFVPYCHG >ONIVA11G19010.1 pep chromosome:AWHD00000000:11:20217886:20218725:1 gene:ONIVA11G19010 transcript:ONIVA11G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSPLHRIIGAAMWDAEPLLGRLVILAHAAFLDAGFVSTGAANDDGAQSSVRLPRQVGATASALSLRYTAPQLLHRHRQDAAAAAAATVALRVCAHGRRHVVFYVCVRFANPWLDTYWICLDAPAAAALLAGGLDDTARALARRERGARLAALCSALADRLCRRVLVDLCAKNGVPVEPEHELMSLPDDVKVSILARLAAGEDLARVECTCVGLNLLVAEHDSTLWKPMYTKLRSQLRRRLRFLGVSYGEPTAVSWKARYVAVRRRMTCSWEKYYCR >ONIVA11G19000.1 pep chromosome:AWHD00000000:11:20214916:20215995:1 gene:ONIVA11G19000 transcript:ONIVA11G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKTSPLHRVIGAARWDAERPLGRLLILAHAAFLDAGFVPAAAAADDNSIRLPRKVGRTASSLPLRYAAPQLLHWPDDAAAVQLRLCAHGRHLVLYVSMARCSMFREWLDTYWVCLDALAAAALLGGALDDTARALRRDARLAALWGALADRLCRRVLVDVCARNGVTLEPTFMSLPDDVKAAILARLPDGDDLARAECTCAGLRRLVADRDRDAALWKPRYEKLPFLLQLIGGSDDDDGEPTTEVSWKKKYVAARLWPFGELFASMRETRRLPIYAPLLDLDFDSFTRFWVFDDKPSPLPEEITVPRRRRRRRRWRAMPRDAGHGLAARGHGGDKKPRHGAGAVHSLSSRFRWKHR >ONIVA11G18990.1 pep chromosome:AWHD00000000:11:20208360:20209937:-1 gene:ONIVA11G18990 transcript:ONIVA11G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIIGVGGGGWTRLRSIGHGASGATVSLAADDASGELFVVKSAGDAVAATARQQLWREWSVMSGLSSPHVLRCLGFVQASAGAGGEHQLLLEYAPGGSLADVVARNGDRLDESAFRAYAADVLRGLDYLHGKLVVHGDVKGSNVLVGADGRAKLADFGCARVVMPGGSKQPVLGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSDMDDVLAALRMIGYTDAVPDLPPWLSPEAKDFLRRCMQRRAGDRPTAAQLLQHPFVSKSCGLKKEVVKATWVSPTSALDAAAVLWESETSSSTDDEEADDMSNSPTGRIIAMASSGGQTLPDWDSDDHGWIEVLGTVSINIAKKTTAAAEDYEASESPAKRVRAMACSPSSVPDWDSDNHGWIDVLSASPADDNGGAGNAPEEFDVVAAADQIFGEAVGSIVVGVGSEQSVVVENQEDEFISLSYCSERILLVAVHAADNNAASRKAGIKECSHDPRPLIPSRCAHNLFLSLIFIQIDNSNVVPFAPKY >ONIVA11G18980.1 pep chromosome:AWHD00000000:11:20203978:20205531:-1 gene:ONIVA11G18980 transcript:ONIVA11G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIIGGGGWTRLRSVGRGASGAVVSLAANDVSGELFVIKSAGEGAARQQLRREWSVMSGLSSPHMLKCLGFVQASGGCGGGEHQLFLEYAPGGSLADVVARNGGRLDEGAVRAYAADVLRGLDYLHGKLVVHGDVKGSNVLVGADGRAKLADFGCARVAMPGGSKQPVLGGTPAFMAPEVARGEEQGLAADVWALGCTVIEMATGRAPWSDMDNVLPALHKIGYTDAVPDLPRWLSPEAKDFLRGCLQRRAGDRPTAAQLLQHPFISKSCGLNNKETVKATWVSPTSTLDATLWESESSSTDGEEVDDMSSNNPTGRIRAMACSGQTLPDWDSDDHGCSWIEVLGSVSINVANKTAAIEQRVTSMACSPSSVPDWDSGNQGWIDVLSSVSISIANKLSSECPAKWVRAMACSPSSAPDWDSDQGWIDVLGASPDVVAAEEFDVAAAADQISGQAVGSIVVGVGSSEQSVVVKNQEDEFTSLSSCSERVLLVGVHAADNNAASRKAGIKRCSNFSC >ONIVA11G18970.1 pep chromosome:AWHD00000000:11:20197065:20197409:1 gene:ONIVA11G18970 transcript:ONIVA11G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAEKKPPADAAGGGESEDSPPSPAVAERMARLPPADVAWFLSLRRENLGHPFGYVFTAPADRDDPAASPEEIAGEWFDFEAGVYFEKADEVIERIQASVRAQYEAQGFVEM >ONIVA11G18950.1 pep chromosome:AWHD00000000:11:20180082:20184519:-1 gene:ONIVA11G18950 transcript:ONIVA11G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAFGLLLLGYIQYFATPDSEVIALYEIRTMLNDSRGVLNGWNNNQVSPCYFPSISCNQDQKVISITLSSSGLSGFLSPSIGKLLYLQQLLLNDNNITGGIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLNLADNNLSGGSNNSKLKVVASIGGAVTLLVIIVLFLLWWQRMRHRPEIYVDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQNVLGQGGFGKVYKGVLSGPHGRKVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTTKERLLVYPYMENLSVASRLRDIKLNEPALDWPTRVRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMIDRERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAVFPEFSEGDSEIMLNDQVKRLVQGGRLTDIVDHNLDTAYDLQQLEKMIQIALLCTHVEPHLRPAMSEVVQMLEGNVVPAEQWEEWQVAELARRHQHEMNQQRRLFSFSEESLNIQEAIQLSNKYESVN >ONIVA11G18950.2 pep chromosome:AWHD00000000:11:20180665:20184519:-1 gene:ONIVA11G18950 transcript:ONIVA11G18950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAFGLLLLGYIQYFATPDSEVIALYEIRTMLNDSRGVLNGWNNNQVSPCYFPSISCNQDQKVISITLSSSGLSGFLSPSIGKLLYLQQLLLNDNNITGGIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLNLADNNLSGGSNNSKLKVVASIGGAVTLLVIIVLFLLWWQRMRHRPEIYVDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQNVLGQGGFGKVYKGVLSGPHGRKVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTTKERLLVYPYMENLSVASRLRDIKLNEPALDWPTRVRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMIDRERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAVFPEFSEGDSEIMLNDQVKRLVQGGRLTDIVDHNLDTAYDLQQLEKMIQIALLCTHVEPHLRPAMSEVVQMLEGNVVPAEQWEEWQVAELARRHQHEMNQQRRLFSFSEESLNIQEAIQLSSGR >ONIVA11G18940.1 pep chromosome:AWHD00000000:11:20176253:20183205:1 gene:ONIVA11G18940 transcript:ONIVA11G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19890) TAIR;Acc:AT4G19890] MLARRPHGLLLRRRLLPNLPPLSTAAISTPSLPPPPDATLDDAAPGDPGALAPDDAIASLPSVADSAGSAAALALFRRLASRPDLRRLMRLYVTAATAFVARGSLPMAHEVMRGMVAAFGEAGRLPEAADMVLEMRSHGLPLCVETANWVLRVGLETGSFVYARKVFDGMTRAGVCPNERSFRALVVVCCREGKVEEVDALLAAMWRYGFSLDNATCTVVVRSLCEKGRFKDVSEFFRRMLEMGTPPNVVNYTAWIDGLCKRRYVKQAFHVLEEMVGRGLKPNVYTHTTLIDGLCKIGWTERAFRLFLKLIKSSSYKPNVHTYTVMIGGYCREGKLARAEMLLVRMVEQGLKPNTNTYTTLIGGHCKGGSFDRAFELMNKMKQEGFLPNIYTYNAVIDGFCKKGKIQEAYKVLRMATSQGLKFDKITYTILITEHCKQGHITYALDLFDRMVENGCCPDIEAYTSLISTYCQQRQMEESQKFFDKCLMIGLLPTKQTYTSMIAGYCKVGRSTLALRVFERMVQNGCFADSITYGALISGLCKESRLEEAKALYEGMLDKRLVPCEVTRVTLTFEYCRREKTSIAVSVLDRLDKRQQVHTVDVVVRKLSALGDVDAASLFLKKVLDEDYAVDHATYTGFINSCSTIQFTNVIHAVLQVLRSYMAHCPANPGRNCIPLSVYHLRQPKVTYHCFKVSIKQDIGCLDIAVDNLGVAVLMKVGGAFFATIAEIVL >ONIVA11G18930.1 pep chromosome:AWHD00000000:11:20167999:20172622:1 gene:ONIVA11G18930 transcript:ONIVA11G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASSEAVKSLTGKLGSLLAQEYTLIASVRDDIQYINDELASMQAFLSKLKRRDVDHDEQRQDWMKQVREVAYDIEDCVDDVGYRLGREPRGSGAAISFQRAWYLLTTLYQRRRIAAEIGNLKLRAQHVSERRTRYGVENLQGNNGGGDSGLGVGANAPRDRLVPLPRLIGTMEPVGMDADISELQKWFSNGKDGNQQLRYLAIVGFGGLGKTTLAMALYRELGDEFDCRAFVLASQKFHLPTVLRSLVKQFHEKQADAPEDALHGIEGWGDEMLKRKLLEQLKSKRFHILIDDIWSVSAWENIRDSLPKNDKGSCVVVTTRFNSVAEACRRQRGHVHKLKQLDPESSRNLFLQIISANDLCPNRHINASIIMRICGGLPLAIVVVAGLIASKMKSKNDLTLDKHLVDVDEALSAELGSNLTTEGVAQIINHCYKNLPPDLKTCLLYLSTFPKGRNISRKHLIRRWIAEGFITEEHGKTAEEVAEDNLNELIGRNLIKPVNNSSNGKVKSCQIHDMVLQYIVSKSSDENFITVIGGHWQTPFPSYKVRRLSVHKSDRQETEMVERMKLSHVRSLTVLESFSALHSTMLKFQILQVLDLDGCKDLSHPHQLKKICNMYQLKYLGLRRTDIDKIPKNIGRLEYLEVLDIRETNVRKLPTSFAKLQRMTHLLAGNKSKRTALKLTEEITKVVALQTLSGIEISGSSTLEEDREQSPDMAIRNSTPTRAEERDNTALHGPHKEDSKADFPKQLRSLEALEKLINLKKLAIYKLVKFQAKDYELLLSAIEHLSSCSLKFLAIDDSFTGFLDRSLSSSQAPPEHLYTLELSGMLSEVPGWIDRLHNLEKLTLSLTSLKTDTLAVLSSLPELFSLTFSLHAEDNYSNALKIMHKNTLESGGTIFVLDEGFEKLKLLRFAAPVLPSLSFLEGAMPQLQRLELRFRMVDYMYGLENLSKLHQVFLTVSSQAPEDTRAKTSQIKRLASMIQRANNSPNPSVVIDEYNELAKQ >ONIVA11G18920.1 pep chromosome:AWHD00000000:11:20162752:20163395:1 gene:ONIVA11G18920 transcript:ONIVA11G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMAAEDRVESTGDGDDGWLLLRNVELISRPHRYILP >ONIVA11G18910.1 pep chromosome:AWHD00000000:11:20133229:20136555:-1 gene:ONIVA11G18910 transcript:ONIVA11G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAMGSTPETAALITSIMKKREELLKEWEPLPGRISELLEAGRITDAHERHYLAFVEREVSSIVASLRMPLPPPRDRRGVDLIRRQKDWLEVLEDEMTDAIDMVNFLCKRHREEAPRALLRKPMRCFRRPMSFNYHTPRKAYYLYRVFSNDPRLKLPSSELMPSLHQAAQVEAAAVHDHLVGIDGTANELLGWLMAADKSLRVMAIAGPAGIGKTTLAMELHRRLRCQTHFQCHVVANLSRRPAHRSKQLLQTILKQIMEQLEAPSSPNSSEITMLEDDLELLARNISECLKDKRYFALIDDIFYGSDLEMIKGAFPNNNCSSRILFTARDEQVSGWFLSNYNGVVHKMKPLNDSDSEKLLRTKAFSSMDGCLPDNLRLLCDEILNMCRGIPLFITSMADWLKQHQQQYGSSAIPRVEEVRLLLKQFEHWLSFHYSDELRQSSLYLSMFPQGYVFEKDRLVMKWLDEGLLSELHFSEMVDRNIITPAARNCGHNLDEDDLCKWQVNPFILRFLASRAAEMGLVFTSSTLTLAPSGGGNTTRIARRLALHHPDPQLPAMLQQMDLSQTRSLLISGAVDRTTVALDKFGYLVWLDLEGWENLKDEDLLQICKMFMLRYLSVRNTKVSKLAPQIKELRILRTLDISRTKISEIPSEVCDLNYLEMLDLRGTLICQLPDQFVQIKWLRHLIVGSAGAGSGMIYSDQTVLTKIPETIHQLRYLKTLATIDLSEFSTKSVESLGDLEQLEVLTITWSFHQCSDKDHQQALRSSIEGCRKLKSMTIHCGLGCSMEFLGSLKEPPENLEKFKVIAGKFSRVPQWIEKLHRLTFLQITVCKQLADDLKILAGLIELQHLVLGLEFIPEKAIVIEKEGFKELERFSLDCPVPWLTFEKEAMRKLTYLRLTLHAFPASDMSVPSGINNLKELTEVTICYNVRYINSPNIKMTTDAVSKEVAKHSNTIDLFINGIRKDVIRSSDKKEESATGSEVDAAEDGAQAADEAALRTAIQVQSEIEAEGDDVLLS >ONIVA11G18900.1 pep chromosome:AWHD00000000:11:20130129:20131002:1 gene:ONIVA11G18900 transcript:ONIVA11G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTMTNCLAGKFEPKFFHKILQEEIGVKGHFGPINVLAFNPDGWSSVIVVTFVGNIRYADHSLMMNENMRAMLSKIKFDLLVRN >ONIVA11G18890.1 pep chromosome:AWHD00000000:11:20126243:20129981:-1 gene:ONIVA11G18890 transcript:ONIVA11G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANSTQTNYMELGQIQGEKMCWTGIVQSVHAKTKQNVKASHDKVDGKTCSFPYSYKVSMFNKRYFLLVDDVWSISSWEYIWGSLPKNNNGSRIVVTTRFKSVADASTHQQTGDIHMLDRLPDEKSKRLFNERIFSGDDSCPDEFRETKDKILKKCGGLPLAIVAVAGLLARDPRSKSHWTKVQDSLSSELEMNLTPEGVTQILNLCYNDLSADQKNCLLYLSIFPKGCSINRKRLVRRWIAEGFIVEKHGKTVEEVADDYFNELISRNIIRQVDHSSNGKVKTSQVHDMILEYIVSKSSEENFITVVGGHWLTAMPGNKVRRLSLHSSNPEHAKDAIERMNLSHVRSLTAFESLEQFQSFTFKFGILQVLDLEGCKGLTTSHLDKICKMFHLKFLSLRKAHVKKLPSDIGKLQYLETLDIRETNVQELPPSVADLKQMAHLLGGNKTTRLGLRFTEAISRMIALQTLSGIGICKSSAGALADMHNLTKLKKLSIYNVKDFDSKNLSHELLSAIEYLTGCSLKSLAIDDGFTGFLNLMDSLSTPKYIHTLELSGELPRVPKWISELQNLEKLTLSLTSLSTDALFILAQLPVLFSLAFSVSAASQDHGVMEILTKNTMNSGGKILIPSDGFHSLQLLRFSAPLLPLLSFLDGAMPMLQRLELRFMILEGAHGVENLASLQQVLLRVSQQAPETTKVQVSDIRSSVSLHPNRPTVVVDEYYG >ONIVA11G18880.1 pep chromosome:AWHD00000000:11:20119697:20123493:-1 gene:ONIVA11G18880 transcript:ONIVA11G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA oxidase 2 [Source:Projected from Arabidopsis thaliana (AT5G65110) TAIR;Acc:AT5G65110] MATAASRSGRRGGDEEDDERTAAMRRLRVLSLHLQDPSPSSEAGLAPAACAAAGRRRATGGADAAAALAAYLRGRHRDTQARVFEFFLSRPDLQTPVEMSTAAHRELCFRQLCALVREAGVRPLSLMANDPAEYFAVMEAAGGADISLGVKLGVQYSLWGGSIINLGTKKHRDRFFDGIDNLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAALHGKFATVFARLILPLQGKGGDPADMGIHAFIVPIRDLDTNAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYSSVGILKVSVTIAVRYALLRQQFGPPKKPEISVLDYQSHQHKLMPMLASSYAFHFATRYLVDKYSEMKKTNDEDVMADVHVLSSGLKAYITSYTAKSISVCRESCGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTLSATWNYLRDSMGTYLSQPNPVTSRWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIEAVKRCPDEKTRELLKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLADYLSYQVRLVAQELVDAFDLPDLIIRAPIGMQSEAYAQYTQHVGF >ONIVA11G18870.1 pep chromosome:AWHD00000000:11:20109348:20113157:1 gene:ONIVA11G18870 transcript:ONIVA11G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAPQLPEQRTAAATKRSALVGIAVEGLKRRTSTAATGRPGADHPQAAGAEGRGCDRARAPASGDRRGGGDTARGTDGDRPQEAGVDNHHRGGGPSCGGAYGRARVPSGDCHVSARADCCDGREEGISRPLLSSEATYKLWRHGNPNHGETSTSGFKKIKKSGLVKGEDPMPPNSDPQELRTFEVGVEYMSDAEKINIANQDLVDIYNEIAKARREIIRELFFNSWKGDDWQHRELNLAE >ONIVA11G18860.1 pep chromosome:AWHD00000000:11:20104309:20107957:1 gene:ONIVA11G18860 transcript:ONIVA11G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVMAAAARDYQKEIVAKEKAQAASASHEEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKTLAPVYLGTKFIKLDAENAPFFVTKLGIKTLPCVILFKKGIAVDRLVGFQDLGSKDDFSTRALENILKMKGIIDEKKKDEDDEDDETDMSMNRRIRSSTAQDSDSE >ONIVA11G18860.2 pep chromosome:AWHD00000000:11:20104309:20108265:1 gene:ONIVA11G18860 transcript:ONIVA11G18860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVMAAAARDYQKEIVAKEKAQAASASHEEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKTLAPVYLGTKFIKLDAENAPFFVTKLGIKTLPCVILFKKGIAVDRLVGFQDLGSKDDFSTRALENILKMKGIIDEKKKDEDDEDDETDMSMNRRIRSSTAQDSDSE >ONIVA11G18850.1 pep chromosome:AWHD00000000:11:20100071:20103883:1 gene:ONIVA11G18850 transcript:ONIVA11G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G22120) TAIR;Acc:AT2G22120] MGEQPARGAASSASESSPLIPPPSEIDIEAGAGDQFQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHVHTDRKWRTLKFRFFVTRDILFIFALVQFVISALAYLVHFIDGLQNYWLRTAWGFDNEVSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLFIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERVDWSPPPLPSEHVQQLKSLGLL >ONIVA11G18840.1 pep chromosome:AWHD00000000:11:20087030:20087557:-1 gene:ONIVA11G18840 transcript:ONIVA11G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGPEPKAATTVEVSDEEEVKAIAADAGSVNGEGKRLDAAAAATASAAGGDGGEEEKPPVMVPMPLNTIAAILSLKREPRPMPEDLARLLPEERDEHLAFFDSWEEVNDEFEEFQKEILREVKETGRYMVHQSYFTEQAEMQARMEKEWAEIDWTGVKFGDWDYDDPTCCQPL >ONIVA11G18830.1 pep chromosome:AWHD00000000:11:20084024:20085283:1 gene:ONIVA11G18830 transcript:ONIVA11G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDVVESCNSRMMMKMEIHPFLNEEDLGAFTNCSSAPLKKAEEFSQLLEDEMDQDDLLLLTHAAASLQLAQWLLLLNDLLLEDDESRAAAVGLLDEYCEW >ONIVA11G18820.1 pep chromosome:AWHD00000000:11:20070740:20071108:1 gene:ONIVA11G18820 transcript:ONIVA11G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGSASSAAAGRKVPLPKGYIDAIMALRMEERYPTAEELERLSPDERLAAAFRRESDDRFNRFHAEVRREVEESGVYLVDESYFAKQAELQALIKEEWAKIDFSRVHVGDWDEEAGCYK >ONIVA11G18810.1 pep chromosome:AWHD00000000:11:20056824:20057409:-1 gene:ONIVA11G18810 transcript:ONIVA11G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVPRITEFMEEAALEEGTTTEIAVVVERASKLGGGKAEAAPPLKERDANKKREKATGSARRVRRRRRRRGWRRRPEAEPWPTSEYLDSLSPEKRSEELKSAERRSKLDDDLEKLQKDVRDGIDKDGYYLVDESYLAESAACEAQIDELWAKIDWDLYNFGDWDYDDPECVVYL >ONIVA11G18800.1 pep chromosome:AWHD00000000:11:20045700:20046170:-1 gene:ONIVA11G18800 transcript:ONIVA11G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEEEGPTTTTTMDPNDAAVPTPAAAAVAAGDEKKPPAGKVPMPDDYIATILSLKREPPPKPEYLSLLSPEEREKQLEFDAVCAKEDDALEELQAKILAGLRKDGYYLVDESFLEKSAAMRAYVSEEWAKMDWAGSGIIFGDWDYDDPQCVQYL >ONIVA11G18790.1 pep chromosome:AWHD00000000:11:20038582:20039179:1 gene:ONIVA11G18790 transcript:ONIVA11G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEHARMFRCEILLASMNYQGTSIKYLIIAHIRKPSRRSGNGKDLVDTIESQNREATDHQKDAKD >ONIVA11G18780.1 pep chromosome:AWHD00000000:11:20030551:20030883:-1 gene:ONIVA11G18780 transcript:ONIVA11G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKGTTTVKSVTGAAAEEIFSEQEDELQALVSEEFAKIDLKSIKFGDWDYDDPTCCHMPSIDESCITITKQEDDELQALVSEEFAKIDLKSIKFGDWDYDDPTCCHMP >ONIVA11G18770.1 pep chromosome:AWHD00000000:11:20024521:20026464:1 gene:ONIVA11G18770 transcript:ONIVA11G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLSAGEATNGGGWGAAAEADPGEETVDPASPSPNLAIPWPDPAGVDAGGGGGRGAVTRQGRRRWRGVGSGGGGDGAATSEARDDASTDIPRRPSHGHIPLPFSQLLPQAQQSPNLIAIIPLMRWPPPQLKRQIARTLNNLCGAE >ONIVA11G18760.1 pep chromosome:AWHD00000000:11:20020749:20024230:1 gene:ONIVA11G18760 transcript:ONIVA11G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATGGRLVLAVEANIFNELQSQDILTVSVWNIRNMVLVTASDLLTLPLLPSYIRVGEGAIAHAFTAILASPVLPMFNILLLFNFFGPIVCIGLSSWRLRHRDYQGHWDKSSSSSIITGEASSLANLTPGLDFFYVLVLCQCVLYCFLICLLICEISLAVNFSKQCKFPEEWRMRSVRKYLQYTRKKCVRDPAPLAEKRTFLSYAAGLLESESQEECLWGARILDRLITAGEDASSIILRSKTKIQILVDKLGSTQTGTGSSESESDGNNNKEMRVLAARIVAHLAGGIQLAHFPGAIRSVSSLLETTVQPLWNNNQRDDDQLLPSERRDREWARRKAEMEKKRRERKQRRRERKKPGVGMRQNENDGREEEEEEEEEQVGCNELILQGLRILEGLTCDPHNCTDIRAAPGLLDKITVPLYSATLIQDIGRSEPWANIANSSLKVVHHLITHAAPGTRLRHEISSNKQAVTNLQSILDLGTEEQQVRTMEIFTELVLDSSLDITLETRENLVRKQLQTFLLANGGEDEIQLPPVPPAATNPIKKRKKKKLRAPIPIKKKKKMNKTIKATAGETLSILSSKSEAISKFIVREHNDIVDRLIGMLDAKYNTRHRTISAEILENLCTHCKEHVDQTFLQKVLDEIQKTHETKASARTTSARGANEENWGIFSQVDDEEMQRPKQNCWKAHGKASKQKGRRAHDKASDQENDHEEADMKELQEALLSLTLVMRDKLIEPENFALIIEEKISGGGGAFLNMLKAIIDDNCQRQATPVSLRIIKLCGQIAEPIMRRNRCSDQKKEFVKLLTKATNTMANLESCMLFTGTDCGMEKTARPLLSDIEKQLKELVT >ONIVA11G18750.1 pep chromosome:AWHD00000000:11:20017116:20017367:1 gene:ONIVA11G18750 transcript:ONIVA11G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGGESESESDRRQENRAELEVYIRMVFTFMAYLAFTWSTVVLLGGYVSSLQRKDFACLTAITVVQATRFVN >ONIVA11G18740.1 pep chromosome:AWHD00000000:11:19995554:19999628:-1 gene:ONIVA11G18740 transcript:ONIVA11G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMSLSNNFPQSDRKNEVSQQHLAGTLTLPRFHPPPIFFLLLPPAPGIHFPHSTSKAPSPTSMELAMGAIGSVLPRLAELLKEEYKLQKGVKNDVESLSRELAAMHIALERVAKVPREMVELDVKLWASNVRELSYAIEDAIDAFVVRVAEGSNLVDPINQGFFKRILRKTSDLIRKGKARREIAEGICDIKELADEVAELRARYKFDAAAAATTPATTTVDPRILALYKDITELVGIEEARDELIGMLSRPADDDQLNIVSVVGFGGLGKTTLAKVVYDKLRGQFDCAAFVSVGQNPDLKRVLTDMIYDLDRQRYISIHNSRMDERLLINELRDFVQNKRYIIIIDDIWDEKLWEYIKCAFYRNKLCSRIITTTRKVTVSKACCSHDDAIYRMKPLSDDASKRLFYKRIFKHDNGCPPELEQVSIGILKKCAGMPLAIITIASLLANKQVQTRDQWHDVFNSIGRGLTEEPKVEDMTKILSFSYYDLPCHLKTCLLYLSIFPEDFIISRDHLVRMWIAEGVVQKTTNQKDDVLVELGENYFYELINRSMIQPCDENDFMYYKDGYDNAIISCRVHDMVLDLILSLSNEENFESYHLKHLGNLFHLRYLRLHCGCITKLPNEIGNLQFLQTLDVHRSRSIKELPPAIYQLRRLMFLYFPENISLSDRIGELTSLLELSPVDVFRRTSSIDVNGDSFSLLKALGNLTELRDLTIQVWSSEVSSIGRILGEVLCNLHKLRRLILRGVHGIVHLDSLPEFLDLPQHIHVLGIKPMYFFTVLPVWFNSPIDLPYLSFLDLSICDMRQEHVEKLGRLPALQVLWIQINRESEWLVIGAGAFPSLTDCTFIQYCGLVFQPGAMPKVRKLEFNINVVDSEDINFDVGLGNLASIEEVTIDLLCEDAVEWEVEEVENVLRHVADIHPKHPTLEMSRSDEDKMVLDDEEEQQSEDPMEDSDMEENRAPDSMASESS >ONIVA11G18730.1 pep chromosome:AWHD00000000:11:19987853:19988729:1 gene:ONIVA11G18730 transcript:ONIVA11G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGPRWKKGKDGKDFSALAAANPMSVIVAELKASFISSKPVAVLPGPGGGAILGVEPEQAVILNRAAFGHAVEIAAAQKHWFQLSPEEVFYLCHVLNCIRVESHDKKQMSDKQLWNHFRSMSESFPEMYKAYSHLRFKNWVVWSDLLCALRASGSVAKTLLVLTISSGSCELSSPDCLEQLVVHERTITRWIPQQRREQRSEASRDEANREELISKKESVEFNLWGVILGFSVLSSLLVYKLKFRQ >ONIVA11G18720.1 pep chromosome:AWHD00000000:11:19981899:19986504:1 gene:ONIVA11G18720 transcript:ONIVA11G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3Y6] MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVKAIETKSPVDDQQWLTYWVMYSLITLFELTFASIIQWTQVQSISFLGRLPFWPSMKLIFICWLVLPYFNGAAFVYQNYVRPMFVKHQMVNIWYVPQKKGLFGKSDDFLTALDKFIEENGPEALKKLTNKAGKSSKQSGKSWKDSKSSKESKDSKSSKESKEPKPSKDSKQLKPPKVSKESKPLKDSKEDKKAVKEDKKAAAKDSKEQKKALKDSKELKKALKDSKEQGLQKDSDELKPKSNKRVTFAEVEPEKELKASNSDWHPTSEYHSVYPEHNSWSSSFMIFEDENNAMQQRKPAR >ONIVA11G18720.2 pep chromosome:AWHD00000000:11:19981899:19986405:1 gene:ONIVA11G18720 transcript:ONIVA11G18720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3Y6] MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVKAIETKSPVDDQQWLTYWVMYSLITLFELTFASIIQWLPFWPSMKLIFICWLVLPYFNGAAFVYQNYVRPMFVKHQMVNIWYVPQKKGLFGKSDDFLTALDKFIEENGPEALKKLTNKAGKSSKQSGKSWKDSKSSKESKDSKSSKESKEPKPSKDSKQLKPPKVSKESKPLKDSKEDKKAVKEDKKAAAKDSKEQKKALKDSKELKKALKDSKEQGLQKDSDELKPKSNKRVTFAEVEPEKELKASNSDWHPTSEYHSVYPEHNSWSSSFMIFEDENSYWN >ONIVA11G18720.3 pep chromosome:AWHD00000000:11:19981899:19986504:1 gene:ONIVA11G18720 transcript:ONIVA11G18720.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3Y6] MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVKAIETKSPVDDQQWLTYWVMYSLITLFELTFASIIQWLPFWPSMKLIFICWLVLPYFNGAAFVYQNYVRPMFVKHQMVNIWYVPQKKGLFGKSDDFLTALDKFIEENGPEALKKLTNKAGKSSKQSGKSWKDSKSSKESKDSKSSKESKEPKPSKDSKQLKPPKVSKESKPLKDSKEDKKAVKEDKKAAAKDSKEQKKALKDSKELKKALKDSKEQGLQKDSDELKPKSNKRVTFAEVEPEKELKASNSDWHPTSEYHSVYPEHNSWSSSFMIFEDENNAMQQRKPAR >ONIVA11G18710.1 pep chromosome:AWHD00000000:11:19968505:19974999:1 gene:ONIVA11G18710 transcript:ONIVA11G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRQTTSVPMDNAAVVDAKPLRTLTPMFPAALGLHTFTAKENSSSIVCITPFGPYAGGTEQAMPASIPPMFASPAAPADPNQRQPYAVHLNGAAPANGTANNTGVIPDLQIAVAGTVESAKRKRGRPKRVQDSSVPSAHLVPSAPGGNITAVQTPPSATTDESGKKKRGRPKRVQDVPVLSTPSAPQVDSTVFQTPASAVNESVTRKRGRPRRVQDGADTSAPPIQSKYNEPVLQTPSAVTLPEDGKRKRGRPKRVPDGALIPLSHSGVSIDDDSGEMITGKRGRPRKIDVNLLNLPSLFSDDPRESVDNVLMMFDALRRRLMQLDEVKQGAKQQHNLKAGSIMMSAELRANKNKRIGEVPGVEVGDMFYFRIEMCLVGLNSQSMSGIDYMSAKFGNEEDPVAISIVSAGVYENTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNQIRVVRSVRDLTCPTGKIYIYDGLYKIREAWVEKGKTGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVILRDISYGAESKPVCLVNEVDDEKGPSHFNYTTKLNYRNSLSSMRKMQGCNCASVCLPGDNNCSCTHRNAGDLPYSASGILVSRMPMLYECNDSCTCSHNCRNRVVQKGSQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEVIDRNSIIGEDDYIFETPSEQNLRWNYAPELLGEPSLSDSSETPKQLPIIISAKRTGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFAIKHIPPMTELTYDYGQSQGNVQLGINSGCRKSKNCLCWSRKCRGSFG >ONIVA11G18710.2 pep chromosome:AWHD00000000:11:19970644:19974488:1 gene:ONIVA11G18710 transcript:ONIVA11G18710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRQTTSVPMDNAAVVDAKPLRTLTPMFPAALGLHTFTAKENSSSIVCITPFGPYAGGTEQAMPASIPPMFASPAAPADPNQRQPYAVHLNGAAPANGTANNTGVIPDLQIAVAGTVESAKRKRGRPKRVQDSSVPSAHLVPSAPGGNITAVQTPPSATTDESGKKKRGRPKRVQDVPVLSTPSAPQVDSTVFQTPASAVNESVTRKRGRPRRVQDGADTSAPPIQSKYNEPVLQTPSAVTLPEDGKRKRGRPKRVPDGALIPLSHSGVSIDDDSGEMITGKRGRPRKIDVNLLNLPSLFSDDPRESVDNVLMMFDALRRRLMQLDEVKQGAKQQHNLKAGSIMMSAELRANKNKRIGEVPGVEVGDMFYFRIEMCLVGLNSQSMSGIDYMSAKFGNEEDPVAISIVSAGVYENTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNQIRVVRSVRDLTCPTGKIYIYDGLYKIREAWVEKGKTGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVILRDISYGAESKPVCLVNEVDDEKGPSHFNYTTKLNYRNSLSSMRKMQGCNCASVCLPGDNNCSCTHRNAGDLPYSASGILVSRMPMLYECNDSCTCSHNCRNRVVQKGSQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEVIDRNSIIGEDDYIFETPSEQNLRWNYAPELLGEPSLSDSSETPKQLPIIISAKRTGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFAIKHIPPMTELTYDYGQSQGNVQLGINSGCRKSKNCLCWSRKCREVGDCCRTMKQQGAFSVSRCHVIRLAFVRMRMQKRQSIPDIYLFIR >ONIVA11G18710.3 pep chromosome:AWHD00000000:11:19968505:19970608:1 gene:ONIVA11G18710 transcript:ONIVA11G18710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSEETHPTRSAKSSPDSIPSPPFFCLFSPCQNPRALLPPLYSPSGGAPGGLEGGLGDGRRLRAAAGGGEGGDSPGGPVGKDVGGSEQTNFFSFHSSSNQDTKEITA >ONIVA11G18700.1 pep chromosome:AWHD00000000:11:19950806:19953211:1 gene:ONIVA11G18700 transcript:ONIVA11G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTRTSGSSSSPEGASNPDPNLLESIGPNVEPLVVKPGKTKPKVEVLLPASHALMRTMVGASLFPRPPQHAVQLALELCVILAAPPPLTVVGVTLPPR >ONIVA11G18690.1 pep chromosome:AWHD00000000:11:19943752:19946926:-1 gene:ONIVA11G18690 transcript:ONIVA11G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADTESSGSLGGSSNAASDKAVDGSLDKRSQEKAPKKTHKAEREKLKRDQLNDLFVELSNPERQNSGKATVLGDAARVLRDLVSQVESLRKEQSALLTERQYLILK >ONIVA11G18680.1 pep chromosome:AWHD00000000:11:19938497:19944739:1 gene:ONIVA11G18680 transcript:ONIVA11G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGGGGSAENRGRSPLAMVVAVGLCCFFYLLGAWQRSGYGKGDSIAMAVNRQTAACGGVGLSFETHHGGAGVENETMAAPAPEFAACAAAMADHTPCHDQERAMRFPRENMVYRERHCPGDGERLRCLVPAPPGYVTPFPWPRSRDYVPFANAPYKSLTVEKAVQNWVRHEGRLLRFPGGGTQFPGGADKYIDQLATVVPFADGSVRTVLDTGCGVASLGAYLDARGVIAMSFAPRDSHEAQVQFALERGVPAFIGVLGSIKLPFPPRSFDMVHCSRCLIPWSANGGMYMMEIDRVLRAGGYWVLSGPPINWRTNHKAWERTEADLAAEQQLIEEYAAMLCWEKLAEMGEAAVWRKRPDAAVVSCPTATPAPPRTCDAAAASPDDVWYKKMEPCITPPQAAGEVMLRPFPERLTAVPPRVAAGEVPGLTGESYAEENARWERHVAAYRKVNYRLDAGRYRNIMDMNAGVGGFAAAVFSPKSWVMNVVPTAAELSTLGVVYERGLIGIFHDWCKMEDIMLEMDRILRPEGTVILRDDIEVLLKVQRIASGMRWKMIMANHEDSPHIPEKVLYAVKRYWTADDKSSEPEPEPEKMKKKAGSSSQERSSEEEEESTASSSEEKGSEE >ONIVA11G18680.2 pep chromosome:AWHD00000000:11:19938706:19944739:1 gene:ONIVA11G18680 transcript:ONIVA11G18680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGGGGSAENRGRSPLAMVVAVGLCCFFYLLGAWQRSGYGKGDSIAMAVNRQTAACGGVGLSFETHHGGAGVENETMAAPAPEFAACAAAMADHTPCHDQERAMRFPRENMVYRERHCPGDGERLRCLVPAPPGYVTPFPWPRSRDYVPFANAPYKSLTVEKAVQNWVRHEGRLLRFPGGGTQFPGGADKYIDQLATVVPFADGSVRTVLDTGCGVASLGAYLDARGVIAMSFAPRDSHEAQVQFALERGVPAFIGVLGSIKLPFPPRSFDMVHCSRCLIPWSANGGMYMMEIDRVLRAGGYWVLSGPPINWRTNHKAWERTEADLAAEQQLIEEYAAMLCWEKLAEMGEAAVWRKRPDAAVVSCPTATPAPPRTCDAAAASPDDVWYKKMEPCITPPQAAGEVMLRPFPERLTAVPPRVAAGEVPGLTGESYAEENARWERHVAAYRKVNYRLDAGRYRNIMDMNAGVGGFAAAVFSPKSWVMNVVPTAAELSTLGVVYERGLIGIFHDWCKMEDIMLEMDRILRPEGTVILRDDIEVLLKVQRIASGMRWKMIMANHEDSPHIPEKVLYAVKRYWTADDKSSEPEPEPEKMKKKAGSSSQERSSEEEEESTASSSEEKGSEE >ONIVA11G18670.1 pep chromosome:AWHD00000000:11:19929943:19933197:1 gene:ONIVA11G18670 transcript:ONIVA11G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIVVVAAVVLVVGHDHPIVAADPDVWFNCGATNYTARSAYESNLERLIAGLAKNASTPSLFGKGAAGAAPDTVYGVALCRGDLPNASACGDCVAGASRVARRACPLAEDVVVADDAGCQLRFSGHDILNRSSNSTTTTTNADDAMVLMNTENITQPMLPGWDPGNADSVAIITNIIKVLVQETARTAAYNSSPPPPATTTYYATGRMDVSATFPTLYSMAQCTPDLRPGGCWRCLQSINDMTTRYFAGRRGGRILGLWCNFRYETYPFYKGQPMRRIGSSGADAVAPAVAANAAASPPGEKHKRRRRRSKVMAMATIFPLLGSFCCVIFCFGLVRRYKKGEVSLQGDMNMQTDEEALAWGREACSSEFTSFKLSQVLDATNNFSEDNKLGKGGFGPVYKGQFSDGSEIAVKRLVASHSGQGFTEFRNEIQLIAKLQHTNLVKLLGCCYQGEEKILIYEYLPNKSLDFFIFDERRRVTLNWNNRLAIIEGIAHGLLYLHKHSRLRVIHRDLKASNILLDCEMNPKISDFGLARIFSSNDTEENTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILEIVSGKRNSGFHQCGDFFTLLGYMMRCINIALLCVQENAADRPTMSDVVVMLSSENMTLPKPNHPAYFHIRVTKEEASTALESPSLNDVTMSILCGR >ONIVA11G18660.1 pep chromosome:AWHD00000000:11:19878158:19878760:1 gene:ONIVA11G18660 transcript:ONIVA11G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAQEPNQEFLDACMPSPSSAREAARRRVPPLSDDDIGWFHCEACDEPRLLYDRRRVSSGCAHELCVACVVGHVEARVAAGEVPVRCPFQFPAGSSHCDAVVHPEDCKDLLYIGDFDAWCVALCELAVGGPGAFARCPNPDCGERLDTGAGGERAVSGATCLRCSRAFCLRCEQPWDERHRDGEGCVPPGNGDAAAP >ONIVA11G18650.1 pep chromosome:AWHD00000000:11:19873138:19873626:1 gene:ONIVA11G18650 transcript:ONIVA11G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVAAAAAAAAAEVIAALTAVAGPCRNHNPPPPSRSSSPHKSGNHEPGSVLIAAAASRSRQWRRGELEIERRHMELDVAATWSGEDLIAAAGREPVMALSRPDPPPTAQQRSRRRRGGLSHSSGSGSDLPCHGGCRSGFPRHHDGGSGLPCRRGCWIRP >ONIVA11G18640.1 pep chromosome:AWHD00000000:11:19864873:19870415:1 gene:ONIVA11G18640 transcript:ONIVA11G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSRTSWADVADADPAPAPAPAANGPARPDRSSYVPPHLRNRGASSGGGAAAPPPSSSSSSAPPPRAAPGLLAPRPAAAGMGRMGGGGGGGGFGGPRRWDREPNPFGNDGDAAAGAGDEPEVFDAHQNTGINFDAYEDIPVETSGREVPPPVGTFAEIDLGQALNDNIRRCKYVRPTPVQRYAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPAQRPQRGGMRTACPLALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPPGARQTMLFSATFPKEIQRMASDFLENYIFLAVGRVGSSTDLIVQRVEFVQEADKRSHLMDLLHAQRDSATPGKPTLTLVFVETKRGADSLEHWLCMNGFPATSIHGDRNQQEREYALRSFKSGHTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSMARSLAELMQESNQEVPAWLSRYAARPSYGGGGGRNRRSGGGSRFGGRDFRRDSSSGRGGGDYYGGGSSGGAGGYGGSSAYGGGGYGGGAGAPSAWD >ONIVA11G18630.1 pep chromosome:AWHD00000000:11:19842847:19844319:-1 gene:ONIVA11G18630 transcript:ONIVA11G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3X4] MENGKCNGSSTTKCNGAAAAAMHVAMLVTPGMGHLIPLAELAKRLAARHGVTSTLLTFASTASATQREFLASLPPAIESVSLPPVDLSDLPADAAIETLMSEECVRLVPALTAILSGIRERRRLVAFVADLFGADSFDAARDAGVPRRYLFFPTNLHALTLLLHLPDLDVSIPGEFRDLDEPVRLPGCVPIPGKDILMPLQDKSRACYGWMVHHGTRYRDADAILVNSFDAVEPDAARVLRHPKPGVPPVFPIGPLIQTHCAGDDDAAAPPSPRAACLDWLDRQPDRSVIFVSFGSGGALPTEHMRELALGLELSGQRFLWVVRSPSDEGEVSANYYDAETKKNPFGYLPEGFVERTKEVGLLVPSWAPQTKVLAHRATGGFLTHCGWNSVLESLVHGVPMVAWPLFAEQRQNAVMLTEGAGAAIRVPESKGKEKIAAVVREMMVGEGRGAAVRAKVAELQKMATDGLRDSGAATSALDEVVDKWTGGEK >ONIVA11G18620.1 pep chromosome:AWHD00000000:11:19803119:19831989:1 gene:ONIVA11G18620 transcript:ONIVA11G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGKDVRKAARAVLMRDYDGDHGGALERAGKLARDHPGSAIALRLVGDLNHAAAIRVRKVIEIGGSLGRAAERDASAHLAAARDALSEARRLVPDCVGIATALGDVLVGSSMAEKAEQAYTSALAIPLPVDPALHNAAYGLHGRDRTTVNARVKDAREKANLAYGRFKKKVVDEWVAEMLQFLRGDLLRKNPRASAKEILKAQRDAMVEARKKAKSMVDAMPNSARAQCFHGLMDLNFVYLLDEAIDKRSALRRSTLAILDRAAERFPKSLVIASFRAKLLYILGDYDAAERDCRRGYTMKNPDDPADDCIPPGSIGGENKGDRLITHVSEFHELINKIVRTANLYWNSMTQEQRGEFLSVRFDELQEDYNKVDRSSFTMSDVLRFGEKHNSYRFWVCPLCGSGSSSKKHTDTVSLLSHMCSKHQRAVLPRLRSVLDQELDRSAFEDDEYSFNKVIFSQDSDQRNIVCFEERDQMFKWLFDKPSSGVRTLALTELIETKRRTGALLLDNIKEKLKTLATDKSSTEFAEALPGIQESWINFVKETAVDYRALILAIGRSLLWTKLKKCMSEDPEVGARRISAADIDEVFVIVAYNSGSSAVEDKTEAHMSSHSDAAQKMNGNHQESDFHAENRSSGTIVDMKPQDPPTNMEGNGNKLDEQMEKLDIDPNSVRSSAIPQSSTPNGNGSPHILGSSSQFSDESAQISIYQKSVDVLNQNSEDIFFLHLIIQTMWNLRPFRDDFLKRPPASFQLRHNGSCFSDIFYDIFSAWEKNDHHETYYSLTSLKNNLCQTVNVRNIFEKLRAGKYFASVILDLVLDELHVSEAPLHFYFNNKIQGQVVSPITCEGCICRAHVLFGMRFLVRTSCRCGACFDEGKYSTLIHKLDAGLPQTPKIKSFADLPVAIDERFWYLQDECQSCGNLKSVGHFLLNTPHFFTVVLKCTDSSKSHVSLSELLVGCTSPPDITLATKYTLASMICCSDGQYVCISRDQNKWLIYDTKTIEAEDSWERLVQRFTDSELIPEVIIFEVINSTPQRGAMATRDAADSAALRKEAAGILRLHHEGGFAAAMARAVELGLKHGGSALVLNLVGTLHQVNYTACRVMSCCSGGGAGSGKEGSAEDEEEEHKRAALSAFAAAAWLAPNCVDIAVSHAEMLSEVERYEEAYVELLRALGISDPADPAAHDVVYDVCDGETTLAERLGKAKVRTHRAIERLAELICARFIPAESVRVLDGIKLGGDAAARARARAKHLATTYPFAPRAHLLRAHVDLERVRGLDPAIDKRRFLRRTLDMVQDTAYEFQRSLVIALFRAKLMFVLDQYDDAECECHRALAIESPFDPVVDDLPPGSVSGADYDARVCFVRNQLRTLIKKIIFSAAIYWRTLTSEDEDSLISVRVKPLIQLCNRTDMSSAKTITDAVRFFKGNNSWSFLICPLSSRCDGRKFVDTSSLWGHLCNKHPEGHWRKLQSVLGSKLSENTSVGDCSLEWITFGQDSEKHDIFRLIKINDMFDSLIRLTAGGTEPDLVEMRTEKCREGAEILEGIKKRLGTLPTDTSSSQGKLKKRMAGDPNIVGHISASKIDPIFDDAPSARCRNVSVGHDSNPSDANKMGTASQQNLKTSFSNETLKSGKDHQESEVCVENGSSGAKVDTPMDVEGIEMEIAEILANMEQNLQLEETDSKSTEEMSSTTGNENVDVNKEITDKDLFILHPIIQSLWNLRYLRDEFLMGKPAWILNISGNCCIADLIYGIFSAWENNEHDRVAVLLASVKSSLCKIANDNMFQKLQSGKRIASEVVATVFQGLHISEASLHFCFNNEIEGRVVSPISCRDCICRTHNLFGIMFHVRMSCRCGKSFSEKEHTTIIYRLDAGSPQTTKTFLFYMISSYALRTIASLDWFGGSEDQGRLSELLVGIAHPLDIKLLCKGVRFSANYSLASMISYADGRYVCFARNQDKWLICDAENVEAADSWELLLERFSVCRLQPEVLFFEVIKGSRAQRKEAAELERRRLEAERALRREAVAALRMYREEGRHDEAIARAEELAAGHPGSAVAAHLAAVLHHDATNRAVDGASGQQPSAAGKHLHPARDFYIRAARLAPNCVEIATSLATVRFACLDDDDADLDIRRAVTIDYPTDPADNNVAYDLDDDDGATPKDRIANARAAAIERYNLIMAFVIAKVIPRAVRGVLDVAEREGAAKAVKPAKALAARYPYSARALFAHAHVDVEFARGLAPGIDKRPFLDRLLGELNGEALRFDTSLVLAAFRAKLVFLLGSYVSAEGECSRGLHMVGAADPADEDVPPGSVPGENSEDRQSAVRVELGRLFQKIVLATKDYWSSLPREKQDRFRFAGFNSMHQHYAKNYDDTHEGAKTISDALSFVRKNRSWRFWICPYCVGKKIPDTDSLLQHMRNKHPEGGVWLKLLSILDPKSVDSSEGDYFLDDVAVCQDSEENYVLRFERMDHIFKYLFLRATGTVEHKQFSELRETKCKEGIEILEMMKPKLKNVPTDISSSEFNEACAEIQDMWNDFLEISVLDYRVVITPLAICFISEQLLLSMSNDEKAASKSIDAADIDALFPNVDDAPDIDAIFPQVGDAPSAADTSKTGEDMASTISDESIYVLEKDNTDKDLIILHVIIQSLWHLRFFRIDFLRERSVWILCINEDHCIADQLYEIFSAWEKNENDRVAVFLTSMKASLCKIANDNMFQKAGKLIASEAVAMILQGLHMSGTSFHFEFNNDIEGRLVSPVSCRDCICRTHNLFGVQLQMSCRCGNSFDEKEHTTVFYKLHAGSPQTTKIKSFAELPVLYDEQSCFEANCEHCGSPKNTDVFPSNTPHFFTIGLDWSGGCENQVELSEVLVGIAHPLDIKLLCKGVHSSANYSLTSMISYADGRYICFARDQDKWLSSDAKTVEVDNLLSWPLQQQSETLFRWD >ONIVA11G18620.2 pep chromosome:AWHD00000000:11:19803119:19831989:1 gene:ONIVA11G18620 transcript:ONIVA11G18620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGKDVRKAARAVLMRDYDGDHGGALERAGKLARDHPGSAIALRLVGDLNHAAAIRVRKVIEIGGSLGRAAERDASAHLAAARDALSEARRLVPDCVGIATALGDVLVGSSMAEKAEQAYTSALAIPLPVDPALHNAAYGLHGRDRTTVNARVKDAREKANLAYGRFKKKVVDEWVAEMLQFLRGDLLRKNPRASAKEILKAQRDAMVEARKKAKSMVDAMPNSARAQCFHGLMDLNFVYLLDEAIDKRSALRRSTLAILDRAAERFPKSLVIASFRAKLLYILGDYDAAERDCRRGYTMKNPDDPADDCIPPGSIGGENKGDRLITHVSEFHELINKIVRTANLYWNSMTQEQRGEFLSVRFDELQEDYNKVDRSSFTMSDVLRFGEKHNSYRFWVCPLCGSGSSSKKHTDTVSLLSHMCSKHQRAVLPRLRSVLDQELDRSAFEDDEYSFNKVIFSQDSDQRNIVCFEERDQMFKWLFDKPSSGVRTLALTELIETKRRTGALLLDNIKEKLKTLATDKSSTEFAEALPGIQESWINFVKETAVDYRALILAIGRSLLWTKLKKCMSEDPEVGARRISAADIDEVFVIVAYNSGSSAVEDKTEAHMSSHSDAAQKMNGNHQESDFHAENRSSGTIVDMKPQDPPTNMEGNGNKLDEQMEKLDIDPNSVRSSAIPQSSTPNGNGSPHILGSSSQFSDESAQISIYQKSVDVLNQNSEDIFFLHLIIQTMWNLRPFRDDFLKRPPASFQLRHNGSCFSDIFYDIFSAWEKNDHHETYYSLTSLKNNLCQTVNVRNIFEKLRAGKYFASVILDLVLDELHVSEAPLHFYFNNKIQGQVVSPITCEGCICRAHVLFGMRFLVRTSCRCGACFDEGKYSTLIHKLDAGLPQTPKIKSFADLPVAIDERFWYLQDECQSCGNLKSVGHFLLNTPHFFTVVLKCTDSSKSHVSLSELLVGCTSPPDITLATKYTLASMICCSDGQYVCISRDQNKWLIYDTKTIEAEDSWERLVQRFTDSELIPEVIIFEVINSTPQRGAMATRDAADSAALRKEAAGILRLHHEGGFAAAMARAVELGLKHGGSALVLNLVGTLHQVNYTACRVMSCCSGGGAGSGKEGSAEDEEEEHKRAALSAFAAAAWLAPNCVDIAVSHAEMLSEVERYEEAYVELLRALGISDPADPAAHDVVYDVCDGETTLAERLGKAKVRTHRAIERLAELICARFIPAESVRVLDGIKLGGDAAARARARAKHLATTYPFAPRAHLLRAHVDLERVRGLDPAIDKRRFLRRTLDMVQDTAYEFQRSLVIALFRAKLMFVLDQYDDAECECHRALAIESPFDPVVDDLPPGSVSGADYDARVCFVRNQLRTLIKKIIFSAAIYWRTLTSEDEDSLISVRVKPLIQLCNRTDMSSAKTITDAVRFFKGNNSWSFLICPLSSRCDGRKFVDTSSLWGHLCNKHPEGHWRKLQSVLGSKLSENTSVGDCSLEWITFGQDSEKHDIFRLIKINDMFDSLIRLTAGGTEPDLVEMRTEKCREGAEILEGIKKRLGTLPTDTSSSQGKLKKRMAGDPNIVGHISASKIDPIFDDAPSARCRNVSVGHDSNPSDANKMGTASQQNLKTSFSNETLKSGKDHQESEVCVENGSSGAKVDTPMDVEGIEMEIAEILANMEQNLQLEETDSKSTEEMSSTTGNENVDVNKEITDKDLFILHPIIQSLWNLRYLRDEFLMGKPAWILNISGNCCIADLIYGIFSAWENNEHDRVAVLLASVKSSLCKIANDNMFQKLQSGKRIASEVVATVFQGLHISEASLHFCFNNEIEGRVTFLFYMISSYALRTIASLDWFGGSEDQGRLSELLVGIAHPLDIKLLCKGVRFSANYSLASMISYADGRYVCFARNQDKWLICDAENVEAADSWELLLERFSVCRLQPEVLFFEVIKGSRAQRKEAAELERRRLEAERALRREAVAALRMYREEGRHDEAIARAEELAAGHPGSAVAAHLAAVLHHDATNRAVDGASGQQPSAAGKHLHPARDFYIRAARLAPNCVEIATSLATVRFACLDDDDADLDIRRAVTIDYPTDPADNNVAYDLDDDDGATPKDRIANARAAAIERYNLIMAFVIAKVIPRAVRGVLDVAEREGAAKAVKPAKALAARYPYSARALFAHAHVDVEFARGLAPGIDKRPFLDRLLGELNGEALRFDTSLVLAAFRAKLVFLLGSYVSAEGECSRGLHMVGAADPADEDVPPGSVPGENSEDRQSAVRVELGRLFQKIVLATKDYWSSLPREKQDRFRFAGFNSMHQHYAKNYDDTHEGAKTISDALSFVRKNRSWRFWICPYCVGKKIPDTDSLLQHMRNKHPEGGVWLKLLSILDPKSVDSSEGDYFLDDVAVCQDSEENYVLRFERMDHIFKYLFLRATGTVEHKQFSELRETKCKEGIEILEMMKPKLKNVPTDISSSEFNEACAEIQDMWNDFLEISVLDYRVVITPLAICFISEQLLLSMSNDEKAASKSIDAADIDALFPNVDDAPDIDAIFPQVGDAPSAADTSKTGEDMASTISDESIYVLEKDNTDKDLIILHVIIQSLWHLRFFRIDFLRERSVWILCINEDHCIADQLYEIFSAWEKNENDRVAVFLTSMKASLCKIANDNMFQKAGKLIASEAVAMILQGLHMSGTSFHFEFNNDIEGRLVSPVSCRDCICRTHNLFGVQLQMSCRCGNSFDEKEHTTVFYKLHAGSPQTTKIKSFAELPVLYDEQSCFEANCEHCGSPKNTDVFPSNTPHFFTIGLDWSGGCENQVELSEVLVGIAHPLDIKLLCKGVHSSANYSLTSMISYADGRYICFARDQDKWLSSDAKTVEVDNLLSWPLQQQSETLFRWD >ONIVA11G18610.1 pep chromosome:AWHD00000000:11:19793673:19801379:1 gene:ONIVA11G18610 transcript:ONIVA11G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDSATPGFRARVKDLTGPLPAEREGVDVKEERLHEAVELAVDFHSALAYHVVGYVRAALGEDELALDSLRLARDLAPGDLGIAFTLAMRYAAREQFDLAVEECQRALSRGDADLVDPQLNAVFESRHLEPSKEARISTAKNGLKQLLISALSKIAIPMARDRWNGMSEEKRRSFLTVGIDEMVAYYCAKPSDECQMSALTGAVDFVKDTGEWICWLCPQCEMTFLTAKTFQLHVEDDEFSRSQEFKKSLLFVPERISDEQTEFIKCWTLPSDVNPTEEAEGEKILTKIKSTFQYLKDQKALSVDLFDNLIKFTKNRIEEAVTQNYSCITSLDPGGLLLLGTYLDLLRLRVGDAEQDSRDNFGGGVVQDACVLSIGTDENVLRVTDGSSNQDALFSWLSRPSRQDPFTSWDNMRQACLDKGTHALGKLNGRAAALIEKVNLKRGLTATQTYEAYFGEKAKIDIEIMQLVAEVDNLKKNLLEVCTYDYREIILPAMKDFLWAKLCNVPPKGVSSSEDDKVSEASIENRDPVQEDINVSISSVLNQSYKKMMEKLSRTIYRVEIQLCPTVMVSKSFQAIVLQSGKKLELPPRANTSTLGSSETPMEKENKTSSPSDYSGSNEGSANISSNGVTGTAYPNSENELKSLFSALLSLWHLRPFTDKFMKKAPLYPHFGVSGKDRNCMLCYLFHSFNAFSDKSDSTATYRLSCLRPSFIKILEEANVSLKEETNLAVKYIEIVLNMVHTSETAKCISNNSKNILYKTTLFSSCPDHRCLSHELFGMHKNATESTYFLNVGASELRNIEMNTFADVIKSVDKKFHCNTESNAPNHPPRYFTTAFGYPSENDSHLVSGLLVSIAAPLDINPVYEGLHSECKYTMVSAVFRAEGRDICLAREEEKWLEFDSWEKVLEEYSRSSFCPQIIFFERIDPVSEVTV >ONIVA11G18600.1 pep chromosome:AWHD00000000:11:19782779:19785269:-1 gene:ONIVA11G18600 transcript:ONIVA11G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVGSSCAALRCAVHSSPTPSTNPPPPPPPPPRRRFHRFSRRRDGRRRDAPLPSSASSGHGLAATSSSPGSSSPSAHWRRAASSPGTSPPPRRTEEAAVGVRKRSPGLAAEAEQRALASGREAAVLEFYSPRPRLCASLQGLVRELEGGAGGWTGFVIADAEDDRWLAESHRRWEDKGRVGARHNRDAHWRGEWEDQVIK >ONIVA11G18600.2 pep chromosome:AWHD00000000:11:19780999:19785269:-1 gene:ONIVA11G18600 transcript:ONIVA11G18600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVGSSCAALRCAVHSSPTPSTNPPPPPPPPPRRRFHRFSRRRDGRRRDAPLPSSASSGHGLAATSSSPGSSSPSAHWRRAASSPGTSPPPRRTEEAAVGVRKRSPGLAAEAEQRALASGREAAVLEFYSPRPRLCASLQGLVRELEGGAGGWTGFVIADAEDDRWLAEIILLQQCTVKSELGYLFGIVK >ONIVA11G18590.1 pep chromosome:AWHD00000000:11:19777225:19781400:1 gene:ONIVA11G18590 transcript:ONIVA11G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELASGAVSSLLEVIGNEAALLGGVRDDVQFIKEEMESMHSFLGHLARSAPQGGEHDEQVRTWMKQVRLLAQDCNNCIDLYVYSGNPEIHRAKGRLRRHLWWAYWYPRKMVARHHAAIKLRQLKDRARDVGERRMRYGVEVPATTKAAAPDAASGYPAGDDEEDYEHQLEPPALEVYVSKKLLEWVGGVPMGAIETLSIAVVAPDTDNKEVLDLAHETLVAPGYYYGCSITVDVPAVHADFLPLRPKEILYYILRVFEPESQKHVTDEGDPWQEHYNIYCGKKRVLHKIKRNIEKMNIYNKLDKIKSDIKDGQHKSGKQLLLELQKKGVDQVDLHVLLQLLLLQSQQDQAKNKAVDMYKLPEWNDNNIKKIARKLKKHMEADEELNEQISVEKRTTKQGGESKEEKDKGDGDREDKEEENEKENDEGEQDGEEEGKEEERKDKKKGEERKEEEHHEKQEHEKEKEVEEERKEEQNEEKEEREGTKEEQVGGDKIENDDDDDEEEEEEEEEEEDDDPIHLHEDQYIQILREVFPNIASSKAQQQDKSEAKQAIKTAMTTLDEVQIKQMINEAIALRELEGGKPDRNQATGEPNVPPDKNEPIGEHESVPDQNEAYFEEIELKIEEIKKEFKEQLKIKGIVDKIKHHLQGECPLIILKVDDMMDGSKWEEFRKALSLLECSADALIFTTESTDQAKGYCYPPREPIDHCSHVGLYHYTVLKLTSKQKNKSNYDPQIFLNILKECEGHEFCMRIFTHAVYANPKKSTEELRKLHSILQDSQKSFDAIAKNMLMYSYNDLPKEYKSCLLYLAIFPKGQKIRRSTLIGRWVAEGLTFKEDWPSSVRQANQCFDALIRRWLVYPANIGATGKIKSCVVGDLVHGFITTIARKQHIVETRLSHHLARHFSIFNDLRLRSSDGIHTFLQSLSQSSRVSLLKVLDLEGCQCFGGKNQRYLKDICSKMLLLKYLGLKGTDINQLPSEINCLRELEVLDIRETMVPANATVKVLLLKLKRLLAGHIDPSQRNFGTSVHIPHKIDKMVNIEVLSNVKAQRRDDLEDIGKLWQLRKLGVVIDDKKSHLGNLLKAISDLHECLRSLSITIPATTLNGTLSSPELPDDIGSRIEHHPKILESLSIRGARHLFPLLTRGGNNKLAKVTLSGTPLNQDDLKVLAKLPKLQCVRLRHISCTESVLIFKEDDFECLKYLLIEGSNLTNITFEDGAARELEKMVLSSTGIESISGVDELPKFEELELNNNSCGRLLSSFHNAKQIAKLTLHGTLLKQDDLQIIAKELKIRCLVLLDKFLDGSQNQITFEKEEFIWLNLLIVDRSAITKIDFTSGSAPRLEKIIWSHFTSLSGIDNLPRLKELEFNGKVVPKEVKEAIENNNRINLKCNEP >ONIVA11G18580.1 pep chromosome:AWHD00000000:11:19766917:19777025:1 gene:ONIVA11G18580 transcript:ONIVA11G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDHSFAGVRLRRLLGFLFANSWPRRDFIYKAGGAGGDKGDDGTVEGAVKLISGNDEAGSPPYPCFGQRGSRRPVASATIEGPAVSASLLLLPYPRSGRREETGGGDFIYKIVKDARKHVNGSDYHDSSVVEVT >ONIVA11G18570.1 pep chromosome:AWHD00000000:11:19765531:19766918:-1 gene:ONIVA11G18570 transcript:ONIVA11G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNSGDALPQERWNDIPADMLGLVLRVIPCAADRARVRSVCRSWRAAAAIQRPPPPLPVLVFSRFSFASLSRLSPAMAFTKPRRFFFHKDVTIRWVGSFDEWLVGTKPSRECKDADSHCFLLNLMSRKKIQLPRPCALHFFDYFCKTLPIVNTSGWVDIIIHDREYSMCFRKVVLSASPASDSMCIVAAISSRTLALWYPGMRSWCVCRSFGIDGSADIAFYQGRIYMAMVSTYFPHILSILFFQLEEVNGRVMVSYVEQCVTETLPPVEGCVVNEFYIVEWRGKLLLIVMYAEHVWLDTLKIGIYALDFSTNPHSLTEINNLDGDCLFISLRSSKSFPACQYDGAKGDFVYFVSGYWQHATSVHHSFDVLVYNVRDATTTRLSVSAPEDNSGPFTNNLLWLFPLR >ONIVA11G18560.1 pep chromosome:AWHD00000000:11:19764146:19764574:1 gene:ONIVA11G18560 transcript:ONIVA11G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIIETNRVGAEVINGDAASKKKSIELLQELNLPKGLFPLDDIEEFGYNRANGFMWILHRKKKEHTFKKIKQTVSYATEVTAFVEKGKLKKITGVKTKELMLWLSVVEVYVEESSAGKITFKTGTGLSDSFDASAFELDM >ONIVA11G18550.1 pep chromosome:AWHD00000000:11:19760758:19762160:-1 gene:ONIVA11G18550 transcript:ONIVA11G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSISGDALLRGPWNDLPGDLLGLVLGHIPCAAGRARVRSVCSSWRNAAAIQRPPRPLPMLVFSRFGFVSFSSFSPVMVIADAEFTRIPLHEDESLRWVGSFDEWLVGTRPGSVCKDAHSHCFLVNAFSRETIQLPRPSAFRLSHCICKTLPIVNTTGSVDIIIQEHEYSVCFRKVVLSGSPSSGSMCTVAAISQCILALWHPGMTSWCVCRSFWFDDSADIAFYQGRIYMAMVSTYFPHILSIMFFQLEEVDGRVMVSYVERCVTQTLPPVEGCAVNEFHIVEWRGKLLLIVMYANHVWVENRKIGIYALDFSTNPCSLTEINNLDGDCLFISSRSSKSFPACQYDGAKGDFVYFVSSFRQQTIGVHHSFDILVFNVRDATTAVFPVLVPGDNSDPFMDNLLWLFPPK >ONIVA11G18540.1 pep chromosome:AWHD00000000:11:19758346:19764091:1 gene:ONIVA11G18540 transcript:ONIVA11G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIIETHRAGAEVINGDAAGKKRSIELLQELGLPKGLFPLDDIEEFGYNRANGFMWILHSKKKEHTFKKIKQTVSYATEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVEESSAGKITFKTGTGLSDSFDALAFEQGISD >ONIVA11G18540.2 pep chromosome:AWHD00000000:11:19749724:19758345:1 gene:ONIVA11G18540 transcript:ONIVA11G18540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIIESHRVGAEIANGDEAAKKKSIELLSELGLPKGLFPLDDMEEFGYNRANGFMWILHRKKKEHTFMKIKQTVSYATEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVDESSAGKITFKTGTGLSDSFDASVFELGIASQLHKLQTSHSYFSTG >ONIVA11G18530.1 pep chromosome:AWHD00000000:11:19746178:19747185:-1 gene:ONIVA11G18530 transcript:ONIVA11G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRIPLPVEAASAGDLRCVGSCEGWLAVVRQKKARYLGDGACFLVNPFSREVINLPPPFVSTHLVDVYTRSLPIINGSGVVDCTIHAAQYVMSFCKVILSSPPGSGSAYTVAAISVHRNGAKLALWRPGMTSWCICYGGCISKFSDVAFYQGKFYILSKLTTNLFAFEITEDDCRMMVSRVERCVTELPQVKDSYGQRWNMVEWHGKLLLVVRYIGGSEGWHNICKVSVFMVDVSTNPFRFTEINSLDGDCIFISPCSSMSFPACQYDGIEDDLVYFIDGYLFPAKNGPPFDRFVYNMRDCTLAPFAADISDDNFRAPDGRLMSPTWFFPSE >ONIVA11G18520.1 pep chromosome:AWHD00000000:11:19742422:19744251:-1 gene:ONIVA11G18520 transcript:ONIVA11G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTGTGAVSGPPSWLDLPLGIVGAVLSRLHSSADRAALRSVFHRSWRAATRERDYPPLVLAAAPAPLPLVLYPNFALASRAQL >ONIVA11G18510.1 pep chromosome:AWHD00000000:11:19711467:19742312:-1 gene:ONIVA11G18510 transcript:ONIVA11G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGAMRPLLAKLGELIRNEYGLGKKVKKGLMSLETELEMMHKALDKVASVPLDQLDEQVRIWAGKVRELSYDMEDAIDSFMVRVDGCEPSSLKKNRVKKFLKKTTGLYRKGKDLHQIARAIEEAQELAKQLAELRQRYELDVHDVSAGAAIDPRVMALYKDETELIGIEQPRDELIERLFHREEGSKHRLRTISIVGFGGLGKTTLAKVVYDKIKVQFDCTAFVSVSRSPDVTKIFKKILYELERGRYANINEAERDQVQLIDELRRFLEDKRMKHLSDVDSQRLFYKRIFSHEDGCPHELVQVSRDILKKCGGVPLAIITIASLLSSPMRSKTNDKWDALLNSIGHGLAEEDYEIPRDQLIWIWIAEGFVKCEKHETSLFDFGDSYFNELINRSMIQPIDINVEGKARACRVDDMVLDLILHVSTAKNFVTIFDGIKRKTSSQIKVRRLALQNSVVEPTMPQVTMSMSQVRSVIVFMPAINLMPPLSSFHVLRVLDIEGCELHNLRLRSKNYPLKSGELPVEIGNLQFLQTIDIIGLAVEELPWAFVQLRQLMCLRVGENTRLPGEMGNLASLEVLSTVFLDESPNFVKQLQSLTRLRELSMLAFEMDMALMETLVESLCKLQKIETLDVQALPPLLNLIGNSWVPPPYLRRFFAHGPFLAMPTWIKRDPSLLSNLSVLTIQFMELSQEDLQILGRLPALLSLELDLTDQDKLLIGADGFHCLKVFKIWIFSCDLMFQLGAMPRVENIRFSFSVQQAKDGGNADFDFGLGNLLSLEHIDVVVRCYEVTNGEVEEAEAALRHVAQVHPNHPTLKMGRVASEERGDQSMEVVAGAMGPLLGKLGELLKDEFRLEKKVRKGIRSIETELTMKHAAIHKVDSVPLDQLDEQVRIWAGKVRELSYDMEDVIDVFMVRVEKGPRPDADAGTNLKNRVTKFLKKTTSLFRKGKDLHQIASAIEEAQELVKQLAELRQRYELEMCGGNVVGGAIDPHVIALYKDVTELIFKKMLYELDKGKYANINEVGRDEVQLIDELRRFLEGKRYLIIIDDIWDEKFWGFIKYAFTSNQLGSRLITTTRKISVSQACCSSSDDMSYKMKHLSDADSKRLFYKRIFLHENKCPHELEQVSRNILKKCGGVPLAIITIASLLSSTKRIKTSDQWHALLNSIGHGLAEGDSVEKMQRIMSFKDYEIPRDQLIWRWIAEGFVQREKHETSHFELGESYFNELINRSSIEPIDIDMEGRAVYMIWCLISYFNCQVEITLLLYTMIFNKKTSLQSKVRRLALQSSVASMPQVTMNLSQVRSVTVFRPAINLLPPLSSFHVLRVLDIDGFELQYLRLFAKNYPEKGGKLPVEIGNLRFLQTIDITGIDTEELPWTIIQLRQLMCLHVHHNTRLPDEIGNLTSLEALSTVCLYRSPNFVKQLQSLTGLRELSILSYGMDETLMENLVESLCKLQKLKTLEVRALSPLLNLRPTLRKYRSSTLNLIGNSWVPSPLLHRFFAFGVFDDMPAWIKRDPSLLSNLSVLDISFLRLPQEDLQFLGRLPSLLSLKLSVSVQGKLLIGADDGFHSLKVFELWIFQCGPVFQQGAMPRVEDIRVWNTGHRDNAEFDFGLGNLLSLEHIDVTVNCSRATKIASGALRPLLAKLGEVIKDEYGLEKKVKRDIKSLETELEMMYTVLHKVASVPWNQLDELDRLWSGKVRELSYDMEDAIDAFMVRVDKGHEPADAGSDLKNRVTKFLRKTTGLFRKGKDLHRIADAIEEAHELSKHLGELRRRYELEIHGVNTPAAIDPRVRALYKDVSELIGVEQPRDELIDKLLDGEEGSRQRLRTISIVGFGGLGKTTLAKAVYDKIKVQFDCTAIVSVMKKINEAVRDERQLVDELRQFLEDKRYLIIIDDIWDEKVWEFIKIAFPINHLGSRLITTTRKVSVCEACCSSTDDIVYRMKHLSDVDSQRLFCKRIFSHKDGCPHELQQVSRDILKKCGGVPLAIINIASLLSSKQIKTNDQWHALLNSIGRGLVEGESVEEMQSILSLSYDDLPSHMKTCLLYLSIFPEDYEIQKDRLIWRWIAEGFVKCFEFGESCFNELINRSMIQPINIDVEGNAEACRVHDMVLDLILHLSSRENFVTIFDDVQEKTYLQRKVRRLALQNSKVEATIPHVAMSMSQVRSITVFSPAINPMPPLGSFHVLRVLDIEDCEIHNLSSVGSLFHLRLRAKNIFEKGAELPLEIGNLRFLQTLDTSGVKMEELPKTIVQLRRLTCLYVDQFTRLPDGIGNLTSLEALSRVSLYESPTSAKQLQSLTSLRELSLLTFGMDEALVETVVESLCKLHKIETLHVLAFGPLLNSIGRGWAPSPHLRKFTTFGGQFDAMPAWIKRDPLLMSNLSVLRISFMELPQNDLQILGRLPALLSLNLGVMEQDKLLIGVDDGFKCLKVFELIMSSSCGPMFQLGAMPRVENIQFRLAVRETKDDGNTDFDFGLGNLLSLEHIDVEIHCGDATKGEVEEVEAALRHAAHVHPNHPTLKMERYGQYQMRDHEGLTVQF >ONIVA11G18510.2 pep chromosome:AWHD00000000:11:19711467:19742312:-1 gene:ONIVA11G18510 transcript:ONIVA11G18510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGAMRPLLAKLGELIRNEYGLGKKVKKGLMSLETELEMMHKALDKVASVPLDQLDEQVRIWAGKVRELSYDMEDAIDSFMVRVDGCEPSSLKKNRVKKFLKKTTGLYRKGKDLHQIARAIEEAQELAKQLAELRQRYELDVHDVSAGAAIDPRVMALYKDETELIGIEQPRDELIERLFHREEGSKHRLRTISIVGFGGLGKTTLAKVVYDKIKVQFDCTAFVSVSRSPDVTKIFKKILYELERGRYANINEAERDQVQLIDELRRFLEDKRMKHLSDVDSQRLFYKRIFSHEDGCPHELVQVSRDILKKCGGVPLAIITIASLLSSPMRSKTNDKWDALLNSIGHGLAEGDSMEEMQKTCLLYLSIFPEDYEIPRDQLIWIWIAEGFVKCEKHETSLFDFGDSYFNELINRSMIQPIDINVEGKARACRVDDMVLDLILHVSTAKNFVTIFDGIKRKTSSQIKVRRLALQNSVVEPTMPQVTMSMSQVRSVIVFMPAINLMPPLSSFHVLRVLDIEGCELHNLRLRSKNYPLKSGELPVEIGNLQFLQTIDIIGLAVEELPWAFVQLRQLMCLRVGENTRLPGEMGNLASLEVLSTVFLDESPNFVKQLQSLTRLRELSMLAFEMDMALMETLVESLCKLQKIETLDVQALPPLLNLIGNSWVPPPYLRRFFAHGPFLAMPTWIKRDPSLLSNLSVLTIQFMELSQEDLQILGRLPALLSLELDLTDQDKLLIGADGFHCLKVFKIWIFSCDLMFQLGAMPRVENIRFSFSVQQAKDGGNADFDFGLGNLLSLEHIDVVVRCYEVTNGEVEEAEAALRHVAQVHPNHPTLKMGRVASEERGDQSMEVVAGAMGPLLGKLGELLKDEFRLEKKVRKGIRSIETELTMKHAAIHKVDSVPLDQLDEQVRIWAGKVRELSYDMEDVIDVFMVRVEKGPRPDADAGTNLKNRVTKFLKKTTSLFRKGKDLHQIASAIEEAQELVKQLAELRQRYELEMCGGNVVGGAIDPHVIALYKDVTELIFKKMLYELDKGKYANINEVGRDEVQLIDELRRFLEGKRYLIIIDDIWDEKFWGFIKYAFTSNQLGSRLITTTRKISVSQACCSSSDDMSYKMKHLSDADSKRLFYKRIFLHENKCPHELEQVSRNILKKCGGVPLAIITIASLLSSTKRIKTSDQWHALLNSIGHGLAEGDSVEKMQRIMSFKDYEIPRDQLIWRWIAEGFVQREKHETSHFELGESYFNELINRSSIEPIDIDMEGRAVYMIWCLISYFNCQVEITLLLYTMIFNKKTSLQSKVRRLALQSSVASMPQVTMNLSQVRSVTVFRPAINLLPPLSSFHVLRVLDIDGFELQYLRLFAKNYPEKGGKLPVEIGNLRFLQTIDITGIDTEELPWTIIQLRQLMCLHVHHNTRLPDEIGNLTSLEALSTVCLYRSPNFVKQLQSLTGLRELSILSYGMDETLMENLVESLCKLQKLKTLEVRALSPLLNLRPTLRKYRSSTLNLIGNSWVPSPLLHRFFAFGVFDDMPAWIKRDPSLLSNLSVLDISFLRLPQEDLQFLGRLPSLLSLKLSVSVQGKLLIGADDGFHSLKVFELWIFQCGPVFQQGAMPRVEDIRVWNTGHRDNAEFDFGLGNLLSLEHIDVTVNCSRATKIASGALRPLLAKLGEVIKDEYGLEKKVKRDIKSLETELEMMYTVLHKVASVPWNQLDELDRLWSGKVRELSYDMEDAIDAFMVRVDKGHEPADAGSDLKNRVTKFLRKTTGLFRKGKDLHRIADAIEEAHELSKHLGELRRRYELEIHGVNTPAAIDPRVRALYKDVSELIGVEQPRDELIDKLLDGEEGSRQRLRTISIVGFGGLGKTTLAKAVYDKIKVQFDCTAIVSVMKKINEAVRDERQLVDELRQFLEDKRYLIIIDDIWDEKVWEFIKIAFPINHLGSRLITTTRKVSVCEACCSSTDDIVYRMKHLSDVDSQRLFCKRIFSHKDGCPHELQQVSRDILKKCGGVPLAIINIASLLSSKQIKTNDQWHALLNSIGRGLVEGESVEEMQSILSLSYDDLPSHMKTCLLYLSIFPEDYEIQKDRLIWRWIAEGFVKCFEFGESCFNELINRSMIQPINIDVEGNAEACRVHDMVLDLILHLSSRENFVTIFDDVQEKTYLQRKVRRLALQNSKVEATIPHVAMSMSQVRSITVFSPAINPMPPLGSFHVLRVLDIEDCEIHNLSSVGSLFHLRLRAKNIFEKGAELPLEIGNLRFLQTLDTSGVKMEELPKTIVQLRRLTCLYVDQFTRLPDGIGNLTSLEALSRVSLYESPTSAKQLQSLTSLRELSLLTFGMDEALVETVVESLCKLHKIETLHVLAFGPLLNSIGRGWAPSPHLRKFTTFGGQFDAMPAWIKRDPLLMSNLSVLRISFMELPQNDLQILGRLPALLSLNLGVMEQDKLLIGVDDGFKCLKVFELIMSSSCGPMFQLGAMPRVENIQFRLAVRETKDDGNTDFDFGLGNLLSLEHIDVEIHCGDATKGEVEEVEAALRHAAHVHPNHPTLKMERYGQYQMRDHEGLTVQF >ONIVA11G18500.1 pep chromosome:AWHD00000000:11:19707875:19728018:1 gene:ONIVA11G18500 transcript:ONIVA11G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRGVVDGGGRRSGGGETWEVGRKKREVEAGGREEVKERVEEEERVGRMVRMDMGSVPQRSLHFFHFTFRGITAMDFNINMLDLHKDSTTVSTKASSIPNVKSESSRRLYEIKHHVMYTTSFSVALYVDRQTVDNASSDVSCLALRLEIFRGTSVAGWVYVSSFEGIGKLKIEGIGKQP >ONIVA11G18490.1 pep chromosome:AWHD00000000:11:19707315:19707740:1 gene:ONIVA11G18490 transcript:ONIVA11G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGREVEAAAPSGGRRIRPPERRGSRAGVRQSGGGLVRRPGRGSTSPDLVEAGSGGGGGGGVRQSVWEALAAGSGFPEARSGVRRSRGGRVRWPGRGSTSPDLVEAGSGGGGGGGVRQSVWEALAAGSGFPEAKSGVRR >ONIVA11G18480.1 pep chromosome:AWHD00000000:11:19704387:19705851:-1 gene:ONIVA11G18480 transcript:ONIVA11G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTGTGAVSGPPSWLDLPLGIVVAVLRRLHSSADRAALRSVFRRSWRAGARDYPPLVLAPPLPLVLYPNFALASVFSDGAADAGHRVPLLAALLDGAFPGQCIGCLEDWLVCTWLRLSIPLYPTVGADGGCVLVNPFSGEKVSLPSPTATHSCGAIKRSVPVSNGDGEVVCTIHADEYAMALYKAVLSAPPNAGSSSSSSSELDLGSSCVVAAVSQRKGEYKLAFCTPETPSWCVCEGNCIKSHIDIEFYQGKLYMVDTRNGDLFAVELEAHDRVFPVVSIVERCLIEKLPSAEDGDRQTYNLVQSLGKLLLLVRYFRESWDQFVGVRVFELSFNSNPWKWIEKKSLDGESIFISSSCNKSFAASQYEEIEDDRIYFLDSLCPKFNPKKSDSYSYCSQVYNMRDGTISPFLIGTGPMSNYLGFPMWFCPTQ >ONIVA11G18470.1 pep chromosome:AWHD00000000:11:19698668:19700011:-1 gene:ONIVA11G18470 transcript:ONIVA11G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMEVPDVVRSGAACSAWRAAAAAFRSHRLPTPRQPPCLLYACDAYGPDAAALYSPSTAATFRVPFRIPRAVAGAAHGWLFATDDEANPYLVNPVTGARATLPPITTLDRVRSRETLVVGGGGGVVYGVDVSPTVGENIRHITAERARDWMFRRVAVSGSPSAAAGCVVLLVHMPFSELSFARPGDARWTSLSGVAELSFARAPDMAMVGDWGSILAMGELHHRQYWTSIVHNHKNGLFYLLRHCGSIFSLDLTGGGAASSSSPSPVARTVLSSPSPHQYSSGPKPTQYLAVTPRGELLRVTRRWHQTAIVAPPDASNGRWHVEHAVATTGVEVEEIRTPPPLATAASTATAISVAGLGGCGDVALFLGKSSAACIPTEGFPMLRPNCAYLTDDAGGDVVRSPAARRDFGVWDFGSGRLQRLGDVWPLHHPWLYSPSPIWITPSLY >ONIVA11G18460.1 pep chromosome:AWHD00000000:11:19690124:19697316:1 gene:ONIVA11G18460 transcript:ONIVA11G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRDQQEPGSGSGSGSVMCQLVNPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLNTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITAVSWEPVHLQAPCRRFVSASKDGDARIWDITTRKCVIALTGHTNSVTCVKWGGDGLIYTGSEDCLIKVWETSQGKLVKTLQGHGHWVNSLALSTEYILRTGAYDHTGKTYSTADEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >ONIVA11G18450.1 pep chromosome:AWHD00000000:11:19684682:19686730:1 gene:ONIVA11G18450 transcript:ONIVA11G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFVDDEGRRRSGSGRVTAFVALSCATAAMGGAIYGYDISIAGGVSSMEPFLRDFFPGVLRRMAGGGGGADGGAPRVSNYCKFDSQLLTLFTSSLYISGLLTAVLLASWVTASRGRRASMILGGFAYIAGAAVSGAAVNVSMAILGRALLGVGLGFTTQSVPLYMAEMAPARYRGAFSNGIQFSLCLGALAATTVNFAVEKIRGGWGWRLSLALAGVPAVFLTVGAVFLPETPNSLVQQGKDRDTVKALLQRIRGVDAVDDELDEIVAANAAAAAAHGENGLWLILSRRRYRPQLAMAVLIPAFTQLTGINAIGFYLPVLLRTVGMGESAALLATVILVVVSSASTLASMFLVDRFGRRALLLAGGAQMLVSEALIGSIMAAKLGDEGAPSKAYATLLVVLIGVYSTGFGWSWGPLSWLVPTEVLPLEVRSAGQSVAVATCFALTVLVAQCFLAALCRMKAWIFFFFAGWIAAMTAFVYFFLPETKGIPIEQVGSVWEEHWFWRRIVGTDEIHASSKLSK >ONIVA11G18440.1 pep chromosome:AWHD00000000:11:19678353:19683259:-1 gene:ONIVA11G18440 transcript:ONIVA11G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGGGGGAPADDGEGGDGLLGGGFAGPVLGGGGAAGVGFPPGFAAIPVAGAEAGAAGQDAVYAAVPDADEEEGFYEEDGDEGLGDRDDAEEGGHICQASNLNCKKGSTDEVAAGLQSQYDIAASINKKRPNKPARKPCALCYMESLQSGVYKERFLDAGGMEPHYKRVHPKFMSENKGRTAQNARIISRTSKTMTGITKHSEKIISTLAECGGEGIPVKLEKLVNNSPEDALLAQLQNGHEPEVPLAVILQYLMRLMDEDRIRKELELLSSVPKRASDCEKELLESWRWETTDGDDLAERKKILSRAKEIVFELIDSEVTFLNILYIMYKFKPYFHSAIGSAQVGMLLPDAEVDYWKKWLLKNCQVDYLTVISPMAKACLWEKLANNPRPQNGYERQAGNITVYRVVESVLERLHMAQTPLHFEFKGESLVPKFLGCICQTHTLFGVHIIEKKCNCVNEVPTKTAELAISHVYKGLHSESMYTLASAVCCDDEGRSFACDMDMMKGISGFKHAKKRDPGLLL >ONIVA11G18430.1 pep chromosome:AWHD00000000:11:19671269:19673250:1 gene:ONIVA11G18430 transcript:ONIVA11G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLVNAASAAATPRSAHGRLRCCTFAFDCAALAAGHASLVSASMLGALVLLLRGEHVRGSAVAATRFPLPSAPATDGATQLQRCSLPPLAIFSCKSGVHRWLQAHRGGGEIDGELHDLSRCARDGEKGRGKEEGEKKRIGLTPYRIIFHGQKPETGKMEGLTNNASVAAPLFPWPGSKKSGQCNGSKGDSGSMGFNGPHHLLDFY >ONIVA11G18420.1 pep chromosome:AWHD00000000:11:19669173:19675927:-1 gene:ONIVA11G18420 transcript:ONIVA11G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISDWSSLPKDIVIVVMGKLEIPDLLSAGAVCASWRAACTAVRRVRFPITDKSPCLLYSCEADDPDLATFYSPSNNATFKVRLPGPPFRRRYTVGSDHGWIVTADELSNLQVINPLSGVQIDLPPVTELYNVESFIDEQGSLMYNNYEDSMHRDDPLGFPVPYPSQRLRLFLYFRVILSCSPSAGSECVVLLLHSPDGQLSFARIGDHSWTRLTDIENLWDRGYRHAVYNKNDGLFYLLHFQGSIHTLNLNGPSPVVNEILKGVTAWDNPTKSIVMTPRGDMLQVWRCRELRWNDAPVQFPSEDSEDVHDPCQELYTDEMLLYKVDFDGQKLDKMDSLEDHVLFLGFNSSICLSAKDFPNLRPGCAYLADDSYEEIGINKHTLREVGIWNFKSETLESLGDPQSGFLAVAAATMAAAAADWTSLPDDILFLVMRQLGIPDLLNAGAVCSSWRPTYSSLRLPITDKSPCLLYSCDADADADDDDVATVYSPSSGATFKLRLPAPAFRRRYMVGSDHGWVATADELSNLQVINPLSGVQIDLPPVTELYHVASFTDDRGRLMYSNQDNWMRRHDPQWLPVPYHPQRLRLFLYYRVTLSCSPSAGSECIVLLLHRPDGELSFARVGDRSWTRLTGIENLPKTGYRYAFYNKNDRSGGVGIRVGLIPPYASLWRIVMMFMIHVRSSKDFPNLKAGCAYLADDAYEEICVNKHTWRELGIWNFKSETLESFGDPPSVLPWLNWPPPIWITPSIY >ONIVA11G18410.1 pep chromosome:AWHD00000000:11:19663997:19665434:1 gene:ONIVA11G18410 transcript:ONIVA11G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTGTGAAVVADWTRLPEDILVTVFCQLEIPSLLRSGAVCASWHAAYRTFRRLRLPSPKQPPCLLYSCDAYGPDAAGLYCPSTGATYRIPVSCGGGGGFRNLTLIGSADGPSSRRCPRCTTSRPPSTRTKAASCTTSMRIHPNTPPVRIPAREAQNCMYDRAVLSCGPRTRAGDAAAACVVLLLHKPMCELSYARPGDERWTWVSPGAGTGLQWRNWYCDAAYNKDDGLFYVVRRDDSVHALDLTGPSPVARKVFHDREWSESLASRFLENVHGLCGIPFRYLVHTPSGELLHVWRFRDSVSSYDLSLDDQDDNDDDDDDDSGDSLQEESSPEDEDDDSCDPPDEELVTEDIQLYMTDFHGQKLEAMDSLDDHAMFIGYNAPLCLPTKDFPGLSPNCVYITDDSLEYINYSRRNNKRDIGVWSIEDQKLQSLGGASPIKDPWLNWPAPIWITPSLL >ONIVA11G18400.1 pep chromosome:AWHD00000000:11:19655605:19656237:-1 gene:ONIVA11G18400 transcript:ONIVA11G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHELIVQYSFTIVPSSSTIGKPYTYLVHTASDELLQRIKHNSSWSDLLEDLITVDVQLYTTDFHGKKLKAMDSLDDMLCFLGYV >ONIVA11G18390.1 pep chromosome:AWHD00000000:11:19645815:19653600:-1 gene:ONIVA11G18390 transcript:ONIVA11G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRATLPMPMPMPLLLNPRAAQQPRHPTVVVAGPHGRAPPSRPADQERKNQSDRGRMEDDDAAPVGFDWGEEEDWGERDLDAADGNDDDDKPVAASADHEASELHKRVQEAFDCSPKGSWPCLCCAIDQMYKKKNRSSGAFNKKGMESHYQLLHREIWKSRYRSQCKKCYNFFKNRELRKIHEDNVLTCSGVLLNIIGEFKESEESFEGEKATVSCSLAMKDLLGGDESQVKLSESLFGFAPIIITLLYKGRLTSLICYAEKSYICFACDKYRWLKCGTMAKLVNNPQAEDYLLAYRPNGHDIQVPLDMILWLIAIQVRMITIWTLVVVSIAAPLDINPVYEGLHSECKYTMVSAVRVSVIAFSDAFLSYTRVIVWGRDICFAREEKWLVYDKTHSEVKEFDSWEKVLEEYSRSSFRPQIIFFERIDPASKAAGTEVTV >ONIVA11G18380.1 pep chromosome:AWHD00000000:11:19633785:19635224:1 gene:ONIVA11G18380 transcript:ONIVA11G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTCAGAGVIQCWETLPEDLLVTVFCQLEIPALLRSGAVCASWHAAYRTFRRLRLPSPRQPPCLLYSCDAYGPDAAGLYCPSTGATYRIPVSCGGGGGFRNLTLIGSADGWVVAVDEIGNLRLLNPLTGAEAGLPPLSTMHHVEAAFDEEEEGGGLAYDIVDRLYNRPSLVRVPAREVRDCMYFRAVLSCGPHAAAAGGGDAAACVVLLLHMPRCELSYARPGDERWTWISPGAGTGLRWRNLYCDAAYCKDDGLFYVVRDDDSVHALDLTGPSPVARKVFDERPWSTSLPSRYLEDVHLPCAQYLVNTPSGELLHVWRFRQWVSSYDSSSDDQDDSSNDSSSEDQDDSSYDSSSEDQDSSSSRDLYEDLITRDIQLYRTDFHGKKLDAMDSLDNHALFLGYNTSLCLPTEDFPGLKPNHAYITDDSLEFVNYFKRNKKEIGMWNIESQILKRFGDESSLEEPWLNWPAPIWIIPSLL >ONIVA11G18360.1 pep chromosome:AWHD00000000:11:19622107:19623471:1 gene:ONIVA11G18360 transcript:ONIVA11G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRAAVADGDGDDDGAGAPVQVSVDPAAYRDWSALPDDLLLTVMESLAIPDLFRARTACASWYAAYSIARRARIPIRDSAPCLLYSGEDDDDPSTATLYSPSSGDCFRVRLPDPPLRSRALVGSAHGWLVTADEQSNLHLVNPLTGAQVALPPVTALHHVESFVDEEGNIVYSVDESLGPDDPEANLPEFEELADREVPVEYPAEKLRLFMYHRVILSCSPSAGRKCVALLVHRPDGMISFARPGDERWTHINRDTGYTDALYNKNDGLFYLLSFDGSICALDLSGSSPVARNIVKKNTQWDNPSKYIVLAPWGDLLEVWRLRDFDEPDEIPECSSAEFEDRSDKWLTEEIMLYKVDIDKQKLVKISSIGDHALFLGFNSVVCLPTNKFPMLKPDCAYLSDEFYEEICVKRHNWREIGIWDLKSCKLQSLGDVESLHAWRNWPSPIWITPSLN >ONIVA11G18350.1 pep chromosome:AWHD00000000:11:19614406:19620856:-1 gene:ONIVA11G18350 transcript:ONIVA11G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKTVVVLVQENRSFDHMLGWMKSLNPEIDGVTGAEFNRATAGDPSSPAIHFGDGSGYVDPDPGHSFQAIYEQVYGDAYTWGTTSPATKPGVPSPPMSGFAQEAEKERAGMSSTVMNGFRPEKVPVYRELVREFAVCDRWFASVPTSTQPNRMFVHSATSHGLVSNDGKQLRAGLPQRTIFDALHDAGHSFGVYYQFPPSRDCKAGKLPNYVVIEQRYFDLKLLPGNDDHPSHDVAHGQRLVKDVYEALRSSPQWHEILFVITYDEHGGFFDHVPTPVAGVPSPDGIVSAAPVSFAFDRLGVRVPTLLVSPWIEPGTVVHDPASCGGAPEPTSQFEHSSIPATVKRIFGLKEFLTRRDAWAGTFDTVLTRAAPREDCPATLPEPPRLRAAEAEEHREVSEFQAELVQLGAALNGDHDGEGYDPEVFVRGMTVAGAAQYCRDAFDRFREECHRCRDGGMDGSHVPMLQPASASASSSAPAADPPATAPAPATPSALSKLCGCFPCFNAS >ONIVA11G18340.1 pep chromosome:AWHD00000000:11:19610384:19612714:-1 gene:ONIVA11G18340 transcript:ONIVA11G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAKPPPFVCFKWPWGPDPKATSPSPSPSPCGDLEMPWLLKSIRTVAQGLLIAGDLPSPSSDGGGGGGGARTRGRRRRRLGPGLAAEADRGEAEQRALAAALASGRDATVLEFYSPRCRLCASLQGLVRELEDGAGGRAGFVLADAEDDRWLPELLHYDIRYVPCFVLLDKNGRALAKTGVPTSRQHVIAGLHHLLNMNQISVQEGTKSTA >ONIVA11G18330.1 pep chromosome:AWHD00000000:11:19606193:19609539:-1 gene:ONIVA11G18330 transcript:ONIVA11G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthiotransferase [Source:Projected from Arabidopsis thaliana (AT4G36390) TAIR;Acc:AT4G36390] MAAPLTAAAALRLGRGLSHRRAFLLCRRYSPAPLAPSPCAAPRCLSSAAHPPPPPPRRLARSGPSRPLAASAATAVSEAQTDLESGPVTASKGRIYHETYGCQMNVNDMEIVLSIMKNEGYDEIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRQWKANVAAGRSRSLRPPKIAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGQKGINTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSIVREVGELWKAGVKEVMLLGQNVNSYNDTSEIEELEPGKNWELSEGFSSMCKVKNMGLRFADLLDQLSLEYPEMRFRFTSPHPKDYPDELLYLMRDRHNVCKLIHMPAQSGSSAVLERMRRGYTREAYLELVQKIRSIIPDVGLSSDFISGFCGETEEEHAETLTLVRAVGYDMAYMFAYSMREKTHAHRNYVDDVPDDVKQRRLAELISTFRETTAKIYDSQVGTVQLVLVEGPNKRAPETEMIGKTDRGHRVSFASVPVPHTFEGDELRKPVVGDFIEVKITKSSTASLSGDVIARTSLSKFYKNHSSEAHAVAA >ONIVA11G18320.1 pep chromosome:AWHD00000000:11:19599764:19605388:1 gene:ONIVA11G18320 transcript:ONIVA11G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein, HflX [Source:Projected from Arabidopsis thaliana (AT5G57960) TAIR;Acc:AT5G57960] MRAACFFTGAAATASLPLPSTSASASSCCQRRPASLRCSRPRRSFGVARALDERLVEAAPPAETEEEEEEEPARAPVRSRRRQEEEEEEAAPGHDRFKLINGKEIFQEKAYLVGVECKRSGGSMFSIEESLEELEQLADTAGLMVVGSTYQKLSTPNPRTYIGSGKVAEIKSAIHAHDVETVIFDDELSPGQLRNLEKSFGGGVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKMWSHLERQSGGQVKGMGEKQIEVDKRILRTQISALRKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVDKVLKELDIESIPKLVVWNKIDNTDDTLRVKEEAEKQGIICISAINGDGLEEFCNAIQAKLKDSLVPIEAFVPYDKGELLSDIHKVGMVEKTEYTENGTFVKAHVPLPLARLLTPLRQQVAAVS >ONIVA11G18320.2 pep chromosome:AWHD00000000:11:19599764:19605388:1 gene:ONIVA11G18320 transcript:ONIVA11G18320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein, HflX [Source:Projected from Arabidopsis thaliana (AT5G57960) TAIR;Acc:AT5G57960] MRAACFFTGAAATASLPLPSTSASASSCCQRRPASLRCSRPRRSFGVARALDERLVEAAPPAETEVEEPGVADGGGEGEGEVEDSAPSGEEEEEEEPARAPVRSRRRQEEEEEEAAPGHDRFKLINGKEIFQEKAYLVGVECKRSGGSMFSIEESLEELEQLADTAGLMVVGSTYQKLSTPNPRTYIGSGKVAEIKSAIHAHDVETVIFDDELSPGQLRNLEKSFGGGVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKMWSHLERQSGGQVKGMGEKQIEVDKRILRTQISALRKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVDKVLKELDIESIPKLVVWNKIDNTDDTLRVKEEAEKQGIICISAINGDGLEEFCNAIQAKLKDSLVPIEAFVPYDKGELLSDIHKVGMVEKTEYTENGTFVKAHVPLPLARLLTPLRQQVAAVS >ONIVA11G18310.1 pep chromosome:AWHD00000000:11:19594068:19599619:1 gene:ONIVA11G18310 transcript:ONIVA11G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEIVPQSFNEELDSTVTITPASDNIDVSDDTSVPHPSEVPATVNVVLVEQSDNSISEPLESDGANGATENVVPLASEKSTDNYDAMNSEPVNSEPYEASPANNAEKGDVMQQSDENNGDKQENQDLLSPMAETAGSDSTSVTSMEDVQEAKDAAPSETDDATGHPPDLSNDKACAGNGNGNVFQNAKCVLTTSTKKMKRSASATTRKSLQATNTDEGNASTLTNSKSSNGRTTTVPAGPVFRCTERAEKRREFYMKLEEKHQALEEEKIQLEAKLKLPTTRPKSPKLGRRKASTAADASNSSEESDSTPRPCCRASRDSLDSICKCSSSSRNGKPQQPATAKPAASKKQPKPHAHKLSDQSAMNIAVH >ONIVA11G18300.1 pep chromosome:AWHD00000000:11:19578056:19584427:1 gene:ONIVA11G18300 transcript:ONIVA11G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGEMDPPITASLGAIHIVFGKLVRLLSEPGLRLHKEEMKALGVLKDGLQVLIEDYLMEPSDLEGPAFKANYWMKEVRELCYDIDDFVDELFHAAANAKIQRALQFKASPLRKKLPLFKTSQLQEKLSRFNASQLQKKLSWRQKNVDEVSSFSSCLKELIMLYKCYDLGSLERRSGWESNGTMSLTLPLAEETSQRCYLGMDKDMDKLVGLLNDGQQECKVIAIIGLCGVGKTTLAEELYQKFGFQFQCCAFLRLSRKPDMKRVLGSLFSQVRQHDPPGTGTVEVDNLSHEIKSYLNDKRYFIVIDGVWSSYIWNTINQTLPKNFYSRILITTEIDHVAQRCCVDNRKYIFKKEPFNKVESDEFFSRVHESKNAEYLKEISSEIAQLCSGLPLMMAIVASILTRLPPSTEQWNVVKKSLSSKLEGILDLIYNIIPHPLKACMLYLGIYEEDDIILKDELLSQWFAEGFIDTVDGNDEKRVALIYFDELISYGLIQPVETRFDNEVLYFRVHYMIIDSIRSKAVQHNFAIAIDNHQTDVRIADKIRRLSLRFGNANDVTTPIGLRWSQVRTLVFFGPLRCTPSIAEFRVLRVLILRLSADDDDVTYDLSEIAELLGLKYLYIDACHLNIRLPMQMRQLQYLAKLKIEARLSYVPSDIFYLPRLQQLILPSEITLPHFTEPMKSLHTLGCFDLSGSSTESIVDLGKMVNLQNLHMTCSSGQPANLKLLGSVLNKLKNMKSLILVFTGSLDDADSSIMEISSDDFSVSSPPVLLTRFEFSWRSCIFSCLPRWIKELNNLSILKIAISEMLGHDVDVLSVLPALTSLSVYIQRAPEERISFGKGGFVALKYFKLKCTVPWLKVEVDAMPNLEKLKLRFNVGLSLQRVGLHGNNLINIEHLSRLKEIYAKVESEGSFDAGSALMTGVWNDPRNPTITIQLICGFYGEMTRRMTKDDIILEENPDSITEDEVRQKDEKKQVDDHRELSSSQRVTTDAISKAFKATEEGFIELVSRQWKTDPQIATVGACCLVGAVQQKTLFIANLGNFRAVLGKVSCIGQIVAEQLSSEHIANDAWKAKGLVQVLRAIGDAYLKYPQYSREPLNKPILSANPSIVSRVLRPNDRFIIFGSAVLWEYLSNQEAVEIVKNHQASGSAKMLVKAALHAAAKKHNLHYSDLLKMDRDNPRHVHEDVIAVVLFINYDQLLKGKQGRPLSIRYPRSIQHGLVPSSRIS >ONIVA11G18290.1 pep chromosome:AWHD00000000:11:19575496:19576308:1 gene:ONIVA11G18290 transcript:ONIVA11G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRSVQTSIFLFFTLYILVPFANIAYQLQSIFDRTTKREFKDLCFCAKNVEATSDIKNYIKDIEQFLSHSSKFYIVTINTTFIEQDRIKYIQPLMEGKKTINIQVQTAGNDSATMVLHVSTDGRCSLKKGWTNFAVQNNIHLQSICIFHFYKPAHI >ONIVA11G18280.1 pep chromosome:AWHD00000000:11:19568185:19574427:-1 gene:ONIVA11G18280 transcript:ONIVA11G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAISPSIHLHLHHPPRLHRLLHLSTASPYPWLSAWPTAHRRRVPLRRPASALDLRPEPSPSSDSDDDAAFGTSRSSSRSAMSLILSRLRNSGYSYSPPELPPRPPRGSVEDVFRVDDGVVPNARGGFDDDAESALVDARFPWELPMPPPEAGPRAARSKAWMAELTLPEAELRRLRHAGMRLKSRIKVGGAGVTREIVERIRDRWRNDEVVRIKVTGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGVAYDIPEPTKGTSKNTQTLGMKSSIKEPPGHSLLPNEKVNEMQDNNGALVSNAEKDTLVEPVSEIKYEDEIDKLLDELGPRYDDWPRPDPSPVDADLLPATVPGYKPPFRVLPYGVRPSLSRRDTTNLRRLARGLPPHFALGRSRQLQGLAAAMVKLWEKSSIAKIALKRGVQLTTSERMAEDIKKLTGGVMLSRNNDFIVFYRGKDFLSPELAEKLLERERWAKSLQDEEQARLNAASSFSSRTEAPVEPTVAGTLGETLEANSKYGNKLDENYENKMTRTVEAARHADLVRKLEWKLQLAQKKIEKAERVLGKVETALKPTEGIQPPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKAKSFGDVKKIALSLEAESGGILVSVDKVSKGYAIVVFRGKDYARPSKLRPRNLLSKRKALARSIEIQRREALSHHIATLNRRVKKLKAELLQMEGVKEEGDVELYAKLDSAYSSDEEDVEDEDDEAYLRSFDNSVAVQNGDDRTSLDGSDANSDDEGDYSDEDDDEDDDNDEEDGFDYENDDEDDVPPTTSDGDLYNHTDFGSSDSENYVSLSGRGDPDVKSKGSALDSRNSYSEQSTELTNTYHQEHQQSKRSNFLKP >ONIVA11G18270.1 pep chromosome:AWHD00000000:11:19558078:19562242:-1 gene:ONIVA11G18270 transcript:ONIVA11G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3T4] MRVGGGGGGGGGGGGREREAALLLVLIAAACGFVVVLLNLPDGRALPGAGGVPGAVGEHTGGTHVSVKERRMVEIVRQQQDVAAQELEGQTDENAAEADERISRSPPGAKEKLWMMQDQLIMAKAYLQFASLHGSAHLVRELKLRIKEIERVISHFSSSSRVPTSALQKIRAMEMTLSKAQRAYPHCSHMTAKLRAMTHQSEELVRAHRSETSFLEQVAVRTLPKGHHCLAMRLTSEYFLLDPKEREFPQRYTMQMGDLYHYAIFSDNVLASAVVVNSTISASKDPKRIMFHIVTDALNFPAMMMWFLTNPPNPATIQIKSLDNLKWLPADFSFRFKQKGIRDPRYTSALNHLRFYLPEVFPSLNKLVLLDHDVVVQRDLSGLWQINLNGKVNGAVETCTSGDGYHRLENLVNFSDPSIINKFDAKACIHAFGMNIFDLKEWRRQGLTTAYNKWFQAGKRRRLWKAGSLPLGQIVFYNQTVPLDHRWHVLGLGHDRSIGRDAIERAAVIHYSGKLKPWLEISIPKYRDYWNNFLDYDNPYLQQCNIHMPK >ONIVA11G18270.2 pep chromosome:AWHD00000000:11:19557915:19562242:-1 gene:ONIVA11G18270 transcript:ONIVA11G18270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3T4] MRVGGGGGGGGGGGGREREAALLLVLIAAACGFVVVLLNLPDGRALPGAGGVPGAVGEHTGGTHVSVKERRMVEIVRQQQDVAAQELEGQTDENAAEADERISRSPPGAKEKLWMMQDQLIMAKAYLQFASLHGSAHLVRELKLRIKEIERVISHFSSSSRVPTSALQKIRAMEMTLSKAQRAYPHCSHMTAKLRAMTHQSEELVRAHRSETSFLEQVAVRTLPKGHHCLAMRLTSEYFLLDPKEREFPQRYTMQMGDLYHYAIFSDNVLASAVVVNSTISASKDPKRIMFHIVTDALNFPAMMMWFLTNPPNPATIQIKSLDNLKWLPADFSFRFKQKGIRDPRYTSALNHLRFYLPEVFPSLNKLVLLDHDVVVQRDLSGLWQINLNGKVNGAVETCTSGDGYHRLENLVNFSDPSIINKFDAKACIHAFGMNIFDLKEWRRQGLTTAYNKWFQAGKRRRLWKAGSLPLGQIVFYNQTVPLDHRWHVLGLGHDRSIGRDAIERAAVIHYSGKLKPWLEISIPKYRDYWNNFLDYDNPYLQQCNIHISTTIQADMII >ONIVA11G18260.1 pep chromosome:AWHD00000000:11:19555464:19556868:1 gene:ONIVA11G18260 transcript:ONIVA11G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIYASHLQPWNGIKTQILHAGKFHNARLSHPYIYGPKVWCILPSATEKDCATVQTTSFSIRCTIEETKILKVMAGITGSRALMVVALLCAAAAMTAAQEASNVRATYHYYNPQQNNWDLNKVSAYCATWDANKPLSWRQKYGWTAFCGPAGPRGRDSCGKCIQVKNRGTGATIIARIVDQCSNGGLDLDYETIFKKIDTDGRGYQMGHLQVDYKFVNC >ONIVA11G18250.1 pep chromosome:AWHD00000000:11:19547119:19551808:1 gene:ONIVA11G18250 transcript:ONIVA11G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASETMVMAMMRRVVMVVAVLCAVATMAMAQEASNVRATYHYYRPAENNWDLRAPAVSAYCATWDADKPLEWRQKYGWTAFCGPVGPTGQDACGKCLSVTNTATGDQITARIVDQCANGGLDLDWDTVFSKIDSDGQGYQNGHLIVDYQFVDCGDN >ONIVA11G18250.2 pep chromosome:AWHD00000000:11:19545648:19547369:1 gene:ONIVA11G18250 transcript:ONIVA11G18250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMRRVVLVAAVLCAVVAMVTAQEASNVRATYHYYRPAQNNWDLGAPAVSAYCATWDANKPLSWRQKYGWTAFCGPVGPRGQAACGKCLLVTNTATGAQITARIVDQCANGGLDLDWDTVFTKIDTDGQGYQKGHLIVNYKFVDCGDN >ONIVA11G18250.3 pep chromosome:AWHD00000000:11:19545648:19551808:1 gene:ONIVA11G18250 transcript:ONIVA11G18250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMRRVVLVAAVLCAVVAMVTAQEASNVRATYHYYRPAQNNWDLGAPAVSAYCATWDANKPLSWRQKYGWTAFCGPVGPRGQAACGKCLLVTNTATGDQITARIVDQCANGGLDLDWDTVFSKIDSDGQGYQNGHLIVDYQFVDCGDN >ONIVA11G18240.1 pep chromosome:AWHD00000000:11:19543886:19545072:-1 gene:ONIVA11G18240 transcript:ONIVA11G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEQYKSWRFPEWLAAAVMLGFRVGMQRAEMLTGSAAVNEAIVDSEVPALEALAVSVNLGEDSVPVQI >ONIVA11G18230.1 pep chromosome:AWHD00000000:11:19541097:19544020:1 gene:ONIVA11G18230 transcript:ONIVA11G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIPLHRRYSPWVRWGKRAHQSPYIYQRGRKGIWAAAACVFDFVLAAQETERAKAKYCGSSMLMGRKIMGLLLGCVGLVAVMHVAAAQQAFGVRATYHFYRPAANGWDLTATGAFCSTWDAGKPFDWRSKATGAQTTARIVDKCTNGGLDLDWDTVFSKIDTDGQGFQRGHLTIDYSFVNCGDNNYLAEVVI >ONIVA11G18220.1 pep chromosome:AWHD00000000:11:19538688:19539198:1 gene:ONIVA11G18220 transcript:ONIVA11G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSVSLLVLVAVVLSASGGAAAQQASGVVATYNPDTINWDLRAVSAYCSTWDADMPLAWRRCYGWTAFCGPAGAHGEPSCATRRACGEDCVGVGVEQFACMRDAARVGVTNTATAASAVARVVDQCSTGGLDLDVAVFRQIDTDGGGMANGHLVVDYEFVDCQD >ONIVA11G18210.1 pep chromosome:AWHD00000000:11:19535053:19536452:1 gene:ONIVA11G18210 transcript:ONIVA11G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCKKVLMLCGDYMEDYEAAVPFYALAAFGVAVDCVAPGKKPPGDACLTAVHEFLGHDLYTELPGHRFAVTADFAAAAAADASRYDALVVPGGRFVERLSVDPLAVSLVAAFAGEGETATRRRPVVVTCHSQLLLAAAGAMRGVRCTAFFSMRRVVELAGGTWVEPDPLGLCVADGNVLSAIGWPAHGEIIRELLRAMGARVAGGRGQAVLFLCADYVDDYEANVPFRALAGVGCRVEAACPTKRKGEACVTAIYDATPAAASDERRGHNFAVTADWGDVDADRYACVVVPGGRAPELLATRGEAVALVREFAGKGKVVASIDQGHLLLAAAGLLDGRSCASGVAASVRHGGAVADGKLVTAASWPDLAEFIAHIISLLGITVSF >ONIVA11G18200.1 pep chromosome:AWHD00000000:11:19532419:19534778:1 gene:ONIVA11G18200 transcript:ONIVA11G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMDTLLPKLAELLKEQYDLQKSVKDGITFLMAEFKSIQAALEKISKVPLDQLDKQTKIWAWDIRELSYDIEDNIDTFMLRH >ONIVA11G18190.1 pep chromosome:AWHD00000000:11:19517156:19527299:1 gene:ONIVA11G18190 transcript:ONIVA11G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAALRPLISKLGELLKNEYGLEKRVLKRVKSLETELMMMHAALDKVAVIPRDQLDEQVRIWADKVWELSYDMEDVIDTFMVRVEKGPELTASNNLKNRAKKFLKKTTGLFSKGKDLHQIANAIEEAEELAKQYAKLRKRYMLDIGSINANAKEALNMDPRILALYVDERELVGIELQRDELIDKLYSGDEESILRLRTVSIVGFGGLGKTTLAKAVYDNIKVSFDCSAFVPVSRDPNIRNIFKKIHYDLDKQKYGNINEAIRNEKQLIDELRSFLSNKRYLIVVDDLWEISTWNFIMPAFLDSNNGSRIITTSRNTDVAEKAGYVHNMEPLSCQNSKRLLYRRVFGDDYEDPTDNQPCEATEKILNKCVGVLLSIITMASLLVDKPVEAWSIVYDAIDFGPENQNEVVDNTRKILLFSYYDLPAHLKTCVLYLSIFTEDHRIEKRSLIWKWVAEGFVHEEQGKRLVEIGEGYFNQLINRNMIQPMEGKFNRSIDGCRIHDEVLDILGTRLDLKALPVTVGKLTKLMRLCVDSDTRVPSGVLGNLASLQELDLGSLADNKCPNFIIDLHKLTNLRMLDVNVFSTRDQGWFETLVQSVRTFSGIQHVHIWGTSRHVLSSWEGWKPPWHFYHFCVGAFQLRRLPEWVNYRSVPDLSYLELKLQDIEALDMEALARIPELRYLYLNVYTEGTFSWTVHGGGLFPKLRYCDTNIALTFSEGAMPMLTRANLWLTVSSDGAATEIGLGNLGLLNSVTLNLYCKGPTERQVEEVKAAWTSAVQAYPSRPTIYFHQCILWMSKQDKDDNGEEEKSATEDEDEYTREQDKDDDGEEEMSATEDNDEDKDDDDDEEMSATEDEDEITCDELEKEQEDGYFEVEEDEDSDEWSRFVFGGEGSSWRLVTEDELRKDAAEAQVARYQQNYPHSWVFT >ONIVA11G18190.2 pep chromosome:AWHD00000000:11:19517156:19527299:1 gene:ONIVA11G18190 transcript:ONIVA11G18190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAALRPLISKLGELLKNEYGLEKRVLKRVKSLETELMMMHAALDKVAVIPRDQLDEQVRIWADKVWELSYDMEDVIDTFMVRVEKGPELTASNNLKNRAKKFLKKTTGLFSKGKDLHQIANAIEEAEELAKQYAKLRKRYMLDIGSINANAKEALNMDPRILALYVDERELVGIELQRDELIDKLYSGDEESILRLRTVSIVGFGGLGKTTLAKAVYDNIKVSFDCSAFVPVSRDPNIRNIFKKIHYDLDKQKYGNINEAIRNEKQLIDELRSFLSNKRYLIVVDDLWEISTWNFIMPAFLDSNNGSRIITTSRNTDVAEKAGYVHNMEPLSCQNSKRLLYRRVFGDDYEDPTDNQPCEATEKILNKCVGVLLSIITMASLLVDKPVEAWSIVYDAIDFGPENQNEVVDNTRKILLFSYYDLPAHLKTCVLYLSIFTEDHRIEKRSLIWKWVAEGFVHEEQGKRLVEIGEGYFNQLINRNMIQPMEGKFNRSIDGCRIHDEVLDILGTRLDLKALPVTVGKLTKLMRLCVDSDTRVPSGVLGNLASLQELDLGSLADNKCPNFIIDLHKLTNLRMLDVNVFSTRDQGWFETLVQSVRTFSGIQHVHIWGTSRHVLSSWEGWKPPWHFYHFCVGAFQLRRLPEWVNYRSVPDLSYLELKLQDIEALDMEALARIPELRYLYLNVYTEGTFSWTVHGGGLFPKLRYCDTNIALTFSEGAMPMLTRANLWLTVSSDGAATEIGLGNLGLLNSVTLNLYCKGPTERQVEEVKAAWTSAVQAYPSRPTIYFHQCILWMSKQDKDDNGEEEKSATEDEDEYTREQDKDDDGEEEMSATEDNDEITCDELEKEQEDGYFEVEEDEDSDEWSRFVFGGEGSSWRLVTEDELRKDAAEAQVARYQQNYPHSWVFT >ONIVA11G18180.1 pep chromosome:AWHD00000000:11:19502283:19503081:1 gene:ONIVA11G18180 transcript:ONIVA11G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTGGAIRPRRRRNSSVSLFPPKRTAAISLWWHGHMQSRILAAGQPATQCPLPSWNGNGIGSGGARSGDPRPVLVLYRLPTYSNTIVFSHRA >ONIVA11G18170.1 pep chromosome:AWHD00000000:11:19499661:19500906:-1 gene:ONIVA11G18170 transcript:ONIVA11G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMVAMVEGHHPADGASSSAAVCGGGEGVWRRGRSPGAGAPSTVGRTVGGGLGRIAEGTMLLTDDDPSCADWWCGFGQGIAGADNGDAVWRRSPPWRRCFSIPLSFPYHILQVKTLLRFRTSSGGDPRRILLEGTALEKSLRARILSLVYALASNFSPKL >ONIVA11G18160.1 pep chromosome:AWHD00000000:11:19483353:19496585:1 gene:ONIVA11G18160 transcript:ONIVA11G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAIGTLLPKLGKLLSEEYNLQKNVKEGIKFLKIELESMQLALKKISNVPLDQLDEQVKIWARDVRELSYSIEDIIDTFMLRVDDLDQSKKNIFTWLINKCHKLSEVKIQHKIAKDIKDVKIQVKAVMERRDRYRLDGVVANPPTIVDPRILALYENVTNLVGIDKTSDDLIKRLFEGDDESKKKLKLISIVGIGGLGKTTLAKAVFESLKMQFECAALIPVGQKPDIKKVFKDILIELNKYNYMKFDTMALSERYLIDELREYLHKRRYLIVIDDIWETSTWKMIKCALVDSNCGSRVITTTRISQVAEEVGNIYLMEPLSADNSKKLFYNRMFGAQCKGREGNQQAEATKKILQKCSGVPLSIITIACLLVNKPVEEWSKVYNSIGFGLEDRNEAVQNTRKILSYSYYELPSHLKTCLLHLSIFPEDCWIEKKSLIWIWIAEGFVHEEHGNKIYEVGESYFTELINKGMIQPMGYDIYSDTFDGCRVHDMVLDLIRILTNVENFVKVLDKPYDEHNLSLQIGVVRRIALHKSSNLEKSHNLAASMPQLRSFNAIKCSISLMPLLTSFQVLRVLVLEHCDITGSCHLKHLGKLHQLRYLGLRYTCVDYLPTEIGALVQLQALDVRNTGLAALPASVGKLNKLMRLCVDRETRVLASVGNLTSLQELSLGLVSIDICSNFAVEVRKLSDLRILKISWLGETDKGLLKALVESLCSLQRIQHLEILFGSWVLVSHWEGWEPPRQLHKFCMDGLHVFLPRLPSWVNSMCVPHLSYLELQVLAMEPQDLDVLARMQKLRFLHVYLNTKFSWTVAGGGLFPNLRYCCTNIMLTFLQGAMPMVKHVELCVPASRDSVTNEVGLGNLPLLDVVSVLLDCESATGRVVEEVEAALRREVNAHPNNPTIQVNWFTHLSIIITRNTHPSEGRSEQSEMEFTTGTMGTLLPKLGKLLKEEYNLRKSVKEGIIFLKAELESVQAVLEKVSMVPLDQLDTQIKFWARDVRDISYYIEDTIDTFMLHVNGLEPTNTTSHVKIHHKIANDIKYLKRQIKEVMDRRDRYKIDDIVAKPRTVIDPRLLALYEKATNLIGVDKPADDLIKMLSIGDEISRNLKMVSVVGFGGLGKTTLAKVVFGMLKGQFDCAGFVPVGQRPDIKRVFKDILIEVNKHKYMVFDALALNERHLIDELREYLDNRRYLIIIDDIWETSTWKIIKCAFLDSNSGSRVIATTRISQVAKEIAKEFGYVYIMKPLSINNSKKLFYSRIFGADYNGPSNNQPAEDWSDVYESIGFGSTDQNEVVHNTRKILSFSYYDLPSYLKTCMLHLSIYPEDHLIEKDCLIWKWVAEGFIYEEQGKGLFETGERYFIELINKSMIQPTEQTLFGCKVDGCRVHDMVLDLIRILATEENFLKVLHRGHEQQSPSLHSKTIRRLALHKSRNQDNFAIGMEQLRLFNAIECPINMTSPLVNSHVLRVLALENCVVMGGCLKHLRKLLQLRYLGLRYTRIDELPSEIGDLVHLQTLDIMNTGLDALPATIGKLTKLMRLRVDNGTRVHAGVANLTSLQELLLGKISDDTCPNFAVELCTLTDLRVLNIWIKMEDEGTLNTLVESLQSLRRIQNLNIRLDIKDTGMETKYSMMCIWEDWEPPRQLREFYLSGWTGFLPRLPDWMNSKRIPNLSKLDMKVLAMEPWDLDTLGRMPMLHYLRRRICTKISSWTVGCGLFPNLRYCMMNIELKFLQGVMPMLMEIEFYVSASSPGVPNDVGLGTSRCSTVPIFPLSARVEEAEIELRRYHPNRPAIYVFRLDEHLMKRDKDDGDEKTSAAGQVVKHFPGVQTIRRKRLRKQDEGVHQY >ONIVA11G18160.2 pep chromosome:AWHD00000000:11:19480551:19496585:1 gene:ONIVA11G18160 transcript:ONIVA11G18160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKCALVDSNCGSRVITTTRISQVAEEVGNIYLMEPLSADNSKKLFYNRMFGAQCKGREGNQQAEATKKILQKCSGVPLSIITIACLLVNKPVEEWSKVYNSIGFGLEDRNEAVQNTRKILSYSYYELPSHLKTCLLHLSIFPEDCWIEKKSLIWIWIAEGFVHEEHGNKIYEVGESYFTELINKGMIQPMGYDIYSDTFDGCRVHDMVLDLIRILTNVENFVKVLDKPYDEHNLSLQIGVVRRIALHKSSNLEKSHNLAASMPQLRSFNAIKCSISLMPLLTSFQVLRVLVLEHCDITGSCHLKHLGKLHQLRYLGLRYTCVDYLPTEIGALVQLQALDVRNTGLAALPASVGKLNKLMRLCVDRETRVLASVGNLTSLQELSLGLVSIDICSNFAVEVRKLSDLRILKISWLGETDKGLLKALVESLCSLQRIQHLEILFGSWVLVSHWEGWEPPRQLHKFCMDGLHVFLPRLPSWVNSMCVPHLSYLELQVLAMEPQDLDVLARMQKLRFLHVYLNTKFSWTVAGGGLFPNLRYCCTNIMLTFLQGAMPMVKHVELCVPASRDSVTNEVGLGNLPLLDVVSVLLDCESATGRVVEEVEAALRREVNAHPNNPTIQVNWFTHLSIIITRNTHPSEGRSEQSEMEFTTGTMGTLLPKLGKLLKEEYNLRKSVKEGIIFLKAELESVQAVLEKVSMVPLDQLDTQIKFWARDVRDISYYIEDTIDTFMLHVNGLEPTNTTSHVKIHHKIANDIKYLKRQIKEVMDRRDRYKIDDIVAKPRTVIDPRLLALYEKATNLIGVDKPADDLIKMLSIGDEISRNLKMVSVVGFGGLGKTTLAKVVFGMLKGQFDCAGFVPVGQRPDIKRVFKDILIEVNKHKYMVFDALALNERHLIDELREYLDNRRYLIIIDDIWETSTWKIIKCAFLDSNSGSRVIATTRISQVAKEIAKEFGYVYIMKPLSINNSKKLFYSRIFGADYNGPSNNQPAEDWSDVYESIGFGSTDQNEVVHNTRKILSFSYYDLPSYLKTCMLHLSIYPEDHLIEKDCLIWKWVAEGFIYEEQGKGLFETGERYFIELINKSMIQPTEQTLFGCKVDGCRVHDMVLDLIRILATEENFLKVLHRGHEQQSPSLHSKTIRRLALHKSRNQDNFAIGMEQLRLFNAIECPINMTSPLVNSHVLRVLALENCVVMGGCLKHLRKLLQLRYLGLRYTRIDELPSEIGDLVHLQTLDIMNTGLDALPATIGKLTKLMRLRVDNGTRVHAGVANLTSLQELLLGKISDDTCPNFAVELCTLTDLRVLNIWIKMEDEGTLNTLVESLQSLRRIQNLNIRLDIKDTGMETKYSMMCIWEDWEPPRQLREFYLSGWTGFLPRLPDWMNSKRIPNLSKLDMKVLAMEPWDLDTLGRMPMLHYLRRRICTKISSWTVGCGLFPNLRYCMMNIELKFLQGVMPMLMEIEFYVSASSPGVPNDVGLGTSRCSTVPIFPLSARVEEAEIELRRYHPNRPAIYVFRLDEHLMKRDKDDGDEKTSAAGQVVKHFPGVQTIRRKRLRKQDEGVHQY >ONIVA11G18160.3 pep chromosome:AWHD00000000:11:19492606:19496585:1 gene:ONIVA11G18160 transcript:ONIVA11G18160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTGTMGTLLPKLGKLLKEEYNLRKSVKEGIIFLKAELESVQAVLEKVSMVPLDQLDTQIKFWARDVRDISYYIEDTIDTFMLHVNGLEPTNTTSHVKIHHKIANDIKYLKRQIKEVMDRRDRYKIDDIVAKPRTVIDPRLLALYEKATNLIGVDKPADDLIKMLSIGDEISRNLKMVSVVGFGGLGKTTLAKVVFGMLKGQFDCAGFVPVGQRPDIKRVFKDILIEVNKHKYMVFDALALNERHLIDELREYLDNRRYLIIIDDIWETSTWKIIKCAFLDSNSGSRVIATTRISQVAKEIAKEFGYVYIMKPLSINNSKKLFYSRIFGADYNGPSNNQPAEDWSDVYESIGFGSTDQNEVVHNTRKILSFSYYDLPSYLKTCMLHLSIYPEDHLIEKDCLIWKWVAEGFIYEEQGKGLFETGERYFIELINKSMIQPTEQTLFGCKVDGCRVHDMVLDLIRILATEENFLKVLHRGHEQQSPSLHSKTIRRLALHKSRNQDNFAIGMEQLRLFNAIECPINMTSPLVNSHVLRVLALENCVVMGGCLKHLRKLLQLRYLGLRYTRIDELPSEIGDLVHLQTLDIMNTGLDALPATIGKLTKLMRLRVDNGTRVHAGVANLTSLQELLLGKISDDTCPNFAVELCTLTDLRVLNIWIKMEDEGTLNTLVESLQSLRRIQNLNIRLDIKDTGMETKYSMMCIWEDWEPPRQLREFYLSGWTGFLPRLPDWMNSKRIPNLSKLDMKVLAMEPWDLDTLGRMPMLHYLRRRICTKISSWTVGCGLFPNLRYCMMNIELKFLQGVMPMLMEIEFYVSASSPGVPNDVGLGTSRCSTVPIFPLSARVEEAEIELRRYHPNRPAIYVFRLDEHLMKRDKDDGDEKTSAAGQVVKHFPGVQTIRRKRLRKQDEGVHQY >ONIVA11G18150.1 pep chromosome:AWHD00000000:11:19452174:19469393:1 gene:ONIVA11G18150 transcript:ONIVA11G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIINQLRYLIVIDDVWEIFTWKRINCALVDSNCGSKVITTTRISEVAEEVGDVYRMKPLSSDNSKRLFNNRIFGIGSNGPTNNQSVEATEKILKKCNGMPLSIVTMASLLVNKPVEDWIEVYNSIGFGPTGQNQEVENMRKILSFSYYELPSYLKTCLLYLSIYPEDHWIMKKPLIWKWIAKGFVQEEQQTWLFEVGERYFTELINRSMIQPIEMYGRVFACRIHDMVLYLIRILATEENFVKILDRLYDVHSSSSQSSTSRRVAWHKSLNQDKMDNLTTRMAQLRSLNAIECPISMIPPLVGFEVLHVLALESCDIIIKQDIT >ONIVA11G18150.2 pep chromosome:AWHD00000000:11:19453698:19469393:1 gene:ONIVA11G18150 transcript:ONIVA11G18150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLIVVDDIWETSTWKIIKCALIDSNCRSRVIATTRISQVAKEVAEEFGDIYIMEPLSEDNSKKLFYSRMFGVNHKDAADNQSIETCMLYLSIYPEDHWIEKDSLIQKWIAEGFIHEEQGKGLFEVGERYLIVIDDVWEIFTWKRINCALVDSNCGSKVITTTRISEVAEEVGDVYRMKPLSSDNSKRLFNNRIFGIGSNGPTNNQSVEATEKILKKCNGMPLSIVTMASLLVNKPVEDWIEVYNSIGFGPTGQNQEVENMRKILSFSYYELPSYLKTCLLYLSIYPEDHWIMKKPLIWKWIAKGFVQEEQQTWLFEVGERYFTELINRSMIQPIEMYGRVFACRIHDMVLYLIRILATEENFVKILDRLYDVHSSSSQSSTSRRVAWHKSLNQDKMDNLTTRMAQLRSLNAIECPISMIPPLVGFEVLHHIGKLQRLRYLGLRDTCVTELPSEIGDLMHLQVLDVKGTTLNALPATVGKLRRLIRLCIDGDIPCGVGVLTSLQDLRLGKVSDDSYPNIAVDLCKLTDLRKLTIRSLQLDEDSLKTLVECLCTLRKLQSIKIAGGSWKVLNGWEGWEPPRQFCKFNTYEFYQPRQPTWVDSVRIPHLSHLNLHLLAVDQRDLDALAMMPELRVLEVSTKLSISWTIAGGGLFPSLRCFSTDIEIMFLQGAMPMLTNIDFWASGDDSANDIGLGYLPQLNDVFIYLVQSDLTARQVKEAMAVWKRVINSHPNRPFIEVQIDNKAYNPLEVDEDDGDGEEISDTEEDDINDDEEEEQNSDPEETDRNDGEEEISATDQKDSGAAEEAKRRRLS >ONIVA11G18150.3 pep chromosome:AWHD00000000:11:19457835:19468738:1 gene:ONIVA11G18150 transcript:ONIVA11G18150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMGTLLPKLSKLLKEEYDLQKSVKEGIKFLKAELENMQAALEDVSKVPLDQLAKPIKIWARDIRELSYNIEDNIDTFMLRVDSLEPSKKNKFTWLIDECKKSLSKVKIRHKIANDIKDVKSQVKMVMERRDRYNINNVIATLPTTVDPRILTLYEKATKLIGIEKASNDLIKRLSVEGEASKKLKMVSVVGFGGLGKTTLARVVSDMLKEQFDCVKPNIKNVLKGILIYLNKHKYMEFDSAILSERHLIDELREYLGNRRYLIVVDDIWETSTWKIIKCALIDSNCRSRVIATTRISQVAKEVAEEFGDIYIMEPLSEDNSKKLFYSRMFGVNHKDAADNQSIEIFTWKRINCALVDSNCGSKVITTTRISEVAEEVGDVYRMKPLSSDNSKRLFNNRIFGIGSNGPTNNQSVEATEKILKKCNGMPLSIVTMASLLVNKPVEDWIEVYNSIGFGPTGQNQEVENMRKILSFSYYELPSYLKTCLLYLSIYPEDHWIMKKPLIWKWIAKGFVQEEQQTWLFEVGERYFTELINRSMIQPIEMYGRVFACRIHDMVLYLIRILATEENFVKILDRLYDVHSSSSQSSTSRRVAWHKSLNQDKMDNLTTRMAQLRSLNAIECPISMIPPLVGFEVLHHIGKLQRLRYLGLRDTCVTELPSEIGDLMHLQVLDVKGTTLNALPATVGKLRRLIRLCIDGDIPCGVGVLTSLQDLRLGKVSDDSYPNIAVDLCKLTDLRKLTIRSLQLDEDSLKTLVECLCTLRKLQSIKIAGGSWKVLNGWEGWEPPRQFCKFNTYEFYQPRQPTWVDSVRIPHLSHLNLHLLAVDQRDLDALAMMPELRVLEVSTKLSISWTIAGGGLFPSLRCFSTDIEIMFLQGAMPMLTNIDFWASGDDSANDIGLGYLPQLNDVFIYLVQSDLTARQVKEAMAVWKRVINSHPNRPFIEVQIDNKRRRIEAGSIAHEDDGDGEEISDTEEDDINDDEEEEQNSDPEETDRNDGEEEISATDQKVQHLRTSC >ONIVA11G18150.4 pep chromosome:AWHD00000000:11:19460600:19469393:1 gene:ONIVA11G18150 transcript:ONIVA11G18150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLTKMMRLCVYGETRVPEGIGNFRSLQELDLGMGTIESYENFGMEVGKLTELRMLNIKGIDEGKWKALIESVFMLPRIQKLEISFFSLGKMIIWDGWDHWEPSRQLQQLSINGICLPRLPVWMNSICIPHLSCMDLKVSTIEASDLDVIARYLIVIDDVWEIFTWKRINCALVDSNCGSKVITTTRISEVAEEVGDVYRMKPLSSDNSKRLFNNRIFGIGSNGPTNNQSVEATEKILKKCNGMPLSIVTMASLLVNKPVEDWIEVYNSIGFGPTGQNQEVENMRKILSFSYYELPSYLKTCLLYLSIYPEDHWIMKKPLIWKWIAKGFVQEEQQTWLFEVGERYFTELINRSMIQPIEMYGRVFACRIHDMVLYLIRILATEENFVKILDRLYDVHSSSSQSSTSRRVAWHKSLNQDKMDNLTTRMAQLRSLNAIECPISMIPPLVGFEVLHHIGKLQRLRYLGLRDTCVTELPSEIGDLMHLQVLDVKGTTLNALPATVGKLRRLIRLCIDGDIPCGVGVLTSLQDLRLGKVSDDSYPNIAVDLCKLTDLRKLTIRSLQLDEDSLKTLVECLCTLRKLQSIKIAGGSWKVLNGWEGWEPPRQFCKFNTYEFYQPRQPTWVDSVRIPHLSHLNLHLLAVDQRDLDALAMMPELRVLEVSTKLSISWTIAGGGLFPSLRCFSTDIEIMFLQGAMPMLTNIDFWASGDDSANDIGLGYLPQLNDVFIYLVQSDLTARQVKEAMAVWKRVINSHPNRPFIEVQIDNKAYNPLEVDEDDGDGEEISDTEEDDINDDEEEEQNSDPEETDRNDGEEEISATDQKDSGAAEEAKRRRLS >ONIVA11G18150.5 pep chromosome:AWHD00000000:11:19460600:19468738:1 gene:ONIVA11G18150 transcript:ONIVA11G18150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLTKMMRLCVYGETRVPEGIGNFRSLQELDLGMGTIESYENFGMEVGKLTELRMLNIKGIDEGKWKALIESVFMLPRIQKLEISFFSLGKMIIWDGWDHWEPSRQLQQLSINGICLPRLPVWMNSICIPHLSCMDLKVSTIEASDLDVIARYLIVIDDVWEIFTWKRINCALVDSNCGSKVITTTRISEVAEEVGDVYRMKPLSSDNSKRLFNNRIFGIGSNGPTNNQSVEATEKILKKCNGMPLSIVTMASLLVNKPVEDWIEVYNSIGFGPTGQNQEVENMRKILSFSYYELPSYLKTCLLYLSIYPEDHWIMKKPLIWKWIAKGFVQEEQQTWLFEVGERYFTELINRSMIQPIEMYGRVFACRIHDMVLYLIRILATEENFVKILDRLYDVHSSSSQSSTSRRVAWHKSLNQDKMDNLTTRMAQLRSLNAIECPISMIPPLVGFEVLHHIGKLQRLRYLGLRDTCVTELPSEIGDLMHLQVLDVKGTTLNALPATVGKLRRLIRLCIDGDIPCGVGVLTSLQDLRLGKVSDDSYPNIAVDLCKLTDLRKLTIRSLQLDEDSLKTLVECLCTLRKLQSIKIAGGSWKVLNGWEGWEPPRQFCKFNTYEFYQPRQPTWVDSVRIPHLSHLNLHLLAVDQRDLDALAMMPELRVLEVSTKLSISWTIAGGGLFPSLRCFSTDIEIMFLQGAMPMLTNIDFWASGDDSANDIGLGYLPQLNDVFIYLVQSDLTARQVKEAMAVWKRVINSHPNRPFIEVQIDNKRRRIEAGSIAHEDDGDGEEISDTEEDDINDDEEEEQNSDPEETDRNDGEEEISATDQKVQHLRTSC >ONIVA11G18150.6 pep chromosome:AWHD00000000:11:19452174:19458656:1 gene:ONIVA11G18150 transcript:ONIVA11G18150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHVAGRPGVRVPMGVGNLSSLQDLELQSGSIKSKEDFAMEVGKLMELRILKICVYYKIDEGTKKALLESLCGLRRLQNLVIKFDRNTTRIWEGWDHWEPPLRLREFHIFHTDLPRLPAWVYSMCVPYLSKLQLDVLAMEARDLDMLSMMPALRTLILRTQQRISWTVGGSMPMLVELRLGLWASEDGAASDVGLGHLPLLNHIMVLLYCEGAMARQVEEVDAVWRRMGNAHPNCPAIAVYRFGELLMKRDDDQDDEEISTKDHVDENGDDENSARTKSRTMIQPKKRMRRRRRLRTNLEPALCTHPVMLSGESTMR >ONIVA11G18150.7 pep chromosome:AWHD00000000:11:19452174:19453783:1 gene:ONIVA11G18150 transcript:ONIVA11G18150.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHVAGRPGVRVPMGVGNLSSLQDLELQSGSIKSKEDFAMEVGKLMELRILKICVYYKIDEGTKKALLESLCGLRRLQNLVIKFDRNTTRIWEGWDHWEPPLRLREFHIFHTDLPRLPAWVYSMCVPYLSKLQLDVLAMEARDLDMLSMMPALRTLILRTQQRISWTVGGSMPMLVELRLGLWASEDGAASDVGLGHLPLLNHIMVLLYCEGAMARQVEEVDAVWRRMGNAHPNCPAIAVYRFGELLMKRDDDQDDEEISTKDHVDENGDDENSARTKSRTMIQPKKRMRRRRRLRTNLEPALCTHPRQLQGKKKNKREKS >ONIVA11G18150.8 pep chromosome:AWHD00000000:11:19457835:19460561:1 gene:ONIVA11G18150 transcript:ONIVA11G18150.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAMGTLLPKLSKLLKEEYDLQKSVKEGIKFLKAELENMQAALEDVSKVPLDQLAKPIKIWARDIRELSYNIEDNIDTFMLRVDSLEPSKKNKFTWLIDECKKSLSKVKIRHKIANDIKDVKSQVKMVMERRDRYNINNVIATLPTTVDPRILTLYEKATKLIGIEKASNDLIKRLSVEGEASKKLKMVSVVGFGGLGKTTLARVVSDMLKEQFDCVKPNIKNVLKGILIYLNKHKYMEFDSAILSERHLIDELREYLGNRRYLIVVDDIWETSTWKIIKCALIDSNCRSRVIATTRISQVAKEVAEEFGDIYIMEPLSEDNSKKLFYSRMFGVNHKDAADNQSIEVTKNILKKCGGVPLSITTIASLLIDKPMGEWSTVYDSIGFGPTDENEVVQNTRKILSFSYYDMPSHLKTCMLYLSIYPEDHWIEKDSLIQKWIAEGFIHEEQGKGLFEVGERYFTELINKNMIQPTEMYGSVYGCRIHDMVLDLIRILAIEGNFVKILNRVHEEHNSSSQSNTVRRLALHKRENLYENDSMAKDLTQLRSFKATYVEAPRSKRH >ONIVA11G18150.9 pep chromosome:AWHD00000000:11:19453698:19460561:1 gene:ONIVA11G18150 transcript:ONIVA11G18150.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYLIVVDDIWETSTWKIIKCALIDSNCRSRVIATTRISQVAKEVAEEFGDIYIMEPLSEDNSKKLFYSRMFGVNHKDAADNQSIEVTKNILKKCGGVPLSITTIASLLIDKPMGEWSTVYDSIGFGPTDENEVVQNTRKILSFSYYDMPSHLKTCMLYLSIYPEDHWIEKDSLIQKWIAEGFIHEEQGKGLFEVGERYFTELINKNMIQPTEMYGSVYGCRIHDMVLDLIRILAIEGNFVKILNRVHEEHNSSSQSNTVRRLALHKRENLYENDSMAKDLTQLRSFKATYVEAPRSKRH >ONIVA11G18140.1 pep chromosome:AWHD00000000:11:19432231:19435116:-1 gene:ONIVA11G18140 transcript:ONIVA11G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3Q7] MSATATQETAPAAAAGPYRPGKMKLLYHHAISNAPYLMLAAAAAAVALRASRLAPADDLATARELLATNLPLAVALLAAAVVLATAYLMRRPRPVYLLDFACYKPGPEHVVTRETFMAQSAAAGAFTGDSLAFQRKILERSGLGQGTYFPAAVLNSPPNPCMAEARREAEQVMFGAIDAVLAKTGVRARDIGIVVVNCSLFNPTPSLSAMIVNHYKLRGNVATYNLGGMGCSAGLISIDLAKQLLQVHRNSYALVVSMENITLNWYWGNNRSMLVSNCLFRMGGAAILLSNRGGDRRRAKYQLLHTVRTHSGAADRAYRCVFQEEDDAAGVGVALSKDLMAVAGEALRTNITTLGPLVLPMSEQILFLASLVARRVFGLAGVRPYIPDFKMAFDHFCIHAGGRAVLDTIEKNLGLGAWHMEPSRMTLYRWGNTSSSSLWYELAYAEAKGRVRRGQTAWQIAFGSGFKCNSAVWRALRTVEPDADERNPWAGEIDSFPVEVPKVEAVATATADAASS >ONIVA11G18130.1 pep chromosome:AWHD00000000:11:19424000:19427412:-1 gene:ONIVA11G18130 transcript:ONIVA11G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-D-mannose 3',5'-epimerase [Source:Projected from Arabidopsis thaliana (AT5G28840) TAIR;Acc:AT5G28840] MALNEEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAEGVDLSAYGSSKVVQTQAPVQLGSLRAADGKE >ONIVA11G18120.1 pep chromosome:AWHD00000000:11:19386454:19389197:-1 gene:ONIVA11G18120 transcript:ONIVA11G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKDFEEELMELFSVRQLHLHPSSITSWPINQKRLTDMDIDKMICEVRKQSGTTNCPRKSRLGYQAECAECWHPCKHVQVPCFYGYHVKSQLG >ONIVA11G18110.1 pep chromosome:AWHD00000000:11:19372737:19422347:1 gene:ONIVA11G18110 transcript:ONIVA11G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSLQILSSPWRLHPPEQKDLLHHQQQQQHQPRAARSQEKELGSPILLQDIDSASQNGFMCEPRQLSGGEQHHIIITINREEGGGRSKPSGMEFATGAMGTLLPKLVELLKEQYDLQKSVKEGITFLIAELKSIQAALEKVSKVPLDQLDEQTKIWAWDIRELSYDIEDNIDTFMICVDGLEPAKKQNLTWLIDKCHKSLSKIKIRHKIANEIKDIKSQVREVMERRDRYKIDDVATIPPTFVDPRILTLYENVTKLVGVDKASDDLMKRLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDKLKLQFDCAAFVPVGQNPEIKKVLKDILVELNKDKYMSFDVTTVNERHMINELREYLDNRRYLIVIDDVWETSKWNIIKCALIDSNRGSRVIITTRIYQVANEAAEEFGGVYMMEPLSDDNSKKLFYNRIFGVACSSSTGNQSVEATKKILHKCGGIPLSIIAIASLLVDKPTGDWSIIYDSIGFGTGDRNEAVQNTRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIKKDTLIWKWVAEGFVQEEQDKALFEVGERYFSELINRSMIQPMENDGKISGCHIHDMVLDLIRNIIAEENFVKVFDKLHEVHRLSSQRSTVRRIALHESWNQGKNNDLAVGMTQLRSFSAIKCTISMMPSLLSFQVLRVLELQGCNVTGGLYLKHIGKLRQLRYLGMRGTRVAELPVEIGNLMHLQTLDVRYTGLKELPSTICKLSKLMRLCVAGGTGVLMGGWNLSSLQYLKMGYGCIKSNKDFAIEVGKLMELRILKIYVENKFDKGTKNALLQSLCCLRRLQNLMIDFPLPFQNTMSIWDGWDLWEPSPQLRVFRICGIELPRLPEWVNSMCVPYLSELRLDVVAMEARDLDMLARMPALRTLCLRTQARFSWTVGGAGLFPNLRFCRMDIALTFLQGAMPMLMKLQLWLWASEYCAATDVGLGHLPLLNRVEVILHCCDATTRQVEEAEAAWRHMVNSHPNRPAIRVSRLEEYRMKGDEDNDDEEISAKDHVDGNCDDGNSAYTDQELQLWLWASEYCAATDVGLGHLPLLNRVEVILHCCDATTRQVEEAEAAWRHMVNSHPNRPAIRVSRLEEYRMKGDEDNDDEEISAKDHVDGNCDDGNSAYTDQELSGGEQHLIIITRNRELGSSEPSGMEFATGAMGTLFPKLAELLKEEYDLQNSVKEGITFLMAELQSIQAALEKISKVPLDQLDKQTKIWAWDIRELSYDIEDNIDMFMVRVDGLEPAKKHNFTWLIDKCHKSLSKIKIRHKIANEIKDIKSQVKEVMERRDRYKIDDVATNLPTYVDPRILTLYENVTKLVGIDKASDDLMRRLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDMLKLQFDCAAFVPVGQDHEIKKVLKDILVELNKDKYMSFDVTGVSERHMINELREYLDNRRYLIVIDDIWEPSKWNIIKLALIDSSCGSRVITTTRIHQVANEVAKEFGDVYVMKPLSDDNSKTLFYNRIFGVACNGPTGNQSVEATEKILKRCGGIPLSIITIASLLVDKPAREWSVIYDSISFGTGDQNEAVQNMRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIYKDTLIWKWIAEGFVHEEQDKGLFEVGERYFIELINKSMIQPIEDYFCNVSGCRIHDMVLDLIRKIAAEGNFVKVFDKLHEMHGLSSQRTTIRRIALHKSWNQGKNNDLAVGMTHLRSFNAINCNINMMPSLLSFNVLRVLDLDDCNVTGGLYLKHIGKLRQLRYLGMKNTSVAELPTEIGDLVNLQTLDVWEKGLRELPSTICKLSKLMRLSVFGITTVPMGFGNLSSLQYLELAEGTIKSIADIAMEVGKLMELKILSISLDKFDEGTEKALIQSLCGLRRLRNLSIDFWLCKSTMIWQGWDHWEPPPQLREFCIFDVMLPWLPEWVNSMCVPYLSELRLDVVAIEARDLDMLARMPALRTLCLITQARFSWTVGGAGLFPNLRFCRMDIALTFLQGAMPMLTQLELWLWASEYCAATDVGLGHLPLLNRVEVSLDCAGATGRQVEEAEAAWRRMVNSHPNRPAIVMDQLRKYQMKGNEDNDEGEISAKDHVDGDSDDEISAHMDQELDSDAAKEEKEEEILRTNLELALCTHSGLLGYKVKLSEAITAKETDLKGNYSESITTNRIVKATDLSSIYASSS >ONIVA11G18110.2 pep chromosome:AWHD00000000:11:19372737:19422347:1 gene:ONIVA11G18110 transcript:ONIVA11G18110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSLQILSSPWRLHPPEQKDLLHHQQQQQHQPRAARSQEKELGSPILLQDIDSASQNGFMCEPRQLSGGEQHHIIITINREEGGGRSKPSGMEFATGAMGTLLPKLVELLKEQYDLQKSVKEGITFLIAELKSIQAALEKVSKVPLDQLDEQTKIWAWDIRELSYDIEDNIDTFMICVDGLEPAKKQNLTWLIDKCHKSLSKIKIRHKIANEIKDIKSQVREVMERRDRYKIDDVATIPPTFVDPRILTLYENVTKLVGVDKASDDLMKRLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDKLKLQFDCAAFVPVGQNPEIKKVLKDILVELNKDKYMSFDVTTVNERHMINELREYLDNRRYLIVIDDVWETSKWNIIKCALIDSNRGSRVIITTRIYQVANEAAEEFGGVYMMEPLSDDNSKKLFYNRIFGVACSSSTGNQSVEATKKILHKCGGIPLSIIAIASLLVDKPTGDWSIIYDSIGFGTGDRNEAVQNTRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIKKDTLIWKWVAEGFVQEEQDKALFEVGERYFSELINRSMIQPMENDGKISGCHIHDMVLDLIRNIIAEENFVKVFDKLHEVHRLSSQRSTVRRIALHESWNQGKNNDLAVGMTQLRSFSAIKCTISMMPSLLSFQVLRVLELQGCNVTGGLYLKHIGKLRQLRYLGMRGTRVAELPVEIGNLMHLQTLDVRYTGLKELPSTICKLSKLMRLCVAGGTGVLMGGWNLSSLQYLKMGYGCIKSNKDFAIEVGKLMELRILKIYVENKFDKGTKNALLQSLCCLRRLQNLMIDFPLPFQNTMSIWDGWDLWEPSPQLRVFRICGIELPRLPEWVNSMCVPYLSELRLDVVAMEARDLDMLARMPALRTLCLRTQARFSWTVGGAGLFPNLRFCRMDIALTFLQGAMPMLMKLQLWLWASEYCAATDVGLGHLPLLNRVEVILHCCDATTRQVEEAEAAWRHMVNSHPNRPAIRVSRLEEYRMKGDEDNDDEEISAKDHVDGNCDDGNSAYTDQELSGGEQHLIIITRNRELGSSEPSGMEFATGAMGTLFPKLAELLKEEYDLQNSVKEGITFLMAELQSIQAALEKISKVPLDQLDKQTKIWAWDIRELSYDIEDNIDMFMVRVDGLEPAKKHNFTWLIDKCHKSLSKIKIRHKIANEIKDIKSQVKEVMERRDRYKIDDVATNLPTYVDPRILTLYENVTKLVGIDKASDDLMRRLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDMLKLQFDCAAFVPVGQDHEIKKVLKDILVELNKDKYMSFDVTGVSERHMINELREYLDNRRYLIVIDDIWEPSKWNIIKLALIDSSCGSRVITTTRIHQVANEVAKEFGDVYVMKPLSDDNSKTLFYNRIFGVACNGPTGNQSVEATEKILKRCGGIPLSIITIASLLVDKPAREWSVIYDSISFGTGDQNEAVQNMRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIYKDTLIWKWIAEGFVHEEQDKGLFEVGERYFIELINKSMIQPIEDYFCNVSGCRIHDMVLDLIRKIAAEGNFVKVFDKLHEMHGLSSQRTTIRRIALHKSWNQGKNNDLAVGMTHLRSFNAINCNINMMPSLLSFNVLRVLDLDDCNVTGGLYLKHIGKLRQLRYLGMKNTSVAELPTEIGDLVNLQTLDVWEKGLRELPSTICKLSKLMRLSVFGITTVPMGFGNLSSLQYLELAEGTIKSIADIAMEVGKLMELKILSISLDKFDEGTEKALIQSLCGLRRLRNLSIDFWLCKSTMIWQGWDHWEPPPQLREFCIFDVMLPWLPEWVNSMCVPYLSELRLDVVAIEARDLDMLARMPALRTLCLITQARFSWTVGGAGLFPNLRFCRMDIALTFLQGAMPMLTQLELWLWASEYCAATDVGLGHLPLLNRVEVSLDCAGATGRQVEEAEAAWRRMVNSHPNRPAIVMDQLRKYQMKGNEDNDEGEISAKDHVDGDSDDEISAHMDQELDSDAAKEEKEEEILRTNLELALCTHSGLLGYKVKLSEAITAKETDLKGNYSESITTNRIVKATDLSSIYASSS >ONIVA11G18110.3 pep chromosome:AWHD00000000:11:19372744:19422347:1 gene:ONIVA11G18110 transcript:ONIVA11G18110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPRQLSGGEQHHIIITINREEGGGRSKPSGMEFATGAMGTLLPKLVELLKEQYDLQKSVKEGITFLIAELKSIQAALEKVSKVPLDQLDEQTKIWAWDIRELSYDIEDNIDTFMICVDGLEPAKKQNLTWLIDKCHKSLSKIKIRHKIANEIKDIKSQVREVMERRDRYKIDDVATIPPTFVDPRILTLYENVTKLVGVDKASDDLMKRLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDKLKLQFDCAAFVPVGQNPEIKKVLKDILVELNKDKYMSFDVTTVNERHMINELREYLDNRRYLIVIDDVWETSKWNIIKCALIDSNRGSRVIITTRIYQVANEAAEEFGGVYMMEPLSDDNSKKLFYNRIFGVACSSSTGNQSVEATKKILHKCGGIPLSIIAIASLLVDKPTGDWSIIYDSIGFGTGDRNEAVQNTRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIKKDTLIWKWVAEGFVQEEQDKALFEVGERYFSELINRSMIQPMENDGKISGCHIHDMVLDLIRNIIAEENFVKVFDKLHEVHRLSSQRSTVRRIALHESWNQGKNNDLAVGMTQLRSFSAIKCTISMMPSLLSFQVLRVLELQGCNVTGGLYLKHIGKLRQLRYLGMRGTRVAELPVEIGNLMHLQTLDVRYTGLKELPSTICKLSKLMRLCVAGGTGVLMGGWNLSSLQYLKMGYGCIKSNKDFAIEVGKLMELRILKIYVENKFDKGTKNALLQSLCCLRRLQNLMIDFPLPFQNTMSIWDGWDLWEPSPQLRVFRICGIELPRLPEWVNSMCVPYLSELRLDVVAMEARDLDMLARMPALRTLCLRTQARFSWTVGGAGLFPNLRFCRMDIALTFLQGAMPMLMKLQLWLWASEYCAATDVGLGHLPLLNRVEVILHCCDATTRQVEEAEAAWRHMVNSHPNRPAIRVSRLEEYRMKGDEDNDDEEISAKDHVDGNCDDGNSAYTDQELSGGEQHLIIITRNRELGSSEPSGMEFATGAMGTLFPKLAELLKEEYDLQNSVKEGITFLMAELQSIQAALEKISKVPLDQLDKQTKIWAWDIRELSYDIEDNIDMFMVRVDGLEPAKKHNFTWLIDKCHKSLSKIKIRHKIANEIKDIKSQVKEVMERRDRYKIDDVATNLPTYVDPRILTLYENVTKLVGIDKASDDLMRRLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDMLKLQFDCAAFVPVGQDHEIKKVLKDILVELNKDKYMSFDVTGVSERHMINELREYLDNRRYLIVIDDIWEPSKWNIIKLALIDSSCGSRVITTTRIHQVANEVAKEFGDVYVMKPLSDDNSKTLFYNRIFGVACNGPTGNQSVEATEKILKRCGGIPLSIITIASLLVDKPAREWSVIYDSISFGTGDQNEAVQNMRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIYKDTLIWKWIAEGFVHEEQDKGLFEVGERYFIELINKSMIQPIEDYFCNVSGCRIHDMVLDLIRKIAAEGNFVKVFDKLHEMHGLSSQRTTIRRIALHKSWNQGKNNDLAVGMTHLRSFNAINCNINMMPSLLSFNVLRVLDLDDCNVTGGLYLKHIGKLRQLRYLGMKNTSVAELPTEIGDLVNLQTLDVWEKGLRELPSTICKLSKLMRLSVFGITTVPMGFGNLSSLQYLELAEGTIKSIADIAMEVGKLMELKILSISLDKFDEGTEKALIQSLCGLRRLRNLSIDFWLCKSTMIWQGWDHWEPPPQLREFCIFDVMLPWLPEWVNSMCVPYLSELRLDVVAIEARDLDMLARMPALRTLCLITQARFSWTVGGAGLFPNLRFCRMDIALTFLQGAMPMLTQLELWLWASEYCAATDVGLGHLPLLNRVEVSLDCAGATGRQVEEAEAAWRRMVNSHPNRPAIVMDQLRKYQMKGNEDNDEGEISAKDHVDGDSDDEISAHMDQELDSDAAKEEKEEEILRTNLELALCTHSGLLGYKVKLSEAITAKETDLKGNYSESITTNRIVKATDLSSIYASSS >ONIVA11G18110.4 pep chromosome:AWHD00000000:11:19372737:19413096:1 gene:ONIVA11G18110 transcript:ONIVA11G18110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSLQILSSPWRLHPPEQKDLLHHQQQQQHQPRAARSQEKELGSPILLQDIDSASQNGFMCEPRQLSGGEQHHIIITINREEGGGRSKPSGMEFATGAMGTLLPKLVELLKEQYDLQKSVKEGITFLIAELKSIQAALEKVSKVPLDQLDEQTKIWAWDIRELSYDIEDNIDTFMICVDGLEPAKKQNLTWLIDKCHKSLSKIKIRHKIANEIKDIKSQVREVMERRDRYKIDDVATIPPTFVDPRILTLYENVTKLVGVDKASDDLMKRLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDKLKLQFDCAAFVPVGQNPEIKKVLKDILVELNKDKYMSFDVTTVNERHMINELREYLDNRRYLIVIDDVWETSKWNIIKCALIDSNRGSRVIITTRIYQVANEAAEEFGGVYMMEPLSDDNSKKLFYNRIFGVACSSSTGNQSVEATKKILHKCGGIPLSIIAIASLLVDKPTGDWSIIYDSIGFGTGDRNEAVQNTRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIKKDTLIWKWVAEGFVQEEQDKALFEVGERYFSELINRSMIQPMENDGKISGCHIHDMVLDLIRNIIAEENFVKVFDKLHEVHRLSSQRSTVRRIALHESWNQGKNNDLAVGMTQLRSFSAIKCTISMMPSLLSFQVLRVLELQGCNVTGGLYLKHIGKLRQLRYLGMRGTRVAELPVEIGNLMHLQTLDVRYTGLKELPSTICKLSKLMRLCVAGGTGVLMGGWNLSSLQYLKMGYGCIKSNKDFAIEVGKLMELRILKIYVENKFDKGTKNALLQSLCCLRRLQNLMIDFPLPFQNTMSIWDGWDLWEPSPQLRVFRICGIELPRLPEWVNSMCVPYLSELRLDVVAMEARDLDMLARMPALRTLCLRTQARFSWTVGGAGLFPNLRFCRMDIALTFLQGAMPMLMKLQLWLWASEYCAATDVGLGHLPLLNRVEVILHCCDATTRQVEEAEAAWRHMVNSHPNRPAIRVSRLEEYRMKGDEDNDDEEISAKDHVDGNCDDGNSAYTDQELQLWLWASEYCAATDVGLGHLPLLNRVEVILHCCDATTRQVEEAEAAWRHMVNSHPNRPAIRVSRLEEYRMKGDEDNDDEEISAKDHVDGNCDDGNSAYTDQELSGGEQHLIIITRNRELGSSEPSGMEFATGAMGTLFPKLAELLKEEYDLQNSVKEGITFLMAELQSIQAALEKISKVPLDQLDKQTKIWAWDIRELSYDIEDNIDMFMVRVDGLEPAKKHNFTWLIDKCHKSLSKIKIRHKIANEIKDIKSQVKEVMERRDRYKIDDVATNLPTYVDPRILTLYENVTKLVGIDKASDDLMRRLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDMLKLQFDCAAFVPVGQDHEIKKVLKDILVELNKDKYMSFDVTGVSERHMINELREYLDNRRYLIVIDDIWEPSKWNIIKLALIDSSCGSRVITTTRIHQVANEVAKEFGDVYVMKPLSDDNSKTLFYNRIFGVACNGPTGNQSVEATEKILKRCGGIPLSIITIASLLVDKPAREWSVIYDSISFGTGDQNEAVQNMRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIYKDTLIWKWIAEGFVHEEQDKGLFEVGERYFIELINKSMIQPIEDYFCNVSGCRIHDMVLDLIRKIAAEGNFVKVFDKLHEMHGLSSQRTTIRRIALHKSWNQGKNNDLAVGMTHLRSFNAINCNINMMPSLLSFNVLRVLDLDDCNVTGGLYLKHIGKLRQLRYLGMKNTSVAELPTEIGDLVNLQTLDVWEKGLRELPSTICKLSKLMRLSVFGITTVPMGFGNLSSLQYLELAEGTIKSIADIAMEVGKLMELKILSISLDKFDEGTEKALIQSLCGLRRLRNLSIDFWLCKSTMIWQGWDHWEPPPQLREFCIFDVMLPWLPEWVNSMCVPYLSELRLDVVAIEARDLDMLARMPALRTLCLITQARFSWTVGGAGLFPNLRFCRMDIALTFLQGAMPMLTQLELWLWASEYCAATDVGLGHLPLLNRVEVSLDCAGATGRQVEEAEAAWRRMVNSHPNRPAIVMDQLRKYQMKGNEDNDEGEISAKDHVDGDSDDEISAHMDQELDSDAAKEEKEEEILRTNLELALCTHSGLLGYKVKLSEAITAKETDLKGNYSESITTNRIVKATDLSSIYASSS >ONIVA11G18110.5 pep chromosome:AWHD00000000:11:19409838:19422347:1 gene:ONIVA11G18110 transcript:ONIVA11G18110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAIGTLLPKLGELLKEEYDLQKSVKEGIKFLMAELESMQPALKKVSNIPLDQLDEQVKIWSREVRELSYNIEDIIDTFMLHADVVEPTNKHNFTWFINKCHRLAQVKIHYKIACDIKDVKSQVKEVMERRDRYMIDNIAAKTPTVIDPRILSLYEKATNLVGIDKASNDIIKRLFVEDEASKMLKMVSIVGFGGLGKTTLAKAVFDTLKVQFDCISFVPAGQNPDIKKVLKDILIELNKHKYKELNASALSEKHLIDELREYLDNKRYLIVIDDIWEASTWKIIKCALADSNCGSKVITTTRISQVANEIADVYNMAPLSDDNSKKLFNNRIFGANYRDPSDHELVAATERILKKCGGVPLSIITIASLLVDKPVEDWSKVYDSIGFGPEDRNEVVQNTRKILSFSYYDLPSYLKTCLLHLSIYPEDHRIEKESLIWKWIGEGFVQEEQGKGLFEVGERYFTELINKSMIQPIEHHLYLGTVDGCHVHDMVLDLIRLLAIEENFVKVLDKMHEEHNSYAQSTTVHRVALHKSWNQDNKNNLATSMEQLRSFNAIECPIMVLRVLALENCVFTGGCQLKHLGKLLQLRYLGLRHTHVADLPKEIGNLVLDVRHTVLKVLPATIRKLRNLMRLRINGNKTRFLTGLGKLTSLQELSLGAVSEDTCPNFSLELCKLMDLRILQIDWYTKTDKNSLDVLMECLLTLRRIQSIHISFAIDFSETPVMTGWEGWEPSRQLRLLHITGVRLPRLPVWVNSMRIPHLSILDLRVVTMEPRDLDVLARMPELRSLRLDITRRFPWTVVAGDGLFPNLRYCRMDIALTFLQGAMPMLVKVELYVWVAKDDAANDVGLGNLPLLNTIHIWLYCGGATAKQVEEAEAAWRRVAHAHPNHPAIHVNRLGELLMKKDKDDGDDEEEISGTHKVDGNDDEQDISTGDQETKKIHLSSGRLERQQHRLFACSTTLASFS >ONIVA11G18110.6 pep chromosome:AWHD00000000:11:19372737:19388115:1 gene:ONIVA11G18110 transcript:ONIVA11G18110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPRQLSGGEQHHIIITINREEGGGRSKPSGMEFATGAMGTLLPKLVELLKEQYDLQKSVKEGITFLIAELKSIQAALEKVSKVPLDQLDEQTKIWAWDIRELSYDIEDNIDTFMICVDGLEPAKKQNLTWLIDKCHKSLSKIKIRHKIANEIKDIKSQVREVMERRDRYKIDDVATIPPTFVDPRILTLYENVTKLVGVDKASDDLMKRLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDKLKLQFDCAAFVPVGQNPEIKKVLKDILVELNKDKYMSFDVTTVNERHMINELREYLDNRRYLIVIDDVWETSKWNIIKCALIDSNRGSRVIITTRIYQVANEAAEEFGGVYMMEPLSDDNSKKLFYNRIFGVACSSSTGNQSVEATKKILHKCGGIPLSIIAIASLLVDKPTGDWSIIYDSIGFGTGDRNEAVQNTRKILSFSYYHLPSYLKTCMLYLSIYPEDHLIKKDTLIWKWVAEGFVQEEQDKALFEVGERYFSELINRSMIQPMENDGKISGCHIHDMVLDLIRNIIAEENFVKVFDKLHEVHRLSSQRSTVRRIALHESWNQGKNNDLAVGMTQLRSFSAIKCTISMMPSLLSFQVLRVLELQGCNVTGGLYLKHIGKLRQLRYLGMRGTRVAELPVEIGNLMHLQTLDVRYTGLKELPSTICKLSKLMRLCVAGGTGVLMGGWNLSSLQYLKMGYGCIKSNKDFAIEVGKLMELRILKIYVENKFDKGTKNALLQSLCCLRRLQNLMIDFPLPFQNTMSIWDGWDLWEPSPQLRVFRICGIELPRLPEWVNSMCVPYLSELRLDVVAMEARDLDMLARMPALRTLCLRTQARFSWTVGGAGLFPNLRFCRMDIALTFLQGAMPMLMKLQLWLWASEYCAATDVGLGHLPLLNRVEVILHCCDATTRQVEEAEAAWRHMVNSHPNRPAIRVSRLEEVWLVWLIMINQLVSSHSPTYTHASPLLIALQIL >ONIVA11G18110.7 pep chromosome:AWHD00000000:11:19409838:19422347:1 gene:ONIVA11G18110 transcript:ONIVA11G18110.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAIGTLLPKLGELLKEEYDLQKSVKEGIKFLMAELESMQPALKKVSNIPLDQLDEQVKIWSREVRELSYNIEDIIDTFMLHADVVEPTNKHNFTWFINKCHRLAQVKIHYKIACDIKDVKSQVKEVMERRDRYMIDNIAAKTPTVIDPRILSLYEKATNLVGIDKASNDIIKRLFVEDEASKMLKMVSIVGFGGLGKTTLAKAVFDTLKVQFDCISFVPAGQNPDIKKVLKDILIELNKHKYKELNASALSEKHLIDELREYLDNKRYLIVIDDIWEASTWKIIKCALADSNCGSKVITTTRISQVANEIADVYNMAPLSDDNSKKLFNNRIFGANYRDPSDHELVAATERILKKCGGVPLSIITIASLLVDKPVEDWSKVYDSIGFGPEDRNEVVQNTRKILSFSYYDLPSYLKTCLLHLSIYPEDHRIEKESLIWKWIGEGFVQEEQGKGLFEVGERYFTELINKSMIQPIEHHLYLGTVDGCHVHDMVLDLIRLLAIEENFVKVLDKMHEEHNSYAQSTTVHRVALHKSWNQDNKNNLATSMEQLRSFNAIECPIMVMPSLANFQVLRVLALENCVFTGGCQLKHLGKLLQLRYLGLRHTHVADLPKEIGNLVHLQVLDVRHTVLKVLPATIRKLRNLMRLRINGNKTRFLTGLGKLTSLQELSLGAVSEDTCPNFSLELCKLMDLRILQIDWYTKTDKNSLDVLMECLLTLRRIQSIHISFAIDFSETPVMTGWEGWEPSRQLRLLHITGVRLPRLPVWVNSMRIPHLSILDLRVVTMEPRDLDVLARMPELRSLRLDITRRFPWTVVAGDGLFPNLRYCRMDIALTFLQGAMPMLVKVELYVWVAKDDAANDVGLGNLPLLNTIHIWLYCGGATAKQVEEAEAAWRRVAHAHPNHPAIHVNRLGELLMKKDKDDGDDEEEISGTHKVDGNDDEQDISTGDQETKKIHLSSGRLERQQHRLFACSTTLASFS >ONIVA11G18100.1 pep chromosome:AWHD00000000:11:19368165:19368691:1 gene:ONIVA11G18100 transcript:ONIVA11G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKGNAKFLLGNPQLSMADLSLVCEIMQLEVLSDSERDRILGPHEKIRGWVQNVKKATSPHFDEVHELILKVKTRTAATLGSELSKDLKTASKL >ONIVA11G18090.1 pep chromosome:AWHD00000000:11:19354967:19362479:1 gene:ONIVA11G18090 transcript:ONIVA11G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGVMSTLLSKLAELLKEQYDLQKSVKEGVTFLMAELKSIQAALEKVSKVPLDQLDEQTKIWAWDIRELSYDMEDNIDTFMLRVDGLEPAKKHNFTCLVDKCQKSLSKIKICNKIANEIKDIKSQVKEVMERRDRYKINDVATNLPTFVDPRILTLYGNVTKLVGIDKARDDLMKRLSVGDEALKKLKMVSVVGIGGLGKTTLSKVVFDMLKLQFDCAAFVPVGQSPEIRKVLKDILVELNKQKYMSFDVTAVSERHMINELREYLDNKRYLIVIDDVWDTSKWNIIKCALVDSNCGSRVITTTRICQVANEVAEEFGGVYIMEPLSDDNSKKLFYSRIFGSTYNGSTGNQSVEATEKILKKCGGIPLSIITISSLLVDKPVGDWSAIYDSIGFGTGDQNEAVQNTRKILSFSYYHLPTYLKTCMLYLSIYPEDHLIGMDTLIWKWVAEGFIQEEQDKELFEVGKRYFIDLINKSMIQPIEEEGSVFGCRIHDMVLDLIQNITTEGNFVKIFKKLHEVRRLSSQRTTVRRIALHDNWNQLKNNDLDVALTQLRSFNAIECTISMMPSLLSFQVLRVLELQGCNVTGGLYLKHIRKLRQLRYLGMKDTRVAELPMEIGDLVHLQTLDVRYTGLKELPSTICKLSKLMRLCVAGGMSVPMGVGNLSSLQYLKLGWDSIKSNKYFAMEVGKLMELRILNICVDNEIDESMKKALLESLCGLRKLQNLVIDFDLIFLEYDERLGRLGPLGAPASAP >ONIVA11G18090.2 pep chromosome:AWHD00000000:11:19358331:19362479:1 gene:ONIVA11G18090 transcript:ONIVA11G18090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGVMSTLLSKLAELLKEQYDLQKSVKEGVTFLMAELKSIQAALEKVSKVPLDQLDEQTKIWAWDIRELSYDMEDNIDTFMLRVDGLEPAKKHNFTCLVDKCQKSLSKIKICNKIANEIKDIKSQVKEVMERRDRYKINDVATNLPTFVDPRILTLYGNVTKLVGIDKARDDLMKRLSVGDEALKKLKMVSVVGIGGLGKTTLSKVVFDMLKLQFDCAAFVPVGQSPEIRKVLKDILVELNKQKYMSFDVTAVSERHMINELREYLDNKRYLIVIDDVWDTSKWNIIKCALVDSNCGSRVITTTRICQVANEVAEEFGGVYIMEPLSDDNSKKLFYSRIFGSTYNGSTGNQSVEATEKILKKCGGIPLSIITISSLLVDKPVGDWSAIYDSIGFGTGDQNEAVQNTRKILSFSYYHLPTYLKTCMLYLSIYPEDHLIGMDTLIWKWVAEGFIQEEQDKELFEVGKRYFIDLINKSMIQPIEEEGSVFGCRIHDMVLDLIQNITTEGNFVKIFKKLHEVRRLSSQRTTVRRIALHDNWNQLKNNDLDVALTQLRSFNAIECTISMMPSLLSFQVLRVLELQGCNVTGGLYLKHIRKLRQLRYLGMKDTRVAELPMEIGDLVHLQTLDVRYTGLKELPSTICKLSKLMRLCVAGGMSVPMGVGNLSSLQYLKLGWDSIKSNKYFAMEVGKLMELRILNICVDNEIDESMKKALLESLCGLRKLQNLVIDFDLIFLEYDERLGRLGPLGAPASAP >ONIVA11G18080.1 pep chromosome:AWHD00000000:11:19349512:19354116:1 gene:ONIVA11G18080 transcript:ONIVA11G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLKVYADRRSQPSRAIIIFCRVNRIDFEEVTVDLFKREHLSPEFKKINPMGQVPAIVDGRFRLFESHAILRYLATVFPGVADHWYPADLFTRAKLEAILDWHHSNLRRGAATFILNTVLAPSLGLPSSPQAAKEAEKVLFRSLGLIESMWLKGNAKFLLGNPQLSIADLSLVCEIMQLEVLGDSERDRILGPHEKIRSWVQNVKKATSPHFDEVHELIFKMKERMAAKRQSEPSKDLKTASKL >ONIVA11G18070.1 pep chromosome:AWHD00000000:11:19345881:19347903:1 gene:ONIVA11G18070 transcript:ONIVA11G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3P3] MADHAVHVLSPPCVCATLVGAASFSSLRAAAAAASGDGHRGRERQKEEAAAAACSPWEDAVIAAGMMGVQLAGAAYMVVLAPAMERGLDPLFLVTFGSLANAAFTLPFSVALERRLLWPPAEQLLTGRLLLRFVLLALGGVTGFQALMLQGMKRTSPAIAAAMPNLTPGFIFVVAASLGLERVRLRCCYTWAKIVGTALCLGGAITMSVIQSATAPPYSPSPSSGWAAGCFCLLGAVVVVSCTTVLQAATMVGFPAPITLCTVTSFLGAALTAALQLVARGSLAGAGAGAGVVGLRAVLALVLVGGVVSSACVAFQAWALKKKGPVVVSMFSPTQTVGSAVFSAIFLGRVVKPGSVVGMILLFSGLYVVLWAKKKETTIINSDDSSMAVATKDGDDPEKQPLLSRRH >ONIVA11G18060.1 pep chromosome:AWHD00000000:11:19322043:19331189:-1 gene:ONIVA11G18060 transcript:ONIVA11G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATAEAAMAAPSASGRRSMSWGSSISQSFRQAEADDPFGRAASQQGHDDDEENLRWAALEKLPTYDRMRRGVIRTALLQHDGGGAGAGGAAPAKDDGGRMELVDIQKLAAGNLGRALLDRVFQDDSERFLRRLRDRIDMVGIELPTIEVRYEQLSIQAEVFVGSRALPTLTNAATNVLQGLIGRFGSSNKRTINILQDVSGIIKPSSQYDLHNAEMTVRETLDFSGRCLGIGARYDMLAELARRERNAGIKPDPEIDAFMKATAVQGHKTNITTDVTLKALGLDICADIIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFEIVKYIGHLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFENAGFRCPERKGIADFLQEVTSKKDQQQYWYHDQERYRYVSVPEFAQRFKSFHVGQKMQKEMQIPYDKSSTHPAALTTTKYGLSSWESLRAVMSREWLLMKRNSFIYIFKVTQLIILAFMSMTVFLRIKMPSGTISDGTKFLGALTFSLITILFNGFAELQLTIKKLPVFYKHRDFLFFPAWTFGVANILLKVPVSLVEAAVWVVLTYYVMGFAPSAGRFFRQFIAFFVTHQMAMAMFRFLGAILKTMVVANTFGMFVLLIVFIFGGFLISRNDIKPWWIWGYWASPMMYSQQAISINEFLASRWAIPNTDATIDEPTVGKAILKSKGLITSDGGFWISIGALIGFLVVFNILYILALTYLSPGGSSNTIVSDEDSEDKTDMKTRNEQQMSQIVHNNGASNTSATSSIPMSGSRSTNQQSRSQIVLPFQPLSLCFNHVNYYVDMPAEMKEQGFTESRLQLLSDISGVFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGVIEGDITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDTNTRKMFVDEVMSLVELDVLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGQVIYAGELGRHSHKLVEYFEAVPGVPKITEGYNPATWMLEVTSPIAEARLNVNFAEIYANSELYRKNQELIKELSTPPPGYQDLSFPTKYSQNFYSQCIANFWKQYRSYWKNPPYNAMRYLMTLLNGLVFGTVFWQKGTKISSQQDLFNLLGATYAATFFLGAANCITVQPVVSIERTVFYRERAAGMYSSLSYAFAQACVEVIYNILQGILYTIIIYAMIGYDWKADKFFYFMFFIVASFNYFTLFGMMLVACTPSAMLANILISFVLPLWNLFAGFLVVRPLIPIWWRWYYWANPVSWTIYGVVASQFGKNGDVLSVPGGSPTVVKQFLEDNLGMRHSFLGYVVLTHFGYIIVFFFIFGYAIKYFNFQKR >ONIVA11G18060.2 pep chromosome:AWHD00000000:11:19322043:19331189:-1 gene:ONIVA11G18060 transcript:ONIVA11G18060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATAEAAMAAPSASGRRSMSWGSSISQSFRQAEADDPFGRAASQQGHDDDEENLRWAALEKLPTYDRMRRGVIRTALLQHDGGGAGAGGAAPAKDDGGRMELVDIQKLAAGNLGRALLDRVFQDDSERFLRRLRDRIDMVGIELPTIEVRYEQLSIQAEVFVGSRALPTLTNAATNVLQGLIGRFGSSNKRTINILQDVSGIIKPSSQYDLHNAEMTVRETLDFSGRCLGIGARYDMLAELARRERNAGIKPDPEIDAFMKATAVQGHKTNITTDVTLKALGLDICADIIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFEIVKYIGHLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFENAGFRCPERKGIADFLQEVTSKKDQQQYWYHDQERYRYVSVPEFAQRFKSFHVGQKMQKEMQIPYDKSSTHPAALTTTKYGLSSWESLRAVMSREWLLMKRNSFIYIFKVTQLIILAFMSMTVFLRIKMPSGTISDGTKFLGALTFSLITILFNGFAELQLTIKKLPVFYKHRDFLFFPAWTFGVANILLKVPVSLVEAAVWVVLTYYVMGFAPSAGRFFRQFIAFFVTHQMAMAMFRFLGAILKTMVVANTFGMFVLLIVFIFGGFLISRNDIKPWWIWGYWASPMMYSQQAISINEFLASRWAIPNTDATIDEPTVGKAILKSKGLITSDGGFWISIGALIGFLVVFNILYILALTYLSPGGSSNTIVSDEDSEDKTDMKTRNEQQMSQIVHNNGASNTSATSSIPMSGSRSTNQQSRSQIVLPFQPLSLCFNHVNYYVDMPAEMKEQGFTESRLQLLSDISGVFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGVIEGDITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDTNTRKMFVDEVMSLVELDVLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTLLLLKRGGQVIYAGELGRHSHKLVEYFEAVPGVPKITEGYNPATWMLEVTSPIAEARLNVNFAEIYANSELYRKNQELIKELSTPPPGYQDLSFPTKYSQNFYSQCIANFWKQYRSYWKNPPYNAMRYLMTLLNGLVFGTVFWQKGTKISSQQDLFNLLGATYAATFFLGAANCITVQPVVSIERTVFYRERAAGMYSSLSYAFAQACVEVIYNILQGILYTIIIYAMIGYDWKADKFFYFMFFIVASFNYFTLFGMMLVACTPSAMLANILISFVLPLWNLFAGFLVVRPLIPIWWRWYYWANPVSWTIYGVVASQFGKNGDVLSVPGGSPTVVKQFLEDNLGMRHSFLGYVVLTHFGYIIVFFFIFGYAIKYFNFQKR >ONIVA11G18060.3 pep chromosome:AWHD00000000:11:19322043:19331189:-1 gene:ONIVA11G18060 transcript:ONIVA11G18060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATAEAAMAAPSASGRRSMSWGSSISQSFRQAEADDPFGRAASQQGHDDDEENLRWAALEKLPTYDRMRRGVIRTALLQHDGGGAGAGGAAPAKDDGGRMELVDIQKLAAGNLGRALLDRVFQDDSERFLRRLRDRIDMVGIELPTIEVRYEQLSIQAEVFVGSRALPTLTNAATNVLQGLIGRFGSSNKRTINILQDVSGIIKPSSQYDLHNAEMTVRETLDFSGRCLGIGARYDMLAELARRERNAGIKPDPEIDAFMKATAVQGHKTNITTDVTLKALGLDICADIIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFEIVKYIGHLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFENAGFRCPERKGIADFLQEVTSKKDQQQYWYHDQERYRYVSVPEFAQRFKSFHVGQKMQKEMQIPYDKSSTHPAALTTTKYGLSSWESLRAVMSREWLLMKRNSFIYIFKVTQLIILAFMSMTVFLRIKMPSGTISDGTKFLGALTFSLITILFNGFAELQLTIKKLPVFYKHRDFLFFPAWTFGVANILLKVPVSLVEAAVWVVLTYYVMGFAPSAGRFFRQFIAFFVTHQMAMAMFRFLGAILKTMVVANTFGMFVLLIVFIFGGFLISRNDIKPWWIWGYWASPMMYSQQAISINEFLASRWAIPNTDATIDEPTVGKAILKSKGLITSDGGFWISIGALIGFLVVFNILYILALTYLSRGSRSTNQQSRSQIVLPFQPLSLCFNHVNYYVDMPAEMKEQGFTESRLQLLSDISGVFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGVIEGDITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDTNTRKMFVDEVMSLVELDVLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTLLLLKRGGQVIYAGELGRHSHKLVEYFEAVPGVPKITEGYNPATWMLEVTSPIAEARLNVNFAEIYANSELYRKNQELIKELSTPPPGYQDLSFPTKYSQNFYSQCIANFWKQYRSYWKNPPYNAMRYLMTLLNGLVFGTVFWQKGTKISSQQDLFNLLGATYAATFFLGAANCITVQPVVSIERTVFYRERAAGMYSSLSYAFAQACVEVIYNILQGILYTIIIYAMIGYDWKADKFFYFMFFIVASFNYFTLFGMMLVACTPSAMLANILISFVLPLWNLFAGFLVVRPLIPIWWRWYYWANPVSWTIYGVVASQFGKNGDVLSVPGGSPTVVKQFLEDNLGMRHSFLGYVVLTHFGYIIVFFFIFGYAIKYFNFQKR >ONIVA11G18050.1 pep chromosome:AWHD00000000:11:19316605:19320074:-1 gene:ONIVA11G18050 transcript:ONIVA11G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAGKGEVADGFYQIRSDCTHKVPETKFKIKVGKTLSVRKWHAAFTREGRLDIASVLNRIQKGGVHPTIRGEVWEFLLGCFDPGSTFDEREQIREKRSLNIVTDDLCRIQYAIWKQECKDMDSHVGSGKIITAPIITEDGKPIKDPLVLLEATSDQHTMQSSSSSSRNENEVDKSENCVVDKQIIEWKLLLHQIGLDVLRTDRSMVFYENKENLSKLWDILAVYAWIDKEIGYCQETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDIFSTYEHIDAATGVTPGHRQKVKSIRQFGKYERDNMKNGATSDNDGPVPISVFLVASVLKENSAKLLQEARGIDDVIRILNDVNGNLDAKKACAVALKLHRKYLKKIQGKKP >ONIVA11G18040.1 pep chromosome:AWHD00000000:11:19314331:19315041:-1 gene:ONIVA11G18040 transcript:ONIVA11G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEERTTTAPPPPPASGRRARWRVAEHTRASCTTVVANTLCTLLLVLLLVAGVVLFVVWLSLRPHRPRFAVVSFTVVSPPATGGGGHQKVAFNVSDRNPNRHIGIHYDATRAAVLYGGDDVGAAAVLVATGPAFADGWYQPNKTTTFIAGVLDVVGPRPAADAAWPAFAAGLRAGRLPLRLRLTTAIRFRLTTGFGAVGFQSGRRRMHVDCHIVVDSGGNLLPESVGAACERYFS >ONIVA11G18030.1 pep chromosome:AWHD00000000:11:19286331:19288640:-1 gene:ONIVA11G18030 transcript:ONIVA11G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGADEEEERRMHQGCMAGFFHLFDRPQILSGKRLHHQPRRLLSSSSGSATPSERSMQLDRSPERATPLPSPDMTPPAAPRPSLQLPPLETKDGGGAPAVWRLPRLSLDSRAVVDARGKLRPRDIRTSPAAPPSPGAGGGDERRSPSVVARLMGLDALPRGAPDERDAAPCGGELRRSASERVPRDPACFRFVDPSFFERPSPPVTPQQQRPSSPAAEAALRRAPDPACPRGGIQRRSRFDAHDVFPEPAKRVVDSAAAGHGEIALYGEIERRLRKRGIAEPARDLETLKQILEALQLKGLLRHTPPPPVSSLRTQPPPPPIVVMRPSSRPPQPPASRTSPTRRVRVDADNARRPRSPDRAASPARSPASPARRGPQSPQRRVSPAQSPRYQPPLRKPSTGDRSRIARRGGHGSAALSPDDDASTTFSDGTSSGSLSASSRWDFEVSPPPPPPMAATISEFLSSNESFAMQQRARPDELRTDRGLLERCGKLLSSIQAFTAGDDQQPSPVSVLDAAAFLADEDSPSSSGSKRAIFFGAAAPAPHAATASASDQDDDDDQWDTWTVGPDVDPDYAYVAEVVKVFDRTTRDPSAVYAAAAKTTTGGDDDTCHHHRMLLCAAAVEALDRRRAACAWDPVSWLRGGELVDHVWAEVRRAREPVAAPDADLDLNELIRGGVVRDLAADHHRWPPSSSQLLPGAEVADAVLQIERLVFKDLVADTIRELADVGLRLPRRKLVF >ONIVA11G18020.1 pep chromosome:AWHD00000000:11:19267718:19275278:-1 gene:ONIVA11G18020 transcript:ONIVA11G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGYRYQALKEALAAAISGHDLARHHAAADHRRPHALAVVAGLASNGYVASLLVSRYFRLGDAGAARKVFDAAATAAAPQKALLYNAMLRGYLAGGLPRMAVGVFGEMAAAACLPDRHTYHLAVAACARASEFEVGWRIGAEAAAKGFASDLLVATALIGMYAEAGDMGAARKVFDGMPQRDAVAWNAVIAGYARGGHLREVVELFMRMRSVDVVLPTEATLVTLVSGYAGFGSWEGRGMMHTIVIKLGFQLNLFVSNALLDLYVEFGCLREAVMLFRQMAVKDSVTWSAMIGGLVRNGRPDSALKLFRWMVTNSTVLVTRSILLNVIMACAELGEWREGKWVEENYVCCNGFEFKRDPSVVTALIYMYAKCGMLDSSVSLLYGVAEVRDDVFAWNAMIKGCGELGLVEKAVGFVVEMQKIGVDPDAITYLEILPMISLIPSLKTGMEAHAQIVRRGFLNERAIANSLVSMYGRCGSLRHSIEVFSRIVVKDVISWTSMMQVYAWNGHVNEVVKLYEVMKKTETEPNHYTFLAVLSACKNTGLVEEGMELIKYMQEKCGLKPEIEHISCVVDMLCRAGRLTDAYHLIKYNNSEHINNTILWGTLLSASRSCGDLVIGEAAAKHLLSLDPENRANSKMLADIYVLLGRRDDADNLLRVSMTRGLDIKPGCSWMEGFPDESEQRKLTGRHVDLHAKVYKTVGYRGYHIR >ONIVA11G18020.2 pep chromosome:AWHD00000000:11:19268619:19275278:-1 gene:ONIVA11G18020 transcript:ONIVA11G18020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGYRYQALKEALAAAISGHDLARHHAAADHRRPHALAVVAGLASNGYVASLLVSRYFRLGDAGAARKVFDAAATAAAPQKALLYNAMLRGYLAGGLPRMAVGVFGEMAAAACLPDRHTYHLAVAACARASEFEVGWRIGAEAAAKGFASDLLVATALIGMYAEAGDMGAARKVFDGMPQRDAVAWNAVIAGYARGGHLREVVELFMRMRSVDVVLPTEATLVTLVSGYAGFGSWEGRGMMHTIVIKLGFQLNLFVSNALLDLYVEFGCLREAVMLFRQMAVKDSVTWSAMIGGLVRNGRPDSALKLFRWMVTNSTVLVTRSILLNVIMACAELGEWREGKWVEENYVCCNGFEFKRDPSVVTALIYMYAKCGMLDSSVSLLYGVAEVRDDVFAWNAMIKGCGELGLVEKAVGFVVEMQKIGVDPDAITYLEILPMISLIPSLKTGMEAHAQIVRRGFLNERAIANSLVSMYGRCGSLRHSIEVFSRIVVKDVISWTSMMQVYAWNGHVNEVVKLYEVMKKTETEPNHYTFLAVLSACKNTGLVEEGMELIKYMQEKCGLKPEIEHISCVVDMLCRAGRLTDAYHLIKYNNSEHINNTILWGTLLSASRSCGDLVIGEAAAKHLLSLDPENRANSKMLADIYVLLGRRDDADNLLRVSMTRGLDIKPGCSWMEGFPDESEQRKLTGRHGYLQQRHNHEFILVKMH >ONIVA11G18020.3 pep chromosome:AWHD00000000:11:19268617:19275278:-1 gene:ONIVA11G18020 transcript:ONIVA11G18020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGYRYQALKEALAAAISGHDLARHHAAADHRRPHALAVVAGLASNGYVASLLVSRYFRLGDAGAARKVFDAAATAAAPQKALLYNAMLRGYLAGGLPRMAVGVFGEMAAAACLPDRHTYHLAVAACARASEFEVGWRIGAEAAAKGFASDLLVATALIGMYAEAGDMGAARKVFDGMPQRDAVAWNAVIAGYARGGHLREVVELFMRMRSVDVVLPTEATLVTLVSGYAGFGSWEGRGMMHTIVIKLGFQLNLFVSNALLDLYVEFGCLREAVMLFRQMAVKDSVTWSAMIGGLVRNGRPDSALKLFRWMVTNSTVLVTRSILLNVIMACAELGEWREGKWVEENYVCCNGFEFKRDPSVVTALIYMYAKCGMLDSSVSLLYGVAEVRDDVFAWNAMIKGCGELGLVEKAVGFVVEMQKIGVDPDAITYLEILPMISLIPSLKTGMEAHAQIVRRGFLNERAIANSLVSMYGRCGSLRHSIEVFSRIVVKDVISWTSMMQVYAWNGHVNEVVKLYEVMKKTETEPNHYTFLAVLSACKNTGLVEEGMELIKYMQEKCGLKPEIEHISCVVDMLCRAGRLTDAYHLIKYNNSEHINNTILWGTLLSASRSCGDLVIGEAAAKHLLSLDPENRANSKMLADIYVLLGRRDDADNLLRVSMTRGLDIKPGCSWMEGFPDESEQRKLTGRHGYLQQRHNHEFILVKMH >ONIVA11G18010.1 pep chromosome:AWHD00000000:11:19266040:19271701:1 gene:ONIVA11G18010 transcript:ONIVA11G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-carotene isomerase D27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03055) UniProtKB/Swiss-Prot;Acc:Q7XA78] MELVMLLPHGGAGGVRPAAVATAKRSYVMRRCCSTVRAIMARPQEAAAPAPAKKTETAAMMTTVQTETAAAPAMVYRDNWFDKLAIGYLSRNLQEASGLKNEKDGYESLIDAALAISRIFSLDKQSEIVTQALERALPSYILTMIKVMMPPSRFSREYFAAFTTIFFPWLVGPCEVMESEVEGRKEKNVVYIPKCRFLESTNCVGMCTNLCKIPCQKFIQDSLGMKVYMSPNFEDMSCEMIFGQQPPEDDPALKQPCFRTKCVAKQNHGVNCSI >ONIVA11G18000.1 pep chromosome:AWHD00000000:11:19260631:19264062:1 gene:ONIVA11G18000 transcript:ONIVA11G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor C1 [Source:Projected from Arabidopsis thaliana (AT3G22950) TAIR;Acc:AT3G22950] MGAWMSRVWFLMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNIRFEAFSKEKVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLLQHGDLEGAVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVAGKATTS >ONIVA11G17990.1 pep chromosome:AWHD00000000:11:19257460:19258765:-1 gene:ONIVA11G17990 transcript:ONIVA11G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMLTFCTGPLLFAVLLLMVYLKQLAAAACVDVLIIYLCRFLLLRGIIFSGDGKLRFRVKVAIGFLYISLSAILFYLSAAVMALPPWGAVAMWGMALVATELGNSFLCPYSCRCIARNNMDLTTYYAILLIVEVVFAFFFLPYSCGSDVVDENIPHV >ONIVA11G17980.1 pep chromosome:AWHD00000000:11:19253212:19256416:-1 gene:ONIVA11G17980 transcript:ONIVA11G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTHATFLAPTAPPIPLHRRHLHLLLRGRPAVSTRVRATARGGDGGSSYLDMWKKAVERERRSAEIAHRLQQSSSAAAAAVKEEEGEGKAAAAAGDVERRTARFEEMLRVPREERDRVQRRQVIDRAAAALAAARAVLKDPPPPPPPSPPSTPPQEREQQQKPAATAIQTGSESGLGSRTAPGESDRASPPPPPPVTATDAAKVSVPDSGNSSPFKKSSSKLGTPGPDFWSWLPPVENSTKLGEIDTGLKPSEKLDSFAGQPDLLMEKEQSEDILSLPFETSFFKKKEDRSLPPFQSFAEPENVESEPSITADAEETFEDQFSKNAAEAARALSASDEKSSHGVRPDGSLWWKETGVEQRPDGVTCKWTVIRGVSADGAVEWEDKYWEASDRFDHKELGSEKSGRDATGNVWREYWKESMWQDFTCGVMHMEKTADKWGQNGKGEQWQEQWWEHYDSSGKAEKWADKWCSLDPNTPLDVGHAHVWHERWGEKYDGCGGSAKYTDKWAERSEGDGWSKWGDKWDEHFDPNGHGVKQGETWWAGKYGDRWNRTWGEHHNGTGWVHKYGRSSSGEHWDTHVPQDTWYERFPHFGFEHCFNNSVQLRSVKRQTSKNTKPEKD >ONIVA11G17970.1 pep chromosome:AWHD00000000:11:19251257:19252256:-1 gene:ONIVA11G17970 transcript:ONIVA11G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHLNEQQISDFRDAFSLFDKNNDGCISREELATVLTRLGMAPSQEDLQDMIVAVDEDGNGTIEFDEFLAIMKKKLYENGKSDDEEELRKAFRIFDKDDNGFISRNELNMVMASLGEEMTEDEIDDMMKAADSNNDGQVDYEEFKRVMMST >ONIVA11G17960.1 pep chromosome:AWHD00000000:11:19236624:19251051:1 gene:ONIVA11G17960 transcript:ONIVA11G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLSGHRCRRRHLRAALTVVVAAFLLAPPRRCAGESATCLAVYREGGAPAVFQSAHCPRWTLLAPSADSGGEGDGDRRSSSSSPPPPPHPRGCHVAVDRGRRRSQEDRAVCALGIRIPFIEHMRIKEVDVGVVAVFDGHNGAEASEMASKLLLEYFLLHVYFLLDGIYSIMFRKSTGKLTYKEVTILNNVINLYKEDRSSHSKGSCWALPAILDRSFHMEVLKESLLRAVHDVDLTFSKEGKRRRKRNSNNRDDFALANYDGPFYNVKELTKDHHPDREDERSRVEAAGGYVLEWAGVHRVNGELALSRAIGDVPYKRYGVIPTPELTEWQSLSANDTFLIASSDGVFEKMTMQDVCDLMLRVKLGVNQELGSFEVTQQNLADYVVDLALEKGTTDNVAAVIVPLGSHYSSKVTLEDWYMLEENSKTSISPLQTIPYQQKSDDGVSSALIGMDYYKRSSAKFHRFLVEAKMKRLGCFYLSESLDEDMDYIFRVPEAYQREGVNDFDHSPVETVLFSDGNLDKYKDRHFCWYLGHQDGEMGRCHSPEAFANFFGLLDSVSHNGSKPHSSHSFGYKISDFRYKLKKRFDRGSYGEVWLAFHWNCSEDVDVHKDHSHFNTILKPDSYNCTSSNTTLPDENHVSDTIDGDLFILKRIMVERGRAAYLSGLREKYFGELFSNASRTLEALSRAESSTAFSVDRQLNPHIFAENNMSFTEEPLKHIARFIESFESELKELWLVYRNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLQPSKWWHWLRTTKAGQKQMQNLLWQLLMGLKACHDRNITHRDIKPENTIICFEDLETGKCLREIPSEAKHNKLNMRLIDFGSAIDDFTLKHLYDSGPTRSEQTFEYTPPEALLNSSWFQGSKSARLKYDMWSVGVVMLELIVGSPHVFQISDRTRALMDHRLEGWSEQTKELAYKLRSYMELCILVPGISSQHQGSGDSEHGQFRPASWKCSEESFAHQVKIRDPLKMGFPNIWALRLARQLLIWHPEDRLSVDEVLAHPYFQEPP >ONIVA11G17950.1 pep chromosome:AWHD00000000:11:19226809:19229978:1 gene:ONIVA11G17950 transcript:ONIVA11G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGAVSCCRRGSWRRRAAALALAVVVVFAAAAVAAAARRPIRVLRRPAAAAAHVRRLDLSSSLMLARRTNAAAGGGDNGDVRRRRLIGPGSSPPTCRARCGRCAPCRPVHVAIQPGIGAQWEYYPEVWRCKCGDKLFMP >ONIVA11G17940.1 pep chromosome:AWHD00000000:11:19195641:19196703:-1 gene:ONIVA11G17940 transcript:ONIVA11G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWAVHPITNNDHEEEDACGDPVDLLDGTAMVSRSFYDQAVPVDQINWHALNLKLWTYTEVKQIFEAIVFSHQQILMIQDRSERLKANLPKSGWKHLNT >ONIVA11G17930.1 pep chromosome:AWHD00000000:11:19185489:19187105:-1 gene:ONIVA11G17930 transcript:ONIVA11G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIHTPVVYSESSVNWRQEISPEKQTSYQCQCSFLEVSISDMMKILLNAFQIRENACNGVHVENLTDEYVSTVEDVNQILMKGLPNRKVGTTSMNLKSSRSHIIFTCVIEAWSKGCSSNGFSSSQTSRITFVDLVGPDNDELDGGSKHCTRE >ONIVA11G17920.1 pep chromosome:AWHD00000000:11:19184761:19187094:1 gene:ONIVA11G17920 transcript:ONIVA11G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGLGVSPEPRRRETKRYTQRERERDDIARWPPRLLTARAAYSAAAPSPWRTLLAATIKFIIIRTNKINKEYTTGV >ONIVA11G17910.1 pep chromosome:AWHD00000000:11:19180166:19182726:-1 gene:ONIVA11G17910 transcript:ONIVA11G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGLLLRPPPCVAMCTPSPSPFPSSQRRRRRRLTLAQPYCTLGLSFVSGRHHRFLLRRRRRTESKRTSRGTGVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGLDEVPPSMNYRPPTMNNSQQPAIDQSSDDKPEAAPYTSEELIKVTEEQLAASAAAAWNTQEPPPSQQKGFDIFFVPFLQLEAAATSESNDGAISRGSDGAGAAMSEPNRNISEKTETER >ONIVA11G17900.1 pep chromosome:AWHD00000000:11:19172509:19175571:-1 gene:ONIVA11G17900 transcript:ONIVA11G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRRRGRGVAPPPDPSPATIDYYCSLKEIAASGAPGAEDFVRNHGLHLLLFETPSGFAIFSLCGAEIHIPDALESIWVKFASFRPASTIIWLKEFQKFDDNSSAINVGTGVNKQLTDMIMQWRRPGQKLVVGKPEYKSTIETFLGIPCLHDEVVMEVMWGMKRFMSNFVPAEESKLPKEDSLPMSQGPLMALLDVGHYLKHDVQSKLLDDADKYKDLVYARDCLRLYENLVAAYNVRAVKKDGLALLVKRANADEAVQARSITTII >ONIVA11G17900.2 pep chromosome:AWHD00000000:11:19172509:19175650:-1 gene:ONIVA11G17900 transcript:ONIVA11G17900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPFHLLGFVGPLSEKRGDRHGRSSNAQEASPSRSRGCSSSRSFSGDNRLLLFFEGDSRQRGSRPASTIIWLKEFQKFDDNSSAINVGTGVNKQLTDMIMQWRRPGQKLVVGKPEYKSTIETFLGIPCLHDEVVMEVMWGMKRFMSNFVPAEESKLPKEDSLPMSQGPLMALLDVGHYLKHDVQSKLLDDADKYKDLVYARDCLRLYENLVAAYNVRAVKKDGLALLVKRANADEAVQARSITTII >ONIVA11G17890.1 pep chromosome:AWHD00000000:11:19169102:19170384:-1 gene:ONIVA11G17890 transcript:ONIVA11G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVIAAAPRPSYLFGMLVKTETRPVELKLPELGQERPHQAGALAVVLAGGGRPAWDNTTGHDPTFLWNMPKAGMDQVRTAELQKHGTEVVAVTVRLRGGGGPPPDMVEFVADHPFTFFIMEERSGVIVFAGHVLDPTV >ONIVA11G17880.1 pep chromosome:AWHD00000000:11:19165807:19168699:1 gene:ONIVA11G17880 transcript:ONIVA11G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLRQELDNLDKDADSRRNAMKALKSYAKHLDSKSIPHFLAEVSDNKAAPGLPSGEFTISLYEVLARVHGRNIVPQVGNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDEEKAGIIASLCKPLCGALMGNQDGAASGAALCLKALVESSNWRFAAGEMVNEVCLKVAGAMHDKSTQSNAHMALAMALVKHNGLIAEAYARSLVRSALQILDGDTAESSSQKRLSAIQMINFFMKFVDPRSISSELSKVVDIMEQCQNDRMPFVRGAAFEASQTAKSIAAQKGSRHEVSTSPMVGSNFQRRREKSPCRSLWNAKGMAASPVQFQSPESHVVDSSIMCESTITESPVSIGQSSCNFDQNRRTNRRLWSNDGVDVSLKDGLFFRLCSDGKYLEDDLDEVCDSEVTDTNFECTNSFSGFVSASPNGATSRDKTPSPQASERPISIDDVKIYTTPRKLLRSLQSSYDFDSARNEERSIAKLNSSSSPSEESNELEESSEETQSQLSDSKIEEAKYDNETIDAQSADDTTQILSNEDKSVLCTPEVEDASCKASSEGECKEQDICVTRSMGKSRKYKAVFSFLLSIVMIVLAIIAVLIRIESYDDYVGLVPT >ONIVA11G17870.1 pep chromosome:AWHD00000000:11:19152158:19155019:-1 gene:ONIVA11G17870 transcript:ONIVA11G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQGGQDCSKFGGFVDKNSGASSSGAPDIELQNMCGTSVARTASLSTGRASPSQFAVGRYHRAAGSCQSDILPDEQSLASAFDMALSFRNNPVDYPTNPCNVVPTNGLYVSGPMRATSVQSFDPLLVQDESMRPQFGAGHGKLKTDEFTVDQQEQAHMLSQYFGNWPQNYGMNNMGGVASTPYKPSASLYQQPFYMDEQSQMYAPYQQIPSNFLLQHDMDVQNHSSMQPHYVYPQMQHAAGSNVRSNQQAAACTSARGRSTYGHQLLLDGAVFHNGNNQMNSLYMDGFPGMYTDSSFDSGDFHRLLEAEKFAHPYELNSSSKGFLQPQIQDDLSTMKMLMNSAGVNRVRAIKFPPTVNGYSGVGRRTNGYGHNHLDVKSDETLHLNGLNSRFMSLKSEYDLAMKSTQLNYGSVDEVAGRIYMLAKDQNGCRFLQKVFTEGTKEDFEKVLAEIIDHFGELMIDPFGNYLVQKLLEECSDDQRTRIICEITRVPGELITVACNMHGTRTVQKVIDTINTPEQISKVVSALSPGAMRLMTDTNGSHVAQRCLKKLLPEYKAFLLDVAALRFLRLAKDQHGCCIIQKCIEHSNDEQKYNLLCKITSSALSLSEDQYGNYVIQFVVNLGIEWATSKIVKELKGNFGYLSMQKCGSHVVENCLKQASELDREMIIHELMADPKLPHIMADPFGNFVIQTALKECKGELHSSFVEAIRPHAPALQNDVYAKRVLSKTYLKNKQYRLGNF >ONIVA11G17860.1 pep chromosome:AWHD00000000:11:19149258:19151654:1 gene:ONIVA11G17860 transcript:ONIVA11G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3L7] MRPPRGGGGGRFGGGGGGRFGGGGGRGGRFGGGGRGGRGGGGGFRDEGPPAEVVEVSTFMHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESLFSIKMLEGIIATSYSEGDKFYIDPMKLLPLSRFLPQPKGQSQGGGRGGGRGGGGRGGGRGRGGGGFRGRGAPRGRGGPRGGGRGFRGRGRF >ONIVA11G17850.1 pep chromosome:AWHD00000000:11:19141847:19146995:-1 gene:ONIVA11G17850 transcript:ONIVA11G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAATSKPKPRPKPPPPPPEASSKASSSSSSAADPNSKRSGPTPTPTPAAAANPTNSANPNSAPLLPSPHPRIPSPHHHLPPQQQQQPPPPPLSRPLLTVAAVEAAMASIPPPPQYGLESLDRRNVVLSDGTVRTYFALPLEPPPQLRQPLPLPLPLPPFPARQLGPPVGLPPPTAERWAPMRPPAALLPMPAPKRKWEGQANGSIPGESSGRQQQQKPEEKRVAKQVKVETPDVDAKQLKSSFLKMVKLMNENAEQKKNYRANGKISQLKCTVCGRDSIDLHSLLNHSYYAKSAELRADHLGLHKALCVLMGWNYSVDPVHKKAYQTLSTADAEANQGDLILWPPTVIIENTYKSKNDGQKDGMSNKEMEGKLREMGFVGASVKPLSGKDGQRSMLVKFASSLAGLSEAERLAQSLEKQGHGRAEWYRVRSVPPGADGGSNPLLVKVGVKGERTWVLYGYLATAWDLDALDLESKQNAVIKSRKELDLSE >ONIVA11G17850.2 pep chromosome:AWHD00000000:11:19141847:19146995:-1 gene:ONIVA11G17850 transcript:ONIVA11G17850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAATSKPKPRPKPPPPPPEASSKASSSSSSAADPNSKRSGPTPTPTPAAAANPTNSANPNSAPLLPSPHPRIPSPHHHLPPQQQQQPPPPPLSRPLLTVAAVEAAMASIPPPPQYGLESLDRRNVVLSDGTVRTYFALPLEPPPQLRQPLPLPLPLPPFPARQLGPPVGLPPPTAERWAPMRPPAALLPMPAPKRKWEGQANGSIPGESSGRQQQQKPEEKRVAKQVKVETPDVDAKQLKSSFLKMVKLMNENAEQKKNYRANGKISQLKCTVCGSVKPLSGKDGQRSMLVKFASSLAGLSEAERLAQSLEKQGHGRAEWYRVRSVPPGADGGSNPLLVKVGVKGERTWVLYGYLATAWDLDALDLESKQNAVIKSRKELDLSE >ONIVA11G17840.1 pep chromosome:AWHD00000000:11:19139892:19141136:1 gene:ONIVA11G17840 transcript:ONIVA11G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQDILMSIFSTLEVLDLIRAGSVCNSWRSAYTSICSLGHCKPQQTPCLLYTFESDGTKATGLYSLAEKKAYTLTLLDPALPSRFIIGSSYGWIITADERSELHLVNPITGKQIALPPVTTIEQVKPIFDDSGAVHKYKYSWYTGQMTVSDSPSILAPDELRNFLFNKAIVSSDPSGGNFIVVLIHNPHLQLSIARSGDDKWTWLPPHKDYEDCIFRDGLLYALTSEGEIHEYDLSGPAITRKIVLNKVKGFTCENMYIVRAPFGDLLQVWRSYDPLDDEDEDASDDLEADHDDESYVWNTTMIKVHKVDLVARMLVEACDLGENVLILGHNQSLCLRAEEYPLLKANHVYFSDDRELYIKGCKNGCRDIGVFNLENNCAEEIVSPQLWSNWPPPVWMTPNARKISLETHSS >ONIVA11G17830.1 pep chromosome:AWHD00000000:11:19129136:19130368:1 gene:ONIVA11G17830 transcript:ONIVA11G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQDVLMSIFATLEVPDLVRAGSVCSSWRSAYTSICSLGHCKPQQTPCLLYTFDSDGTKSTGLYSLVEKKAYVLPLQDLPNRHIIGSCYGWIVTADERSELHLVNPITGEQIALPSVTTIKQVKPIYDDDVAAAKRYKYLWHTGEVTVSDSSSILYYKAFVSCDPSMGGGYTVVLIHNPYCQLSFARAGDDKWTWLPPYSDYEDCFFKDGLLYAATLLGETHMFDLTDPKVAPKIVMGKVKDFLYENIYIVEASCGNLLQIWRSNDLPKGDAPEGDEDDDDHSFDPESEFDSQSYVHDTNTIKVHKVSLTEGKIVEISSLDENLLFLGHGQTLCLHAEEYLQLKANHVYFTDNNELYLFEYKDNHRDIGVLDLENFRREEIVSPQIWSNWPPPIWLIPNPRRMKLASH >ONIVA11G17820.1 pep chromosome:AWHD00000000:11:19121933:19124974:1 gene:ONIVA11G17820 transcript:ONIVA11G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEDFRAREIAVKKLENRDFVGARKIAIKAQRLFPELENISQLLIICEVLSSAEAKISGELDWYGVLQVDKMADETVIRRQYNILSYRLHPDNNTLFGAEAAFRFVSEAHAILSDHVKRSLYDTKRQCASREVAKEATQPPNKTDSNISNVAGSMTPSASVLVFWTICPHCQKRFLYYQRNFLARCSDCGKRFFAIKLHEQSVPSRILSTAAKKSQLSTSEMLSFQRSSVPNQHQQGKCLVTRSEAIQFSAMKQTKSHICAFDNDKPGTLVPKSSDLKSISVKNLTRESAPAEENAAESSSLQILGKRKLYVTSDSSHDFFDFDKSRDVSQIAVDQIWAVYDGHDCMPRAYARINHVDPSNLKVQFTWLVHNTVNEQNSKSTNEKLPFACGNFCLGETDVLHNPSSIPFYKTNGNERVGVAEGFLELDTAALPSDLDSAFTSITLESYMALDNKTNIELISYVCPDSEFYNFEQDRSHDKFEAGQIWALYSDTDKFPNFYGWVSKVEMEPFNVDLAWLEACPQRAQEKLWLEHDVPVSCGTFEIQNMETKFNENCAFSRLIETKQIGAKCKVQIHPKIGEVWAIYKNWSNKWVPSRSTRGTKYAIGKIVDSTEAFTLFGYLTKVDGYISVFKPDVRRGILKIPVKENLRFSHRIPSFCLTKEKGGKLHDCYELDPAAVPDVFLHKN >ONIVA11G17810.1 pep chromosome:AWHD00000000:11:19117039:19117815:1 gene:ONIVA11G17810 transcript:ONIVA11G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGYTMISCGIFKIGNCKSMCDSNRQIIFFPKLVFKPHIGKEILEIPEKENLRFSQQIPSFSLAKEKEKLIKKGRGYPSTMPQEGYDARRRSRCWPGAPPD >ONIVA11G17800.1 pep chromosome:AWHD00000000:11:19109094:19112916:1 gene:ONIVA11G17800 transcript:ONIVA11G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRTCMFYFGKRNDECPACRTLASSHSLKVDPNFDALILTLYPDLHKDEEEELAFTEEKTCRKKIQASTDEAPHRRKEALGKKRSAAKAIGSSRSQGNMLARKRGHIGFPDIVPSKVGGKDREENDNSGCDEWSLFDEYSQDIKKKRARSEVDKFPKLYGWIRKVKLQPFTVHLTWLEPCPQQEQEKRWLEQDIPISCGKFKIRNWKTKYHGNDVFSRLVNTGHIDSNWQIEILPKATSEFTIDEIVERTEATIKVSLLTQVNGYRAVFKPDQRRGVLKIPIKDRLRFSHQILSFRLTEERGGELCGFLELDPGSVPDIFLNREENNNDGCKGLSSVGDHSEGPMTKKARKFHVPQSSPAGHKVTSKVDSHEERMANRVAIRLKVNQSEAQVCRLLSAEHHEDLSLQKKKTFGDTAHRADRQYNPPPMTRKVDIQGKGKTSDTDDKDNANAEATNTVRQNEHSCSLELPSPYPDFYDFEKLRDINMFSVGQIWALYDDLDGMPRFYARIEHFDASSFKAHLTWLEYNAASEEEKKWADEELPVACGKKRIAYEVYLNKGEVWALYKDWSMQWNSDADSHRSYEYEVVEILSGFSVNDGITVVPLVRIKGFVSLFAAAKDKSAVVIASSELLRFSHNIPCYRTTGNEKVGAPAGFMELDTSCLPIDMDMIFPSVTLDSYISLGKKKGSTLIDLTTDSRSSRKDPGNEQTENLSEAQKESLSSEKNSSLPKNGHVANGFGNNSGPGCPSPTPTIFSYPDPEFHNFEDDRTCEKFEPGQIWALYSDVDKFPKFYGWISKVERQPFIVHLIWLEASPEYEQEKRWLEQDLPVSCGKFKIRDWKTKYERNYSFSFSTYRTEWLKPAD >ONIVA11G17790.1 pep chromosome:AWHD00000000:11:19098772:19101921:1 gene:ONIVA11G17790 transcript:ONIVA11G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEAFRAREVALRKMENKDFNGAQKIVLKAQKLFPELENISQLLNICHVHCAAEATVNGQTDWYGILQVEATADEATIRKQYRKLAFSLHPDKNSFAGAEAAFKLVAEAHSLLCDPTKRPIYDIKRNNIPRKAPKQATRPAKKTQANKYSVPVYLHAFWTMCPHCQMRYQYYNNAINTTVCCMNCRRNFFAYNLQEQPVPTPNVPYSSQFPANMFPNQRRDPISRQGHPVKLSCTGGNTDVRPGTYSWPGSDERTIQSEMTRGKDQFPARNQDKYSVPTANGGCSIPVPDCPDTIDRQKLGREDASVAPAMNVPGHSKLHSTGGGTNAKPRVNVAQWKETTKEDSSASVEKKANQSMMNQRKSSAQTANENASGRFKPDHADPNVFDRKNFGTEDSFPVPNSAVPSSLRRSARRKQDAGDNGSTNSKVRKKQKKNNVLSDVDLNCQQIFNNNGTSGDKQSAPPHVSSTVDIQDKTKVTDADSKTKAEPTDTAGWNVPSCFEKLSFPDPDFYDFEKLRDINMFAVGQIWALYDDLDGMPRFYARIKHFDASNFKAHLTWLEYNAASEEEKKWTDEELPVACGKFCLGSTEVSHDRLMFSHIVSWTKGKKRNAYEVYPNKGEVWALYKDWSMQWNSDADSHRSYEYEVVEILSDFSVNDGITVVPLVRIKGFVSLFAAAKDKSTNVIVSSELLRFSHSIPSYRTNGNEKAGSPAGFIELDTACLPNDMDIIFPSVPLESYISLGKKEDSTIIDLTNDSTSSRMDPGNEKKENLPEAHICRPVSTENHESLSYEKNTSLPKNGHDANGFGNSSEPSCPSPSIYSYPDSEFHNFEEGRTCEKFEPGQIWALYSDADKFPKFYGWISKVELQPFRVHLIWLEACPEQEQEKQWLDQDIPVCCGKFKIRTWKAQYETTDTFSHLVHTGQRDSTWQIDILPQVGEIWCIYMNWTSDWTPSSIDMCEFAIGEIIECTEALIKVSLLTQVNGYRAVFKPDRQSGVLEIPKRDRLKFSHQIPSFRLTEERGGKLRGFYELDPASVPDVFLYRDTP >ONIVA11G17780.1 pep chromosome:AWHD00000000:11:19089207:19092969:1 gene:ONIVA11G17780 transcript:ONIVA11G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGATSKRVEKAKANIIIRKMSSKFKLRMFCRTTGAIALCSSKKQLKLSQPNADELGYADSVSLEDIGGVRNEEGRNSVAIAVLRGSTDSILDDLGRAVDDGVNTYKSMCRDSRIIPGAAPTEIELAKRLKEFSLKETGYAGWTTMPLQNLLEVLKWFQEPRLKMLGLVLWSVCKDVSIMKIWDLYVTTYKMLHVPFCGLARSFMAKPAGGPRRDDQPGR >ONIVA11G17780.2 pep chromosome:AWHD00000000:11:19089207:19092969:1 gene:ONIVA11G17780 transcript:ONIVA11G17780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGATSKRVEKAKANIIIRKMSSKFKLRMFCRTTGAIALLKLSQPNADELGYADSVSLEDIGGVRNEEGRNSVAIAVLRGSTDSILDDLGRAVDDGVNTYKSMCRDSRIIPGAAPTEIELAKRLKEFSLKETGYAGWTTMPLQNLLEVLKWFQEPRLKMLGLVLWSVCKDVSIMKIWDLYVTTYKMLHVPFCGLARSFMAKPAGGPRRDDQPGR >ONIVA11G17780.3 pep chromosome:AWHD00000000:11:19089063:19089432:1 gene:ONIVA11G17780 transcript:ONIVA11G17780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYLFMLIEILEDLVEKGSENMDVRNKEEVVLRIRSTVAIKKFRPGGYIVPLPKNPANFKSSTLTMLELRSYFEVVRFA >ONIVA11G17770.1 pep chromosome:AWHD00000000:11:19082779:19084257:1 gene:ONIVA11G17770 transcript:ONIVA11G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAWRCAVVVGVVIMAAAAMVDGLGVNWGTMATHRLPPKVMARLLKDNGFKKVKIFDADATTMSGLAGTGIEAMIAVPNDMLAAVGDYGRAREWVKENVTRYSFDGGVDIRYVAVGNEPFLKAYNGEFDRATVPALRNIQRALDEAGYGKRIKATVPVNADVYDSPASNPVPSAGRFRDDVAGTMADMVRFLNRSGAPLTVNIYPFLSLYGNDDFPLDYAFFDGGPPPRPVVDNGINYTNVFDANFDTLVSALKRIGFGSLPIVIGEVGWPTDGDKHATVPYAQRFYSGLLKRLAARRGTPLRPRARIEVYLFGLMDEDTKSVAPGNFERHWGIFTFDGRPKFPLDLRGAGRPAMPVPAKGVKYLPRRWCVLNPNVTDDDAGRLADNVGYACSHSDCTALGYGCSCGALDARGNASYAFNVYYQAQGQADAACDFQGLAVVTEDDRDVAQGACNFSVQVAAAALAGAAVAAAAAVACAAAVVAALLALV >ONIVA11G17760.1 pep chromosome:AWHD00000000:11:19074308:19081541:1 gene:ONIVA11G17760 transcript:ONIVA11G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVNKPQGEQSVLSIAKSSGIAYAVHRAAAVATTFPKSARVQFLPVYVALDLAKSIEPDADKEEVLQCALKIMDSAAKISDHSLVIALFRAGLMAVLHDYAAAEDECCRALAIEKADDPSSHDIPVGSTKGDEYDDRICFVKKQIHGLLQTLVLFARRDWSLITSEKQRMILSVRLDVLCEYYSKINRSLSKSLTDAHHFVSSNKSWILRKCPHSGCSNITFDSTVMLWTHLCNSHFGSLGEKLLEVSDPNLCEDVMGEGEHCCSLDVIDVCKDSSQHDLFCFMNVEGAFGSFLLSPFTAKEVADAAELQREKRKEGNDIIKAVKKKLRNLPSDRSSNEFHVACKIIQEMCHKLLKSSCTDYREFVLPLLRFHRWLSYSDNLIAGEDKRSEVLVENANPSDLELIDVEDNGVKPSATLETKGTSNYQNSVQDVPKILVPKISDPSLYKPPPDPRIVNQQATRYGYSALHRPAIGQSVVTPVNRLVEIVDAANKFDPYEVEHSHQSYKREQAKIPKDQELCVICFFERYSTIAMASGKNAGTKCNLCNARFLKDSDLEFHRRYYHQQQ >ONIVA11G17750.1 pep chromosome:AWHD00000000:11:19072248:19077171:-1 gene:ONIVA11G17750 transcript:ONIVA11G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSHQSCTKQCDHKRMQEVGIYSRLDQVFEAPPAVKDEEMLHEKRKQDEIKKHVVRKRIMLVSIYKKKVTESSKIKFVDQVAKFDQRFQVVLTFKDLLIRAVVLKRSCTQENMASIELDTKVCL >ONIVA11G17740.1 pep chromosome:AWHD00000000:11:19048124:19050088:-1 gene:ONIVA11G17740 transcript:ONIVA11G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQRAVPWARPSGRGPYGHLYSLDRDNSQHCRGGGGSSDSSSVRGGAPPPRWGGVGRGHDAEPTSAYASKYKDMPPKHYLACLHYAIVGRHQKIGRDTMVLLHRRRLHPSLHTPPPEPSPLPTTLPSSCVLSPLPTVCELAIGSHMSQTPAAALTMLAAVTAQRCLLAVTPSKTLSPRCQVAGSKRGGTTRTGGASGARGRRGVGASQGAGSQPLATRRGAGATAAATACRMSGAATGCRTSGVAGSQPGRAPEPPEQPAG >ONIVA11G17730.1 pep chromosome:AWHD00000000:11:19045621:19047009:-1 gene:ONIVA11G17730 transcript:ONIVA11G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCSREEIMDLCRQAIHQNMCYVVPRVLPQQLCLPPPSPKDELHSTLTKTSTRKFSDLPHDILMDIIAMLEIPDALRAASVCSSWCSVHTKLHNLGKYKRPQTPCFLYTSQSIGENIACLYSLAEKRTYKLTLPEPPISRRYLLGSSDGWLVTADERSEMHILNPITGEQIALPSVITINQVTRIFNHKGVLCKYRYSRHTAEGVTDSPMTLPLDKLRYFFHCKAFVFYDKSVKSYIVVLIHNPCEQLSFARLGYDKWTWLPPHLRFQDCTYKDGLLYAVTSLGEIFAFDLNTTVITAKIIMDRTKEYSRERIYIVQAPWGDLLQVWRPPQGDGRGYDEITGRSALVSNTGRTKLYRVDTLAKELVEISDLGDHVLFMGNNQTYCLCAKEYPLLKANHIYFTDDSECLALRTLWGFRLDIGLLNLRDKSVEEIVSPRLWLKCRAPVLLVPNPRKMNSTCHN >ONIVA11G17720.1 pep chromosome:AWHD00000000:11:19043377:19047212:1 gene:ONIVA11G17720 transcript:ONIVA11G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAARQAARKSRWGKREREFMQWRLDIMKEITTSLKASSPVFRAASSPPSQAPPSPTPTTYLTKCPNNANPRVMVSSSHIDEETTPMVFLELGDGEDKVHDSYIVTKNFPEVTSTMCSMKCSSPDTEPDLTMTAVVACATTATASMEVVSVEDTTGVTYIDTYDYSKVTHVKRSKVGLDVDGGTDQDVVAFQTMMGCINTILPGNIKIPKKRRFPDVVSNCDKWNSSSEDSFGMHGAPRGADGSRTQGIRDLQHGNDIHQDIMWQDHRESCNLGGLIERAGSPWNELYRKPNEDAPSNSESD >ONIVA11G17710.1 pep chromosome:AWHD00000000:11:19042779:19043784:-1 gene:ONIVA11G17710 transcript:ONIVA11G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGDSVRPLSDVNQEDVGEKTEAAEEEAPTELSAEAEQEAEQRGEEDFKLKKHCSSRPVKPNPMYHGPQWAVYVLSTWLHGLARFTISVGFLGS >ONIVA11G17700.1 pep chromosome:AWHD00000000:11:19041701:19041964:1 gene:ONIVA11G17700 transcript:ONIVA11G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGRVDGNGRTPLFFTFSPGMVVVYGRKRGRKHGVVLVADEDYHAFARQPVQQFRRWDVVSTGNHIGGNRAVRRKSLRRHYLRGL >ONIVA11G17690.1 pep chromosome:AWHD00000000:11:19037283:19038659:1 gene:ONIVA11G17690 transcript:ONIVA11G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCSREEIMDLCPQNMCCVIPQVLPKQLCLPPYSTKEELRTILTETTAREFSDLPHDILMDIIAMLEIPDALRAVSVCSSWRFVHIRLHNLGKYKRPQTPCFLYTSQSIEKRTYKLTLPEPPISRRYLLGSSDGWLVTADERSEMHILNPITGEQIALPSVITINQVTPIFNRKGELCKYRYSRHTAEGVTESPMTLPLDKLRLEHDKWTWLPPHLGIHDCAYKDGLLYAVTSFGEIFSFDLDATVITAKVIMGRTKEYACERIYIVHAPCGDLLQVWKPQEGNGNRVDEITGFPALVSNTQNTRIFRVDTVAKKLVQIFSLDDHVLFIGNNQTSCLGVSEYPQLKANHVYFTDDFECLSSKSMWGLRLDIGVLNLEDKSIDEIVAPRLFLKCRAPVFLVPNPSMMNSTLHG >ONIVA11G17690.2 pep chromosome:AWHD00000000:11:19036749:19038890:1 gene:ONIVA11G17690 transcript:ONIVA11G17690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKEYACERIYIVHAPCGDLLQVWKPQEGNGNRVDEITGFPALVSNTQNTRIFRVDTVAKKLVQIFSLDDHVLFIGNNQTSCLGVSEYPQLKANHVYFTDDFECLSSKSMWGLRLDIGVLNLEDKSIDEIVAPRLFLKCRAPVFLVPNPSMMNSTLHG >ONIVA11G17680.1 pep chromosome:AWHD00000000:11:19023642:19026191:1 gene:ONIVA11G17680 transcript:ONIVA11G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDKRINGGLQGFAVSSSSKKEKGIRILDAPAPPEIPTRRAGFSFGVGDGEEAEEEVVVAPSSALARARGCDVYVGYGGGGGGGAAVEVERFVAWLCAEMEALGVRCVAADRRRCRDAPSHAAARAAMDAAVAGVVVVTPASLGNPYCVDEIRAFVEKGRLVPVFVGLGKGDCRAEDVVEKRGYLWGRFGGHLWKVYDGGERDWREAVGALSRADPAVEVDAASQRHRLIDLLEIVGSRLGRRAVTDAVRSWRAAAAAHPELPFARNERFVGRESELLDLEAVLFGKRPMHLVEVEVFGGEPAFMDGVVCISGASGAGKTELVLEYAHRHAMEYKKVLWVRGEARYLRMGYLKLADQLGLAVGDDLSLIAAGDRRSSGSKKAEKEWIFRGFESDAIAKIRKELTREIPYLLVIDNLESETDWWDSRDVQDLLPGAVAGAAARSHVIITTTRLRRLQRVRTFSLAPSMESPEAMLLMTRNGALAFHGEEDTIALRAIQQKVGSIPLALALVGAVLSELAVSPAELRQAMNDAPYRAPTWEENDAPALRDNPGMVQLLDVCFALLDEEKDGLGEAAVRMVETSSFFAPSPIPVALLAAAMGGEPKRPLWKQMKLALRLSCSSSRRALDKDSSSRRRAAAAAAEPEALVALLRLGIARRCTTQPAPCVSVHRVFRLFGRKAVGSGEAAARSTVRAITAAEVHDEHAWAACMSVFKIAPAIAANLPTKELPQFVTHVAVPLAARGVVAHSAYAAVTDLLVESSNVVRGEESRYVASGGLDENPALYHELAHSRAQLLKLRAKLMLRGGEFTLAEDHSLAVIHILEVVAGDDDPETEEARAALDRVLQVQPE >ONIVA11G17670.1 pep chromosome:AWHD00000000:11:19005330:19009530:1 gene:ONIVA11G17670 transcript:ONIVA11G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSGDEACPLCGGGGPPARVAMHKRRLPAEGALSVARVGAGDEAAALREAVARQQHGIAELQAELEAERGAAAGAACEAMSMILRLQQEKSEAMMEARQFRRYAEERFSHDAAEVAALRDAVEQRDATVRSLSAQLRACRFRLLHLGFNSPLPSLTSSAAEAHQYNDDDDDEHHPFDEDYPPINCDEQPASSDVGTPRTHHLLNRMPGRASAADKGVISSSSTNLFPDDSGIAMDDEFPLVVDREASDQEDDCHRVYTVDAVHVVPVAEPENPCYFGTPIGGEMSHRGTIGTRAEEEEIQKLSARLQALEADRESMRHAIMSMGSEKQQVVLLKEIAQKLCKEAAPLQVIPFKVRSPPQPVVMAQRKVVKRQSFFAKFFVVAVIKIIAYFIFILIIIVIGAQISYRNSMVPNRFVWEQCWPDAATEQVPQAEAQKVPQKKLAAAFTGRRHTNKGKILRRSYDSLLQMSYFLDIKGSFACESILVVAYVLTPLSMHSLVGLV >ONIVA11G17650.1 pep chromosome:AWHD00000000:11:18986100:18987781:1 gene:ONIVA11G17650 transcript:ONIVA11G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSNRAFMEDSRVKARRREAMERIGPAPRLPRHASCSCSWSLSPWPSNDGFFEQLVNAAKLDEGPWEMYTLDTTIDLNISTTSRRSPTGPSRRCACPLTSSSIVNRFHPGAAR >ONIVA11G17640.1 pep chromosome:AWHD00000000:11:18974759:18977832:1 gene:ONIVA11G17640 transcript:ONIVA11G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVENQGPDMFGYANDYPRDDVKKGHLCCADFSDICPKEVVIILPNLAILVWDVPSNVRREASTAATPTLCYKCGEEGYFARGCTKNTKLLMMLTRQVKGKSPYLRKEGTHLILNPKLGVVGLQTMQMTSHKKVQTQCVGFSIYP >ONIVA11G17630.1 pep chromosome:AWHD00000000:11:18969645:18970966:-1 gene:ONIVA11G17630 transcript:ONIVA11G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLRNKVTALMAMLHRSASQGDEALAAPARRKGMLLDRRAAILHRDVFRGGGGTARIRERHAMPLRRVVSSEVAAAVASLDEAAEAETCTIRQDWSPHYWWARTGQPNQILPPPLPSLLEADGYLYFIPSALCFILAFPRLSPNLNPSGDRSSLQPHPRRRRQEGGALLRRAESSRRQHGRALREGREARQDRKRPRTLSRIKNTRRDIDLLMATPPPPPVRPGEGAAASALTSLSSWLAWVKVSNAAPTSGSPRRRSGSARGEEVAPLRQPPPRQGLWICDSSATTTMMSHPSFRFLSVSGREVAWIRVKTASRSSTCPLRQQTPSARKITPSASNDSWKTLGASPRFVR >ONIVA11G17620.1 pep chromosome:AWHD00000000:11:18939110:18939480:-1 gene:ONIVA11G17620 transcript:ONIVA11G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGTDALNFLFYILECNREEAVRQRRKPTETLDWYGVLQVEATADDTTLKYQHDKLCLVAFILMKTLFLVLNLLSTWFQKLI >ONIVA11G17610.1 pep chromosome:AWHD00000000:11:18936774:18938721:-1 gene:ONIVA11G17610 transcript:ONIVA11G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNWTSMGKEMQNMKAINRITKKKPVILLLRSQDSEQSYFCDIGKFRDINRIEVDQIWALYDDHDFIPRVYARIDHIDISNLKVQFTWLEHKAMNAQEAKWSDEELPVARGSFCLGETCIVEGLLELDTSAHLSSELDSTFLSIALTHYMSLDTRPNTEFTSCVHPVSEFHKSGHRFALNSNRRSNGWSRIHLSVAASLELETANPCVIQIGKLFFFPKVRFEPSTRTGHLIGLLPDSIAVLSMPLARSSGALKAAHTVFSSERLDGYIAVFKLHIGKEILEIPEKENLRFSHRIPSFSLVKEKGLKFSDFYELDPASVPDYIAVAQGACGMWARGGRSP >ONIVA11G17600.1 pep chromosome:AWHD00000000:11:18920031:18931531:-1 gene:ONIVA11G17600 transcript:ONIVA11G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCACILLPSSASGRRGPPCAHICPPSAVDVFPAYASGRRREPPATDVLPIGTLGRSAVIDELLLAHGVGRREVVRHGDEEGAGDRDRAVGCTLRGRNGECVSQEMNARVGSAGTPTPSASTSFDCSRRRVQEGPHRPAARAGRTDELLPAQWTNDGTVTDRLTDVAEEAFSAREIAVKKLENRDFVGARKIAIKAQRLFPELENISQLLTVCEVLSSAEAKISGELDWYGVLQVDKMADETVIRKQYNILSYRLHPDNNTLFGAEAAFRFVSEAHAVLSDHAKRSLYDTKRQRASRESVPSRILSTAAKRSQLSPSEMFSFQRSSVPNQHGNQHQQGKCLVTRSEAIQFSAMKQTKSHICSSDNDKPGTLVPKSSDLNSISVKNLTRESAPAEENAAESSSFQILGKRKLYVTSDSSHGMNSNIKRQRKYICPSDSDSSNEQICNDDVAVPDNQSTGQNVPIEVDSEEERNARHGSNQQTCKKNVTDTASQKSVNSVIAYPYPDFDFCKSRDAEETDESIKQYGWAGDMAAKSHVPPADNDIPGTLVPRSPDPNSTAVQNLTGESVSAGTNAPGSSSLQILGRRKLCDSSDSNRAMNSNIERKMKYNSPSDADWSNEQICNDDVAVTENQFAKQHVPTEVDSEEEGNEKHGDNQQSHRKDDTDTSSQNSANPVIAYSSTDFFDFDKSRDVSQIAVDQIWAVYYGHDCMPRAYARINHVDPSNLKVQFTWLVHNTVNEQNSKSTNEKLPFACGNFCLGETDVLHNPSSIPFYRTNGNERVGVAEGFLELDTAALPSDLDSAFTSITLESYMALDKKTNIELICYACPDSEFYNFEQDRSHDKFEAGQIWALYSDTDKFPNIYETKQIGAKCKVQIHPKIGEVWAIYKNWSNKWVPSRSTRGTKYAIGKIVDSTEAFTLFGYLTKVDGYISVFKPDVRRGILKIPVKENLRFSHRIPSFCLTKEKGGKLHDCYELDPAAVPDVFLHKN >ONIVA11G17590.1 pep chromosome:AWHD00000000:11:18914637:18915869:-1 gene:ONIVA11G17590 transcript:ONIVA11G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQDVLMSIFATLEVPDLVRAGSVCSSWRSAYTSICSLGHCKPQQTPCLLYTFDSDGTKSTGLYSLVEKKAYVLPLQDLPNRHIIGSCYGWIVTADERSELHLVNPITGEQIALPSVTTIKQVKPIYDDDVAAAKRYKYLWHTGEVTVSDSSSILYYKAFVSCDPSMGGGYTVVLIHNPYCQLSFARAGDDKWTWLPPYSDYEDCFFKDGLLYAATLLGETHMFDLTDPKVAPKIVMGKVKDFLYENIYIVEASCGNLLQIWRSNDLPKGDAPEGDEDDDDHSFDPESEFDSQSYVHDTNTIKVHKVSLTEGKIVEISSLDENLLFLGHGQTLCLHAEEYLQLKANHVYFTDNNELYLFEYKDNHRDIGVLDLENFRREEIVSPQIWSNWPPPIWLIPNPRRMKLASH >ONIVA11G17580.1 pep chromosome:AWHD00000000:11:18907876:18912985:-1 gene:ONIVA11G17580 transcript:ONIVA11G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVPSPSLPTATALAGTPEMAAVALALALRGWWEEVNGSPAWQDGAFFSLSAAYALVSAVALVQLIRIQLRVPEFGWTTQKVFHLMNFVVNGVRAVVFGFHAQVFLFQTKVYTLVLLDLPGLLFFSTYTLLVLFWAEIYHQAKNLPTDKLRIIYMAVNGVIYVIQVCIWIYLGTNDSPLLEPVSKIFISVVSFLALLGFLIYGGRLFFMLRRFPIESKGRRKKLYEVGTVTAICFTCFLIRCIVVAMSSFDPDLSLEVLDHPILDFFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIR >ONIVA11G17570.1 pep chromosome:AWHD00000000:11:18885684:18904621:1 gene:ONIVA11G17570 transcript:ONIVA11G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3I4] MAMARRSASRLLSSFRPFSLLLQPLDDAPSLSAAAAAASARRGMSSASALRARDEKEVARWRESMDRMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEIPRVAFINKLDRMGADPWKVLNQARSKLRHHNAAVQVPIGLEEEFEGLVDLVELKAYKFEGGSGQNVVASDVPSNMQDLVMEKRRELIEVVSEVDDQLAEAFLNDEPIQANQLKAAIRRATVARKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPMEVESYALDQNKSEEKVLLAGTPAEPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIYNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDPESGETIISGMGELHLDIYVERIRREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYIEPLPSEADGKFEFDNMIIGQAIPSNFIPAIEKGFKEACNSGSLIGHPVENIRIVLTDGASHAVDSSELAFKLASIYAFRQCYAAARPVILEPVMKVELKVPTEFQGTVTGDMNKRKGIIVGNDQEGDDTVVVCHVPLNNMFGYSTALRSMTQGKGEFSMEYLEHNTVSQDVQMQLVNTYKASRGTE >ONIVA11G17560.1 pep chromosome:AWHD00000000:11:18874185:18881669:1 gene:ONIVA11G17560 transcript:ONIVA11G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRAGASHPPALPPLPPSDFGPVLDDGLKVEFKGWAPESPKLWRRWVAKLRPRHEPLWRKVGILDAVLATTCRVRRDEGALLQLAAFWCGETNTFVFPWGEATVTLEDVAVLGGLPLLGRPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVKVGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAVLASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTNQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPMKFEWRPYGSSSFALRPEMGSHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPHRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSASTINELSCVSATKIVQGKSFQQGNKEPPDLVVAHDRENRSSLHSEVLQNLLVEDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGSNEALGAITVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPENVVVISDDDNEDEVGGMHQKPPQLETAPSSLEGQNAESQIISASSNPQDSQVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIKNVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ONIVA11G17560.2 pep chromosome:AWHD00000000:11:18874185:18881154:1 gene:ONIVA11G17560 transcript:ONIVA11G17560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRAGASHPPALPPLPPSDFGPVLDDGLKVEFKGWAPESPKLWRRWVAKLRPRHEPLWRKVGILDAVLATTCRVRRDEGALLQLAAFWCGETNTFVFPWGEATVTLEDVAVLGGLPLLGRPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVKVGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAVLASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTNQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPMKFEWRPYGSSSFALRPEMGSHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPHRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSASTINELSCVSATKIVQGKSFQQGNKEPPDLVVAHDRENRSSLHSEVLQNLLVEDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGSNEALGAITVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPENVVVISDDDNEDEVGGMHQKPPQLETAPSSLEGQNAESQIISASSNPQDSQVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIKNVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ONIVA11G17560.3 pep chromosome:AWHD00000000:11:18874185:18881669:1 gene:ONIVA11G17560 transcript:ONIVA11G17560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRAGASHPPALPPLPPSDFGPVLDDGLKVEFKGWAPESPKLWRRWVAKLRPRHEPLWRKVGILDAVLATTCRVRRDEGALLQLAAFWCGETNTFVFPWGEATVTLEDVAVLGGLPLLGRPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVKVGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAVLASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTNQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPMKFEWRPYGSSSFALRPEMGSHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPHRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSASTINELSCVSATKIVQGKSFQQGNKEPPDLVVAHDRENRSSLHSEVLQNLLVEDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGSNEALGAITVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPENVVVISDDDNEDEVGGMHQKPPQLETAPSSLEGQNAESQIISASSNPQDSQVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIKNVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ONIVA11G17560.4 pep chromosome:AWHD00000000:11:18874185:18879553:1 gene:ONIVA11G17560 transcript:ONIVA11G17560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRAGASHPPALPPLPPSDFGPVLDDGLKVEFKGWAPESPKLWRRWVAKLRPRHEPLWRKVGILDAVLATTCRVRRDEGALLQLAAFWCGETNTFVFPWGEATVTLEDVAVLGGLPLLGRPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVKVGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAVLASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTNQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPMKFEWRPYGSSSFALRPEMGSHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPHRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSASTINELSCVSATKIVQGKSFQQGNKEPPDLVVAHDRENRSSLHSEVLQNLLVEDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGSNEALGAITVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPENVVVISDDDNEDEVGGMHQKPPQLETAPSSLEGQNAESQIISASSNPQDSQVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIKNVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ONIVA11G17560.5 pep chromosome:AWHD00000000:11:18874185:18881669:1 gene:ONIVA11G17560 transcript:ONIVA11G17560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRAGASHPPALPPLPPSDFGPVLDDGLKVEFKGWAPESPKLWRRWVAKLRPRHEPLWRKVGILDAVLATTCRVRRDEGALLQLAAFWCGETNTFVFPWGEATVTLEDVAVLGGLPLLGRPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVKVGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAVLASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTNQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPMKFEWRPYGSSSFALRPEMGSHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPHRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSASTINELSCVSATKIVQGKSFQQGNKEPPDLVVAHDRENRSSLHSEVLQNLLVEDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGSNEALGAITVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPENVVVISDDDNEDEVGGMHQKPPQLETAPSSLEGQNAESQIISASSNPQDSQVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIKNVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ONIVA11G17560.6 pep chromosome:AWHD00000000:11:18874185:18881154:1 gene:ONIVA11G17560 transcript:ONIVA11G17560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRAGASHPPALPPLPPSDFGPVLDDGLKVEFKGWAPESPKLWRRWVAKLRPRHEPLWRKVGILDAVLATTCRVRRDEGALLQLAAFWCGETNTFVFPWGEATVTLEDVAVLGGLPLLGRPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVKVGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAVLASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTNQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPMKFEWRPYGSSSFALRPEMGSHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPHRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPLLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSASTINELSCVSATKIVQGKSFQQGNKEPPDLVVAHDRENRSSLHSEVLQNLLVEDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGSNEALGAITVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPENVVVISDDDNEDEVGGMHQKPPQLETAPSSLEGQNAESQIISASSNPQDSQVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIKNVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >ONIVA11G17550.1 pep chromosome:AWHD00000000:11:18862357:18870152:1 gene:ONIVA11G17550 transcript:ONIVA11G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48420) TAIR;Acc:AT3G48420] MAPAAASSSALLPAGTFSTARPVAGRPAGQRLPTRVAAASRTTTARSPAACLSVGGRRRGAEAVRASAGGAPAASLPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVELYGELLKIGGGKERMTAYFSKMGWPAKAPKTDDERKEFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKGVKVAVCSTSNEKAVSAIVSCLLGPDRAEKITIFAGDVVPRKKPDPAIYLLAATTLGVDPSSCVVVEDSTIGLAAAKAAGMKCIVTKSGYTAEEDFATADAVFDCIGDPPEVRFDLEFCANLLQKQFVS >ONIVA11G17540.1 pep chromosome:AWHD00000000:11:18851592:18852602:-1 gene:ONIVA11G17540 transcript:ONIVA11G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPHPLLLLLTLLVAAGAASAGADDLVAELQSLRSRSPSGVIHLTDTSITRFLSAPAPRPYSVLVFFDAASLHSKTDLHLPQLRREFALLSASFLAHNPASADLFFADIEFSESQHSFAQFGVNSLPHVRLVRPEHTRLAGSEQMDQSHFSRLADSMAEFVESRTGLEVGPIVRPPLVSRNQMILLVILFLVSIPFLIKRIMDGETLFHDRRVWMAGALFIYFFSVSGGMYGIIRHTPMFITDRSDPNKLVFFYQGSGMQLGAEGFAVGFLYTLVGLMIAMVTHLLVRVESLQIQRFTMLAVMIIGWWAVKKVILLDNWKTGYSIHTFWPSSWR >ONIVA11G17530.1 pep chromosome:AWHD00000000:11:18812251:18816087:-1 gene:ONIVA11G17530 transcript:ONIVA11G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGVTVSPLVRIAGVVSLFAKAKNESCFSISSCEVLRFSHSIPFYRTNGNERVGVAEGFLELDTAALPSDLDSAFTSITLESYMALDKKTNIELICYACPDSEFYNFEQDRSHDKFEAGQIWALYSDTDKFPNIYETKQIGAKCKVQIHPKIGEVWAIYKNWSNKWVPSRSTRGTKYAIGKIIDSTEAFTLFGYLTKVDGYISVFKPDVRRGILKIPVKESLRFSHRIPSFCLTKEKGGKLHDCYELDPAAVPDVFLHKD >ONIVA11G17520.1 pep chromosome:AWHD00000000:11:18803189:18803707:1 gene:ONIVA11G17520 transcript:ONIVA11G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGGRQRQLTALREIKAITTESDRNKRCVEATPGAVEFLVSVVVQSHAAASTYMSARSDDDLLDSVIDSPISTSSSEEEALGVLYSLEPSEPTLRRILGKDNGGFLDTLASVLLLKAMTSAMPPERLGCRPSRRSIWSRTPLHCRWRAFLEEAGEPDYKASAAVAAAGEE >ONIVA11G17510.1 pep chromosome:AWHD00000000:11:18795343:18798259:1 gene:ONIVA11G17510 transcript:ONIVA11G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNQLSLKIHIQNLKCSLGSGEDDFEIDWLFESSNRLRFVSSLRYDAPPPRTLRLRSHTTAATVAQGLSRRAVQPADGVFREEAAGDRAVPRGRGRQRRHRGFIALWASTAVPSPAPSAVGPTPAAAAAELLRRVAAETVASVLRRRGKGDDDDGGVEAVEAAVRVLAVLATSDCIEEENRRRVVAALASGGAAPSVAASLARVMRSGSGLEARVDAARLCGGMGGAEAAVSVLWAVCHRYRDRRAAVASKGGLTKLLLPMQSGCSPAARQMASELLKMFKVNAKSCLAGHPAPRPLQRRWRRRNACAGMEHAHGEDTRAPRHAVSSRLSLLLPSPVWMRRRRRRRAPQDKTGPPHYWWALTGQPDQIPPPPLPSLLEADGYLYPRLFLHSSPRLASPEPQAPNLNRTGDRSSLHPHPAAAGKRVALCCDKPEM >ONIVA11G17500.1 pep chromosome:AWHD00000000:11:18789098:18792742:1 gene:ONIVA11G17500 transcript:ONIVA11G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRWRSRARRDPDASAGFAADAFSSGDEIDEDAVVELGEADPSSRKRRKEKKKRRKEKRKQRKGAPSEGSPSTAAADKESQVAGTQEGQTGTAQSVLTEDGSDVPLSDNIVLRKLLRIPRYFDPGETLLETCFNCGEEGHVAVNCPMEKRKRPCFVCGLFGHNSKQCTQGQDCFICKKGGHIAKDCPEKHNRNTQQSTFCLRCGESGHDMFGCANDYPCDDVKEIKCYVCNQKGHLCCADFSDICPKEVSCYNCAQPGHTGLGCAKQRREASTAATPTLCYKCGEEGHFARGCTKNTKSDRMNGESSAYSWKKGKGKKDFGTRSAPHDARKTSKRKSPLFEERRNSSHFKSKARGGWIADDADDQPYKKYKPNVWASPSTPKKQYNNHQFSSGGDYSTPQSSRWQKHGFASPRATYSPNTRKHSFSSSRFASNTHVRFGRS >ONIVA11G17500.2 pep chromosome:AWHD00000000:11:18789046:18792742:1 gene:ONIVA11G17500 transcript:ONIVA11G17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRWRSRARRDPDVDDEEGSPPERRRRGARSGSDDEEAGNEDLSLEIVARAARRRRRREASAGFAADAFSSGDEIDEDAVVELGEADPSSRKRRKEKKKRRKEKRKQRKGAPSEGSPSTAAADKESQVAGTQEGQTGTAQSVLTEDGSDVPLSDNIVLRKLLRIPRYFDPGETLLETCFNCGEEGHVAVNCPMEKRKRPCFVCGLFGHNSKQCTQGQDCFICKKGGHIAKDCPEKHNRNTQQSTFCLRCGESGHDMFGCANDYPCDDVKEIKCYVCNQKGHLCCADFSDICPKEVSCYNCAQPGHTGLGCAKQRREASTAATPTLCYKCGEEGHFARGCTKNTKSDRMNGESSAYSWKKGKGKKDFGTRSAPHDARKTSKRKSPLFEERRNSSHFKSKARGGWIADDADDQPYKKYKPNVWASPSTPKKQYNNHQFSSGGDYSTPQSSRWQKHGFASPRATYSPNTRKHSFSSSRFASNTHVRFGRS >ONIVA11G17490.1 pep chromosome:AWHD00000000:11:18766291:18781561:-1 gene:ONIVA11G17490 transcript:ONIVA11G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEPEEDEMLVPHQELVAADAAQPMEVVAQTEAASTAESQPAEDPQTSRFTWTIENFTRINAKKHYSDAFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAQFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKRTVVEGTIEKLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCCDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYALHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRVRLKKEQEEKEHKKKEKAEAHLYTIIKVARDENLKEQIGKDIYFDLVDHEKVRSFRIQKQLPFTTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPHEETQSVGQLREVSNKAHNAELKLFLEVELGPDLRPLPPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKALGKPSEILTKLNEMAGFEIKFEPNVMCEHIDKKATFRASQLEDGDIICFQKSPIPDSDTQMRYPDVPSYLEYVHNRQVVHFRLLEKPKDDDFSLELSKLHTYDDVVERVARQLGVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFMKDPLQNQIQNFGDPFYLAIREGETLAEVKERIQKKLQVPDEEFCKWKFAFISMNRPDYLQDSDVVSARFQRRDVYGAWEQYLGLEHADTAPKRAYTANQNRHTYEKPVRIYN >ONIVA11G17490.2 pep chromosome:AWHD00000000:11:18766291:18781561:-1 gene:ONIVA11G17490 transcript:ONIVA11G17490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEPEEDEMLVPHQELVAADAAQPMEVVAQTEAASTAESQPAEDPQTSRFTWTIENFTRINAKKHYSDAFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAQFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKVTNLRTVVEGTIEKLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCCDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYALHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRVRLKKEQEEKEHKKKEKAEAHLYTIIKVARDENLKEQIGKDIYFDLVDHEKVRSFRIQKQLPFTTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPHEETQSVGQLREVSNKAHNAELKLFLEVELGPDLRPLPPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKALGKPSEILTKLNEMAGFVPNEEIELYEARAKFMMLYLWDNLEIKFEPNVMCEHIDKKATFRASQLEDGDIICFQKSPIPDSDTQMRYPDVPSYLEYVHNRQVVHFRLLEKPKDDDFSLELSKLHTYDDVVERVARQLGVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFMKDPLQNQIQNFGDPFYLAIREGETLAEVKERIQKKLQVPDEEFCKWKFAFISMNRPDYLQDSDVVSARFQRRDVYGAWEQYLGLEHADTAPKRAYTANQNRHTYEKPVRIYN >ONIVA11G17490.3 pep chromosome:AWHD00000000:11:18766291:18781561:-1 gene:ONIVA11G17490 transcript:ONIVA11G17490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEPEEDEMLVPHQELVAADAAQPMEVVAQTEAASTAESQPAEDPQTSRFTWTIENFTRINAKKHYSDAFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAQFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKVTNLRTVVEGTIEKLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCCDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYALHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRVRLKKEQEEKEHKKKEKAEAHLYTIIKVARDENLKEQIGKDIYFDLVDHEKVRSFRIQKQLPFTTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPHEETQSVGQLREVSNKAHNAELKLFLEVELGPDLRPLPPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKALGKPSEILTKLNEMAGFEIKFEPNVMCEHIDKKATFRASQLEDGDIICFQKSPIPDSDTQMRYPDVPSYLEYVHNRQVVHFRLLEKPKDDDFSLELSKLHTYDDVVERVARQLGVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFMKDPLQNQIQNFGDPFYLAIREGETLAEVKERIQKKLQVPDEEFCKWKFAFISMNRPDYLQDSDVVSARFQRRDVYGAWEQYLGLEHADTAPKRAYTANQNRHTYEKPVRIYN >ONIVA11G17490.4 pep chromosome:AWHD00000000:11:18766291:18781561:-1 gene:ONIVA11G17490 transcript:ONIVA11G17490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEPEEDEMLVPHQELVAADAAQPMEVVAQTEAASTAESQPAEDPQTSRFTWTIENFTRINAKKHYSDAFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAQFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKRTVVEGTIEKLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCCDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYALHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRVRLKKEQEEKEHKKKEKAEAHLYTIIKVARDENLKEQIGKDIYFDLVDHEKVRSFRIQKQLPFTTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPHEETQSVGQLREVSNKAHNAELKLFLEVELGPDLRPLPPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKALGKPSEILTKLNEMAGFVPNEEIELYEARAKFMMLYLWDNLEIKFEPNVMCEHIDKKATFRASQLEDGDIICFQKSPIPDSDTQMRYPDVPSYLEYVHNRQVVHFRLLEKPKDDDFSLELSKLHTYDDVVERVARQLGVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFMKDPLQNQIQNFGDPFYLAIREGETLAEVKERIQKKLQVPDEEFCKWKFAFISMNRPDYLQDSDVVSARFQRRDVYGAWEQYLGLEHADTAPKRAYTANQNRHTYEKPVRIYN >ONIVA11G17480.1 pep chromosome:AWHD00000000:11:18748844:18760974:-1 gene:ONIVA11G17480 transcript:ONIVA11G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAARAAKRARIAAPPPPTPTPTQLRRGDGGYVPGNIVEIELSNFMTYHRLACRPGPRLNLVLGPNGSGKSSLVCAIALALAADPGVLGRAASVGAFVKRGEESGHVKISLAGTHPNTLSASRGRSIPRTSPSGSSMLLPQDRVVEFAKLTPIQLLEETEKAVGFPDLPVQHRQLIDRSKQLKNLEVMSLVLRSKAVKQKEQTLNNLKALNAELKEDVERIQQRDKLMKKVDLMRKRLQWLKYEMKKKEWIEAHEQEKTMKKKMEETAKIWEDSKCPIDLELMENKNNKLLHKLKYFGAEKINEAYNWVQDNRYKFRTEVYGPVLLEVNIQDKAHASYLEGHVPNYIWKPFITQDASDRDLLVRQFKKYDIPVLNYMGDKGIRTEPFNITMEMQQVGIYSRLDQVFEAPPAVKDVLISQAKLDHSYVGTNETQNRADDVPKLGMSDFWTPNNHYRWSRSRYGGHLSALVDDVNPSRYFMGNLDVGDIERLRSQKDKHTENIEGMVEELKILLKKQGQLEDEAAKFHRKKEEMLCKKAKQDGIKRRVVSKRIMLESIYKEEDMESSKIKLVDQVAKLNDQQFQVVLQRKDLLIRAVVLKRTCTQENMASIELDTKIWEMEKDVQKFERDAVEAARGYANCKRKTQVHEQQLYVAKQHAESIAKITKELEKEFHKMPATIEELDCAIQDTESEANSMFFLNQNVLLEYQNRKHEIESISEKLEHDKEECQRCYSDIETTKGKWLPTLRTLVSKINNTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRQTGMDPINERKMFQQLLGAPEIAGGQS >ONIVA11G17470.1 pep chromosome:AWHD00000000:11:18744513:18746892:-1 gene:ONIVA11G17470 transcript:ONIVA11G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Transcription factor jumonji/aspartyl beta-hydroxylase (InterPro:IPR003347), F-box domain, Skp2-like (InterPro:IPR022364), Transcription factor jumonji (InterPro:IPR013129); BEST Arabidopsis thaliana protein match is: tra /.../ses, transferring glycosyl groups (TAIR:AT1G78280.1); Has 1762 Blast hits to 1747 proteins in 292 species: Archae - 0; Bacteria - 297; Metazoa - 877; Fungi - 168; Plants - 221; Viruses - 0; Other Eukaryotes - 199 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G06550) TAIR;Acc:AT5G06550] MPSAFHSLLLPAIRNPKPSRRRGRGRGGSKRPKKTTKSKNRLADAAAGDATAFHLKTSARAGPGGAGSGRRGGGGCLVQPLGNLLLLGGGGNLRDAGLGALRPLPDDVLLDVLGLLAARDLARLSAASRALYVVASHDPLWRALVLDELGGDFAFSGSWRATYIAAASGGRAHLPPRGLEIRGFYSDYLFQSWLCANMEMRPEWLHRDTIDRRRGMSVEQFVSEFEEPNRPVLLEGCLESWPALQKWTREHLRKVSAGKEFAVGPVSMTLDRYLQYADNVQEERPLYLFDAKFTEKVPEMGRDYEVPAYFREDLFGVLGEERPDHRWVIIGPAGSGSSFHVDPNSTSAWNAVIKGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPVECICRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELASGTTDRVNLHDKFRNAIDMTYPGMIKQLELEAQQKAAARKKKVSFWESAVDANTGGFKFSF >ONIVA11G17460.1 pep chromosome:AWHD00000000:11:18739652:18746158:1 gene:ONIVA11G17460 transcript:ONIVA11G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLAAARPCAPLLAPSAAGAVVGRPSTARPLGRGTRRGAGAAAAAAVAAEKTVVKAEEEEEGGGEYDAIVVGSGIGGMVAATQLAAKGARVLVLEKYVIPGGSSGYYRRDGFTFDVGSSVMFGFSDKGNLNLITQALEAVGHKMEVIPDPSTVHFHLPGDLSVLVHREYDDFVTELNAGDIARKFIKDQQLLSFIDAEVLCDRHFGGINYPVGGVGGIAMSLADGLVDKGSEIRYKANVTNGLSRKDYEKKKELVATEIIKRLEKKLFPGLQDSIVLKEDWNKDLLY >ONIVA11G17450.1 pep chromosome:AWHD00000000:11:18734580:18737372:-1 gene:ONIVA11G17450 transcript:ONIVA11G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RHF2A [Source:Projected from Arabidopsis thaliana (AT5G22000) UniProtKB/Swiss-Prot;Acc:Q9ZT42] MASGTDEKAKMEGLTSAAAFVEGGIQDACDDACSICLEAFCESDPSTLTGCKHEFHLQCILECQELLEAVERERNVRTNQTRNTTIFHHPALGDFEVQHLPVVGNDAELEERILQHLAAAAAMGRSHHLGRREGHRGHSGSHGRPQFLVFSSHPNMPSAGSVSSSSVQGEVDNESSPVHTTGELSLHANTHEEAGNQSPGMLTYDADQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPVNNDRAGPSDLQSFSDSLKSRLNAVSMKYKESITKSTRGWKERLFSRNSSVADLGSEVRREVNAGIASVSRMMERLETRGSNGRTSDGPAISTSEVIPSTESSNERVTENNPTTAATSTSNTSASSAPCVTTTGSN >ONIVA11G17440.1 pep chromosome:AWHD00000000:11:18729422:18733493:1 gene:ONIVA11G17440 transcript:ONIVA11G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MALE GAMETOPHYTE DEFECTIVE 2 [Source:Projected from Arabidopsis thaliana (AT1G08660) TAIR;Acc:AT1G08660] MARAPPPPSSLPPPPRRPTVVLLLGLALAFCLAVLSIQSSFFTAPRLASRLDLDSDEVRALSGFQSRVQQCVARRGLGLTADIIDHCKLVLRFPKGTNSTWYNTQFKYFEPLEYNYDVCETILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCEELLSVLLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHDAVFRDNEAPVNKKYAKYVGLKRDFRLVVRGAARNMAPILKGSSDEVLIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIIDMYGFTVDPNYTEWTRYFSPPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAKRVEDWSDIPSREEIRTAHAAAFRLKRHETGQSDQMGPFSNCKVWGTVDPDYGPVSGTPDMSETRKNSNYKKWEVLPFDSLRMEAQEHHVQMGGVSLYKMDGNKLDDLVCVRHERSSS >ONIVA11G17430.1 pep chromosome:AWHD00000000:11:18722998:18726034:-1 gene:ONIVA11G17430 transcript:ONIVA11G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSDAATIIWSTELELAPLIAMVRNRDYFAGARFHVLRGACEHLAHVRDCVRTRARVAARRGRRLATAAAWTEEAEIYLLRFGELRSAHGRIPRLIFWDLLGCYRVSKVASLMMPQVKRLCEEGGRIVRRSKLPQPMEISTGFASRDRTLRAAIERVRTIQPNGIVAIWGRAGLGKTYLLKLVEEYFSRDGTFDLVLRIASPRDSSVAKVQSEIAKKLMLANCDGMQHRARIFDFLKERNFLLLLDCVWQRLDLEEVGIPSLDLVGSCYNRRVVFTACSSHVCDQMNVEVENRIEVHCLDHTESWEIFKQNADLDYLGHQHVYLPRNISAELLGSPLELVTIGKAMHNKKDAIYWRNALHYLTESCLRDTQWSGSEEATFFRLKLAYDSLTGILKDCFKLCSLWPEGHIFNQRKLVDFWIGSGLIQGDDIEASYNEGFSHITTLQEFCLLEPAEDGEAVQMQSTIRDFALWVVHSQGEDKNKWRIQTKENWGLAEQVLLVGLKITELPRIPSNQKTLEVLILQHNYLEDGSFGNFPSLLSLQYLDLSFNKLSNIPVEICMQVNLRYLNLSNNRIKTVPVELGCLTRLRHLHLRNNPNLVIPNGILPKLQNLEVLDVCSFNLLQCSSYEAPINELVRMDKLQSLGITVRSETSFQGISKTTLPIRSLSIVIYNHEDGYETHVSSENSCINPERQTNLFELGIYTRQKTIVLDSIHSMWNVQHVEKAYLHGYFVDRIICQKLHTGDIFAKLRRLDIVRCSRLNHISWIIHLPLLEDLLLFSCSTLHQIIATAQDGVVKTNQEKENPSVNNTFPSLKRMTLIEAGALVRICSPFFSFPSLECLQISACPLLNKLPFLTVPSKLKCIRGENEWWDGLEWEDQDLEPSLELYFHGLSAEDQLSELYLFNSLEVEWASIFTP >ONIVA11G17420.1 pep chromosome:AWHD00000000:11:18716329:18718224:-1 gene:ONIVA11G17420 transcript:ONIVA11G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRLAEELRALKFDRVWRPSPHRSESDGGSCSYRNSIQRVVTASVAAASTSSTTLVFPSSGSVTSSSSASFTSSAPGSEAHGTPSSGPESYRLLRGEAFRGLSEIASHMVSDRYTERLITEFSRPRLGSGSGGGGGGDEILQTWFSDLGVDWVLRVDEMNLQEKPWSGVEEMIKGWLAALTVMAEALRLTKATLSSGDGDSGGVIPVLPIEKYRPQISAPAWIDDDHAGVTLHDVSPGSGSSNLDQESSDPAPNTSRSRSIAIATVKQAITAYSQAASSRSYRYDQHGAAGPEFQFALFAKASLVKMMCFPDAIAALSRSPEKILRVIDMYAVVSGVSPSLLALLPGATKWLVSERITAVLKTLSGMMRGILHDLESLIREEDSWRTTAQGNDIHPNRDVLVQEGEDDDGDDELFSIGELYQLAEEKSSLTSTVARLINSVDAMIEDRSKMYAAAGGRMHIFLLNNDHFILQQAEPSLQSFMGAYWYAKRKQRVDRRIKEYLDLSWGNVVSCLGYAGQSRRRSSLFRSVSALVEFNSLLQITYHTEKLWKINSPQLRTVLRNSVCGKVISAYRAYLETQGQGGQLGTSATYTPEDLEDMLQNLFEG >ONIVA11G17410.1 pep chromosome:AWHD00000000:11:18695981:18705945:1 gene:ONIVA11G17410 transcript:ONIVA11G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C1 [Source:Projected from Arabidopsis thaliana (AT5G22010) TAIR;Acc:AT5G22010] MKAQDKNGGAAKPAGTTALAKKPVLSIPEKPSAAPSMAACDQDCSARRKTSKYFASKTEKEEDTSAGKGTGRGLPKRKLQKVSDELEDDMKPLPAKEVHKEEEDDDDDDFVAPSKRKTPVKPPPSKKLKGASTAEAHGKTGLDDDNEDKMDEDAKTPSKASGSGRGRGRGRGRGGRGAGAAHGKTIGLDDDGEEDKMDEDAKTPSKAAGRGRGGASGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLTGLTFVISGTLDSLEREEATDLIKRHEGRVTGSISKKTNYLLADEDVGGVKSNKAKELGVPFLTEDGLFDMIRKSKPAKATVAKHQSDKNSEKQQKSPMKSSPVKVERRDGNQITTGKNISPKSNKGSASIDNQKVNIVDRGSLQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWEDQFLHSGQKGKGKKQADSGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKELISNATLSYSNNRLKRPKAVLVMDEVDGMSAGDRGGVADLIASIKMSKIPIICICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIAKKEGLQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRQRLNSSTKDEDISPFTAVDKLFGFNGGRLRMDERIDLSMSDPDLVPLIIQENYINYRPITVGKDDSGVKRMNFLARAAESIADADIVNGERNFNRFGGWLGKYSTTNKNIRLLEDAHSHILASQQANLDRESLRLDYLTLLLRQLTDPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTIVELSKFKGHPNPMDGIQPAVKSALTKAYKQGSSSRVVRAADLVNIPGMKKPLKKRVAAILEPVGESLPEENGVASSEGDEEDSSDAENNDELVPGDTKPKLDLQSDKKKGIQVQLDLKSNGNGLNSKKMPAGRSKASGSAGKAAGGSGGKRKR >ONIVA11G17400.1 pep chromosome:AWHD00000000:11:18692829:18694207:-1 gene:ONIVA11G17400 transcript:ONIVA11G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAGDDFRDWSGLPEDLLLTAMEAMQVPDLVHSGAVCRSWHSAFATFRRLGLRSPLHPPCLLYAAAAAADNAVRLYSPSSTGAHFRVPLLDEEAASGVVGSAHGWLFTSDRDANPYLLNPLTGARAALPPATALGRVRGRRFVFSPGDGGRRGVAYDVDFGRRPGGSPNVRQVMARRARRWMYRRVAMSASPSAATGCVVLLLHMPERELSFARPGDERWTPLVDGGVWASHGTSFLDAVHNPGDGLFYVLQDSSPGGDTVVHSLDLTAPPPPPSSPVATMLMFATPPRPCNHHLKKTMCRYLAITPQHPQHVAGGLEFLVVERRWRRSGSDDDAARPLLLRAGEPAGGVGGDLALFVGHAGAACLRVEDYPMFRGNCAYLTDESDGDGDHANPPPWKRLDLALWEFGGSNYRGRLTKLRDTWPLHHPWQDNSPPPIWFTPSLD >ONIVA11G17390.1 pep chromosome:AWHD00000000:11:18687889:18692432:1 gene:ONIVA11G17390 transcript:ONIVA11G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDEVRVNGAVEVAEVVAEGDLASGGEAAAAGGSDQAVVVVAVAAEGTTAKKGGSGGAAAARKAKVAAAVANGKVGKKPALSQSASFPARGAAAKKAATPKQAKTTDGKGAVPNGSEKAAGRAVEKKVNSARTPAASRSLPVKSGSVDAPPNDASPETQESNENTTNALEQTLPEKMEDDVHSTTSSTNTPRAAAQRKNAAAAGFSFRLQERAEKRKEFYQKLEEKIHAKELEQTNLQAKSKESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKIPPTRARSPKLGRHKPTNSAAAASVDGSVSCESPRSITNLAKLTESTENNKPHATARKPAQRSVTKIPSQASATAKTETKPLVTKQKTSNTKPKAPRAKVEQLQDNSVEIPPAEPSGPEELTVEHGVEDATGPDRATTLVASNEVPVQG >ONIVA11G17380.1 pep chromosome:AWHD00000000:11:18649592:18649812:1 gene:ONIVA11G17380 transcript:ONIVA11G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATYVAVGTATSSPPEGLHHHAGYLHRRRATSSPHRTVTSSPPEGFHLREDWFRLYVRNDTSSTR >ONIVA11G17370.1 pep chromosome:AWHD00000000:11:18644373:18648926:1 gene:ONIVA11G17370 transcript:ONIVA11G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPGGYAARWELRPHPVSTNDRRANTKSLEAKPSAIGHTFTHPSCRVRSVSLANQRTATVKARGEDHTLPGLTTRNSATPEGSKSPGNRQALAMPLPAKLGVPYTIGPAEPGSAGAGCASRSLAQVLTSLTLDPSTTPAIPAWSWEATGLRFEFIKSTPTRDDPITPVRSQDATRLRFKFVKTTPLRDDPSHCDMESIGHETQVWVGVLGRCALEGSLTWPPLRRRETYTSTPVTYGLLRFVATWRPTPPRRPPMPPPDDVVYVQDGYFIAAWRLAPPR >ONIVA11G17370.2 pep chromosome:AWHD00000000:11:18648936:18649577:1 gene:ONIVA11G17370 transcript:ONIVA11G17370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDAVPVLEGYFATAWRPTPPRRPPLPLPSDVTPVLDGYYVTARWLAPPRWPPTPPPGDVILAQGSYFVTAWRPIPPCWLPSPPPGDVVPMLDSYFVAARWLAPPRRPPTPPSDDVVYVQDGYFIASWRLAPPRRPPTPLSGDAVPMLDGYFRPTPPRRPPSPPPGDLVPVLDGYIIASRWLAPPRRPPTPLPGDVLSVQDGYFIAA >ONIVA11G17360.1 pep chromosome:AWHD00000000:11:18607339:18609250:1 gene:ONIVA11G17360 transcript:ONIVA11G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3F3] MLPMSSTTGAGQSAGPGPVPFKDIVVDDDAAAAGGVQVQQPVAEEYHDIIATLPCRPSMHEYQGTWILDDWLPGRMAFRRRFEARAGDVVLASLPKCGTTWLKALAFATAARDRYDPSSSGGGERRHPLRRLNPHECVPFVEVVYNAGEEAKLDAAPSPRIISTHAPYSLLPASITQSSTCKIIYISREPKDMLISLWHFINKRCKPNIIPFSDIWNSIYNDAYPESPIREHILGYWNMSKIQSDRVLFLKYEDVLRDPIKNVEKIAEFIGQPFSDAEKEAGIIESIVKLCSLENLKALATNSTGNYQRLMKEVPSESFFRKGVVGDWANYVTPDMAERMDKFLAEKFHGSGFSFTECL >ONIVA11G17350.1 pep chromosome:AWHD00000000:11:18575523:18575732:-1 gene:ONIVA11G17350 transcript:ONIVA11G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGFEWVVMATAAAGSGGSEVGWSCADVREEMGCGWCTGGDGMWPVHGRRWDVVGWSSHQIIFFCFHF >ONIVA11G17340.1 pep chromosome:AWHD00000000:11:18568827:18573323:1 gene:ONIVA11G17340 transcript:ONIVA11G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIRRLQSIRNLATPSVPFRIAQIASPFPTRLARRRSRSPSAACRLCISDVCRLNRNRRRSGLPLGLRINHHCCGRRRRSGLPPSSQYRTSRSTSAGASPMTA >ONIVA11G17330.1 pep chromosome:AWHD00000000:11:18566322:18568541:1 gene:ONIVA11G17330 transcript:ONIVA11G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPCSANFFLINLLLIGGAATLSMATDALVPWEPTTGSGAAAAASCLPWEREALLAFKRGITGDPVGRLASWKKEDHDCCRWRGVRCSNLTGHVLGLHLQNDKVAVWDMYIEFYSDFDATALAGQITTPLLALEHLEHLDLSNNNLTGPTGRLPEFVGSLKNLRYLNLSGMPFMGMVPRQLGNLSKLQCLDLSNGKGMHSTDISWLPHLLWLRYLDLSRVNLTTIYDWPHVINMNRNLRALHLSDCSLSSASQSLSQLNLKRLEKLDLSENNFNHSLESCWFWNLTSLKYLDLSDNMLYGEVPIALGDMTSLQVFELLNYEGAPCTMEPNLLRNLCNLEILDIRQSLSYGNVTEMLDNLMYCSNNKLREVILGQNNLTGTLPTGLGKFTSLHTLLLYDNQLTGSVPYDIGLMISLTDLDLSSNNLTGEITEKHFAGLKSLKNIDLSYNQDLKIVLGPEWLPPFRLDVANFALCQIGPAFPSWLQRLDEVGWLDVSHTGITGQFPHWFSTVLSKLIILRMSNNQISGCLPANMEIMSVRLLDLSSNQITGDIPTLPPNLSSLDISNNMLSGRLASKNFGAPQLNNLRLSSNNIKGPIPGFVCELRYLEDLDLSNNLLEGEFPQCSGRKLKYIDLSSNSLSGRFLPSLRGNKQIQFLDLSSNKFNGTLPSWIGDLQELQFLALSNNTFSGHIPTSIGNLGNLYQLKLSKNMFSGHIPTSIGNLRNLYQLKLESNNISGVLP >ONIVA11G17320.1 pep chromosome:AWHD00000000:11:18563156:18563712:-1 gene:ONIVA11G17320 transcript:ONIVA11G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVGALSSMEYTLLSGARGNVGFLQSELGTMNAALLRCESLESLDVQTPSWNRVVSAKPAAFGRSEAEPRSVRLLAHKIRSVPCFLCRRHNLLRCRLAARAETSQDNDASCSFFPGLRLGRGGDPRPLRPLAEEAATQWAGSDESKSVQGKRERGRRCGSHGWQAMAEFG >ONIVA11G17310.1 pep chromosome:AWHD00000000:11:18553287:18556244:1 gene:ONIVA11G17310 transcript:ONIVA11G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTTTAALLILSFLAVAALATSNHEVEAAVAALPRGATRRPAPAHGNVATAAGCSPRERDALLTFKAGITEDIMGLLDSWKYDGAGPGQAEEEADCCRWRGVRCGAGGHVVGLHLRNVYADQSNDYDFITSGYDLAGEISPSLLNLTYLEHIDLSKNQLQGQTGRVPEFLGSLQNLRYLNLSGIPFSGEVPPQLGNLTNLHYLGLSDTGINFTDIQWLARLHSLTHLDMSHTNLSMVHDWADVMNNIPSLKVLHLAYCNLVYADQSFSHFNLTNLEELDLSVNYFNHPIASCWFWNAQGLNYLNLGSTKLYGQFPNVPGQFGSLRFLDLSSTCNIDIVTTNLTNLCNLRIIHLERSQIHGDIAKLLQRLPLCSYNRLNELYLSDNNISGILPNRLDHLTSLVILDISHNKLSGPLPPQIGMFSNLTYLDLSSNNLNGVITDEHFTSMRSLKTLDLSGNSLKILVDSEWLPLFSLEVALFSPCHMGPRFPGWLKQQVNITYLNMSFAGITDRLPNWFSTTFLNAQLLDVSNNEINGSLPANMEVMTTLSRLYMGSNKLTGQIPLLPKALEIMDISRNSLSGPLPSNFGDDLVLSYLHLFSNRITGHIPNSMCDLHHLVYLDLADNLLEGEFPRCFQPVFLSKLFVSNNILSGKFPPFLRSRHNLEMLDLASNDFYGGLPIWIGELSNLAIVRLSNNNFSGNIPTSITNLTRLVQLDLSNNSISGVLPLHLSNLICMKKSGHCDIVMVFDRYSISGRYGRNVGIANMSVDTKGQKLYYKLPIVLDIVTIDLSLNYLTGEIPEELTLLDGIKNLNLSWNQLSGRIPGNISVMQSLESLDLSKNNLSGEIPSSLSNITSLSRLDLSYNHLTGRIPSGGQLDTLYAENPSMYNGNTGLCGYPLRRNCSDNSSASKHGVEQRRERDSEPMFLYSGLGSGFVAGLWVVFCTILFKKTWRIAYFRLFDKVYDKVYVFVVVTWATLSQKSGTR >ONIVA11G17300.1 pep chromosome:AWHD00000000:11:18536371:18541791:-1 gene:ONIVA11G17300 transcript:ONIVA11G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G60300) TAIR;Acc:AT3G60300] MAAAAEAEEEVRQEVEAVASVYGDDCRVVRGFPPHLVVHVRPHTADDSSQQFVELFLGIKASSQYPKAPPHVYAVESKGLDENRQVYLISSIQNKARELSCYPMLVTLCEEAVEMLSNMNHPAGDCPLCLYPLVKENDGSALPFMKLMSCYHCFHSDCIMRWWEWLQNDDTDSKKSSTAATTEVIHASEGLDLSSSAKHHNVSQHKGLCPVCRKVFDEKDIEHVRDLLGANTSQLASLSIDLDEDEKEVLHSESEKIRQEKFASLFNLQQERNGLIEPKKDLSIQPGMYIPRPPSAPTASEGDTVDDSCEDTTTSTSGTEPLNQTNSTGGTTKHNSSSGDIDLMLQEDNHMGKGNLLGNNGRGKAETLHTNSRKGTVLPQLNNLTKLQFLDPSGCHLMKSESGMAWLCIF >ONIVA11G17300.2 pep chromosome:AWHD00000000:11:18536991:18541791:-1 gene:ONIVA11G17300 transcript:ONIVA11G17300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G60300) TAIR;Acc:AT3G60300] MAAAAEAEEEVRQEVEAVASVYGDDCRVVRGFPPHLVVHVRPHTADDSSQQFVELFLGIKASSQYPKAPPHVYAVESKGLDENRQVYLISSIQNKARELSCYPMLVTLCEEAVEMLSNMNHPAGDCPLCLYPLVKENDGSALPFMKLMSCYHCFHSDCIMRWWEWLQNDDTDSKKSSTAATTEVIHASEGLDLSSSAKHHNVSQHKGLCPVCRKVFDEKDIEHVRDLLGANTSQLASLSIDLDEDEKEVLHSESEKIRQEKFASLFNLQQERNGLIEPKKDLSIQPGMYIPRPPSAPTASEGDTVDDSCEDTTTSTSGTEPLNQTNSTGGTTKHNSSSGHRRRHRSHASRRQPHGQGQPARQQWQRKGGDTSHQ >ONIVA11G17290.1 pep chromosome:AWHD00000000:11:18529224:18535083:-1 gene:ONIVA11G17290 transcript:ONIVA11G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPLLTGKKLLLSSLLVVASTTLTLTLKHTAASTSSLAFAALPGCPDKCGNVSIPYPFGTIDGCFREPPFRVYCEDDHAVYLQEHKKLKVLQFELAQGEVLIQKRIATSCGVNLTGKAVGIPWVVHDGGLADDYPYLTISTKNQFTAVGCGIAAIIVGQGENQPDYTVGCRSFCDDVDSNIVEENSTQCNGNTGCCQASIPGNLRAFQPSFLKMSGVNYSGVPCVYAFVVEQKWFKFKTSYAKSMELYSKYRNKGTGVPLVLDLVVGNETCDEAKRNALSYACKATNSSCIDRPSRSGYLCNCSQGYEGNPYLHGGCQDINECDYPWLYPCKGNCRNKIGNYACSCPSGTQSKDPKIIPCTPTIGDGLVADFVNLHSVGNLVQMLDSQVIEEGGTEIQEVSQLAISCIELRGEDRPTMRQTPKLQSMDDHATCDIRNLSSVLPVNFAQTTITFT >ONIVA11G17290.2 pep chromosome:AWHD00000000:11:18529224:18535083:-1 gene:ONIVA11G17290 transcript:ONIVA11G17290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACPLLTGKKLLLSSLLVVASTTLTLTLKHTAASTSSLAFAALPGCPDKCGNVSIPYPFGTIDGCFREPPFRVYCEDDHAVYLQEHKKLKVLQFELAQGEVLIQKRIATSCGVNLTGKAVGIPWVVHDGGLADDYPYLTISTKNQFTAVGCGIAAIIVGQGENQPDYTVGCRSFCDDVDSNIVEENSTQCNGNTGCCQASIPGNLRAFQPSFLKMSGVNYSGVPCVYAFVVEQKWFKFKTSYAKSMELYSKYRNKGTGVPLVLDLVVGNETCDEAKRNALSYACKATNSSCIDRPSRSGYLCNCSQGYEGNPYLHGGCQDINECDYPWLYPCKGNCRNKIGNYACSCPSGTQSKDPKIIPCTPTIGLSIGIGVGSATGFICITDKAQEENCNENNANKACGQLLKQLVSQRADIAERMIITLDELEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKISNIVVQKEIDDFINEVAILSQINHKNVVKLIGCCLETEVPLLVYEFISNGTLYHHLHGEGPRSLSWSNRLRIAAEIANALSYLHSSVTIPIIHRDIKSSNILLDDNLTSKVSDFGASRYIPIEKTALTTAVQGTVGYLDPMYFYTGRLNDKSDVYSFGVMLVELLTKRKLFPYLSSEGDGLVADFVNLHSVESMDDHATCDIRNLSSVLPVNFAQTTITFT >ONIVA11G17290.3 pep chromosome:AWHD00000000:11:18531777:18535083:-1 gene:ONIVA11G17290 transcript:ONIVA11G17290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACPLLTGKKLLLSSLLVVASTTLTLTLKHTAASTSSLAFAALPGCPDKCGNVSIPYPFGTIDGCFREPPFRVYCEDDHAVYLQEHKKLKVLQFELAQGEVLIQKRIATSCGVNLTGKAVGIPWVVHDGGLADDYPYLTISTKNQFTAVGCGIAAIIVGQGENQPDYTVGCRSFCDDVDSNIVEENSTQCNGNTGCCQASIPGNLRAFQPSFLKMSGVNYSGVPCVYAFVVEQKWFKFKTSYAKSMELYSKYRNKGTGVPLVLDLVVGNETCDEAKRNALSYACKATNSSCIDRPSRSGYLCNCSQGYEGNPYLHGGCQDINECDYPWLYPCKGNCRNKIGNYACSCPSGTQSKDPKIIPCTPTIGLSIGIGVGSATGFICITDKAQEENCNENNANKACGQLLKQLVSQRADIAERMIITLDELEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKISNIVVQKEIDDFINEVAILSQINHKNVVKLIGCCLETEVPLLVYEFISNGTLYHHLHGEGPRSLSWSNRLRIAAEIANALSYLHSSVTIPIIHRDIKSSNILLDDNLTSKVSDFGASRYIPIEKTALTTAVQGTVGYLDPMYFYTGRLNDKSDVYSFGVMLVELLTKRKLFPYLSSEGDGLVADFVNLHSVGNLVQMLDSQVIEEGGTEIQEVSQLAISCIELRGEDRPTMRQVNCSLEGILSQINQK >ONIVA11G17280.1 pep chromosome:AWHD00000000:11:18516856:18517723:1 gene:ONIVA11G17280 transcript:ONIVA11G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFIVVLTSIVFLMVTSNGQAQAPVGCIPRERDALLEFKNSITDDPMGQLKFWRRGDDCCQWRGIRCSNRTGHVIKLQLWKPKFDDDGMSLVGNDYMANSLMHWQI >ONIVA11G17270.1 pep chromosome:AWHD00000000:11:18512434:18512774:-1 gene:ONIVA11G17270 transcript:ONIVA11G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRRSLAEVTAWLEQYFLKASVTGDAVSNHLEASNDGFKRGPHSILGALCCLSAPSVEHDRVDIINSEQRHSSTLR >ONIVA11G17260.1 pep chromosome:AWHD00000000:11:18483560:18500637:1 gene:ONIVA11G17260 transcript:ONIVA11G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIRYLDVSETSILSGRVPPQLGNLSNLQHLNLGFMDTDMYSVDISWLTNLHQLKYLDMSSVNLSAVNDILITSCVDLQNLCELTTLLLDGGLSSGNITELVEKLPRCYLSPLKYLSLQGNNMTGMLPNEISHLKSISFLNLKNNSISGPIAVESDLVGFIISQFKQTNWADTRAAEKTPEIGCLHELLVREFAIKIWFYIISGEFPLCLQSISLLTFLDLSRNKFYGALPVWIGDLVNLQYLQLSYNMFYGDIPNSITNLDSIRFFNLAGNNISGSSSTFDKININDTKTSHDINGATKSSWLLLVIIAACTGSLFLVTDALHEHHRRRPNIAGTTCVPHKRDALLAFKEGVVGDPAGRLTSWRPGEDCCRHWRGVRCSNLTGHVLKLHLRNTDGGEAAMSGKYSGQLASSGEHDSILRVLGLGGCSLTSANQSLPHLNLTNLEELDLSYNYLDSIESCWFWNLTSLKKLNLDAIGLHGLFPNVLGDMVSLQVLVLSSNEMTMKSTNLTTLCNLRILQLGESYSYGNISELIESLPQCAFSKLQELSLRGNQFTGILPNWLGQLTSLVILDLSMNNITGLLPGIFGKFTDLRDLNLAGNQLTGHLPSQISMLSNLTRLDLSNNILDGLITDEHFVGLKGLEYIDLSHNKLKIVLGSPPFRLKKHILHTARWVLCFLHGFSGRWIFLTLILQAQISGGLPTNWEIMSVEQLYLSSNQFTGEIPSLPRNIITLDISSNSLTDLANNHFEGDLPECAEMENLDILMLSNNSFSGKFPSFLQRCFFLSFLDLAWNEFSGTLPMWIGNCTSLRFLRLNNNMFHGHIPGSITGLRDLRHLNLAENRLSGMIPPCLSNLSAMVYEYSAANPYPPASIRFYRLRLGELSVITKRLQLKYQGFSVLDITTIDFSSNNLSGEIPMDISSLEGLVNLNLSWNQLNGNIPHKIGSMHALESIDLSNNNLSGEIPPSLSNLTYLSILDLSYNNLTGPIPSGGQLETLYTYNPLMYSGNNGLCGFLLQRSCPGNSTSKDGDLSKEKHGDQQIPELHSDDQMFFLFGCGVGFVVGSWVVFFSLLFVKTWRIASFRLFDSVYDKIVAYNAIFQLIWNLEELCLSSSRVANTLATGEYSGQLASSGEHDSILRVLGLGGCSLTSANQSLPHLNLTNLEELDLSYNYLDSIESCWFWNLTSLKKLNLDAIGLHGLFPNVLGDMVSLQVLVLSSNEMTMKSTNLTTLCNLRILQLGESYSYGNISELIESLPQCAFSKLQELSLRGNQFTGILPNWLGQLTSLVILDLSMNNITGLLPGIFGKFTDLRDLNLAGNQLTGHLPSQISMLSNLTRLDLSNNILDGLITDEHFVGLKGLEYIDLSHNKLKIVLGSPPFRLKKHILHTARWVDLSYLDITSTGIVDKLPDWFSNSLSKVIYLDISNNQISGGLPTNWEIMSVEQLYLSSNQFTGEIPSLPRNIITLDISSNSLTGNLPSNLSETPMLDTLILFSNNITGRIPESICNLNLYALDLANNHFEAWNEFSGTLPMWIGNCTSLRFLRLNNNMFHGHIPGSITGLRDLRHLNLAENRLSGMIPPCLSNLSAMVYEYSAANPYPPASIRFYRLRLGELSVITKRLQLKYQGFSVLDITTIDFSSNNLSGEIPMDISSLEGLVNLNLSWNQLNGNIPHKIGSMHALESIDLSNNNLSGEIPPSLSNLTYLSILDLSYNNLTGPIPSGGQLETLYTYNPLMYSGNNGLCGFLLQRSCPGNSTSKDGDLSKEKHGDQQIPELHSDDQMFFLFGCGVGFVVGSWVVFFSLLFVKTWRIASFRLFDSVYDKIVAYNAIFQLIWNLEELCLSSSRVANTLATGEVISMKYSIVTLVLRLQESGKRRFYF >ONIVA11G17250.1 pep chromosome:AWHD00000000:11:18462981:18466232:1 gene:ONIVA11G17250 transcript:ONIVA11G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQHPLHDHQQWMGLMQPQSQHNQQHQSQQHIMAAFQSQSNQLQQELGMEQKPSVPQSFQTSAGKFLQQNNIDEQMQYTQAQCGLQEVPFSTTMHIITQTDHPGQCYLQDEIYDMVRNLKDQHFTELYHLYNKISRKQEYVDSQMPSQMPIEQYGKMKKFKEMLERILRFLQINKGDILPALAEKIPKYERQIITLVEKPSFVGRAISTIVPVTIKT >ONIVA11G17240.1 pep chromosome:AWHD00000000:11:18439018:18441153:-1 gene:ONIVA11G17240 transcript:ONIVA11G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVHVRIKLLSDNLIAC >ONIVA11G17230.1 pep chromosome:AWHD00000000:11:18421444:18421770:-1 gene:ONIVA11G17230 transcript:ONIVA11G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPANRAPARSSRSCRSAARAAPPLPPSASRLSRSPLLRAAAHITPQPAPPSAPTAFRTHCPPPPTAGGAPRPSARVAPPLEKRGLEKRERGGLERTGEEREREK >ONIVA11G17220.1 pep chromosome:AWHD00000000:11:18417483:18435254:1 gene:ONIVA11G17220 transcript:ONIVA11G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAQGGGALLRSTNGFAGRAVWEFDPDHGTPEDRANVERVRRDFTDHRLRRPESADLLMRMQFARENNHQRRGDRIPPAVNKLGEKEQVTEETVMASLRRALDEFSSLQADDGHWPGDLSGAIFIMPVLIFSLYATGSLDTVISSEHRREICRYIYNHQNEDGGWGMLILGSSTMFATCLNYVTLRLIGEEPSNKQLARGHAWIISHGGATLVPQWGKICLSFVGPITPTILALREEIYTDPYHTIDWAQACNACSKEDLVCPRTLLQNVVWTSLYKWVEPVLGSRPMNKLRERALDRLMEHIHYEDENSQYLCLCPVNKALNMVCCWVEDPNSDSFKRHLARIPDFLWLSEDGMKAQIYDGCQSWETAFIIQAFCATDLVNEYGSTVRRAHEFMKNSQIMRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLEKISSNVVGDPIEIERLHDAVDCLLSFVNKDECTSSVLQALVLFKQLHPSYRIKEIEKCVRNAAMFIESTQGEDGSWLGTWGVCFTYGAFLSVKGLIAAGRTYENSSSIRKACDFILSKQLDTGGWGESYVSNITKVYVNIKDDQAHAVNTAWAMLALICAGQMERDPAPLHRAAKELINMQLETGEFPQQEHVGAFNACLFFNYPNYRNLFPIWALGEYRRHLHSTRPRWPPSASAPATSSSSSAGAARIRGANSRSPRRRCRRRGPTPPAIARCRAARLLPRERGETVGGEDKDKEVRELEGGYEDEIFEVLRG >ONIVA11G17210.1 pep chromosome:AWHD00000000:11:18385551:18386806:-1 gene:ONIVA11G17210 transcript:ONIVA11G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSLSTILGLFLSCVQMLCKRLLRHVISKADNKMDQNERLLFVAEAVEEHAWHPYTHITLDFDLVLTFRHGIKTNGDRGRLGHV >ONIVA11G17200.1 pep chromosome:AWHD00000000:11:18375838:18383279:1 gene:ONIVA11G17200 transcript:ONIVA11G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISNLVRLRFLKLSYNKFDGHIPTNITDLSQLYHLNLAANSLSGVIPWQLSNLEAMTKRKSMLRKLPNNYSRGVDRYLSRFKHMVGELSVTTKRQDLKYQGFALLGIVTIDLSSNYLTESLDLSENKLSGEIPSSISKLTYLSTLNLSYNNLIGRIPSGGQLDTLYNNNPSMYDGNAGLCGDILKKKCPGNDASNDYGRFVLGLWVVFSTLLFKKSWMIAYFRLFDKHPSSHSLPNNTVNQHLTLQLINHLAMLMRRPAIAAAASFLLLMIAADGQAATPPPPAAIGNYCEPRERDALLAFKEGVTDDPAGLLASWRRGGGQLQDDCCQWRGVRCSNLTGHVVKLRLRNDHAGTALAGEIGQSLISLEHLRYLDLSMNNLAGSTGHVPEFLGSFRSLRYLNLSGIVFSGMVPPQLGNLSNLRYLDLSGIRLSGMVSFLYINDGSWLAHLSNLQYLKLDGVNLSTVVDWPHVLNMIPSLKIFSLSSCSLQSANQSLPELSFKELEKLDLSNNDFNHPAESSWIWNLTSLKYLNLSSTSLYGDIPQALGNMLSLQVLDFSFDDHKDSMGMSVSKNGNMGTMKANLKNLCNLEVLDLDCRLEYGNITDIFQSLPQCSPSKLKEVHLAGNRLTGMLPNWIGRLTSLVTLDLFNNSITGQVPSEIGMLTNLRNLYLHFNNMNGTITEKHFAHLTSLKSIYLCYNHLNIVMDPQWLPPFKLEKAYFASITMGPSFPRWLQSQVDIVALAMNDAGINDTFPDWFSTTFSKAKLLEFPGNQISGGLPTNMENMSLEKLYLKSNQIAGLIPRMPRNLTILDLSNNSLSGPLPLNIGSPKLAELNLLSNRITGNVPQSICELQNLHGLDLSNNLLHGEFPQCSGMSMMSFFRLSNNSFSGNFPSFLQGWTELSFLDLSWNKFSGNLPTWIGNFSKLEILRLKHNMFSGNIPASITKLGNLSHLDLASNSPLPQYLANLTGMVPKQYYTNEHEERLSGCDYKSLVTMKGLELEYDEENVTVVTIDLSSNLLTGVIPEDITYLHRLINLNLSSNYLSGKIPYSIGDMQSLESLDLSKNMLYGEIPQSLSDLSSLSFLNLSYNNLVGRIPLGTQLGTLYDQNHHLYDGNDGLCGPPLPKSCYKSDASEQGHLMRSKQGFDIGPFSIGVAMGFMAGLWIVFYALLFMKTWRVAYFCLLDKVYDEVCVIAVFGWARLTGRTDARLLMSQVAWSSIDSDESYE >ONIVA11G17190.1 pep chromosome:AWHD00000000:11:18374327:18375737:1 gene:ONIVA11G17190 transcript:ONIVA11G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHTRHTHITSLFTAQHRWPCPGVLGFFQEVERYLNLSGILFSGEVPPQLGNFSKLHYLDLSLSDNLYSTDLSWLAHLPTSLRITSLGICQHLFTREPMLVSIFEHALRHLKSLWFLDLSDNHLIGHVPLEISTLTKLAWLDLSYNDLDGLITEELFDGLKSLKNIGLSDNRLKIVVGSDWIPPFRLKVANLASCHIGPLFPSWFKWQMGISHINISRGNIIDRLPDWFSNSLSNVEVLDISGNQIIGALPTNMETMSLSKLLLSSNNITGQIPLLPRELYYLDISRNFLSGPLPLNLGAPRIEYLILRSNNFTGQIPVSFCEFDELYILDLSNNNFEGELPRCFKMEHLSFLL >ONIVA11G17180.1 pep chromosome:AWHD00000000:11:18365154:18367778:1 gene:ONIVA11G17180 transcript:ONIVA11G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNCMVGQVRSKARPGKRCSVEVTFAHLAGAVEAAIEVRVVQGSISGFRGRFVARTDGYDDDVVLVDSSKDGSVLAVADDGVIKLARSVAVVESTGVLNLHAIITRNDGSSSGDDGVGVAAEDHAEFAAQRFESSCRTLDLGFCKMLATVSWSMIPLI >ONIVA11G17170.1 pep chromosome:AWHD00000000:11:18349896:18356647:-1 gene:ONIVA11G17170 transcript:ONIVA11G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIREICMRYTQATLVRCAIRLDKNLMSEAVILLVVKKIGVALGNEAINQATSYFQKYVTQLTELQGSMGRIKRELRLMHEFLSRMDIRNRNNKIYEIWVEDVRMLAHQIEDIVDDYLHLVSHKDKHDDTGWTTYLKKGFKRMKGPNALLSLNRIAPSVKEAEANLVHLFQAKERWVRMVADETSGESSCYLVEASRHLASISCSLSEEDLVGVDENRKRLREWLAGDELEREVIVLHGMGGLGKTTLAANVYRNEREKFECHAWVSISQTYSMKNILKCLITELFRNAKQNPPVNLGDMKAEGLQDELKAFLRDRKYLVILDDVWAPEAISNLFGALVSNLRGSRVLVTTRIDEVTHLAFPNKRIRLEPLSQNDSWELFYKAAFPREKKLECPTEVTQLAYQIASKCKGVPLAIVSVGRLLFVRDKTEEEFRRIHNQLDWEVINNPSMEHVRNILYLSYIYLPTQLKSCFLYCSLFPDDYLFTRKKLVRWWIAEGFVEKRGGSTMEEVAEGYLKELVHMNMLQLVERNSFGRIKAFRMHDIVHELAVDLCRRECFGVSYSCENKRFEFLEENDERRMVIHRLDKDINQVISSECRLRSFIALDKAMPSSTLLPLLSEKCRYMSVLELSGLPIDNVPDAIGDLFNLRHLGLRDSNVKLLPNSIEKLSNLLTLDLCTSEIHELPRGIIKLKKLRHLFAKKANDRSGRQLQCRTGVCIPRGLENLRELQTLQALQAQDEPLSWLGELRQMRSIKIWDVKGSCCECLCASLRHMEFLSYLSIAASDENDILNLSSLNPLPPNLEKLRLRGRLAQANMLLGAAGGQNHLYSIHLSWSQLVDDPLPSLSRWSNLTDLLLNRAYIGDELVFHHGWFPALKELYIGDMPRLKRLEIQQGSMASLQQLYLVNLSSMMEVPLGIEFLMSTLKSLGFAEITRQFLAALRQCSRINCDIQWWYTLLGEDRTQEASI >ONIVA11G17160.1 pep chromosome:AWHD00000000:11:18333954:18336941:-1 gene:ONIVA11G17160 transcript:ONIVA11G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTAMFLSLAITTTTTTVLLLLTPAAATALQVMMTQLRRPSGSGGCFPGEMDALLEFKEGIADDTTGLLASWRPEDGQDCCRWTGVRCSDRTGHIVKLNLGSRESINPFAMRLFGEISHSLLSLHHLQHLDLSHNSLEGPTGDMPEFLGSLKSLRYLNLSGIPFHGLVPPHLGNLSNLRVLDLSYTANSYSPDISWVTRLPRLRYLNMGDVNLSMADYRTSASRFARTYTRRNVYSVLRRRQRAGTPSRNVPEVWGATSRSSPKHAAELIGKFDKEKSDLVRSIGFGGLLELPQINGIDRRFTRWLLSRVNCDSRTPRVGNNLDVELSPRNVHRVLGIPFEGMEVCPMPDNSKDEKDSFVQHYIGAPGFEASALKGAEEVIRRTFPDGMNSWARDQFRTAFVVWIVGTFLAPKTSHKALLRKSKYVRRQQWSLWASSSEKLFQRRTSLLFKKAWRVAFFDLCDKLYDKTYEPV >ONIVA11G17150.1 pep chromosome:AWHD00000000:11:18297720:18297983:1 gene:ONIVA11G17150 transcript:ONIVA11G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRRRLGKEQPRSTPAMASREGAASIRAYGGGRGRSRLDPLWRRCRGRAGEGDTVGRGKGRRRSDGEERAAPHRCVRWGRREANQ >ONIVA11G17140.1 pep chromosome:AWHD00000000:11:18282785:18288971:1 gene:ONIVA11G17140 transcript:ONIVA11G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPLLLFVLFFSALLLFPSSSDDDGGGDAAGDELALLSFKSSLLYQGGQSLAYWNTSGHGQHCTWVGVVCGRRHPHRVVKLRLRSSNLAGIISPSLGNLSFLRTLQLSDNHLSGKIPQELSRLSRLQQLVLNFNSLSGEIPAALGNLTSLSVLELTNNTLSGAIPSSLGKLTGLTDLALAENTLSGSIPSSFGQLRRLSFLSLAFNNLSGAIPDPIWNISSLTIFEVISNKLSGTLPTNAFSNLPSLQEVYMYYNQFHGRIPASIGNASNISIFTIGLNSFSGVVPPEIGRMRNLQRLELPETLSEAEETNDWKFMTALTNCSNLQEVELGGCKFGGVLPGSVSNLSSSLVSLSIRDNKISGSLPRDIGNLVNLQYLSLANNSLTGSLPSSFSKLKNLRRLTVDNNKLIGSLPLTIGNLTQLTNMEVQFNAFGGTIPSTLGNLTKLFQINLGHNNFIGQIPIEIFSIPALSEILDVSHNNLEGSIPKEIGKLKNIVEFHADSKKLSGEIPSTIGECQLLQHLFLQNNFLNGSILIALTQLKGLDTLDLSGNNLSGQIPMSLGDMPLLHSLNLSFNSFHGEVPTNGVFANASEIYIQGNAHICGGIPELHLPTCSLKSRKKKKHQILLLVVVICLVSTLAVFSLLYMLLTCHKRRKKEVPATTSMQGHPMITYKQLVKATDGFSSSHLLGSGSFGSVYKGEFDSQDGEITSLVAVRVLKLETPKALKSFTAECETLRNTRHRNLVKIVTICSSIDNRGNDFKAIVYDFMPNGNADMVAHVGDFGLARILIEGSSLMQQSTSSMGIRGTIGYAAPEYGVRNTASTHGDIYSYGILVLETVTGMRPADSTFRTGLSLRQYVEPGLHGRLMDVVDRKLGLDSEKWLQARDVSPCSSITECLVSLLRLGLSCSQELPSSRTQAGDVINELRAIKESLSMSSDM >ONIVA11G17130.1 pep chromosome:AWHD00000000:11:18282187:18282441:-1 gene:ONIVA11G17130 transcript:ONIVA11G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNQGHVGPRLAPLLRRPPEIRENRISLRARGTRPKQASDGGRPAPEELREVAAPWAPVTHLVTELRSPKGYPESGRGAPEAT >ONIVA11G17120.1 pep chromosome:AWHD00000000:11:18279376:18280649:1 gene:ONIVA11G17120 transcript:ONIVA11G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPALAPDAAALQLQVLHPLVLGSECRAYAYMHMHMXNATLGSQTLELQMKALYRACLMFGEGPRIRHVRRFVSKRFKVFMDLEFPSDLWKLIHNWGHVSKYIIFCYDNNMLEAPQVDNAEYMSLMRIMSFIEIQGQRIIYTPTDAAGPGGALLLVKAN >ONIVA11G17110.1 pep chromosome:AWHD00000000:11:18272467:18276143:-1 gene:ONIVA11G17110 transcript:ONIVA11G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRLAATFLLAAAAAAATLSLACVPEERDALLAFRDGVTGDPAGRLATWRRRGGGGDCCRWRGVRRGGAELDDRGYYAGGAALVGAISPALLSLRRLRHLDLSRNYLQGSPPGPPPAFLGGLASLRYLNLSGIYFSGEVPPHLGNLSSLRYLDLSTDFSPQLARSSELSWLARMPSLRHLSLSSVDLSSARDWPLAIAMLPSSMAARLTSIIHGGGGGRQPDERARGGAPPPQRRRRRRRRGGAELDDRGYYAGGAALVGAISPALLSLRRLRHLDLSRNYLQGSPPGPPPAFLGGLASLRYLNLSGIYFSGEVPPHLGNLSSLRYLDLSTDFSPQLARSSELSWLARMPSLRHLSLSSVDLSSARDWPLAIAMLPSLTALHLSSCSLPSSSTQQWRRLLPRNLTNLKLLDLSMNHLDHRAELAWIWNITSLTDLNLMGTHLHGQIPDELDAMASLQGHHAKKPERPLQSEGARSRFGPRRRRHRRADAEAAAAVFIIKHVAGIDLPNNGMTRTLPDYDKLMHLTGLRVLDLSYNNLTGPIPRSMGNLSGLDILDLSFNNLTGLIPAGEGCFAGLSTLVLSENFLTGQIPEEIGYLGSLTTLDLYGNHLSGHVPSEIGKLANLTYLDISRNDLDGVITEEHFARLARLTTIDLSLNPLKIEVGSEWKPPFSLEKANFSHCAMGPLFPAWLQWQVDFSCLDISSTGINDTLPDWLSIAFPKMAVLDISENSIYGGLPANLEAMSIQELYLSSNQLTGHIPKLPRNITILDISINSLSGPLPKIQSPKLLSLILFSNHITGTIPESICESQDLFILDLANNLLVGELPRCDSMGTMRYLLLSNNSLSGEFPQFVQSCTSLGFLDLGWNSFSGTLPMWIGDLVQLQFLRLSYNMFSGNNISGTIPRGLSNLTAMTQTKGIVHSFPYQGYASVVGEPGNSLSVVTKGQELNYGVGILDMEEYRQDRNLTPSMRSIHICTVAIAASAGRLFEKIVQQMMHQS >ONIVA11G17100.1 pep chromosome:AWHD00000000:11:18267102:18272683:1 gene:ONIVA11G17100 transcript:ONIVA11G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:long-chain acyl-CoA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G49430) TAIR;Acc:AT1G49430] MEETYTVKVGEATPAAGGKPSAGPVYRSIYSKDGLMKLPEDILSPWDFFSGAVKQYPKNKMLGQRKVSDGKAGDYVWLTYEEVYQKVIKIGSAIRSLGVKPGGHCGIYGSNCPEWVMAMQACNSQGICYVPLYDTLGANAVEFIMDHAEISIAFVQESKIQSVLSVVKKCRAHIKAIVSFGDVKSELKREAEQLGVSCFSWEEFSSMGKQNYELPKKQKDDICTIMYTSGTTGEPKGVIITNRALIAGVMTTEHLLKVTDKVVAEDDSYFSYLPLAHIFDQVIGNYCISKGASIGFWQADIRYLMEDVQMMKPTVFCGVPRVYDRIYTGINQKIQSGGMIAKSLFQYAYNYSRITKSVTLSSLISKLGNLRKGLKQDEASPFFDKIVFSKIKEGLGGRIRLLLSGAAPLPRHVEEFMRVTSCSVLVQGYGLTESCSGCFTSIANVFSMIGSVGPPVTTIEARLESIPEMGYDALSNVPRGEICLRGHTLFSGYYKRPDLTEEVFSDGWFHTGDIGEWQPDGTMKIIDRKKNIFKLSQGEYVAVEVWVYGNSFESFLVAVVVPEKQAIEDWAAQNNKTGNFAELCNDPKARMYIEDELNKTGKRLGLRGFEMLKAIHLETTPFSIEKDLVTPTFKLKRPQLLKYYKDCIDQLYKDAKVGNKQ >ONIVA11G17090.1 pep chromosome:AWHD00000000:11:18258826:18260922:-1 gene:ONIVA11G17090 transcript:ONIVA11G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 60 [Source:Projected from Arabidopsis thaliana (AT1G08810) TAIR;Acc:AT1G08810] MGRPPCCDNGVGVKKGPWTPEEDIILVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKTDNDIKNYWNTHLKKKVKRLQQQQQSHPDHHHHHSFQTTPSSSNAAAVATTSPNYYNPNNSNSNSSNYLHNNNHNLESMQSMATAPSNEATTIPKLFQFQTWMKPSPATTSSAATAAAGSCYKQAMAMQELQEEQEGSAAAAAMASSIDGVSKDQDYHMCAVISGDDKSSSSEMMTAAAMAGHGEAATTTFSLLENWLLDDMPGQAAMSAAMDGFLEISAGYCCADPIMF >ONIVA11G17070.1 pep chromosome:AWHD00000000:11:18252722:18255079:1 gene:ONIVA11G17070 transcript:ONIVA11G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 5 [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3C2] MASSAAAYLDADENLEAIISRIEQKSRKIETLLKQSKPVEALKTALEGTPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDPEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTVNTV >ONIVA11G17060.1 pep chromosome:AWHD00000000:11:18242317:18246766:1 gene:ONIVA11G17060 transcript:ONIVA11G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34360) TAIR;Acc:AT4G34360] MADDVAPRTASAYLDPSYWDERFGKEEHYEWFKDFSHFRHLLAPLLSPSISVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAEQGTEGVEVVVADMLDLPFDRESFDLVIEKGTMDVLFVDSGDPWNPNPTTVDNVMKMLEGIHKVLKPEGIFVSITFGQPHFRRRFFEAPGFTWSVEWSTFGDGFHYFFYILKKGKRLLDSNGNQHTQPAAPSINMFHEELESEDYIFRTND >ONIVA11G17050.1 pep chromosome:AWHD00000000:11:18238151:18240205:1 gene:ONIVA11G17050 transcript:ONIVA11G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / peptidoglycan-binding LysM domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G23770) TAIR;Acc:AT2G23770] MAQSRSHAMAAFAFVVVFLLSGAPGARSQQPYGSQVADCPNKHNDTGLLGYFCSSGGGGGGAPSSSSSSCQTYLTFHATPRYPDLAAIASLLGADASSLAAANSAASPTAALAPGAKVLVPATCSCTGAAYYQRNATYVAVAGDTLLVIANDTFQGLSTCQAVQEQALGDAPARSLLAGQRVTVPLRCACPSAAQAAAGVRYLVTYLVDEFDEVGAIAARFGVDAGNISAANEMAITDTIYPFTTLLIPVKSKPDVSQLRSPPPPPPPPPAAPAPTTNRKNHTGVYVGIGAAAVAVLAVVTAVVAALAVRARRRRRRATAAVAAAGGKGGKGNDKASPAFTGGEVSVSISEAFSGLSDIKSSLKVFTYAELAAATDGFSPDRRVGGSVYRAVFNGDAAAVEVVDRDVSAEVEIMRKINHLNLVRLIGLCHHRGRWYLVSEYAEHGTLRDRLLAGGGAPPLSWSQRVQVALDVAEGLRYLHGYTRPPYVHMDVSSDSVLIAGGADLRGKLRNFGGARVIRGGGGEAFTMTSNIAGTRGYTAPEYLEHGVVSPKADVYSLGVVLLELVTGKGVDELEADGAGDPFAGMNALAGDLDGGSEDDAAVTRRMEEFLDPAMAATGSSCPREAVAMMVKLIERCVRRDAAARPGMGEVAQHLLMLHGVSGDGWHSSLEHYRSSGGDGGEQP >ONIVA11G17040.1 pep chromosome:AWHD00000000:11:18231651:18235835:-1 gene:ONIVA11G17040 transcript:ONIVA11G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANSLLAPEEPDDGEDLPPSAASASASASASPPRGVREDLSELTDALANRFHGLASFLAPPPHAGGGRGIPRAPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEAAEAEAAGFTEEAVAFARDAATRPELWLDFPLLPDDDDDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSEGCFWKIYFVLLHPKLSKDDADLLSTPQQEKEEKEMRVMEDEEFLRLCPPTHPRRNDDDDDALPATCLLDLLTYIADCRNATTATCRLSCGMEVQVTICAAPPPLVSHVCVYCPGYDHTVFTCVPKVVATQGDVLVLCIALGPRGTIYRPSRSNYYLYQVQAGWPSLKLLPHPGPCFDPNDPYAPLFDDHSVGIIRYQPHIDHPALYVIAALTNRSVPGRYDLHLLHSNAESWISKRGLPRPHSMSRGDHSFAKVITVGGEAGTIGWVDLWKGILFCDVLKDNPVFLYVSLPPPLMATRKLRGCPRNTRNVSVIKGLIRYVELQIHIKPGSFTRGNYISNGWTVATWSRISSNPFEDWHQNCKLDASQVSFENNPVHYEKLPELLDDQGIPQLTMVRLHTGHPVLSMHDHDIVYLMTKVNYLDDKAWVLAIDMRNSTLQGVAEFNAERVIALRYAFTQSGISEYLNMLPAPELGGAAFLATWNCLRCILVYARSGRVHLNLRWSSEEVDLAIRSRWGLLILEARKRLSRNLQSESKPDSNEDMVAASSSNIDGNVPSPVEVVGILKNEDDSARATSFSNVNYGSPQPVILEMQSDDTLNDSGGLRADDITSSVPVQLVPVLKDATEFSQARMEERIQDFTAQDTVANEEPGQLSGIKLEDNSEERQKQPSTTNLSEQSRVAIQKNSNDDDDDDEDEWLEEEETGGAGNTMIPIADDEDVSFSDLEEDDATA >ONIVA11G17030.1 pep chromosome:AWHD00000000:11:18216051:18224227:1 gene:ONIVA11G17030 transcript:ONIVA11G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNLSRNHLSGKISDDIGAMKSLQSLDLSMNRISGEIPMSLSDLTFLSSLDLSCHNLVGRIPQGHQLDTLYPNNPSMYDGNGGLCGPPLQRNCSAPKLGSQNKSVNDSEPTMLFCFGIVTGFLIGLWVVFCAVMFIRSWRVAYFRQSDKFYDKTYVTNGCKPRERDALLAFKEGITDDPAGLLASWRRRRLGGGHELQDCCRWRGVQCSDQTAGHVIKLDLRNAFQDDHHHDATLVGEIGQSLISLEHLEYLDLSMNNLEGPTGRLPEFLGSFKSLRYLNLSGIRFSGMVPPHIGNLSNLQILDLSISTVHQDDIYYLPFLYSGDASWLARLSSLQYLNLNGVNLSAALDWPNALNMVPSLKVLSLSSCSLQSARQSLPLLNVTQLEALDLSENEFNHPTESSWIWNLTSLKYLNLSSTGLYGEIPNALGKMHSLQVLDFSFDEGYSMGMSITKKGNMCTMKADLKNLCNLQVLFLDYRLASGDIAEIFDSLPQCSPNQQLKEVHLAGNHITGMIPNGIGRLTSLVTLDLFNNNITGKVPSEIGMLTNLKNLYLHNNHLDGVITEKHFARLINLKSIYLCYNSLKIVVDPEWLPPFRVEKAYFSSCWMGPKFPAWLQSQVYIVELIMNDAGIDDTFPDWFSTTFSKATFLEISNNQIGGELPTDMENMSVKRLNLDSNQIAGQIPRMPRNLTLLDISNNHITGHVPQSFCELRNIEGIDLSDNLLKGDFPQCSGMRKMSILRISNNSFSGNFPSFLQGWTNLSFLDLSWNKFSGSLPTWIGNFSNLEFLRLKHNMFSGNIPVSITKLGRLSHLDLACNCLSGTIPQYLSNLTSMMRKHYTRKNEERLSGCDYKSSVSMKGQELLYNEKIVPVVTIDLSSNLLIGAIPEDLVSLVGLINLNLSRNYLSGKIPYRIGDMQSLESLDISKNKLYGEIPVSLSDLTYLSYLNLSYNNLTGRVPSGSQLDTLNDQHPYDGNDGLCGPPLENSCSSSSASKQRHLIRSKQSLGMGPFSLGVVLGFIAGLWVVFCTLLFKKSWRVAYFCLLDNMYNNIARKNMSKIVDVAGWYIDLTSPIDK >ONIVA11G17020.1 pep chromosome:AWHD00000000:11:18205441:18214705:1 gene:ONIVA11G17020 transcript:ONIVA11G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESEIPSSMPKLTYLSTLDLSYNNLTRLYNNNPSMYDGNAGLIAYFRLFDKNILASKEAAKQLVLLINHLAMLMRHPTIAAAAASFLLLMIAADGQAATTTPPSPPPAAIGNYCKPRERDALLAFKEGVTDDPAGLFASWRRGGGQLQEDCCQWRGVRCSNRTGHVVKLRLRNDHAGTALAGEIGQSLISLEHLRYLDLSMNNLAGSTGHVPEFLGSFRSLRYLNLSGIVFSGMVPPQLGNLSNLRYLDLSRIRLSGMVPFLYINDGSWLAHLSNLQYLKLDGVNLSTVVDWPHVLNMIPSLKIFSLSSCSLQSANQSLPELNFKELEMLDLSNNDFNHPAESSWIWNLTSLKHLNLSSTSLYGDIPQALGNMLSLQVLDFSFDDHKDSMGMSVSKNGKMGTMKANLKNLCNLEVLDLDCRLEYGNIMDIFQSLPQCSPSKLKEVHLAGNSLTGMLPNWIGRLTSLVTLDLFNNSITGQVPSEIGMLTNLRNLYLHFNNMSGTITEKHFAHLTSLKSIYLCYNHLKIVMDPQWLPPFKLEKAYFASITMGPSFPRWLQSQVDIVALAMNDAGINDTFPDWFSTTFSKVKLLEFPGNQISGGLPTNMENMSLEKLYLKSNQIAGLIPRMPRNLTILDLSNNSLSGPLPLNIGSPKLAELNLLSNRITGNVPQSICELQNLHGLDLSNNLLDGEFPQCSGMSMMSFFRLSNNSFSGNFPSFLQGWTELSFLDLSWNKFSGNLPTWIGNFSKLEILRLKHNMFSGNIPVSITKLGNLSHLDLASNSISGPLPQYLANLTGMVPKQYYTNEHEERLSGCDYKSLVTMKGLELEYDEENVTVVTIDLSSNLLTGVIPEDITYLHRLINLNLSSNYLSGKIPYSIRNMQSLESLDLSKNMLYGEIPQSLSDLSSLSFLNLSYNNLVGGIPSGTQLGTLYDQNHHLYDGNDGLCGPPLQKSCYKSDASEQGHLMRSKQGFDIEPFSIGVVMGFMAGLWIVFYALLFRKSWRVAYFCLLDKVYDEVCVIAVVGWARLTGRTDPRLLMSHVSWSSIDSDESYE >ONIVA11G17020.2 pep chromosome:AWHD00000000:11:18214706:18215824:1 gene:ONIVA11G17020 transcript:ONIVA11G17020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKLKQLQYLKKDENRTSRAGAENLPSKFGAPNLKVLIMCHNHITGQVPGSVCKLKNIKIFDLAYNFLEGELTNCFRMPNLYFLQLSHSRFSGEFPLRLPGIRSLTFLDLAFNNFHPRNFHGALPVWIGDMESLRYLQLSHNFSYGDIPLNITNIDSLQYLNNLAGNNISGSIPWPL >ONIVA11G17010.1 pep chromosome:AWHD00000000:11:18205011:18205274:1 gene:ONIVA11G17010 transcript:ONIVA11G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPELLGFFQEVDISQPIQLGNLLKLHYLDNSLCDNLYSVDLSVFLLEKDIFYPLISHDNITSTFDAIPQYGVGKP >ONIVA11G16990.1 pep chromosome:AWHD00000000:11:18192731:18197723:-1 gene:ONIVA11G16990 transcript:ONIVA11G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3B2] MAFEKIVVANPVVEMDGDEMTRVIWKWIKDKLIFPFLDLDIKYYDLGLPNRDATGDKVTIESAEATLKYNVAIKCATITPDEGRVKEFNLSAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWIKPICIGRHAFGDQYRATDTVIKGPGKLKEEQIELDVFNFTGAGGVALSMYNTDESIWAFAEASMNMAYQKRWPLYLSTKNTILKKYDGRFKDIFQENYETKWRAKFDDAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTTGLGHRAKLDDNKRLLDFVQKLEAACVGTVESGKMTKDLALLVHGPNVSRDKYLNTVEFIDAVAEDLRTRLSE >ONIVA11G16990.2 pep chromosome:AWHD00000000:11:18192731:18197723:-1 gene:ONIVA11G16990 transcript:ONIVA11G16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3B2] MAFEKIVVANPVVEMDGDEMTRVIWKWIKDKLIFPFLDLDIKYYDLGLPNRDATGDKVTIESAEATLKYNVAIKCATITPVLDTQFKFDFGRTIHEPTDEGRVKEFNLSAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWIKPICIGRHAFGDQYRATDTVIKGPGKLKEEQIELDVFNFTGAGGVALSMYNTDESIWAFAEASMNMAYQKRWPLYLSTKNTILKKYDGRFKDIFQENYETKWRAKFDDAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTTGLGHRAKLDDNKRLLDFVQKLEAACVGTVESGKMTKDLALLVHGPNVSRDKYLNTVEFIDAVAEDLRTRLSE >ONIVA11G16990.3 pep chromosome:AWHD00000000:11:18192731:18197723:-1 gene:ONIVA11G16990 transcript:ONIVA11G16990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3B2] MAFEKIVVANPVVEMDGDEMTRVIWKWIKDKLIFPFLDLDIKYYDLGLPNRDATGDKVTIESAEATLKYNVAIKCATITPDEGRVKEFNLSAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWIKPICIGRHAFGDQYRATDTVIKGPGKLKEEQIELDVFNFTGAGGVALSMYNTDESIWAFAEASMNMAYQKRWPLYLSTKNTILKKYDGRFKDIFQENYETKWRAKFDDAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTTGLGHRAKLDDNKRLLDFVQKLEAACVGTVESGKMTKDLALLVHGPNVSRDKYLNTVEFIDAVAEDLRTRLSE >ONIVA11G16990.4 pep chromosome:AWHD00000000:11:18192731:18197723:-1 gene:ONIVA11G16990 transcript:ONIVA11G16990.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3B2] MAFEKIVVANPVVEMDGDEMTRVIWKWIKDKLIFPFLDLDIKYYDLGLPNRDATGDKVTIESAEATLKYNVAIKCATITPVLDTQFKFDFGRTIHEPTDEGRVKEFNLSAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWIKPICIGRHAFGDQYRATDTVIKGPGKLKEEQIELDVFNFTGAGGVALSMYNTDESIWAFAEASMNMAYQKRWPLYLSTKNTILKKYDGRFKDIFQENYETKWRAKFDDAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTTGLGHRAKLDDNKRLLDFVQKLEAACVGTVESGKMTKDLALLVHGPNVSRDKYLNTVEFIDAVAEDLRTRLSE >ONIVA11G16980.1 pep chromosome:AWHD00000000:11:18190312:18195387:1 gene:ONIVA11G16980 transcript:ONIVA11G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEASGGRDKYRSFMYGEGEKDTVWRLGSPPNYDVVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELIHKVRPEDQKSTKLPPEHRIYDPDKETLESGMATFLTAFPRGFAIEVLDVYSGPPRIVFKFRHWGYMEGPFKEHPPHGKRVEFFGICIFHVDEEMKVEKTEYFYERGNFLASFLSAPAAAAAEAASGSGCPVMGGN >ONIVA11G16980.2 pep chromosome:AWHD00000000:11:18190312:18193761:1 gene:ONIVA11G16980 transcript:ONIVA11G16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEASGGRDKYRSFMYGEGEKDTVWRLGSPPNYDVVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELIHKVRPEDQKSTKLPPEHRIYDPDKETLESGMATFLTAFPRGFAIEVLDVYSGPPRIVFKFRHWGYMEGPFKEHPPHGKRVEFFGICIFHVDEEMKVEKTEYFYERGNFLASFLSAPAAAAAEAASGSGCPVMGGN >ONIVA11G16970.1 pep chromosome:AWHD00000000:11:18184656:18189555:1 gene:ONIVA11G16970 transcript:ONIVA11G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSVARGLILAQINFSPILNETQEASPLSKQEVRNRKQAAARVGVAAVPPLASQPPPLLVFPAQSAERVEARAGHCHREAAALGHRDLGNPSRLAPRKQFSATVVKMAGQSDPHLSIFSPSEVEFVAEDEIVEIVPNIRMEALNMICGDFGPFFPQIASKVPLWLAVALKKRGKCTIRTPDWMTIDRLTQVLDAERESPKEFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPQMIQQADNTGSRPTPVTDRGPRRDLRRSLVSSRLGKNWYLLTVNL >ONIVA11G16970.2 pep chromosome:AWHD00000000:11:18183149:18189557:1 gene:ONIVA11G16970 transcript:ONIVA11G16970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSDPHLSIFSPSEVEFVAEDEIVEIVPNIRMEALNMICGDFGPFFPQIASKVPLWLAVALKKRGKCTIRTPDWMTIERESPKEFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKLKNLSAMEVNIVRPFMRDLRRSLVSSRLGKNWYLLTVNL >ONIVA11G16970.3 pep chromosome:AWHD00000000:11:18183149:18184424:1 gene:ONIVA11G16970 transcript:ONIVA11G16970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVATTASTGRRHR >ONIVA11G16960.1 pep chromosome:AWHD00000000:11:18176515:18177645:-1 gene:ONIVA11G16960 transcript:ONIVA11G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLAQSTAVAKVAASGCLRRSPNPSVTFQRSPSLLLLPAACRRRCRRGCSVSVDVRCALGAMVTPELNGGDVGVGVGGGSFDFQRYLSARADAVNDALDRAMPRGFPERLCESMRYSVLAGGKRVRPVLALAACELVGGDAAAATPVACAVEMIHTMSLIHDDMPCMDDDALRRGRPSNHVAFGEFTALLAGDALHALAFEHVARGCGDHGVPADRTLRAVAELGSASGTGGVAAGQVADKESEGLPVSLAMLEYIHVHKTARLLEAAAVSGAIVGGGADAEVERVRRYARCVGLLFQVVDDVLDMTSTSEQLGKTAGKDVEADKATYPKLLGVDKAREYAADLLAMAEAELDGFDAERAAPLRHLARFIAYRQH >ONIVA11G16950.1 pep chromosome:AWHD00000000:11:18174618:18175256:-1 gene:ONIVA11G16950 transcript:ONIVA11G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGALRGKLHGCALRLRGDSLPVVVSVLAVAALCATALSRVVVFFLPLVASTSLCCAAAYLLVAASEPDQEGAAGAAAAKEVVLVRGDRAEVGVLQVFDGANATVYAAAAAADAMRVGCFLHYRPRGAGGGGGWTKRGVDEDGEEVVFAGRLAAVCGGDGDDVEEELAALRVDRLAEGVWDSYFGGWSRWNYVTDGHYYDEDATIFLDS >ONIVA11G16940.1 pep chromosome:AWHD00000000:11:18165926:18172773:1 gene:ONIVA11G16940 transcript:ONIVA11G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLLVVLFLSLCCASRLRGEAAQQWTSATATFYGGSDASGTMGGSCGYGNMYSAGYGTNTTALSSALYGDGASCGACYLVTCDASATRWCKNGTSVTVTATNYCPPNYSESGDAGGWCNPPRRHFDMSQPAWEAIAVYSAGIVPVRYARTPCRRVGGIRFGIAGHDYYELVLVTNVAGSGAVAAAWVKGSGTEWLSMSRNWGENWQSNAYLTGQALSFRVQADDGGVVTAYDVAPANWQFGSTYQSDWPGSSTQRRPEGARALSEKGSWRTSDATMAAARMLVLLASLCALLLTASAAKWTPAFATFYGGSDASGTMGGACGYGDLYGAGYGTRTAALSTALFNGGASCGACFTIACDTRKTQWCKPGTSITVTATNFCPPNYALSGDAGGWCNPPRRHFDMSQPAWETIAVYRAGIVPVNYRRVPCQRSGGIRFAVNGHSYFELVLVTNVGGSGAVAQMWIKGSGTGWMAMSRNWGANWQSNARLDGQALSFRVQADDGRVVTAADVAPAGWSFGATYTSSAQFY >ONIVA11G16930.1 pep chromosome:AWHD00000000:11:18161860:18165550:1 gene:ONIVA11G16930 transcript:ONIVA11G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGSSWSSLLLAAVAVALAVATAPSLAGDPDYLQDICVADLNSEVKVNGFPCKANATADDFFSGVLASPGAAANTTTGAVVTGANVEKVPGLNTLGVSLARIDYAPGGLNPPHTHPRATEVVFVLYGELDVGFVTTANKLLSRTISQGDVFVFPRGLVHFQRNTGDKPAAVVSAFNSQLPGTQSIAATLFAASPAVPDAVLAKAFQIDDEEVDKIKAKFAPKKT >ONIVA11G16920.1 pep chromosome:AWHD00000000:11:18153804:18156657:1 gene:ONIVA11G16920 transcript:ONIVA11G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLRDPSPVSPSSLRQVSTPLLSSRVRAPPPPISISAVVLLLPPHHIPGEARAAISIWRAEQSSGGEGILDTNAAGPETVGNPDPMDQTEDNSMPSAQEQELAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGAAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >ONIVA11G16920.2 pep chromosome:AWHD00000000:11:18153884:18156657:1 gene:ONIVA11G16920 transcript:ONIVA11G16920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLRDPSPVSPSSLRQVSTPLLSSRVRAPPPPISISAVVLLLPPHHIPVSILSVTLTRILSLSAIMSDMKDTNAAGPETVGNPDPMDQTEDNSMPSAQEQELAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGAAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >ONIVA11G16920.3 pep chromosome:AWHD00000000:11:18153898:18156657:1 gene:ONIVA11G16920 transcript:ONIVA11G16920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMKDTNAAGPETVGNPDPMDQTEDNSMPSAQEQELAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGAAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >ONIVA11G16910.1 pep chromosome:AWHD00000000:11:18148524:18148790:1 gene:ONIVA11G16910 transcript:ONIVA11G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVLDLELPDPVSAAASLLGPGWGAPGAGVATARAAALVATRGRGRDRAGGRDGAARRGREAVVAACPCWWHEGGVGGVCVRRKPA >ONIVA11G16900.1 pep chromosome:AWHD00000000:11:18137232:18139700:-1 gene:ONIVA11G16900 transcript:ONIVA11G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVIRADLIGRSCKKDILHAVSTLQGIKSMDIDEEKCTLTVLGPVDPVKIVHRLKKKCFAAAVVSVEDDKPKEPDPPAPEKKKEDDDDPCQCQCKEAECACVKD >ONIVA11G16890.1 pep chromosome:AWHD00000000:11:18134989:18135900:-1 gene:ONIVA11G16890 transcript:ONIVA11G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVIKADLIGRACTSEILAIVATIKGIKSMDIDAEKCTLTVVGIVDPVRIVRKLRKKCFSACIVSVEDDKPKEEEKKDPCKEAKEKLEKAWKEYCEKCNVKLKPGCPCSCSTPCSFPPIGCHDRGICPPPCPPPCPLPCPPPCPLPCPPPCYYEERYPGGECVIQ >ONIVA11G16880.1 pep chromosome:AWHD00000000:11:18132789:18133958:1 gene:ONIVA11G16880 transcript:ONIVA11G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADELAASASHSSSSSPADEDDEEGTDSDGSNPDHAAAPHAPPEPTAAIPPPPPPPPPQPGPGAEDSRRLFQRLWTDEEELLILRGFLDFTARRGTTFASHQYDTGPFYDEIRRRLSFDFTKSQLVEKLRRLKKKYRLCAARMASSPHAAAAGFAFRTPHEGAIYDLARHIWPPALKRDGTASDDDDINPAAAAAAAAAVTTPVAMEDGFGGSAPTPTPTPRGRGGRRVRRRMAQEQEAAALPSAPALTSTDGAHQEPLVAAMENSFPQITQLPPVSETSPMPVTANGANEEAVRSVLSPLLKEFITSFAVAGQTGPGMGLNMGFGGAGLNADIAGLGFGIAALNPGVPGTDRWRQQQILELEVYLKRIELVREQVTAALDELRSSEG >ONIVA11G16870.1 pep chromosome:AWHD00000000:11:18121767:18122170:-1 gene:ONIVA11G16870 transcript:ONIVA11G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSEGEVMRPESKPCSRLMRQTAGVFSVGGALSFWVLCRLHYGPRITVPRSLRWASCGAISVSSASALLVRLFSPECEPQNIAAYDKLGHKTG >ONIVA11G16860.1 pep chromosome:AWHD00000000:11:18120087:18120482:-1 gene:ONIVA11G16860 transcript:ONIVA11G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLALCCARGRGAYCCPPPGDHPAPPLRADAAAANDDGGGEWCCYEELPVSTPPHVPRGLARGGEDEDEDDDDGLELTMTRGAPGVRDDADDQQLVSPAAAAAGGVGFVAKSWIASVYERLSRTFSVLP >ONIVA11G16850.1 pep chromosome:AWHD00000000:11:18087281:18088626:1 gene:ONIVA11G16850 transcript:ONIVA11G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRCTALLLLASLLLFFLCISATHEAARTASGQPIQEQEQEQHGKVEEETMAASFAAVEEQCGGEEGEEEECLMRRTLVAHTDYIYTQGNHN >ONIVA11G16840.1 pep chromosome:AWHD00000000:11:18081368:18086675:1 gene:ONIVA11G16840 transcript:ONIVA11G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREWLSLGSRHRCRRRRSSEWREGWNRMEGGSARTTHRSPWSHRAMQLSLPIPCTRATAVTESAHESFRRRGQRLGYASLEYVDGEKAKAPLRRKRPRCCSGGEEKGMGYGGREERSRDCGGDGGSGEETRGRREEMERGWTMKRIRMRGKEAKVERG >ONIVA11G16830.1 pep chromosome:AWHD00000000:11:18057601:18070771:-1 gene:ONIVA11G16830 transcript:ONIVA11G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIQGQSKTMRKVHDNNNVRIFTEDEIKRITKNYRTLIGKGGFGEVFSGDLDDDDGQVAVKRYIRGDLREEFMEEVRIHAQMSHKNIVKLIGYCIGENTLMMVTEFILNGSLEDVLCNREISIPLNTHLGIAVGCAEALSYMNLSSDSLVYHGDIKPGNILLDANLTAKVSDFGISKSLSGGLTRYTLHIMGCEDYVDPLYVRDGRLTPKSDVYSFGIVLLELIARKRVKQDGVNLIISFGQACANGKGLRELFDAEIVEECNMNVLEQIAKLAIECLTLDIEERPKINDVAQRLRTLQTHREGQESAARKSSSSRMLNALRKGYKQSTSIFSSTPTANHRRNAISEIKSEMAKQHNFRSFTKENLFEVMGRYKSPLGDKGSGIGRYNKGTLEDNMLVVVKSHLSDEDVFMIFYEASIVSQIVHEGIIKLLGYCFDADFPMLVYEYVDRGSLYDILNSAQDIPLGLRLKIAVKTAEALDHLHSSPFCVRHGDVRSTNILLDKNLMPKISGFTSSRRLTKGNLFFDNVEKYCDLMPKKIIRDDPSYIDPKFLQSDVLTTESDVYGFGIILLELISRKKLLYQDKKHRPVRLIPEFIKAYKTEGSGNAMFDKGITAKKDIAVLENIGRLALRCLSMEIRLTMKDVAEQLGMIRRAWKQHAPQGHGCTGCDRNYIDPYCRHTNCYSKSDVHRFGIALLELITRKQPVGDCPEKYGLVSEFARAYKINKSGKWMFDEGIATEENIPVLEEIGELSLHCINRPKMVSPPVEVAERLKKIRRWCNGQEGENNLIQRQGMTMRTVHDNPNIRIFTEGDIERITNNYSTLIGKGGFGEIFRGVLDDEDDMVAVKRYIRGDLRDEFMEEVRIHAQVTHKNIVKVIGYCIGKKSLMMVTEFISNGNLEYALHNSGISIPLGTRLGIAIGGNLICHGDIKPANILLDGTLTAKVADFGLSKSLFGGITRYTENVKGSIDYMDPIYLSAGRVTQKSDIYSFGVVLLELISQKRVKEKGGINLIAAFNQAYANGKGFRGLLDTEIANECNMKILEGIGKLAVECVAIDANKRPNANDVEKRLLMLWAAQHGKEENIIRRLYRRSPPEIISSSSSNKLGNARIFREGELKKVTENYSSHLATGSSYNIYKGTLEDNTLVAVKKYFDRYEAGKEEFCSRVAMVIMSPVVHKNITKLLGICLEANPPTLVYEYAARNLSDILHCKEDFPLELRLKIASKTSRVLEHLHSSHIALRHGDVMPSNILLDDGFVPKVTAFTLSTRFTEDNATRMSMVKGDGNYMDPYYRHTNLVLLKSDVYSFGVVLLELITRKQPAGDCPEKYGLVSEFARVYKMNKSGKAMFDEGIATEENIPVLEEIGKLALHCINLKLSKRPTMKEVAERLKKI >ONIVA11G16820.1 pep chromosome:AWHD00000000:11:18051120:18053152:-1 gene:ONIVA11G16820 transcript:ONIVA11G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPEDTIMTGDHSQWDDNSNIRSFTKHDIERITGNYSIPIGKGGFGEVFKGFIDDYGRDVVAVKRYIHTDLRKEFMEEVSIHSKINHKNVVKFIGYSTGENTLMLVTEFISNGNLEDALHKSDISISLDTRLGIAIGCAEALSYMHSMHLSVDLDSLVYHGDIKPANILLNDSLTAKVSNFGLSRHLSGGITRCTNTVKSDVYSFGIVLLELITRKRVKAGGNSLIETFSKAFVKGKVVSTEIFDAEITQESNIKILEDIGKLATECVTLDSDKRPTMNNVTKRLLVLWKALRGGDRSISRRFFWRTENELDIGSGQGTSSIRSTSSVLRCFGISKRNTSNSDILPELSIEGRIFTKEEVSRYTKNYSHLIGKGWSSDVYEGKLEDNTLVAVMKCNLVNKAQKKVFSNAAMIQSQIVHKNIIKILGCCLEEEILVLIYEYPSRENLYDILYGVKAVPLVSRLNIAIKIAEAILHNHSCLIQQGSVMRTNIPHGYVMTPNILVDGNFVPKLAGFSVSPRLIEGNKHAMFDYDNMNCYKNYFDPSFPKCGIPTVKNDVYSFGIVLLELISRNRPVCQEGDNLLVSKFLRTYNRDIHGKAMFDERITEEEDIHALDDIGRLALRCTHPKTNRRPTMKEVAGHLEMIRSSWKLKTAMGATTS >ONIVA11G16810.1 pep chromosome:AWHD00000000:11:18044239:18048834:-1 gene:ONIVA11G16810 transcript:ONIVA11G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSHHLHRRPDSNPPRPHLRTRRIWARGARSGRPPHHQCRPTPREAPENASGNRGIPACAAHRRPPRRRRIRGLHHRHPIPAAITHNSTRCNSPRPPLSSVPVVVVVPAASHPGRSGRNGAGLVVTTVATPGDSPDRPSARRRGKPCRCRPCGRAALPAAARVTARRRREVGERGGRVVASRAAPGESDARGYSQFINIFK >ONIVA11G16800.1 pep chromosome:AWHD00000000:11:18042189:18044192:-1 gene:ONIVA11G16800 transcript:ONIVA11G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQEKNIHVSQRDDKAKWIIDNYSNIRSFTEHDIEKITSNYSTLIGKGGFGEVFRGVLDDEDDVVAVKRYIRGDLREEFMEEIRIHAQMSHKNIVKLIGCCIGKNRLMMVTEFISNGNLEDALHNSDIFIPLSTRLGIAMGCAKALSYMHSMHLSSSSLICHGDIKPANILLDANLTAKVSDFGISKSLSGGITRWTSNVKGSIAYMDPIYYREGRVTSKSDVYSFGAVLLELIARKSMKEGGISCEAFRQACAKGKGLRELLDIEIAEECNMNILEEIAKLATKCMIVDNIKKRPQMNDVAEHLRTWIFQVRNGGHEKPAWESTLDKVHDALKKGMQSAGIFSSSIISNPQKHNFGIFRSNDVRIFTKKDISVITNNSSHLLGKSTFCNVYKGILDDNTLVAVKTYSVFSYDEDLRNSISTSITIMSKIAHRYIIKLLGHCSDADHLPILIYEYAAKGSLNDILYSKEDFPLELRLKIAVKTAEALEFLHSSAFCVIRHGNIKPSNILLDSNLMPKVAGFTSSRRIAENNNDQVASMEFTHIHYMDPIHIQSGHFTVKNDVYSFGVVLFELISRKKPVYHCHDKNRRLIPEFIRAYETAKSGKAMFDEGIMAEEDIAVLEEIGRLAMECVSLEIDGRPTMKEVAERLKMIRRMKESSAMGAARC >ONIVA11G16790.1 pep chromosome:AWHD00000000:11:18031626:18039880:1 gene:ONIVA11G16790 transcript:ONIVA11G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLAGLHLAASPTFMKLLTDPSMCLGVDMKRELHELETTIMPQFELMIEAADKLNHRSKLDKWLRELKEALYNAEDLLDEHEYNVLKCKAKRGSDSSVAHVSSINNTLKKPLRAALSRVSNLCPENRKLLRQLNELKGILAKAREFRELLGLPVGNSAEISSLPKTAGVALATSLPPPKVFGRDMDRNRIVDFLIEKSTFEASYMVLAIVGPGGMGKSTLGQYVYNDDRVKQHFDVRMWVCISRKLDVHRHTREIIESAEKGECPRVDNLDTLQCKLREILHEKEKFLLVLDDVWFDKSNNQSEWDLLLNPLLVSQKGGSKVLVTSRSKTLPAALFCEEVIDLENMKENDFLALFKHYAFSGAVIRDLQLHGKLEEIAERICKRLGKSPLAAKVVGSQLKGKIDSTAWKDALAINIDNLREPMEALSWSYQKLHPYLQRCFLYCSLFPKGFKYKIDELVHLWVAEGLVDSGNLNKSMEDTGRDYFNEMVSVSFFQPISEMPFSKRYSMHDLLHELAESLSKEDYFRLEDDSEKVIPCTVRHLSVCIESVKLHKQSICKLHHLRTVICTEPLVDDGSDLFNQVLQNLKKLCVLHLSFYNTSKLPESIDQLKHLRYLNIIRTLISELPRSLCTLYHLQLLQLNNKFESLPEKLCNLSKLRHLEGYNELMYSMHGYNDLMYSMHEEALPQIPNIGKLTSLQHIDAFRVQKKKGYELWQLRDMNELGGSLSITNLENVTGKDEALESKLHEKSHLKTLTLVWSCNNMDAEDSFYLDILEGLVPPPKIEGLTICGYRPATYPSWLLKGSYFENLESLELVNCSSLESLPPNTKLLRHCDKLTLRNVPNLKTLTCLPGGLTCLLIEECPQLMFISNDEVEQHVQRENMMRADHLASHLSLIWDVDLGSDIMNALSDEHSSLKHLSTWMDADIMENLQTIESALERGGDEKENIIQAWVCCHEHRIRLLYKRNIGLPLVPPSGLCRLHLSSCSITDGALSNCLGSLISLKILSLINIMTLTTLPSDGVLQHSRKLEFLFIKYCWCLRSLGGLRAATSLFEARFISCPSLELAHGAESMPSSLQKLSIYSCVITADLFCTDLPNLEQLVLCSCRSSSSMSVGRLTSLKSFSLYHSPDLCVLEDLSSLQLHHVHLIDVPKLTGECISQFRVQYSLYVSSFVMLNHMLSAEGFKVPPLLSLENCKEPSIAFEESAAHFAMVRCLRFRDCEMRSLEGNMKCLSSLNKLDIHFCPNISSLPDLPSSLQHLSIWGCELLKENCRAPDGESWPKIAHIRWKDYR >ONIVA11G16790.2 pep chromosome:AWHD00000000:11:18034230:18039880:1 gene:ONIVA11G16790 transcript:ONIVA11G16790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLAGLHLAASPTFMKLLTDPSMCLGVDMKRELHELETTIMPQFELMIEAADKLNHRSKLDKWLRELKEALYNAEDLLDEHEYNVLKCKAKRGSDSSVAHVSSINNTLKKPLRAALSRVSNLCPENRKLLRQLNELKGILAKAREFRELLGLPVGNSAEISSLPKTAGVALATSLPPPKVFGRDMDRNRIVDFLIEKSTFEASYMVLAIVGPGGMGKSTLGQYVYNDDRVKQHFDVRMWVCISRKLDVHRHTREIIESAEKGECPRVDNLDTLQCKLREILHEKEKFLLVLDDVWFDKSNNQSEWDLLLNPLLVSQKGGSKVLVTSRSKTLPAALFCEEVIDLENMKENDFLALFKHYAFSGAVIRDLQLHGKLEEIAERICKRLGKSPLAAKVVGSQLKGKIDSTAWKDALAINIDNLREPMEALSWSYQKLHPYLQRCFLYCSLFPKGFKYKIDELVHLWVAEGLVDSGNLNKSMEDTGRDYFNEMVSVSFFQPISEMPFSKRYSMHDLLHELAESLSKEDYFRLEDDSEKVIPCTVRHLSVCIESVKLHKQSICKLHHLRTVICTEPLVDDGSDLFNQVLQNLKKLCVLHLSFYNTSKLPESIDQLKHLRYLNIIRTLISELPRSLCTLYHLQLLQLNNKFESLPEKLCNLSKLRHLEGYNELMYSMHGYNDLMYSMHEEALPQIPNIGKLTSLQHIDAFRVQKKKGYELWQLRDMNELGGSLSITNLENVTGKDEALESKLHEKSHLKTLTLVWSCNNMDAEDSFYLDILEGLVPPPKIEGLTICGYRPATYPSWLLKGSYFENLESLELVNCSSLESLPPNTKLLRHCDKLTLRNVPNLKTLTCLPGGLTCLLIEECPQLMFISNDEVEQHVQRENMMRADHLASHLSLIWDVDLGSDIMNALSDEHSSLKHLSTWMDADIMENLQTIESALERGGDEKENIIQAWVCCHEHRIRLLYKRNIGLPLVPPSGLCRLHLSSCSITDGALSNCLGSLISLKILSLINIMTLTTLPSDGVLQHSRKLEFLFIKYCWCLRSLGGLRAATSLFEARFISCPSLELAHGAESMPSSLQKLSIYSCVITADLFCTDLPNLEQLVLCSCRSSSSMSVGRLTSLKSFSLYHSPDLCVLEDLSSLQLHHVHLIDVPKLTGECISQFRVQYSLYVSSFVMLNHMLSAEGFKVPPLLSLENCKEPSIAFEESAAHFAMVRCLRFRDCEMRSLEGNMKRTAEHLTEKAGQRLRISAGRITDELHTKNCPPQVASSPHFAACYKVPQADMAVQLSPVACAVCSAWFPAFTNCRISCTPAFDRPITSSHPVSLNEGLNGVLFGSSV >ONIVA11G16790.3 pep chromosome:AWHD00000000:11:18034230:18039880:1 gene:ONIVA11G16790 transcript:ONIVA11G16790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLAGLHLAASPTFMKLLTDPSMCLGVDMKRELHELETTIMPQFELMIEAADKLNHRSKLDKWLRELKEALYNAEDLLDEHEYNVLKCKAKRGSDSSVAHVSSINNTLKKPLRAALSRVSNLCPENRKLLRQLNELKGILAKAREFRELLGLPVGNSAEISSLPKTAGVALATSLPPPKVFGRDMDRNRIVDFLIEKSTFEASYMVLAIVGPGGMGKSTLGQYVYNDDRVKQHFDVRMWVCISRKLDVHRHTREIIESAEKGECPRVDNLDTLQCKLREILHEKEKFLLVLDDVWFDKSNNQSEWDLLLNPLLVSQKGGSKVLVTSRSKTLPAALFCEEVIDLENMKENDFLALFKHYAFSGAVIRDLQLHGKLEEIAERICKRLGKSPLAAKVVGSQLKGKIDSTAWKDALAINIDNLREPMEALSWSYQKLHPYLQRCFLYCSLFPKGFKYKIDELVHLWVAEGLVDSGNLNKSMEDTGRDYFNEMVSVSFFQPISEMPFSKRYSMHDLLHELAESLSKEDYFRLEDDSEKVIPCTVRHLSVCIESVKLHKQSICKLHHLRTVICTEPLVDDGSDLFNQVLQNLKKLCVLHLSFYNTSKLPESIDQLKHLRYLNIIRTLISELPRSLCTLYHLQLLQLNNKFESLPEKLCNLSKLRHLEGYNELMYSMHGYNDLMYSMHEEALPQIPNIGKLTSLQHIDAFRVQKKKGYELWQLRDMNELGGSLSITNLENVTGKDEALESKLHEKSHLKTLTLVWSCNNMDAEDSFYLDILEGLVPPPKIEGLTICGYRPATYPSWLLKGSYFENLESLELVNCSSLESLPPNTKLLRHCDKLTLRNVPNLKTLTCLPGGLTCLLIEECPQLMFISNDEVEQHVQRENMMRADHLASHLSLIWDVDLGSDIMNALSDEHSSLKHLSTWMDADIMENLQTIESALERGGDEKENIIQAWVCCHEHRIRLLYKRNIDVPKLTGECISQFRVQYSLYVSSFVMLNHMLSAEGFKVPPLLSLENCKEPSIAFEESAAHFAMVRHTKNCPPQVASSPHFAACYKVPQADMAVQLSPVACAVCSAWFPAFTNCRISCTPAFDRPITSSHPVSLNEGLNGVLFGSSV >ONIVA11G16790.4 pep chromosome:AWHD00000000:11:18031626:18041899:1 gene:ONIVA11G16790 transcript:ONIVA11G16790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSVGLVVQPVVAQHSTHRQLPASAIVLPSFLRGSAARFSPVSALGPLPPGSRCATRRAARISVVRERGETTEKALQWRRVFMLHLRIATGGCRLAGARGYQIRPWVARMNVCTWFVDFASCCCEFLSASQSIYGDNVSARLQPNYKYTGN >ONIVA11G16780.1 pep chromosome:AWHD00000000:11:18030864:18031497:1 gene:ONIVA11G16780 transcript:ONIVA11G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPCARRRRRSGRRMASRRRGEITLDAAPTSPTPCRRRPRRPPETTTMTPFF >ONIVA11G16760.1 pep chromosome:AWHD00000000:11:18004436:18015786:-1 gene:ONIVA11G16760 transcript:ONIVA11G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFYILFRLCVLCCWHKLGFLENICAARDLKDMNNDIRNFTEDEIETITGCYSTLIGKGGFGEVYQGALDNHDLVAVKRYIRGDLIQEFIEEVRIHSQIDHKNIVKLIGYCRGENSLLMYISNGNLEDILHNRKVAMLLDTRLGIAIGCAQALSYMHSMHLSTDGLVCHGDIKPANILLDGNLTVKVSDFGLRLLSGGTTQHTMNVKGRCLTPRNDVYGFGIVLLELITRKKVKEDNINLIDTFSKAFAKGRVSLKEIFDAQIVSESNLNALEEIGKLATDCLTLDTHRHPKMQDVEKQLIIIWKGLRGQELGWLKKLGLGMISLVINSQIYNNVRIFTKRELIEIAQNYSYILHHGQHATVYKGSLEDNTLVAVKKYHNSTTNEWPKFTAAVSVANEAKIISHIPHKNIIRLLGLWVGFNIPILVYEYASKGTLADILYCCYPTEGNPSDAVYSGRPFPLALRLKIAAKTAEALAYMHSSATGVVVHGCLTPYSILLDDKFMPMVSGFSMSRNLTLTNGSDIESTLPKEIQQYSDPQWTRFPTVDGDVYSFGVLLMDLIIRKPIILHDINFVSEFKGHYMRGNTPWALFDVEITTQDDIAILSSVPPPFLRLATARAAADIAGKGGFGEVYRGALDNDDLVAVKRYIRGDLIQEFMEEVRIHSQIDHKNILKLIGYCRGENILLMVTEYLSNGNLEDVLHNRKVAMPLDTRLGTVLHAFDASTTGSLVCHGDIKPANILLDGNFTAKISDFGMSRLLSGKGSNINLVGSFGEDFVKGKVLLKDLFDDELLNEVQTAQALVYIQSPSTHVGHHRRVMTSNILIDDNFIPKVTGHTSILQWINISKDYSKPSARNDYSHYKDPESAKNDVYNFGVVLMELISRKRPVYGKSGRLIIDFKRAYMTDGSGKEMFDNEFSAEDDIAVLEQIGKLAVLCTWNKRGPPPSQNIRDFWYVYRQFQIYPRNLLYVEAEAVDERPTMEQVARYLEMLRRYWKKRMAKNLIVMNSDTRNFNEDEIKTTTSGYIPLSSDKVTSEKSTEVRKCIQGNHIQTRAMFEILSEDGVGVLEEVGRLAVKCTSELVEERPTMKQAAEYLEILLRCFEEASRCHNLH >ONIVA11G16750.1 pep chromosome:AWHD00000000:11:17991538:17993395:1 gene:ONIVA11G16750 transcript:ONIVA11G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRPVDGKDLFVAGGCVRSELGVRGSGSCEFKGAGFGCSCDGSTARIPGVCGNACKSTLA >ONIVA11G16750.2 pep chromosome:AWHD00000000:11:17991538:17993395:1 gene:ONIVA11G16750 transcript:ONIVA11G16750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRPVDGKDLFVAGGCVRSELGVRGSGSCEFKGAGFGCSCDGSTARIPDPSIPLVSWNELDIEDITLKYQFKTTNSSHESLYERICC >ONIVA11G16750.3 pep chromosome:AWHD00000000:11:17991538:17993024:1 gene:ONIVA11G16750 transcript:ONIVA11G16750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRPVDGKDLFVAGGCVRSELGVRGSGSCEFKGAGFGCSCDGSTARIPGVCGNACKSTLA >ONIVA11G16750.4 pep chromosome:AWHD00000000:11:17991538:17993024:1 gene:ONIVA11G16750 transcript:ONIVA11G16750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRPVDGKDLFVAGGCVRSELGVRGSGSCEFKGAGFGCSCDGSTARIPGKEYMNTCVVKVSLPLQIM >ONIVA11G16740.1 pep chromosome:AWHD00000000:11:17987068:17994313:-1 gene:ONIVA11G16740 transcript:ONIVA11G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQAPTSSSSSSSSYILLFFSSLYLIVSMAAGAPGLNCQHECGGVLIPYPFGIGDNCSWPWLGSNNEFQITCNNSFVGPPKPYLFGKHEIISIAVEAGEMRIYEPVSYICFESINQSSLEYSWEFDSSSSPLLISSTKNKLIHYWRLESSRVPKTHGNNVPGRQHPALPQTPGMHTISHYLKRKRNLHDTRIHVFLTGYSCGAAITGAAKSGTLKLAGAGSADPELRSNTSACHEEILAIHRPAHHRPHPHHYLHDMRIRRSSQLKKRMSGEPSDFTLTVGASSADGRDACSSSAAAFVGATEGTSLLEMGFSWSAAGSRRRREVTVNGRRHPQLLCLSSQILPAIATTTGGAPGGIHGFCGCRGDSSPPAPTLDPPLVTTYGVVIGLGCYTDDMLDGRQDETYYTTCASYCPSATQLQVGDGRQCTGLGCCETPYITTDLSYIYLYFFESKKEKYNPAWRYSPCSYAFVADISCSTLIITLSPNVSAHSDCLNATNGIGYYCKCSKGYDGNPYISDGCQTTICAISILAILLIFLHTKHEKRKLRYAFDKNGGAILKSAKIKIFPKKEIDKITNNYHEKIGEGGFGKVYKGTTDDGQIVAVKCPKNNTEPNRKPETVDKERPTDFTNEVTVQFQISHKNVVRLLGCCLETDDPLLVYEYIPGGNLEDALHGESNDGNVTKDPLLLKNRLKIAIESAEALAYMHSSANQKILHGDVKSSNILLDDNSMPKISDFGISRLQSIEKNHTSLVIGDRRYIDPVYFQTGLLTEKSDVYSFGIVLLELITRKKPAYDVHKSLPSDFVKSYMTENKAREMFDKEITSCPEAINCLEMISGIAVQCLKQDVDDRPTMMEVSERLHSAREVMQGSRQNPE >ONIVA11G16730.1 pep chromosome:AWHD00000000:11:17980114:17985223:-1 gene:ONIVA11G16730 transcript:ONIVA11G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G59540) TAIR;Acc:AT1G59540] MEKISVAVRFRPPTTAAPAADQSPSSTGGDREWRVDDDTRITLLHRSAPVPGASFAFDHVFDGAATNERIYGVLVRSLIRAAVDGFNGTAFAYGQTSSGKTFTMNGSGDHPGIIPLAVRDVFDTAREVSDREFLIRVSYMEIYNEEINDLLTLGSEKLPIHESLERGVYVSGLREEIVNSAEQVFKLLELGEERIAKTGAGGVRLKEGKHINKSLMILGNVINKLSENGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAAPEEIHVEETRGTLQFASRAKCVSNCAQVNEILTDAALLKRQKQEIEELRKKLQGSHSEVLEQVILKQRNDMHKSELERDRLAMELDEERRLRETLEHRLAEQQKMLDGISNTSISPDQFTDSIQFESLKTPTSKERPAEFVASRANYSKDVEFSPIPENLGTVADEDLWMQLNKGCVTDLEMLEMTPGFKCATSLADDKASVATPDEEPIDARCQRLEKDCTADRQQLEDSKAWRAALEEERDTLKRENSSLLDALAKARQDADRLVADRLEALRELDMEKSRMDELKQEIKLFSQAFSLRQGQLTSLYTKSKAIVENCKTSQLALP >ONIVA11G16720.1 pep chromosome:AWHD00000000:11:17975608:17976645:-1 gene:ONIVA11G16720 transcript:ONIVA11G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSASPSGRRLSELLEEKQEPFYLDLHLLEKGCSPRLLDGYDTAAAAAVMCWPAAAAAGGGNDAAASVLRRLTTTTTKKKKEAAARGAGKKTTKKPAAAAAAATGLLRVLLSKILHVKAASNRKPAALQSSESFSFKKVAAAAAAPSPCSTKHHPLDAAAAADEKEEEIEYTDSESDDEKQFSPVSVLDHPFDFESSPIHKRSPSRVAQPQGSPKNAMAFVRDLLEAAYSPALLTHLLSKTDDLINATADAAAAAAASDDDDDDCCYHHESDGGELAPAAAYWEAHRAELTRVSAMVASEVPSSSRIGAADVRPERDGVGADLEAAVLDQLLHELAVELAGGR >ONIVA11G16710.1 pep chromosome:AWHD00000000:11:17972714:17972923:1 gene:ONIVA11G16710 transcript:ONIVA11G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNDDAELTVAEAKEEEEATHNGGGWLRAVVLGTSDGLISTVSLMLGIARRTPPTSVSSSCPG >ONIVA11G16700.1 pep chromosome:AWHD00000000:11:17968006:17968263:1 gene:ONIVA11G16700 transcript:ONIVA11G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGVYALYILLPYLEICILRSTAEFLLVCLEQRGPWGTRSTMGGSHIMVNYYTLLGHCFTFLGNDDDDDRWCCDDYEQHGEGRR >ONIVA11G16690.1 pep chromosome:AWHD00000000:11:17945869:17951040:1 gene:ONIVA11G16690 transcript:ONIVA11G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSPPLTGRRRRRRGEKVRRWTRPKKGSVSRSPHYPCPGGEEEPPPPLAPGTDVEVRLDGDGYHGTWYRAVVVSFVPARGPRAPARYAASYADLVSDDGGGGGGEPLVEHFAPSHIRPRPPPPPSSDDLRALAPHDIVEAFHKDGWWSGIVLGGGGGVVTVAFPITREVIDFPPRLVRPRRDYVGGEWVPSEAAIAHQPKQAAAVRIYRVGDKVEVRRDREVYGRSWFHAKVTKVIDKMSYLVEYSDLEKETVEYLHWQFIRPSEVRSPQDCDLRFGPGAAVEAYCDGAWSPGVVRRIVGEGEYELSVNGKNNEIVVTKARELLQPQYKWNGKNWRIVSAKRRLIHQFVSGKNRRSPADEHSSDDEQKQDTESSAWTMSRKRSKKEFKATELPEVNLPEDSNAVSREGDSGSNTKYQQDDASNLTTVLQSAVATMKGFEESDSQHNSWDATSTVQPKRRKQAARRLKRYSLERQLEGETHIQQQLDKTLEDNLNANQVTYQELLPLTPPGFESIASGKRSRDWNTDGLSEINLHSSLFDDELAATISSICQDNHNGDAETDNMVTQVAEISHLMDKPMLPFDLSVGYEVGGKEGNGSTHLPIGNSGSFPCTSDNTILRSCSLAGNSMASDMSKCQLPGQQALFTKTKDTWSAFEMMEVFRKDPQEPHFLPLQQFPEAVRENMAIGLFWSYIDAGDAISKLCITDSTKIFEKHNTTLNYLVENGFNVQSLQCKLNKALQFKLDRTCSLAYREKLKEQVLEKQSSLSRIGASRDENDSAMAKLEMELGRRRWDGQMMSKKMEDEEAELSRLKAEDSNAQEACRDAEKQFRSVLVEEECINN >ONIVA11G16680.1 pep chromosome:AWHD00000000:11:17938905:17944245:-1 gene:ONIVA11G16680 transcript:ONIVA11G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSPPLTGRRRGRGRVRRWTRPPKGSQCSSSPRSPRPGGGGVEGEQPPLAPGTEVEVRVDADGFHGSWFEAVVVGFVPARGPRTPARYAASYAHLVSDDGGVLVEHFAPSHIRPRPPPPPPHSDDHLRALSPHDIVEAFHKDGWWSGIVLGGGGGGGVVTVAFPITREVIDFPRSLVRPRRDYVGGEWVPSEAAIALQPKQAVRVYQVGDKVEVRRDREVYGHSWFHAKVAKVIDRMSYLVEYSDLEKGEGEAVAKAVEYLHWRFIRPSEERSPRDCDFRIGPGAAVEAYCDGAWSPGVVRSVVGEGEYEVSVNGKTKEVVVTKVRELLQPQYKWNGKNWRIVSAKRHLRQQSMSGKSPSSPVDVFSSDDEHRHDTESSARRRSIKRSRKEFNATQQPEGMLPEDSEDVSHSEMNTPLSELCKSSGSNHSPKSCSDPSGMKKIQVLSKKIVSNCLVPVKGILDASTGHRIPQNESREDGIGKTMVNQEIISDMMLTNGQANTSACGTSMNEGYAMLSTKKFGKQKMALSRRYNPVRKARGGLLSVQQLHTKKIMPSELKRGKMRLIHALQDMNDPSDNIQLKGNSTSPSREIICALSVSSQCNTPSPLGKQIKAFDFVSREADSGSNTKFLILKKFARKKGSKESDSPHNSLDATSTVQPIRRKKAAGRLKGSSVERQLEGETHIQQQLNKALEDNLNANEVTNQELLPLTPPGFESVVNGKRSRDWNTDGFSEVNLNSSLFDEELTATINSICQDNHNRDAESDNVATEVAEISHLMEKSMLPLDCSVGHEVGGKVGQGSIQLHIGNSGSLPCTSDNAILRRCSFGGNSMVSDISKCQLTGQQAPFTKRSHVWSLFEEMDVFRKMPQQPHFLPLKHHPLGLREGMALGLMWSYTDAVDNISKLCITDSMEIFEDHIKTLTILEENGFSVQPLQQILTKLLQIRSDYTNSLRDGEKLKEQIADKAFAVSRVDALLDENDSAIAKLEQELGKLRWKGQKMSKKKEDEDAELSRLKAENNNAEEAHGDAKRQFDSIRAELRQHLAIDSQ >ONIVA11G16680.2 pep chromosome:AWHD00000000:11:17938905:17944245:-1 gene:ONIVA11G16680 transcript:ONIVA11G16680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSPPLTGRRRGRGRVRRWTRPPKGSQCSSSPRSPRPGGGGVEGEQPPLAPGTEVEVRVDADGFHGSWFEAVVVGFVPARGPRTPARYAASYAHLVSDDGGVLVEHFAPSHIRPRPPPPPPHSDDHLRALSPHDIVEAFHKDGWWSGIVLGGGGGGGVVTVAFPITREVIDFPRSLVRPRRDYVGGEWVPSEAAIALQPKQAVRVYQVGDKVEVRRDREVYGHSWFHAKVAKVIDRMSYLVEYSDLEKGEGEAVAKAVEYLHWRFIRPSEERSPRDCDFRIGPGAAVEAYCDGAWSPGVVRSVVGEGEYEVSVNGKTKEVVVTKVRELLQPQYKWNGKNWRIVSAKRHLRQQSMSGKSPSSPVDVFSSDDEHRHDTESSARRRSIKRSRKEFNATQQPEGMLPEDSEDVSREADSVLFYQQDDASNLTTDVQSAVARKKGSKESDSPHNSLDATSTVQPIRRKKAAGRLKGSSVERQLEGETHIQQQLNKALEDNLNANEVTNQELLPLTPPGFESVVNGKRSRDWNTDGFSEVNLNSSLFDEELTATINSICQDNHNRDAESDNVATEVAEISHLMEKSMLPLDCSVGHEVGGKVGQGSIQLHIGNSGSLPCTSDNAILRRCSFGGNSMVSDISKCQLTGQQAPFTKRSHVWSLFEEMDVFRKMPQQPHFLPLKHHPLGLREGMALGLMWSYTDAVDNISKLCITDSMEIFEDHIKTLTILEENGFSVQPLQQILTKLLQIRSDYTNSLRDGEKLKEQIADKAFAVSRVDALLDENDSAIAKLEQELGKLRWKGQKMSKKKEDEDAELSRLKAENNNAEEAHGDAKRQFDSIRAELRQHLAIDSQ >ONIVA11G16670.1 pep chromosome:AWHD00000000:11:17931388:17934804:-1 gene:ONIVA11G16670 transcript:ONIVA11G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSGNGRPPATAAAWNFESNGALLGLTALSVRGVLGRVKAGMAAGGGGGGGGGGGRAVIPMGHGDPSVFPCFRTTADAVDAVAAALRSGEHNSYSSCVGLEPARRSIARYLSRDLPYELSADDVYLTSGCAQAIEIICSVLARPGANILCPRPGYLFHEARAVFNGMEVRYFDLLPESGWEVDLDGVQELADKNTVAMVIINPGNPCGNVYTSEHLAKANEVYAHLTFGQNKFVPMGVFGSVAPVLTLGSISKRWVVPGWRLGWIVTSDPNGVFQRTKVVESIQSYLDISADPATFIQGAIPQLIENTKEEFFEKTVDVLRQTADICWEKLKGISCITCPSKPEGSMFVMVKLDLSCLQGIKDDMDFCCQLAKEELVILLPGCAVGYKNWLRITFAIEPSSLEDGIDRLKSFCSRHSKPKVHLSLET >ONIVA11G16660.1 pep chromosome:AWHD00000000:11:17927641:17930917:1 gene:ONIVA11G16660 transcript:ONIVA11G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIQEACKKNTMADVVSSKVEEEEEGKSKSKMGKGIPTISIFFIISWIHKLFLFWRRRRRADVELLLPQWNSRKPYTTCSWASLASGLFALLDFSSEYFIICAHQFCLCCDEGLGLSSGGSMQGVLARVRGPFTPTQWMELEHQALIYKHIAANVSVPSSLLLPIRRSLHPWGWGSFPPGCADVEPRRCRRTDGKKWRCSRDAVGDQKYCERHINRGRHRSRKHVEGRKATLTIAEPSMVIAAGVSSRGHTVARQKQVKGSAATISDPFSRQSNRKFLEKQNVVDQLSPMDSFDFSSTQSSPNYDNVALSPLKLHHDHDESYIGHGAGSSSEKGSMMHESRLTVSKETLDDGPLGEVFKRKNCQSASTEILTEKWTENPNLHCPSGILQMATKFNSISSGNTVNSGGTAVENLITDNGYLTARMMNPHIVPTLL >ONIVA11G16660.2 pep chromosome:AWHD00000000:11:17927641:17929126:1 gene:ONIVA11G16660 transcript:ONIVA11G16660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIQEACKKNTMADVVSSKVEEEEEGKSKSKMGKGIPTISIFFIISWIHKLFLFWRRRRRADVELLLPQWNSRVGLELRRKHAGGLGKGQGAVHPNTVDGAGAPGTDLQAHCCKCFCPFQLAPPHQEKPPSMGYYLFYSAHLCL >ONIVA11G16660.3 pep chromosome:AWHD00000000:11:17927428:17930917:1 gene:ONIVA11G16660 transcript:ONIVA11G16660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCGGHGHGNPRSLQEEHHGRCGEQQGGGGGGGQEQEQDGFLVREARASPPSPSSSSFLGSTSSSCSGGGGGGQMLSFSSPNGTAGLGLSSGGSMQGVLARVRGPFTPTQWMELEHQALIYKHIAANVSVPSSLLLPIRRSLHPWGWGSFPPGCADVEPRRCRRTDGKKWRCSRDAVGDQKYCERHINRGRHRSRKHVEGRKATLTIAEPSMVIAAGVSSRGHTVARQKQVKGSAATISDPFSRQSNRKFLEKQNVVDQLSPMDSFDFSSTQSSPNYDNVALSPLKLHHDHDESYIGHGAGSSSEKGSMMHESRLTVSKETLDDGPLGEVFKRKNCQSASTEILTEKWTENPNLHCPSGILQMATKFNSISSGNTVNSGGTAVENLITDNGYLTARMMNPHIVPTLL >ONIVA11G16660.4 pep chromosome:AWHD00000000:11:17927428:17930917:1 gene:ONIVA11G16660 transcript:ONIVA11G16660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAFYFAPGWGSFPPGCADVEPRRCRRTDGKKWRCSRDAVGDQKYCERHINRGRHRSRKHVEGRKATLTIAEPSMVIAAGVSSRGHTVARQKQVKGSAATISDPFSRQSNRKFLEKQNVVDQLSPMDSFDFSSTQSSPNYDNVALSPLKLHHDHDESYIGHGAGSSSEKGSMMHESRLTVSKETLDDGPLGEVFKRKNCQSASTEILTEKWTENPNLHCPSGILQMATKFNSISSGNTVNSGGTAVENLITDNGYLTARMMNPHIVPTLL >ONIVA11G16650.1 pep chromosome:AWHD00000000:11:17924264:17925196:-1 gene:ONIVA11G16650 transcript:ONIVA11G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0J363] MAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNISMGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAFEESQKYKEGKFIFEKAKIVKENW >ONIVA11G16640.1 pep chromosome:AWHD00000000:11:17919448:17923867:1 gene:ONIVA11G16640 transcript:ONIVA11G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVRELAYDVEDCIDEFMHRVDVVHGAVTSNHGFSSLRGLVSHATRLVAVAWMHHRLAGELKGLKARAIEVLSIIGFGGLGKTTLAMEVYRRVGGQYSCKAFTTISQKLDMKKLLKDLLSQIAQNEVDHMGTWEEGQLIRKLRECLLNKRYFIIIDDVWSKSAWEKVRCALPENNHCSRLLTTTRIDSVAKSCCSHPDDLIYRIEPLKASDSRNLFFKRIFGYEDVCPPQLKEVSDQILKKCCGSPLAIISIASLLASKPVMLKEQWEKVLISIGSALEKNSDLEGMKQILSLSYYDLPYYLKTCLLYLSLYPEDFKIERDSLIQQWIAEGFIGEERGQSVEDVAESYFNELINRSMVQPMDINCDGKAHACRVHDMMLELIISKAIEENFVTLLGGHPVAAKPQGITRRLSIQCDKEITKTKGGMNLLHARSLSLYVQACQLPPLSDFRVLRVLNLEGCLGLCDNHLKDISILFHLKYLSLCRTWISKLPPEIGDLHSLETLDIRDTNIEELPGTIIRIVQLKYILSGGHTWGKIKLPDGIGSMASLRVISGFNICCSSTNAVQELGTLKGLRELTINWTDFSSGDMKRQEAMMNTLGKLGTSNLQSFAICSRNFGSLEFLDSWSPPPNHLQRFRLSAYYFLPRVPRWMASLCNLIHLNINIEKLSNEDIQILQDLLSLLHLDLWLKSPQKEDKIVIHGVGFPYLQELIFSCEGTSLIFEPAALPKLERLQLAVHVKEAKSYGYQFGIEHLRLLKKIYIQLLCAGASALDIEDAEDAIHTIVKFHPGHPRIDIQKCGMDMHLEERNKRQHPEETNVQNMNASKEDMNHANKKRKEYQSSSAQ >ONIVA11G16630.1 pep chromosome:AWHD00000000:11:17919250:17919435:1 gene:ONIVA11G16630 transcript:ONIVA11G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASVIGGTMVSLMLKLSSIAGPRYSLMAGARSDVIFLGAELESMHAFLEKLSGVDGPDP >ONIVA11G16620.1 pep chromosome:AWHD00000000:11:17900360:17901020:1 gene:ONIVA11G16620 transcript:ONIVA11G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISHLMIFNLVLLVLSSDMVMAARMTNNGRLDAPVCKKISFEVHCDDIKPCVQLCATQDPLYPIPSKVASIVCHNSSECECMYCPRAIRN >ONIVA11G16610.1 pep chromosome:AWHD00000000:11:17897349:17899057:1 gene:ONIVA11G16610 transcript:ONIVA11G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGQTAMWQRRHGGGDTGEGEGGGYVVPMPPPAPGSARTPHGRLRLARAVPVEHAMEVASLAAPEEAMALHGGVHGHGHRQRRAWAQAWALRSTSMERVMVDVLLLLVLSSSDLAMATNDGNLAAADCKMILFPAYCDDIKTCIPLCTNNSPLKPVPSQLSTVLCLDLGCQCTFCPKTARN >ONIVA11G16600.1 pep chromosome:AWHD00000000:11:17884296:17887206:1 gene:ONIVA11G16600 transcript:ONIVA11G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAVIRLALPAIPAAAATSSSSGCSVRTRARGRVVRMRVRCRAVGSEGGEGEGEGEGEEAPESLFAKELMRRGMASGAAAAGAGEKEVGAEEGGRKRVAAAEFERAAAGADGQRARSMALNSEGLEGLVPRAKLLLSLGSTFFLGFAPLILVTVSLFAVLYVYFGPSFVHDASKTPVSPPPYIDPYELLEDERLSRPSPDVF >ONIVA11G16590.1 pep chromosome:AWHD00000000:11:17876884:17883853:1 gene:ONIVA11G16590 transcript:ONIVA11G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein with DHHC zinc finger domain [Source:Projected from Arabidopsis thaliana (AT2G14255) TAIR;Acc:AT2G14255] MAEIEVVGDGGGGGGGGGGGVKQGVVEVREARANGGEGDPVVDVYSAAAYGDLERLRGFVERDGGASLAAPDGNGYHALQWATLNNYPHVALYIIEHGGDVNAGDNAQQTALHWAAVRGAIAAADVLLENGARVEAADVNGYRAVHVAAQYGQTAFLHHIISKYGADFECLDNDGRSPLHWAAYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAAIRGNYEVCTVLVHAGTKEELTLKDSGGFTPVELANDKGHRHLSYILSNATKVTFEDKYCSGRSRKIGYAPILITAAVGIWSWGAISLAFASLVMFYRVSRKNPGYIQANTKRLDPKEPLMEIDLNSSAWTGNWSQLCPTCKIIRPMRSKHCPTCKHCVEQFDHHCPWISNCVGRRNKWDFFVFLCMGTTTAFLGAAIGFHRLWTEPIILSSSESWINFMLSNHPGAVLFMFMDVFLLTGALILTGAQATQIARNLTTNEAANQSRYAYLRGPDGRFRNPYSRGCRRNCADFLVNGYSNDEEAAWPTLQQTVQRS >ONIVA11G16590.2 pep chromosome:AWHD00000000:11:17876887:17883853:1 gene:ONIVA11G16590 transcript:ONIVA11G16590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein with DHHC zinc finger domain [Source:Projected from Arabidopsis thaliana (AT2G14255) TAIR;Acc:AT2G14255] MAEIEVVGDGGGGGGGGGGGVKQGVVEVREARANGGEGDPVVDVYSAAAYGDLERLRGFVERDGGASLAAPDGNGYHALQWATLNNYPHVALYIIEHGGDVNAGDNAQQTALHWAAVRGAIAAADVLLENGARVEAADVNGYRAVHVAAQYGQTAFLHHIISKYGADFECLDNDGRSPLHWAAYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAAIRGNYEVCTVLVHAGTKEELTLKDSGGFTPVELANDKAPNFSRITAAVGIWSWGAISLAFASLVMFYRVSRKNPGYIQANTKRLDPKEPLMEIDLNSSAWTGNWSQLCPTCKIIRPMRSKHCPTCKHCVEQFDHHCPWISNCVGRRNKWDFFVFLCMGTTTAFLGAAIGFHRLWTEPIILSSSESWINFMLSNHPGAVLFMFMDVFLLTGALILTGAQATQIARNLTTNEAANQSRYAYLRGPDGRFRNPYSRGCRRNCADFLVNGYSNDEEAAWPTLQQTVQRS >ONIVA11G16580.1 pep chromosome:AWHD00000000:11:17870805:17873895:-1 gene:ONIVA11G16580 transcript:ONIVA11G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASIPASSQPFKLILGSSSVARKHILTEMGLEFEVMTADIDEKSIRRENPDELVTVLAEAKADAIMSRLNISDYQKEGDRPTLLITSDIVVVHEGIIREKPTTKEEARQFLKGYSGSHVSTVGSVVVTNLTIGKRLESLDKAEVYFHDIPDEIIENLVGSSDSVMGISKDLANKLIQDALSA >ONIVA11G16570.1 pep chromosome:AWHD00000000:11:17835679:17859887:-1 gene:ONIVA11G16570 transcript:ONIVA11G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVKGAMVSLLPKWDDLLTEEYKLQTNLKNDIRFLKDELECMEAALLKVSEAPIDQPPDNQVKLWARDVKDLSYDIEDSIDEFMVCVNTHVPNDLYSFRGFCYAIGNFITKAKHQHKIGTDIKQIKSQIKEVRERHERNKVDGVAAKPMGPTVDSLRLSALCKRTEELVNIAKKRDELVRWLMEGDDMSKKQLRIVSIVGFGGLGKTTLAKAVYDKLKMEFNCFKFAFVPVSQYPNMVKIFKDMLDQLDKDKHSDISQHATWDEARLIIKLGEFLRDKRYLVVIDDIWDTSAWERIKNSLSDNENGSRIIMTTRICDVAEQADCVYQIAPLSVDDSRKLFYQTLFGAEDKCPNQLAEVSENILKKCGGVPLAIITIASMLASKLGKEDEHMYWSKVCSSMGSGLEDNPHINDMRRILSISYYDLPSHLKTCLLYICLYPEDYWIVREHLIRLWVCEGFVHNEQGKSMYQVGEDYFNELMNKSLIQPWQFTPNGKVHNFVVHDMILDFITSISNEEYFVTMIRGQRPLPARCTVRRLSLQTSYKVSLEQLETMNLSHTRSLIVLREFKLLPAPLSKTFPVLRVLCLPYCEQVKNEHVKDICNLLHLRSLELWGTSITELPREIKNLRFLQVLYIAETGIKELPSTFVQLEQLECLIFYPKMRLPDGFGNLKSLQELRGDIIVDSPTMLDDLGRLTELRRLSIDFKGWWDKRYEAAFLQCLAKLTNLWDLQITSSLSLGPQQRQIIDISDSNIYHSVPNWQASLSSVSTLTIQLKTLREGDLQVLGSLPSLGYLNIAVEEPTLERDERLTIGNAYPFMGLTCFSLYDDAMEVAFAKGAMPKLRTLNLRFEVQTTKELFGGDMDLGLQNLSSLQDAFIQLVNSSGAVPKEIEAVEYALRKAVTMNPNNPTLKLQRLDLPLWHPDGARNGSDRARMQTVTGAMVSLLPKLNDLLTEEYKLQKNLKNDIRFLKDELECMEAALLKVSEAPIDQPPDNQVKLWARDVKDLSYDIEDSIDEFMVRVDTHVPNDLYSFRGFCYAIGNFITKAKHQHKIGTDIKQIKSQIKEVRERHDRNKVDGVAAKPMGPTVDSLRLPALYKRTTELVNIDKKRDELVRWLMEGDDMSKKQLRTVSIVGLGGLGKTTLAKVVYEKLKMEFMEFNCFAFVPVSQYPNMVKIFKDMLDQLDKDKHSDISQHATWDEARLIIKLGEFLRDKRYLVVVDDIWDTSTWEKIKYSLSDNENRSRIIMTTRIRDVAEQAGGVYQLAPLSVEDSRKLFYLRLFGAEDKCPNQLAEVSENILKKCGGVPLAIITIASVLASKSTMGKEDQNKYWSRVYDSMGSGLEDNTHVYYIAKKHLIRLWVCEGFIHKEQGKSMYQVGEDYFNELMNKSLIQPLHFTLDGKVGTCVVHDMVHDLITSLSNEEHFVTTCGHQCALPTRCKVRRLSLQTSYQDSLDQLETMSLSHTRSLIVHREFKLLPTPLSKTFPVLRVLCLTECKQVKNQHVKDICNLLHLRSLDLWGASITELPKEIKNLRFLKVLCIAETGIKELPSTFVQLEQLECLIFYPKMRLPDGLGNLKSLQELGGDIIVDSPTMLDDLGRLTELRRLSINFKGWWNKSYETAFLQCLAQLTNLWDLQITTSLPWGPQQRQIIDNIPDSSIHSVPNWQASLSSLSTLTIRLKTLIEGDLQVLGSLPSLRYLNITVEEPTLERDERLTIGDAYPFMGLKCFSLYDDAMEVAFAKGAMPKLRTLSLRFEMHNTKELFGGDMDLGLENLSSLQDASIQLVNCHGAVPEEIEAVEDALRKAVTMNPNNPTLRLQRQISTVIY >ONIVA11G16570.2 pep chromosome:AWHD00000000:11:17836614:17859887:-1 gene:ONIVA11G16570 transcript:ONIVA11G16570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVKGAMVSLLPKWDDLLTEEYKLQTNLKNDIRFLKDELECMEAALLKVSEAPIDQPPDNQVKLWARDVKDLSYDIEDSIDEFMVCVNTHVPNDLYSFRGFCYAIGNFITKAKHQHKIGTDIKQIKSQIKEVRERHERNKVDGVAAKPMGPTVDSLRLSALCKRTEELVNIAKKRDELVRWLMEGDDMSKKQLRIVSIVGFGGLGKTTLAKAVYDKLKMEFNCFKFAFVPVSQYPNMVKIFKDMLDQLDKDKHSDISQHATWDEARLIIKLGEFLRDKRYLVVIDDIWDTSAWERIKNSLSDNENGSRIIMTTRICDVAEQADCVYQIAPLSVDDSRKLFYQTLFGAEDKCPNQLAEVSENILKKCGGVPLAIITIASMLASKLGKEDEHMYWSKVCSSMGSGLEDNPHINDMRRILSISYYDLPSHLKTCLLYICLYPEDYWIVREHLIRLWVCEGFVHNEQGKSMYQVGEDYFNELMNKSLIQPWQFTPNGKVHNFVVHDMILDFITSISNEEYFVTMIRGQRPLPARCTVRRLSLQTSYKVSLEQLETMNLSHTRSLIVLREFKLLPAPLSKTFPVLRVLCLPYCEQVKNEHVKDICNLLHLRSLELWGTSITELPREIKNLRFLQVLYIAETGIKELPSTFVQLEQLECLIFYPKMRLPDGFGNLKSLQELRGDIIVDSPTMLDDLGRLTELRRLSIDFKGWWDKRYEAAFLQCLAKLTNLWDLQITSSLSLGPQQRQIIDISDSNIYHSVPNWQASLSSVSTLTIQLKTLREGDLQVLGSLPSLGYLNIAVEEPTLERDERLTIGNAYPFMGLTCFSLYDDAMEVAFAKGAMPKLRTLNLRFEVQTTKELFGGDMDLGLQNLSSLQDAFIQLVNSSGAVPKEIEAVEYALRKAVTMNPNNPTLKLQRLDLPLWHPDGARNGSDRARMQTVTGAMVSLLPKLNDLLTEEYKLQKNLKNDIRFLKDELECMEAALLKVSEAPIDQPPDNQVKLWARDVKDLSYDIEDSIDEFMVRVDTHVPNDLYSFRGFCYAIGNFITKAKHQHKIGTDIKQIKSQIKEVRERHDRNKVDGVAAKPMGPTVDSLRLPALYKRTTELVNIDKKRDELVRWLMEGDDMSKKQLRTVSIVGLGGLGKTTLAKVVYEKLKMEFMEFNCFAFVPVSQYPNMVKIFKDMLDQLDKDKHSDISQHATWDEARLIIKLGEFLRDKRYLVVVDDIWDTSTWEKIKYSLSDNENRSRIIMTTRIRDVAEQAGGVYQLAPLSVEDSRKLFYLRLFGAEDKCPNQLAEVSENILKKCGGVPLAIITIASVLASKSTMGKEDQNKYWSRVYDSMGSGLEDNTHVYYIAKKHLIRLWVCEGFIHKEQGKSMYQVGEDYFNELMNKSLIQPLHFTLDGKVGTCVVHDMVHDLITSLSNEEHFVTTCGHQCALPTRCKVRRLSLQTSYQDSLDQLETMSLSHTRSLIVHREFKLLPTPLSKTFPVLRVLCLTECKQVKNQHVKDICNLLHLRSLDLWGASITELPKEIKNLRFLKVLCIAETGIKELPSTFVQLEQLECLIFYPKMRLPDGLGNLKSLQELGGDIIVDSPTMLDDLGRLTELRRLSINFKGWWNKSYETAFLQCLAQLTNLWDLQITTSLPWGPQQRQIIDNIPDSSIHSVPNWQASLSSLSTLTIRLKTLIEGDLQVLGSLPSLRYLNITVEEPTLERDERLTIGDAYPFMGLKCFSLYDDAMEVAFAKGAMPKLRTLSLRFEMHNTKELFGGDMDLGLENLSSLQDASIQLVNCHGAVPEEIEAVEDALRKAVTMNPNNPTLRLQR >ONIVA11G16570.3 pep chromosome:AWHD00000000:11:17835679:17859887:-1 gene:ONIVA11G16570 transcript:ONIVA11G16570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVKGAMVSLLPKWDDLLTEEYKLQTNLKNDIRFLKDELECMEAALLKVSEAPIDQPPDNQVKLWARDVKDLSYDIEDSIDEFMVCVNTHVPNDLYSFRGFCYAIGNFITKAKHQHKIGTDIKQIKSQIKEVRERHERNKVDGVAAKPMGPTVDSLRLSALCKRTEELVNIAKKRDELVRWLMEGDDMSKKQLRIVSIVGFGGLGKTTLAKAVYDKLKMEFNCFKFAFVPVSQYPNMVKIFKDMLDQLDKDKHSDISQHATWDEARLIIKLGEFLRDKRYLVVVDDIWDTSTWEKIKYSLSDNENRSRIIMTTRIRDVAEQAGGVYQLAPLSVEDSRKLFYLRLFGAEDKCPNQLAEVSENILKKCGGVPLAIITIASVLASKSTMGKEDQNKYWSRVYDSMGSGLEDNTHVYYIAKKHLIRLWVCEGFIHKEQGKSMYQVGEDYFNELMNKSLIQPLHFTLDGKVGTCVVHDMVHDLITSLSNEEHFVTTCGHQCALPTRCKVRRLSLQTSYQDSLDQLETMSLSHTRSLIVHREFKLLPTPLSKTFPVLRVLCLTECKQVKNQHVKDICNLLHLRSLDLWGASITELPKEIKNLRFLKVLCIAETGIKELPSTFVQLEQLECLIFYPKMRLPDGLGNLKSLQELGGDIIVDSPTMLDDLGRLTELRRLSINFKGWWNKSYETAFLQCLAQLTNLWDLQITTSLPWGPQQRQIIDNIPDSSIHSVPNWQASLSSLSTLTIRLKTLIEGDLQVLGSLPSLRYLNITVEEPTLERDERLTIGDAYPFMGLKCFSLYDDAMEVAFAKGAMPKLRTLSLRFEMHNTKELFGGDMDLGLENLSSLQDASIQLVNCHGAVPEEIEAVEDALRKAVTMNPNNPTLRLQRQISTVIY >ONIVA11G16560.1 pep chromosome:AWHD00000000:11:17815672:17819344:-1 gene:ONIVA11G16560 transcript:ONIVA11G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSTGQLVVILGACSLMMKRSDMIKIARVAGRMTGRAVGRLMLFRRQMDEILEQTAAKQVNKELKDAMTQLDSIRYEVQNLSRFTPGQFMRQHNPVGTDHEAGKNDAIDGSALNLEELRHQIRSMVHDEIESFYRTNPDKFSGRLDNTDTVNRSVSPVEGREVDEAVIPTMLASKDMKLANTGSTDLHSKATMYARLTESPEMSGSSGHQFKERDGLLNVLPISAESAGLLPSRSDKPQGSDLLLEATLEAEVAEHAKSFAQQHHDELRKE >ONIVA11G16550.1 pep chromosome:AWHD00000000:11:17813685:17814071:-1 gene:ONIVA11G16550 transcript:ONIVA11G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific 50S ribosomal protein 6 [Source:Projected from Arabidopsis thaliana (AT5G17870) TAIR;Acc:AT5G17870] MSPVTALLAGAAAPPPPMVASRRPSRVTPAGCSPVGGGCALAVECSSRPQKKATKHHMKTRPKKSQPWDRKRRPTQYPPLPPLPPDWTLVAAGATVDAAAESTEEVEPEPEPVAAPVVEVVAAPSAAD >ONIVA11G16540.1 pep chromosome:AWHD00000000:11:17808275:17808523:-1 gene:ONIVA11G16540 transcript:ONIVA11G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLALLTGMPPGSRFVRCLFVLVYSSLILTFSTQRWPTYTWASILVWATFGALTAAAFTYRTNRHLCRFINWLFGEPNDR >ONIVA11G16530.1 pep chromosome:AWHD00000000:11:17803582:17805275:-1 gene:ONIVA11G16530 transcript:ONIVA11G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTRKRKHRASAEGTPTCARPAGRRRRSMAHQKCGPPGAPLDAGRSIYPETKAATRSTPRRPGRFHLTLSSSSVYLFLSLHVYFRLSAHICMDSHSTPINPSIGGGCAEGRTRREEEHDGTREAGGCRPAHPTLGEGTGGHPRDGARRSTFVQPSLFLMRRSDRRSAASCTHIAWQQHKPKQSSSMPSQLHSANTWCGNVADQPEGDTSSPCFSAMHKKLSMRWLNKSY >ONIVA11G16520.1 pep chromosome:AWHD00000000:11:17793433:17803297:1 gene:ONIVA11G16520 transcript:ONIVA11G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPPRRGEVFPNGLDDGVRAPSNGAPAFSSNGMAMSVRKVAGPSKMIEDRDECEGVAGRWSLSETSSHNSDHNGGIFRAWRRCDDAGTTAGFDGVALGGQCYQQLDRTASVWASPIRRTARQLRLGEVQASWKNLMTIPPRRGEVFPNGLDDGVRAPSNGAPAFSSNGMAMSVRKVAGPSKMIEDRDECEGVAGRWSLSETSSHNSDHNGGIFRAWRRCDDAGTTAGFDGVALGGQCYQQLDRTASVWASPIRWTR >ONIVA11G16510.1 pep chromosome:AWHD00000000:11:17785395:17789285:-1 gene:ONIVA11G16510 transcript:ONIVA11G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVTGAMGTLLPKLANLLTEEYKLHKNLRGEVLFLKAELESMEAALLKISEAPIDQPPDPQVKLWARDVRDLSYDIEDSVDKFMVRVDTGVREKSRSRFRGFIDRGVRLLTRAKVRHNIGTDIKEIKSQIKEVSERRDRYKVDGVATKPIGPTIDRLRLSAMYKKVTELVAIDETSDELVKRIMGEDDESKQKLKIVSIVGVGGLGKTTLANVVYERIITMFDCSAFVSISLNPDMERIFKNMLYQLDMRKYFDIHQKTWDEARLINELREFLQNKRYFIVVDDIWDKSTWKMIKCSLPENEHGSGIITTTRILDVGKQAGGVYQLKPLSMLDSVKLFYLRIFGYEDKCPTELAQLSENILKKCGGLPLAIITIASMLACKIGKENLHKYWSKVYQSLGSGLEDSLDIKNMRKILSISYHDLPPHLKTCLLYLSLYPEDSEINTHDLIWKWVGEGFVHRQCGRSFYEVGEEYFDELINKSLIQPAYISTGNKKEMSCRVHDMVLDLITSLSNEECFLTTLCGQQHITLNCKVRRLSVQITNEDDAKQMSTLSLSHTRSLFLFSGFNLVNIPALSSFPVLRVLDLSSCLLVDNHHLKDICKYLFHLRYLDLSWTSITEIPRQIENLQLLQVLHLRGTGIREFPSTFVQLGQLVCLTIDSTIQLPNGFGNLKHLEELEANIEIISEPNIHDLGMLTELTRLHIGFREWHKSYEEPLHQCLSNLIGLEYLRIDGCYGSLDSACDSLSQGPHQQVCSIDMWERTIHAVPNWMAGLSTLSKLEIVVERLGERDLQMLGRLPSLGHLSLGVERPTMGRDERLIIANGYLFQCLTFLYFWSHTIDVEFAQGAMPKLQNLSLFFDVEKAMDQFGHVDIGLENLAAVGHVEIIFSLSTHTRGEVVEAAIQRQICMNRNSPTLNFR >ONIVA11G16500.1 pep chromosome:AWHD00000000:11:17782287:17783480:-1 gene:ONIVA11G16500 transcript:ONIVA11G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVARADREAAASAVDRVRPRRIWARRWQIWTPEHGSGRRRPYDSGRWAESGGSPQQWWPTEARVEPMRWRRQRLAWQCQGGAARDGEIRRSAREEVRPAWWRRCTAGGGWHGGGGGSRVTEGGEVEWGGNAASWPLLRGMDGICSTAAIVGGREATCCGRFGRGGTDYHRRLARERGSGRRSARRPARCVEARPVAVEAGTARGGIAGGCGDQLGARRRGRQWKAGWGNMARPAVDEASLARHERQRRRTTQRDEARLVVTEAGTVQGGAAGGCGAVYGAQRQADRGCRCNGPTCRQRLDDGGALWHQPWTRRW >ONIVA11G16490.1 pep chromosome:AWHD00000000:11:17782079:17782267:-1 gene:ONIVA11G16490 transcript:ONIVA11G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQVVSLGRAGNIEARSVILLLRALSYCLIPRVWMSGESLVSVLFETLTDGGGGVFRRFSP >ONIVA11G16480.1 pep chromosome:AWHD00000000:11:17773407:17775543:-1 gene:ONIVA11G16480 transcript:ONIVA11G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRDGGRRREGLTAEENSGEDWLSTLPDEILHNVLSFLPAHEAVWTCLLSRRWRNLWRSAPVLRIRHRWVGVERFNKFVNNLLLLRDPVPLDELEFQTYTYWPTMMPRPCIYEVKYAELWIRHALMCKARVLRVLVQSEHLAPLELSMPLISKHLTTLQLRSVKLDNHALDFSNCPVLEDLQMNCCTISTPYNIFSQSLKRLCITKRNRTETNFIDDLSSLPALEDLELESCKISTDKMVSQSLKHLCVTKCNFEMSTRISVPGFISLRLGDNYGSIPLLESMPLLVTASVKFGTLSWGCRKCRYDPGTCVCCDGDPDGDGSVKYKFFRGLSNAANLELVAEAGMCILKQDLTWCPTFSKLKTLLLDGWVVGHNFCALGCFLQQTSILEKLTLQLYKGHEDMVEIEESSSSIGQLVQFQNLERVEHYIYIINMKQALRFSNSSAAEVSNSSEVESQALQL >ONIVA11G16470.1 pep chromosome:AWHD00000000:11:17767899:17770190:-1 gene:ONIVA11G16470 transcript:ONIVA11G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRYRYLETAVAVGKERELPDDIEIPSHGYELMYKNQGNKLHYKTSTATAARKRSSSTEVTKGKVLEHLSQVKRAPSVQFQERRGGDNAAGVGLYYERPPSLEDDEPAQRLHRLCFPGLTKRIRLND >ONIVA11G16460.1 pep chromosome:AWHD00000000:11:17766784:17767268:1 gene:ONIVA11G16460 transcript:ONIVA11G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWMKFCYIDGEKISSQSLKELTMIDCIFFRQFRISAPSLVRLEITDCVGKAPVLEIMPSLVKAFIRFRDSRDICGKEEFGGSCTNASCDNCGANGVDSGDCVLLKGISMAKSLELVAEPGAYMYSVN >ONIVA11G16450.1 pep chromosome:AWHD00000000:11:17766247:17766471:1 gene:ONIVA11G16450 transcript:ONIVA11G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEGADVRGSHKIKKTTADVEGGGEWINALPEEVLQHVMSFLPAKQAVRTCVLARRWRHLWKSMPALRSIHDI >ONIVA11G16440.1 pep chromosome:AWHD00000000:11:17750565:17753325:-1 gene:ONIVA11G16440 transcript:ONIVA11G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPSGQRRLEFSFQGSSASAVGGGGGEFERVRMRSPLGNPMAAREGEEESRWLQASRVGSPESGTPSPEFWGQQQQQQLQRLYPASAGSSPSRAQAIAGYRREMLDLVRGLPESCYELSLRDIVESPPPPPPHPLPPPPPTPPPPTAEATITAAAAGAMGEEASKKQGKSTTAKTARKQRTIGRTRSRSMDRSVSLDTGLLIKLFLPLSVGGGGGKKKVSPKPPAAAAAGGKKNKTKGKKKKKQEAQLQEEEWWSKGGEFSEAGTSSRTSSTNSTNSTSSGGHGSSSIGNGHGGGNPKAQTTRSRSRKRIGCYGFFKKNKSKNGGAED >ONIVA11G16430.1 pep chromosome:AWHD00000000:11:17739861:17745442:-1 gene:ONIVA11G16430 transcript:ONIVA11G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVACAERATSDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGNKNSKVQILTLYVLETLSKNCGDVVYQQIIERDILSEMVKIVKKKPDLNVREKILSLIDTWQVAFGGASGRYPQYHAAYQELRNAGVDFPPREENTVPLFTPPQTQPLRQPHLYPPPGQSYEDAAIQASLQSSAPSAPALRQQKAVSMLLLDDLCASKLNPEDLQLSTTGEYGKKPYRQDIHRTRAILSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQGRVMDLVSNTGDESLLFQALGLNDELQRVLQRHDDIAKGVPPGSGPAPAAANVNQGTAPPRPTGVSFSPLLNVHHEDDEPEDESARDGTATQGNLPSAPKSERPYPSPLLPPPPSSKRPVFTEASSVDYLSGDSYKTEKVSDDFINPTAPANIPAPSHSKTETNPPPSYDSRSESVSDDLINPTAAPSFSMPSRPMSESNRPVVNRQESLPDDDFINPTAMPGFSSSSNANKYGDSGEDLPKAPWEAQAPGSLPPPPARYGQRQQYFEQQHGLPSGNNGAGYNGLVSQTEGLSLNQRNTENERGSSVPTASRQTKPEDSLFKDLVDFAKNKPSSPSKPANSRRTR >ONIVA11G16430.2 pep chromosome:AWHD00000000:11:17739861:17745442:-1 gene:ONIVA11G16430 transcript:ONIVA11G16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVACAERATSDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGNKNSKVQILTLYVLETLSKNCGDVVYQQIIERDILSEMVKIVKKKPDLNVREKILSLIDTWQVAFGGASGRYPQYHAAYQELRNAGVDFPPREENTVPLFTPPQTQPLRQPHLYPPPGQSYEDAAIQASLQSSAPSAPALSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQGRVMDLVSNTGDESLLFQALGLNDELQRVLQRHDDIAKGVPPGSGPAPAAANVNQGTAPPRPTGVSFSPLLNVHHEDDEPEDESARDGTATQGNLPSAPKSERPYPSPLLPPPPSSKRPVFTEASSVDYLSGDSYKTEKVSDDFINPTAPANIPAPSHSKTETNPPPSYDSRSESVSDDLINPTAAPSFSMPSRPMSESNRPVVNRQESLPDDDFINPTAMPGFSSSSNANKYGDSGEDLPKAPWEAQAPGSLPPPPARYGQRQQYFEQQHGLPSGNNGAGYNGLVSQTEGLSLNQRNTENERGSSVPTASRQTKPEDSLFKDLVDFAKNKPSSPSKPANSRRTR >ONIVA11G16430.3 pep chromosome:AWHD00000000:11:17745452:17747689:-1 gene:ONIVA11G16430 transcript:ONIVA11G16430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSGWWSHQAVYHPPLQPFSFLLISSSKPSHRNSSNPTVRSHLSLSSPSQARPGPPDRRKEAALVLLGAESRGGGRSDSGFWW >ONIVA11G16420.1 pep chromosome:AWHD00000000:11:17738054:17738864:-1 gene:ONIVA11G16420 transcript:ONIVA11G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPAHIKISPSLTDIVIGPLTAHFARRLACADLHRTRRAAEADLLPPSAASILAAGS >ONIVA11G16410.1 pep chromosome:AWHD00000000:11:17737193:17738008:-1 gene:ONIVA11G16410 transcript:ONIVA11G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDDKSQAAAERIKAQALSAAKGLSRTQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVILGVKPKAAQTSSSGGAYTQCQKCFQPGHWTYECKNERVYISRPSRTQQLKNPKLKKTAAPVSYQFQNPDLEKEKEERKLMKAKLKKEKSEKSKRKSKRKYHSRSDSDSSEASVFDSDSESSVTGSEYSSGSSSSYSSSDSEDKKRRPKRKQQKRRHRRETSSSASSESDSESASASDSDSDDKGSRKKSRKRSARR >ONIVA11G16400.1 pep chromosome:AWHD00000000:11:17729983:17733302:-1 gene:ONIVA11G16400 transcript:ONIVA11G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J333] MAISKAWISLLLALAVVLSAPAAKAEEAAAAAGEGGEAAAEAVLTLDADGFDEAVAKHPFMVVEFYAPWCGHCKKLAPEYEKAAQELSKHDPPIVLAKVDANDEKNKPLATKYEIQGFPTLKIFRNQGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDATNLIDDKKIYIVGIFSELSGTEYTNFMEVAEKLRSDYDFGHTLHANHLPRGDAAVERPLVRLFKPFDELVVDSKDFDVTALEKFIDASSTPKVVTFDKNPDNHPYLLKFFQSSAAKAMLFLNFSTGPFESFKSVYYGAAEEFKDKEIKFLIGDLEASQGAFQYFGLREDQVPLIIIQDGDSKKFLKAHVEPDQIVSWLKQYFDGKLSPFRKSEPIPEVNDEPVKVVVADNVHDFVFKSGKNVLVEFYAPWCGHCKKLAPILDEAATTLKSDKDVVIAKMDATANDVPSEFDVQGYPTLYFVTPSGKMVPYESGRTADEIVDFIKNNKETAGQAKEKAESAPAEPLKDEL >ONIVA11G16390.1 pep chromosome:AWHD00000000:11:17728625:17728831:1 gene:ONIVA11G16390 transcript:ONIVA11G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNKHGLPSEPRAEASSGANHRGARWHNRSRTRLMTQGRGEQWPKSRLTGSRVGWPHWRNDGCGRR >ONIVA11G16380.1 pep chromosome:AWHD00000000:11:17718183:17726240:1 gene:ONIVA11G16380 transcript:ONIVA11G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLRAARGGHREWLEAVLGAAPVPNHVAVHVAAGEPPPPPPTAAALLLDVATTPQGDSALHVVAGSGDSEAFLSCARTIYRSAIVLLDRPNARGDTPLHCAARAGNAAMVGCLLDMAREEERGGARFRVADVLEKQNGRRETALHDAVRLGDEHLVGHLMTEHPRLARLPGGDGMSPLYLAVSLGHDRIADLLHQQGGDELAYFGPAGQTALHAAVLRGAGRQMAGGVSGWPTTSMARGAAGSGGETIAGGNKWRCDCDCSGRRHGSLGRLAGTWKMAISGWHGSDWKRVGETTEKILQWNKGISGEADASGSTALHFAASAEGPEIDIDNSLLLRWLRLRWPCHGSRRTSTQVLLEADPSLAFRPDSNGEYPIHVAASMGNKNLVALLIDKCPECAGLRDARGRTFLHVAVDRRRPEIVGFAANDKRKSKQLAAGVLNAQDDDGNTALHLAVVTGVLKVFCYLLRNRRVRLDLPNNDGLTPADLSRSTIPAGLYYNTLKHVSNIRQRERSLVFEKIEEERENMKELENARMWILWSLVAAKARGGNIRRDHFHERYVSKLDESAESKKMTESTQIMGIGSVLVATVAFAVAFSPPGGYAADGHGNIGSPALAGRYAFDAFMYAVAVAFSCSLLATFSLIYAGTAAVEWKIRHRYFKQSLSWMRKSTMSLLVAFALGVYLVLAPVSRATAMGVCVLTASTLLFRNREVVRMLICADVLQNRMGIKVVLKIGLPIAFVLLKSNLVYFVIFGGPLWTPLCVLLFLGEIVHDLLKIATHIHRIRI >ONIVA11G16370.1 pep chromosome:AWHD00000000:11:17711039:17712121:-1 gene:ONIVA11G16370 transcript:ONIVA11G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRPGGARRRCQLLDGDTAAFCASLVDGLAQLESTLLREEDDGDGGGGGGGAVSMRWCADAMRLVKRMQRELLVMFKKADVPVGSAVSYGGGCWFEHYMQETAALLDFCNAFKSAVSRLHRYCMVVDFAAQVGCAGAGAAAENGGGAGGWWLEEEPGGDDAGAIRHRLSDVRAAVSEAERLGRKIMSSSSGGGGAGDDDAGGMVVVMLVAKITMAVVSMFVLQALTSPIVPLAADVDDGHCTLGRAAAVPVPELQPWRESLSVITDRFPRRPGVAEHERVAMVVKSMMINTKMEGEEETKNGKQEQEDDHVELLRTRSGELREGVEMFDCVLDEVFDEVIKGRNEMLGIFRDKALTLG >ONIVA11G16360.1 pep chromosome:AWHD00000000:11:17705368:17710645:1 gene:ONIVA11G16360 transcript:ONIVA11G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGGFFDYRGGHHHALPEYHRPLPHASKPSRIRRPGKPARRRSPAAAAAVASALLLAGVFLLSRRLSRQPAEISQDLGGGGEGLPEWNRSKELKFGHGGGGRSAQDSRYWDRDDRRRDEDYSEDEKEKISGASGNNAGVSDKVVTSDPAVEEKGLTMDTGGAADKEAAEVAEGGKGGTLYNEGGRKELEQYEAAAMGAAGTGIREVDPDDEYDDGIDAQDDLDDAQSHSSDGGRKLGDSSHESTESKENIAHDSTGNKESITLERRTETGAGISDGVDVIDAANVNQKKVSATGDKKHASKKKSKRKKTGSTCEMRFLNSTAQLVEPAKNEKFASFNLEYVEVEDKPLGSEYWEPRFAGHQSLQEREESYLAHDQQLNCAFVKGPNGTSTGFDISEENRKYMSKCHIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDEITLRTLESEGQKMDSSGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPSSRFSIWLDSKLRLQNDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYQADGLTKFNPSDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYLKLRRMNPEKPFRLNMFKLAAIEHSFNNEEVTI >ONIVA11G16350.1 pep chromosome:AWHD00000000:11:17681648:17686568:-1 gene:ONIVA11G16350 transcript:ONIVA11G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREKQGGRMGKGKGKGKEKEGDINCFGRSFFRVLLTLQSLERMKIPSSFNQCLQNQPTGMVSLVDRSGNKWSAELTSDSEGFFFVHGWKEFVRDNSIQCGQFLVFTYDKRSQFSVTVFEPSGIDKISTFSAHPSKNVIIKTESDEGGMVTAAITTEKMAPALKENNGITGKRTRDVDYLMEDRVVVFKKSSEANVCESSRRKRAGASAGKSKVTSTSHNSTRGSSCSSDEDNSSSKSPNPPFLMRFLSGEVSRRGVSKGQRQLTVISQRRPVTEAEKDHALQRAREFKSKNPFAVQIMMESYVYVGFFMNIPCEFVRECLPRTNKRITLWDPQGKAWEVNYVYYSDRSVGSFSGGWGKFAVGNNLEKFDVCVFELVQKDNIKVHIYRVVPEITPHKLRSDPKLSSKYTGLRSENQMV >ONIVA11G16350.2 pep chromosome:AWHD00000000:11:17682014:17688304:-1 gene:ONIVA11G16350 transcript:ONIVA11G16350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRKNREVGRSRREEERVVMKIPSSFNQCLQNQPTGMVSLVDRSGNKWSAELTSDSEGFFFVHGWKEFVRDNSIQCGQFLVFTYDKRSQFSVTVFEPSGIDKISTFSAHPSKNVIIKTESDEGGMVTAAITTEKMAPALKENNGITGKRTRDVDYLMEDRVVVFKKSSEANVCESSRRKRAGASAGKSKVTSTSHNSTRGSSCSSDEDNSSSKSPNPPFLMRFLSGEVSRRGVSKGQRQLTVISQRRPVTEAEKDHALQRAREFKSKNPFAVQIMMESYVYVGFFMNIPCEFVRECLPRTNKRITLWDPQGKAWEVNYVYYSDRSVGSFSGGWGKFAVGNNLEKFDVCVFELVQKDNIKVHIYRVVPEITPHKLRSDPK >ONIVA11G16350.3 pep chromosome:AWHD00000000:11:17682014:17686568:-1 gene:ONIVA11G16350 transcript:ONIVA11G16350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREKQGGRMGKGKGKGKEKEGDINCFGRSFFRVLLTLQSLERMKIPSSFNQCLQNQPTGMVSLVDRSGNKWSAELTSDSEGFFFVHGWKEFVRDNSIQCGQFLVFTYDKRSQFSVTVFEPSGIDKISTFSAHPSKNVIIKTESDEGGMVTAAITTEKMAPALKENNGITGKRTRDVDYLMEDRVVVFKKSSEANVCESSRRKRAGASAGKSKVTSTSHNSTRGSSCSSDEDNSSSKSPNPPFLMRFLSGEVSRRGVSKGQRQLTVISQRRPVTEAEKDHALQRAREFKSKNPFAVQIMMESYVYVGFFMNIPCEFVRECLPRTNKRITLWDPQGKAWEVNYVYYSDRSVGSFSGGWGKFAVGNNLEKFDVCVFELVQKDNIKVHIYRVVPEITPHKLRSDPK >ONIVA11G16340.1 pep chromosome:AWHD00000000:11:17679441:17679857:1 gene:ONIVA11G16340 transcript:ONIVA11G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTFFPAATSPRRDHRLGLVGSPPPLLFAAVVEVDDGDDGEEEEKMDLLWEDFNEELARAPPVCPLSPLNIKGGGLTATTAMAKDDGGGGEKQARRMYSGSVVRRRRRWSLLLMLRLLKNLFLAKNTRNNPRTAPI >ONIVA11G16330.1 pep chromosome:AWHD00000000:11:17653961:17658317:-1 gene:ONIVA11G16330 transcript:ONIVA11G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0J324] MAAPASAAAAAGLAGMSTDNAKGLVLAVSSSAFIGASFIVKKMGLRRAADSGVRAGYGGFSYLMEPLWWIGMISMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHAILQEKLHTFGILGCVLCVVGSITIVLHAPQERNIDSVREVWDLATEPGFLCYAAIVVAAALVLIYFVVPQHGQTNIMVYIGVCSLLGSLTVMSVKALGIALKLTFSGVNQLFYPQTWAFALIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIVTELCGFVTILSGTFLLHKTKDMTDSTGPSLPTSRSKSASQNRFSIEVVPLKYRDSVDEETLPLSLPKADNRYLMEDFPVRYKDLNIA >ONIVA11G16320.1 pep chromosome:AWHD00000000:11:17649716:17651647:1 gene:ONIVA11G16320 transcript:ONIVA11G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISRHRAPASSSSLCLLFLTFLLSVSMAAATEKSPMQLNKAQENIMRDILGLVSSAMDSSLTKSWNTSSNPCEWSGVHCTSAASSSFVTRLSLPGYGLSNATILASICLLDTLHSLNLSRNSFTDLPSQFSPCPMKAELQVLDLSYNRLSSHLGNFSGFHELEVLDLSFNSLNDNISTQLNYLPKLRSLNLSSNGFEGPIPTSMVTSLEELVFSGNNFSGRIPMGLFRYGNITLLDLSQNNLVDDVPDGFLSFPKLRILLLSENNLTGKIPQSLLNVTTLFRFASNENKLSGSIPQGITKNIRMLDLSYNMLNGEMPSDLLSPDSLETIDLTANRLEGLIPGNFSRSLYRLRLGCNMLSGSIPESIGNAIRLAYLELDDNQLSGPIPPQLGKCNNMVLMDLSTNKLQGVVPDELRNLQQLEVIKLQTNNFSGYIPRIFSGMTNMEVLNLSANSFSGEIPSTLVLLSKLCYLDLHGNNFSGVIPPSISSLQFLSTLDLGNNQLTGTIPTMPTKIGALILSHNHLQGSIPSSIGALSNLLLLDLSDNHLSGQVPSSFANLKGLIYLSLCYNQLSGPMPELPRGVKVDVSGNPGLTICTEDSDSQYNMASTEDDFRSTTWVATVSFVVGFIISFYWAGIRKYCY >ONIVA11G16310.1 pep chromosome:AWHD00000000:11:17644733:17647776:-1 gene:ONIVA11G16310 transcript:ONIVA11G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSIGTTWAASPFWANKFQVSRFSPSSPSFFLPRSEIHRRRRRSKLRRRLHYSRRRVLAASSVSDECIKGGQMIKESCNHSENKLQDYELLKVAQPNRYITLHLDQNPEFWEAQEAIWDITV >ONIVA11G16300.1 pep chromosome:AWHD00000000:11:17644022:17645905:1 gene:ONIVA11G16300 transcript:ONIVA11G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSRHHAPDRSLCLLLLLLFLLLGVPMAASTEQSPARLNKAHEDIMRDILSSVGSTKNWNTSSNPCQWSGVHCSSVASSAFVTRLSLPGCGLSNATILASICNLHTLRSLNLSRNSFTDLPSQLSPCPMKAELQVLDLSSNMLSGQLGDFVGFHKLEVLDLSSNSLNGNISTQLSDLPKLRSLNLSSNGFEGPVPTSIATSLEDLVLSGNNFSDHIPMGLFRYGNLTLLDLCRNNLHGDVPDGFLSFPKLRILVLSENNLTGKIPRSLLNVTTLFRFGGNQNNFVGSIPQGITRNIRMLDLSYNMLNGDIPSELLSPDTLETIDLTANRLEGFIPGNVSRSLHSIRLGRNLLGGSIPESIGNAIDLVNLLLDGNKLVGYIPWQLSRCKNLALIDLSSNQVQGNIPIGLGNLEQLVVLKLQKNNLSGDIPSSFSDMSALEILNLSHNSFTGELPFTNSTQSLKLCYLGLHGNKLNGVIPSSISLLQSLITIDLGNNELIGIIPTNIGTFLKLERLDLSKNYLSGQVPSSVANLERLMCLFLSDNNLSGPLPELPKWVMVNVTGNPGIILDTEENRTSGSMKGSQDDFRSAIWVAAASFVLGFSLSFYWAGPGEKLMPRLETLHCDD >ONIVA11G16290.1 pep chromosome:AWHD00000000:11:17623124:17624993:-1 gene:ONIVA11G16290 transcript:ONIVA11G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGGADDGGSDRRKKRRAELEVAIKGTLAVQAYIRITCTIMAYLAFTWSTVVLLGGYVSSLQRKDFQCLTVITVIEATSLSNIDMQERAYCLVPGCWVIELEDARDRDQA >ONIVA11G16280.1 pep chromosome:AWHD00000000:11:17616172:17616420:1 gene:ONIVA11G16280 transcript:ONIVA11G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEQAGGGARWWRRPAAKRRRARAARDGGGEDGGGQPARRPAVGGGAPAPRAVGVRARRRRDAAIWTPQPPLRLQLASRV >ONIVA11G16270.1 pep chromosome:AWHD00000000:11:17608123:17610105:-1 gene:ONIVA11G16270 transcript:ONIVA11G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIVLLFNFYGPLICIGVSSWRLRQRDYHGHGADDRGANLMGGLDFFYTLVLCQGVLYCFLLFLALSEIILQFNFSRQCKFLDSRCERDPAVLAAEEPSFLIHAVRESQQDYLSGARMLYLLINDGEDAGSIILRSRPKIQRLLDMLVWTSRAGNTEIRMLAAAIVADLAGGIQLSQFPGAIRCVSSLLESTGQNPLWSNDQHQELSSAEIKCLYTACRNAEMEKNYRELISRITRTTKLETGDEGVVDGVEQNGNSTEEELGCNELILQGLRILEGLACDAHNCTDICGDPDILAKITAPLYSTSLIHDIGSSEPWADVVNGSLKVVRKLLIHATPRTSLRHEILSNEQAMSNLESILHLQSKAAEAVGQELQMRAMEILTQLVLHSSSVNIISEKRVKSLVNKQLEIFLPHGGEGTEDNKSKSEAADSKRTLKATAGETLVSILSKCDEATSMFITKEHDDVVDRLTGMLDAKCNIRYRILSANILENLCTRCNEHVNETLLQKVLTEILRTPTTEASESTTSAPGGNVEIQKKKSQGNDVEKQMQCSNAERPRTAIERGGG >ONIVA11G16260.1 pep chromosome:AWHD00000000:11:17596496:17604496:1 gene:ONIVA11G16260 transcript:ONIVA11G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIEIMGRGSYRSMRAATWDWYLTHPLVFQGFPILYCNAPMFKLRFMPILFAATHHNFATPCNFQDIKIVIEQKLGKDLHSIFLEFDEHPIAAASIAQVHRGRLNNNQEVAVKVQYPGLERRMKIDIMTMSFLSKSLSWIFPDYRFEKLLTEFERTMSMELDFTQEAKNSERTASCFRKNNVVKVPCVFWELTSKEVLTMEFCSGYKVDNLDSLRKADISPTKVAEALIELFGEMIFVHGFVHGDPHPGNILVSPQGQGKFSLVLLDHGIYKELDQKFRLDYCQLWKALILLDSQKILELGEQFGVGKYAKYFPVIFTGRTIERYYWIL >ONIVA11G16250.1 pep chromosome:AWHD00000000:11:17595705:17597923:-1 gene:ONIVA11G16250 transcript:ONIVA11G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTRVTIRAFVVANDKLLVIGGQQGDFMAIPGSPIFKCVRSEVVYSNVYMLDDGMRWKELPPMPKPDSHIEFALGEC >ONIVA11G16240.1 pep chromosome:AWHD00000000:11:17592011:17593659:1 gene:ONIVA11G16240 transcript:ONIVA11G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGAANDERGVLFHCFDSAGALEYSMANAGSRFSFATEVCAKLMNGARYAVADPPLDSEDHKEFENIVVSDAVLNVMMINNGADATGWRFYTDDDLTRTPENNVQSLVLPNGIALPTFEINGGIRLRRRVVLPPVLMEEDGVIYHFLQYKFLPNVRATVRASILSGLAHGEALEELAIDARAQHFAAIAGPEGHDLDPEQWAALLLPEPADGGEGHLDGEAAVGGEGQLDGEAAVEVGEEVLEVAVEVGDEVVLPALYGFVDDDEYRSTEDDDYVPDEEESHSSDDDFVPDESDDDYVPHEGYESSD >ONIVA11G16230.1 pep chromosome:AWHD00000000:11:17585257:17590049:1 gene:ONIVA11G16230 transcript:ONIVA11G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIGGNGEQNQQLTFDQDTHTDKLQHWQHQAKSIALFGVFYEARSNTRINRMKIINAVSKSVPQPHKVDLNSPNRIIIVQIEKIICMVGVIERYTVLAKFNLKQLTSPPQKQLMGQLELDSAAVSCWLSRGSHC >ONIVA11G16220.1 pep chromosome:AWHD00000000:11:17582725:17582925:1 gene:ONIVA11G16220 transcript:ONIVA11G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDPTAAVPDLAADVAVSPDPEGRAAAATALRRQLRPEPAPREERWEGRGGEGRERTAEGFGIGG >ONIVA11G16210.1 pep chromosome:AWHD00000000:11:17582146:17582355:-1 gene:ONIVA11G16210 transcript:ONIVA11G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDAEELAALDGNAYSAEFAGAGSSRAATAVLPASHSPPPAPLFPPRRTDGAGCRPLPHGRQGTGKD >ONIVA11G16200.1 pep chromosome:AWHD00000000:11:17581804:17582052:1 gene:ONIVA11G16200 transcript:ONIVA11G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDERIVSPATPNQRAGRLRRTARPAPTTKLDSGGGGGRGRRKSAGSNDADRWSEAGGRVVNGTGSADDASCGSRGDRPPAR >ONIVA11G16190.1 pep chromosome:AWHD00000000:11:17576670:17578978:-1 gene:ONIVA11G16190 transcript:ONIVA11G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREDGPSVAIVGATSVVGQDFLRVPSALPQSLPSSSTLHPPPPTSSALPPLSSTARRPRCSTRGASSAPQTGAPARPPSPSAAPPLGARRAPSTSARIAWITSRSASRPSAPGPRGPRPRRHRLPRSTASVRSSASPPPFSLDSLPGAAPYQRWERMPRSWPSVLKRPRTAVALLPLSSSTGHQHGDGRTSCTEVAAALDRLAGTYERVEVAKQEATRLEERRLEAMPDLEIERMRILVGVAISASAVADAATATVASSNWYMP >ONIVA11G16180.1 pep chromosome:AWHD00000000:11:17572456:17579643:1 gene:ONIVA11G16180 transcript:ONIVA11G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDSAAGKMLPDWASLDQDLVGLIGGRVLAGDDMRDYVRFRAVCSHWSASTVRPLGRGVTDRRFHPRRWMMLPEGHGLYPGHPDLRGYVRFFNLSTAALVRVHLPLLRDHVIIDSVDGLLLLHRDHDTAIRLLHPFTGDVADLPPLASLLPQMESESRDRSQRSKHSRLMKVCASVTVTSTGTITVMLALEILHRVAYATPGDQRWTLSAWTLKPFVKPVSFQGKLYALQLSSYDIHKVYIYQFNPPCQDNDKGLLHLPLPVKIAECPMDKFLYLLNFAECGSELLLVAYNGVSRSKLLVYRLADLVSGRIEPVTTIGDHALFLDERCLCVSLSNNKEGSKILPSVLSNSIICMHSLQVDPSSLDIFRFEQYDLGTGTWAPASDGDIFHKPPPSPHTLIHHIFTCCNRRYWNKGIMYCSKRQPIWLVKQELRFGA >ONIVA11G16180.2 pep chromosome:AWHD00000000:11:17578172:17579176:1 gene:ONIVA11G16180 transcript:ONIVA11G16180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGIYQLEDATVAVAASATAEAEMATPTRIRMRSISRSGMASRRRSSSRVASCFATSTRSYVPASLSSAAATSVHDELNGSNATAVLGRFSTDGQERGILSHLWYGAAPGSESRENGGGEAEERTEAVDRGRRWRRGRGPLGPGALGLEALLEVIQAILALVDGARRAPSGGAADGDGGRAGAPVCGAEEAPRVEQRGRLAVEESGGRADEVGGGGWRVDDDGSDWGRADGTRRKSWPTTLVAPTMATDGPSSRSAIRTVDDGRCTRPPAEDGSAGEEGGARRCDDRGSRQRREDGARRRQDMAHSRGGGRRTAALGRARTE >ONIVA11G16180.3 pep chromosome:AWHD00000000:11:17579188:17581227:1 gene:ONIVA11G16180 transcript:ONIVA11G16180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAAASPPLPHPLPSLPILPSHGQPLPAGSDWRRWRGVRARPDPPLGECGGGTEVREMGRVEEEPYSSDRIGNQYSSTIIFNLKICSK >ONIVA11G16170.1 pep chromosome:AWHD00000000:11:17568316:17568711:1 gene:ONIVA11G16170 transcript:ONIVA11G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGEEEDDDAEEAAFNIRREELEKVIQRQWEGDEIAMALEEQTRELSKSCSHGGDGSGSEWEIKLSSLTGKRSSFSNLTSTEGEGMSGAERMNRKRRSTARR >ONIVA11G16160.1 pep chromosome:AWHD00000000:11:17563944:17566938:-1 gene:ONIVA11G16160 transcript:ONIVA11G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASIPASSQPFKLILGSSSVARKHILAEMGLEFEVMTADIDEKSIRRENPDELVTILAEAKADAIMSRLNISDYQKEGDRPTLLITSDIVVVHEGIIREKPTTKEEARQFLKGYSGSHVATVGSVVVTNLTTGKRLGSLDKAEVYFHDIPDEVIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVVGSSDSVMGISKDLANKLIQDAL >ONIVA11G16150.1 pep chromosome:AWHD00000000:11:17560394:17562933:-1 gene:ONIVA11G16150 transcript:ONIVA11G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Mitochondrial matrix Mmp37 (InterP /.../015222); Has 325 Blast hits to 325 proteins in 172 species: Archae - 0; Bacteria - 0; Metazoa - 109; Fungi - 140; Plants - 43; Viruses - 0; Other Eukaryotes - 33 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G47630) TAIR;Acc:AT3G47630] MRPAEEAARAAALAGPLGELLPPVDFCCAYGSTLPPPSPNLERNPDHYSGWMARLGPGAITRLADNIGVGVYFNPFVEWRDKRIKYGVVRMKDLAMDVLTWDRFYLSGRLQKPVHVLVDNWDIRKINTINLKMATSASLLLLPAEFTEYDLYAQICSLSYMGDLRMLFAEDKNKVKKIVEGSFPSFQSMYRTLIQEYIAEGLLKTSSYGQQKAFHQACDCGASATNELFSYLPWTIQRRLQGRFASNCEEMPTRASVSSKDVAATCVRKALRRRVMVSSARQAMSGLLASGGAVAARYLGKKISKAWKSRTV >ONIVA11G16150.2 pep chromosome:AWHD00000000:11:17560394:17562933:-1 gene:ONIVA11G16150 transcript:ONIVA11G16150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Mitochondrial matrix Mmp37 (InterP /.../015222); Has 325 Blast hits to 325 proteins in 172 species: Archae - 0; Bacteria - 0; Metazoa - 109; Fungi - 140; Plants - 43; Viruses - 0; Other Eukaryotes - 33 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G47630) TAIR;Acc:AT3G47630] MRPAEEAARAAALAGPLGELLPPVDFCCAYGSTLPPPSPNLERNPDHYSGWMARLGPGAITRLADNIGVGVYFNPFVEWRDKRIKYGVVRMKDLAMDVLTWDRFYLSGRLQKPVHVLVDNWDIRKINTINLKMATSASLLLLPAEFTEYDLYAQICSLSYMGDLRMLFAEDKNKDCGASATNELFSYLPWTIQRRLQGRFASNCEEMPTRASVSSKDVAATCVRKALRRRVMVSSARQAMSGLLASGGAVAARYLGKKISKAWKSRTV >ONIVA11G16140.1 pep chromosome:AWHD00000000:11:17550420:17560142:1 gene:ONIVA11G16140 transcript:ONIVA11G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRGPLLLAAAAAAAAGAVAAALPSGEGRGAAPSVLHAVARSSRAVYTIGFVVVDYKYSLRGLAHGSADYRDKLSEVHLRSAKKILKLCEANRGFYVKAGQFVSSIRQVPKEYSSTLSCLQDQATPCNFQDIKIVIEQKLGKDLHSIFLEFDEHPIAAASIAQVQYPGLERRMKIDIMTMSFLSKSLSWIFPDYRFEKLLTEFERTMSMELDFIQEAKNSERTASCFRKNNVVKELTSKEVLTMEFCSGYKVDNLNSLRKADISPTKVAKALIELFGEMIFVHGFVHGDPHPGNILVSPQGQGKFSLVLLDHGIYKELDQKFRLDYCQLWKALILLDSQKILELGEHFGVGKYAKYFPVIFTGRTIESKSILGTQMSIEEKMRLKQDLNSLGMDDISSFMESLPPDFLTILRTDGLLRSILGNLGAPRHVRLLTYAKCALYGLEEQPKSQSELAGFLMQINDLRHKIMSRFRRILQNTS >ONIVA11G16140.2 pep chromosome:AWHD00000000:11:17550420:17560142:1 gene:ONIVA11G16140 transcript:ONIVA11G16140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRGPLLLAAAAAAAAGAVAAALPSGEGRGAAPSVLHAVARSSRAVYTIGFVVVDYKYSLRGLAHGSADYRDKLSEVHLRSAKKILKLCEANRGFYVKAGQFVSSIRQVPKEYSSTLSCLQDQATPCNFQDIKIVIEQKLGKDLHSMFEKLLTEFERTMSMELDFIQEAKNSERTASCFRKNNVVKELTSKEVLTMEFCSGYKVDNLNSLRKADISPTKVAKALIELFGEMIFVHGFVHGDPHPGNILVSPQGQGKFSLVLLDHGIYKELDQKFRLDYCQLWKALILLDSQKILELGEHFGVGKYAKYFPVIFTGRTIESKSILGTQMSIEEKMRLKQDLNSLGMDDISSFMESLPPDFLTILRTDGLLRSILGNLGAPRHVRLLTYAKCALYGLEEQPKSQSELAGFLMQINDLRHKIMSRFRRILQNTS >ONIVA11G16130.1 pep chromosome:AWHD00000000:11:17547035:17547822:-1 gene:ONIVA11G16130 transcript:ONIVA11G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSPTVPQAHSVAYPAAAPSALSVWFAYADLLSLGPELLAEPWAMASCLLELTLRWSAAESSLFLLVNGICDELSPCKLNGMVIVRRALLPGWVLVHLRPMPIKRCSCSIGQILFPLEQLQCAPKIDFNVKKPNYAHCGKRTVVRGMGNVGLRFFSWVPPKIDINAVGIESNVYSTSVNRTYLTNTTNALSTIESIDRSSCSSGPLVQLLYVLSI >ONIVA11G16120.1 pep chromosome:AWHD00000000:11:17545353:17546616:-1 gene:ONIVA11G16120 transcript:ONIVA11G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J2Z9] MASSSRTALPSAVLALRLLTLALLAASLAVIAADKLTLDFGGGLPPKKITFKDVYAYRYVLAIAVIGCAYTLLQIPFVAVSIAKRKRMIGGSENVALFLIFADVIFALLVATGAGAGFGLTYDAKSAFGGSKLPGEVVRFFNMAYAAAGLMLLAAAAMALIIMLSIYSLVR >ONIVA11G16110.1 pep chromosome:AWHD00000000:11:17528904:17541378:-1 gene:ONIVA11G16110 transcript:ONIVA11G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRCGWSAAAVVAVVVMVGVMVASPVAGELARVEHPTKEDGSLAVLVVGDWGRKGQYNQTLVATQMGVIGEELAADFILSTGDNFYNDGLTGDNDTASFQESFTNIYTADSLQKPWYIVLGNHDYTGDALAQQSPAIRAVDSRWTSINKSFIVDSDIAEFFLVDTVPFVQKYWNESKFDWRQVAPRDTYLSTLLTDLGDAMSQSNATWKIVVGHHTISSGCEHGNTTDLVAMLLPVLKTYGADMYINGHDHCLQRITSIDSPLEFITSGGGSRAWAGKFKQTSDKLEFIYDGQGFLSMQLTMAEASFAFYDVTGAVLYSWQLANHSLLFSPRDEQSGGAAAAAGDRAARSAMDAPVITLLVFLVAVAAATAAAEMPRMEHPRKGDGSLSLLAVGDWGRRGAYNQSMVAAQMGIVGEKMDIDFVISTGDNFYKNGLTGVDDKAFEESFSDIYTAKSLHKPWYTVLGNHDYRGDALAQLSPVLRKVDSRWICIKSFVVSAEIADFFFVDTTPFVLKYWTDPKNSKYDWRGVSPRETYIANVLKDLEDALEQSKAPWKIVVGHHAIRSVSQHGDTKELLEHLLPILKAHGVDLYLNGHDHCLEHISSRDSKIQYLTSGAGSKAWRGVQTANTDKVEFFYDGQGFMSLRLTAAEASLAFYDVAGKILHIWMVAKPATGH >ONIVA11G16100.1 pep chromosome:AWHD00000000:11:17512920:17518547:1 gene:ONIVA11G16100 transcript:ONIVA11G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRPPPTGARRLPLRGPPFSHGPHIPNSKEKSRNRLLLPPSLPRRRPSNRDWSDCDPTFAAEANAAIRALRDTGAGADARARRLAARLVERYPGSPLAHTILAEGHRVRGRLEGARSSLERAAALAPGCPRTAFMLAAVLTRMGLFDEAMEVCDRSLRVPQPTDPARHLPYPRKRIVPKDAEHRIAYTRQGIRRLRLGAEKCRRTAVPLPPESALPAPDWPPESAEANLQVARDLWRGMSEEEQQAFLKVSFQDMKSYCRSGGELEMISLLSDAEHDQFVKLSASSSCWTCPLCGFKIILDEERFMVHMENFHIEHEEYKKLRSSLPKRIPDNEMELLKSWRWEPMPIDGDDLAERTEILSKLKKLVSQLIDMEAVSLCLLYIMHKFIMRRVRPVTPLVVSMCACCGIRQLSSAHLKELYEFLQKLTPILADYVHQKAQNGEQESQQDSLAVTTWLKETGTLSFDYGKIVSRNTDGSSNPDEIVDGLFHESLLEDPLVSWGGVWQRCLDLGPDILNKISEALNKLKVNCSSCEELKQKLGDVYFLPDAIFETDIDVKPYFDDGIGSVQVEMLLIDAEVDYQKKMLLEACKVDYLAAILPIAKACLRAKMNNNLRPPNGLELQAPLNIILRSLWHIRRFHDTLQKIPSKCTDVKDGNSQIGKTLCKIFDSWDNEKAGKPCDPCDSTRFADFTNSLVYKKDGQRKTAIDIVKFIFRRLHSSQTPLHFEFKGETLDHQTPVEPSFLGCICLVHDLFGLHIYENKFNCVNEVYTEYQYTTFLHSIDLGAVGKTKVESFSELLKARKSRIESCGHMVSQYSLECPPRLFMTVFEWKEDKVGHINMHEVLMSLAVELDISHFYGELHSGSKYTLVSAVCCNDQGQYFCFARGNNRWLIYDNNRRPMYAESWEASIQQYSQANLCPEIIFFERVEDPELGTHRDQTAP >ONIVA11G16100.2 pep chromosome:AWHD00000000:11:17512920:17518547:1 gene:ONIVA11G16100 transcript:ONIVA11G16100.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRPPPTGARRLPLRGPPFSHGPHIPNSKEKSRNRLLLPPSLPRRRPSNRDWSDCDPTFAAEANAAIRALRDTGAGADARARRLAARLVERYPGSPLAHTILAEGHRVRGRLEGARSSLERAAALAPGCPRTAFMLAAVLTRMGLFDEAMEVCDRSLRVPQPTDPARHLPYPRKRIVPKDAEHRIAYTRQGIRRLRLGAEKCRRTAVPLPPESALPAPDWPPESAEANLQVARDLWRGMSEEEQQAFLKVSFQDMKSYCRSGGELEMISLLSDAEHDQFVKLSASSSCWTCPLCGFKIILDEERFMVHMENFHIEHEEYKKLRSSLPKRIPDNEMELLKSWRWEPMPIDGDDLAERTEILSKLKKLVSQLIDMEAVSLCLLYIMHKFIMRRVRPVTPLVVSMCACCGIRQLSSAHLKELYEFLQKLTPILADYVHQKAQNGEQESQQDSLAVTTWLKETGTLSFDYGKIVSRNTDGSSNPDEIVDGLFHESLLEDPLVSWGGVWQRCLDLGPDILNKISEALNKLKVNCSSCEELKQKLGDVYFLPDAIFETDIDVKPYFDDGIGSVQVEMLLIDAEVDYQKKMLLEACKVDYLAAILPIAKACLRAKMNNNLRPPNGLELQAPLNIILRSLWHIRRFHDTLQKIPSKCTDVKDGNSQIGKTLCKIFDSWDNEKAGKPCDPCDSTRFADFTNSLVYKKDGQRKTAIDIVKFIFRRLHSSQTPLHFEFKGETLDHQTPVEPSFLGCICLVHDLFGLHIYENKFNCVNEVYTEYQYTTFLHSIDLGAVGKTKVESFSELLKARKSRIESCGHMVSQYSLECPPRLFMTVFEWKEDKVGHINMHEVLMSLAVELDISHFYGELHSGSKYTLVSAYAESWEASIQQYSQANLCPEIIFFERVEDPELGTHRDQTAP >ONIVA11G16100.3 pep chromosome:AWHD00000000:11:17518271:17524689:1 gene:ONIVA11G16100 transcript:ONIVA11G16100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGISLLASGSCRPRRSPRKSNRDWLDCDRRFAQQADGVILQVLRRSPDAVERATQLMCRYKKSPLAYAILAEAWLASGNLVMAKTHLRSATVLAPRCPYISLALAAVLVRMGSWEEAVRECARGLGAWMPTDPARHSPLPEDSINAIVSSPKGQQQRIAVERERIRLLRFRAEKGKGIVAPIASVAPKWPPESADLDHARHRWSRMSEEERQAFLTVSFQDMKSHFLSRSGTSRWQTRRALSGAEELVNGCGSFSYRLCPFCFVIFVDATEFMSHIDSFHIAGCKKELRSSMPERVTGCEMELLKSWRWEPMPIDGDDLAERALILSKLKSIVSWLIDKDAVSLSLLYIMYKFIMSRVRPVKPSVISMCGSCGIGQLSSAHLKELLDLLKWLPHTHTDYKQENQKDSLGLATWMEESGTLFFDYRKNASRKTDDSSQPDEFFDWLFCESLLEDRCESWLGMREKCVNLGPAIFKKITEELDKLKLKCSSCEELKQKGGVYFLPKAILESDIDIEPYFYEGIGSVQAEMLLIDAEVDYQKKRLLEACKVDYLAAILPIAKSYLWAKLNNNPPEKVLPLPPPNGLQLQAPLNVILRSLWHIRRFHDTLQKIPRECRDVTVGDFQIEKELLEIFDSWDLVKDGKPCEPSGSTRFADFTSSLIKKSGKMTASKIVKSLFQRLHSSHTPLHFEFRGETSELQTPTEPSLVGCICLVHDLFGLHLYENKFNCLNMVHTKFAYSIELGAGGETKFKSFSELLVARESRNGSVGQKVAQYSLLCPPRLFMTVFDWEDINGSYNNMHEVLISLATELDISHIYRGLHSGCMYTLVSAPAGSWQESIERYRQSKLRPEILFFERVE >ONIVA11G16090.1 pep chromosome:AWHD00000000:11:17498649:17501136:-1 gene:ONIVA11G16090 transcript:ONIVA11G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESFMAVRLDPGYLRIGVTPPQFSTSSPSPPPSPPHQLISTSPNWTIDVSDARTIKVTNISMSATADNIKEFFSFSGEVEYVEMRRESETSQVAYVTFKEFHGADTALLLSGASISEASVNITPVEDYVLPPEAYFYRQDTGSPRTPTEAVVKKAEEVVSTMLAKGFVLSKDALKRARSFDDRHQLLSTASARVASLDRRFGLSDKFSAGTAAARGAVRGVDERFQVSELARVAVTAAEQGAASVVASSPYASRGAAWVSAAVGAVARAAFDVGAMTKEKVERAEEEEHGAVAGAAAGDVAHASVQVDAPPSPAHAAREQPDGHYKNKMM >ONIVA11G16080.1 pep chromosome:AWHD00000000:11:17479818:17497469:1 gene:ONIVA11G16080 transcript:ONIVA11G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPTAAITVVLPAVAVVVMASFLAAAGEVELALDQAGSPTSDPPTCANNLASCARYMNGTSMPPDGCCEPFRHSVVKEQRCLCDLLASSEIFKAFDIKESSFHDLANRCGLKDLNTLCPGENISVAAPIASVAPTSLVADKNVTHGGSMKIGTIIGIALPATGAAIAIATLCSYIRRKRLARKTSIPVSISQHIQNIDALILDLPTLQTATHNFSQQNKLGEGGFGVVYKELDWEKRFRIISEIARGLQYLHEESRLKIIHRDLKANNILLDSDLTPKISDFGLAKLFGGDQSHIVTNRVAGTYGYMAPEYAMCGQYSIKSDVFSFGVIILEILTGRKSMGSYNYEQSVSLLGLIWQHWTAGTVLELLDPSLISSSQQCCDDRDQMLRCIHIGLLCVQENPADRPMLSSVTVMLRSGTPPLRAPTRPAFCMPWAAGGEELFAASGELVSANHVSVTELEAR >ONIVA11G16070.1 pep chromosome:AWHD00000000:11:17466779:17469777:-1 gene:ONIVA11G16070 transcript:ONIVA11G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPSSDPHRARPLQPSPTISGSGKGAVDAASSVPSTSVARQPALRTSLAPEKRLLVWSGTEGSFTKFINASYKSECLELYEMMDEYCRIGRRHITSSKNQGIKDLPVNICEYAFSYIYETVQTHPEDLADNVFHCWSKVFMYCIKHVYV >ONIVA11G16070.2 pep chromosome:AWHD00000000:11:17466779:17469777:-1 gene:ONIVA11G16070 transcript:ONIVA11G16070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPSSDPHRARPLQPSPTISGSGKGAVDAASSVPSTSVARIGRRHITSSKNQGIKDLPVNICEYAFSYIYETVQTHPEDLADNVFHCWSKVFMYCIKHVYV >ONIVA11G16060.1 pep chromosome:AWHD00000000:11:17463037:17463468:-1 gene:ONIVA11G16060 transcript:ONIVA11G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPHKSLLPPPFLPFLSLSHRFFLFSRRRAREARAAAAGRRAGEGWSCAGQRRKRRTSVPVVVAGEGDAGGGIGARLRVAGVEDGDRLPDEEKDGLILGDGGEADLVVEVVGVEVVGDAEDLGEVAEGLVKLVGGLLEGAGG >ONIVA11G16050.1 pep chromosome:AWHD00000000:11:17461935:17462889:-1 gene:ONIVA11G16050 transcript:ONIVA11G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLSSPSALLIRSGGASEGWSGDGRTGKGRSGGVVDETGGDAMSPPLSSPSARLIRSGGVQVRSERRGQDGRGQERRRRERPRPPTACPRFILDATVAYKTI >ONIVA11G16040.1 pep chromosome:AWHD00000000:11:17437509:17461699:1 gene:ONIVA11G16040 transcript:ONIVA11G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSFSSSAAAILLLFLILASSASTTNFTCTGPATCQSAIVYTPPAATTYIELLSSFETTTLRDLFDANGLPPSTPSHTAIPANATVIVPFRCSCVAGANRPESQPFHIIQPNDNMSYIAAQFDDFVTYQEIAAASNISNPDFLEVGQELWIPLPCSCDQVEGNNVTHFAYKVRAADNVSKIAARFGVKESTLLKINGITDPKNLTQGQILDVPVTVQWFRIMTVTGSFNQDDYSLDLRTLQTATNNFDERNRLGEGGFGMVYKRRQSLGIRRWRWGELEQPAAGGIAGGRSAAGEVVRRGGCWRRRHPAPCCLQWEGLSTLRGEKDERDGDRSSGGWRWRRRRSEPLPPKSSRAVAGAVGTLLDGQEIAVKRLSHCSKQGLNELKNELVLVGKLQHKNLVRVLGVCVEKQEKLLVYEYMPNRSLDTFIFDRDKSKELGWEKRFKIIIEIARGLEYLHEESRLKIIHRDLKANNILLDSDLTPKISDFGLAKLFGEDQSHVVTNRVAGTYGYMAPEYAMFGQYSVKSDVFSFGVLILEIITGRRSMGSFNDHEQSFSLLDLIWQHWNSGTILNVVDPSLSRDAGGQLIQRDQLLGCIHVALLCVQENPADRPKLSAVTMMIGGGSNSTASLNPPSRPAFCMHPTDATRTAAGGEPAAASANPQLTLHVHGAGRYASMTAMRRRSPPAWSYRRIHILFLLLLATSSSSASAANFTCTTVQAACQSAIGYTTRNATTYAELLSLFNTSTLAELLRANGLPPTAMPPDTAIPAAATVTVPFRCLCNVATRVGRSDYRPIYLVGSQDGLDAIARKVFDGFVTYQEIADASNIPDPNKIFVGQELWIPLPCSCDQVDGHNVTHFAYKVRTVDTTSAIAAKFGVLESTLMRINGITDPKNQSRGRFLMSQYLVMIQWHEWLGSMKEDINIDLSTLRTATNNFDERNKLGEGGFGVVYKGALPDGQQIAVKSKLQHKNLVRLVGVCVENQEKLLVYEYMPNRSLDTILFDPDKSRELSWEKRLKIIIEIARGLEYLHEESRLKIIHRDLKANNILLDSDLTPKISDFGLANGYMAPEYAMFGQYSVKSDVFSFGVLILEIVTGRRSMGSYSDHEQSFNLLDLADQMLGCIHVGLLCVQANPADRPKLSAVTTMIGGTASLNPPSRPAFWVLPEEDATRAAGTNSSPGGRVMAASANRVSITEIEPR >ONIVA11G16040.2 pep chromosome:AWHD00000000:11:17437509:17461699:1 gene:ONIVA11G16040 transcript:ONIVA11G16040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSFSSSAAAILLLFLILASSASTTNFTCTGPATCQSAIVYTPPAATTYIELLSSFETTTLRDLFDANGLPPSTPSHTAIPANATVIVPFRCSCVAGANRPESQPFHIIQPNDNMSYIAAQFDDFVTYQEIAAASNISNPDFLEVGQELWIPLPCSCDQVEGNNVTHFAYKVRAADNVSKIAARFGVKESTLLKINGITDPKNLTQGQILDVPVTVQWFRIMTVTGSFNQDDYSLDLRTLQTATNNFDERNRLGEGGFGMVYKGTLLDGQEIAVKRLSHCSKQGLNELKNELVLVGKLQHKNLVRVLGVCVEKQEKLLVYEYMPNRSLDTFIFDRDKSKELGWEKRFKIIIEIARGLEYLHEESRLKIIHRDLKANNILLDSDLTPKISDFGLAKLFGEDQSHVVTNRVAGTYGYMAPEYAMFGQYSVKSDVFSFGVLILEIITGRRSMGSFNDHEQSFSLLDLIWQHWNSGTILNVVDPSLSRDAGGQLIQRDQLLGCIHVALLCVQENPADRPKLSAVTMMIGGGSNSTASLNPPSRPAFCMHPTDATRTAAGGEPAAASANPQLTLHVHGAGRYASMTAMRRRSPPAWSYRRIHILFLLLLATSSSSASAANFTCTTVQAACQSAIGYTTRNATTYAELLSLFNTSTLAELLRANGLPPTAMPPDTAIPAAATVTVPFRCLCNVATRVGRSDYRPIYLVGSQDGLDAIARKVFDGFVTYQEIADASNIPDPNKIFVGQELWIPLPCSCDQVDGHNVTHFAYKVRTVDTTSAIAAKFGVLESTLMRINGITDPKNQSRGRFLMSQYLVMIQWHEWLGSMKEDINIDLSTLRTATNNFDERNKLGEGGFGVVYKGALPDGQQIAVKSKLQHKNLVRLVGVCVENQEKLLVYEYMPNRSLDTILFDPDKSRELSWEKRLKIIIEIARGLEYLHEESRLKIIHRDLKANNILLDSDLTPKISDFGLANGYMAPEYAMFGQYSVKSDVFSFGVLILEIVTGRRSMGSYSDHEQSFNLLDLADQMLGCIHVGLLCVQANPADRPKLSAVTTMIGGTASLNPPSRPAFWVLPEEDATRAAGTNSSPGGRVMAASANRVSITEIEPR >ONIVA11G16030.1 pep chromosome:AWHD00000000:11:17429992:17430650:1 gene:ONIVA11G16030 transcript:ONIVA11G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSFSSAAILLLLFLASFASSTNFTYIGPATTPSHTAITDTVIVTILFHCPYVSGANRLESQPLHIIQPNDNMTSITVQFDNLVTYQEIAAASNISNPDLVKIGKELWIPLCRFSSWHQFVIGVVLKKREAVSKSS >ONIVA11G16020.1 pep chromosome:AWHD00000000:11:17426316:17427775:-1 gene:ONIVA11G16020 transcript:ONIVA11G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWRGGDLGLTGDAAAGTLATDARDLGGAAGGPKFPKFLRRSSYRRRRRTSWQWTQWCRVRASLSCEDRPDIARTFAALQLHARRAEITTLFGHAWSVLLIIADEQQRNVRRRPGLVHAIFFAGCMIDGRCIETGASRLYYDSSRKRLRPAPEAARGREGEDEEDDKCL >ONIVA11G16010.1 pep chromosome:AWHD00000000:11:17422183:17422833:1 gene:ONIVA11G16010 transcript:ONIVA11G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEKLAGFLHPTLSERGGAGEGRGESHRRGERTSAITGQVDPRRPPSPSSALTAKLALPRSRCQRPSPSGSTAAPSLAAVGAHGAPPAQLRRRLWRPAACRHRRRAAPPLEGEGGSGRPMVIAARLPLLHCRRRRRLGGARRRRIWPPYRRRRLSAAPAPPTSPLLRWRVPAPRRCSTGDFAARPSAIAISGDFSTLGKGKEEGEERKENAKVIK >ONIVA11G16000.1 pep chromosome:AWHD00000000:11:17414070:17418628:-1 gene:ONIVA11G16000 transcript:ONIVA11G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:flavin-binding, kelch repeat, f box 1 [Source:Projected from Arabidopsis thaliana (AT1G68050) TAIR;Acc:AT1G68050] MFDAGDRGGGGGVVAVKRMKLCEEEEEEEEEMEVDDEEEEVGWVWRPPGGLAGEEEAAAWEGRAAAIVVSDAVEVDFPVIYVNAAFEAATGYRADEVLGRNCRFLQFRDPRELLNFRKDGAPLYNRLRLIPMHGDDGFVTHVIGIQLFSEANIDLSNVSYPVYKQQSNHRPNIQEINPASHEHIPKIQSSEYCGILQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNDHLRKMVCQNAWGRDVTVRLEMSTKMLGWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLESAKPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAKQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWKEIPTSWSPPSRLGHTLSVFGKTKLFMFGGLAKSGSLRLRSCDAYTMDAGEDSPQWRQLATTGFPSIGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPSQLFLLDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDE >ONIVA11G15990.1 pep chromosome:AWHD00000000:11:17409339:17412819:1 gene:ONIVA11G15990 transcript:ONIVA11G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEPTREESVYKAKLAEQAERYEEMVEYMERVARAAGGASGGEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNDAHAATIRSYRGKIEAELARICDGILALLDSHLVPSAGAAESKVFYLKMKGDYHRYLAEFKSGDERKQAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDANDDGGDEIKEAAAPKESGDQ >ONIVA11G15980.1 pep chromosome:AWHD00000000:11:17388418:17391538:1 gene:ONIVA11G15980 transcript:ONIVA11G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSPKVTERKADKDHDDNNDGEGGGFFDKVKDFIQDIGEKIEDAVSFGKPTADVTGIHIPHISLEKVELIADVLITNPNPVPIPLVDIEYLIESEERKLMSGTIPDSGTIHAHGSETVKIPLLLIYDDIKNTYGDIKPGSIIPYKIRVVLHIDIPVIGRISIPLEKNGEIPVPYRPDVNVSKIKFEQFSFEEATATLHLNLDNKNDFDLGLNSMDYEVWLSNVSIASAEMKETTNIKKQEVTTMNLPISFRPKDFGSAMWDMIRGKGTGYTIKGHIDVNTPFGHMKIPICKEGGTTRLKKGDDDDDDDDQVFVS >ONIVA11G15970.1 pep chromosome:AWHD00000000:11:17384326:17385011:-1 gene:ONIVA11G15970 transcript:ONIVA11G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRYGVSVGLNNKRAFARLRWPIDLPVDGRRDPPIHVAAIFAARAPPISDHRRLGASPATARRPCCYSRPHPPCAQLQSLLRLQLQEVALLLANFARLRRFPRGEGSSVTFVW >ONIVA11G15960.1 pep chromosome:AWHD00000000:11:17381673:17384315:-1 gene:ONIVA11G15960 transcript:ONIVA11G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSREVFKYHPLPTPGVENSFKDEIQSKVLGTIGDVMNSFDPKSFPQHVEGALGTAGNIINSFESKLAEHKQFDFGGKTNFYGYDCADDGWGSAPLKADKPVNLRNLLGGLIAIISRGGKNSEIQPPKDTKSSVAFLGSGSDGETFLHASVYVPSAPPLLDEEALNYNVYRVVIEAEPPEWLPDSYANSCMQCAASFTVVNRGRHHCRFCGGIFCRTCSKGRCLLPAKFRERNPQRVCDACYDRLDPLQNLFINSISNATQTAKHDVMDWTSTRGWLNLPIGLTMEHEIYKAANSVRSYSQIARLNPERSIPHAVLSGASGLAILTVVKAGALLTYKLGTGLVVARRSDGSWSPPSAIVSVGLGWGAQVGAELMDFIIVLRGLEAVRTFSSQMHFSVGAGLSAAAGPVGRVLEADLRAGDKGSGVCYTYSCSKGAFIGVSLEGNFVATRRDANLRFYGDPYLTTSDILMGDMQRPNAAKFLYTALDGLYSGLSR >ONIVA11G15950.1 pep chromosome:AWHD00000000:11:17366630:17369391:-1 gene:ONIVA11G15950 transcript:ONIVA11G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKKSVMTKEEQQLSPAASPAAAVMTAEADAINEEQDKAAAATTADHTAPPPPPPAAAAAVADHAGEMDMASGSGVAHLAPPAVAPAPRSSLAMAVSGLADHVLERMVKVLMRKCHPPQALYPLIGKSPLRPPWWPTGREQWWPELGAGAVVPPYRPAPLLSKAEKEVAVVAMVKNLVPDFERLFMAVRMAPSVTSRITDAEARAWDDGVAGERETYMARHPHRTTPTRAWKLMDSLKPEEVRMKLKAPKPKPQVTIKVEDAAPFLTVSAAADPAAVEAAMGAIEAMRNSSKDPDAPYYPMPSPLHGHNEVGPNDYPENPAIWKEFNRKEGQLDLLRVGKKNDWMAISDRVDGGASGSGPRKGYLVMKTYKKAQEYYRELRKKGAMASGAGVKIEDDSETESDNEDEKANEKAKAKAKARAVYQQNKGVKTEDQSETESDNEDEQAKVMAKAKARVIPRPNKGI >ONIVA11G15940.1 pep chromosome:AWHD00000000:11:17361261:17362634:1 gene:ONIVA11G15940 transcript:ONIVA11G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGVKTECESEAESDNEDEKAKAMVRAMLRTNKGVKTEDDSETESDNDDEKPKAMAMAKAIVILRPNRGSN >ONIVA11G15930.1 pep chromosome:AWHD00000000:11:17348432:17350249:-1 gene:ONIVA11G15930 transcript:ONIVA11G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGADGLPPGLRFDPTDGELVSRFLLRRLQGKPLPLNGVILEADPLSVPPWKLLAEHGRGDEGFFFAEARAKNGKGSRQKRTVEGGGLWQGQRVCADGEKLLVPDGGGVEVEIVWRKYLLSFFAEGERGSSGWVMHEYAVTSPAELAASPIRLYRVRFSGHGKKRKREPQSGEDGVGRARAAPQSAGTETALLEERVMPPQPAPQSVGTEDALVEERIPPPQPVPIPPIAGTEDALDVGTEDVRGRAAPQSAGTESALLEECVLPPQTAPQITGTGVALLDEVVPPPQTVSISPPAALVDAVDDADCANQGCSGVMDDSTMVFSHLPDMITLPAEEGDAAGGAALASMDYSWADFEFPEINMDELPSCIDFTTTDPSCLDIELSMGDLHEPQSTGIESDLLEEFVPQPQPVLVPPLAALVEVADSSEGPDQGCSVVMHDSSAVFTHLSDPIVLPEEEEADRPDAPAGTMSLDYQNYSLSDFEFPEYPLLDVAGDADGADQCSSNVMDDSSMVFSHLKDLITLPAEEAEADACSAAPAPSLDNQKYSSQGIIDSEAPALSDFEFPETIDEVLNSINFTMADPSCLDMEFSMDDLLDFDPPAD >ONIVA11G15920.1 pep chromosome:AWHD00000000:11:17345623:17346632:1 gene:ONIVA11G15920 transcript:ONIVA11G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGWSQGVMLTPRYGSCVATERSSYYVLTPATGVVYNLPVNPAEEHVYHVQLITCTDLTLICIWACCFHGRVQGDPYLQT >ONIVA11G15910.1 pep chromosome:AWHD00000000:11:17343609:17343971:1 gene:ONIVA11G15910 transcript:ONIVA11G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPPAFSTAATTTSPGRPPRHHLAMPTSMPAAATQHRAGGGGARRERVSVRSSNCAATSPCRSPHHVVLEEEKGSGARSLRREVAAVTATSPCLPRRRPPPHPRCHEERKARGARLL >ONIVA11G15900.1 pep chromosome:AWHD00000000:11:17318332:17337377:1 gene:ONIVA11G15900 transcript:ONIVA11G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLITHALRDALFQFAVKSRKLASPMLRALGRASTGPVTVGDDELAALRSMLRRVHAALRDADSLSVTDHSVRLWLAELGDLEYRAEDVFEELEYECHRTAQLEDLKIDLLRATALATGKRKREVAQLFAAAPAARLRRKIDDIWARYEEIASDRKKLRLRPGDGAARPAVGALVPSSSLPRCQIHGRERDLQRVVEMVCQSQPDGRRNYAVVAIVGMAGVGKTSLMQHVCGEEAVASRFDLALWVWVSQEFDVVGVTAKIVEAITRSRPDCSELSALHGTMVEHLTGKRCLLVLDDVWDDNPNHWDTITAQLSFCAPGSTVVVTTRSRMVAKMVTPNVYHLGCLSDEHCWLVCQRRASHGCTTATIDDELTNIGQQIAKKCRGVPLAAEAAGTAMSTSITRKHWTHGFIDAGGEQRPEDVGTGYFYDLVARCFFQPSPSHGIDQEKFVMHDLYQELAQFVSGNECRMIQHIVSGNECRTIQQSNLNRADKTSARHLSIVNNESHPEQELSLDSFCAQDLRTFLFLSRLEQIIHGEMPLRRKIAPYGLMTDFECLRVLDLSNTDIVEVPKSIGSLIHLRYLGLDNTRIQMLPESVGALFHLQTIKLNHCSSLTQLPHGSKLLQNLRCFEIAHSNVQMPSGIRALTSLQKLPVFVVGDGSAGCGIGELDELINIRGDLHIIGLSNLDAAQAANVNLWKKEGLQKLTLEWCDILQNSDVTLRDLQPNEANRVPDCRCVPQQNDRAAQVLQCLRPNSNLEELIIKGYNGSSFPSWVGSLPLDRLASIELKDCQNCEELPPLGCLPSLKHVVIQSLPSVQLVGPEFLGDVGDIPYNNRKKAYFAFPALESLKFRDMGAWEEWSGVKDEHFPELKYLSIVRCGKLKVLPNFTSGPKQRIRNCEKLLQPLCQNIHWNLMEYIPPSSELSYTCMAEVVCVFVSVCVQWRIQDMDGDEAKESAMDGLASETASRIPDVSKKQPKRKRTLVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGVTKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWETRDLKLLPSQLRGSLQIRRTARKLTHERILAISGTPKVHVNQKNTGSVNASQDILNLDGIRSLVEKLKSDMAQKDTKTKERSSLKASKEQKRIDHQEKELKHIKEKAEKAKRAEREKAEQKKRSKKHQEEVEREQKRRERQQAELKRQASIQKQANFMQHFLRGKKGGNMESLGNHHSMRSPHPNVFSKIEDSSATSAMDCTLSEENQLRSDEIWKLQIARWRKFYHQKELCRWSDRKNPKIELFKELKLQKCPATAPSEYVSTPSKEQSSQMEHQGSLNFSKLLDQSYDENADTSKTTNANTSSSVWLVKKLLQFDKSHRPAYYGTWTMKSSTVSARHPFKVDPLLDYDVDSDEEWEEEEPGENLSDFDNDDEEAMGEKDSKHDAEEETDNSFVVPNDYLSEDEGVQFEPLSGKLDDTCRLLSIPRVAIEELDVVLQQQKALHSFTEHALKKDRPLVIYNLDHGKAYLLDAEAITGILKVEQLCLQALCMKEYLGAPIIDVPVDINFPIKDLEIGRLNKKGPSTPVASKSISGSDLPEFVKIISSCPYGIGKLVELRKISWTGVVYLFHQIEVSNRCSLMNREIQFNPLLSLALNWKYTNTKLMPKDQVAAHLPSGP >ONIVA11G15900.2 pep chromosome:AWHD00000000:11:17318332:17337377:1 gene:ONIVA11G15900 transcript:ONIVA11G15900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLITHALRDALFQFAVKSRKLASPMLRALGRASTGPVTVGDDELAALRSMLRRVHAALRDADSLSVTDHSVRLWLAELGDLEYRAEDVFEELEYECHRTAQLEDLKIDLLRATALATGKRKREVAQLFAAAPAARLRRKIDDIWARYEEIASDRKKLRLRPGDGAARPAVGALVPSSSLPRCQIHGRERDLQRVVEMVCQSQPDGRRNYAVVAIVGMAGVGKTSLMQHVCGEEAVASRFDLALWVWVSQEFDVVGVTAKIVEAITRSRPDCSELSALHGTMVEHLTGKRCLLVLDDVWDDNPNHWDTITAQLSFCAPGSTVVVTTRSRMVAKMVTPNVYHLGCLSDEHCWLVCQRRASHGCTTATIDDELTNIGQQIAKKCRGVPLAAEAAGTAMSTSITRKHWTHGFIDAGGEQRPEDVGTGYFYDLVARCFFQPSPSHGIDQEKFVMHDLYQELAQFVSGNECRMIQHIVSGNECRTIQQSNLNRADKTSARHLSIVNNESHPEQELSLDSFCAQDLRTFLFLSRLEQIIHGEMPLRRKIAPYGLMTDFECLRVLDLSNTDIVEVPKSIGSLIHLRYLGLDNTRIQMLPESVGALFHLQTIKLNHCSSLTQLPHGSKLLQNLRCFEIAHSNVQMPSGIRALTSLQKLPVFVVGDGSAGCGIGELDELINIRGDLHIIGLSNLDAAQAANVNLWKKEGLQKLTLEWCDILQNSDVTLRDLQPNEANRVPDCRCVPQQNDRAAQVLQCLRPNSNLEELIIKGYNGSSFPSWVGSLPLDRLASIELKDCQNCEELPPLGCLPSLKHVVIQSLPSVQLVGPEFLGDVGDIPYNNRKKAYFAFPALESLKFRDMGAWEEWSGVKDEHFPELKYLSIVRCGKLKVLPNFTSGPKQRIRNCEKLLQPLCQNIHWNLMEYIPPSSELSYTCMAEVVCVFVSVCVQWRIQDMDGDEAKESAMDGLASETASRIPDVSKKQPKRKRTLVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGVTKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWETRDLKLLPSQLRGSLQIRRTARKLTHERILAISGTPKVHVNQKNTGSVNASQDILNLDGIRSLVEKLKSDMAQKDTKTKERSSLKASKEQKRIDHQEKELKHIKEKAEKAKRAEREKAEQKKRSKKHQEEVEREQKRRERQQAELKRQASIQKQANFMQHFLRGKKGGNMESLGNHHSMRSPHPNVFSKIEDSSATSAMDCTLSEENQLRSDEIWKLQIARWRKFYHQKELCRWSDRKNPKIELFKELKLQKCPATAPSEYVSTPSKEQSSQMEHQGSLNFSKLLDQSYDENADTSKTTNANTSSSVWLVKKLLQFDKSHRPAYYGTWTMKSSTVSARHPFKVDPLLDYDVDSDEEWEEEEPGENLSDFDNDDEEAMGEKDSKHDAEEETDNSFVVPNDYLSEDEGVQFEPLSGKLDDTCRLLSIPRVAIEELDVVLQQQKALHSFTEHALKKDRPLVIYNLDHGKAYLLDAEAITGILKVEQLCLQALCMKEYLGAPIIDVPVDINFPIKDLEIGRLNKKGPSTPVASKSISGSDLPEFVKKDILDWCGLSLPPDSVLSAILIEVSNRCSLMNREIQFNPLLSLALNWKYTNTKLMPKDQVAAHLPSGP >ONIVA11G15900.3 pep chromosome:AWHD00000000:11:17318332:17337377:1 gene:ONIVA11G15900 transcript:ONIVA11G15900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLITHALRDALFQFAVKSRKLASPMLRALGRASTGPVTVGDDELAALRSMLRRVHAALRDADSLSVTDHSVRLWLAELGDLEYRAEDVFEELEYECHRTAQLEDLKIDLLRATALATGKRKREVAQLFAAAPAARLRRKIDDIWARYEEIASDRKKLRLRPGDGAARPAVGALVPSSSLPRCQIHGRERDLQRVVEMVCQSQPDGRRNYAVVAIVGMAGVGKTSLMQHVCGEEAVASRFDLALWVWVSQEFDVVGVTAKIVEAITRSRPDCSELSALHGTMVEHLTGKRCLLVLDDVWDDNPNHWDTITAQLSFCAPGSTVVVTTRSRMVAKMVTPNVYHLGCLSDEHCWLVCQRRASHGCTTATIDDELTNIGQQIAKKCRGVPLAAEAAGTAMSTSITRKHWTHGFIDAGGEQRPEDVGTGYFYDLVARCFFQPSPSHGIDQEKFVMHDLYQELAQFVSGNECRMIQHIVSGNECRTIQQSNLNRADKTSARHLSIVNNESHPEQELSLDSFCAQDLRTFLFLSRLEQIIHGEMPLRRKIAPYGLMTDFECLRVLDLSNTDIVEVPKSIGSLIHLRYLGLDNTRIQMLPESVGALFHLQTIKLNHCSSLTQLPHGSKLLQNLRCFEIAHSNVQMPSGIRALTSLQKLPVFVVGDGSAGCGIGELDELINIRGDLHIIGLSNLDAAQAANVNLWKKEGLQKLTLEWCDILQNSDVTLRDLQPNEANRVPDCRCVPQQNDRAAQVLQCLRPNSNLEELIIKGYNGSSFPSWVGSLPLDRLASIELKDCQNCEELPPLGCLPSLKHVVIQSLPSVQLVGPEFLGDVGDIPYNNRKKAYFAFPALESLKFRDMGAWEEWSGVKDEHFPELKYLSIVRCGKLKVLPNFTSGPKQRIRNCEKLLQPLCQNIHWNLMEYIPPSSELSYTCMAEVVCVFVSVCVQWRIQDMDGDEAKESAMDGLASETASRIPDVSKKQPKRKRTLVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGVTKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWEVMNEDSYPHKKKERKRMAIHMFLFIT >ONIVA11G15900.4 pep chromosome:AWHD00000000:11:17318332:17337377:1 gene:ONIVA11G15900 transcript:ONIVA11G15900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLITHALRDALFQFAVKSRKLASPMLRALGRASTGPVTVGDDELAALRSMLRRVHAALRDADSLSVTDHSVRLWLAELGDLEYRAEDVFEELEYECHRTAQLEDLKIDLLRATALATGKRKREVAQLFAAAPAARLRRKIDDIWARYEEIASDRKKLRLRPGDGAARPAVGALVPSSSLPRCQIHGRERDLQRVVEMVCQSQPDGRRNYAVVAIVGMAGVGKTSLMQHVCGEEAVASRFDLALWVWVSQEFDVVGVTAKIVEAITRSRPDCSELSALHGTMVEHLTGKRCLLVLDDVWDDNPNHWDTITAQLSFCAPGSTVVVTTRSRMVAKMVTPNVYHLGCLSDEHCWLVCQRRASHGCTTATIDDELTNIGQQIAKKCRGVPLAAEAAGTAMSTSITRKHWTHGFIDAGGEQRPEDVGTGYFYDLVARCFFQPSPSHGIDQEKFVMHDLYQELAQFVSGNECRMIQHIVSGNECRTIQQSNLNRADKTSARHLSIVNNESHPEQELSLDSFCAQDLRTFLFLSRLEQIIHGEMPLRRKIAPYGLMTDFECLRVLDLSNTDIVEVPKSIGSLIHLRYLGLDNTRIQMLPESVGALFHLQTIKLNHCSSLTQLPHGSKLLQNLRCFEIAHSNVQMPSGIRALTSLQKLPVFVVGDGSAGCGIGELDELINIRGDLHIIGLSNLDAAQAANVNLWKKEGLQKLTLEWCDILQNSDVTLRDLQPNEANRVPDCRCVPQQNDRAAQVLQCLRPNSNLEELIIKGYNGSSFPSWVGSLPLDRLASIELKDCQNCEELPPLGCLPSLKHVVIQSLPSVQLVGPEFLGDVGDIPYNNRKKAYFAFPALESLKFRDMGAWEEWSGVKDEHFPELKYLSIVRCGKLKVLPNFTSGPKQRIRNCEKLLQPLCQNIHWNLMEYIPPSSELSYTCMAEGFQMDGDEAKESAMDGLASETASRIPDVSKKQPKRKRTLVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGVTKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWETRDLKLLPSQLRGSLQIRRTARKLTHERILAISGTPKVHVNQKNTGSVNASQDILNLDGIRSLVEKLKSDMAQKDTKTKERSSLKASKEQKRIDHQEKELKHIKEKAEKAKRAEREKAEQKKRSKKHQEEVEREQKRRERQQAELKRQASIQKQANFMQHFLRGKKGGNMESLGNHHSMRSPHPNVFSKIEDSSATSAMDCTLSEENQLRSDEIWKLQIARWRKFYHQKELCRWSDRKNPKIELFKELKLQKCPATAPSEYVSTPSKEQSSQMEHQGSLNFSKLLDQSYDENADTSKTTNANTSSSVWLVKKLLQFDKSHRPAYYGTWTMKSSTVSARHPFKVDPLLDYDVDSDEEWEEEEPGENLSDFDNDDEEAMGEKDSKHDAEEETDNSFVVPNDYLSEDEGVQFEPLSGKLDDTCRLLSIPRVAIEELDVVLQQQKALHSFTEHALKKDRPLVIYNLDHGKAYLLDAEAITGILKVEQLCLQALCMKEYLGAPIIDVPVDINFPIKDLEIGRLNKKGPSTPVASKSISGSDLPEFVKIISSCPYGIGKLVELRKISWTGVVYLFHQIEVSNRCSLMNREIQFNPLLSLALNWKYTNTKLMPKDQVAAHLPSGP >ONIVA11G15900.5 pep chromosome:AWHD00000000:11:17318332:17337377:1 gene:ONIVA11G15900 transcript:ONIVA11G15900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLITHALRDALFQFAVKSRKLASPMLRALGRASTGPVTVGDDELAALRSMLRRVHAALRDADSLSVTDHSVRLWLAELGDLEYRAEDVFEELEYECHRTAQLEDLKIDLLRATALATGKRKREVAQLFAAAPAARLRRKIDDIWARYEEIASDRKKLRLRPGDGAARPAVGALVPSSSLPRCQIHGRERDLQRVVEMVCQSQPDGRRNYAVVAIVGMAGVGKTSLMQHVCGEEAVASRFDLALWVWVSQEFDVVGVTAKIVEAITRSRPDCSELSALHGTMVEHLTGKRCLLVLDDVWDDNPNHWDTITAQLSFCAPGSTVVVTTRSRMVAKMVTPNVYHLGCLSDEHCWLVCQRRASHGCTTATIDDELTNIGQQIAKKCRGVPLAAEAAGTAMSTSITRKHWTHGFIDAGGEQRPEDVGTGYFYDLVARCFFQPSPSHGIDQEKFVMHDLYQELAQFVSGNECRMIQHIVSGNECRTIQQSNLNRADKTSARHLSIVNNESHPEQELSLDSFCAQDLRTFLFLSRLEQIIHGEMPLRRKIAPYGLMTDFECLRVLDLSNTDIVEVPKSIGSLIHLRYLGLDNTRIQMLPESVGALFHLQTIKLNHCSSLTQLPHGSKLLQNLRCFEIAHSNVQMPSGIRALTSLQKLPVFVVGDGSAGCGIGELDELINIRGDLHIIGLSNLDAAQAANVNLWKKEGLQKLTLEWCDILQNSDVTLRDLQPNEANRVPDCRCVPQQNDRAAQVLQCLRPNSNLEELIIKGYNGSSFPSWVGSLPLDRLASIELKDCQNCEELPPLGCLPSLKHVVIQSLPSVQLVGPEFLGDVGDIPYNNRKKAYFAFPALESLKFRDMGAWEEWSGVKDEHFPELKYLSIVRCGKLKVLPNFTSGPKQRIRNCEKLLQPLCQNIHWNLMEYIPPSSELSYTCMAEGFQMDGDEAKESAMDGLASETASRIPDVSKKQPKRKRTLVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGVTKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWETRDLKLLPSQLRGSLQIRRTARKLTHERILAISGTPKVHVNQKNTGSVNASQDILNLDGIRSLVEKLKSDMAQKDTKTKERSSLKASKEQKRIDHQEKELKHIKEKAEKAKRAEREKAEQKKRSKKHQEEVEREQKRRERQQAELKRQASIQKQANFMQHFLRGKKGGNMESLGNHHSMRSPHPNVFSKIEDSSATSAMDCTLSEENQLRSDEIWKLQIARWRKFYHQKELCRWSDRKNPKIELFKELKLQKCPATAPSEYVSTPSKEQSSQMEHQGSLNFSKLLDQSYDENADTSKTTNANTSSSVWLVKKLLQFDKSHRPAYYGTWTMKSSTVSARHPFKVDPLLDYDVDSDEEWEEEEPGENLSDFDNDDEEAMGEKDSKHDAEEETDNSFVVPNDYLSEDEGVQFEPLSGKLDDTCRLLSIPRVAIEELDVVLQQQKALHSFTEHALKKDRPLVIYNLDHGKAYLLDAEAITGILKVEQLCLQALCMKEYLGAPIIDVPVDINFPIKDLEIGRLNKKGPSTPVASKSISGSDLPEFVKKDILDWCGLSLPPDSVLSAILIEVSNRCSLMNREIQFNPLLSLALNWKYTNTKLMPKDQVAAHLPSGP >ONIVA11G15900.6 pep chromosome:AWHD00000000:11:17318332:17337377:1 gene:ONIVA11G15900 transcript:ONIVA11G15900.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLITHALRDALFQFAVKSRKLASPMLRALGRASTGPVTVGDDELAALRSMLRRVHAALRDADSLSVTDHSVRLWLAELGDLEYRAEDVFEELEYECHRTAQLEDLKIDLLRATALATGKRKREVAQLFAAAPAARLRRKIDDIWARYEEIASDRKKLRLRPGDGAARPAVGALVPSSSLPRCQIHGRERDLQRVVEMVCQSQPDGRRNYAVVAIVGMAGVGKTSLMQHVCGEEAVASRFDLALWVWVSQEFDVVGVTAKIVEAITRSRPDCSELSALHGTMVEHLTGKRCLLVLDDVWDDNPNHWDTITAQLSFCAPGSTVVVTTRSRMVAKMVTPNVYHLGCLSDEHCWLVCQRRASHGCTTATIDDELTNIGQQIAKKCRGVPLAAEAAGTAMSTSITRKHWTHGFIDAGGEQRPEDVGTGYFYDLVARCFFQPSPSHGIDQEKFVMHDLYQELAQFVSGNECRMIQHIVSGNECRTIQQSNLNRADKTSARHLSIVNNESHPEQELSLDSFCAQDLRTFLFLSRLEQIIHGEMPLRRKIAPYGLMTDFECLRVLDLSNTDIVEVPKSIGSLIHLRYLGLDNTRIQMLPESVGALFHLQTIKLNHCSSLTQLPHGSKLLQNLRCFEIAHSNVQMPSGIRALTSLQKLPVFVVGDGSAGCGIGELDELINIRGDLHIIGLSNLDAAQAANVNLWKKEGLQKLTLEWCDILQNSDVTLRDLQPNEANRVPDCRCVPQQNDRAAQVLQCLRPNSNLEELIIKGYNGSSFPSWVGSLPLDRLASIELKDCQNCEELPPLGCLPSLKHVVIQSLPSVQLVGPEFLGDVGDIPYNNRKKAYFAFPALESLKFRDMGAWEEWSGVKDEHFPELKYLSIVRCGKLKVLPNFTSGPKQRIRNCEKLLQPLCQNIHWNLMEYIPPSSELSYTCMAEVVCVFVSVCVQWRIQDMDGDEAKESAMDGLASETASRIPDVSKKQPKRKRTLVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGVTKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWEVMNEDSYPHKKKERKRMAIHMFLFIT >ONIVA11G15900.7 pep chromosome:AWHD00000000:11:17318332:17336371:1 gene:ONIVA11G15900 transcript:ONIVA11G15900.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLITHALRDALFQFAVKSRKLASPMLRALGRASTGPVTVGDDELAALRSMLRRVHAALRDADSLSVTDHSVRLWLAELGDLEYRAEDVFEELEYECHRTAQLEDLKIDLLRATALATGKRKREVAQLFAAAPAARLRRKIDDIWARYEEIASDRKKLRLRPGDGAARPAVGALVPSSSLPRCQIHGRERDLQRVVEMVCQSQPDGRRNYAVVAIVGMAGVGKTSLMQHVCGEEAVASRFDLALWVWVSQEFDVVGVTAKIVEAITRSRPDCSELSALHGTMVEHLTGKRCLLVLDDVWDDNPNHWDTITAQLSFCAPGSTVVVTTRSRMVAKMVTPNVYHLGCLSDEHCWLVCQRRASHGCTTATIDDELTNIGQQIAKKCRGVPLAAEAAGTAMSTSITRKHWTHGFIDAGGEQRPEDVGTGYFYDLVARCFFQPSPSHGIDQEKFVMHDLYQELAQFVSGNECRMIQHIVSGNECRTIQQSNLNRADKTSARHLSIVNNESHPEQELSLDSFCAQDLRTFLFLSRLEQIIHGEMPLRRKIAPYGLMTDFECLRVLDLSNTDIVEVPKSIGSLIHLRYLGLDNTRIQMLPESVGALFHLQTIKLNHCSSLTQLPHGSKLLQNLRCFEIAHSNVQMPSGIRALTSLQKLPVFVVGDGSAGCGIGELDELINIRGDLHIIGLSNLDAAQAANVNLWKKEGLQKLTLEWCDILQNSDVTLRDLQPNEANRVPDCRCVPQQNDRAAQVLQCLRPNSNLEELIIKGYNGSSFPSWVGSLPLDRLASIELKDCQNCEELPPLGCLPSLKHVVIQSLPSVQLVGPEFLGDVGDIPYNNRKKAYFAFPALESLKFRDMGAWEEWSGVKDEHFPELKYLSIVRCGKLKVLPNFTSGPKQRIRNCEKLLQPLCQNIHWNLMEYIPPSSELSYTCMAEVVCVFVSVCVQWRIQDMDGDEAKESAMDGLASETASRIPDVSKKQPKRKRTLVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGVTKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWEVMNEDSYPHKKKERKRMAIHMFLFIT >ONIVA11G15890.1 pep chromosome:AWHD00000000:11:17304008:17308705:1 gene:ONIVA11G15890 transcript:ONIVA11G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGSSSREGEQQEPANERLTATGGGGGGGGGSPVSDQELSDGEEVSDGEYQAGDDFSGYAVRGRGFVEKEHIFDKVVTPSDVGNLGRLVIPWQHAECYFPRDVPANEREGVVLRFEDDAGKPWRFLYRGSSLTLGWSHFFRKNRLDAGDMVSFYRGASEATRDRLFIHSKRRMHILPTLGYSDPQVHINRLFQLLVRVRTMVSSFGKSGSPSPPNSPLPTVQNFTYKALKDCLQDLHYYSLLSMMGRNHKSQSTSAKIRDTIPTLDALIQLRSLSDPVFRIPAAATSHCILLCRGVLGIMGFLCSDENMKEDHRMLQVPAFDDLNYSAQVKITRMKEQTMPSSLADPIYLLPTAIRNLLYLDLSNCSDIVQLPPSLGSSLHMLSALNLSCCYSLRALPDSLVCLYDLQILLLSFCHNLQNLPVSFGDLSNLRLLDLSGCRSLRLFPSSFVNLGSLENLNLSDCIRLMGIPQNFEDLQKLEYLNFAGCYRVDLPVYCLTNLVNLKCLTLSNHTDIKDFPYSFTDLKRHLYLSRWWKYNRVHTQCNLKSYRCHQQRIINSLLSDGSDEGDITSEQSLTSICIFGESGTGKTELLHEIYNDQKILEGFHLRIWINMCDKKRLLEKIIEFTACAYCYDAPSSILEETVREELNGKRFLLVLNDADIENQCFWTDVWKVSNVGAAGSALIVTTRSKEVASLFGAMKPYYMNPLSKEECFMVFQEHAGCGFDINNDHELTKVGWKIVEKCGGNLLCMKALSGLLWHSETALSEIDSLVGGIVPALRLCYDLLPSHLKQCFKFCSLFPKDYVFVKHHIIQLWISQGFVYPEEDSQPEDTGLQYFNEFLCRSFFQHCPFSNDHEDKFVMHELFHDLACSVSKDESFSSEEPFFSLPENICHLSLVISDSNTVVLTKEHRHLQSLMVVRRSATEYSSSFVPLLKILGLNDLLMKCGFLRALNLSCTTIVDLPGSIGRMKHLRFLAMNNTKIKSLPTEIGQLNTLQTLELKDCCCLIELPESTKNLMKLRHLDVQKEPGNIHVGMPSGLGQLTDLQTLTVFNIGDDLSHCSIRDLKNLSGLRGHVHITGLQNITAGDDAKEANLVGKQFLQALTLEWCCSSEEMEDESDKEIANQVLQNLQPNTSIQELAIQNYPGNSFPNWIKDSGLCMLVSITIDNSQDCNEIPYLGDLPCLKFLFIQKMYAVENFGQRSNSLTTDGKHAPGFPSLEILNLWEMYSLQFWNGTRYGDFPQLRGLSISRCPKLSNLPPLISLLYLSFHCGDQLPTLSEFPSLKSLKIEGFQKLKSVSFCPEMPLLQKLEISDCKELVSIDAPLLSVSNLKVVRCPKLHFGGSWLEGCLMWEEFKR >ONIVA11G15880.1 pep chromosome:AWHD00000000:11:17297238:17299406:-1 gene:ONIVA11G15880 transcript:ONIVA11G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADHGGEKPIARALRDALFQFVVKSRKLASPMLRALGRASTGPVTVGDDELAALRSMLRRVHAALRDAERLSVADHSARLWLAELGDLEYRAEDVFEELEYECRRAAQLEDLKIDLLRAVGAAPTTGKRKREVAQLFAAAPAARLRRKIDDIWARYGEIASDRKRLRLRPGDGAARRPAAGALVPSSSLPRGEIHGRERDLQRVTDLVCRCKPDGGRNYAVVAIVGMAGVGKTSLAQHVCSEEAVASQFDLNLWAWVSQEFDVIGMTAKIVEAITRARPDCSELNALHGTMVEHLAGKRCLLVLDDVWDDNPIHWDTITAPLSCCAPGSTVVITTRSKMVAKMVTPNVYHLDCLSDEHSWYMCRRRASRGGATIDDELASIGQQIAKKCRGLPLAAEAAGTTMNTSVTREHWNHVLESNLWAGNDEAKNNVLPALKVSYDHLPAPLKRCFAFCSLFPKSFVFDKDALVQLWTAQGFIKTRGECRPEDVGAGYFYDLVARCFFQLSPSHGIGKGKYVMHDLYQELAQFVSGHECRMIHQLNLTGADKTTRHLSIVHDESNSDKELLLKSFCSHDLRTFLFLARMEQVIRGEMPCRRKIVPCGLVTDFECLRVLDLSNTDIVEVPKSIGSLIHLRYLGLDNTGIQMLPESVGALFHLQTIKLNHCSSLTQLPQGIKLLLNLRCLEIAHSNVQMPSGIRVLTSLQKLPIFKGCSVQGTILQPF >ONIVA11G15870.1 pep chromosome:AWHD00000000:11:17293279:17296784:-1 gene:ONIVA11G15870 transcript:ONIVA11G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLGQLTDLQIVTVFNIGDDLSHCSIGDLKNLCRLRGHIHITGLQNITAGDDAKEANLVDSSLGMLVSITIDDCQNCNEIPYLGDLPSLKYLFIQKMYVVESFGQRSNSLTTDGRCTESLGDILFAILEWNKQGGFPTASLSLSTNDTAAQVLQYLRPNSNLEELIMKGYNGSSFPSWVGSLPLDRDMGAWQEWSEFKDEHFPQLKYLSIVRCAKLKVRGKNIRRNLMKYIPPPSELSYACMAEGQYSAKFAYNSFQGHGNVVEKCRFFMWLVVHNCCWMVLADEFCHTRIIFLIVTNRTKQLITCLQTVSSRDNFGTPSYSGLA >ONIVA11G15860.1 pep chromosome:AWHD00000000:11:17285168:17289347:1 gene:ONIVA11G15860 transcript:ONIVA11G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPPRLRAYPLALFFLPVALLGVLAGAAPVRGDLRRVVEVEGEPRSVVWAVQLSDLHLSAFHPERAADFRRHVGGALAMVNPSLVLITGDLTDAKSKDLLSSRQEKSEWLEYEELIDEVVGLSGLNKEIFYDLRGNHDSYGVPEVGGMFDLYEKHSINARLGRTGNVQSITLQNSGWKHLFVGFDSAASIGLRSPANVFGQPTDQLLVELDAALSQWDNYSSTSAVTKVTFGHFPMSFSSSTTSGGSLRDVFLKHSLSAYLCGHLHTNFGRNLKRHHRSDRNHLSAKQYYQTNIHEGISTSIGSNNCSTTTESVAEFWEWEMGDWRSARSMRILAIDSGHVSYTDIDFRFGSMDVIIVPTFPLDSRFMQRLSTPHDLNCQANSTILEKDMEMTSGEGARGAMYTVPWNWKAYVDESPDRYWLQIEAKDMTGKIYYSQLRPFSVNGLTAKVRWTWKEFRVMGCQWGQLYQPIMWSTLAFLCLLILIPRTLLMLYENHMLKCCSSKTAAGSSGRHLLISFEYFAAELSKMYSVWSGMLIYLLYLVFFPWFAGNAVTENHNKMYLYYKGWSTSNLANVSTAAPYIGLPDVMVIVLPHLLFVVLPAFLIIAAIAANRAAYLVHISHKAKKDDDHYEERKCIQHVWIFRCFRKFLILLCLPIAWRHWKHCRAIVRAYEANPFMDAPIYCFGVPLLVCLAIYRASAI >ONIVA11G15860.2 pep chromosome:AWHD00000000:11:17285168:17289347:1 gene:ONIVA11G15860 transcript:ONIVA11G15860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPPRLRAYPLALFFLPVALLGVLAGAAPVRGDLRRVVEVEGEPRSVVWAVQLSDLHLSAFHPERAADFRRHVGGALAMVNPSLVLITGDLTDAKSKDLLSSRQEKSEWLEYEELIDEVVGLSGLNKEIFYDLRGNHDSYGVPEVGGMFDLYEKHSINARLGRTGNVQSITLQNSGWKHLFVGFDSAASIGLRSPANVFGQPTDQLLVELDAALSQWDNYSSTSAVTKVTFGHFPMSFSSSTTSGGSLRDVFLKHSLSAYLCGHLHTNFGRNLKRHHRSDRNHLSAKQYYQTNIHEGISTSIGSNNCSTTTESVAEFWEWEMGDWRSARSMRILAIDSGHVSYTDIDFRFGSMDVIIVPTFPLDSRFMQRLSTPHDLNCQANSTSHFGMVRTLVFSKYKIISVSVKIYDSFSGSHHLVLEKDMEMTSGEGARGAMYTVPWNWKAYVDESPDRYWLQIEAKDMTGKIYYSQLRPFSVNGLTAKVRWTWKEFRVMGCQWGQLYQPIMWSTLAFLCLLILIPRTLLMLYENHMLKCCSSKTAAGSSGRHLLISFEYFAAELSKMYSVWSGMLIYLLYLVFFPWFAGNAVTENHNKMYLYYKGWSTSNLANVSTAAPYIGLPDVMVIVLPHLLFVVLPAFLIIAAIAANRAAYLVHISHKAKKDDDHYEERKCIQHVWIFRCFRKFLILLCLPIAWRHWKHCRAIVRAYEANPFMDAPIYCFGVPLLVCLAIYRASAI >ONIVA11G15860.3 pep chromosome:AWHD00000000:11:17285168:17289078:1 gene:ONIVA11G15860 transcript:ONIVA11G15860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPPRLRAYPLALFFLPVALLGVLAGAAPVRGDLRRVVEVEGEPRSVVWAVQLSDLHLSAFHPERAADFRRHVGGALAMVNPSLVLITGDLTDAKSKDLLSSRQEKSEWLEYEELIDEVVGLSGLNKEIFYDLRGNHDSYGVPEVGGMFDLYEKHSINARLGRTGNVQSITLQNSGWKHLFVGFDSAASIGLRSPANVFGQPTDQLLVELDAALSQWDNYSSTSAVTKVTFGHFPMSFSSSTTSGGSLRDVFLKHSLSAYLCGHLHTNFGRNLKRHHRSDRNHLSAKQYYQTNIHEGISTSIGSNNCSTTTESVAEFWEWEMGDWRSARSMRILAIDSGHVSYTDIDFRFGSMDVIIVPTFPLDSRFMQRLSTPHDLNCQANSTSHFGMVRTLVFSKYKIISVSVKIYDSFSGSHHLVLEKDMEMTSGEGARGAMYTVPWNWKAYVDESPDRYWLQIEAKDMTGKIYYSQLRPFSVNGLTAKVRWTWKEFRVMGCQWGQLYQPIMWSTLAFLCLLILIPRTLLMLYENHMLKCCSSKTAAGSSGRHLLISFEYFAAELSKMYSVWSGMLIYLLYLVFFPWFAGNAVTENHNKMYLYYKGWSTSNLANVSTAAPYIGLPDVMVIVLPHLLFVVLPAFLIIAAIAANRAAYLVHISHKAKKDDDHYEERKCIQHVWIFRCFRKFLILLCLPIAWRHWKHCRAIVRAYEANPFMDAPIYCFGVPLLVCLAIYRASAI >ONIVA11G15850.1 pep chromosome:AWHD00000000:11:17256029:17257556:-1 gene:ONIVA11G15850 transcript:ONIVA11G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 family protein [Source:Projected from Arabidopsis thaliana (AT2G06925) TAIR;Acc:AT2G06925] MGDAQRRQQLLLVALVLAAAADHSLAGFFGGAPPASGPAAAAADNDEKCSRTCESEHCVGTYAQAPLMRYGKYCGVSYTGCPGEAPCDALDACCMLHDACVQATDNDYLNMLCNQSLLDCVAAVRSPAARIRTFEGNQCNVTDVADEITSLVEAAVFAKRILHRP >ONIVA11G15840.1 pep chromosome:AWHD00000000:11:17243491:17244942:-1 gene:ONIVA11G15840 transcript:ONIVA11G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASETAPFGVSAASKGGGGVAGARAQHGQLAVAGRVHDALVFAAGAVAAVLVLLATASFLSPMPVTNLVAFRSLPVSVASTSAASAAIDADVGVRGGPGAAGRTFYDDSRVSYAVEVGRRGGITGWDARRAAWMRLRYPRGLNATAAGRERVVMVSGSQAPPCRGEGGDHLLLRFLKNKVDYCRLHGVELLYNNALLQPRMLAYWAKIPAVRAAMLAHPDAEWVWWVDADAVFTDMDFSLPLHKYKDHNLVVYGWNKEVYGERSWVGLNAGVFLIRNCQWSLDFMDSWARMGPASPEYARWGSVLHDTLRGKSDKESDDQSALVYLLSEHEEKWGAKTYLEKGYFFQGYWVEVVDRLDDIAARYEAAERRPSAAAAHLRRRHAEREHERYAAARNAAVRGAVPGPAGGGQSGWRRPFVTHFTGCQPCGGEPNKIYSKKSCADGMNRALNFADDQVLRNYGYRHKDPLSDEVRPLPFDYPAAR >ONIVA11G15830.1 pep chromosome:AWHD00000000:11:17227158:17233075:-1 gene:ONIVA11G15830 transcript:ONIVA11G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33270) TAIR;Acc:AT1G33270] MAPSLLAFSSSAASGLPPKPQPPSSTPPSSASASARPRGFRRFMLARAAAGRDPEPPPLPEGTEKRSLAVRTGEVFLGLAALLVRAGRGGGAAVEEVEAKDGVVWEQRPEDVDAERRRRELTGPGFSFSAAGLLFPYHLGVAQCLIDRGYLTERTPLAGSSAGAIICAVIASGNTMQDALQVTKDLADNCRSNGTAFRLGAVLKDVLDRFLPDDVHIRCNGRIRVAITQLSWRPRGLLVDQFDSKDDVISAVITSSFIPGYLAPRPATFFRNRLCIDGGLTLFMPPTSASETVRICAFPASRLGLQGIGISPDCNPENRASPRQLFNWALEPAEDEVLDKLYELGYLDAADLVTQFQEATDEESKERIVANLANFAYDPYNYTFMRQLNILELFLDCITEPNERLIEFGIGGICNSCADPANASVITQCGGIPLVIQCLSSPVKNTVNYALGALYYLCNPSTKKDILKPEVLKAVREYAAAGDANTSFRNLANAFLDKHVNS >ONIVA11G15820.1 pep chromosome:AWHD00000000:11:17221349:17226138:1 gene:ONIVA11G15820 transcript:ONIVA11G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein [Source:Projected from Arabidopsis thaliana (AT2G01070) TAIR;Acc:AT2G01070] MDLLRDRRLGALLVVVLVLVSGAAVEASIHTYDREPFREVGNAFLLSGGSEGVVADGADLAAPASSFIKFTNVTFWRTPESAESHAKMAHSTGLVQAILFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRRPSSDDPDWPYVLDTHFSGSHLSVKLEDEVVRITKTGMYNLFFISCDPKLRGLSMSGKTVWRNPGGYLPGRMAPLMKFYVFMSLAYLLVMVVWSSQYIRFWRDIMPIQNWITLIIALGLFEMTLWYFEYLNFNSSGVRPIGITTWVVTVGAIRKTISRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASELLDIAENVGTINDISGKARLFLVLPDAFLDAFLILWIFTSLSRTLEKLQARRSSVKLDIYRKFTNALAVSVIASVAWIGYEVYFKATDPFSERWQSAWIITAFWDVLAFVLLLVICYLWAPSQSSQRYAYSGEAADDDDEESQSLTKGTDGDVGMVKVDKDRSGGVSSAFSLEDEAEEDKRE >ONIVA11G15810.1 pep chromosome:AWHD00000000:11:17213261:17213650:-1 gene:ONIVA11G15810 transcript:ONIVA11G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGNDGDGSAMPVVSWKHELVSRLQLSPSFHFVPTDKELVDFHLREIQGLWGRYVVLFHGEGAIEDEEEG >ONIVA11G15800.1 pep chromosome:AWHD00000000:11:17211012:17211788:-1 gene:ONIVA11G15800 transcript:ONIVA11G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCRSHVVTAESSPPPAESNHLRTTKSPRDGLRTSEKETTPVVLALTAMAMAEAVASKKLGGTGSRRRRQTTAAPSPSFHADLGGWTVSIFLLKLRFGRGRYRWGPGCDDSEKSNPVRGGAPGQAAASGPKWFSAASRIGAPELGGQA >ONIVA11G15790.1 pep chromosome:AWHD00000000:11:17204601:17210303:-1 gene:ONIVA11G15790 transcript:ONIVA11G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G08710) TAIR;Acc:AT5G08710] MWRARRWPWPWRSQRRAARMLSSGEPAAGWRRVAALWGNGDYGRLGMGALESRWSPTACPFFLTGRPGDDDDDPPASLACGGAHTLFLTESGRVFAAGLNDFGQLGIGSSVTHSLEPIEVSGFDEKVVEVSAGNHHSCAVTADGKLFAWGRNSSGQLGLGKRAGKVVSTPRKVDCLADSRVKMVALGSEHSIATTEEGEVLSWGAAGAGRLGHGHKTSILGFSITTSEYTPRLIKNLDGVKGFGRSGDELRPTVVEEVPFSEEVACGGYHTCVVTDSGDLYSWGSNENGCLGLGGTDMVRSPEVLKSSLFKFPVSKVEIFTRGAGEVLTGHGNDVDYFEPMMVEFGKNARAVHVSCGFNHTGAIFEYSEN >ONIVA11G15790.2 pep chromosome:AWHD00000000:11:17204601:17210303:-1 gene:ONIVA11G15790 transcript:ONIVA11G15790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G08710) TAIR;Acc:AT5G08710] MWRARRWPWPWRSQRRAARMLSSGEPAAGWRRVAALWGNGDYGRLGMGALESRWSPTACPFFLTGRPGDDDDDPPASLACGGAHTLFLTESGRVFAAGLNDFGQLGIGSSVTHSLVLSFLYIMPPCEIFIYIEPIEVSGFDEKVVEVSAGNHHSCAVTADGKLFAWGRNSSGQLGLGKRAGKVVSTPRKVDCLADSRVKMVALGSEHSIATTEEGEVLSWGAAGAGRLGHGHKTSILGFSITTSEYTPRLIKNLDGVKGFGRSGDELRPTVVEEVPFSEEVACGGYHTCVVTDSGDLYSWGSNENGCLGLGGTDMVRSPEVLKSSLFKFPVSKVEIFTRGAGEVLTGHGNDVDYFEPMMVEFGKNARAVHVSCGFNHTGAIFEYSEN >ONIVA11G15780.1 pep chromosome:AWHD00000000:11:17194033:17201173:-1 gene:ONIVA11G15780 transcript:ONIVA11G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MADDVRELLLSTTADADPSTPLSAPDLRLLIDRLRIRSDRLHASALSFASSHRAPLAAALLRAADSSASSSSLESSLASALAPLSSSPGLSDLRELSDRLLAARRELRERQEHLAAASSVADLAARLRAARASPDPLAAAAAAAELKPLLVDPEGSGSSQDEPVVFGLLRNDWEQLVDELQVMLAKNLEECVEFAPEGGKVMVRTAPVGKSGGTPGVELRVALQALEIIDAVDYGMTKLADLMIKHVLVPAISNISVAVSVEALEKSGPQYPISILCVTPTEELQGYKDGSALYSRIIDIIKFVCETICGENITWMQSFSKLTWSRISDLVIKHFISKAVPHEASKLIEFQDVVRSTTEFENTLRNMMFISHEKRDGKLTQFVDDVEVHFAVRKRNEILVKARHLLVHYDYDNPLASHDREDSIVDLLFLPEKCFISKSALQLMKLVHGALKDACLSSARVAKELCYAARDALLLYKAIVPVQLEKQLDSINQVAAIIHNDFYHLSQEILGLAFEYRADFPGDLQKLVVFVDLAPTFSQMADGVLTRQIQLVTANLIEAIDGADGFQNTHQPQHYESAKFSIEQVVFILEKIHIMWESILPRSIYKRSMCYILGSVFSRITKDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENEFLDHQTWIELDEIIRPLKKFRKLAELLDMSLKSITAAWESGELTNCGFTSSETFSKCITKLRHA >ONIVA11G15770.1 pep chromosome:AWHD00000000:11:17183101:17192022:-1 gene:ONIVA11G15770 transcript:ONIVA11G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGSESAAAKEPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRYHVHYLCHIVVYHHVWVVSHLCPYGAIFFLNSIFMNPVEGLVSIIALMLFSCLSALLIQVNSLLNMFIFNEYSWDEWVTNDRLLKLTDENIRKQQELEKSQVVDKSVKSGRSAQHKPKGSNDAKTDKEDTKIIIKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTIDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILNAAEGFLKYGTRCIRGCVRPCGHPTFGTVVITNHVNFISKKLNSKFSNLKGKVVVTVQLRTFKPWSFA >ONIVA11G15770.2 pep chromosome:AWHD00000000:11:17183101:17192022:-1 gene:ONIVA11G15770 transcript:ONIVA11G15770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGSESAAAKEPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRWDEWVTNDRLLKLTDENIRKQQELEKSQVVDKSVKSGRSAQHKPKGSNDAKTDKEDTKIIIKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTIDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILNAAEGFLKYGTRCIRGCVRPCGHPTFGTVVITNHVNFISKKLNSKFSNLKGKVVVTVQLRTFKPWSFA >ONIVA11G15770.3 pep chromosome:AWHD00000000:11:17183101:17192022:-1 gene:ONIVA11G15770 transcript:ONIVA11G15770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGSESAAAKEPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRYHVHYLCHIVVYHHVWVVSHLCPYGAIFFLNSIFMNPVEGLVSIIALMLFSCLSALLIQVNSLLNMFIFNEYSWDEWVTNDRLLKLTDENIRKQQELEKSQMQKLIKKTPRLLSRGRNAKVSLEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTIDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILNAAEGFLKYGTRCIRGCVRPCGHPTFGTVVITNHVNFISKKLNSKFSNLKGKVVVTVQLRTFKPWSFA >ONIVA11G15760.1 pep chromosome:AWHD00000000:11:17156645:17160500:1 gene:ONIVA11G15760 transcript:ONIVA11G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIDAAVTRHRPDRSTGVSDIAVEQFPYRRHDLSKEGTHWKQPSSKPSSSRQATPAACCAALRLLPRGHQPCARAAARRPLPLAACALSALPLALCGCAAAAIARSPQLPRGRNRTPPQRHEKSPQGKAKPALSIPEKLAAKSLAPIHHI >ONIVA11G15750.1 pep chromosome:AWHD00000000:11:17140949:17141396:-1 gene:ONIVA11G15750 transcript:ONIVA11G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISEVSAVLADMDYSVVEGRAWTHCGCLGCLFFLRDEETDTERMARIEAASDTSSAATPVAPTAAPWPPSPPPPRTPSAASTSSCRPTVAPAVPLPPGSQTSLHLSCCEDKKEGENAT >ONIVA11G15740.1 pep chromosome:AWHD00000000:11:17136980:17140583:-1 gene:ONIVA11G15740 transcript:ONIVA11G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGVRPPPPDPSPAPATIDYSLTYKEIAASGAPGAVDFVKNHGLYLLLLETPSGFSIFSLCGVYIHLPDAIQNIWAMFGTYRSAHDVIWLKEFQKFDDKSSAINVDTGVNKQLTEMIMKWRRPRQKLGIPCLCDEVVMDVMWAMKRLIRYFVPTETPELAEEDSLTMSQGLRMFLSRYGFEIKPEMVYNDIVRAASIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLVFSTGCIANYKKILGLNILRNDKMDQLAELVKVARIKAEHVRVPENVPEN >ONIVA11G15740.2 pep chromosome:AWHD00000000:11:17136980:17140583:-1 gene:ONIVA11G15740 transcript:ONIVA11G15740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGVRPPPPDPSPAPATIDYSLTYKEIAASGAPGAVDFVKNHGLYLLLLETPSGFSIFSLCGVYIHLPDAIQEFQKFDDKSSAINVDTGVNKQLTEMIMKWRRPRQKLGIPCLCDEVVMDVMWAMKRLIRYFVPTETPELAEEDSLTMSQGLRMFLSRYGFEIKPEMVYNDIVRAASIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLVFSTGCIANYKKILGLNILRNDKMDQLAELVKVARIKAEHVRVPENVPEN >ONIVA11G15740.3 pep chromosome:AWHD00000000:11:17136980:17140583:-1 gene:ONIVA11G15740 transcript:ONIVA11G15740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGVRPPPPDPSPAPATIDYSLTYKEIAASGAPVVMDVMWAMKRLIRYFVPTETPELAEEDSLTMSQGLRMFLSRYGFEIKPEMVYNDIVRAASIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLVFSTGCIANYKKILGLNILRNDKMDQLAELVKVARIKAEHVRVPENVPEN >ONIVA11G15730.1 pep chromosome:AWHD00000000:11:17127196:17131304:-1 gene:ONIVA11G15730 transcript:ONIVA11G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRCRRVPPAPSPALATIDYSLTYNEIAASGAPAIVFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSRKIDKSDEMFSDDVRSKLLDDADKYKDLVFPTGCIANYKKILGLNILRNDKMDQLAEFVKVARIKAEHVRVKPMLNRSLNLLQAK >ONIVA11G15720.1 pep chromosome:AWHD00000000:11:17121014:17124760:-1 gene:ONIVA11G15720 transcript:ONIVA11G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLU-ADT subunit B [Source:Projected from Arabidopsis thaliana (AT1G48520) TAIR;Acc:AT1G48520] MALTLLRGMRTPVVARRNAGLFFTTLQTPLLSRFTTRAESARAAAPKSIQLATKEAAEQKTQSFEAVIGIETHVQLSTVTKAFCSCPYSYGSQPNSTVCPTCMGHPGTLPVLNAKVVECAVKLGLALNCEIATTSKFDRKQYFYPDLPKGYQISQFDIPIAKEGYLDLDLPVEFGGGHRRFGVTRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSNFGTKVEIKNMNSFSAISRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSEYIDEIQNSMPELPEAKRRRFENMGLSMQDVLFLANDDNVARFFDSTLEHGADAKLAANWIMGDIAAYLKNEKLSIDEIKLTPLELSELIASIKNGTISGKIGKEILIELIAKGGTVKSVIEEKDLVQIADPAAIEAMVDQVLADNPKQLEQYRSGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLKANS >ONIVA11G15710.1 pep chromosome:AWHD00000000:11:17116723:17122641:1 gene:ONIVA11G15710 transcript:ONIVA11G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPAARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVRRYSSFDFLTEVVNKVPDLGGADSCGDDRALPRRRKALPNGSDPENEESRSSKMAVRSANISPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFADQGEALPGEETVPETIHGTESIPPSTHPPAEAPSAAEIPAPNPKVEEAKNDDHQPDWPMPDAIGNIGVGPSGFGHLTVQVDEDEDYDNED >ONIVA11G15700.1 pep chromosome:AWHD00000000:11:17108710:17115765:1 gene:ONIVA11G15700 transcript:ONIVA11G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAAAAAAAGEREAEIEKAFRVRLPDFRKQADSLTLEGVRRALEKDMGLEKHSLDAHKKFIKQCVDKVFSGSDDDNMNNNAPEKDEAKDDRSSKEESEDAQPTSDSNKISSNADEPVAKSSETDRDQEGDKDHSSGSDISEATIKNAIVKRASYFRENSETITLQGVRRTLEEDLKLQKKALDAYKSFISTELDNILQEPANGTKKTSKTESHKDSGQKTSKNSKRARQDSDTSEINDSHCERGDSDEDARPKKKKAEKGKAVKRQKKTTVEKQLSNSKAKKVAKKDLDKSKERSGSEEDNSNSSAQEDNKKKRQVAPAYGKRVERLKSIIKSCGMSIAPTVYRKAKMAPESKREACLIKELEDILEKEGLSTNPSEKEIKAVKKKKERAKELEGIDMSNIITSSRRRSTSNFIPLPTPKIVADSDEDDEEDAEDDNDEEVNVEGGDEGDNDDGKAGDGSADDAEHDSD >ONIVA11G15690.1 pep chromosome:AWHD00000000:11:17097033:17102152:-1 gene:ONIVA11G15690 transcript:ONIVA11G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein family (UPF0016) [Source:Projected from Arabidopsis thaliana (AT4G13590) TAIR;Acc:AT4G13590] MATAISVGVAVPAASRRRKDGAGPPLLLRRRCLVEGQVRCRLPWLRPIRHNVRVQTSNVNVGAGSYEGGEAGSHGEHLDSSATRDSNKPTKPPSGSRYPQYIAAVLLLSALASAFIVFFKGQPSAVLAMLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQRALVLLGSMAALSLMTIVSVIIGRIFQSVPAQFQTTLPIGEYAAIALLAFFGFKSIKDAWQLPDNANGNLQGNSESGELAEAEELVKEKVAKKLTSPLEVLWKSFSLVFFAEWGDRSMLATIALGAAQSPFGVASGAIAGHLVATFLAIVGGAFLANYLSEKLACRTSWTVVVGFSINAYRWEFS >ONIVA11G15680.1 pep chromosome:AWHD00000000:11:17092964:17094550:-1 gene:ONIVA11G15680 transcript:ONIVA11G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCTSIGPSQGRGVLGPPGFWPGPNKKKSPPQALGLGRQRRGAPPRPDGRRSACVSSPPPLASSSSRSAAAAGASRPGARPPLTAATAHRSRL >ONIVA11G15670.1 pep chromosome:AWHD00000000:11:17088947:17092776:-1 gene:ONIVA11G15670 transcript:ONIVA11G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTCLRDCLAELLRCGGQRQGQGRFCCPAQLSLARGMTQSRSVRQRSKKKRVHALEVATERWKVLTKVLAVIDTLKKEEEHVTPLKRLEILRSQLGLTKPNKVAHFVRRSPQLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEDHSRTAAEYVTRLLMISVDRRLAIDKIAHFRRDMGLPHDFKTRWVHMFPEQFRVVRLEDGDYLELVSWNPNWAVTELEKKTAALTGDANANDIASPPGELSLSFPMKFPPNFTSYYKFRGKVHHYVKKGNTEQFQKTTYLSPYAEPGGLTPGSPEFDKRAVAVMHEILNFTLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGIFYVSERGKRFSVFLTESYDGTELIEKCPLVRWKEKVLQLTSYRGKIKNLGKFAELSDSEDYLFGNDDSSGATDSILDVKSEDSDDIMDDGALADDTEMDGFEAAEQPANVEAWNLNSSARTAIMA >ONIVA11G15660.1 pep chromosome:AWHD00000000:11:17080923:17085555:-1 gene:ONIVA11G15660 transcript:ONIVA11G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLSRSPPPRRRRRRSPSPPRYHRGVRRACRDRSPSRGSRSPYRSSYRRKSPSPSPRRRISRSPSPRRHKSRSPSQRHYRRKRSRSVTSSPITKSQSPPVAHGPAENKNIVDKQRLEEEKKRRQKEVELRLLEEETAKRVEQAIRKQVEESLNSEEIKHEIQRRIEVGRKRIHEEVLVQIEKEKEAALVEAQHKVERERKEREELEKKLEEERKKAEEAQMKEAMEQQQKELERYQELERLQKEREEAMKRKQMEEEQQKQSQMKLLGKNKSRPKLSFALGMK >ONIVA11G15660.2 pep chromosome:AWHD00000000:11:17080923:17084509:-1 gene:ONIVA11G15660 transcript:ONIVA11G15660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHALGAPVHAVLTGYYYHDMLGDDPLNIAYTCYKSAVVSLRRKSPSPSPRRRISRSPSPRRHKSRSPSQRHYRRKRSRSVTSSPITKSQSPPVAHGPAENKNIVDKQRLEEEKKRRQKEVELRLLEEETAKRVEQAIRKQVEESLNSEEIKHEIQRRIEVGRKRIHEEVLVQIEKEKEAALVEAQHKVERERKEREELEKKLEEERKKAEEAQMKEAMEQQQKELERYQELERLQKEREEAMKRKQMEEEQQKQSQMKLLGKNKSRPKLSFALGMK >ONIVA11G15650.1 pep chromosome:AWHD00000000:11:17077596:17079664:-1 gene:ONIVA11G15650 transcript:ONIVA11G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54990) TAIR;Acc:AT1G54990] MPPASAAAGDGAAPDQEPPPSPPPPPPRPAPSLASALPFWFYLTAAVSLLALLLPHLLPSSPPPLPPLLRSHASGGSVLKLHPGPDLFAVTSKPTTTTAAAAVLVLPGLAAGSFSFRHALRSLSSRGVLAGAVDLPGQGMSPPCDAPPPPPRKSPFREIMDRGVFHAFEHLVETGEVPFQEPAAAPEPPPHARLYAPHEAAASVARAVDALGLLGAAAPVHLVLHDSALVAGAAFVSANPAAVRSVTLIDATAVLPAFPAAVLGVPVLGSMVVRVPALFRGLLRLCCAREMGAEVAEAHRAAMRMEGKRDAVFESWKALNQSFDLKEWRGSSEAVRKLPMMVLWSGSWTDRWIDEGKKVVAALPDAKFVYHSGGRWPQEDAYDELSELIAEFVTSLPTSVRSQHIDQSSEQATAQE >ONIVA11G15640.1 pep chromosome:AWHD00000000:11:17074247:17078481:1 gene:ONIVA11G15640 transcript:ONIVA11G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAATATAAPVSSSSSFFAATTRATTTRSSAQLQLSLPRRCRSWWGNGKARRSSRRRSHHCWAGGGAATPEDHMDELPPGRGRYHPFEEIAEKLQVDDGEPAHLTDAESARTIVEVNSKATVMISTLIDEGVHERIILPEFPYLTDENGDIYFEVDNDDALLESIMGDDKTAHVIIGLDNTQEGVFAVDDDDGDEDGEDEDLPRWTDLETMNSCHPLYFARMIAETSTKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPRKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKATSEFGAEKQIHELLFPRIQQEGQSPQTRQKES >ONIVA11G15640.2 pep chromosome:AWHD00000000:11:17074089:17078481:1 gene:ONIVA11G15640 transcript:ONIVA11G15640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAATATAAPVSSSSSFFAATTRATTTRSSAQLQLSLPRRCRSWWGNGKARRSSRRRSHHCWAGGGAATPEDHMDELPPGRGRYHPFEEIAEKLQVDDGEPAHLTDAESARTIVEVNSKATVMISTLIDEGVHERIILPEFPYLTDENGDIYFEVDNDDALLESIMGDDKTAHVIIGLDNTQEGVFAVDDDDGDEDGEDEDLPRWTDLETMNSCHPLYFARMIAETSTKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPRKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKATSEFGAEKQIHELLFPRIQQEGQSPQTRQKES >ONIVA11G15640.3 pep chromosome:AWHD00000000:11:17074089:17078798:1 gene:ONIVA11G15640 transcript:ONIVA11G15640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAATATAAPVSSSSSFFAATTRATTTRSSAQLQLSLPRRCRSWWGNGKARRSSRRRSHHCWAGGGAATPEDHMDELPPGRGRYHPFEEIAEKLQVDDGEPAHLTDAESARTIVEVNSKATVMISTLIDEGVHERIILPEFPYLTDENGDIYFEVDNDDALLESIMGDDKTAHVIIGLDNTQEGVFAVDDDDGDEDGEDEDLPRWTDLETMNSCHPLYFARMIAETSTKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPRKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKATSEFGAEKQIHELLFPRIQQEGQSPQTRQKES >ONIVA11G15630.1 pep chromosome:AWHD00000000:11:17059210:17070962:-1 gene:ONIVA11G15630 transcript:ONIVA11G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12930) TAIR;Acc:AT1G12930] MDAEASELRARLAAAVHALNHGGGHHHDPSARLAANQWLLALQRSPQAWGVATSLLAAPPPGHPPPPADLLFFAAQMLRRKIQCPPAAAGGCPTPQEVAHLLDALLLAAGRFCLGPPRLLTQISLALAALALRAEGGVDGLFARMRHLPDPAVMELLTVLPEEVVQDQSGDTGVDAAARCRFTRELMAHAPAVLEFLLAQSENTAAAADGVPLHERNRRILRCLLSWVRVGCFSEMPAAALAAHPLLTFAFNSLQVSFSFDVAVEVMTELVSHHQDLPQAFLSKMPYIREALLLPALANRSEKTIAGLVCLMSEVGQAAPALVAEGSVQALALADALLRCSLAHFILDSDAQTEKRNAAQEIFSPVFSSLLDALLFRAQIDTDVHGTDGELCIPDGLAQFRMNLEELLVDICLLLGAPAYINKLFSGGWGLASQSIPWKEVEVRMYALSMVYKSFGDVIGSYSKLLASSQSNIKPLLLFCASGILKSISSNACSLALRKLCEDGSSFMNEPQNLEILFWISEGMDAGNLRIEDEEEIISAITHALCSVLDKELRKSSLARLLCSSYTAVEKLIDIDRDQSLRQNPAAYTEALNLAVHGLYRMGALFGHLATSITSSLIDDDTVLVLLGIFWPLLERLSRSSHMENVSLSAAACRSLSSAIHSCGQHFQILLPKVLECLSTNFLLFQRHDCFLRTAASVIEEFGHKEEYVALCVRTFEALSSAASISTLNSSYTCDQEPDLVEAYANFTSTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESREHPSDGSPGVVLAQILARCGEGLMSNVLYALLGVSALSRVHKSATILQQLAAVCSLCERTTWKAIISWDSLCRWLQSAVKSMPSEYLRQGEAEMIVPLWLNVLHDAASDYLHSRTGDNVRNNHAYMQGKGGRTLKRIVRDFAESHRNAPMPCPS >ONIVA11G15630.2 pep chromosome:AWHD00000000:11:17059210:17070962:-1 gene:ONIVA11G15630 transcript:ONIVA11G15630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12930) TAIR;Acc:AT1G12930] MDAEASELRARLAAAVHALNHGGGHHHDPSARLAANQWLLALQRSPQAWGVATSLLAAPPPGHPPPPADLLFFAAQMLRRKIQCPPAAAGGCPTPQEVAHLLDALLLAAGRFCLGPPRLLTQISLALAALALRAEGGVDGLFARMRHLPDPAVMELLTVLPEEVVQDQSGDTGVDAAARCRFTRELMAHAPAVLEFLLAQSENTAAAADGVPLHERNRRILRCLLSWVRVGCFSEMPAAALAAHPLLTFAFNSLQVSFSFDVAVEVMTELVSHHQDLPQAFLSKMPYIREALLLPALANRSEKTIAGLVCLMSEVGQAAPALVAEGSVQALALADALLRCSLAHFILDSDAQTEKRNAAQEIFSPVFSSLLDALLFRAQIDTDVHGTDGELCIPDGLAQFRMNLEELLVDICLLLGAPAYINKLFSGGWGLASQSIPWKEVEVRMYALSMVYKSFGDVIGSYSKLLASSQSNIKPLLLFCASGILKSISSNACSLALRKLCEDGSSFMNEPQNLEILFWISEGMDAGNLRIEDEEEIISAITHALCSVLDKELRKSSLARLLCSSYTAVEKLIDIDRDQSLRQNPAAYTEALNLAVHGLYRMGALFGHLATSITSSLIDDDTVLVLLGIFWPLLERLSRSSHMENVSLSAAACRSLSSAIHSCGQHFQILLPKVLECLSTNFLLFQRHDCFLRTAASVIEEFGHKEEYVALCVRTFEALSSAASISTLNSSYTCDQEPDLVEAYANFTSTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESREHPSDGSPGVVLAQILARCGEGLMSNVLYALLGVSALSRVGTVSKSTIVHKSATILQQLAAVCSLCERTTWKAIISWDSLCRWLQSAVKSMPSEYLRQGEAEMIVPLWLNVLHDAASDYLHSRTGDNVRNNHAYMQGKGGRTLKRIVRDFAESHRNAPMPCPS >ONIVA11G15620.1 pep chromosome:AWHD00000000:11:17050629:17050910:1 gene:ONIVA11G15620 transcript:ONIVA11G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACCSSGQQGTKTQQQGSESVQQPKSTQRRWKSEAAGSDAVVMGNLDAMMEQAPPVSSVQAASPRDSCLLCHLRAAVNRTPAADPCLLDLVP >ONIVA11G15610.1 pep chromosome:AWHD00000000:11:17044030:17044248:-1 gene:ONIVA11G15610 transcript:ONIVA11G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEWPGGKRRAASCSSSSERCAAAAAAAGGCGGGSSGGASGPASEPPCRSRRRQPNRPALLSPPAGPSLA >ONIVA11G15600.1 pep chromosome:AWHD00000000:11:17035776:17041847:-1 gene:ONIVA11G15600 transcript:ONIVA11G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTTTTEKCISSSSSSSVHGGNNRREEYPKEGADDDVEAGVLGRDGEAAASPAAATTTRQRLVSLDVFRGITVALMILVDDVGGIVPAISHSPWDGVTLADFVFPFFLFIVGVSLAFAYKKVPDKMLATKKAMLRAVKLFIVGLILQGGFFHGIHELTYGVDIRKIRLMGVLQMIAIAYLVVALCEIWLRRVSSGGDIGSGSMLITRYHHQMFVGLVLVVTYLVILYGLHVPDWEYEVTSLDSTVKHFLVKCGVKGDTGPGCNAVGMIDRSVLGIQHLYAHPVYLKTEQCSMDSPRNGPLPPNAPSWCEAPFDPEGLLSSLMAIVTCLIGLQIGHVIVHFKKHNERIKRWSTLSLCLLTLGFSLHLFGLHMNKSLYSLSYTCVTTGTAGLFFVAIYLLVDVKGYKRPVFPMEWMGKHALMIFVLVACNIVPVLVQGFYWKEPSNNLLKLIGIGG >ONIVA11G15590.1 pep chromosome:AWHD00000000:11:17031420:17034678:1 gene:ONIVA11G15590 transcript:ONIVA11G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITVAGAGDGGSGGGRRTWVVEVERTLHDAPDAAAEASRWRRHCIYRVPACIKDLKPKAYQPQVVSLGPFHHGDPGLAPMEAHKRRALRHLLRRAARPLADFVAAVEAVADRLEAAYLDLGDGWRGGEARERFLEMMIVDGCFLLEVMRAAAAVSPAPAAAAAAGKPHAAAAAKEEEDYAENDPVFSRHGVLYMVPYIRRDMLMLENQLPLLVLERLLFVETERANVAHSRVSNEDHINRMVLRFLSPSARTPALGTPLGHHPLDALRRSMLHGEYQSPRRGHGAGARSDHRDIIRPAAYADDGGGDIIRSAVELYEAGIRFRRARTESLHDVRFRHGVLAMPPVAVDDSTEYMLLNMMAFERLHPGAGNDVTAYVFFMDSIIDSAKDVALLSSKGIIQNAVGSDKAVAKLFNSISKDVVLEPESALDGVQRQVNAYCRQPWNMWRANLIHTYFRSPWAFMSLAAAMFLLVMTVMQTVYTVLPFYQNKDAAGGGGGGSAAPSPM >ONIVA11G15580.1 pep chromosome:AWHD00000000:11:17026668:17027302:-1 gene:ONIVA11G15580 transcript:ONIVA11G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSDARWGRSCGRAVGEGRRAGSHAGRHPRPRGGVGSVKFGGSTVTAGSFPADGLVGLGGGAVSLVMQLGGAALLGRRFSYCLVPHSVNTSSALNFGALANVTEPGAASTPLVAGDVDTSAASSRIIVDSSTTLTFLDPVLMGPLVDELSRRITLPPVQSPDGLLQLSYEVAGREVEARERITNHIDKHLQKSILFR >ONIVA11G15570.1 pep chromosome:AWHD00000000:11:17024215:17024847:-1 gene:ONIVA11G15570 transcript:ONIVA11G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLMALRSADVEVVVELEGPQRVPGDTTGRPTGYSELVCMVERAGLRLQMPSFAWWDDTAAAPFDLFDVKTTAPSPSFVSSPSRHRRRITGRRRGAALHLSRLAPSTPAPPASPVPLRRRPCRSRLATACRLPGPIFGRRGNPSGYRRASVLRPPPSRHRPPPLPSCPADRPTDTKRERRNRGREEGREMTQPDMWGPRGSHADPAAT >ONIVA11G15560.1 pep chromosome:AWHD00000000:11:17017361:17018404:1 gene:ONIVA11G15560 transcript:ONIVA11G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYGGGMAAGMCLPDHEVEAQMRALQELGAMFSAAGGGCYNGGGGGGGYDCAAVVSGAAQSELTCNNGGGVCGGAVGMGVGAGRKREREVVEQYAAVASSAALLPIPGMMKVAAPVSRLVESGMTSTSGRSVAAVGDALVSELCAQSAEIDAVVRMECERMRAGLEQARKRQCQAVVRAASVAAARRLREKEAELDAARRRAAELEERLRQAAAESQAWCGLARSNEAVAAGLRATLDHLLLRAAAAAPAQPAEGFGDSDPLATAAADDAQSSCFDTKAHAADDAATSPAASKWSCKSCGEGDATVLLLPCRHLCLCKACEPKLDACPVCLAAKNASVHIAIN >ONIVA11G15550.1 pep chromosome:AWHD00000000:11:16970812:16972168:-1 gene:ONIVA11G15550 transcript:ONIVA11G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYVLRRPLLKPSTKRMLLMVSSLLMSSSCGGSDKPAAVSSLVRALEAASSGGAERRLGRSAEAELARRQLEWQQRWSSREGGDKPAATSNSLNDSTSPFTLTAAVAPSILPETGGHRVSRPQAPLLLPVLLELGGLRAPVSSLASLSTRLLSGGSDELGAINPNDGNVVLLVGGAGGGEAKRRFAGNSLRAAARVGASANGRRGSGCRRRPRRAGSRGRPHAVRGGGGWHKSEQWPRARAAGERAVPAGTGDGGGGPTRSSNGTLRGWLRLGPRGLWGSEQRQLERAGGGSLAGAGASGGAGASGGARRLRQCSGGFC >ONIVA11G15540.1 pep chromosome:AWHD00000000:11:16956713:16957684:-1 gene:ONIVA11G15540 transcript:ONIVA11G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEFVVAAEEYYGAVVAKAAMAKNGYYCGGAAVVSGGAQSGLTCNNGGGGGVVVSRKRGREVEQQYYVPPSSAALLPIPGMVAAPAADVAASRFVESGMACTSGRAAAAAFGDALASEVFVQSGEIDAVVRAECERLRAGVEQARKRQCQALVRAAAAAASRRLQETESQLAAARRRAADLEERLSQAAAESQAWCGLARSNEAVAAGLRATLDHLLLRAAAPPPCAPVEGCGESDGPNTADDDAQSCCFETTATKTNTRRGGGGGRWGCKACGEREAAVLLLPCRHLCLCRACEARAEACPVCLAVKKVSVVARSPADV >ONIVA11G15530.1 pep chromosome:AWHD00000000:11:16951200:16951580:-1 gene:ONIVA11G15530 transcript:ONIVA11G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTKCCSSDIAPDLTVDMVITCATTATAFVEMVPAEDATSDAYIDNLEHPKKTLTNCSTNCSSFNVMTDLTVVVVERCATTVIAFVELIGIDDNGHTTCIGTSNPLKVMPTRCSTVVLNTNDDTV >ONIVA11G15520.1 pep chromosome:AWHD00000000:11:16948423:16949529:1 gene:ONIVA11G15520 transcript:ONIVA11G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSELPAPLASKLLKFDGARQLPSSLPTTRNMTFCNEFLVTSELFVTSTFHFMTISMRWGGLVTKKRWAREGMSKMEEREIKGERGRRRERMIYGTNVVTDTWTPLLATSSSQLNNLRSNQIQYNLRKIPKGLDEKE >ONIVA11G15510.1 pep chromosome:AWHD00000000:11:16946662:16947087:1 gene:ONIVA11G15510 transcript:ONIVA11G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTESMFLNLMAFERMHPGAGNDAMAAVIFMDNLIDKARDVALLKSRGIISNLFGSDEAVAAQFNELSRGAVMSPHSSLYGVQRQVIAHCRKRRNRWRASLVHSYFRNPWVFISLVAAFILLAATVMQTIYTVIPFYTKS >ONIVA11G15500.1 pep chromosome:AWHD00000000:11:16946620:16947102:-1 gene:ONIVA11G15500 transcript:ONIVA11G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIKLALGVEWDYSVDSLHDSGSEQDESRDEGDEHPRVPEVAVHQAGSPPVPPLPAVRDHLPLHAVEAAVRAHHRSPAELVELRRHGLVAAEQVADDPSRFQQRHVPGLVDEVVHEDDGRHRVVAGAGVHPLERHQVEEHALRGIHHMKQRDGRLARRL >ONIVA11G15490.1 pep chromosome:AWHD00000000:11:16929700:16930979:-1 gene:ONIVA11G15490 transcript:ONIVA11G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTFDGSYETLSSTVKGEVNSRQTAPSLEAVTDPPVRHFRVQKAKRAASRSSNGDEVASRPSSRRSSTPRSSSPPLYDSISTCDLNPDLIFASISRGYSGELDLIRFGFALQIIPLVKALIKKYQEGEKKKGKGKAGHERKKPCLAPSFFCCSSGV >ONIVA11G15480.1 pep chromosome:AWHD00000000:11:16938488:16946637:1 gene:ONIVA11G15480 transcript:ONIVA11G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPYCAYMYSTNAMNQLCVESNTLTLRAAYEDLDDERWSGEEFVKLMVTDGCFLLEVMRAFQLQQEGKKKVEEGGDYEAGDPVFSEHGYLYLRCDIISDVLVMENQVPLLLLDKLCHVAYADNLQESVSRWPPPAPPMLVVDLQGRSPSEAAC >ONIVA11G15480.2 pep chromosome:AWHD00000000:11:16926796:16938718:1 gene:ONIVA11G15480 transcript:ONIVA11G15480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIMLVAWELWKERNSRIFSENRMEETGAAEASVMESPPSPTTAMANSGSCVVDMDRMISHDNSSELSSGEKSMVRRHSIYRVPAYIKNMTNPNAYRPQMVSLGPFHYGEPPLKPMEAHKQRAVAHMVSRSGKPRQEFTAAVEKIAEELRGAYENLGEEWSGEQFVEVMVTDGCFLLEVMRTFQNYGEIEGYAPDDPVFSKHGSLYLSDCIISDMLVIENQLPFQLLQKLISVADPDNFQDDGLNNWVLYFPSSLVTSATPVNDHLGLHPLDVLHKSVTGTHRHQKKPITFYFMPCAAELHEAGIHFKLSAENGFGGGVTFEGGVVNIPMIFLFSDAERIFLNLMAFERLHPGAGNDVTAFVFFMDLLIDTTKDVALLRSQGIIKNGLGSDEAVVDLIKKTLTKHAVLSVESSLSSVIKEVNSHYKNPWNKWRVKFRRTYFSNPWLFSPALILFVATIIQTIYTVLSFYNQR >ONIVA11G15470.1 pep chromosome:AWHD00000000:11:16921704:16922348:1 gene:ONIVA11G15470 transcript:ONIVA11G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNWLSIPWIFFRGIGGIRQYRQGLAVIEYDDSDMPCAVELHEAGIDFKVSEVAGLGGAISFRGGVLISIPKIFLLNNTDNMLLNLLALERLHPGAGNDVMAFVYFMDNLIDTAKDVAVLKSKGILQSSNGNDDEVARLILSKGLVMRGDSSIIDVLREVKAHRKKLWNTWRASFIHTYCSSPWVFISVITAFIILAATIMLTVYTIVPFYKG >ONIVA11G15460.1 pep chromosome:AWHD00000000:11:16919970:16920689:1 gene:ONIVA11G15460 transcript:ONIVA11G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDRWINDWVQYLLSYTITPTTPVDDLQGLHPLDVLQKIVSGTRRNSQMSTEDDVHMLSAAELGEAGIHIRVSTSSGFGSTVSFERGVLRVPEILLYDNAERMFLNLMAFEKLHPGAGNEVTTFVYFMDELINTARDVRLLRAKGIIKHGLGSDEAVANLINNTLTKGVVIGPNSSLTDVMLEVDAYCKKPWNICIIILRTKYFSNPWAFISLVASTVLLIASVIQTVYAILSFNSKT >ONIVA11G15450.1 pep chromosome:AWHD00000000:11:16908121:16913935:1 gene:ONIVA11G15450 transcript:ONIVA11G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETGAAEAIGMEAPPSPTAMATSGSCVVEIPTDITLSHDDGERFPGEISMVRPQRHSIYRVPEYIKDMTNRDAYRPQVVSLGPFHYGEPPLKPMEAHKQRAVAHMVSRSGKPRQEFTAAVEGIAEQLRGADGHRRLLLAGDEVEVQGYGSDDPVFSKHGRLYLYSYIISDMLLVENQLPLLLLQKLTLVADPDTEKTNLLLTLISIDGHMQDDRGINHRVLDLLSYTTTPTAPTTPVDEFLGLHPLDVLQKSVRGTRQYRQRPIGDGHMPSAAELREAGIHFKVSTGEGFAGTVSFERGVLRVPKIFLYDDAERMFLNLMAFEQLRPGAGNEVTAFVSFMDDLINTAKDVRLLRAKEIIESGLGSDEAVANLINNTLTKGSVMDEDSSLNDVMSEVDAYCKMRRNRWRAILLHTYFSNPWVFISLVAATVLLIATVIQTVYAILSFNSKT >ONIVA11G15450.2 pep chromosome:AWHD00000000:11:16908121:16914191:1 gene:ONIVA11G15450 transcript:ONIVA11G15450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETGAAEAIGMEAPPSPTAMATSGSCVVEIPTDITLSHDDGERFPGEISMVRPQRHSIYRVPEYIKDMTNRDAYRPQVVSLGPFHYGEPPLKPMEAHKQRAVAHMVSRSGKPRQEFTAAVEGIAEQLRGADGHRRLLLAGDEVEVQGYGSDDPVFSKHGRLYLYSYIISDMLLVENQLPLLLLQKLTLVADPDTEDDRGINHRVLDLLSYTTTPTAPTTPVDEFLGLHPLDVLQKSVRGTRQYRQRPIGDGHMPSAAELREAGIHFKVSTGEGFAGTVSFERGVLRVPKIFLYDDAERMFLNLMAFEQLRPGAGNEVTAFVSFMDDLINTAKDVRLLRAKEIIESGLGSDEAVANLINNTLTKGSVMDEDSSLNDVMSEVDAYCKMRRNRWRAILLHTYFSNPWVFISLVAATVLLIATVIQTVYAILSFNSKT >ONIVA11G15440.1 pep chromosome:AWHD00000000:11:16905479:16905697:1 gene:ONIVA11G15440 transcript:ONIVA11G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMKKRRRAEAEVALARGSGDKVFGKKGSWDLKSFRVQAFDEHKVIDGVRDKNLIDSGMSRNVYQFSPTTLI >ONIVA11G15430.1 pep chromosome:AWHD00000000:11:16892845:16893089:1 gene:ONIVA11G15430 transcript:ONIVA11G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCVRCTHNARGGGTATPWVFISLVAAFVMLVATLMQTFYTVMPFCNNQYSGRVHGSGARSVWRKIVR >ONIVA11G15420.1 pep chromosome:AWHD00000000:11:16890889:16892606:1 gene:ONIVA11G15420 transcript:ONIVA11G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTPSPATLCPMRYERLTNEKVDEMIHETDGGKRIKYKEFIKKHRSNRRRRDVDDSVWSPHQAGRSRGGGGITAAVSFDGGVLTVPSILTYDNAECIFDIRSST >ONIVA11G15410.1 pep chromosome:AWHD00000000:11:16869046:16882660:-1 gene:ONIVA11G15410 transcript:ONIVA11G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGRNALLDELRALMAAHSPPLHALVVPSDDAHQSEYVAERDKRLQFISGFTGIARSAGLALITMKEALLWTDGRYFLQAEKQLSDHWELMCMGEDPPVEVWIADNLSDEAVIGINPWCISVDTAQRYEHAFSKKHQTLFQLSSDLVDEVWKDRPLANTQPVVHPVEFAGCSVTGKLKELRKKLLHEKARGIIIAALDEVAWLYNIRGNDVHYSPVVHSYSIVTLHSAFFYVDNRKVSVENRSHDFEGHVVNCDSYLVKVQSYMSENGIDIRDYNMVQSDVSLLASGQLKGSAVNGSSHEGNGMNDNSKIVIDNSCCLALYSKLDEDQVLILQSPVALSKAVKNPVELDGLRKAHIRDGVAVVQYLAWLDNQMQENYGASGYFSGAKGSQKKEHVEVKLTEVSVSDKLEGFRAAKEYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDTAVFPNGTTGHALDILARTPLWKSGLDYRHGTGHGVGSYLTVHEGPHQISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLIVQDANTKFNFGDKGYLAFEHITWAPYQTKLIDATLLAPAEIEWVNTYHSDCRRILQPYLNEQEKEWLRKATEPITLGAGEEDGLDEEAEVVEDDEEEAVLAGEDAVNGGAGCVDPTADLGHQGFPLKAHSSPTTRMIISTSSRWLRAMVARGNRYGTVKFPQKVDGMAHGSTKVRLMAYK >ONIVA11G15400.1 pep chromosome:AWHD00000000:11:16857742:16866172:-1 gene:ONIVA11G15400 transcript:ONIVA11G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARDALLDELRALMAAHSPPLHALVVPSEDAHQSEYVSERDKRRQFVSGFTGSAGLALITMKEALLWTDGRYFLQAEQQLSDRWKLMRMGEDPPVEVWIADNLSDEAVVGINPWCISVDTAQRYEHAFSKKHQTLFQLSSDLIDEIWKDRPSAEALPVFVQPVEYAGRTVTEKLKELREKLLHEKARGIIIAALDEVAWLYNIRGDDVHYSPVVHSYSIVTLHSAFFYVDKRKVSVEVQNYMTDNGIDIKDYNMVQSDASLLASGQLKGSAVNGSSYGENDMNENSKVWIDSNSCCLALYSKLDQDQVLMLQSPIALPKAVKNPVELDGLRKAHIRDGAAVVQYLAWLDNQMQENYGASGYFSEAKGSQKKQHMEVKLTEVSVSDKLEGFRASKEHFKGLSFPTISSVGPNAAVIHYSPEASSCAELDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDSAVFPNGTTGHALDILARTPLWRSGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLIVKEANTKYNFGDKGYLAFEHITWAPYQTKLIDTTLLTPAEIEWVNAYHADCRKILQPYLNEQEKEWLRKATEPIAINGYPAIQLLAISVNSRINIAIDSLACHDAEMFM >ONIVA11G15390.1 pep chromosome:AWHD00000000:11:16851825:16857724:1 gene:ONIVA11G15390 transcript:ONIVA11G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVHGKATKSEGCARINLKKRRSQTFRLHRLPPDVLRVILSQLSFKEAARTSIVSRKWKRLWRCYPKLVLTGDMMLGSSSNAAGDHPTWNKTTFIRRANSVVRQLSSSSATLNKFIVKFPLLQSDADHIDRWVSLSAASRARRIVLDLCPELEKFGDKDQMYSFPLHLFSVGGNSCVKSLCLGFVSLNLLHQLSPAGNTNRLTILKKLTLHKVSIAGDLQSLLLECDALEWLSLTFCSLQHRDLVVQHQQPLQRLRHLRVLHCRLQKLELQAPNLTEFEFANHQVPLVLGDCVNLSMASVGLLLPSDGFDYACTKLPVALPHVCDRLTLSMAIRTEAPLLMKGGSVFANLRHLILNVDIDGCPKASTGVLRLACLLELAPVLEELELHMYCANTPFYTSDLDAAATSPAARLHERLRTVYMTGFYGIRGQLELAHRILRSTVALDRLIIDPTRKVDHNFRNQAYAGMGRTMATLCINKAQFPGTIHGREAFQS >ONIVA11G15390.2 pep chromosome:AWHD00000000:11:16851825:16858465:1 gene:ONIVA11G15390 transcript:ONIVA11G15390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVHGKATKSEGCARINLKKRRSQTFRLHRLPPDVLRVILSQLSFKEAARTSIVSRKWKRLWRCYPKLVLTGDMMLGSSSNAAGDHPTWNKTTFIRRANSVVRQLSSSSATLNKFIVKFPLLQSDADHIDRWVSLSAASRARRIVLDLCPELEKFGDKDQMYSFPLHLFSVGGNSCVKSLCLGFVSLNLLHQLSPAGNTNRLTILKKLTLHKVSIAGDLQSLLLECDALEWLSLTFCSLQHRDLVVQHQQPLQRLRHLRVLHCRLQKLELQAPNLTEFEFANHQVPLVLGDCVNLSMASVGLLLPSDGFDYACTKLPVALPHVCDRLTLSMAIRTEAPLLMKGGSVFANLRHLILNVDIDGCPKASTGVLRLACLLELAPVLEELELHMYCANTPFYTSDLDAAATSPAARLHERLRTVYMTGFYGIRGQLELAHRILRSTVALDRLIIDPTRKVDHNFRNQAYAGMGRTMATLCINKAQFPGTVITIL >ONIVA11G15390.3 pep chromosome:AWHD00000000:11:16853423:16857724:1 gene:ONIVA11G15390 transcript:ONIVA11G15390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVHGKATKSEGCARINLKKRRSQTFRLHRLPPDVLRVILSQLSFKEAARTSIVSRKWKRLWRCYPKLVLTGDMMLGSSSNAAGDHPTWNKTTFIRRANSVVRQLSSSSATLNKFIVKFPLLQSDADHIDRWVSLSAASRARRIVLDLCPELEKFGDKDQMYSFPLHLFSVGGNSCVKSLCLGFVSLNLLHQLSPAGNTNRLTILKKLTLHKVSIAGDLQSLLLECDALEWLSLTFCSLQHRDLVVQHQQPLQRLRHLRVLHCRLQKLELQAPNLTEFEFANHQVPLVLGDCVNLSMASVGLLLPSDGFDYACTKLPVALPHVCDRLTLSMAIRTEAPLLMKGGSVFANLRHLILNVDIDGCPKASTGVLRLACLLELAPVLEELELHMYCANTPFYTSDLDAAATSPAARLHERLRTVYMTGFYGIRGQLELAHRILRSTVALDRLIIDPTRKVDHNFRNQAYAGMGRTMATLCINKAQFPGTIHGREAFQS >ONIVA11G15380.1 pep chromosome:AWHD00000000:11:16847111:16849162:-1 gene:ONIVA11G15380 transcript:ONIVA11G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGKVSNSKGCVRSGLNKRARYGGFRLHRLPPDIICDIFSRLRFKEAARTSMVSRSWRRLWRCYPNLVFTRKTMLHGSITDDHLAATFISRRPLKNFIVKFPLLGRDDAHHIDGWVSFSAASRAGQIVLDLCPEDQEDTDMMNGMYSFPLHIFFSGDNCVRYLSLGFVSLTIPPDLNLSGFTNLKKLGLHMVSIRGDLQCLLSHCNVLEWLSLTQCSLQHRSICQKLRRLRYLCVRKCRLQKLHLQAPNLTEFELTNYPIPIVLAECLNLSVATIELVSFSDCLSYVSTELPAGGLYHVQDRLSINMTVRTESRGFAESIGRFNNLKHLILNIDVQGSSDNGSGILRLASLLEMAPCLEELELNMYCPSAPIYTKRGQLDKLSSVCVHKHLRTVRMTGFDSTRGQLELAFQILRSAPNLDRLIVDPMVRVAWSPRLDWSEQADLMLVRRMMAENRLLRSEYRHMITLL >ONIVA11G15370.1 pep chromosome:AWHD00000000:11:16841057:16842610:-1 gene:ONIVA11G15370 transcript:ONIVA11G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIHGSEEVISHEEDMVGAFALLYHHVFSYIKPMALKCAVELGIPDAIHRRGGAATLADIAADTGVHASRLTDLRCLMRLLTTSGMFAATAASDGGEPAAATSTVYTLTAASGLVVGPRGLSTVVRFAAGPVAVSPFFDMHAWLRAAPPAARSLFELAHGRSRWDAANADNDTMNAHSFVESQLLIEAVLRDHAGVFRGLGSLVDVGGGHGAVAKAIAAAFPDIKCTVMDLPHVVADAPVSDDGNLHLVAGDMFQSIPPADAVLLKYVLHCWGDDDCVRILRNCREAIPAREAGGKVIITELVLGSSASPRDANVAEAEDMHSLFLMCISGVGREEREWRAIFSDAGFGDYKITPVLGPISVIEVYP >ONIVA11G15360.1 pep chromosome:AWHD00000000:11:16832495:16833491:-1 gene:ONIVA11G15360 transcript:ONIVA11G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLRHPRAVADDAAADHPLVSSLKALRRLVFSPAAVLRPFLYAVRSEDAGAAVTSASLAALHEVMALMGPSLTSAALREWACRQLPAGAEAAAEEAVLMRMLQSLLACLRAPAATALGNQHVCTAVNTCIRVVHQAGAKGELLQRFSRHAMHELIRCVFARNRRGGEDTLLVPWNMFLISDCSSFWVTWITATAIQCYEVTIIPTSLQNRASPETENVFNDAFWESLDAVVNALDNVGQWNLELLVLNVTHRW >ONIVA11G15360.2 pep chromosome:AWHD00000000:11:16832495:16833491:-1 gene:ONIVA11G15360 transcript:ONIVA11G15360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLRHPRAVADDAAADHPLVSSLKALRRLVFSPAAVLRPFLYAVRSEDAGAAVTSASLAALHEVMALMGPSLTSAALREWACRQLPAGAEAAAEEAVLMRMLQSLLACLRAPAATALGNQHVCTAVNTCIRVVHQAGAKGELLQRFSRHAMHELIRCVFARNRRGGEDTLLVPWNMFLISDCSSFWVTWITATAIQCYEVTIIPTSLQNRASPETENVFNDAFWESLDAVVNALDNVGQWNLELLVLNVTHRW >ONIVA11G15350.1 pep chromosome:AWHD00000000:11:16828542:16829340:1 gene:ONIVA11G15350 transcript:ONIVA11G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAAVAVGASSPASSGEHHKPTVEEGGTVSASRRVVTCSRSRRWRKADPCQRVLLLSTSGRCGRTEEWFCATVHCMATGDRSEVMNRSLQRYDIHFQK >ONIVA11G15340.1 pep chromosome:AWHD00000000:11:16826806:16827706:-1 gene:ONIVA11G15340 transcript:ONIVA11G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFNLWANCKLSALCSIFGLEEAYSSKEISAWLSLLNASLCCLQYLEDKVINYGGPWWFMQLWINMYTRPSLALSAFPTTYTDDEDVSTRRCMSFGEAAIETIQYNFSASPPFSLLLFDEFASQEVTHLDGLYYRGYYVVLDILVLIS >ONIVA11G15330.1 pep chromosome:AWHD00000000:11:16823900:16825033:-1 gene:ONIVA11G15330 transcript:ONIVA11G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSEGSSVSSRHTSASPILYRVGPLEYEPAVACRCGNKAVRWISRISDNPGRWYFKCVNARSGGCDYFAWVDGPLSSFLREVLNDLRDEVWKLRREKGDFPAAVEEGRFAIRAARNELATSRKAVGEKEAIVGVLKDTNNSHGGSMRIDPGVLAFLDGAGPADVFFFSGS >ONIVA11G15320.1 pep chromosome:AWHD00000000:11:16810132:16814707:-1 gene:ONIVA11G15320 transcript:ONIVA11G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L25/Gln-tRNA synthetase, anti-codon-binding domain [Source:Projected from Arabidopsis thaliana (AT5G66860) TAIR;Acc:AT5G66860] MALCLRRAAAAAVGEALRRGASTAPWRRAASASYHHTIQALLRETAGPRAAARERRSGRVPAVLLALAGAGPGEGVAHRKLLTADRKQLGEILKQSPYFLSTPVRLQVRAGERSTAVVHSGTVLPIKFYPEKAGGTTGVVHRDETTGNILNLVLVEADEGTMLKVNLPVEFKGEDVCPGLKKGGFLQKIRTSLVYLCPAEHIPPKIEVDLANLDIGDRVSMNDIPVHPSLKLLSKNETMPVCKILASKPVE >ONIVA11G15320.2 pep chromosome:AWHD00000000:11:16810132:16814707:-1 gene:ONIVA11G15320 transcript:ONIVA11G15320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L25/Gln-tRNA synthetase, anti-codon-binding domain [Source:Projected from Arabidopsis thaliana (AT5G66860) TAIR;Acc:AT5G66860] MALCLRRAAAAAVGEALRRGASTAPWRRAASASYHHTIQALLRETAGPRAAARERRSGRVPAVLLALAGAGPGEGVAHRKLLTADRKQLGEILKQSPYFLSTPVRLQVRAGERSTAVVHSGTVLPIKVHRDETTGNILNLVLVEADEGTMLKVNLPVEFKGEDVCPGLKKGNQVSAKLTKIRTSLVYLCPAEHIPPKIEVDLANLDIGDRVSMNDIPVHPSLKLLSKNETMPVCKILASKPVE >ONIVA11G15320.3 pep chromosome:AWHD00000000:11:16810132:16814707:-1 gene:ONIVA11G15320 transcript:ONIVA11G15320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L25/Gln-tRNA synthetase, anti-codon-binding domain [Source:Projected from Arabidopsis thaliana (AT5G66860) TAIR;Acc:AT5G66860] MALCLRRAAAAAVGEALRRGASTAPWRRAASASYHHTIQALLRETAGPRAAARERRSGRVPAVLLALAGAGPGEGVAHRKLLTADRKQLGEILKQSPYFLSTPVRLQVRAGERSTAVVHSGTVLPIKVHRDETTGNILNLVLVEADEGTMLKVNLPVEFKGEDVCPGLKKGGFLQKIRTSLVYLCPAEHIPPKIEVDLANLDIGDRVSMNDIPVHPSLKLLSKNETMPVCKILASKPVE >ONIVA11G15310.1 pep chromosome:AWHD00000000:11:16802562:16803479:1 gene:ONIVA11G15310 transcript:ONIVA11G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDQPAKFANVHKVFGASNVTKLLNDLQPEQREDAVNSLAYEAEARLRDPVYGCVAYISILQLRIKQVRDQIVDARKELAAYIGPTAFAPVVAAAAPHTHYLPPADYHHQQLQAQGGGGGAGMGAAGYAHQVVQHQMAGLQVQHPHPHPHHHHHHQQMVNAQHMALVEVARDQDMLRARQAGHANAGATVAVEAPGSSSLNADTFDGGPFLLRQQPPSSVQTEPAMALPYHMEPSPPQPSSGHSHDEVSQQQQHHHHHRHHHTDGSDEGSGGAAPPG >ONIVA11G15300.1 pep chromosome:AWHD00000000:11:16799118:16799705:-1 gene:ONIVA11G15300 transcript:ONIVA11G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDVVVVVDGGHSIAGETPTSRPPQSPGSAPAPRWLQLRAYRIRSGNGAAMEEADVGPCCSGWGTAPGDEEAIFEASKSSKKHSIGVRGIIHPRPSEDELVASKKASSFVVTASMSAVEALKDQARGCAGHRHAVPVSLSSQTAGNGVPSVLPRRCRRRMRQGHQAKEVGGEDAEGVSPRLLGT >ONIVA11G15290.1 pep chromosome:AWHD00000000:11:16771251:16774199:-1 gene:ONIVA11G15290 transcript:ONIVA11G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLAGAAEAGRSSAAAALRRSLHAGGREEVESVAYRMSMLRPPPVVPRRGLPRNSCSLIGRLGGPVRPCGGSSDERPMAYTFLSVSSSPSSPPSSSPSNFRVTLNLQGELAHVSLKHLKQNDLVYVSGLLNSYHKVDPSGEKHTFYKIHVTDLNYVLDQNQRPQNDENSSDKSSMLSTTDEILTEKKYIDRLRLWQVFFASPYEWWDNRQSKPYSYYPDFKHKDTGEKLWLRADDPPWVRRQLELQDQQLAENGHRDGSRTLKNHTWKSQDFDCSPSQDFGYSDDEELLHSSGA >ONIVA11G15280.1 pep chromosome:AWHD00000000:11:16765303:16769747:1 gene:ONIVA11G15280 transcript:ONIVA11G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04420) TAIR;Acc:AT1G04420] MDCYPNCGPAGATGHGYPSTASPPLLAPSAAAVAFSPPLCGILHARTAGDQICAGNFSMQQMAVSAFTANPSSSHGNTLSGLCSRRPEIWHRRRIGSRIRAQAQSQMQYRKLGDSDLVISEVTLGTMTFGEQNTEKEAHDILSYSFDQGVNILDTAEMYPVPPRKETQGRTDLYIGSWMQSKPRDKIILATKVSGYSERSTFLRDNAKVVRVDAANIKESVEKSLSRLSTDYIDLLQIHWPDRYVPLFGEYCYNPTKWRPSVPFEEQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVQGLPKIVSIQNSYSLIVRCHFEVDLVEVCHPNNCNVGLLAYSPLAGGVLTGKYIDTNPDISKKSRLNLFPGYMERYNASLAKEATNEYVKLAKKHGLTPVQLALGFVRDRPFTASTIIGATTMDQLKENIDAFTSAPRPLAPEVLDDIESLFKRYRDPTLS >ONIVA11G15260.1 pep chromosome:AWHD00000000:11:16759715:16760618:-1 gene:ONIVA11G15260 transcript:ONIVA11G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPMHTEAGSSQFQGAFSGVPQVNMPVFSTATQNYGHVDLSGVEVVRRSVRERHSPKRLSLSGCRPPTGARRKGKKKDTNTSRNFDDEANE >ONIVA11G15260.2 pep chromosome:AWHD00000000:11:16759715:16760392:-1 gene:ONIVA11G15260 transcript:ONIVA11G15260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFSTATQNYGHVDLSGVEVVRRSVRERHSPKRLSLSGCRPPTGARRKGKKKDTNTSRNFDDEANE >ONIVA11G15250.1 pep chromosome:AWHD00000000:11:16754343:16756767:-1 gene:ONIVA11G15250 transcript:ONIVA11G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALHLFAISNYRECIRRCLSIKYLIIRDEVIKYIGEHKLYKEADGSDTSLPLGHLQCSDVSFSLAIPRRQSRRAHATSASRPTRMRFECPKMATGSWRKRGRMKLGDDAASALWSEWHHPPSHASRLARRRCPQPSSSFSSLPSELAGHTAPDPLNPKLAGHSVARFTPVGGEPEERGRHRGSQGRLPRRPCRAGRGGGGEALEPPVASGIGVSGASGRACRRRVARSLPATPRSPRTARSALPAWGGGQRGDDHGGSDETTAIAILAPRPDEPSPLDLASCHAIVETRRCHAIFETRPRHARGGEAKKFAAVERQARRPAKTAAVVASLLSSEWPPCQDRRRRLLLAATAVVPISTDAPPGHPSAVSARHCSARPHRCRGHRSARTPQCRLRSSLSNGCRCGCTAAGGGWTEDACDNVGCRRLLPVALSNQIRYGSPSLSSLHGYTLSLHPQATTMMEESGTLDGDGSSIRCDMA >ONIVA11G15240.1 pep chromosome:AWHD00000000:11:16751372:16753269:-1 gene:ONIVA11G15240 transcript:ONIVA11G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAALTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRSRADGQLVAVKYIERGDKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILGVQYSIPDYVHISPECRDLIARIFVANPATRISIPEIRNHPWFLKNLPADLMDDSKMSSQYEEPEQPMQSMDEIMQILAEATIPAAGSGGINQFLNDGLDLDDDMEDLDSDPDLDVESSGEIVYAM >ONIVA11G15230.1 pep chromosome:AWHD00000000:11:16747934:16750562:1 gene:ONIVA11G15230 transcript:ONIVA11G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEYFLFTQAAEVASQVNSWVDRVTSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDGEFRLLDGKSVLAPFMSTSKKQYLSSYDSLKVLKLPYQKGRDLRQFSMYILLPEAQDGLWSLAAKLNSEPEFLEKRIPTRQVTVGKFKLPKFKISFGFEASDLLKILGLQLPFSSKADLTGMVGSPERHNLFVSSLFHKSFVQVDEEGTEAAAASAAVVSSRSAPVTVDFVADHPFLFLIREDMTGVVLFIGHVVNPLL >ONIVA11G15220.1 pep chromosome:AWHD00000000:11:16736951:16740057:1 gene:ONIVA11G15220 transcript:ONIVA11G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J2N1] MATATAAAMVVAMSVLLLGGGEAAAPRKPVDVAFEKNYVPTWAEDHIHYVDGGREVQLYLDKSTGTGFQTRGSYLFGHFSMHIKLVAGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGEPYILQTNVFSGGKGDREQRIYLWFDPTKDYHSYSVLWNLYMIAFFVDDTPIRVFKNSKDLGVRYPFNQPMKLYSSLWNADDWATRGGREKTDWSRAPFVASYRGFHVDGCEASAEARYCATQGARWWDQPEFRDLDADQYRRLAWVRKTHTIYNYCEDRERYPAMSPECHRDRDA >ONIVA11G15210.1 pep chromosome:AWHD00000000:11:16722285:16723165:-1 gene:ONIVA11G15210 transcript:ONIVA11G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCGGALLTCEIRQRSPITRSRGLPTHERRQWHPPRRQIQWAQARGFTGSSPRGCSQRSSVVPRRCQRPQTFGGPRRCWANTSPISRPAGQPASPWLLTRASTRIGFIWFPSSMHISWRYLAFSAKNLHDQPDRLQCSCADQTGFQTLLSEFFKQAKERRPLSPRMSPTWSNVQVFVRGTHRENG >ONIVA11G15200.1 pep chromosome:AWHD00000000:11:16720016:16721863:1 gene:ONIVA11G15200 transcript:ONIVA11G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVDRISALPDDLIQRILRFAPANEAASTSLLSARWRSLWRSTGAVNLAVRLPELDRRHGYRVIHAAVSSCHDAFLRSAQAALSAAAAGGRGRHVTRLTLDLRAATMDVIDGFVHTERSFDAGAAPAPDTDVWLRLSDDVEREADRWVKRGDVVRDVVSHPAARRVEELRLSASAPAVDDDDDGGEVGRLVGVFHLDLRYVPSETLRVLDLTRCSGLRMPPAAAAAVETPRLTTLRLQACAVNANDLQRVIDAAPALATVHLDSVSFDGMEHGCYRLRLPAATTTLVLARCRTDAEPYRYRRSGGPLGTSSVEIDAPGLRSFRYAGYARRFSLASPPDMARADLHFFHDMYASASTCRDLFWRFLRNFRGVRSLKLKVSNLKHVAVAGRAATRAELLVAFPSVEHLELAGHHEPASEAAAAVAIGNLLRCCPAARHLALRLTTAPSERDSAMNGRYGCDLLRAKQQADLAESLDRFARRRKRKPPPPPVNSMDEHLNIVGLNGRSFACLTNSLRRFAIQFRMDQPNCIGVKLIKFFAENAIHLEEMRIDGGNQRMHDHINHMVERWISDSSSAKKRANHEISSEGSCDVSVVDSKQGIEASTPRFRLLPLERR >ONIVA11G15190.1 pep chromosome:AWHD00000000:11:16712440:16719309:1 gene:ONIVA11G15190 transcript:ONIVA11G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J2M8] MAFFRGLTAVSRIRSRMAQEATTLGGVRWLQMQSPSDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAIKGGEPLPEGLLWLLLTGKVPTKEQVDALSKELVTRSSVPGHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMPKSKFWEPTYEDCLNLIARLPPVASYVYRRIFKDGKTIAADNALDYAANFSHMLGFDDPKMLELMRLYVTIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIGETGSDVTTDQLKEYVWKTLKSGKVVPGFGHGVLRKTDPRYTCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENHCKKAAA >ONIVA11G15180.1 pep chromosome:AWHD00000000:11:16710919:16711702:-1 gene:ONIVA11G15180 transcript:ONIVA11G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIPGWASQNLKQPDEGTISHNGRMRRGGERQAPREVDDGDGRAAWPTATTCAVADDDGCAGWPMTSIARSPSLRRGVVAVAVEGRRRRSGGGVGLAGSGLGQRRRRLAGEVSARSPSSRSPLSLQPSPSPTPDSAAPCCLTGRLADNSALDSDTPGTPAQRRLVGRLADNWLALLFKAVELLLAVAMASGGADRRAGGAVGERRG >ONIVA11G15170.1 pep chromosome:AWHD00000000:11:16708426:16710210:1 gene:ONIVA11G15170 transcript:ONIVA11G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAVDRISDLPDDLLQRVLHFVPAKEAASTSLLSSRWRSTGAVNLAVHVRRDQEREFFSARDAFVRSAHAALAAAAGHVKRLTMHVETERMRICMQLTADAFLHRDPEDWERKHDVVAGVVSHPAARRVEELRVAAAVVEAYWPSFDGEVTSSSEGEFRLCLDAQPSETLRVLDLAGCGGLSAAAAAAGVVALPRLTTLRLRLCNLQISDLQGIIDAAPELAAVRLEFVHLMSNIHDVPFLIDAPRLRSFKYTGFARRFSLVSPAAAPPPDDTVVARAELHFLDHFHHKDADAADTVRANFWRFLHNFRGAKSLKLKVSHLKHIAVAGRAAARRALLLPLHGVERLDLTARHAEAAASGGRTTTTSTTVAIANLLRCCPNLRDLAIRLTRMVPHGSTKNGVYAHDLLRERRRADLDESARRFARRRRRGSSTKKDLDDVSGDIHGLSGRIFHCLRSSLRRVGIQFHLDEHNDCIGVRLIKFFAENAICLEEMCIDGGNQRMHDHINHMVERWIAGRRCSSAKSENGTGSSSQPDVLESSMPRFRVSPLQRR >ONIVA11G15160.1 pep chromosome:AWHD00000000:11:16705086:16706516:1 gene:ONIVA11G15160 transcript:ONIVA11G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYAHRDSPAVDQWIAYAVNQPAPDGVELDLRLGRPSLCHRDYSLRRRSAAAIDEDARPRRWRTMPPEDILFESPSSGEEDHADDEDDDDVLSDDGKKDPMAVYRRRFEPQEYSVPRGLFACAALRSLSLGSVRLALPAAAIALPSLETLLLADVTESDHERSMQRLISGCPRLADLTLEACYAKAHALSVAGLRRLRRLALRCCHGLDTVVLGDDDASPPSELQAFEYRGEVPDDFFLVTTTKHGHGVSLETVTVAYCKIDICGDEVTSRSELAMLGAFLRRFAGVEHLHLASARLGSGLHDAAAFATLPDLSTLRRLELGGCLPDDDGTIFAALIRLLDLAPNLEALSLVFHPEPLDDGDDDDGYRAYCYHKEEELHDKHLLRYNRHSVLAAPTSGAAMVAPACLRRRVREINLVHYQGGTAQRALAMYLLRSAAVIRELRCELAMGPLWIQDELVREIKGWVMNKAAVINFG >ONIVA11G15150.1 pep chromosome:AWHD00000000:11:16694518:16696170:1 gene:ONIVA11G15150 transcript:ONIVA11G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDRISDLPDDLIQRILHFAPAREAASTGLLSSRWRSLWRSTGAVNLAVLVRRRDDFFSLRDAFVRSAHAALAAAGGGHVRRLTMHVETERLPVQLTADAFLHRDAEDWGRRHDVVAGVVSHPAARRVEELRVAAVRSADGTSSDREVTEMEEGEFHLSLGGSGGTQPSTETLRVLDLTGCGGVSLPAGAALPRLTTLRLRLCVVQVEDLQGVVDSAPALATVHLESVFLAGTKEEGCCARLRFPAATALVLAKCRGHGSHHNGDDDASDCEGAMEIDAPRLRSFKYTGLPRRFSLISPAADMERADLHFLHDDGPHHYRDTTAVLRARFWRFLHNFRGVKSLKLKVTYLKAIAVAGNGKGILLPPLHGVERLDVAALHDPASETSTVAIANLLRCCPNLRDLVLRLSTVPPDSTKNGGYCRDVLRRRWQADLDESVHRLARRRGWPKPPPPPPPTKISCMNQSLDDAGGDIHGLSGRSFACLRSLLTRVGIQFRNDERSWLGVSLIKFFAENAICLEEMRVDGGNERMRDHINRRVERWIVESHML >ONIVA11G15140.1 pep chromosome:AWHD00000000:11:16693177:16694122:1 gene:ONIVA11G15140 transcript:ONIVA11G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAVHVAAAATDQPVVYTVWKRSSIGFQGTDGFSVYDSAGKLAFRVDKTTTTAAKLSPATYCLRMATAHGTPLLSLKPQLSVEEFIARDAVAALVLDMFTAPIVDVACDLGVLSYVFMSSTSGPAPCSRSCCTSPCSTSASPWSSTRWTGMWTCLACRASAASVHAVPCPMVDKKSPNYTWFVRLGDRFMDATGIIANTAEAPTSSNRPGPLATIADSRCVQGRTAQPVYPIGPVLPPASFASQPPRPPAVSLK >ONIVA11G15130.1 pep chromosome:AWHD00000000:11:16687453:16689336:1 gene:ONIVA11G15130 transcript:ONIVA11G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQPQTMPTSGGGGGGGDRLSALSDGVIGHILSFLPAKEAARAAVLSSRWRHTFAAVHTVSLVEPDPPVVDHYELARYSPGWGPPPDPNQPPPFTNVVSAALLARHRRAAVPPLRALHVSTVGYCRSDASLVDQWIAYAVNQADPAVGLDLDLRLHREPLSDKAYSLRRRRGASADHADQDTDDDNDDEDASRKRRRRWRSRSRSPVRYASIEDANLGLSPPYMYIPRSPSLSPPPPRLSCSPQGCDEDDDDDDDDDEDVISSDEKSTRGYDYTPAVHAVPSGLFSCAALRSLSLGHCLLAPPAAIALPSLETLLLARVSDAGSDVQRLISGCPRLADLTLEACATVTALTTVAGLRRLALRCCHALRTVAVDASPGPPRLQAFEYRGSVPDDTFLTIHGGASLTTVAYCKIDICGEEVTSSSELAKLSAFLRLFAGAKHLHLESARLGSGLDDAAAFATLPTFSALSHLELRGYLPNDDDDAIYAALTMILERAPNLETLSLVFHPEPLDGGDDAMLHITYYKEEELYDKHLLSYNRHSVLAAPTSGGGAMAPACLRRRVREINLVHYQGGAAQRTLAMYLLRSAAAIGELGCELAMGPLWIQDELARELEGWVMNKAAIVNIG >ONIVA11G15120.1 pep chromosome:AWHD00000000:11:16686577:16687254:-1 gene:ONIVA11G15120 transcript:ONIVA11G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVASPSEPAATVTVASLDELREVAEHDPRASEEDGGLRVAVTGVPVSQAEELHALVRDHVEAKLWSVVGEVDAMAALRSAADGAARLVELSRALDAVVRDTEDGVPVPATGPRITLLTELARDVSTQRPPPFLPRKYVVAVVEAVDIGRQAEALSYYRYSGDRWTSPESLEYSMAYFRRTAEKTRARIAALLGMTIPFEDPPIVIHILQVSSWEARYYSNSS >ONIVA11G15110.1 pep chromosome:AWHD00000000:11:16685841:16686344:1 gene:ONIVA11G15110 transcript:ONIVA11G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVASPSEPAAAVTVASLDELREVAEDDPRASEDGGLRVAVTGVPVSQAEELHALVRDHVEAKLWSVGVGDEADAAMAALRSAADGAARLVGLSRALDAVAAGHGGRSPGAGHGAPRHAAEGAIVAIDLLPSASIHYRAKPRHQPPSLILLYRQREQAVLQRILI >ONIVA11G15100.1 pep chromosome:AWHD00000000:11:16652080:16652653:-1 gene:ONIVA11G15100 transcript:ONIVA11G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKKTTSNQASRSSHVLVSAAITRTAARSFSSQQPVGSEASENNNDFSEGEVEVDQELYTCGATRCPPVPTREEDKIVLVPVGDKQWREKNFGDKSRVPNGILRAIIKEHFPGTVKFNGRDEPCWSWRHYELVPDNPKRWQQRLPSLLHRVEEDFWVCHKCPS >ONIVA11G15090.1 pep chromosome:AWHD00000000:11:16638986:16645718:-1 gene:ONIVA11G15090 transcript:ONIVA11G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTRAGAADGAGGGGEDIVEAGAEAPPPQRERLVPHSGPLSKRSGMRKSARFAESVSAPLSAPSGVAAARRAAAAANDDDEEDYVEITLDVRDDSVAVHSVKPAGGGGEDSDVTLLARTLEKRSSSFGHSVIRNASSRIKQVSQELRRLASVNRRGGGGGAGGPRFDRSKSAAAHALKGLKFISRADGGAGWPAVEKRFDDLAKDGLLPRSKFGQCIGMKELEFAGELFDALARRRNISGDSISKAELLEFWDQISDTSFDSRLQTFFDMVDKNADGRITEEEVKEIITLSASANKLSKVQEQSEEYARLIMEELDPSNLGYIELYNLEMLLLQAPSQSVRIGTTNSRNLSQMLSQNLRPTAEPNPLRRWWRRASYFLEDNWRRVWVLLLWLAICAGLFTYKFIQYRHRAVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTVTWIRNRAAVARVVPFDDNLNFHKVIAVGITVGAGLHVISHLTCDFPRLLHATDAEYEPMKRFFGDTRPPNYWWFVKGTEGWTGLVMLVLMAVAFTLATPWFRRGRLRLPRPLNRLTGFNAFWYSHHCFVIVYALLIVHGYYLFLTKDWYKKTTWMYLAVPMFLYACERLTRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFKYKSGQYIFVNCAAVSPFQWHPFSITSAPQDDYVSVHIRTLGDWTRELKNVFSRVCRPPTEGKSGLLRAEYDRDGAMTNPSFPKVLIDGPYGAPAQDYKQYDIVLLVGLGIGATPMISIIKDIINNMRQLDGDLEDGDGNDNSVSSSAAAFKTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHRDQRVGVFYCGAPVLTKELRELAQDFSRKTSTKFDFHKENF >ONIVA11G15080.1 pep chromosome:AWHD00000000:11:16629526:16635988:1 gene:ONIVA11G15080 transcript:ONIVA11G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family [Source:Projected from Arabidopsis thaliana (AT1G44960) TAIR;Acc:AT1G44960] MANSQKSPLLLLPSRRTPPPIKRRDAARRGATRCDATRRGEGDELSSGDMARGSRRKGWARGAVAFAAVAAAVAVVRRYGWDGEAAVAAFRGRRDALGPWAAPAYVAAHALTLALCPPYAILFEGAAALLFGFLPGVACVFSAKVLGASLSFWIGRAIFRLFTSAMDWLKSNKYFHIVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFKDFLLPTVVGCLPMILQNVSIVSLAGAAVASTTGSEKSRIYSYLFPVLGIMSSILISWRIKQYSSALVIPEELKNSSTNGKANVDDKAVSENTNSGETRKRR >ONIVA11G15070.1 pep chromosome:AWHD00000000:11:16621889:16625638:-1 gene:ONIVA11G15070 transcript:ONIVA11G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein [Source:Projected from Arabidopsis thaliana (AT5G07360) TAIR;Acc:AT5G07360] MREPRPPLLPLPLPHLLLVSLLSSSAAAPGAGAGAPPRTGGGGVARSIADMDTKEAVSAKDVSRKEPISGVIALKASMKYFDADFFNDSKLREMEDGAKEFNVPAFRDNRKLVALENGGLHNPSALVFKSSWSDESKISENKSFQYPHTSSVHRPSKDEDIAFMSIIELGELIRTKQVTSRELTAVFLRRLKRYGPIIESVITITDDLAYKQAKEADDLLEQGKYLGPLHGIPYGLKDIIAVPEYKTTWGSRTFENQILDVEASVYKRLKSTGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGSETAGSITYPAARCGVTALRTTFGTVARTGVMSISESLDKLGPFCRSAIDCAVVLDAIRGKDAGDPSSREVAIEDPFHVDIRQLTVGYLDSAEMEVVHVLSAKGVKLVPFKLNYTVQSVQSILNITMDVDMLAHFDNWQREQHDDDYEAQDQWPVELRRARLIPAVDYIQAQRARGKLIREVRESFTVDAFIGNVTDWELVCLGNLVGMPVAVVPTGLKSIKNPPEGGTRRRTTVTTGIYAPPDHDHVVSSFSSQDINILCVDMKNSDDELMTCHFDPCIGEQALALAMAYQSVTDHHKQRPAIDDLGPGDDIQR >ONIVA11G15060.1 pep chromosome:AWHD00000000:11:16600350:16600893:-1 gene:ONIVA11G15060 transcript:ONIVA11G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSCSGGHRKKVQLPLIQCSLCKENTIVVRTSRTPTNLGRIFYTCPDHEKDGSGCNFWYWEEGYMKYLKRNGFIDGEEATEVKKAAELKNASKFDGDVVLRQDDELKKALTDVVSIGRELVVVMKLMLVVGSIGVALLVGIMMK >ONIVA11G15050.1 pep chromosome:AWHD00000000:11:16586207:16588779:-1 gene:ONIVA11G15050 transcript:ONIVA11G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone and stilbene synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G13930) TAIR;Acc:AT5G13930] MAAAVTVEEVRRAQRAEGPATVLAIGTATPANCVYQADYPDYYFRITKSEHMVELKEKFKRMCDKSQIRKRYMHLTEEILQENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSRITHLVFCTTSGVDMPGADYQLAKMLGLRPNVSRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLAVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGSDPDEAVERPLFQMVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALGDAFTPLGISDWNSIFWVAHPGGPAILDQVEAKVGLDKERMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGHATTGEGMDWGVLFGFGPGLTVETVVLHSVPITAGAAA >ONIVA11G15040.1 pep chromosome:AWHD00000000:11:16567683:16567998:-1 gene:ONIVA11G15040 transcript:ONIVA11G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHQITLLLPSPPQLEPVRLRRSAKDVNKLRASPLDAGQRLRQQGGGVDLGQKQQPAAAPRHLPHRQY >ONIVA11G15030.1 pep chromosome:AWHD00000000:11:16552080:16553951:-1 gene:ONIVA11G15030 transcript:ONIVA11G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDEDGAATGDGGHSTATGTARRWGTWHRAMGDGAPGAGSALGGAGSAMEGGGDTTRLPPLHSPTDADAPPPRSPAAAAPPASGRVPLPPPPLHLYRWLEATGTGSGERAAAVPRAEGGGGGTSMVRVAVVPRRDPAPHPDSAVLALPHLAPCRSGGSSRRRGATAVVAGPSSARIWWQRHPSWACPHVHNGEGGRRSPGEEGRGRVTTATVGPSLVRIRRQIRI >ONIVA11G15020.1 pep chromosome:AWHD00000000:11:16547788:16549280:-1 gene:ONIVA11G15020 transcript:ONIVA11G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTANPASWVTQEEYVDWYFRVTNSEHLADLKAKMKRICDKSGIKKRHFHLTEELLADHPDFAGRAQPSLDARLDVAAAAVPELAAAAARKAIAEWGRPAGDITHLVVTTNSGGHVVGADVRLARLLGLRPTVRRTLLYLGGCSAGSGALRLAKDLAENTPGARVLVACAELNLIAFRGPEDGCLDTLILQGIFGDGAGAAVVGADPVVPVERPIFYMASASQTTIPGTEHAITGQLRKGGLDYHIAHEMPSLVGEHIAHCVADALAPLGIGIDVDVDGGGGWNGLFWAVHPGGRAILDSVEARLALAPGKLAASRRVLGEFGNMAGATVFFVLDELRRARGEGERRGCEWGVAVAFGPGVTVETMVLRAVHF >ONIVA11G15010.1 pep chromosome:AWHD00000000:11:16541545:16543527:1 gene:ONIVA11G15010 transcript:ONIVA11G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQQHAAVLAIGTANPAKCVVAQEEFVDWYFRVTQSDHLPDLKARMKRMSIKKRHFYHSEETIAGHPEFINRVLPSLDARLGIAKDAVPELAMAAAARAIAEWGRPAADVTHLVVSTNAGAHAPGADARLAALLGLRATVQRAVLYMHGCNAGCTALRLAKDIAENNRGARVLVACAEVTLPLFAAPYEARLDALVAMALFGDGAGAAVVGADPTTPVEHPIFHVVSASQATIPGTEEGVSLLLGERGLDCRISGEVAALVRGGVERCLLDALTPLGLGAGAGGWNHLFWAMHPGGRAILDAYEAALRLEPGKLAASRRVLSEYGNMSCAAIIFVLDELRRRCRRDGGEEEESDEYCEWGAMVGLGPGLTIETIVLRATGGGHVDDEGKKSIAA >ONIVA11G15000.1 pep chromosome:AWHD00000000:11:16532103:16533632:-1 gene:ONIVA11G15000 transcript:ONIVA11G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPTMETAHAAVLAIGTANPAKCVAQEEYVDWYFRVTKSDHLVDLKAKMKRMCDKSGIRKRHLCLTEDMIAAHPELLDRAAPSLDARLGIARDAVPELAMAAAARAIAEWGRPAADITHLVVSTNAGAHAPGADARLAELLGLRATVQRTVLYMHGCSAGCSALRLAKDIAESNRRARVLVACAEVFLIAFAAPDEARLDALVAASLFGDGAGAVIVGTDGDDDPGAPVEHPPIFHMLSASQTTIAGTHQRVSLQLSERGLDYKISGEVPALVRAGIERCMEDALAPLGLAGGGGGWNHLFWAMHPGGRAILDSYEAGLRLEPGKLAASRRVLSEYGNMSGAAIIFVLDELRRRRRRRDGGEEEEEESDEYCEWGAMVGVGPGLTIETMVLRASATGGHVGDEVKKSTTA >ONIVA11G14990.1 pep chromosome:AWHD00000000:11:16523276:16524699:-1 gene:ONIVA11G14990 transcript:ONIVA11G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPELVSPWLGIGLSLMMEISLSVEAVCPSAIHSAMQITFVALYAKLLKIKVSATFCSLSVFHLCSPMATPDGILRRPGFVMTSCIAGNIIGNRRSSPRRAGKIERR >ONIVA11G14980.1 pep chromosome:AWHD00000000:11:16519824:16522735:1 gene:ONIVA11G14980 transcript:ONIVA11G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHESSGLHPRERPGVSGSTGSMRIFSPPPSGAVAAGLGRRERVATAAAAMAIVAATTTTTTAAATTTMMMTSAQELHAVAAASESATRSTALAMVAPECRPKNVPTGMRASRLQDPVALVSIGGWSPNQGVPQPAIILNPSFSRHRRGLCPGRPYWAAAMGLSNHTLSTLTGSRQVEPRGKSMGISIDSEPTAIDLFKELHCSKTKGFSEPVKKAIEDMHAREVLTSPSVEDGHQAKTSIEAVS >ONIVA11G14980.2 pep chromosome:AWHD00000000:11:16519824:16522735:1 gene:ONIVA11G14980 transcript:ONIVA11G14980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHESSGLHPRERPGVSGSTGSMRIFSPPPSGAVAAGLGRRERVATAAAAMAIVAATTTTTTAAATTTMMMTSAQELHAVAAASESATRSTALAMVAPECRPKVQLQASFTHLSMSTADGWNEGLETARSGGAGTLTGSRQVEPRGKSMGISIDSEPTAIDLFKELHCSKTKGFSEPVKKAIEDMHAREVLTSPSVEDGHQAKTSIEAVS >ONIVA11G14970.1 pep chromosome:AWHD00000000:11:16513141:16516986:-1 gene:ONIVA11G14970 transcript:ONIVA11G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIGTANPPNSLSQEEYTDWYFRVTNSDHLTNLKDKMKKICHRSGIKKRHFHHTDDTFRDHPELAVRDQPSLETRQDILATAVPELAAEAAARAITEWGRPASDVTHLLRHAHARSAAHVDVLPRVLRSRRRAPRAKDLAENNPGARVLVVSAELSLTLFRAPQEGHVDTIVGQALFGDGAGAVIVGAGGDERQVF >ONIVA11G14960.1 pep chromosome:AWHD00000000:11:16511935:16513140:-1 gene:ONIVA11G14960 transcript:ONIVA11G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAQTVLPESEGGAEGQLNASGLVFRPSFELPAMVRDNIEQCLAEGVGKHVAHGGWNDLFWAVHPGGRKILDVVEDRLALAPGKLDASRHVLSEYGNMSGASIIFVLDELRRRGDMPSGGLGQKEATFNE >ONIVA11G14950.1 pep chromosome:AWHD00000000:11:16502029:16505514:1 gene:ONIVA11G14950 transcript:ONIVA11G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLICCLCTCAFEDTNHLFNECPYVRQVWSLVCRFQNFGPASASIPSDISTWWAEISHAGSKEQVRSIKGALLTTWWNIWLERNKRIFQNSSSPELSIALQIKHDIDLRILWRHRSGRGCYGLRGELGGGQDGGAATTMWGERRAKERGWSGVNAMHSGGAGQQRPRMMWKSAPCGDPVGVAVEQRQRDA >ONIVA11G14940.1 pep chromosome:AWHD00000000:11:16487974:16493787:-1 gene:ONIVA11G14940 transcript:ONIVA11G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSENAPDHDHDHDASSPAPATATAAALPPMIPACDPHDGPACLELIEVLTTRAAAVQRRVLAEVLAMNTGTDYLRRFLGDEVAAAAGGEDELAAAFKERVPVVEYEDVKPYIERIANGAPSSLISSKPITELLTSSGTSGGQPKLMPATEEELDRKTFLYNLLVPVMNKYVEGLDEGRGMYLLFVKPEITTASGMVARPVLTSYYKSRHFRRRPDSPYTRYTSPDAAILCPDSRQSMYAQLLCGLARRGEVLRVGAVFASAFLRAVKFLEGHWRALCADIRAGRADPAVVTDAACRGAVDAVLAARADPDLADAIAAECGGASWRGIVRRLWPRTKYIDVIVTGSMAQYIPLLEFYGGGLPLVSTMYASSESYFGINLRPLDPPEEVVYTLLPNMCYYEFIKVEKDGDGEKVRDGEVVDLVGVEVGAYYELVVTTFTGLYRYRVGDILQVAGFHNAAPQFRFVHRRNVVLSVDTDKTSEDDLLRAVTAAKPLLDPLSCVLAEYTAYADTSSIPGHYVLFWELTPSPSPPPPPCHDDADDAADIGEDKDKVAHIMAACCAAVEAGLDSVYRRCRSRDRSIGPLEIRVVAPGAFDALMDMCVSHGSSVNQYKTPRCIKHPDAIAVLEQRVVGRFFSDAVPHWEPLKVDGAAAAPATGSDQ >ONIVA11G14930.1 pep chromosome:AWHD00000000:11:16485814:16487044:-1 gene:ONIVA11G14930 transcript:ONIVA11G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51010) TAIR;Acc:AT5G51010] MAALQLQALRAPAAAAAPLRSSSFCTPLSLPAPAPPRRGARASTASARITMRFGVASKQAYICRDCGYIYSDRTPFDKLPDKYFCPVCGAPKRRFKPYEPKVAKNANATDARKARKEQLKKDEAVGQALPIGIAVGILALAGLFFYLNSVY >ONIVA11G14920.1 pep chromosome:AWHD00000000:11:16480357:16484538:-1 gene:ONIVA11G14920 transcript:ONIVA11G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAAEAEAERMRREKKDGVVKEVIRLERESVIPILKPKLIMKLAYLVEQDKDRGEFLKLCRRVEYTIRAWYLLQFEDLMQLYALFDPVNGVKSLEQQSLTPDEIETLELNFLTYMFQIMEKSNFKLLSDEEYDVAQSGQYLLNLPIKVDESKVDKKLLTRYFTAHPHDNLPASADKYIIFRRGIGIDRTTDYFFMEKVDVIISRVWRSLLRVTKIDRLFSKKKQLRAKKDPKKTDEVNEEVEEQEFSVERIRLEKMELRKSSTEAKPDRGIFVKHFKNIPMADMELVLPEKKNPSLTPMDWVKFLISAVLGLVTLIGSLEMPKADIWVVIAILSGLIGYCAKIYFTFQANMVSYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSYYILMEQGKATVQDLDLRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRIVCVPLKRANDIIGQTTEEMVMRAQQASTGS >ONIVA11G14910.1 pep chromosome:AWHD00000000:11:16477818:16480797:1 gene:ONIVA11G14910 transcript:ONIVA11G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase assembly factor 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51040) UniProtKB/Swiss-Prot;Acc:Q9FI44] MAAALLRRALHLHLHLRRALPSPPRAGALLLPAAASSPAHRFLSGFTTTTQQNSASTTTIDLSSDESRRRLINRLVYRSKQRGFLELDLVLGTWVEQHIHSMDEANIRALLQVLDLENPDLWKWLTSQEQPPEAVNSNPVFIAVKSKVTDNLSKHSSPETRSAPGQPWVRGWDDKRGIEGPKYGNQ >ONIVA11G14900.1 pep chromosome:AWHD00000000:11:16470733:16477634:1 gene:ONIVA11G14900 transcript:ONIVA11G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no exine formation 1 [Source:Projected from Arabidopsis thaliana (AT5G13390) TAIR;Acc:AT5G13390] MSVSVCCWFPIFHFVASRRPTRFRSRSPRRAPLRLLRVAVVFLLSGRCLLPNPSPPRKTLDPAHTPNDAMDPSGSGPRHGRLLISPSLSSPTFSTSSPSRSPAPHHDRRNSTSSPQPLLPFPSASSSRSGGGGGAAGGPRAAGALSSASQPAFAHNPRVAAALAPAAAFLLDLGGLPVFAVLAVGLAAAYLLDALRQRQGAFFTVWAALIAADVAFFFSASLSSAASASVPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPAIFTWAVVSAVGMANASYYLATFSMVFYWLFSIPRPSSFKNRKQDAPWQDTDGILGPLESCVHALYLLFVPVLFHAASHHATLFASWANVCDLLLLFFIPFLFQLYASTRGALWWITRDVRTMDQIRMANGLVALVVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGMAAHAAGKVGDAVSSVAFTGLAVLVSGAGAIVIGFPVMFLPLPMISGYYAARFFTKKSLSSYFTFVAIASMMVLWFVVHNYWDLNIWIAGMPLKSFTKYVVAAVIMAMTVPGLALLPTKLRFLVELGLTGHALLICYIENRLFNYATMYYFGFEDDVMYPSYMVLFTTFLGLALRFCYSLSLPPCFFTGLACIPIVALHFPHAQSAKRFLVLVVATGLLFVIMQPPIKLSWVYRSEFIKAAHLSDDDTSIYGFIASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYALGVGITLGIYISVQYFFQAVVLYPLLVATIVLAAVFIVFTHLPSESSTRVLPWVFSFLVVLFPITYLLEGHLRAKNFVDDEEAENFTNMLAIEGARMSLLGLYAAIFMIIALEIKFELALLLREKAADKGVTHGPPGRSSAFPPKARLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNFSTVLCFIICLILNVTLTGGSNRAIFFLAPILLLLNQDSDIIAGFGDRQRYFPVTISISVYLVLTALYRLWEETWPGSGGWALDIGGPGWFFAVKNVALLMMTLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPSIIMTDILTVRVLGLLGAIYSLSQYVISRRIRLAGMKYI >ONIVA11G14890.1 pep chromosome:AWHD00000000:11:16467130:16467976:-1 gene:ONIVA11G14890 transcript:ONIVA11G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADATTTASALRARAAEFRNRAEKLRELLVVDDEPEEGITRDVARNLLRILVEQNAMDVAGEEEEEEQEGFVGGGKGASDSTDWIVDDAAMEQEEEEFVGGGEGASSDATDRIVEDAGMDLEEGEIVDDAGMDLEEGEIVEDAAMAQEQGEEEEVEGKQDEVMVDGKRIPSELWDLVSLDDDEIASAQEVARARIRHAKEMEKVWLHLSHIDHDDFRALDSACLDYLSIKRFSEPILQASELLDTIRSRDWASALERRAAELDTEAASLET >ONIVA11G14880.1 pep chromosome:AWHD00000000:11:16463298:16466118:1 gene:ONIVA11G14880 transcript:ONIVA11G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSGEAGGEARTAAAPLLRWQGARRGGEGCREHLHQVLLSSLRRSWSRGIR >ONIVA11G14870.1 pep chromosome:AWHD00000000:11:16458241:16458820:1 gene:ONIVA11G14870 transcript:ONIVA11G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHPPPSRPTGPRFLPSAREPSSAPPTGREGIKRFDQEDVDLVYGPLALDFAGLLLIAARAVIFLLRSEIGYNDIVQHRRLPVITDVLAPWSP >ONIVA11G14860.1 pep chromosome:AWHD00000000:11:16452954:16454760:-1 gene:ONIVA11G14860 transcript:ONIVA11G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGPNDFLVTSMVDVLALEDVFGHHPPPLARVVVQELDRLVDASDVFPWVREGQDGLGDRRHGQARQGVGHVQGPQQAEAPVQADPRPRHCRAVLPSDLVSHARGLELLEARFGEPLPAAGRAVTAEEFRDLVSLANRVSWDARNAHLRATAVRWYLEALIHDVEATLHDKVVLSDLKNADSIKPCKEVRNPGSEAEGKVPGKKTAWSEPLARVTLRIRQTIHNTIEKLRIAGIHATSAHDLLFLVEKPSCDQVHHA >ONIVA11G14850.1 pep chromosome:AWHD00000000:11:16438090:16441699:-1 gene:ONIVA11G14850 transcript:ONIVA11G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILEVPVQPPPRIGNGATSSASEIDNSPCQCGDDSQSASTVESKMQLPEDILYHIHTLMPMQDAARAACASRCFLRSWRFYPRLNLNVNTLRIDKRAPSNDKLTMDFISRVDPIMLNHAGTGVKMFKLTTHPCFSLHPSYVDRWLQIAFAPGIKEFELEMTRVSKMDYDFPCSLLSRVASSIQSFLLGGCSFHPGIQIGQMSTLTSLRLRSVKITEEELCGFLSKSCALQRLLLSDCHNIVVLKIPHLLELNYLEVLHFRKLEVIDSSAPKLSTFIYAGPPIQISLGEALLQVKKMQMFCDGSPDALHYGSKKLPSIAPNIQKLYLSTRNELKCLEILLLTPDLSPGYDFCSLVSFIDASPALETFILRIERPAKRHDSILEALSGDSMHPMRASEYRHDNLKNMMITGFSSAKSMIDLANHILEKASSLEYLTLDTTRGYDRRNDKIDPCQCLQMSKEALLEAEKALLAIRIYVALEC >ONIVA11G14840.1 pep chromosome:AWHD00000000:11:16433417:16435344:1 gene:ONIVA11G14840 transcript:ONIVA11G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAGTWRRARVGGGEERGVGRPEGEVEMRQKQRDLGEDWSGGHACAVRLSSDLCRPNPSLWWAISGLGRRGEGVLLGQNPGWSRDHLDYPLEPPMPVESTVLGAPANSKRQDPIGRRARIKKLKTITTPTSGHRCIPNKIILKGMKLIGIEIRSRVVGWSFIKIKECHGLLQL >ONIVA11G14830.1 pep chromosome:AWHD00000000:11:16431345:16431542:-1 gene:ONIVA11G14830 transcript:ONIVA11G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVAVQAVGPATSPSSSSSMSHCQRRRIFLDYTSLFSGNCGCYFGNSASTPFSRRDRLEGLLC >ONIVA11G14820.1 pep chromosome:AWHD00000000:11:16430122:16430657:-1 gene:ONIVA11G14820 transcript:ONIVA11G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTWTARQPRPLTCGALNHDRRAELLNLDRLAMRSTTAIAVVEKAMADLAAVGGVDVEVLSLNRQDKAGRILSALTEAVDINWRIMQWMLGPL >ONIVA11G14810.1 pep chromosome:AWHD00000000:11:16418763:16422543:1 gene:ONIVA11G14810 transcript:ONIVA11G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor assembly of complex C [Source:Projected from Arabidopsis thaliana (AT3G26710) TAIR;Acc:AT3G26710] MEATAAATRLLLPPLRAPPPSLAGGAAAAAGGGGRWRGAVPRRARARRAVVPPRASLVDSSGGAAAAAVLLDAAVAGATGYSQASYYTSLGLFVLSVPGLWSLIKRSVKSKVVQKTFVKEEGQTMAPNQVAGEILSFFTRNNFTISDRGEVITFEGTMVPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGVYYWTKASRKEEIKVKMILSDDGNVSEILVRGDDVQVEQMRKELKFSEKGMVYVKGIFET >ONIVA11G14800.1 pep chromosome:AWHD00000000:11:16406702:16408679:-1 gene:ONIVA11G14800 transcript:ONIVA11G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAASGDAAPGGGDEATGGGPAQLRSGAATREALELDCGLVGTLRLGWRCGGGTRQLAEDSRLGHGCGDGEDVARGNCIRDWSNSRRHGDGLDRRFVFHGWVDGGGSVRERATVVIVDAVHRRIVETLLHLRPVAQIRYSRGNCHQIHEGEVRYHQTCEGSRMLRCLTEISPSHVAGNAGRPAH >ONIVA11G14790.1 pep chromosome:AWHD00000000:11:16403682:16404641:-1 gene:ONIVA11G14790 transcript:ONIVA11G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAAASGGDGVAGVQLQEFAYFVVIDLEATCERGRRIYPQEIIEFASVVVDGATGEQLAEAFRAYVRPLHHRELTDYCRELTGIAQADVDAGVDLREALRAHDAWLDARGVKNAAGGGGGGGGFAVVTWGDWDCRTMLEGECRFKGIIGDGKPEYFDRWINLKVPFRQVFDRGGARRIGLEEALAVAGLAFEGRPHSGLDDARNTARLLALLMRRRGGVRLAITGSLPPPPRPPSQLVAIGASAAATPAAAAPGVGGGGARVHYCQCGVASRVGVARRPGPTQGRRFFGCGRWTPARGAVCSYFVWEDVDMSRPNPRP >ONIVA11G14780.1 pep chromosome:AWHD00000000:11:16397593:16400011:-1 gene:ONIVA11G14780 transcript:ONIVA11G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38130) TAIR;Acc:AT2G38130] MSAAAAEATERGEPSGGGGEIAYVSYGGEHHLPLVMSLVDEELSEPYSIFTYRYFVYLWPQLTFLAFDPKDGKCVGTVVCKMGEHRGAFRGYIAMLVVLKPYRGRGIATELVTRSIRVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPRPDPGMHPMMMLGNERDDQHMDSPYL >ONIVA11G14770.1 pep chromosome:AWHD00000000:11:16389999:16396900:1 gene:ONIVA11G14770 transcript:ONIVA11G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPSSSPTAATSPAPRKRPRDASPSEEEEGGLPGGPSSPSPSPSPAGYIFMCSGATKPECYARGVMGQPRGRLPAVSRIRRGAALFLYDFDSRHLHGPYRAASDGGLDLAPAAFGGRFPAQVKFTIDGDFMPIPESSLRSAIKENYSNGKFSSELTLAQVENLRTLFRPIIVQPEPGLPNNFDDRQPARPAEYLPPSTSHPTQPAAYCSMSPTAPSLVCDKPHSAAENDENGCSSGSSMSSPAGYIFMCNGVTKAECYRHRVMGLPLGSLDVVSRIRRGTALFLYDFDAKHLYGPYHADSNGGLTLVPDAFLGRFPAQVKFTVDGDFMPIPESSLRTAIKENYSNGKFSPELTLVQVEKLRALFRPIIVMPESALFHNDSDRHPVPPAVYLPPASHPSQPAAYVHQQHQTSYIPPSTAHPMPPESYAHSYAQMPPPNMQFTTPPYHMSTAEYPYQAVHTAYSSLPSASNISMANNLYGQQSLSDHVSATGYYTAPYYAAHQIGMHPVDPPRSHYLGTTSERITYGTEHEAATTNLQPISHYGSIPSGAIAAPEAAATNSELVCNSGATPSASATGAAMTNLQLVRNYGSMPSSVIGAAAHSSEGSQFEQAATYITHAPGTYYCYGASSAIYSYPGNTASCDGNNVAAAPSVYAVAAPPAYQ >ONIVA11G14770.2 pep chromosome:AWHD00000000:11:16389999:16396900:1 gene:ONIVA11G14770 transcript:ONIVA11G14770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPSSSPTAATSPAPRKRPRDASPSEEEEGGLPGGPSSPSPSPSPAGYIFMCSGATKPECYARGVMGQPRGRLPAVSRIRRGAALFLYDFDSRHLHGPYRAASDGGLDLAPAAFGGRFPAQVKFTIDGDFMPIPESSLRSAIKENYSNGKFSSELTLAQCSMSPTAPSLVCDKPHSAAENDENGCSSGSSMSSPAGYIFMCNGVTKAECYRHRVMGLPLGSLDVVSRIRRGTALFLYDFDAKHLYGPYHADSNGGLTLVPDAFLGRFPAQVKFTVDGDFMPIPESSLRTAIKENYSNGKFSPELTLVQVEKLRALFRPIIVMPESALFHNDSDRHPVPPAVYLPPASHPSQPAAYVHQQHQTSYIPPSTAHPMPPESYAHSYAQMPPPNMQFTTPPYHMSTAEYPYQAVHTAYSSLPSASNISMANNLYGQQSLSDHVSATGYYTAPYYAAHQIGMHPVDPPRSHYLGTTSERITYGTEHEAATTNLQPISHYGSIPSGAIAAPEAAATNSELVCNSGATPSASATGAAMTNLQLVRNYGSMPSSVIGAAAHSSEGSQFEQAATYITHAPGTYYCYGASSAIYSYPGNTASCDGNNVAAAPSVYAVAAPPAYQ >ONIVA11G14760.1 pep chromosome:AWHD00000000:11:16379242:16388922:1 gene:ONIVA11G14760 transcript:ONIVA11G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein [Source:Projected from Arabidopsis thaliana (AT5G13980) TAIR;Acc:AT5G13980] MGASAARLAALLLLLLAAAAAVGECVYIPYNTSAGVVGGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLAFFQRWWRQQSDMIKDIVKGLISTGRLELINGGMCMHDEATVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGTEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTFGSSADIFAGIFPKNYEPPPGQFYFEVDDTSPIVQDDPLLFDYNVEQRVDDFVAAAIAQANITRTNHVMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAENVPWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRVMSGYYLAARQLEFFKGRSNSDLTTDSLADALALAQHHDAVTGTEKQHVANDYAKRLSIGYTQAEKLVSSSLSCLSQSGSKSRCPSQTTNFEQCPLLNITYCPPSEMDLSQGKSLVVLVYNSLGWKREDVLRIPVISDSIVVHDSEGREVESQLLPIANASLYMREKHVKAYLGMLPAAKPKFWLAFPVSVPPLGFNTYFISSGKKSASVSLMSTLHSSQGSENSNMQIGQGQLKLQYNAAGALSLYSNSKTQVEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTDGQVPLTVLRGSILDEVHQQINPWIYQINRVYKGKDYVETEFIVGPIPVDDGNGKELSTEVVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSRELSILVDRSVGGASIKDGQIELMLHRRLLHDDGRGVAEALNETTCFDNQCEGLVIQGKYYLKIDPQGEGARWRRTFGQEIYSPLLIAFAEQDGGNWVNSHVTKFSAMDPAYSLPDNVALLTLQAGEHKDLSALASVDLKRVFPDKKIVKIVETSLSANQERSAMEKKRLKWKVEGPPADEKIVRGGPVDPSKLVVDLGPMEIRTFLINFAPQSGEQLM >ONIVA11G14760.2 pep chromosome:AWHD00000000:11:16379242:16388922:1 gene:ONIVA11G14760 transcript:ONIVA11G14760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein [Source:Projected from Arabidopsis thaliana (AT5G13980) TAIR;Acc:AT5G13980] MGASAARLAALLLLLLAAAAAVGECVYIPYNTSAGVVGGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLAFFQRWWRQQSDMIKDIVKGLISTGRLELINGGMCMHDEATVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGTEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTFGSSADIFAGIFPKNYEPPPGQFYFEVDDTSPIVQDDPLLFDYNVEQRVDDFVAAAIAQANITRTNHVMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAENVPWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRVMSGYYLAARQLEFFKGRSNSDLTTDSLADALALAQHHDAVTGTEKQHVANDYAKRLSIGYTQCPLLNITYCPPSEMDLSQGKSLVVLVYNSLGWKREDVLRIPVISDSIVVHDSEGREVESQLLPIANASLYMREKHVKAYLGMLPAAKPKFWLAFPVSVPPLGFNTYFISSGKKSASVSLMSTLHSSQGSENSNMQIGQGQLKLQYNAAGALSLYSNSKTQVEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTDGQVPLTVLRGSILDEVHQQINPWIYQINRVYKGKDYVETEFIVGPIPVDDGNGKELSTEVVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSRELSILVDRSVGGASIKDGQIELMLHRRLLHDDGRGVAEALNETTCFDNQCEGLVIQGKYYLKIDPQGEGARWRRTFGQEIYSPLLIAFAEQDGGNWVNSHVTKFSAMDPAYSLPDNVALLTLQAGEHKDLSALASVDLKRVFPDKKIVKIVETSLSANQERSAMEKKRLKWKVEGPPADEKIVRGGPVDPSKLVVDLGPMEIRTFLINFAPQSGEQLM >ONIVA11G14750.1 pep chromosome:AWHD00000000:11:16357623:16362086:1 gene:ONIVA11G14750 transcript:ONIVA11G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 14-demethylase [Source:Projected from Arabidopsis thaliana (AT1G11680) UniProtKB/Swiss-Prot;Acc:Q9SAA9] MMDLADPNHRLIAGAALLVATLAFIKLLLSSAGGGKKRLPPTIPAAPLVGGLLRFMRGPIPMIREEYARLGSVFTVPILSRKITFLIGPEVSAHFFKGNEAEMSQQEVYKFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVVEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPVSVIFPYLPIPAHRRRDRARQRLKEIFATIIKSRKASGQAEEDMLQCFIDSKYKSGRSTTEGEITGLLIAALFAGQHTSSITSTWTGAYMLRFKQYFAAAEEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFSVTTKDGKEFDIPKGHIVATSPAFANRLPHIFKNPDSYDPDRYAPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPETNWKAMVVGIKDEVMVNFKRRKLVVDN >ONIVA11G14750.2 pep chromosome:AWHD00000000:11:16358316:16362086:1 gene:ONIVA11G14750 transcript:ONIVA11G14750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 14-demethylase [Source:Projected from Arabidopsis thaliana (AT1G11680) UniProtKB/Swiss-Prot;Acc:Q9SAA9] MMDLADPNHRLIAGAALLVATLAFIKLLLSSAGGGKKRLPPTIPAAPLVGGLLRFMRGPIPMIREEYARLGSVFTVPILSRKITFLIGPEVSAHFFKGNEAEMSQQEVYKFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVVEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPVSVIFPYLPIPAHRRRDRARQRLKEIFATIIKSRKASGQAEEDMLQCFIDSKYKSGRSTTEGEITGLLIAALFAGQHTSSITSTWTGAYMLRFKQYFAAAEEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFSVTTKDGKEFDIPKGHIVATSPAFANRLPHIFKNPDSYDPDRYAPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPETNWKAMVVGIKDEVMVNFKRRKLVVDN >ONIVA11G14740.1 pep chromosome:AWHD00000000:11:16356001:16356405:-1 gene:ONIVA11G14740 transcript:ONIVA11G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREANLPPYVNEAQVAAVLVVGKRWVSTVPFISFNAEYMPSASIRFLSRWLSQRSGSSEATCRRTPLWRWQCHRVVYQHLSGHRQEGMIRPVKATLEGSAVLATKVVDIGYVYIETVAVVAGKSWPERRGGFRM >ONIVA11G14730.1 pep chromosome:AWHD00000000:11:16331523:16338196:-1 gene:ONIVA11G14730 transcript:ONIVA11G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVSASTGALNTLLPKLADLLLLVAGENHSSRRAVEDGVEHLESELTSMRAALEKVSAAAAPPDQLDGQVRLWARDVRDMSYHIEDAIDTYLLREAAAAGRRPQPPPCCSFVVGLNSSARRRRRAIAAEIERIKKEVEEVSRRRERYRIDDHVVAVVNDAPVDRRLPALYANFASLVGVDASVEEVIKLLSMEGDQGAVMMRRKLKLIAIVGPGGIGKTTLANLVYQKLHGQFECQAFVSVSQKPNVKAVLSSILCQVSQLKYENFSSWGEKEIIDKIRDVLKDIRYFIIIDDIWDKPTWQLLKCVLIDNDHGSKIITTTRNMDVAKLCCYSDDVDGTIQIQQPLSVADSEKLLYHKVFHNERCPPQLQGISQKILKRCGGLPLAIITIASLFANRQTQTEDHWNSDYIIDRDDLIWRWIAEGFIQPKQGTILYEKGERYFDELINRNLIQPICIDVHGKAQACRVHDTILEFIAGLSIEENFVAILNGQCSTSDLPKRIYRLSLQNSKDDITIPDATERFSHVRSLWQGIDLKMPLLGFRVLRALDLGDCSSQNIDNIGNLVHLRYLRLRGTHYNKLPKEIGNLRFLQTLDIKRTRIKELPSTVVHLTQLMRLMVDTWTKLPNGIGNMECLEQLSEIDTSMYPSLMKELSDLPNLRVLEILISTWEQTKEEQFLDCLGSMKKLQIVHIFAPDISLDFMLNKDWTLHELQKLTVGVRRESEDTFKLNPLSGWAEFSPFSILPRWINSSLTNLSYLSIIVKILQQKDLGVLGDLPALCSLYLSVTGALKERLMIIGHSRGNGQAIAFQCLANFNFTSPAMMLVFRHGAMQRLQMLSFCFQLKETKVFHSDLDMGLENLTSLKTVHFRIDCRYARLWEVQAAEVALRSATNLNLNSPTLDLSKHFERLMYWDGMEEIPEMKIFKEENVGIVKIGPWGGNRGRRYDIEVAPHHLESIKVHSDLAVHSFEFEYSDRNGQKHVAGPWGGYGGSNVHMIQLESSEVLVEVSGTFGRFAGFQNIITSLTFVTNTQSYGPFGQREGTPFHIPVQCGGRIVGFFGRAGWCFDAIGIYVNPDLQTIKDKGKVVLAKIGPCGGKGGEACDIMVPPHHLESVTICSNIVIHSLTFSYNDHNGDHHLAGLWGNHGGSNQTIQFGPSEFITRVYGTIGSYNTPSDVVTSITLVTNAGCYGPFGQENGIPFDFPVQGNGSIVGFFGHANLYVDAIGVYVTPSMGTRKEEENVGLTKIGPFGRRGGNPFDIKVTPHQLESITISSNIVINSLAFSYISHDKQQHIVGPWGSGGESNYTIQLGPSEFFVKVSGTFGPFGEFPNVITSLTFVTNTHHQYGPFGQGGGTPFHAPMSGNGSIVGFFGREGLCIEAVGFYFCAL >ONIVA11G14720.1 pep chromosome:AWHD00000000:11:16311676:16315583:1 gene:ONIVA11G14720 transcript:ONIVA11G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVSSNRRPLAIVVAAPVLQMLLIAALLHLGSCNGGDRIERLPGQPEVSFGQYAGYVGVDDKGQRALFYYFVEAELDPATKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVKNEYSWNKEANVIYLETPAGVGYSYSADAAYYQGVDDKMTGHYIPQLADVMVEFNKKNKIFNLKGIALGNPVLEFTTDFNSRAEYFWSHGLISDSTYRIFTSVCNYSRYVTEYYGGSLTPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSKILAPHQQVGQRIDVCVEDETVNYLNRKDVQEALHAKLIGVKNWAVCSSVLEYELLNLQIPTINIVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLARDLGLKTSVPYRVWFEGQQVGGWTQVYGDMLSFATIRGASHEAPFSQPERSLVLFRAFLQGRPLPETFS >ONIVA11G14710.1 pep chromosome:AWHD00000000:11:16256276:16270395:1 gene:ONIVA11G14710 transcript:ONIVA11G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWRRAGSPTYGRRRSPAAGIYSAPASPAHPAAAGAASPVHPLAARNKARAAAALAQAMARPPPPASSRGASEDGYDDDDDVVGGGGGGRYDGGRSPLNGGVYGGRSPMNGGGGGGVKDKYFGFALPKSNPRSKRRTRPRPPPSPSPTPMDAAAAAAPRGGSTGRRPTSSSSASSAAAAARAAAAREAMARMEEMMLAHAGAAGEFSIILDAPLPSLHHYRRNPTPDAAARRGGGGRDEVPARLRREGSGHDAAAVDDLNAAARSRRGADRYRDGAGAGRPRADRYYRGGEEEERVEAPVRLVAPRGGARREGARGGGGGDAPPPPVRPASAEGKPAAAVVEEDTALQLLARGRGGRSSSATRRVEEERPSSRRSGRERAGDTGAIKAVEPEKPAAEVEAEVVGRWSRRERDDGGEEAAVSRKPLAAAPVVVDEEETPLQLLARGARSSSAARRVVQEEPQVVEAVAARPSSRRSRREGNGDAGVKAVVPDAAAEVEPEIAGRWSSRRSEDGSEEAAAVLPKPLAAIVTGARSRSNSPAISRNGVDTGAANRPPSTGRSTFAPPVGVNIRPLQAVEMPNGTPRERRAIYPDPTFAQSTRSRDSHDSSTITEELEMLKDENVNLLEKLGLAEERFRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNDKSEEIATLQKQLQSAREKEAAAVQKLQEAESETKSLRTMTHRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSFAPLPFEYVTAAGQRAKDGSLRSGDDLEDTERFVHELTVTAGEGNIETMLSVDKGLQELAFLKVEDAVLIALAHHHRPNVPELVDPDIKSSGDEKFTEAFDLSKEEEEDEGITNYNIVQNAWLVYFWRRAKTHNVEDDIAEERLQMWIDRHGQQPTSHDAVDVDMGIRELRRLGIEQLLWELSRREANSTKEEELNSTKEELKNTTTKDGMDTTNEESSDVEDLT >ONIVA11G14710.2 pep chromosome:AWHD00000000:11:16256276:16270395:1 gene:ONIVA11G14710 transcript:ONIVA11G14710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWRRAGSPTYGRRRSPAAGIYSAPASPAHPAAAGAASPVHPLAARNKARAAAALAQAMARPPPPASSRGASEDGYDDDDDVVGGGGGGRYDGGRSPLNGGVYGGRSPMNGGGGGGVKDKYFGFALPKSNPRSKRRTRPRPPPSPSPTPMDAAAAAAPRGGSTGRRPTSSSSASSAAAAARAAAAREAMARMEEMMLAHAGAAGEFSIILDAPLPSLHHYRRNPTPDAAARRGGGGRDEVPARLRREGSGHDAAAVDDLNAAARSRRGADRYRDGAGAGRPRADRYYRGGEEEERVEAPVRLVAPRGGARREGARGGGGGDAPPPPVRPASAEGKPAAAVVEEDTALQLLARGRGGRSSSATRRVEEERPSSRRSGRERAGDTGAIKAVEPEKPAAEVEAEVVGRWSRRERDDGGEEAAVSRKPLAAAPVVVDEEETPLQLLARGARSSSAARRVVQEEPQVVEAVAARPSSRRSRREGNGDAGVKAVVPDAAAEVEPEIAGRWSSRRSEDGSEEAAAVLPKPLAAIVTGARSRSNSPAISRNGVDTGAANRPPSTGRSTFAPPVGVNIRPLQAVEMPNGTPRERRAIYPDPTFAQSTRSRDSHDSSTITEELEMLKDENVNLLEKLGLAEERFRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNDKSEEIATLQKQLQSAREKEAAAVQKLQEAESETKSLRTMTHRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSFAPLPFEYVTAAGQRAKDGSLRSGDDLEDTERFVHELTVTAGEGNIETMLSVDKGLQELAFLKVEDAVLIALAHHHRPNVPELVDPDIKSSGDEKFTEAFDLSKEEEEDAWLVYFWRRAKTHNVEDDIAEERLQMWIDRHGQQPTSHDAVDVDMGIRELRRLGIEQLLWELSRREANSTKEEELNSTKEELKNTTTKDGMDTTNEESSDVEDLT >ONIVA11G14710.3 pep chromosome:AWHD00000000:11:16256276:16270395:1 gene:ONIVA11G14710 transcript:ONIVA11G14710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWRRAGSPTYGRRRSPAAGIYSAPASPAHPAAAGAASPVHPLAARNKARAAAALAQAMARPPPPASSRGASEDGYDDDDDVVGGGGGGRYDGGRSPLNGGVYGGRSPMNGGGGGGVKDKYFGFALPKVGMVWTLVQQIALHQLEGQLLHHLLGLISDLYKRWKCQMGPLGKEDPTFAQSTRSRDSHDSSTITEELEMLKDENVNLLEKLGLAEERFRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNDKSEEIATLQKQLQSAREKEAAAVQKLQEAESETKSLRTMTHRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSFAPLPFEYVTAAGQRAKDGSLRSGDDLEDTERFVHELTVTAGEGNIETMLSVDKGLQELAFLKVEDAVLIALAHHHRPNVPELVDPDIKSSGDEKFTEAFDLSKEEEEDAWLVYFWRRAKTHNVEDDIAEERLQMWIDRHGQQPTSHDAVDVDMGIRELRRLGIEQLLWELSRREANSTKEEELNSTKEELKNTTTKDGMDTTNEESSDVEDLT >ONIVA11G14700.1 pep chromosome:AWHD00000000:11:16251460:16254072:1 gene:ONIVA11G14700 transcript:ONIVA11G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATALAAVLLLLVLATTAEAACSVSAIYSFGDSIADTGNLLREGPAVGAFASIGTYPYGQTLRRPTGRCSDGLLIIDYFAMALNLSLVSPYLEKGARFESGVNFAVAGATALDRSYLLQSGVVMPPASVPLSSQLDWFRSHLNSTCSSHQDCAKKLSGALFLVGEIGGNDYNYAFFQGRSIESMKTYVPQVEVIELGATKIVIPGNFPIGCSPSYLSLFSTAISGDYDDRGCLKSYNSFAMYHNDQLRAAIDDLRKVNSDVSIVYADYYGAFMHLLQKADLLGFEEGSLFKACCGAGGKYNFDMNLMCGAVGTNVCADPAQHISWDGIHLTQQAYKAMALSLIMEGFAQPADIVQKIWSC >ONIVA11G14690.1 pep chromosome:AWHD00000000:11:16250252:16250530:1 gene:ONIVA11G14690 transcript:ONIVA11G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEGTAPAFQSVRFSSPPLPPLTAIASASTEHLRKRRRIGEECGGGPRSVALRQGLVAAFTSTSHIRSGMRRSRIYFQDEDNGKKMVPNF >ONIVA11G14680.1 pep chromosome:AWHD00000000:11:16245811:16247479:-1 gene:ONIVA11G14680 transcript:ONIVA11G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J2H1] MAAALSAVVSAKLGCPPAAALGSWKGSRISSRNLVSMKTMTARRGLVSLRSPRFRVFCAAKAETVGKVMQIVKQQLALGEEAKLAPESQFTELGADSLDTVEIVMALEEEFGITVEEDNAQSITTIQDAADLIDKLVAGGGGKPPAAA >ONIVA11G14670.1 pep chromosome:AWHD00000000:11:16235813:16241334:-1 gene:ONIVA11G14670 transcript:ONIVA11G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) TAIR;Acc:AT2G33540] MGYNIPRSYAPAFHSFAWAQAVQNKPLVPRAADAADEDEVEHVVDTSDEEKEEGEIEEGEAVQTTTTSSSSPPCAQPPETIDLDSDAPEKSESMVAMDGGGAAPAGAEEEEVDFDQRVGSILEELEMVSIEEAEKSFEGACTRLRTCFENLKPLFPESGSPMPMLDALVQQAFVGIDTITTVANSYDMPKREQTKNMLLKLLFHIKNRYSDMLTPDQRDELDSRVRQLVFEDGKDNANGPNATSTNAAAPSGQVLSERLPFESGAGNSFSKVEIPAKNRMVSPLLDLHADYDENSLPSPTRDSAPPFDVPKPIGYGALPMAPDRPSVLERVEPAKNSSYQSFNDALKAVCYYQQKHGQKSNFASDDLPSPTPSGDGDKSGDKGGDVFGEVSSFSASNKIALPIVNQMPSRPSTVSSNSDSFAGGPPGYAKQIENSVSGSNHLLKATAKSRDPRLKFLNRDTGGVADANRRVNFAESNPSKDRTMGGGVSINSRKNKAVDEPMVDENALKRSRGVIGNLRDMQPTGRGGWSKDGGNISSYSSDGFQPNQNTRLGNNTTGNHNIRTDSTLASNLNNTTNNSGTSPGIVQAPQTNSAPQTSSAPAVSLPAMLKDIAVNPTMLMQWIQMEQQKMSASEPQQKVTASVGMTSNVTPGMVLPLGNAPKTTEVAAVPSVRPQVPMQSAPMHSQNDTGVIRMKPRDPRRILHSNIVQKNDTVPPVGVEQAKSNGTAPPDSQSSKDHLLNQDQKAEQLQAIALPSLPVTSSARPVTMNANPVSNSQLAATALMPPHGNTKQTSSSVNKADPRLAAGQNESNDDAATSTGPVTAPDAVPPASPYGDVDHLLDGYDDQQKALIQKERARRIKEQHKMFAARKLCLVLDLDHTLLNSAKFIEVDHIHGEILRKKEEQDRERAERHLFCFNHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKVYATEMAKVLDPTGTLFAGRVISRGDDGDPFDSDERVPKSKDLDGVLGMESAVVIIDDSVRVWPHNKHNLIVVERYTYFPCSRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIERIHKNFFSHPNLNDADVRSILASEQQRILGGCRIVFSRIFPVGEANPHMHPLWQTAEQFGAVCTNQIDDRVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRASELDFAVK >ONIVA11G14660.1 pep chromosome:AWHD00000000:11:16223004:16227650:1 gene:ONIVA11G14660 transcript:ONIVA11G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHSSHSSVSTAADMDEDDDDEPSAASAAAPAPPAPAPAPPASASKVLEQEPEELPCRAADSPLSPQPSAAGTPRLLAAGPTIKVWDPCHVLLPPPSPHQSQSARAGAGAEAAAALEVVVVSHGECAAAMRPDLVGGRWPAAALTARGERQARALAVFLRSRGARLAAAYASPLDRARATAALVCRELDFPEEQIQVSDALTEMSQGQWEGCPKSEVYTPEMVNLMDSTQPDFSAPAGESLRQVQFRMMEFLNQTVVRLPEKVAMGDSLSQQNEVKGLSRQSSTNSVQDGPPWDLLYRLNRHSLQRKKSGKSRLQFVTSGDNETEDDFSPKEINQRHILHEANLAPSVTSIAIFSHATPIRCLVAGVLDCNPMISQRICIEDSSITVLEHSLKTGWQIKRLNDTAHLRLL >ONIVA11G14650.1 pep chromosome:AWHD00000000:11:16213116:16213520:1 gene:ONIVA11G14650 transcript:ONIVA11G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDKAKALLQKIRGVDDELDEIVAANAATAQRENGLWLADPIDEEPWRRDLAPAPSATAIVAAWGRPSCSPIVAATALVIRHRCRRLIARRRCRLAARLPPLPPASSPPVGCAASERRRGREVERGGEEEANM >ONIVA11G14640.1 pep chromosome:AWHD00000000:11:16205744:16207478:1 gene:ONIVA11G14640 transcript:ONIVA11G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCGRAASRRELCGDACAAPGVQDLAWLCGNQVATHGRLASRETGSHAKTAVINSGRINNMI >ONIVA11G14630.1 pep chromosome:AWHD00000000:11:16192040:16203333:-1 gene:ONIVA11G14630 transcript:ONIVA11G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCSVAAGPAAAWACSSVNTCARNSYPVELEPEPVKEREKGYVDLLVDASLLLVSNDFPPEVQRHGARMLQHLLKFRREELSSFDCSSLIHQDDTDSTNMSNKFDDIIHPRMRCNNGAVPCHQVKGCLLLAEYNILCEAFDVVTSCLRSEQYKGVLHYLLKPLNKIWVQSEWRSTFLHSAFGLTCLFSDHFLEMVYKVVKFCENDLRQSTLESIEMQFCDLHSLLRLMLPFLLQLLQCIHSLWRGQISGSLPDQLESAKSKMSDEDVQQNKTRKLLEEIRLSGYNIIGLSLSIQGAFSDLLDISSFSDAIFEDLGLMHHGRAKVPYYFGKLSGPTENIEKFEDTQLLEFTREVSHLLGVLSSPESNNGLLHYLLTHDCLGSSRMSLFGYWVDDEATTSAISFCLAMDLMGGEKGNAYVENNFTCWLAKQKEDLRAKAFCSAPMEFNGEWNWEFEDEFQRYLPVYFNMLQEVDAMDDSAEGDCSGMNALLQKLNPQFRSKYAIYSSEHPYLREISRMQKGSDRSYSVIERLEENLEIQSTFDAYEVDQSVGEHKDLLLQIVGQLTNAKENKQFQPLTPDPGDFAPHLKPYARFYIQTKLKMSMSVWGLALPRALHTINCINFGIRISVIYLPTWLTILSGSENDTYARAAMQIHLHEDYDNYLASGQLDSYIYSHVSEDFSDEDVENNAVPQKFSVLDHDLIHLSLKRRAQIVDMHNQVCTYSKCLRDLLENESLKDRLMSLMSELNAEGFFDIDSNSVNWDNECFSKLVEKFKNEVFTGHSLPRRYTIQGIIDYWAILQEKDNTWQTFEKVVTGTSTKLIAYLPQFWRDTRYYKHEYYDIVREPLKKNF >ONIVA11G14620.1 pep chromosome:AWHD00000000:11:16173005:16173994:1 gene:ONIVA11G14620 transcript:ONIVA11G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSGTLKITHKARVKFSIGNYVDIVDCDIAPMNACHLLLGRPRQFDLDATHGREDDEPMTRQDVHGDMTSDNLNIVVGNSLISSGL >ONIVA11G14600.1 pep chromosome:AWHD00000000:11:16162747:16167815:-1 gene:ONIVA11G14600 transcript:ONIVA11G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGENIEVNVDAKSDSVLAHIVGNLPVGQIKVEQRSCELAIDDSKIPGTSLHRATCVWKNPSDNASAYLVLEEVEASLGSKDREFMFSVEDSFIYGFSNIGFKIFRALCNVVNYINGLYMNSAAGTSSSVAGAIPLMPIKLNSSMIFYKLMVEGEVEVVVTDFCVRQPRDLVKKTRKGRPKEVTIEDVQQFNWRAVGLCLKKMYGPEGNVNEELKDLAAYLEGSKPEEGPVTYDGILWHPGAWEANIKTQFIREIFWLIDKERDKLKTKKLIETEKGKSLIKIKRTLGIAASLKNFAGKELQENNLLDSLEHLRTYIVAHPDMSYNSTDEQDKLKFDKVRCERLIQKVKGDYMIKLRKEIRNLEWITESPVLRDQNNYMERFYEMKKNEMDNQR >ONIVA11G14580.1 pep chromosome:AWHD00000000:11:16150810:16152900:1 gene:ONIVA11G14580 transcript:ONIVA11G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALPSPSSVAPASPPPSILPDALSVLLIAAIAVLPHKRRFKDEQALVVGFASAIPVFADTAGASIHRRRIGTALVTIPPPGRPPPIARHCPLPARGP >ONIVA11G14580.2 pep chromosome:AWHD00000000:11:16150810:16152900:1 gene:ONIVA11G14580 transcript:ONIVA11G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALPSPSSVAPASPPPSILPDALSVLLIAAIAVLPHKRRFKDEQALVVGFASAIPVFADTAGNNPATRSSTADRSSLSTACPRAVGVQSQLAAATRFRLDTCLHAT >ONIVA11G14570.1 pep chromosome:AWHD00000000:11:16133119:16147524:1 gene:ONIVA11G14570 transcript:ONIVA11G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASCTTKRNSQMDVAPVEQDQGLMKINSSDCSGDGASCENETNYTDHGKQSNELGHARISVTGGGYFHQLLAEHTIISEAFLSAATYSWFEKEKDLLSSQLSSLKRIWSQPQWQACLKHLCCHGEFRSAVLKIIKIFEEELSKCREETNMLHQPDQISHSTLMSLVSLIIPPLLKLIRFVHALWMDGAVLRFPEELIEARKMKNVDQILRFRGETLEFLDVWPEELEEGLAQWLQLIRESGYNLLGLCATIKGAFSELLDNSSINNAIMENIRSMEIWHLTKLIDLVIVPFIKHCPHNLWVEWMLKLLLPLFDYCGDVLYYSWFSLLHNGQANVPLFFGYICGSEETVSKMENYLLLDLTRKVSKLLGALASQELNQGVYRAGLVLDMNSASHDFKCTPSTSLVGLSMNLFGCWVDGEAAIDSIPFCHSLVQVAVATNNEKLKRFIKDDMLPAIIRRLYDDLPCAVQKTIRKLSPLMNSINCRKATKDLLVLCQEIYKVYIRCQNLEGEDQDTDNIAYWFDDWLTKQKKELCVKASYAIPDEFPATLWNWEFEEEFQRYLPTYLDVLHEVDTMDCQECCCLDSAKIFENLSLEFRSRHGVRSHTDHRKMPGAYSEQRADRISKWTCELIKSKPYIKLSNGWNNAMNRLKENFVINVDTKPDAIDAVNIFYNSILLLWEPQFHPLIREGQMDVLVEIAHQLAFAEERKNYEPLEPDSLDFLDHLQPYAKLYIYRKKTESGYFTAIEQVQLHKEFDRYLASGVLDGDICKFSSFQDDFIEEFADKHIAKSQFVQLDRKLITLSLEQRAQILEKQRQINTYAECLRNILTDLKLKDGLQSLMSELEVEGFFDVNNNCTDWEKGCFLRLIDNFEDLVFRGHCFPRYLVIQGIMVVEVLCDNWRQDLTQIWMETRYYEGLYYDVLRKPLKKIFMRKIEASEL >ONIVA11G14560.1 pep chromosome:AWHD00000000:11:16129466:16132136:-1 gene:ONIVA11G14560 transcript:ONIVA11G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCRIATCPILEGQKYFVGEAKSGGEMERLPGVKATVFCFEGDTAKTAHDHLNCLSHPYVIRSLGYGRGLGKHSRYTFLAVPFFDTTLAEYLPKERSLCIHMDRLTVEFIDIVGQIVRAMIALHNAGFCCSNLKGENIAVMKQHNSMCAKIWNFEKCKSDDDKDQDWKNLGTLLKLTGLWTPEARDLYTSLSSGNLKGMDILDHSALLTLIKRITPHDRLQGEKEVDLEWYMRKAWAEAFLKLQNFVRESKLTY >ONIVA11G14550.1 pep chromosome:AWHD00000000:11:16112590:16115782:1 gene:ONIVA11G14550 transcript:ONIVA11G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVITDAPLSVKTKAVDMLECYLIDADVKRMDDDLKYCQGSIRYGFDISSSIKTTFLLFEDNRSAQDTVDKLNLMNHPSIMRSLGDVICFDQHLPNHVLPFPYFDTTYADYLDKKSNKTFEFKRFTPEFIQLTSQVVHGMSALQEKGFCCPNLEGKDIFKENNCISAKIWHFCICTGCTGVSCTHCR >ONIVA11G14550.2 pep chromosome:AWHD00000000:11:16112590:16115782:1 gene:ONIVA11G14550 transcript:ONIVA11G14550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVITDAPLSVKTKAVDMLECYLIDADVKRMDDDLKYCQGSIRYGFDISSSIKTTFLLFEDNRSAQDTVDKLNLMNHPSIMRSLGDVICFDQHLPNHVLPFPYFDTTYADYLDKKSNKTFEFKRFTPEFIQLTSQVVHGMSALQEKGFCCPNLEGKDIFKENNCISAKIWHFCICTGCTGVSCTHCR >ONIVA11G14550.3 pep chromosome:AWHD00000000:11:16113072:16115782:1 gene:ONIVA11G14550 transcript:ONIVA11G14550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVITDAPLSVKTKAVDMLECYLIDADVKRMDDDLKYCQGSIRYGFDISSSIKTTFLLFEDNRSAQDTVDKLNLMNHPSIMRSLGDVICFDQHLPNHVLPFPYFDTTYADYLDKKSNKTFEFKRFTPEFIQLTSQVVHGMSALQEKGFCCPNLEGKDIFKENNCISAKIWHFCICTGCTGVSCTHCR >ONIVA11G14540.1 pep chromosome:AWHD00000000:11:16106646:16106934:-1 gene:ONIVA11G14540 transcript:ONIVA11G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSAAAPPFPNHRWTPQDVTALPSTSPRREESLRCGPSSSLPLGAANLPPARFGGEEPTPLLCRRGEEWRRGESIGERSEAW >ONIVA11G14530.1 pep chromosome:AWHD00000000:11:16096129:16100171:-1 gene:ONIVA11G14530 transcript:ONIVA11G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGIERQRTWVARISVAVASNRLSISLSGGAAAAAILSVEGDDEALGAPPPPAAARSAAGGREDEAGRPAPTRCGGFFFSTQHAARAASSCATGRRGGGGGGGLQASHVLHRGRARAVAGETRTPPPPPASCCGSAPTLQATRDATRRALLRSDPPRAARPAGRTLLAVAVRHSRCLVLRRHTGCWLPSSATATTPPHLPRPRCFDARPPEVCLSRNYRRLIVYKKLIHSTVLHYAAINCGDFTLQYCAAQLVQRLDDAEHMYGLLTDVSTRIYLNQLVFGSGNTLMR >ONIVA11G14520.1 pep chromosome:AWHD00000000:11:16090989:16092546:-1 gene:ONIVA11G14520 transcript:ONIVA11G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQYQILFGNFYTELPNHMRDKNSCGRKAPTVDVIKRLNWEAAATFLDELHKNMLEPNDEMKHLKDKLKKNPPANYSDLLWEPSLWTTYVKIHFLREIFWCIESDEVTRKLVLRKMDPLGIESCMVKLGYSAGKEKSLLHSIKFLRKRVVAHQDTTYLNYKGDKNDVGECKRLVELLVHKSKADYMIELVSHIRQLGWVMESPILRSKVFKAASIS >ONIVA11G14510.1 pep chromosome:AWHD00000000:11:16068474:16083983:-1 gene:ONIVA11G14510 transcript:ONIVA11G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATTSGRAVDCDPVPVRDEGYVDALVRASLHLIRKDFPHEVRGHGVRLLQHLMRFRWEEVSIINWSKFADVFSLLGVELGVLDDLVWKNAAADLVAEVVWSHGISLLHDLIPCLVCLSAKRATELLEKHVGAVLGEKEKCQVEVAEEHASVVKAVLDAAITYAGWAHVVDLGKHGLIKGCGCLLSCNDFCVHALQFFKLILQRKRPVSIAVADHDFADYLLCPHTTFHYVQALHGALSISASPKDYCSSSSSYSNISGTVSQNTSVQKRLSCESSSNNKSSTAVVMSSPDSCITLSTQVEGNDCELDLQGNDGARRSNSFHHVGCLLLAEQNLISEAFLIVSSWSRIQQYKEVLTCILSPLSKIWTQPEWESKYTHYAWCLTCLFSNRQFVKNVHDVVKSWEGQLKRRAEESHAIQMPDKYSVSLLGLILPLLLRYLQCVHALWNREITFDLSKKLAKAKRFGIDEEEGFQEIEMRQWLQDIRESGYLLLNDCLGRLRMSLFGYLVDGEAATKAIPFCRALIHLAGAANDDKLRDLVKEQKEIEDAADSFTCWLVKQKEDLHAKACSAPPKEFFGQTQLEWNWELEDEFRRYLPVYFDTMQEVDAMVDCLEVDFFDLEVLYKNLRPEFRSKYAIDSSKHPHLRIMSNMRERKYYSMISAKHHKQICEILGELITLKPYIKGSDHYYEIVERIGEKIEIPSRIFDRDDAKKSIRVLLQILHFWEPQFHPLIREPLIPQMEDFLPHLQPYAFAFIVATLKDPMVRDEGYVDALVRASLHFIRKDFPHEVRGHGVRLLQVDGRFRAADNSGNRQEGRVAGWVASCELVCAVWKTILLGVELGVPDDLVWKNAAADLVAEVVWSHGISLLHDLIPCLVCLSAKGATETELVCFILKSISDNRIAHVSHSGGKNHNSFMGSSSTNRCGCLLSCNDFCVHASQFFKHILQRKRPVAIAVADHDFADYLFCPHTTFHYVPALQGALSISANPKDTSSSSSSRYSNSSGTIFQKTSVQKRLSSGSSSSSKNKRSTAVVMSSPDCELDLLLLGNDGHDNDSRDNMLDAADPRMGNDGARRANSFHHLGCLLLAEHNIISEAFLTASSWSRCVIMIQRYKEVLVYSVLLAKFEPSQSGKANTHIMHGASHFLQCVHALWNRDITYDLSKKFAKAKRLGIDEEEGFQEIEMRQWLQDIREIGKCIKLQQAHKGKICTWNWEFEDEFEDIFQFILR >ONIVA11G14500.1 pep chromosome:AWHD00000000:11:16058986:16063434:-1 gene:ONIVA11G14500 transcript:ONIVA11G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGRKHRSGAPNTRPSCGSRDIIGERCKFFMFCVMKSACLTADNLQRRGWHLAPICHLCSNGGESCHHIFSSCSFTQEVWGLVRGRLGHLQTTPSVDLSWWCDACKFIAKKERKVFDAGVILVTWLIWKERNARYNMDTMSDNTSHNILSQVNGSSQIVITDSKVQKLGSSANYVKYYKGTVKFGKGLRIQTKASVLAFTSCDGEAADALYVRLVAVNSPFTVKPLSYGRGIRRYREYTFLAVPPPSCLLSNYTDGFNDKTVSMKRFTEEFIKITGDIVRAIITLHCQGYWCSGLKGKHVCIYKMEKCTDAKIWSFCFAGGNEAKKSEDWVDLGKLLELAAKRNDSYTAEIEDLCKKLKNKTLRGMKVLKHSALLNVREKFENIIALNLFILVHVKSQPQVPSGDPEKDKIISELVKFMNASLQWAKAMPHWITQSSNYQAPTTETGLSFIDGLRDLFEHENEYIPEKVKQSQSKIQLVGRDPDLECQLRLNLEKIFLQAQNFVLELDIEY >ONIVA11G14490.1 pep chromosome:AWHD00000000:11:16046486:16054932:-1 gene:ONIVA11G14490 transcript:ONIVA11G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLPSAVPDSAAPVAGDSGLSEQQLIQYIHSLRENQVVDGLFEDLKKEKHMSLTKLAHILKITDGLSNINIVESSVEPKTRALLEGIQQKVYDGIGLCPSIGGSFSELLGSLSLSATITKDLGSMEEFSREGKDNCSAECSFSVWIEKLKKDLELKASSASKELPEGCEWNWEFEEEFQRYLHVYMDILQEVNAMDDCMERDYLDKETLFQKLKFEFRYKHAINSYQHPYMVTISSLRQRQFYTRTCFRCNMQICKFLSELVKLKPYIKVSDCSYDVIENLKQNHEILTEISDCEVYYTAVEQIRLHKEFDNYLSSGELDHSMDEFISSKDEFVEDLIRDESTMAQFSDLNHALLKLSLERRADVLENQQQICIYSECLRHLLEDESLKGYIKRLMNDHKTEGFFDTNDDSINWVLVIVDCWLIFTRKGNSWQDTFEEVVVEACERWTENREKVD >ONIVA11G14480.1 pep chromosome:AWHD00000000:11:16028758:16036233:1 gene:ONIVA11G14480 transcript:ONIVA11G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSDNERYPVEVSNISKEGEVEVVNNNVKLICYRDPVPGTTKFNYPFYYASVYDLLNPKTAIDQSIRQYVVLRRKPLQDAYDTFNFLSLCEHPNILKPYGFWEDNDNKGFIAFPRVKGTLGNLPTDELFSVEIDANKVTWLKGFSPSGMQIIRDIVSSVHYVNERYPQAGTSSSGSAIPPLTMFPLELASHKILYEKIPTGEYKIFLGDISTTNHWPKTMKKIEDLKRHNWNCLGKYISTIMVGHKPNTELIHLVDVLIKDILWEPALWGSKIKMRFVREIVWCLENDKTGGRKSTLSKLSPLGLQDCITKLGLNYNEAKSLLSSVMLLRNKIVAHQDDPYQNYTGPKEQIGVAKALLEKLVLDSKPAYMIKLIEEIRKLNWIGESPLVRSLTSYVKAFDPNLKIRTS >ONIVA11G14480.2 pep chromosome:AWHD00000000:11:16028758:16036233:1 gene:ONIVA11G14480 transcript:ONIVA11G14480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSDNERYPVEVSNISKEGEVEVVNNNVKLICYRDPVPGTTKFNYPFYYASVYDLLNPKTAIDQSIRQYVVLRRKPLQDAYDTFNFLSLCEHPNILKPYGFWEDNDNKGFIAFPRVKGTLGNLPTDELFSVEIDANKVTWLKGFSPSGMQIIRDIVSSVHYVNERYPQAGTSSSGSAIPPLTMFPLELASHKILYEKIPTGEYKIFLGDISTTNHWPKTMKKIEDLKRHNWNCLGKYISTIMVGHKPNTELIHLVDVLIKDCITKLGLNYNEAKSLLSSVMLLRNKIVAHQDDPYQNYTGPKEQIGVAKALLEKLVLDSKPAYMIKLIEEIRKLNWIGESPLVRSLTSYVKAFDPNLKIRTS >ONIVA11G14480.3 pep chromosome:AWHD00000000:11:16028758:16036233:1 gene:ONIVA11G14480 transcript:ONIVA11G14480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIRDIVSSVHYVNERYPQAGTSSSGSAIPPLTMFPLELASHKILYEKIPTGEYKIFLGDISTTNHWPKTMKKIEDLKRHNWNCLGKYISTIMVGHKPNTELIHLVDVLIKDILWEPALWGSKIKMRFVREIVWCLENDKTGGRKSTLSKLSPLGLQDCITKLGLNYNEAKSLLSSVMLLRNKIVAHQDDPYQNYTGPKEQIGVAKALLEKLVLDSKPAYMIKLIEEIRKLNWIGESPLVRSLTSYVKAFDPNLKIRTS >ONIVA11G14470.1 pep chromosome:AWHD00000000:11:16023916:16027659:1 gene:ONIVA11G14470 transcript:ONIVA11G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31 [Source:Projected from Arabidopsis thaliana (AT5G55125) TAIR;Acc:AT5G55125] MKKGLHPQMQWISYVTQSGRLINIMMTKVNHTGKVYHMRAKRQMAQSLGQIAKFNRRYQQESEENKEK >ONIVA11G14460.1 pep chromosome:AWHD00000000:11:16018366:16022598:1 gene:ONIVA11G14460 transcript:ONIVA11G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKLIDWFKAKNVKGYAPICLGLEDFYVRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLKRTSNTTRCLNLGSYNYLGFAAADEYCTPLVIESLKKYSPSTCSVRVDGGTTKLHTELEELVARFVGKPAAILFGMGYVTNSAIIPCLVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQHLKLSCPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKVAVVTVAFPATPLLLARARICISASHTREDLIKALDVISRVGDLVGIKYFPAEPPKIAEADHDKLE >ONIVA11G14450.1 pep chromosome:AWHD00000000:11:15994777:16006367:-1 gene:ONIVA11G14450 transcript:ONIVA11G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSREPLRRGRQDLRLRQTRRRRHHQRHRRPRRRRRRGQPAPNRRGTSSPKTLEKRHTLPMWQQKDDFLTVLHDNQALILVGETGSGKHSDGIAPQYLDPQIHRSAAIGSGEWHSGFQYGVDKVFQPLPSSPSMGHELLCWGSVLSGESVFRPLPSLPSTGQSAFPSATALHLVCLYSFCCQLVGFTILYSTTDDLHGHCFMRRKFMDVLEHLKMAIAGIIGQHKYTHITLKPSYAMYYVDLVMKQATLCSFLNFIHNKTRGILHTLRRKTLTSTPPLAGATATLSTPCLAAGECPCVRRRRRRHMVFFPEEVVEHILGFLASHRDRNAVSLVCREWYRVERLSRRSVLVCNCYAARPERVHARFPGLRSLSVKGRPRFVPAGWGAAARPWVAACVAACPGLEELRLKRMVVTDGCLKLLACSFPNLKSLVLVGCQGFSTDGLATVATNCRFMKELDLQESLVEDRDSRWLGCFPKPSTLLESLNFSCLTGEVNSPALEILVARSPNLRSLRLNRSVPLDVLARILCRRPRLVDLCTGSFVRGNIVGAYAGLFNSFQHCSLLKSLSGFWDATSLFIPVIAPVCKNLTCLNLSSAPMVRSAYLIEFICQCKKLQQLWVLDHIGDEGLKIIASSCIQLQELRVFPANANARASTVTEEGLVAISAGCNKLQSVLYFCQRMTNSALITVAKNCPRFTSFRLCVLDPGSADAVTGQPLDEGFGAIVQSCKGLRRLCLSGLLTDTVFLYIGMYAERLEMLSVAFAGDTDDGMTYVLNGCKNLKKLEIRDSPFGDSALLAGMHQYEAMRSLWLSSCNVTLGGCKSLAASMANLNIEVMNRAASINEADNANDAKKVKKLYIYRTVAGPRGDAPEFISTF >ONIVA11G14450.2 pep chromosome:AWHD00000000:11:15994777:16006367:-1 gene:ONIVA11G14450 transcript:ONIVA11G14450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSREPLRRGRQDLRLRQTRRRRHHQRHRRPRRRRRRGQPAPNRRGTSSPKTLEKRHTLPMWQQKDDFLTVLHDNQALILVGETGSGKHSDGIAPQYLDPQIHRSAAIGSGEWHSGFQYGVDKVFQPLPSSPSMGHELLCWGSVLSGESVFRPLPSLPSTGQSAFPSATALHLVCLYSFCCQLVGFTILYSTTDDLHGHCFMRRKFMDGLQCKFDVGWCLISTKYTHITLKPSYAMYYVDLVMKQATLCSFLNFIHNKTRGILHTLRRKTLTSTPPLAGATATLSTPCLAAGECPCVRRRRRRHMVFFPEEVVEHILGFLASHRDRNAVSLVCREWYRVERLSRRSVLVCNCYAARPERVHARFPGLRSLSVKGRPRFVPAGWGAAARPWVAACVAACPGLEELRLKRMVVTDGCLKLLACSFPNLKSLVLVGCQGFSTDGLATVATNCRFMKELDLQESLVEDRDSRWLGCFPKPSTLLESLNFSCLTGEVNSPALEILVARSPNLRSLRLNRSVPLDVLARILCRRPRLVDLCTGSFVRGNIVGAYAGLFNSFQHCSLLKSLSGFWDATSLFIPVIAPVCKNLTCLNLSSAPMVRSAYLIEFICQCKKLQQLWVLDHIGDEGLKIIASSCIQLQELRVFPANANARASTVTEEGLVAISAGCNKLQSVLYFCQRMTNSALITVAKNCPRFTSFRLCVLDPGSADAVTGQPLDEGFGAIVQSCKGLRRLCLSGLLTDTVFLYIGMYAERLEMLSVAFAGDTDDGMTYVLNGCKNLKKLEIRDSPFGDSALLAGMHQYEAMRSLWLSSCNVTLGGCKSLAASMANLNIEVMNRAASINEADNANDAKKVKKLYIYRTVAGPRGDAPEFISTF >ONIVA11G14450.3 pep chromosome:AWHD00000000:11:15994777:16006367:-1 gene:ONIVA11G14450 transcript:ONIVA11G14450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSREPLRRGRQDLRLRQTRRRRHHQRHRRPRRRRRRGQPAPNRRGTSSPKTLEKRHTLPMWQQKDDFLTVLHDNQALILVGETGSGKHSDGIAPQYLDPQIHRSAAIGSGEWHSGFQYGVDKVFQPLPSSPSMGHELLCWGSVLSGESVFRPLPSLPSTGQSAFPSATALHLVCLYSFCCQLVGFTILYSTTDDLHGHCFMRRKFMDGLQCKFDVGWCLISTKYTHITLKPSYAIRKTLTSTPPLAGATATLSTPCLAAGECPCVRRRRRRHMVFFPEEVVEHILGFLASHRDRNAVSLVCREWYRVERLSRRSVLVCNCYAARPERVHARFPGLRSLSVKGRPRFVPAGWGAAARPWVAACVAACPGLEELRLKRMVVTDGCLKLLACSFPNLKSLVLVGCQGFSTDGLATVATNCRFMKELDLQESLVEDRDSRWLGCFPKPSTLLESLNFSCLTGEVNSPALEILVARSPNLRSLRLNRSVPLDVLARILCRRPRLVDLCTGSFVRGNIVGAYAGLFNSFQHCSLLKSLSGFWDATSLFIPVIAPVCKNLTCLNLSSAPMVRSAYLIEFICQCKKLQQLWVLDHIGDEGLKIIASSCIQLQELRVFPANANARASTVTEEGLVAISAGCNKLQSVLYFCQRMTNSALITVAKNCPRFTSFRLCVLDPGSADAVTGQPLDEGFGAIVQSCKGLRRLCLSGLLTDTVFLYIGMYAERLEMLSVAFAGDTDDGMTYVLNGCKNLKKLEIRDSPFGDSALLAGMHQYEAMRSLWLSSCNVTLGGCKSLAASMANLNIEVMNRAASINEADNANDAKKVKKLYIYRTVAGPRGDAPEFISTF >ONIVA11G14450.4 pep chromosome:AWHD00000000:11:16001340:16006367:-1 gene:ONIVA11G14450 transcript:ONIVA11G14450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSREPLRRGRQDLRLRQTRRRRHHQRHRRPRRRRRRGQPAPNRRGTSSPKTLEKRHTLPMWQQKDDFLTVLHDNQALILVGETGSGKHSDGIAPQYLDPQIHRSAAIGSGEWHSGFQYGVDKVFQPLPSSPSMGHELLCWGSVLSGESVFRPLPSLPSTGQSAFPSATALHLYTHITLKPSYAMYYVDLVMKQATLCSFLNFIHNKTRGILHTLSS >ONIVA11G14450.5 pep chromosome:AWHD00000000:11:16001330:16006367:-1 gene:ONIVA11G14450 transcript:ONIVA11G14450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSREPLRRGRQDLRLRQTRRRRHHQRHRRPRRRRRRGQPAPNRRGTSSPKTLEKRHTLPMWQQKDDFLTVLHDNQALILVGETGSGKHSDGIAPQYLDPQIHRSAAIGSGEWHSGFQYGVDKVFQPLPSSPSMGHELLCWGSVLSGESVFRPLPSLPSTGQSAFPSATALHLYTHITLKPSYAMYYVDLVMKLHKAC >ONIVA11G14450.6 pep chromosome:AWHD00000000:11:16002162:16006367:-1 gene:ONIVA11G14450 transcript:ONIVA11G14450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSREPLRRGRQDLRLRQTRRRRHHQRHRRPRRRRRRGQPAPNRRGTSSPKTLEKRHTLPMWQQKDDFLTVLHDNQALILVGETGSGKHSDGIAPQYLDPQIHRSAAIGSGEWHSGFQYGVDKVFQPLPSSPSMGHELLCWGSVLSGESVFRPLPSLPSTGQSAFPSATALHLVCLYSFCCQLVGFTILYSTTDDLHGHCFMRRKFMDGLQCKFDVGWCLISTKPLTQ >ONIVA11G14450.7 pep chromosome:AWHD00000000:11:15994777:15999359:-1 gene:ONIVA11G14450 transcript:ONIVA11G14450.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHLFPLPFATRQQKKNPHLHSPPRRSHGDPLHPLPRRRRVSLRAPPDSWRRRRHMVFFPEEVVEHILGFLASHRDRNAVSLVCREWYRVERLSRRSVLVCNCYAARPERVHARFPGLRSLSVKGRPRFVPAGWGAAARPWVAACVAACPGLEELRLKRMVVTDGCLKLLACSFPNLKSLVLVGCQGFSTDGLATVATNCRFMKELDLQESLVEDRDSRWLGCFPKPSTLLESLNFSCLTGEVNSPALEILVARSPNLRSLRLNRSVPLDVLARILCRRPRLVDLCTGSFVRGNIVGAYAGLFNSFQHCSLLKSLSGFWDATSLFIPVIAPVCKNLTCLNLSSAPMVRSAYLIEFICQCKKLQQLWVLDHIGDEGLKIIASSCIQLQELRVFPANANARASTVTEEGLVAISAGCNKLQSVLYFCQRMTNSALITVAKNCPRFTSFRLCVLDPGSADAVTGQPLDEGFGAIVQSCKGLRRLCLSGLLTDTVFLYIGMYAERLEMLSVAFAGDTDDGMTYVLNGCKNLKKLEIRDSPFGDSALLAGMHQYEAMRSLWLSSCNVTLGGCKSLAASMANLNIEVMNRAASINEADNANDAKKVKKLYIYRTVAGPRGDAPEFISTF >ONIVA11G14440.1 pep chromosome:AWHD00000000:11:15982507:15982689:-1 gene:ONIVA11G14440 transcript:ONIVA11G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPPRPDPCPPDDDEEEEAAGGSGAASPTRTRAGRICAALAADKEEEAAAGRRSAGF >ONIVA11G14430.1 pep chromosome:AWHD00000000:11:15978381:15979044:1 gene:ONIVA11G14430 transcript:ONIVA11G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNLAPGAQNRHYQMRFLPPNDDEGWLDIIGDEKFYKLLGLRAEDEQAEMSKQVVSGDGAYAAADGVGAADAATGADGGTEDTKGAAIPVMKCLESW >ONIVA11G14420.1 pep chromosome:AWHD00000000:11:15972938:15974584:-1 gene:ONIVA11G14420 transcript:ONIVA11G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPESGEPAGDDVLQKAECLRLLDALPAAAAASPAFRRHWPSISASLASLSASLSHPAFPPSAPRLLAPLASALSALVSVAGNGAASSLGHLHTVSLLSSSAAELSQLAADARLLVSPGNVGGEGVAAGVDGLIPRLRLGSAASRAAALDALVDSVGSLPPSAAAVAVSAVAAMLDSGEILPASREKAVSVLAAFASSDATCRLLAQEAGAVVPHLCRALESGGAGAEQACVALRPLTADSRDAAAAVAARGGVAALLGACAAGTPAAQAAAAGVLRNLAAFPDLLPTFREEGALPSLIQLVSLGTPRAQELALGCLQNLTSGDGDECQRLKVEAFQDGALGCVKDFLESCVGDEPGLAPAFGLLRNMASFRYIAEIAVSASFVDHVLAALGSDKAATRTEAAMALAELCNVTSHGKTRRDVGDAIPRLIWMLEAKPAAERDAAARALAALVAASGYRKLFKKEEQGIVNVVQLLDPSTARGGVDARFPVSVLLAVSPSRRCRKQMVAAGACGFLQALLAAEVDGAKKLADCLARGKMLGVFPRS >ONIVA11G14410.1 pep chromosome:AWHD00000000:11:15958166:15960600:-1 gene:ONIVA11G14410 transcript:ONIVA11G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosomal targeting BRO1-like domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G14020) TAIR;Acc:AT5G14020] MGCGASIPKKCKVGGKGKKRRSVIQEVAVFVPTIRIPVDSDVAHPLRGLVSKELVDRLSKFRDRVVALSEDIYCADVSDVSELQHALEEYLPVVLGLTMKESRLESSVEFRWRTLDDDQECCLSSAWYEVLSVIHMMAMLELFEANLILIPKNGQVGGERKVSEDAKKDVVDSLLRASGCLDYCVHRILVQIPAQVKKSFPSYFQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACELVSYFSQAHYCLSGCDTSDSFGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGNEASSHISAVCCLSAADDLVADSKRACLSFCLVNPITRVPPPWGIMRNMHKKIPDAACKRFQMYGYLFEQDNNSALQSLPDLPEFALSLRPEGYELPSTDSIWDNVDSQPQIQSLKEHLDDEDEVETK >ONIVA11G14400.1 pep chromosome:AWHD00000000:11:15953226:15955311:-1 gene:ONIVA11G14400 transcript:ONIVA11G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKFAEAKVSAVVLTGLVALATLVSCNTEGDILYKQKVAWEDPENVLQTWDPTLHNPCTWMHITCNNDNSVIRVDLLNVLISGPLIPQLGGLKNLQYLQLYGSRLNGSIPATLGKLKHLVSLDLSNNLLTGAIPPSLGAISNLLILRLSGNNLTGAIPPSLGNLKSLEILELGNNALSGSIPASLGDIETLNYLDLNDNMLTGTVPLEILSRLVTTLDELNVAHNDLYGTTRKSVTRVTTVVHDMPKTSN >ONIVA11G14390.1 pep chromosome:AWHD00000000:11:15941607:15946726:-1 gene:ONIVA11G14390 transcript:ONIVA11G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAARGNKKLQERVPIRRTAWRLADLAILFLLLALLLHRVLHDSGAPWRRAALACEAWFTFMWLLNVNAKWSPVRFDTFPENLAERIDELPAVDMFVTTADPVLEPPLVTVNTVLSLLALDYPAAGEKLACYVSDDGCSPLTCYALREAARFARTWVPFCRRHGVAVRAPFRYFSSTPEFGPADGKFLEDWTFMKSEYEKLVHRIEDADEPSLLRHGGGEFAEFLDVERGNHPTIIKVLWDNNRSRTGDGFPRLIYVSREKSPNLHHHYKAGAMNALTRVSALMNNAPFMLNLDCDMFVNNPRVVLHAMCLLLGFDDEISCAFVQTPQKFYGALKDDPFGNQLEVSLMKVGRGVAGLQGIFYFGTGCFHRRKVIYGMRTGREGTTGYSSNKELHSKFGSSNNLKESARDVIYGNLSTEPIVDISSCVDVAKEVAACNYEIGTCWGQEVGWVYGSLTEDVLTGQRIHAAGWRSTLMEIEPPAFMGCAPNGGPACLTQLKRWASGFLEILISRNNPILTTTFKSLQFRQCLAYLHSYVWPVRAPFELCYALLGPYCLLSNQSFLPKTSEDGFYIALALFIAYNTYMFMEFIECGQSARACWNNHRMQRITSASAWLLAFLTVILKTLGFSETVFEVTRKDKSTSDGDSNTDEPEPGRFTFDESTVFIPVTALAMLSVIAIAVGAWRVVLVTTEGLPGGPGISEFISCGWLVLCFMPLLRGLVGSGRYGIPWSIKMKACLLVAIFLLFCKRN >ONIVA11G14380.1 pep chromosome:AWHD00000000:11:15932294:15934782:1 gene:ONIVA11G14380 transcript:ONIVA11G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J2D2] MSLLLCFLLFSLYPPRISAATDTVSPGHALTGSDRLVSNNSKFVLGFFKTESKNSSYASHNSYLCIWYSKLPMITPLWSANGENPVVDPASPELAISSDGNMVILDQVTKNIIWSTHVNTRTNHTIVVLLNNGNLVLQSSSNSSKVFWQSFDYPTDSLFAGAKIFRNKVTGQKNRLVSRKNSIDQAAGLYSVEFDINGTGHLLWNSTVVYWSTGDWNGHFFGLAPEMIGATIPNFTYVNNDREVYLSYTLTKEKITHAGIDVNGRGLAGIWLDSLQNWLINYRMPILHCDVYAICGPFSVCNDSNNPFCDCLKGFSIRSPKNWDLEDRSGGCMRNTPLNCGSTMNKKGFTDKFYCVQNIILPHNAMSVQTAGSKDQCSEVCLSNCSCTAYSYGKGGCSVWHDALYNVRQQSDGSADGNGETLYIRVAANEVQSVERKKKSGTVIGVTIAASMSALCLMIFVLVFWMRKQKWFSRGVENAQEGIGIRAFRYTDLQCATKNFSEKLGGGSFGSVFKGYLNDSIIIAVKRLDGACQGVKQFRAEVNSIGIIQHINLVKLIGFCCEDGKKLLVYEYMTNRSLDVHLFKDNDKVLEWNIRYQIASGVAKGLAYLHDSCRDCIIHCDIKPENILLDASFVPKIADFGMAKVLGREFSHALTTVRGTIGYLAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSNQEYCRGHSAYFPMQVARQLINGGIENLVDAKLHGDVNLEEVERVCKVACWCIQDSEFDRPTMGEVVQFLEGLLELKMPPLPRLLNAITGGSHSTSLLPIDLQ >ONIVA11G14360.1 pep chromosome:AWHD00000000:11:15924200:15924502:-1 gene:ONIVA11G14360 transcript:ONIVA11G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCVSRRPSPATTSTTDNIELATERFFDGHKIGRWRGKATRLGKVAAQMVGRGSGMCSRAVAQSERDDDDAVPSRPLPSRASFPNCAGVTCCRATSPPR >ONIVA11G14350.1 pep chromosome:AWHD00000000:11:15913817:15916343:1 gene:ONIVA11G14350 transcript:ONIVA11G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J2D0] MSVLGFLLLLSLHPPTSYATTDTVSPGQTLAGGDRLISNNSKFALGFFKMDSKNSSYTSRNSYLCIWYNKLPMITPLWSANGENPVVGPASPELTISGDGNMVIMDQATKSIIWSTRVNTTTNGTVVVLLNDGNLVLQSSSNSSMVFWQSFDYPTDSLFADAKIGWNKVTGLNRRLVSRKNSIDQAAGLYSLEFDINGVGHLVWNSTVTYWSSGDWNGQFFGSAPEMFGATIPNFTFVNNDREVYLTYTLNNEKAITHAAIDVNGRGLAGVWLDSLQDWLINYRMPLLHCDVYAICGPFTVCNDNNDPFCDCMKGFSIRSPKDWEIEDRTGGCMRNTPLNCGSTMNKTGFSDKFSYVQNIILPHNAMHVQEAASKDECSDVCLSNCSCTAYSYGKGGCSVWHDELYNVRQQSDASAVGNGDNFYIRLAANEVHEVQSAERKKKSGVIIGVAIGASTAAFCLMILLLMFWRRKGKLFARGAENDQGSIGITAFRYIDLQRATKNFSEKLGGGSFGSVFKGYLNESTPIAAKRLDGTCQGEKQFRAEVDSIGMIQHINLVKLIGLCCEGDKKLLVYEYMPNGSLDVQLFKDNDKVLDWNLRYQIAIGVARGLAYLHDSCRDCIIHCDIKPENILLNESFVPKIADFGMAKILGREFSHALTTMRGTIGYLAPEWISGTVVTAKVDVYSYGMVLFEILSGRRNSSQEYFKDGDHSAYFPMQVARQLINGGIGNLVDAKLHGDVNLEEAERVCKIACWCIQDSEFDRPTMGEVVQFLEGVLELKMPPLPRLLNAITGGSHSTPLSSLDLP >ONIVA11G14340.1 pep chromosome:AWHD00000000:11:15887139:15892591:1 gene:ONIVA11G14340 transcript:ONIVA11G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J2C8] MAKAMCSLGACLAVMLVVLAVAVAGVGCSIVSYDGRSLILDGERRIVISGSIHYPRSTPEMWPDLIKKAKEGGLNAIETYVFWNGHEPRRREFNFEGNYDVVRFFKEIQNAGMYAILRIGPYICGEWNYGGLPVWLRDIPGIKFRLHNKPFENEMEAFTTLIVKKIKDANMFAGQGGPIILAQIENEYGYTMLQPENIQSAHEYIHWCADMANKQNVGVPWIMCQQDNDVPPNVVNTCNGFYCHEWFSNRTSIPKMWTENWTGWYRDWDQPEFRRPTEDIAFAVAMFFQMRGSLQNYYMYHGGTNFGRTAGGPYITTSYDYDAPLDEYGNLRQPKYGHLKELHSVLMSMEKILLHGDYIDTNYGDNVTVTKYTLNATSACFINNRFDDRDVNVTLDGTTHFLPAWSVSILPDCKTVAFNSAKIKTQTTVMVNKTSMVEQQTEHFKWSWMPENLRPFMTDEKGNFRKNELLEQIVTTTDQSDYLWYRTSLEHKGEGSYVLYVNTTGHELYAFVNGKLVGQQYSPNENFTFQLKSPVKLHDGKNYISLLSGTVGLRNYGGSFELLPAGIVGGPVKLIDSSGSAIDLSNNSWSYKAGLAGEYRKIYLDKPGNKWRSHNSTIPINRPFTWYKTTFQAPAGEDSVVVDLHGLNKGVAWVNGNSLGRYWPSYVAADMPGCHHCDYRGVFKAEVEAQKCLTGCGEPSQQLYHVPRSFLHKGEPNTLILFEEAGGDPSEVAVRTVVEGSVCASAEVGDTVTLSCGAHGRTISSVDVASFGVARGRCGSYDGGCESKVAYDAFAAACVGKESCTVLVTDAFANAGCVSGVLTVQATC >ONIVA11G14340.2 pep chromosome:AWHD00000000:11:15887254:15892591:1 gene:ONIVA11G14340 transcript:ONIVA11G14340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J2C8] MAKAMCSLGACLAVMLVVLAVAVAGVGCSIVSYDGRSLILDGERRIVISGSIHYPRSTPEMWPDLIKKAKEGGLNAIETYVFWNGHEPRRREFNFEGNYDVVRFFKEIQNAGMYAILRIGPYICGEWNYGGLPVWLRDIPGIKFRLHNKPFENEMEAFTTLIVKKIKDANMFAGQGGPIILAQIENEYGYTMLQPENIQSAHEYIHWCADMANKQNVGVPWIMCQQDNDVPPNVVNTCNGFYCHEWFSNRTSIPKMWTENWTGWYRDWDQPEFRRPTEDIAFAVAMFFQMRGSLQNYYMYHGGTNFGRTAGGPYITTSYDYDAPLDEYGNLRQPKYGHLKELHSVLMSMEKILLHGDYIDTNYGDNVTVTKYTLNATSACFINNRFDDRDVNVTLDGTTHFLPAWSVSILPDCKTVAFNSAKIKTQTTVMVNKTSMVEQQTEHFKWSWMPENLRPFMTDEKGNFRKNELLEQIVTTTDQSDYLWYRTSLEHKGEGSYVLYVNTTGHELYAFVNGKLVGQQYSPNENFTFQLKSPVKLHDGKNYISLLSGTVGLRNYGGSFELLPAGIVGGPVKLIDSSGSAIDLSNNSWSYKAGLAGEYRKIYLDKPGNKWRSHNSTIPINRPFTWYKTTFQAPAGEDSVVVDLHGLNKGVAWVNGNSLGRYWPSYVAADMPGCHHCDYRGVFKAEVEAQKCLTGCGEPSQQLYHVPRSFLHKGEPNTLILFEEAGGDPSEVAVRTVVEGSVCASAEVGDTVTLSCGAHGRTISSVDVASFGVARGRCGSYDGGCESKVAYDAFAAACVGKESCTVLVTDAFANAGCVSGVLTVQATC >ONIVA11G14330.1 pep chromosome:AWHD00000000:11:15876715:15881714:-1 gene:ONIVA11G14330 transcript:ONIVA11G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAALPPLPPSLPHRSGRREGGPRPQRRRRVFSTLRLATPRAAVAPSAISLLPPASAVAAAVALAAAADGWCRRRPRMDDVAATADGWRGDDRGRRWQRPRAAVAMANGGSGGRREKFCDDTVLEIFHFRDRCLNCGETNHLSEGCPMPPTLQVVAASAQRGQIQIRHNGKAKDPNRHLNVQPPPQRIIVKGTTTGHIVPQLLQTYSTSTSRVSNARKKTTHYNYKETVHSFNSTRNNYLQHQVTRLLPTLGMHLALLQSGNPAPGDTLSKKILLATAVKRVVILPSKINTQSNVSGETPASRN >ONIVA11G14320.1 pep chromosome:AWHD00000000:11:15870146:15874807:-1 gene:ONIVA11G14320 transcript:ONIVA11G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLEDRFNGLMIFVLELFKRVNLLVAISLLPPTTLPALPTTTPTRATAEATHRAEEASQVWNYDEHVHDDLQARLEEKGKRAKFVGEETNRSKSSPWASKKRVISWWTSQE >ONIVA11G14320.2 pep chromosome:AWHD00000000:11:15870517:15874807:-1 gene:ONIVA11G14320 transcript:ONIVA11G14320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLEDRFNGLMIFVLELFKRVNLLVAISLLPPTTLPALPTTTPTRATAEATHRAEEASQDTKAPSLRLV >ONIVA11G14320.3 pep chromosome:AWHD00000000:11:15870517:15874959:-1 gene:ONIVA11G14320 transcript:ONIVA11G14320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPLLCLSNPCEGRRLLVHRLQAINCGQYTGGDEESRGQAISLLPPTTLPALPTTTPTRATAEATHRAEEASQDTKAPSLRLV >ONIVA11G14310.1 pep chromosome:AWHD00000000:11:15854043:15866039:-1 gene:ONIVA11G14310 transcript:ONIVA11G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQFAGAKISVVLLTGLVALATLVNCNTEGDILYKQRVAWEDPHNVLQTWDPTLHNPCSWMRVMCNSDNTVIRVDLGDADISGPLIPQLGGLKNLQYLELYGNRLNGSIPAALGKMEHLVSLDLYSNLLTGTIPTSLGAMSRLRYLRLSQNKLRGAIPPSLGNLMSLEDLELHKNALSGSIPASLGNVKTLNYLYMLIPKFANREITRYFFTKLGTSKILLTYNSSFCLHKLHPPPQKRLNGNMLIGTVPLEILSLLVSNLVELNVANNNLDGTDRESGTRVMGAHSSAAAAALFTGFLALATLVSCNTEGDILYAQRLAWKDPFNVLQSWDPTLVNPCTWFHVTCNNNNSVVRVDLGLAGLSGPLIPQLGGLSYLQYLELYGNELNGSIPAALGNLSSLVSLDLQGNLLTGAIPDSLGAISTLRNLRLYGNNLTGTIPQSLGSLTSLVKLELQKNSLSGTIPASLGNIKTLELLRLNKNSLTGTVPMEVLSLVLVGNLTELNVAGNNLDGTVGSTGWRAMGAHSAAAALFTALLAFATLVSCNTEGDILYAQRQELKDINNVLASWDPTLVNPCTWVHVTCDNSNSVIRGFGIGRSIRLSDSTAGRTENLHGNNLTGTIPQSFGNLTNLVRLELQKNSLSGTIPASLGNIKTLKFLRLNGNSLTGTLPLEVLSLVLVGNLTEINVARNNLDGTVGSTGLRVTAIIQDRLKISG >ONIVA11G14310.2 pep chromosome:AWHD00000000:11:15854205:15866039:-1 gene:ONIVA11G14310 transcript:ONIVA11G14310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQFAGAKISVVLLTGLVALATLVNCNTEGDILYKQRVAWEDPHNVLQTWDPTLHNPCSWMRVMCNSDNTVIRVDLGDADISGPLIPQLGGLKNLQYLELYGNRLNGSIPAALGKMEHLVSLDLYSNLLTGTIPTSLGAMSRLRYLRLSQNKLRGAIPPSLGNLMSLEDLELHKNALSGSIPASLGNVKTLNYLRLNGNMLIGTVPLEILSLLVSNLVELNVANNNLDGTDRESGTRVMGAHSSAAAAALFTGFLALATLVSCNTEGDILYAQRLAWKDPFNVLQSWDPTLVNPCTWFHVTCNNNNSVVRVDLGLAGLSGPLIPQLGGLSYLQYLELYGNELNGSIPAALGNLSSLVSLDLQGNLLTGAIPDSLGAISTLRNLRLYGNNLTGTIPQSLGSLTSLVKLELQKNSLSGTIPASLGNIKTLELLRLNKNSLTGTVPMEVLSLVLVGNLTELNVAGNNLDGTVGSTGWRAMGAHSAAAALFTALLAFATLVSCNTEGDILYAQRQELKDINNVLASWDPTLVNPCTWVHVTCDNSNSVIRGFGIGRSIRLSDSTAGRTENLHGNNLTGTIPQSFGNLTNLVRLELQKNSLSGTIPASLGNIKTLKFLRLNGNSLTGTLPLEVLSLVLVGNLTEINVARNNLDGTVGSTGLRGTMNV >ONIVA11G14310.3 pep chromosome:AWHD00000000:11:15854205:15866039:-1 gene:ONIVA11G14310 transcript:ONIVA11G14310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQFAGAKISVVLLTGLVALATLVNCNTEGDILYKQRVAWEDPHNVLQTWDPTLHNPCSWMRVMCNSDNTVIRVDLGDADISGPLIPQLGGLKNLQYLELYGNRLNGSIPAALGKMEHLVSLDLYSNLLTGTIPTSLGAMSRLRYLRLSQNKLRGAIPPSLGNLMSLEDLELHKNALSGSIPASLGNVKTLNYLYMLIPKFANREITRYFFTKLGTSKILLTYNSSFCLHKLHPPPQKRLNGNMLIGTVPLEILSLLVSNLVELNVANNNLDGTDRESGTRVMGAHSSAAAAALFTGFLALATLVSCNTEGDILYAQRLAWKDPFNVLQSWDPTLVNPCTWFHVTCNNNNSVVRVDLGLAGLSGPLIPQLGGLSYLQYLRLYGNNLTGTIPQSLGSLTSLVKLELQKNSLSGTIPASLGNIKTLELLRLNKNSLTGTVPMEVLSLVLVGNLTELNVAGNNLDGTVGSTGWRAMGAHSAAAALFTALLAFATLVSCNTEGDILYAQRQELKDINNVLASWDPTLVNPCTWVHVTCDNSNSVIRGFGIGRSIRLSDSTAGRTENLHGNNLTGTIPQSFGNLTNLVRLELQKNSLSGTIPASLGNIKTLKFLRLNGNSLTGTLPLEVLSLVLVGNLTEINVARNNLDGTVGSTGLRGTMNV >ONIVA11G14300.1 pep chromosome:AWHD00000000:11:15842128:15848718:-1 gene:ONIVA11G14300 transcript:ONIVA11G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSPAPPPPAAMPPPREHVERIRRERFFIGRGERNPLAEDMHQAVNYLSQEIYSKDVHFLMELIQNAEDNDYPSGVAPSLEFLITSKDITGLGAPSTLLVFNNENGFSPSNVESICRVGKSTKKGNRHQGYIGEKGIGFKSVFLISRQPHIFSNGYRIKFNEDPSSECNIGYIVPEWVESKPSLSDIQELHGSSKPLPTTTIILPLKSEKVDVVKKQLSSIHPETLLFLTKIRRLSVREDNSDPKCSTINEISMESEKNYQVRKNIHAESYTLHLSAQENKEQEECGYYMWRQKFPVKPENRVDMRADIDEYVITLAFPHGQRLSRGKQSSPGVYAFLPTEILTNFPFIIQADFLLASSRETILFDSMWNKGILECVPSAFLNAFVALVKSSADAPAMSLPSMFNFLPVHPSHVPFLEPVRSAIKDKVRTENIMPCESYTLQKMFCKPGEVGRIKPGFWTILKKAQECGVDLKNLSAHGTYILSCHFDKSTYDSVLAFLDVKNVSAKWYAKCIEGSNLVYELPEELYIEFLYFLATNWDSFSSTSMKSIPLLKYVDRYGAPTFWSIYKASQSSGRLCISSHKKYIQWLISWNQEFPSCNQFFMPLSTQTALYDFSKNTFVTNWLRGHVNVQVVSVHGYGLNTIAKLLDHDRRSIVAFAHFLYHSFKMGHIEGYFVTQLCHAMPIINIYGKVVKTKTNIVVPAKGSKWVRLMGTNPWKDEKYTVLAADYMSSGSFARKSTPDGRLFKFLTKHLQVSDVPSIDPPDASFPTVSSQLTVDNALLLLEWLRNLKSRGVELPAKFMDCIRRGSWLVTSVGDRPPSESFMSSAEWTGLLQIGSSFVDIPIIDQQFYQNKLNVYKEELKTIGVRFEFQEASVYIGSHLMSIAESNMLTRDNVYSLLQLIRFLQENNLSTSALVDSVNSGQWMKSTLGYRSPANCIIYDSDWAVASRISILPFLDVQFYGDSILDYKPELELLGVLVGFKDNYTTVIDNFEFSSNAISSEATVLILKCVRYVSPCDDFITKLKGIKWIKTNVGFCVPSESFLIDPEWECLLKVFGEVALIDLGFYGSVISSYKEELKKTGLIAGHLEASNALALLFKQMVSKSSLTKANVLALLASYRQLKSHQPSPMKLFNCLRDEKWLHTSQGFRRPSDAILFDESWWLLSPIASLPFINDEDTGYGLGLEIYDYKDELKDLGVTVEVKDGANFVIVNLKIPNDQSAMPAYTVLSLLECIQNWIACQVSLPKDFLDKICKKWLRTTMGYKSPNECLLFDHKHSAICMEDGPFIDEVFYGSEIASFKDALAAIGVVINIENGCDLVAQHMKFHSCSDTISRIYMYLMDCNWKPVNNSSNWVWVPSGIQSGEWVSPANCVLHDRDNLFSSQLHVLDKYYNKKVLGFFALVLGVRFNPNAEDHCKLWSKWEASVTELTMADCSAFWGFVLENWTKATENLLSACVIKVPVFNEGKIILSKKEDVFIPDDLLIKDLFDKLPQESIFIWYPPASLPYMSRARFNCIYNSIGVRTISESVEWNESFTLGDTGLQEVNVSTVIKHGLLQIVTAFLANPVLDIPAKERHKMVSHLLSVTILETNEPITAGYSVKLSSGRHVSVKASRMLRWGRDNSKLYMQRCDQETSHRGKIEFATYFADEISQGLLFEMEDHIPELTELVKFGYLLDFQDSAVEFLLKSKNLQLFPEDEEFLDSAMWS >ONIVA11G14290.1 pep chromosome:AWHD00000000:11:15832345:15842022:-1 gene:ONIVA11G14290 transcript:ONIVA11G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYGRSGAQDRGGGWWRRRGLGWGRRLVEEATRGGTQDGSSSTSDGDVWRLSLTSLQRLGMAATASGGGEAWDESGGWGEASNGGVAEAREERCQIPSLLPLSPASFAAATTASLFRAGCCHVGEKLCEGWLRFRCGGGELNGKLHNLSTAATTAPSLSRRATRKAKR >ONIVA11G14280.1 pep chromosome:AWHD00000000:11:15831917:15832192:-1 gene:ONIVA11G14280 transcript:ONIVA11G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAAEAAREHVESIRRERYYIGREERNPLAEDMHQAVIYLSQEIYSKDVHFLMELVQVHQPSPFPLSLSLSILLDRPVSISACTDSSS >ONIVA11G14270.1 pep chromosome:AWHD00000000:11:15819685:15827268:-1 gene:ONIVA11G14270 transcript:ONIVA11G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRARASGRANNGSAAMAIVTSIVDLCPDQIWVTPPQPLSVLHASSSVDRSMERRGAIDLQRPAVPNAEDNEYPSGVAPSLDFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSVFLISSQPHIFSNGYQIKFNEKPCAECGIGYIVPEWVESRPSLSDIRTIYGSSKVLPTTTIILPLKSEKVDAVKKQLSSMHPEMLLFLSKIRQLSVKEENVNHKCSPVSEISISSEKNFQERKNMHAESYTLHLSALENGIGEEECGYYMWRQKFPVKPENRVDKRAEIDEWVITLAFPYGQRLSRGKQMSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADVPAMSLPSMFNFLPVGSSLIPLLEPVRSGIKDKVLAEDIVPCESYTPQKIFCKPAVVGRLKPDFWDILSKAQKSGVDLKNLSTHGTYILSSHFDKSAYNSVLEFLGIKSVNPEWYAKCIEGSNLVKEVPEQLYLEIISFVADNWQICFSGTNMSSIPLLKYVNRHDVLSFWSLSTASQHCDRLCIASEKYISWLISWNKEFPSSSRLFLPPNTQGALNDFSQKTKVTNWLQNYAKVDFVSVYSYAQLIVNSLGSDRRSVIAFAHFLYHSTQKKYIESYYLPDLLRAMPVIDNYGSAITARKGILVPAKGSKWVGLMGSNPWRNEKYVELSSDYKSANYFAGQCTSEDQLMAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKGSQLPARFLACIKQGSWLKTSVGYKPPNESFLSGAEWGSLLQTGSSFVDIAMIDQQFYGNKLQEYKKELQAIGVRFEFREASAYIGDRLMSMAENNMLTRENVYSLLRLIRFMREKVLSPSELINSVKNGKWMKTDIGYRSPADCIIKDSGWEVASCISDQPFLDVKFYGEAILSYKQELELLGVVAGFKDNYNLVINNFKFSSTAITPEATILILKCIRHVRSCDDFVNKLRGLKWVRTNMGFCAPNKSFFVDPEWECLIKVFDGIPVIDFGFYGSKISSYKEELKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMCTEKWLSTSIGSKAPKDAILFNEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCICIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKCTCICKEDGPFIDEAFYGSEISSFKDVLMKIGVIVDIKRGHDLVARHLRNHKDSATISRIYLYLKDCNWEPENKTSNWVWLPNGSGSGEWVSAPSCVLHDRDNLFTSHLHVLDKYYDKKLLDYFSVFLGVRHGPSSEDYCKLWSTWESSVSELSKADCSAFWKFVATNWGQNMNKLLSGCIKVPVCTDGKIILSSKKDVFIPDDLLLKDLFSKLSQQAVFIWYPSSSLPSMSRARLNNIYSSIGVGTISKAVRKNDSFTLGSGSLKTVGLNMVIKAGLLQLVLAFLADPALDISTKERHKMVSWLLNVTVLETDEPITVAYSVSLSSGRALDVKASRMLRWERDNFKLYMQRSHDAAGYKEKIEFATYFSEEISGGLLFEMADQIPSLAELVKVGSLLDFQDAAVDFLLKSKNLQLFPEDEAFLKASLQDGGEDC >ONIVA11G14260.1 pep chromosome:AWHD00000000:11:15809910:15818422:1 gene:ONIVA11G14260 transcript:ONIVA11G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKPTSLSPGTSRFPPSPGIYKFRPAILWDSARHNRFSARNRFSVLEAISDEDDDSLTERDSTTSDSCGEDNSRKDPPLMEDGEIVNPLVRTLLELFSEEQISEIPLMETYIRRCGISEGMGSHLIEENNFLFGAFTSIVACPWIKKDTILLSSILLRLSEIWNQSEWVTNLLDFFEDAQFRTSVYNIVAFFEDQLTMSTTENSNGIDHKEKLSYSTLFALLPLLLPLLLKLLQYVHSLWTDEVASNVSEKLEGAKFIIQAEKPFGMCVCLEGAFYKLLDNISVCGALLKDLEVMEFRHLTMLIKHTIVPLVKNCPAELWPKWIDMILQPVFHYCDDTLDGSWCSFLYKETMLVPDKFCHISFTEEKIEELGKDHLFEVTREISYMLAVMALPELNGGIANEHQSIVSIVETSADLESTCSSSLVGYLLYHDDLRPSILRLINNIIGYWKDSEARIKVVSFCHMLIQLAISTHNDKLISFVQDNLIPMVVRCLIFEPISNNNDLLLLCEDAYRCIQREESVSEGQHDGNSAEIFENWLSKQMIVAHYEHSPPDELKDFVCIWEIEEEFTAYLHTYTEMLHKVDGIGDTIEDVYLRCPIPFVSKHDNNCCPISNSWAMSSMLSRKITSMYCKRETEQKFKFLCKLITFKPYIKCSGYDESVQELVDDDSEVWSALPGCCRQETLEDDKEMLREIAYLLTSREDIHCVQSFQPVSSDFLLHLQPYAQNYIEVKNASSGYDRVKEQIRLHEAFDTHLASGALDDHVRQISSSKDNFVKIMLDDDTLHSQFIDLDYDLLKLSHERRAKLLSKQDQLCLYYKHMKCAVVNLQHRDRLESLICELESEGFFRVDDDSIEWEKEHFSELVDEFNEHIFAGIHLPKYYVIRGVMVVGGAFCRWMEDRDLFWMETRYYRHRYYDIIQEPIWRTTGDENEMTVGHTTRIIDGNGEFTAGMN >ONIVA11G14260.2 pep chromosome:AWHD00000000:11:15809910:15818422:1 gene:ONIVA11G14260 transcript:ONIVA11G14260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKPTSLSPGTSRFPPSPGIYKFRPAILWDSARHNRFSARNRFSVLEAISDEDDDSLTERDSTTSDSCGEDNSRKDPPLMEDGEIVNPLVRTLLELFSEEQISEIPLMETYIRRCGISEGMGSHLIEENNFLFGAFTSIVACPWIKKDTILLSSILLRLSEIWNQSEWVTNLLDFFEDAQFRTSVYNIVAFFEDQLTMSTTENSNGIDHKEKLSYSTLFALLPLLLPLLLKLLQYVHSLWTDEVASNVSEKLEGAKFIIQAEKPFGMCVCLEGAFYKLLDNISVCGALLKDLEVMEFRHLTMLIKHTIVPLVKNCPAELWPKWIDMILQPVFHYCDDTLDGSWCSFLYKETMLVPDKFCHISFTEEKIEELGKDHLFEVTREISYMLAVMALPELNGGIANEHQSIVSIVETSADLESTCSSSLVGYLLYHDDLRPSILRLINNIIGYWKDSEARIKVVSFCHMLIQLAISTHNDKLISFVQDNLIPMVVRCLIFEPISNNNDLLLLCEDAYRCIQREESVSEGQHDGNSAEIFENWLSKQMIVAHYEHSPPDELKDFVCIWEIEEEFTAYLHTYTEMLHKVDGIGDTIEDVYLRCPIPFVSKHDNNCCPISNSWAMSSMLSCSGYDESVQELVDDDSEVWSALPGCCRQETLEDDKEMLREIAYLLTSREDIHCVQSFQPVSSDFLLHLQPYAQNYIEVKNASSGYDRVKEQIRLHEAFDTHLASGALDDHVRQISSSKDNFVKIMLDDDTLHSQFIDLDYDLLKLSHERRAKLLSKQDQLCLYYKHMKCAVVNLQHRDRLESLICELESEGFFRVDDDSIEWEKEHFSELVDEFNEHIFAGIHLPKYYVIRGVMVVGGAFCRWMEDRDLFWMETRYYRHRYYDIIQEPIWRTTGDENEMTVGHTTRIIDGNGEFTAGMN >ONIVA11G14250.1 pep chromosome:AWHD00000000:11:15800486:15806100:-1 gene:ONIVA11G14250 transcript:ONIVA11G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLDSFGSNPTVQVWPISPRARRLLPLESAPPPIRRRLPPPSAATFFSVPLPRGNFALFTLPQLLLPPPPRPQPPNPSWPAPSSPPPGDRRSPETGKKLSAARSYSYREAYISSELPMATEKKVNKLSVANLTSDDSFYPVKVPGNSKIEIQSRVRNLTVYASKIPGSVAYNAMLLYSEGQQEKCLAVRRRSEHAHTLYEILRNCSHRNIIQPMGVWEEMETNLAFIVFPCSDGVVTSIPKEALFDVEDATNAESYTFGFSDQGCRIFREICMAVRYINVLYDEEKIPLKALDLDESKIFYQSKAKGDYHVLLTDIKMEISPTGNVRKNRRAKGKVSSTGVPTVDDVKTANWNGLGQFLKKLHKDLKLHIELSHLSEELGKESVKYEDLVWEPGLWESSTKVQLVRDVYWCYNKNKNRISTLKNKTALGLKSCIDKLEVNKSRAPDKQINDDNLYESLFFLRVYMVAHKDDTIKGYSGTMEMMHDKKAIVRLLMIERPEYMVTLISAIRKLGWIRQSPFLHMDNQYMIEFYTQILQSDWYAYNFFLYSYQADSIAYAPKKIIPFLYSHLII >ONIVA11G14240.1 pep chromosome:AWHD00000000:11:15780182:15790634:1 gene:ONIVA11G14240 transcript:ONIVA11G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKKHPRFRGTLGTYKFRQALLGDPARHNRFSVLEAEATDDDSSTEPSSRMQDIEDSCGNRSTQDNSRRELVTMEDVGNMNPLLRTLLEMVSEEQISEIPRHGLENLLLVAIYTTVKFNILMMLMLSLLPHGIGEGMGLHLVEENNFLYGAFTFVVTCPWIKKDTKLLSRILLRLSEIWSQPEWETNLLDFFNNAQFRTSVYNVVAFFENELTMCTAENYDGINHERKLNYSTLTTLIPLLFPLLLELLQYVHSLWTDEVASNISEELEGAKCIICSEKLCGIVEETTEIQDMNEEELLVDEIREWLEKIRQTGYNVIGMCASLEGAFCKLLDSFSVCGTLLKDVESMDFRHLTMLIKYTIVPLVKSCPPDLWVEWIDMLLPPVFHYCEETLYSSWCSLLYKDIVSVPDKFCESFSKEMVEKVGKGLLSELTREASYLLAAMALPEQNGSIVSTADLESTSSSLVRYLLCHDNIRSSILRLINYIFGYWKDGEARIIAAPFCHSLIQLAIATHNDELLYFVQDDILPKIVQCLTLEPKSDNNALYLLCEDAYHCMQSQGSAQEGECNGNTAEIFEDWLSKQMIVARYKYTSSDELQDMVWIWEIEEEFIAYLHTYVDMLHKVDEIGDTMEDCYLSSPIKFVSKHDTDCCAISHAWAMSTMLSCSGYDESVQELVEDDSEVWSALPGFCRQETLELFCRILDSWEPQFHPLIRQDDKEMLREIACLLTSREDIHHVQSFQPVSSDFLLHLQPYAQNYIEVKNASLATVLVLKVLFLHQYDRVKEQTRLHEAFDIHLASGALDDFVRRTSSSKDDFIKIILDDDILRSQFTDLDYDLLKLSYEHRDRLESLICELEAEGFFSVDDDSIEWENEHFSELVDEFNEHVFAGIHLPKYYVIRGIMDYQEMLNMKDSTWDDAFSVVVDGAFCRWMEDRDLFWMETRYYSHCYYDIIQEPVKMVSKLTLVLMHISSVLFLAPENLETPF >ONIVA11G14230.1 pep chromosome:AWHD00000000:11:15775106:15775684:-1 gene:ONIVA11G14230 transcript:ONIVA11G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFAAAPPCPSVFELAAAEVAAVCVGRGAAARSAAASVVTRSRPVTGSAVEPPASTPDTHHRSRIHVRGVRIGGRMCRPLASPCHVGSADAAPSRAGLSPDPSSRQIRPTMAGSARVGRRSAAGCAASSPPTRRQPSPPRLQPCRTGSADVAPAAARQWRWRSTSRRQSCRAGSAQCRHRQPPRPLATLIS >ONIVA11G14220.1 pep chromosome:AWHD00000000:11:15772261:15772530:-1 gene:ONIVA11G14220 transcript:ONIVA11G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFDHTAPPHAARRLPHPPPTTAGVASDSGKAHQNTRRRGQPSPPPLYSSSSHPVRRHQHLASAPPSSPPSRRQRRLTSSDPRLLTSP >ONIVA11G14210.1 pep chromosome:AWHD00000000:11:15767233:15770376:-1 gene:ONIVA11G14210 transcript:ONIVA11G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKIPGDSKIEIQNRSRNLTVYASKVVDSVAYNAMLSYPEGQQEKCVAVCRRLEHAHALFDILASCSHSNIIEPIGIWEEKGTKLAYIVFPCFDGPLSLIPTEEIFDVEDATNDKSYTFGFTDQGCKIFVEMFMAVKYVNDLYDDEQIPLKALNFDESKIFYQLNAQRDYRVLLTDFKLEISPTGNARNNRKGKGKASSTGMKIWCGKLDYGNITAKCNLSGRYIGTITTMRQKYPSLSLEHLLVSKAALTSWRVYMVAHRDDLIKGYSGAKETVEDRKAVVRLLMKECPTYMVKLIAEIRHLGWIKESPFLRTALRKSADEGNFGTNDISFLTWSGKLH >ONIVA11G14200.1 pep chromosome:AWHD00000000:11:15759664:15764533:-1 gene:ONIVA11G14200 transcript:ONIVA11G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKVGDDAPDLGTVKSEVEGVSEMIITDMKHKNIGRGGTYIICYDGTIKLGSTDSQPIKATIFAFQCDADEMSAMHKKWSSFDHPSVMKSLGCSWGSRHHSKYGFVAFPMFHLTFSDLIAKGSRAVEMGRFTEVFTEAVSQIVKGLQALHDNGFFCPNLKGADIAIKMNNNSIDAKIWNFTVCTSDVKKNMDWKRLGDMLRVVAERNFANSSDSLEIHYVCYQISKGQIRGLDILQQSAFLSVREKFEKVLFLWTHVSIHCKPKQIHPLDESKSAYTLTEFLDANSIESTRPLWIGNKRIKSAPKTLRNLLDELRDIIEHEVEYIPSDVIAKLGHKEIELGKTKSDLEHYIRQILGIRVSYYSVMGFQSDIRIGI >ONIVA11G14190.1 pep chromosome:AWHD00000000:11:15734162:15735386:1 gene:ONIVA11G14190 transcript:ONIVA11G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSKLEPKDQLDASALSHHRRHGFACDLFGRATRKNHHNGGKSGCESGDAAVPMAGRQHEEEQPRQGKKGGRGKREVQAGSPSFRYYCENAAAAAFGEHRAVRAYKRTNYTPKE >ONIVA11G14180.1 pep chromosome:AWHD00000000:11:15727712:15727984:-1 gene:ONIVA11G14180 transcript:ONIVA11G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEARIQLYSFSLGGPAEYPTGDGERDVLMVRFLRSIAAFLANRTCQMQVNDGLRSVVDLTGSGGRGKSLQRLVSAVHRGTCTAVHPTV >ONIVA11G14170.1 pep chromosome:AWHD00000000:11:15727180:15731346:1 gene:ONIVA11G14170 transcript:ONIVA11G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAGLPFRALLCPQTPVVVAVPSGKARRQWIWRLATTARGWPAMTPRRDPMPESAMMLDSTRWSCKLASKRWASAAAERKSSALLTTAEQVGRAAESRGEEADELHPLVGVTPPLRSTTWMTPRLASITLVGHGSGEPDDRAHRMEPLARVVEMVADVVACMRWRERHTPSHGRMNRSASASVNGGHQPLQRLSPAAAAGEVNDATQPIVDLHLARPVGQEGGDGPQKPHHENVPFPVTSRRLIHPPPCSRRRMWPPPGAQQQVHTPRCSRWWIQTRGRGSVSQGNGGGGDGDKVEDVEDEKNGRRRRKKGLRWGSTK >ONIVA11G14160.1 pep chromosome:AWHD00000000:11:15724411:15726639:1 gene:ONIVA11G14160 transcript:ONIVA11G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVLSTPIVNGATMHLPAVGMSHPTEGELVFHYLYRRAVNMPLPSEFICDVNILRHNPWDIVPGALTEREKGKYFFMQKEINRRSNRITSKGFWRSAGSEKPVYYNQGGGSDCMLVGMRRTLTFYFGNSRTAERTKWGMQEFRLAGNGLSPYPAMKHATGDGSKPPCNCAETTIAKRNDGLSAVLRNVLAVTPLVETVVEPDGSWLICRIYRTRQRALPVITPPAIENAREIIIPPANGNAREAQVRFIDFLRQGSHIESSSPCSCIVGPSLAEGSDESAGSVDQKD >ONIVA11G14160.2 pep chromosome:AWHD00000000:11:15724411:15726639:1 gene:ONIVA11G14160 transcript:ONIVA11G14160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVLSTPIVNGATMHLPAVGMSHPTEGELVFHYLYRRAVNMPLPSEFICDVNILRHNPWDIVPGSEKPVYYNQGGGSDCMLVGMRRTLTFYFGNSRTAERTKWGMQEFRLAGNGLSPYPAMKHATGDGSKPPCNCAETTIAKRNDGLSAVLRNVLAVTPLVETVVEPDGSWLICRIYRTRQRALPVITPPAIENAREIIIPPANGNAREAQVRFIDFLRQGSHIESSSPCSCIVGPSLAEGSDESAGSVDQKD >ONIVA11G14150.1 pep chromosome:AWHD00000000:11:15720021:15720563:1 gene:ONIVA11G14150 transcript:ONIVA11G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPQVCVPTHYGELVINYLYQCAIQMSLPYDFITDIDILHHNPLDIVPTRQEKKNGKHFFTRKEKKHHGDNCRNHAAGVGFWRLASTEALVYKNSVMALIK >ONIVA11G14150.2 pep chromosome:AWHD00000000:11:15720572:15722049:1 gene:ONIVA11G14150 transcript:ONIVA11G14150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTLVFHYRKSSFAESMEWAMQEFQLAGSYLLPCFVMRKMMVETNNSWLICPIYKKRQCLLHSIIPHPLVMEGKSSSFFLPLAMHACLIARQFPLLSRRVAVSLSMSRTTSRMVVAIAVTNQRKQLS >ONIVA11G14140.1 pep chromosome:AWHD00000000:11:15714010:15716773:1 gene:ONIVA11G14140 transcript:ONIVA11G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGVCINLLNGTTMHLSVGCVFRPTEGELVVNYLYRRAMQEPLPCDFITDVDIQCHNPWDIVPAGEKKNGKHFFTRKENSHPRDYESNHAAGDGFWRLAGTEVPIYNKPSGGADEKLVGMKRTLVFHFRKSSSTERTGWVMQEFRLAGASLVPCLVMRPATGDVSMPPCGCTKTTTTKKNNGSPSAAHTHAPLVETMVEPDNSWMICRIYKKRQRAPQVIIPPSIGNAREAVLAVPAIGNAGDRQVTSLTSQGIDVSRRGVMSLPMSSQRTRAVMVIGRTNRRKKLGLV >ONIVA11G14130.1 pep chromosome:AWHD00000000:11:15707227:15709897:1 gene:ONIVA11G14130 transcript:ONIVA11G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVCINLVNGTSTRLPTNAYLVVHYLHRRAIQEPVPCDFITNVDILQHNPWDIVPAEEKTNGKYFFIHEENERLGNHHSNRAAGDGFWRPAGSEVPIYHKRSGGADEALVGMKRTLVFHYGNSSSAKRTEWVMQEFRLAGATLIPCPVTRPATGDGSMLPCHRTGTTIATENNGSPSAGQTHGPLEKTMVKPDSSLRICRIYKKRQRTPQFIIPPSIGDARELILALPTIGNTREVALALPAIDFLGQPSFEEGSDVSADVITDDKDGYGHGMN >ONIVA11G14120.1 pep chromosome:AWHD00000000:11:15701160:15703667:1 gene:ONIVA11G14120 transcript:ONIVA11G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTGLSIPMVNGATIHLLPGFRFRPTDDELVIKYLYPRAFHVPLPCAIITDVDIHHHNPWDIVPVAEREKGKHFFTRKEVKYPGSRRSNRVAGNGFWRAAGSEVPIYYKPEGAADDMLVGMRRTLVFHYGKSRSAERTEWAMHEFQLAGAGLLPHPMMRRATSNGSEPPCGCLEATFAKKSDGLSATLRAKRDSAPLMRIMVEPDSSWVICCIYKKRQRAPPVVIPPVIGDVGEAIIPHAIGDAREGQLHFIDFLGQPARNDPSSPHSCTIDPSSLEEGSDESAGDGEYKDGDGMNEAN >ONIVA11G14110.1 pep chromosome:AWHD00000000:11:15666157:15667497:1 gene:ONIVA11G14110 transcript:ONIVA11G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRRWDEPALMKEGGSSRGIGEMTQTKEETKGGGESKKLGQIKVGDVVVPVNSEVKKGKNCVSEFDDDDDDLLEFDEEPWVEKKGVDENGEEVEFNLEDWDGDKEDGNVESEGSKEAQEGSDLEKEMDFSMMAENILDVAVQDVLEEVYERVEREEMEENEAGVQ >ONIVA11G14100.1 pep chromosome:AWHD00000000:11:15659636:15659839:1 gene:ONIVA11G14100 transcript:ONIVA11G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGGAVTTTPGAKTMATVAPCGLRNGRSALARRIEDAEHWDQRPQPLRWRAHRLRLRYLMAAALQ >ONIVA11G14070.1 pep chromosome:AWHD00000000:11:15629704:15631877:-1 gene:ONIVA11G14070 transcript:ONIVA11G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0J296] MAGMSLQHPWAFAFGLLGNIISFMTYLAPLPTFYRIYKSKSTQGFQSVPYVVALFSAMLWIYYALLKSDECLLITINSAGCVIETIYIAVYLVYAPKKAKMFTAKLLLLVNVGVFGLILLLTLLLSTGDRRIVVLGWVCVGFSVSVFVAPLSIIRLVVRTKSVEFMPFSLSFSLTISAVVWFLYGLLIKDKYVALPNVLGFSFGVIQMGLYTMYRNSTPKAVLTKEVEAATATGDDDHSAAGVKEHVVNIAKLSAAVDVVKTREVHPVDVESPPAEAPPQEDDKAAATAAAVAGAGEKKVAA >ONIVA11G14060.1 pep chromosome:AWHD00000000:11:15617439:15618555:1 gene:ONIVA11G14060 transcript:ONIVA11G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPCAAAAFPRPQAPASQPLRLLGQLDRSSQPTSSAASPPYKNVPGSICSTSKKLLLHTQIPGLICSANKGVVPLPLLADLRCTSVLFV >ONIVA11G14050.1 pep chromosome:AWHD00000000:11:15612381:15614712:-1 gene:ONIVA11G14050 transcript:ONIVA11G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEKTWVLRLRFSPFFSARTRVWGTPPNSSCSPPHAAVARELRSPARPWRLGAPGRRREARVRGGGSGEVLALPPARVRTAALGLAPEAPDWPPRRAVAAAGGVPGAVGGSFECHAIDY >ONIVA11G14040.1 pep chromosome:AWHD00000000:11:15585387:15587153:-1 gene:ONIVA11G14040 transcript:ONIVA11G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTAAAPRRGGKRPPPTGGGRDSAGRGRGMGSCDAGGEKGQGAASPVGIKGSGAAMGEVAAPPWGEGREQGLGISGLHSHRGHEAPARPKSPNYRQTGPTTRTNKSPQPPKDSTAGSRNRWPRQGRSIKEWRGPGRPKGKLRAEGKGHKNIGVFHVFGEGLRASQGKPYNLQLWQPPPTSPPAQREEHCGKQQSK >ONIVA11G14030.1 pep chromosome:AWHD00000000:11:15585153:15585365:-1 gene:ONIVA11G14030 transcript:ONIVA11G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSAPASPFCLSREMIERGGERGRKERKGWLPTTGEAGVADVARRLAPLRHLRTASLRSARVGLCRSG >ONIVA11G14020.1 pep chromosome:AWHD00000000:11:15578748:15579200:-1 gene:ONIVA11G14020 transcript:ONIVA11G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGTDHRKKEATAASAVEERKKKKRPAAELAAETSSEEADSEAAAGETTVMTTMLMATMYMMPQEQIDAVLSWEIPDGDVQPANMERIDGLSMPEPRKQMLRAARLGVAACTNLIYARRREMQRYVREQLELRGYVEMDDQRQMIFPN >ONIVA11G14010.1 pep chromosome:AWHD00000000:11:15572594:15572872:-1 gene:ONIVA11G14010 transcript:ONIVA11G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPAASCRCSGQEFVRTVGVTYQEKLATARAAMSQCARSPKLNRPGDGEAVEGAHSELLARQEPMSPAVKLASGGWQSSVTSTPEPSSSR >ONIVA11G14000.1 pep chromosome:AWHD00000000:11:15561623:15570469:-1 gene:ONIVA11G14000 transcript:ONIVA11G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPPLPSPLTGNGKARHSTQWQSQTFLASPPSMPRWRRGRSLRPLLRRGLLVAAVCAASLLLLVVLHLHGPELPSSSTARASPFRGELSEARDSDDGEAAAAAAVEAGGGASTTGAACATVERMGEEAAGRGSPEAASLRVREVIRRHFLLHGASRVRELPAYEFCKQGFVLGKASEAGFGNEMYKILTAAALSVMLNRGLYPFGEYISYTNHSFTIGEVKHLWRKNRCARTYGRNLSMRVDNFEHPTETNVLCSDWNSWKDPIIWFDGTTDAVGIQFFLKNVHARMKTAASSLFGSPGSLRARPNTFGELMQVIISPSQTVEKAVQWALKGSSPDIVLHMRMMTNRPVRARKAAVNCIKRAIEICHLKGTPRVAVISDTPGFAKDIKQDISEFAEVIYFDHKKFSRSFDLEITGSEKALDFRSRDWGSAPRWAAFVDFFLASQARYAVVTGAHRRVGTTYAQLIAALAAANRFGRESSGTNFTFLSSVHSNLLVDGLSSQGGWGHIWNRYAGPLSCQHQQHQCALTPLLAPAWWDGQWQSPIPRDVRRLLEYGVRLSNTGEVDERHLMSYCRSRKDHVKRYRVLPSYNSSMQL >ONIVA11G13990.1 pep chromosome:AWHD00000000:11:15548698:15557180:-1 gene:ONIVA11G13990 transcript:ONIVA11G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTMLNAADMAGGRAKLQQQQAPTSPTASVSESNIVASTASADPEANDALAGLQALRFDGGGDIDDVEIQSPDIALWESIFADQIGVSGAGADFLLSMSSAAASPRRDFMACSPKRDYMVTTSSPKRDYMVTSSPKRDYMVSSPKREYMVTSPRREMATSPRRATFSNLYTSSHGGGGGGGHHLHHQSYVHGGGMEGGGGGHGAQPQYGGLVGHGKGKAQSPLHKVYINNVGGGSGGGGVKSNTPSTLSCSSSYVVHGGESGLPSLPSMDPFLEEGYLGSYQLPEKAAGGVGGGGGGDINGSGASASVVTAPASSQLLPTLSECLAMPEPAYRGGGDEAVAAAMAVAGELPVGAFVQPELYYGGGGGEFGGEGMAPPLQHQMAADSSLHSMLGSVIQSEAEQEQDSGLQLVHLLLACADLVSKGDHPAALRHLHLLRRVASPLGDSMQRVASHFADALAARLSLLSSPTSASPSPRAAAPYPFPPSPETLKVYQILYQACPYIKFAHFTANQAIFEAFHGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPTLRLTGVGHPPAAVRETGRHLASLAASLRVPFEFHAAAADRLERLRPAALHRRVGEALAVNAVNRLHRVPSSHLPPLLSMIRDQAPKIITLVEQEAAHNGPYFLGRFLEALHYYSAIFDSLDATFPAESTARMKVEQCLLAPEIRNVVACEGAERVARHERLERWRRLMEGRGFEAVPLSAAAVGQSQVLLGLYGAGDGYRLTEDSGCLLLGWQDRAIIAASAWRC >ONIVA11G13980.1 pep chromosome:AWHD00000000:11:15544983:15547339:1 gene:ONIVA11G13980 transcript:ONIVA11G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEARENGAGVEVADGGKAAAAAAKLTVKRGEPELVAPAEATPTGEKYYLSNLDQNIAVIVQTVYCYKPRAAASGGENGNAVAVLRDALAKVLVHYHPLAGRLTISAEMKLAVELTGEGAVFVAADAGCDLADVGDLTKPDPAALGHLVYSIPGAKNILEMPPMTAQVTRFKCGGFALGLAMNHCMFDGLGAMEFVNSWAETARGAAELTVPPFLDRTLLRARDPPVISFEHHEFEEIPDVSDTAALYADQELLYRSFCFDPDRLERVRALALAGAGDDLVGGRCTTFEALSGLVWRARTRALGLAPEQRTKLLFAVDGRRRFEPPLPRGYFGNGIVLTNAVATAGELLSSPPSRAAGLVQAAVRMVTDGYMRSAVDYFEATRARPSLASTLLITTWSRLAFHGADFGWGAPAMSGPVTLPEKEVILFLAHGEERKSINVLLGLPVSAMDAFQELMDEI >ONIVA11G13970.1 pep chromosome:AWHD00000000:11:15531452:15540583:-1 gene:ONIVA11G13970 transcript:ONIVA11G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLTPICLGSILANDAPTTSSRGISAAAPGPRHRPPLALNGSRREEKSPAAAVTHHMLGPVLICLQQSSCMTAVKMSIKNWNNLKNMEIR >ONIVA11G13970.2 pep chromosome:AWHD00000000:11:15531823:15540583:-1 gene:ONIVA11G13970 transcript:ONIVA11G13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLTPICLGSILANDAPTTSSRGISAAAPGVRTLQSALSLGSSRATARLLRDMQEMSGYLAE >ONIVA11G13960.1 pep chromosome:AWHD00000000:11:15519681:15520428:-1 gene:ONIVA11G13960 transcript:ONIVA11G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGSAPQCYKAPTRSRLPEQKRLSIIDSFRYFLVRFPSPKRAQHMDPAREPARVLRGIKRIDQLAHGLMDPNKMSYEVSMEPTGWEMQDTHLVLMEGQSIAGVVLRTTADPGGGGGGGAATRTALAWELDALLLRLEAMVEGRCSGGGIVLALRLWDVIFDLFSALVPV >ONIVA11G13950.1 pep chromosome:AWHD00000000:11:15513735:15515126:-1 gene:ONIVA11G13950 transcript:ONIVA11G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRRFFGYDPYDYYYTSSPYDYAYPYYTPAPASTDRRRHASPRFFPAAAADEEYRYGEPVETVDVLRPSSSRRSRARPVSVSVPVQFAGSETKAKAKGCESEMSPPRSVARIGREAAAVRVQAAARGFLARRMVREVRAVEAEAEGVARKVAAEAEALRRDARGRVALGEALMRLLLRLDAVHGAREYRRRVTKRVLALQDAVDALEHVPAAPPVEEEEEEARVVADDAAPALDMEEAHDAQEDMMTPSPAQDASQLADDAPAAVDAVDMEENEMAPGSPRAEEEHGVEAEPKPEVEIPAPEAGTEMEVDGGQATGGEAETEKAVEQVVGGEMQEAEEEAEGEWEMVTAESPLEAAAADDDVEAVESSAAPAARVDEPAVQDEEKEEEGVEMKKVMEMVAALCERSAQQCAVIGALAERVDALERAVRRVEESDRRRRRNKKLKKEAKANSKSIRSCYSD >ONIVA11G13940.1 pep chromosome:AWHD00000000:11:15497183:15497536:1 gene:ONIVA11G13940 transcript:ONIVA11G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J282] MIREPARNLRKLAEFVGRPFSSEEETAGVVDAIVELCSFDHLRSLEVNKIGVLNLGVTFGNDFFFRKGVAGDWRNHMSTEMAAMLDGVVEDELGGSGFTFDGVGDSTLTVSNVNAGN >ONIVA11G13930.1 pep chromosome:AWHD00000000:11:15496796:15497139:1 gene:ONIVA11G13930 transcript:ONIVA11G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J281] MPNRHVPYSLLPEHITSDDSGCRIVYVCRDPKDVLVSGWLFSRKIMDNSAAEAKGGNLDHQPPYTMDQALELFCKGRCITGPVLASCRRILGGE >ONIVA11G13920.1 pep chromosome:AWHD00000000:11:15495693:15496444:-1 gene:ONIVA11G13920 transcript:ONIVA11G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLAELVAVVTNIQKQNTAIQTSVGALEEIKPLVVELSGWKPAIDKAVSDLRDELGDLCHQVGQIARNLVLAIKPTDLPPLLSTPPGSRAGVKPKEEGESSQRPFGPGAATSTRGKAIGEESSPLSPPDKKLGPSV >ONIVA11G13910.1 pep chromosome:AWHD00000000:11:15478326:15478868:1 gene:ONIVA11G13910 transcript:ONIVA11G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J279] MATSVNVHGEDKVVVDMTKLVPSLPLETRCPPFPLRQYGGFWLPEGILPALEAIPTRFETRPSDVFRASFPKSGTTWLKALAFATINRDEHPPSDEHHPLRHRGPHDCVKFFEPTVAATGSLDDFAALPSPRLLSTHVLRSDRDIWIRGVGEEEQRRGLRRTEEGTGRTELAGERIVLGG >ONIVA11G13900.1 pep chromosome:AWHD00000000:11:15475823:15477350:1 gene:ONIVA11G13900 transcript:ONIVA11G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J278] MRAHGGFWLPETFLPGLEAARARFEPRPSDVLLASFPKSGTTWLKALAFATLNRAAYPPSGEGHPLRRRGPHDCVQFLESALVVSDDMFASLPSPRLLSTHLPYSLLPEGVKADSSGCRIALGTQDGPTNGGNKPTLSTLEEELDLFCAGRSANGPYWRHVLEYWAESKRRPHKVLFLRYEEMTRETTSNVRKLAEFMGCPFSGEEEADGVPDAIVGLCSFDHLRSLEVNRNGANDFNIKNDSFYRKGVAGDWANYLSPEMAAQLDLVIDDELRSSGFSFATGGR >ONIVA11G13890.1 pep chromosome:AWHD00000000:11:15465890:15473200:1 gene:ONIVA11G13890 transcript:ONIVA11G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSPGLRSPHHRPPSLVPGPRCRHPHPSSPIAIRDGLGGGASWEAAGRIFCLLMFPCKAYKSNESLFYNRIFNVLADEQYLYFLNLLVGPVIRAFGGGRKNRRVISIWQLGWKSSGEANSLVKELMFKLMTTVLLIPLSLLVLHPLLVLYWCIIVPATRLSQQDYGQGDGDSSKANLKPAMMIFYSLALAQGTLYMLWFILNAGNAMMVRVVASKCDFEKSWGRKSVDQYLSDTKFKCLKDPSSIKDMNLIKFAAGLLDSDSEDDYITGARMLVSFIQKQKLPVKLLIRSSRIRTQKLITMLGWTDPADREIRMLAAIIVGHVASNINLSQFPGALQSIGSLLDPRDDLSFYDQDDQHDHDKGMGRCQLLVQGLLVIERLTCDHNNCILICRDHCLLSHIRYAIRLRKKVTFPDMCPVWPKMLKGLLRAMAYLIAGVTEVELETLESIFNELPLGMIMGHKRFPDIMIPTIALYANLLYYRDTTFPSEHFVETMLPVFLSCTDIEQGEEITSVWTKVGVLAGASLAKLLLKSEDSVIRDDIMKGEQEASTNLVAELFLTNSQMGTQAQSGALAITVEAEHDGVKQFLGDNAQTSSLNRLEHEEQSNYTELQTTLLHVLASTTEHGTDCLANVIQKIAPGDGLSRFVGMLKSLVEKHSRVVTEDGLIIIKATAWLIMWMLRRSEFVQEIRQQKIVEALSEATKIVSRTSLTKKKQPASDLDIWKLLGYNIYYKRFASNIRLSS >ONIVA11G13880.1 pep chromosome:AWHD00000000:11:15459016:15460172:1 gene:ONIVA11G13880 transcript:ONIVA11G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGAGRQRGGGRMAAAVGEEDDDPFGVNSINERPEVRFINRYVLLQTCVLMAVRGLAFLALTWSTVVLLGGFVTLLQEKDFCDGPLEYNLRRAFMG >ONIVA11G13870.1 pep chromosome:AWHD00000000:11:15440833:15441989:1 gene:ONIVA11G13870 transcript:ONIVA11G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPIKLLLVSAFLSLLLIQGAAARSSPAAGKCAAASVEVEQANTGEKAGYDPVFEVTVRNRCACAVRGVRLRSEGFASSVAVDPRLFRLDRDAGDYQVGDGRRIEPSAAVTFRYAWDRAFRMAPAALLDDCS >ONIVA11G13860.1 pep chromosome:AWHD00000000:11:15382879:15384147:-1 gene:ONIVA11G13860 transcript:ONIVA11G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLLGKIFISRSNHAGLRAWGTTTVWLHAVPLLMNVLCAGMVADLPAAGTATSDDDDDHPRDIYVNVERMCTAAYVSTALMTLAASASLLLLDASLYYVLVVVTLLLLVLGFLAFLFWQQMNLDGNGSAAAAGERRRSHGCGHIKAAMYFSRHNATLTRLSAISSDVASFVFAGLSGAIVGYVKASASTRPPAGAGHRMPEELMLYSGALGLATALITAVPPWVVEPRGRGLRDRFVNVHARVLAYAALLFLALACVLAAQEILHGWAVLIAFANLAFAAVCFRVDFLAAAVLQDDDDANGAGVDQEGGGGVTNFFMALYHPSALGMLMAAYSTYAGGKEAAHLSWLFKCFVWLLVGSIVTYLCRMVIKVEMRGASTLVRRKVELLWTRMSVILALLALAFCVIAVVVPGSRSEIVAVFV >ONIVA11G13850.1 pep chromosome:AWHD00000000:11:15351061:15353504:-1 gene:ONIVA11G13850 transcript:ONIVA11G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSKELTTDHEPPVVELTTDAEYAQEQPRLVRFFGTTIVLSLGLLHAACSLHWAHRPLPRGVGTAAFGATAVLCLAVGAVSLLLSWSAAARMTATKALPTRRVERRLLNRLCAAVHASSALLMLAPVGLLVLVADKAYAFAVVVPLGPVGASLFPVVRNGARFGFAWGAAQYEAHEHDLRRYFGLAAGVTVPTYVALLSHAVSDHFRPAGSGQRRHHDLGGVDAVECLLLYASTAGLALMLLATSPPALCFRHTRAVVVNHFLGVLADALLALVGLAALVATAEIAGGLAALAPTTNVIAACAIFAKEHEDEPDRRGQDDTKDHLCSSSSSRQPVAPLVVNSLAFGTVMLSYSALDGGRAFSWPEKACLVAVASLLVGNLSQMALQRRAVRTDSVVTTALSLFDKVNKVTLLIAALGCMAVSRFTMRQRYQYYKTLMGAG >ONIVA11G13840.1 pep chromosome:AWHD00000000:11:15338810:15342850:1 gene:ONIVA11G13840 transcript:ONIVA11G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTGLVVDFSTKHWPVTMKWIEDKIREDRDQLGGILHIIEVRENNGSNDRDLIKRYSALSFQEFERMGELSDQALWSEVVIRAWSFAKKVDVRGTIYECKKDPATALLQAWSDNNLQCLVVGENEGGNGEGYVNNSTVRTALSSKLRMVESREMEPGKGRGMDLTVKGWVHVFKAARPPQ >ONIVA11G13830.1 pep chromosome:AWHD00000000:11:15333179:15333823:-1 gene:ONIVA11G13830 transcript:ONIVA11G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKEAERLDVPFQFNPVVSRLDALDVESLRVKTGERRSQESGLSPSTSRADASPKVMVVTKQEASHNAALLTERFVEALNYYAALFDGLEVGAARGSVEHTRVERWLLGEEIMNIWHERLERWVRRLEGAGFGRVPLSYYALLQARRVAQGLGCDGFKVREEKGNFFLFFVSTWRGRRFD >ONIVA11G13820.1 pep chromosome:AWHD00000000:11:15319079:15321198:-1 gene:ONIVA11G13820 transcript:ONIVA11G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERAQCSGAAAEAGDRGGKGNVVDNERNLLNIRSGEANGKLQVAMQMDVGRRKEAAHRRIPFLVDAFGGGWLEERDVPEDCRAITGHWWRHGGTEVPPPTWMVTAWMTRRSGGARGM >ONIVA11G13810.1 pep chromosome:AWHD00000000:11:15310841:15318689:1 gene:ONIVA11G13810 transcript:ONIVA11G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAFVNGFLSVFMHVGLTLVLLVYLPIAFACALGRLLVRPFVSGEDLRGKVVLVTGASSGIGEHLVYEYAKKGACVALTARTEIALRAVAKTARDLGAPDVLVVPADITKVDDAKRAVEETVAHFGKLNHLVANAGIWSSCFFEEITNITAFHNVIDLNFWGAVYPTYFALPYLKASRGNIVVTSSVAGRVPTARMSFYNASKGAVIRFYETLRAELGSHVRVTILTPGYVVSNLTMGKGIQKDGHVGIDEEARDINVGPLPVGKTETLAKVVVAAVRRGDYYVTWPGWYWPFHMVMCTAPELVDWFSRTFYVSKSSDHDGDALSKKILEAVGGKKFLYPKTIRSHAIAAS >ONIVA11G13800.1 pep chromosome:AWHD00000000:11:15276292:15277923:1 gene:ONIVA11G13800 transcript:ONIVA11G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J268] MGKLWTILTHLHSLAGPTVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFITLVEMLLESLIYWIPIWYELKLLFIAWLALPNFRGAAFIYNRFVREQLRKHGLAGAGAGAAASVGKKDKSSPSSSPKDKEKTKSKFLSFVTPKKDHEAY >ONIVA11G13790.1 pep chromosome:AWHD00000000:11:15268769:15272586:1 gene:ONIVA11G13790 transcript:ONIVA11G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRPKTPPPLPTRRRVPSIQQNPSTPSLSKTKKKIKILPPFKFEISNQTRARSSLLSPPDRGTLLRGGGARPDHGGGGGEGRGGCSRSPRRRPWRRS >ONIVA11G13780.1 pep chromosome:AWHD00000000:11:15250462:15251409:1 gene:ONIVA11G13780 transcript:ONIVA11G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRRRSSSASPEFRFWPLDADPAASPSCADELFSGGVLLPLQPLPYPRRDADLSMSLAVADDDDDEDEEEEEVQPGAAVASRAPPTAAVAASGGGGGGSKRWTDIFAKKQQQPAAEEKEKDQPTRRRRPAGGGGGSELNINIWPFSRSRSAGGGGVGSSKPRPPPRKASSAPCSRSNSRGEAAAVASSLPPPPRRWAASPGRAGGGVPVGRSSPVWQIRRPPSPAAKHAAADRRPPHHKDKPTGGAKKPHTTSATGGGGIRGINLSVNSCIGYRHQVSCRRADAGVARASAGGGGGGGLFGIKGFFSKKVH >ONIVA11G13770.1 pep chromosome:AWHD00000000:11:15225919:15232312:1 gene:ONIVA11G13770 transcript:ONIVA11G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGAEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTTEFCKNIVLAGVGSLSLMDDHLVTEDDLNANFLIPHDESIYGGRSRAEVCCESLKDFNPMVRVAVEKGDPSLIDGEFFDKFDIIVVSCASIKTKLLINNNCRKRSKHIAFYAIECKDSCGEIFVDLQNHSYVQKVGGEPKPKELAYPSLQEAISVPWKNLPRKTTKLYFAMRVLENYESSEGRNACEASLSDLPAVLALRKDMCDKMSLSESQIPTALLERLLAAGKKQHPPVCAILGGILGQEVIKSISGKGDPIKNFFYYDAADGKGIAEDIPPLSSD >ONIVA11G13760.1 pep chromosome:AWHD00000000:11:15220820:15223484:1 gene:ONIVA11G13760 transcript:ONIVA11G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATTNDGRCIRARDSVDTLHGWRCGGWGGSGVVDTKKWTNKLPLGSPFTPSHFSEEQELNSSIYAAAFRRPLICHEQLLLSLCDGSVQVCGEFDRFLPQKVDRKKKNCHAMQHIYMLSGVV >ONIVA11G13760.2 pep chromosome:AWHD00000000:11:15223491:15225028:1 gene:ONIVA11G13760 transcript:ONIVA11G13760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCCVLCVMDLPKFVANLIGFFRRAEGGRRRAQLGAMRRRARLGAECLAVTPCPSTSPSIGWFLMASSSGRGGSIVSAAAGRGGRRQRWLARRLRMRKQRHLDGLTAQVAHLRRDNAHVATVLGLTTQGLLAVDAENAVLRT >ONIVA11G13750.1 pep chromosome:AWHD00000000:11:15192137:15202720:-1 gene:ONIVA11G13750 transcript:ONIVA11G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAAAATKAASWGMAVAAAAAAADDAGPTMLSFAGPSSSSSSPDAAAAAAAAAALHDFSVRARPAAAAPATRRALGRRVPVGPQPVCEAHAKTPVVVVAGQEQRFCQQCSRFHNLAEFDDGKKSCRKRLDGHNRRRRKPQHDALNPRSFLPYHQANQFSVYPQTFPIADQNADALMRPLDRHPPFSISFSGTFREPKQFPFMQDGGSGLGAARHDLLRPFSSPEDGANITTTRSACNGVPHGLDPECALSLLSSSLHPSPAAGISSATAPPQFAPSSFSRIAASSQAVTTAFASDGGSVAGDHVLVPAVTYEDPSQAMPFSWQQ >ONIVA11G13750.2 pep chromosome:AWHD00000000:11:15192852:15202720:-1 gene:ONIVA11G13750 transcript:ONIVA11G13750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAAAATKAASWGMAVAAAAAAADDAGPTMLSFAGPSSSSSSPDAAAAAAAAAALHDFSVRARPAAAAPATRRALGRRVPVGPQPVPRLPPPPQGLRGPRQDAGGRRRRPGAALLPAMQPYRFSVHVLNMLRAIFHNLAEFDDGKKSCRKRLDGHNRRRRKPQHDALNPRSFLPYHQANQFSVYPQTFPIADQNADALMRPLDRHPPFSISFSGTFREPKQFPFMQDGGSGLGAARHDLLRPFSSPEDGANITTTRSACNGVPHGLDPECALSLLSSSLHPSPAAGISSATAPPQFAPSSFSRIAASSQAVTTAFASDGGSVAGDHVLVPAVTYEDPSQAMPFSWQV >ONIVA11G13740.1 pep chromosome:AWHD00000000:11:15187852:15188061:1 gene:ONIVA11G13740 transcript:ONIVA11G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVFIGLVLFILLSPGLLFQIPGKGRIVEFGNFQTSGLSILVHSIIYFALIAIFLLAVNVHMYLG >ONIVA11G13730.1 pep chromosome:AWHD00000000:11:15176566:15180872:-1 gene:ONIVA11G13730 transcript:ONIVA11G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSGSLPRRPTAAAGGGAGGAGSMLPGLSRSMTMGGIGVGGGGERTVRRLRLSKALTVPESTTVLEACRRMAARRADAALLTDSNALLCGILTDKAYTNNQSIDRSINLQDIATRVIARELKIDETPAWKVMTRHPVFVPSETLAVEALHKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAIARIERASDKGKAAAIASAVDAAAGNDPTASSMVEAFKEQMLRPSLSTITTAESTVVIVSPGDSVLTATQKMVEVHASSAVVAVGNKAQGILTSRDILMRMIAKNLPADSTPVEKVMTLDPECATVDMPILDALRTMQERKFLHLPVMDRDGSIVSILDVIDITHAAFSIRGIEVADDVGHPQRAVVLVQAPGQARTDAPVQLRSSDKPNTFFDFLAEVQSLTPLITCILQRLGPDLDRDRLPQILYEDEDRDKVVLASDDDLTAAVDHARLAGWKACTNYTADRSLNGLKLYLDYSGSSGVRRKSVVPSVGVGGGGGGRSSGGSTAVMDLSSRDAWAAAYSGVAAGAALVTGLGVMAYLRRAS >ONIVA11G13720.1 pep chromosome:AWHD00000000:11:15175479:15176172:1 gene:ONIVA11G13720 transcript:ONIVA11G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAEGKNDSGGEPRRARWRAGGEAAGAGTAAAMVAEAARASSRSLMGGPEYHGDSAAAAAVSASSSTSSTMTTTSPPSALSSSLSSIGGSFRAMQIRNLSGCYLHCHSVLDPRTLAAAAAVFSCSDCDEVFVKPDSLDMISIVVSLGQDDTSMNIVEIIFQSSWLKKNAGKVALGLRRRLSLPASPLPSAASHTTARRRS >ONIVA11G13710.1 pep chromosome:AWHD00000000:11:15171015:15171272:-1 gene:ONIVA11G13710 transcript:ONIVA11G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGEKAILEQKIAAATARMNELRRANREMEVKLVIYNAIAGRRKNLDDLSPNFIDDLQKEVAKRHEEVQKRMQELCSMDSSKPT >ONIVA11G13700.1 pep chromosome:AWHD00000000:11:15154358:15155986:-1 gene:ONIVA11G13700 transcript:ONIVA11G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMRGGNNVALAIILLCFTCTNNVFLLPSSSASSPTDDFLRCLSGKIPAEQVFTQSSSGFMAELTSSVQNPRFVTNATVRPACIVAASDASHVQAAVRCGRRSGVRLRVRSGGHDYEGLSYRAVRAETFAVLDLAGLRFVRVRAGDATAWVDSGATLGELYYAVGTANPGFAFPGGACSTVGVGGYLSGGGIGLMMRKFGIGADNVLDAMIVNADGELLDRGRMGEDLFWAIRGGGGESFGVVVSWRLKLSMVPPTVAVFTIAKTAGDGGGGGDAAALLAKWETLILQPFLPDLTIRVVLQGRTALFQCLYLGSGGCARLAATMRAYFPELGMTASDCHDLTWLRAMAFISLGAADAPLEGMLRRTNNLGTYVKSKSDYVRRPMGAAVWSALFADHLASNNAGVLILEPHGGVVGAVVPDMATPYPHRAGVLYNIQYGVFWWGDAEGESSAAARRWLDALYAAMEAAVSGSPREAFVNYRDLDIGENAVVGGVTEYESARRWGERYFMGNFRRLAAVKGRVDPSDFFRNEQSIPPLLQPY >ONIVA11G13690.1 pep chromosome:AWHD00000000:11:15150927:15151316:-1 gene:ONIVA11G13690 transcript:ONIVA11G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHQADLVRCSRQPGAAVGRVCAAHDGRCVACDSMVRPAAPARVCDGCSGGGGGHGSRSERCLVCGAGAGEGGAAAVADAYYCRSCVQMEKDRDGCPAVVNAGTARRDAAAFFFSARSKRGGFRSTMA >ONIVA11G13680.1 pep chromosome:AWHD00000000:11:15140308:15140493:1 gene:ONIVA11G13680 transcript:ONIVA11G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNKNMPFVAAATSPPPDPTGREAAAAACGGRLPTPDGCGSGALHDIGPFLVFLVFLDVL >ONIVA11G13670.1 pep chromosome:AWHD00000000:11:15129857:15130839:1 gene:ONIVA11G13670 transcript:ONIVA11G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDEKAILEKKIAAAKVKMEKLERTTREMEIKLVIWDLMSGRHKNLDDLSLDFVDDLQKAIKKRIQEVWERIQEMRSKECSKVHLRGSMHTTSVEPGDHGIEMSDGPRVSAFQVAAMGQPYKELGKGYLVDSQRGMKSMEMHSSRWNLTRERGLIYGGWVRPDDGLETRNGVGE >ONIVA11G13660.1 pep chromosome:AWHD00000000:11:15126705:15126962:1 gene:ONIVA11G13660 transcript:ONIVA11G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDEKTILEQQIAAATARLEELRRKNRELEIKLIVCDLMSGRRNNVDDLTVDILQDVQMAIVKYRLGIRKRIRELRSMDSSKTT >ONIVA11G13650.1 pep chromosome:AWHD00000000:11:15115820:15119651:-1 gene:ONIVA11G13650 transcript:ONIVA11G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASSAVKWAIDNLSSLLPAAAAAGSSRGLDALEELRMLERTMRRIHATLRDAEQRWNIREESAKLRLEELKELAYDAEDVVEEYEYEVNRRKVEALERLAAVHGGGGASKRKREEVHEEQFSTESGIVPVPSELADRTRTVIQRFCEIKDYCDSFSLSDNDGDRRIVPDINAMRQTSSFVFAPRILGRKKDMENVIAKLLSGEGSRVGGCMSVLAIVGMGGLGKTTLAQLVYNDPRVRQSFDLCAWAYVSECFDVHNLTRKIISSLTQSNCDHIQSGDLQGALANEIKDKRVFLVFDDVWNERSDYWELLITPMFASKCCDIIVTTRNETVARLVQTTQMYNMNCLSPDESWSLFKQTAFTEQENISPANLVEIARMVSEKCKGLPLVIKTVGSILRFETNEIKWRDVLQSELWDLEQTQNEVLPVLELSYKHMPIDLKQCFVALSLYPKYYYLDENMVVWLWKLLGLLQGDEIGKLYFNELVQRSLLQSSIHGQKVMHDLVHDLACFLAGEEFFRLEEDKQTEVPRGARYMSIMPRSLCRKRIQISNASQSLRAIIVIMGDIDIVNPEVLFTHCKKLRIIYVVQGSVQKALLDFIGGMKLLRHLTLSGYECATHLSRPNSMSELFNLQTLDIQAYTLLKIGRLINLQTLPEIHLMKCGCFVDIRELRNMNKIRKLCIRGLRNVPSIMHADEAHLQSKRNLEVLELDFDELFLDKDFDELRSCEHTEHGDANEAAVTQSRGQLLEKLQPHYQSLKVLRIQNLNHGNYPSWLGSASFSKLTELKLQACQSQHLPTLGELPSLKSLDISRMEFVEHIGHEFCSLQQRFKGFQALQDLSFDGMTRLSEWSGVEDGEFPHLETLLFWNAIELKSLPFVPLSCLRNLRLYGCKNLVTFPASATMKELSISSCEKLKELPALPSLRSLDLSHCPSLFALGHFPLLTSLGLYYIFNEDILCKLVNSYMTLEDLTIWSDTIKSFALEPLGLPSLRKLELRCPNLHYCGALTSLSSLKILNITGSPQLHIPHSSLQSQLEELIVDP >ONIVA11G13640.1 pep chromosome:AWHD00000000:11:15110801:15115604:-1 gene:ONIVA11G13640 transcript:ONIVA11G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARGVSRGDANSHRWRSSPSPASWEAEEDRGRSSPRPLGEREVGDSGLGWAVVVGGGGCKFKACLECQERVNWFDHELNISICEKLKELTSLPSLKSLHLFNCPSFVTFGHFPSLTILYLDDPFKREILHRMANSHLTLEELSISSNTLNSICLQSLNYLPSLRSLQLRCPNLQYCDTLAIFTSLKKLSIKSSPRLHVPNSLRSQLEELYTAESF >ONIVA11G13630.1 pep chromosome:AWHD00000000:11:15101145:15105190:-1 gene:ONIVA11G13630 transcript:ONIVA11G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEDDAKRLIGRRFSDVSVQGDIKLWPFKVTSGKDDRPMIVVQYRGEEKEFSAEEISAMVLFKMKETAEAYLDKTVEKAVITVPVYFNDSQRQATMDAGAVAGLDVLRIINEPTAAAVAYGLDKVVGSSDNKKKVLIFDLGGGTLDVSVLNIDPGVDIDMGIFEVKATAGDTHLGGEDFNGRMVKHLVREFLRKYKRPEIRGDQRALRRLRTGAKRMLSSTAQTTIEIDSLHGGVDFHATITRARFEELNMDLFLECMDTVKQCLRDAGMDKGTVDDVVLVGGSTRIPKVRSLIQDLFDGKALCRSINPDEAVAHGAAVKADLLNGDANEKDLRDVVLLDVTPLSLGIEVFGGGMSVLIPRNTTIPVSRQKMYTTHYDNETAVLINVNEGEGRRTKDNNPLGKFVLTGIPPAPRGVPQINVTFSIDANGIMNVSAEDKTTGRSNSITIKSDKGRLSKEEIERMVKKAEKYKAEDEEEMKKAEGYVAP >ONIVA11G13620.1 pep chromosome:AWHD00000000:11:15098710:15100948:1 gene:ONIVA11G13620 transcript:ONIVA11G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGALYINPKKFGGVMKPCMIEMVSFLNCLALNKQNDDKCVRQKDLLVACAQAQKGRPKNAAKTINYHLQRLARDKGI >ONIVA11G13620.2 pep chromosome:AWHD00000000:11:15099017:15102546:1 gene:ONIVA11G13620 transcript:ONIVA11G13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGALYINPKKFGGVMKPCMIEMVSFLNCLALNKQNDDKCVRQKDLLVACAQAQPPERPLVAPDLRPLVLPQELPNQVLHHPAIEILAAEVGVAGGGLDLEDAHVDVHPGVDVEDGHVQGAAAEVKYQHLLLVVAAADHLVEAVGDGGGGGLVDDAQDVEAGDGAGVHGGLALGIVEVDRHGDDGLLDRLVEVGLGGLLHLEEHHGGDLLGGELLLLAPVLHHDHRPVVLAGGDLERP >ONIVA11G13610.1 pep chromosome:AWHD00000000:11:15093441:15098643:-1 gene:ONIVA11G13610 transcript:ONIVA11G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKAKSISLSFADKCRNILCANWEAHLNTIKADIKGSKGEIYTSRVHYMVERGTTYLIVPEDDRHTINIVIDERGSLSVCSPIPGRLTTLLRSLGKLPPRIAMTGDVLFMKRSRSEGLLSLLDQGSSYNILKFEIGSCVYIDSLGSSHKVELDNFEPPKADLLLPFSARIIDGINRSDPRRRALIFFCFEYFNVTATDALLLSIDHHGFDVLAKVPEKAVLLDVPRQYVWREFRFSFKEAAKDIEDFCRMLVELEEEALQIDFLDLGPWSAASAGGRRSILVHASGHVSSGALRRDVEEEQVLDLLVALAGEDGGLHGGAVRDGLVGVDALAELLPVEEVLEQLLHAGDTGGASDEHDVVNGALVHLGVAEALLHGLHALAEEVHVELLEAGAGDGGVEVDALVEGVDLDGGLGGGGQRPLRPLARRPQTPERPRVARDVLLVLPLELLHEVVHHPVVEVLASKVGVTGGGLHLEDALLDGEEGDIECAAAEVKDEHVLLADAARLLVEAVGDGGGGGLVDDAHDVEAGDDAGVLGGLALGVVEVGRDGDDGASAVSFILLSTMDEISSAENCFSSPLYCTPIIGLSPGPGSTLNGHSFASLCTDTVLMGFMATWFLAASPMSLSESVNATYDGVVRFPWSLAMISTRSCCHTPTHE >ONIVA11G13610.2 pep chromosome:AWHD00000000:11:15093441:15098643:-1 gene:ONIVA11G13610 transcript:ONIVA11G13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKAKSISLSFADKCRNILCANWEAHLNTIKADIKGSKGEIYTSRVHYMVERGTTYLIVPEDDRHTINIVIDERGSLSVCSPIPGRLTTLLRSLGKLPPRIAMTGDVLFMKRSRSEGLLSLLDQGSSYNILKFEIGSCVYIDSLGSSHKVELDNFEPPKADLLLPFSARIIDGINRSDPRRRALIFFCFEYFNVTATDALLLSIDHHGFDVLAKVPEKAVLLDVPRQYVWREFRFSFKEAAKDIEDFCRMLVELEEEALQSMKSYSGFALFVDTVLMGFMATWFLAASPMSLSESVNATYDGVVRFPWSLAMISTRSCCHTPTHE >ONIVA11G13610.3 pep chromosome:AWHD00000000:11:15096633:15098643:-1 gene:ONIVA11G13610 transcript:ONIVA11G13610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKAKSISLSFADKCRNILCANWEAHLNTIKADIKGSKGEIYTSRVHYMVERGTTYLIVPEDDRHTINIVIDERGSLSVCSPIPGRLTTLLRSLGKLPPRIAMTGDVLFMKRSRSEGLLSLLDQGSSYNILKFEIGSCVYIDSLGSSHKVELDNFEPPKADLLLPFSARIIDGINRSDPRRRALIFFCFEYFNVTATDALLLSIDHHGFDVLAKVPEKAVLLDVPRQYVWREFRFSFKEAAKDIEDFCRMLVELEEEALQSMKSYSGL >ONIVA11G13600.1 pep chromosome:AWHD00000000:11:15093284:15096377:1 gene:ONIVA11G13600 transcript:ONIVA11G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mediator of RNA polymerase II transcription subunit 37c [Source:Projected from Arabidopsis thaliana (AT3G12580) UniProtKB/Swiss-Prot;Acc:Q9LHA8] MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDTSVQSDAKLWPFKVLPGPGDKPMIGVQYKGEEKQFSAEEISSMVLNKMKETAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIKDDKIASKLPEADKKKIEDAIDGAISWLDSNQLAEAEEFEDKMKELEGVCNPIIAKMYQGAGADMAGGMDEDAPAAAGGSSGPGPKIEEVD >ONIVA11G13590.1 pep chromosome:AWHD00000000:11:15082897:15084366:-1 gene:ONIVA11G13590 transcript:ONIVA11G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSVPAYLDPPNWNNQQGQPPRPANVGGGDAQHLPVGPTAAAAAPGEIGGLPTSSSSASTAAAAAQQARPNSMAERARLARAPQPEPALKCPRCDSTNTKFCYYNNYSLSQPRHFCKTCRRYWTRGGSLRNVPVGGGCRRNKRSGKSSSSAAAGASSSSSKPSSSAARQLPGGGASPMPSAAASTQPGGAAAGAIIPPSGLSSMSHHLPFLGAMHPPGPNLGLTFSAGFQPLGGMHHHVDTADQFPVASGGGATIGASLEQWRVQQQQQQQPQQHQFPFLGGALELPPPPPMYQLGLEATRAAGTGATAAAAFTLGQTSATATTSRQEGSMKLEDSKGLEMSLQRQYMAALRQGDGVWGNNNGGNGGSDGGGNGGGGSWTMNFPGFHSSSGGGGDDGGGVL >ONIVA11G13580.1 pep chromosome:AWHD00000000:11:15070201:15071107:-1 gene:ONIVA11G13580 transcript:ONIVA11G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQEGLVALLEEKAHARAIRIGIRGIFMLCLAKENHPRAVAAGAAAAFARRVAEGGGGSRSALAAVERLCRMEGGHDAVVTDAGGGAAAVCALLVNHAYGVSERRRQTIAMAGGGGWGYATASAGWNVVRITRTQMRFCRCRLHTREILQRHNADVAGRGGMDSWPADVLGVRRRMEKLVGWVYAICRSRRRRWRHIAGEPCKNHLLDVRAGAKSYSHQCFSIQIHRKQ >ONIVA11G13570.1 pep chromosome:AWHD00000000:11:15045352:15051066:1 gene:ONIVA11G13570 transcript:ONIVA11G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J240] MDEEAKPNPNADAAPAAAEAAASSPPPLSSSESAEGKDKEEEKEEEEEEEAGDLVEKLVELVEEIAAISDFRNAYRRQFCNLSRRIRLLAPMLEEAKEGPRPLPEVSYSALRRLREALADSRELLRLGVSGSKISLVLEREKIMMSFQDITARLEQALGLISFDELDISDEVREQVELVHAQFKRAKERSDPSDDDLFNDLVSVYNSSTSANVDPDILQRLSDKLQLATISDLNQESLILHEMASGGDPGAVVEKMSMLLKRIKDFVQSRDPEMGTPVNTTELSGKDNMASPIVPDDFRCPISLDLMKDPVIVATGQTYERGCIERWLEAGHDTCPKTQQKLPNKSLTPNYVLRSLIAQWCEANGMEPPKRAAQHHNAPASCTAAEHSNVVELLQKLLSQNLEDQREAAENKARIITSGAVPGVVHVLKRGSMEARENSAATLFSLSLVDENKITIGASGAIPALVLLLSNGSQRGKRDAATALFNLCIYQGNKGKAVRAGLIPVLLGLVTETESGMMDEALAILAILSSHPEGKTAISSANAIPMLVGVIRNGSARNKENAAAVLVHLCNGEQQQQHLAEAQEQGIVTLLEELAKSGTDRGKRKAIQLLERMNRFLMQQSQAQAQAEAMAQAHAHAQSQAQVQALNEAQSQVEMQVEQLLLPTTSHLSDRRDG >ONIVA11G13570.2 pep chromosome:AWHD00000000:11:15045352:15051066:1 gene:ONIVA11G13570 transcript:ONIVA11G13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J240] MDEEAKPNPNADAAPAAAEAAASSPPPLSSSESAEGKDKEEEKEEEEEEEAGDLVEKLVELVEEIAAISDFRNAYRRQFCNLSRRIRLLAPMLEEAKEGPRPLPEVSYSALRRLREALADSRELLRLGVSGSKISLVLEREKIMMSFQDITARLEQALGLISFDELDISDEVREQVELVHAQFKRAKERSDPSDDDLFNDLVSVYNSSTSANVDPDILQRLSDKLQLATISDLNQESLILHEMASGGDPGAVVEKMSMLLKRIKDFVQSRDPEMGTPVNTTELSGKDNMASPIVPDDFRCPISLDLMKDPVIVATGQTYERGCIERWLEAGHDTCPKTQQKLPNKSLTPNYVLRSLIAQWCEANGMEPPKRAAQHHNAPASCTAAEHSNVVELLQKLLSQNLEDQREAAGMLRQLAKRSPENRACIGDAGAIPILVSLLSTTDVSTQEHVVTALLNLSIYEENKARIITSGAVPGVVHVLKRGSMEARENSAATLFSLSLVDENKITIGASGAIPALVLLLSNGSQRGKRDAATALFNLCIYQGNKGKAVRAGLIPVLLGLVTETESGMMDEALAILAILSSHPEGKTAISSANAIPMLVGVIRNGSARNKENAAAVLVHLCNGEQQQQHLAEAQEQGIVTLLEELAKSGTDRGKRKAIQLLERMNRFLMQQSQAQAQAEAMAQAHAHAQSQAQVQALNEAQSQVEMQVEQLLLPTTSHLSDRRDG >ONIVA11G13560.1 pep chromosome:AWHD00000000:11:15041753:15042382:-1 gene:ONIVA11G13560 transcript:ONIVA11G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPWRCRYSTPSRGQGEEMFARERMCDGTAAAVDAQGPERQWWRWQSGGRETRWLGADTLLGDAGAAIDAWAITEHHCHPGSGRARRPCQGPTTRSVTPVVVSHLRAMPEAPRGPPPRAGHAACAAPLLLTSLQRKRIADAQSTAPSSCMSTIDSVLASDALDASAMGDLGKLSTCLNFLPLPRPINDFWRGSCISDQSPLARPFCHQ >ONIVA11G13550.1 pep chromosome:AWHD00000000:11:15037581:15037805:1 gene:ONIVA11G13550 transcript:ONIVA11G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSSRPHPPEFQINPSMSLSLSLATNENESLMTMQRVERERCTRSRSSHRPLAPLHARQVPPTGSLKPSTNV >ONIVA11G13540.1 pep chromosome:AWHD00000000:11:15030512:15031191:-1 gene:ONIVA11G13540 transcript:ONIVA11G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGTKRPPTQKRATTTHAVCLASSSLVSLTSSADRSFRRAPELPVSHPKRPETELRERERERGEGRACARAPPPGSRAPGSTAKI >ONIVA11G13530.1 pep chromosome:AWHD00000000:11:15028459:15030414:-1 gene:ONIVA11G13530 transcript:ONIVA11G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKATSHDDEPAEERHIREILHLTSGSRAHVAAAVAGCSRRLSRTRDYVVALKSLMLVHRLLADGDPSFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYALYLDQRLEFFLHERKQGSGSNASSSANGPSPRDRWGSPDPYGRRSPSYSSPPGNGNGYGYGGYDDYRERNGNNNADDKKPPTPVRDMKPERVLARMHHLQQLLDRFLACRPTGGAKHSRMVLVALYQIVRESFQLYADICEVLAVLLDRFFDMEYAECVKAFEAYASAAKQIDELCAFYGWCKETGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPKSPPREPEPEPVKEEEPEPDMNEIKALPAPEDYKEPEPEKVEEEVKPEPPPQPQGDLVDLREETVTADEQGNRLALALFQGPPAAGGSNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKATMTGGMDPLLLNGMYDQGAVRQHVNAQVTTGSASSVALPPAGQKTQVLALPAPDGSMQNVGGDPFAASLSFPPPSYVQMAEMEKKQQFLTQEQMMWQQYQRDGMQGQSSLAKLDRAYNNGFAPNPAMPYGMPAAYNTNPMPMAYTANTGYYYPTY >ONIVA11G13520.1 pep chromosome:AWHD00000000:11:15021880:15027140:1 gene:ONIVA11G13520 transcript:ONIVA11G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J234] MSRLASASGLHRLRRSPWEVLWSGLASCGLTLLSQLAVAMVPRLFPSLSLLAMLPVAGVVFLAVIVVGRFWRRFIGVAASAPLFVLFNILFLWGVYVFVIRGGTSSLLDMVINAECAMLLFGLYRIFSGDPGIVTYESSFFEEAGCKDFVEAICPSEKFSSLPRVRHCNCCKANVRGYDHHCPAFGNCIDITRCINSGTIRSENPMSVNMVIGTMLFSVLQVLWQAVFLIWHIYCICFNIKTDEWINWKKYPEFQMKEQPQSDSGVKFVNPYDKGVLCNIIEFLKPK >ONIVA11G13510.1 pep chromosome:AWHD00000000:11:15010397:15013111:-1 gene:ONIVA11G13510 transcript:ONIVA11G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGASCGRPSEEVDFFGAAQSGDLARLAAAVRSRPSLLGRTTLFDRLSALHIAAAHGHLQVVSMALDLCVHPDVVNRHKQTALMLAAMHGRTECVRRLLDAGANIVMFDSSHGRTCLHYAAYYGHADCLRAILSAAQSAPVSQSWGFARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSSAFGFPGSTPLHLAARGGSLDCVRQLLSWGADRLQRDSVGRIPYEVAMKRGHVACAALLNPSSAEPLVWPSPLKFISELEPDAKALLEAALMEANREREKRTLKGARSASPLALPSPSRSDDAAISEEEAAAGGGEVCSICFEQACTIEVRECGHQMCAACTLALCCHAKPSAAAATPCQQPLPTCPFCRGGISRLVVATTKTRAGGDEEEDDEEAGSRLASPLHRRSRRAVNHPSGDGGSTSSIMGSIASSIGKMGRRRTDSSEHVDVDKP >ONIVA11G13500.1 pep chromosome:AWHD00000000:11:15007022:15008327:-1 gene:ONIVA11G13500 transcript:ONIVA11G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNRQSERKKGETKETCDRASGLGLGGAYLRLFSDDLRANSAAADSRAERTMLPRLRSHPCAGLAPSKATGRTTISGGDRRSQTERHGEGTPLHWETPEPNRAFHETGWTVVLSAH >ONIVA11G13490.1 pep chromosome:AWHD00000000:11:14995370:14998912:1 gene:ONIVA11G13490 transcript:ONIVA11G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGITKNPCFSGDPYAAAVASDPLPDDSHGHSFTYVPSSAAAFDHSPRSAAASSETSYFSLSGAAISANPATSASMPSFRLYNELTWPPSTACTFESSRSFAAAPLIQAAPPRLSMSGPLHATSGRFSEASGSASTASDRFSDHPFMDGMLDRASSASSTARLMPSFSHLMSEPRVAQSGLSNERSLIRSLVRVASKLRFGVPLSGRRSNGPAEPTTKSDGDYRSTPKGNVEWAQGMAGEDRFHVAVSEEHGWVFVGIYDGFNGPDATDYLFANLYVAVHRELKGVLWDDIQGVDVVTDNLPDPALANATHLCFLDAGGVGGGGDDDPDAERKAKRGRIERNADDDGASSVHRDVLKALARALARTEEAFFAAAEERAAQSPELGLVGSCVLVMLMKGKDVYLMNVGDSRAVLARRREPDFKDIFFRPDQDLQLLKAEVIRELEAHDRNGLQCVQLTPEHSAAAEEEVRRIRSQHLTDRQAVVNGRVKGKLSVTRAFGAGYLKQPKWNDRLLEAFKVDYIGAEPYISCTPSLRHHRISSNDRFLVLSSDGLYQYFTNKEVVDQVAMFTAEQPDGDPAKHLVGELVLRAARKAGMDCRRLLEIPHGDRRNYHDDVSIIVMSFEGRIWRSSV >ONIVA11G13480.1 pep chromosome:AWHD00000000:11:14980766:14983436:-1 gene:ONIVA11G13480 transcript:ONIVA11G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVQGNAEINPSFSAPNSSGTGSKNSSKNGADSSTFGTKASASSSVPPTPRSETEILQSSNLRKFTFGELKGSTRNFRPDSLLGEGGFGSVFKGWIDERTLTPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNYLGQLSHPNLVKLIGYCFEDEQRLLVYEFMPRGSLEHHLFRRGSHFQPLPWNLRMKVALEAARGLAFLHSDQAKVIYRDFKTSNILLDSDYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTAKSDVYSYGVVLLELLSGQRALDKNRPPGQHNLVEWARPYITNKRRVIHVLDSRLGSQYSLPAAQKIAGLAVQCLSMDARCRPGMDQVVTALEQLQGAKKAAK >ONIVA11G13470.1 pep chromosome:AWHD00000000:11:14976351:14984603:1 gene:ONIVA11G13470 transcript:ONIVA11G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGQGQYGGGADINSMVAAQLHHYQTQQRVQQHPDNNYPGRDPGKAAEEQQYSAPKVRQSQWDRGGPNAPNQIPAYAYNEGQSAQGAQTFYDGQRSDLKVGLEKQPNKESRDRPRNDRFEARREDYNLPRTFEGLEQNFHEDIVILSKELHDAEDAENARHRERLNEINAQYQEKLLALRARQATYREEFLRKESQARQQQYQQASMSSYANNVRPGETHGYTPIAAKPPPPPPAAAATAGGTYGEAHRGYTSAQYDNFRERPDYPEFRGRGRGEGHGLEHRGQFPGGRAYNSGGRRF >ONIVA11G13460.1 pep chromosome:AWHD00000000:11:14972670:14973543:1 gene:ONIVA11G13460 transcript:ONIVA11G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQPPVFCDCKAKAARWISWSVDNPGRRYFKCRDARAGGSDFFFAWCDGPTSNFLRELLNDLRDTVMSLRTDKRHLQQEVEDCRARLEEERTRFEAARKEMVAVREIVQDNLAKLTALKARISKVEVERNCGLFVRSGCGVVCQKLNVGRYENCKMVVCLAWGEMYEIWDV >ONIVA11G13450.1 pep chromosome:AWHD00000000:11:14960086:14966001:1 gene:ONIVA11G13450 transcript:ONIVA11G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRSSLEMESGGGSHVAEMPRVPKSARGKRSIRKKESQGQVMCAFDLLATVAGKLLDEGEGSLGNASSGTPAVDASPKDVRVKQEQCDEEAKHFKNEVMDQDSCNESALVSHIAFQRPVNHHGRKGEDPEGSHAVIEDPKAKSEALDKESSMISCTKAELGCNFAAIADRWSPESVESGAFTGDAAAIAMPATTSGFHKNAPDMYNLLDPMDVDVKPPPLVSSDSTGEMPLYGNKIRRSTSFPRVPKGGAGFTVDRDEDDDDKSSGCTHPSTATNRGFRPNCTAGHSRVKKLLACKHRKVAPARMHKGDLSYSGEHCEIPFTFSFFEEHCIDGTHIQTCLLYSYLSSLILLDVDRKPSFRNKKMYYTRQRTQRSTFKRRKMFDRHSAQVSEEYAKANTKFAARDSHAVSLEANKGTNSTAFQKSQESSDCHVKLRIKSFKVPELLIEIPETATVGSLKKTVLEAVNAILGGGLRVGVLHHGKKVRDDNKTLMQAGISHDEVLDNLGFSLEPNCAPHPSQLSPPEDNEFMETVDTTEPLARIAPADSSSKHGEVDASQELALAPLSANYQGSDHDFVHSPGGMSSPDKASTNSRAIVPVTPADSNAGAIVPANKAKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEALLLT >ONIVA11G13450.2 pep chromosome:AWHD00000000:11:14961267:14966001:1 gene:ONIVA11G13450 transcript:ONIVA11G13450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRSSLEMESGGGSHVAEMPRVPKSARGKRSIRKKESQGQVMCAFDLLATVAGKLLDEGEGSLGNASSGTPAVDASPKDVRVKQEQCDEEAKHFKNEVMDQDSCNESALVSHIAFQRPVNHHGRKGEDPEGSHAVIEDPKAKSEALDKESSMISCTKAELGCNFAAIADRWSPESVESGAFTGDAAAIAMPATTSGFHKNAPDMYNLLDPMDVDVKPPPLVSSDSTGEMPLYGNKIRRSTSFPRVPKGGAGFTVDRDEDDDDKSSGCTHPSTATNRGFRPNCTAGHSRVKKLLACKHRKVAPARMHKGDLSYSGEHCEIPFTFSFFEEHCIDGTHIQTCLLYSYLSSLILLDVDRKPSFRNKKMYYTRQRTQRSTFKRRKMFDRHSAQVSEEYAKANTKFAARDSHAVSLEANKGTNSTAFQKSQESSDCHVKLRIKSFKVPELLIEIPETATVGSLKKTVLEAVNAILGGGLRVGVLHHGKKVRDDNKTLMQAGISHDEVLDNLGFSLEPNCAPHPSQLSPPEDNEFMETVDTTEPLARIAPADSSSKHGEVDASQELALAPLSANYQGSDHDFVHSPGGMSSPDKASTNSRAIVPVTPADSNAGAIVPANKAKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEALLLT >ONIVA11G13440.1 pep chromosome:AWHD00000000:11:14933690:14939300:1 gene:ONIVA11G13440 transcript:ONIVA11G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDGSGAASSGGGGGFFSSIAAGVRSLGTAVHKSVNGLVGYEGLEVINPDGGTEDAEAEALRGRWKQEDRDSYWKMMHKYIGADVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADECEDPYMRMAYASAWAVSVYFAYQRTWKPFNPILGETYEMVNHQGISFIAEQVSHHPPMGAAHCENAHFTYDITSKLKTKFLGNSLEVYPLGRTRVSLKKSGVKLELVPPLTKVNNLIFGRTWVDSPGEMVLTNLTTGDKVVLLFQPCGWFGAGRYEVDGYVYSAAEEPKIMITGKWNQSMSCQPCDQEGDPLPGTELKEIWRVAPTPPNDKYQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGSEKSRLEEQQRAEKRTREAKGEQFTPRWFNRTDEIAPTPWGELEVYEYNGKYTEHRAAIDSSSVADDDTDVTSIEFNPWQYSSSSSQ >ONIVA11G13430.1 pep chromosome:AWHD00000000:11:14918730:14921433:1 gene:ONIVA11G13430 transcript:ONIVA11G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDRCHKVQEPKFDCLLFDLDDTLYPLSSGIASHVKKNIGDYMVEKLGIEESKIENLGNLLYKNYGTTMAGLRAIGYSFDYDEYHSFVHGRLPYENIKPDPVLKHILKNLRIRKLIFTNGDKDHAVRALKRLGLEDCFEGIICFETLNPPCPSPPCDGEASIFDIAGHFSMPGAAADELPRTPVLCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKRIGLHTVLVGTPQRVKGADHALESIHNIREALPELWEEAEKAEDVLIYSDRVAIETSVTA >ONIVA11G13430.2 pep chromosome:AWHD00000000:11:14918730:14921433:1 gene:ONIVA11G13430 transcript:ONIVA11G13430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDRCHKVQEPKFDCLLFDLDDTLYPLSSGIASHVKKNIGDYMVEKLGIEESKIENLGNLLYKNYGTTMAGLRAIGYSFDYDEYHSFVHGRLPYENIKPDPVLKHILKNLRIRKLVSSSRPESKPISHVSCNRVLVLNWHASLQIFTNGDKDHAVRALKRLGLEDCFEGIICFETLNPPCPSPPCDGEASIFDIAGHFSMPGAAADELPRTPVLCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKRIGLHTVLVGTPQRVKGADHALESIHNIREALPELWEEAEKAEDVLIYSDRVAIETSVTA >ONIVA11G13420.1 pep chromosome:AWHD00000000:11:14889273:14891509:-1 gene:ONIVA11G13420 transcript:ONIVA11G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J222] MGARCLALLLLHGTLLLPQLPLAGAATRYYTFNVKLQNVTRLCNTRAIPTVNGKFPGPKIVTREGDRVVVKVVNNIKDNITIHWHGVRQMRTGWSDGPAYVTQCPIQTGQSYVYNFTINGQRGTLFWHAHVSWLRSTLYGPIIILPKAGLPLPFTEPHKDVPIIFGEWFNADPEAIVAQALQTGGGPNVSDAYTINGLPGPLYNCSSKDTFRLKVQPGKMYLLRLINAALNDELFFSVANHTLTVVDVDASYVKPFDTDVVLITPGQTTNVLLRAKPTAEAAGATHLMMARPYATGRPGTYDNTTVAAVLEYAPPGHIKSLPLLRPSLPALNDTAFAAGFAAKLRSLACPDYPSNVPRRVDKPFFFAVGLGTTPCPGSNNQTCQGPTNTTKFTASINNVSFDMPTTALLQAHYTGQSAGVYTADFPASPLEPFNYTGTPPNNTNVSNGTRVVVLPYNASVEVVLQDTSILGAESHPLHLHGFDFFVVGQGTGNYDPSKHPAEFNLVDPVQRNTVGVPAGGWVAIRFFADNPGVWFMHCHLEVHTTWGLKMAWVVNDGPLPEQKLMPPPSDLPMC >ONIVA11G13410.1 pep chromosome:AWHD00000000:11:14878928:14879224:-1 gene:ONIVA11G13410 transcript:ONIVA11G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVDDKWKFSKKSRNNGSRRVPGGSGAGGDPFLKRSASSRDQVIGRGRVGSGGGGGAAAAPSSFSSRCAGLVKEQRARFYIMRRCVTMLVCWKDCS >ONIVA11G13400.1 pep chromosome:AWHD00000000:11:14856458:14861919:1 gene:ONIVA11G13400 transcript:ONIVA11G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSGSVSAGGKMMVKAAAAAESASTNERTQSFSGCNSEKFYHIESPHKKRKSQYELSDTRYKFRNRLAWQEDESSRTESLGCNSIFVNRNYDMDMVNRVEELESCDNTQSLIGGCIEVDSINGIESHKMLKVQAFSSSSSSNNISSDAFTSSRSNGTKDTDSWDMQHLEYDHPGLMLLPYDDDIEEAYDVLGQYDVVMKNDLGSGDVDGSAAGVIDEKLYSNGIEDLLILPRGQNSIHDEKNKLTIDQEFEQYFTRLML >ONIVA11G13390.1 pep chromosome:AWHD00000000:11:14850497:14854231:1 gene:ONIVA11G13390 transcript:ONIVA11G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G55530) TAIR;Acc:AT3G55530] MSFVFRGSRGDIEAGGFPGFAPERRAMRIHAGGRPVNSNLAFLVTVLMLFMVLNSHQMSPNFLVWLVLGVFLMATSLRMYATCQQLQAQAQAHAAAANGFLGHTELRVHVPPTIALATRGRLQSLRLQLALLDREFDDLDYDALRALDADNSPHAPSMSEEEINALPVFKYKVQAHQGSASFRKSDGPSQPSVSSTESGNEKKQDRFKADATDNTLEDELTCSVCLEQVVVGDLLRSLPCLHQFHANCIDPWLRQQGTCPVCKHRVSDGWHGEADASNMVVIFLVDLYPVQYELTYNPFYSVSVGKLAYYGCLRLKISREDLRSKSSGLVSISLK >ONIVA11G13390.2 pep chromosome:AWHD00000000:11:14851029:14854231:1 gene:ONIVA11G13390 transcript:ONIVA11G13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G55530) TAIR;Acc:AT3G55530] MSFVFRGSRGDIEAGGFPGFAPERRAMRIHAGGRPVNSNLAFLVTVLMLFMVLNSHQMSPNFLVWLVLGVFLMATSLRMYATCQQLQAQAQAHAAAANGFLGHTELRVHVPPTIALATRGRLQSLRLQLALLDREFDDLDYDALRALDADNSPHAPSMSEEEINALPVFKYKVQAHQGSASFRKSDGPSQPSVSSTESGNEKKQDRFKADATDNTLEDELTCSVCLEQVVVGDLLRSLPCLHQFHANCIDPWLRQQGTCPVCKHRVSDGWHGEADASNMVVIFLVDLYPVQYELTYNPFYSVSVGKLAYYGCLRLKISREDLRSKSSGLVSISLK >ONIVA11G13380.1 pep chromosome:AWHD00000000:11:14849030:14849473:-1 gene:ONIVA11G13380 transcript:ONIVA11G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSFAQATASTLSRSSPRLGQDLAIGGEELHEGGFFQPAGKKRRLRRQPLPRAQARERQQLMHGPQAAATVVQVLVLRLLPPPRGPHDAAAAAVWPSRPPPPRPTHASSTDPTKEGPDPNSTAPDLAIVAGLRLSCFGLVGAPTI >ONIVA11G13370.1 pep chromosome:AWHD00000000:11:14836590:14837159:1 gene:ONIVA11G13370 transcript:ONIVA11G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTSTAVPSMMVVAGWTSSGYGAAAERRQHQQYPGTSNNPPLDRPNSTTIPQITKELKEEVLELKAMLQMMDSMAKVEERRSKQAERRSEDAVIGTVLSRPAVAQSTPHRTPSSLRPGQRTVFKGPYHMRHQAKRSLTSIDPMAASTTSLQSAAATTQRTQASLHLVLGHGNGSATTMLDGVGGGNAN >ONIVA11G13360.1 pep chromosome:AWHD00000000:11:14833695:14834015:1 gene:ONIVA11G13360 transcript:ONIVA11G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVILDGSTVRGFVADDDAFARSVDARFEALNTNSDGVLSCAKLRRALESFLLLYGAGFGSAQLAPVPAEVSALYDSVFEQFDADHSSAVDRAEFRDRMRCILGS >ONIVA11G13350.1 pep chromosome:AWHD00000000:11:14818662:14819690:1 gene:ONIVA11G13350 transcript:ONIVA11G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVELHKQKAFMARFKAKVDELSAAVDHILNKASVGAVSSLGATTYTDAQQVFDEMPSNKEPTITTVLHFTVSDVLYLLCSSEQKVAIPTKCSTSTLATSAMPLTDLVEAADQVFQEISCMLVDSKAHKLDEMDMKVFYSSEEFITIIPITHIIDGIAGKVQNPTAEMKVCNVFTRRLLCARNVFSP >ONIVA11G13340.1 pep chromosome:AWHD00000000:11:14814718:14814975:1 gene:ONIVA11G13340 transcript:ONIVA11G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDEKTILEQQIAIGTARLEELRRTNRELEIKLIVCDLMLGRRNNLDDLTMDILQDVRMAIVKYCLEIRKRIKELRSMDFSKPT >ONIVA11G13330.1 pep chromosome:AWHD00000000:11:14809517:14812060:1 gene:ONIVA11G13330 transcript:ONIVA11G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLASLAIRKALEKLSSYLAASLSASSSSPTSGRARQEKDHEDLRMLERTMRRIHATLHDAEQHWNIREESTKLRLKELKDLAYYAEDVVDAYEMNRQKVEALKAFAGAASHKRKYQQESEGLFCDSHTVAITDELAIKTRKLIERFDEIKYYSDNFTLSENDGEIRLTPDISGLQTSSVVFENSIVGRVKDKNNIVEKLLSKRGDNLASPVSVMAIVGMEGLGKTTLAQLVYNHPEVCKYFDVHAWVCVSEQFDINNITQSIIVAVTKEKCDLSELPNLHERLVEEIKQKKVLLVLDDLWNERRDCWDLLCMPMNTTKLCMIVVTTRSEKVAKLVQTMPNFYNLECLSFDESWLLFRQVALTVNQENAPPNLVEIGKAIVRKCKGLPLAIKRIGSMLRYETDEQSWLEVLENVLWDMDQPWNEVSPSLELSYRHMPVYLK >ONIVA11G13320.1 pep chromosome:AWHD00000000:11:14768761:14773748:1 gene:ONIVA11G13320 transcript:ONIVA11G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASMAIEGALDSLSSLLQLQANPTPPVTTAAAVPPVETSHSSVKGELEDLRALEGTMRRIHATLRDAEQRWNTREKSAKLRLEEIKELAYDAEEVVDEYQYEVTRRKVEATAAQGGGGGDGASSSSSRKRKIHKVRDEDYFIEAGIVPVPRELTVRARKVIQRFGEIEGYYGSFTLSENDGDRRIIPDINSLRQTSSFVFAPIIVGRDQDKENVIKKMMTLEGSRIGGCISVLAIVGMGGLGKTTLAQLVYNDPKVRQAFDLFGWVCVSEHFDVNNVTRKILSSIAKKNCDYIQSSELQGQLENLIKDKRVFLVLDDVWNERSDCWKLLCMPIFASTRCDIIVTTRNEAVARLVQTIPFYNLDYLNPDDSWLLFKQTAFVEQDNNVSPANLVEIGQRIAKKCKGLPLALKTLGSVLRFEANSELWDLERSQNEVLPALELSYKHMPMHLKLCFVSLSLYPKDTYFDESIVVWLWKSLHLLQCDGTDNNNEIGGLYFTQLVQRSLIQQVDTHGRMAIHDLVHDLACFLAGEEFFRLEEDGYVEIPKGARYMSIVPHPQCKRSTQISNASQSLRVIILIRRINIENPEALFMNCKKFRIIQVIDDSFANVLLDFMGDMKLLRHFRLLRSCNEVKLVISDSMSQQFNLQTLNCEGYSLHGIGRLANLQNLPNIHLWKCGCYLRELRNMNKIRKLHICGLRNVSSIQDVNEAHLHSKKDLEILELDFKRGGFCEVHKEETDVNQAIGTVSGGSILESLRPHHQSLKVLRMKNLNEANYPSWLGSASFSKLTKLRLENCQSQHLPTLGELPSLKSIDIRQMEYVENIGREFCSLDPSVKGFRSLAHLRFQDVNRFSEWSEVHDGEFSSLETLLIWSASELSSLPSVPFSSLRSFELCDCKNLVTFPASATLQILSISSCEKLKELPALPSLRSVKLSGCESLVAVGHFPSLTVLHMSTEFEEEALHKLMNLHLKLEELSISSDTMKLINLEPHSLPLLRELELVCPNLQNCDALASLSSLKILRVNRCSPQLRVPNSLQSQLEKLYSPGSL >ONIVA11G13310.1 pep chromosome:AWHD00000000:11:14763129:14767195:-1 gene:ONIVA11G13310 transcript:ONIVA11G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFASMSVKWALDKLSSLLPASLQVATAPSTTCEGLEDLRMLERTMRRIHAMLHDAEEHWIIREESAKLRLTELKELAYDAEDVVDEYEYEANRVSTEVFERSLNTCNGKRKHRRLPTGGTPVNDEHYRIKPGVVPVPSELAVRARKAVQSPEKAAALHACCISVFPIVGMGGVGKTTLAQLVYNDPRVNQSFDLCAWVCVSEYFDTKNITQKIISSLTKESSSLSELADLQEVLGNKLQGKSFLLVLDDVWTERRDTWELLCMPLFASSVCRIMVTTRNEAVAKLVQTMPFYYLDCLSSDDSWLLFQQAAFSVDQQDDTPADLDRLARILLGLPLAIKTLGSMLRYEADEVRWRYVLESDIWDLEPPQKEILPALELSYRHLPIHLKRCFVALSLFPKGYLIDRSEVFGLWISLDIIQCDGHNSAARLYFNEIVDRSFLQVHDIQGLHIMYDLIHDLACFLAADEFFMLEADDGCIEIPPNVRYLSIHRISGEISVASHSLRAIINYTVQCRHSQRTRTHSYKHTHANPTPMSIFEDWAENPEELLLGCEKLRALVFYEDEFFLSKALEGFMGRAKLLRHLHCEYLLDNRYDISEYRLELHGIHSLINLHTLPQLYIGRNICNMGELKSLNKIKELTVRGLADLDRKEDAKEAWLHNKKHLQSLHLDFSRAIDLDDSPDYMSHELLLDNLRPYNSLRKLIIQRYESSKYPSWLGEPSFSNLTTIKFLFCKSERLPTLGELPSLQFLHVREMMFLQHIRQEFFSHGHGFKGFPALKKLKFVQMPEWSEWSGVDDGAFPRLHRLSIRCADNILSLPVAPFLSLVSFQVTDCPNITAIPASPSLRQLHICRCVNLDQLPSFPSLTTLRLGGPFKDNKPCRLLNNLSSLECLTITCNLMTCISLEPQGLPLLRKIKFSSDNLQYCHGLSGFTSLKELNITECP >ONIVA11G13300.1 pep chromosome:AWHD00000000:11:14755662:14756310:-1 gene:ONIVA11G13300 transcript:ONIVA11G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAYLGWAELIHSPCQVLWSEQKRLVRILGITDGMYLLKCERHGLAGGGHGGGDEHGDGDLLRVPLHGQREALPAEAVRDDDRLLLTVLIGYRVEQRRGVPLEGRHLVGAARARAAGGHVERGDAVAGGGERGGHPVPAPRAVADAVHQDEVVAPPPPLLLVAAALIRRRLR >ONIVA11G13290.1 pep chromosome:AWHD00000000:11:14755503:14757161:1 gene:ONIVA11G13290 transcript:ONIVA11G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPETTTDQSGSNKQQRRRRRHHFILVHGVCHGAWCWYRVATALSSAGHRVTALDMAACGARPGRADEVPSFERYTAPLLDAVADQDGEEKAVVVAHSFGGQSLALAMERHPEKIAVAVFVTATMPAAGKSMSFAFKQLSQGKDADFFMDCTIRTIGDPQNPDKTFLFGPEYLARRVYQLSPPEDLALAMSTVRPSRRFLNDATMNGDVLTEGRYGTVRRVYVVAEEDEWKPAEIQRLMVSWNPGTEVRALQGADHMPMFSKARELSELLMEIANKYS >ONIVA11G13280.1 pep chromosome:AWHD00000000:11:14743608:14747255:-1 gene:ONIVA11G13280 transcript:ONIVA11G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFASMAIEWAIDKLYSLLPACLVSTPASSSSSAAPAESEDLEVLKRLERTMHRIHATLHDAEEQWNIREETAKLRLKELKKVAYDAEDVVDEYGYEMTRHKVEAFEQSARANRSGKRRREEVDGTIQNISDIVPVPSELATRARKIMDMFNEIKDYASKFSLSENDGVRRSIPDMHQVRQTSSMVFEQSIIGRGSIKDTVIEKMLSQNKSSTPESHVSVLGIVGMPGVGKTTLAQLVYNNTEVCKSFDVRVWVCVSENFDVNDITKRIISATNKFRHGETIANLQEELVKEIQDKRFLLVLDDVWNERRDYWEMFRLPMLTTKLCKIIVTTRSQNVARLVQTMDSCELSCLDSNDSWSLFKQTALLDEEHANNPSLQEIGKDIVSRCKGLPLAIKTIGSMLRYEPDETKWKDILESDLWDLEQSQNEVLPALELSYKQMPMYLKRCFIALSLFPKDYILHEENVVLLWEPLELLQHGDGANKAKIAVSYLHELAQRSMIEISSHSAYKMHDLIHDLACFLAGDEFVRTEGNSQVEISPNARYLSVVPTSPWEISTINISDSSDSLKAIIVIGHGLDEIVIPDDIFLKFKRLRVFSLNGAAPTNLLPDSAGNLKLLRFLRWRCSIDCQIMQLPKSVFQLFNLHTLELMKPAFDLYTPIVSGIGRLIKLETLPPLEILSGYDSNLSELRNIRKVRSLSLKGLDYVCSVEDAMEADIPSKIHLQSLNLDFTSSHHQQLQQHKPGAVSHKELLESLQPCHTLRDLSIYGYRGLSFPCWVGNTSFSKLTKVVLSKCEWECLPALGELPSLESLEISRMYNLRFIGREFCCLNQSVKVFRSLVNLSFSWMYELSEWSGVKDGDFACLETLLLCQDNKLRFLPLVPFSSLYLSIKDCASLLELPTLPTLCELSINKCASLLELPTMESLWKLEISRCPSLRAVGLFPELSVLKLSGPFKTEVFDSWLSLNSPLEHLEIYSSPLVSIPLRPQYLTSLATLGLLFCYDLQYCDGLVSLTSLRELNVMECPKLNLHRSLPCNLQKFECR >ONIVA11G13270.1 pep chromosome:AWHD00000000:11:14725977:14730083:-1 gene:ONIVA11G13270 transcript:ONIVA11G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFASMAVKWALDKLSSLMVPERIIPVAFSSSSSISQGMMDLRVLERTMQRIHATLVDAEEHWNIHEETAKLRLKELKELAYGAQDVVEEYEYEVNRCRPEDPDRYACNGSKRKRHQVNGEHLSEVGLVPVSNELATKARELIQRFDEMKVYYKYFSISDNDGERRTAPGIECVRPTSYFVVKESIVGRESDREKVIEKLMFGEGSNVASHLSVLAIVGMGGLGKTTLAQLVYNDQTMCQSFDVCAWVYVSDHFEPKSLMEKIVVSIEELSNELSSPKENSKELSELVDPRNKLVKKIKGKRIFLVLDDVWNERMDCWEAFQDPMLAAQQCKILVTTRNLPVARLVQTMPHYSMNHLSPQESWTLFKRTVTTPENAIQGNLVDIAKKIVEKCDRLPLAIKTLGSMLRYETHESRWIDILESDLWDLDKAQSEVLPALKLSYKNMPVHLKQCFLALCLFPKGRLRGKSEVIWLWKLLDMLKDDERNDGDKNGNRYFDELVQRSFLQLFSGSCIMHDLIHDLACHLSGNEFFRLEGDKPVQIPENTRFMSIHNCDTSVQFSVTSHPLWAIIVFGVKNYSRVNNPEHFFLYCKNLRVLSLSYSNIGKALPRYISGLKLLRRLELPLDGDYLKLICNLGPTDRVDYLKELECAPNGIGNLINLHTLRDIRIRRCGCSFNLSELKNLNKLRELRIRGLGNLSHTEDANEVQLVSKKHLHLLELNFSDEKECQKEQCQQLLQQYEKVSHEQLELDFTFEEGFKKFRYQSVQQLEYVTVSHNEILESLRPHEGLINLIIEDYDCQSYPNWLGNASFSRLTVIVISARRKWVRQQRVPTLGELPALKSLKISSMYYLEHIGREFCSHAPGIKGFPSLTSLEFSYIPWWNEWTGVDYGDFPFLETLSLRTVYKLRSLPLDRFPSLGTLTLDECDGIDTIPAGGTIKKLCIGGCYGLYTLPTQSSLLKLQLKDCPRLSVVSSMPELDTLEIFKCPKLTAVGFMPKLQTSNIQHCRNLITIDSMHD >ONIVA11G13260.1 pep chromosome:AWHD00000000:11:14715813:14725775:-1 gene:ONIVA11G13260 transcript:ONIVA11G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFLTRPRALAASSSLSAANRARQEQDLEDMRMLERTMRRIHATLHDAEQHWDIHEESTKLRLKELKELAYDAEDVVDEYEYEVNRCKVEALELSASTADHKRKQQQNLENEDLFNSGMVAVPDELAVKTRKLIERFHEIKYYSDNFTLSDNDGEGLFLILSILGREGDKNTIMEKLLPRDGDSVANPIFVLAIVGMGGVGKTALAQLVYNDSRMHGSFDKHAWVCVSEQFDVINITKGIIQSLKKEKCAEIKGKKVLLVLDDVWSERRDCWELLCLPMNTTEICNIVVTTRSERVARLVQTMPDFYNLNCLSPDDSWTLFKQEAYANQGSGIPSNLVEIGRRIAEKCKGLPLAIKTLGSILRFETNEKKWRDVLDSELWNLEQSHKEVLPALELSYKHMPIYLNHCFVSLSLYPKDSPFNRSLLQNYMHGHTFVMHDLVHDLACFLAGDEFFRLEGDKSTEIPLGTRYMSIVPHTKSIKISNSSESLRAVVTLGNIDIENPEALFLNCKKFRVIQVTEDGFAKVLLDCIGEMKLLRHLEFLGHSNAVELVISNSVSKLFNLQTLDFIAYSLHGIGRLVNLQALPVIHLCNCGCFFNIRELRNMNKIRKLRIDGLCNYLLTCYLRVYVLIIEALED >ONIVA11G13250.1 pep chromosome:AWHD00000000:11:14695810:14715727:-1 gene:ONIVA11G13250 transcript:ONIVA11G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGELPSLKYLDIRQMENVERIGWEFCTLDPRVKAFHSLSSLFFEDMYRFSEWSGVQEGDFSCLETMFIGSAFELMSLPPVLFVSLRNFTLYNCRNVVTLPASTTLQELLISKCANLSELPALPSLQSLKLLNCPSLATVSQFPSLTVLHVCDPFKEEILQRLVNSHMMLEELHVESDTINSICLDPLKLPSLKNLDVRCPNLKSCNAFAGLTSLKILWIRCSPRLHIPDSLRSQLEELRLFASMAVKWAMDKLSSLLAPVNLMPVASSSSSSSSSQGLEDLRMLERTMRRIHATLVDAEEYWNIREESAKLRLKELKELAYGAEDVVEEYEYEVNRSRFVKANGACLEMAEWEELVGNSRDDAQAVLTSCVVQRMDNDEQCSEAGLVPVPNELAVRAKKLVQRFEEMKDYMKYFSISDQDGERRIVPDIQSTRDLGHMVAEQSIVGRESDKDTVIEMLMSGQGSNDASHISVLAIVGMGGLGKTTLAQLVYNDPMVFQSFDVRVWVYVSEHFDPRSLTKKIIVSVTKNSIDLSELVDLQERLAHEIWRKKCLLVLDDVWNERWDCWEILCKPLSAARQCNILVTTRNVAVARLVQTMPHFAMDHLSPDDSWTLFEKTVTVQGNVIQGNLVDIAKKIVEKCDRLPLAIKTLGSMLRYETNERSWIHVLESEIWDLDKQHDEVLPALELSYKNMPMHLKQCFVSLCLFPKDYSLDETEVIRLWGLLGILQGDEWNNEDEFGSQYYDELVQRSFIQISFGSGIMHDLIHDLACHLSGNEFFRLEGDKSVTIPQNARFMSIIDCHASVQFLAASHSLRAIIVLGDDYSKVTNAEQLFWNCKNLRVFSHSDRRILSGSNLDDALPRYISAMKLLRHLEWQWDAPSGIYPLINLHTFPDIRICRCGRSFNLRELKNLNKIMGRLRIIGLGNLSHVQDANEAQLMNKKHIQFLELNFSEMECHHSMPLQLDVNITPEEVRYENLQYQDSQQPENVTVPHNQILESLRPHEGLRGLRISAYNCQSYPRWLGDASFSKLTYIEINGTNKVMQQCVPTLGELPFLEYLRIGWMSHVEHIGREFCTRIPSNKGFPSLIRLEFSDMPQWSEWSGLDDGDFPSLCSLSISDCNQLCSLPSYRFSSLQRLDLVKCNVISIIPAGGTFTYIQIVGCYGLHTVLTQPSLLKMWLADCPKLGAVGSMPKLNKLDIYKCPNLTSVGIMPELNSLKTGSSLESDMVYNWIDRLTLLDYSYIWYNTLMGISTIPVLHNLNELGLLCCPGITEVPTLPSLSKLWIYRCPDLSVIGSLPSLTTLYLKDPLLKGEVLYSLLNDIDHPRLNCISILCETMMNLSLEPQRLCSLRKLRLSCANLQYCDGLSGFTFLEEIKIWGCPKLPIHSLLPRQLQSALDLRDRPALYIGAFDKQ >ONIVA11G13250.2 pep chromosome:AWHD00000000:11:14695810:14715727:-1 gene:ONIVA11G13250 transcript:ONIVA11G13250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGELPSLKYLDIRQMENVERIGWEFCTLDPRVKAFHSLSSLFFEDMYRFSEWSGVQEGDFSCLETMFIGSAFELMSLPPVLFVSLRNFTLYNCRNVVTLPASTTLQELLISKCANLSELPALPSLQSLKLLNCPSLATVSQFPSLTVLHVCDPFKEEILQRLVNSHMMLEELHVESDTINSICLDPLKLPSLKNLDVRCPNLKSCNAFAGLTSLKILWIRCSPRLHIPDSLRSQLEELRLFASMAVKWAMDKLSSLLAPVNLMPVASSSSSSSSSQGLEDLRMLERTMRRIHATLVDAEEYWNIREESAKLRLKELKELAYGAEDVVEEYEYEDNDEQCSEAGLVPVPNELAVRAKKLVQRFEEMKDYMKYFSISDQDGERRIVPDIQSTRDLGHMVAEQSIVGRESDKDTVIEMLMSGQGSNDASHISVLAIVGMGGLGKTTLAQLVYNDPMVFQSFDVRVWVYVSEHFDPRSLTKKIIVSVTKNSIDLSELVDLQERLAHEIWRKKCLLVLDDVWNERWDCWEILCKPLSAARQCNILVTTRNVAVARLVQTMPHFAMDHLSPDDSWTLFEKTVTVQGNVIQGNLVDIAKKIVEKCDRLPLAIKTLGSMLRYETNERSWIHVLESEIWDLDKQHDEVLPALELSYKNMPMHLKQCFVSLCLFPKDYSLDETEVIRLWGLLGILQGDEWNNEDEFGSQYYDELVQRSFIQISFGSGIMHDLIHDLACHLSGNEFFRLEGDKSVTIPQNARFMSIIDCHASVQFLAASHSLRAIIVLGDDYSKVTNAEQLFWNCKNLRVFSHSDRRILSGSNLDDALPRYISAMKLLRHLEWQWDAPSGIYPLINLHTFPDIRICRCGRSFNLRELKNLNKIMGRLRIIGLGNLSHVQDANEAQLMNKKHIQFLELNFSEMECHHSMPLQLDVNITPEEVRYENLQYQDSQQPENVTVPHNQILESLRPHEGLRGLRISAYNCQSYPRWLGDASFSKLTYIEINGTNKVMQQCVPTLGELPFLEYLRIGWMSHVEHIGREFCTRIPSNKGFPSLIRLEFSDMPQWSEWSGLDDGDFPSLCSLSISDCNQLCSLPSYRFSSLQRLDLVKCNVISIIPAGGTFTYIQIVGCYGLHTVLTQPSLLKMWLADCPKLGAVGSMPKLNKLDIYKCPNLTSVGIMPELNSLKTGSSLESDMVYNWIDRLTLLDYSYIWYNTLMGISTIPVLHNLNELGLLCCPGITEVPTLPSLSKLWIYRCPDLSVIGSLPSLTTLYLKDPLLKGEVLYSLLNDIDHPRLNCISILCETMMNLSLEPQRLCSLRKLRLSCANLQYCDGLSGFTFLEEIKIWGCPKLPIHSLLPRQLQSALDLRDRPALYIGAFDKQ >ONIVA11G13240.1 pep chromosome:AWHD00000000:11:14671428:14674911:-1 gene:ONIVA11G13240 transcript:ONIVA11G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFASLAVRKALDTLSSFLPASLAASSSSSAANRARQEQDLEDTRMLERTMRRIHATLHDAEQHWDIHEESTKLRLKELKELAYDAEDVVEEYEYELNRCKVEALELSAIPDELAVKTRKLIERFHEIKYYSDNFTLSDNDGERRIIPHISMLRKTSSLVFAKSILGREGDKNTIMEKLLPRDGDSAANPISVLAIVGMGGVGKTALAQLVYNDSRMRGSFDKHAWVCVSEQFDVINITKGIIQSLKKEECGLPEHSLDILQQILVAEIKGKKVLLVLDDVWSERRDCWELLCLPMNTTEICNIVVTTRSERVARLVQTMPDFYNLNCLSPDDSWTLFKQEAYANQGSGIPSNLVEIGRRIAEKCKGLPLAIKTLGSILRFETNEKKWRDVLDSELWNLEQSHKEVLPALELSYKHMPIYLKHCFVSLSLYPKDSPFNVFMVSRLWKSLDLLHCDGIGNWEEIGSLYFTELVQRSLLQNYMHGHTFVMHDLVHDLACFLAGDEFFRLEGDKSTEIPLGTRYMSIVPHTKSIKISNSSESLRAVVTLGNIDIENPEALFLNCKKFRVIQVTEDGFAKVLLDCIGEMKLLRHLEFLGHSNAVELVISNSVSKLFNLQTLDFIACSLHGIGRLVNLQALPVIHLCNCGCFFNIRELRNMNKIRKLRIDGLCNVSSIIDANEALLHCKKDLQELELNFKASINDAHTQSAGSNQAIIAVSVDLLLESLRPHHRSLRELTLQNFNGKIYPSWLGSTSFSKLIRLVLRLCQSKHLPTLGELPSLKYLDIRQMENVERIGREFCTLDPRVKAFHSLSSLFFEDMYRFSEWSGVQEGDFSCLETMFIGSAFELMSLPPVPFVSLRNFTLYNCRNVVTLPASTTLQELLISKCANLSELPALPSLQSLKLLNCPSLATVSQFPSLTVLHVCDPFKEEILQRLVNSHMMLEELHIESDTINSICPDPLKLPSLKNLDVRCPNLKSCNAFAGLTSLKILWIRCSPRLHIPDSLRSQLEELRILDF >ONIVA11G13230.1 pep chromosome:AWHD00000000:11:14657971:14663449:-1 gene:ONIVA11G13230 transcript:ONIVA11G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASMAVKWAIDKLSSLLTPVRQTPVASSSSSSSSSQGLDDLRMLERTMRRIHATLMDAEEHWNIREESATLRLRELKELAYGAEDVVEEYEYEVNRCRLEAADRCASNCSKRKRHEVLQPNRGPTMAPAFGYQNKTRFDEMKVYYKHFSMSDNDGERRIVPDIHSVRPTNYLVDKESIIGRELDKKTIIEKLMSGHDNNAVSDYLSVLAIVGMGGLGKTTLAQLVYNDQTVHRSYDVCVWVYVSDHFDSTNLTKKIIVSITKESNNLSELVDLQDKLGQEIRGKRFLLVLDDVWNERKDCWETFCKPLSAARQCNILVTTRNVAVARLVQTMPHFTIDHLSPHESWTLFERTVAVHDNIIQGNLVDIAKKIVQKCDRLPLAIKTLGSMLRYESDESRWIDVLESELWDLDKAHNEILPALELSYKNMPMHLKLCFVSLCLFPKDYSLKKSEVISLWGLLDILQCDEWNNEDESGSQYFLFGRTGSRYYDELVQRSFLQISFNSGIMHDLIHDLACHLSGNEFFRLEGDKPVEIPQNARFMSIIDYHTSVQFSASSHPLWAIIGLERDEVTNLELLFSICKNLRVLALSDRNLHEALPRYISSMKLLRHLEGPWNAPSGIYPLINLHTFPHFLQLDFSEVECLHMPLQLGLNFTPKEVRYENLQYQYMQQPKYPIVPHNQILESLRPHEGLRRLAIYGYNCQSYPSWLGDASFSKLTNIVLYGTDKVTQQCVPTLGELPFLKYVSIGRMYYMEHIGREFCTRIPGNKGFSSLKTLEFSNMLHWSKWSGVDDGDFPCLSSLIISDCNRLSSLPSDRFSSLHYLKLSNCNVIGVIPAGGTLRDLEIRVCNGLHTIRTQPALLIMWLYDCPKLGAVGTMPKLNKLDIQKCPNLTSVGSLPELTTLNTEGNLADVMLFGQLDHLPLLHYLSIWYNTLMDNPTIPVLHNLKELDIHSCPGITKLPFLPSLLKLRICRCPDLDVIGSLPSLNTLHLWDPLLKDKVLCRLLNGIDHPWLNCISILCETMTNLSLEPKRLSSLRKIRLSCANLQYCDGLSGLTFLEEIKIWGCPKLPIHSLLPRQLQSALDLRDAPASFIDDFDGDMWDFE >ONIVA11G13230.2 pep chromosome:AWHD00000000:11:14658064:14663449:-1 gene:ONIVA11G13230 transcript:ONIVA11G13230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASMAVKWAIDKLSSLLTPVRQTPVASSSSSSSSSQGLDDLRMLERTMRRIHATLMDAEEHWNIREESATLRLRELKELAYGAEDVVEEYEYEVNRCRLEAADRCASNCSKRKRHERFDEMKVYYKHFSMSDNDGERRIVPDIHSVRPTNYLVDKESIIGRELDKKTIIEKLMSGHDNNAVSDYLSVLAIVGMGGLGKTTLAQLVYNDQTVHRSYDVCVWVYVSDHFDSTNLTKKIIVSITKESNNLSELVDLQDKLGQEIRGKRFLLVLDDVWNERKDCWETFCKPLSAARQCNILVTTRNVAVARLVQTMPHFTIDHLSPHESWTLFERTVAVHDNIIQGNLVDIAKKIVQKCDRLPLAIKTLGSMLRYESDESRWIDVLESELWDLDKAHNEILPALELSYKNMPMHLKLCFVSLCLFPKDYSLKKSEVISLWGLLDILQCDEWNNEDESGSQYFLFGRTGSRYYDELVQRSFLQISFNSGIMHDLIHDLACHLSGNEFFRLEGDKPVEIPQNARFMSIIDYHTSVQFSASSHPLWAIIGLERDEVTNLELLFSICKNLRVLALSDRNLHEALPRYISSMKLLRHLEGPWNAPSGIYPLINLHTFPHFLQLDFSEVECLHMPLQLGLNFTPKEVRYENLQYQYMQQPKYPIVPHNQILESLRPHEGLRRLAIYGYNCQSYPSWLGDASFSKLTNIVLYGTDKVTQQCVPTLGELPFLKYVSIGRMYYMEHIGREFCTRIPGNKGFSSLKTLEFSNMLHWSKWSGVDDGDFPCLSSLIISDCNRLSSLPSDRFSSLHYLKLSNCNVIGVIPAGGTLRDLEIRVCNGLHTIRTQPALLIMWLYDCPKLGAVGTMPKLNKLDIQKCPNLTSVGSLPELTTLNTEGNLADVMLFGQLDHLPLLHYLSIWYNTLMDNPTIPVLHNLKELDIHSCPGITKLPFLPSLLKLRICRCPDLDVIGSLPSLNTLHLWDPLLKDKVLCRLLNGIDHPWLNCISILCETMTNLSLEPKRLSSLRKIRLSCANLQYCDGLSGLTFLEEIKIWGCPKLPIHSLLPRQLQSALDLRDAPGMCDYHVSFLGHHTKHKD >ONIVA11G13230.3 pep chromosome:AWHD00000000:11:14658364:14663449:-1 gene:ONIVA11G13230 transcript:ONIVA11G13230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASMAVKWAIDKLSSLLTPVRQTPVASSSSSSSSSQGLDDLRMLERTMRRIHATLMDAEEHWNIREESATLRLRELKELAYGAEDVVEEYEYEVNRCRLEAADRCASNCSKRKRHEAVEWH >ONIVA11G13220.1 pep chromosome:AWHD00000000:11:14618334:14619010:1 gene:ONIVA11G13220 transcript:ONIVA11G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSVGERRECWWWLLVRGRKQETIEALRQITSLNGGEDITTWSFTMLDACALEASGEGMFATLRSICERRLAAITTASFGVGVVYYGMPLSVGSLSPNLCPDLDAAAASTGESPPAVQSASATGRQGAPASVARCSGELCLRRRHQTLGHLRLRRLPPGPPPPPAGRSSSTAIAGRPRRAHERERKSDWGGIGLRRSMTGGPRKFFFFTVLPRLLHVY >ONIVA11G13210.1 pep chromosome:AWHD00000000:11:14607895:14609515:1 gene:ONIVA11G13210 transcript:ONIVA11G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWMMERGRKKDEDGIRTASMDLINPLGEVERCHEKIARWWKNLPFLLGNGRLLCGLSVESWKSWGAWLEVTEDAGRTWKKYGPILVKNETLGVIQPVPYQSTRGTIRVLLRSSQTIGWVCVADSTDGGVTWSYAHNNPDHGWACTCLIHIQSNTD >ONIVA11G13200.1 pep chromosome:AWHD00000000:11:14606131:14606500:-1 gene:ONIVA11G13200 transcript:ONIVA11G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCYRSAAPELFHDKSDVSSDSIPGASFSVSCHSGHPAHALLIEWRCASSYLPRQRSPSSQVISVRLTGRPPCPTAMRRYRLLHRRSAALRS >ONIVA11G13190.1 pep chromosome:AWHD00000000:11:14597152:14603870:-1 gene:ONIVA11G13190 transcript:ONIVA11G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFATLAIRKALDKLSSILPAISLSASSSSAAAMVRQGHEQDLEDLRMLERTMHRIHATLKDAEQHWDIRDESAKLRLKELKELAYDAEDLVDEYEYELEVNRCKAEALQPSASVASHKRKWQQEQENEVLYYNTGMAHVPEELALKARKLIKRFHEIQYYSDNFTLSENDGERRFAPNIGTLPKTSSVIYAPKIIGREQDKDNIVEKLLSMTSDSVATPVSILAIVGMGGLGKTTLAQLVYNDSRIQGFFDMHAWVCVSEQFDPTYITKSIISSLKKDNSDLSEVCSPHETLAKRIKQKMVLLVLDDVWNERRDCWDLLCEPMNTAKLCMIIVTTRSERVAKLVQTMPNFYSLNCLSSEESWSLFKQVAFTVDNGNTPNLQEIGMSIVKKCKGLPLAIKTLASMLRYETCEQRWKDVIESELWDLEQPRNEVLPSLELSYKNMPIYLKRCFVAISLYPKDYIFDRNQVLQLWKVLDLLQSDRHNNETEIGNRYLDELVERSFLLFLLTKVDGHYYKKYLMHDLIHDLACFLSADEFFRLDDSSTSIEIPQNARYISIQNLASSEISITPLALRAIIVLPRAEVNINNSEALFSNCEKLRALVLGEGCLDQNLPALMGRLKLLRHLKLVTSFFSHSDPCWDHVDGLRGIGHLNNMLTLPPIHLRNDRIIELRSLNKLRELRIGGLGLHMTIDGAKDARLQSKRHLQLLSLDFGDCCPDHNQQVQLLESLRPHRKPQRIDNNELRGSEYLPTLGDLPSLVSLHIHDMMIVERIGWEFCSHFHGVKGFPSLTQLRFNWMHKWSEWSGIVDGGFPRLRTLSICHVHSLRLDNIESFPFRSLITLELDKCCCITTIPASTSLRTLRIDRRSFDLRRSSTDGPRLNRLPSLECLTVICHDTTSILLQPQHLPSLKKLNLSCEKTAVLRWTFRPHFPLGAQALGMPKAPNS >ONIVA11G13180.1 pep chromosome:AWHD00000000:11:14593227:14595431:-1 gene:ONIVA11G13180 transcript:ONIVA11G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMGKYSCGVPCSWSLDLGKATAHGTNAHNLFGEMSSQGEVSQEDLKISEAVPINSPMNKEEKMMDEALDQILEKLEQMKTKRRCNEKIDWILEKLDEIEANRRKSFEEITNIIKATTSIFNSASSSTPPTSPTPVLAKCSWACSNSASAYTTTSASHNIDVPTLTVAMGLQVSLNHGIGTTTVTPTKCLVNCFDNDTGVNHAILEESFASTTAAATMETVVSEDKACSIFINTTDLTKFMHSRCTTISLKDNIGTIQAEVAFPFPFHTLNMIAAPKEPTLVMAEKLDSIFCIKLVMPNGRLMKCLKSDKRLLAGLPKRKPWPLPWSGGVVRGGNVWHVPWSAFDSVWTSVCLIPPWPPPIRLKQCRSWKIGVAISLFAWKAQWDFVSYRSCTVVEISRMHELALLMLLTQDPSDDKRDDLLPASKNPFTSYMMAQYFKVIESRLISDISHLDGNNVQDTWDCKGILVILEDGTSKWRMQGIKPSASKNIISAWKNVFIPTIKSVLEEF >ONIVA11G13170.1 pep chromosome:AWHD00000000:11:14591893:14592586:1 gene:ONIVA11G13170 transcript:ONIVA11G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVAVQLAAVFSMASATPVPSNATATTSHGRNTTAPPPPFGANHTVGEGAGWFFDGNANASVANYSAWAANRTFYLGDYLIFSTNTDNTVVHTTNATVYKLCGDGGAAAAAGCSGGGWKTEEAFLTVMLTAEGANYFFSDAGGGEHCRKGMRFELAVARGHGLPPVPASYYEPLSAAPPAAGCSSSMVVALAAGVAIAAILVL >ONIVA11G13160.1 pep chromosome:AWHD00000000:11:14587746:14591269:1 gene:ONIVA11G13160 transcript:ONIVA11G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 family protein [Source:Projected from Arabidopsis thaliana (AT1G55280) TAIR;Acc:AT1G55280] MVILCASHIGISTTRSRFWSGWLSQNGCPRMCYHIPVNRRKLRKQNRRQRVIALSKSSALQDPVSSVKPSRLLQTDELRIFHNSVPEEIISTVRLEESDAFYMLELSTSREFSSSLLDKNAAILICIIDANGDSLLQRVPAAYCNHSAQGREAEKLLPFQSGSVDVVTFRGSKLQRIKEIWVGLESGSWRIDNLSLKVIHGQLNTPPDLEETPELKFNGLQYTFDKLSMLLGEDGASVVEARPVAVTDLTGISLSDLQEGQLSSASTASSILEMKEDGLKEYADLKQSLLLYDLAIVITGFSAFTLASNDSAALSFLVGGIGGFLYLLLLQKSVDGLPALNSPSEAGSAQPSVKGFSGIRRPWLILSLLMVAGAVALKYGAGGDKLEMTPVELFVGTAGFLANKVAIVLAAFKPLQSNLKAEDRSGD >ONIVA11G13150.1 pep chromosome:AWHD00000000:11:14578268:14582051:1 gene:ONIVA11G13150 transcript:ONIVA11G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPILFATQPTSSSTSSSYHFMSSSSGSHDHRHHHGLQAGGNGGGGGGSLSHGLFMGSSSSSIRMEELSNSKQAGDVVVDGGATRSPHGGDGDGAAGDDGGDAQAAAAGGRKKGEKKERRPRFAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSRDETVVVTTYEGTHTHPIEKSNDNFEHILTQMHIYSGLTPSSAAHASSSSPLFPSAAAAASHMFQ >ONIVA11G13140.1 pep chromosome:AWHD00000000:11:14555808:14560387:1 gene:ONIVA11G13140 transcript:ONIVA11G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 14 [Source:Projected from Arabidopsis thaliana (AT5G14100) TAIR;Acc:AT5G14100] MQVVGTAAAFSPAVTPSPARRRAVDSGRRFSRSCRSGRVSVSAAGYSQLEVRRVSYRPPGTEQNLLNEISLNLKEKSFGLLFGRSGSGKTTLLQLLAGLSEPTHGTICIQKYNDSGNPMGPPELLTAQRVGIVFQFPERFELPLSPLSNINSISLYMVGLNGISLEEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKDHTILAVSHDLRELYPLVDRSWRMEMGGVLKEEALSVYKSRMVGTKLFGHKYDLCSLRISGASKCKQNFNGEIIDFSPNSHRWNEETMSDADFCLGKGRLQEGEKVGRILVGWGHSLI >ONIVA11G13130.1 pep chromosome:AWHD00000000:11:14545124:14550732:1 gene:ONIVA11G13130 transcript:ONIVA11G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKLRSNNDVFKEFNTGGGIAAVAIPSGGGDDDDYDIDFEVDATSDDDGGFTVEDEDAGVGGAVTPTFTFPAATAAEAVVATVEKAVAAVEAIAEKDDDTTTEDDLMVVSAELEKVLGGVDVASARVSFAMGGGVDCPLQGFLFGSPVSDVESRPEYLQAPRDSSGSCGGGGRRTSLGELFMRTRFADEKVALVAVAEGEDGVAGDDGAAAAGVGGDRAGKGGGYKTMKKRKVKDEKGGGGAAGGGMPATVTKSKFQKLEHSKFNSDSHDLELNISNFIKRQKDYCSTILQIFHRKVYPENTLLTRNLTKKSRNRGATDNGGGAVATGDPDEPLASPVLRCRKDHPMRGFGCCTNGAFGASSPGGNAEMNGSKSGHWIKTDADYLVLEL >ONIVA11G13120.1 pep chromosome:AWHD00000000:11:14542681:14543001:1 gene:ONIVA11G13120 transcript:ONIVA11G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSASLATSGSSVVGVGSDDDDDEWRQTVVTTSSSTADWRWGGIADHPQKKNCLRVCRLFGIMLIFTDISGEVGGSIVYKSQFDRL >ONIVA11G13110.1 pep chromosome:AWHD00000000:11:14525533:14526252:1 gene:ONIVA11G13110 transcript:ONIVA11G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKVHPSPSPAAGAGEETAEAVLMRLLPAAVVAMAAGLGAEGKEVLAYLVLASMRSSSAARWGQAEVGKGGGGGGSGRVGHHAPELGCGCFGCYTAYWSRWDGSPERDRDAIHRAIEAFEDHLARKEEEEVVGGGKGASSRRRKKRSGKDKAKAKVPPAASAGQPPPPPPNQEETSAAAASPPKSVLDGEEEEEEEMKNTAAAAVAGAGDGGVVEEERRRRGWGVLSWKVWNLWGSH >ONIVA11G13100.1 pep chromosome:AWHD00000000:11:14517815:14521003:1 gene:ONIVA11G13100 transcript:ONIVA11G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHNTTDENILLAFKAGLSNQSDVLSSWKKSTDFCQWPGVLCSLKHKHRVTVLNLSSESLAGTISPSIGNLTFLKILDLSGNNLDGEIPSSIGRLARLQFLDLSNNSLHGDITSDLKNCTSLQGISLKSNYLTGEIPAWLGALPSLKLIYLQKNSFTGSIPTSLANLSSLQEIYLTMNQLEGTIPEGFGRLSGLKNIHLGVNHLSGMIPTSIFNISSLSRFGVPMNQLHGLLPSDLGIHLPKLQYLLLGYNHFTGSLPASIANSTEIYSLDISFNNFSGSIPPEIGTLCPDFLSFDTNQLIATTAEDWKFMTFLTNCTRLRILDLQDNMLGGVLPTSVSNLSAQLQLLYVGFNKISGNIPFGISNLVGLNQLQLANNQFTGTLPDNIGRLSFLHLLGIDNNLLTGFIPSSVGNLTQLLRLSMDNNMLEGPLPTSIGNLQKITLALFARNKFTGPLPREIFNLSSLSYALVLSGNYFVGPLPPEVGSLTNLAYLYISSNNLSGPLPNELSNCQSLIDLRLDQNLFSGNIPETLSKLRGLTSLTLTKNTLSGVIPQELGLMDGMKELYLAHNNLSGHIPVSIGNMTSLNRLDLSFNHLDGEVPSKGVFSNMTGFVFNGNLGLCGGIPELGLPPCPPVSMGHSLRKSHLVFRVVIPIVGTILFLSLMLAIFVLRKKPKAQSKKTIGFQLIDDKYPRVSYAELVQGTNGFATDSLMGRGRYGSVYKCGLLLKSMMTTVAVKVFDLQQSGSSKSFLAECEALSKIRHRNLINVITCCSSTDIKQNDFKAIVFEFMPNGSLDRWLHLDVTASQPPQGLTLIQRLNIAVDVADALDYLHNNCDPPIVHCDLKPSNILLDEDLVAHVGDFGLAKILADSEGEQPINSKSSIGIRGTIGYVAPEYGEGGQVSPCGDAYSFGIVILELFTGMVPTHDMFRDGLTLQKHVKNVFPGILMKIVDPILLSIEGVYTSNLPPGRNAMEHMNHAILSIMKIALSCSRQAPTERMRIRDAAADLRRVRDSHVRGNEEHLELCSDVTNLASA >ONIVA11G13090.1 pep chromosome:AWHD00000000:11:14510835:14511743:1 gene:ONIVA11G13090 transcript:ONIVA11G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPGRLLAAGAAALLVAASVMVATLLTAPLPFLPSLMPCLPAVTAPSGSGYSPPGLAALADAAVRYATTPTVPQQSRAEISLSLAVLRRRAPLRLLVFGLGHDSTLWHALNPGGATVFLEEDPSWYRVVRGQSPFLRGHLVAYRTRLDHADRLLATYKDHPSCLPGGGGNGGGDVPRVRGNAECPLALHNLPAEVYEKEWDMVMIDAPKGYFASAPGRMAAVWTAAAMARGRRGEGDTDVFLHDVDRRVEKAYAEEFLCERFRVGATGRLWHFRIPPASRRGNGTAATGGAGAGDGRRPFC >ONIVA11G13080.1 pep chromosome:AWHD00000000:11:14491472:14496594:1 gene:ONIVA11G13080 transcript:ONIVA11G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREPAAAAAAEDVVARLGTDETTGLTGEEAARRLKLYGPNLAGGRLLATLKCILLLLGWDHSFTEYIKYEIGWESWEHLIFPWSREMVCVMFINSSSWVAMTAALVYLAINSAGQTTYELAVIVSLLVGSLCACFVAKVLANRAKAPLEAKAFVRRTKVLRDGIWKHEDAANLVPGDIIYLKCGDIVPANACVLNMAQIDTKTIRHERHVSYVMGSLIYYGWAVSCGEGTAVVTATGNCIPTSTLKLYPRRFSRPGQLRKGVMATGTFCFCLVLVGITSEVLVKLFFHQSIGTLHSGHFMPLIGLIPMSIPAVLYLALALGSRRLSKLGVASRGTFALEDLASMDAMLFNMTGTLTCNKPYFDKDKIEVLTDGIDKDHAVLLAARASKAHNELYKEPIDAAILGLMDDPEQARVGINVIEHRSRMFVAMTLMYMTTYIDENGSKCSVLKGDPALMLRDCSCSEEVREHIRKRIDKLGLDGYQCIAVGRIVNSRLDIISLLPFIDDLRGDSAEAVVNLTDMSLSVIVLTESPMTITKHVCGRLGKLGLNVLHADSMQELVSSKNELFLNINGISDLFVEYNRYVISNLRTYFGRRSAMVGYEFSDADSIRESDIGIAVADATDSTKSESDIVLTEHALLCVSSAVQTSREICQIMKGCMFTVRLILLLWRLELPCFPMLVIAACNYCTSTAMLFERAKSSQSPDSLKAKKIIVTGAAFGSYVALSTVHIFKARLLRLLVGHDEEIKSALFLQMSILNHAVGLFAQSCDGHCSGPLVTISFVLSQLVATVIAVYGVANSPLPKGIGWGWAGFIWLYNFVLVLSLMLICDLCNLAKFNISGITCRRLFTSWTQWMEKCRRVLNRGKMWMVMLIFPAISGLILVWSICAYHAMKVQQQ >ONIVA11G13080.2 pep chromosome:AWHD00000000:11:14491472:14496594:1 gene:ONIVA11G13080 transcript:ONIVA11G13080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPREPAAAAAAEDVVARLGTDETTGLTGEEAARRLKLYGPNLAGGRLLATLKCILLLLGWDHSFTEYIKYEIGWESWEHLIFPWSREMVCVMFINSSSWVAMTAALVYLAINSAGQTTYELAVIVSLLVGSLCACFVAKVLANRAKAPLEAKAFVRRTKVLRDGIWKHEDAANLVPGDIIYLKCGDIVPANACVLNMAQIDTKTIRHERHVSYVMGSLIYYGWAVSCGEGTAVVTATGNCIPTSTLKLYPRRFSRPGQLRKGVMATGTFCFCLVLVGITSEVLVKLFFHQSIGTLHSGHFMPLIGLIPMSIPAVLYLALALGSRRLSKLGVASRGTFALEDLASMDAMLFNMTGTLTCNKPYFDKDKIEVLTDGIDKDHAVLLAARASKAHNELYKEPIDAAILGLMDDPEQARVGINVIEHRSRMFVAMTLMYMTTYIDENGSKCSVLKGDPALMLRDCSCSEEVREHIRKRIDKLGLDGYQCIAVGRIVNSRLDIISLLPFIDDLRGDSAEAVVNLTDMSLSVIVLTESPMTITKHVCGRLGKLGLNVLHADSMQELVSSKNELFLNINGISDLFVEYNRYVISNLRTYFGRRSAMVGYEFSDADSIRESDIGIAVADATDSTKSESDIVLTEHALLCVSSAVQTSREICQIMKGCMFTVRLILLLWRLELPCFPMLVIAACNYCTSTAMLFERAKSSQSPDSLKAKKIIVTGAAFGSYVALSTVVATVIAVYGVANSPLPKGIGWGWAGFIWLYNFVLVLSLMLICDLCNLAKFNISGITCRRLFTSWTQWMEKCRRVLNRGKMWMVMLIFPAISGLILVWSICAYHAMKVQQQ >ONIVA11G13070.1 pep chromosome:AWHD00000000:11:14484196:14485155:-1 gene:ONIVA11G13070 transcript:ONIVA11G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSEGRSETSSFDKVMDPTNDQGKAPSITEKKKKKKVRYTQEQILYCIGNPEELPERRDTPKLTEALGAELLAKLPPELVAHLRAMDDAKEEGKARRKALIEELRHEREVIYNIRDKPEDVLKQYYAKGYAEYEVIIDDDDYEDGDQGSRAAAH >ONIVA11G13060.1 pep chromosome:AWHD00000000:11:14470153:14470470:1 gene:ONIVA11G13060 transcript:ONIVA11G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARLLDAASGDELSGEGSAPSRRGCAPARRHSVETTLGGICEWQHWHEETEADSWRGTELRNSGKALDDGRHWGGEATTRKEKASASELTGGGRRAGRTWRR >ONIVA11G13050.1 pep chromosome:AWHD00000000:11:14467773:14469258:1 gene:ONIVA11G13050 transcript:ONIVA11G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIASSSSSAAVCFVVLLIIAMAMAAAATVSPSAADKCEKDLDLLMGSCEGYLRFPAEAKAAPSRACCGAVRRVDVGCLCGMVTPEVEQYVCMDKAVYVAAYCHRPLLPGSYCGSYHVPGPVV >ONIVA11G13040.1 pep chromosome:AWHD00000000:11:14458904:14460468:1 gene:ONIVA11G13040 transcript:ONIVA11G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYALLLPPSPAPSLERGDGTGGCFQMAGDNAGHGELPLTLLRKNSSRKQPSSTSISANSSAPMEDWEEARDGHTLHCWSPIPPPTKQRHSFRGGGRHGNPNSSPSLAAYPAGSAEAPNHSINAIHSRPLAVLEGSCTPGRSSCCGGGGVPWAEDEETRRLRETIHRRDPAVHGQVVQAGARAHLAAGQRRRQQQAGVKVSPANRHRARRPWLDETTAAREPVGGHNALVYSKHGCDAASSTTAADHLLLHYHHHTDWAMMDRLVASHTSTATPTLLTTRSASTPPPLPPMPRQPTASLTTPPPPRGCSAVPSVATTTCGASHGRLHRCCRHQWPRQSTSATSVAHHGQRTQRDGVKWKMRRSGMDPIS >ONIVA11G13030.1 pep chromosome:AWHD00000000:11:14439094:14439370:-1 gene:ONIVA11G13030 transcript:ONIVA11G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding YQTTASVIEERKENPAYKTGIRLPFASAASPRAEQSSGVTGVAAPAPPHPRSPVTIRKILSLCLE >ONIVA11G13020.1 pep chromosome:AWHD00000000:11:14433415:14439078:-1 gene:ONIVA11G13020 transcript:ONIVA11G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREPAAAAEAEDVVARLGTDEATGLTEEEAARRLKLYGPNLVVAHHPQVGGKLLATLKCIVLLWGWDHSFTEYIKYEIGWESWEHLIFPCSREMLCVMFINFSSWAALAAALVSLALNSAGQTTYELVVIVSLLVGSLCACCVAKLLANRAKAPLEAKAFARRTKVLRDGIWKHEDATNLVPGDIIYLKCGDIVPANAFVLNMAQIDTKTIRHERSVNYVMGSLIYYGWAVSCGEGTAVVTVTGNNIPMSTLKQLYPKRFSRPGQLRKGVMAAATFCFCLVLVGITSEALVKFFFHQSIGTLHSGHFMPLIGLIPMSIPAVLYLALALDSQRLSKLGVASRGTFALEDLASMDAMLFNMTGTLTCNKPYFDKDKIEVLTEGIDKDHAVLLAARASKAHNELYKEPIDAAILGLMDDPEQARVGINVIEHRSRMFVAMTLMYMTTYIDENGSKCSVLKGDPALMLRDCSCSEEVREHIRKRIDKLGLDGYQCIAVGRIVNSRLDIIILLPFIDDLRSDSAEAVDNLTDMGLSVIVLTESPMTITKHVCGRLGKLGLNVLHADSMRELNITVIAMVGYEFSDVDSIRESDIGIAVADATDSTKSESDIVLTEHALLSVSSAVQASREICQIMKGCMFAVRLILLLWRLELPCFPMLVIAACNYCTSTAMLFERVKPSQSPDSLKANKIIATGAAFGSYIALSTVVATVIAVYGDVNSPLPKGIGWGWAGFIWLYNFVLLLSLMLICDLCNLAKFNIFGITCRRLFTGWTEWMERCRRLLNRGKTWMEMLTLTAISGLILVWSIYAYHVMKVPQQNPLDR >ONIVA11G13020.2 pep chromosome:AWHD00000000:11:14434267:14439078:-1 gene:ONIVA11G13020 transcript:ONIVA11G13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSREPAAAAEAEDVVARLGTDEATGLTEEEAARRLKLYGPNLVVAHHPQVGGKLLATLKCIVLLWGWDHSFTEYIKYEIGWESWEHLIFPCSREMLCVMFINFSSWAALAAALVSLALNSAGQTTYELVVIVSLLVGSLCACCVAKLLANRAKAPLEAKAFARRTKVLRDGIWKHEDATNLVPGDIIYLKCGDIVPANAFVLNMAQIDTKTIRHERSVNYVMGSLIYYGWAVSCGEGTAVVTVTGNNIPMSTLKQLYPKRFSRPGQLRKGVMAAATFCFCLVLVGITSEALVKFFFHQSIGTLHSGHFMPLIGLIPMSIPAVLYLALALDSQRLSKLGVASRGTFALEDLASMDAMLFNMTGTLTCNKPYFDKDKIEVLTEGIDKDHAVLLAARASKAHNELYKEPIDAAILGLMDDPEQARVGINVIEHRSRMFVAMTLMYMTTYIDENGSKCSVLKGDPALMLRDCSCSEEVREHIRKRIDKLGLDGYQCIAVGRIVNSRLDIIILLPFIDDLRSDSAEAVDNLTDMGLSVIVLTESPMTITKHVCGRLGKLGLNVLHADSMRELVSSKNELFLNINGISDLFVEYNRYVISNLRTYFGRRSAMVGYEFSDVDSIRESDIGIAVADATDSTKSESDIVLTEHALLSVSSAVQASREICQIMKGCMFAVRLILLLWRLELPCFPMLVIAACNYCTSTAMLFERVKPSQSPDSLKANKIIATGAAFGSYIALSTVHIIKARLLVGHDEEIKSALFLQMSIVNHAIGLFAHARDGHCSGPVVTISSVLSQLVATVIAVYGDVNSPLPKGIGWGWAGFIWLYNFVLLLSLMLICDLCNLAKFNIFGITCRRLFTGWTEWMERCRRLLNRGKTWMEMLTLTAISGLILVWSIYAYHVMKVPQQ >ONIVA11G13020.3 pep chromosome:AWHD00000000:11:14433415:14439078:-1 gene:ONIVA11G13020 transcript:ONIVA11G13020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSREPAAAAEAEDVVARLGTDEATGLTEEEAARRLKLYGPNLVVAHHPQVGGKLLATLKCIVLLWGWDHSFTEYIKYEIGWESWEHLIFPCSREMLCVMFINFSSWAALAAALVSLALNSAGQTTYELVVIVSLLVGSLCACCVAKLLANRAKAPLEAKAFARRTKVLRDGIWKHEDATNLVPGDIIYLKCGDIVPANAFVLNMAQIDTKTIRHERSVNYVMGSLIYYGWAVSCGEGTAVVTVTGNNIPMSTLKQLYPKRFSRPGQLRKGVMAAATFCFCLVLVGITSEALVKFFFHQSIGTLHSGHFMPLIGLIPMSIPAVLYLALALDSQRLSKLGVASRGTFALEDLASMDAMLFNMTGTLTCNKPYFDKDKIEVLTEGIDKDHAVLLAARASKAHNELYKEPIDAAILGLMDDPEQARVGINVIEHRSRMFVAMTLMYMTTYIDENGSKCSVLKGDPALMLRDCSCSEEVREHIRKRIDKLGLDGYQCIAVGRIVNSRLDIIILLPFIDDLRSDSAEAVDNLTDMGLSVIVLTESPMTITKHVCGRLGKLGLNVLHADSMRELVSSKNELFLNINGISDLFVEYNRYVISNLRTYFGRRSAMVGYEFSDVDSIRESDIGIAVADATDSTKSESDIVLTEHALLSVSSAVQASREICQIMKGCMFAVRLILLLWRLELPCFPMLVIAACNYCTSTAMLFERVKPSQSPDSLKANKIIATGAAFGSYIALSTVVATVIAVYGDVNSPLPKGIGWGWAGFIWLYNFVLLLSLMLICDLCNLAKFNIFGITCRRLFTGWTEWMERCRRLLNRGKTWMEMLTLTAISGLILVWSIYAYHVMKVPQQNPLDR >ONIVA11G13010.1 pep chromosome:AWHD00000000:11:14418410:14425231:1 gene:ONIVA11G13010 transcript:ONIVA11G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTVNAFQISGLDEKEFWQFFKACAFGKENYEGDPSLQSIGRQIAKALKGCPLAARSVGALLNRNVSYEHWKTIRDKWKSLQIKDDDFIPILKLSYDYLPSHLQRCFSYCSLFPEDHPFSAATLVQVWISQNFVQCEDIGKGLEETGLQYLGSLVDLGFFQKVDRHYVMHDLMHDLAQQVSAKECYTVRGLQSSTIRQGIRHLSIITTGDDNDKNTNFPTEKYEEILQKIRPLQKLRSLMLFGSSSVYLLKSIQTVCKEAKCLRLLRVCVLNTDISAIHTFLNPHHLRYLEFIRVSETKDMLVYGDYKDAAFPRALTSFYHLQVLDVGFSGNISVPAAMNNLVKLRHLIADAKVHFSIGGVGNMISLQKLKFKVQNISGFDIRQLQSMNKLVTLVISHLENVKTKDEANGARLIDKEYLKKLFLSWSVGCMSLEPERTKDVLEGLQPHHNLKALCIAGYTGPTSPTWLSSNLSVTSLQTIHLVNCREWRILGSLEMLIEILS >ONIVA11G13010.2 pep chromosome:AWHD00000000:11:14420869:14430256:1 gene:ONIVA11G13010 transcript:ONIVA11G13010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTVNAFQISGLDEKEFWQFFKACAFGKENYEGDPSLQSIGRQIAKALKGCPLAARSVGALLNRNVSYEHWKTIRDKWKSLQIKDDDFIPILKLSYDYLPSHLQRCFSYCSLFPEDHPFSAATLVQVWISQNFVQCEDIGKGLEETGLQYLGSLVDLGFFQKVDRHYVMHDLMHDLAQQVSAKECYTVRGLQSSTIRQGIRHLSIITTGDDNDKNTNFPTEKYEEILQKIRPLQKLRSLMLFGSSSVYLLKSIQTVCKEAKCLRLLRVCVLNTDISAIHTFLNPHHLRYLEFIRVSETKDMLVYGDYKDAAFPRALTSFYHLQVLDVGFSGNISVPAAMNNLVKLRHLIADAKVHFSIGGVGNMISLQKLKFKVQNISGFDIRQLQSMNKLVTLVISHLENVKTKDEANGARLIDKEYLKKLFLSWSVGCMSLEPERTKDVLEGLQPHHNLKALCIAGYTGPTSPTWLSSNLSVTSLQTIHLELTMMELINFSDEDLHKFTKGFSEKRLLGKPGAFGQVYKGRNKGNNYANCPRKVAIKISERKDEYVRIMWKQEINALSSISHANVINLVGFADTEEYYALVYERAKQDLEGFRASNKGELDAILLGVASGLEAIHSAGFVHWDIQLRNILLMKDNTVKIVDFGLATRKGEKMKSAQEKFDVFCFGNLIRELVLLERKEWSPTKCPRILLADICIVNNPDDRPSMATLVSKLKVPCSAMVNWLLKLEKCACIYNNIELENVDTIEEYDVVLYAQHTTRV >ONIVA11G13010.3 pep chromosome:AWHD00000000:11:14423082:14430256:1 gene:ONIVA11G13010 transcript:ONIVA11G13010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAKGRGLLRGELTMMELINFSDEDLHKFTKGFSEKRLLGKPGAFGQVYKGRNKGNNYANCPRKVAIKISERKDEYVRIMWKQEINALSSISHANVINLVGFADTEEYYALVYERAKQDLEGFRASNKGELDAILLGVASGLEAIHSAGFVHWDIQLRNILLMKDNTVKIVDFGLATRKGEKMKSAQEKFDVFCFGNLIRELVLLERKEWSPTKCPRILLADICIVNNPDDRPSMATLVSKLKVPCSAMVNWLLKLEKCACIYNNIELENVDTIEEYDVVLYAQHTTRV >ONIVA11G13000.1 pep chromosome:AWHD00000000:11:14392629:14416874:-1 gene:ONIVA11G13000 transcript:ONIVA11G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGDGGGAPIVPPFLPDPSSGGGHRVEEEPTAAATDGVGEPASSGGWRRRVVGRKGRPLATVAGRGGGSPPPISLRTTVPSSSTAAPPQRACCCCVLPSTDTTGVRCLAAKYGITAAVKRRREGNRSAAVKRSREGNCRHHQVIPVVARHNTIAARVGALVVQVSNARGVY >ONIVA11G12990.1 pep chromosome:AWHD00000000:11:14391714:14392412:-1 gene:ONIVA11G12990 transcript:ONIVA11G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCMALTRFSVGVRAGAVARYVDGIMEQGEGTSSQPKPASKKACERNQLHPEGARSQAAPASKKACARKLTLNLK >ONIVA11G12980.1 pep chromosome:AWHD00000000:11:14376414:14388670:-1 gene:ONIVA11G12980 transcript:ONIVA11G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34460) TAIR;Acc:AT2G34460] MATAFHTIAAARPRLPLAAFACLCPGPRPATRKLSLTSTAVSTIDSAPASSSDAKKTTTVFVAGSTGRTGKRVVEKLLERGFGVVAGTTDVGRARRSLPQDPNLQLVRADVMEGTDKLVDAIRGADAVVCATGFRRSFDPFAPWKVDNFGTVNLVEACRKAGVTRFILAEKHIRSSGINYTIIRPGGLTEQPPTGNIVMEPEDTLYEGSISRQQVAEVAVEALLCREESSYKVVEIVTRAEAHNRPLKDLFASIKQS >ONIVA11G12980.2 pep chromosome:AWHD00000000:11:14376414:14388670:-1 gene:ONIVA11G12980 transcript:ONIVA11G12980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34460) TAIR;Acc:AT2G34460] MATAFHTIAAARPRLPLAAFACLCPGPRPATRKLSLTSTAVSTIDSAPASSSDAKKTTTVFVAGSTGRTGKRVVEKLLERGFGVVAGTTDVGRARRSLPQDPNLQLVRADVMEGTDKLVDAIRGADAVVCATGFRRSFDPFAPWKVDNFGTVNLVEACRKAGVTRFILVSSILVNGAAMGQLLNPAYIVLNLFGLVLVAKLQAEKHIRSSGINYTIIRPGGLTEQPPTGNIVMEPEDTLYEGSISRQQVAEVAVEALLCREESSYKVVEIVTRAEAHNRPLKDLFASIKQS >ONIVA11G12970.1 pep chromosome:AWHD00000000:11:14372854:14374108:-1 gene:ONIVA11G12970 transcript:ONIVA11G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCYWKMRQGVIKQHEFSLFVYTTSKKTMDACMGELENRLNTRFLGGASTSYNNERMYGVSSAYSIPSVPIQQDWPMRSTYNSKFIPTPQYNSDRYDTAGQTGSRGRSNRYNIASQIGRGGRSNWEGNAAQAIAGKSFRIDSYIDQYKQNEFSQGHVIPPLEELKRQVQLAIDEGRLEFVAMQGDEQPFPKHTIELQEKKNRDDKEKSALKTSMLGGQDDKPKKVPIDTERRLK >ONIVA11G12960.1 pep chromosome:AWHD00000000:11:14344463:14346379:-1 gene:ONIVA11G12960 transcript:ONIVA11G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASTTSMMTRPQLLHLFSRFSFLTSLPEVKARIADAVRDKQEAVAVTTEIQEEILREMGIDPSFGIGCLGKVNIMYEDDMELMVKFYQFVAKEEMAIDEAELDPIEFAEKIHAQHKLQEQQLKMLIQMRKYNPESQSVILETLRKQLESANFDTDASILTPEQIQEIVEN >ONIVA11G12950.1 pep chromosome:AWHD00000000:11:14340487:14344007:1 gene:ONIVA11G12950 transcript:ONIVA11G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductase, 2OG-Fe(II) oxygenase family protein [Source:Projected from Arabidopsis thaliana (AT1G11780) TAIR;Acc:AT1G11780] MYGDTEPAAAAAAERTAFRRAEKQYKLYKPLKPKGGRARSKPGGGGGGGGGLEDLSAVVDFHALLAAAAGGGGELPAGIGRRDVAGFDLFCFLDRPGFYFIPGALSIEEQCYWIRESLKTFPQPPNRTNLTALYGPIFDLLTAAKSGKILVEVGNPNDQERSEQNSDGRKSNSFKFLEDTEIHKGEVCRSTAATTLVRKLRWSTLGLQFDWSKRNYDVSLPHNKIPDLLSALAKKMAIPAMPSGEEFKPEAAIVNYYGPSDMLGGHVDDMEADWSKPIVSISLGCKCIFLLGGKTRDEVPTAMFLRSGDIVLMAGEARECFHGVPRIFTGSDQAEISALVPQLSAEDDSFILNYIQNSRININIRQVY >ONIVA11G12930.1 pep chromosome:AWHD00000000:11:14337199:14338077:1 gene:ONIVA11G12930 transcript:ONIVA11G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF579) [Source:Projected from Arabidopsis thaliana (AT4G24910) TAIR;Acc:AT4G24910] MQMSSGGVGVGGGGGSKVMSPKQVLTVVLVVFCALSFVKLLLLTGSSSPAAAARRGRASAWGNGTDVGDGGLAPKEAALLRSVVAARAPCRLLVFGLSPQLAALAAVNAGEGAATAFVTDSAEDADSARRSLRGGSAAAAAKIHQVRYRDAAGEAWPLLRRARDSPACRRPTGAVRRSGCHLALITTLPREVLDARWDVLVVDGPSGAAAGEPGRMGAIYTAAALARASAAGGREAVDVAVHDVHRTVERWYAWEYLCEDNLAAAKGRLWHFRVAGGGPPDAFCSTGPAQIL >ONIVA11G12920.1 pep chromosome:AWHD00000000:11:14334192:14335393:-1 gene:ONIVA11G12920 transcript:ONIVA11G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVHVIFISPLLSPFLPSQLSLSQARPTGEQTAGRWRCDGGLRPAAAASPSSSSPAPAPSSSKVITAASGTIRRMLEEGRGNGGGGEDDVVVNLPEVVKGAALARVMEYCDHHHGGGADPGSARSSFPPALASAASEGARRPAPRGGQRAAEDGHAGRRRSGLAGANERRGKRGGASQRLAVCAAEDGHAGRPRSGLAGADERCGERGGAGQRLAVASAPQRTTAAEVRDDGGGVCDGGGGRDDGFPFAVVKLVVLATVRVLAALHRRAVRGGRCPVLLLPLLGPCRSRSLLISLDAQLAAVLFSASATAARGEELSAVSALEEERLRSGSGTAAAREPGRRRVRSVSSKSSPNTADDWSGCVRLVLRLTDFAGVGLHGGGDGGVGCLPT >ONIVA11G12910.1 pep chromosome:AWHD00000000:11:14325160:14326766:-1 gene:ONIVA11G12910 transcript:ONIVA11G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGFHLHMEHQAIHGGEFKEGICTSIPNPPLPSTSRPNSMVIKKVCRREFIPPHIVAEAISTLRGLDLRWSGPITPGERRYVEQYVLAKYPQYSHGLIGDDASASASDSDVVVEQQRRLQTSSSSARGAPAAAAGGDVAAARLEPSRLLDMLARKASFPGSFVSIPEIQARNRVLRRCGLADDDDDYLVLFAPTPRDALVLVGESYPFFRGNYYMSILAGGEADGGDCVRAFAAYKDAKVIAAPESWLDLRIKGSQLSQYFRRKCKHAPKGLFAYPVVVSGAGDGSAAAAARYSLHWVSEAHRNGWHVLLDATGLAAGDRLPLSLHRPDFVTCALDDARAQPPSAATATVTCLLVRRRSFDVTSLKGDN >ONIVA11G12900.1 pep chromosome:AWHD00000000:11:14323841:14324656:-1 gene:ONIVA11G12900 transcript:ONIVA11G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESAWDPHVSLLFLSPLSYPFLFSLSLFSSSGQAGAAGRRVGRRLTGRGGRGGPAAGNVAAETTWDQGSKVAAAAMTREQGRGVGDGEGSKAVAAAASIAQAQRRALATDDGKTVFLFSTSPQTFLRDQRLVTRRRWRRRRRRRGGDCRHDLALLDKFLFNVDFTSGSDFRWWRRPMSGERSGGRSKKDIARLAASMRRVGRGAEVEMDVTAVLNRPINQAAADADCQLRVNSFPRPPPAPRRRPSLQAAATPPLSAAGAPGRAPPPGC >ONIVA11G12890.1 pep chromosome:AWHD00000000:11:14266065:14266331:1 gene:ONIVA11G12890 transcript:ONIVA11G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGQIPYWRPQGGRICTTGNLVASGSIAGDYGIGEPTAHNYRMGILTTEDLATTGSGAIADYWMGGSIDDDDNDNDSSDDS >ONIVA11G12880.1 pep chromosome:AWHD00000000:11:14191064:14193355:1 gene:ONIVA11G12880 transcript:ONIVA11G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVAVAAAIGNYLQGWDNATIAGAVLYIKREFALETQPAVEGLVVAMSLIGATIITTFSGPVSDLVGRRPMLIASSLLYFAGGLIMLWSPNVYVLLLARLVDGFGVGLAVTLVPVYISETSPPEIRGRLNTLPQFTGSGGMFMSYCMIFAMTLSPSPNWRIMLGVLFVPSLLYLFVTVFYLPESPRWLVSKGRMKEARVVLEMLRGREDVSGEMALLVEGLGTGGDTEIEDYVVGPSEGDAGENEQARDTVTLYGPEQGLSWVAQPVAGGRGSMLGSSLGLQASRHGSMYEQMKDPVVALLGSVHERLPESGGGATGSMRGSTLFPNLGSMLSVNDRPGGSSWDEENVQPGDDDLDEEEEEYLSDDGKDDDDGGGLQAPLLSRQSTDVETKNEPASGQVAMQRHSSIGGGGGVETASTMGIGGGWQLAWKWTENVGPDGVKRGAVKRMYLHEESEAAPGGDAGGGAGGAAAGDAPSTAYVHAAALVSRSMLYTKDVLIGQSPTEPAFANPPEAVAAAASTGPAWRELLEPGVRHALFCGVTIQILQQFSGINGVLYYTPQILDQAGVSVLLASLGLSGDSTSILISGLTTLLMLPSIGVAMRLMDASGRRALLLWTLPVLVASLAVLVVANVVPMAATAHAALSTGSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIAVTYSLPVMLSSVGLAGVFSFYAAVCCVALVFVALKVPETKGLPLEVIIEFFNVGAKASTLPDEEFH >ONIVA11G12870.1 pep chromosome:AWHD00000000:11:14179727:14180469:-1 gene:ONIVA11G12870 transcript:ONIVA11G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEREHAWPPPSRPFNHRSTGALSLFLSVVAREKKQKEGEKNGRKKRHMTTTKNPLLEFYAFCEDVPVVSNPDVKPLAVKPGIT >ONIVA11G12870.2 pep chromosome:AWHD00000000:11:14179110:14179721:-1 gene:ONIVA11G12870 transcript:ONIVA11G12870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCRSSHPTPQCLQWSEPHYSLDIHSTPAFGLYRTASSIGIQGADVDPNGYAEAMGNLKAQGKT >ONIVA11G12860.1 pep chromosome:AWHD00000000:11:14177068:14177385:-1 gene:ONIVA11G12860 transcript:ONIVA11G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESWSREGNDTSRAANESTGGENDDTHQRCSKSHCREGEDMSRWQTRQLAVKTMMPTSGGGVAQPWKRGYHSVADKATRGEDDDTYQQRCRSHVLVAARTLVGG >ONIVA11G12850.1 pep chromosome:AWHD00000000:11:14173744:14174253:1 gene:ONIVA11G12850 transcript:ONIVA11G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGDRGDHRDHGASTRSSTVSRSPPTLRSSLEERSTSSGRCSRTTSLCPTHTPCIASLARAPVPALSATAFLLALPEGRQLHALATKLSLVPSHTIVAKSLLHLYSSGGLSGVALDLFRCIPDRLLVSWNTAVDALVSNGDHLATLNLFLGSFHELDLDPLSASEQG >ONIVA11G12840.1 pep chromosome:AWHD00000000:11:14131561:14134802:-1 gene:ONIVA11G12840 transcript:ONIVA11G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTKREVAPPPCATANSSASSSGPPGVHILPAAPYPYGGPLFPTPQTSWFPFPPSQAMAGSSAYRPPTDAKTDVQIDLEQWGLESRPLGGLVDFIKNTTNPMHHVTEGRQLQPINVENGNNGNATRTEKRLGWSTEEDLRLEQLGFDVYCVAPLQHTSYRKLEMAVGAIELALRA >ONIVA11G12830.1 pep chromosome:AWHD00000000:11:14130085:14130285:1 gene:ONIVA11G12830 transcript:ONIVA11G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIGRGGHRARKRSGNEEDASEGGGTLGIRSLGEEEVVRREGHAGREVAIAVGRRVSGKEVTVG >ONIVA11G12820.1 pep chromosome:AWHD00000000:11:14124948:14127005:-1 gene:ONIVA11G12820 transcript:ONIVA11G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGVIPDVMMPSLLADVEVSHLAGFDVTPSPHAEPSPRPQLRHDNPSRSRVPPLERVSRRSEVVFPPLDSPFQAPGYRSVQPVSISLPASPTGFGVPVALPIAVGDAGEPADLRRQAMSNAARDAEEQQLAAQGKGSNNNVRFVQPDKVVFRSQPIPGGKPARRAASNRGGRMMSRDRRYDSFKTWSGKLERQLTHLAGAGPEVPEEEEDGCDGDAISSHHTKSMPQVDRFFAALEGPELDKLRSSEELVLPSDKTWPFLLRFPVSAFGICLGVSSQAILWKTVATSTPTRFLHVTTKVNLALVVFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPPSVSTELPRWLWYALMTPILCMELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPVFFFSVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVACMAWAKITGEFGLGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNAFTKALCVALSVLAMLTVLALLATTIVHGFVLRNLFPNDISIAITERKVKPIVELHEMLGSNDSAAGRSNDDIEAGVATVESS >ONIVA11G12810.1 pep chromosome:AWHD00000000:11:14119141:14119479:-1 gene:ONIVA11G12810 transcript:ONIVA11G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHIFLLPLRVQRGGRGASTSGSKDRAGKPLPPPQPQSLFVRSALLYYPHTPSTFMADLPLPCGLPVAVALDTCPVNHSRRSGVVPPDVSAEVEGDDLCDVFLEQQAHLP >ONIVA11G12800.1 pep chromosome:AWHD00000000:11:14109828:14113934:-1 gene:ONIVA11G12800 transcript:ONIVA11G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNNDHHGYNEGKGGWNVARLVLKKKKINQMADNSGYPSKQMKVSLARRQAQNKSLQQQNEQLKLENEKLKKENYAIKLQEFNSICGTCHMRAENACLGTEIQRLYARATNQETEAQPEEVDLPFPPTAGSQEGAPLNQDEPAPPSK >ONIVA11G12800.2 pep chromosome:AWHD00000000:11:14109826:14112580:-1 gene:ONIVA11G12800 transcript:ONIVA11G12800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSGYPSKQMKVSLARRQAQNKSLQQQNEQLKLENEKLKKENYAIKLQEFNSICGTCHMRAENACLGTEIQRLYARATNQETEAQPEEVDLPFPPTAGSQEGAPLNQDEPAPPSK >ONIVA11G12790.1 pep chromosome:AWHD00000000:11:14101603:14101866:1 gene:ONIVA11G12790 transcript:ONIVA11G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCQVGGLVLKGLDNMGSRGVFFDDDFYDQLDLGDTTQSVFTLLQVGSVPFPVFGATSWIHPTAPSENRALMSKPARKAKKQWCPFR >ONIVA11G12780.1 pep chromosome:AWHD00000000:11:14097726:14098532:1 gene:ONIVA11G12780 transcript:ONIVA11G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERGWIDQKIEDRKLRALPVIFVIQTLFSHDLGREKMILFFILTKLDLGLLGYSAWLESRWTTRVSGHGCDGRWRWDTVAAVAEEEEVRLMEVVLLKERAAAVATFRASHVMEKDDDNGNFASSDGFLLGAVVRQ >ONIVA11G12770.1 pep chromosome:AWHD00000000:11:14089846:14097727:-1 gene:ONIVA11G12770 transcript:ONIVA11G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTLLLPQVQVDFLPGQPTKIWLVIGGVGAVYKEAKDEGQQVIGGCGAVYKEAKDEGYSLVADSAVYLFLQTTSRVVCCCFY >ONIVA11G12770.2 pep chromosome:AWHD00000000:11:14089846:14097727:-1 gene:ONIVA11G12770 transcript:ONIVA11G12770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTLLLPQVQVDFLPGQPTKIWLLVLLKVIGGCGAVYKEAKDEGYSLVADSAVYLFLQTTSRVVCCCFY >ONIVA11G12770.3 pep chromosome:AWHD00000000:11:14089846:14097727:-1 gene:ONIVA11G12770 transcript:ONIVA11G12770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTLLLPQVQVDFLPGQPTKIWLVIGGVGAVYKEAKDEGQQVIGGCGAVYKEAKDEGVVCCCFY >ONIVA11G12760.1 pep chromosome:AWHD00000000:11:14088392:14089431:1 gene:ONIVA11G12760 transcript:ONIVA11G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISEQQPSSKEVQGGQAAAETSYCRSAPVLPAGAMQDEESTFGKAKSADQEERQQAARRDFLAGIRKLIKSFKSLSHIFEVYKEDDEDEDEDEDSMNIEIGFPTDVQHVAHIGLDGSSSMSSLRGLQEEARELLSMSSLTMEQFEFAMASLAAHKDPKMVVDRVAPN >ONIVA11G12750.1 pep chromosome:AWHD00000000:11:14081961:14086672:1 gene:ONIVA11G12750 transcript:ONIVA11G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRLLILLVLLAFSATVAVAEDGESTGGSKVSLGRRAGGFLHGLKKEAVVEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATAGIGLPMRTQNLHTCNEILEERTDFWCCGISATLVLLIVKGKKEITTKLLHELHDQLTACAIPHILIMACDTTGCACHDQDVWDGTCCQPIMVEEKLESGQGKSTLRRFFGRFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVIGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >ONIVA11G12750.2 pep chromosome:AWHD00000000:11:14081961:14086672:1 gene:ONIVA11G12750 transcript:ONIVA11G12750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRLLILLVLLAFSATVAVAEDGESTGGSKVSLGRRAGGFLHGLKKEAVVEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATEKLESGQGKSTLRRFFGRFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVIGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >ONIVA11G12740.1 pep chromosome:AWHD00000000:11:14057483:14065440:-1 gene:ONIVA11G12740 transcript:ONIVA11G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAGGVGEGSSSSAAAAAAAAAATIGPHVVDEGALCFGHSHNADMFYNVDTLSVATPLMGRISFGAAREGLSAAATAVAVAASVIGPHSSDEEAMWQMNLGEAMEAGPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAIATRVQLNALGYPLRPNEKECAYYLRTGQCKFGSTCKFHHPQPSNTMVAVRGSVYSPGQSVTSPSQHTYPGAVTNWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTGSRHSETPNMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIIPTPNCALSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDVSARRMLAPVPAHSEVSPDNVSGRSRRITHSDSQQIPSGERGTEREAS >ONIVA11G12740.2 pep chromosome:AWHD00000000:11:14057483:14065440:-1 gene:ONIVA11G12740 transcript:ONIVA11G12740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAGGVGEGSSSSAAAAAAAAAATIGPHVVDEEAMWQMNLGEAMEAGPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAIATRVQLNALGYPLRPNEKECAYYLRTGQCKFGSTCHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTGSRHSETPNMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIIPTPNCALSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDVSARRMLAPVPAHSEVSPDNVSGRSRRITHSDSQQIPSGERGTEREAS >ONIVA11G12740.3 pep chromosome:AWHD00000000:11:14057483:14065440:-1 gene:ONIVA11G12740 transcript:ONIVA11G12740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAGGVGEGSSSSAAAAAAAAAATIGPHVVDEEAMWQMNLGEAMEAGPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAIATRVQLNALGYPLRPNEKECAYYLRTGQCKFGSTCKFHHPQPSNTMVAVRGSVYSPGQSVTSPSQHTYPGAVTNWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTGSRHSETPNMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIIPTPNCALSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDVSARRMLAPVPAHSEVSPDNVSGRSRRITHSDSQQIPSGERGTEREAS >ONIVA11G12730.1 pep chromosome:AWHD00000000:11:14055198:14055395:1 gene:ONIVA11G12730 transcript:ONIVA11G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMGSNYRGGWSVAGNTLVEAGVGRTDVKRPIEGGTMEWASGDGIHRGGRQGRRGDSIGLAPSY >ONIVA11G12720.1 pep chromosome:AWHD00000000:11:14053108:14053290:1 gene:ONIVA11G12720 transcript:ONIVA11G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPLAARGNSDGIEGRGRGRHHGGEGRGNVGLVADNGGAVVRTWGRSPTAVQPRWSRL >ONIVA11G12710.1 pep chromosome:AWHD00000000:11:14041870:14042738:1 gene:ONIVA11G12710 transcript:ONIVA11G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTEEQEHHATKHITRIQAKTKQNGKMQGQYLEMPTPPGAGGPAEAGGRPYRQEPEVQPRERLIGAAQHRERRRSVLHHPLLLLLLLLLLLLVAQDQKVMEQ >ONIVA11G12700.1 pep chromosome:AWHD00000000:11:14038509:14042109:-1 gene:ONIVA11G12700 transcript:ONIVA11G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDASSPLPVLSSAYQPLPWLYLGFLAIWAASGFCWASSSWRSRHFQVNNLQWILALVPLIKALQMGLSFLFWYSCMHLQTCSLWMSFGVYVTGILFQTTSFVSFMLISHGYCIMCERLSIRERRTTACLGCLIYLSLIGYKAAVPYFTVFLLINYFMSFYIIFRRTSQNLLLLREQLNFIEEEDIHSLHGALNTKYTMFKRFQGTMQVALVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMIPEASLHLPVVPLMKSTWEIAMPPIYSVEMDAADFKGLVSDHWHVGVRTSHNNSGCPSQPLLVLVQNPSPKVSTAATASRL >ONIVA11G12700.2 pep chromosome:AWHD00000000:11:14038509:14041481:-1 gene:ONIVA11G12700 transcript:ONIVA11G12700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPPGEATHCTVRHAAVEASRRAHTVGRPPEAPSPCEAAGGVAPDPGSPAAGVPSVLAGGEDRRRGRERIARGKGIRTTRGKGVGRVNNLQWILALVPLIKALQMGLSFLFWYSCMHLQTCSLWMSFGVYVTGILFQTTSFVSFMLISHGYCIMCERLSIRERRTTACLGCLIYLSLIGYKAAVPYFTVFLLINYFMSFYIIFRRTSQNLLLLREQLNFIEEEDIHSLHGALNTKYTMFKRFQGTMQVALVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMIPEASLHLPVVPLMKSTWEIAMPPIYSVEMDAADFKGLVSDHWHVGVRTSHNNSGCPSQPLLVLVQNPSPKVSTAATASRL >ONIVA11G12700.3 pep chromosome:AWHD00000000:11:14041752:14042109:-1 gene:ONIVA11G12700 transcript:ONIVA11G12700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDASSPLPVLSSAYQPLPWLYLGFLAIWAASGFCWASSSWRSRHFQKTDYGFILNDWSLNRDLRAMILPPKFY >ONIVA11G12690.1 pep chromosome:AWHD00000000:11:13964884:13966412:-1 gene:ONIVA11G12690 transcript:ONIVA11G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARAPLGVVAVVAVLVVGIFMPAAAQAPAPAPVSDGTSIDLGIAYILMLVALVLTYLIHPLDASSPYKLF >ONIVA11G12680.1 pep chromosome:AWHD00000000:11:13958812:13962887:1 gene:ONIVA11G12680 transcript:ONIVA11G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAPFFAVDPGRYCAKFIPNQNYPFPIRIVMLSALSKYSLIDSFLAYEQKGLRADTLSAAKRMEDNMMYPIKDQETMLAVPMDMNRQQDTGYRIEI >ONIVA11G12670.1 pep chromosome:AWHD00000000:11:13956198:13956753:1 gene:ONIVA11G12670 transcript:ONIVA11G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J1S9] MEAKGVVVITLVLSLAAAAGTARPKETTMGLTRRGLASEPMTHLHFYFHDKLYVMDDALSEGPEPESQPVGRAQGMYMSTGLAKLGLLQVMNLVFTHGPYNGSVVTVLGRNGPFGNVREMPVIGGTGTFRFSRGYAQLKTHTLDLKKNDAIVEYNVYIMH >ONIVA11G12660.1 pep chromosome:AWHD00000000:11:13930233:13930738:-1 gene:ONIVA11G12660 transcript:ONIVA11G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVVAACMRRSGISAADVLVTNGSSLTCGGDEAKGGTATTTTVATCGLRSSGSATGRLQNGGSTASNRGSERAEERTLRLHCPKEGFN >ONIVA11G12650.1 pep chromosome:AWHD00000000:11:13923375:13923710:-1 gene:ONIVA11G12650 transcript:ONIVA11G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVAAACMHRSGISAADGLVTNGSSLTCGGDEAKGCTATTTMVATCGLRSSGSATGRLQNGGSTASNRGSERAKERTLRLHCPSGILIGR >ONIVA11G12640.1 pep chromosome:AWHD00000000:11:13919166:13919432:1 gene:ONIVA11G12640 transcript:ONIVA11G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQVNPSVVLSPPAPSTGVVATTLVNISLTGQKEQVPMMLEFSLASVAWTLQRAMGVGQRMGSPSRSMRYPSWRANHGSRGCRPCVR >ONIVA11G12630.1 pep chromosome:AWHD00000000:11:13912774:13913239:-1 gene:ONIVA11G12630 transcript:ONIVA11G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPLLLLVSLLAAVAAAFLAAQALAQHQPQGNDTAAAGEGWRPRLRKTYVEGGAAGMVPRRRRLVGRFQICAVCTCCGGPHGVCIPAPCCYAINCNIPNRPFGVCSFTPRTCNCLNCHL >ONIVA11G12620.1 pep chromosome:AWHD00000000:11:13901078:13906155:1 gene:ONIVA11G12620 transcript:ONIVA11G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRRPAAAATAPSPSPPASDLEVGFAKLQGEDFEYYMQTYSIVLGRHSRRRNQPVGVGVGVGVGGGGGGGGDAAADDVDVDLGILGGGMNVSRRHARIFYDFPRRRFALEVLGKNGCLVEGVLHEPGGEPVKLDSQDLLQMGDAQFYFLLPTRSVFATDAARRASAAAPRAVPPPPSSSSDDDDGEEEREAAVAKRPRNGDAGALAGRKSEKGSKGYRQSDNLQLLQLEEKDVISSTATVLSDLCGPQEWVPMDRLHEVMFQKYGDLWHHNRVRKYLTSEDWPKSETDGRPWHGLSLLLRKYPEHFVINIRMSGGRSIEFVSLVSLQP >ONIVA11G12610.1 pep chromosome:AWHD00000000:11:13857392:13885261:1 gene:ONIVA11G12610 transcript:ONIVA11G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSHRDWRRWWWWLLPLACCFVCWVASSAATVAPAAGVAVTSLPGFDGPLPFSLETGDTRYGSQKHQYANTASIYIYRTRLDRNRSRDSSIARRAPLPEKATARPYQKRKACNARLSLRMVQLAWARLGQSAACLLPPPTHQIRTAGDINPHAAAMVKKKSEKKHGQGERKRKPSTWKLTRRRKYSRSKKKKDHRYGQSIQKYFPNYTDLLRKKQTWAIYSDTWR >ONIVA11G12610.10 pep chromosome:AWHD00000000:11:13882334:13885261:1 gene:ONIVA11G12610 transcript:ONIVA11G12610.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLESASAANDDIIEFKCRVECIKTIQHYCIPLNSNLSKASYVVLQIWANDKTVRESLGVHKGTVGEWIRCNFDVDYIADVYSTVEYHLTLMRKGYRALIYSGDHDCQVPFTGTQAWIRFLNLSVVDDWRPWYAAGQVAGFTRSYANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >ONIVA11G12610.2 pep chromosome:AWHD00000000:11:13857392:13885261:1 gene:ONIVA11G12610 transcript:ONIVA11G12610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSHRDWRRWWWWLLPLACCFVCWVASSAATVAPAAGVAVTSLPGFDGPLPFSLETGDTRYGSQKHQYANTASIYIYRTRLDRNRSRDSSIARRAPLPEKATARPYQKRKACNARLSLRMVQLAWARLGQSAACLLPPPTHQIRTAGDINPHAAAMVKKKSEKKHGQGERKRKPSTWKLTRRRKYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIGPFQFAAKRYYSGGLPKIIYQPETWTKVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMYQCGLQLTFAFHQFLYLVYMTQGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYEHAKETCRGKYNAPGNARCEQSMKVIHDCTKDINMLHVLEPFCEDVGSPGME >ONIVA11G12610.3 pep chromosome:AWHD00000000:11:13857392:13885261:1 gene:ONIVA11G12610 transcript:ONIVA11G12610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSHRDWRRWWWWLLPLACCFVCWVASSAATVAPAAGVAVTSLPGFDGPLPFSLETGDTRYGSQKHQYANTASIYIYRTRLDRNRSRDSSIARRAPLPEKATARPYQKRKACNARLSLRMVQLAWARLGQSAACLLPPPTHQIRTAGDINPHAAAMVKKKSEKKHGQGERKRKPSTWKLTRRRKYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIDYSCAYIYRTIPICRKTVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMYQCGLQLTFAFHQFLYLVYMTQGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYEHAKETCRGKYNAPGNARCEQSMKVIHDCTKDINMLHVLEPFCEDVGSPGME >ONIVA11G12610.4 pep chromosome:AWHD00000000:11:13857392:13885261:1 gene:ONIVA11G12610 transcript:ONIVA11G12610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSHRDWRRWWWWLLPLACCFVCWVASSAATVAPAAGVAVTSLPGFDGPLPFSLETGDTRYGSQKHQYANTASIYIYRTRLDRNRSRDSSIARRAPLPEKATARPYQKRKACNARLSLRMVQLAWARLGQSAACLLPPPTHQIRTAGDINPHAAAMVKKKSEKKHGQGERKRKPSTWKLTRRRKYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIDYSCAYIYRTIPICRKTVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYEHAKETCRGKYNAPGNARCEQSMKVIHDCTKDINMLHVLEPFCEDVGSPGME >ONIVA11G12610.5 pep chromosome:AWHD00000000:11:13857392:13885372:1 gene:ONIVA11G12610 transcript:ONIVA11G12610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSHRDWRRWWWWLLPLACCFVCWVASSAATVAPAAGVAVTSLPGFDGPLPFSLETGDTRYGSQKHQYANTASIYIYRTRLDRNRSRDSSIARRAPLPEKATARPYQKRKACNARLSLRMVQLAWARLGQSAACLLPPPTHQIRTAGDINPHAAAMVKKKSEKKHGQGERKRKPSTWKLTRRRKYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIDYSCAYIYRTIPICRKTVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYEHAKETCRGKYNAPGNARCEQSMKVIHDCTKDINMLHVLEPFCEDVGSPGME >ONIVA11G12610.6 pep chromosome:AWHD00000000:11:13857392:13885261:1 gene:ONIVA11G12610 transcript:ONIVA11G12610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSHRDWRRWWWWLLPLACCFVCWVASSAATVAPAAGVAVTSLPGFDGPLPFSLETGYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIGPFQFAAKRYYSGGLPKIIYQPETWTKVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMYQCGLQLTFAFHQFLYLVYMTQGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYEHAKETCRGKYNAPGNARCEQSMKVIHDKASYVVLQIWANDKTVRESLGVHKGTVGEWIRCNFDVDYIADVYSTVEYHLTLMRKGYRALIYSGDHDCQVPFTGTQAWIRFLNLSVVDDWRPWYAAGQVAGFTRSYANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >ONIVA11G12610.7 pep chromosome:AWHD00000000:11:13857392:13885261:1 gene:ONIVA11G12610 transcript:ONIVA11G12610.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSHRDWRRWWWWLLPLACCFVCWVASSAATVAPAAGVAVTSLPGFDGPLPFSLETGYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIGPFQFAAKRYYSGGLPKIIYQPETWTKVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYEHAKETCRGKYNAPGNARCEQSMKVIHDKASYVVLQIWANDKTVRESLGVHKGTVGEWIRCNFDVDYIADVYSTVEYHLTLMRKGYRALIYSGDHDCQVPFTGTQAWIRFLNLSVVDDWRPWYAAGQVAGFTRSYANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >ONIVA11G12610.8 pep chromosome:AWHD00000000:11:13857392:13882501:1 gene:ONIVA11G12610 transcript:ONIVA11G12610.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSHRDWRRWWWWLLPLACCFVCWVASSAATVAPAAGVAVTSLPGFDGPLPFSLETGYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIGPFQFAAKRYYSGGLPKIIYQPETWTKVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESKLLAL >ONIVA11G12610.9 pep chromosome:AWHD00000000:11:13882226:13885261:1 gene:ONIVA11G12610 transcript:ONIVA11G12610.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLMLESASAANDDIIEFKCRKASYVVLQIWANDKTVRESLGVHKGTVGEWIRCNFDVDYIADVYSTVEYHLTLMRKGYRALIYSGDHDCQVPFTGTQAWIRFLNLSVVDDWRPWYAAGQVAGFTRSYANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >ONIVA11G12600.1 pep chromosome:AWHD00000000:11:13852203:13852583:1 gene:ONIVA11G12600 transcript:ONIVA11G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLRLLTKAGTTVLLSAAERAGLSLSVVEWLGLLYKAEELEVLSAATDHGTPGALLGVALLLLAAGPAVVYLVTEEYPWEVAVQAVVALACVVGGSATFAMSSIVSKLQSSPAEAIRDAHCLA >ONIVA11G12590.1 pep chromosome:AWHD00000000:11:13843119:13843722:1 gene:ONIVA11G12590 transcript:ONIVA11G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLMLESRPAAADDDIIIFECRKASHVLLKIWANDETVRESLGVQKGTVGEWKRCNRDIDYNSDVRSTVEYHLTLMRKGYRAIIYR >ONIVA11G12580.1 pep chromosome:AWHD00000000:11:13832671:13839278:1 gene:ONIVA11G12580 transcript:ONIVA11G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRNAVTCNAMLAGHTAAGDLANAEALFAGMGSRTPVTWATLIRGFAEDGDMVEARRWFEAAPPGMRNFVTWTVAMQGYVATGGHGDREGAVRQDACAERVRVVIDGHRVLQGWQCRRSAGGVRQNTRAKLGELEQADSRYAKIGCSEKALEAFHSMLDDRIKPDEFTMAGVLSACAQLGSLEQARKVHSFIIQHRIRKNQFVLNSLVDMFAKCGDLAFARKIFDNMQWRNTECWNSMISALSSHGQSIEAIKLFSKMECSDQKPNAITLLAVLGACTHGGFVDEGLRIFNKFDVYGVAAAVEHYGCLVDLLDRAGRLREAYEIVKNMLVQPNEVIWGSLLGSCRVHGDAEMSELVSSEIHQLHSCRVSTNDAEYILLSNIMASSERWEQAERMRRKMELHGVGKTPGCSSVELDVPEQEVRAGSDQRRHKGVGKTPAGCSSVDLDIPEHQVHPGSGSTTLGI >ONIVA11G12580.2 pep chromosome:AWHD00000000:11:13832671:13838431:1 gene:ONIVA11G12580 transcript:ONIVA11G12580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRNAVTCNAMLAGHTAAGDLANAEALFAGMGSRTPVTWATLIRGFAEDGDMVEARRWFEAAPPGMRNFVTWTVAMQGYVATGGHGDREGAVRQDACAERVRVVIDGHRVLQGWQCRRSAGGVRQNTRAKLGELEQADSRYAKIGCSEKALEAFHSMLDDRIKPDEFTMAGVLSACAQLGSLEQARKVHSFIIQHRIRKNQFVLNSLVDMFAKCGDLAFARKIFDNMQWRNTECWNSMISALSSHGQSIEAIKLFSKMECSDQKPNAITLLAVLGACTHGGFVDEGLRIFNKFDVYGVAAAVEHYGCLVDLLDRAGRLREAYEIVKNMLVQPNEVIWGSLLGSCRVHGDAEMSELVSSEIHQLHSCRVSTNDAEYILLSNIMASSERWEQAERMRRKMELHGVGKTPGCSSVELDVPEQEVRAGSDQRRHKGVGKTPAGCSSVDLDIPEHQVHPGSGSTTLGI >ONIVA11G12580.3 pep chromosome:AWHD00000000:11:13832671:13839278:1 gene:ONIVA11G12580 transcript:ONIVA11G12580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRNAVTCNAMLAGHTAAGDLANAEALFAGMGSRTPVTWATLIRGFAEDGDMVEARRWFEAAPPGMRNFVTWTVAMQGYVATGGHGDREGAVRQDACAERVRVVIDGHRVLQGWQCRRSAGGVRQNTRAKLGELEQADSRYAKIGCSEKALEAFHSMLDDRIKPDEFTMAGVLSACAQLGSLEQARKVHSFIIQHRIRKNQFVLNSLVDMFAKCGDLAFARKIFDNMQWRNTECWNSMISALSSHGQSIEAIKLFSKMECSDQKPNAITLLAVLGACTHGGFVDEGLRIFNKFDVYGVAAAVEHYGCLVDLLDRAGRLREAYEIVKNMLVQPNEVIWGSLLGSCRVHGDAEMSELVSSEIHQLHSCRVSTNDAEYILLSNIMASSERWEQAERMRRKMELHGVGKTPGCSSVELDVPEQEVRAGSDQRRHKGVGKTPAGCSSVDLDIPEHQVHPGSGSTTLGI >ONIVA11G12580.4 pep chromosome:AWHD00000000:11:13832671:13838431:1 gene:ONIVA11G12580 transcript:ONIVA11G12580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRNAVTCNAMLAGHTAAGDLANAEALFAGMGSRTPVTWATLIRGFAEDGDMVEARRWFEAAPPGMRNFVTWTVAMQGYVATGGHGDREGAVRQDACAERVRVVIDGHRVLQGWQCRRSAGGVRQNTRAKLGELEQADSRYAKIGCSEKALEAFHSMLDDRIKPDEFTMAGVLSACAQLGSLEQARKVHSFIIQHRIRKNQFVLNSLVDMFAKCGDLAFARKIFDNMQWRNTECWNSMISALSSHGQSIEAIKLFSKMECSDQKPNAITLLAVLGACTHGGFVDEGLRIFNKFDVYGVAAAVEHYGCLVDLLDRAGRLREAYEIVKNMLVQPNEVIWGSLLGSCRVHGDAEMSELVSSEIHQLHSCRVSTNDAEYILLSNIMASSERWEQAERMRRKMELHGVGKTPGCSSVELDVPEQEVRAGSDQRRHKGVGKTPAGCSSVDLDIPEHQVHPGSGSTTLGI >ONIVA11G12570.1 pep chromosome:AWHD00000000:11:13830590:13831903:1 gene:ONIVA11G12570 transcript:ONIVA11G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGKVLVKIIPTTMTGTLVARRRGDMRAWGRENVPEGGSMEIAILALCPLT >ONIVA11G12560.1 pep chromosome:AWHD00000000:11:13818389:13823051:1 gene:ONIVA11G12560 transcript:ONIVA11G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVAGNPVTDSQFDEDGKIPCLHGMGLISNELYECTKDINMLHVLEPLCEEVWSPRIHNTSATDGMSRLMLESARAADDDIIEFKCRKASYVVLKIWANDKTVRESLGVHKGTVGKWTRCNYDIDYIKDVYSTVEYHLTLMREGYRALIYSGDHDCGIPFTSTQAWIRFLNLSVVDDWRPWYVAGQVAGFTRSHANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >ONIVA11G12550.1 pep chromosome:AWHD00000000:11:13799447:13800119:1 gene:ONIVA11G12550 transcript:ONIVA11G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQYYCCRYVEVNESTGVRLFYYFVKSEKDPDVDPLLLWLSGGPGCSSISGLTHEIGPFQFAAKRYYSGGLPEIIYRPETWTKVSNIIFVDSPVGAGFSYAATMEGSKSSDTKTVKQLYIFLIKVTLC >ONIVA11G12540.1 pep chromosome:AWHD00000000:11:13762670:13764016:1 gene:ONIVA11G12540 transcript:ONIVA11G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSVVEIVGSAVVGEAVGRICSYLISRGEEEVAAGDGAEHDEERMEVALLRIQAAVEEADGWHITNRPLVRWRDKLKRAADEGECVLREYRRRRRLRVADDDARRLWFPRRVARAAGKKLFAFGGGDEQRLSGGTVRRFERLADGVGDFVKLVESGGRAKRFVPFQPVAASLLARRAVSFSVKPPASPGATAHAFAFPDLTSPWRRPRAHVVFLYADGGGTGEKLELFVELDLSESADVMALALSSMDALPPHFRFASAAAFGSFHRLQAMTQDDGGGNHLPAWDAHYCSQPSRYEQPEWMAAGYGDEPASVAALPEHVLYVVAEWDSPARDAPHTTPPPPPPVHVSYHLGRQGADWAVRREEMARRIMDGRFGTRARRVERHADAGAETFNGVVMCTVDGFRQRSEAAVAVAGQVVRWCFVSGWVVYLSVRGVGAGQPYEVGFQG >ONIVA11G12530.1 pep chromosome:AWHD00000000:11:13747144:13749693:1 gene:ONIVA11G12530 transcript:ONIVA11G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLDDDLIRAEAEAALRLHRNGRRDEALSRAMDLAIQHKRSSPLALNLSGDLNMAAHRRNRRRGRGAAADDKALAERQAKFAATCYKMSLDAVPDCVETFAAYGEALVGTGMWKPAWDAFMRAAAIAHPADPAAHRLGGYGRSAGLTRGERVEMAKARLRRAIDCYSNARCEDAVAEVLATVEHHGAASAVHGAAKLADRYPSSARAQCLPAYVAVELARERRGGAAYPATAATPRHKTLRRALATMDTAARTFDRSLVVALFRAKLLACLHDYDAAEAECRRALAVDNPDDPAAHEIPLGSAIGEEYDDMVSSLRKQLCDLQKKLVLLAVHDWASMESEKQSQILSVSIDELREHYSKIDQIAANTVSEARRFSKAHGSWCFWICPRSSGQCAGKKFLDTASLLEHLRNKHPDDLWVNLKSFLDTKLCGKFKTENASQDGYSCHDEVLQFQSIDGMIELVLNLPPGGMKSETLSEMRRRKCSELAEILDRIKKKLRACPKDLSSSEFDQVRSEMQDLWLKFTELSVFDYREAVVPLARMYQWKELKKRISEDGSIIAAWSIDDIFGDVPDASEEKNVSAEHASLEEKVGHQTGENKVTNKSDNLKA >ONIVA11G12520.1 pep chromosome:AWHD00000000:11:13743597:13743923:1 gene:ONIVA11G12520 transcript:ONIVA11G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTRGEASGRWRAEPTRAVSEDGRVMVIEVAVGMLDQHPIGQILCPSRRILCVSSERDRSLKATLDDDENDVGGGHMLCRHGVVAGNDMERGVKRCCHGVKGGRGH >ONIVA11G12510.1 pep chromosome:AWHD00000000:11:13739260:13740587:1 gene:ONIVA11G12510 transcript:ONIVA11G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTPRERRRSVARFLDEERMEVRERGGELMSLSDASSHLGRCVLRPHPVGRACTDGDLSSSSLIQAREQRWRDAQKGSSSALTVRRRRRRRGLNHVRGHHQKRMGERRGEEGEQKCGPHSSLGRWSCVSGRLLPQSSCPPSISRGERFPTLQQNIMYINLTVNLACSFYSM >ONIVA11G12500.1 pep chromosome:AWHD00000000:11:13718084:13720135:-1 gene:ONIVA11G12500 transcript:ONIVA11G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRQCADSGGDGGDDGDLLERDAPLRLALSVLHDLLGVAAFAASHPLHAAYALFFARHLLALACFFSPLLATTALLLAVLVTVAPCAGAHRGGDSPPEPCSLGWTFGIAVGALRAELRPDPDGAGGGAVALLAQLCSFVLGPGDAASVLRVGEIMGELCDTGDSCLILEHKPAVLFDHTELELPWHQAAIDAQISMDQEVLDEIKDGIEEKKVVLEDLNQLSAPHFSSENCSSRSDTSVQDIQRQSFGSSSDGDGFSDGVEEKRLECDPVSVEIKKCEPPAKSLSSVSRRILQWEAQASGNFKKVLDEMEENSVDFSLEKASFLDFKECNKLDGGAYTEKCEVEEIASVAESGMHQEEQVFKDVKECVQSEAETCTEKCSKDQQSEESVVVVQSEEEWQEENLKIVLPEPEMQDQEYKDVEPVKELQDQEYKFLQPEEEEEQQEQDSENDAQPEEQLQEQDCDNGVQPEEEEESQEHEMRLQESHDDHEEEFKDAADQESPREDPLRPSTSIARRVHSRTSSEHLLVVGEGSPRKEKEWKRTLACKLYEERMQLKLCRDRAVVESSSDNMDMLWEAYEVGGGGGAATSTKGGASKAKRKQERATVERKQEHATADEEDDDDDGDEEEGSVRQLCCLQALKFSTRKMSFGGGGGKPSLAKISKVLRRVAALSRSGSRRSTKG >ONIVA11G12490.1 pep chromosome:AWHD00000000:11:13698998:13701875:1 gene:ONIVA11G12490 transcript:ONIVA11G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQNQDLELLHYVDSDYAFTSRLRHGYLAGRSSHGARSHRRLHALALSPTVDAGTVPLHLRQRRLDRLHGSAIPNPASSANEAADIIDPPSRTA >ONIVA11G12480.1 pep chromosome:AWHD00000000:11:13683117:13684556:-1 gene:ONIVA11G12480 transcript:ONIVA11G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGAAPVATAAGGGGGDGDLHVVMFPFLAFGHISPFAQLARKMAGVGAGVRVTFLSAAANVPRVEAMLGGTGGTSTVAALELPRVPGLPEGAESTAEVSADGAELLKLAVDGTRPQVEALLARLHPDVVLFDFATPWVVDVARPLGVKAALFSVFAAVSGAYVMAPARRRLPGPWRPTVDDLASAPEGFPPSSPLATVPAYQAADFSYVFESFHGMPCVYDRVAACHNACDALVIKTCAEMEGPYIDYIAAEHGKPVLVTGPIVPEPPRGELEERWATWLSSFPDNSVVFASFGSETFLLHAAATELLLGLEATALPFLAVLNFPKGTDAEAELRKLTPPGLEERVKGRGILHTGWVQQQHILRHRSVGCFVNHSGLSSVVEGLVAGCRLVLLPMKGDQYLNAALFARELRVGTEVARRARDGWFGREDVRDALAAAFAGGEDGGGEEKKWREFLMDDAVQRRFVREFVAGLRRLKG >ONIVA11G12470.1 pep chromosome:AWHD00000000:11:13659469:13660374:-1 gene:ONIVA11G12470 transcript:ONIVA11G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDATAAGGDEAGETLHVVLFPFLAFGHINPFAQLARSLLAVGGGTESTAEVDADGAELLKLALDGTRPQVEALLARLRPDVVLFDFVTPWVADAARRLGVRSARFSIFPAVSGAYFMAHGRGLYGARPTAEELASAPEGFPPSSPLSTVPTYQAAHFTHIFTSFHGMPSTHDRSVACHNACDALDLPRDGRPYIDYIAAQYGKPVLATGPLVPEPPRGELEERFATWLSSFPDKAVVFALDKYRIICMDHGQI >ONIVA11G12460.1 pep chromosome:AWHD00000000:11:13652201:13656627:1 gene:ONIVA11G12460 transcript:ONIVA11G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEVAAPVDLMQEGTAAEKVAYQPPFLIPAPIVGDIGGDERPQAPIEKALIGQINIEDMSGKAKDVISEEGSTKEMKDSDDDVGMVIGGYAQDLYNHSGLEELMQDQDALEKSVKNFPECFKSTKFR >ONIVA11G12450.1 pep chromosome:AWHD00000000:11:13644280:13647444:1 gene:ONIVA11G12450 transcript:ONIVA11G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAAAAASKAADDAASAAAAVPPPSGEDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >ONIVA11G12440.1 pep chromosome:AWHD00000000:11:13639203:13641135:-1 gene:ONIVA11G12440 transcript:ONIVA11G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEKKMTSFIAKSSGAEEFEAGAIRHAIEDDCDDDDSGILPPDVLSGEGLDNRDAGFPPSQRSASKITGDRAAVNPAAADPPMPVPGLHHHPNIPAANRQLPPAARLLINCGIFLVATAGSSIVFHTAGDPSAIDGPAYALVAFLFVLLGLWFVLLGSVAGQFPGATRVAVAIAKALKGYLLGGGN >ONIVA11G12430.1 pep chromosome:AWHD00000000:11:13633453:13636120:-1 gene:ONIVA11G12430 transcript:ONIVA11G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPRAAAASAAVARPVAVRRGGVSSSSRWKLQGSMLNWKSKCSTRSPRTTVAGAKPDDSEFESVNAPLEPQTWEGSFLCGLLKNQPQVLPVAAAKQLQELSNQRKDTLIRWEHSIGSPEDCLHRRIAEMKEHECQTAIEDIMYTLIVYKFFKIEVPLVPNLSKLISNKRLQIWPPREAELESIHGPEVLGLIREHLTSIIRWVHRNGPKINRSTLRIKRMQFNRIYSASIMYGYFLKSVTTRHRLELILAQSQEFCPPIQFLNAQFNSTQKQEQEESIGGSAEISSSSKPSSVVDLHDLKSYMMGFDPKTLELCARLRSCEASNLIEKHSWALFRESMKDFLEPDEAVILDPSSLKRLLLEAIAFGSFLWDVEDYVDEIYKLHDS >ONIVA11G12420.1 pep chromosome:AWHD00000000:11:13631507:13631821:-1 gene:ONIVA11G12420 transcript:ONIVA11G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSITTTTTKLFLLLLLLHGGHCLKSLDMAMEMEMDSEAHSRMLWESSSSNGRRYISYDALRSDVVPCSRQGVPYYNCRIMTTANPYTRGCETITRCRDVDP >ONIVA11G12410.1 pep chromosome:AWHD00000000:11:13622489:13626240:1 gene:ONIVA11G12410 transcript:ONIVA11G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J1P1] MCTRALLSSSAIYPLLSTPPYHSPLLPPRRRNHRRLAAASPAAAAAAMDSVASWGLTPLAAADPLVHDLLEREKRRQRSGIELIASENFTSFAVMEALGSALTNKYSEGMPGARYYGGNDVIDEIENLCRDRALAAFRLDAASWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSAATGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYAKLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKAYAKQVKANAVAIGKYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKMCDLCSITLNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHQAVTICLNIQKEHGKLLKDFSKGLVNNKDIENLKLEVEKFATSFDMPGFTLDSMKYKE >ONIVA11G12400.1 pep chromosome:AWHD00000000:11:13605793:13608199:-1 gene:ONIVA11G12400 transcript:ONIVA11G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylhomocysteinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J1P0] MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSQPFKGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGVGGGPDLIVDDGGDATLLIHEGVKAEEEFEKSGKVPDPESTDNAEFKIVLTIIRDGLKSDPSKYRKMKERLVGVSEETTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSTGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLSKSQADYISVPVEGPYKPAHYRY >ONIVA11G12390.1 pep chromosome:AWHD00000000:11:13589749:13594629:-1 gene:ONIVA11G12390 transcript:ONIVA11G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMAAEEQDTKPFLSPTTTTTIPPRAHRGYQLSACHHHHHHHQMTAAAPDQRSAGAGAAAAAAGQQQTAAAACVLNRESDELPEEEDGGAATWLLARQAPARRISRSFWSAGEYDADTSGAARPPGNVQNRMCVHPKFLHSNATSHKWPFGAVAELLDNAVDEIKTGATRIIVDKVNGCNGSPALLVQDDGGGMDPDSLRRCMSFGFSEKQSGSSIGQYGNGFKTGTMRLGADVIVFSRCMKSSEPTQSIGLLSYTFLAETNQKDVVVPVVDYKYNLLTGEAKPHQRLGPDQFSSNLSVLLKWSPFATEEQLIQNFSDIGPHGTKIVVFNLWSNDNGDLELDFDIDEKDILISGAPKAAETTNAAKRMNESHLANQLHYSFRVYASVLYLKLPAYFRIILRGEEVKHHYIASDLRYTQCIRYRPQAFGKKEDEVDTTIGFLDGAPTINLHGFSIYHKNRLILPFHRVLSSASSKGRGVAGVLEADFIKPTHDKQDFEKSQLYQKLINRLKEMTNEDLYSHLVGYHKLPRAASGSHASAALVPTLSGTIATASSERIPAIRDNPTNAIPIAFAPHLVSSPVGTNAVAAVCSQSQSSMQITIGTDLVDTRKRRMETLDQMDGRSKRLSIHDLAGNNSVDSSNQICQHMGERELKEFSYLKIENTLLRQECAELESSEKELLLKEQQLSLELEQTEAQYKSLLNEYISVAAVRTVKR >ONIVA11G12380.1 pep chromosome:AWHD00000000:11:13538110:13539861:1 gene:ONIVA11G12380 transcript:ONIVA11G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFRISLLLLLVPLIPTAAASHHHSPAGGGGAAVPLHPRRHHRSVANTATALFYPAPSMHQNHIEAEEGQLLHVLADPFAAAPAAAEAPSGETAIAAVGAAAEEATPTLIDDSPQQAAAASPPPPPPPPPPPPPLFAKPDLDSTAPPQPKEEGVDGYGSTTATATVTAAPPLDEPAAATATTTTTTTTTLPLPRYSHVASPPPPPVHAGVAGLGDEQRLEQLARVLSSLGYNEMASAALLLANSALLAAWPGSITVFAAPDVFLRASCPMCSRRHVLLEHIALGYFPYTELAAASTAKLPSASPGLCLNLASDHGPFAIHHVRLYVDGVEVSHPELYNDGRYVVHGLHGFLPPLSHGSCSHGSNHRHHYHYQYHHHHHHIIASSAASSAATAASVVRIMIREAIARLRDSGYGFVALAMRVKFAELERLANMTVFALDDQAIFVGGGHDYVSAVRFHVVPGHRLTHADLQRLHPGTMLPTLAGEGQNLVVTQGASGSGSGPRDVRINYIPIKDPDVVINSRIALHGVYVPFPRLHLANLAAAVALASSNQINATCGVFGDCASAAATSTTVSAAHRYGEGQ >ONIVA11G12370.1 pep chromosome:AWHD00000000:11:13509767:13510614:-1 gene:ONIVA11G12370 transcript:ONIVA11G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPCSVLRQPSSPFAAPVLAVLSMGTSWLASLWLSSPSVALALVVASAGVDMCVALDGVAVVTSRTLVTCSTLAGAIPGVDSSSVGGSGRLVVNILLQADAFRILVNGCLFCSESCGSTLQVALFLAIPALIARHKSIGSLSKASLLMVGWSTFNDESRGDASLSEIMLTPKSTAQQRTSILCHFRGGNRRGSTVCQAVCTSTEAQDIVALLVVTAAPQLPVDLLLFLLFGHI >ONIVA11G12360.1 pep chromosome:AWHD00000000:11:13503759:13505638:1 gene:ONIVA11G12360 transcript:ONIVA11G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J1N6] MPTASPAHAVFFPFPVQGHVASALHLAKLLHARGGVRVTFVHSERNRRRVIRSHGEGALAAGAPGFRFAAVPDGLPSDDDDDGPSDPRDLLFSIGACVPHLKKILEEAAASGTPATCVVSDVDHVLLAAREMGLPAVAFWTTSACGLMASLQCKELIDRGIIPLKADAEKLSNGYLDSTVVDWVPGMPADMRLRDFFSFVRTTDTDDPVLAVVVSTMECLRTATSAVILNTFDALEGEVVAAMSRILPPIYTVGPLPQLTAASHVVASGADPPDTPALSAASLCPEDGGCLEWLGRKRPCSVLYVNFGSIVYLTSTQLVELAWGLADSGHDFLWVIRDDQAKVTGGDGPTGVLPAEFVEKTKGKGYLTSWCPQEAVLRHDAIGAFLTHCGWNSVLEGISNGVPMLCYPIAADQQTNCRYACTEWRVGVEVGDDIEREEVARMVREVMEEEIKGKEVRQRATEWKERAAMAVVPSGTSWVNLDRMVNEVFSPGNNM >ONIVA11G12350.1 pep chromosome:AWHD00000000:11:13472403:13476069:1 gene:ONIVA11G12350 transcript:ONIVA11G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J1N5] MDPEAEEAQLRLEMELAKKAKADMSGLQRSSSLGLDHAGLYPLPLPPGWRSAPTSPLRTPSSPPPLQFPPAWAADVAGTSGSAAPEDDGPARNAGADEATAGSAPKNEDPARAAGADDGPTRSDYAAMMRMALAKFQDDDAAADDEEAASAVMEQAMTGLMDLTYRKAKPPELPYEFTTRWPIPIAHDGTLQAEVMRDPVILPSGYSVDQTYQNNQKRQNPWTNTSTFTDHSLPYSLSVPNHLLRDMISAWCLDHSDLSPSTTSDTPSTPLEPSEEQIQRILKLFSGNSASQREALKLIHLLTKTTKGVQPCLAKYADIIPVLINLRRKYKSSWTQDLEEERLTIILNLTMHRQNREILAGQNELAGAIKKIVKKAGNRGKRTSSLAKVASIVAVLSEFDMFRKRMLDAGGMKMLRGMLKIKDTEVITEAATAILALYADGEGEQPARFHDVPQMLLECHMFTDGILLLLDRLPKSPRVFRKICDQALQLVNIVMAEDASGPVTRKGILSAISLIYEIVERDVGKMNAVKNMEDFIERLRQLSSDRLPMQKMLQVERIIRTLSDAFPAPTVRGRCQEPSGSRLLA >ONIVA11G12340.1 pep chromosome:AWHD00000000:11:13470346:13470894:-1 gene:ONIVA11G12340 transcript:ONIVA11G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSASPRPRRRRMDPTAHLGRHHASDLATPHPPTTPTSPSNTNNIHIHQFTRATNQFGESQRRRRRRWRKRRRVDLAGGSDDEVVVAAKPAVAIGGNFGSAVFGKRHGVRRRQWGWLQQWRMDDGQQQNIVGGEMIPVAGGEKGGVLGQAVEHTHMSSSSGAAAANMGAALARVRRHRHR >ONIVA11G12330.1 pep chromosome:AWHD00000000:11:13461171:13469189:1 gene:ONIVA11G12330 transcript:ONIVA11G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSSKLSRAPASPPPPPPPPHAAAGGGGDAHTPSSHGHRHRRFPKENVDPSPSPGPYDHHSAYRSPSGKQQQQQPLAAKNRSLPPRPPLKRKLLDVSAASPAPEGAPSGGGGGDSGVQVVVRVRPPSRAEEEDEGAGKEVCVRKTGPGSVEIHGQGFTFDSVADEASTQLCASSICANLIAYEEYWVMFTEDIFQLVGRPLVENCLDGFNSSIFAYGQTGSGKTYTMWGPLSALSDDTVSKERGLTPRVFELLFSRIKEIYNEQITDLLDPVQRNLQIREDVGTSSVYVESLTKEFVFTINDVTQLLEKGLANRRTEATTANAESSRSHCVFTCFIKSESKNMEDGSNFTRTSRINLVDLAGSERQKLTNAAGDRLKEAGNINRSLSQLGCKSETLSTLRFAHRAKDIKNNAVVNEQREDDVNVLREQIRQLKEELQHVRSNGSLPGSNGSPSTGWNSQNSFLLKMSLSRPTAFPTIKDDSDEEMEIDDNDVEKPCNLENKSSFPHGDVETSRCKSNLAASIQKGLQVIESHRNSVTWRRSSLGLNTRLMDAHLSVPVCKVDVAIQTDPEESEPRQNTMALIPSNQPEATTDGNREISDCINLQLVTVDGSIPSNDLKQHEQVFKAVEKVLAGAIRREMLRDEQCAKQAAEIQQLKRLVQQYKHERECNAAIAQIREEKIARLETLVDGILPTEELMHAENLSLQDENKILHQKYENHPEVLSAKIELERIQEELERYRNFKDEKEVLLEEIQHLKNQLHYMLSSSMALCRPPVELVQAISTVSDRPTISALEEAGDDGHSIVDAAESRWITLTEELRVELEKSKSLSERLQLEVESEKQCSEELKGALEMAMQGHARILEQYCELQEKHASLLSMCRTINDGIEDVKKEAAKAGVRGAESKFINALARQVSILRAEREKECRFWMDENKGLQQQLSDTAEAVQAAGELLVRLNDAEEAASLAQKRAELAEQEMNKAFAEIDNLKRDHDQEVLVLNQRLAESKLPSNVVQSPEPSETGPARYDTGGSFSDEQWREEFKPFQSVEVSKSSDPSSWFYGYDKCNI >ONIVA11G12320.1 pep chromosome:AWHD00000000:11:13429853:13441647:-1 gene:ONIVA11G12320 transcript:ONIVA11G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04240) TAIR;Acc:AT3G04240] MLSLQQQGGGDPRPQQPAAAPALLGGADWLGFGRAAAELDESVASSPASFLLPPAPPVDARAQAQPEPKPKQLGAVDVERHLALAHQNYRSGKYKEALEHGNIVYEKNPRRTDNLLLLGAIYYQIRNYDMCIAKNEEALAIDPNFAECYGNMANAWKEKGDVDLAIRYYLTAIQLRPNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGFIQEAYNCYIEALRIDPQFAIAWSNLAGLFMEAGDLDKALLYYKEAVKLKPSFADAYLNQGNVYKTMGMSQEAIISYQRAVQARPDYAMAYGNLATIYYEQGQLDIAIRCYNQAIICDPQFVEAYNNMGNALKDAGRVEEAINCYRSCLALQANHPQALTNLGNIYMEWNLISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRVDPTAADALVNRGNTFKEIGRVNEAIQDYIQAATIRPTMAEAHANLASAYKDSGHVETAIVSYKQALRLRPDFPEATCNLLHTLQCVCDWENRNAMFRDVEEIIRKQIKMSVLPSVQPFHAIAYPIDPMLALEISCKYAAHCSLIASRFGLPSFVHPPPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRDNVEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMTSDMIVRIINQDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGAAYIDYLVTDEKNRDCLDPVCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNILKRVPNSALWLLRFPAAGETRVRAHAAARGVRPDQIIFTDVAMKNEHIRRSSLADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGLGEEMIYEDRAVDLALNPAKLQALTNKLKEVRMTCPLFDTARWVRNLERAYYKMWNLYCSGRHREPFKVIEDDNEFPYDR >ONIVA11G12310.1 pep chromosome:AWHD00000000:11:13425559:13426530:1 gene:ONIVA11G12310 transcript:ONIVA11G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63100) TAIR;Acc:AT5G63100] MAMITLPVAAHAPSPWLPPSILRLRSTSTTTTIPAAAARSSDPHPLPDELHLVADIRSPHNHIRVADVSRTAAGAGHPLAGARLLLLDAPGNIHSLSFPRSLCPLTSTYLDVFATLPPLLPASASSLAVLGFGAGSAARAVLHFFPDISVHGWEIDPAVVSASRDFFGLAELEAEHAARLSIHVGDALEASADAVAVPGGFGGVLVDLFAGGSVLPELQEMDTWRRIGRRMVAPGGRVMVNCGGPCVEAEEEGRGGEAVKDATLRALTAAFGHGMVSVMDVDESWVAMTGPAVSSAPEEAAAWKAKLPPELRRYADMWRPCLL >ONIVA11G12300.1 pep chromosome:AWHD00000000:11:13421187:13423937:1 gene:ONIVA11G12300 transcript:ONIVA11G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:A0A0E0J1N0] MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLAHYGVDIGRKKKAPAAKKDAEGQDAEATTEEAKKSNHVVRKLEKRQQGRTLDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGASA >ONIVA11G12290.1 pep chromosome:AWHD00000000:11:13390730:13391858:1 gene:ONIVA11G12290 transcript:ONIVA11G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATGSTSLNLVEARSGGRWLGGVGGVGEEAADADGWGMEAAAAGSTSPNLVEAGSGGRCSGGVGGVEEEAADAGGWGMEAAAARSTSPNLVEAGSGSRQSGGIGGVGEEAADAGGWGMDVAAAGSTSSNLVKAGSGSLGCLIDDGILLDPSKVTLITDQR >ONIVA11G12280.1 pep chromosome:AWHD00000000:11:13372223:13375939:-1 gene:ONIVA11G12280 transcript:ONIVA11G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J1M8] MAKSTPAAAAAAPEEATAAALRLRLRRLVAAVTAGGVGGEEAFDEAASALAALRDAELGPPPKDRPGAGAERRRSGHAEAAAVPEQFLCPISSEIMRDPVVLASGQTYDRRFIQEWLSAGNRTCPQTQQVLSNTILIPNHLVRSMIAQWCTENGIALSPLENQEEDLVTNNERKSFSELFDRISSSSNISEKRQAIKDLRLLTKRNSSFRAVIGENPDSISQMISAVSNPELESNSEVLEDTVTTILNLSIHESNKKIIGDDTKAITFLISALQSGTMEARSNAAAAIFSLSALDSNKAKIGESGAMRPLVDLLEHGSMTAKKDAASAIFSLCKLHENKSRATKSGVIDVVLKAISDESLTDESLTILALLSSDHETVEEIGETGGVPCMLHIIKDDQCKRNKENAVAVLFSICMYDRTKLREVVEDENLNGSLAWLAQNGTSRARRKAAGILDKLKRTIHKTHYSC >ONIVA11G12270.1 pep chromosome:AWHD00000000:11:13361326:13361565:-1 gene:ONIVA11G12270 transcript:ONIVA11G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGLLVLVGLQVVVGSMAAQEAGDAPASIVGPCSRTGDKKACVELLSGIPEARKATTVGPLAELYLRAIANQTTDDF >ONIVA11G12260.1 pep chromosome:AWHD00000000:11:13353428:13355296:1 gene:ONIVA11G12260 transcript:ONIVA11G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRAASRLLGSASAAFSAQAEAPPAIATATASELPPPPAPAAADAAAAVDVGLAGPEGPCEQSLSPWDLPCELLDDSTDSQNLQEAPFDKYLVRIPRRASFVLNSELELEDDTMESKDKIWNHDDEEEEVKNQKPAAHSLKKDGAVRKRSRKGNDEPILQEEEEDMVMKTEESEDKEATIWFCKKNDGKKWHCRSIVDRPNALCDYHLARSRSSYTPSSENGASATAAATCSSGPTKADAIGKIKAPPAKSSGAKRNSPGGAAASSSKAAAATATAPSSSKASSSSSVSVTVPTSSISQRRKRRKKSTNGSGGDYYFYDLFGPFRGKDRRNHGVVSASEDDHKGLLKAKEKMEYIDVDNLSNNSSITGGGDKENDEDYVVGGAGKARAEKRKGKIAVEKMPFPKMVKKRTVKERSLKSLL >ONIVA11G12250.1 pep chromosome:AWHD00000000:11:13346485:13348592:1 gene:ONIVA11G12250 transcript:ONIVA11G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRHKPTHPPLSSPIPQIPNPCNFRSCSLTTVPPAGDLIPAMRLRRAATRVLRPAYSTTQAGGPRTSSSNLSSELVMYVLRMHGGGGGLNNPMVDLEDACSLNQSPWDLACELENPNPLEDLFNKYLVHIPHRASFTFNNDNDEEMEVYDMIWKQQQGEEMKDLNPSQKEKQDGETKMVKDHMNVEPLVPEEDMLDKGIEDFGEKKASVWYYHKNNCKRWHCQNIVDGPKALCEYHLAKSHSNTPTSVKVATAHSKSCSSASIQKNSQSHKRKAGNGLSEDTYYSYSLFSPFHGKDQDDSSKATIDYQQKGFLQQDNIVLIKERDDNKEYIDVDNLFDDFSIAGDDGQSDKDYFVGGANNPHVKKGKQ >ONIVA11G12240.1 pep chromosome:AWHD00000000:11:13333897:13335644:1 gene:ONIVA11G12240 transcript:ONIVA11G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKNQRRDDFPRAVDKKIGDEREGAATAVLSRRWRPLWRQAGTVNLDTEPYLYPAAYRGNNFPEHRRSAFVGHALSEEIEGGAAEERCAGVVDAVLDAPAAARVEELRVRCAVSWLCEHGSCERSSSSGTWRLRLGSLPCAAATLRVLHANDVGVERLGDGDGGVVLPLLEEMRLVKATVSPETLQGVIDAAPRLANLWLERISFRSNDGSRGVYLADGFRLQLRGPALTELALIGYYSRDRCIELDAPRLRSFVNERSLPGRFSLTSPAPDLASADLHFHDHRSYGDKDPNNLTVPMWSCLRHLHGVRVLKLQLDFYAEYIAVDADDADDGVPATFPNLEYLELDAHCKDDHDMATELTVASVLRWCPAIRELRLRLSVADAEGRVNVIYNSKRHMIHHARMMRNSFGQDVQTKIDVDVTNITTSSP >ONIVA11G12230.1 pep chromosome:AWHD00000000:11:13333218:13333434:1 gene:ONIVA11G12230 transcript:ONIVA11G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTESGRVRDQRRRRGRRHRRPPAAVAALPLSPARRLAVCSLPVSPPPGLVREREREQRGEEGRNKRGKR >ONIVA11G12220.1 pep chromosome:AWHD00000000:11:13332317:13333187:1 gene:ONIVA11G12220 transcript:ONIVA11G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLALDAAYPLPLLPVMLDKFPKVVEPARWWQPTKRQQQQQRAPIFNTSKVAATNGAAGRRATAMAGNGWTQEVEEEMRDILRVIRAKDENEYVSVGKLVLALNKRLAMAGPALAGAATLAAAFIGSGKVGAWASGVAVLGGALAAAVNTVEHGGQVGMVFDLCRNMASIYRKIQEDIEASPQGGRRRAEGELQGLGRSTLELKKFKAIASPTVKDEDIKEFAGREGGLGGARVGAAAVVVVAVLHDAGEGSALDDVGEVDDEVVLAAAVRHHPPRASS >ONIVA11G12210.1 pep chromosome:AWHD00000000:11:13325565:13329452:1 gene:ONIVA11G12210 transcript:ONIVA11G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRNASRVLGSAYFTTQSEASRAYVSNLPPTSSTPAPVAYGGGSGDLGGPMVTPDGACQLSLSPWDLPYELEDPDPLEAPFDRYMACIPFRASFVSDSDNNDDDQMEVDEDKSWNQVENEEVNDQQQPADHKVDQSGDPAARKMKGKMNESSMVVMEMQDADKQAGVWYCNKNDGKKWHCRNIVDGPKTLCDYHLAKSRSYYTRTGEAGAAASSKSGRAKAPAIAKPKSSSKRTPAGESSAQNNTIAAAAAAAVSVLPTISSQPSKRKASNGLLGGDAYYFYDMFVPYRKKDRGGSSSKQQAGAEEKEILPQDNAVAMEEKMDGKKLYDGVYNSSDYSSDTASDDESDEDYTVGGASKRRTKKRKMKLSVKKVQFSKIMKKRVKERSLKSLL >ONIVA11G12200.1 pep chromosome:AWHD00000000:11:13317832:13322688:1 gene:ONIVA11G12200 transcript:ONIVA11G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDYPHHLRLRDCYLACGSRGNRKAHVEYSDTDLAPQEDQMALEAILRAMPPRHRRLIHVKINATARSATPGAPPHVPPTSTSTSKFRPPQLVTQELDLDKAKVSANLHREELAEDDAPIVHDEQEAFTVVVRIGPDIWE >ONIVA11G12180.1 pep chromosome:AWHD00000000:11:13268156:13275150:-1 gene:ONIVA11G12180 transcript:ONIVA11G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCRTHTHQGVMLFCLVLLMCSAIPAQITGQTTYKIRRDMLIGVKNKISFGELYYKPDHCVRTRGGFYCCELDQLCYPTLELCIPACTPSKRILLSCSNDTLYSADSTRYPGDAAIVRYRTY >ONIVA11G12170.1 pep chromosome:AWHD00000000:11:13267447:13268138:-1 gene:ONIVA11G12170 transcript:ONIVA11G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCVKHTNQGVLFFFLVLVVCSSIPTQARGQTASKIGSNMLMGVKKAASIGGVKLNVCAVTAGGFYCCSKDQLCYPTLEQCLPKCNYKEKGCAANQPTMVPIHKTMMGRKTKPSNLSQK >ONIVA11G12160.1 pep chromosome:AWHD00000000:11:13259877:13262109:1 gene:ONIVA11G12160 transcript:ONIVA11G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDAAVQQRRRPYPMVKEWRRPGDGRRGGGGDDGIRRRVTATALGSGGRTTTACYGLVRPSPARIRRQHPSLAAASGDGNGLRQRWAAREQNPSSCRRRPPSLLSSTFPSSKRVSRRTRRRVGLSVAGPSSLPSRAGGRGTGGDGAGTSSGSGAAVIRSLLPARCCCCCCFPVFLLWFGSSVEHADATDSTIDVAGSGF >ONIVA11G12160.2 pep chromosome:AWHD00000000:11:13259877:13261991:1 gene:ONIVA11G12160 transcript:ONIVA11G12160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDAAVQQRRRPYPMVKEWRRPGDGRRGGGGDDGIRRRVTATALGSGGRTTTACYGLVRPSPARIRRQHPSLAAASGDGNGLRQRWAAREQNPSSCRRRPPSLLSSTFPSSKRVSRRTRRRVGLSVAGPSSLPSRAGGRGTGGDGAGTSSGSGAAVIRSLLPARCCCCCCFPYSEKSALI >ONIVA11G12160.3 pep chromosome:AWHD00000000:11:13259877:13262633:1 gene:ONIVA11G12160 transcript:ONIVA11G12160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDAAVQQRRRPYPMVKEWRRPGDGRRGGGGDDGIRRRVTATALGSGGRTTTACYGLVRPSPARIRRQHPSLAAASGDGNGLRQRWAAREQNPSSCRRRPPSLLSSTFPSSKRVSRRTRRRVGLSVAGPSSLPSRAGGRGTGGDGAGTSSGSGAAGEGLDPRWSMPMLPTPPSM >ONIVA11G12150.1 pep chromosome:AWHD00000000:11:13249107:13249477:-1 gene:ONIVA11G12150 transcript:ONIVA11G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCMKPAYEGLMLFCLVLVVCSALPAQIRGQTIRKIGSNIPMGLKNVVSHASLNVCYQEERDFAYCCSKDKKCYSTISECLAKCTYN >ONIVA11G12140.1 pep chromosome:AWHD00000000:11:13245725:13246796:-1 gene:ONIVA11G12140 transcript:ONIVA11G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFQGQQHGHPAARVDEYGNPVAAGHGITGTQEAGAGGYGAAGNVAQPQHAFGGATDAGGYGRQAGYGATGTGTHDAAGYGGSGQPAYGATGTGVHDAGGLMPGHTAGHGTTGTGVHHGAGAGLPAGQTAGYGTTGVTGAQHGAGGLGTGHTAGYGTTGAHHGAGGLGTGHMAGHGATTTPDTMAYGTTGTGAPHGATAGTGAYPHAGGQFQPAREEHKTGGILRRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGGQQQPTATAATGGYGAGTGHTAAAGTTTDAGGTAYTPTTQPTHEKKGMMEKIKEKLPGGGHH >ONIVA11G12130.1 pep chromosome:AWHD00000000:11:13242810:13243477:1 gene:ONIVA11G12130 transcript:ONIVA11G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVSTPQFAAGGDRRRGGSGGRPSARSGGRGGSGSGGGPRATSAGSRLPDPATSPTASPPIAYLLLHPIFGCLYRLGSNRIRQLLAPLSVLTSAVFVVPIGKTDIFVVFLTGTSNPIWDSVLCQFLFRWLTKVY >ONIVA11G12120.1 pep chromosome:AWHD00000000:11:13204182:13205526:1 gene:ONIVA11G12120 transcript:ONIVA11G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPPNAGLMKIGWEDDLGPLLSFLPPDLAEEERLRRPLLLPPNAAATPRRYSGRDCAVGPPSSFLPPDLVEGMALSGHTPTTPTLASTHAANDADTDASAPPTALPAARRGEEKGREEKRRCAVEKRKEEKRPRERERREKDSVSLIRLMRPGHSPLSAPQAARLTARSKRCRFFLKNDIFK >ONIVA11G12110.1 pep chromosome:AWHD00000000:11:13185844:13186852:-1 gene:ONIVA11G12110 transcript:ONIVA11G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J1L0] MESLPVETRFTEFPLRQYGGFWLLEVMLNGVAAAETSFQPRPSDILLSSFPKCGTTWLKALAFATLNRSTYPPSDEHHPLLEHNPHDLVGFLEIYPKLELYESLPSPRLLSTHLPYSMLPHRIREQETGCRLVYIYRDPKDAMVSMWHQNKKEKKNRLTFEEMFDMFCEGRCVVGPQWCHAGEYWDESQARPEKVLFLMYEDLLQDTVGNLRTLAEFMGCGLSRQEEDDGIVQQIVELCSLNNLKNLNVNKSGTTLLGISKDGFFRKGGTGDWSNHMSPDMAARLDKIVKERLEGSGHPIISRINAKATTSIGSSNHGASEAKYIKE >ONIVA11G12100.1 pep chromosome:AWHD00000000:11:13152524:13152838:1 gene:ONIVA11G12100 transcript:ONIVA11G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLLISSIVVMTFLLLLSGAGALTADHATVGVAVAGGKRTRHAEELSEMDSVPYPQRRVLQGGNTVYRPLGRGAACNPICPGRGDRYTGRGCKSRYQCRGM >ONIVA11G12090.1 pep chromosome:AWHD00000000:11:13127714:13128799:1 gene:ONIVA11G12090 transcript:ONIVA11G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIIGNGEATDGGALDTEDADELDAICYLDERWGMGKNNFGETRLLQGRQEFDGSMCQVPRFNYFLSYHGNLVNRPKILC >ONIVA11G12080.1 pep chromosome:AWHD00000000:11:13095397:13096193:-1 gene:ONIVA11G12080 transcript:ONIVA11G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNHAEVRDASSAGGHSCESCPVVLELHMLREPAAFGLPTRSDIDYCQLIQETEAAESGSCPPLYKRIPQGSNRNVGHKLLVKDNAGCLRGQCAARARCALLPTPTPPVQPRLQVPPLVLMISIIFVTWLDI >ONIVA11G12070.1 pep chromosome:AWHD00000000:11:13087895:13088212:1 gene:ONIVA11G12070 transcript:ONIVA11G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMLLFAATVVAAAAVLVAAVDVGSSGALLPMHVLRGRVADVEDVVASVVEEEAAYPRRRILYDSRYASYNGLTESKAACYGSCPGRGQPYSGRSCLNIYQCKG >ONIVA11G12060.1 pep chromosome:AWHD00000000:11:13064177:13069218:1 gene:ONIVA11G12060 transcript:ONIVA11G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAPHFKWAPCPHAHHHHSTSSLPSSSSSSTSAPSSSSRCSFSRGGPFRVHCAVTATTSAAAPVVVEAPGGGMRLAYAAAPDSSAPALQKKIQSALASEVFLNEEAVVTAAAAEAVALARAAAEAAQEVVRMVQNNKNERNTRPKKAVVNYLANEILRTEMKPNILDKYSDGILSEEIESYGILSGEAELDGDTQDIPSIAVKSARQTERRARRTRAAIKAATIVRTSPKPATSSKKKRSKGASSGTNPLGSLWKMTGRRLLTAKEEVEFSEGIQDLLKLEAIQAELAEYNGGQPTFAQWATAAGVDERTLRKRLDHGIYCKNRMVTSNVRLVISIAREFEGPGMELYDLIQVFITGRNAGPYKGC >ONIVA11G12050.1 pep chromosome:AWHD00000000:11:13061610:13063351:-1 gene:ONIVA11G12050 transcript:ONIVA11G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKAPSAVSCCFPFTVVELVGLAGTGTSARHRGHVRAHRLPFLNGAQAHRALRRRCRRRTTSAAGREHEAGQPRNGGGVEVPRRLLAYWVDAPRGALNFVIELFVSGTLRQYREKHRRVSVAAVRRWCAQILDGLAYLHAHSPPTIHRDLKCDNIFVNGNQREVKIGDLGLAAFRLSAGGGGGDRTRCVGTPEFMAPEVYEESYDELADVYSFGMCVLEMVTLDYPYSECSNPIQIYKRVISGIKPAALYRVSDPVMRQFIERCLAPTARAARRPVPAAARRRWLLLRRRRWPWQCWRCLLQFDVQLPAPACLHRRSPCEGIDKVWVGRTRRRPAGGGHGGVPAGAAAAALPFSRVTAATATSSAVGFPPSKLF >ONIVA11G12040.1 pep chromosome:AWHD00000000:11:13056871:13061069:1 gene:ONIVA11G12040 transcript:ONIVA11G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWFTGSTFAVVIVFTVMLIALIIALMRYMSKKSKADETIDSTRSSQDNKVHGEVINRWSGLYKFSKGEIEKAINYANSKICLGSGSAGQVYQGVLPSGQLVAIKHIHKSAMSGSFMREVEGLSKVRHPNLVCLFGYCDDGGDQYLVYEYCANGNLAQNLLRSDSVLSWPARVKILRDCASVLRFLHTHPDGCIVHRDIKLTNILLTESMEPKLSDFGLAKMLQMEETKVFTDVRGTIGYMDPEYITHSKLTCASDIYSFGVVALQLLSGRKVIELDTVARDSLTKKARDVVSGKKPLDEFIDPRVRDEVNIEDFVLILKIAVLCVAHSSVGRPTIKDVFEEMDKALTNTDSKVGRAREEINPSSTIQYQYATGLNIV >ONIVA11G12040.2 pep chromosome:AWHD00000000:11:13049963:13057356:1 gene:ONIVA11G12040 transcript:ONIVA11G12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLARLALDGNAFTSLPPDFLHGLTSLQYLTMENLPLPPWPVPDAIANCSSLDTFSASNASISGPFPAVLATLVSLRNLRLSYNNLTGGLPPELSSLIAMESLQLNNQRSDDKLSGPIDVIASMKSLKLLWIQSNKFTGPIPDLNGTQLEAFNVRDNMLTGVVPPSLTGLMSLKNVSLSNNNFQGPKPAFAAIPGQDEDSGNGFCLNSPGPCSPLTTTLLQVAEGFGYPYELAKTWKGNDPCSPAWVGIVCTSSDVSMINLSRKNLSVPKFKPSVNVLAQGNLFGQSSGSSGRGGGSDGDSSSSDSAGGGKSKPNTGMIIGIIVAVIILFACIALLVHHRKKKNVEKFRPVSTKTSPAESEMMKIQVVGANGISNGSSAFPTELYSHVSAANSSNISELFESHGMQLSVEVLLKATNNFSEDCILGRGGFGVVFKGNLNGKLVAVKRCDSGTMGTKGQEEFLAEIDVLRKVRHRHLVALLGYCTHGNERLLVYEYMSGGTLREHLCDLQQSGFIPLTWTQRMTIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLAKDTDKSLMTRIAGTFGYLAPEYATTGKVTTKVDVYAYGVILMEMITGRKVLDDSLPDDETHLVTIFRRNILDKEKFRKFVDPTLELSAEGWTSLLEVADLARHCTAREPYQRPDMCHCVNRLSSLVDQWKPTNIDEDDYEGETSEMGLHQQLEKWRCDDFTISDSDTFGSFNVPRKYNG >ONIVA11G12040.3 pep chromosome:AWHD00000000:11:13049963:13057356:1 gene:ONIVA11G12040 transcript:ONIVA11G12040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLARLALDGNAFTSLPPDFLHGLTSLQYLTMENLPLPPWPVPDAIANCSSLDTFSASNASISGPFPAVLATLVSLRNLRLSYNNLTGGLPPELSSLIAMESLQLNNQRSDDKLSGPIDVIASMKSLKLLWIQSNKFTGPIPDLNGTQLEAFNVRDNMLTGVVPPSLTGLMSLKNVSLSNNNFQGPKPAFAAIPGQDEDSGNGFCLNSPGPCSPLTTTLLQVAEGFGYPYELAKTWKGNDPCSPAWVGIVCTSSDVSMINLSRKNLSGRISPALANLTRLARLDLSNNNLTGVIPDVLTTLPSLTVLNVANNRLTGEVPKFKPSVNVLAQGNLFGQSSGSSGRGGGSDGDSSSSDSAGGGKSKPNTGMIIGIIVAVIILFACIALLVHHRKKKNVEKFRPVSTKTSPAESEMMKIQVVGANGISNGSSAFPTELYSHVSAANSSNISELFESHGMQLSVEVLLKATNNFSEDCILGRGGFGVVFKGNLNGKLVAVKRCDSGTMGTKGQEEFLAEIDVLRKVRHRHLVALLGYCTHGNERLLVYEYMSGGTLREHLCDLQQSGFIPLTWTQRMTIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLAKDTDKSLMTRIAGTFGYLAPEYATTGKVTTKVDVYAYGVILMEMITGRKVLDDSLPDDETHLVTIFRRNILDKEKFRKFVDPTLELSAEGWTSLLEVADLARHCTAREPYQRPDMCHCVNRLSSLVDQWKPTNIDEDDYEGETSEMGLHQQLEKWRCDDFTISDSDTFGSFNVPRKYNG >ONIVA11G12030.1 pep chromosome:AWHD00000000:11:13043431:13044626:-1 gene:ONIVA11G12030 transcript:ONIVA11G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVVVDGSGQRALRRRHCFGPRCRMLGSTLTSKEVFTWANSNNQRLLHVGDINRTSKSYICISWYMWLAAGDRVESTGDGDDGWLLLRNVELISIPHATSYHDSIVDYCSPPGCPLHYQSQDTDRDLYPYDVGG >ONIVA11G12020.1 pep chromosome:AWHD00000000:11:13012043:13020685:1 gene:ONIVA11G12020 transcript:ONIVA11G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPRSTVPGRSSVQGRRAMVGTSSWSSSSSCTSSLGSLDDDVVVACVVKAADAAVEGTCVKFLCSYGGRILPRHADGALRYVGGDNRVVSVDRSLPFHELQRKLREMCGWEAVCLRCQLPTEDLDALVSVTGDDDLANLLEEYDAASRDRLQPLKIRAFLFPRTTTTTPPPPSRTVPHALYHRQTGSAPSCASRWAAHQMSSPPARVLHQQQHHNRHSGEARPHRYLVQSASHW >ONIVA11G12020.2 pep chromosome:AWHD00000000:11:13010658:13020685:1 gene:ONIVA11G12020 transcript:ONIVA11G12020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSSWSSSSSSSLGSLDDDIIVACVVKAADAAVEGACVKFLCSYGGRILPRHADGVLRYVGGDNRVVSVDRSLPFHELQRKLREMCGWEAVCLRCQLPTEDLDALVSVTGDDDLANLLEEYDAASRDRLQPLKIRAFLFPRTTTTTPPPPSRTVPHALYHRQTGSAPSCASRWAAHQMSSPPARVLHQQQHHNRHSGEARPHRYLVQSASHW >ONIVA11G12020.3 pep chromosome:AWHD00000000:11:13010658:13012373:1 gene:ONIVA11G12020 transcript:ONIVA11G12020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSSWSSSSSSSLGSLDDDIIVACVVKAADAAVEGACVKFLCSYGGRILPRHADGVLRYVGGDNRVVSVDRSLPFHELQRKLREMCGWEAVCLRCQLPTEDLDALVSVTGDDDLANLLEEYDAASRDRLQPLKIRAFLFPRTTATTTAPLSRSPSPTAASRTAPRAHYQHQTGSAPSCASRWAARQMSLPPARVPHQQQHYNRHGGDARPQRYLVQSASSRW >ONIVA11G12010.1 pep chromosome:AWHD00000000:11:13002232:13002465:-1 gene:ONIVA11G12010 transcript:ONIVA11G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDFASIYTQLNIELAEQAAPWPDWPASSDMTGEYHGVQSRKNDSEADISIIKIGHVQSGDKQEEKSTNKRGHYS >ONIVA11G12000.1 pep chromosome:AWHD00000000:11:12982558:12984156:1 gene:ONIVA11G12000 transcript:ONIVA11G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSGSVDLHRMPPTTSIFGKSQFCITNIDQKLKALRHATCLNRPFWKNSAAKAKPEMKNWLRAHRKKIKERETKGLAGHQEGAGHGTYGMKRALPVVGDVGLTFRRFQKSHR >ONIVA11G11990.1 pep chromosome:AWHD00000000:11:12974241:12974468:1 gene:ONIVA11G11990 transcript:ONIVA11G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLRNPNLYPGISYEPVAVAFSFNGTRFVESATMPAFYRTISRGRRLRSTSRWAALTSPSASSPQRGWWHLAG >ONIVA11G11980.1 pep chromosome:AWHD00000000:11:12971639:12972643:-1 gene:ONIVA11G11980 transcript:ONIVA11G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELTCRCRAVSSWRSSRALAQQCTPVPNEVELSRYQEERMTKGLTIIVSVCNVSVRTDKEERQGTHFVRGQGQHSYIEIKSYKFRSPIQPPTEIDLKLPLNVHMSSVLGLWVLNVKILKPLSNGSGLTSEFQELVARYCATSSDLRIP >ONIVA11G11970.1 pep chromosome:AWHD00000000:11:12967410:12970007:1 gene:ONIVA11G11970 transcript:ONIVA11G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G32800) TAIR;Acc:AT2G32800] MSLRRLCFVLPMDADEVVVVAGAAGEEQPRRRGMAASGRLASYVRRKVGRALRCGLCGAWCHHRSSGVCSFEDIAGVDAVGAGKLGGGAGGSPRIFSYSELYIGTSGFSDTEILGSGGFGRVYRAVLPSDGTTVAVKCVAGRGDRFEKSFLAELAAVARLRHRNLVRLRGWCVQDEEELLLVYDYMPNRSLDRLLFRPAAAAAPAASAPALSWDRRRRIVSGLAAALFYLHEQLDTQIIHRDVKTSNVMLDSEYNARLGDFGLARWLEHAMSGEDAPPPQLEVSPSPHSARSSSFASANYQFRLMDTSRIGGTIGYLPPESFQRRAMATAKSDVFSFGIVLLEVATGRRAVDLAYPDDQIFMLDWVRRLSDEGKLLDAGDRKLPDGSYPLFDMGRLIHLGLLCSLHDPRSRPSMKWVVENLSGSCSGDLPPLPSFLALPKYVSLTSPSDSGTTTNATDSTVTSASKLYGTAAGTTIYLTAENGHRSRGGLADNSGGSSQRSTRPLVVIPSVDTPREISYKEIVAITNNFSESQMVAELDFGTGYEGFLDNGYGGNGARRDRVHVLVKRLGMKTCPALRVRFANELRNLAKLQHRNLVQLRGWCTEHGEMLVVYDYSPGNLLSHHLLRRDGAGAAAVLPWRHRYSIVKALASAVLYLHEEWDEQVIHRNITSAAVFLDPDRNPRLGSFALAEFLSRNESHGGAGGHHVALPATSSAARGIFGYMSPEYMETGEATAMADVYSFGVVVLEVVTGEMAVDVRSPEVLLVRRAQRWKEQSRPVEAIVDRRLDGQVDRPELERLVRLGMACTQSDPAARPTMRKIVSIMDGNDEILKKFEQRKQQSKEEWETTNAAALSLVRRLHALAIH >ONIVA11G11960.1 pep chromosome:AWHD00000000:11:12965702:12966055:-1 gene:ONIVA11G11960 transcript:ONIVA11G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGPTFAHRAPCGWSQRIGWSPPVMEMMDGCYYTTSSSSLDHTATSFHDSIVDYCSSHGCPLHYQSQVTDHPLHLYSKIQQSHRMDF >ONIVA11G11950.1 pep chromosome:AWHD00000000:11:12944296:12948856:1 gene:ONIVA11G11950 transcript:ONIVA11G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAAGGGGGGGGGGDHARSKEAAGMMALHEALRNVCLNSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEDIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNIANYWQSSFDASPLAPLLLGGRDLITATTTHLINHLQKQAQHTATATVTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRGTSPSPSSFPLKQQQPPPPPAARPPPQLFNWPGHAPPQLPPGASPLFPPGPAAFHPSSRPMPPFPGGGKDESHLFHLPPAAAAKQPQHMDEHHHHQQQPMAAPQQHGGEAPEGDLKWPNGLSFFTALTGRTEDAKLLFGGGGGGGADDGSKTAAAAQDAGHGGAENVEEYLSLESHSNKARRMESAQSTKFKRSFTLPARMSSSTTSTSPSVSASTAPAPPQQQQGMEYRGPHEGGVYSDLMETFLE >ONIVA11G11940.1 pep chromosome:AWHD00000000:11:12941966:12942579:1 gene:ONIVA11G11940 transcript:ONIVA11G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEDMRVRNKDEGGAAIDEEPIEDQGGESDEGDDEDALTMAHHRRVISVATTTTTYYCDDVGGGRDVGGGSIVHVGSWREEDKRRRSSGSRVK >ONIVA11G11930.1 pep chromosome:AWHD00000000:11:12913660:12913878:1 gene:ONIVA11G11930 transcript:ONIVA11G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATAWHSALGRRLPSLARAQPPPPLPSMSPLPPTPPGTGPGAGDRFSHGSEEEKRGKLNRRVEEEGERKR >ONIVA11G11920.1 pep chromosome:AWHD00000000:11:12908255:12909769:-1 gene:ONIVA11G11920 transcript:ONIVA11G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J1I7] MHFLIVSGAAQGQITPARRLARALVAAAEPGVIIRATLAVPLSALRRMFPGKAAGAAAGEGAVVLSDGAGVDYAAFTDGFDDGFQPERCDGAAFVGRLQLVGPASLARLAAALRARGRPVTCVVYTLLLPFAAAVARDLDVPAYFFWTMPAAVLSVYYHYFHGRHGLVDAAAGVRDDPNRRVQVPGLEFLRARDLPSLLTGPSPYLPAFREMFHVVEATAAASCHAHGQSGAKPRVLVNTFDALEPKALASVPGIDLIPVGPMVTDTEADGGGDLFEQDDDAGYMQWLDKQRDASVVYVAFGSLAVLSPRQLEEIRHCLEVTGRPFLWVVRRDNRDGGGGGGAATGLLPPAGGMVVEWCSQARVLAHRAVGCFVTHCGWNSTLETVACGVPAVMAPQWSDQATNARMAEARWGVGVRAETAADGTVLSSELSRGIDAVMGDSDGARAIRRRARTWKARAAMALDAAADDAEVDGDATAARNLRRFVQGVRSREREREQKQAGQS >ONIVA11G11910.1 pep chromosome:AWHD00000000:11:12883772:12905399:1 gene:ONIVA11G11910 transcript:ONIVA11G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aminophospholipid ATPase 2 [Source:Projected from Arabidopsis thaliana (AT5G44240) TAIR;Acc:AT5G44240] MKRFVYINDESYQNDYCDNRISNTKYTLLNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYISDKQANEKEVWIVKNGTRKHIQAQDIRVGNIVWIRENEEVPCDLVLIGTSDSQGICHVETAALDGEIDLKTRVIPLTCVGLDSEQLHKIKGVIECPNPDKDIRRLDANIRLFPPFIDNDICPLTISNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQIAVVVVLGSAGNVWKDTEARKQWYVKYNDDEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDHETDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGTFYGNESGDALRDVELLNAVANNSPHVIKFLTVMTLCNTVIPIKSSSGAILYKAQSQDEDALVNAASNLHMVLVNKNGNTAGQRIKTFVDAVDKYAQLGLRTLCLGWRELESEEYLEWSRSFKEANSALIDREWKVAEVCQKLEHSLEILGVSAIEDRLQAGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLYINGRTVDEVARSLERVLLTMRITTSEPKELAFVVDGWALEIILSRYNEAFTELAVLSKTAICCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSVGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIVVFLITVHAYANEKSEMEELSMVALSGSIWLQAFVVTLEMNSFTFVQFLAIWGNFIAFYIINFFISSIPSAGMYTIMFRLCRQPTYWVTLLLISGVGMGPVLALKYFRYTYRPSAINILQKAERSRGPMYTLVNLESQLRSDMENTNISISTPPVKNKNSVYEPLLSDSPISSRRSLVSSSFDIFQPAQSRTTSSFPRNIKAN >ONIVA11G11910.2 pep chromosome:AWHD00000000:11:12883772:12906551:1 gene:ONIVA11G11910 transcript:ONIVA11G11910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:aminophospholipid ATPase 2 [Source:Projected from Arabidopsis thaliana (AT5G44240) TAIR;Acc:AT5G44240] MKRFVYINDESYQNDYCDNRISNTKYTLLNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYISDKQANEKEVWIVKNGTRKHIQAQDIRVGNIVWIRENEEVPCDLVLIGTSDSQGICHVETAALDGEIDLKTRVIPLTCVGLDSEQLHKIKGVIECPNPDKDIRRLDANIRLFPPFIDNDICPLTISNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQIAVVVVLGSAGNVWKDTEARKQWYVKYNDDEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDHETDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGTFYGNESGDALRDVELLNAVANNSPHVIKFLTVMTLCNTVIPIKSSSGAILYKAQSQDEDALVNAASNLHMVLVNKNGNTAGQRIKTFVDAVDKYAQLGLRTLCLGWRELESEEYLEWSRSFKEANSALIDREWKVAEVCQKLEHSLEILGVSAIEDRLQAGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLYINGRTVDEVARSLERVLLTMRITTSEPKELAFVVDGWALEIILSRYNEAFTELAVLSKTAICCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSVGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIVVFLITVHAYANEKSEMEELSMVALSGSIWLQAFVVTLEMNSFTFVQFLAIWGNFIAFYIINFFISSIPSAGMYTIMFRLCRQPTYWVTLLLISGVGMGPVLALKYFRYTYRPSAINILQKAERSRGPMYTLVNLESQLRSDMENTNISISTPPVKNKNSVYEPLLSDSPISSRRSLVSSSFDIFQPAQSRTTSSFPRNIKAN >ONIVA11G11900.1 pep chromosome:AWHD00000000:11:12840133:12846600:1 gene:ONIVA11G11900 transcript:ONIVA11G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41670) TAIR;Acc:AT2G41670] MRGLARAGKRASEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVLEVRDARIPLSSANEDLQPVLASKRRILALNKKDLANPNIMNRWLHHFESCKQDCISINAHSTSSVSQLLGLVEFKLKEAISKEPTLLVMVVGVPNVGKSALINSIHRIVTSRFPVQDKNKRATVGPLPGVTQDIAGYKISSQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLVYRREQFNEEAFNSNEKDYRDSPRRRRRPNNSDALYVQDMVMEVQRTLCSTFMDFADNTEDENELESLIDSQLVALRKDTSQTV >ONIVA11G11890.1 pep chromosome:AWHD00000000:11:12779271:12779763:-1 gene:ONIVA11G11890 transcript:ONIVA11G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMERSIKSLYVRKLNESHFCGTSCQQLISVMFQALLE >ONIVA11G11880.1 pep chromosome:AWHD00000000:11:12767313:12769193:-1 gene:ONIVA11G11880 transcript:ONIVA11G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGFLGGGGGSFHALAAWPLPFDAAAALHGGGWDSDPALGELVPSPVGVGVHEAALMDELASRLGGVCGGGLGGGGVPSPPSSSRYASCYSTPVGSPCKPAPAPPVPSLLAAADAMIAERAARMSCLAAAGHGGGKLSRAASSQSLLAEPAAAGGVHQLPASDGSSSDAPSRKRKAPGSKARGKDAATSIAKSPEPGTKAKKCKLSADAAGDEDTKPVAGDAGHGGNGKGKVLDAAGEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPQLDFDVDNFIPKDQANDPSMPAPLSLPPPPPPLSYSPEGASPGICYASSQGTAMQSVVTSTKHLETAPTFANHHVIPVPSLDGFHSAHSQAGSCMWEDDLQSVVQMGFRGNT >ONIVA11G11880.2 pep chromosome:AWHD00000000:11:12767313:12769193:-1 gene:ONIVA11G11880 transcript:ONIVA11G11880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGFLGGGGGSFHALAAWPLPFDAAAALHGGGWDSDPALGELVPSPVGVGVHEAALMDELASRLGGVCGGGLGGGGVPSPPSSSRYASCYSTPVGSPCKPAPAPPVPSLLAAADAMIAERAARMSCLAAAGHGGGKLSRAASSQSLLAEPAAAGGVHQLPASDGSSSDAPSRKRKAPGSKARGKDAATSIAKVLPLLSASPLQSQRRTSPETMVNFPQSPEPGTKAKKCKLSADAAGDEDTKPVAGDAGHGGNGKGKVLDAAGEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPQLDFDVDNFIPKDQANDPSMPAPLSLPPPPPPLSYSPEGASPGICYASSQGTAMQSVVTSTKHLETAPTFANHHVIPVPSLDGFHSAHSQAGSCMWEDDLQSVVQMGFRGNT >ONIVA11G11880.3 pep chromosome:AWHD00000000:11:12767313:12769193:-1 gene:ONIVA11G11880 transcript:ONIVA11G11880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGFLGGGGGSFHALAAWPLPFDAAAALHGGGWDSDPALGELVPSPVGVGVHEAALMDELASRLGGVCGGGLGGGGVPSPPSSSRYASCYSTPVGSPCKPAPAPPVPSLLAAADAMIAERAARMSCLAAAGHGGGKLSRAASSQSLLAEPAAAGGVHQLPASDGSSSDAPSRKRKAPGSKARGKDAATSIAKVLPLLSASPLQSQRRTSPETMVNFPQSPEPGTKAKKCKLSADAAGDEDTKPVAGDAGHGGNGKGKVLDAAGEPPKDYIHVRARRGQATDSHSLAERVNTAGVYAAIHNFVFSSILAFADAIAAVFHLQVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPQLDFDVDNFIPKDQANDPSMPAPLSLPPPPPPLSYSPEGASPGICYASSQGTAMQSVVTSTKHLETAPTFANHHVIPVPSLDGFHSAHSQAGSCMWEDDLQSVVQMGFRGNT >ONIVA11G11870.1 pep chromosome:AWHD00000000:11:12724143:12725662:1 gene:ONIVA11G11870 transcript:ONIVA11G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSDVISGGNIAVAIVSVITGGIVVIVVAILIYKCCKLRMWRKYGLSPPELPASQPPRPRSDDDRAVAALTTTTVDVIKERPVRFSSPQLREMTGDYAERLGAGGFGVVYKGQIPGGLAVAVKVLGSDMGRRAEEQFMAEIGTIGRTSHVNLVMLYGFCFDADLKALVYECMPKGSLERYLFFLDEQEQGLGFHKLFRIAVGTAKAIRYLHDECARRIIHYDIKPGNVLLDEELEPKVGDFGLARLCDRDKTHLTMTGGGRGTPGYAAPELWKLVPVTHKCDVYSYGMLLFEILGQRRNYMHGMESQEQCAHRQPLCSSRPPSHHHHFLFLLAVTHG >ONIVA11G11860.1 pep chromosome:AWHD00000000:11:12721263:12722081:-1 gene:ONIVA11G11860 transcript:ONIVA11G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNGRGGTKPAINASLPISAVALPVVAVASCHAGEGASGAGDRCGRGGPVPNRGDRRGSGRGDGIKGDGFYASLFASCDFFLFSFQRTTATPHLFFT >ONIVA11G11850.1 pep chromosome:AWHD00000000:11:12709238:12715791:-1 gene:ONIVA11G11850 transcript:ONIVA11G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J1H7] MAPASDRNGNEGRRRVLLLPLPYHGHINPMLRLAAALHDRGLAVTVVHTETRAPDRRSLPAGCELVTVPDGLPPELAASGDIPSFVFALNRNCAAPFRDLLAGALRQEEEEEDGGGVACVVADVDWFAPLAAARELGVPALALMTSSAARFRVYLEYPRLCEKGYLPVQESNLDMPVDKHPPLLVRDLHIMMDTSRHVAYASLLAHIVAGVRQSSGLILNTFNAIERTDVEQIRRDTAIPVFPVGPLHMLSPPATVATQKSSLLLEDRSCLEWLNTQLPGSVLFVSFGTLVSIDADELLEVAWGLAASNRPFLWVIRPRLVRGRDSVELPSELLEETRGRGRIIRWAPQEEVLSHPAIGAFLTHCGWNSTLESISRTVPMICKPCGGDQLGTARYVCDMWKVGVRVEVEDKLTRGGIQAAIERLMDGIEGGVVRDRMREMGDVVSKCTTKGGSSDLALQDLVDFIKSS >ONIVA11G11840.1 pep chromosome:AWHD00000000:11:12700197:12701266:-1 gene:ONIVA11G11840 transcript:ONIVA11G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSPSLSYYSSMLSPRKLMQRAFRRSGSSKSSRRRNSKDDVGGDNSASLRVGEHAFLGWDHYHRRRRPWRQRRCKARSAGGHPGEGHTHEANIPVVIHQQPAAVEVKEEEPKSPAPEKEITTTATAIIEEEEDDDEPKKGDAAAPVSTDSAAAAMDNDDDNDAENSPTAANELVRRFKGSRVKTAMEKRLEEEQPRRWEMAWWSNDVIEEARSKLLEKRQCSRVKALLGAFETIMDAEPAGDGATTIAGKPQHYLHRRQTAALPPRHPAAYPNEKSERERERGERESRRGGERVMTWSADMWGPRGSHANSAATLDKTGLKTVKGPRVTGFD >ONIVA11G11830.1 pep chromosome:AWHD00000000:11:12696117:12698898:-1 gene:ONIVA11G11830 transcript:ONIVA11G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAISSDAAENAPPVPVGKDPYVTKEYLKGKDYNLYVHSYLHYGLQASRVEILKTKNGQFSSCMLRGFNGTYKYNGEEYDAAASPEGADHGKCGEEAAAALGLDAPCESRNCSFNGVWNGGGGAGMADLYVASYFYDRAVHAGFVVDDAPSAVTTPAAFAEAASKACSLSSGEAAAAYPEAFDVQFICMDLTYQYTLLTKGFGLKPTREMTLVKQVKYGDCYVESAWPLGTAIEALSSQKSHQSA >ONIVA11G11820.1 pep chromosome:AWHD00000000:11:12678414:12679558:1 gene:ONIVA11G11820 transcript:ONIVA11G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTEATAQRPQAQQHQNNIPQAQWSQQIPYVRAYCCSSPFICRMATRRWTKHPTVASLRRPQPLAADSQVRRRCTCGTTAWPSRTGMTANDWRQGRSNAASKLCTVVVAVERRKLLHGSQQRDWREGGNSVEEVPGEGVVGHELDDEKPLVAVAAVANEVGHPPVPQLTVF >ONIVA11G11810.1 pep chromosome:AWHD00000000:11:12670650:12677811:1 gene:ONIVA11G11810 transcript:ONIVA11G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFKKERWSLAGATALVTGGSKGIGNEAELSRCQEECNSRGLAVTVSACDVSVRADREALAARVRALFDGKLSILVNNVGTSYLKPAVELTPEETSSLMATNFESCFHMSQLAYPLLKASGRGNIINISSAATSLALPSLPVYSAAKGAMNQVTRNLASEWARDGVRVNCVAPGYIKTPLLADYVASEIFEESDYSRIPLGRVGDPEEISSLVAFLCMPAASYITGQLT >ONIVA11G11800.1 pep chromosome:AWHD00000000:11:12653044:12657055:1 gene:ONIVA11G11800 transcript:ONIVA11G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHRWEAGSIADCCGEAGSIADCCGETGSTACHRGEAGSVACHRGEAGSVIRTRFSRAGCSTSGHLDQVGVSAFEGSSPEKKQQQAAEAKIEDAWGQDHPMGQIEENPRQLIVLPNQVLEEYNLMDKDQQLEIMHTTLNSGPSALPYGSPFMNLVLPKRKTILDHAPPVVKRAHSSWALLPSSPNDGLTHQEILDAITLQAQSSSPEVTPAVILIPKAHVKKRDEKTTLYNPTRRHSSRILNASQELKIDHRMGIGKPRGISAKKLNELVGISKILIPGSKINESDFSALDDDINLDSSPSDCSSLLQKLGVDLCGLHPEDVAESSLAGEKRKTLPQADMDD >ONIVA11G11790.1 pep chromosome:AWHD00000000:11:12652412:12652657:1 gene:ONIVA11G11790 transcript:ONIVA11G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHGDYSSAPPPPQRTRRRRSLLFSGGRPFPGCPHMRAFSTAEAREEIEAAMDSKETVYFDDVAACVHDAAGETLPTTTT >ONIVA11G11780.1 pep chromosome:AWHD00000000:11:12647606:12651362:1 gene:ONIVA11G11780 transcript:ONIVA11G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHKCDWFGLPRSSNVLAQVCIICDVNAMQGRSKDATTALTSADVLILQGQNHLGHFSMTDALEASPTMTDYVAMRPHSLLPMRLCLILI >ONIVA11G11770.1 pep chromosome:AWHD00000000:11:12578478:12587256:1 gene:ONIVA11G11770 transcript:ONIVA11G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQCERNMASSGAGRDERWILAGATALVTGRSKGIGRAIVEELVGFGSTVHTCAHSEAELSRCQEELTAKGLPVSFSVCDVSVRTDMEELVSRVHELFGGKLNILVSLIISCHHDFQRSNVGNKVSVDQINMKVNNAGLTLSKLTLETTTSDYTQQIVANFESCFHLSQLLHPLLKAFERGSIINISSISSYLAYPYLAVYSAAKVHELI >ONIVA11G11770.2 pep chromosome:AWHD00000000:11:12578297:12581777:1 gene:ONIVA11G11770 transcript:ONIVA11G11770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQCERNMASSGAGRDERWILAGATALVTGRSKGIGRAIVEELVGFGSTVHTCAHSEAELSRCQEELTAKGLPVSFSVCDVSVRTDMEELVSRVHELFGGKLNILVSLIISCHHDFQRSNGICKIRGAVHIAPT >ONIVA11G11760.1 pep chromosome:AWHD00000000:11:12577892:12578410:-1 gene:ONIVA11G11760 transcript:ONIVA11G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSCKIESDYLYVSTMFRFPPIVQHLGYRHIGQVQLHDRRWAAERGSRDNVDDGDRDCGSGGWGGRRLRLWPMGKRPAAAVGKKEAAADGVEVAAVVEKKQDPAAASPARMRRSGDRAPARMWLQYTWGGGEAKWRSLRAWRSKVFASCGGERNHALVNEIARMDGRDSSK >ONIVA11G11750.1 pep chromosome:AWHD00000000:11:12568491:12570595:1 gene:ONIVA11G11750 transcript:ONIVA11G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGPARPPPRSSVPQRLYTLGLGFGDIPRDILGELQFNGIDTAAFLTPVDLNLGVRRPNGQTLTTAARKIISRCINMGNLA >ONIVA11G11740.1 pep chromosome:AWHD00000000:11:12560321:12562661:-1 gene:ONIVA11G11740 transcript:ONIVA11G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCGRVVLFPMPYPGHTIPMFHLAAVLRSRGFSITVLHTELRAPDPAAHPPEYRFVAVADGTPPELVVSEDAAAVLTSLNETCAAPFADRLAALLAEEGGVLCVIADVMWYAPAAAAPELGVPLMLLMTSSASSFRTFMEYPLLLERGFLPVDDAQKDTLVDILPPFRVKDLQRIDTTNLYSFANVLANVVAAARLSSGLILNTFDFIEGDNICRIRDELSIPVFAIGPLNKLIPLVGRSSFLPPDCDCLRWLDTQAPSSVLFVSFGTMATIDAQEFLEVAWGLAGTKLPFLWVVRPSLVRGLRLHSSELPSDLQEEINGRGRIVSWAPQEKVLGHPSVRAFMTHNGWNSTIESISEGVPMICRPCFGDQMGNARYVCDVWRLGVEMEVGSVLQRAKVQTAVEKLVNGEEGQNVKQRMRNLRIEAEKCVSKGGSSDTGLRNLVDSILSFGKC >ONIVA11G11730.1 pep chromosome:AWHD00000000:11:12548330:12548875:-1 gene:ONIVA11G11730 transcript:ONIVA11G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREDRSDGRDINDTASSGVLEERNSWLRWKHDSKLVVINLDVSSSVRSAAGFRMLSLPSNMADTLPASASLSARTATSQTETVTARPLAVHSSWHLLSSAWFRAQVCTVAPKLASSSTMARLHG >ONIVA11G11720.1 pep chromosome:AWHD00000000:11:12547989:12551253:1 gene:ONIVA11G11720 transcript:ONIVA11G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSINKRFSLAGATALVTGGSKGIGRAIVEELASFGATVHTCARNQAELSRCQEEWTAKGLAVTVSVCDVAVRADREALAGRVSAMFDGKLSILVNNAGTAYLKPAADLTLEETSRLMTTNFESCFHLSQLFYPLLKDSGRGSIVNISSVASVLAFHSLPIYSAAKGAMNQVTRNLACEWASDGIRFVAGNDFAQVEFNRLPLGRLGKPEDISSLVAFLCMPAASYITGQIICVDGGRMLS >ONIVA11G11710.1 pep chromosome:AWHD00000000:11:12526941:12529183:-1 gene:ONIVA11G11710 transcript:ONIVA11G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHGDGVWASSSGGTGDGVEVELGTQEMFDGMEVHTGKAFKERIAAIKTSPTDLMATSPSPLPASPTSAPTWYSTVCPNNNIMYTTASSCHFNKKPILEVALELGDHEEKAHALCIDTIGCFKDMHAKCSTFGLETNGDANQAVVMSPTIIGMSKIIPASVVLVDIFSPTSITDIKMYTLMATRDVSQLLMEPSPVMGLKLDAIISVENEVPDGCSMKCHEDGQKALMENPKRNPWPPPNSGEHVLRRWEMGLVRSMPLWSPLIQVKSLACVQSDNGNLFIGLVVLSYEMIELDENTCARGMVFLHFMTDENTCATVAKTNLATVKEDELFSVLELYESFSCYNDIVEQNMPSRFSEKFLQKYGQIRYWDCALLTKGDMGNYDQLELLMCMSMKWIQLVLCTMLYRRIEWKLLIDCNSKQCIESFLAILDTKLLVISVNKDNALQGKASNFLVVWWNASATKELAMVMMGKQLCDVSHGIVAFIMQRHWGSWWIIDIGGTFCMESK >ONIVA11G11700.1 pep chromosome:AWHD00000000:11:12506238:12521144:1 gene:ONIVA11G11700 transcript:ONIVA11G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNCAGMSFLKPAVELTPDDCSQVMGMNFESCFHLSQLAYPLLKASQRGCIVNISSIASVVAFCSLPNAVYSAAKGAMNQVTRNLAAEWANDGIRVNCVAPGFIRTPLLSEFVEGNELGRAEFSRVPMGRLGEPEDIASLVAFLSMPASSYITGQVICADGGRCLS >ONIVA11G11690.1 pep chromosome:AWHD00000000:11:12495232:12496590:-1 gene:ONIVA11G11690 transcript:ONIVA11G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNSSKGAMEGGHRCRRPNVDDDDQIEEGEICYDCHVSGSETDDDEHHRRAVLPPRDNGDGCAEHKRCRLDNAATAPAPSAGPVLTTSNGSAIVAIASAAAAAAAAASTMAREVFACRICRKEFDTRKAVDGHIRVHRQQSIATPKYNAADNSRVTVVAEPRTDLDLSGPHGSSSAPPSPPAPPANPPNHNQAVGHQPAAAAPNAGVVVVVEGAPQKSLPYMCKMQGCGRAFPTHQGLGGHAAGHQNRSKAAAAAASEQGSSGAGADGCHGGADSSKHRCRECGMEWKTGFALGGHMRKHQTKEKVTVNEKEPNVAGKHISLGPPPSPDLTPAAAEVTSSEPLDQPPLLSMVVGAEVAAPALLALANEAAALPPQDDQAEEEAAAEAAAPAEAAALRPVEAGAEAADVGAAPEEPLLAPIAGMGTVRIFGFLVEKPAPGDGSGGEGSAPA >ONIVA11G11680.1 pep chromosome:AWHD00000000:11:12468633:12474353:1 gene:ONIVA11G11680 transcript:ONIVA11G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTASCSLPDAWPEPVVPVQSLSEAGVSAVPPQYIKPPQDRPVLPAPSLDVPTVDVAAFLDLDGAAAACAAAEQLKNLAEACSKHGFFQVVNHGVQASTVERMRGAWRRFFALEMEEKKACSNSPSAPEGYGSRAGVEKGALLDWGDYYFLNILPREIKRRNKWPKSPHDLREITEDYGRDLMNLCEVLLKAMSLSLGLGENQLHAAFGSDDGISACMRVNYYPKCPQPELTLGISSHSDAGGIAVLLADDRVKGTQVLKGDTWYTVQPIPNAFLVNVGDQIQIISNDKYKSVEHRAVASSDDARFTVAFFCNPSGNLPIGPAAQLVSSQSPALYTPIVFDEYRRFSRRRGLKGKSQLEAMKNSKIAQVELIAYRDAQINLRNLFTSDKN >ONIVA11G11670.1 pep chromosome:AWHD00000000:11:12451367:12452287:1 gene:ONIVA11G11670 transcript:ONIVA11G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPCASSRAKRATAPLDAAAMARLAVVPGSADSSGSEHEAALSSLVNEYLLEAADDATVPSAAVRAVGGGSDAEEDDEHEGGVGAAEEVDEIARVLDAAGGGDGDDLRRRIFADVVDSMRELENVRAQRSAFRRAVMSLLRERGHDAGLCKARWNKTSSMVAGSYEYIDVVVAAAPDAAEATRYIVDVGFAGEFEVARPTEDYEAVRSALPEVLVARPDDVRKVVRAAASAARRSLKRRRLSVPPWRKRKFMIAKWLGPYRRTVNAVPTSAGTAIDGGSSSAVCRTVHGFEAPPLVMTTPTGLWG >ONIVA11G11660.1 pep chromosome:AWHD00000000:11:12443527:12444444:1 gene:ONIVA11G11660 transcript:ONIVA11G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDAIQWSHIQDFLDVECSSMKNDDGDRDGDDVISASETPPASTVDAAAMASKIRTAARRLRALLDRGGMADDDPFRFTLAGDVTRAAEEMAALRGARPAFRRAVASRLREAGYDAAVCRTRWRAACDVAAGNYEYIDVVVTAVTAAGAGAAKSAAHGAERRYIVDVGFAAEFAVARPTVGYDELVLSALPAILVAPPTVAREAVTLAAKAARRSIKSQGLAVPPWRKKRFVAAKWLGPYRRTPPHDAATAGAAGGAGEAACRTVGFMLGPPIQPWAMASSKCCFVSMRAAANQPCTYDVMVWE >ONIVA11G11650.1 pep chromosome:AWHD00000000:11:12430660:12430965:-1 gene:ONIVA11G11650 transcript:ONIVA11G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRCASNAIALLELVDGEDKDPAPCIGTSNYSVVTPTRCSTVVINISDIAIQVLVAPLIIAVSWEMITGLIEPSQVMVLQPNVVLILEKKMDNRCSMTLD >ONIVA11G11640.1 pep chromosome:AWHD00000000:11:12422627:12426356:-1 gene:ONIVA11G11640 transcript:ONIVA11G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPATDGECVDASVPWWRRKRPRLPPPPPEADAEEVKAEALALMAAHPVLPRLVVFDLDHTLWPFHDRLPKDEPPYLYPQARGILKALKDRGIEMAIASRASRKKGVAKAFLEKLGIHFMFGAQEIFYTWSPKNEHFQSIHRKTGVPFKSMLFFDDEARNIIATRKLGVSCVLVDTGITLEKLRTGLSNYANRSASPNAEPAGGRSAEITWYLDVATG >ONIVA11G11630.1 pep chromosome:AWHD00000000:11:12418152:12421829:1 gene:ONIVA11G11630 transcript:ONIVA11G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVNLSLSLFIFFLSFSVLLFLSLSRHTFFRQASFFSRRRKRPRPPASSPPAGARSGAPPEPSTSPSGLIHRGNDDFSLHDAFVCSAHAALADVFLHRDPQDWERKHDVVAGVVSHPVARRVEELRVAAVKSADEPSSDKEVAEMEGEFHLSLRSNTQPSETLHVLDVTGYGSFSLSAGGAPAADDAAAAAVRRAGQGPAGRRRLRAGARHRAPRVRLPRRGERRWLRPRPPGQCTTSACVGR >ONIVA11G11620.1 pep chromosome:AWHD00000000:11:12412331:12412967:-1 gene:ONIVA11G11620 transcript:ONIVA11G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADDDRRRRRQAAKDDEIGRRRAECWAASPPRRSTSWACGHDGEEVTIKELKPVEPLLWTGAEISDVFRRVLLPLQPCRIRDGAIRASSASTSGGQRPDKLPAAPSRSCPTRGRNDGTVVGSTGSGSGRSPH >ONIVA11G11610.1 pep chromosome:AWHD00000000:11:12405115:12405643:-1 gene:ONIVA11G11610 transcript:ONIVA11G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCSRRLLCSLFRTAAALCGPRHDWDITYQFTSPDCVRKLAVTINGHTPSRTISVGDTIVINVKNSLLTENVTIHWHSIRQISTPWADGTGGITQRHHRGVRRRRHHSRRAAQCARLRPFRCLLLAEAGELHARRRRRGVHHFTYDGDTRTYSQWGPHWINLSQGQTGL >ONIVA11G11600.1 pep chromosome:AWHD00000000:11:12402692:12404677:1 gene:ONIVA11G11600 transcript:ONIVA11G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKPLLSRLLPLPLPLRPHLRLLCLATATPTPAPTPADADQATPTDAAAERRRRKRRLRVEPPSARGGPAPQRAPGAPRPASNPNAPKLPEPASALSGKRLDLHRRILALVREDDLDEAALLTRHSIYSNCRPTVFTCNAVLAALLRQARYADLLSLHRFVTQASVAPTVATYNLLLQAYCDCRRPDTALEHFRLLLKDDSPVLPSPTTYRILARSLAENGKLEQAIELKDGMLERGLVAPDPQVYALVMGGFVNAGDGDTVVSLYEELVEKLGGGQILDGMVYGNLMKGYFLKGMEKEAMDCYAEVLGEGSKVRFGAVSYNMVLDALGRNGKLDDALQLFDRMCEEHDPPRRIAVNLGSFNVMVDAYCRAERFQDAIEVFGKMGEKRCAPDALSYNNLIDWLGKNELVGEAEQLYKEMGERGVNPDEYTYVLLIESYFKVDRVDDSVSYFNKMFVAGLRPNANAFNKVIGGLVKVDRLDEAQGFFDKMPEKEVKPNIGSYELLLGAYIDAARLDDAIKMAKCILLDESVVFSDELKALLEGALQKDGRDGDMTKLYEDVEREKAEAAARAAEEKARAEALAKEEEERKKAEAKAKEEAAARASRAAIEAVLGRKKEGENDDSTVNVEEAQVVESHSDTNDITEENEGDDQKKSGDALP >ONIVA11G11590.1 pep chromosome:AWHD00000000:11:12386838:12394712:1 gene:ONIVA11G11590 transcript:ONIVA11G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSAAAAAAPDPDGPDAVRLTWNAWPRSKVEASRCVVPLAAAISPVRSPESLASPPLPYPPLRCKPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYLLAGAAPAAGVPLHQGGPPAPPPPVFLFVIDTCVIEEELEYVKMSMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQINGMHPPATAGVTRFLLPVSDCECTLSTLLDELQPDQWPVETGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLDLSFNGTLEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPDLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRESITNSVAMIQPSLISFSFDLPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHQQFAQLLQAPHEEAQIIIKGRFPAPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVPPGSDIIFTDDVSFQVFCEHLQRLAVQS >ONIVA11G11580.1 pep chromosome:AWHD00000000:11:12380720:12382375:1 gene:ONIVA11G11580 transcript:ONIVA11G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSITAAPATVSHLVRIDGYSRTKNLRRGRFIEAMNFTVGGHRWFIRFYPNGHGPRDVGVVSVYVGIAGAYRRGGGDAKPVIADARFSLVDRDGRPAPPSFVQGMPAVDFSGNDFGMNIKRAELETSGFLKDDGFLVRCELGFVNSAGDGDGRRGVQIKEGIKVPPPNLHRHLADLLWKNQSSGDVFIEVQGKTFTAHRWMLAARSPVMAAELSLSDSDDAAYTDADATKNTMMTLRVDDDMDAETFRALLHFIYTDALPPPPQPRARDTKEKEDEAAAAEAARRLHAAAARYGMERLQLMCEDALCRSLSVLTVASTLVFAEKHGCRVLKAACLDFLSCKRKLRQICIF >ONIVA11G11570.1 pep chromosome:AWHD00000000:11:12368360:12379608:1 gene:ONIVA11G11570 transcript:ONIVA11G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHARRLPAAAAVLLLVLLAGGSAADDASSDDDAGVPPSPGCSNKFQLLTNYIAIAQRGECAFTAKAKIAQTGGAVGLLVINDNEELYKMVCSDNDTSINVTIPVVMIPQSAGKKMKGLLDQGARLEVQLYSPNRPVVDLSACFLWIMAIGTIVCASLWTEFVACEQVDERYNQLTRKDGPNSGTTNREDKEIFEISAKGAIVFILVASVFLLLLFYFMSSWFVWLLIVLFCIGGIEGMHVCLVTLLTRICKDCGQKTVQLPFFGEVLTLSVLIVPFCTIFAILWAVYRHASFAWIGQDILGICLMITVLQMARLPNIRVASALLSAAFVYDVFWVFISPLIFHESVMIAVARGDNSGEAIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKRGLFNGYFLWLTVGYAVGLFLTYLALFLMDGHGQPALLYLVPCTLDQTRPDKWVSLTQP >ONIVA11G11570.2 pep chromosome:AWHD00000000:11:12372746:12379583:1 gene:ONIVA11G11570 transcript:ONIVA11G11570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWQAELVVEKLMAMLDLQAGDVEVQLYSPNRPVVDLSACFLWIMAIGTIVCASLWTEFVACEQVDERYNQLTRKDGPNSGTTNREDKEIFEISAKGAIVFILVASVFLLLLFYFMSSWFVWLLIVLFCIGGIEGMHVCLVTLLTRICKDCGQKTVQLPFFGEVLTLSVLIVPFCTIFAILWAVYRHASFAWIGQDILGICLMITVLQMARLPNIRVASALLSAAFVYDVFWVFISPLIFHESVMIAVARGDNSGEAIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKRGLFNGYFLWLTVGYAVGLFLTYLALFLMDGHGQPALLYLVPCTLVYRSDQAG >ONIVA11G11570.3 pep chromosome:AWHD00000000:11:12368360:12372700:1 gene:ONIVA11G11570 transcript:ONIVA11G11570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHARRLPAAAAVLLLVLLAGGSAADDASSDDDAGVPPSPGCSNKFQLGLVVGGGRVLSLLVGLWGFGASVPRDIHEAQKTFAVLANPLDCCSNSTSKLTNYIAIAQRGECAFTAKAKIAQTGGAVGLLVINDNEELYKMVCSDNDTSINVTIPVVMIPQSAGKKMKGLLDQGARRRRVANTEGAMWRDLEAKQQLA >ONIVA11G11560.1 pep chromosome:AWHD00000000:11:12358541:12363521:1 gene:ONIVA11G11560 transcript:ONIVA11G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35030) TAIR;Acc:AT2G35030] MAALAAPALRRLRSPRLCLAATVNCISNNAAPAASAGVNHVQDSNWRVAELAAAGRVSDARRLFDGMPDRDVVSWTAMVAAYARRGMLQEARVLFDRPDARRNVVTWTALLSGYARARRVDEAEALFEGMAERNVVSWNTMLEAYTAVGRVEDASALFNRMPVRDAGSWNILLCGLVRSGSLERARKMFERMPVRDVMSWTTMISGLARNGSVDDAWVLFDAMPERNVVSWNAMISGYARNHRIEEALDLFTKMPIRDVASWNIMITGFIQNKDLKSARQLFDEMPKRNVITWTTMMNGYLQCMQSEMALKLFNCMLVQGIQPNQVTFLGSLDACSNLAALCEGQQVHQMICKTPSQFDTFVESTLMNLYAKCGEIRLARNVFDFSMEKDLISWNGIIAAYAHHGFGIEAMHLYKNMQENGYKPNDATYVGLLSACSHAGLVDEGLKIFESMVKDNSIVVRDEHYTCLVDLCSRAGRLEDAKRLISWFKIKPTSSTVWSALLGGCNSHGNESIGDLAAKHLLEAEPDNAGTYTLLCNIYASAGKWKEAAEIRSEMNVRGLKKQPGCSWIEVANKVHVFVSRDKSHSESDLINDLLQDIHRIMRMAGTVPRDHMLIDVELRSLDLCGQWYLDTSPSTAQSFICILSSMRMCSVLRGNELCRGGKPKAGSCSGVFVLWNG >ONIVA11G11550.1 pep chromosome:AWHD00000000:11:12355357:12355683:-1 gene:ONIVA11G11550 transcript:ONIVA11G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVAHGLGGCEQSETCQRTASGLAMPKLDSVEVLGGRQVGLAKVEHVIDNRRHGNARLGSHWICCMGVFEESSGGASAPTVGMEGNAQREFSSPAHQKNKPKRNMS >ONIVA11G11540.1 pep chromosome:AWHD00000000:11:12343742:12351384:-1 gene:ONIVA11G11540 transcript:ONIVA11G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J1E3] MSLRLRLAFRLLFCYCLLLAAAHPSSRRLLPLLVVSAAERTNVAGLPGLDGALPSRFETGYVTVDEENGGELFYYFIESEGDPGADPVLLWINGGNRCSVLSALFFEIGPVKLAIEPYDGGVPRLRYNPYTWTKVASVLFVDSPVGAGFSFSRDPRGYDVGDVSSTLQLTKFVNKWFSQHREFLSNPLYVGGESYAGKLVPFLVQKISEDVEAGVKPVLNLKGYLVGNPGTGESIDYESKVPYAHGVGIISDQLYETILEHCGREDYANPKNATCAQALNRFSELMGEVSEAHILYKKCIYVSPKPDDGTIGRKILEEIVVGNHRPPRPPMDCSTYPNYLSYFWANSNNTRENLGIKKGTVDEWVRCHDDGLPYSQDIESSIKYHQNLTSRGYRVLVYSGDHDSVVPFLGTQAWVRSLNYPIVDDWRAWHIDGQSAGFTITYANDLTFATGGGHTAPEYQPERCLAMFGRWISEKSL >ONIVA11G11540.2 pep chromosome:AWHD00000000:11:12343742:12351384:-1 gene:ONIVA11G11540 transcript:ONIVA11G11540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J1E3] MSLRLRLAFRLLFCYCLLLAAAHPSSRRLLPLLVVSAAERTNVAGLPGLDGALPSRFETGYVTVDEENGGELFYYFIESEGDPGADPVLLWINGGNRCSVLSALFFEIGENLNHHRSPAGAPPLTRLCAAGPVKLAIEPYDGGVPRLRYNPYTWTKVASVLFVDSPVGAGFSFSRDPRGYDVGDVSSTLQLTKFVNKWFSQHREFLSNPLYVGGESYAGKLVPFLVQKISEDVEAGVKPVLNLKGYLVGNPGTGESIDYESKVPYAHGVGIISDQLYETILEHCGREDYANPKNATCAQALNRFSELMGEVSEAHILYKKCIYVSPKPDDGTIGRKILEEIVVGNHRPPRPPMDCSTYPNYLSYFWANSNNTRENLGIKKGTVDEWVRCHDDGLPYSQDIESSIKYHQNLTSRGYRVLVYSGDHDSVVPFLGTQAWVRSLNYPIVDDWRAWHIDGQSAGFTITYANDLTFATGGGHTAPEYQPERCLAMFGRWISEKSL >ONIVA11G11530.1 pep chromosome:AWHD00000000:11:12341711:12343002:-1 gene:ONIVA11G11530 transcript:ONIVA11G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLLPASHCLPHPARTSHAAEPRVCSVGCRYREMEICAGSPSAMLASTAPTMAFAASPPLSSLAAAAAVISSSSPSKPLSRAKTPPPALLQAILPTAPPKHHSLSCSGPQAPRATTGDGSVVGDRGSGSGGNNGKGGGGDGDGGDNDYEEAEFGPLLGFDEVLRLTAACGVSLPADMMGAAKDASIREVMLLHHFDLQVVIDSCCATFAEVFELYDPWTDMHATGFMDTAITPSFHSLILHSSLDDIFVIPKE >ONIVA11G11520.1 pep chromosome:AWHD00000000:11:12328201:12336298:1 gene:ONIVA11G11520 transcript:ONIVA11G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-oxoacyl-CoA reductase-like protein At1g24470 [Source:Projected from Arabidopsis thaliana (AT1G24470) UniProtKB/Swiss-Prot;Acc:Q9FYL6] MESVVVAGDLAAAPAPATPMWFVALVAVGLHAAVVWAGTFLAWLRRAFLRPGKGLCRRYGEWAVVTGATDGIGRAVALELARRGLHLVLVGRNPGKLSGVCKEVRAAAPACKVRTVVFDLAAPGDDDVGGGEMSRGVARVAAAVEGLDVGLLVNNAGATYPCAAYFHEVPDAVWEAVLRVNVVAATRIARALVPAMAAKGRGAVVNVGSGSSVVVPAFPLYAIPLYVATKMSPVQGNSPFIPSPEEYAKAAVRCIGYEPRCVPYWRHSIQWFFASLLPDSVLNLWRLQVGIRKRNQMKVLLGESDHGFS >ONIVA11G11500.1 pep chromosome:AWHD00000000:11:12312872:12317489:1 gene:ONIVA11G11500 transcript:ONIVA11G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKQQQQQPQAATAAAASGVWKTVKPFANGGASGMLATCVIQPIDMVKVRIQLGEGSAASVTKKMLANEGISAFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLVQKAGIGLTAGAIGACVGSPADLALIRMQADSTLPAAQSRNYKNAFHALYRIAADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDTLGAGEVTTVLGASAVSGLCASACSLPFDYVKTQIQKMQPDASGKYPYTGSLDCAMKTLKSGGPFKFYTGFPVYCVRIAPHAMMTWIFLNEIQKLEKRLGL >ONIVA11G11490.1 pep chromosome:AWHD00000000:11:12301531:12302016:-1 gene:ONIVA11G11490 transcript:ONIVA11G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRRMRLTPAAVHGEEAHAQTQEMAVVAWSGRTSGVQITRETSETKAAAALADAEDDGGRFERRLTRKTETAEADDGGRATDAEDGGGPAGRQQWLIRATADDGGRAADAEDGCGRFRRRLTRKAEAAEADDGGCATDAEAGGRVADAEDGGGRSGRR >ONIVA11G11480.1 pep chromosome:AWHD00000000:11:12293759:12294247:1 gene:ONIVA11G11480 transcript:ONIVA11G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHREGRPVHAPAKRVLECASYFPPQRNQFDLIYHRVRLVKPNTAPLISTIHVTLPLVSPNDSYA >ONIVA11G11470.1 pep chromosome:AWHD00000000:11:12267798:12285436:-1 gene:ONIVA11G11470 transcript:ONIVA11G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLCCCCFLLFVTIAAAGGSLTRTNVATLPGFDGALPSRLETGYVTVDEENGAELFYYFIESEGDPSTDPVLLWITGGDRCSVLSALFFEIGPLKLVIEPYNGSLPRLHYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVSASMQLIKLLREWFTEHPHYLSNPFYVGGDSYAGKIVPFIVQKISEDIEAGVRATFNLKGYLVGNPSTGERIDLESRVPYSHGVGIISHQLYEMIMEHCEGEDYDNPSNVICQQALARFDSLLHEGSRAQILNPNCIYVSPKPNHETIDRKILMEEHGGLKHPPPQPSIKCGVYANYLSYFWANNNFTRRTLGIKKGTINEWVRCHEYDLPYNIDIRSSIKYHRNVTLKGYRALVYSGDHDAVVPFLGTQAWVRSFNYPIVDDWRAWHIDGQSAGFTIAYGNNLTFATVKGAGHTAPEFEPERQLGATLTAATVTTMRGEQKEARSGGAALGSVISTGYLIGNPPLTGEGIVYASKVPYCHGVGINSDQLYEVST >ONIVA11G11470.2 pep chromosome:AWHD00000000:11:12267798:12285436:-1 gene:ONIVA11G11470 transcript:ONIVA11G11470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLCCCCFLLFVTIAAAGGSLTRTNVATLPGFDGALPSRLETGYVTVDEENGAELFYYFIESEGDPSTDPVLLWITGGDRCSVLSALFFEIGPLKLVIEPYNGSLPRLHYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVSASMQLIKLLREWFTEHPHYLSNPFYVGGDSYAGKIVPFIVQKISEDIEAGVRATFNLKMIMEHCEGEDYDNPSNVICQQALARFDSLLHEGSRAQILNPNCIYVSPKPNHETIDRKILMEEHGGLKHPPPQPSIKCGVYANYLSYFWANNNFTRRTLGIKKGTINEWVRCHEYDLPYNIDIRSSIKYHRNVTLKGYRALVYSGDHDAVVPFLGTQAWVRSFNYPIVDDWRAWHIDGQSAGFTIAYGNNLTFATVKGAGHTAPEFEPERQLGATLTAATVTTMRGEQKEARSGGAALGSVISTGYLIGNPPLTGEGIVYASKVPYCHGVGINSDQLYEVST >ONIVA11G11470.3 pep chromosome:AWHD00000000:11:12267798:12285436:-1 gene:ONIVA11G11470 transcript:ONIVA11G11470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLCCCCFLLFVTIAAAGGSLTRTNVATLPGFDGALPSRLETGYVTVDEENGAELFYYFIESEGDPSTDPVLLWITGGDRCSVLSALFFEIGPLKLVIEPYNGSLPRLHYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVSASMQLIKLLREWFTEHPHYLSNPFYVGGDSYAGKIVPFIVQKISEDIEAGVRATFNLKMIMEHCEGEDYDNPSNVICQQALARFDSLLHEGSRAQILNPNCIYVSPKPNHETIDRKILMEEHGGLKHPPPQPSIKCGVYANYLSYFWANNNFTRRTLGIKKGTINEWVRCHEYDLPYNIDIRSSIKYHRNVTLKGYRALVYSGDHDAVVPFLGTQAWVRSFNYPIVDDWRAWHIDGQSAGVLDTLHQSLSRRGALQCLSVGFPAYHYDDGCAYRLGATLTAATVTTMRGEQKEARSGGAALGSVISTGYLIGNPPLTGEGIVYASKVPYCHGVGINSDQLYEVST >ONIVA11G11460.1 pep chromosome:AWHD00000000:11:12250869:12255534:-1 gene:ONIVA11G11460 transcript:ONIVA11G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLLLLLSLFSGVSAEVPLTRTHVTSVPGFNGALPSRLETGFVRQKFFAIMFYLTMTRYVTVDEENGAELFYYFFESEGDPGSDPVLLWLTGGDRCSVLSALFFEIGQQPNSKQAPLSPKSVRSCGPLKLVIEPYNGSLPRLHYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVSASLQLVKFLSNWFGGHPEYLTNPFYVGGDSYAGKIVPFIAQKISEDIEAGVRPTLNLKGYVVGNPTTGERIDYESKVPYLHGVGIISDQLYELLNEVSKPHILYKKCIYMSLIPKFESMDRKILKEELGILKHRPPRPSIQCVSYSNYLSYFWANDNVTREYLGIKKGSVDEWIRCHDNDLPYTKDIKSSIQYHHNVTLNGYRALVYR >ONIVA11G11450.1 pep chromosome:AWHD00000000:11:12244117:12245458:-1 gene:ONIVA11G11450 transcript:ONIVA11G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLNRPDLTSWSWRSMDEVTPGSMGKEETLARGGSSGEDAAASSIPLGDHDTVLPFLGTQTWVRSLNYPIVDDWRAWHVDGQSAGFTVAYGNNLTFATVKIFPKVVVNGVMF >ONIVA11G11440.1 pep chromosome:AWHD00000000:11:12233652:12237788:1 gene:ONIVA11G11440 transcript:ONIVA11G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLFFFLLSFLLSFLPLTRVVFFATGGGAVREVLLTRWEGRDDQELLYGLLPAGVDHGELMGRARFCLCLTGDDKGAAAASRRVMEAITAGCCTVGIAVSLLRRRRRFHDEDFVLLFHDWHRLLQHGSGFKFSTTVEFVCFCNLRTPMPAGGGPRGTTDPVAQIHLMMKTLYNG >ONIVA11G11430.1 pep chromosome:AWHD00000000:11:12226108:12230094:-1 gene:ONIVA11G11430 transcript:ONIVA11G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPLIVICIVSGVTADANADAPMTRTHVASLPGFDGALPSRLETGYVTVDEVNGGELFYYLIESEGDLGSDPVLLWLTGGDRCSVLSALFFEIGPVKLVIEPYNGGLPRLRYHPYSWTKVANILFVDSLMGAGFSFSRDPNGYDVSEWFDGHPEYLANPFYVGGDSIAGRFVPFITEKISEDIEAAVRPTLNLKMILEHCKGEDYDKPKNLICRQAMARFNENAEF >ONIVA11G11420.1 pep chromosome:AWHD00000000:11:12181944:12185790:-1 gene:ONIVA11G11420 transcript:ONIVA11G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRYPSPPRIRRLPPEPLGAGCRRRRRGSGPHRRRRLLRHRPSAVVASVLGQIGATAVAVTYIPPCPPPPPPTPHPGWSGRGASLRQNHRTRLHIVTACASSPPVLTVHPAHASQPTAPASTSLALALPPAPHRRQRSPSTPPAAADSSRPPATRRRDQAAADACAHPPASPQSALFGKLTC >ONIVA11G11420.2 pep chromosome:AWHD00000000:11:12181458:12185790:-1 gene:ONIVA11G11420 transcript:ONIVA11G11420.2 gene_biotype:protein_coding transcript_biotype:protein_coding LSRYPSPPRIRRLPPEPLGAGCRRRRRGSGPHRRRRLLRHRPSAVVASVLGQIGATAVAVTYIPPCPPPPPPTPHPGWSGRGASLRQNHRTRLHIVTACASSPPVLTVHPAHASQPTAPASTSLALALPPAPHRRQRSPSTPPAAADSSRPPATRRRDQAAADACAHPPASPQSALFGCL >ONIVA11G11420.3 pep chromosome:AWHD00000000:11:12182154:12185790:-1 gene:ONIVA11G11420 transcript:ONIVA11G11420.3 gene_biotype:protein_coding transcript_biotype:protein_coding LSRYPSPPRIRRLPPEPLGAGCRRRRRGSGPHRRRRLLRHRPSAVVASVLGQIGATAVAVTYIPPCPPPPPPTPHPGWSGRGASLRQNHRTRLHIVTACASSPPVLTVHPAHASQPTAPASTSLALALPPAPHRRQRSPSTPPAAADSSRPPATRRRDQAAADACAHPPASPQSALFGLW >ONIVA11G11420.4 pep chromosome:AWHD00000000:11:12181382:12185790:-1 gene:ONIVA11G11420 transcript:ONIVA11G11420.4 gene_biotype:protein_coding transcript_biotype:protein_coding LSRYPSPPRIRRLPPEPLGAGCRRRRRGSGPHRRRRLLRHRPSAVVASVLGQIGATAVAVTYIPPCPPPPPPTPHPGWSGRGASLRQNHRTRLHIVTACASSPPVLTVHPAHASQPTAPASTSLALALPPAPHRRQRSPSTPPAAADSSRPPATRRRDQAAADACAHPPASPQSALFGLG >ONIVA11G11410.1 pep chromosome:AWHD00000000:11:12171052:12186140:1 gene:ONIVA11G11410 transcript:ONIVA11G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEGGGNGARRPPAPPLLPTLSLPPRSAAGSLFSAESSPGALTLAASLFPDAPSPAFQGSFTQLLVGAMGYPAASAPAPPSPFPVPHGLSPTAFLGGSPGLFSPTGNFEMSHQQALAQVTAEAVHSPYSMINQSDFSLPFSSTTTSVLASQHVNSSANVSSPREIPTLPSHTDNSNIESTEVSHGFQTTALTEDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHLSCPVKKKVERSSDGQITQILYRGQHNHQRPPKRRSKDGGALLNEADVSPEKEDASTRSEQGSQDYSGKFKASNDGGPSSSRRGDRGEQISGSSDSNDQGEEEVKVEGGATSDGNANKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHSSANANVSSSSNLPHKDRGQRSSRRDGLRNASSVSSLQLKEESG >ONIVA11G11410.2 pep chromosome:AWHD00000000:11:12185974:12199890:1 gene:ONIVA11G11410 transcript:ONIVA11G11410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKHQMKYWSAGAEEQPATAAGAEGETSTYRRWWRGGSSLGSDGWCVVVGIAAGKWMDVRAATRWKDRTAPAGMDAPAARGKKMGPRAKS >ONIVA11G11400.1 pep chromosome:AWHD00000000:11:12140639:12143759:-1 gene:ONIVA11G11400 transcript:ONIVA11G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVFVLACGDPFFFLCFFLDFSPAAAWGARGNQRDRDRERAQARKPNAKGPQDGLTPEQRRERDKKALEEKAAKKAAQAAGGGTSTDNKNKAGGAKKLNYLFVDLFDVNV >ONIVA11G11390.1 pep chromosome:AWHD00000000:11:12117145:12117348:1 gene:ONIVA11G11390 transcript:ONIVA11G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDHYQTLGLRRDATTAEVKATFCRGALHDHPDRHAHSPDAAMRATFASPPTRTGLQGPLRRPPPR >ONIVA11G11380.1 pep chromosome:AWHD00000000:11:12113038:12118823:-1 gene:ONIVA11G11380 transcript:ONIVA11G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPVLTIPFRIPTAQPTHGSRIGKREKKPQPLWPSQISQSPRILGSSGATPFFSLSLNERGASKRSAHPGDIRARRRRGLCAAAREFARRSKGWAGQREEICSEPMAILPLSLSHSLTSALSATSSGIGRPVARLLHPRVPSRPTVICLAAPPKVPVPIASPASLGDDPSKWDPAECDALLRGGEQVASVLQEMLKLMEDMEMDGSFESLGVELIAQGVIGKRVDEMESGFLMALDYMIQLAEKDSDNERKSLLEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKDSRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLLESMESRPTIPDRKLLARLVIVREEARNMMGGGLLDERNDRGFTTLPEAEVNFLSKLVALKPGKALERMIKDVMQGKAEGADNIENANAGPDSKLDHLTGISGRGSVTGLKPRPVRPGMFLETVSKVLGGIYANNTSGITAQHLEWVHQTTLKILQEMAF >ONIVA11G11380.2 pep chromosome:AWHD00000000:11:12113038:12118823:-1 gene:ONIVA11G11380 transcript:ONIVA11G11380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPVLTIPFRIPTAQPTHGSRIGKREKKPQPLWPSQISQSPRILGSSGATPFFSLSLNERGASKRSAHPGDIRARRRRGLCAAAREFARRSKGWAGQREEICSEPMAILPLSLSHSLTSALSATSSGIGRPVARLLHPRVPSRPTVICLAAPPKVPVPIASPASLGDDPSKWDPAECDALLRGGEQVASVLQEMLKLMEDMEMDGSFESLGVELIAQGVIGKRVDEMESGFLMALDYMIQLAEKDSDNERKSLLEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKDSRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLLESMESRPTIPDRKLLARLVIVREEARNMMGGGLLDERNDRGFTTLPEAEVNFLSKLVALKPGKALERMIKDVMQGKAEGADNIENANAGPDSKLDHLTGISGRGSVTGLKPRPVRPGMFLETVSKVHQTTLKILQEMAF >ONIVA11G11380.3 pep chromosome:AWHD00000000:11:12113081:12118823:-1 gene:ONIVA11G11380 transcript:ONIVA11G11380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPVLTIPFRIPTAQPTHGSRIGKREKKPQPLWPSQISQSPRILGSSGATPFFSLSLNERGASKRSAHPGDIRARRRRGLCAAAREFARRSKGWAGQREEICSEPMAILPLSLSHSLTSALSATSSGIGRPVARLLHPRVPSRPTVICLAAPPKVPVPIASPASLGDDPSKWDPAECDALLRGGEQVASVLQEMLKLMEDMEMDGSFESLGVELIAQGVIGKRVDEMESGFLMALDYMIQLAEKDSDNERKSLLEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKDSRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLLESMESRPTIPDRKLLARLVIVREEARNMMGGGLLDERNDRGFTTLPEAEVNFLSKLVALKPGKALERMIKDVMQGKAEGADNIENANAGPDSKLDHLTGISGRGSVTGLKPRPVRPGMFLETVSKVLGGIYANNTSGITAQHLEWVRYLLRSFQWLCPLVWKGENHADCLIFPL >ONIVA11G11370.1 pep chromosome:AWHD00000000:11:12102970:12103458:-1 gene:ONIVA11G11370 transcript:ONIVA11G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAHTFGVDARGGEVVDWVQRHKRGTDVGEKGRRGEVSCAYSLDSVGVGPCKVAHGGGQVAGKRANKGKVWQAVGPHVARLGRRYIFGLGTGEGWAGCGS >ONIVA11G11360.1 pep chromosome:AWHD00000000:11:12095063:12096760:1 gene:ONIVA11G11360 transcript:ONIVA11G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFMACASPPVLALALLASCGAFLATSMLPARATAGSCLDVGDMVMMDRFRAWQGAHNRSYPSAEEALQRFDVYRRNAEFIDAVNLRGDLTYQLAENEFADLTEEEFLATYTGYYIGDGPVDDFVITTGAGDVDASFSYRVDVPASVDWRAQGAVVPPKSQTSTCSWRHTRCRLPDPDL >ONIVA11G11350.1 pep chromosome:AWHD00000000:11:12061468:12062657:-1 gene:ONIVA11G11350 transcript:ONIVA11G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTLSSALSSMEVMLDALMQRGVGKPEEKPKEEAPPALPTRPTVRGRPPSLQRPGSPPPWVHRSPSLPPMLEVDEKLAVNSELERRATVAEEAVKQKDDVVRQKDEEIAALRQQVEHYESRLSECEARMKSVEEELRKQITTMQIAQNNAGRTGESTTRTHHRQELSGTSGAPAQSSGRREEEASVTRQQARGRESNVAAVVDERKTDAVSRLATELRQESEAFEHRARAVTEAGPPTAKSVDELKKLKRQFGTWKKEYGARLRKTKAELKKLVRSERGGHGNRRRCCSWKIKLPKCRFPKCCAFKLPSPSSCCSCSCFRRCC >ONIVA11G11340.1 pep chromosome:AWHD00000000:11:12042334:12042753:-1 gene:ONIVA11G11340 transcript:ONIVA11G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGRGRVAWTGSSGAHTGNGLRGGEVTIGHKASPGHVPAADGMDGDEVGPDVDIATGESLQGVLPRRPRCGAVVATRGNACTACIAAVRGMRLGA >ONIVA11G11330.1 pep chromosome:AWHD00000000:11:12033484:12037430:1 gene:ONIVA11G11330 transcript:ONIVA11G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGIKVLDKKSMRTEANTRCISTNLAEVLQKKVEQKEEESHKISYDNAFLESTPSCEVGSTSIEEVEEKDCVASELSMEEKEYVAADVLARKFDSPPSLVVSSHVTCFGSQVEGVGLPKMDKPVNHNSTKNVSWDERIRKGYEIEWSDDEILRFMKAMDKNIFDLKSISNYIGTRSIRECKILFSKYQRHFGTDLIHKANENATVEGEVSNCMPDNGEPHIWSAIDSMPCSMDNAHDQRPSILNKMTTEMENPQISQETSEKVIHSVESNVIKTNGTELCRKVNIDFNTNLSATASEVDSPRAVVSFDLNSPPVMDSIESKTCHTKTLIGFGEPPLSATNKHQENGKKGSTRSQLPENSLKHSEFHVEGQSVSTMQIGTINGSSFSQADGIMTHVQRIQHPQTNILDTSKDAAKKPSFIRIFGKIFHEGFSMEANTNSKEYDNVEGLTSNNQHAESLKVIPSGMTRDDVASHLWTGSNNIVNHSEQPCKSSTVEGSGMLNGYPSLSDWTHMMTSFQALGSCGGQNEGSSTRNTMILGSQDQCKDYHLNFHDAYPKYRK >ONIVA11G11320.1 pep chromosome:AWHD00000000:11:12030083:12031180:1 gene:ONIVA11G11320 transcript:ONIVA11G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQTPSGVQLDNSRKHERSTRSSTATRNTKESSRSGPDVQLYTPLSPTCSSGLLISDKSPKGCNLLGGQGTFGISGAGDTGKGLPGPAPYWSVNSSYESIPISALPPKKRYLRQLQLAQQLEVSPPAVPVAVPAQVPLAGSNSGVSFGQKSKQDNSLGPINWRSTRWWNYRKRSSDDADNAEKKDAASYQEAGNSIAGKRNRVEWGYGLAKYEKEKKQMPNSLPSDGDNTNLGASSESMTATVDCPAALPASSLGSNVQPGDALN >ONIVA11G11310.1 pep chromosome:AWHD00000000:11:12011716:12012714:1 gene:ONIVA11G11310 transcript:ONIVA11G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRLRTPLIGVRKWKDVKQDVKNSIADCVMVSTQNSTNRQQMKTMHLVGSKPFSQCSWEQNNTTRKLAMTDSGEAGDEGSRSVTFGGQEDDVFQNTYKETTGTKST >ONIVA11G11300.1 pep chromosome:AWHD00000000:11:11988552:11988843:-1 gene:ONIVA11G11300 transcript:ONIVA11G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFARSTDLNVEPPAKPKPKVEVLLLTPHALMRIAVGASLFPRPPQHAAQLALCDPSRSAATHGCRSNTSAQLNPHKPPIPLTVMLSVDTG >ONIVA11G11290.1 pep chromosome:AWHD00000000:11:11884102:11885360:-1 gene:ONIVA11G11290 transcript:ONIVA11G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVRLLERCFFLLPDLLEELAYVDIMYLVRTQHTSREITTAELPDDEGKPACVHEEAIDTLR >ONIVA11G11280.1 pep chromosome:AWHD00000000:11:11740332:11754354:-1 gene:ONIVA11G11280 transcript:ONIVA11G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRQELESERTATKDVTDEAKTACHTLRLALTDLGAKVSEVPTGDASALAFMEWTQQAGSAMAETAVAYGDCYARINEAINENSWE >ONIVA11G11270.1 pep chromosome:AWHD00000000:11:11716590:11719402:1 gene:ONIVA11G11270 transcript:ONIVA11G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAESYSSSSPQPTLTPPPTHHARCPLASSSHPPQPSTPPSPTRNRRGRHRCRRLLLRPIATRAAHLPPPTTSRRRRLILQIATVAVAAAVREFLKPKIAEPATISSAAAADRLRPPAETNVMCVVWLNVFDTIRCDSW >ONIVA11G11260.1 pep chromosome:AWHD00000000:11:11708652:11709284:-1 gene:ONIVA11G11260 transcript:ONIVA11G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPGAAVSSPLTTSHPHPHRRRPSLPIVGALSPIPIPVASAPSLPVVGATSRDLEASAGGEETRAQRG >ONIVA11G11250.1 pep chromosome:AWHD00000000:11:11704129:11704915:-1 gene:ONIVA11G11250 transcript:ONIVA11G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAPRRAEAGAPLVLALGLGVTVVPAAACRFCASGMDAGSPRRPATVPSDTSQVSCLIPWYQGLIPRYHPVP >ONIVA11G11240.1 pep chromosome:AWHD00000000:11:11701606:11703262:-1 gene:ONIVA11G11240 transcript:ONIVA11G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVRRRRIPAFGEWNYDGDGDLGGGGGCYGYGYRDGDWPVTQYFDSAMQARGLVISLPPSPKPPKKAVKWIDSGALGEEEVVDEKQRQRQHKVVVGLAVAGGEHGAARKQGKQSRVADGGAHAAMGYKGCRPAVVKAVDRDLYEIPPDMLCNKPRKRVTRSLWMGCLGLSCVA >ONIVA11G11230.1 pep chromosome:AWHD00000000:11:11672161:11672340:-1 gene:ONIVA11G11230 transcript:ONIVA11G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGDEEDVEETQGYRIGRMATREAKDGYGRCRSPGLAMGDGRRCTFRAISAMGGGV >ONIVA11G11220.1 pep chromosome:AWHD00000000:11:11671512:11672124:-1 gene:ONIVA11G11220 transcript:ONIVA11G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRQCGVLAIAAIGGGVCGDVPLMLRLEVGCPCAMLGFKANLALMADIARAWPHLLLVNFFHIYKLGPHQHRCHLSYCQHPKTAEDFLKLSPSPKLPLLASYELINLN >ONIVA11G11210.1 pep chromosome:AWHD00000000:11:11645699:11648194:-1 gene:ONIVA11G11210 transcript:ONIVA11G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPAPLGGWNFTPVQLVSFPEPLKVHGVVSPATTILVTKAPVIQPAASLKFQNERDAELDNAWSQDHPMGQVMEVNPDGMLALVPAIPDVSAPVPTAVSLVRKDKVQVSVQDPVQDPKVQEFLAKLGKIARSENPAHPFFYPMSGLNDKIDLLRKEKGTMHQFLASSSVPAAIHATNPFTTLVLPKTTMFDFAPLVGQEMSQPDFRFGIYKLFNKLLLEFILNVH >ONIVA11G11200.1 pep chromosome:AWHD00000000:11:11525446:11525625:-1 gene:ONIVA11G11200 transcript:ONIVA11G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNHHGGRCLCSPPGRHPGTASGGGGGGYRCCTGEDGRERVTSISKGGAVMRRYTRGL >ONIVA11G11190.1 pep chromosome:AWHD00000000:11:11447504:11460742:1 gene:ONIVA11G11190 transcript:ONIVA11G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLASSQLLPWQPLVQLLAAVLFLLLPLVYLLFFKGDGNGGVMDSASAPSPPGPPRQLPVLGNLLQIGSRPHRYFQAVAWRYGPVVQVQLGSIRTVVVHSPEAAKDVLRTNDLQCCSRPSSPGNRSKPIYICTWLGYCQQPKAWMDPSFLTVVLGHAELQSSPSCLLPSHPPLRPATAVVIPRLPRYHHPTVGSRSCWGENERRLATIATLQPSHHHTTNYLDVAVSPYSAYWREMRKLLVIELTSIRRVQSFAYARAAEVARLVDTLTASPAGVPVDLSSALYTFSDGVIGTVAFGKVYGSAAWSSSEWGGSFQEAMDETMQVVGSFSFEDFFPSSALARWADALTGAAGRRRRVFHRIDGFFDAVIDKHLEPERLSAGVQEGMVDAMVKVWREQKDEAFGLTRDHIKAILLDAFVGGIDTTVVTTTWIMSELMRNPRVMQKAQAEVHNIVKNKSKVCEEDIQNMKYLKMIIKENFRLHPPGTLLIPRQTMKTCTIGGYSVSSETRIYVNVWAMGRDPNIWDNPEQFYPERFEDKGIDFRGSHFEILPFRSGQRICPGIAMGVANVELVVANLLYCFDWQLPKGMKEEDIDMDEIGQLAFRKKLPLLIVPMKH >ONIVA11G11180.1 pep chromosome:AWHD00000000:11:11443277:11444017:-1 gene:ONIVA11G11180 transcript:ONIVA11G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQESMDRDSLLVFGEGEYGVTDMFYAAARGGNAEVFGLLLDHAMSPTCSTNCPKGEGAAAVVAAPRAVHAAARGGSVEMLRELIERRSDVSEYLDFRGSTVLHAVAGRGQLEVTFELGRILFS >ONIVA11G11170.1 pep chromosome:AWHD00000000:11:11402751:11411063:-1 gene:ONIVA11G11170 transcript:ONIVA11G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTEQTLKAIPLITKIRHERQKKHITERQKQGKTKQRERDAKELEQDIQMLPKKVTLSTQKTKVVVKVSQQQTEENLMEETVFHQIVTMNGVFDWVSAKFCTDRLIERAAAVALLSSWSKRSVAVEQGIRCGDGAQAFPSALTAGSKSSRLMEMKNMFHFVHRSKPLLVDWCSIHVKVLTLALVSR >ONIVA11G11170.2 pep chromosome:AWHD00000000:11:11406993:11411063:-1 gene:ONIVA11G11170 transcript:ONIVA11G11170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTEQTLKAIPLITKIRHERQKKHITERQKQGKTKQRERDAKELEQDIQMLPKKVTLSTQKTKVVVKVSQQQTEENLMEETVFHQIVTMNDRESSGGGIVELVEQEIGGGGAGDSLRRRSSSLPFRTDRWEQELKVRLSCCLQELHLIHEIELIGGARAAWELKLDEAATDVELELDLVLDQTILGGVTAGGDGRASKLPLPLAYEIHPSVPGLHHSPSLRPRRAPSLHSPSMDWRSEVPAAVVEPAHDSGGASPRRRAVHPFANHRRGDGAQAPPVVIGCGEGSHSCSVDVELFLAPIPSRHCLVDVNHLRSRSEAPQGGGGDEGA >ONIVA11G11160.1 pep chromosome:AWHD00000000:11:11393753:11401435:1 gene:ONIVA11G11160 transcript:ONIVA11G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCRCSSALSVRWWSIRRRRPRMFSARTTDYWREMRKLFVVELTSVSRVHSFAYARAAEVARLVDTLAASPPGIPVDLSCALYQLLDGIIGTVAFGKVYGAAQWSPERAVFQDVLSELLLVLGSFSFEDFFPSSALARWADALAGVERRRRRIFRQVDGFLDSVIDKHLEPERLSAGVQEDMVDALNTFAGGIDTTAITAIWIMSELTRNPRVMQKAQAEVRNTVKNKPLVDEEDIQNLKYLEMIIKENFRLHPPWTLLVPRQTMQPCLIGGYNVPSGTRVFINIWAMGRDPMIWDNPEEFYPERFEDRNIDFRGSHFELVPFGSGRRICPGIAMAVASLELVVANLLYCFD >ONIVA11G11150.1 pep chromosome:AWHD00000000:11:11391374:11391976:1 gene:ONIVA11G11150 transcript:ONIVA11G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTRQIHLLLFTLPYLLSSLSLSCDVEKGSEEPEVVIVDEHEVTVFYGEHLDNAGDEALVCRHVGVVQGGIEGGGTPGGRRSSRGGSHQARRRVPVTEPPEEGADKDDEGEAKAVRELERMHVNTERENEAERYPVFGVVLRGSLWATKIRRGALLQRRQRDGEGGR >ONIVA11G11140.1 pep chromosome:AWHD00000000:11:11389039:11389926:1 gene:ONIVA11G11140 transcript:ONIVA11G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMRNPRVMQKAQAEVHNIVKNKSKVYEEDIQNMKYLKMIIKENCRLHPPGTLLIPRHTMKTCTIGGYSVPSKTRIYVNVWAMWRDPNIWDKQFYLERFEDKGIDFSGSHFELLPFGMKEEDIDMDEIGQLAFRKKLPLLIVPMKH >ONIVA11G11130.1 pep chromosome:AWHD00000000:11:11376200:11378564:1 gene:ONIVA11G11130 transcript:ONIVA11G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNMSIPYPRSSMAGKSLIPYGATCSNAFDLNGEPSPANQLVSGENWAMEEANAIFIDPLDTIAADSMSMRPIGAAPSLAEPRSAEPWSASINPLFGRSPSLAMLQNSD >ONIVA11G11120.1 pep chromosome:AWHD00000000:11:11340928:11341521:1 gene:ONIVA11G11120 transcript:ONIVA11G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFRGGGGAGKKLQHAHKMFDEMCRRVKKRQRGEDELVKAGRVMLIASAAVAPGRRSRSRGRPPFFLPVVVIVLLGEIDLLASCRRHGLPPKDQS >ONIVA11G11110.1 pep chromosome:AWHD00000000:11:11334614:11340667:1 gene:ONIVA11G11110 transcript:ONIVA11G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITTTPSGSSRGDVARAARPAEGDPTVTRGRSGKTPVSSCRQSRHRTARGERRRMAAMAGHG >ONIVA11G11100.1 pep chromosome:AWHD00000000:11:11326588:11327695:1 gene:ONIVA11G11100 transcript:ONIVA11G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIIIAVLDNLLAEVLLLGIGLLMIFTMTIAIVVLLVFRWTKGLRDCDGSAASKSVSFKPATPRDGTKPAASSISKSQSKDESTATSGYKSRSVECYTCGGRGHYMRDCPNQKKVLMTKEGYVSESLSENSEGVQLDHTLTAGYRDIDDSSMDGGAEQKNGLSMLARAVQRDGSNVDARGQRCNIFQSKCKI >ONIVA11G11090.1 pep chromosome:AWHD00000000:11:11324115:11324370:1 gene:ONIVA11G11090 transcript:ONIVA11G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPEDSDTAKMLKYTEACFAQYRKDTNRKLVLCHKRIFKLEDKLWKLKNLHRMKDDYEDVKPIHVVKRLCTGIIFLMEGRFL >ONIVA11G11080.1 pep chromosome:AWHD00000000:11:11314036:11316921:1 gene:ONIVA11G11080 transcript:ONIVA11G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPPPPQPSPPALPISEHEDEIMAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELSVPLGDEVGYAIRFEDRTSEKTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIGNYHFLYVAIF >ONIVA11G11070.1 pep chromosome:AWHD00000000:11:11312500:11312750:-1 gene:ONIVA11G11070 transcript:ONIVA11G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAVLVLAVSLLTMAVAASTGSSSCPRDALKLRPLLFPAARCSTTFPRVTAAARCLLLSCLALAASSLAAGQLA >ONIVA11G11060.1 pep chromosome:AWHD00000000:11:11309818:11310795:-1 gene:ONIVA11G11060 transcript:ONIVA11G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGWDGWRTPRRGRLRAGRAPRRSPVPPLVIFVFVSNLRRRRRRARRRWGRGRTGRASHRRSPVPLLVFVFVSNLCRGRKGARRRWGRGGTGGELLGEGGGKRDVHPATRSPLVVFVWALACTGGGGGCNGNGEGTGGHESSSASGTRIPPLAHRLSSSSGLQPAPEEEVGATAMRKGQEGMRAPRQGQRRAGRPSRSLLVPPLARRSSSLSSSPACAGGDRGNDGDGEGAEREESSSVRAAASGMRIPPLAGPTTRQYGRSLAAHPARLRLSSVHRRRRARQRMGKGCGSYEDDRKVNGEEMIIILQNFSGTKWISRIVVAFF >ONIVA11G11050.1 pep chromosome:AWHD00000000:11:11278480:11279862:-1 gene:ONIVA11G11050 transcript:ONIVA11G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLTSHLLKRQLIHNKKWNSKNLYRGGADPFEKVMVLVKKMMAAVGSPHSRAAEDTLMQASSQCGEEELERSNMTLLRCWS >ONIVA11G11040.1 pep chromosome:AWHD00000000:11:11275959:11277785:-1 gene:ONIVA11G11040 transcript:ONIVA11G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPVQIKPFKYKLEETQPPYSPNGVVERRGSEDGGESGAKVDGAGGRSRRHTTRRQVISKFLTSCEPWLGI >ONIVA11G11030.1 pep chromosome:AWHD00000000:11:11273904:11275916:-1 gene:ONIVA11G11030 transcript:ONIVA11G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHRISRSHAGVNLRAAERDGRRLRGVLPVYHYQKNITVEDYANSHATSGYTQRSKAVIKKEKQHGHYSTVNMIQHKCTSYFG >ONIVA11G11030.2 pep chromosome:AWHD00000000:11:11274037:11275916:-1 gene:ONIVA11G11030 transcript:ONIVA11G11030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHRISRSHAGVNLRAAERDGRRLRGVLPVYHYQKNITVEDYAKSVVSNKPEGNFFLALQRLILSCCKIKPISAVSHATSGYTQRSKAVIKKEKQHGHYSTVNMIQHKCTSYVHTI >ONIVA11G11030.3 pep chromosome:AWHD00000000:11:11274065:11275916:-1 gene:ONIVA11G11030 transcript:ONIVA11G11030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHRISRSHAGVNLRAAERDGRRLRGVLPVYHYQKNITVEDYAKSVVSNKPEGNFFLALQRLIQPCNFRLHSEVQGCNQERKAAWSLFYCKYDPA >ONIVA11G11010.1 pep chromosome:AWHD00000000:11:11258611:11261057:-1 gene:ONIVA11G11010 transcript:ONIVA11G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRTMKVVFSLALLLLPLASVAEEFDFMYLAQQWPDSYCSTHKCLVKPPPPSHFTIHGLWPSYNKLIDGKMWLEDCNKEDPLDPTQIQDLEKQLDQKWPSLKQTNLEFWSHEWKKHGTCSNLGQHAYFEAALALERLTNLTKILADGGVGPSDVKTYTFREISDALARGTGFRTYFKCSKNKAGDTLLSEVRQCVDRYGEKLINCTALSFDYCDNADKIKMPQSGYMISSSTSYNLAATRSIYIIMHLYLLGVMHVYY >ONIVA11G11000.1 pep chromosome:AWHD00000000:11:11231939:11244467:1 gene:ONIVA11G11000 transcript:ONIVA11G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSFLSIANHRYLASVSNSSKHSFSSTDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSSGMYSLDVVQISRLTSELGELEELGLGSAIGYTQFPFIKRSFSRLQSQKSSVLLLPEVFCI >ONIVA11G11000.2 pep chromosome:AWHD00000000:11:11231939:11244467:1 gene:ONIVA11G11000 transcript:ONIVA11G11000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLEPSLSRTLIEANELGCLSQALTVAAVLSAEITFRSTRSKDMEGKRKRQELPDGSGWGDHIQLLQIFESWDRTGYDPRWCSDHELQVRGMKFSKDVRNQLSQIIQKIAKGSTDVQAKKERKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRAQLVQVHPFSVLEGDEYGKLPVYVVYHELINTTRPFMRNVCAVDQSWVKPILKKLERLDMNKLSGGSSGSKDPEPLEDKQVSSPKKAMDVKQSDVDSKIQAARERYLARKGKK >ONIVA11G11000.3 pep chromosome:AWHD00000000:11:11231939:11241414:1 gene:ONIVA11G11000 transcript:ONIVA11G11000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSFLSIANHRYLASVSNSSKHSFSSTDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSSGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPISIYQKEFLEATIPEIQRSSLAGSVLYLKSLDLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDENGQITDVGRIMAELPLEPSLSRTLIEANELGCLSQALTVAAVLSAEITFRSTRSKDMEGKRKRQELPDGSGWGDHIQLLQIFESWDRTGYDPRWCSDHELQVNENNILILI >ONIVA11G11000.4 pep chromosome:AWHD00000000:11:11231939:11237798:1 gene:ONIVA11G11000 transcript:ONIVA11G11000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSFLSIANHRYLASVSNSSKHSFSSTDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSSGMYSLDVVQISRLTSELGELEELGLGSAIGYTQFPFIKRSFSRLQSQKSSVLLLPEVFCI >ONIVA11G11000.5 pep chromosome:AWHD00000000:11:11240413:11244467:1 gene:ONIVA11G11000 transcript:ONIVA11G11000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMLSRDMCAELPLEPSLSRTLIEANELGCLSQALTVAAVLSAEITFRSTRSKDMEGKRKRQELPDGSGWGDHIQLLQIFESWDRTGYDPRWCSDHELQVRGMKFSKDVRNQLSQIIQKIAKGSTDVQAKKERKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRAQLVQVHPFSVLEGDEYGKLPVYVVYHELINTTRPFMRNVCAVDQSWVKPILKKLERLDMNKLSGGSSGSKDPEPLEDKQVSSPKKAMDVKQSDVDSKIQAARERYLARKGKK >ONIVA11G10990.1 pep chromosome:AWHD00000000:11:11179572:11180605:-1 gene:ONIVA11G10990 transcript:ONIVA11G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPEDDVAHVVDVLDALTGAVERDGQQVTRSGLLEHRRHARGAPGRGTYWEPARDDAGETTRHSTRHPPTAAARCPPPAPSPKKSREKREERKENRRGRTEKKKIGKICYRTSKKRVIS >ONIVA11G10980.1 pep chromosome:AWHD00000000:11:11159045:11174719:-1 gene:ONIVA11G10980 transcript:ONIVA11G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAPLLFHPLLHFSEAIPLLQSIHSTLFRSPTAAGPYPFHSPPTPTAAGVLGHHTMSVATEACPSPKLHTRLRLWEFADRYVFEPVDGLADLFLSVDRTNGSMSLVEELPPRGPSTNPKVRIVFGVIGVLKLAVRSYFLVITGRDCVGSYLGHAIFKLTGLKVLPCNNSLNTSSAEQKKMESEFSELLDAAERTIGLYFSYDVNLTLTSQRLHDLGDQFKSLPLWRQAEPRFLWNGYLLEPLIENKSIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMQSKEFTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRVEEAPRVLERHFHDLQKKYGAVVAVDLVNTHGGEGRLYERYAKSIEPILSEDIRFVHFDFHQICGHIHFERLSQLYDQIEDYLKKHSKGEKMEEQTGTVRTNCVDCLDRTNVTQSMIGRKILESQLQKISVLGDNNTISDYPAFDADYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNAMARYYLNNFADGTKQDAMDLLQGHHISSVSRDMPTPTKGLIENHASFRLAFALLLAAVIFLIMSLRRENVAKMYMMIKIANG >ONIVA11G10970.1 pep chromosome:AWHD00000000:11:11148259:11153672:1 gene:ONIVA11G10970 transcript:ONIVA11G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHFTAAFMIATCLMLFPRSEQSSQGEVLQQLRKQLEYPRQLDVWNNPNSDPCYTQPTSVVTVACEGNAITELKIIGDRITKPPKFSGYPVSNITLSEAFVLDSFVTTLARLPALHVVILVSLGLWGPLPDKIHRLSSLQVLDLSSNFLYGSIPPKLSAMPKLRTLTLDGNFFNGTVPDWFNLYSNLTVLRLQRNRLKGPIPASIGKATMLSELALAGNSIAGEVPQLGSLNKLEMLDLRDNELDGELPELPTALVTILLSKNSLKGEIPEQFGQLNRLQHLDLSFNFLVGKPPEKLFALPSISYLNLAANMLSGSFSTSLTCSSTLGFVDLSTNQLTGDLPVCLNVNVNNRVVKFDGNCFSDDPEHQHETKYCQQPHKGRGSNKDVGLVVTVVGVVFIVLVLSLILMASNRRSCQRVLAEQQLLQKQMQDNSTSGMSTELLVNARYISQAVKLGTQIMPMYRAFSLEELKEATKSFERSAFLGEGSIGKLYKGKLENGTLIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDGEVDESSVKRVFLVYEYVPSGTFPSYLSGSSPEKTLNWCERLQVLMNIAKAVHFLHTGIIPGSLYNRLKPSSILLDEHLVAKLSDYGLSIITEEIYKHEAAGEGQRCVEDNGGELENLEEDVLSFGGILLEVLMGPKRHRKDLSVLSELVLSISKQEEREQVLDPIVLSTSSQDSLSMVISITVKCLSVESSARPSIEEVLWNLQYAAQVQAISDGDQRSEVSSQTC >ONIVA11G10960.1 pep chromosome:AWHD00000000:11:11145225:11145704:1 gene:ONIVA11G10960 transcript:ONIVA11G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSMALVRRRLDDTRGAAEVELALGWPQVRLVSATATSSQSGGSIVPSPGTNLPFPASGAAGLGGGRSGALGPRRGGSAAPTLGATVLDSGDDDVEWHGTGKVAKSDAYSQLSASIAIVTTLSSCFLPPTLGLQVADDDRDATESMETKTSAGVRM >ONIVA11G10950.1 pep chromosome:AWHD00000000:11:11129223:11129896:1 gene:ONIVA11G10950 transcript:ONIVA11G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVEEAAQAGGEEEAAGKEAPDQAEPATTEECFFVGNGPLSRRRGCSASITLCLGLPSSRAHACRAVPDGQNPPNPRPALHSISQSSRSFPPRPCLQPPWGRLCLSHAQTTEAGMDQRIGDGGQTGDGNPGRTTISELYPDPICGSPASILIMY >ONIVA11G10940.1 pep chromosome:AWHD00000000:11:11112260:11112922:-1 gene:ONIVA11G10940 transcript:ONIVA11G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRAWGGAQPRDSSLAATPPAVLRPPPHKLLCASSFAQALLRSFDAVVNRLQAALDATDAATTPSAHSFPMASSTPSSGTRSASLHQLHPLARRPPSMRWVGSCCYGGD >ONIVA11G10930.1 pep chromosome:AWHD00000000:11:11110528:11111807:-1 gene:ONIVA11G10930 transcript:ONIVA11G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRVTITDIIKIVLIQAHAEKSKVKCQESRPSKAFNGYKPTIDFEVTDHGLSMGTRNH >ONIVA11G10920.1 pep chromosome:AWHD00000000:11:11108754:11109041:1 gene:ONIVA11G10920 transcript:ONIVA11G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVRRRERARGWSEPAMAAAAVGGRRSASDLEGRAAAASTLRAASTLHLRLHRIWEEGGEPPLAPPPAQPPPEAAAATASNCRRHGSSSRNLS >ONIVA11G10910.1 pep chromosome:AWHD00000000:11:11106089:11109014:-1 gene:ONIVA11G10910 transcript:ONIVA11G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTGGGGGGFRRWLRGGWSQRRLPSFLPDPVEAEVKGGGGAEAIQNKTSRQSQRKKVVLVRPKMLAQYSRNRFPSLLMLMLGGATHYTQLVDLHEWSCQHHNDNQIGVQDKMASIGAALSGLKNSSFTTMGAEKLPDQMHDLKIRDDNEVQVTNINGKGAETGHIIVTTTGGRNGQPKLTKCKSAWEDMLNTEHAAALNWFEDVCRQNCGL >ONIVA11G10900.1 pep chromosome:AWHD00000000:11:11101218:11101580:1 gene:ONIVA11G10900 transcript:ONIVA11G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSGVAARHPKRRRQRRQAEQRRGGQSGVSGDGGGVARSSLLLASAPPLLSSPDRQPFVLGEHADNLDTTSSVPTTIVEILSSPLDGTASLGRIWWRRRPYSSPLFGKLLRCGSKLAGA >ONIVA11G10890.1 pep chromosome:AWHD00000000:11:11094764:11098398:-1 gene:ONIVA11G10890 transcript:ONIVA11G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAKLSELIWTVHPRASFLHPKISQPRIPRAKTSLLLHPRPRRPRASLPPTVAVLLARSSYSPLPPLRRGRPPSSPPPRPAAGATPRPRRRRGQIVLLPLGSATGADFGPFCIAVRLLPSLPPLPILPSPVAFLFARAAISSSASINVLVELPFHRRSRPVTSL >ONIVA11G10880.1 pep chromosome:AWHD00000000:11:11049451:11064589:-1 gene:ONIVA11G10880 transcript:ONIVA11G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSTACVPEAEVIDLESAECRSGATMAKSMGHSSGFHPKQQRLFHSGLHTGPCYEEVYTAFLHREALKVSGTRGSLNKTVARVVNRQFGAWLLSKVDPKSCAIVKDVNQELPFGSNDVNAVFGLPCSGQPIIPCSQDELDGKKQILCEIFEIPNFSHLKISLLKRILKKQYGYPMTIDEKRVFMAAFVLYVTTKLLAPQSCANFISPRYIMAVSDVDNIKQYNWSQFVVDEVKKAAESMPTCFPNKAQLSINGCIIFLMVKYLRNLQFRKVGITCVKTCHISQFEDDQIARMIQQDVVSKHNPGFPFPRYGKLQLMKEPRENNPHVPELSPLNLCSGSKIPSRAIDGGKNLIKFLVSHFSSLDVHRSVGSQAYEELKSSVQDGFDRIDEILPTISDFVDISTMQTAIHASNLFKRAFKTSITAAVKIAIRAAVTKVIDTIEDIQGPLHPWGDTTAMGYHTPTNYSTHATKDANSFRQVLAAVFQTQKHPIGLSKDSATLQEIFDD >ONIVA11G10870.1 pep chromosome:AWHD00000000:11:11045889:11048911:1 gene:ONIVA11G10870 transcript:ONIVA11G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J162] MAISYLLRSSILAVAALLLFSVNLAKGDIREYQFDVKTTNVTRLCSSKSIVTVNGQFPGPTVFAREGDLVVIRVINHSPYNMSIHWHGIRQLRSGWADGPAYITQCPIQPGGSYVYKYTITGQRGTLWWHAHISWLRATVYGPIIILPKAGVPYPFPAPDKEVPVVFGEWWKADTEAVISQATQTGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVEAGKTYMLRLINAALNDELFFSIAGHTLTVVDVDAVYVKPFTVDTLLITPGQTTNVLLTTKPSYPGATFYMLAAPYSTAMSGTFDNTTVAGILEYEDPSSHSTAAFNKNLPVLRPTLPQINDTSFVSNYTAKLRSLATAEYPANVPQQVDTRFFFTVGLGTHPCAVNGTCQGPNGSRFAAAVNNVSFVLPSTALLQSHYTGRSNGVYASNFPAMPLSPFNYTGTPPNNTNVSNGTRLVVLPYGASVELVMQGTSVLGAESHPFHLHGFNFFVVGQGFGNFDPVNDPAKYNLVDPVERNTVGVPAAGWVAIRFLADNPGVWFMHCHLEVHVSWGLKMAWVVQDGSLPNQKILPPPSDLPKC >ONIVA11G10860.1 pep chromosome:AWHD00000000:11:11038249:11043859:1 gene:ONIVA11G10860 transcript:ONIVA11G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLSRALARSARSSRQRQGSLLGGHGGLRASSPPLPCGELGFLRSYVTSVIGNRAAVVSGAGKGGDWRFLLASRQFRRLFSDKSKKNHGKHSEEENKGKGDESDKSDSKKQSSSGDQWNFEESIKQFKDMIAPLFLFGLLLLSASASSSEQEISFQEFKNKLLEPGLVDHIVVSNKSIAKVYVRSSPSIDRIQDSDIHITTSHLPGIESPSSYKYYFNIGSVDSFEEKLQEAQKALEIDPHYYVPITYTTEAKWFEEVMKYVPTVLIIGLIYLLGKRIQNGFTVGGGPGKGGRSIFSIGKVQVTKLDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCSPSIVFIDEIDAIGRARGRGGFSGGHDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEGTLITMQHFESAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNDNLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDGFEMSKPYSSQTASIIDTEVREWVAKAYEKTVELIKQHKDQVAQIAELLLEKEVLHQDDLVQVLGERPFKTLEPTNYDRFKQGFQDEDSNRNAEL >ONIVA11G10850.1 pep chromosome:AWHD00000000:11:11034480:11037461:1 gene:ONIVA11G10850 transcript:ONIVA11G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGLALTAGANQSLWSYYKCTSSRCSAKKHVEKSTDDPEMLIVTYEGSHHHGPQPLLPPHIAQPPPPTSVVGFSAASGAGPPPSSPAATARKRKNYVRAAFSPTTSEDDGDGAGRLRPEWPQDDGTSCDVAELRRRGDAEHAAPRRVATDRSCDDGGGGGSTSASSSVARADAATALSSDSPPTIWSCLDWPWSQETLFL >ONIVA11G10840.1 pep chromosome:AWHD00000000:11:11031589:11032274:1 gene:ONIVA11G10840 transcript:ONIVA11G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQSPHKQRRRFPGPYLMGDVLRAQATASAEEVAGGVWPCELDDHLIGELLGDDGLFVPAAEHPTLYYSFGAGSSAAAAAAPCNGGGSADHERRPRPAPAVSRDLCSVYSGPTIRDIEKALSSSASPRPPYPSGRRYSSLVEAESKYTSKVRSCGGKMPADGYKWRKYGQKSIKNNPHPRCATRSIIDPI >ONIVA11G10830.1 pep chromosome:AWHD00000000:11:11010189:11011112:1 gene:ONIVA11G10830 transcript:ONIVA11G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAACEADGAEAVVAGHLVDAKAPSTTITGLSSGEGGPDHIIPRVILISCLFLVFSPPLLLRNSCEPLALLWTIAAYLFFLISTLSRTIRPSTVFLHISYGVLLADAAGTVAGPSVGFAVMHLATGWTAGLLGYAYADHLQRIGTETAAMRVDPPTFLTEEEETSFKTDRGVRAAGFVIISLLMVTPIALLLRPFADPDPDLLPMFVAFLSVVEGAAILSWAAFVARFVLHDALLSVDQIGHIMLCYIVPYLVISFFLLLLLTGVGFAGETIGATFLWCLMLSIAGLLGYELSVHAQCNQMMLSR >ONIVA11G10820.1 pep chromosome:AWHD00000000:11:11008555:11009162:1 gene:ONIVA11G10820 transcript:ONIVA11G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEQAASLAAAGAVGHGDVRGGERERHEEHGLHGDELALALQPQPGPVGHRAEHHGRLGGDVAHQVERWSWESIPSPSPPPFAAGRDPMFVTAYAVHPDGRGSDFLDSLLELMAAGKMEERVNVVNMPERGWE >ONIVA11G10810.1 pep chromosome:AWHD00000000:11:11008226:11008525:1 gene:ONIVA11G10810 transcript:ONIVA11G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPSLFPSSHQSFRLPRPLPVLLLSTAPDDAAADAVLAHLADRGYGRDLRLVAELRIERTDSTVAFFAPCAAASGGKNRQCLVPPSSTGHRSTIAFLA >ONIVA11G10800.1 pep chromosome:AWHD00000000:11:11006805:11009026:-1 gene:ONIVA11G10800 transcript:ONIVA11G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPAANGGGDGEGMLSHDHRSTWAGLGLQGKGQLVAVEAMFLMSLALAAAHIAMAYRASCRERRRLLVYRIDIEAIPIRVFERFWRTIEAYACRPFTGHDNGQKNTTSPHARKAMVERWPVDEGGTRHCRFLPPLAAAQGAKKATVESVRSMRSSATRRRSRPYPRSARWASTASAAASSGAEEIKVAIAYKLEIIVNVIVFYLYDKYNVYLGITASSKSNLQFIEQRQMRHRREYRCHMVVVKVVLAVAFIDIVVFVVGGLGGSSPPADGQATAPTKAPPRGFIYIDSNGDDDGDGHSDGDSGRAANYTRGDGRNGRANSWITAPRNEEH >ONIVA11G10790.1 pep chromosome:AWHD00000000:11:11002915:11007662:1 gene:ONIVA11G10790 transcript:ONIVA11G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAADGEADAEAVDVHLADAKTPPTITSDKTPSTTVAGDKITVTGAETEDLVRGEAIYDTSDVANDSSFPESPSGVRPPPARERDSVDDDRSGSAMIRSGGPRATSLSDEGSDLIGARVCFISILFLACSPPLLRRRHSGEPLAHLWTIALLMSAYLFFLISILSRTMRPTTVFVHISYGVLLAISAGTFAGPIAGFAVMHLATGWTAGLLGYAFAEHLQHIGKEKTAMRMAPPTFSTEEEKSSFEIHRSSVVTFFAVFSMLVATAMALLVKVPPRDLSLLVINLSVLEGTAIYCWAVFVAKFALFEALVTVDQLGYMMFYIGAYLLVSFLVCLMSYLVLAGDAIVGAMFFWFLMMAMAGLIGYMLSVRAQYNQMMAIAADGEADAEVDAKTPSTITGEKTPSIVTGAETEDFLRGDAIDDTTHVASDSSSPDDNPSDIRPPSRDSDGGHSGSATISPASRSGRGRRVTTVYNEGSNRIRARIILISVPFLFLVCSPPLLRRHSGDPLALLWTIALLMCTHLFFLISSLSRTMRPSTVFFRVSYGVLVAVAADTFAGPDAGFAVMHLATGWTAGLLGYAYAEHLQHIGKETTAKNMAPPTFLTEEEKSSFKVHRRSVAAFFTLLSLAVATAGALLVKMPPPALSLLVTILSILEGIAIYCWAIFTAKFLLFEAFVSVHQLGYMLCYIGTYLLLSSILCVPLSCLVLAGDAIGAMFFWFVMMAIAGLLGYMLSVRVQYNKMVLPRLPVEQSRDEDGLQQLRVLGLGGSSPPADEQDAAPAKAPPRGFICIDYDGHGHGDGHGDGGRAANYTRGDGRNGRANSWITAPTNEER >ONIVA11G10770.1 pep chromosome:AWHD00000000:11:10988784:10991163:1 gene:ONIVA11G10770 transcript:ONIVA11G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVYAGAGVLDDFLRRQFTLFAVAATSPTSMTSSPSATPEPAAPGRIWKPAPQRRAPFFALGLHRLPFRRRRLELLLTPSPPALPDLLHPSHHDDQIQEDIED >ONIVA11G10760.1 pep chromosome:AWHD00000000:11:10982483:10983897:1 gene:ONIVA11G10760 transcript:ONIVA11G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGDESGTNDDGKRGCRALPLAAFLLSDDVHDEGEFAGGRLIPTTTSLLVPSGSRAAIAVDLGGSEQRMAAWQSGSCSPSAARPYNPRCRPCAIEMLDLDLLLYAFLGLIFASVWDDPRNRLVVLASRKFPAAEGRSDLHPVLVMRGTCRLFCFVADNGEDAPVVVLHIFLFLRYAEILIKKNEASPGELEVYFFFTSLLMCWAEIYSLY >ONIVA11G10750.1 pep chromosome:AWHD00000000:11:10960643:10961926:-1 gene:ONIVA11G10750 transcript:ONIVA11G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTQTIQVPNDAELMQAQADLWRHSLYYLTSMGLRCAVELGIPTTIHGLGGAATVPDLMAALSLPENKLPFFRRLMRVLATARVFASADGGETERFRLTPLSRILVDGVVADEHHSQRCFVLGTTSRHYVDAAFALSEWFKKDLASPVPSPFEDLHGARLFDESTPLLDPELDATVNEGLAAHDNLGIGTLFRECRDLFKGVRSLTDCCGRHGATARAIVKAYPHIKCTVLDLPRLVDHAPRDGVVNYVAGDAFQCTIPPAQAVMLKLVLHHLSDDDCVKILAQCKKAIPSRKEGGKLIVIDILVEPSLGPVMFEAQLMMDMLMMVNTRGRQRNENDWHDLFMTAGFSDYKIVKKLGARAVFEVYL >ONIVA11G10740.1 pep chromosome:AWHD00000000:11:10931659:10932136:-1 gene:ONIVA11G10740 transcript:ONIVA11G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEATTSLSSLAGEPVRALVGRRPGAAISSTEAAAALGRWKRRQSCRIPVVGGWSPRMDAAESITPDCPRDRPTVPPVFAGERGAAKLRRQGELLLVGERERTLRPALAHRGREVEDGGMSRICELCMSMAPLQQQRNISDMILNT >ONIVA11G10730.1 pep chromosome:AWHD00000000:11:10923298:10927544:-1 gene:ONIVA11G10730 transcript:ONIVA11G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQANTIEVPTDAELLQSQADLWRHSLYYLTSTGLRCAIKLGIPTAIHRLGGAASVPGLMTKLSLPASKQPFLRRLMRVLVTNGVFAAEHNDGEVERYCLTPLSRILVDGVVADEHHSQTSFVLAATSRHYLEAALGLDEWFRKDVAPPLPSPFEDVHGASLFDESTALLDPELDAVVNEGLAAHDNLGIGTILRECRDLFRGLRSLTDCCGGDGTTARAIAKAYPHVKCTVLDLPKVIDKAPNDGVVNYVAGDLFHTVPPAQAVMLKLVLHHWSDDDCVKILTQCRKAIPSREEGGKVIIIEILVGPSLGPVMFEAQLMMDMLMLVNTRGRQRDERDWRDLFLKAGFNDYKIVKMLGARGVFEVYP >ONIVA11G10720.1 pep chromosome:AWHD00000000:11:10914688:10918411:1 gene:ONIVA11G10720 transcript:ONIVA11G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTPISPQLVSPTDDELLQAQANLWRHSLYYLTSMALRCAVKLGIPTAIHRLGGNATLPALITALSLPPAKLPFLRRLMRLLVSSGVFTTERGGAAAEAEAVYGLAPLSLFLVDGAFTGSEVDDGHTNQSAFVLAATSAHYVEAALGLDDWFMKDNVPAAASPFEAVHGAPLLHETPVDAELNRLVSEALVSQNHMGIGLALRESRRVFEGLESLVDCGGGDGAAARAIVRAFPGIKCTVLDLPQVIGTAPVADGAVDYVAGDMFSYIPPAQAVLLKYVLSHWSDDDCVKILAQCKKAIPSREAGGKVIIKDVVVGTSSGLMLEAELLMDMAMMVMTSGRERDEQEWREIFTNAGFSDYKIMNKLGARCVIEVYP >ONIVA11G10690.1 pep chromosome:AWHD00000000:11:10888569:10889078:-1 gene:ONIVA11G10690 transcript:ONIVA11G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSPPRKGYEMPSGRDALHLVKSESSCCPSVMLPLHHAKMSCRPSVMLSLFVEINAVARPHIGASLVYVNMKKEWCRTVRAESTPTNALSHSADETFTSSKIVVATGTLMEPLLRARYHINWINSRSIIRSRCQSHLYPAAVYTDFFINIFITSPCCIRGMTG >ONIVA11G10670.1 pep chromosome:AWHD00000000:11:10869661:10870131:1 gene:ONIVA11G10670 transcript:ONIVA11G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPAGSIQIHLCLALLSLGAEAVGDSARGEAAAQAGRRRAKGGVGGASSFEATRSSRHDLHRCSKLRGGQICLPRPLTGDVLEYRWGLLGCNSWRTAWVMAVVPTEW >ONIVA11G10660.1 pep chromosome:AWHD00000000:11:10866784:10869189:-1 gene:ONIVA11G10660 transcript:ONIVA11G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAPTMLAPTNEELLQAQADLWRHSLYYITSLSLQCVIKLGIPTAIHRAGGAASLPDLVTALSLPPSKLPFLRRLMRLLVHSGVFAADDTTDTGTYRLTPLSCLLVDGDDDGAAIVDGHPSQVPTVLAMGSRHCVEAAMGLADWIKKDSPSLLFEDVHGATPFDESMADLDPETHGLLNEGLAAHDGSGFAAVLRECREVFQGLESLTDCGGGDGATARTIVEAFPHIKCTVLDLPRVIGDKKTDGVVSYVAGDMLSFIPPAQAVMLKVVLHHWSDQDCVKILAHCKKAIPSREAGGKVIIIDVVISSTSGPLLEAELLMDVGMILIGKGQQRDENKWCDLFKKAGFSDYKTVKKLGIRGIFEVYP >ONIVA11G10650.1 pep chromosome:AWHD00000000:11:10852693:10854360:1 gene:ONIVA11G10650 transcript:ONIVA11G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTPLVSTSRLGTDPEPTIRSLYDDGDACLNDGCMQKPSNFSEPEYTVMIVHCEHDFIVADYLQLT >ONIVA11G10640.1 pep chromosome:AWHD00000000:11:10772109:10775249:-1 gene:ONIVA11G10640 transcript:ONIVA11G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYMYPTSLGKVWLLCLVNEEKPENCPISSAILFSMRVTIEILTGAALFDESMANLDPESDSMFNEALAAHDHSGFPTVLRECREVFQGVESLTDCRGGDGKAAKAIVEAFPHIKCTVLDFPRVIGETRTGVVNYVAGDMFREIPPAQAVMLQLVLHHWNDEDCVKILANCKKAIPTREDGGKVIIIDIVIGAPSGLLLEAQLLMDVAMMVVTKGRQRDENDWRDLFSKAGFSDYNIVKKLGARGVFELLLHHWNVEDYVKILAQCNKTIPNGEYGWKVIITDIVNGAPSGPLLETQLLINVVMMVVTKGRQRDENEWHDLFKTAGTAGFSDYKIVKNWEVELYLRSIDPKQCSQILLLSGFPLSLLGKCFAYEVLFTKHACTIFAPKRPRRRLNYENVSFQAEI >ONIVA11G10630.1 pep chromosome:AWHD00000000:11:10711014:10717560:1 gene:ONIVA11G10630 transcript:ONIVA11G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDFAPSFSSSLLPHSGASPTLLAPLPPILLTVTAPPMPLLASNRIALPPCCYRGARSAGGKVMPAGSVSHDLPAGVFGRSEARAITGGTSATSTTVVSPWQRTPQGKALLSHPLLYSHSPSHQLVGNSCNPLHCRSIPSHVRLREAKISEDAEIAALATRCMQGQPTSGPAACGRVRKEREFRAELPLSTAAVLPANHGPAVGFTLCLHTCTSTFKSKKASTIDKELVEAQLHFSSNYA >ONIVA11G10630.2 pep chromosome:AWHD00000000:11:10710736:10717560:1 gene:ONIVA11G10630 transcript:ONIVA11G10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDFAPSFSSSLLPHSGASPTLLAPLPPILLTVTAPPMPLLASNRIALPPCCYRGARSAGGKVMPAGSVSHDLPAGVFGRSEARAITGGTSATSTTVVSPWQRTPQGKALLSHPLLYSHSPSHRDPDP >ONIVA11G10620.1 pep chromosome:AWHD00000000:11:10699377:10705314:-1 gene:ONIVA11G10620 transcript:ONIVA11G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAAFTSSNGPLTMKTERERARARGDGERKARSEFPSFLRSNWCFHQQAFYNVECRRPRPQPPSSGLDSTGNSSDISNLTQHLDLLNNRSPHLLLHPKGRSSEKRLKRIKFLAEKDFQRQNFFFLRYPRLE >ONIVA11G10620.2 pep chromosome:AWHD00000000:11:10699377:10705314:-1 gene:ONIVA11G10620 transcript:ONIVA11G10620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAAFTSSNGPLTMKTERERARARGDGERKARSEFPSFLRSNWCFHQQAFYNVECRRPRPQPPSSGLDSTGNSSDISNLTQHLDLLNNRSPHLLLHPKEKDFQRQNFFFLRYPRLE >ONIVA11G10610.1 pep chromosome:AWHD00000000:11:10665902:10669956:-1 gene:ONIVA11G10610 transcript:ONIVA11G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDAVRTPSARRAARTRSASSGGETPTESSGRRRSKQAAVTGERGGRRRPAAGGEGGRDEEGRNGYVVAQLLKSPRSGRPKNQPSPPPQEEEEGNHGSARYDCTFQDEGGHNFAPPELVWGKVRSHPWWPGQVFDAADASELALKHTRAGAPLVAYFWDKTFAWSDASALLPFCSNFTRLASQSTMSGFVSAVDAALQEVGRRVEVGLSCTCFGSSFGKRQEIENSGIREGAYGAVVDGAYMRGAYHGRPFLDYILALGMNPLAGADRLELTTAKAQLRAFNCSRGSRHLPEFVTFEGIEDVSVAIPHTKRKRMDKSGGDDVMDMEKKPRRGESSSRKKKVLPEAGKKEIMDEEGSVPSIGATEDTLSKTKKSKNQNCAAKKNRNTSKDADGLDMDDKGSVPSSVPRKGATDDTSIKTKKSKNKNRSAKKNKNTSKDADGLETVGASKKLSKKAVDETLSDSKSARRTRSTRMKGGTPVALKGRGKDSGAESLKVEEKNTALLKENKVGRRAGSARKKYKTTGDGDGLEDGNANVSVSSGKRSTRGETSVASEARISEQGRKKKKLSELMAVTDVPNPSSGGKSKARGKRSMDASTEKLEDPDRDLEDTMKTRKRKKLDTLGDLSSQPQPVSRKSTTKVGELMHKAAGQMSQTRPVRKANGAVSPKNSRSTKERQVNAPDKSAHSLKVKKGKTDTLTENSLSCSEMLSQLSLAVFNLKKKERFSSAGMNFFTDFRKYSYASRSDVEKEIYGKATNTGSCASFSDVDEDIPEKAASTEPTPLEQPLADHMQDDYWADILINVEEPLSSLRKKKDKGVNRTRKKEHVKKPAMKSSSLGNVEGPTVEGSENKQPNAETQLSVANGTKVSSEETESSSFAGLVLHFSRPGAVPSRSDLIKIFSQYGPVNEAKAETANNANCAQVIFKRRMDAEAAFAGAGKIGALGPALVSFRLSDFPAAASGNDPRQGASKSD >ONIVA11G10600.1 pep chromosome:AWHD00000000:11:10661484:10662689:-1 gene:ONIVA11G10600 transcript:ONIVA11G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVLPAVPHNPKLMMFPSTETLALKLSNTLPQPWPLAPARLSPPPPLLRAEAARSARCRRSRSRQAVPEDRDHWICEEKEKGEGRTDADAHVTSRARAAGDEGKDGAAPVGLRCSVASAYRGHLPPPRRLLRSPDPTAAAADTAEGVAASPDPEGRAATATALPPPGGAAAASTLRGTRRLHHPPPPTPDLGGGREAAASSTLRAATALSRLCHR >ONIVA11G10590.1 pep chromosome:AWHD00000000:11:10662065:10666534:1 gene:ONIVA11G10590 transcript:ONIVA11G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATTAMTPTAAATKMTIVSSNPGTGPAVGDDFTCLDHSAAPKMDGNIINLGLWGTAGQEDYSRLRILSYRGADIFVLAFSLISRASHENVLKEDGVRPRVNHFLTGRASVPGRAISKGRQSIEAQNIVRENYEILVLQTEFLDYLLQLYLANIRLKNAATDVVITMVLWKQHYLMLVHLNSLSGWEVGEAKANKSWSKSTDFPCACKCCLSIHAPLEDHLSAWFLHINQNVSPIIILHMISQGLFQRSGLSAGSFLRNILINIRK >ONIVA11G10590.2 pep chromosome:AWHD00000000:11:10662065:10666534:1 gene:ONIVA11G10590 transcript:ONIVA11G10590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATTAMTPTAAATKMTIVSSNPGTGPAVGDDFTCLDHSAAPKMDGNIINLGLWGTAGQEDYSRLRILSYRGADIFVLAFSLISRASHENVLKEELEFDLWPRWGDGGADLIGIFLDGVRPRVNHFLTGRASVPGRAISKGRQSIEAQNIVRMVLWKQHYLMLVHLNSLSGWEVGEAKANKSWSKSTDFPCACKCCLSIHAPLEDHLSAWFLHINQNVSPIIILHMISQGLFQRSGLSAGSFLRNILINIRK >ONIVA11G10590.3 pep chromosome:AWHD00000000:11:10661429:10665414:1 gene:ONIVA11G10590 transcript:ONIVA11G10590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIGAGDGAAEADSANVSVDGNIINLGLWGTAGQEDYSRLRILSYRGADIFVLAFSLISRASHENVLKEDGVRPRVNHFLTGRASVPGRAISKGRQSIEAQNIVRENYEILVLQTEFLDYLLQLYLANIRLKNAATDVVITMVLWKQHYLMLVHLNSLSTKKSITFN >ONIVA11G10590.4 pep chromosome:AWHD00000000:11:10662065:10666534:1 gene:ONIVA11G10590 transcript:ONIVA11G10590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATTAMTPTAAATKMTIVSSNPGTGPAVGDDFTCLDHSAAPKMDGNIINLGLWGTAGQEDYSRLRILSYRGADIFVLAFSLISRASHENVLKEDGVRPRVNHFLTGRASVPGRAISKGRQSIEAQNIVRMVLWKQHYLMLVHLNSLSGWEVGEAKANKSWSKSTDFPCACKCCLSIHAPLEDHLSAWFLHINQNVSPIIILHMISQGLFQRSGLSAGSFLRNILINIRK >ONIVA11G10580.1 pep chromosome:AWHD00000000:11:10617657:10623977:-1 gene:ONIVA11G10580 transcript:ONIVA11G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLRRGHPFLRRLLRPPPPPTSSVLESCNRNVSPRVQLWRFSAEGKDQTNSSEDGYSEANIKKKDFALQQALDQIKSAFGEESIMWLNHSYGPKEVPVISTGSFALDMALGIGGLPKGRVVEIYGPEASGKTTLALHIIAEAQKNGGYCAFIDAEHALDPTLAESIGVKAEHLLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTMLVFINQVRSKLSTFSGFGAPAEVTCGGNALKFYASVRLNTKRIGLIKKSEEVVGTQIQVKIVKNKHAPPFKTVHLELEFGKGLSRELEIIELGFKHKFITKSGVFYHLNGQNFHGKDALKCYLAENKNVLESLMSMIKESIMQQESHPDRNMEDANPDTSITEEFVSATDEQVPEEVEA >ONIVA11G10570.1 pep chromosome:AWHD00000000:11:10606844:10609034:-1 gene:ONIVA11G10570 transcript:ONIVA11G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGSDCVGADGRRSREVRMGREPKILMGARSCTLSDFILCLYFLIAKRGDPLGLVLSRGAAAAFPMETTPTSSAATPTMTTGDGDSPPAKALAEDYGLYWTVQQKIDDLLQRSERNIMPKHPEFATY >ONIVA11G10560.1 pep chromosome:AWHD00000000:11:10597876:10604447:1 gene:ONIVA11G10560 transcript:ONIVA11G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclopropyl isomerase [Source:Projected from Arabidopsis thaliana (AT5G50375) TAIR;Acc:AT5G50375] MAAPRRPAAAKARNGGGAGRTSRWMAADGSKRWGETFFLLYTPFWLTLCLGVVVPFKLYERFTELEYLVVGLVSTVPAFVIPLFLVGKADSVRSLKDRYWVKANIWIIIFSYVGNYFWTHYFFTILGASYTFPSWRMNNVPHTTFLLTHACFLFYHTTSNMTLRKLRHSTAHLPQFLRWSFEAAWVLALSYFIAYLETLAIANKICGNAFQSGQIPLDRPSGYTTFEHREKFPYYEFIDRDIMYKVGSLFYAIYFIVSFPMFSRIDENEEKWNLSRVAVDALGAAMLVTIILDLWRIFLGPIVPIPESRRCGQPGLAWFQVQKESV >ONIVA11G10550.1 pep chromosome:AWHD00000000:11:10593439:10594221:-1 gene:ONIVA11G10550 transcript:ONIVA11G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYAVQFNAAAKNLLERLNAKLPSASMSLTDCYSIVMELIEHPQKYGLKLVIMQLCDDRTAFVFWDAYHTSDAANQVIADRLYADMVSAGAVQGSGNATTRLHPRASRCRRRRRAVEPRRATSKALKYIQQIDWPPSAGTKPSPLRYDDE >ONIVA11G10540.1 pep chromosome:AWHD00000000:11:10574534:10574861:1 gene:ONIVA11G10540 transcript:ONIVA11G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEDEFASGDDTDNEIDGSPVYAESGDTSSSDNDHDHDGDVNPDQRDLQAMKSTGAEMQHARRTTRRLKNVNALVYNSKYSDHL >ONIVA11G10530.1 pep chromosome:AWHD00000000:11:10564702:10570338:1 gene:ONIVA11G10530 transcript:ONIVA11G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPSKKLAKRPRAAARGDQEEGDGKEVKEEEEDEALLFPVGAEVEVGSDDPGFVGSFYEGTVEAHLPGGDGYVVAYTTLEEGGAALREEARARDVRPQPPPVAGAPGAGGFAMHDMVEAFHNEGWWSGVVTGLPLPLDVLPVDPRRRVYTVAFPTSREVMEFEEAALRPHRVFRRGSWVPAADVDNGGPAFREGSLVEVSRSAESFGQSWNPATILKVIGSTNFLVQYRHVGDDGELVTEIVDTEYIRPARSIIRMDSKYRFSPSSHVEVFHEGSWWPGIILETSSGVFGKMYVVKLKSYTTGMDNVDGVDKLTVENTKLRPQFEWDGRKWMRCMTKKKDTKAKKLVIRGSQLTSRKKPIPADLASCNDSDEIRDKPSSDKLLETADVVPRPKETMKQQNAVLALASQIKLPLQLSMTGSGHLKYTSSLILGSPIELPSSQMDVMPSVPQTAGLQASLFGVFGKLRPIPQDPLLVMQSPHPDLSRNEGSKASTDQEKQSTDEGCCLISSAANSFNFVSFAGIDVSRKRKECVSFQAPEELGMKKNRVDETIEGTHDIAAISEEQTKLIFRDEHNELPTNVIAGPAIPSEKNQPTPLEDNKGPRDSSIVDKISQSGINDVRQDENLVLHATSTLDNSGDVNLLSSVSSTENQKKISKSEGCEISMDEDSGEEFCRSILVMPDDTRMDQFPSAKSGQATRHDDLICKENLGAIVECVTNTPTENLSFLSPAMFDDGVPNQSPVSENCQDNKQDGMDNVDHGANVVELASIIPETQHASVGGPLSTISLAALEGKTVLSHSLTWESALNEQSGVSQQYHSSAMVESPECVAESSQSIDDLTITQLCSFDTSQCIDAELGNSLIVSNNTQDTPISKYVARTHNSSCPLMQKFLHVHENIMVDQPSESLAIIELPFVKTSPMWAQIEAMEVFSKVPQRPNFHQLQQHPPEFREGIALGLMYSFTNLAESINMLNVHDDNAVFEHKMRCISVLEADGFDVRHLRSRLETLLSLKNSWSKIQDMMKRSEKKIAQEEIDDQQRCAEISVLSMVVRQLEQHAHLFRCIKNRAISQQMSHAMENSRLKVEASQLKQSSMSTEQRFSSVVAAPW >ONIVA11G10520.1 pep chromosome:AWHD00000000:11:10498078:10498509:-1 gene:ONIVA11G10520 transcript:ONIVA11G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAGAAPWLGRRCAVMREVVPELEAGAALGWRCARKDGRAQQRDHTRSVAAPSRLQEAGSDGAGAGAARLKPSLRAFFSCGIFSAYTHLALSLTVTPNNNVACHQAGCGGGGTGGGGGVVASCGGGGGGDGGCSSGRRRLLR >ONIVA11G10510.1 pep chromosome:AWHD00000000:11:10483338:10484563:-1 gene:ONIVA11G10510 transcript:ONIVA11G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTVQTLAEAFIADERFLSLDFADLHAVYHREKMDMNFVAAWCMMQYMDAEKTKAPIAQEQELEEIAGYKKGLHKTKLLTVAQYIRRAFLKFQNKSHNGLYNFNVTSNLKVVSDITQANLSGYRTNQKSIALTTKASKTCSGTFATSSTGECCHKVGLFFDPEGSLVISDEYKSLREWNNTMPYCIR >ONIVA11G10500.1 pep chromosome:AWHD00000000:11:10476676:10478616:-1 gene:ONIVA11G10500 transcript:ONIVA11G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISWPNVMGRFVAVTVRLRDMQPVFAIKNQGNLLIASPRKSLLPPSALMHLPPSQTLGVMAGVMVILFGNRKRFCRLSCNLLIFSNMQPRLQFFPPKAVYQWPTSTLIPTTKGKKVQEFDQDAQLEMSTPKVPYFYPMKGINEKIELMCRERGTMHQFLACNSIPAAIQVPSPFTALVLPKKTMFDFSRQVNKLDAAWTLDFPKSSYPPQNQP >ONIVA11G10500.2 pep chromosome:AWHD00000000:11:10476407:10476673:-1 gene:ONIVA11G10500 transcript:ONIVA11G10500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWIFLKSCLWLFYLHPANCSSDAAQSSCQKKGWKTLLYNPYRRQSARLQLNKEGSELKVDPRMGIGKPRVNLQESLKSWQV >ONIVA11G10490.1 pep chromosome:AWHD00000000:11:10463897:10465279:-1 gene:ONIVA11G10490 transcript:ONIVA11G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLTNPPPGLYAAKTPSQQQQQHRPAGSHAAAAAAATVAMPQTASAASSSVAVKKNMRQAAPVVVARRRTTAVESGGVALASVWREVQGERDWEGMVEGTAEEELHPLLRGEIVRYGELVAATYKAFDLDAASKRYLNCKYGKARMLDEVGMAGAGYEVTRYIYAAPDLSAGPPCPSRWIGYVAVATDEAVRRLGRRDIVVSFRGTVTGSEWVANMMSSLAPARFDPADPRPDVKVESGFLSVYTSDDATCRFTCGSCRNQLLSEVTRLIAKHKHEDVSVTLAGHSMGSSLALLLGYDLAELGLNRDARGRAVPITVFSFAGPRVGNTAFKDRCDELGVKVLRVVNVNDPITKLPGIFLNENSRVLGGKLELPWSSSCYTHVGVELALDFFKARDPACVHDLEAYLGLLKCPKVTKVMKEGEDLFSKAKKIVLEQSFDTWRWQMAAIQVGGLVQALGM >ONIVA11G10480.1 pep chromosome:AWHD00000000:11:10396633:10398006:-1 gene:ONIVA11G10480 transcript:ONIVA11G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVARLTAATTCRMRVSGPVTAATTSAASPVTVTLGDLPQQKRSAATATTTTSSGGSPVVVEKRAAAATAAEEEEVEEAAAVAALASAWREVQGAGDWRGMVEPLHPLLRDEVVRYGELVAACYRAFDLDPASKRYLNCKHGKKQMLPAVGMAGAGYTVTRYIYAAPDVPLPFGVGGRCSCAGKSRWIGYVAVASNREAARLGRRDILVSFRGTVTGSEWLANFMSALSPARFDPADPRPDVRVESGFLSLYTSDDLSGKFTCGSCRNQLLSEVTRLIDKYKHDDVSITLAGHSMGSSLAILLGYDLAELGLNRGGRGGRGGAIPITVFSFGGPRVGNLEFKRRCDELGVKVLRVANARDPVTRMPGVVLNEAAARVFRVELPWSKACYTHVGVEVALDFFKASHAACVHDLDAYINHLLDGGAAASATTTTLESWRWQMAAIRAGEWLQTLGI >ONIVA11G10470.1 pep chromosome:AWHD00000000:11:10358368:10368849:1 gene:ONIVA11G10470 transcript:ONIVA11G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: ribosome biogenesis; LOCATED IN: nucleus; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: AARP2CN (InterPro:IPR012948), Protein of unknown func /.../UF663 (InterPro:IPR007034); BEST Arabidopsis thaliana protein match is: P-loop containing nucleoside triphosphate hydrolases superfamily protein (TAIR:AT1G06720.1); Has 2741 Blast hits to 2088 proteins in 291 species: Archae - 2; Bacteria - 131; Metazoa - 833; Fungi - 650; Plants - 171; Viruses - 49; Other Eukaryotes - 905 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G42440) TAIR;Acc:AT1G42440] MGGARAQVNKPHKTRFASKASRHAHKIDKVRTGKPEGSHRAAVKGAHAARVQRSKAIRDQKRAALLKEKRSSVGSSSAPRVVVLFGLSSSANVRSLAKDLLTIASGDEEKPTSSTVASPTYKLRTTVLEAPYGDLTSCMELAKDLPSENKSRQELKKTAISFVSPELPEDCKFYAADTKDDLHKFMWLFKEQHLSCPHWRNQRPYVMSEEACIKPDDSSGLCTLLVSGYLRAHNLSVNQLLGQIDILKDPFPINERKNSNAMDSEDSGIQIVDTFVPDPSSQEPLLVENTPDPLEGEQTWPTEAEMEEAYLNNKQRKLKRKLPRGTSEYQAAWIVDDTDDEDGDSENDNQDGAGMVIDEQDHSDNGGDGSDMDVVSHFTEKFDEETIGGTEMADDENLTKEQIEAEIKKIKEANAEDEEFPDEVETPLDVPAKRRFAKYRGLKSFRTSSWDPKESLPQDYARIFAFDNFTRTQKHVLAKMAERDEGTLKDCAQRGSFVRLHLKNVPTEIASKLVHPSRRLPVVVSGLLQHESKISVLHFSIKKHDSYEAPIKSKDSLIFNVGFRQFTARPLFSTDNINCNKHKMERFLHHGRFSVASVYAPICFPPLPLIVLKSRDGEQPAIAAVGSLKSVDPDRIILKKIVLTGYPQRVSKLKAVVRYMFHNPEDPVELWTKHGRRGRIKETVGTHGAMKCIFNSSVQQHDTVCMSLYKRAYPKWPEQLYQI >ONIVA11G10460.1 pep chromosome:AWHD00000000:11:10348135:10351933:1 gene:ONIVA11G10460 transcript:ONIVA11G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPALALSSPRCPPPRYPRPPKTLPPAYSISKEAPGPLVWLASEENKGIQADNKKNKRLVLFLHKQKQDVAFFTVFLLKSDFINQFKPALRWVTLGWLGS >ONIVA11G10460.2 pep chromosome:AWHD00000000:11:10348135:10351933:1 gene:ONIVA11G10460 transcript:ONIVA11G10460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPALALSSPRCPPPRYPRPPKTLPPAYSISKEAPGPLVWLASEENKGIQADNKKNKRLVLFLHKQVQELDRKKRHIKIARKEF >ONIVA11G10450.1 pep chromosome:AWHD00000000:11:10344337:10346029:1 gene:ONIVA11G10450 transcript:ONIVA11G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G16740) TAIR;Acc:AT5G16740] MAASGWWCGLSLCAKPKQVASESVHGAQLALQRLTAARRCGGGGDAACVDVEAGKPCKCGEEHTEAAAAGRVAAVEAVHHGKPTSSFAHSVINMVGMLIGLGQLSTPYALENGGWASVFLLVGLGVMCAYTAHLIGKCLDDDPASKTYQDIGERAFGGKGRVVASAFIYLEIFFALVSYTISLSDNLPLVFAGAASHLHLPWVRLTATQLLTVAAVLVALPSLWLRDLSTISFLSFAGIVMSLLIFGTVVCAAAFGGVGLGGYIPGLRLERIPAVSGLYMFSYAGHIVFPNIHAAMKDPSAFTRVSVASFAVVTALYTALAFVGASMFGPSVSSQITLSMPSGLAVTRIALWATVLTPVTKYALEFAPFAIQLERHLPAAMSPRARTLVRGGVGSAALLLILALALSVPYFQYVLSLTGSLVSVAISIIFPCAFYLKIRWGRVSWPAVALNAAMIAAGVVLAVVGTASSATSLVQSIQKGHAA >ONIVA11G10440.1 pep chromosome:AWHD00000000:11:10323092:10326309:-1 gene:ONIVA11G10440 transcript:ONIVA11G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor, thioredoxin-like U5 snRNP [Source:Projected from Arabidopsis thaliana (AT3G24730) TAIR;Acc:AT3G24730] MGSALLPTLRRKPEVDAAIRDTLDKVLVLRFGRADDAACLHLDDILAKSSWDISRFATVALVDMDSEEMQVYIDYFDITLVPATIFFFNAQHMKMDSGTPDHTKWIGSFSSKQDFIDVVEAIFRGAMKGKLIVSCPLPPERIPKFQLLFKDMTELYEAMFRQKSL >ONIVA11G10430.1 pep chromosome:AWHD00000000:11:10316904:10322930:1 gene:ONIVA11G10430 transcript:ONIVA11G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFSCCHGAGGMVVIVVVVMAMLGGVVAGGEPPFSCGGAAAGGGQGYAFCDATLPAEQRAADLVARLTAAEKVAQLGDQAAGVPRLGVPAYKWWSEALHGLATSGRGLHFDAPGSAARAATSFPQVLLTAAAFDDDLWFRIGQAIGTEARALYNIGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPTMASKYAVAFVKGMQGNSSAILQTSACCKHVTAYDLEDWNGVQRYNFNAKVTAQDLEDTYNPPFRSCVVDAKATCIMCAYTGINGVPSCANADLLTKTVRGDWGLDGYIASDCDAVAIMRDAQRYTQTPEDAVAVALKAGLDMNCGTYMQQHATAAIQQGKLTEEDIDKALKNLFAIRMRLGHFDGDPRSNSVYGGLGAADICTPEHRSLALEAAMDGIVLLKNDAGILPLDRTAVASAAVIGPNANDGLALIGNYFGPPCESTTPLNGILGYIKNVRFLAGCNSAACDVAATDQAAAVASSSDYVFLFMGLSQKQESEGRDRTSLLLPGEQQSLITAVADAAKRPVILVLLTGGPVDVTFAQTNPKIGAILWAGYPGQAGGLAIARVLFGDHNPGGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGKTVYKFGYGLSYSSYSRQLVSGGKPAESYTNLLASLRTTTTSEGDESYHIEEIGTDGCEQLKFPAVVEVQNHGPMDGKHSVLMYLRWPNAKGGRPTTQLIGFRSQHLKVGEKANIRFDISPCEHFSRVRKDGKKVIDRGSHYLMVDKDELEIRFEA >ONIVA11G10430.2 pep chromosome:AWHD00000000:11:10318719:10322930:1 gene:ONIVA11G10430 transcript:ONIVA11G10430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPNVNIFRDPRWGRGQETPGEDPTMASKYAVAFVKGMQGNSSAILQTSACCKHVTAYDLEDWNGVQRYNFNAKVTAQDLEDTYNPPFRSCVVDAKATCIMCAYTGINGVPSCANADLLTKTVRGDWGLDGYIASDCDAVAIMRDAQRYTQTPEDAVAVALKAGLDMNCGTYMQQHATAAIQQGKLTEEDIDKALKNLFAIRMRLGHFDGDPRSNSVYGGLGAADICTPEHRSLALEAAMDGIVLLKNDAGILPLDRTAVASAAVIGPNANDGLALIGNYFGPPCESTTPLNGILGYIKNVRFLAGCNSAACDVAATDQAAAVASSSDYVFLFMGLSQKQESEGRDRTSLLLPGEQQSLITAVADAAKRPVILVLLTGGPVDVTFAQTNPKIGAILWAGYPGQAGGLAIARVLFGDHNPGGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGKTVYKFGYGLSYSSYSRQLVSGGKPAESYTNLLASLRTTTTSEGDESYHIEEIGTDGCEQLKFPAVVEVQNHGPMDGKHSVLMYLRWPNAKGGRPTTQLIGFRSQHLKVGEKANIRFDISPCEHFSRVRKDGKKVIDRGSHYLMVDKDELEIRFEA >ONIVA11G10430.3 pep chromosome:AWHD00000000:11:10316904:10317646:1 gene:ONIVA11G10430 transcript:ONIVA11G10430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFSCCHGAGGMVVIVVVVMAMLGGVVAGGEPPFSCGGAAAGGGQGYAFCDATLPAEQRAADLVARLTAAEKVAQLGDQAAGVPRLGVPAYKWWSEALHGLATSGRGLHFDAPGSAARAATSFPQVLLTAAAFDDDLWFRIGQLVAVSGGFVIRDKKGQSGEGLR >ONIVA11G10420.1 pep chromosome:AWHD00000000:11:10305024:10305245:1 gene:ONIVA11G10420 transcript:ONIVA11G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGGGAASAPPTRPEGYSTSDSEADRLGWFFSKEEEEAAAEEVVEDIAAGKEWQGFTLEYEHGSDADEDAAE >ONIVA11G10410.1 pep chromosome:AWHD00000000:11:10292490:10292956:-1 gene:ONIVA11G10410 transcript:ONIVA11G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATAGSSEEGDLSLGSSVWVDLAVLTSVGGLTECLRKSFSHAGTLPDWKYADFTSTGGRRVRVADPRLIAWPRACTISCPPLVSLLLYT >ONIVA11G10400.1 pep chromosome:AWHD00000000:11:10279742:10284008:1 gene:ONIVA11G10400 transcript:ONIVA11G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVHVSVGSLLSFALRSALCAEKMSSDRRRNLSSWGDTWLSPIGRSGLYEAGASLTQLTRIASTSSRLLRTLPMAVSTSRRGGGVLAAVVTAVAALAMLGRVAWGGNPGFTCGPASAQKGFAFCNAALPAEQRAADLVARLTTAEKVGQLGDQAPGVPRLGIPVYKWWSEALHGLAISGKGIHFGNGPARTATSFPQVIHTAAAFDDGLWFRIGQAIGKEGRAFYNLGQAEGLAMWSPNVNIFRDPRWGRGQETPGEDPATASKYGAAFVKGLQGSSLTNLQTSACCKHITAYDIEEWKGVSRYNFNAKVTPQDLADTYNPPFRSCVVDGKASCIMCAYTLINGVPACASSDLLTKTVRGEWKLDGYTASDCDAVAILHKSEHFTRTAEEAVAVALKAGLDINCGVYMQQNAASALQQGKMTEKDVDKALKNLFAIRMRLGHFDGDPRGNKLYGRLGAADVCTPVHKALALEAARRGVVLLKNDARLLPLRAPTVASAAVIGHNANDILALLGNYYGLPCETTTPFGGIQKYVKSAKFLPGCSSAACDVAATDQATALAKSSDYVFLVMGLSQKQEQEGLDRTSLLLPGKQQALITAVATASKRPVILILLTGGPVDITFAQTNPKIGAILWAGYPGQAGGQAIADVLFGEFNPSGKLPVTWYPEEFTKFTMTDMRMRPDPATGYPGRSYRFYKGKTVYKFGYGLSYSKFACRIVSGAGNSSSYGKAALAGLRAATTPEGDAVYRVDEIGDDRCERLRFPVMVEVQNHGPMDGKHTVLMFVRWSSTDGGRPVRQLIGFRNQHLKVGEKKKLKMEISPCEHLSRARVDGEKVIDRGSHFLMVEEDELEIRFQD >ONIVA11G10390.1 pep chromosome:AWHD00000000:11:10269575:10270804:1 gene:ONIVA11G10390 transcript:ONIVA11G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLFEHLLWLQAVAPVGWRPFCISMWMGSVASYPNPPPRALFSRSSWRGKPAPSGAAVLRVWWPDDGSKQNIAPDLVKPSRFSLYMVIKLAIMVVFFWSNCKHFSVGIVA >ONIVA11G10380.1 pep chromosome:AWHD00000000:11:10261431:10263202:-1 gene:ONIVA11G10380 transcript:ONIVA11G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKLWHGETLRESLKRREHFLALQLGLKKGMKVLDVGCGIGGPLREIARFSSASVTGLNNNDYQISRGQELNFSVGLSETCNYVKVILAKDMAEESPLPWYQPLDPSQLSLTHFQFTRIGRFLGQTLVSKQFITQTEATEFLACSWERLEGIFTPLFFVLARKPLQKQEEQI >ONIVA11G10370.1 pep chromosome:AWHD00000000:11:10254461:10259199:1 gene:ONIVA11G10370 transcript:ONIVA11G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYEYATNGYHRGMEDDYEDEYYSEDPYDEEGEGAGQEYAEEDDEPPEGQQEFLQIRERLKEQIRRKAQAASASAAGRSSSSHDRKPQSTFGSFFGPSKPVISQRVIEERKSLKELQNTASMSRDRRPSAKEIPSSSKVQSKTNGHHHKQKIVNEAKRKAEALKDNRDYSFLLSDDADISPSPMEKPVARSSLSQKSDRESTHSAVKSRAPKGQTARLSNGYGLKNTSSSQRHTEGRVDSNRKVAGANRERVVLPDNGRMHSVVRNGSTQATTSKAASQKLPSKAPIANRPPIKSVSDQSLRANHSASKQLLSQNGRPQSSQSQRMQSTSHGQRPHQPVQSQRPLQSLQGRRPQQSPQNTRPQLMSKSQRPQQSLQRQRPQLSSQNERLESSERQRPPSQSYRPQSSQGQRPSSVQGRQYSEQRRIQANDRVKSAERQIRPPSKPMPSRQIPSNGMRDAHAKKKQPMKRRFNDLSEDEEDPLAMIRSMFRYDPSKYAGRDDDDSDMEADFATIEMEEQRSARIAKEEDDEQLRLIEEEERREQERKRRKMARGR >ONIVA11G10350.1 pep chromosome:AWHD00000000:11:10220453:10220936:1 gene:ONIVA11G10350 transcript:ONIVA11G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAQLGWVFTRKGRESTRQHLQGENGARRCRCRRSCDQTRLSPKTPSKCVEPLRSQSRNRPNHQHRQTQHLSHRKAPQPGLEADSQKKGGAPRMKEA >ONIVA11G10340.1 pep chromosome:AWHD00000000:11:10194806:10201454:1 gene:ONIVA11G10340 transcript:ONIVA11G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARRLAVLRSHLQPAAPAGEGDRDVVVCAEQAAGVSTSPCSAAAAGGGREAEGKSCVFCRIIRGEAPAFKVYEDDVCLCILDSHPLAPGHSLIIPKCHFPSLEATPPHVVAAMCSNVPFLSNAIMKATQCDSFNMVVNNGAAAGQVIFHTHFHIIPRRSGDKLWPTESLRRRSIEPNETSGLVSCIKEQLYSSPEGCKAEPPSSLPKERLEPNQTLADPKLSRAAYRSA >ONIVA11G10340.2 pep chromosome:AWHD00000000:11:10194806:10201258:1 gene:ONIVA11G10340 transcript:ONIVA11G10340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARRLAVLRSHLQPAAPAGEGDRDVVVCAEQAAGVSTSPCSAAAAGGGREAEGKSCVFCRIIRGEAPAFKVYEDDVCLCILDSHPLAPGHSLIIPKCHFPSLEATPPHVVAAMCSNVPFLSNAIMKATQCDSFNMVVNNGAAAGQVIFHTHFHIIPRRSGDKLWPTESLRRRSIEPNETSGLVSCIKEQLYSSPEGCKAEPPSSLPKER >ONIVA11G10340.3 pep chromosome:AWHD00000000:11:10194806:10201454:1 gene:ONIVA11G10340 transcript:ONIVA11G10340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARRLAVLRSHLQPAAPAGEGDRDVVVCAEQAAGVSTSPCSAAAAGGGREAEGKSCVFCRIIRGEAPAFKVYEDDVCLCILDSHPLAPGHSLIIPKCHFPSLEATPPHTHFHIIPRRSGDKLWPTESLRRRSIEPNETSGLVSCIKEQLYSSPEGCKAEPPSSLPKERLEPNQTLADPKLSRAAYRSA >ONIVA11G10330.1 pep chromosome:AWHD00000000:11:10191126:10191695:1 gene:ONIVA11G10330 transcript:ONIVA11G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMIQAKFPRQWAKKKKKKKEQTKSCIQKLMQNSRFLRVANFDGLEIGKKLPSEEFIKQLGGLQFLSALTLRGTSYTVSQLIFDHGFHSLTELMVSAENLDRIEIHELALPKLKDLDVVGHGNDFHVEIHGRLVRGIKGEDEKPFKILHVKSRENNKNEDSMS >ONIVA11G10320.1 pep chromosome:AWHD00000000:11:10184780:10185470:1 gene:ONIVA11G10320 transcript:ONIVA11G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEKPIRFTPRQLAGFTRGYSARLGAGGFGTVYGGALPNGLGVAVKVLRGGMGRRLFSFCFDAAVRALVYEFMGDSALDAYLFDWTRAVGDSDGLRSPPSSSLWAEAAQRVGEELVVAVAEDGEAGGDVRLVAAERAGEDCGGVVMEGAAERRAKTHRGSGGEAMEGGEVGGGGGELMEGARGGERIGISGGR >ONIVA11G10300.1 pep chromosome:AWHD00000000:11:10154483:10159429:1 gene:ONIVA11G10300 transcript:ONIVA11G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITNWLGFSSSSFSGAGADPVLPHPPLQGKTSHLMHQWGSAYEGGGTVAAAGGEETAVPKLEDFLGMQVQQETAAAAAGHGRGGSSSVVGLSMIKNWLRSQPPPAVVGGEDAMMALAVSTSASPPVDATAPACISPDGMGSKAADGGGAAEAAAAAAAQRMKAAMDTFGQRTSIYRGVTKHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGTTTTTNFPVSNYEKELDEMKHMNRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIIESSNLPIGTGTTRRLKDSSDHTDNVMDINVNTEPNNVVSSHFTNGVGNYGSQHYGYNGWSPISMQPIPSQYANGQPRAWLKQEQDSSVVTAAQNLHNLHHFSSLGYTHNFFQQSDVPDVTGFVDAPSRSSDSYSFRYNGTNGFHGLPGGISYAMPVATAVDQGQGIHGYGEDGVAGIDTTHDLYGSRNVYYLSEGSLVANVEKEGDYGQSVGGNSWVLPTPESEMVEKADNVTVCHGMPLFSVWNDA >ONIVA11G10290.1 pep chromosome:AWHD00000000:11:10148025:10148285:-1 gene:ONIVA11G10290 transcript:ONIVA11G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQETESTKTHFVVPTHNPTTDATPQQDENESNLPEEFRVTSPPPLSPPPYPLSPSMEDDGMIYAEDLGHMSTPCPSPLRTSTI >ONIVA11G10280.1 pep chromosome:AWHD00000000:11:10141855:10142143:1 gene:ONIVA11G10280 transcript:ONIVA11G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMEAYDGNRESIETLSIPTDSSLVRSSILHQLMFSKYKQAAPLHPDIEEFRCSDVVNPAA >ONIVA11G10270.1 pep chromosome:AWHD00000000:11:10136967:10141676:1 gene:ONIVA11G10270 transcript:ONIVA11G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGKMPARVKRIRFSDSQNECEVVLPQTLASGGASSSRVVGEATQSKPKRRRRATSAGEGPSGDEPSETKGPNLTRCSAALVVQACRAISTVHHEKLEEIGLDAVAYKPLESLEQPDLIQWLMDRTDPDTMCISIDDDRKIPITSRTVRLVLGTPLGGNDIVLPSHKVVRTIHESITDELGIHKKARLSTKQLIEVIKSQKDDSRAVRYFIMVLMSKLLVPTTDFYVPKGDVWVASDLDRVAAIDWSKAVFRALNDSIRCWRQNPASSIASCVVFLVVLYLDNIVPPRDIGLDLTFTPRIQMFTKDIVDKLVAADQEASGGGTLPFGNLPIRLRALESTCYANKPAGRAKGPMVEDIMAPAYNFPNMSTILGPHLAGLPSDQRLGLLESLAEYDRQAKESALEIERQFRLVVDKQHMLCQSVIDVLQANRAAQPPPVVPQAAQCQEASRRQSDVQFTSAEINPNDSEDQQQQQQQHHGCNGSPSAFDQHTIHPNTPTHVSPSMEIVPYIPPVRLEVADQPHPGSAHSPDLTQRSPIPTDSAPLTSEEVSAQYSAPDTAEEPPAIKAGGVIGNVPGASTAIQTEDAPRAIDQESHGTEPKRFIQKPARFVSPVVVGPSIMPSDVSLSVQLRDFLLTNGGRMDSVKLLEIDSSVAYGNDELKSFSNGNLTEWLFIDAFSSILFKDDMRNMPDTFGKRIFFPTSVSIIVPVLHHDHWSLYAINIAHGRVDIMDSNN >ONIVA11G10260.1 pep chromosome:AWHD00000000:11:10111601:10115606:-1 gene:ONIVA11G10260 transcript:ONIVA11G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDATTPRYDEFKRIYHLFLFHAHPFWLQLLYFLFISLLGFLMLKALPMKTSMVPRPMDLDLIFTSVSATTVSSMVAVEMESFSNSQLLLITLLMLLGGEVFTSILGLYFTNAKYSSKMIATLPDDDDHGGSGKPPPATTSPSSTLVELELAPPMDVVVVNPTTTATTHDEVELGLGRRNKHGCTCTTTHTSSSSSASKTTTTRLLMFVVMGYHAVVHVAGYTAIVVYLSAVGGAGAVVAGKGISAHTFAIFTVVSTFANCGFVPTNEGMVSFRSFPGLLLLVMPHVLLGNTLFPVFLRLAIAALERVTGWPELGELLIRRRRGGGEGYDHLLPSSRTRFLALTVAMLVVAQLALFCAMEWGSDGLRGLTAGQKLVGALFMAVNSRHSGEMVVDLSTVSSAVVVLYVVMMYLPPYTTFVPVQDKHQQTGAQSGQEGSSSSSIWQKLLMSPLSCLAIFIVVICITERRQIADDPINYSVLNIVVEVISAYGNVGFSTGYSCARQVRPDGSCRDLWVGFSGKWSKQGKLTLMAVMFYGRLKKFSLHGGQAWKIE >ONIVA11G10250.1 pep chromosome:AWHD00000000:11:10089989:10094052:-1 gene:ONIVA11G10250 transcript:ONIVA11G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGVASRAADRFYCPPPRRHLLHHHHHQASKAAAAAVERQPRSAAAAAKEEEEETNLECFIASTAVRVPAHRLPRTSSSSRERGAAGAPPYYELADLWEAFAEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLFAARPPSATSGRLGEDSDGESAQDMSSESDHEHLRCRCLVNSISADQDGFSSDDSESGNQELYPVFQYMEHDAPYGRQPLADMISLLANRFPDLRTYKSCDLLPSSWISVAWYPIYRIPTGPTLQDLDACFLTFHSLSTPAEGTLSGHPETNVFHNSKIYDVPGKVTLPLIGLASHKFNGSMWTSNQEHEQQLTKSLLKAADDWLCQRRVNHPDYRFFLSHQYTCL >ONIVA11G10250.2 pep chromosome:AWHD00000000:11:10089989:10094052:-1 gene:ONIVA11G10250 transcript:ONIVA11G10250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGVASRAADRFYCPPPRRHLLHHHHHQASKAAAAAVERQPRSAAAAAKEEEEETNLECFIASTAVRVPAHRLPRTSSSSRERGAAGAPPYYELADLWEAFAEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLFAARPPSATSGDDSESGNQELYPVFQYMEHDAPYGRQPLADMISLLANRFPDLRTYKSCDLLPSSWISVAWYPIYRIPTGPTLQDLDACFLTFHSLSTPAEGTLSGHPETNVFHNSKIYDVPGKVTLPLIGLASHKFNGSMWTSNQEHEQQLTKSLLKAADDWLCQRRVNHPDYRFFLSHQYTCL >ONIVA11G10240.1 pep chromosome:AWHD00000000:11:10075099:10084190:1 gene:ONIVA11G10240 transcript:ONIVA11G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGPPPLHALAATRQAPRCPPAAAAPPTHGYRRGAAVLSGRRGRHTLSSIQLMHAVRGGDLQVEPNMLHSPKPLMSTRRGCVASPTIANVDDCTEGEGALGYTMTQICDKFIEFFMYKKPQTKDWRKVLVFREEWERYRPYFYKHCQARIDMENDSSMKQKLVVLARKVKKIDNEIEKHMELFTQLRENPTDINAIVARRRKDFTGGFFQHLNFLVNAYNGLDERDAIARLGAKCLSAIHAYDCTLEQLDLDSAQSKFDDILNSSSLDDACDKIKSLAKTKELDSSLILLINRAWAAAKDSTTMKNEVKDIMCHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPEGEHEAKDEDALYTTPNELHKWTKMMLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIEEEYMKKYIHPEEQESEDDEDSEE >ONIVA11G10240.2 pep chromosome:AWHD00000000:11:10075099:10084269:1 gene:ONIVA11G10240 transcript:ONIVA11G10240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGPPPLHALAATRQAPRCPPAAAAPPTHGYRRGAAVLSGRRGRHTLSSIQLMHAVRGGDLQVEPNMLHSPKPLMSTRRGCVASPTIANVDDCTEGEGALGYTMTQICDKFIEFFMYKKPQTKDWRKVLVFREEWERYRPYFYKHCQARIDMENDSSMKQKLVVLARKVKKIDNEIEKHMELFTQLRENPTDINAIVARRRKDFTGGFFQHLNFLVNAYNGLDERDAIARLGAKCLSAIHAYDCTLEQLDLDSAQSKFDDILNSSSLDDACDKIKSLAKTKELDSSLILLINRAWAAAKDSTTMKNEVKDIMCHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPEGEHEAKDEDALYTTPNELHKWTKMMLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIEEEYMKKYIHPEEQESEDDEDSEDRQQQYPVRV >ONIVA11G10240.3 pep chromosome:AWHD00000000:11:10075099:10084324:1 gene:ONIVA11G10240 transcript:ONIVA11G10240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGPPPLHALAATRQAPRCPPAAAAPPTHGYRRGAAVLSGRRGRHTLSSIQLMHAVRGGDLQVEPNMLHSPKPLMSTRRGCVASPTIANVDDCTEGEGALGYTMTQICDKFIEFFMYKKPQTKDWRKVLVFREEWERYRPYFYKHCQARIDMENDSSMKQKLVVLARKVKKIDNEIEKHMELFTQLRENPTDINAIVARRRKDFTGGFFQHLNFLVNAYNGLDERDAIARLGAKCLSAIHAYDCTLEQLDLDSAQSKFDDILNSSSLDDACDKIKSLAKTKELDSSLILLINRAWAAAKDSTTMKNEVKDIMCHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPEGEHEAKDEDALYTTPNELHKWTKMMLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIEEEYMKKYIHPEEQESEDDEDSEE >ONIVA11G10230.1 pep chromosome:AWHD00000000:11:10072962:10073243:1 gene:ONIVA11G10230 transcript:ONIVA11G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLAVPLLLTLCLLAAHLLTVACARHHPPSPPETEGFDVVDTSPTNDDPSPAGGHGNHPSAAVVIPAGERLPAVSAAPSHEPGAGSSATT >ONIVA11G10220.1 pep chromosome:AWHD00000000:11:10066370:10069947:-1 gene:ONIVA11G10220 transcript:ONIVA11G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDSLSSSIVEHFAHKCFWCINIITGKDSMGGCCCCSSRGSETDRAPVHIYRQQNQEEHEPLSSAYDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVSLPVPENPDLEKSDLKSKTDDQQEESLEVDEFKSCEKCVAEDKPDEEDVCPICLEEYDAENPRSLTKCEHHFHLCCILEWMERSDTCPVCDQVS >ONIVA11G10220.2 pep chromosome:AWHD00000000:11:10066370:10069947:-1 gene:ONIVA11G10220 transcript:ONIVA11G10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDSLSNLIGGFYPNNSLIAWGAAVAVHQEDLRQIEHQFISMYRQQNQEEHEPLSSAYDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVSLPVPENPDLEKSDLKSKTDDQQEESLEVDEFKSCEKCVAEDKPDEEDVCPICLEEYDAENPRSLTKCEHHFHLCCILEWMERSDTCPVCDQVS >ONIVA11G10220.3 pep chromosome:AWHD00000000:11:10066370:10070350:-1 gene:ONIVA11G10220 transcript:ONIVA11G10220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCSSRGSETDRAPVHIYRQQNQEEHEPLSSAYDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVSLPVPENPDLEKSDLKSKTDDQQEESLEVDEFKSCEKCVAEDKPDEEDVCPICLEEYDAENPRSLTKCEHHFHLCCILEWMERSDTCPVCDQVS >ONIVA11G10210.1 pep chromosome:AWHD00000000:11:10054944:10056823:1 gene:ONIVA11G10210 transcript:ONIVA11G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPELSLGPTLLGFGVGAGASKSSSSSESDGSSDDGSRKRRKHFAWEEAVSHASLDLHLNDPLPMDWEQCLDLHSGRMYYLNRKTMRKSWVRPMEEHGGSNTLDLELNISTVPSTFHVGASKASSGGAAAIAEDDARIAGGGSGVGSLGPMVAVPCVNCHLLVMLCKSSPACPNCKFVQPSVPAPAMPRTPPRRRLEATVKPLETLSLLH >ONIVA11G10200.1 pep chromosome:AWHD00000000:11:10048938:10060940:-1 gene:ONIVA11G10200 transcript:ONIVA11G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIWHRATSAWKSCDTGWWQEMLKATARRLSVSSGLTVASSRRRGGVRGMAGAGTDGCTNLQLGHAGEDLQSMTRRWQLTHGTATIGPNEPTPLPPPAILASSSAMAAAPPELALLAPTWKVDGTVEMLSSRSRVLLPPCSSMGLTQLFLMVFLFRSRHCSQSMGRGSLRWRSRLAWDTASSQAKCFLLFLLPSSLLPSDSDDDELLLAPAPTPKPSNVGPRESSGCTSIDRPILFDLWMDDGPLAMPAARKMNQTEQTGNGGKNHLTTYACLVGDLSTTPEEFARLRGIQQTHGFYLTRQPDELLVWFVVAA >ONIVA11G10190.1 pep chromosome:AWHD00000000:11:10044082:10046235:1 gene:ONIVA11G10190 transcript:ONIVA11G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAANNGGDSLASDSRLTVASDAAHLAGGTPDDHGRRRLGLSHPAPVILSRGRRFTCETPWRETEEEIRKKRETERGKEKERRGNLILQGIKESSLRLKS >ONIVA11G10180.1 pep chromosome:AWHD00000000:11:10043698:10044083:-1 gene:ONIVA11G10180 transcript:ONIVA11G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCARGGLQLSSSIAIVQLRPFDLVKASKITPLQKSKGYMHVCIPKWLASFARDLELSGAYGRAHCRERRRCRCSDKQRSGRQPVAAATLEGEIGKKRKS >ONIVA11G10170.1 pep chromosome:AWHD00000000:11:10033578:10038143:1 gene:ONIVA11G10170 transcript:ONIVA11G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMILAPQKAFIHQISFFFQRSGVSFAEATKFDPSTSSMVIPVKKVFNNMKSNLRFSNASSKKYVHDRLSFDVPNRTFAFQRLDVPRDSLEFSKFLDPKLPPIGCLIDYWQEGDVILGRVILRAYFDDVDMVPRRIVIKEINQHSGQGESWAFGVFVLNNEFVDAQPPYEDLPPVGPLGVQTPNNNQPNAPFDYNDHVDKANHDNLGNWEQHDNQQATGNSGVSSNSDLNGVLQLKSLDLVRFVDSQKACTFSQLEEHVEPSTPLQLLLYPLKLPLRKEMMGVEMCGLHLEDVAESKLQGEKLKELPSPTEDAE >ONIVA11G10160.1 pep chromosome:AWHD00000000:11:10029392:10031452:1 gene:ONIVA11G10160 transcript:ONIVA11G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPELAGRGGAMRCRVRQSADDGRRRGATTAATAEKRSSAAAAGVKRSSDGSWAEKRGGGAKGWQRVSRASHIANGLKLPCVMDNLELKNLEPSILVLSNGPSGGSTQSGDNYSEFSRNKDDR >ONIVA11G10150.1 pep chromosome:AWHD00000000:11:10020321:10029163:-1 gene:ONIVA11G10150 transcript:ONIVA11G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALDSLTYKGSIPDAILQSRRDNKLFVVYISEGYISSKDLKENIEKAWATLHLQGTAAAFLTASLASRMTEPVNTTPTTLPTQGGSSAAENPSTSSNQSTGTSGASGFANPTDSVAQPPRSTSRDETPSISEKESSNLDSSPGNRTVQERPDSDSAQVKGSLPDHPRSSNIEGCANPVQSGNTASLKQKNKVDDGFTKVSSESVPTRVASRGKSSKVAVDQDKATTTSTPIEPAPVRSNDIQLVVRIPDGPSLQIKLTKDDNLRKVKNFVDENRANGAGSYDLAMLYPRKVFTEQDMEATLHELGIETRQALTIVPHHKTIRAAKRQSSSSPHEGDNNMDADSSGGSGYFGYLRTALSFVNPLSYLRANAAPSNPDQLANQGSPQYRPSTGPWNRPGEDTASESRIPDSASQQAARDTSSGSTLRRRPRQFGGNVHSLRSDDQGPSDDRNVYWNGNSTEFGGEDKK >ONIVA11G10140.1 pep chromosome:AWHD00000000:11:10014382:10016778:1 gene:ONIVA11G10140 transcript:ONIVA11G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDIVDPFVTTASLRVFYNSKEMTNGSELKPSQVLNQPRIYIEGRDMRTLYTLVMVDPDAPSPSNPTKREYLHWMVTDIPETTDARFGNEIVPYESPRPTAGIHRFVFILFRQSVRQTTYAPGWRQNFNTRDFAELYNLGSPVAALFFNCQRENGCGGRRKLELHIVPIDYMV >ONIVA11G10130.1 pep chromosome:AWHD00000000:11:9999913:10000233:-1 gene:ONIVA11G10130 transcript:ONIVA11G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWARRQPGTVVTWARRRPSTAASSEVAAARLPLLLVVVLVMCSAPSRQGKAADVVRSDKARQGKALSCARRPPPGCHEHRQGSGAGGGGGRRDCVSGGRAQRR >ONIVA11G10120.1 pep chromosome:AWHD00000000:11:9974502:9981154:1 gene:ONIVA11G10120 transcript:ONIVA11G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNAAAAADSAARTRYARGQLEALRAAPSEEAQARLWAEVSAALAAAGFSGEYDGLMDAEDPSIRKPARKGKKAAGGGWKRHDAAAATQFLETDEVGAWRNGDSGVRYEHYVDEPRSVLQVIEEPFVQGGNAEYEDADSDDDYDGILKPAFAVDGDPDFESGEPLDGFEYLRRVRWEANQIPRVKVAKIDLNTARNEQTPYMPEIPDIAKCSPDLCASKPWEDTFITYFSETRLAFSELDSSDGPSVSGGTKNLLKPSNRSEPQTDPTLTMIRNMDAVSRAATLRNYIDMIQSLDKLSRNDCLWLFSLCVAVDTPLDAETCASLRSLLRKCANVLAAKLEMDDEVAMLNILITISGRFFGQYDNH >ONIVA11G10110.1 pep chromosome:AWHD00000000:11:9939128:9939454:1 gene:ONIVA11G10110 transcript:ONIVA11G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPHHHYYLFSIKQLNWFGTTVVLAFSTTVPLTDIAFSTPVLGFLADAVLPALYVLDSSRSGDTAGDVATSPHPFLLAAQIFTEALAAAWPGRFSIPVQPSLRAAAG >ONIVA11G10100.1 pep chromosome:AWHD00000000:11:9931299:9939800:-1 gene:ONIVA11G10100 transcript:ONIVA11G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKSVVAALAVAFFLLAAFASGLSTGDATSGRGTSGGGGSGAAQLRQAPPTLAPRPLVGDATLGRRTGGGGGNGAARLRQAPSGRFGGGDDVFSPPTATSAVVAEMELYDLTGGCAERRLHGDGEPPGPRRSKGLGENLRGEEERVRGCGDVTRRVARAGAVEDVERREHSVGEEAEHRVIHQFALRRRRLRRRRSPSIPRGAGEQGAIVRHAASAASWVIFNSEKESTSLVSNAQENNVTYVDSSSEESEDQQVKICDICGDVGEEKKLAICSRCNDGAEHIYCMRVMMPEVPEGDWFCEECRTEMQIEKEKSILEKSQVKVSTISVGSKVKAANVSSKDLNVSNTSSKSTKEDAEEGIVPSGCTSTGKEEDGRSCIGGSEAANKNEEQTSSGRNDDFNLKILDGERHMQSHNNDVPFTSVAHDISNMAVKNKSSIKSEVKSSEEVEDVKVCDICGDIGAEEKLAVCSRCNDGAEHIYCMRVMMQEVPKAKWLCETCHSEVESEKRKNKIETSELKVGGSKGPMNKPSSSENGVDAENVGSNMSNRGNEMNSVNKRKDGDAGITSLVRQNPVSRESSFKQDGKKGKDPAGHVSTLLTSNYPKNQMAPLRGQLSKSTSFNNSKVPKVKQLLNEVPQKPKTFKDSLSTPMRKEGPMGILAKSASFKKPKCFEPVNKAKPSTVMNPLVSENARNDILTSILGSRSLTGSVTVPVHSKAQSSAQHLNKGNRMADSNILGTSGGEGHCGIKKPPHTKGHANIGMLGSGAQKKTIQVPDSSHLDDQIKSPSSLVPSNSSSVSIPGSASLRDHQTVPSMRGRSVDSISAMSKDMKEKKITGGFELSRTVRSPVLCEGLQAHLSCFASPKVLEVAKKFPSNVQLEELPRQNLWPPQFHDNGPTIDSIALFFFARDTESYEIHYRKLVENMLKDDLALRGNIETAELLIFASNTLPNNFQHDNNQGCSNGVKSLFHPLVGNPLDGQSHDSITAMFPTNNSSAIDDFLPVPTRKDLKLAYSEQKEKMGYPSVGNGCDVNFDVNMKLNTCSFSVIHGKGNESTNNKMDNAEHLMDGDSVNATGVSSSNVHTISHVSGGVRKRSVDVANWDDKVNGRPQHKKIKLDDGGSVCPS >ONIVA11G10090.1 pep chromosome:AWHD00000000:11:9924631:9925137:1 gene:ONIVA11G10090 transcript:ONIVA11G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTADIKILISRSIGNINSVKYQKYPWVHLSYSSPTTNSLSHSAHILRSLPATTPTPTSPIARPHHHYYLFSIKQLNWFGATVVLPFSITVPLPNITFLTTVLGFLVGAALPALYVLDSSRSGDTAGDAATSPHAFLFTAQIFTEGLATAWPGRFSIPVQPSLRAAAG >ONIVA11G10080.1 pep chromosome:AWHD00000000:11:9916761:9920976:1 gene:ONIVA11G10080 transcript:ONIVA11G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGGGGAASARASGGGQPSGLTRRPCGGGASVRWRGSAGRRHRARARRQPAAKLCGAAMQWWRGSSWPDTCWHGANGGCGAAAWHGAMRRRRRPGGSGMRPSDGGADAAASVCMCGCEGQATALLDRATVARPSEGAVRSGSGGVLPCGGSSARPCDSEAAGGAAHDGAAGRGPGGAAG >ONIVA11G10070.1 pep chromosome:AWHD00000000:11:9899909:9900977:1 gene:ONIVA11G10070 transcript:ONIVA11G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDYTVAVDGGGRRRTLTSKEVFTWANTNNQRLPTSAILIEQASMASYNVEVFMRVNLLLLNEQMWEARSK >ONIVA11G10060.1 pep chromosome:AWHD00000000:11:9892077:9897866:-1 gene:ONIVA11G10060 transcript:ONIVA11G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGVLLVLTAAVLLLLVEGGEPPYSCGPRSPSSGYAFCDARLPPARRAADLVSRLTAAEKVAQLGDEAGGVARLGVPPYKWWSEGLHGLSYWGHGMHFNGAVTAITSFPQAIGTEARALYNLGQAEGLTIWSPNVNIYRDPRWGRGQETPGEDPTTASKYAVAFVKGLQGSTPGTLQTSACCKHATAYDLEEWNGVARYNFNAKVTAQDLADTFNPPFKSCVVDAKASCVMCAYTDINGVPACASSDLLSKTFRGQWGLDGYVSSDCDAVALLRDAQRYAPTPEDTVAVAIKAGLDLNCGNYTQVHGMAALQQGKMRESDVDRALTNLFAVRMRLGHFDGDPRSNAAYGHLGAADVCTQAHRDLALEAAQDGIVLLKNDAGALPLDRATVRSAAVIGPNANDPAALNGNYFGPPCETTTPLQGVQRYISSVRFLAGCDSPACGFAATGQAAALASSSDQVIMFMGLSQDQEKEGLDRTSLLLPGKQQSLITAVASAARRSVILVLLTGGPVDVTFAKNNPKIGAILWAGYPGQAGGLAIAKVLFGDHNPSGRLPVTWYPEEFTRIPMTDMRMRADPATGYPGRSYRFYQGNQVYKFGYGLSYSKFSRRLVAAAKPRRPNRNLLAGVIPKPAGDGGESYHVEEIGEEGCERLKFPATVEVHNHGPMDGKHSVLVFVRWPNATAGASRPARQLVGFSSQHVRAGEKARLTMEINPCEHLSRAREDGTKVIDRGSHFLKVGEEDDEWEINFDA >ONIVA11G10060.2 pep chromosome:AWHD00000000:11:9892077:9897866:-1 gene:ONIVA11G10060 transcript:ONIVA11G10060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGVLLVLTAAVLLLLVEGGEPPYSCGPRSPSSGYAFCDARLPPARRAADLVSRLTAAEKVAQLGDEAGGVARLGVPPYKWWSEGLHGLSYWGHGMHFNGAVTAITSFPQEVPISDGISDGDNDDNYKRDYVQAIGTEARALYNLGQAEGLTIWSPNVNIYRDPRWGRGQETPGEDPTTASKYAVAFVKGLQGSTPGTLQTSACCKHATAYDLEEWNGVARYNFNAKVTAQDLADTFNPPFKSCVVDAKASCVMCAYTDINGVPACASSDLLSKTFRGQWGLDGYVSSDCDAVALLRDAQRYAPTPEDTVAVAIKAGLDLNCGNYTQVHGMAALQQGKMRESDVDRALTNLFAVRMRLGHFDGDPRSNAAYGHLGAADVCTQAHRDLALEAAQDGIVLLKNDAGALPLDRATVRSAAVIGPNANDPAALNGNYFGPPCETTTPLQGVQRYISSVRFLAGCDSPACGFAATGQAAALASSSDQVIMFMGLSQDQEKEGLDRTSLLLPGKQQSLITAVASAARRSVILVLLTGGPVDVTFAKNNPKIGAILWAGYPGQAGGLAIAKVLFGDHNPSGRLPVTWYPEEFTRIPMTDMRMRADPATGYPGRSYRFYQGNQVYKFGYGLSYSKFSRRLVAAAKPRRPNRNLLAGVIPKPAGDGGESYHVEEIGEEGCERLKFPATVEVHNHGPMDGKHSVLVFVRWPNATAGASRPARQLVGFSSQHVRAGEKARLTMEINPCEHLSRAREDGTKVIDRGSHFLKVGEEDDEWEINFDA >ONIVA11G10050.1 pep chromosome:AWHD00000000:11:9860242:9867728:-1 gene:ONIVA11G10050 transcript:ONIVA11G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFRIAAAMAVALVLLLLILPPRVAMADEPPYSCGPPPPPSSSLAAQGQQQQPHRFCDARLTAEQRAADLVANLTLAEKVSQLGDRAAGVARLGVPAYEWWSEGLHGLSIWGRGIRFNGTVRAVTSFPQVILTAAAFDAGLWRRVGEAVGAEARALYNLGQANGLTIWSPNVNIFRDPMWGRGQETPGEDPVTASRYAVAFVTGLQGIGGEASACCKHATAYDLDYWNNVVTLQDLEDTYNPPFKSCVAEGKATCIMCGYNSINGVPACASSDLLTKKVRQEWGMNGYVASDCDAVATIRDAHHYTLSPEDTVAVSIKAGMDVNCGNYTQVHAMPAVQKGNLTEKDIDRALVNLFAVRMRLGHFDGDPRSNAVYGHLGAADVCSPAHKSLALEAAQDGIVLLKNDVGALPLQPSAVTSLAVIGPNADNLGALHGNYFGPPCETTTPLQGIKGYFGDRARFLAGCDSPACAVAATNEAAALASSSDHVVLFMGLSQKQEQEGLDRTSLLLPGEQQGLITAVANAARRPVILVLLTGGPVDVTFAKDNPKIGAILWAGYPGQAGGLAIAKVLFGDHNPIGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGNTVYNFGYGLSYSKFSRRMFSSFSTSNTGNLSLLAGVMARRAGDDGGGMSSYLVKEIGVERCSRLVFPAVVEVQNHGPMDGKHSVLMYLRWPTKSGGRPARQLIGFRSQHVKVGEKAMVSFEVSPCEHFSWVGEDGERVIDGGAHFLMVGDEELETSFGLWGLS >ONIVA11G10040.1 pep chromosome:AWHD00000000:11:9857920:9858646:-1 gene:ONIVA11G10040 transcript:ONIVA11G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGHGRKGGQRRGLAQEVASSGAGNREGGGGARTRQLAALGTGGEAGSAATAQLGVWICCGDKATAAPGGQAQCQWSYSSSRSTISSPSKALWARKNEKMRRCEPRQQHDQLQRGDSLVDVAKEEMQRRWRGVDGGGRSGVAPSVVFLKLGQH >ONIVA11G10030.1 pep chromosome:AWHD00000000:11:9856632:9856811:1 gene:ONIVA11G10030 transcript:ONIVA11G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGGNSEKEVGDRDGAGKIGGGSGAVEIVGGGGEHAVNVREGGEGSRMLLHHCKLQP >ONIVA11G10020.1 pep chromosome:AWHD00000000:11:9832178:9839671:-1 gene:ONIVA11G10020 transcript:ONIVA11G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNENTFVLRCKKSYSVTEYMPEGSLDEIIFDQSCGLEWCECYAIIKGICKGLCYLHEECQRKPIIHLDLKPSNILLDDNLVPKIADFGLSRLFGEEQTRTCTTMRLYGTRVLQRRRNLFKVRNKWSRMSKIMLQSSSLDADGCQQTVDGMCISVKIPSIAKTIELSVKKSDIVADVKLQIELKEGIHLDNQILMYAGRHLGDSQILSECGLSDDHILHVLPTKCVYINIRDTRTVRVDVRNWYTVADVKLMVETMFGFPECSQILLPTKSGDAIELNGTQTLKDQNIKNNAVLMLLPDFPIFIKTWEGRTLTMVVSSFHTEEDIWEKIQKKSMINPKNTFSVTMGMF >ONIVA11G10020.2 pep chromosome:AWHD00000000:11:9839676:9840785:-1 gene:ONIVA11G10020 transcript:ONIVA11G10020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQQLKDITGNFSKEQELGRGGFGVVYKGILKNGVSVAVKRLEVNPGIQDKQFKNEATVTNDKRD >ONIVA11G10010.1 pep chromosome:AWHD00000000:11:9821852:9822716:-1 gene:ONIVA11G10010 transcript:ONIVA11G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALADRSASGGPRVAFTSGVWCDAALPLTSTPTATPSSAGTTVQCRGHHRRLREQGRESKKADQRVDAAGDEGPHQLCPAAGIHRPDDGHRARQRHLPRRSRSTASTSSTTCRTCCRATPNSTSPCKTGSRCSSSTTARRISITSPRKRGRGGSKDDDLTKYAMVIFLPDVRDGLRGLVEKMASRPDRCGSASSWCPSSRCPSPTASSESLGSWGSGCRSHLSSPTCSSWWRTTALACRCL >ONIVA11G10000.1 pep chromosome:AWHD00000000:11:9797767:9798077:-1 gene:ONIVA11G10000 transcript:ONIVA11G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRSCLSCKLGNDDPQQMSWQCDEGLEVEHFERASGENRVPFGTGVDSILDVVPLLKASLRRFLLH >ONIVA11G09990.1 pep chromosome:AWHD00000000:11:9781441:9782586:1 gene:ONIVA11G09990 transcript:ONIVA11G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGIKESEGPTSLDPNLAPVLWFHNNYDQYVEESDDEDGEVSGDDEEVSDEDEFSATSDEDESIEETDDDGISDDDDSNDDEEEESNEVNDTLLLYSISSQQLLANSGLDDLKDHFYWITPQGWLLMLHRDSHAIFLRNPFTSQRINLPFDQDRFLRKNYTRCLLSHKPTDSNCVVLVLSLHDTVFWYCRPGGMQWFKHEYQARRFFRHRGTVIKTMSLMTAVGGKFCTAFFSTVVTLDFSPNPTFDIVAVTLVQNSVYNFREIYLLESRGELFCLYFYPPGACSNKTVEISVYKLDIPTTAWVKVDTLGDRAFIINTRKGYGASVNAKEACLQENCIYFSRHRDKGLYVYSMERGTTAAINPSTDLVDDVAAQILMPAS >ONIVA11G09980.1 pep chromosome:AWHD00000000:11:9779313:9780461:-1 gene:ONIVA11G09980 transcript:ONIVA11G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDWSSLPLDVLAAVLEQLRWSSHPSFALSCRHWRSAVPPFYPAWITPLLLSTARVGAANLRYYSPYYHYNFEVVASCDDGGVARDAKICCATGRHLALEKTRIALDVHLVTGAVRQAPHTAYADHFDFVIYDGGARRMFGIDAVLPLAVGYTSQIGDGDEGEWEDWTLTEYNVNGPRLRPSPVTNPVFHRGSIYLLGEHGRLAVYDPCKHAEGFKILDKPMSFGFEQYHDSYLVESNQGELMAVLFGRRGTPVHVIMLNEKKMEWEKVESLRGRTLFTGTLTSMVKKTKFKWMQNRVFLPMFYKWPETIHVNIVSRDGELAFVPKSSSSNTKYSTMGDHSNGTCCEKCADVWSYKLGQQEARENWGAERVYYGVWVDLH >ONIVA11G09970.1 pep chromosome:AWHD00000000:11:9775246:9778964:1 gene:ONIVA11G09970 transcript:ONIVA11G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAALSDDDRLVVAHCAALSFPPASQPPPPPTTSSSSSSGAGAGASFQVHHASHPYPCAAFAFPPSWSAAPGWAAPGRAAFGDAEVDPSLFPSLRSVGSGVPARANAAFLASFRALLDGSPLQSEEKKNDNLDMVSRAVAEEKRIVFTGHSSGGSIATLAAIWFLETCTRRGSVNQAHPFCVTFGAPLVGDNTFNHAVRREGWSRCILNFVVPVDIIPRIPLTPLASATEGIQAVLDWLSPQTPNFSPSGMPLIISQFYENLLRSTLSIASYEACSFMGCTSSILGTLTSFIELSPYRPCGTYLFLTSSEQLAVLTNSDAVLQLLFYCLQLDPQQQLRDAAERSLSAHWQYEPIKQSMMQEIVCVDYLGVVSSTLPGRQMSSTIVGGLELSKEAMLSLSAAGQWEKQRETNQAKIDGASCTKIREALKSLNEYKRTCELHEVSYYDSFKLQREVHDFNANVSRLELAGLWDEIVEMLRRRELPDGFESRQDWVNLGTLYRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQEWHEQLQRISFGSSLESCFWAMAEELQAEIANGKTFEDVRDRVVKLESDAHGWSMSGSLGKDIFLSRSSFVIWWKTLPENHRSASCIAKLVPL >ONIVA11G09960.1 pep chromosome:AWHD00000000:11:9769378:9774159:1 gene:ONIVA11G09960 transcript:ONIVA11G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHRGGGTHAAPLLLVVLLLLSMGRLPRAAAVGLGGGLGELMTKVPFSPGDVLPVLPRQVSWPVMNTLHSAVDLLPSFVAAVAPGAAPSDAAWSGACFARNEAALELTPGDRNGTELGGAVLRLKTASAKSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTGWGQHSNLAFLEKHMGASFEKRSQPWVTTIRKEDIHSGDFLALSKIRGRWGAFETLEKWVTGAFAGHTAVCLKDEKGEVWVAESGFENEKGEEIIAIVPWDEWWAMALKDSSNPQIALLPLHPDVRARFNESAAWDYARSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPLYAANMWNEALNKRLGTEGLDLHGIIVETERRGMSFDQLLTIPEQDEWVYSDGKSTTCVAFILAMYKEAGIFTPFAESIQVTEFTIRDAYMLKIFEDNQARLPSWCNTESDKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPARC >ONIVA11G09950.1 pep chromosome:AWHD00000000:11:9750870:9751247:-1 gene:ONIVA11G09950 transcript:ONIVA11G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAPATGSTTVTVDPSPSSSSSAPASSAPPPAAESVVLRLKRRPKKKVTWKEGTVDNESLGRKSSKKCCIFHKEVPFDEDCSDDEPDGGGGRRSPPGDAGEGTSGGGGCCSSSSHGHGHGHDH >ONIVA11G09940.1 pep chromosome:AWHD00000000:11:9746345:9746563:-1 gene:ONIVA11G09940 transcript:ONIVA11G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCETDAAEAAGRATATQLARSRDGWGSAQAVVVEDVLTRMDAAEAAGRTMKPYSLAGWIGIGGGRGQSQESG >ONIVA11G09930.1 pep chromosome:AWHD00000000:11:9746336:9749509:1 gene:ONIVA11G09930 transcript:ONIVA11G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease P family protein / Rpp14 family protein [Source:Projected from Arabidopsis thaliana (AT1G04635) TAIR;Acc:AT1G04635] MVHFKNRYMVMEAFIDTAGKGQSDPLILTQLNSTKAIRDSIQINFGECGLAACLGSLQGIYELYSSIVKYVNPITKLCVIRVSREDHQKVWAAITMVRSIGKIPVSFNLRDVSGSIRACKKAALECEEAKFEYYKLAAGDRITPKFVETMESCFNKD >ONIVA11G09930.2 pep chromosome:AWHD00000000:11:9746317:9749509:1 gene:ONIVA11G09930 transcript:ONIVA11G09930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease P family protein / Rpp14 family protein [Source:Projected from Arabidopsis thaliana (AT1G04635) TAIR;Acc:AT1G04635] MVHFKNRYMVMEAFIDTAGKGQSDPLILTQLNSTKAIRDSIQINFGECGLAACLGSLQGIYELYSSIVKYVNPITKLCVIRVSREDHQKVWAAITMVRSIGKIPVSFNLRDVSGSIRACKKAALECEEAKFEYYKLAAGDRITPKFVETMESCFNKD >ONIVA11G09930.3 pep chromosome:AWHD00000000:11:9746342:9749509:1 gene:ONIVA11G09930 transcript:ONIVA11G09930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease P family protein / Rpp14 family protein [Source:Projected from Arabidopsis thaliana (AT1G04635) TAIR;Acc:AT1G04635] MVHFKNRYMVMEAFIDTAGKGQSDPLILTQLNSTKAIRDSIQINFGECGLAACLGSLQGIYELYSSIVKYVNPITKLCVIRVSREDHQKVWAAITMVRSIGKIPVSFNLRDVSGSIRACKKAALECEEAKFEYYKLAAGDRITPKFVETMESCFNKD >ONIVA11G09920.1 pep chromosome:AWHD00000000:11:9733791:9734841:1 gene:ONIVA11G09920 transcript:ONIVA11G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHYSYDFIRRVYCVNTNLALQLTRTTQDGDGGEWGPWDRTEFNVEAWQLILAAPISNPVLHDGLVYLLGGDGKRLLGKPDNFGIEHQEVDSHLFESDQGELMADLVGYNGAPVHVTKLNEATMEWDKLETLEGRALFTGTYTTMMRKTKFKSMQNKFG >ONIVA11G09910.1 pep chromosome:AWHD00000000:11:9731918:9732509:-1 gene:ONIVA11G09910 transcript:ONIVA11G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVPSFFFTTFQLSPSPLFFYSHRRKALSLSSIFFPHQRNRGCRATEPAGGARRQRQIEGGTSARGRGSRRLGSAARLALGDGTWLVRPWGESRGGGGVAPRRRTVWAWAAAGRDRGGRDTVAERALGSSREEPGGVTPSRSRSGAPVVH >ONIVA11G09900.1 pep chromosome:AWHD00000000:11:9730520:9730828:-1 gene:ONIVA11G09900 transcript:ONIVA11G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADLAVGFFHLPPLPATAEGPPAAADPLPPPCRNGEGPPAAGRRSSQWIRVRAHRIRAWARRIGRPGAQQRRFLSSLLRDRQRRGVAVAADLGTGRGDA >ONIVA11G09890.1 pep chromosome:AWHD00000000:11:9722962:9723189:1 gene:ONIVA11G09890 transcript:ONIVA11G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTRAWKVQAANERKKSGVKVAEEFRCACCLGFYKGSAKRRDEHTQRCLAKREAEVAADQRAAEAAKEAANEP >ONIVA11G09880.1 pep chromosome:AWHD00000000:11:9716528:9719285:1 gene:ONIVA11G09880 transcript:ONIVA11G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTRAWKVQAASDRKEKAGEKAAEDSRRSLRIQIGIKESEGPTSLDPKLAPVLWFHNNYDQCVEESDDEDGEASGDDEEVSDEDEFGETSDEDESIEETDDDGISDDDDDDNNDDDEEEEKSNEVNDTLLLYSISSQQLLANSGLDDLKDHFYWITPQGWLLMLHRDSHEIFLRNPFTSQRINLPFDQDRFLRKNYIRCLLSHKPTDSNCVVLILSLHDTVIWYCRPGGMQWFKHEYQARRFFRHRGTVIENMSLITAVGGKFCTVFPSTVVTLDFSPNPTFDIVAVTSVQNSVYKFMEIYLLESRGELFCLYFYPPVSCPNKTVEISVYKLDILTTAWVKVDTLGDRAFFIDTREDYGTSVNAKEACLQENCIYFSRHKDKGLYVYSMERGTTAAINPSTDLVDDVAAQILMPAS >ONIVA11G09870.1 pep chromosome:AWHD00000000:11:9723324:9729577:-1 gene:ONIVA11G09870 transcript:ONIVA11G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIPWICRREVTWIGVVLSPYSPAPVPRILFGGGVGPPIREDLRSEVMRRTLFWGEDLMSMEDVQCSKSERSSNHRGIPERYAMISLLGGRACLAGPRQSTSVPRRYGEDGIKALPQAAAAVIKGIHSRASNIADTGGSSKSMIMGAIAQDMTIATFRIH >ONIVA11G09870.2 pep chromosome:AWHD00000000:11:9724881:9729577:-1 gene:ONIVA11G09870 transcript:ONIVA11G09870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIPWICRREVTWIGVVLSPYSPAPVPRILFGGGVGPPIREDLRSEVMRRTLFWGEDLMSMEDVQCSKSERSSNHRGIPERYAMISLLGGRACLAGPRQSTSVPRRYGEDGIKALPQAAAAVIKGIHSRASNIADTGGSSKSMIMGAIAQDMTIATFV >ONIVA11G09870.3 pep chromosome:AWHD00000000:11:9715865:9729577:-1 gene:ONIVA11G09870 transcript:ONIVA11G09870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIPWICRREVTWIGVVLSPYSPAPVPRILFGGGVGPPIREDLRSEVMRRTLFWGEDLMSMEDVQCSKSERSSNHRGIPERYAMISLLGGRACLAGPRQSTSVPRRYGEDGIKALPQAAAAVIKGIHSRASNIADTGGSSKSIAVATTIVTYGSEGQAQRSGMVRWSPATKPMASAAARRRLLCRQLTGARRVRWGAHEHHCRELNRKVLELVPCTVGIITDRPF >ONIVA11G09860.1 pep chromosome:AWHD00000000:11:9714776:9715868:1 gene:ONIVA11G09860 transcript:ONIVA11G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWLHRRGEEEGWCGRRRRGDLAVAVAADGDGEAAAASALGQLRPAVVVLPLSVASPGEAADEGEIEDDALPMWLPFGGAERRETTAAELEPHARRLPGQLAQPRIRRATPSLPPRDDDGNKFDLRPPPRRVHELEEVPGEFRHVGDAVVRDDTNRMRRMTVSLMAPSFLARQHANATDSRSSGLPRKTAAIWVPTFWSPWFLTAIVFSLVDILVSSWKLAPPL >ONIVA11G09850.1 pep chromosome:AWHD00000000:11:9703632:9706955:1 gene:ONIVA11G09850 transcript:ONIVA11G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEIFVGAHSYAAICAFTLIIGWLAHWVYRWINPPCNGRLPPGSMGFPIVGETFQFFRTSPSIDMPIYYKRRLERYGPIFKTNIGGQHVVISLDPEVNQFIFQQEGKLFQSWFPETTLNIFGKKTLTTYNRTAHKLIRSFVCKLYGPENVKKSLLPELENSMRESLASWIGKPSVEVNDGVSNMIFGLAAKHLIGLDITNSGELKKNFQEIFQVMVSIPFPIYFPGTSFYRCMQGRRNVWTTLTNVMKKRLSAPGNKFGDLVDLIVEELRSENPTIDESFAIDTLSGLLFASFAPLSCTLTTTFKFLNDNPEVFDKLKEEHEMILKKREGANSGFTWEEYKSLKFSTQVVNEINRITTVIPGGFRKALTDVQVNGYTIPSGWLVMISPMGVHLNPKLFEDPLKFDPWRWTEEKRISMQRNFMPFGGGIRMCPAAEFNKLFITLFLHIVVTEYRWKDIDGGNVKRISEVLVAQEYHIQLVPQT >ONIVA11G09840.1 pep chromosome:AWHD00000000:11:9592941:9619571:-1 gene:ONIVA11G09840 transcript:ONIVA11G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPTWKKQGHRELEDKAQRVLLSLLLQRAMSMVMKGQRDGEPSCSSLDCVQEAKQYTMWKLKFGEGASDPLLRSSNGFLGRETWEFDPNGGSPEEHAVVERLRRDFTRNRFTQRECSDLLMRMQEQNQVYSKHEVSNLKDSSEVTEEVLLTSLRRVLDQYSSLQAPDGYWPGGYSGILFILPLMNEDGGWSTHTLGPSSMFGSCVNYATLRLLGEVLDEHNDGLSKGRAWILSHGSATVAPQWAKIYLSGTIQLFQNYGCFHIFFPFIQDDIIYPPSWFQNIAMASLHKFMEPLFNMWPMNKIRKRALTNLMDHIHYEDENSNYVGLCPINKVLNMVCCWIENPNSNAFRRHLPRIHDFLWLAEDGMKSKVYVGSQCWDTALIVQAYCSTGLTQEFSETIKKAHDFIKNAQVTKNCPNYKRYYRERSKGGVVVIKDSTNPSRRSNSRTKVNKDGTLSSAESKRTTPWVEFINPSESFRNIIVDYPYVECTSSLIQALILFKGVHPGYRREEIDRIIKNGVLFIEKKQKNDGSWTGCGRKNIPKQFACNFLLSKQLSTGGWGEDYLGCQVEEYIDSGRPHVVHTAWGMLGLIYAGQVELDPAPLYRAAKELINMQLETGEFPQQEILGSFNSSLFFNYTNYRNLFPIWALGEFHRRLLAKRA >ONIVA11G09840.2 pep chromosome:AWHD00000000:11:9592941:9619571:-1 gene:ONIVA11G09840 transcript:ONIVA11G09840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPTWKKQGHRELEDKAQRVLLSLLLQRAMSMVMKGQRDGEPSCSSLDCVQEAKQYTMWKLKFGEGASDPLLRSSNGFLGRETWEFDPNGGSPEEHAVVERLRRDFTRNRFTQRECSDLLMRMQEQNQVYSKHEVSNLKDSSEVTEEVLLTSLRRVLDQYSSLQAPDGYWPGGYSGILFILPLMNEDGGWSTHTLGPSSMFGSCVNYATLRLLGEVLDEHNDGLSKGRAWILSHGSATVAPQWAKIYLSGTIQLFQNYGCFHIFFPFIQDDIIYPPSWFQNIAMASLHKFMEPLFNMWPMNKIRKRALTNLMDHIHYEDENSNYVGLCPINKVLNMVCCWIENPNSNAFRRHLPRIHDFLWLAEDGMKSKVYVGSQCWDTALIVQAYCSTGLTQEFSETIKKAHDFIKNAQVTKNCPNYKRYYRERSKGGVVVIKDSTNPSRRSNSRTKVNKDGTLSSAESKRTTPWVEFINPSESFRNIIVDYPYVECTSSLIQALILFKGVHPGYRREEIDRIIKNGVLFIEKKQKNDGSWYGSWAVCFTYATFFAIKGLVAAGRTFQNSLSIRKACNFLLSKQLSTGGWGEDYLGCQVEEYIDSGRPHVVHTAWGMLGLIYAGQVELDPAPLYRAAKELINMQLETGEFPQQEILGSFNSSLFFNYTNYRNLFPIWALGEFHRRLLAKRA >ONIVA11G09840.3 pep chromosome:AWHD00000000:11:9592941:9619571:-1 gene:ONIVA11G09840 transcript:ONIVA11G09840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPTWKKQGHRELEDKAQRVLLSLLLQRAMSMVMKGQRDGEPSCSSLDCVQEAKQYTMWKLKFGEGASDPLLRSSNGFLGRETWEFDPNGGSPEEHAVVERLRRDFTRNRFTQRDKHEVSNLKDSSEVTEEVLLTSLRRVLDQYSSLQAPDGYWPGGYSGILFILPLMNEDGGWSTHTLGPSSMFGSCVNYATLRLLGEVLDEHNDGLSKGRAWILSHGSATVAPQWAKIYLSGTIQLFQNYGCFHIFFPFIQDDIIYPPSWFQNIAMASLHKFMEPLFNMWPMNKIRKRALTNLMDHIHYEDENSNYVGLCPINKVLNMVCCWIENPNSNAFRRHLPRIHDFLWLAEDGMKSKVYVGSQCWDTALIVQAYCSTGLTQEFSETIKKAHDFIKNAQVTKNCPNYKRYYRERSKGGVVVIKDSTNPSRRSNSRTKVNKDGTLSSAESKRTTPWVEFINPSESFRNIIVDYPYVECTSSLIQALILFKGVHPGYRREEIDRIIKNGVLFIEKKQKNDGSWYGSWAVCFTYATFFAIKGLVAAGRTFQNSLSIRKACNFLLSKQLSTGGWGEDYLGCQVEEYIDSGRPHVVHTAWGMLGLIYAGQVELDPAPLYRAAKELINMQLETGEFPQQEILGSFNSSLFFNYTNYRNLFPIWALGEFHRRLLAKRA >ONIVA11G09840.4 pep chromosome:AWHD00000000:11:9592941:9619571:-1 gene:ONIVA11G09840 transcript:ONIVA11G09840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPTWKKQGHRELEDKAQRVLLSLLLQRAMSMVMKGQRDGEPSCSSLDCVQEAKQYTMWKLKFGEGASDPLLRSSNGFLGRETWEFDPNGGSPEEHAVVERLRRDFTRNRFTQRECSDLLMRMQEQNQVYSKHEVSNLKDSSEVTEEVLLTSLRRVLDQYSSLQAPDGYWPGGYSGILFILPLMNEDGGWSTHTLGPSSMFGSCVNYATLRLLGEVLDEHNDGLSKGRAWILSHGSATVAPQWAKIYLSGTIQLFQNYGCFHIFFPFIQDDIIYPPSWFQNIAMASLHKFMEPLFNMWPMNKIRKRALTNLMDHIHYEDENSNYVGLCPINKVLNMVCCWIENPNSNAFRRHLPRIHDFLWLAEDGMKSKAVLLLSKIPPTQVGDPIQEQRLYDAIDCLLSYVNKDGTLSSAESKRTTPWVEFINPSESFRNIIVDYPYVECTSSLIQALILFKGVHPGYRREEIDRIIKNGVLFIEKKQKNDGSWYGSWAVCFTYATFFAIKGLVAAGRTFQNSLSIRKACNFLLSKQLSTGGWGEDYLGCQVEEYIDSGRPHVVHTAWGMLGLIYAGQVELDPAPLYRAAKELINMQLETGEFPQQEILGSFNSSLFFNYTNYRNLFPIWALGEFHRRLLAKRA >ONIVA11G09830.1 pep chromosome:AWHD00000000:11:9572424:9582934:-1 gene:ONIVA11G09830 transcript:ONIVA11G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGAGNPLLRSPNGFLGRETWEFDPDAGTPEERAEVERLRRDFTRNRFTRRECDSSEITEEVLLTALKRVLDQHSSLQAHDGHWPGGFSGVLFILPLMIFALHVTHSLNDVLSSEHIREICRYIYNIQASHNFLIFNEDGGWSTLTLGPSTMFGSCVNYATLRLLGEELDGDDNALSKGRAWILTHGTATTAPQWAKIFLSVYMIGLGTIQSFLNYGCFHIFYPFIQFVGPITPTILAMRDELYNVPYSKINWNNARSSCCKDDIIYPPSWLQIFAMASLNNFVEPLFNLWPMNVLRQRALTNLMDHIHYEDENSYYIGLCPMNKVLNMICCWIENPNSYAFRQHLPRIHDFLWLAEDGMKSKV >ONIVA11G09820.1 pep chromosome:AWHD00000000:11:9558046:9571352:-1 gene:ONIVA11G09820 transcript:ONIVA11G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVYGGCQCWETALIVQAYCSSGLTKEFAATLRKAHDFIKNSQVTKNCPSYSSFYRERSKGSWTLTNGENGWPIADTTAECLKAILLLSKIPSNQVGDSIKEERLFDAVDCLLSFVNKDGTLSSAESKRTTPWVEEYVDSGRPHAVNTAWAMLGLIYAGHVEIDPIPLHRAAMELIHMQLDTGEFPQQEIVGSFNSSLFFNYPNYRNLFPIWALGEFRHRLLAKKGVATMVLVVVRGEALRARVLRAGACSVSSRR >ONIVA11G09810.1 pep chromosome:AWHD00000000:11:9554512:9554942:-1 gene:ONIVA11G09810 transcript:ONIVA11G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADKVGDNDEGWRRTSGLLPCRAAVPRAGSSLPSSGSRAPLSLAPPSRSFAAAAKLPATTELPCHGQAPSPPLTRATRSLRRWMTGEVTRIPAATRACTTTPFARDELRTRCPADGGELDLISLRRLFSNGCGWLRTAA >ONIVA11G09800.1 pep chromosome:AWHD00000000:11:9554247:9554505:-1 gene:ONIVA11G09800 transcript:ONIVA11G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASGPLAAEEAEEVAAALVHEDSAEDLISLHRFLVPSTCCVMDGAHRACSSSASMSSPEPESVVVSSGSVLGRGETASMGVD >ONIVA11G09790.1 pep chromosome:AWHD00000000:11:9499540:9505994:-1 gene:ONIVA11G09790 transcript:ONIVA11G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPRMNAQEQLRGQRYGTWGICFTYGAFFAIRGLIAAGRNYENSQAIRNGCKFLLSKQLSAGGWGEHYSSSEIEVYVDTGSPHAVNTSLAMLALLYSGQIERDPTPLYCAAKQLISMQLEIGEFPQQEHVGCFNSSLYFNYPNYRNLYPIWALGEFWHRLVASKD >ONIVA11G09790.2 pep chromosome:AWHD00000000:11:9499540:9505994:-1 gene:ONIVA11G09790 transcript:ONIVA11G09790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPRMNAQEQLRGQRYGTWGICFTYGAFFAIRGLIAAGRNYENSQAIRNGCKFLLSKQLSAGGWGEHYSSSEIEVYVDTGSPHAVNTSLAMLALLYSGQEHVGCFNSSLYFNYPNYRNLYPIWALGEFWHRLVASKD >ONIVA11G09790.3 pep chromosome:AWHD00000000:11:9499540:9505994:-1 gene:ONIVA11G09790 transcript:ONIVA11G09790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLYSGQEHVGCFNSSLYFNYPNYRNLYPIWALGEFWHRLVASKD >ONIVA11G09780.1 pep chromosome:AWHD00000000:11:9495378:9497688:-1 gene:ONIVA11G09780 transcript:ONIVA11G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione peroxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G31570) TAIR;Acc:AT2G31570] MAATTTSSSSSGGGNRIWTSSLAVLALAVALVSLLSLRAPPPAAPSMADDLPTSVHDISVKDIKGNDVKLSEYEGKVLLIVNVASKCGLTNSNYKELNVLYEKYKEKGLEILAFPCNQFAGQEPGSNEEIEQTVCTRFKAEFPIFDKIDVNGKEAAPLYKFLKSQKGGFLGDGIKWNFTKFLVGKDGKVVERYAPTTSPLKIENDIQKLLGTS >ONIVA11G09770.1 pep chromosome:AWHD00000000:11:9486229:9487507:-1 gene:ONIVA11G09770 transcript:ONIVA11G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRQRVLCRGRQQSAGWTRQRRRAAPRRGLEDEGGGGGRWRAVAEGCRSRSRKRQQRDVAAGLDVTICFELLPRGAVTARSEGPVPPHADAIASSSSSLSHRSSAIRLKNHPSVLTNLKNNTKVRDVKWTYSYYIQKLNKGCWGHFLHIRFARVEHFAATTGAGCSSNGHRCQ >ONIVA11G09760.1 pep chromosome:AWHD00000000:11:9478868:9480970:1 gene:ONIVA11G09760 transcript:ONIVA11G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKGWTIKTFLLIHLLIFSVDPTKEEVDNNLIIKTIQTADGQTFACVSFKSQPSLRHPLLMNHTTQLMPPISFPHSTDDDEGSKFGISNVEMSEIECPPGTVPILTSYNGSMSTRSFDKIIYSENRNDKGNRQMAAVVIVPSTFYGLQTSISIWEPDLGTGRPPRFSGAIVVLKNGGSRVAVGWSVDPHLYGDNLVHFEIAWVDNDKSCINLRCAGFVQMSKKAIPGIIIRPVSTVNGKQYIIRVKIIKFMGDWVLKVGEEIVGYWPSKLLTHMSEAADVISWMGVVEAAPGEPFPPMGSGQPADEGETKAAFFADAKVIDASGSFATPALKTINTVATEPNCYEVGRPYTTDDGLQFYYGGAGCSPSQPIK >ONIVA11G09750.1 pep chromosome:AWHD00000000:11:9475829:9476787:-1 gene:ONIVA11G09750 transcript:ONIVA11G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRGGDRLQRSNSRGESEGRRLVAVPAVAPHSPPLSDLAEGKEAINGVTTVEGRVAVAPLLLSRPIFGLMRAREGGGVAKGEVAVVAGDDKGQGLHRWMWLIWPWRRWIQPWRQRRVDPVGDPSPRSGFVKRVNAINCGALWIWQFGIHFCDLGIIVLDW >ONIVA11G09740.1 pep chromosome:AWHD00000000:11:9467855:9469854:1 gene:ONIVA11G09740 transcript:ONIVA11G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRGPTAKIFILLSLLSLTFSAEPRKEEFVNHSVVKMFQMQPGSFPYSTNDAKGSKIYISSIDMCKIECPYGTMAAVETEPSTFYGSQSSISVWEPYLCTGRPPRYTGAVVVIQNGQSRIGAGWYVDPDMYGDNHAHFEIAWTNKDKSCTNLRCAGFIQLSNRIVPGAVLKPISTIDGKKYLIIISIFKIWDVWVLLFGEELVGYWPGELFTDLSGAANMIGWMGVGSAATGEPFPPMGSGYSPDEGEGRAAFFTDVNVIYSSTSKFVSPNLSEIFTRTTNPNCYQVGHPSSYDSGLHFFFGGAGCSPSQFIK >ONIVA11G09730.1 pep chromosome:AWHD00000000:11:9463898:9465247:1 gene:ONIVA11G09730 transcript:ONIVA11G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDISENSIYGGLPANLEAMSIQELYLSSNQLTGHIPKLPRNITILDISINSLSGPLPKIQSPKLLSLILFSNHITGTIPESICESQDLFILDLANNLLVGELPRCDSMGTMRYLLLSNNSLSGEFPQFVQSCTSLGFLDLGWNSFSGTLPMWIGDLVQLQFLRLSYNMFSGNNISGTIPRGLSNLTAMTQTKGIVHSFPYQGYASVVGEPGNSLSVVTKGQELNYGVGILDMIGTIRSLESLDLSRNMLSGEIPSSLSNLTYLSFLDLADNNLTGRIPSGSQLDTLYEEHPYMYSGNSGLCGPPLRENCSANDASKLDGQEIAERDFDPMSFGFGHCLGFVFGLWVVFCVLLFKKSWRLCYFCFIDRIYDQIYVFLVLTCKRFGRG >ONIVA11G09720.1 pep chromosome:AWHD00000000:11:9456216:9460274:-1 gene:ONIVA11G09720 transcript:ONIVA11G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPALAPDAAALQLQVLHPLVLGSECRAYAYMHMHMHMLEGVGPLGAWIRMWFGYSPQLVFLLICIRNSWLYRKVLSPDLWFTQNELVCHDVEYFTRKAIRTFIIDIEHNSPFTCLNAAVTPAGGYHMILLYKGQKSVRLLFRDSDGYLVAVEDDLGSWYGSKIYQSYHSLIQLK >ONIVA11G09720.2 pep chromosome:AWHD00000000:11:9456216:9460274:-1 gene:ONIVA11G09720 transcript:ONIVA11G09720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAPALAPDAAALQLQVLHPLVLGSECRAYAYMHMHMHMLEGVGPLGAWIRMWFGYSPQLVFLLICIRNSWLYRKVLSPDLWFTQNELAIRTFIIDIEHVGDRTYHTLMRSIHEYNLQNSPFTCLNAAVTPAGGYHMILLYKGQKSVRLLFRDSDGYLVAVEDDLGSWYGSKIYQSYHSLIQLK >ONIVA11G09710.1 pep chromosome:AWHD00000000:11:9442183:9443339:-1 gene:ONIVA11G09710 transcript:ONIVA11G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAAESGGGSGSSPLLHTGNGLLGRAVWEFDPDAGTPEERAEVARLRRDFTRHRFQRKESQDLLMRMQAPSWNPNPNFVIAVTTVIIAALPEARSVVPVVAGDGASIPAVAGDGFGLPPATLANAALAALTDAATSAALAEAIAGRWEEWDEERDREREEWDEERDREREESCESETGSERESVGVGRRCRD >ONIVA11G09700.1 pep chromosome:AWHD00000000:11:9425083:9426455:-1 gene:ONIVA11G09700 transcript:ONIVA11G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLYGKKFVGPITPTILEIREELYNIPYSEIDWKKARDCCAKEDLRYPCSWIQDIVWTYLNKYVDPMFNVWPFNKLREISLRNLMKHIYYEDENTKYIGLCPINKVI >ONIVA11G09690.1 pep chromosome:AWHD00000000:11:9411469:9417873:1 gene:ONIVA11G09690 transcript:ONIVA11G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGNGGGHCCEWTVLGYIVLALQAYTPSLHPPPCSPAATTAAASTTCEPVRGANLSLLLLGLYLVPIGDGAMKACLPALGGDQFDPADPDEQRQEVSFYNWYTFAASTGGFVGLVFIVWVENSKGWGVGFAICAAFVLLGLLVWAAAFPLYRNRLPTGSPITRILQVFVAAFRKRNVRLPEDPSELKQINQDDDNNALEVLPRTDGFGCLEKAAVRTGNDAGAWSLCSVNQVEETKILLRMAPIFAAAVLGYIPMPLILTFTVQQGSTMNTKLGSVHISPATLFLIPIIFQLVILILYDRVIVPPLRRLTGYVGGVTHLQRIGVGFIATIMATAVAAVVEIRRKSAAHESSLADGTAGIPLSVFWLTPQFFLIGIFDVTSFVGLLEFFCSEVSMGMKSIGSSIFYCILGVSAWLGSLLIQVTNRVTRRGGKGNGGSGGWLDGANLNNGKLERFYVVLCIIEAVALLSYVFFARRYVYRNEQKVVTQGGTMCDTGNGADMI >ONIVA11G09680.1 pep chromosome:AWHD00000000:11:9406561:9408373:1 gene:ONIVA11G09680 transcript:ONIVA11G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGLVDWRGRPVDTRKHGGVRASIFIHAMVLLTNAPNIANILNMVSYLRATMHMGVAEATTTVTNLFAALQVFSIPAALLADSYVKRFYTVILLAPIEIII >ONIVA11G09670.1 pep chromosome:AWHD00000000:11:9396082:9397073:1 gene:ONIVA11G09670 transcript:ONIVA11G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGASDFDHLSYSIDGKEWFLLEELQGQIAKLADLDMADDKRYTGGRPSTVDFQIGEYST >ONIVA11G09660.1 pep chromosome:AWHD00000000:11:9364352:9370657:1 gene:ONIVA11G09660 transcript:ONIVA11G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGLVDWRGRPVDTKKHGGVRASIFIHAMVLLTNAPNIANMMNMVSYLRGTMHMGVAQASTTASNYFAALQMFSIPAAFLADSYLKRFYTVLLFAPIEIIGYILLAVQAYTTSLHPPPCSPAATAASATTTCEPVRGANLSLLLLGLYLIPIGDGAARACLPALGGDQFDLGDPDEQQQETSFYNWYTFAVSTGGFVGLVFIVWVQNSKGWGVGFAVSAAFVALGLLVWAAAFPLYRNQLPMGSPITRVLQVFVAAFKKRNVRLPENPSELKQINQDDDANAHEVLPKTDGFRVTQVEETKIVLRMAPIFVAAVLSYIPVPLLLSLTVQQGNTMDTRLGAVHISPATLFLIPTVFQMVILIIYDRAIVPPLRRLTGYVGGVTHLQRIGIGFVATIVATAIAAVVETRRKMTAEESGLEDATTGIPLSVFWLTPQFFLIGIVDVTSFVGLLEFFCSEASMGMKSIGSSIFYCILGVSAWLGSLLIQVTNRVTQRTNGGGWLDGANLNKGKLDRFYVVLCIIEVVALVIYVFFARRYVYRNDQRVVAQEQRKGDTGNGVAVI >ONIVA11G09660.2 pep chromosome:AWHD00000000:11:9364083:9370657:1 gene:ONIVA11G09660 transcript:ONIVA11G09660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGLVDWRGRPVDTKKHGGVRASIFIHAMVLLTNAPNIANMMNMVSYLRGTMHMGVAQASTTASNYFAALQMFSIPAAFLADSYLKRFYTVLLFAPIEIIGYILLAVQAYTTSLHPPPCSPAATAASATTTCEPVRGANLSLLLLGLYLIPIGDGAARACLPALGGDQFDLGDPDEQQQETSFYNWYTFAVSTGGFVGLVFIVWVQNSKGWGVGFAVSAAFVALGLLVWAAAFPLYRNQLPMGSPITRVLQVFVAAFKKRNVRLPENPSELKQINQDDDANAHEVLPKTDGFRVTQVEETKIVLRMAPIFVAAVLSYIPVPLLLSLTVQQGNTMDTRLGAVHISPATLFLIPTVFQMVILIIYDRAIVPPLRRLTGYVGGVTHLQRIGIGFVATIVATAIAAVVETRRKMTAEESGLEDATTGIPLSVFWLTPQFFLIGIVDVTSFVGLLEFFCSEASMGMKSIGSSIFYCILGVSAWLGSLLIQVTNRVTQRTNGGGWLDGANLNKGKLDRFYVVLCIIEVVALVIYVFFARRYVYRNDQRVVAQEQRKGDTGNGVAVI >ONIVA11G09650.1 pep chromosome:AWHD00000000:11:9351119:9351547:1 gene:ONIVA11G09650 transcript:ONIVA11G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSRQQKELGQKQRDLACGGLGWKVGLRMVGGGASASNFLMTVMVEAGQVMRLQLLGIARWQAKL >ONIVA11G09640.1 pep chromosome:AWHD00000000:11:9329200:9336246:1 gene:ONIVA11G09640 transcript:ONIVA11G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGLVDWRGRPVDTRKHGGVRASIYIHMLVWLSNVSNIGNMTNIVSYLSVKMNMGVAAASTTSASFVAMMQVFTIPAAFLADSYLKRVYTVLFFAPIEILGYILLAIQAHVPSLHPAPCELAGAAAGAGACATAATTEAAPGTCEAVHGSNLSLLMLGLYLICVGEGAVRACLPALGGDQFDEGDAAEQRQAASFFNWYAFAVSLGALVGLVAVVWVQDNKGWDAGFAVCGAVVLLGLLVWAAGMPTYRNKVPAGSPITRILQVLVVAFKKRNLQLPENPDELYQPTNDDSAKGLEILQRTRGLKCLDKAAIVRGGGSNGGAWSVCSVSQVEETKIVLRMVPIFLTAALGYMPVSVVLTFTVQQGNIMDNRMGAIRVSPATLFVIPTVFQLAILVVYDRAVVPALRRATGRVGGVTHLQRIGVGFVSSLASCAVAAAVEVKRRRLVASSSSSAMMSVFWLTPQFFLLGVVDVTSFVGLLEFFSSEASDGMKSIGSSIFYCMLGMAAWLNTMLIELVNRVTRRRGGGGWLDGANLNESRLDLFYWLVSGIELVAFMAYLLFAWRYVYRNDQRIAAADAVDEQEDKKAASNGSLVQINLI >ONIVA11G09630.1 pep chromosome:AWHD00000000:11:9301520:9304015:1 gene:ONIVA11G09630 transcript:ONIVA11G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSSDSDRDDEPATAAAASADDASLATSFFLQQPGTPPAAAPAPARRRRHKNRRPRAHRRAAAKNEEEEEVAGAEDVWRGAQWEAAWPRRAAARPVVVADDAAGAAAAREDAPGVGGEGGGLGVGRARSLTDDDLEELKGCVDLGFGFSYDEIPELCGTLPALELCYSMSQRFLDEHHHSPEAEPAPVAPSSPAQPIANWKISSPGDSPDEVKARLKYWAQAVACTVRLCS >ONIVA11G09620.1 pep chromosome:AWHD00000000:11:9291205:9291625:1 gene:ONIVA11G09620 transcript:ONIVA11G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSAASGVGERAATLGGRRSLAGQGQAAARRRGRAAVWPREAGGQRSRAAGNKQVIGPGALVVHAQVLMEAKMLQ >ONIVA11G09610.1 pep chromosome:AWHD00000000:11:9281762:9282460:1 gene:ONIVA11G09610 transcript:ONIVA11G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTRSTAASTVSHLSSLCLRLHCQPSQLTLSPPLACRARSASASTVGHSSYQSSGATVVGGGNNKRELGDGNSAGEIGDGDGDGEMEIGGEGGELAKDTGGSSEQERRGESDQEHCATVASSIFPLNSITHQWRRQRGAEDGNSRGQSQRTEAEGGEDGSGQGRRPHRRCAPPPPPPSVTRACRPRPPELTPPLSPASRARSTSTSTASHLSSLRLHRYPPERVPPPLPAI >ONIVA11G09600.1 pep chromosome:AWHD00000000:11:9232595:9233032:1 gene:ONIVA11G09600 transcript:ONIVA11G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATPAGRLRWSYTPSPSPSAPNLLPWRNWWQRWCWWLPRADPMEAMAVVFLTSGSSGPLPPLRPPTPKLLPRWRQWHRRSHGRIRHRQQSWHFQQADLAALYPLPFLLRPQDSPVMVAVASMLLRADPMDVAAGGGISDERFR >ONIVA11G09590.1 pep chromosome:AWHD00000000:11:9228295:9228498:1 gene:ONIVA11G09590 transcript:ONIVA11G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKSSWPEVVGLPAEAAKYIILNDRPDVHVVVLRVGSIVTTEVDPKRVRVFVNNSATVAQVPKIG >ONIVA11G09580.1 pep chromosome:AWHD00000000:11:9164099:9164320:1 gene:ONIVA11G09580 transcript:ONIVA11G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALDPAAGDEELTDSLVALMPPAGRRWLPSSGKASITDEEEGRRIDELLSGGQWRRIGGWGSRGGGLVGH >ONIVA11G09570.1 pep chromosome:AWHD00000000:11:9154061:9158867:1 gene:ONIVA11G09570 transcript:ONIVA11G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGAFLTTDINSEYVICLFRFAIDCSTGFSERQASGGGPDDFSGSHPFGDIFTHIRSPFRCHQNSLHHAVDKRPGANMIVLDNGLFTLRLAAVHLQSVAARHGFSSSQAVEESPEAGCPVAAAHRRVCLITDVNGHDMFQRLFSHRHFLVPPKPRLTDVTGLSSAGKLTCHFVNNYIC >ONIVA11G09560.1 pep chromosome:AWHD00000000:11:9151825:9153524:-1 gene:ONIVA11G09560 transcript:ONIVA11G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDRGEGIPKTKIGAWNSFEATFCRGRVIGCCSARGRVVGGQLPQSDGSCGWRGGTHRRGPVSRSSGRRGGREASAALGPRAEGRRKGWVWARLRWESGASGGSSEGSGERGWEEGRDRGSQKGRWRAFEALILREDPKSNLTP >ONIVA11G09550.1 pep chromosome:AWHD00000000:11:9138779:9142881:-1 gene:ONIVA11G09550 transcript:ONIVA11G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G19150) TAIR;Acc:AT5G19150] MRNHCPHAWHQQQHRGRMWAASPAFRRRLFLLRSLSPSPCAALPGNAACSSSPSPSTSIRVNAMSASGPVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTRDAATVIKSYSPELIVHPILEESYSVSDGERESVSSRILTEVAKWMERFDCIVVGPGLGRDSFLLDCVSNIMRHARQANIPTVVDGDGLFLITNNLSLVEGNLLAILTPNVYEYKRLVQKVLNCEVNEENASEQLTALCQKIGGITIMRKGKADIISDGKTVTQVSTFGSPRRCGGQGDILSGSVAVFASWARHFLLTNEYPTEKSVNPMMLGCIAGSLLLRKAASHAFEKNKRSTVTTDIIELLGKSLEDICPAGH >ONIVA11G09550.2 pep chromosome:AWHD00000000:11:9138779:9142881:-1 gene:ONIVA11G09550 transcript:ONIVA11G09550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G19150) TAIR;Acc:AT5G19150] MRNHCPHAWHQQQHRGRMWAASPAFRRRLFLLRSLSPSPCAALPGNAACSSSPSPSTSIRVNAMSASGPVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTDLSHVFCTRDAATVIKSYSPELIVHPILEESYSVSDGERESVSSRILTEVAKWMERFDCIVVGPGLGRDSFLLDCVSNIMRHARQANIPTVVDGDGLFLITNNLSLVEGNLLAILTPNVYEYKRLVQKVLNCEVNEENASEQLTALCQKIGGITIMRKGKADIISDGKTVTQVSTFGSPRRCGGQGDILSGSVAVFASWARHFLLTNEYPTEKSVNPMMLGCIAGSLLLRKAASHAFEKNKRSTVTTDIIELLGKSLEDICPAGH >ONIVA11G09550.3 pep chromosome:AWHD00000000:11:9138779:9142881:-1 gene:ONIVA11G09550 transcript:ONIVA11G09550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G19150) TAIR;Acc:AT5G19150] MRNHCPHAWHQQQHRGRMWAASPAFRRRLFLLRSLSPSPCAALPGNAACSSSPSPSTSIRVNAMSASGPVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTRDAATVIKSYSPELIVHPILEESYSVSDGERESVSSRILTEVAKWMERFDCIVVGPGLGRDSFLLDCVSNIMRHARQANIPTVVDGDGLFLITNNLSLVEGNLLAILTPNVYEYKRLVQKVLNCEVNEENASEQLTALCQKIGGITIMRKGKADIISDGKTVTQVSTFGSPRRCGGQGDILSGRFVANVVLSVSFCIFASWARHFLLTNEYPTEKSVNPMMLGCIAGSLLLRKAASHAFEKNKRSTVTTDIIELLGKSLEDICPAGH >ONIVA11G09540.1 pep chromosome:AWHD00000000:11:9117584:9133217:1 gene:ONIVA11G09540 transcript:ONIVA11G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEAGILSKEKDRETVVMSMRVAKGRGVWGKAGKLASRHMAKPRVLAVTTKKKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVPNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRFLMCILNMCKEIYGAIPKVVGMDVVEMAMWAKDNTTVKVTQVSTKDGPIESLVGEADSQVAIQKDLVLQTEDEDTEALLDTYIMAIGEAEAFSERMKRELVALESANVYALMETETVIEEVLEGLEIASICVEDFDEWLGIFNVKLRHMREDIQSIEWRNNKLELQSDSNVALIDELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQFIKNLDKSCLMPLRKSYCHSLNLLIRREAREFSSELRAGSKASKSSTPLFEGPASANQSISITDTTADAYCKMITVFIPLLVDESSFFAHFMCFDVAALAPSDESDNNNPVAVSEPPGSSAKPINSSAELGVLNQFLQELLDGIQEDFYAIVDWAFKLDPLSCISMHGITDRYLSAQFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWDKCKKEFLDKYATFLKLISKIYPSETVISVNEMKDTLASL >ONIVA11G09540.2 pep chromosome:AWHD00000000:11:9117584:9133217:1 gene:ONIVA11G09540 transcript:ONIVA11G09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEAGILSKEKDRETVVMSMRVAKGRGVWGKAGKLASRHMAKPRVLAVTTKKKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVPNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRFLMCILNMCKEIYGAIPKVVGMDVVEMAMWAKDNTTVKVTQVSTKDGPIESLVGEADSQVAIQKDLVLQTEDEDTEALLDTYIMAIGEAEAFSERMKRELVALESANVYALMETETVIEEVLEGLEIASICVEDFDEWLGIFNVKLRHMREDIQSIEWRNNKLELQSDSNVALIDELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQFIKNLDKSCLMPLRKSYCHSLNLLIRREAREFSSELRAGSKASKSSTPLFEGPASANQSISITDTTADAYCKMITVFIPLLVDESSFFAHFMCFDVAALAPSDESDNNNPVAVSEPPGSSAKPINSSAELGVLNQFLQELLDGIQEDFYAIVDWAFKLDPLSCISMHGITDRYLSAQFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWDKCKKEFLDKYATFLKLISKIYPSETVISVNEMKDTLASL >ONIVA11G09540.3 pep chromosome:AWHD00000000:11:9117584:9130334:1 gene:ONIVA11G09540 transcript:ONIVA11G09540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEAGILSKEKDRETVVMSMRVAKGRGVWGKAGKLASRHMAKPRVLAVTTKKKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVPNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRFLMCILNMCKEIYGAIPKVVGMDVVEMAMWAKDNTTVKVTQVSTKDGPIESLVGEADSQVAIQKDLVLQTEDEDTEALLDTYIMAIGEAEAFSERMKRELVALESANVYALMETETVIEEVLEGLEIASICVEDFDEWLGIFNVKLRHMREDIQSIEWRNNKLELQSDSNVALIDELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQFIKNLDKSCLMPLRKSYCHSLNLLIRREAREFSSELRAGSKASKSSTPLFEGPASANQSISITDTTADAYCKMITVFIPLLVDESSFFAHFMCFDVAALAPSDESDNNNPVAVSEPPGSSAKPINSSAELGVLNQFLQELLDGIQEDFYAIVDWAFKLDPLSCISMHGITDRYLSAQFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWDKCKKEFLDKYATFLKLISKIYPSETVISVNEMKDTLASL >ONIVA11G09530.1 pep chromosome:AWHD00000000:11:9102838:9111377:-1 gene:ONIVA11G09530 transcript:ONIVA11G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCTYKEKDDIFKLTLTGGNSGHNYLTEKSLKELKETLATIRGKATPSSRGLVTICSGKSSFCDGIDYTSSPPAAVEELIRGMAEVVRELLGMPFPTVAAVGGDVRSSLALALVLAHDDVAVLKKVKIEAREVVEGRHDAVPPYLGALLRDKSSYPQMSSDLVLRSETMAGDRLKYWYLIERVCDDQWELKGHAINMIKEVFGDERDGEAYVTTRKSLVFSESWKAVSEIINMSSFCTWTEQDGVYRLTLAGDDDHHYLTGETVDELTRTLAAIRERAMDQKPPAGAAARALITASSAGSFCDGVDYERASPREKQAAADGMVAVIRELLAMPMLTVCAATGGARSLGLVLALAHDDVVVVSGGRYHLGMVERGVVVPPHVGALLREKTDRWYTLGARVMAPRHDQGSYLKQWKVVDGVAGDRDGVLAEAERVAGAWNGDDGEAHAGMRRLLCRDSWEAVSGAQ >ONIVA11G09520.1 pep chromosome:AWHD00000000:11:9095807:9101145:1 gene:ONIVA11G09520 transcript:ONIVA11G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSMLHRSLLCLAVLAAAAGGGAAGSPRLQCLENPPELTAAGDGEAGVVVQNLGGFAAYVTGGAAHSGRAIVLASDVFGFEAPLLRKIADKVGEAGYYVVVPDFFQGRPYNGDPSINITQWIMAHCPVKAAEDSKPIFAALKREGKYVVGVGGYCWGGKLAVEVAKTNEVGAIVISHPSSVTADDMTDVKCPIEILGAENDAVTPPRLVYQFVNALRQRPEVDYFARIFPGVAHGFACRYNASNPFAVRTAEQSLALMLDWFEKHLK >ONIVA11G09520.2 pep chromosome:AWHD00000000:11:9095807:9101145:1 gene:ONIVA11G09520 transcript:ONIVA11G09520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSMLHRSLLCLAVLAAAAGGGAAGSPRLQCLENPPELTAAGDGEAGVVVQNLGGFAAYVTGGAAHSGRAIVLASDVFGFEAPLLRKIADKVGEAGYYVVVPDFFQGRPYNGDPSINITQWIMAHCPVKAAEDSKPIFAALKREGKYVVGVGGYCWGGKLAVEVAKTNEVGAIVISHPSSVTADDMTDVKCPIEILGAENDAVTPPRLVYQFVNALRQRPEVSSC >ONIVA11G09520.3 pep chromosome:AWHD00000000:11:9090521:9094931:1 gene:ONIVA11G09520 transcript:ONIVA11G09520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKLYPPRGPARRNQVPSPERARLIPRCGCRLSSKHVWGSTGTPWHMLSALDCLLFSAPQSCSLAHTWTASVMHNRLVRGCFSSLSAAVASAIRVPVASYGTSAAQSTSKDQHGSNINSALKVLNLVPRKADYDKVGGPCHHRLIHDCMNDILGVQSNHTIHKGNGVTFNSCSNPAQAKFDSFVSNNGSALCSRTRFIKEDMFMLIMELHRKGETSTDQSILAAAMSSCADRQMFTQGTQLHGLLVKVGCDSTVFIGSSLITLYSRCSQLESSYLVFQTMPTKNTVSWTAMISGFALHNRVEPCLHLFASMMLSSCKPNDITFATLFSVCTKHALLALGRSVHALQMRMGFHSYVHVSNALLSMYAKCGCIDEAQFIFGCIACKDLVSWNAIIFGCSQYGLAKHCLDLLKEMERQHIVPDALSFLGVLSSCRHARLVEEGRHCFKTMIEHGIKPGLDHYSCMVDLLGRAGLLEEAWDLIQTMSIPPNAVIWGSLLGSCRVHGNISIGIQAAEHRLKLEPGCAATHIQLANLYATIGCWSDVARVRMAMKARGLKTNIGCSWIEVGDKVYSFTAENRSKSHQVNNVLAVLDCLQAHMECKYDMLTESLE >ONIVA11G09520.4 pep chromosome:AWHD00000000:11:9090521:9095832:1 gene:ONIVA11G09520 transcript:ONIVA11G09520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKLYPPRGPARRNQVPSPERARLIPRCGCRLSSKHVWGSTGTPWHMLSALDCLLFSAPQSCSLAHTWTASVMHNRLVRGCFSSLSAAVASAIRVPVASYGTSAAQSTSKDQHGSNINSALKVLNLVPRKADYDKVGGPCHHRLIHDCMNDILGVQSNHTIHKGNGVTFNSCSNPAQAKFDSFVSNNGSALCSRTRFIKEDMFMLIMELHRKGETSTDQSILAAAMSSCADRQMFTQGTQLHGLLVKVGCDSTVFIGSSLITLYSRCSQLESSYLVFQTMPTKNTVSWTAMISGFALHNRVEPCLHLFASMMLSSCKPNDITFATLFSVCTKHALLALGRSVHALQMRMGFHSYVHVSNALLSMYAKCGCIDEAQFIFGCIACKDLVSWNAIIFGCSQYGLAKHCLDLLKEMERQHIVPDALSFLGVLSSCRHARLVEEGRHCFKTMIEHGIKPGLDHYSCMVDLLGRAGLLEEAWDLIQTMSIPPNAVIWGSLLGSCRVHGNISIGIQAAEHRLKLEPGCAATHIQLANLYATIGCWSDVARVRMAMKARGLKTNIGCSWIEVGDKVYSFTAENRSKSHQVNNVLAVLDCLQAHMECKYDMLTESLE >ONIVA11G09510.1 pep chromosome:AWHD00000000:11:9075550:9084367:-1 gene:ONIVA11G09510 transcript:ONIVA11G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFCKVRKRDDGIYVLTLASSDGHHYLTTEAITQLKQALERIRSTKARGLVTTTTSGSFCDGINAVSDDDDDEPLSSLERGMAEVVRLLLDLPMPTAAAVRGDARWLGFVLALAHDHLFVHTEAVLGLAAAADTTAKPRRRPLPDYVVALLREKIPYAQLRKLLLLKAHVFTGEELKGNWHSVHEAIPNRDHVVAVAVQNLQSVVVGDGMDYAKVRRTMYTNSCVAVAVTTTTTTRLPFDGSSSVANGVTISSEMTPSGESFNSSYNSSTTPVDISLAAIEACTDGFSESKKVGSGAYGKVYKGVYNEEELAFKKIDGLAVLNEDQFKNELKHLMSVQHRNIVRFVGYCSQIKEKFIWRGKEYVSVQYITRILCFEYLPGGSLDKHLDKESESDGFDWRTRYNIIKGISQGLNYLHELEKPIFHLDLKPANVLLDENTEPKIADFGISKHFTGTKTHITISKPTGTPRYMPPEYLNKLVISNKYDVFSFGVMVMEIIAGPTGYDNFSEANDQDMMPPPSSIGDTEAEVIDLEDLPPVGVPGAEVIDLESPECRSGAAGAKSRSHSSAGHPKQQSHGRISLSTQYKAFKVSGTRGSLQKTAARVVLGIGKAGCQPKCIVNITKDFDDRKELIAEIGFDGILDIKLTKVNRQFGAWLLSKVDPKSGTIVTDFNQELPFGPNDVNAVFGLPCSGQLIIPCSQDELDGKKQKLCEIFEIPNFSHLKISLLERVLKKQYVNPMTIDEKREFKADFVLYVTTKLLAPQSCANFISPRYIRAVADVDNIKQYNWSQFVIDEVKKAAESLPKRFPNTTQQSINGCIIFLMFEDNQIAMMIQQDIVSKHNPGYPFPRYGKLQLMKAPRENYPQAPEVSPLNLSSVSKIQCRGNDGGANLIKFLESHFNSLDVSAMVGPQAYKELKSYVQDGFNQIDEILPSIADSVDISNLKTATEAANMFRKAFKYNMAAAVKIATRAAVRNVIDTIEDMQGPLHPWGDPSAMGYHTPTNYSTHATEYENLVEQPTDTRHCDHKFGASKCTPTKFNGAPEFGTDEQKKRKYTVEKPPSHLLKHKSKRAVKPNRKLMSPFLSKQCSTERLDSRTADEQYSYVMSISNEASLDTKWLQSSYPFRISLTLRNIQETIKIGSRMDSDSLNLAIRIIHQLAHFTGPEVVYDVSDNHMSKKVSVLDSLNTQDPLGESRFTRHDKIKIMVSRCVMECMRLASPGWNKDILNWDCEAVENIPEQQNGDDCGFHVFNNMVNWDGLRLVNSTSQDPYYLRRQFLIHLLILRDNEAILPEYVVHRLRHIKDN >ONIVA11G09500.1 pep chromosome:AWHD00000000:11:9032274:9032501:1 gene:ONIVA11G09500 transcript:ONIVA11G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLSLLLCLAAAAGAAAAAALPRLQCFEHPPDMKAGGGEAGVVVHDLAGYEAYVTGAAHSGRAIVLASDVYG >ONIVA11G09490.1 pep chromosome:AWHD00000000:11:9022404:9022805:-1 gene:ONIVA11G09490 transcript:ONIVA11G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKVQMATEAAAPSLSPAPVEGKPREAAVGEKRKATEEPAPAESDLSDASESAAAAATIADDGGKPASEAAVAEDELPYDEWKVQRRALLDRLWAETMSEVKLSDDLVYYDYSDDPDGLLDYGSETDIEMD >ONIVA11G09480.1 pep chromosome:AWHD00000000:11:8957577:8964876:1 gene:ONIVA11G09480 transcript:ONIVA11G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J0P3] MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSTEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADPYFRNIANVDREPSAQPVTKLEFEFERRRITKEDIRELIYREILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDDRPQNTANIAEDLSKCVLGDNTQKMHQGSASVCANRVPQGGAARPGKVVGSALRYGDSERIDVNQAGQPKPYIPNKLPATVDGRSAHW >ONIVA11G09480.2 pep chromosome:AWHD00000000:11:8957577:8965506:1 gene:ONIVA11G09480 transcript:ONIVA11G09480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J0P3] MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSTEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADPYFRNIANVDREPSAQPVTKLEFEFERRRITKEDIRELIYREILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDDRPQNTANIAEDLSKCVLGDNTQKMHQGSASVCANRVPQGGAARPGKVVGSALRYGNCSTSTAERYEHRRTDRNPALATNTVSPRGSYP >ONIVA11G09480.3 pep chromosome:AWHD00000000:11:8957577:8965506:1 gene:ONIVA11G09480 transcript:ONIVA11G09480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J0P3] MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDASRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSTEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADPYFRNIANVDREPSAQPVTKLEFEFERRRITKEDIRELIYREILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDDRPQNTANIAEDLSKCVLGDNTQKMHQGSASVCANRVPQGGAARPGKVVGSALRYGNCSTSTAERYEHRRTDRNPALATNTVSPRGSYP >ONIVA11G09470.1 pep chromosome:AWHD00000000:11:8950238:8951977:-1 gene:ONIVA11G09470 transcript:ONIVA11G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVVRLAPHLFPIPWTRTTCGDLYKIYDCKNSSGCQQGFPVVSTITAHSYASYLAVATVCQDELNHLAALGGDDAIVETDFLKSVSAM >ONIVA11G09470.2 pep chromosome:AWHD00000000:11:8950238:8951977:-1 gene:ONIVA11G09470 transcript:ONIVA11G09470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVLYKIYDCKNSSGCQQGFPVVSTITAHSYASYLAVATVCQDELNHLAALGGDDAIVETDFLKSVSAM >ONIVA11G09460.1 pep chromosome:AWHD00000000:11:8936513:8939018:1 gene:ONIVA11G09460 transcript:ONIVA11G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKYPSYNGEAKKERGVEMGYWQSLVSLIGDNFPSQPAQEDAVVVEDIAKTDERSHIRSRSIHRKGRSMSSFRTARNGGYPRQSQAASARVHRASRHTGGILLIVILVHRFLRFIDLLERLPLDHLYRSKSDGEEVGGGGDVAAHVHAMLAGLLLFGYISLSSCGYSTVHGLTQGAQCRRRRLLEFMTPNIGSIEEDLAAAREERRGSGRGMRGRCWWEGERQCRSPCEAHSLVLLPAADLPRHHQQSPHHRRTDSRAATTSTPR >ONIVA11G09450.1 pep chromosome:AWHD00000000:11:8917624:8925479:-1 gene:ONIVA11G09450 transcript:ONIVA11G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVMAAAARDYQKEIVAKEKAQAASASHDEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPIYLGTKFIKLDAENAPFFVTKLGIKTLPCVILFKKGIAADRLIGFQDLGSKDDFSTRALENILKMKGIIDEKKKDEDDEDDETYMSMNRRSSRRLLLLLRRRRLFRLRSKD >ONIVA11G09450.2 pep chromosome:AWHD00000000:11:8917624:8925479:-1 gene:ONIVA11G09450 transcript:ONIVA11G09450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVMAAAARDYQKEIVAKEKAQAASASHDEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPIYLGTKFIKLDAENAPFFVTKLGIKTLPCVILFKKGIAADRLIGFQDLGSKDDFSTRALENILKMKGIIDEKKKDEDDEDDETYMSMNRRD >ONIVA11G09440.1 pep chromosome:AWHD00000000:11:8914345:8917499:-1 gene:ONIVA11G09440 transcript:ONIVA11G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIRRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISPESSLSISEADKSPSHSSMASPSPSRAVESTGSPVHRGSQLTPPSTKIHYMKAAGTKPLTFTIDPSAADFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYTSGSATSNNENGVTLNFSRLKGLRDSRESEAVSLREETTNRSDRMHPELPGDNAAPKQEAQAKKGGMSKPSGSVEVTTEATTSGQVGAKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIETRFVRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCCNRENILNQETAQNISKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKAMLECHHKQFITISLAYHVKSSTMVQQGEHHRRAAAHLWNELDCFSSSFRIWVTAHKSYVESLNAWLQKCVLQPAQDRRRRKRKVSFPPRHALSPPIFVLCRDWLAMMESQSLPTDELCKSIKEVMQLLRGSFDHPADHQNKTTTESQSRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEIARDDYQTGRSNAHLV >ONIVA11G09430.1 pep chromosome:AWHD00000000:11:8898319:8899819:-1 gene:ONIVA11G09430 transcript:ONIVA11G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTLAFSVRRRERELVAPAKPTPYEFKMLSDIDDQDILRFNRSGILFYRHSPSKDGLDPVKVIKAAISETLVHFYPVAGRFRELRPTRKLVVECTGEGVVFVEADANFRMDELGTSLAPPVPCYDMLLCEPESPTADVVDRPLLFIQVTRLACGGFVFGMHICHCMADGSGIVQFLTALTEFARGVHGAPTVRPVWEREVLTARWPPTVTRDHVEYTPLPNPGKDVLSPTDAYAHHVFFFGASEIAALRSQAPPDLRAVSSRFDLVGAFMWRCRTAALRYDPGDVVRLHMFVNARVRNRSKRPVPRGYYGNAIVFAAASVPAGELWRRPFGYALRLLMQAKARASEEGYVQSVANFNAAHRRPPFPKARTYLISDMTQAGLMAIDFGWGKPVYGGPATTMLATFHLEGRNEVGEAGVIVPIRLPNPVIERLIQEVNKGLTAGAVADAKANVVPDDCVLAKL >ONIVA11G09420.1 pep chromosome:AWHD00000000:11:8837664:8844190:-1 gene:ONIVA11G09420 transcript:ONIVA11G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPVRPWADLQHDLLVMIMSRVGLPDLLSGGATRACSAWRASARDPLVWRRVDLRDWAVLTSARRRLAAGDGEAAAAGRGRVPLQAALCSVLEIVVRRAAGRMEALLLPEFADEEHLLFLAQRNPNLHYFSLPATCITYDQFRKAIDKLQFLKGMAVDEGLINHDVLSHVHQCCPDFLELKVFALYVDEEMASIICNSLPRLKKLEIPNSDMSCAAIIKFLDCLEELEYLDISGYETSAISSAVLQKASRLNIFIWNSKFELGEFTDCSNCGEHCINPQEPCKCVMEHRVMDWLAGPSQPS >ONIVA11G09410.1 pep chromosome:AWHD00000000:11:8830852:8836383:-1 gene:ONIVA11G09410 transcript:ONIVA11G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGTGSGEAWWRMQDGAVLERRARGDVRMRSASGGKRTVWSCTKSKPHFINGIGSSVSDLGKHRTCPCLRMAPLCQSPRRLDLLTVKDGMDPNGSAFEERAPFRDITNISSDARAGSTTSTKLQRNTKRTLELCFCAKNVDPTADLKKYIEDLEECLDRSSKFYAVAVNNTFMKQDRVYFTKEFSKDYLKPLMDGKETISIGVQMAGGVSKNMMLQMSTDDRCNLKKVWAKFATRNHIYLQSLFTFHFNKTTRLDATFDVLLPASLLRFTSKTEVWLVEWSTTSFFLRPNI >ONIVA11G09410.2 pep chromosome:AWHD00000000:11:8831295:8836383:-1 gene:ONIVA11G09410 transcript:ONIVA11G09410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGTGSGEAWWRMQDGAVLERRARGDVRMRSASGGKRTVWSCTKSKPHFINGIGSSVSDLGKHRTCPCLRMAPLCQSPRRLDLLTVKDGMDPNGSAFEERAPFRDITNISSDARAGSTTSTKLQRNTKRTLELCFCAKNVDPTADLKKYIEDLEECLDRSSKFYAVAVNNTFMKQDRVYFTKEFSKDYLKPLMDGKETISIGVQMAGGVSKNMMLQMSTDDRCNLKKVWAKFATRNHIYLQSLFTFHFNKTTRLDATFDVL >ONIVA11G09410.3 pep chromosome:AWHD00000000:11:8830852:8836383:-1 gene:ONIVA11G09410 transcript:ONIVA11G09410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGTGSGEAWWRMQDGAVLERRARGDVRMRSASGGKRTVWSCTKSKPHFINGIGSSVSDLGKHRTCPCLRMAPLCQSPRRLDLLTVKDGNTKRTLELCFCAKNVDPTADLKKYIEDLEECLDRSSKFYAVAVNNTFMKQDRVYFTKEFSKDYLKPLMDGKETISIGVQMAGGVSKNMMLQMSTDDRCNLKKVWAKFATRNHIYLQSLFTFHFNKTTRLDATFDVLLPASLLRFTSKTEVWLVEWSTTSFFLRPNI >ONIVA11G09400.1 pep chromosome:AWHD00000000:11:8800204:8800752:1 gene:ONIVA11G09400 transcript:ONIVA11G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPCSPPPRRRTTSSSVLLTAVFALSPSPITATSAHHRALLRPHHHHSTRASVAPAHLPSWGSTATTRKRQDVAEASQLRACPATVAMLLATVVITFFTNLSILSNLPHRAAAASSSSPPPLPSSFPGGYRPCPAPPPLAVGRAHHLPSRPSVVPSCYPTAHARCTMPNCSPSMSPVESG >ONIVA11G09390.1 pep chromosome:AWHD00000000:11:8799944:8800153:1 gene:ONIVA11G09390 transcript:ONIVA11G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSPVLHGLLQPTLPRRHRQGRSWSQARSHRERGGAERGWGSTAAGEGGREAVRSSHRGIRPPHRLP >ONIVA11G09380.1 pep chromosome:AWHD00000000:11:8769549:8770074:-1 gene:ONIVA11G09380 transcript:ONIVA11G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLESASTFLLRVSACFATSWLSGSKISTTLLPFLLSGKLAFTQLSTVIEFMPPRITRYLLSCRKERSFLVISVSSPSDDSQHECALPNTSLPQYGNESLLWSMFMRKEMILQCPQVTIKSHRSVPNVVPGN >ONIVA11G09380.2 pep chromosome:AWHD00000000:11:8769549:8772703:-1 gene:ONIVA11G09380 transcript:ONIVA11G09380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCPGDSGAISTELGRLPRSSRSLRTALRFPSPAVSRTAQLRPCYDQQPRGDDTDRRQGVALARLPSSRRPHSQHECALPNTSLPQYGNESLLWSMFMRKEMILQCPQVTIKSHRSVPNVVPGN >ONIVA11G09370.1 pep chromosome:AWHD00000000:11:8762861:8772274:1 gene:ONIVA11G09370 transcript:ONIVA11G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITAVLSKFGELASREAAVLVQVGNDIMLLRDRLEWLQAFVRDADRRRRLASDDFTRVWVRQTRDVAFDAEDALDHFFHKVDLEAQGYRGWRIWRRYLTGCTTQISVRHDLSGQIKRIKSRLDQISENHKEFKIEHTPGAWTSSITEVAAWDNIGDAPVGFDGYLRALENHLLSHEHTPQQRFISILGETGIGKSTLMLTICNKIIKDHENHFDKLIWYNMPPNSSANDLLKQVYERAPDKVPSEGEDTDITKKLRSFLHDKRYLVILGGINSITVLNCVKASLPDNRNGSRVVLILEPESQEVAKHADTLNKKVDADSKNISGSTIQLGRLNESQSAELFCRRVYGYNYTKPRGYKVSYNEQVFKITGGHPLAIVVLAGLLRSKEMPVEWDSVLQQLMPGVEAGESQGNKIAGVLLTKEKPFEWDALLQQLMPTTEAKLSNRMTIERIFSTSFDDLPHDLKSCFLYFAAYPTNITHPADQIMRMWIAEGFIKPEKGKNMEDLAQEYLKELISRFLVEVKYRNECEKIELVQVHNRLLRFLQSEAREASFIEIHDNTDVLAPAAVRRLSIQNDSGNYIPFGNRFPKLRSFICRVEEGEGASATPDLKNDPKNIPRKDPLKFLCGSKFLRVISIGGIHLAELPDAIGDMIHLRYIGVTSCDLENLPSSIGRLLNLQTLDIRNSKVKIIAPKFWRIKTLRHVIAAQLQLPNSVGELNNLQTLHGVKPAENWGGLTCPLDMMTNLQSLELHGFNDANHGVALERALQKLELLGHLKLTGDKIPSSVFTAPSLRYVESLVLDGDIKWADNSSNTSNYSPEVALGICELRPNLTVLKLNSVSKELEEFIEKIRPHLTVYECPTRTDA >ONIVA11G09360.1 pep chromosome:AWHD00000000:11:8756616:8757350:-1 gene:ONIVA11G09360 transcript:ONIVA11G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCQLSTKNGVFFLTLGGHGGGENYRTEQFIAELEQKLKEVRGHARPSSKGLVTTFAAGEGSSFCDDVDNGGTSAAATAELAAYRTAEAVRALFDMPFPTAAAVAGDVRSSLALALVLAHDDMAVWKEATFEAPEVRLRRDDGGGGDLPPAPPPYVAALLRDKAPYPMMRSKLVLRSEAMDGSTFGGYWYMTDSRCDGREEVTGEAAGIVTTSIGKVRDGEAYVATRKSFFPESWKAVCEFLA >ONIVA11G09350.1 pep chromosome:AWHD00000000:11:8749954:8750700:-1 gene:ONIVA11G09350 transcript:ONIVA11G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFCEWAKTDDGVFHLKLTGVDGHHYLTKEALHELRQKLAEIRELASSSPEPCRGLITSSSSSSSPSSPTTGSFCDGIDHKSLRANMAAPVAEQARVLADGMAAVVRELLAMPMPTVCAATGGAASLGLALALAHDDLVVLSDAYYKLGNVEDGVAVPPHVAALVREKTDRWYTLTTLKSRPRTGSWMRRWYFADGEAASRDGVVREAERLVGEWPAAGEDGKVHAEMRRQLYRESWEAVCAIVHDE >ONIVA11G09340.1 pep chromosome:AWHD00000000:11:8746768:8749173:-1 gene:ONIVA11G09340 transcript:ONIVA11G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCTVQSPIDGIFVLTMASSDGHQYLTDDAIGDLIASLTAVRDTPGLRGLVTTSRLGSFCDGVDHDAAGQPDEQVAARVGEVVRLLLEMPAPTAAAVNGDATSLGLALALAHDHCVVWEGAAVALPEARRRRPLPGYVAALLRDKVAYARLRKLLMLRAEACTGKELVGTWYSANDPAAADREVVAAEACELLEGIEVGSGKNYATARQAMWPESCAAVGMEITRPRRPSSPEQILHVSEKEKEKHGASDQYQIKQTKIMKKIMYKTS >ONIVA11G09330.1 pep chromosome:AWHD00000000:11:8699312:8704611:-1 gene:ONIVA11G09330 transcript:ONIVA11G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAMLVAAAVEVAVPTLAEAAALGAIGAILAGPLMQPTKVRSPVRVRNSNLKCHGTKMRSPSMVSMSQSQRIKIPSYVGLSTVHTPALLTPVISSRSTRTFQKTAKTIQERSHAVMQIPEYTPGTGLRSTNAIQRTTEVLQRHSHVGLRQPHAGRLREMHTASGRPVIGLTPTIVSQKTTKVPKQPRFVARAIDNFSREVMNAIAVAHDEAQYIAHLTIGSTNILLSLISQYICIFLLEIILNKAYKMFRAAVRRATRGAKLATLMEYGTNLTKLAEEGKLDPVVGRQKQIDHVVQILSRRTKNNPCLIGEPGVGKTAIAEGLAQLIATGDVPETIQQKTVISLDMGLLVAGTKYRGELEERLKNILEEIKQNGEIILFLDEVHTLVTAGSAEGAIDAANIFKPALARGELQCIGATTINEYRKHIEKDAALERRFQPVKIPESTVDETVGILKGLRERYQGHHKVQYTDEALVAAAELSHKHIRDRFLPDKAIDLMDEAGSIVRLRNAQCKPSKKVNDLEAELKKTLKEKNDAISIQNFRRAKQLRDHELQLRTNISALTDKKTQMMEPDAIAMPVVTEDDVRHAISRWTGVPLHKVSMDESRKLLKLEEALHRRVVGQGEAVAAVSRAIRRARLGLKHPGRPVASLVFAGPTGVGKSELAKALAACYYGSSESEEAAMVRLDMSEYMEKHAVARLVGSPPGYVGHGEGGQLTEAVRRRPHAVVLLDEVEKAHRDVFDLLLQVLDDGRLTDGKGRTVDFKNTLIVMTTNIGSSLIVNNGGDGAAAAGRIKNTVTDEMKRHFRPEFLNRLDEVIVFQPLTKLEVGKIAGIMLEEFAGRVREKGIKLQVTDRLRELVVEEGFDPSYGARPLRRTVVRLLEDTLAERMLAGEVREGDSVIVDADSAGNAVVRRSNAMPA >ONIVA11G09320.1 pep chromosome:AWHD00000000:11:8678998:8679631:-1 gene:ONIVA11G09320 transcript:ONIVA11G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVNSANNRNVTNHLFAVEFDTILNLKFNDISGALSAGGGRHAELQKGAGKKACSCGSSERQGQPGGSMWQRQGGIISITNYRVGLK >ONIVA11G09310.1 pep chromosome:AWHD00000000:11:8656180:8656964:1 gene:ONIVA11G09310 transcript:ONIVA11G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAVALVVGAAEDSKDVAKRHRAGAEDDGEDVAKRHRAASVARARVRCGGGDGEDGGSGHYGARGGSGGRRAQRFDHCRTKKKFEKLSAKLQIVES >ONIVA11G09300.1 pep chromosome:AWHD00000000:11:8632714:8636615:-1 gene:ONIVA11G09300 transcript:ONIVA11G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTSRRSKPELVAPAWATPNERKCLSDIDNQPSLRFYATFVEFFQPSSTFDGSRPSDPAKAIKSALADALVYYYPIAGRLTELPEGRLVVDCTAEGVVFVEADADVGLEELGKPLLPPYPCVDEFLCDPGDTKMVVGKPLFFLQVTRLKCGGFVVGFHMCHNISDGFGMLNFIRAIADIARGEALPTIFPLWNRELFTMFFPPRISHVHLAYEALRDGNLGNDIMQSTPPGAMVGQYFLFGPTEISAMRSHLSAHLRQSSTIFELISGAIWKCRTAALDYSPGQLVRFMFTLNSRGKWKRNPPVPQGYYGCGLVLPVAETLVADLCGNPLEYAVQLVRKAKFNVTDEYIKSTVDMIASRKWPSLIVDRTYIVSDITTIGEDKIDFGWGKRVGGGIPMAGDIMSKLLSYFMKCKNADGEDCVVVPMYLPSIIMDRFATEISVWSRKQGNKFIVNAFN >ONIVA11G09290.1 pep chromosome:AWHD00000000:11:8628169:8629614:-1 gene:ONIVA11G09290 transcript:ONIVA11G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWAVGDRRTSGRQDGSYRRPAPLFDGGALTRACSVCTAARAAREAAPYYGSSEKRRYAERLSITGRRGEAGSVAGHRGEAGSVAVFFFPACVALDHHIDAKTPAVTAADGGSSLSRVDSTRYGARPLRRAVVRLLEDTLAERMFAREVGEGDLVIVDADSAGKCRGQEEQHHAGGLQLPTKCATIELDTYENFGKLSKYFSDSHRIMYSLSSRI >ONIVA11G09280.1 pep chromosome:AWHD00000000:11:8622383:8624632:1 gene:ONIVA11G09280 transcript:ONIVA11G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLGGDDLGRRTLGGRSMAWCSRRAVAGGVRRAGSGKETGRGRQCARLRLAGAEAPSTPSPVHGYWQAVRRSWVLVGSKIRIDAKEQSRIGLPFPIISGQ >ONIVA11G09270.1 pep chromosome:AWHD00000000:11:8579675:8584676:-1 gene:ONIVA11G09270 transcript:ONIVA11G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLLNPPPSLRSPACRTTTATRIRPSSSMATMIPTPPPMRHARLVKASAAGRRELHAPPIAPPILLGLRSPAAASYGRASGGGGRRRGARVVARMGFDMFTDKAIKAIMMAQEEARRLGHHAAGSEQLLLGVIGEGTGIGAKVLRGAGLSLKAARAEVEKMAGRGPGMVPMEIKFTPAAKNVLQASQEEAHQLGHNYVGSEHLLLGLLREHGAALVVLKNFQADPSNIRSEVIRMISDTSEDHQPVSAAVGGGSSTTKIPTLLEYGTNLTKLAEEGKLDPVVGRQNQVDRVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIAAGNVPETIDGKTVITLDMGLLVAGTKYRGEFEERLKKLMDEVKQNGEIILFLDEVHTLVGAGAAEGAIDAANILKPALARGELQCIGATTIDEYRKHIEKDPALERRFQPVKVPEPTVDETIGILKGLRERYEIHHKVRYTDESLIAAARLSYQYISDRFLPDKAIDLVDEAGSLVRLRNAQLPDEAKELEKKLKKIMAEKSEAIRSQDFEKAGALRGEEVELKSEIMSLVDKSKEMSKAAVDSGESPGPTVTEADVQHIVSSWTGVPVEKVTVDESSRLLAMESSLHRRIVGQDEAVTAISRAIRRARVGLRDPRRPIASFIFAGPTGVGKSELAKALAAYYYGSPEAMVRLDMSEFMEKHTVAKLVGSPPGYVGYAEGGQLTEAIRRRPYAVVLFDEVEKAHPDVFNMMLQILDDGRLTDSKGRTVDFKNSLIIMTSNVGSGVIEKGGRQLGFAGDGSGDGGYGVIKNMVEEEMKRYFRPEFLNRLDEMIVFRQLTKLEVKEIAGIMLAEVTGRIGGKGIGLQVTERFKELVVEQGFDPSYGARPLRRAIMRLLEDTLTDKMLAGEICAGDSVIVDADGDGNVLVVGRRSAGLPDLKSPAFTV >ONIVA11G09260.1 pep chromosome:AWHD00000000:11:8573720:8579327:1 gene:ONIVA11G09260 transcript:ONIVA11G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease III homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0J0L4] MPLALLARAALSSTSSSIAMATTRSSSSRVLRASRAELNPGAKEVKRESSVSFDLTKTEAVASMRSKNVKRVLEVTGENIKKEVDIVPDIEDFRYGKASPSLVRLEKKVRVSSAIKVGAPENWEAILKGIKNMRLSGEAPVDTKGCEKAGSLLPPKERRFAVLISTMMSSQTKDEVTHAAVERLSEKGLLDPDAIVRTDEATLANLIKPVGFYQRKAKFIKEASKICLEHFGGDIPDSLNELLALKGVGPKMAHLVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRMSLEKWLPKDEWEPINPLLVGFGQTICTPLRPKCDMCGINNICPSAFKESSSPNPKQKKMRFAVLMAINIADLL >ONIVA11G09250.1 pep chromosome:AWHD00000000:11:8571281:8572268:-1 gene:ONIVA11G09250 transcript:ONIVA11G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEEVNSLSEHHGAEVILYDFDEVHVVEDSFAGDTEFPDTQVAVDVQAVAVTELELHGLMESKLQDAIVDVVGSEVDTVVAVRKEDVIVAERELHDVADIVAVELEVHDVIESKLHDAAVAVTESEVDIVLGTHDEAMAMIVAVAEMELDGAVVAVPESELNVVVVVCEVVMDIDVAHALLHHHGTKSRSVLGVVAAVVKPKCCRTRR >ONIVA11G09240.1 pep chromosome:AWHD00000000:11:8564866:8570653:-1 gene:ONIVA11G09240 transcript:ONIVA11G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALPLLHLLPRIVCAAFFACLPGLVAGIRKDIGLAAPIMCRSTVQGRHLISDDNVLPKNRVTGCKLDLQCCDSYEYCVSCCLNPSRTKETDVLKLKVARPVTSGTYRNVFDFCMGRCRHSSASVVHENAYASDFHHCFLLQQNSSGSADSGSGPRLDGINISIGRSGESCSSVCRAKGQSCVPSRLSVLNKCEILQKYMRCKSGCFSSLGPDQPAQVVDEAPSNLNPGACLYMQMDERLTCDGSHQHTRRLT >ONIVA11G09240.2 pep chromosome:AWHD00000000:11:8564866:8570653:-1 gene:ONIVA11G09240 transcript:ONIVA11G09240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALPLLHLLPRIVCAAFFACLPGLVAGIRKDIGLAAPIMCRSTVQGRHLISDDNVLPKNRVTFFLSTKETDVLKLKVARPVTSGTYRNVFDFCMGRCRHSSASVCSIVTVHENAYASDFHHCFLLQQNSSGSADSGSGPRLDGINISIGRSGESCSSVCRAKGQSCVPSRLSVLNKCEILQKYMRCKSGCFSSLGPDQPAQVVDEAPSNLNPGACLYMQMDERLTCDGSHQHTRRLT >ONIVA11G09240.3 pep chromosome:AWHD00000000:11:8564866:8570653:-1 gene:ONIVA11G09240 transcript:ONIVA11G09240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALPLLHLLPRIVCAAFFACLPGLVAGIRKDIGLAAPIMCRSTVQGRHLISDDNVLPKNRVTFFLSTKETDVLKLKVARPVTSGTYRNVFDFCMGRCRHSSASVVHENAYASDFHHCFLLQQNSSGSADSGSGPRLDGINISIGRSGESCSSVCRAKGQSCVPSRLSVLNKCEILQKYMRCKSGCFSSLGPDQPAQVVDEAPSNLNPGACLYMQMDERLTCDGSHQHTRRLT >ONIVA11G09240.4 pep chromosome:AWHD00000000:11:8564866:8570653:-1 gene:ONIVA11G09240 transcript:ONIVA11G09240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALPLLHLLPRIVCAAFFACLPGLVAGIRKDIGLAAPIMCRSTVQGRHLISDDNALHRNKWTKETDVLKLKVARPVTSGTYRNVFDFCMGRCRHSSASVVHENAYASDFHHCFLLQQNSSGSADSGSGPRLDGINISIGRSGESCSSVCRAKGQSCVPSRLSVLNKCEILQKYMRCKSGCFSSLGPDQPAQVVDEAPSNLNPGACLYMQMDERLTCDGSHQHTRRLT >ONIVA11G09230.1 pep chromosome:AWHD00000000:11:8563935:8564753:1 gene:ONIVA11G09230 transcript:ONIVA11G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enzyme binding;tetrapyrrole binding [Source:Projected from Arabidopsis thaliana (AT3G59400) TAIR;Acc:AT3G59400] MANASLQSFLLHHHHSFLSNGIHEGSSPSIILKLTTNSNSSISFKLFSNTTSSSSSVTTTASTPNSPVTPAPVTASSPPPPSLELLGAQLAERDYRQADETTRALLIELAGEPARRRGYVFFSEVQFISADDLRAIDALWQEHSGGRFGYSVQRRLWEKSRRDFTRFFIRVGWMKKLDTEVEQFNYRAFPDEFIWELNDDTPEGHLPLTNALRGTQLLGNIFTHPAFEEEQEDELAAEENDTPDNTGQSKDGSKGKERPKFMRDFFKPDYSF >ONIVA11G09220.1 pep chromosome:AWHD00000000:11:8542350:8559466:-1 gene:ONIVA11G09220 transcript:ONIVA11G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G17680) TAIR;Acc:AT1G17680] MSDTEEERRLGRGSAGAEAGADGQEDPDGDEEEEEEEEEEYEFCDAEEAMQCVEMAERSAPDAGVHDYEALAARKRKALAEERTERDASSKKPRQDGLSEAEAATVFDQLMEGFGLRRKRRSKDARKRGRKKGTRNKYSPEVTKKLGDATLLFTESRFKEAIPILHEVVRIAPNLSNSYHLLGSIYKECGELDKAINFLMLAAYVSPKDVFLWKKLIDMALKKEDAALARHCVLKAMRADPEDVGLKFDCANIYRALRDYQKAGEIYEQIVRIYPSNIVARKAAAQMYRDCGQIDKAINLLEDYVNAQTTNIDSNHLDLLISLYLRNNAYNEALRLIERAHIVFGSQHNLPVQLQAKAVICHAYLGDMKHAEVFLQNVHLERSKDNTDVIKEVASTLENLGQYEYAIKFYLMIEDVAVHNDGSSYVKVGQCYMVIGEKRKAIPYFQKALQRMEDNIDVRITLSSLFVDVDKSDEAIVLLSPPNNSGSKSATDQPKPWWLDGKVKMHLANIYYNKGMFEDFVGTILIPILETLNIEYANRKVRKAKKLPTNVLYERAKVQKASRAKKLLEKRAASNEDTIKDDLQRSKQIPPISGLLTNAENHQLVLHLCQTLALLHRYWEALQVINRTLKLGNDTLADENKEELRSLGAQIAYRAPDPRHGFNYVRYVVQQHPYSLAAWNSYYKVTSRIEDRFSRHHKFLLRTREEKTDCVPPIIISGHRFTAISQHQSAARDYLEAYKLNPENPFINLCVGSALINLALGFRLQNKNQCIVQALAFLFRYLRLCDNSQEALYNIARAYHHVGLNTLAAIYYEKALAVEVKDYPIPRLPYEENSCAQQDLKPGYCDGVFEEKGIEEIRKIRKMR >ONIVA11G09210.1 pep chromosome:AWHD00000000:11:8530592:8544176:1 gene:ONIVA11G09210 transcript:ONIVA11G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGATVSASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLRCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTSDLLVDSRLPALYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVKSAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKQFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNRTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKVRGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVITIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPESIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMETKK >ONIVA11G09210.2 pep chromosome:AWHD00000000:11:8530592:8543991:1 gene:ONIVA11G09210 transcript:ONIVA11G09210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGATVSASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLRCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTSDLLVDSRLPALYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVKSAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKQFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNRTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKVRGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVITIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPESIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMETKK >ONIVA11G09210.3 pep chromosome:AWHD00000000:11:8530592:8543031:1 gene:ONIVA11G09210 transcript:ONIVA11G09210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGATVSASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLRCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTSDLLVDSRLPALYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVKSAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKQFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNRTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKVRGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVITIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPESIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMETKK >ONIVA11G09210.4 pep chromosome:AWHD00000000:11:8530592:8544176:1 gene:ONIVA11G09210 transcript:ONIVA11G09210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGATVSASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLRCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTSDLLVDSRLPALYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVKSAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKQFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNRTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKVRGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVITIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPESIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMETKK >ONIVA11G09210.5 pep chromosome:AWHD00000000:11:8530592:8544176:1 gene:ONIVA11G09210 transcript:ONIVA11G09210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGATVSASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLRCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTSDLLVDSRLPALYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVKSAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKQFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNRTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKVRGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVITIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPESIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMETKK >ONIVA11G09210.6 pep chromosome:AWHD00000000:11:8530603:8544176:1 gene:ONIVA11G09210 transcript:ONIVA11G09210.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSHFRCPSNSPATAAPIEFLGSGGSRPVLGARRSPGHLQLAVKPPAAASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLRCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTSDLLVDSRLPALYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVKSAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKQFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNRTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKVRGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVITIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPESIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMETKK >ONIVA11G09210.7 pep chromosome:AWHD00000000:11:8530603:8544176:1 gene:ONIVA11G09210 transcript:ONIVA11G09210.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSHFRCPSNSPATAAPIEFLGSGGSRPVLGARRSPGHLQLAVKPPAAASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLRCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTSDLLVDSRLPALYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVKSAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKQFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNRTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKVRGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVITIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPESIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMETKK >ONIVA11G09210.8 pep chromosome:AWHD00000000:11:8530603:8537434:1 gene:ONIVA11G09210 transcript:ONIVA11G09210.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSHFRCPSNSPATAAPIEFLGSGGSRPVLGARRSPGHLQLAVKPPAAASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLRCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTSDLLVDSRLPALYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVKSAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKQFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNRTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKVRGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVITIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPESIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMETKK >ONIVA11G09210.9 pep chromosome:AWHD00000000:11:8532060:8537436:1 gene:ONIVA11G09210 transcript:ONIVA11G09210.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGATVSASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLRCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTSDLLVDSRLPALYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVKSAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKQFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNRTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKVRGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVITIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPESIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMETKK >ONIVA11G09200.1 pep chromosome:AWHD00000000:11:8528527:8528778:-1 gene:ONIVA11G09200 transcript:ONIVA11G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGWKWLDEEEEEEQRLAEAEERHRRRHGRDGGGSTKRRSGGGLTRRRAGGGSTRRRSDSGVSTMRRRGEGGRVDPAETQR >ONIVA11G09190.1 pep chromosome:AWHD00000000:11:8517611:8523849:-1 gene:ONIVA11G09190 transcript:ONIVA11G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVSASTGVMESLLGKLSSMLEKEYAKKKTVEKDVLFLRNELSSMNTVMQKYAMLSEPDLQVKAWMKEVRELAYDIEDTIDAFMARSEKSNEPTGIRGFIINNILKLRELLSSCTISQEIEKLKNQVLEVNDRRKRYKLDVSVSMGTGCESIDPRLPAFYSEVGGLVGIDGPRDKIIKLLRENAADEDCGFVNRLKMVSIAGFGGLGKTTLAKQVYQKIKWQFDCAAFVFVSQMPDMKRILLDLLSGLGASGNRDDERQLIDKIREFLHDKRYLIVIDDIWSLSAWEILKCVLPENNSGSRIITTTRILDIAALCCSTFKGSIYRLEPLSESDSRSIQICQVHDLVLNIIISMSKEDNFVTVIDGQKCSSLPEKIHRLSLQFNDSEDAVIPANITNKNSVRSISVFGSTKQKLVCLYVSTKARLPERIGTMQSLEELFHISSNSIRFVEDLKCLTKLRDLTISVEDPVGTEGYKLRCREAVLSSLTELG >ONIVA11G09190.2 pep chromosome:AWHD00000000:11:8517611:8523849:-1 gene:ONIVA11G09190 transcript:ONIVA11G09190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVSASTGVMESLLGKLSSMLEKEYAKKKTVEKDVLFLRNELSSMNTVMQKYAMLSEPDLQVKAWMKEVRELAYDIEDTIDAFMARSEKSNEPTGIRGFIINNILKLRELLSSCTISQEIEKLKNQVLEVNDRRKRYKLDVSVSMGTGCESIDPRLPAFYSEVGGLVGIDGPRDKIIKLLRENAADEDCGFVNRLKMVSIAGFGGLGKTTLAKQVYQKIKWQFDCAAFVFVSQMPDMKRILLDLLSGLGASGNRDDERQLIDKIREFLHDKSIQICQVHDLVLNIIISMSKEDNFVTVIDGQKCSSLPEKIHRLSLQFNDSEDAVIPANITNKNSVRSISVFGSTKQKLVCLYVSTKARLPERIGTMQSLEELFHISSNSIRFVEDLKCLTKLRDLTISVEDPVGTEGYKLRCREAVLSSLTELG >ONIVA11G09180.1 pep chromosome:AWHD00000000:11:8516750:8517545:-1 gene:ONIVA11G09180 transcript:ONIVA11G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSTQRLRKLVIGKTLARVPEWISIFDNLIHLQLYISGMEKSGINILKGISTLLFLRLVFTGHAPHGRIVIDNRGFQALKELYLLCFVPGIWPVFEPGAMQELQKYHLTFKLLKVHCSSGVLDFGLQHLSSLQHMSAIIVPSGATSEDTFAAEDAIRSATILNL >ONIVA11G09170.1 pep chromosome:AWHD00000000:11:8502276:8506515:-1 gene:ONIVA11G09170 transcript:ONIVA11G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAPQPVSSPSAAAGAASNNPHKRKKPAARGKGKGKGKGRLAKTPRRSDDPGDLPRRRSKPSDRFLKLLRKRARDYNSDDSDDEERLSDEDEEEEGASGSGDDEAAGAVTRFEQGCRAFRVAFTKIMAKKLPDDPLGPILSAHKKLVAAKLAEDAEEHKPKGEGRREKRMAAEKGHVIPTNHLDSKEKELIKIATQGVVRLFNSVSKAQNCRKGLNPSRSKDAKVLAKETKQAFLTELSKTSNQSQKGKASSNFSKNNSKDEDEPGWAPLRETYMLGSKLKDWDKMQTSDVVNEQTEIPLDDSSDEEYAIDSPE >ONIVA11G09160.1 pep chromosome:AWHD00000000:11:8496384:8501006:1 gene:ONIVA11G09160 transcript:ONIVA11G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVSASTGVMESLLGKLSSMLEKEYAKKKAVEKDVLFLRNELSSMNTVMQKYAMLSEPDLQVKAWMKEVRELAYDIEDTIDAFMARSEKSNEPTGIRGFIINNILKLRELLSSCTISQEIEKLKNQVLEVNDRRKRYKLDVSVSMGTGCESIDPRLPAFYSEVGGLVGIDGPRDKIIKLLRENAADEDCGFVNRLKMVSIAGFGGLGKTTLAKQVYQKIKWQFDCAAFVFVSQIPDMKRVLLDLLCGLGASGNTWDDEKQLIDKIREFLHDKRYIIVIDDIWSISSWEILKCVLPENNSGSRIITTTRILDISMICCSTFNGSIYRIKPLSDDDSRRLFCRRIFHGEHSCPSHLEELSKAILRKCGGLPLAILHIASLLATKSNTKEEWELVLNSIGSALENSHTLQGLKKILLLSFYDLPPQLKTCLLYLSIYPEDCMINSKELIRKWIAEGFIAEDSGKRLDQVAESYLNDLINRSMILPFDITHADGVQYYQVHDVVLNIIISMSKEENFVTIIDGHKCSSLQEKIRRLSLQFNDSEDVVVPTNITNRSCVRSLSIFGITKQVPYFMDLQSLRVLDLGYCTLLQNQHIECLGSMLQLRYLVLHSQLITELPDEIGNLQHLEMLDVRLCSIQALPDTIVRLQKLMCLYVSTKVKLPEMIGTMQCLEELFHISSNSIRLAGDLKCLKKLRDLAIAVEDPVGTKSSTLRYREVVRSSLTELGRHNLQSLSLNYKGDENFILDSSMGSCFSTQRLRKLIIGKTLSRVPEWMSIFDNLTHLQLCISRMEQSDINILKGIDSLIFLRLVFTGHAPDGRIVIDNRGFQALKELYLLCFIPGMWPVFEPGAMQELQKYHLTFKLQKVHCKNSVLDFGLQHLSSLQHISAIIIPSGATSEDTLVAEDAIRSATSIHPNQPIAEIFVE >ONIVA11G09160.2 pep chromosome:AWHD00000000:11:8497312:8501006:1 gene:ONIVA11G09160 transcript:ONIVA11G09160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVSASTGVMESLLGKLSSMLEKEYAKKKAVEKDVLFLRNELSSMNTVMQKYAMLSEPDLQVKAWMKEVRELAYDIEDTIDAFMARSEKSNEPTGIRGFIINNILKLRELLSSCTISQEIEKLKNQVLEVNDRRKRYKLDVSVSMGTGCESIDPRLPAFYSEVGGLVGIDGPRDKIIKLLRENAADEDCGFVNRLKMVSIAGFGGLGKTTLAKQVYQKIKWQFDCAAFVFVSQIPDMKRVLLDLLCGLGASGNTWDDEKQLIDKIREFLHDKRYIIVIDDIWSISSWEILKCVLPENNSGSRIITTTRILDISMICCSTFNGSIYRIKPLSDDDSRRLFCRRIFHGEHSCPSHLEELSKAILRKCGGLPLAILHIASLLATKSNTKEEWELVLNSIGSALENSHTLQGLKKILLLSFYDLPPQLKTCLLYLSIYPEDCMINSKELIRKWIAEGFIAEDSGKRLDQVAESYLNDLINRSMILPFDITHADGVQYYQVHDVVLNIIISMSKEENFVTIIDGHKCSSLQEKIRRLSLQFNDSEDVVVPTNITNRSCVRSLSIFGITKQVPYFMDLQSLRVLDLGYCTLLQNQHIECLGSMLQLRYLVLHSQLITELPDEIGNLQHLEMLDVRLCSIQALPDTIVRLQKLMCLYVSTKVKLPEMIGTMQCLEELFHISSNSIRLAGDLKCLKKLRDLAIAVEDPVGTKSSTLRYREVVRSSLTELGRHNLQSLSLNYKGDENFILDSSMGSCFSTQRLRKLIIGKTLSRVPEWMSIFDNLTHLQLCISRMEQSDINILKGIDSLIFLRLVFTGHAPDGRIVIDNRGFQALKELYLLCFIPGMWPVFEPGAMQELQKYHLTFKLQKVHCKNSVLDFGLQHLSSLQHISAIIIPSGATSEDTLVAEDAIRSATSIHPNQPIAEIFVE >ONIVA11G09160.3 pep chromosome:AWHD00000000:11:8497312:8501006:1 gene:ONIVA11G09160 transcript:ONIVA11G09160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVSASTGVMESLLGKLSSMLEKEYAKKKAVEKDVLFLRNELSSMNTVMQKYAMLSEPDLQVKAWMKEVRELAYDIEDTIDAFMARSEKSNEPTGIRGFIINNILKLRELLSSCTISQEIEKLKNQVLEVNDRRKRYKLDVSVSMGTGCESIDPRLPAFYSEVGGLVGIDGPRDKIIKLLRENAADEDCGFVNRLKMVSIAGFGGLGKTTLAKQVYQKIKWQFDCAAFVFVSQIPDMKRVLLDLLCGLGASGNTWDDEKQLIDKIREFLHDKRYIIVIDDIWSISSWEILKCVLPENNSGSRIITTTRILDISMICCSTFNGSIYRIKPLSDDDSRRLFCRRIFHGEHSCPSHLEELSKAILRKCGGLPLAILHIASLLATKSNTKEEWELVLNSIGSALENSHTLQGLKKILLLSFYDLPPQLKTCLLYLSIYPEDCMINSKELIRKWIAEGFIAEDSGKRLDQVAESYLNDLINRSMILPFDITHADGVQYYQVHDVVLNIIISMSKEENFVTIIDGHKCSSLQEKIRRLSLQFNDSEDVVVPTNITNRSCVRSLSIFGITKQVPYFMDLQSLRVLDLGYCTLLQNQHIECLGSMLQLRYLVLHSQLITELPDEIGNLQHLEMLDVRLCSIQALPDTIVRLQKLMCLYVSTKVKLPEMIGTMQCLEELFHISSNSIRLAGDLKCLKKLRDLAIAVEDPVGTKSSTLRYREVVRSSLTELGRHNLQSLSLNYKGDENFILDSSMGSCFSTQRLRKLIIGKTLSRVPEWMSIFDNLTHLQLCISRMEQSDINILKGIDSLIFLRLVFTGHAPDGRIVIDNRGFQALKELYLLCFIPGMWPVFEPGAMQELQKYHLTFKLQKVHCKNSVLDFGLQHLSSLQHISAIIIPSGATSEDTLVAEDAIRSATSIHPNQPIAEIFVE >ONIVA11G09150.1 pep chromosome:AWHD00000000:11:8486826:8494302:1 gene:ONIVA11G09150 transcript:ONIVA11G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMHGSIPRIDFAGIIDLAALPPPAAPPPPGADHLRPRWAAVRAAVMDALRAHGCFEAVVDGLISPELRAAVLGPGGAAESVLSLPASAKARGNDPGEGKPYHGYVGGIPGLPYESVAISDPLSPTAVRAFAARVWPAAAAAFPEEAVVAYAGRLAAVEAAVRRMVLESVGATASSAGAVEAQAAATAFKLRLSEYAAPGGGEDARLGLPAHRDTSFLAVVTQNGVDGVEVECGRGDGGWARPTLSPSSFLVFSGDTLKALTNGQVYNPLHRVVVSGDEARYSAILFSLPVDGAAVRPLDEAVDGDHPAMYRPFDYGEYAVFCYLPENMTPEVMKHAHKLEAFAAVRTTTTASSSAP >ONIVA11G09140.1 pep chromosome:AWHD00000000:11:8483002:8484459:-1 gene:ONIVA11G09140 transcript:ONIVA11G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPKLEKIMWTFTGMNSSFSGINNIMQPSEILKELEFNGESLPNQVKEAIDKHKDKIHYTYYKWEIHEKTQGNAEANYTVRHAAYSSPRTSVGTGRRAEGNHHRVPPIIALPVLPVKTESGAGPSYSPVRPR >ONIVA11G09130.1 pep chromosome:AWHD00000000:11:8477794:8483159:1 gene:ONIVA11G09130 transcript:ONIVA11G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diphthamide synthesis DPH2 family protein [Source:Projected from Arabidopsis thaliana (AT3G59630) TAIR;Acc:AT3G59630] MGDDIDARYEVPRTAEFIRARAYTRVALQFPDEMLRDAAAVAQALRRELGGGGVKLFVMADTAYNSCCVDEVGASHIDAQCVVHYGHACMSPTSNLPAFFVFGKTPLDTDACGRSLLECSRESDKRILVFYGLEYAHALDDLKAVVAELYKSHSRSVEVQYADVLCSVMSPSSAAEVEHGQSDGSTHSDDLSIQSDVATFVNNCCNVEGSTCKYSLGGLTWSTSIDDNMEDYLLYWIGQDNSAFANIVLTFNKCDIVRYDTVANQPSRDVSHLMKILRRRYYLVEKAKDANIVGILVGTLGVAGYLHIIEQMKDLIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKDFLAPVITPFEAVLAFSRGREWTGEYLLDFKDLITTDKPEISSTTEEARFSFIKGGYVEDNCLEDNEEQPETSLALAEVTEKALSIKNQNNDAVLYQGGAKSAIDYLKARSYRGLTGEYEGPAPDSVLTGRTGRAAGYNNEKKEITQ >ONIVA11G09120.1 pep chromosome:AWHD00000000:11:8467877:8473298:-1 gene:ONIVA11G09120 transcript:ONIVA11G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLAAPDPALPLSPSYCSRLLLDDDDDDLLEKLAAGLARCVEEGRLPVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRMYDAVEFELHVQEPYFTQLRAGTKKVEGRLAAGNLIFSILPLSSHKDEIIVASEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESFYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTAGTVQDGLPPPRSVLISSCMKLHQPNVNGCSLTDAARAMAKHVHRSSDGWWGSFHGSDVKKNQLASEIIDHLLRECCWMNIHLTQPYGPVYEIRVHEGYGARWSQDGSKFDPLLYVMLKMKGVRRKAFASYPLAVSSIDGIPPAPLDF >ONIVA11G09110.1 pep chromosome:AWHD00000000:11:8461707:8467034:1 gene:ONIVA11G09110 transcript:ONIVA11G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVLAPLAGATAVAFLLFKFATVDGDFTLVSRGAPRREKVDGKVVWITGASRGIGEVLAMQFASLGAKLILSARNKEKLERWKSEVLFKSKLPLFSSYTKDKHPNSRVEVLPMDLSSGEESLKEHVHEAESLFSNAGVDYMIHNAAFERPKRRALEETEQGLKATFDVNVFGTITLTRLLAPSMMDRGMGHFVVMSSAAGKVPSPGQALYSASKHALNGYFSSLRSELCTKGIKVTVVCPGPIEAPQSSGATSSSQKPSSEKRVPVERCAELTIVAATHGLKEAWISYQPVLGVMYLVQYMPTVGLWLMDKVGAKRLDVAAKKGNAYGWNLLFGGKKSA >ONIVA11G09110.2 pep chromosome:AWHD00000000:11:8461707:8467034:1 gene:ONIVA11G09110 transcript:ONIVA11G09110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVLAPLAGATAVAFLLFKFATVDGDFTLVSRGAPRREKVDGKVVWITGASRGIGEVLAMQFASLGAKLILSARNKEKLERVKHNIINKHPNSRVEVLPMDLSSGEESLKEHVHEAESLFSNAGVDYMIHNAAFERPKRRALEETEQGLKATFDVNVFGTITLTRLLAPSMMDRGMGHFVVMSSAAGKVPSPGQALYSASKHALNGYFSSLRSELCTKGIKVTVVCPGPIEAPQSSGATSSSQKPSSEKRVPVERCAELTIVAATHGLKEAWISYQPVLGVMYLVQYMPTVGLWLMDKVGAKRLDVAAKKGNAYGWNLLFGGKKSA >ONIVA11G09100.1 pep chromosome:AWHD00000000:11:8453070:8456879:-1 gene:ONIVA11G09100 transcript:ONIVA11G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPKKPALRRPETKTTTVAAMDVRRMSSSLNMSSSSLRSSGGADVDRRTTTATVRFAPTPTTLSSSSSSSATRRAGSSRAVSSSSSSQQARPATARPASVAGTRGMRSLHGGSPATLGPKGLRRSWGWGTGGSGGGGGDGEEKEGGDHRRRGDAVAVAGEVKAPVRSSSVPRRIPADQDKQHPKRETKTKITSNSKTKAVSGSPPKAGEDVSMDRRIPNTAASKTTEKAPRNVSLNNMVRQSPPRKTTPATIGASWESLPSDLQSIGLEVMSYRDAAEVAAVEALQEASSAEILLRAFADLAAVAAEMSPQQTVDEFLALEATLARSAAAGHAEDWLRAAVSSDLGRFSLYSAVSPTSQPEEEAGRRRTTWLGAAAREVGEETRGWFVGHVERLIDGDAAGTLGQLKRVNDWLDGAPAPPSEAAERLRKKIFGYLLDHVESAVLALNGGAAAGAHGRRK >ONIVA11G09090.1 pep chromosome:AWHD00000000:11:8446815:8450078:-1 gene:ONIVA11G09090 transcript:ONIVA11G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQPQPEPEPAAGGAGLEALEGLALDTVIAKAGARQAAALACASTRLRDAAGDDALWRRFCADDLALHAPLAPDGRALPSFKDAYKVWLESFGMYPLPLVRRVKIFWSSLKSWLSENFPEALKTLNKGVSEAQIQSAEDDLGFKLPLPTKLLYRFCNGQLPLSEHHHENMRMAHLGIIGGYVFYDHLINVHLSPLEQIVEETKEFYHDQLYVGTINLQDGEMLPCVPKSLIRPTNTDMPQDGLLLWLEEHLRRLQNGMIKIRMLKTSRYISLFPEASPSCTSAMTNGVKVRASAVFAPEHPESRRPGAKCLYAYSIRLSVPEACMLGGVYYSSCQLYSRHWIIRWRDRVVSDVNGEGVIGKYPLLTTGQEEFVYESCTPLPDSPGSVEGSFTFVPGKLSRPEGKPFEVTVAPFPLEIPEYIF >ONIVA11G09090.2 pep chromosome:AWHD00000000:11:8446815:8450078:-1 gene:ONIVA11G09090 transcript:ONIVA11G09090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQPQPEPEPAAGGAGLEALEGLALDTVIAKAGARQAAALACASTRLRDAAGDDALWRRFCADDLALHAPLAPDGRALPSFKDAYKVWLESFGMYPLPLVRRVKIFWSSLKSWLSENFPEALKTLNKGVSEAQIQSAEDDLGFKLPLPTKLLYRFCNGQLPLSEHHHENMRMAHLGIIGGYVFYDHLINVHLSPLEQIVEETKEFYREFYDQGVFNMTNLIIVATSWYRPKTFFLNCSDDQLYVGTINLQDGEMLPCVPKSLIRPTNTDMPQDGLLLWLEEHLRRLQNGMIKIRMLKTSRYISLFPEASPSCTSAMTNGVKVRASAVFAPEHPESRRPGAKCLYAYSIRLSVPEACMLGGVYYSSCQLYSRHWIIRWRDRVVSDVNGEGVIGKYPLLTTGQEEFVYESCTPLPDSPGSVEGSFTFVPGKLSRPEGKPFEVTVAPFPLEIPEYIF >ONIVA11G09080.1 pep chromosome:AWHD00000000:11:8437444:8437638:-1 gene:ONIVA11G09080 transcript:ONIVA11G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYRRRALTAASTLVPAACGSAGGMPCVPVVRGGGRKLLGVATGGGRQLRCRWPRKAVESAF >ONIVA11G09070.1 pep chromosome:AWHD00000000:11:8436699:8437378:-1 gene:ONIVA11G09070 transcript:ONIVA11G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRQGVATCRQRRGGVRVSLRAGSGGGACSAFGRVVPNFVVSDITREESVDHVSTWPPVAEVDRRRMQERSGRLITYGCLA >ONIVA11G09060.1 pep chromosome:AWHD00000000:11:8423859:8433639:1 gene:ONIVA11G09060 transcript:ONIVA11G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J0H8] MAVQILPTLSKLADVEVEFLDQYMGSTSRPVDDEVLDATTGVHYSALRLEELNMNGSVSGEDQPTTSGVENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTELLLSCMENLKHGKAVDIPNYNFKTYKSVASARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGKQLIYHNVPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQETNIIFLNLISAPQGVHVVSKRFPRVKIVTSEIDFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDEKNRVRLL >ONIVA11G09050.1 pep chromosome:AWHD00000000:11:8413173:8414228:-1 gene:ONIVA11G09050 transcript:ONIVA11G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPITLLVLSLLLLAVAAATAATFPTTVNAYTPATGVADAGAAAGANAASNIAAGAAAGMAADAADSAAANIGAYIPEAGYNPGKLCDNAVVMDSCVEVLPRIPGALTAPDYRALAVILDAYAWKLVQGSRQIADSMRAAEKLGHTMDKCISTCILGLGAAEAYLSALQPLPVEDRLHSIHNGLSALFRDGSDVPAAYSTGCPAGSIRNVDEESVVATFRNVYAVLDLLEQDLSQVYSSATPSTTTPAKPAAEAPAAEAAAALEKECDDAAKPETSTPTLEAKAYDNAAGAAPEPAAATAAEESSTPATATPAAQAYDNAGAGAGAAKEEPAAAAAKESSKDNYGGASQ >ONIVA11G09040.1 pep chromosome:AWHD00000000:11:8395066:8399315:-1 gene:ONIVA11G09040 transcript:ONIVA11G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKAQRRPEGASVLETLPALPLAIIIAKAGPRCAAALACASSTLRAAASGEALWRRFCSDDFALDAPLAPGDLPLPSFKDAYKAWFQSFGMYPLPLVKRVKIFWSSFRAWLCEYFPEGLRTLGEGVSEAEIAVTECNLGLVLPMPTKLLYRFCNGQLHIGRGEEVSYGVMGGYDYVHQRYTVRLLPLAHHAVQKNSNYIVVATSCFGEKIFLLDCASGRLYVGTKYWNEEREIMACVPKASIRLAVDDDHGMPQDGFLLWLEEHLSRLQDGLIKVQSCKFPMLARHISLYPVQLPYCSSTSMHGIKVRASAVFAPENSAFADYRCRYSYYFSIRLSLAEAFVVDGKWYSSFQLQSCHYTIQIGDEVLPYTCNYGGHGKCPLLRCGEELFVYGCSISAALEPGSVMGNLTLVPWRCGQPRGSPFIADIAPFPLHPPDYIF >ONIVA11G09030.1 pep chromosome:AWHD00000000:11:8382804:8387651:1 gene:ONIVA11G09030 transcript:ONIVA11G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRRPRLLPLPLLLLALSLSLSLAAASAFQSDELLLHDDDEFEGAGARPTPGPPTPAAAAVSSSRRRPGDSSAAAAAESSAVQFALEHDLGAGFVPAGSFSARLKSSAHGSQTLTKLRFTRNELTGDEKDAFKKLLDEDGFYSIRLQSNVLDPARKDYVVSSIKARCIPRESLDEHIVIHMDGVNILAVNYGSVGGCTYPRPVKMPSKWVFNSYTVLKTSEQAPRTPSFVDQLIEAENGLGEVVKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANMPEEQAGGQGQPGAQRAPNAAPRRR >ONIVA11G09020.1 pep chromosome:AWHD00000000:11:8373382:8374575:-1 gene:ONIVA11G09020 transcript:ONIVA11G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARHVIHSSAGATNTARD >ONIVA11G09010.1 pep chromosome:AWHD00000000:11:8338608:8338973:1 gene:ONIVA11G09010 transcript:ONIVA11G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWIVLSNSDGDSVEVHDGSESSFAVVHENAEISDAAQSNYGHVESKITAAKDDIDIECFDEEDGICEENPDDEIFNDQKEIDCEEELDDDDDESLDDDDIERYDAEDIICEENPDDEII >ONIVA11G09000.1 pep chromosome:AWHD00000000:11:8292682:8295663:-1 gene:ONIVA11G09000 transcript:ONIVA11G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSNLPRSIKVTDSLHEQVTTMSTEPAASAETSVLHHPGTSFHGTEENVGPSKARGIKVKEKAIRGSRRPIGGFDKATQRSKKKKSDSNTSKCPVQAEVVTPSLPYTMIDSLISGTDYYLPYTDSGSFRDNYNHMQMPDYYHIEGASLLQSSGYFRTSEQSQGMQQEETAQTFDTYPYSMFAHIN >ONIVA11G08990.1 pep chromosome:AWHD00000000:11:8272407:8277044:1 gene:ONIVA11G08990 transcript:ONIVA11G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRLEQLVFLLCCFAAITCSLHATQAQAQGQITQHHLKKSSPHNGAVGRILSEMTNRSDSTLSRRIRRVDPLDGLRKYEGGYNITNKHYWSSTIFTGRPGYVIAALWLIGGIIFVGALLISKIFFAKRNTGYGDMNYFLARFHICSMIIFILLAAFVIVASAIAIRGAVRFHSRAEAVKEIIGRTAFEATATIYNITEAIEKMQNTSRLYNNNSQAFDHLNSTVKALNSEAVEIQSKAEKNMRLVSKGINILEAVTILTVTLNLFAVLALLVMRPLRLQKLCNLCIAICWILTALIWMYFGLYYFLDEFAGDTCAALEEYQLDPKNSTLGTIIPCSEKFSGSVILHDVGAGIHDIIDQVIGEFSVSEAAIQVNSNIYTIKSEYGVKQLDYICNPFAGPPEFRYRPENCPSGAATIGDIPQILRRLTCTDLGGGAHCASAELSSAIDYGKVETYTSSIQNVLDIFPGTERLLTCELVKSGFADIVGHQCAPLSRGARAAWAALAALSAATTALLVLAAAVAGGGARHAGDDRHSVRHLTSSSNSEISEAEFAEMHAKKVRVLALVDRA >ONIVA11G08980.1 pep chromosome:AWHD00000000:11:8252833:8260241:-1 gene:ONIVA11G08980 transcript:ONIVA11G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPISPLTRTVNSSLFHFLFSSSSPPAASGRPASRAEQRREPTSIWAPIEAEAVRIEKMDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTPVGSNSRQDDSDVSITKEGTTTRRGDCAAGLASGEPVAAGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQAFNNPLFLLCAAQRYAQLQCNTAKYLFKELSTVASELNSDIVILQSVQLSTVNAWRHSQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSVEYGANFGKRNKEVLCIEESACYVNDTRMRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGGEQQLLLDSISVTMRRVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLVSSVSPSIEPVLMNVEHKGSDPLPIESELPHLNFEPDCDAYRREHAGQDVLQKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMPNGLYVAREMTCVAKTGTRTERLEHKPSSRDTFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNKTVAVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSIGLFQLKYKDDEGDWVILASDADLQECLEILDTTRLRILKLQVQDVVCPIGSSSERCGFGSTVIDLFI >ONIVA11G08980.10 pep chromosome:AWHD00000000:11:8252833:8260241:-1 gene:ONIVA11G08980 transcript:ONIVA11G08980.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPISPLTRTVNSSLFHFLFSSSSPPAASGRPASRAEQRREPTSIWAPIEAEAVRIEKMDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTPVGSNSRQDDSDVSITKEGTTTRRGDCAAGLASGEPVAAGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSVEYGANFGKRNKEVLCIEESACYVNDTRMRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGGEQQLLLDSISVTMRRVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLVSSVSPSIEPVLMNVEHKGSDPLPIESELPHLNFEPDCDAYRREHAGQDVLQKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMPNGLYVAREMTCVAKTGTRTERLEHKPSSRDTFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNKTVAVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSIGLFQLKYKDDEGDWVILASDADLQECLEILDTTRLRILKLQVQDVVCPIGSSSERCGFGSTVIDLFI >ONIVA11G08980.11 pep chromosome:AWHD00000000:11:8252833:8259877:-1 gene:ONIVA11G08980 transcript:ONIVA11G08980.11 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTPVGSNSRQDDSDVSITKEGTTTRRGDCAAGLASGEPVAAGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQAFNNPLFLLCAAQRYAQLQCNTAKYLFKELSTSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSVEYGANFGKRNKEVLCIEESACYVNDTRMRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGGEQQLLLDSISVTMRRVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLVSSVSPSIEPVLMNVEHKGSDPLPIESELPHLNFEPDCDAYRREHAGQDVLQKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMPNGLYVAREMTCVAKTGTRTERLEHKPSSRDTFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNKTVAVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSIGLFQLKYKDDEGDWVILASDADLQECLEILDTTRLRILKLQVQDVVCPIGSSSERCGFGSTVIDLFI >ONIVA11G08980.12 pep chromosome:AWHD00000000:11:8259959:8260241:-1 gene:ONIVA11G08980 transcript:ONIVA11G08980.12 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPISPLTRTVNSSLFHFLFSSSSPPAASGRPASRAEQRREPTSIWAPIEAEGIRFPLLRASS >ONIVA11G08980.2 pep chromosome:AWHD00000000:11:8252833:8260241:-1 gene:ONIVA11G08980 transcript:ONIVA11G08980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPISPLTRTVNSSLFHFLFSSSSPPAASGRPASRAEQRREPTSIWAPIEAEAVRIEKMDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTPVGSNSRQDDSDVSITKEGTTTRRGDCAAGLASGEPVAAGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQAFNNPLFLLCAAQRYAQLQCNTAKYLFKELSTSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSVEYGANFGKRNKEVLCIEESACYVNDTRMRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGGEQQLLLDSISVTMRRVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLVSSVSPSIEPVLMNVEHKGQDVLQKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMPNGLYVAREMTCVAKTGTRTERLEHKPSSRDTFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNKTVAVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSIGLFQLKYKDDEGDWVILASDADLQECLEILDTTRLRILKLQVQDVVCPIGSSSERCGFGSTVIDLFI >ONIVA11G08980.3 pep chromosome:AWHD00000000:11:8252833:8259877:-1 gene:ONIVA11G08980 transcript:ONIVA11G08980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTPVGSNSRQDDSDVSITKEGTTTRRGDCAAGLASGEPVAAGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQAFNNPLFLLCAAQRYAQLQCNTAKYLFKELSTVASELNSDIVILQSVQLSTVNAWRHSQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSVEYGANFGKRNKEVLCIEESACYVNDTRMRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGGEQQLLLDSISVTMRRVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLVSSVSPSIEPVLMNVEHKGQDVLQKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMPNGLYVAREMTCVAKTGTRTERLEHKPSSRDTFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNKTVAVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSIGLFQLKYKDDEGDWVILASDADLQECLEILDTTRLRILKLQVQDVVCPIGSSSERCGFGSTVIDLFI >ONIVA11G08980.4 pep chromosome:AWHD00000000:11:8252833:8259877:-1 gene:ONIVA11G08980 transcript:ONIVA11G08980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVADDSDVSITKEGTTTRRGDCAAGLASGEPVAAGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQAFNNPLFLLCAAQRYAQLQCNTAKYLFKELSTVASELNSDIVILQSVQLSTVNAWRHSQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSVEYGANFGKRNKEVLCIEESACYVNDTRMRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGGEQQLLLDSISVTMRRVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLVSSVSPSIEPVLMNVEHKGSDPLPIESELPHLNFEPDCDAYRREHAGQDVLQKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMPNGLYVAREMTCVAKTGTRTERLEHKPSSRDTFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNKTVAVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSIGLFQLKYKDDEGDWVILASDADLQECLEILDTTRLRILKLQVQDVVCPIGSSSERCGFGSTVIDLFI >ONIVA11G08980.5 pep chromosome:AWHD00000000:11:8252833:8260241:-1 gene:ONIVA11G08980 transcript:ONIVA11G08980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPISPLTRTVNSSLFHFLFSSSSPPAASGRPASRAEQRREPTSIWAPIEAEAVRIEKMDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTPVGSNSRQDDSDVSITKEGTTTRRGDCAAGLASGEPVAAGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQAFNNPLFLLCAAQRYAQLQCNTAKYLFKELSTSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSVEYGANFGKRNKEVLCIEESACYVNDTRMRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGGEQQLLLDSISVTMRRVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLVSSVSPSIEPVLMNVEHKGSDPLPIESELPHLNFEPDCDAYRREHAGQDVLQKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMPNGLYVAREMTCVAKTGTRTERLEHKPSSRDTFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNKTVAVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSIGLFQLKYKDDEGDWVILASDADLQECLEILDTTRLRILKLQVQDVVCPIGSSSERCGFGSTVIDLFI >ONIVA11G08980.6 pep chromosome:AWHD00000000:11:8252833:8259877:-1 gene:ONIVA11G08980 transcript:ONIVA11G08980.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTPVGSNSRQDDSDVSITKEGTTTRRGDCAAGLASGEPVAAGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQAFNNPLFLLCAAQRYAQLQCNTAKYLFKELSTVASELNSDIVILQSVQLSTVNAWRHSQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSVEYGANFGKRNKEVLCIEESACYVNDTRMRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGGEQQLLLDSISVTMRRVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLVSSVSPSIEPVLMNVEHKGSDPLPIESELPHLNFEPDCDAYRREHAGQDVLQKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMPNGLYVAREMTCVAKTGTRTERLEHKPSSRDTFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNKTVAVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSIGLFQLKYKDDEGDWVILASDADLQECLEILDTTRLRILKLQVQDVVCPIGSSSERCGFGSTVIDLFI >ONIVA11G08980.7 pep chromosome:AWHD00000000:11:8252833:8260241:-1 gene:ONIVA11G08980 transcript:ONIVA11G08980.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPISPLTRTVNSSLFHFLFSSSSPPAASGRPASRAEQRREPTSIWAPIEAEAVRIEKMDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTPVGSNSRQDDSDVSITKEGTTTRRGDCAAGLASGEPVAAGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSVEYGANFGKRNKEVLCIEESACYVNDTRMRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGGEQQLLLDSISVTMRRVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLVSSVSPSIEPVLMNVEHKGQDVLQKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMPNGLYVAREMTCVAKTGTRTERLEHKPSSRDTFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNKTVAVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSIGLFQLKYKDDEGDWVILASDADLQECLEILDTTRLRILKLQVQDVVCPIGSSSERCGFGSTVIDLFI >ONIVA11G08980.8 pep chromosome:AWHD00000000:11:8252833:8259877:-1 gene:ONIVA11G08980 transcript:ONIVA11G08980.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTPVGSNSRQDDSDVSITKEGTTTRRGDCAAGLASGEPVAAGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQAFNNPLFLLCAAQRYAQLQCNTAKYLFKELSTSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSVEYGANFGKRNKEVLCIEESACYVNDTRMRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGGEQQLLLDSISVTMRRVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLVSSVSPSIEPVLMNVEHKGQDVLQKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMPNGLYVAREMTCVAKTGTRTERLEHKPSSRDTFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNKTVAVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSIGLFQLKYKDDEGDWVILASDADLQECLEILDTTRLRILKLQVQDVVCPIGSSSERCGFGSTVIDLFI >ONIVA11G08980.9 pep chromosome:AWHD00000000:11:8252833:8259877:-1 gene:ONIVA11G08980 transcript:ONIVA11G08980.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTPVGSNSRQDDSDVSITKEGTTTRRGDCAAGLASGEPVAAGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYARRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQAFNNPLFLLCAAQRYAQLQCNTAKYLFKELSTSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSVEYGANFGKRNKEVLCIEESACYVNDTRMRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGGEQQLLLDSISVTMRRVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMPNGLYVAREMTCVAKTGTRTERLEHKPSSRDTFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNKTVAVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSIGLFQLKYKDDEGDWVILASDADLQECLEILDTTRLRILKLQVQDVVCPIGSSSERCGFGSTVIDLFI >ONIVA11G08970.1 pep chromosome:AWHD00000000:11:8248100:8252791:1 gene:ONIVA11G08970 transcript:ONIVA11G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07550) TAIR;Acc:AT3G07550] MSQSDVVYSCGSCGYPLNLSSSNRSTSGVGSSYQKSVKKGLISFITVDLSRFTQVDEISCFPLTWRSYRPKTKLLCRKCGASIGYGYGEPAVLCSFDPASSSSSTSQKYLIKIQALQPSDSTQ >ONIVA11G08970.2 pep chromosome:AWHD00000000:11:8248100:8252791:1 gene:ONIVA11G08970 transcript:ONIVA11G08970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07550) TAIR;Acc:AT3G07550] MSQSDVVYSCGSCGYPLNLSSSNRSTSGVGSSYQKSVKKGLISFITVDLSRFTQVDEISCFPLTWRSYRPKTKLLCRKCGASIGYGYGEPAVLCSFDPASSSSSTSQKYLIKIQALQPSDSTQ >ONIVA11G08970.3 pep chromosome:AWHD00000000:11:8248165:8252791:1 gene:ONIVA11G08970 transcript:ONIVA11G08970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07550) TAIR;Acc:AT3G07550] MDDNVENYINFLSDDCLICIFNKLESESDRNAFGLTCKNWFKVRNIARKSIIFHCSFNPKVYKEHANCLSKLLARSPYLNLVSLAGLTELPDAALNQLRISGASLQSLSFYCCSGITDDGLEVVSIGCPNLRFIRCWIQRLSSPTGLDGLDRVGYARSLRFLNLRMCRYLTDDSVTAIASGCPLIEEWSLAVCHGVRLPGWSAIGLLCNKLRILHVNRCRNICDQGLQALGDGCVCLQVLHIHGCGKITNNGLASFSIARPNVKQRADEVMCIGPSIEDLFRLQ >ONIVA11G08970.4 pep chromosome:AWHD00000000:11:8251120:8252791:1 gene:ONIVA11G08970 transcript:ONIVA11G08970.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07550) TAIR;Acc:AT3G07550] MDDNVENYINFLSDDCLICIFNKLEKLPDAALNQLRISGASLQSLSFYCCSGITDDGLEVVSIGCPNLRFIRCWIQRLSSPTGLDGLDRVGYARSLRFLNLRMCRYLTDDSVTAIASGCPLIEEWSLAVCHGVRLPGWSAIGLLCNKLRILHVNRCRNICDQGLQALGDGCVCLQVLHIHGCGKITNNGLASFSIARPNVKQRADEVMCIGPSIEDLFRLQ >ONIVA11G08970.5 pep chromosome:AWHD00000000:11:8248165:8252791:1 gene:ONIVA11G08970 transcript:ONIVA11G08970.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07550) TAIR;Acc:AT3G07550] MLSPDGLLDVVSGGGLEYLNLYNLKSPTGLDGLDRVGYARSLRFLNLRMCRYLTDDSVTAIASGCPLIEEWSLAVCHGVRLPGWSAIGLLCNKLRILHVNRCRNICDQGLQALGDGCVCLQVLHIHGCGKITNNGLASFSIARPNVKQRADEVMCIGPSIEDLFRLQ >ONIVA11G08970.6 pep chromosome:AWHD00000000:11:8251120:8252265:1 gene:ONIVA11G08970 transcript:ONIVA11G08970.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07550) TAIR;Acc:AT3G07550] MDDNVENYINFLSDDCLICIFNKLESESDRNAFGLTCKNWFKVRNIARKSIIFHCSFNPKVYKEHANCLSKLLARSPYLNLVSLAGLTELPDAALNQLRISGASLQSLSFYCCSDQGIAAIFRNCPNISTIIIAYCRGLSGVGFRGCPGTLSHLEAESCMLSPDGLLDVVSGGGLEYLNLYNLKSPTGLDGLDRVGYARSLRFLNLRMCRYLTDDSVTAIASGCPLIEEWSLAVCHGVRLPGWSAIGLLCNKLRILHVNRCRNICDQGLQALGDGCVCLQVLHIHGCGKITNNGLASFSIARPNVKQRADEVMCIGPSIEDLFRLQ >ONIVA11G08970.7 pep chromosome:AWHD00000000:11:8248165:8252791:1 gene:ONIVA11G08970 transcript:ONIVA11G08970.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07550) TAIR;Acc:AT3G07550] MDDNVENYINFLSDDCLICIFNKLESESDRNAFGLTCKNWFKVRNIARKSIIFHCSFNPKVYKEHANCLSKLLARSPYLNLVSLAGLTELPDAALNQLRISGASLQSLSFYCCSGITDDGLEVVSIGCPNLVSLELYRCFNITDHGLENLCKGCHALKSLNLGYCVAISDQGIAAIFRNCPNISTIIIAYCRGLSGVGFRGCPGTLSHLEAESCMLSPDGLLDVVSGGGLEYLNLYNLKSPTGLDGLDRVGYARSLRFLNLRMCRYLTDDSVTAIASGCPLIEEWSLAVCHGVRLPGWSAIGLLCNKLRILHVNRCRNICDQGLQALGDGCVCLQVLHIHGCGKITNNGLASFSIARPNVKQRADEVMCIGPSIEDLFRLQ >ONIVA11G08960.1 pep chromosome:AWHD00000000:11:8231755:8233880:-1 gene:ONIVA11G08960 transcript:ONIVA11G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J0F1] MEKLSTAASLFGVVVAATALAMAVVGGEAAVVEQTFMVHEMNVTHLCNTTKIYVVNGRFPGPTVDVTEGDTVVVHVINRLPHGLTIHWHGVRQMRSCWADGAGYVTECPIHPGGEKTYRFNVTGQVGTLWWHAHVTCLRATINGAFIIRPRDGKYPFPTPAKDVPIIIGEWWELDLIELDRRMLDGNFDDNPLSATINGKLGDLSNCSGTVEESFVLDVKRGESYLLRVINTALFSEYYFKVAGHTFTVVGADGNYLTPYKTDMVTVAPGEAIDVLMFADAPPAYYHMVALANQPPPPDLQIPQLTSRGLVRYAGAAMDSNNLPMPMPVMPDQHNTMPSYYFRRNLTGLALPEQQQRHRVPAHVDERLLITLGLGSICRGGNTTTCKRGRSPETVVVATMNNVSFHHTNATALLEHYYDGTPEGVYTEDFPVRPPRPFNYTDRELIPAGPLEAALEPTAKAMRLRRFRYNASVEIVFQSTTLLQSDSNPMHLHGYDVFVLAQGLGNFDPKRDVEKFNYHNPQLRNTVQVPRGGWAAVRFLTDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFKRCGTNGLSQP >ONIVA11G08950.1 pep chromosome:AWHD00000000:11:8207174:8207386:-1 gene:ONIVA11G08950 transcript:ONIVA11G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALMLLVILAAATAVAPCSIPFPDCGSMCNTPCFKFCFTRCFLFLNLGVELCHKQCTTFPIWCGGFLN >ONIVA11G08940.1 pep chromosome:AWHD00000000:11:8205465:8205821:1 gene:ONIVA11G08940 transcript:ONIVA11G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAHEKTKISMLPFESHCRRRCPHISVVIVAIASLNRGNHRGPRVVGDHIKQLLTLKWLDLVASDIGEERSGTPEMVATNPDPKRVKTMPIMATKARGGEWTNRTAVRGWMCRRSQS >ONIVA11G08930.1 pep chromosome:AWHD00000000:11:8192669:8192923:-1 gene:ONIVA11G08930 transcript:ONIVA11G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGLLASHRPHLVRAAGGAAKDSGDSDRQICAASAGSGGVAASGGDGDGDGRGAAGSTATGMATAPDPAALKAHPASRLWI >ONIVA11G08920.1 pep chromosome:AWHD00000000:11:8192264:8192616:-1 gene:ONIVA11G08920 transcript:ONIVA11G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRRQQGKLVAGAPIGGGGNIRQQELLEVVLSTTVAADGGRGISSVHGGSRPDLVVTGGGRLAMLAAAVVARLVVEGDAAMAAVTMEAVAMLATGKESGAR >ONIVA11G08910.1 pep chromosome:AWHD00000000:11:8178116:8188599:-1 gene:ONIVA11G08910 transcript:ONIVA11G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPLPSGKNFRSQLAAAARSISWTYAIFWSISTSRPGVLTWKDGFYNGEIKTRKITSSMNLTADELVLQRSEQLRELYDSLISGECGRRARRPVAALSPEDLGDTELYYLVCMTYAFCPGQGLPGKSFASNEYVWLSNAQSADRKLFHRALIAKVSEDPALVDRITASLWDTPPRAAFSSEAGVADIVVFEDLDHGNAAVEATTTMVPGEPEPHAVAGGEVAECESNAHNDLEQITMDDIGELYSLCEELDPTIAASNGNNKKKMLQEHRQEGARAKRKAPAPEDTDGERRHCVSNVNVTIMDNKELLLELQCQWKELLMTRVFDAIKGVSLDVLSVQASTSDGLLGLKIQAKVVVSAAKSSQQICSIVYLSIYQSLYLRLFGVLILAILLLHACSLPHLLPSNLG >ONIVA11G08900.1 pep chromosome:AWHD00000000:11:8170378:8170596:1 gene:ONIVA11G08900 transcript:ONIVA11G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGSAAMQTDSLRVLFTPSLSADPNPEPPVVEALDPKPPTPHHDANAVAVAGADVAASHLASTRGSGGGV >ONIVA11G08890.1 pep chromosome:AWHD00000000:11:8165432:8168943:-1 gene:ONIVA11G08890 transcript:ONIVA11G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVGVTKKAAGLPPAREKERHPFPWARKCADFDSCLLSSVLGKLPALVERQCNNSFKGVNEEILTMKPELQSMNALLLKLADMDDLDIQVKEWRNQIRELSYDIEDCIDDFIHQMDGGSSRVHKGFFQKSIHKLRTLGARNEIADQILKLKARVDDASERQKRYNFNGTISSSIDVVPLDPRLPALFAEADALVGIDEPAEELINWLTKGGEKLESRLSVVSVVGLGGLGKTTLARQVYNKIGGQFDCQAFVSISQKPDMRKIFQKMLNDITRIEHASLAWDEEQLMGRLRALDEEQLINKLRETLTGRRILITTRIISVAKSCCYPDLNNVYELKPLSNSDANKLFMKRIFGSEDQCPSQLKLVSNGILRKCGGLPLAIISIASLLANNPCTKELWERYRNSIGSQFEKDPSVNDMQRILSLSYNDLLHYLKTCLLYLSIYLEDFVIRRTQLVLRWIAEGFITANGRQNLEEIAEYYFNELINRSMIIPVSIQYDGRVDACRVHDVIFDLIISKSAEENFITVFGYQNHAFGPQDKIRRLVHYHGQEEIMVMSDMNVLNVRSLTTYGSTENVLPISDFQALRTISIECNDQLENHHLNGIQKLFRLKYLRLNRVSISKLPEQIGELQQLETIDLTQTMIKELPKSILKLKRLLFLLADEVSLPAGVGNMKALQKLYHMKVDNSISSNTLHELQRLTELRYLGIIWCVNDMYAGGKTHIDNFVSSISTLCKLKLQYLHVQCCTENGSSLDFLLNSWFTAPNPLRYFGMSSNYYFPRIPEWMAMLSSVTFLNINISHVGEEAFQILGKLPSLLALRIWTKGVAPNEKLIIRSRGFLYLKQFVFYSCNIEMNPLVFEAGA >ONIVA11G08880.1 pep chromosome:AWHD00000000:11:8163761:8168832:1 gene:ONIVA11G08880 transcript:ONIVA11G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKNVCVDFNIDMAAKIIHVSQLEEKSIHALQLGLHGEDLLIHTLEAVVALPLYQGRELSENRAE >ONIVA11G08870.1 pep chromosome:AWHD00000000:11:8158792:8161021:1 gene:ONIVA11G08870 transcript:ONIVA11G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDRDFRMMKGDDKFSYAKNSRIQRRAILATRPMVEKAVREMCIDLHPQSMVIVDLGCSFGGNTLLFISEVITTICENRNSALEESTMEVQFFLNDLPGNDFNQIFQSLEQFEQLTKQHCACRGLQPPPYYVAALAGSFYTRLFPSNTVHFFHSSMSVMWLSQVPENLDGSMNEGNVHIGATTRPMVAKLYQNQFEKDFMQFLRMRCREIVHGGRMVLTVVGRKSKDVFDAGRTTTIFELLSQGLRTLVAEGRVEKEKLDSFNIPIYCPSVDELKQLVWQNNLLDISDIQLFEMDGNPMDDLEPIEGTAATQATGQSMSATLRAAIESLIASHFGDSILDELFTVFAHNFTRYIESEVEKSTITVITLYLQAKH >ONIVA11G08860.1 pep chromosome:AWHD00000000:11:8150904:8151611:-1 gene:ONIVA11G08860 transcript:ONIVA11G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSCCSVPRAGAIVAARGRTAAITPASRLSAGCREVKPLPSSSSFKHCYHHREVPQLPGIGATLFCPSTASCAIFYQAVHQRRPFSVNHPLLLQLLLCALLLHVRSPSPARRGAAAVVEPEPPSRHPLRKPKPLMPSSPLLELCCFIFFSAMAGNPLHSIHVLFCFLHSRSKFKSLIIS >ONIVA11G08850.1 pep chromosome:AWHD00000000:11:8150506:8151749:1 gene:ONIVA11G08850 transcript:ONIVA11G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNVIEVKVLTNHVRVVAARCSCDNNGRNSRDVVAAADLIHCIARPPKYYGSAKNRTVIEVMEEETTMTCFVICKWNHDHVHAQDDKLGRKKMMEVDVGQVFTAKEKLQQQRVVDREWSALVDSLIKDSTGGGGWAKQRCTNPRQLRDLSMMVAVFERRRRWQRLDLPAASREAACRRDGSRPPSGCDDGTGSRYRAAGQWHTSEVGELQCCSTVLRKQQHGMAGAVVWWLNKEERKRGAAAIREIV >ONIVA11G08840.1 pep chromosome:AWHD00000000:11:8131200:8133347:1 gene:ONIVA11G08840 transcript:ONIVA11G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDRDFHMMKGDDEFSYAENSRIQRRAILTTRPMVEKAIREMCRDLHPQSMTIVDLGCSFGANTLLFVSDVITTICENAMAGSFYTRLFPSNSVHFFHSSMSVMWLSQVPENLDGSMNKGNVYIGATTPPMVTKLYQNQFEKDFLQFLRMRCKEIVPRGRMVLTLVGRRSKYVFDAGRTTIGFELLSQGLRTLVAEGRVEKEKLDSFNIPIYCPSVDELKQLVCHNDLIDISDIQLFEMDGNCMDDSEPVEGPAAAQAIGQSTSVTLRAATEPYRKPFRGFYTR >ONIVA11G08830.1 pep chromosome:AWHD00000000:11:8087442:8100876:1 gene:ONIVA11G08830 transcript:ONIVA11G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGDSEFSYAKNSRIQKRVVLAAKPIVEKAVREVCIDLHPQSMVIADLGCSFGANTLFFISEVITTICEDYNNTIKESSMEVQFFLNDLPSNDFNHIFQSLEQFEQLITQDCACKGLQPPPHFVAGLPGSFYTRLFPCNSVHLFHSSMSVTWLSQVPEQLDGSMNEGNIHIGATTPPSVAKLYQNQFEKDFSRFLQMRCMEIVPGGRMVLTVAGRKSKDVFNAGGTTTIFDLLSQGLRILVAEGRVAKEKLDSFNIPVYCPSADELTQLVQQCELLDISDIQLFEMDENRMHDSEQAEGTTAAHTAGQSMSATLRAATESLVASHFGEDILEEIFMVFARNFTSYIESEVEKSGITIITLCFVVAGENQVRRCCCCCLPLASRECVLLLPLLGQEHEDAALAAAAQPSQTPPPPLTTTASRGRDTRGRGRASAPCATFATVAADEIACRKPMGPPSVELDGCILGLDLLQCLERLLGIALLPDFSDDVHYQDEVDDEGFHNGGCPDLVALCAIIECEHERHNGRGKEDAHERVVELLKHQLPHRRCHGGGGAPATATEAAHEVLQVHSRRWSSAAAVALGVDYDGDNGDMREEDPKHLAAMKIERDFHMMKGDDEFSYAENSRMQKRAVLAAKPIVEKAVREVCIDLHPQLMVIADLGCSFGANTLLFVSEAITTICEDHNNTIKESPMEIQFFLNDLPGNDFNHIFQSLEQFEQSTTHDCACKGLQPPAHFVAGLPGSFYSRLFPSNSVHLFHSSMSVMWLSQVPEHLDGSMNEGNIHIGATTPPSVAKLYQNQFEKDFSQFLQMRCMEIVPGGRMVLTVAGRKNKDMFHAGGTTTLFELLSQGLRTLVAEGRVAKEKLDSFNIPFYCPSADELKQLVQQCELLDISDIQLLEIDGNAMDDSEQAEGISATHTAGESMSASLRAAMESLIASHFGEGILEDLFTVFARNFTSYIESDVEKSGVTVITLYLQAKH >ONIVA11G08820.1 pep chromosome:AWHD00000000:11:8073978:8077456:1 gene:ONIVA11G08820 transcript:ONIVA11G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDFHMTNDGDDEFSYAKNSMMQRKAILAAKPTVKEAISKVCTDLHPQSMVIVDLGCSFGANTLLFVSDAITTIGENPNNTIGERPKEIQFFLNDLPGNDFNNIFQSLEQGLQPPPHYVVGLPGSFYTRLFPCNSVHLFHSSMSLMWLSQITNHIGLPENLDGIMNEANIHIGLTTPPLVTKLYQNQFKKDFSRFLQMRCKEIVPGGRMVLTMLGRNSTDVFSAGGTTMAFELLSQGLQTLVAEDCVEKEKLDSFNLPLYCPSVDELKELVWQNELLDITDIRLFEINGNPNGGSDQSAEDAAAAPVIIHGAAAAEAAGKTISTSLRAVKEPLIASHFGESILDKLFAVFARYFTNCIESEVEKSPLAE >ONIVA11G08810.1 pep chromosome:AWHD00000000:11:8070139:8071248:-1 gene:ONIVA11G08810 transcript:ONIVA11G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGPARGGGTAQIHVARADLAVGQLAAGLSGAAAVSGGSGNGVRGVGGGGGNRQIHVWIWQDSGRQRHLVAGMATAVRTARGCSQRRCLAAGVARDGIDEANLASPAVDPVPRGVLDPWRSRQWWRGVHATAARATTRLDSGDNRWLANGSIGCRLLWQRR >ONIVA11G08800.1 pep chromosome:AWHD00000000:11:8069194:8069836:-1 gene:ONIVA11G08800 transcript:ONIVA11G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRTSARRASAGRDEARSVVKEATTMQGGAAGGCGAVFGARRLASGGRQCSGLAGDERRVKTQPVLGQTDNDGRKPSLGSFESLTDGGGGFLSLLSLETSFRAFIGRSCLCSFVDLRRSATLSGGRFDASLLLDLCVGVVGVWVVVYFFHFLSYDLLKL >ONIVA11G08790.1 pep chromosome:AWHD00000000:11:8063139:8063999:1 gene:ONIVA11G08790 transcript:ONIVA11G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSDGSSSYSQHSSRSPIPYRVGPFDYQSAVMCDCHVKAARWISWSPDNPSRRYFKCRNAREGGCGFYAWYDGPTTTFIREVLVSEEGEGKTCLAIQEERMKVEEKIIERELEAARKLSCDYAERIAVLKDRNSRLAKERCYLLVVVMGCVFVMFALVLVRRNVG >ONIVA11G08780.1 pep chromosome:AWHD00000000:11:8060194:8062667:-1 gene:ONIVA11G08780 transcript:ONIVA11G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAGSMEERVITERIRRKLEEVNAAAQKHLAGVQDHVNFTMQQAYFKCAYECFDRRRSQEGINNCVENCSVPVLTANNVVETEMAKFQERLNRSLMVCQDKFEAAKLQKMKTHATEELEACVNRSIDDSIRVLPHLVDQIKSTLSMN >ONIVA11G08770.1 pep chromosome:AWHD00000000:11:8056533:8058281:-1 gene:ONIVA11G08770 transcript:ONIVA11G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLAAAPPPPPAAALVHHNTRLLFAAASASAAASLRALLPIHARAVVLGISANPAFATSLITAVAPACLAYARRVFDAAPSRDAYMWNTLLRAHAHSRAHARDALALYARMRAAGVAPDHYTYPIVLPACTAAQAPRLGRAAHGDAVRFALAWDGFVSSALISMYSQEGEVRDAERVFAERDDARTVVSWTAMVAGYAQNCFFGEAVTLFSDMVAAGVLPNEITLISFLPCLRGQEWLPVGEMVHGFVVKLGFDANVPMVNALITMYGRCGSAPVARVLFNGMSSRTLVSWNTMVAMYEQHGDGVQAIKFFRRMLTEKVGFDCVTLVSVLSACARSGALGTGKWVHELARSHGLDTDARIGNVLVDMYAKCGEIAYAKEVFHSHGLRGHSVVSWSAMINAYANHGEAEEALKLFSLMRNEGVRPNSFTFTAVLMACCHSGLVDEGLKHFNSIATDYQMSPTLEHYACMVDMLGRAGRLVEAYGIIRGMPIRPDKCVWGAFLGGCRLHGMVELAEYVAKELFDSGSNDVTFYVLMANMYFEAGMLEDAERIRGVMKEMELKKTAGHSLVCTDRERIAVVR >ONIVA11G08760.1 pep chromosome:AWHD00000000:11:8047345:8052274:-1 gene:ONIVA11G08760 transcript:ONIVA11G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAASRLSVAGAEPARARRHRPTTVAVCGGARPRSRPAAVVAAAGAAAPSPATGGVAPVPPSPRGSIIKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKILKQVVEQLNKLVNVIQVDDLSKEPQVERELMLIKLNVEPDKRPEVMGLVDIFRAKVVDLSDHTLTIEVTGDPGKIVAVQRNLSKFGIKEIARTGKIALRREKMGESAPFWRFSAASYPDLEVAMPSKSHVNTAMKTANQNSEESSQGDVYPVESYENFTTNQILDAHWGVMADGDPTGLCSHSLSILVNDFPGVLNVVTGVFSRRGYNIQSLAVGPAEKEGTSRITTVVPGTDESIAKLVHQLYKLIDVYEVQDLTHLPFAARELMIIKIAVNTTARRAILDIADIFRAKTVDVSDHTVTLQLTGDLDKMVALQRMLEPYGICEVARTGRVALRRESGVDSKYLRGFSLPL >ONIVA11G08750.1 pep chromosome:AWHD00000000:11:8040405:8041076:1 gene:ONIVA11G08750 transcript:ONIVA11G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTKDVVTASTATSTSAAATGCNLLKIKVRNAIKKSHQGSKYDIESSKFQVAGHTWSFFFHLNASKYSGNGYSTVCLKLHAADSGTAAAAGGIRTNVWFRMVNLQPCVPPTNEVRSYATSFHGTGKAEYRCFTFIRHDVRAGQWFSTDDEFAIHCDVAVVEEAAAAATMSTELGPDDLDGLMMICKCSVDNDDEPCKSGGTRQSHKEAFRKYFLGCFGTK >ONIVA11G08740.1 pep chromosome:AWHD00000000:11:8026701:8027184:-1 gene:ONIVA11G08740 transcript:ONIVA11G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMKGVILLLLVCAVISPHPVIGKNPICTHANMIEIVRKCEKFIRVQRPVPTFLCTPNSPCCEAVRKVRDRDMHCVYFLIGLDKQRVKLYSERMILRLSDLCAPVPSRPPPPPHRQVLV >ONIVA11G08730.1 pep chromosome:AWHD00000000:11:8018772:8022585:1 gene:ONIVA11G08730 transcript:ONIVA11G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCFLVAAAAVALAAAAAAPARAIPFTESDLSSEESLRALYERWRSRYTVSRPAASGGVGNDDGEARRRFNVFVENARYIHEANRRGGRPFRLALNKFADMTTDEFRRTYAGSRARHHRSLRGGRGGEGGSFRYGGDDEDNLPPAVDWRERGAVTGIKDQGQCGSCWAFSAVAAVEGVNKIKTGRLVTLSEQELVDCDTGDNQGCDGGLMDYAFQFIKRNGGITTESNYPYRAEQGRCNKAKASSHDVTIDGYEDVPANDESALQKAVANQPVAVAVEASGQDFQFYSEGVFTGECGTDLDHGVAAVGYGITRDGTKYWIVKNSWGEDWGERGYIRMQRGVSSDSNGLCGIAMEASYPVKSGARNAAASNRVVKDEM >ONIVA11G08720.1 pep chromosome:AWHD00000000:11:8011292:8013313:1 gene:ONIVA11G08720 transcript:ONIVA11G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGVDGYGMEMVRNRKVVLRRYVTAGYPTVDDMEVVSSTVMPRVPLGTMAPAVMVKNIYLSCDPWMRGRMTKHDDATAEIAEDFVLGEAMVNFGVSKVVDSTHPVFAAGDLVWGLCGWEEYSLITQPETLHKINHPDLPLSYYTGVLGVTGLTAYAAFFEVGKPKKGETVFVSAASGAVGQIVGQLAKIAGCYVVGSAGSDEKVTLLKTKFGFHDAFNYKKEGNDLTGALRRCFPDGIDIYFDNVGGATLDAALVNMQRGGRVVVCGMISQYNLQEPEGVHNVIQILSKTIRVEGFAVFNHFGLYPMFEDEMARYLKEGKVTVLQDVVKGIEKASEALIGMFSGRNVGKLLVAVADE >ONIVA11G08710.1 pep chromosome:AWHD00000000:11:8006551:8007524:-1 gene:ONIVA11G08710 transcript:ONIVA11G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTKDVVTASTATSTSAAATGCNLLKIKIRNAIKKSHQSSKYDIESSKFQVAGHTWSFFFHLNASKYSGNGYSTVCLKLHAADSGTAAAAGGIRTNVRFRMVNLQPYVPPTNEVRCYATSFHGTGKAEYRFTFIRHDILAGQWFSTDDEFAIHCDVAVVEEAAAAATMSTELGPDDLDGLMMICKCSVDNDDEPCKSEXNLQPYVPPTNEVRCYATSFHGTGKAEYRCFTFIRHDVLARQWFSTDDEFTIHCDVAVVEEAAAAATMSTELGPDDLDGLMMICKCSVDNDDEPCKSSTRQSLKEAFRKHFLGCFGPK >ONIVA11G08700.1 pep chromosome:AWHD00000000:11:7982457:7987937:1 gene:ONIVA11G08700 transcript:ONIVA11G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGGGEQIGAEGGGGDLATKGIPAAGSVAVRSSSAWKVSAAGIGGGEEQLGGEGVGSDLEMKGLPVAGAVAPIWARTVAENGQKMRHGEAARAKILD >ONIVA11G08690.1 pep chromosome:AWHD00000000:11:7946856:7947011:1 gene:ONIVA11G08690 transcript:ONIVA11G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAVAVARGALAVSARARRLLERVGVDLDDGVEKRVEAGDLAQGTSDQG >ONIVA11G08680.1 pep chromosome:AWHD00000000:11:7946751:7947082:-1 gene:ONIVA11G08680 transcript:ONIVA11G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAAGDGTIPCSPGDVAALVARPLGKITRLNALLHAVIEVNSDALQQPARARRHRERASSHRYCGPLHGVPILKDNIVTRDRLKMTARSFALLGSVVCRDAA >ONIVA11G08670.1 pep chromosome:AWHD00000000:11:7945559:7946512:-1 gene:ONIVA11G08670 transcript:ONIVA11G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSVLNGWSLAALPARIPYVFSFSTSSNRLTVMDSLAEFVLANQRPLIIDRAANYDMNNTHMYMNVHVNKYIRINIINN >ONIVA11G08660.1 pep chromosome:AWHD00000000:11:7931712:7943889:1 gene:ONIVA11G08660 transcript:ONIVA11G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEFLFGGGKRYEMIGWVDIGKILSAIAPLYFALVLGYCSSKRWWRIFTAEDSEAINRMVAWFALPFFTFEFTLHIDPYNVRYSLIAADSISKLIIVIAIGIGVGLRFRKEGLCTAVIDWCISGFSLASLTNSLIVGVPMARAMYGNWAGQVVVQLSIFQAIVWLTSLVVVLEVRKAFVSDAHDESNSHEEGSFIDDDTVVGSSGTSEDMQSLEEGVSDATNQDLRGQEAVTVAGVNGARLPLFKSVARNLACNPNLHASVIGISWACISNRSHLTLPPALEGSVQIMSRSGLGLAMFSMGLFMGQQERIMQCGPRFALLGLFLKFIIGPAAMAIGSAAAAIPQSISSFIFAKEYGLHADVLSTAKLVVHVYQSGWVDIGKLLSAITPLYFALALGYCSSRRWWQIFTAEDSKAINRMVVWFAFPFFTFEFTLHLDPYNVRYSLIAADSIAKLIIVATISIGVMLKFREEGLCATIADWCITGFSLASLTNSLVVGVPMARAMYGNWAGQIVVQLSIFQAIVWLTSLVVVLEVRKAFVSDAHDESNSHEEGSFIDDDTVVGSSGTSEDMQSLEEGGGGGSVSGNGEWCQGTAVQVGGTVIGISWACISNRSHLTLPPALEGSVLIMSRLGLGLAMFNIGLFMGQQERIMECGPRLALLGLFLKFIIGPTAMAIGSAAVGIRGDVLRAAIPQSISSFIFAKEYGLHSDVLSTAYGIRCIWNDIVHPFYSTGHYYFVRTYIFKANANTVLSWLGALSADFEVLGSTLRHDTLH >ONIVA11G08660.2 pep chromosome:AWHD00000000:11:7931712:7943889:1 gene:ONIVA11G08660 transcript:ONIVA11G08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEFLFGGGKRYEMIGWVDIGKILSAIAPLYFALVLGYCSSKRWWRIFTAEDSEAINRMVAWFALPFFTFEFTLHIDPYNVRYSLIAADSISKLIIVIAIGIGVGLRFRKEGLCTAVIDWCISGFSLASLTNSLIVGVPMARAMYGNWAGQVVVQLSIFQAIVWLTSLVVVLEVRKAFVSDAHDESNSHEEGSFIDDDTVVGSSGTSEDMQSLEEGVSDATNQDLRGQEAVTVAGVNGARLPLFKSVARNLACNPNLHASVIGISWACISNRSHLTLPPALEGSVQIMSRSGLGLAMFSMGLFMGQQERIMQCGPRFALLGLFLKFIIGPAAMAIGSAAAAIPQSISSFIFAKEYGLHADVLSTAKLVVHVYQSGWVDIGKLLSAITPLYFALALGYCSSRRWWQIFTAEDSKAINRMVVWFAFPFFTFEFTLHLDPYNVRYSLIAADSIAKLIIVATISIGVMLKFREEGLCATIADWCITGFSLASLTNSLVVGVPMARAMYGNWAGQIVVQLSIFQAIVWLTSLVVVLEVRKAFVSDAHDESNSHEEGSFIDDDTVVGSSGTSEDMQSLEEGVSDATNKDLRGEEGVSDATNQDLRGEEAVSVAMVNGARVPLFKSVARRTSLCHQLWRGLFMGQQERIMECGPRLALLGLFLKFIIGPTAMAIGSAAVGIRGDVLRAAIPQSISSFIFAKEYGLHSDVLSTAYGIRCIWNDIVHPFYSTGHYYFVRTYIFKANANTVLSWLGALSADFEVLGSTLRHDTLH >ONIVA11G08650.1 pep chromosome:AWHD00000000:11:7842000:7842314:1 gene:ONIVA11G08650 transcript:ONIVA11G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHGQRRSGRATSSSTDTGIRWHQAVTGVSTIIAPCYVLTKLGSAYRPALRNGYRRRVLTVASTLVPAACGSAGGMPCVPAARGGGRNLLGVATGGGHQLRC >ONIVA11G08640.1 pep chromosome:AWHD00000000:11:7830092:7830812:-1 gene:ONIVA11G08640 transcript:ONIVA11G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGDGWMGVGLIHRPLDHGGGNGKGEAVQFDLEGVIACGRSVRCSVGNGDACGRRTALGVFLRNLTHSLLDFLS >ONIVA11G08630.1 pep chromosome:AWHD00000000:11:7818465:7822960:-1 gene:ONIVA11G08630 transcript:ONIVA11G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARTNGTLPRTNGSTLPQEPQTNMSHDIGLSEESGRETEEYDSDTSLSEKAEERIDRRLLLAARSGDCTAMRDMAASDPDVMLRTTNHGSNCLHISSIHGHLEFCNDVVRLKQPLLAAVNSYGETPLLAAVAAGHAALASELLRHCRELGFRDAVLKQDSVGCNALHHAIRGGHDDLALELIAAEPALSRAVNKNNESPMFIAAMRNSADIFDRLLAIPYSSHSGCAGDHALHAAARNGNSDIAKRVMETRPWLAKLPNRYGSTPMHHALLCDGVGVLRVLLEHDSSLGYVVAGTEDVPLLVSAAFQGRIGIAREILSYCPDAPFRSKNGWTCLSAAVHADRLEFVEFVLGTPELQKLVSMRDNQGRTALHYAVMKCNPKMVAALLSHGGADVTMLDNSSSPPSWKLWGLGDHTKTLNWNEVAMLMMEADPRNATSLHYLAMDAKIKVTNDSRTKAMFLTQTNTRSTSLVAILIAAITFVAAFTLPGGYNTDVGSRHPIMAKKFSLQAFLISDTLAMCSSFVVAFICIIAKWEDLRFLLYYRSIIMKLMWFSYMATIIAFATGLYTVLPSHLQWLAIAICFVPALLPILTKLLGDWPILKLKFRLGKIYKSGFIDMV >ONIVA11G08620.1 pep chromosome:AWHD00000000:11:7794631:7796570:-1 gene:ONIVA11G08620 transcript:ONIVA11G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELLAFPGVAVPIATASLPGAQMERRLLEAAMVGSATSMKEMAAQDPSLLLGTTPQGNTCLQISSIHGHEGFCKDVLTLNNSLLTVANMDGETPLLTAVTNGHMSLASILLECCCTLGFSEAILQQDRNGCNALHHAIHCGHKDLALELILKEPALSKAVNKYSESPMFIAVMRDFTDVSEKLLEIPGSSHVGTYGHNALHATVRNGNAVMARKILEGRPWLGKERTRERKTPILMTVIWDKIDVLRVLLEHDVLLGYECYDDGGNLSPLLVAAAYRGHVDVAQELLNHCPDAPYCDRNGWTCLHEAVKEGQTEFVEFILRTPQLRKLINMRNNKDGQTALHQAVRMCNPKIVASLLSHKDTDFTLNDHKTGQSVIWQLCLGSERAKTLNWVCCN >ONIVA11G08610.1 pep chromosome:AWHD00000000:11:7780970:7783926:-1 gene:ONIVA11G08610 transcript:ONIVA11G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACAASTATIDWELLEAAISGDTRSMKMKYMDSHDPTILLGKNPQGNTCLHISSMCGHLEFCKDVLSLPQDPTVKKKLLTTVNVMNETPLLTAITSGHVTLAAFLLKYCHEQGFSEVILKQDKHKCNALHHAIRNGHKDLALELIATQPALSKDVNKYGESPMYIALMMRDSKFTDIFEKLLGIDGSSHSGTYGYNALHAAIRNGNPDPWGHMLCIVLEEMPYFLVFIYDTSRYLNNRIIVERPNLVTEENKDGNTPIQLAVRWGKIDMLRVLLKHDRSQGYVINRKNGYPLLLSAAHRGHVAVAREIIKYCPDAPYCKKDGWTCLHKAVKSGNMEFVEFILGEPRLQKLVNMRSSKGKTALHYAVQKCDPKIVAALLDKKIDLTILGSDGNAAAWELRDALDSAKTLNWNEVSMLMIKADPPNAKSVYNLHEEAKEKLINASRKDARSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSSDAGSQGLPIMARNIAFKAFLISDTLAMCASLAVAFICIIARWEDLDFLLYYRSFTKKLMWFAYMATTTAFATGLYTVLAPRLLWLAVGICSVAVLVPILTKVLGEWPVLKLRIRLGQAFKSEFLDMV >ONIVA11G08600.1 pep chromosome:AWHD00000000:11:7776437:7776631:1 gene:ONIVA11G08600 transcript:ONIVA11G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRSQLRCGLRLCAPLWPASLHSDREACVGVMLFGTRPRAESWFIPHFPGAGISAPRRHYGWG >ONIVA11G08590.1 pep chromosome:AWHD00000000:11:7769868:7774472:-1 gene:ONIVA11G08590 transcript:ONIVA11G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEEPEEQVDLEGDDDNDVMDDDEDGYRRRRRREDSDEPDDDEEDPEVEGDGHGDTGTAAGEGGADEMDKAAAAGGGGGDGPEDDDEKRKWDELLALPPQGSEVFIGGLPRDTTEEDLRELCDSFGEIYEVRLMKDKETKENKGFAFGRTLRCSLSQAKHRLFVGNVKTIYVKNLPENASKEKIKEIFEKHGEVTKVVLPPAKDGHKRDFGFVHFAERSSALKAVKGSEKYEFDGQVLEVSMAKPLGDKKPDHSFKPAGAPNFPLPPYGAYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGNSLVEFPLHLQCDAVTGGMVAAEVVKGVMAGDIALTSFSFFPFPCAASHHAV >ONIVA11G08590.2 pep chromosome:AWHD00000000:11:7772386:7774472:-1 gene:ONIVA11G08590 transcript:ONIVA11G08590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEEPEEQVDLEGDDDNDVMDDDEDGYRRRRRREDSDEPDDDEEDPEVEGDGHGDTGTAAGEGGADEMDKAAAAGGGGGDGPEDDDEKRKWDELLALPPQGSEVFIGGLPRDTTEEDLRELCDSFGEIYEVRLMKDKETKENKGFAFGRTLRCSLSQAKHRLFVGNVDTIPTPMLYGWNDCVFGVSQPVPILTICSPCPQLLHAPRPTAIFPLAA >ONIVA11G08590.3 pep chromosome:AWHD00000000:11:7769870:7772271:-1 gene:ONIVA11G08590 transcript:ONIVA11G08590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGPCAWQLKEVAGERLHGKWEKACINKIVWTRVQPSIEMVKTIYVKNLPENASKEKIKEIFEKHGEVTKVVLPPAKDGHKRDFGFVHFAERSSALKAVKGSEKYEFDGQVLEVSMAKPLGDKKPDHSFKPAGAPNFPLPPYGAYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGNSLVEFPLHLQCDAVTGGMVAAEVVKGVMAGDIALTSFSFFPFPCAASHHAV >ONIVA11G08580.1 pep chromosome:AWHD00000000:11:7764402:7768388:1 gene:ONIVA11G08580 transcript:ONIVA11G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKCSITIIIQLLFCYMLCQPCYGTLSDIQCLKRLKESVDPNNKLEWTFTNTTEGSICGFNGVECWHPNENKILSLHLGSMGLKGHFPDGLENCSSMTSLDLSSNSLSGPIPADISQQLPFITNLDLSYNSFSGEIPESLANCTYLNIVNLQNNKLTGAIPGQLGILSRLSQFNVANNQLSGPIPSSFGKFASSNFANQDLCGRPLSNDCTATSSSRTGVIIGSAVGGAVIMFIIVGVILFIFLRKMPAKKKEKDLEENKWAKNIKSAKGAKVSMFEKSVAKMKLNDLMKATGDFTKDNIIGSGRSGTMYKATLPDGSFLAIKRLQDTQHSESQFASEMSTLGSVRQRNLLPLLGYCIAKKERLLVYKYMPKGSLYDQLHQQTSEKKALEWPLRLKIAIGSAKGLAWLHHSCNPRILHRNISSKCILLDDDYDPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEEPTQVKNAPENFKGSLVDWITYLSNNSILQDAVDKSLIGKDHDAELLQFMKVACSCVLSAPKERPTMFEVYQLMRAIGEKYHFSAADDELTMQPQNAEAEKLDELIVAN >ONIVA11G08570.1 pep chromosome:AWHD00000000:11:7758510:7762013:-1 gene:ONIVA11G08570 transcript:ONIVA11G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGSVATAIDPEPLDLLILAAAMWHQSQTFRVVGVAGLSSMSANDEYLDSAVALELHDQLEAAPTGGDGIKQEYHCMRPKKVVSMCLSTAKAVQDSCVSESLAVVAAVMKSFSYVTINNWQDIGMPKNGTKLRARTFGANTTLPAFDLTSGLKRGNGTRHP >ONIVA11G08570.2 pep chromosome:AWHD00000000:11:7758487:7762013:-1 gene:ONIVA11G08570 transcript:ONIVA11G08570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGSVATAIDPEPLDLLILAAAMWHQSQTFRVVGVAGLSSMSANDEYLDSAVALELHDQLEAAPTGGDGIKQEYHCMRPKKVVSMCLSTAKAVQDSCVSESLAVVAAVMKSFSYVTINNWQDIGMPKNGTKLRARTFGANTTLPAFARQRHAPPLVEYKQHE >ONIVA11G08560.1 pep chromosome:AWHD00000000:11:7754485:7756222:-1 gene:ONIVA11G08560 transcript:ONIVA11G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVVMVVEVGIVLALALAAASVMAAEYSVVDYGARAGGRADATGAFLAAWAAACGDGGERPVMRVPAGTFLVGRAYFRGPCRSAGGVVLAIDGTVVAPPAVGNASWITFHYAHGLAIRGGTLDGNGHAFWACKAAAGRRCPPGTTVICFKYSKPTLDISQSNNVSVKRVTLVDSKNVHVSIFDCAGVTLQGLRIAAPADSPNTDGIHVALSRDVAVLGATVRTGDDCVSVGPGTSGVAIRNIRCGPGHGISIGSLGGRAGEGEVRNVTVESASLAGTQNGLRIKTWGKPFAGRVSGVRFANVAMRDVQNPIVVDQNYCPGNINCPGQSSGVKISDVEYEGITGTSATAVAVRFDCSRSNPCTGIRLRNINLTYDGGGGGGGKKPARSFCKNAGGSASGVVIPPSCL >ONIVA11G08550.1 pep chromosome:AWHD00000000:11:7746460:7750288:-1 gene:ONIVA11G08550 transcript:ONIVA11G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARAHAAVAAHLLVSAFVAAAAAAATYNVIDYGAVGDDGGVTDSARAFEAAWAAACAGDAAAAAATVVVPAGGVYLVSRARFAGPCRSGAVAVNMTGATVVAPVPYAGVQLWIVFQDVDGVSVAGGTLDGRGRALWACRRARRPDCPPATRSLTIYRSRNVAVRGLTSRDSAGIHITVQASAGVAIVDTVVSAPGRSPNTDGIHIKQSTGVTVRNAVIGTGDDCVSMVEGSSDVLIEAVTCGPGHGISIGSLGDTPEQVAVRNITVKGAALAGTTNGLRIKTWAKANAGAVTGVSFSGVVMRNVSNPIIVDQNYCPGNASCPTEARSPETWVGDRDQRRVVHGRRGDVGDGDGGEVRLQPEPAVRRDRHEGRPAESPRRGTAAERHLLLPPRDSHPPASVAAMAETPDESAAAIEAQGWSSHPFSFPSQPPDIKNWFSSYEYESPEVPELVAGHSSSETQDPLENIQVGVPVDSLLEPTAHDGDGDGDSALRGNQCGQQHEHEVSAIREFIPISKSKVERGTKRKQSLRSLFGDGFLDNIGETSETETQAVLSVQRNEAEPLPDRNAMGLPDDDDTQEGQEGAIECSELPVDCSDIDVGDTKESSQVDQEKEQSKLLIGDGLSSCHADKITPKDGNEQSKLSVDCTRTCKDRTKRRFDGNGIQSSLPSIGCNDIIIPDTEENSPGEETCHGNPAMDDKEQEETVAADGFVAIKRKEKPEQTFNTNKIPKPPTRRAKSTTLQENRDIVEQKAVVQERTSRSPLADMTNVSEVAAAPTTTKIRGKWKCPRKGKPYVGPPLKQLRLGQWLRRLD >ONIVA11G08540.1 pep chromosome:AWHD00000000:11:7737428:7738120:1 gene:ONIVA11G08540 transcript:ONIVA11G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLWDGGSTWQPVPSRLRRFSIDWRCPINKISNWVGSLINLEELVLYVNKIWQEDFELLGHMPALSSLTIYSNTALQGRIIISGFHSTKFFKFYCNPARLTFDAGSLLKLECLDVIMNVFNTKSSNGSFDFGIQYLTNLRNVYIQLDCNGSTGGELEAAKASIKSSVNKLPGQPKLNLSTLNENIKDQYQKCHL >ONIVA11G08540.2 pep chromosome:AWHD00000000:11:7731646:7738394:1 gene:ONIVA11G08540 transcript:ONIVA11G08540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIVSASTGVMRSLLAKLTNLLGGEYKLLKWLRREMEFLESELRSMSIFLERLEDTQKLHPQMKDWRDRVRELAYDIEDCIDDFILQLDSKVGFGQKLLASRRIGHMIRELKARVMEESERQRRYMLDGLASGPSVRVKVDPRLSALYVDEDRLVGIDAPRDEIIGRLLDKRRSASAKQAMTISIVGCGGLGKTTLANQIYCKIKGKFECAAFASVFQNPNTKKVLTNILSQVATTAAVEDDEQAIINKLREYLSDKRYIVIVDDIWDMQIWKFIECALVKNCRGSRIITTTRIHDIAKLCCSSHGDYIYEMKPLGVIDSKILFDKRIFDPEERRPPQLTEVSEEILKKCGGLPLAIISISSLLASKPKSKDQWDRVKVSLSSTLERTPDIETMEWVLSLSYSDLPNHLKTCLLYLSIFPEGYEINRERLVSRWIAEGFIYKKHGQNPYEVGDSYFNELVNRSLIQPANIKPDGQTNACRVDDTVHDFIVSMSVEENFVTLFGGSKLVPRSHGKVRRLSIQNGGIQENIVTSTHLVTSQVRSLTLFAVEMPSLLGFGMLRVLDLEDCYALEDYHLTNLERLVQLRYLSIRTSPISELPKQIGQLQYLETLDLRATGVQELPSTIGRLKSLVRLFVDYHVKLPKEISNMHALEELTSFSALMYSPDFLKELGQLTNMRVLRVICDCDGFKGDAGSCLENLASSLCNLGTSNLHSLFVDINGYGEDNFSLDTPSLQKKTISTSEGLSRKESTPARKPRNIQYYCSSSPARNTQY >ONIVA11G08530.1 pep chromosome:AWHD00000000:11:7677585:7685612:-1 gene:ONIVA11G08530 transcript:ONIVA11G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQEVADPAQAAEEEGPGELPRSGSSSRLNAQAPEFVPRAAAAPPQAAVAAPPPPPQVIRVFAAAPPPPPAAFFAAVPPPPPPPFEYYPAVGGGGGFGAPVELEAEAEQQPPPGPQSGRDGISDDVVHKITKQVEYYFSDINLATTEHLMRFITKDPEGYVPISVVAGFKKIKALIQSNAMLASALRTSSKLVVSNDGTRVKREQPFTESDLEELQARIVVAENLPDDHCYQNLMKLFSTVGSVKTIRTCYPQTPNGTGPVTNRSAKLDMLFANKLHAFVEYDTVEDAEKAIVELNDERNWRNGLRVRLLNTCMTKGGKGKKGGHDINDGHGVEDDVSTSNQSNEKHGEEALQTPDAQGEQLPDESAGDMGRGRGKGRGRGGRGRGRGYHYHNNNQQSYHNHQQHNHQNSNNRNAAHPVGTPPSNHPAKNEQQQQAQPQPQPQPQPPAGANKQPPGPRMPDGTRGFAMGRGKPQTSTTTPSASSGSEP >ONIVA11G08520.1 pep chromosome:AWHD00000000:11:7676137:7676547:1 gene:ONIVA11G08520 transcript:ONIVA11G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSKLACCFRPPSSSSAPAPAAAAAARRRPDKAEKRRWVDEQVGLHLAARVWDGYSYGAGYGKGGAVDVSRYGDIGLEAALGYEFERRWWFAEMTRLLTLVDEDDAAAAPDAGKSGGVVKVHPLAAAAAAAAKP >ONIVA11G08510.1 pep chromosome:AWHD00000000:11:7673961:7674313:1 gene:ONIVA11G08510 transcript:ONIVA11G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCEASIGDDCTIDVATCSKQFLRARLKGTANNFAYRHWKRNQKNGPLYTSKDKVKQAYFIIGFSPSPYLPIRNDERE >ONIVA11G08500.1 pep chromosome:AWHD00000000:11:7661212:7661817:1 gene:ONIVA11G08500 transcript:ONIVA11G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEVSMLMLEADPQNATSIYNLHKDAKDKLNKSSMKDAKSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSSDAGNLGFPIMARKFVFQSFLIADTLAMCSSLVVAFICIIARWEDLQFLLHYRSFTKKLMWFAYMATTVAFATGLYTVLAPRLLWLAIGICLLSVLLPAITKVIGEWPVLKLRFRLGKTYNSDLLDMV >ONIVA11G08490.1 pep chromosome:AWHD00000000:11:7649214:7651812:1 gene:ONIVA11G08490 transcript:ONIVA11G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLFEAAKSGDCRLMKELVAAMDPSILLRTTPQGNTCLHISTINGHEEFCQEVLMLDNSLLTVANSHGETPLLTAVTNGRTALASVLLRRCCEAGLREAILKQDENGCNALHHAIRNGHRDLALELIAAEAGLSQGVNKYRESPMYIAVMRDFTDIFRKLLGIPGSAHVGYHGRNALHAAVRNGNPVIAKELVEKRPGLAREFDDEMNTPMHHAAMWGKTHVLGALLQYDWSLGYVLRNNKDSVPLLNSAAYRGYVSVARELVHHCPDAPYYDANGCTCLHQAAFKGHLEFVEFILESPYLRKLVNMRDKCGNTALHYAVRDCNPRIVAALLSHGDTDVTVLNYTGNEAVWQLGGAADYAKTLNWIQNPVGQSSKRENKIKTSLKADDADVAAGFAAAVVAIGFPAREAAIAAGSAREPDLDAGSRRRRRYHRICRGKQPPPSLPSDLPRDAAAARLGYEKLPPSSALSTPLRKAAATAGSGRGKQSVLSVPPDLAGGTRRTRPLSLLIHSNGVVPSLSLRRAGETGGRGGDGLATPVGRGRGSSGERTVEKEQRRRSGEGTGGVDAPLGGEGRGGEEELAVGGWEWHRR >ONIVA11G08480.1 pep chromosome:AWHD00000000:11:7642625:7643053:1 gene:ONIVA11G08480 transcript:ONIVA11G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEFLPKLASCFRPPSSSSSSSSAAAARRGRRQEAAARRRPDKAEKRRWVDEQVGLHLAARVWDGYGYGAGYGKGGAVDVSRYGDIGLEAALGYEFERRWWFAEMARLLALVDEDDAAAAAAGNSGDNTPAAKGVSGSSSN >ONIVA11G08470.1 pep chromosome:AWHD00000000:11:7635189:7636997:-1 gene:ONIVA11G08470 transcript:ONIVA11G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRMSKPAPASSASKPRRRASPSPSPSPGTAPKTAKNAVAGAGGGGQRRRSPLTDLNSGDASAARGGCFRFLVSSASSGSRSRTPVASPKVKKPRPEIGRRGRVVAAADQESRTRVVEKMMTRGRAETETARKHAPPPRGLPLLGEALTPQRKADAGATPAVSGATPPIHASISPEVLACGSATPACFAAGHHVVPGVADRRKCRPRGILAIAGEGASEELDPDPSRASIHWLSSPSGEVPGTCSTKCTNEASVNWLPSPREERGVDLLGDEIFVPRCSLEDAFWQISPKSTGLLSSPVLNGLLDLGTPASELSETTPSSGFLPLQKTPSTGDSLSPFSLIVKRASQSLSSRGLKSLCSQQGPGSCSYGSAADPTAISGESWSSKCSGLTRTCSRPLTKMDPVVECLEMMALSPRPGDADYCGNGALPAPLPDLSFQFAGAPMSLESIDLTSFKRSPCGIELKGEKSASFQKPVLADPRISWREGLVSRMFDMGDLDCCKWWSDDEDGPVFQGNEEALRDTELQSVIPSCLQECGDQTAAACGFGSVEFSCSGGGGEMCNDSKPSSNPVSVAESMRAEGFELVSSDDSDWTLFYKNGLFES >ONIVA11G08460.1 pep chromosome:AWHD00000000:11:7629126:7633255:-1 gene:ONIVA11G08460 transcript:ONIVA11G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bacterial hemolysin-related [Source:Projected from Arabidopsis thaliana (AT3G25470) TAIR;Acc:AT3G25470] MMMVASRLRFHQLRLIGVRPTCCSPSRDFAAVRTQKLQLAKKKRRLDEVCLERFQQYSRTYIQSWILQGKVLVDGRVVNKAGTQVSDKSIIEIKAEIPKYVCRAGHKLEAAIKEFGIDCDEKVALDSGLSTGGFTDCLLQHGASHVYGVDVGYGQVAEKIRVHERVSVIERTNLRYLTELPQLVDLVTLDLSFISILLVMPAVVKVMKTDSTLITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEQFGFCNKGWIESPIKGAEGNKEFLACFHRIPVQELQPEEAKST >ONIVA11G08450.1 pep chromosome:AWHD00000000:11:7624854:7627662:1 gene:ONIVA11G08450 transcript:ONIVA11G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0J095] MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGDYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDIKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGSEFDDGDEGDEGDEY >ONIVA11G08450.2 pep chromosome:AWHD00000000:11:7624854:7628276:1 gene:ONIVA11G08450 transcript:ONIVA11G08450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0J095] MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGDYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDIKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGSEFDDGDEGDEGDEY >ONIVA11G08440.1 pep chromosome:AWHD00000000:11:7623515:7623835:1 gene:ONIVA11G08440 transcript:ONIVA11G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGHSAKGPVGFGSSGDAKEIMDEEVGVAKAEVDQWWECYLQVRQGSLETTTCFSSTWREEGGRKCRLVTSIVTLATGQLSHTTNARGEL >ONIVA11G08430.1 pep chromosome:AWHD00000000:11:7608797:7609114:-1 gene:ONIVA11G08430 transcript:ONIVA11G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARQRRNPLIPRPNGSKRHLQHQHQPNAAEKKTAATSNYFSIEAFLVLVFLTMSLLILPLVLPPLPPPPSLLLLLPVCLLILLVVLAFMPTDVRSMASSYL >ONIVA11G08420.1 pep chromosome:AWHD00000000:11:7601650:7606257:1 gene:ONIVA11G08420 transcript:ONIVA11G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVRSAAVKLMEEQLDSSEMDMDEPGGAAAAAADANNGAGSKDCPLPPPPPKTAKACAAAKKPRSVSSDFGEELDLEQLGAKQQQQQQERKLSRQDRVELSRSFQHAVSSHDWDGAEALVRRADAQGLNDVLCVAVDAIWFLSDKEELAAVVALIRRIVSEGANDFTRAALRTSFLASCVSACRGRTTSLADAVSFMGQKLHERLQESHGDEVLKAEASAKVHKFTEWALKCIGLHSRVRENKGRGNHGTIIEVQLQLSAFKTFLDLADNDLTGKDFTEAFDAACFPLTLFSNTFDQGWASGISAAAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIARRNSLDIDVDLALGFAAHYGKLDTMGCLVEEGNAVAFLGPLMRAAERGCLQVVEWFVNLGCRDMELCLALTAATSSSQVAVAAYLLPLVPKHVLAPLSVEILKAAGERSTGSLHGVDFLLRSNFLNDPAATYAVADNIAKSADEAVDAKLRSFMLEHWSEAAFSEGFASAQEHFVNFMRIMEKGESPICLRDLPLELVIAMAYLPLYRECVESGGRLLPQKLRGQLVEAAGRLEGRQLDRASQGTELLAILEHHLPCFMIQT >ONIVA11G08410.1 pep chromosome:AWHD00000000:11:7580515:7589596:1 gene:ONIVA11G08410 transcript:ONIVA11G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain [Source:Projected from Arabidopsis thaliana (AT5G27660) TAIR;Acc:AT5G27660] MGPADFTHEMGLDTAHQTRFPFLFFLYFFFSSLLAAAAAMLRAARPRRAGALLLAAAAAASSSGALAYDLRGGDGDGDGDGEAFSTTTTAVRISASSPLRRALSSAASGILPGGSAHLLPSPLPLGEDLNVYSLRAGFSFLNFFTSASNWSAGFPTQNSFASASVPPTNLSNQSSDGNSDDSKCCPGCINRNTIAKAAAAVGPAVVNISSTQETHGWVLEKSIGSGTIIDPDGTILTCAHVVLDFQSTKPILRGKVSVTLQDGREFEGTVLNADRHSDIAVVKIKSKTPLPSANLGSSSKLRPGLERIRTSTLITLKLLFDELDFLFKFGILKDEKGNSGGPLVNLDGEIVGVNVMKVWAADGLSFAVPIDSIVKIVENFKKNGRVVRPWLGLKMLDLNPMIIAQLKERSSSFPDVKNGVLVPMVTPGSPAEHAGFRPGDVVVEFDGKLVESIKEIIDIMGDKVGVPFKVLVKRANNVTVSLTVIPEEADSSR >ONIVA11G08410.2 pep chromosome:AWHD00000000:11:7580515:7588374:1 gene:ONIVA11G08410 transcript:ONIVA11G08410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain [Source:Projected from Arabidopsis thaliana (AT5G27660) TAIR;Acc:AT5G27660] MGPADFTHEMGLDTAHQTRFPFLFFLYFFFSSLLAAAAAMLRAARPRRAGALLLAAAAAASSSGALAYDLRGGDGDGDGDGEAFSTTTTAVRISASSPLRRALSSAASGILPGGSAHLLPSPLPLGEDLNVYSLRAGFSFLNFFTSASNWSAGFPTQNSFASASVPPTNLSNQSSDGNSDDSKCCPGCINRNTIAKAAAAVGPAVVNISSTQETHGWVLEKSIGSGTIIDPDGTILTCAHVVLDFQSTKPILRGKVSVTLQDGREFEGTVLNADRHSDIAVVKIKSKTPLPSANLGSSSKLRPGLERIRTSTLITLKLLFDELDFLFKFGILKDEKGNSGGPLVNLDGEIVGVNVMKVWAADGLSFAVPIDSIVKIVENFKKNGRVVRPWLGLKMLDLNPMIIAQLKERSSSFPDVKNGVLVPMVTPGSPAEHAGFRPGDVVVEFDGKLVESIKEIIDIMGDKVGVPFKVLVKRANNVTVSLTVIPEEADSSR >ONIVA11G08400.1 pep chromosome:AWHD00000000:11:7566201:7567910:-1 gene:ONIVA11G08400 transcript:ONIVA11G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQSDGDSPTHDDSLDEVSSIDPMDLYPLDEISSILGDLADHVIKSCYFGAVVLLVEMLWIKFVHLEELLMS >ONIVA11G08390.1 pep chromosome:AWHD00000000:11:7547173:7548324:-1 gene:ONIVA11G08390 transcript:ONIVA11G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRSRALERDAGGVAVEHVELIPGMPDDVAVDCLARVQHGSHRAMRRVCRGWRSAAATPAFAMARAEAGANEDLVYLLQFANPAAAAAAAEEAKEEGDAPANSPAYGVAVYNVTTGEWRREKAAPPVPMFAQCAAVGTRLAVLGGWDPETFEPVADVHVLDASTGVWRSAPPMRSARSFFACAEAGGRIYVAGGHDKHKNALKTAEAYDAVADAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPAARAWRRLDRVRAPPSAAHVVVRGRVWCIEGAAVMEWLGSRGGWREVGPSPPGLKAGTARAVCVGGGERVVVTGAIEDSDGGSGRHALWVFDVKTKNWTVVRPPPEFAGFAFSVAAVRI >ONIVA11G08380.1 pep chromosome:AWHD00000000:11:7537418:7538934:1 gene:ONIVA11G08380 transcript:ONIVA11G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRNHLLPLLHAATSTASSSPLHLTHRLLSTAARSPFSVEHYLIATCGLTAAQARRASPKLSRLNSSSNPDAVLALLSSSAAGLDSLSLSRADLAAVVAAEPRLLRARPGTIARRIASLRGRANLSAPQIRSFLMSGGAAHLASSDVSPKLAFWVPFLGSFDMLLKILRRCNAILATDVDRVVRPNVALLGECGLGVCDIVQMTQTAAWLLTFNPERLKIVVRRAEELGVPTSSWAFKDAVCTVARNNEGTIAARMEFLRGTLGCSMDKLRSAISRKPGILGFSEKTLRGKIEFLLTKVQLETEYILQRPVMLTLSLDKRLAPRHYVLQALVEKGLIKNDVDYYSCVCYGNEHFVARYIDRHEDALPGLTDAYAAVHAGKSPAQSLTQHLKTDRYRSHGNIYFSQISTSVNGRTTNDLIADNANAS >ONIVA11G08370.1 pep chromosome:AWHD00000000:11:7535098:7535977:1 gene:ONIVA11G08370 transcript:ONIVA11G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHPSRVVAGRKPSLGSFETLTDSGGGFPSLLFLETSDRSRLAAAGLVLAFSPTCVLALSNQDYVGCFYHSLLFSISFQHPIT >ONIVA11G08360.1 pep chromosome:AWHD00000000:11:7534178:7535024:1 gene:ONIVA11G08360 transcript:ONIVA11G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLDLGCGGAGAASAVAAGMEARLLWSTAGCGRAVGRWHRGGSPVRASWRVDVGGGRRWLPDPEEATKVEDAMLAMAFFTAVGWQWWQCRQVALGVEDWGGDGCGYGCCGDRGRGCAGRKHDGLGRLAGGMVDGRIWLARQWLEEGAEASSAQRGLMAAEASTARGSAASSGEGWLGARGTVGGGGGDHGARSCRWVWHGLRRMKADRRGVPVQWSHMSAEVRWWWSIGALVVDSQVVSGR >ONIVA11G08350.1 pep chromosome:AWHD00000000:11:7524774:7533196:1 gene:ONIVA11G08350 transcript:ONIVA11G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHKQSICKLIHLRTLIFMEPVMDDANKLFHEVFCNLKKLRVLLLCFYNSRKLPQSIDEFKHLRYLNILKTSISELPGSLCTLYHLQFLRVHRDVNNLPAKICNLSKLRHLERCSPSLSFGPIAQLPVPQIPYIGKLTKLQHVLEFSVAKQMGYELQQLRDMRELRNILQINNLENVRTKDEALEALLHNKSRLDRLELAWSYIDDLRINDNLHLEVLEGLKPPCEIHDLIVTGYKSPVYPSWLLEDSYFVNLKTLVLSNCTSLECLPSSVQLIKHFRHIALCNILNLKTLPCFPGGLVSLNMMGCPLLRFISREELGQDVQHTDLMKVGNLSSTLARILEAKRGSKISKKVRDTLSFEHSSLKQLMALMDDDISAQLQTIKSAIESEREEVLVEENIIKAWLYCQEKRTKLIYSRPTENLLLLPSSIENLSLSSCNLTDGALAVCLQGFTSLKWLSIERIMNLTSFPSPQVLQRLTMLKRLYIRSCWCLRSLGGLREAASLSEVQIDSCISLELVDENGIAVMPSSIGKLSLFGCILGANFLSTDFPRLRSISITCCRSSSSFAIGHLRSLESLSLNNMPDLCFLEGLSCPHLQDMHLINVPNLTAESFSQHHAWKSLAISSSAMLSLMLSIKDFMLPEKLCFEQYDEPSITFQSSANFTSIKFLEFRDSKVMYLPSSLKNLSSLERIKFVRIPNLSSLPDFPNSIQQIEIQDCEC >ONIVA11G08350.2 pep chromosome:AWHD00000000:11:7529081:7533196:1 gene:ONIVA11G08350 transcript:ONIVA11G08350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTGKRLSASVGSLSKHRYDKVGIAAYPKKLQPGQPVGTSAPSPTHRGLATPSLLCQVRDGVVAATTSSGEDEAAMLENWVAGNGELLISVQASIIMGLQGAVSQTALQPGQPSRDVRPTVESWYFANNKLH >ONIVA11G08350.3 pep chromosome:AWHD00000000:11:7525251:7529058:1 gene:ONIVA11G08350 transcript:ONIVA11G08350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVLAGSGWVVSPIMRKIINNAKTYLGKDMAQELDDLETTVIPQFRFVIKAAERSPRQMELERWLWKLKAAFYDAEDLLDMHEYKLLQRKATGNISMSSTSNSRLALASAASNLLPANRRLLRKLTELKNILVEAKNFHREFLSAGTTAAAITGPHVNSSNSTITTSLPTSKVFGRDADRDHIISFLCNPDDTNTSDERNYSTSAIVGHGGAGKTTLAQYIYNDERVVNHFDVRMWICISRKLDVHRHTAEIMESATNNNRGVHFTKLNNLQCTLRGILQESQRFLLVLDDVWFYGFQDEEEWAKLLAPLVSQQRGSQVLVTSRSGRLPAPLRCKQVFPLQNMKDTEFLALFKYHAFSGAGMREQHRSEELLDIAGRIAQKLGQSPLAAKVVGSQLSRNMTITAWKDALKSDNLGETRGALLWSYQNLDPNIQSLFPKGHVFVINELVHLWVAEGFVVDSSDVNSSDQSRTVEDIARAYFREMTVVTEIPHTVQHLSVYVDRMGQHKQSICKLIHLRTLIFMEPVMDDANKLFHEVFCNLKKLRVLLLCFYNSRKLPQSIDEFKHLRYLNILKTSISELPGSLCTLYHLQFLRVHRDVNNLPAKICNLSKLRHLERCSPSLSFGPIAQLPVPQIPYIGKLTKLQHVLEFSVAKQMGYELQQLRDMRELRNILQINNLENVRTKDEALEALLHNKSRLDRLELAWSYIDDLRINDNLHLEVLEGLKPPCEIHDLIVTGYKSPVYPSWLLEDSYFVNLKTLVLSNCTSLECLPSSVQLIKHFRHIALCNILNLKTLPCFPGGLVSLNMMGCPLLRFISREELGQDVQHTDLMKVGNLSSTLARILEAKRGSKISKKVRDTLSFEHSSLKQLMALMDDDISAQLQTIKSAIESEREEVLVEENIIKAWLYCQEKRTKLIYSRPTENLLLLPSSIENLSLSSCNLTDGALAVCLQGFTSLKWLSIERIMNLTSFPSPQVLQRLTMLKRLYIRSCWCLRSLGGLREAASLSEVQIDSCISLELVDENGIAVMPSSIGKLSLFGCILGANFLSTDFPRLRSISITCCRSSSSFAIGHLRSLESLSLNNMPDLCFLEGLSCPHLQDMHLINVPNLTAESFSQHHAWKSLAISSSAMLSLMLSIKDFMLPEKLCFEQYDEPSITFQSSANFTSIKFLEFRDSKVMYLPSSLKNLSSLERIKFVRIPNLSSLPDFPNSIQQIEIQDCEC >ONIVA11G08350.4 pep chromosome:AWHD00000000:11:7526286:7529058:1 gene:ONIVA11G08350 transcript:ONIVA11G08350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTEFLALFKYHAFSGAGMREQHRSEELLDIAGRIAQKLGQSPLAAKVVGSQLSRNMTITAWKDALKSDNLGETRGALLWSYQNLDPNIQSLFPKGHVFVINELVHLWVAEGFVVDSSDVNSSDQSRTVEDIARAYFREMTVVTEIPHTVQHLSVYVDRMGQHKQSICKLIHLRTLIFMEPVMDDANKLFHEVFCNLKKLRVLLLCFYNSRKLPQSIDEFKHLRYLNILKTSISELPGSLCTLYHLQFLRVHRDVNNLPAKICNLSKLRHLERCSPSLSFGPIAQLPVPQIPYIGKLTKLQHVLEFSVAKQMGYELQQLRDMRELRNILQINNLENVRTKDEALEALLHNKSRLDRLELAWSYIDDLRINDNLHLEVLEGLKPPCEIHDLIVTGYKSPVYPSWLLEDSYFVNLKTLVLSNCTSLECLPSSVQLIKHFRHIALCNILNLKTLPCFPGGLVSLNMMGCPLLRFISREELGQDVQHTDLMKVGNLSSTLARILEAKRGSKISKKVRDTLSFEHSSLKQLMALMDDDISAQLQTIKSAIESEREEVLVEENIIKAWLYCQEKRTKLIYSRPTENLLLLPSSIENLSLSSCNLTDGALAVCLQGFTSLKWLSIERIMNLTSFPSPQVLQRLTMLKRLYIRSCWCLRSLGGLREAASLSEVQIDSCISLELVDENGIAVMPSSIGKLSLFGCILGANFLSTDFPRLRSISITCCRSSSSFAIGHLRSLESLSLNNMPDLCFLEGLSCPHLQDMHLINVPNLTAESFSQHHAWKSLAISSSAMLSLMLSIKDFMLPEKLCFEQYDEPSITFQSSANFTSIKFLEFRDSKVMYLPSSLKNLSSLERIKFVRIPNLSSLPDFPNSIQQIEIQDCEC >ONIVA11G08350.5 pep chromosome:AWHD00000000:11:7523376:7525230:1 gene:ONIVA11G08350 transcript:ONIVA11G08350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPSSTGRVSLGIHWYNTSHLKKFRIVLEVYKYLFGASSIGQCFSLPLSYPDGFTSWQTQGKGELTEL >ONIVA11G08340.1 pep chromosome:AWHD00000000:11:7513723:7517024:-1 gene:ONIVA11G08340 transcript:ONIVA11G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGQEIGASAGEKGSEQHAIDVGPGEHGDGGVVAGAAAEAPEKERLAVVEEEPRKKSKRVAALDAFRGLTIVLMILVDDAGGAYERMDHSPWNGCTLADFVMPFFLFIVGVAIAFALKRVPKLGAAVKKITIRTLKMLFWGLLLQGGYSHAPDDLSYGVDMKKIRWCGILQNLLVLFDNAEDSFGVLRGCSDRGIHHKSSAYDSAVRLGGFVALFIYMVTTFSLYVPDWSYIYHNDGDVNDGKQFTVLLAVFPDHVQCGVRGHLDPACNAVGYVDRVVWGINHLYTQPVWIRSKFNIVDSVRDNWDPLWTRSRSFQAIPINKQLYSLSYVCFTAGAAGVVLSAFYILIDVWGLRTPFLFLEWIGMNAMLVFVLAAQAIFPAFVNGWYYDSPGNTLVSWIQKHVFINVWHSQRLGTLLYVIFGEIVFWGVVSGILHKLGIYWKL >ONIVA11G08330.1 pep chromosome:AWHD00000000:11:7499241:7504780:-1 gene:ONIVA11G08330 transcript:ONIVA11G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G49800) TAIR;Acc:AT5G49800] MSSGGGSSPTSSPSVCSRSWSISEDSLKRYVSYASESCIQELLAASDSGGGGGGGDDGWKVLAYCNGVEISKRRAGAAHVFRSRWLLHAVSPGKFMAVANAVDAAKQWESDLVDARYIRELGDDLSIVHLKLGADASKRTAGLFRRRDVVVYERRQTMDDGTLVVAVASLPKEIAAGLLPPGSSSGARGAGLLLQSGWVVEKLDAGDGPPCCIVTYVVQLDPAAGWLPRCFVSRLNSKLVIMIVAKLKKMALATMHSAAADDGEVMP >ONIVA11G08320.1 pep chromosome:AWHD00000000:11:7476486:7481913:-1 gene:ONIVA11G08320 transcript:ONIVA11G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRKLSRLLKDPMFGGSGPLKLL >ONIVA11G08320.2 pep chromosome:AWHD00000000:11:7476486:7481913:-1 gene:ONIVA11G08320 transcript:ONIVA11G08320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGSGPLKLL >ONIVA11G08310.1 pep chromosome:AWHD00000000:11:7475049:7481400:1 gene:ONIVA11G08310 transcript:ONIVA11G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPTSWRIIHLLVFLIIVLDHALIISADTDPQDTSALNGIAASWDNAKSKLSEWVGNDPCGEKWPGVYCTQNRVTSIRLSSFGLSGSLSGDIQSLSELQYLDLSYNNLSGPLPPNIGSLSNLESLSVVGCQFSGDIPKELSQLPKLRFLSLNNNRFTGSIPPSIGNLSNMYWLDLGENRLTGSLPVSDGTNTGLDNLTNALHFHFGVNQLSGTIPSQLFKSNMKLIHLLLDNNNFTGGIPPTLTLLTKLEVLRLDRNYQLTGPVPASINSLTKLQELHLENNKLTGPLPDLTGMDSLYVVSMGNNNFSSSNVPTWFTALSALTSLNLENLHITGELPQPLFKLPAIQTLGLKGNNFNGTLTIGSDYSSTLSLIDLQDNQITTLAVSGAQYNKKLILVGNPICVQGNNEALYCKSSQQANPAAKPYSTQSICPGLPPTCLSDQYLSPNCTCAVPYMGTLHFRSPPFFDLSNDTFFVLLEENMKEAFLGKQLPVESIALDNPAFGPSNNLDINLRVFPSGKIRFGKEDISYIGFMLNNQTYKPHAPGINYGPYYFIGQSYPFAETLSAPRQTKKNQSLIIGVSAGGAFVVVSLLVLFTVLFFRRNKRPKLQPQPRSPSYASWDIKSTSISTPHLQGARVFTFDELKKITNSFSDANDIGTGGYGKVYRGVLPNGHLIAVKRSEQGSLQGNLEFRTEIELLSRVHHKNLVSLVGFCFDQGEQMLVYEYVPNGTLKDSLTGKSGVRLDWKRRLRVVLGAAKGIAYLHELADPPIVHRDIKSSNILLDGNLHTKVSDFGLSKPLNQDGRGQVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLLLEVITARKPLERGRYIVREVKGAMDRTKDLYGLHELLDPMLAPTSLAGFELYVDLALKCVEEAGMDRPSMSEVVAEIEKIMKMAGVNPKVDSASNSMSYNSRTPRHPYSGESQFDYSGGIPSSSRVEPK >ONIVA11G08310.2 pep chromosome:AWHD00000000:11:7470637:7478442:1 gene:ONIVA11G08310 transcript:ONIVA11G08310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSPEARQTHGEIAGAAAPERRLKLYSFWRSSCSYRVRIALSLKGLDYEYKPINLLANEQSHPEFEKLNPMKYVPALVDGDDTVVVDSFAILLYLEDTYPQHPLLPQDPKMKALNIQIASIVGSSIQPLQNNSVLDFIEEKLDSQEKVNWIQYHLNRGFTALEKMLKGCTTTYATGDEIQLGDLFLEPQIYGGIKRFGIDMTNYPTLARLHEAYMEHPAFQAALPERQPDAPSSPEI >ONIVA11G08300.1 pep chromosome:AWHD00000000:11:7464714:7469849:-1 gene:ONIVA11G08300 transcript:ONIVA11G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKICARIGDGGCISLDDEEVPPPVVPELCAALPPPQPVAEVQVCSEEVLVIAVPVPNEERAIVLHKPDDAARNLLLGPLRPEFPLRVSPDWIHGLKSTGLREARDLHGRDLHRALFEELTMDETSNLTMVPWVPVPSNSQEASTSGAATTTTEMMDAEDTSMEDAAAVTGPSSAGEPAAGMEFASPEEARAFYCTYAARAGFRVRSSKSFASRIDDAIIMRRFVCTRQGLPSRKDTLLDASKKRRNRASARAACPAMLQVNRRPSSRWLVSRCHSTLKDELKECVTELERIDEFESTWRMLLSKYNLFGNEWLQTIYSIRHQWVPAYLKDSFFEEGATSEEIYKTAKEALQKAFAEILPQSGRLIRIMSMNMLLSPFRTDVELDALCQKGNCINYRKQQHNCTMSSISHPLNHSFI >ONIVA11G08290.1 pep chromosome:AWHD00000000:11:7455737:7462747:-1 gene:ONIVA11G08290 transcript:ONIVA11G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAHRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDTWLLAVAFYFGARFGFDKESRKRLFSMINNLPTIYEVVTGTAKKQSKEKTPKTSGKSNKSGTKPSRQPEPNSRGPKMPPPKDEDDSGGEEEEEEEDHENTLCGACGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA >ONIVA11G08280.1 pep chromosome:AWHD00000000:11:7450027:7451250:-1 gene:ONIVA11G08280 transcript:ONIVA11G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33340) TAIR;Acc:AT1G33340] MKVFKGKIWAALGSLMDHSNSKASSAATPTAAAAPVPDRALLADIEAAVERCTAGGGGGDDERHVHEILFLVSNAPGAITFLSRRITARLEAARAPPAAALRSLLLVHRLLRAGDRYFEQDLRGLWASRDLRIDAPRCSCSPHAAGGGGGGGEYATATGTCSFLHGYSAYLEERMQWAINQAGNLEPTRPRPPPHDGGDDHAAGAAAAEAPLVFKLAMSQRLLDLAIQLLPDNNTSATSAAARSAFGIVLRESFKVYDAFKDGLDALLRSLAAAAAGGKASRASSSAHEILKKARAQTPELKEFYHKCKKSSNVSSKSLDYPVVRVVTSAMELVMPPVTDDEDDDDGGAATTEEAAGVAAQEASHPQPFATKLETTISTVWVEFDDGDGEGGGGGDHSLQGVQSSYL >ONIVA11G08270.1 pep chromosome:AWHD00000000:11:7432072:7441207:-1 gene:ONIVA11G08270 transcript:ONIVA11G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSCVINPNEIGSNVSQKHIEPAAAPPKGEKKRKKVYLYNWRHHSNKSSESGIKLDDDDRQASADCSLESPCISNGVDSRSETGLEVPVSIYSVQGSNSGTPVTRTVRRVRRSSLSKKGAIRNSTVSKLLDLHVNSGEQSEDTDNYNSENHELLQKGGYFSHSTSPLFAASGCLSSSNPSKFLKVPRREGSSFSCTPVSTSSYYRYRGRNPSTVGSWDGTTAASLDEDGLNQPELLRSQRCGIPCNWSKRNKHKGSERSFSPSLSDTLRRKGSSLLCGGQTMHRRKRSSGSSKCAYLTKSSQGTPLLDDSCHFAYSSFDSTSDEVSTIFGELDLEALSRLDGRRWSSCKSQDGIALPMSGADHAVSDQRSLSQKYRPRSFNELVGQSFVVQSLNNAIIRERIAPAYLFHGPRGTGKTSAARIFSAALSCVAIGENKPCGACMECTDFFTGNGINLIEVDASNRKSINRIRHLIENIPASATSSRYKVFVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFITIDPENLPRAVISRCQKYMFSKIKDIDIVCRLRKIAVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSADTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGGEGIGGRNITDAELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLGSGHNSEMIQSRSSSKQSAKATSEIVMDAVRESSASRTTAHPLFTLRGSRKTLDLRTASGHSSPQGVVSVSSRMRANDNLKHGECRSVDRVLQDSAQRSNSSELRPMTNVSSDNLARIWRKCIDNCHSKTLKQLLCDHGKLASVKDYGGYYIAFIAFEGSDIKSRAQRFTSSIRNSMETVLRCNVEVRIGLMPELLAGGLKREEDLDERDEFDALSCSTNSDRLKGIRNPSRNLNYSEEIEKKLEKFSCASASGGLQSCTTEGNTGMHRTRGKEVSVEQAKAVTVEEQRLESAWLQAVEKHAPGILNQMRPERNQVVPRISGEQYHRMPETDTVFPSRQVDKELSNGLKSLNIGSHGLRQNGQMENGYAISPSLLHSNNHLANCDNERSLSPEHLAVMVCSPAGKLKNQKEERKKLVHCKHYSNHSCKDDLRRATHVYLAVELTSQNFASLMACRGARLSLSNL >ONIVA11G08260.1 pep chromosome:AWHD00000000:11:7429195:7429815:-1 gene:ONIVA11G08260 transcript:ONIVA11G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAERKVLGMVAAVAAMVVMMAPPAAALVPYGYGYMLDDPFRVLEQSPLRPAGGVAAAAAAGEPAAVALARCDWKETPEAHVVTVDVPGVRRGDVRVEVDEASRVLRVSGERRRAGAAEEEEGERDGARWHRAERAAGRFWRRFRMPPGADMGRIAARLDDGVLTVTVPKVPGHRGREPRVVAIDGAGAGDMEAEVVKASKAEM >ONIVA11G08250.1 pep chromosome:AWHD00000000:11:7424656:7426092:-1 gene:ONIVA11G08250 transcript:ONIVA11G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPVRVVSTRTVKPPPRPRERIPLTSWDVAMLSANYIQKGLLFAPPHSSSSALLSTTAHVVEHLAAALAATLRAYYPVAGRFVTEKRPGGGGCSVSIDCDGQGVEIVHAVAGGVSVADVVPPDADVPCGLVHSFFPLWDAVNYDGHHLPLFVVQVTELDDGVFVGFAYNHALSDGTAFWDMVNAWAEIARARLTTAAPPLPTSRPPPLLKRWSPEEDDDATVVLPYADVSELIERLDTPPLRERMVHFSPESLAALKERARQELLDAGDTAGAAAITKFQALTSLLWRCITRARCSSPDQETVCRVAINNRGRLRPPLPREYFGNSVYAIGTEASPVRASELVQERGHGWAAAAVGRAVAAHTDAGIRARVAAWGARPTVYTARCFDASGVMVGSSPRFDMYGCDFGWGKAVAARSGKANKSDGKASLYPARDGGGGVDAEVVLAPEHMAALELDGEFWAAVTPDHVLRACSSTKA >ONIVA11G08240.1 pep chromosome:AWHD00000000:11:7411175:7412612:-1 gene:ONIVA11G08240 transcript:ONIVA11G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGQKKVQPLYKTFNLLIKMDKDMFPIGLTIFTIATHKKCYQASIFQDDMFYYGGPGGCTN >ONIVA11G08230.1 pep chromosome:AWHD00000000:11:7391210:7395998:-1 gene:ONIVA11G08230 transcript:ONIVA11G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMSTIPRTPAVTAASLPPAAGSRSSCGGVVGVRVPNLHRQRFPRPRSSAAWASSRSSIRILIIILRARSVSPRSGLNRFVESFDKFDVQGIVMEEAILILGVDEELKELQRRMKQIQCFLHDAEQRRIEEEAVNNWLGELKNAIYDADDIIDMAKFEGSKLLVNHSSPSPLPIKYISCCNLSVTSCVRNVWTHRKIALQIRRVNYNLQRISIDKTFLALENVKATYRVLAPSKRHTSHLVEPNLVGKEIKYATSRLVEMILTHREEKAFKVAIVGTGGVGKTTLAQNIYNDQRVKGNFSKHAWICVSQEYSEVNLLKELLRNMGVHERQGETVGELQSKLASTIKDESLFVVLDDVWQSEVWTNVVRTPFHDAAKATILVTARDELVVRRVGAEHLHRVEMMSTDVGWELLWKSMNIKEEKEVETLQHIGTKIVSKCGGLPLAIKVIASVLATKEKTKNTWEKVVESSAWSMSKLPAELRGALYLSYDDLPHNLKQCFLYCALYVEGQMMHRADLVRFWVAEGFVEEQEGQLLEDTAEEYYHELICRHLLEPDPFYFDHYRCKMHDLLRYLAQHLSREECYFDQLPLEPTTWSKLRRISIVNKTDMLSSVVEKGHCRVRTLMFCMSPNIDSDVFMRFPHLRVLDLTGSIVQRIPDSINSLIHLRLLDLDATDISCLPDSIGSLTNLQILNLQRCYALHDLPMAITKLCSLRRLGLDDTPINQVPRGISKLSLLNDLQGFPVGHSYVNTRKQDGWNLEELGHLSEMKRLGMIRLENAMPCGTSSLLDKKHLKFLNLHCTTHTKESYTMEDITNIENVFDELKPPCNLEDLSIAGSFGQRYPTWLGADLSSLKILRLIDCASWAHLPAVGQLPNLKCLKIMGASAVTKIGPEFLCDKTATPRFLGTIAFPKLEWLVISDMPNWEEWSFTEEVVGASDGKSCTENNKMVLQVMPLLQKLELGDCPKLRALPQQLAQVTSLKWLHIERAQALKVVEDLTFLSDSLLLSKCEGLERLSDLPQVRTLYVSECPALRWAQKLDCVQQLWLSKDLQMEFPLWLSLLKQRYQQLHGEELDLYTW >ONIVA11G08220.1 pep chromosome:AWHD00000000:11:7374031:7375239:-1 gene:ONIVA11G08220 transcript:ONIVA11G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILQLQTRTEASPASSASAAATRIFAVRRQQQEQEGEEEEEFEFQERMDLSGAQGELPIPMHASTAASPFAGMGAHGGAGGGHVVELHRHEHVGNNGQAMAMASPPPTNVAVAAEQEGSPVAGKKRGGMAVVGGGGGVAVKYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKEADDLDADSCAAALRAAAGRHHHLLGPALPHHHHKNGGGLLVAGGDPYGAAYAAARALPPPPPPPPHGHHHHHQIIMPLNMIHTSESDEMDVSGGGGGGGRGGGSSSSSKKRFRTKFTAEQKARMLEFAERVGWRLQKLDDAMVHHFCQEIGVKRRVLKVWMHNNKHNLAKKPLPSSPPPPPQIPPMSMPPSPPPMPMPMPPSPPQLKLE >ONIVA11G08210.1 pep chromosome:AWHD00000000:11:7353668:7356973:1 gene:ONIVA11G08210 transcript:ONIVA11G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light harvesting complex of photosystem II 5 [Source:Projected from Arabidopsis thaliana (AT4G10340) TAIR;Acc:AT4G10340] MAALAPSKMLGTRLNFAGSSRYATAAPTTGAQKIVSLFSKKPAPKPKPAAVTSSSPDIGDELAKWYGPDRRIFLPEGLLDRSEVPEYLNGEVPGDYGYDPFGLSKKPEDFSKYQAYELIHARWAMLGAAGFIIPEACNKFGANCGPEAVWFKTGALLLDGNTLNYFGNSIPINLIVAVAAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLASDPDQAALLKVKEIKNGRLAMFSMLGFFIQAYVTGEGPVENLSKHLSDPFGNNLLTVISGAAERTPSL >ONIVA11G08200.1 pep chromosome:AWHD00000000:11:7349520:7353408:1 gene:ONIVA11G08200 transcript:ONIVA11G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSKKKSGGGDDQRPLLWRLPEVTSTELGKIGPAFGLGVGCGVGAGVGFFGGLGYGFPGLTLGFGVGAGCGVGFGFGYGLGKGIAYDQNKRYSNVGKMFQEAPSLPMDTVAGLVDELVVNTKKLVRATSKEIEKWR >ONIVA11G08190.1 pep chromosome:AWHD00000000:11:7345262:7346212:-1 gene:ONIVA11G08190 transcript:ONIVA11G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMHARRAKLKSQLVSAKAKLKHHVTPRRLLLLSAAAASAFLLLLTLRTLSAAAANTSSPAPVVVHRSQQQQRDDQCDRVPAGVAEALVHYATSNATAWGRGRRRSAEEVAATARAVSRRAPCNLLVFGLGHGAALWAALNHGGRTVFLEEDDALVSGASPASLAIEAYRVAYLASAADADELLALRDSEHCTGAAATQLSPGHFDRSPCKLAVRGLPAAFYEAEWDVIVVDAHAPPPPTTTAMMGAIYTAAVAARARRPAAETETDVVVHDVDKPVQDRFSTAFLCGGYLKEEVGNLRRFAIPSHKEGMPFCP >ONIVA11G08180.1 pep chromosome:AWHD00000000:11:7337069:7344722:1 gene:ONIVA11G08180 transcript:ONIVA11G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTRKPLQSAVHVQFLRSSGRAFHGLIDQLCSKCPKHDDGATLQSETTSSKFKCLYKFFRPSSEGDTAAVDNVLRNDGNTEVVAIQSKENGRNSNNILEDGEKSVLSSDHSKKLSAHHRLKIWITSGHSGIIGRYGKKLELNAANVPKQFPSEHVNTVWPDWLMKVAPEAVQGWFPRRAESFEKLGKIGEGTYSSVYKARDLKTGKIVALKKVRFVNLDPESVRFMAREILVLRKLNHPNVIKLEGIIASPVSTSLYLVFEYMEHDLTGLAATPGLKFTEPQVKCLMQQLLSGLDHCHSNGVLHRDLKGSNLLIDSNGVLKIADFGLATFYDPNNQQPLTSRVATLWYRPPELLLGATKYGVSVDMWSTGCILAELLASKPIMPGRTEVEQIHKIFKLCGSPSDEYWQKLNVPQTGMFKPSRQYRRCIADNFKHFPQPAIVLLDNLLALEPEARGTAASTLQSDFFRRKPLACSPSSLPKFPPSKEYDARLKLEEARRQRKVGGSVRPGRENVRINRGNGSIQPKKHTYISGNLHLQGDPRTLATRVRSPGLNATWNNRGDSMTVVKYLDSCRVKNRTHHSGSLVTPEGNIEEMLKEHDRNIQAAMRKARLNKKK >ONIVA11G08170.1 pep chromosome:AWHD00000000:11:7334011:7336833:-1 gene:ONIVA11G08170 transcript:ONIVA11G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske (2Fe-2S) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G71500) TAIR;Acc:AT1G71500] MASITTTAAAAAASSFLHRRRVAASASPPPRATATATLRHHQRVVGCRAAEVSGAEPSAAAGEASGDGNWVPVVPLAALPRGERRVIVQDGEEILLLWYKEQVYAVENRSPAEGAYTEGLLNAKLTQDGCIVCPTTDSTFDLRTGEIKEWYPNNPVLRALTPALRKLFVYPVKIDGENIYISIKGAVTSGGSAEIIFSGKAQPGFTASDVNIEEVRMVIDEDVGGFGFTNKNELINGKAAIIGFLLLIDFELLTGKGLLKGTGLLDFIYAISRAFSS >ONIVA11G08170.2 pep chromosome:AWHD00000000:11:7334013:7336833:-1 gene:ONIVA11G08170 transcript:ONIVA11G08170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske (2Fe-2S) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G71500) TAIR;Acc:AT1G71500] MASITTTAAAAAASSFLHRRRVAASASPPPRATATATLRHHQRVVGCRAAEVSGAEPSAAAGEASGDGNWVPVVPLAALPRGERRVIVQDGEEILLLWYKEQVYAVENRSPAEGAYTEGLLNAKLTQDGCIVCPTTDSTFDLRTGEIKEWYPNNPVLRALTPALRKLFVYPVKIDGENIYISIKGAVTSGGSAEIIFSGKAQPGFTASDVNIEEVRMVIDEDVGGFGFTNKNELINGKAAIIGFLLLIDFELLTGKGLLKGTGLLDFIYAISRAFSS >ONIVA11G08160.1 pep chromosome:AWHD00000000:11:7316564:7317160:-1 gene:ONIVA11G08160 transcript:ONIVA11G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVMQNGGGGEMAGQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESPEMAAVAHDVAALRLRGRDARLNFPAIAHRFRRPDTAEPGDVRAAALEAAAQVRFTPDLLVVAGGDDAGRRCCSAGEAEAAAVAAAAWEWDVVVGGGGDELEAKSPNMWAELAEAMLMAPPVWEGGGETDNDEWAQQAASLWDPSVWDY >ONIVA11G08150.1 pep chromosome:AWHD00000000:11:7303323:7307289:1 gene:ONIVA11G08150 transcript:ONIVA11G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLCLCTIVVVLQCYLAAAAAAAGLASRRRKLAVVVMACMLCCSPLPALSSPATGGAAVVGFSSSSRRKAAHVHPAVAVKDHDLSSSSPAACGDEGGGAVVIEEEAHPSMMMSVAAPAMKKKAVAARWRPPRLVVPAVAGADEAMAAAAAVKAAKEKEEEEAMEVEGEGFWVASRRGLRHAMEDGYGVITHKIEGHSQMAFYGVYDGHGGRAAVDFVAGRLGNNVVAAAEKQRLSEKASGGACAATALVIDGDLYVANLGDCRAVISRHGAAAALTSDHTPARDDERTRIESSGGYVSCGSNGVWRVQDCLAVTRSFGDGGLKRWVVAEPEVSRTPLAGAGCEFLVIASDGLWNKVSNQEAVDAVAAAAAAGHYSVDSCRRLVDMARRRGSRDDVTVMVVDLKRFLNC >ONIVA11G08140.1 pep chromosome:AWHD00000000:11:7284355:7296038:1 gene:ONIVA11G08140 transcript:ONIVA11G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J055] MVENGVLEQPKGVSRNRPRAQSNDHPVDPGYLPELTWEHKLSNIGYDLPSFRLTWRETFQLAGLGLRLGRHILEETSKGRAAVIDPMKKRIAKSGQGVPLGGIGSGSIGRSYKGEFQRWQLFPGTCEERPVLANQFSAFISRKDGRNYSSVLHPGKPDLPKGSNISGIGSWDWNMSGQNSTYHALYPRSWTIYNGEPDPDVNIVCRQISPIIPHNYQQSSYPVSVFTFTNSVGGKSELTGYHSNSPMITANGQPPVTFAIAAQEKEDVHISECPYFIISGSSDAFSAKDMWNYVKENGSFDNLDLTKTSMCSKPGLSIGAAIAASVKLPPQTTQNVSFALAWACPEVKFSSGKTYHRRYTKFHGTDNDAAASLAHDAILEHNSWERQIEEWQNPILQDERFPDWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTGIGEKKFSLDMQNGDADDANGIIPRNNTASDILNQMASVLERIHASMESNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLIMLFPKLQLSIQRDFAAAVLMHDPEKLRMLHDGKWVARKVLGAIPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDRDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAHEVGDKASEKLFWDKYEKAKSVYGKLWNGSYFNYDDGDNIMSASIHADQLAGQWYAKACGLFPIVDKDKAESALEKIYSFNDGKRGAMNGMWPDGTVDMSAMQSREIWPGVTYALAATMIQEGMVEKGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSNPKLHKQTADIPQDSFPKNQFSYARIAKLLHLPEDESPKSFLRVIYEIVRNRYRS >ONIVA11G08130.1 pep chromosome:AWHD00000000:11:7274073:7276804:-1 gene:ONIVA11G08130 transcript:ONIVA11G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSINGLRCKQLKLVILAFFMMFLLWKWERGTYYTTEILRPDSLILAHPANSKFVDQHTSSEEDFPNADTLTQSVVKVEQQVSDAPPPMSIASDSADVADEREPPPSGKKDCNYGNGKWVSDNNRPLYSGFGCKQWLSESWACRLTQRTDFAYEKFRWQPEGCEMPEFEASQFLTRMQDKTIAYVGDSLGRQMFQSMMCMVTGGKERLDVEDVGAEYGFFLAPGAKRPDGWAYRFPRTNTTILYHWSSTLCDLEPLDPSDPATSYAMHLDRPPAFLKNNLHRLHVLVLNTGHHWNRGKLRTNKWEMYLGGAPNTNRNTAVIWKAKNITIHTVIKWLDTQLPHHPQLKVFYRSISPRHFFNGDWNTGGRCDNTSPLAKGSGISQNHSDDADAEGAVMGTRVKLLDITALSRLRDEGHISRYSIKATQGVQDCLHWCLPGLPDTWNEILAAQL >ONIVA11G08120.1 pep chromosome:AWHD00000000:11:7270631:7271185:-1 gene:ONIVA11G08120 transcript:ONIVA11G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINHHLLLAAAAAVLLLAAAATATASRVTVTSLANTTSSLVAALGSSGIIAGGRAANASANTTAAAEPTVYEMLGKYGFPPGILPAGAQGYTLDAGDGSFQVTLPGDCVVDVQGYKLRYRSNIYGNVRAGSIDGLDGVSVKIAIVWVGIHDVEADGGDVTFHAGAISKSSPADGFQTSPSCQ >ONIVA11G08110.1 pep chromosome:AWHD00000000:11:7255079:7260310:-1 gene:ONIVA11G08110 transcript:ONIVA11G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIFLLAMVAFASTLLVSIAGAADNSTSPSSPSADPTAYDMLQRFGFPVGILPQGVQGYKLGDDGSSFEVYLAGDCEFRAAKKYVLHYSSRVAGQIAAGSITSLEGVKVKEAFAWFRISEVDVDGDQIKLHVGLYTATVAADQLAKLLAAAAALLLLLAAAAPALASSSSSPGSANLTATPTAYEMVERYGFPRGILPEGVESYVLRPDGSFEVRLSGDGNGGGDCEFRVGDGGAYLLRYGRRVAGVAMEGSIRSLEGVSVKVLFAWLGIGRVDRAGDDLRFFVGPLSAAFPLANFADCPRCRCGFDCDTAAAADVIAAS >ONIVA11G08100.1 pep chromosome:AWHD00000000:11:7249352:7250401:1 gene:ONIVA11G08100 transcript:ONIVA11G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSTLLRSLSTRLSTRLAPSPASVDECVEDAAELLDACAGLRDRLEMIRGYVSSMRVALHWLGDGAAAVTARRRAAAAFAECEAVERRCGAELAKCGSNLRKLGERALTHAKQQQQQQRHGDAQTDEALAGARAAALLAIGALGAALAFRPRRAVSVGVAPPAGAPWECALQEVQRQVKEEYERRRKEGVPCMAELDAAAAAGRAVQRAVAAGRPCPDAAAVVAAARRRCDELEEKVFVLDEKVGELHRELIGVRMVILEWSQAARGHQLLKF >ONIVA11G08090.1 pep chromosome:AWHD00000000:11:7217333:7234570:1 gene:ONIVA11G08090 transcript:ONIVA11G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKEAGLSPPVAAPPPLSLAGVPVLTGSLRPAECADLLGLVAGVKRPLEDVVADFLARIQPERRLRFGAAINFVLKDKMMLRPAERLVAFTILHQGYSSQLENPFVPLIIHAACDETSDKPEQLFLQLLLNSTNGDNDREILKHSAADYLEESAYASQVLLTREQLERQYTCNEVQPQPSTGSFREATVRSVIPDPDISQSCTDSSEISITKSNRDNLVTGLLQQTSLDGIGPQWIRPPPPRLEILDGELQWLNLDNNHELLWDSSMCADTSRGAAIRDLVGKACKGPLSPAQQEQVLVELAKDWKLVYHCGMTPQKLPDLVEHNPLVAVEVLSKLINSPDMDAYFDILVHMEMSLHSMEVVNRLTTAVDLPPGFIHDYISNCIRSCQNIKDKYMQNRLSLIRNKIINVQDLFIEVQAFCIAFSRIREAAGLFRLLKSLE >ONIVA11G08080.1 pep chromosome:AWHD00000000:11:7208416:7208975:-1 gene:ONIVA11G08080 transcript:ONIVA11G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAILVLHQVAILALPAAETEAAIAAAPRRRFCERCELHIHIQGRGCSEEQDHRDEKQLTRSYGSLSGSLRRQIPICMLAEWQ >ONIVA11G08070.1 pep chromosome:AWHD00000000:11:7199156:7200061:-1 gene:ONIVA11G08070 transcript:ONIVA11G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTKKGVAPPMAWRTRLSILAAGCLTDATCRADGTINRRLLNFLDPHVPPSAAPRNGVASRDVVVDPAIPLRARLFYPCPSGGGGGTGDATKPLPVVVFFHGGGFAFLSAASRAYDAACRRIARYAGAAVLSVDYRRSPEHRYPTPYDDGLAALRFLDDRLAGLIAIQPFFGGEERTPAELRLVGAPIVSVPRTDWLWRAFLPPGADRTHEAAHAASPAGAAGIDSPAFPPATVVIGGYDPLQDWQRRYCETLRGKGKAVRVLDYPDAIHAFYIFPEFAEARDLMLRIKDIVAGGGGEH >ONIVA11G08060.1 pep chromosome:AWHD00000000:11:7194302:7194775:1 gene:ONIVA11G08060 transcript:ONIVA11G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVTSDREAGRSTASDLVASRSIPDDCETGGSIDDGDGGSGSAGLGSGGSVAIGALTAAVTTMLDYGDHDDNHGLGRLGGSIACDRGAGGSTTSDLAASRSVPNDNGTDGSIDYNYNYGDDDGVSGGAGLGCYRFIAIGLRRGIDRCSDDDGGLR >ONIVA11G08050.1 pep chromosome:AWHD00000000:11:7180992:7181744:-1 gene:ONIVA11G08050 transcript:ONIVA11G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGFWAGSKPALAGAGETPVVVKMDNPNWSICEIDIDADADDDDGEFLAGGRRRGRGKNAKQITWCSCSGAPRRGVPRLRRRVAAGRTDDADAETPSPRSRLYAFIRASLLLSVFLLAVELAANANGRGHVLVASVDSFHSSWVCFRAAYVAPPLQLLTDAYVVRFLVQSADRLVQCLGCLYIHLNRIKPKPISSPAIERERGAGREVAPRVLAQIKTVQNGVGH >ONIVA11G08040.1 pep chromosome:AWHD00000000:11:7177844:7178980:-1 gene:ONIVA11G08040 transcript:ONIVA11G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDSDKTKRVAPPMTWPTRLFVLAVGCGADATCRVDGIISRRLLDLLDPPVPPSAAPREGVATRDVVVDPAIPLRARLFYPCRPTGGEAGGGGGEAGATKPLPVVVFFHGGGFAFLSAASRAYDAACRRIARYAGAAVLSVDYRRSPEHRYPTPYDDGLAALRFLDDPNNHPLAADDGDVPPLDVARCFVTGDSAGANIAHHVARRYALAAHTFANLRLAGLIAIQPFFGGEERTPAELRLVGAPIVSVPRTDWLWRAFLPPGADRTHEAAHAASPAGAAGIDSPAFPPATVVIGGYDPLQDWQRRYCETLRGKWKAVRVLDYPTPSTPSTSSRSSTSRSTSCSGLRTWSPPAAAAAAASTDLSASRCTRTSSCGWG >ONIVA11G08030.1 pep chromosome:AWHD00000000:11:7169818:7170021:1 gene:ONIVA11G08030 transcript:ONIVA11G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAERGQAAGDLRRLERLATGGGEGGEGRRLAEDGGGERRGDGAMASLTTCGSHRGRGAATAASG >ONIVA11G08020.1 pep chromosome:AWHD00000000:11:7165847:7166950:-1 gene:ONIVA11G08020 transcript:ONIVA11G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDTTPTPPGRRTKPKPPMSRLMRLSLKAVDWATDATRRADGTLNRLALSVLDPRVPAFSSPCRGVASRDVLVHPPTRLRARLFYPSAAAGKDERPPPPRPLPVIVFFHGGGFAFLSAASAAYDAACRRIARYASAAVLSVDYRRAPEHRCPAAYDDGIAALRYLDDPKNHHGGGGGGVPPLDAARCYLAGDSAGGNIAHHVARRYACDAAAFENVRVAGLVAIQPFFGGEERTDSELRLDGAPIVTVSRTDWMWRAFLPDGCDRTHEAANFAAPSAAPGVDSPAFPPVLLAIGGYDPLQDWQRRYAEMLRGKGKDVRVFEYPNAIHAFYVFPAFDDGRDLMIRIAEFVAESAAAAAAAGGGGSE >ONIVA11G08010.1 pep chromosome:AWHD00000000:11:7158254:7164338:-1 gene:ONIVA11G08010 transcript:ONIVA11G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGLHSTSSSALPTVLSFTYGDLACVAIQSRTMDDAAGNCDGMTAFALRLAKRLADNGDDVNNNRNLVFSLVSLYAALALVAAGGQGTTLHELLALLGASSLDDLAESVYRAVEVGLANESSASGGPRVSYACGVLHDETLALKPAYRAAAAGTAAPTRPQRNQERRSTSDEQAHRLTTLVLVNTIYFKGKWSDPFPRESTTTGKFHRLDGSSVNVRFMRSREDQYIGFYDGFNVLSCRTTAGCCPCTSSRGMSLTQQALNDAGNCGGLTAFALRLAKRLADDGDNSNRNVVFSSVSLYAALALVASGARGTTLDELVALLGAASLDDLEESVRRAVEVGLADESESGGPRVSYACGVWHDERLALKPAYRAAAVETYQAETRAADFQRQPKSSRKKINKWVSKATNKLIREILPDGSVHGGTALVLVNAIYFKGKWSNPFPRERTTTGKFHRLDGSSVDAPFMSSREDQYIGFYDGFKVLKLPYHRTMKNHGDDGDITPAILKHYGENVGLSMYIFLPDARDGLPALVDKMAAASSGTASSSFLRDHRPGRRRIKVGDLRVPRFKVSFYSEMNEVLKGMGIGAAFDVGKVDLSGMIDGELVVVEKVMHRAVVEVNEEGTEAAAATACTMKLLCLTLTSPVDFVADHPFAFFVVEEKSDAVLFAGHVLDPTSLE >ONIVA11G08000.1 pep chromosome:AWHD00000000:11:7153862:7157299:1 gene:ONIVA11G08000 transcript:ONIVA11G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGEKIIMSALLGVMSPLLGKLGNLIEKEYAELKGARKKLEQLMKELMAINLALEKYSGMENFDVQVKAWTIEMHELAYDMEDSIDLFSYCIDHEPVSTTMGVKRVILKILRKLKKIHHRHKFAKQMHQLQVLANEAYNRQKRYKLEEGSSSNSFVEIDPRLPALYVEVQKLVGIEGPSKEIIEQLIGEEPTWHRRVVSVVGSGGSAFVSVSQKPNINNLLRELLSRIGSNSESLGARELYSDQQLIDKLRACLENERYLVVIDDIWQKSAWETIQCALPKNNHASRIITTTRIKSVGQFCCTSDEGFVYQMKPLTKSDSENLFLKRTFSSEENSPSQLQEVINKILYKCDGLPLAIITLASLLADKPRRKEEWERVLNYIGSMPKKDSKLEVMDKILSLSYNDLPHHMKNCFLYLSTFPEDHEIRKDILVWKWIAEGFIITKQGFTLEEVAESYFYELINRSLVQPVNMVHGAIEQGCKVHDIVLNFIISRSVEDNFLTMVDGQELPSPKSRIRRLSVWNKQEFPRFISKGSMNLPYIRAISICHVDGWTMPSVLNLPVLRVLDLEGCRALRNDHLDCIVSLFHLKYLRLSKTSIDRLPAQIGKLEYLQMLDVSSTQVRLLPESVIQLKRLMRLVGNELILSDGFANMESLQELGVLDACNCSINFGKDLELLSNLRVLRIMFRCEEITSDPDARKKSLMSSLCKLGGNSLRSLYYQSSTTGVDCSADSWCPPPILLQKFEYRGVRYFSSFPKWIKHSLVDLAYLDFRIERMERKDLHVLESLPALTVLCVTVKRVPEDGLMISHGAFQCLTRLEFCNTDGPGLTFEADMTRLEWLKLEFNADKAQATYGSLVVGIQHLCSLKCIDLTIGMLSEDENDPPKEIIKSVIGDKIKMLPHNPKVNITFL >ONIVA11G07990.1 pep chromosome:AWHD00000000:11:7149248:7149549:-1 gene:ONIVA11G07990 transcript:ONIVA11G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAPLGVVLALTSPCTKNLPCATWWTPALPLKLFKPKVFDEVFSVLVLFLTLRRLLRCRGATKLGNDDTPQSLYKVVDVSSV >ONIVA11G07980.1 pep chromosome:AWHD00000000:11:7133515:7137480:-1 gene:ONIVA11G07980 transcript:ONIVA11G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGMLASAALRVATQKLASAMGDRAMLQWNFDRDLEGMKTTLESVAAVLEDAERRSISDAAALLWLKRLKAAAYDVSDLLDEFRAQAERASRKMTVLMPFRTIIPKIIIANKMKVLREELNEITNQHQNFRFMPDNTSNQQHVTDKRATSSKVEEALILGRNQDKQKVMALLSDNITQGATILSIYGIGGIGKTTLAKMVLNDTQFKDYSRVWVYVSQMFDLNKIGNSIISEVLNCESQITEPDRINRCLDELLAGRKGSKVTVIITTRDEEIAKKVCTIEPYKLGLLTDDMCWTVIKQKSNFEARIDKELLEDIGRDISMKCGGVPLAAQALGYMLQSMKFDEWVSVRNSDIWTESTLADATSPHHKSSGLHDEDATLLTMHDLVHDVARSIMADELLDSSTKGKIQRTSCRYALLRDCSKPLKLFIRALHFLDCGNIRLHGAAFSSAKWLHVLDPRACSIKNFPSSIGQLKHLRYLNAPGIQDRNILSIVKLSKLYFLNLSGSARVTALPKSIGEIEGLVHLDLSGCISKDCEKFWVISPNYDTIFGSAPTDQSCKFIECVGTLCNLEHLDLSKNNSLNSVPESLGCLRMLHTINLSGCCNLIQLPKSIGEIDSLKFL >ONIVA11G07970.1 pep chromosome:AWHD00000000:11:7131070:7133254:-1 gene:ONIVA11G07970 transcript:ONIVA11G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIDVLRDLLPPSTLQHFDLQGYKSISFPGWLMNISHYVPNIVKIKLEDLPMCNILPALGQLQNLQELFLGTMSSITKIDGDFCGCVRAFPQLVKFTLYYMKSLEEWTTTYSYGEDFVNEMIDNVISSWGAGCTDTSSSSSPVTNLEVDSCKVPLCQWRLLQRFPVLPLLSINRCNDLTSLPDINRDPSTIELLSPQDINERAAPKYLCEVTSRKLKVNVSQETVRRPISLQSLRLSNCASITSLPEWLGDLSSLEKLEIFNCGGIKSLPKSIEKFNKLKYQIFGCPKLKLWCEYKDKMWLHHVEKIIR >ONIVA11G07960.1 pep chromosome:AWHD00000000:11:7107178:7112625:1 gene:ONIVA11G07960 transcript:ONIVA11G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMVLMMIECSTPNWAISPLTHAQQLWNQWEIQCLVLASFSLQVFLLFFPGIRKRRNSSILSLLLWLAYLSADYVATFALGRLTLHLNDPRHQLVLFWTPFLLLHLGGQETIAAFSTEDSMLWKRHLLSLVSQVALAVYIVAKSWHASSINRQLAVPVALMFLAGTIKYAERTWALMTAGSSLTPGRSTITDYVLHVEGSVIDDAKSYFQRLNELLSAHPHHLEKDIDYEGLVGVAGKGLRMCIEFLTDMTPFLMWHSGDIIDRTIKKLKDVTNESLRAHIAYKLAEIHLSLIYDFMYTKYGVLQFHLNLLISGIERLITFGATSTALALFVKADQRGHFLQLSRADVMVSYVLLIGAVTLDIISILMVIFSYWPYLPGRGGPCGDGFPGKSVMFFVTKLFNPLGMVLWSGKMDQYNLIDDCIKEKRANILMRGLRKIGLVSGIKSVPVSIDMKKFLFKKLLGIATTRHVNDYWKWNFSVFRGQWLRWELEATEERRFIDTEQLNIEGENFAGTVLLWHITTEMCYHTDKDRPTSEDCRSLMWMNLEMSNYVLYLIAKCGVNGGSNGQFELGKLRRDIKKVLSHERFSHGSLNKGDLIFYAYEGHGFFSSRAAVAAKQLGKVSNRERWELIATVWVEMLCYLAANCETGFHIKNLTTGGEFITHVRILQIILGIPFLREAWQTEAKDDAQYSDFVMF >ONIVA11G07950.1 pep chromosome:AWHD00000000:11:7089540:7090721:-1 gene:ONIVA11G07950 transcript:ONIVA11G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRARADSLPVAALEVTARLARRAAPKRRVVELDVEAQQASGGRRGGCRRRGGGVLDADDDEASGGIPASDLPPDGGFVPDSKDQVCGDVNDSELPPDGGFILDSEDEAGSSVPDFELPPDGGFVADSKDEASGSVHDSELPLYGCFISNSEDETSGGANDSELPPNGGFVPDSEGMASGNVPDSELPPDSGFVPNSEDEVCGGVHNAELPPDGCFIPDSEDEASGGVNNSELPPEGCFIPDSEEEASGGVNNSELPPPPTQQQQPDKGLFANLEDLHMDGIEQLVNREEVADLEDDVDAAAEDEGVDEFAETREGILQL >ONIVA11G07940.1 pep chromosome:AWHD00000000:11:7085266:7085844:-1 gene:ONIVA11G07940 transcript:ONIVA11G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILQMEEIFMDKANRTIHSELKLVVSVGSFFSLPDGGPKTYVQGKTVPTQHVAMHNFHLLELVNFIFEHFMWGSKQYMTLWRSLDGDSIEIKSDEEMLDWFQLNLEKRVVCIDAKIIDFDSPLQFSPTKCRCHLSVRNRAATNERATMR >ONIVA11G07930.1 pep chromosome:AWHD00000000:11:7083540:7084988:-1 gene:ONIVA11G07930 transcript:ONIVA11G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTHLTGRSRGQLASAVAIDGHNWLFPIAYGVIETESKESWAWFVQNLKEAIGTPAVLVEVKLQVTCGEEYPEGEGEGEE >ONIVA11G07920.1 pep chromosome:AWHD00000000:11:7039671:7039904:-1 gene:ONIVA11G07920 transcript:ONIVA11G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQLIQTRLGHERSERYFRYLKMFLGSRMEKSMFDRVVIQTIGRENIRLHNHLLMSVLRNASLPAPHPGAPPGAM >ONIVA11G07910.1 pep chromosome:AWHD00000000:11:7034228:7036263:-1 gene:ONIVA11G07910 transcript:ONIVA11G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELLCSPMATTAAATMVAAAAHHPRPRFRVRAAWDMNPGAATVSAPKPKAKPPPPPSPPTAPAPTHADLFARRSNSEGQVPKKTTSMGFERWWLPPPPEVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINDYNKRVMDVVKCESQDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLDKELV >ONIVA11G07900.1 pep chromosome:AWHD00000000:11:7029446:7038072:1 gene:ONIVA11G07900 transcript:ONIVA11G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEKMMVSALTGVMSPVLGKLAGLMEQEYSKLRGVRKKMEQLRKELITINLAVEQYASMDDDPDKLRRAWVKEIRELAYDIEDCIDLFVHRSNHEFSAGGGVRRLLLDSISKLRGLHHRHKFAAQIQQLKNTAGEIHERNLKYKADGCSSAATPPHTEIDPRLPALYEEAERLVGIERQMEEIITRFLVLESTPTNKCSIISIVGQGGLGKTTLARQVYLRIRGEYKCSAFLSVSQRPNMNSLLRDMLSKFQRSSRDQDSDQQIGASSDQQLIDHLRAYLEDKRLKDPQDKGYLVVIDDIWSTTAWKTIQCALPTTIHASRIIITTRINNIAESCCTPCKGFVYKMEPLSRQNSEILFVKRIFGANSTCPSQLKEIMNEILDKCDGLPLAIVTLASMLANNRRKEEWERVLKSIGSTHEKYGEWDTIHKILSLSYNDLPLYLRPCLLYLTTFPEDYEIDKSRLIWSWICEGFITTKQQYSLDEVGESYFNDLINRSLIIPIKIESDGEARGCRVHDIVLDFILSRSIEENFVTVLDGQENSSQLGKIRRLLFQNNDEPAGAISLGTMELSHLRSLNSFGVSRLMPPLQDLQVLRVLDLEDYPEENGQGLYNYLENIGCLLHLRYLALSWIEKLPVQIGKLEFLQTLVLLGTNIEELPETLIQLKRLIRLVGNGLRLPGGFGQMEALQELWDVDVGICSINFVEDLQNLKQLRALGVHFYWLQSGYSKIGLRALASSLCNMGEHNLRYLQISNDTKHGDMDCLVDSWCGPQRSLECFVLGGSYDWFPRLPKWSNPSFSELTNLQCNVELMEKEDLDMLGELPALLVLELIVEKTPKDGLRVGQNGFSCLTCLVFYDIYGPGLMFEEYAMPKLEKLYLGLSAYSAYNAYGGFGLGIRHLSSLNLYSISVGIERKCKYVPETKAAAMSIINNEFKSLPHPPKVEFTIIHVYGDLVEESELETDFSILSKIIKARLSSPLQDYKGYSGSPSTSNEANSMN >ONIVA11G07890.1 pep chromosome:AWHD00000000:11:7023072:7023302:1 gene:ONIVA11G07890 transcript:ONIVA11G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMKTVLDFAEKAAAAAATVAGKALRITGKAAWVVGTTGIVLGVPLIWAMGREQTQLEYESLLEAQQRTLLGL >ONIVA11G07880.1 pep chromosome:AWHD00000000:11:7019758:7019979:-1 gene:ONIVA11G07880 transcript:ONIVA11G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGRLRLPPASGTKLLLLLTVLLLAVMMHCGCAIAAQAAGGGGGAWSRMLRAGVSTPASPMPNSPDPGVIHH >ONIVA11G07870.1 pep chromosome:AWHD00000000:11:6992667:6999741:-1 gene:ONIVA11G07870 transcript:ONIVA11G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphate synthase 4 [Source:Projected from Arabidopsis thaliana (AT4G10120) UniProtKB/Swiss-Prot;Acc:F4JLK2] MAVGNEWINGYLEAILDAGVKLREQRGAAAVQLPPLLPAPEDAASAVATAATYSPTRYFVEEVVSRFDDRDLHKTWTKVVAMRNSQERNNRLENLCWRIWNVARRKKQVEWEFSRQLSRRRLEQEMGSREAAADLSELSEGEKDGKPDTHPPAAAADEEAAADDSGGGDHQQPPPQHQLSRFARINSDPRIVSDEEEEVTTDRNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATPGVHRVDLLTRQISCPDVDWTYGEPVEMLTVPAADADDEDGGGGSSGGAYIVRLPCGPRDKYLPKESLWPHIPEFVDRALAHVTNVARALGEQLSPPPPSDGAGAAAQAVWPYVIHGHYADAAEVAALLASALNVPMVMTGHSLGRNKLEQLLKLGRMPRAEIQGTYKIARRIEAEETGLDAADMVVTSTKQEIEEQWGLYDGFDLKVERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVDTQDLAADGAGGAGDAADLQLLINPNKAKKPLPPIWSEVFRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRHLRELANLTLILGNRDDIEEMSGGAATVLTAVLKLIDRYDLYGQVAYPKHHKQTDVPHIYRLAAKTKGVFINPALVEPFGLTIIEAAAYGLPVVATKNGGPVDILKVLSNGLLVDPHDAAAITAALLSLLADKSRWSECRRSGLRNIHRFSWPHHCRLYLSHVAASCDHPAPHQLLRVPPSPSSSSAASAAAGGGGAAASSEPLSDSLRDLSLRISVDAASPDLSAGDSAAAILDALRRRRSTDRPAASSAARAIGFAPGRRQSLLVVAVDCYGDDGKPNVEQLKKVVELAMSAGDGDDAGGRGYVLSTGMTIPEAVDALRACGADPAGFDALICSSGAEICYPWKGEQLAADEEYAGHVAFRWPGDHVRSAVPRLGKADGAQEADLAVDAAACSVHCHAYAAKDASKVKKVDWIRQALRMRGFRCNLVYTRACTRLNVVPLSASRPRALRYLSIQWGIDLSKVAVLVGEKGDTDRERLLPGLHRTVILPGMVAAGSEELLRDEDGFTTEDVVAMDSPNIVTLADGQDIAAAAADLLKAI >ONIVA11G07860.1 pep chromosome:AWHD00000000:11:6981756:6981992:-1 gene:ONIVA11G07860 transcript:ONIVA11G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSIIFGRRRPLRLPGATKLLLLLLLTVLLLAAMHCGCAAAVQGGEAGRRMLREGVSAPASPMPNTLHQTNDPTACC >ONIVA11G07850.1 pep chromosome:AWHD00000000:11:6963441:6963677:-1 gene:ONIVA11G07850 transcript:ONIVA11G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTRDVSDSNTTDPVQDQRVGAVDPVVAIDPVSVEAAAMDHAVIIVDAGQTSCELLNYEPWKCTCWKFILNLYPYS >ONIVA11G07840.1 pep chromosome:AWHD00000000:11:6933040:6936815:-1 gene:ONIVA11G07840 transcript:ONIVA11G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71340) TAIR;Acc:AT1G71340] MRRLLGIGRRRQQQPPPLPLFPPPATKRASPPSSSSSSSALLRRILPTNRLLRLLLLLAALSLIPPAFFHFRLRRFHRMRERRCGWIASPPMVCAHGGDSTNAFPNSMDAFRLALDARVDCVEVDVSRSSDGALFVLHDRDLQRMSGNSTAKVGYWSSDEIKALSTRFQLSKKVQNQEVPKAQDVLALISQSVRQVILDVKVGLPSFEKDLAEDVLSIIGRTQCKNCLVWAKSDNVGRDVIKLSKDITVGYIVMVDKSTGRTTELVRITGSKVAGVYHRLIHEKLMKVMHRNDKKVYAWTVDDADSMKRMLYEHVDAIVTSNPSLLQQLMQETRTECMEDGFALP >ONIVA11G07840.2 pep chromosome:AWHD00000000:11:6933040:6936815:-1 gene:ONIVA11G07840 transcript:ONIVA11G07840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71340) TAIR;Acc:AT1G71340] MRRLLGIGRRRQQQPPPLPLFPPPATKRASPPSSSSSSSALLRRILPTNRLLRLLLLLAALSLIPPAFFHFRLRRFHRMRERRCGWIASPPMVCAHGGDSTNAFPNSMDAFRLALDARVDCVEVDVSRSSDGALFVLHDRDLQRMSGNSTAKVGYWSSDEIKALSTRFQLSKKVQNQEVPKAQDVLALISQSVRQVILDVKVGLPSFEKDLAEDVLSIIGRTQCKNCLVWAKSDNVGRDVIKLSKDITVGYIVMVDKSTGRTTELVRITGSKVAGVYHRLIHEKLMKVMHRNDKKVYAWTVDDADSMKRMLYEHVDAIVTSNPSLLQQLMQETRTECMEDGFALP >ONIVA11G07830.1 pep chromosome:AWHD00000000:11:6926311:6926805:-1 gene:ONIVA11G07830 transcript:ONIVA11G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKMYVADKSTFKELVQRLTGQPPAEAAAVAAPAPAPVAGAPRRGRLGVHNPPAFKPTPHRPKLPIIRPEHPRLLAGFASPPSPPSLSPWCSGAGQCVQNMQDELPPSPTSASSTLAEEVVVGETVSEEGKPDHMHQPPAVRTGEAKLLNLFPLTASCSEDR >ONIVA11G07820.1 pep chromosome:AWHD00000000:11:6923099:6923503:-1 gene:ONIVA11G07820 transcript:ONIVA11G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCGWWPSGGGGATRLDAEEMVASAKAMLPSGEDKHNEFDLEFLGNVTGEPYLVQTNLYIDSVDNGEQCIDLCFDPIADFHTYVVLWNPSQVVFLVDDTPIRVYKNKNATAIKVTTATPSLMAPTMPLFPLS >ONIVA11G07810.1 pep chromosome:AWHD00000000:11:6922891:6923095:-1 gene:ONIVA11G07810 transcript:ONIVA11G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYSSIWNADDWAMQGGHVKTDRSHAPFVAMSALRGAFAANATDSDADEVAQCTGSSWGKEGF >ONIVA11G07800.1 pep chromosome:AWHD00000000:11:6906166:6906384:1 gene:ONIVA11G07800 transcript:ONIVA11G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEKQWFHACTCAAGASELPLKTIGSRIYEKTKGSGEEEQKGKRRRGRLDLEEWKGGNSVSLTGARLRP >ONIVA11G07790.1 pep chromosome:AWHD00000000:11:6900219:6901737:-1 gene:ONIVA11G07790 transcript:ONIVA11G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQANTIQVPTDAELLQAQADLWRHSFSYLTAMALRCAVKLGIPTAIHRLGGEEAAASLPNLMAALSLPASKQPFVRRLMRLLVAVGVFAADGVADERYRLTPLSRILVDGVAAADDHHHHVLQTPFVLTATSRQYVEAALGLDEWLRKDAPPAPAPVPSPFEDAHGAPLFDEATAAAIDPEFAAAADDALAAHDSLGIGAVLRECGDLLRGVASLTDCAGGDGATARAIAAAFPHIKCTVLDLPKVIDKAPVDDGVVNYVAGDLFHAVPPAHAVLLKLVLHFWSDDDCVKILSQCKKAIPSRKEGGKVIVIDILIEPSLGPAMLEAQLLMDMAMMVNTRGRQRDESEWRDLFFRAGFSDYKIAKKLGARAVFEVYP >ONIVA11G07780.1 pep chromosome:AWHD00000000:11:6891371:6899426:-1 gene:ONIVA11G07780 transcript:ONIVA11G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHLLLSPKAVAASPPSPAGGGAAAKGLERRDREHRGRCMDRHGSMEKYIMEVIGMHLSPWPPSCAHHLWLQDGCKVTRERREGGKKRRNQRRKRRRGALCWQFKRSKLTTLERKGGSMDPGYTRFKVCLYN >ONIVA11G07780.2 pep chromosome:AWHD00000000:11:6892703:6899426:-1 gene:ONIVA11G07780 transcript:ONIVA11G07780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHLLLSPKAVAASPPSPAGGGAAAKGLERRDREHRGRCMDRHGSMEKYIMEVIGMHLSPWPPSCAHHLWLQDGCKVTRERREGGKKRRNQRRKRRRGALCWQFKRSKLTTLERKGGSMDPGYTR >ONIVA11G07770.1 pep chromosome:AWHD00000000:11:6878584:6883206:-1 gene:ONIVA11G07770 transcript:ONIVA11G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESRQGPKGGDTMAASEVSVDWRGRPCGSRKHGGMKAAVFVLGIQAFEMMAIAAVGNNLITYVFNEMHYPLSKSANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPACDMMAAAAAEGGCEEASGVKAGIFFAALYLVALGSGCLKPNIIAHGADQFRRGGGGGGGGGDGDGDDGDDGKRLSSYFNAAYFSFCVGELVALTVLVWVQTRSGMDVGFGVSAAAMAVGLVSLVAGVFFYRNKPPQGSIFMPIAKVFVAAVTKRKQVCPSSSSTTAASHAVIPATGAAPVHRINKFRFLDKACVKAQDGHGGGGDGGKESVWPPCTPAEVEQVKVLLCVVPIFACTIVFNTILAQLQTFSVQQGSAMDTRIGGGGGAAGFHIPPASLQAIPYLVLIALVPAYEACFVPAMRRATGVDTGITPLQRIGVGLFAVTFSMVAAALVEAHRRRHAGLLSIFWIAPQFLVFGVSEMFTAVGLIEFFYKQSLSGMQAFLTSMTYCSYSFGFYLSSLLVSLVNKVTSGDVAGGGGWLSDNDLNKDRLDLFYWLLAGLSLLNFFNYLFWSRWYSKSVETTVQVAGVGGEGGGGEQQEEKGVDEVNMRH >ONIVA11G07760.1 pep chromosome:AWHD00000000:11:6863835:6869488:1 gene:ONIVA11G07760 transcript:ONIVA11G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLPRATPTPTPATERKGMTAASPRGTQSTTPVRKGLAVASPLGKPVPTPGRKRNFDME >ONIVA11G07750.1 pep chromosome:AWHD00000000:11:6850097:6853635:-1 gene:ONIVA11G07750 transcript:ONIVA11G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRGASAVAVHGGGGRVPKRARVTAEPSLLDVRAFPGQKEEGEKQPARGGGGGGGKKSSSSTFRAQVRGFLARCAVAVPASEAGELSPGMSSWHVGFTTAGGEAVAVMEVVEEEVARARRVYCEHCTVAGWSKHPVCSKRYHFIIRNENEIGTSKTCRRCGFMVALQETRCPSCNHVGLSRDDPEDWDYVQLESPRHLLHGIVHENGFGHLVRINGREGGSSVMTGSQLINFWDRLCRYLRVRKVSVIDVSKKYGADYRSLHAVATGCSWYGLWGFKLSSGSFGITPQDYSKAVESLSSVPLSNFFPHTRSQRNQLQNTIAFYQSLSRHPLTTIRELFAYIMELATGKSVHKEKMAYDANYQEEFPEEELQRATNIALKILRAADRWVATRTLKAVTSHPIGSPQLVDYCIKTLGGTRTDDGMVVAIRCNSEMNTVEYRLTTETLFPPNNVCTLTQDHLFRDIKFLYDALLYPYTMHPYMPEENYQHAKRSAMILLDCKQFIKHYDLEEDFLPQNPSQLHIWCQVELADQVDDPPCIPAELITLPQAATIADLKGEAARAFRDIYLMLQSFVADQLLDCATASDTTQIKLLFGAKGAVHIKGRCIGGERRFAIYRMERGVDKWTVKCSCGATDDDGERMLSCDTCHMWMHTRCAGISDFDRVPKRYVCKSCKLTHKPKSSGPRLMYSCAPNKRCKTGTGSFSNVAEGLLWPHIS >ONIVA11G07740.1 pep chromosome:AWHD00000000:11:6846498:6853741:1 gene:ONIVA11G07740 transcript:ONIVA11G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMLRIISLHNQEAGQLQNSVTLEPIPEVNQLGSCHDAGASLTAINSNKVAKPVFMDYAMQQMPWTLQLHIVPIFRIIP >ONIVA11G07740.2 pep chromosome:AWHD00000000:11:6846417:6853741:1 gene:ONIVA11G07740 transcript:ONIVA11G07740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSLGVPNWIVASSPSPSCPQLQAPLLVLPPSPLLWTSLLINARRASRLPPAHLNAGGRFHAVAAAASAPPLVPLLGWGSLERREPLRQPQPTAWESEGNQLHRSTEQDGKSSSALQEDESSDEVFMKQMLRIISLHNQEAGQLQNSVTLEPIPEVNQLGSCHDAGASLTAINSNKVAKPVFMDYAMQQMPWTLQLHIVPIFRIIPATMNPQRLQVLEVPISFSLRIMKWYLLEQTGCLLQPM >ONIVA11G07740.3 pep chromosome:AWHD00000000:11:6846484:6853741:1 gene:ONIVA11G07740 transcript:ONIVA11G07740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDNSPASDAGTATAHRARNPLTWARNVEDDDFFPPPPPPPRAGCFSPSSFCPGNARTSSSDGSAVTRARFGTRPPPPCTATADAPRLRTAISPPRSALVAEGV >ONIVA11G07740.4 pep chromosome:AWHD00000000:11:6846484:6853741:1 gene:ONIVA11G07740 transcript:ONIVA11G07740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMLRIISLHNQEAGQLQNSVTLEPIPEVNQLGSCHDAGASLTAINSNKVAKPVFMDYAMQQMPWTLQLHIVPIFRIIPATMNPQRLQVLEVPISFSLRIMKWYLLEQTGCLLQPM >ONIVA11G07730.1 pep chromosome:AWHD00000000:11:6828974:6835411:1 gene:ONIVA11G07730 transcript:ONIVA11G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRRPAPLRLVVFLAIVLLRWSTNGNATAGGDDALRGDALALVRLRASLRCHAHALRDWSAGNVAAVCAWTGVRCAGGRVVSVDVANMNVSTGAPVSAAVAGLDALANLSLAGNGIVGAVTASALPALRFVNVSGNQLGGGLDGWDFASLPSLEVFDAYDNNFSSPLPAGVVALRRLRYLDLGGNFFSGEIPAAYGGMAALEYLSLNGNNLQGAIPPELGNLTSLRELYLGYYNVFDGGIPPELGRLRNLTMLDISNCGLSGRIPPELGALAALDTLFLHTNQLSGAIPPELGNLTALTALDLSNNALTGEVPATLASLTSLRLLNLFLNRLHGPVPDFVAALPRLETVQLFMNNLTGRVPAGLGANAALRLVDISSNRLTGMVPEMLCASGELHTAILMNNFLFGPIPASLGSCSSLTRVRLGQNYLNGTIPAGLLYLPRLNLLELQNNLLSGDVPANPSPAMAAASQSSQLAQLNLSSNQLSGPLPSSIANLTALQTLLVSNNRLAGAVPPEVGELRRLVKLDLSGNALSGTIPAAIGRCGELTYLDLSKNNLSGAIPEAIAGIRVLNYLNLSRNQLEEAIPAAIGAMSSLTAADFSYNDLSGELPDAGQLGYLNATAFAGNPRLCGPLLGRPCGYGGGGAAAVGAGGSSSAPVVTTRQRAAGGDFKLVLALGLLVCSVVFAAAAVLRARSCRGGGGPDGGGAWRFTAFHKVDFGIAEVIESMKDGNVVGRGGAGVVYVGRTRSGGSIAVKRLNTSSSSAAAAGGGEAARHDHGFRAEIRTLGSIRHRNIVRLLAFCSRRGGSGGGEAASSSNVLVYEYMANGSLGEVLHGKGGGFLSWDRRYRIAVEAARGLCYLHHDCSPMIVHRDVKSNNILLGDNFEAHVADFGLAKFLRSGGGATASSECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSYGVVLLELITGRRPVGDFGEGVDIVQWTKRVTDGRRESVHRIVDRRISTVPMDEVAHIFFVSMLCVQENSVERPTMREVVQMLSEFPRHGSDQPSPSSSAPETGEESSPEKEPNCYKLFPDLLT >ONIVA11G07720.1 pep chromosome:AWHD00000000:11:6802315:6802808:1 gene:ONIVA11G07720 transcript:ONIVA11G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAWRIGALDAPRHRRWLAQDAARCGVRAVQLYGDGGGGGCRLLASRIDAWRRRRVSPLSRVSNNRRRRRTGLELGSGQWG >ONIVA11G07710.1 pep chromosome:AWHD00000000:11:6794132:6795009:1 gene:ONIVA11G07710 transcript:ONIVA11G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQKGIVQALRSSMVPNEVSSRYEEWVVDYRQCTLESLEKDFEANVNWGKCQQVVVCVDMTRALIAPIPTEHIPQHVIDWDGVEITPIAETRIGHEDERAARLEAEKQLDSAPSPVAGHAQEDLDNETDIGVTDVVSDESKVFYDSNDPTRKT >ONIVA11G07700.1 pep chromosome:AWHD00000000:11:6786326:6791196:-1 gene:ONIVA11G07700 transcript:ONIVA11G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCKILGEEEAVQTGVNLVDNGGPRGDGGLGVRVEEDILGSGGGGLRFRQDLWTSAEAAALGAAAGCEHWREYTGFAMCHEAFEIVDSSCQGISAELNQQQDNPEHHLLHAMRRHILVCHLPLIILLSSSLSSSCRSDHQIQIQALVQFKASLIDPLDNLQSWTTNDTTSPCSYLGVQCDPVTGTVTEISLASMNLSGRISPAIGALAALTRLDLGDNTISGGVPPELSNCTQLQFLNLSCNGLTGELPNLSAKLAALDTLDVANNCFSGRFPAWVGNLSGLVILAVGENSYDRGETPPSIGNLKKLTHLYLSSCYLTGEIPESIFGLTALRTLDMSKNYLTGGIPAAIGNLCELWSIQLYSNNLTGELPPELGKLTGLRELDVSGNKLSGEIPASLAVLRNFEVIHLQWNNLSGLIPPAWGELRFLKRFAVYENHFSGEFPTNFGQFSPLYGIDISENSFSGPFPRYLCHGKNLQYLLTIRNSFSGELPEEYSACHHLVIFRIHSNRLTGSLPAWVWGQQSAEIIDVSNNGFTGRISPAISKAQRLKELWLHNNRLDGEIPREIGRLWRLKKLYLSNNSFSGVIPPEIGNLSKLTELTLGGNMLTGWL >ONIVA11G07700.2 pep chromosome:AWHD00000000:11:6786324:6790461:-1 gene:ONIVA11G07700 transcript:ONIVA11G07700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHEAFEIVDSSCQGISAELNQQQDNPEHHLLHAMRRHILVCHLPLIILLSSSLSSSCRSDHQIQIQALVQFKASLIDPLDNLQSWTTNDTTSPCSYLGVQCDPVTGTVTEISLASMNLSGRISPAIGALAALTRLDLGDNTISGGVPPELSNCTQLQFLNLSCNGLTGELPNLSAKLAALDTLDVANNCFSGRFPAWVGNLSGLVILAVGENSYDRGETPPSIGNLKKLTHLYLSSCYLTGEIPESIFGLTALRTLDMSKNYLTGGIPAAIGNLCELWSIQLYSNNLTGELPPELGKLTGLRELDVSGNKLSGEIPASLAVLRNFEVIHLQWNNLSGLIPPAWGELRFLKRFAVYENHFSGEFPTNFGQFSPLYGIDISENSFSGPFPRYLCHGKNLQYLLTIRNSFSGELPEEYSACHHLVIFRIHSNRLTGSLPAWVWGQQSAEIIDVSNNGFTGRISPAISKAQRLKELWLHNNRLDGEIPREIGRLWRLKKLYLSNNSFSGVIPPEIGNLSKLTELTLGGNMLTGWL >ONIVA11G07690.1 pep chromosome:AWHD00000000:11:6768924:6769427:-1 gene:ONIVA11G07690 transcript:ONIVA11G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLTTRERTRITMDLLATRDQDDYEASIRGTFYVQLTITTYVSKSLSLPFHVIKGRDELYIKFFVAFGAPTRSA >ONIVA11G07680.1 pep chromosome:AWHD00000000:11:6764075:6768091:-1 gene:ONIVA11G07680 transcript:ONIVA11G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding RILTKPPAAKGGRLGCHSSNGTPTSNNNLLSCISLPSPSLHIISTDWKKGSEAEIGKSRFGQEGRTEWEFQEKLRGQH >ONIVA11G07670.1 pep chromosome:AWHD00000000:11:6760956:6764008:-1 gene:ONIVA11G07670 transcript:ONIVA11G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHILVICLPLITLLSLSISSSCQSDHQIQTQALLQFKAGLTDPLNNLQTWTNTTSPCRFLGVRCDRRTGAITGVSLSSMNLSGRISPAIAALTTLTRLELDSNSLSGSVPAELSSCTRLRFLNLSCNGLAGELPDLSALAALDTIDVANNDLSGRFPAWVGNVSGLVTLSVGMNSYDPGETPASIGNLKNLTYLYLASSNLRGVIPESIFELAALETLDMSMNNLAGVIPAAIGNLRQLWKIELYGNNLTGELPPELGRLTELREIDVSRNQLSGGIPPELAALEGFEVIQLYRNNLSGQIPAAWGELRSLKSFSAYENRFSGEFPANFGRFSPLNSVDISENAFSGPFPRHLCDGKNLQYLLALQNGFSGELPDEYSSCDSLQRFRINKNKLTGSLPAGLWGLPAVTIIDVSDNGFTGSISPAIGDAQSLNQLWLQNNHLDGEIPPEIGRLGQLQKLYLSNNSFSGEIPPEIGSLSQLTALHLEENALTGRLPGEIGGCARLVEIDVSRNALTGPIPATLSALSSLNSLNLSHNAITGAIPAQLVVLKLSSVDFSSNRLTGNVPPALLVIDGDVAFAGNPGLCVGGRSELGVCKVEDGRRDGLARRSLVLVPVLVSATLLLVVGILFVSYRSFKLEELKKRDMEQGGGCGAEWKLESFHPPELDADEICAVGEENLIGSGGTGRVYRLALKGGGGTVVAVKRLWKGDAARVMAAEMAILGKIRHRNILKLHACLSRGELNFIVYEYMPRGNLYQALRREAKGGGCGAAAAELDWARRCKIALGAAKGLMYLHHDCTPAIIHRDIKSTNILLDDDYEAKIADFGIAKIAAEDSAEFSCFAGTHGYLAPELAYSMKVTEKTDVYSFGVVLLELVTGRSPIDPAFGEGKDIVFWLSTKLAAESIDDVLDPRVAAPSPSSSSAAAAARDREDMIKVLKVAVLCTAKLPAGRPTMRDVVKMLTDAGAGPCSPRGQPPAARACARSKSCC >ONIVA11G07660.1 pep chromosome:AWHD00000000:11:6750107:6756381:1 gene:ONIVA11G07660 transcript:ONIVA11G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIVDRGTNPNSVTIGRAHPTQPGEAVNQINAWARKATNKLIDTVIDGGLPADTDVVVANAVYFKGKWKDPFTKALTKTGKFHRLDGAAVDASFMQRGTYYDTGDYIACHDGFKVLRLPYDDERRRSPASPPPPPSTPRFSLCVFLPDALDGLWDLLDEIASTPGFLQAKLPTRHASVGELKLPKFKLTFSGDIAGVLRGLGLDATFSDREADFSKMVEDDGGRRPLSMRSLVHKAVIEVNEEGTEAAASAINMVCGMSMTPEPRPVPVDFVADHPFAFFVIEETTGAVVFAGHVLDPSSTAGALDDDDDDDEFVVMGCLRYLLDRCMAFVGV >ONIVA11G07650.1 pep chromosome:AWHD00000000:11:6688982:6693431:1 gene:ONIVA11G07650 transcript:ONIVA11G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTSKLTHSVYTCIHGCTNPVCKPGASNEFGPISTFLAFLPRNHKIPSSAMLLPSIYMCVFLLSSLHNSELYILSRVSCPVVSLAYSAKQAEEERWQTATTSHQPLPWKNRPMLKTSMEELHPRSL >ONIVA11G07640.1 pep chromosome:AWHD00000000:11:6669839:6680351:-1 gene:ONIVA11G07640 transcript:ONIVA11G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with ARM repeat domain [Source:Projected from Arabidopsis thaliana (AT1G50240) TAIR;Acc:AT1G50240] MGIEDYHVIVLVGEGSFGKVYMGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDSFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMSAHFKSFLKGLLNKSPQSRLTWPALLEHPFVKDDSVEPAADNGTVPYEVKGSEATWKADEIQTSRKQPPVTDPQSRNTVENREIVYDKQNNKKVEGPKHAAEDHHGSATGPECSALDKLEKTSQTVKGANAIIEDSEALSTALSPIKIWLSNTSTSPRELNIDDANQSLRIVKNLIEAGSYQSCAAIDDIICMFLECTSVIIKTKISDAYSFAVKILVSTIDPSGRISRESTACLALMLSRVISGLKTSISSESPKPMEESLIKIINHARSSQLLELLCECLIASGSDIVSGSTNMVPAACEACKAIWYLAHAVDIMSLGTHNFSFPLANSWRQVHAKLDGKMQDQGSLPASQKKDSLSDIDSTHLINIFVKSFLASKPMQVAVYHCLHNGLESAIHASLQLISRACLLDASFCAMICGPINPSSNVNEVEHGGDGTIVSDMFSLLSLCGSYLNKESKQNSNQKCRLSNPHALVVHCCLALATIAACLKSEGNSSASIILTSSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLISLENGGQSRSSLCETALALFPRMATIHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGCVGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKEPSDEKEGSIHRSGLSPLGVVWTLSALSQCLPGGVFREILYRREHVKLLTDLLSDTHLKALAAWTGLGGGKKGVRELINSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNIASPGGRIGTENKEMLKTIEKNMAQYIQVLLEVSVPGCILHCFDHVNMEDLSRPLAIVAKMVGYRPLASQLLREGLLNHSRVEKLLKGPIAKETLLDFLMIVSDLARMSKDFYEPIDKAGMVGYLKNFLSNEDPDLRAKACSAIGNMCRHSSYFYSSLATNKVIELVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTKLLLAPEEDKTKGNAAGALSNLVRNSNILCEDIVSQGAIQALLKMVSSYSTVALSPSRKDVLTESPLKIVLFALRKMCDHAACRLFLRSSELLPVIVHLRQSPDPAISEYASAIATKACQP >ONIVA11G07640.2 pep chromosome:AWHD00000000:11:6669839:6680351:-1 gene:ONIVA11G07640 transcript:ONIVA11G07640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with ARM repeat domain [Source:Projected from Arabidopsis thaliana (AT1G50240) TAIR;Acc:AT1G50240] MGIEDYHVIVLVGEGSFGKVYMGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDSFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMSAHFKSFLKGLLNKSPQSRLTWPALLEHPFVKDDSVEPAADNGTVPYEVKGSEATWKADEIQTSRKQPPVTDPQSRNTVENREIVYDKQNNKKVEGPKHAAEDHHGSATGPECSALDKLEKTSQTVKGANAIIEDSEALSTALSPIKIWLSNTSTSPRELNIDDANQSLRIVKNLIEAGSYQSCAAIDDIICMFLECTSVIIKTKISDAYSFAVKILVSTIDPSGRISRESTACLALMLSRVISGLKTSISSESPKPMEESLIKIINHARSSQLLELLCECLIASGSDIVSGSTNMVPAACEACKAIWYLAHAVDIMSLGTHNFSFPLANSWRQVHAKLDGKMQDQGSLPASQKKDSLSDIDSTHLINIFVKSFLASKPMQVAVYHCLHNGLESAIHASLQLISRACLLDASFCAMICGPINPSSNVNEVEHGGDGTIVSDMFSLLSLCGSYLNKESKQNSNQKCRLSNPHALVVHCCLALATIAACLKSEGNSSASIILTSSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLISLENGGQSRSSLCETALALFPRMATIHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGCVGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKEPSDEKEGSIHRSGLSPLGVVWTLSALSQCLPGGVFREILYRREHVKLLTDLLSDTHLKALAAWTGLGGGKKGVRELINSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNIASPGGRIGTENKEMLKTIEKNMAQYIQVLLEVSVPGCILHCFDHVNMEDLSRPLAIVAKMVGYRPLASQLLREGLLNHSRVEKLLKGPIAKETLLDFLMIVSDLARMSKDFYEPIDKAGMVGYLKNFLSNEDPDLRAKACSAIGNMCRHSSYFYSSLVRKYFIHIWTIVEIFNLVFREQATNKVIELVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTKLLLAPEEDKTKGNAAGALSNLVRNSNILCEDIVSQGAIQALLKMVSSYSTVALSPSRKDVLTESPLKIVLFALRKMCDHAACRLFLRSSELLPVIVHLRQSPDPAISEYASAIATKACQP >ONIVA11G07630.1 pep chromosome:AWHD00000000:11:6657057:6662944:-1 gene:ONIVA11G07630 transcript:ONIVA11G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAGSAPNGCTSTVKGSEERHSLERRHDDGSCISEIKLISNMVVKKKRGRRAPPSSRRLSGNKVISGEDAAQNRNHAKEEDQAGNSSDVALSPSSRKTEGQDQSTNPKDLFEKACHQATEMVTESTTGCKKSFWEEKGSDNRRGRQATLCVKQDGLDIETTGKDVSASEAYEKSSTLEDTSVGHAAAKSVNPEDNSLDPMDNVSDTHVNATSSEDKSSEEVEDVKVCDICGDVGEEERLAVCTRCNDGAEHIYCMRVMMEEVPEGEWLCEECENELEYEKKKKLEKSQLKVGASKSQFFERKTNKIANASKSKSYEDETSKALEGKISKPDTALKNRSSFENEVENENGDKKELNSTNQCNNSNSKRKEEGAGIISSIKQSITERCGLSMGAESRKRLPLSRESSFRLDVEKGKQAATKVPTSLAFDAAKNLGPPLRDLGKENLHRKVPKVKQLVNEVPQKPNNLKDHIPFLAKKEGPVGILAKSPFFKKPKSCESANKAKSSILPPTEESKVVNPPVSHNVTSDRDTSILGCPSVTASMTTQVSSKEESKAQHLTTGYSEVNKQLVAKAPGSTTVSSAEKSSGILGSGAQRKVIQNTDPAHRDDKVKDPTSLRPGGSSINRSMRCQCCNEAGHSTQFCSVDKLSLSAVKPMSERNMKDSSAKRNKTFEATNMIAAEKAASRPADQSEHIVKCGPSHNPMCRPKDLLSTSFGHVKKPSQLYGQTNEQDMRNTSSNKASTDGSKLKPNECQTVSVKTGRLVDGSLTMPDALMDKSSTVPELDFIWQGGFELRRTGRSPELCDGFQAHLSCSASPKVLEVAKKFPSKVQLEELPRQNSWPTQFQENGPSYENIGLFFFARDTDSYENYYSKLVENMLKNDLALRGNIETAELLIFPSNILSKNFQRWNMFYFLWGVFRVRKKDQMNIPPDVPFSTCEPNLNTDRMDVDESISVLTSGPSFSEGQNNGAKSDHDLVKSVSCVDYQCPQSTETNYQRCSNGETESNQPVSRNESEDHHQVPITASSSTNNSTDLATEQQKLSCSGDEDTKDSSNSIACEAIIDVNTVPVTCSVSSVYEIGKGIRVINLNEADNLVDVDINSSEVNSGTVDLISHTTATPHKRNVEVANWADEVNGKLEQKKIKLDNVGSANSSLSENTSDGRLSSKVHPLVSSSFDDSVDQSLAGSSKCNGKRIFPLDLNTVDAGNVVNISDDEEMPERDAPDFELELTDNNSPRKTMFSFLSPKVEENRSKEHSLPTDSPGSLSLSLAFPASREHAGVLTSSYIQGAELLNCKEQSPGRECYFAGNSDMLFKT >ONIVA11G07620.1 pep chromosome:AWHD00000000:11:6654158:6654799:-1 gene:ONIVA11G07620 transcript:ONIVA11G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVARRGVVVLRLRHGEPPPSAAARSGGRCSRLLLLWTFAVGFAAGLFMFASHVLAAVAAAVLFAAMCLYTCLCLNNAAPEEEEDQQQQPPVLLLPGDQALRVAVMPPSVRRLQQQAVNGGGGGGGLSQEDVEAAIPAFEYSKGSGGAAEAEQCAVCIGVVRRGETVRRLPACGHAFHAACIDGWLRAHATCPVCRADVKVAGGGGGGAPV >ONIVA11G07610.1 pep chromosome:AWHD00000000:11:6629937:6634141:-1 gene:ONIVA11G07610 transcript:ONIVA11G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHEDEEEETAVLLVRQWHMVRRGGGGCRFGAVLREEKRQLIECGSVEPVWGGDGVHCCRVGASVRLGFWQCGPVKALPRGCGNRAKNGKGNPGERDMDGILWRRDKFPISAAAADDEATTARPLGTAGGIRIQLPASYKVFIKCNSLPQTTVYNHSRWITLGASRSSPMQFPQQSAECHLVRSTSGDWAPMLVCGGAATRQGHARDRRRASRQQERCGRRKASSSSKILCILLPDSKDYCHAKY >ONIVA11G07600.1 pep chromosome:AWHD00000000:11:6625684:6626241:1 gene:ONIVA11G07600 transcript:ONIVA11G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRRRSNGAWEINLVRRSPATARTDRCSRLLLLWSGFVGVVVVLYLFVGHVWASVATAVLLAAAGWFTWYYFGAAPAPPVLPDHHQPAAPVEARGLSQEDIEAIPAFEYRRGSSGSGVAQCAVCIAAVKDGDTVRRLPACGHAFHAPCVDGWLRDHATCPMCRADVVKVAGETTPATEEEPPV >ONIVA11G07590.1 pep chromosome:AWHD00000000:11:6611454:6612406:1 gene:ONIVA11G07590 transcript:ONIVA11G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGDSNPDKEVESIAHRLGCVLILAVSSGVEEHTTQGVLISPLAVGTHSNRSGPRTGSKCPPMGQSGHDLHLEQGLGWCSSDMAAFGGLPYLLSSCVVFTDSSNPGWGWGLTVARARATLSPSWRWGRGQVRKSSVREEGILAQPIPHQPCYYPYSTPTTL >ONIVA11G07580.1 pep chromosome:AWHD00000000:11:6587575:6590912:-1 gene:ONIVA11G07580 transcript:ONIVA11G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATASSVVISRFVKLDELASGGCGVVYRARDRRSGEIVAMKCIRSYRDDCGELVDRSDFDREVAAMEVCRGHPYIVQPRAHGRCDDGEAVLVMEFVGPTLRQVLRRERGGRTRRSELEVRVAMRQLLSGAKRMHDAGLMHRDLKPDNVLVDARGNLKICDLGLSQSTASPPPYSNPIGTRWYCAPEILLGFLNN >ONIVA11G07570.1 pep chromosome:AWHD00000000:11:6570403:6571857:1 gene:ONIVA11G07570 transcript:ONIVA11G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKASKRSRHDHGFAAVARPPSCPGLTELAIRLARRIPASAAGGGGNLVFSPLSVYAALALVAAGAAGDTLAELLGVLGVASYDELAGLVGRLAGKALADLSGTGGPCVSFVSAVWHDMARTLAPSFRAAAVLSFMAETHAVDMRSRREAVGQINAWAKKATNELIDSVIDGELPADADVVVTNAVYFKGKWEEPFKKRLTITDKFHRLGAAAAVDARFMRSTLPRHHIACHDGFKVLRLPYEQGRRPPWSPPPSRFSMCVFLPDARDGLWDLLDEIASAPGLLQAALPTKTVRVGKFMLPKFKLTFSDDIAGVLRGLGLDVTFSDGVADFSKMVEDDGGRRPLSMRSLVHKAVIEVNEEGTEAAAVTGATLCLASAKRPRPVVVDFVADHPFAFFVIEETSGAVVFAGHVLDPSSKPGALDDDDDDDVVDHRSTPGASEEEDDGDDDMDYHVGMIGCLRQLWGCCCMPFVVVRNFVKFLV >ONIVA11G07560.1 pep chromosome:AWHD00000000:11:6566078:6567880:1 gene:ONIVA11G07560 transcript:ONIVA11G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMSAARSGRVKIGDLPEDLLQRVVSLLSARQTVQTSALSRRWRHLWRSAPLLRIVPDEGFQTVRGLNEFVKHLLLLRDGAAPLDACVINFYCCEFDSYQYPSSDEPDVGLWLRHAVSRGAQLIRVEVYVEDEPVCLPDLPFVSNHLRVLDLRLVEIKDSLVDFSGCPSLEHPKFQGGFINARRISSPSVKHLIIDGSGFNRKFRTRISTPVLLCSKACEGMPLLVTASVNLDHECRDHCANTEFGDCGDPECDDCDVMVSDGDGCVLLQGLSGATTLELTTESRVFMFRRDLMWCPIFSKLKTLLVNEWFMTSNMSGLACLLEHSPIVEKLTLQLSKEPRNFVEIEDSDKPCKQAFLFKNLNIVEIKCQEGDERVKKILKILSQNGIPLAKINVLQTKRRPRHI >ONIVA11G07550.1 pep chromosome:AWHD00000000:11:6559506:6561530:-1 gene:ONIVA11G07550 transcript:ONIVA11G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVACSSRRKVNAGDPSSSWASLHEDLRVAAGDFRDYIRFRAGRGLHPGHGKLRGHVRSFHLSTGAIVRVRLPHFRDHCVLYSADGVLLLQRDHDTAIRLLHPFTGDTAELPPLETLLPRVRCRSEASRWCSLRNICGACISVGVGDGLVRVMMRPIGVWNICFATSGDQQWRVATTWDRINHRSSTLPFHGKLYVLLRPHSVRGEHEVIQIDPPQHCLSEPSPKLIAKFRWPTSDESFRLYSYRLVECNSEILVIGTKWDAVYYSVYRLADLMLGRTVHVTSIDGNALFIGRRSLCVSSKAFPTIVPDTIVMPDTKIYLSQYHLSNGTLSQATDGVIAEEKDIPGPYSIMCHIITCCSPAYWHK >ONIVA11G07550.2 pep chromosome:AWHD00000000:11:6559506:6561530:-1 gene:ONIVA11G07550 transcript:ONIVA11G07550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVACSSRRKVNAGDPSSSWASLHEDLRVAAGDFRDYIRFRAVCPQWRSATTCPRRRGILDPRFHPRGWMLLPEGRGLHPGHGKLRGHVRSFHLSTGAIVRVRLPHFRDHCVLYSADGVLLLQRDHDTAIRLLHPFTGDTAELPPLETLLPRVRCRSEASRWCSLRNICGACISVGVGDGLVRVMMRPIGVWNICFATSGDQQWRVATTWDRINHRSSTLPFHGKLYVLLRPHSVRGEHEVIQIDPPQHCLSEPSPKLIAKFRWPTSDESFRLYSYRLVECNSEILVIGTKWDAVYYSVYRLADLMLGRTVHVTSIDGNALFIGRRSLCVSSKAFPTIVPDTIVMPDTKIYLSQYHLSNGTLSQATDGVIAEEKDIPGPYSIMCHIITCCSPAYWHK >ONIVA11G07540.1 pep chromosome:AWHD00000000:11:6542607:6555331:1 gene:ONIVA11G07540 transcript:ONIVA11G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAAGAPRRRWCAIQGLVVLFLVYVLAVLVLAGGELFHDDQLQPRFPSSPGIGSSSSSSSARILLSPRSMIRRLGEIARRGGSRRWWTGGVRPESGSPRSEGGNSSATDQACSRRCAASGLAGMALRLAERLSLEEDSVGGGNLVFSPLSIYSALTVVTAGARGTTLAELLAALGAPSSRDALAEDAGEIVRALPGGSGTATGGPRVAHACGLWHDRRRNVKPAFRDATAASFQGTTRAVDFLANPEEARNAINSWVAAATENLIDTILPPGSVSTDTRLVVASAIYFNATWQTPFRKQDTKKDKFHLLGGGGDVDADFMRSGDDQYVAAYDGFKVLKMPYNTRASRTHTQPQYSLCVFLPDERDGLWTLADRMEAGGGEVFLREHMPEKRVKVGEFRIPRFKLSFDGSIKTALQGVGVRAVFDPAAADLSDVLEEGNSGDPPLFVSDVLHGAAIEVNEEGTEVAAATVVIMKGRARRPSPAPAPVDFVADHPFAFFVVEESSGAVLFAGHVVDPTNPRRPFKWSTCKNGFSQAGSGFHPDLHFRRRLFVSEGDVPVDSEAPVVTSSISPRFASPVFEDAHRYRVCVRVFIGRNALFFYSDARRKSLVAANTSAFAARSSRCLHSMGSLGSPAGRRARRFHDLRDVVSEHADAATATLSVVVFSAVAGILHLMQDTDEARKEDTPTKTNPYFRSNQLMEDLIRERASYNETTPDEKTVVREYMEDDQAIRARFKDWMKEHGRTYKQDEVEEARRFKIFKSVARFSDAANDDSANAGHSTRFGLNEFSDWNQEELARMCCCMPARSDGDLASGIADMEYKDQGCFKSPTIAAAMRRTPFSTMESCARRCAVSGLMALSMRLTKQLSAAAASKAGAAGNLVFSPLSIYSALSVVTAGARGRTLTELLGALGAESREKLAANAGEMARALPAPGGGAAQPGGGPRVAHACGVWHERTRTVRPAFRDAAAASFNAAALAVDFLNNPEEARKEINSWVAAATENLIDTILPPGSVSTDTGLVVTSAIYFNGQWRTPFCKEITEKRAFHRLDGGDVEADFMRSGEDQYIAVHDGFKVLKMPYAACVSARTTTTPRYSMYVFLPDERDGLWSLEDRMAAGGEGFLREHTPERRVEVGEFRIPRFKLSFDDSVVGALQRLGVRDVFKPFVADLADVLEAENSGDDPPLFVSDVKHKAVIEVNEEGTEAAAATAVCLTFASAAPSSRRPARVDFVADHPFAFLVLEESSGAVFFAGHVVDPTDE >ONIVA11G07530.1 pep chromosome:AWHD00000000:11:6538699:6539241:1 gene:ONIVA11G07530 transcript:ONIVA11G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSPSPAKSKQPPPTRCRGRRRAHAGEARHRSIRLRLSGVGVDQARHRSPRPEGQPDLATPSPVAVAGADRHGEPHRRATVAVESPTAAQSHRHDLAVPPPSSPRPRRDETEPRMMAPPPPSQRVARLCRRRAPAAAKHGGRRRRGGGG >ONIVA11G07510.1 pep chromosome:AWHD00000000:11:6531633:6533653:1 gene:ONIVA11G07510 transcript:ONIVA11G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVLGVEKATVTAITAGGGGMGVEEEIVKLFVGEYHVSTLASLSSLLFLCFSLLFSTLTSIAHFARRQRRGVCVLSRADAVTDVALRQPAAPGAVVALRGRFEILSLTGTFLPGPGPPGSTRLTVYLAGGQGQVVGTLTAAGPVMVIASTFANATYERLPLDQEEEEAAAGGGGHMMAPPPLMAGAADPVLFGGGMHDAGLATPAWHHARPPPPPPY >ONIVA11G07500.1 pep chromosome:AWHD00000000:11:6508307:6508752:-1 gene:ONIVA11G07500 transcript:ONIVA11G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDECKTGDFGKRPIILCLFSHIKAMEKLSYDAICSGARCIEQQGNNSNMSCSDALQQYHSKSFNPRLIDDISKFASGSQENILTQHTEKMHN >ONIVA11G07490.1 pep chromosome:AWHD00000000:11:6502339:6508280:-1 gene:ONIVA11G07490 transcript:ONIVA11G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKASQFTQKDSTTTRVEKSGEEKIKEGSKQGDSNVAHNGNAPPLEQNHPNNTDVCSQLPKTPQTKSTAEHETKSNINNTSTSKRAPPTENVICSQLQTTPPSKQTEQENSKSNSNKYNACKETQHAASSKDFVCSQQSNNSVASRTRAKILHSASPLSVVSISPSKKNSSDQKIRKAPLKATQPISTQPDKEESNKDGLFVEPICTIPAKKEEVQPTKDLESNSTEFVIDIEGPYDVEDITGHTTDKTKFILKIIRAKVLHKMNQTTFQTRAQIYQTYIMHFKALQPKFECLHHFDDKSKTNKSASLGHFLSQTTSGTNIMDIEHSSMQVTQGERYMHTPKTCINNKVGVQHLSANFTANIGNRRLEGQHCDENEYQDDFAEFLYEEEPSDNIDMVEQRAQPQINNEQSSPQTPLHINITSARTPATKKSSQSSPNIGMNSPRIAQMREPNQHAQTEERQYSMTRIIDSLNASGNCSVLMDNVKVTWSSLSKSLSPRGVVDTYVLNAYAKKIANDQNNKENEYINFYFFHRTSLIFPCLYDNHWFVFTVDIKGHHFVFLDSIYDENSKYHKKIQGLLIPGLLQCGKNSQMHDCGIYAMKCMEWWNPRIHLKDMIRPEYIPNMRKQIANDLLCLEYNSQ >ONIVA11G07480.1 pep chromosome:AWHD00000000:11:6495272:6496480:-1 gene:ONIVA11G07480 transcript:ONIVA11G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPPAAAAANIAAPRADSRRRRRSPAAKAKAKQHLYVVLNDRKDACEIHKLDIDGGGGGRLMMNAGDMASLKTLRRLPEPPLLRLQSPTVHPCSKFTTVGSSIVTMGEYFSDHYCGDWFREARGNTLVYDTKTAALTVVRHLPEGLLGVYDFVAAIAVGANRLYVLDEGTMDDYRGRIVGGMHCFRLTDDDDDGSRKKKERWSWWQPDESTRISWSDHPSRLPFDTITGQIEAYAVHPKGRTFFVSVRQVDDEGTFSYSVESGKWTRRGDWMLPFVGHGHYDGELGSWVGLHHSDDDGRLSACRVVSARQRRALPEVKVSKEKVFVQVPGWARVQAELVYMGGRSEYCLVEWLEPEGSSDEEKCDECVLRLTKMRVVYDGDGELTVAAHRLSGCYKVSKV >ONIVA11G07470.1 pep chromosome:AWHD00000000:11:6493969:6494819:1 gene:ONIVA11G07470 transcript:ONIVA11G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPVANPTWVSDLATGTRRAAGPGAYVGFQFERARTWISIWMEREETLVEMVTSVDKDTVSTVRMAANTAPLTADAADIGSTMGTAAADVGSTTETTAAAGSQAAISPDAAPPTVILVEGGGEGM >ONIVA11G07460.1 pep chromosome:AWHD00000000:11:6491931:6492943:-1 gene:ONIVA11G07460 transcript:ONIVA11G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHKFRVSGAATSQGACWLIGSMKVYLDYSETVDDWRDHGETVQIGRGDTFLMY >ONIVA11G07450.1 pep chromosome:AWHD00000000:11:6488481:6488745:-1 gene:ONIVA11G07450 transcript:ONIVA11G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPETLPRLPEPPLLRLQRPTVSDLPQFTAFGSSILTMGQHTEYGFAQFDVFRYTSWW >ONIVA11G07440.1 pep chromosome:AWHD00000000:11:6456405:6457292:-1 gene:ONIVA11G07440 transcript:ONIVA11G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMHVRKAIHFVSMKAKLQSFGGLRLLLVGCLAALLLLFAVRTLSFTTSSATATAAREAAEAGCGKLPAAVAQAMVHYATANVTPQQTAAEIGVSLRVLQRRAPCNFLVFGLGLDSAMWAALNHGGRTVFLEEDASWIASVKAGHPGLESYHVAYDTRVTDADELIALRHEPACTSQPDLAAAAAASCRLALRGLPPVFHEVEWDLIMVDAPTGWTPESPGRMGAIYTAGMAARARTPGAGATEVFVHDVDRHVEDTFSKAFLCDGYLVEQVGRIRRFVIPSHRDKDGTPFCP >ONIVA11G07430.1 pep chromosome:AWHD00000000:11:6437417:6449552:-1 gene:ONIVA11G07430 transcript:ONIVA11G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEAESCERKAPEILLSGGEGEGGGERWAVHRERPRTAPEKRGGGRGVDALQSDNKVANSDYANSISEEEETSDSDKYITKKDLVSRLKDLDRSKGKEHTKLEYDQDKSGSAESPKPRSRLNITYFSNLIEGLSNEQRSIIEKSAFGSLLNFQRCAIPLSFVKWIASHTDVSCLDIVVNGRSIPINPNTTNFILGIPNGGLEIKHDNDAGKHFFHQHFGSTKPLISFFGTKLLSDKGVNKLSKDDVLRCFMVVALSTFFCPNSDTHPSPKYLEPLIDIKSSSKWNWSKFVYEWLMTYIAKFQKESKSKEQTSKTLGGCGHLLAINYLDFKDFGIRNIPIGPPPRISVWKGGMIKEYSKMDECKTGDFGKRPIKAKEKLSYDAICSGARCIEQQGNNSNMSCNDALRQYHSKSFNPRLIDDISTACKWFTREHSDTTYGKNAQLATKVLDCVYMSKASLFTQKDTTTTREEKSGEEKSKGGSKQGGSNVAHNGNAPPLEQNHPNNTDVCSQLPKTPQTKSTAEHKTKSNINNTSNSKGAAPTDKKASSKDFVCSQQSNNSVASRTRAKRLHSASPLSVVSISPSKKNSSNQKIHKAVRKQPLKATEPISTQPDREESNKDGLFVEPICTIPAKKEEVQPTKNLESNSTEFVIDIEGPYDAEDITGHTTDKTKFILQPQINNEQSSPQTPLHINIASARTPATKQSSQSSPDIGMNSPRIAQMREPNQHAQTEERQYSMIRIIDSLNASANCSSTRHNLYRPKRIVHPILMDNVKVTWSSLSKSLSPRGVVDTYVLNAYAKKIANDQNNKENEYMNFYFFHRTSVYFLKNWEGTGKEEDYENCARQAFTFARNKKPLHYYDLGHHFIFLDSIYDENNKYHKKIQGLLIPGFIAMWEEFSDVEKNFSKFDIQYPPITSQNNGHDCGIYAMKCIEWWNPRMHLKDMIRPEYIPNMRKQIANDLLFLEHNSQEEAKMLARSFNPTKHGKYARQQ >ONIVA11G07420.1 pep chromosome:AWHD00000000:11:6414927:6418024:1 gene:ONIVA11G07420 transcript:ONIVA11G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKTHSASKKMDDGSSPASTSATAAAAAAANLESTSFDDGRSHSSKITPIELVIVTDPEEPPPASRSHGHGPRRRSWRRRPCPPLAKKAAAEFVGTFILIFAMLSTIVTDAQRGGVEGLVGVAASIGLAVAVLVMSLAHVSGAHINPAVSVAMAAFGRLPPAHLLPYVAAQVLGAVAAAAAVDGIFHPASRGWMVSVPKVGTVEAFFVEFVTTFVLLFVITALSADPNAVKELIAVAVGGTAMMNVLVAGPSTGASMNPARTLGPAIVAGNYTQIWVYMVSTPLGAIAGTGAYFAIKL >ONIVA11G07410.1 pep chromosome:AWHD00000000:11:6394840:6403024:1 gene:ONIVA11G07410 transcript:ONIVA11G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMEDAGGRGMTAFALRLAKRLAGGGGSSGNNNKKNIVFSPVSLYAVLALVVAGARGTTLDELLALHGAASLDDLTESIHRVMEVDLADESASGEPPISYACSAWHDETLMLSYSSMVTLSPSCRHRRMGTVVRRLRPGGAVHAPHQAALHRRRPPRRSRRPAERRRQQGRPQQPRLSPLSIYSALSVVAAGARGRTQSELLKALGAGSREELAENVAKTMARALPDGTPQRGGPRVAHACAIWHERARTVKPAFRDAAAASFKAVTRAVDFLRNPEEACKEINRWVSTATENLIDSIVSPDSVDKNTRLVVTSAVYFKGRWARPFDKEKTKKDKFHLLDGGGDVDADFMRSGEDQYIAVHRGFKVLRMPYAAAQHEHNRVYTKEHLRRRFAAAAAAAVVPKEMPATSPRYSMCVFLPDERDGLWKLEDRIAAGGEGFLRKHMPERRVEVGEFRIPRFKLSFGDSVVRALRGLGGPVRPGVLEADNSGEPPLFVSDVVHRAVIEVNEEGTEAAAATAMILLGAAPNAAPPPPRVDFVADHPFAFFVVEESSGAVLFAGHVVDPTKQYPPPPRRRCCAIQGFVVLFLVYVLAVLVLAGGELFRDDHPLDLRFPSSPGIGSSSSSSARFLLLPRSLLLRLGEIASRRGRWWRPESDSPTSGGRKDGNSSTTEACSRRCAASGLTGISLRLAEQFSAEEDGGGGGGNLVFSPLSIYSALSMVTAGARGTTLTELLAALGAPSRDALAKNAAEIARALAGGTATGGPRVAHACGLWHERTRSLKLAFRDAAAASFNAATRAVDFLANPEEARKEINSWVAAATENLIDTILPPGSVSTDTGLVVTSAIYFNGTWQTPFRKQDTKKDKFHLLDGHGTVDADFMRTGEDQYIAAHDGFKVLKMPYAHDHAAPQPSPRYYSMYILLPDERDGLSSLEDRMAAAGGGGGGEGFLSEHMPVRRVEVGEFRIPRFKLSFSRSVVRALRGVGVNAVFDRAELPDMIEGEPLRVSDVLHKAVIEVNEEGTEAAAATAVLMEGAARYAPPPPPREDFVADHPFAFFVVEESSGAVLFAGHVVDPTKS >ONIVA11G07400.1 pep chromosome:AWHD00000000:11:6386995:6393033:-1 gene:ONIVA11G07400 transcript:ONIVA11G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNINETGDPVAWPSIEEAKDMWSKLMDMPEAVQKKWMQDSKTLLQQQIAKLQKKLDNLKAENYKREITNIISELSVGLCKNLDDLSPEMVKGVKLEVAKHREAIRNRIVELRAQGASSSVVVAPQEEIVAPHAFQFDLNEPAAVDDDPPIRSISPATKATDGAAAACPPALVPSSYASAIKLPCGVGSFLDFVRYPNPNVLVTILGVPLDVRGLGLSVFVAPFLQACSMFHVAANSPHAKNMWSKLMDMSEATQKKWMQDSKTLLQQQIMKLQKKLDNLKAENYKREITNIISEIGGGHRKNLNDLSPEMVKNVKREAAKLREAIRNRIIELHAQGASSSVVVAPQVEIVAPHASQFDLNEHALV >ONIVA11G07390.1 pep chromosome:AWHD00000000:11:6377327:6385392:-1 gene:ONIVA11G07390 transcript:ONIVA11G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSAQIRSETIPSTLRRRRSRAQASARRRRPWTMNGGGASSWIRQWPSPNTLSPATATAAAAPRRSRPLHRVQRRRPQLPLVPTPGAGFGFGVGNGWRLDQMMNALSNRIISDLLP >ONIVA11G07390.2 pep chromosome:AWHD00000000:11:6381813:6385297:-1 gene:ONIVA11G07390 transcript:ONIVA11G07390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDERRRRLFLDSSVAQPQHPLAGDGHGRRRAAPLPSTPSRPTPPTAGSSPSSPPPARASASASATAGGWSAFGPDPRATASSDT >ONIVA11G07380.1 pep chromosome:AWHD00000000:11:6370531:6381931:1 gene:ONIVA11G07380 transcript:ONIVA11G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHMEAVILAVSKIGSVLVEEATKAAITKLSEKATNLKELPSKVEEIEDELKTMNNVIKQMSTTNLTDEVVKGWIAEVRGLAHRVQDIMDKYSYHALKLEEENSVKKLFTTPNYVTVFSEIAEEISKIEKKIENVATRKTQQSHHTPNPLADIERKRSQDCLLAPDDLVGIEDNRKLLTDWLYSKEQDNTIITVSGMGGLGKTTLVNNVYEREKNNFEVSTWIVVSQSYDVVDLLRKLLRKIVPDDQTQLLDLDAHDLKIRIKEKLKDENFLIVLDDVWNREAYTQIADAFPNFQASRIIITTRQGDVATLAQSARQLKLNPLEHTDALELFCRRAFYRNCKCPQNLEKLTNDIVVRCQGLPLAIVSIGGLLSSLPPENHVWNETYKQLRSELTKNNNVQAIVNMSYHDLPGDLRNCFLYCSLFPEDHELSRETVVRLWVAEGFAVQNEENTPEEVAEKYLRELIQRNMLEVLGNDELGRVSTFKMHDLVRDLALSIAKEEKFGSANNYDTMERMDKEVRRLSSYGWKGKPVLQVKFMHLRTLVALGMKTPSRHMLSSILSESNYLTVLELQDSEITEVPASIGELFNLRYIGLQRTRVKSLPESIGKLSSLLTLNIKQTKIQKLPQSIVKIKKLRHLLADRYEDEKQSAFRYFIGMQAPKELSNLEELQTLETVEASKELAEQLMKLMQLRSVWIDNIRTDDCANLFATLSKVPLLSSLLLSASHENETLCLEALKPESEELHRLIVRGCWAARTLEYPIFRNHGKNIKYLAISWCRLQEDPLLLLAPYVPNLVFLSLNRVNSASTLVLSADCFPQLKTLVLKRMPDVNHLEIIGGALQHIEGLYVVSLPKLDNVPQGIESLRYLKKLWLLGLHKNFRSQWQKNGMHQKMQHVPELHVKD >ONIVA11G07380.2 pep chromosome:AWHD00000000:11:6360597:6371489:1 gene:ONIVA11G07380 transcript:ONIVA11G07380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILAISKIGTTLGEEATKAVLAKLSEKVTNLKHLPRNVTRIEKELKMMNNVIQDLGTADIRKNTVKGWIAEVRKLAYHVEDVMDKYLYHAHQMQEDGKMKKFVKGAQYIKIFDEIADEIVQIEEEIKHVKDLMKWSLSAELMSPNTPSDIERQISGGCLPEFIKDEDLVGVEENRRKLTGWLYSNEPHGTVITVSGMGGLGKTTLVKNVYDREKGNFPAHAWIVVSQTYDVEELLRTLLMKVAYREQSPAANMNKMDVYELTDKIKKMLEDSKCLIVLDDVWDHEAYTMMRNAFQNLQESRIVITTRKEDVAALASSKYRLELQPLGNTDSFNLFCRRAFHGRTGCPKELMEVATSIVKRCQGLPLAIVSMGSLLSSRKQTEYAWNQTYSQLRNEMIKNDHVRAILNLSYHDMPGDLRNCFLYCSMFPEDYSMSRESLVRLWVAEGFVVRKDGNKPEDVAEGNLMELIHRNMLEVVENDELSRVSTCKMHDIVRNLALDVAKEEMFGSASDNGTMTQLDTEVRRFSTCGWKDDSAPRVSFPHLRTLLSLQAVSSSTSMLNSIFSGSNYLSVLELQDSEISEVPTSIGNLFNLRYIGLRRTNVSKLPECIENLSNLQTLDIKQTKIVKLPRGIVKVKKLRHLIADRYADEKRTEFRYFIGVEGPKGLSGLEELQTLETVQASKELAEQLEKLTKLQNLWIDNISATNCAKLFTALSKMPLLSSLLLSACDEKEVLCFQNLNPISKMFHRLIVRGQWATGTLELPIFQEHGKNLRYLALSWCQLGEDPLRVLGLHVPNLTYLRLNNMNSANRLIITAGSFPKLKTIVLKLMPNVNRLKIADDALPVIEGLYIDSLAGLERVPVGIENLFSLKKLWLLNLHQDFKADWIHREMHRKMQHFSVDAAKRVGLGLKSSQAKEVQIKAREREGTQMAEAVMLVVSKIGSVLLEEITFTVISKLSEKITNLKELPVKVKEIGKELNMMNTFIKRISTTDLTDDVKAWIAEVRELAHCVEDVLDKYSYHALKLDEENAVKQIFTKANYIKVFSEIADEITQIEGKIENAVKRKDRWLQLSELTPYPLADVQRKQSRDCLLELVQDDLVGIEDNRKQLTKWLYSDEQGSTVITVSGMGGLGKTTLVANVYEQEKMNFNVYHWIVVSQKYDIAELLRKMLRKCWSLEHTQLADLDAHDLKSAIKERLKDSKCLVVLDDVWNREVYTQIGDAFQNQKASRIIITTRQDQVASLANITRQLKLLPLKHNDAFDLLCRKAFNASMGCKCRQELEKLADDIVDRCQGLPLAIVSIGGLLSSMPPTKYVWNETYKQLRSDLANNNHVQAILNLSYQDLLGELRNCFLYCSLFPEDHELSRETLVRLWVAEGFAVQKEHNTPEEVAERYLRELIQRNMLEVLEYDELGRVSKCKMHDLVRDLALSIAKEEKFGYANDFGTMVKTNREVRRLSSCGWKDKTMLKVKFLRLRTLVALGITTSSPQMLSSILSESSYLTVLELQDSEITEVPASIGNLFNLRYIGLQRTRVKSLPESIGNLSSLHTLNIKQTKIQKLPRGIVKVKKLRHLLADRYEDENKSEFRYFIGVQAPKELSNLEELQTLETVEASKDLAEQLKKLMQLRSVWIDNISAADCANLFATLSKMPLLSSLLLSASHEKETLCLENLKPSSENLHRLIIRGCWAAGTLQSPIFRDHGKFLKYLAISWCHLQEDSLLLLAPHVPNLTYLKLNRVSSASSLVLSAGCFPQLKTLALKRMPDVNHLEIIGGALQHIEGLYVVSLPKLDTIPEGIESLRYLKKLWLLGLHKNFRSQWEKNGMQQKMQHVPELRVKD >ONIVA11G07380.3 pep chromosome:AWHD00000000:11:6370884:6381931:1 gene:ONIVA11G07380 transcript:ONIVA11G07380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDAKQLRQTEFNAHMEAVILAVSKIGSVLVEEATKAAITKLSEKATNLKELPSKVEEIEDELKTMNNVIKQMSTTNLTDEVVKGWIAEVRGLAHRVQDIMDKYSYHALKLEEENSVKKLFTTPNYVTVFSEIAEEISKIEKKIENVATRKTQQSHHTPNPLADIERKRSQDCLLAPDDLVGIEDNRKLLTDWLYSKEQDNTIITVSGMGGLGKTTLVNNVYEREKNNFEVSTWIVVSQSYDVVDLLRKLLRKIVPDDQTQLLDLDAHDLKIRIKEKLKDENFLIVLDDVWNREAYTQIADAFPNFQASRIIITTRQGDVATLAQSARQLKLNPLEHTDALELFCRRAFYRNCKCPQNLEKLTNDIVVRCQGLPLAIVSIGGLLSSLPPENHVWNETYKQLRSELTKNNNVQAIVNMSYHDLPGDLRNCFLYCSLFPEDHELSRETVVRLWVAEGFAVQNEENTPEEVAEKYLRELIQRNMLEVLGNDELGRVSTFKMHDLVRDLALSIAKEEKFGSANNYDTMERMDKEVRRLSSYGWKGKPVLQVKFMHLRTLVALGMKTPSRHMLSSILSESNYLTVLELQDSEITEVPASIGELFNLRYIGLQRTRVKSLPESIGKLSSLLTLNIKQTKIQKLPQSIVKIKKLRHLLADRYEDEKQSAFRYFIGMQAPKELSNLEELQTLETVEASKELAEQLMKLMQLRSVWIDNIRTDDCANLFATLSKVPLLSSLLLSASHENETLCLEALKPESEELHRLIVRGCWAARTLEYPIFRNHGKNIKYLAISWCRLQEDPLLLLAPYVPNLVFLSLNRVNSASTLVLSADCFPQLKTLVLKRMPDVNHLEIIGGALQHIEGLYVVSLPKLDNVPQGIESLRYLKKLWLLGLHKNFRSQWQKNGMHQKMQHVPELHVKD >ONIVA11G07370.1 pep chromosome:AWHD00000000:11:6360504:6363142:-1 gene:ONIVA11G07370 transcript:ONIVA11G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSASSAIFSRLTFGMSFKTIVFNLGKDPAVMINLFALFMLLSLRVLQLENTKLVIALGQLAHLRNPTLDDSFARTALVASSPKVVPIFEMARITASAISAEEQKHGVSLLCDMLTIKNIRQEHHLIE >ONIVA11G07370.2 pep chromosome:AWHD00000000:11:6360504:6363142:-1 gene:ONIVA11G07370 transcript:ONIVA11G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSASSAIFSRLTFGMSFKTIVFNLGKDPAVMINLFALFMLLSLSFARTALVASSPKVVPIFEMARITASAISAEEQKHGVSLLCDMLTIKNIRQEHHLIE >ONIVA11G07360.1 pep chromosome:AWHD00000000:11:6352775:6355410:-1 gene:ONIVA11G07360 transcript:ONIVA11G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSAPPATTSWFTSGMCFKTIVFRFQESRRNWPLHPDRAEAKRSPAAVMDDDWQCPSLGCDLPRVGIVLDK >ONIVA11G07350.1 pep chromosome:AWHD00000000:11:6341783:6353051:1 gene:ONIVA11G07350 transcript:ONIVA11G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVILAVSKIGSVLVEEATKAAIAKLSEKVANLKELPTKVDEIKKELETMNNVIKKMSTTHPTDEVVKGWIVEVRGLAHHVQDVMDKYSYHALMLEEESSMKKVFTKKKYVTVFSEIAEEIIQIEKKIENAGKRKERWLQQPDLIPNPLTYIERKQFQDCLLAQDYLVGIEGNRKLLTEWLYSSEQGNTVITVSGMGGLGKTALVNNVYEREKNNFDAFSWIVVSQTYNGVDLLRKLLRKIIGYPEQTTLSDLDPRDLKNIIKERLKDRKFLVVLDDVWNREAYTLIEDAFLSCQTSRIIITTRQDDVATLAYPTHRLKLKPLEHNDAFDLFCKKTFYNSMHSKCPQDLEKLAHNIVDRCEGLPLAIVSIGGLFSSVKLESYIWNEMYKQLGDELVNNDHIRAILNLSYRDLPGHLRNCFLYCSLFPEDHLFSRDTLIWLWVAEGFAVRKQHSTPEEVADRYLRELIQRNMLDVVENDELGRASSCKMHDLVRDLALSIAKEEKFGFSNDYGTMIEMERDVRRLSSCGWENNDALKLKFPRLRTLVSLRAMSPSTYMLSSILSESNYLTVLELQDSEITEVPESIGNLFNLRYIGLRRTKVKTLPQSVEKLSNLHTLDIKSTKIEKLPKGIAKVKKLRHLIADRYADETQSGFQYFVGMQAPKNLSNMEELQTLETVQASKDLAEQLSKLLKLRSVWIDNISYTDCARLFATLSNMQLLSSLLISATNENEALCFEELRPKSKELHKLIIRGQWAKQTLDYPIFRYHGTQLNYLALSWCYLGEDMLGILSSRLENLTCLRLNNIHGTKTLVLDAKAFPRLKMLVLKHMMQSLNFVTIYCYCLTEEILTHCMPWQARTDALLHYYASCEPSLFFMCRICNQMNMQEG >ONIVA11G07350.2 pep chromosome:AWHD00000000:11:6341783:6355533:1 gene:ONIVA11G07350 transcript:ONIVA11G07350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVILAVSKIGSVLVEEATKAAIAKLSEKVANLKELPTKVDEIKKELETMNNVIKKMSTTHPTDEVVKGWIVEVRGLAHHVQDVMDKYSYHALMLEEESSMKKVFTKKKYVTVFSEIAEEIIQIEKKIENAGKRKERWLQQPDLIPNPLTYIERKQFQDCLLAQDYLVGIEGNRKLLTEWLYSSEQGNTVITVSGMGGLGKTALVNNVYEREKNNFDAFSWIVVSQTYNGVDLLRKLLRKIIGYPEQTTLSDLDPRDLKNIIKERLKDRKFLVVLDDVWNREAYTLIEDAFLSCQTSRIIITTRQDDVATLAYPTHRLKLKPLEHNDAFDLFCKKTFYNSMHSKCPQDLEKLAHNIVDRCEGLPLAIVSIGGLFSSVKLESYIWNEMYKQLGDELVNNDHIRAILNLSYRDLPGHLRNCFLYCSLFPEDHLFSRDTLIWLWVAEGFAVRKQHSTPEEVADRYLRELIQRNMLDVVENDELGRASSCKMHDLVRDLALSIAKEEKFGFSNDYGTMIEMERDVRRLSSCGWENNDALKLKFPRLRTLVSLRAMSPSTYMLSSILSESNYLTVLELQDSEITEVPESIGNLFNLRYIGLRRTKVKTLPQSVEKLSNLHTLDIKSTKIEKLPKGIAKVKKLRHLIADRYADETQSGFQYFVGMQAPKNLSNMEELQTLETVQASKDLAEQLSKLLKLRSVWIDNISYTDCARLFATLSNMQLLSSLLISATNENEALCFEELRPKSKELHKLIIRGQWAKQTLDYPIFRYHGTQLNYLALSWCYLGEDMLGILSSRLENLTCLRLNNIHGTKTLVLDAKAFPRLKMLTMVLKHMPDVNQLVVAGGALPVIEGLYIVSLPELERVPQGIETLRSLKKLWLLNLHKYFKSH >ONIVA11G07350.3 pep chromosome:AWHD00000000:11:6341783:6353630:1 gene:ONIVA11G07350 transcript:ONIVA11G07350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVILAVSKIGSVLVEEATKAAIAKLSEKVANLKELPTKVDEIKKELETMNNVIKKMSTTHPTDEVVKGWIVEVRGLAHHVQDVMDKYSYHALMLEEESSMKKVFTKKKYVTVFSEIAEEIIQIEKKIENAGKRKERWLQQPDLIPNPLTYIERKQFQDCLLAQDYLVGIEGNRKLLTEWLYSSEQGNTVITVSGMGGLGKTALVNNVYEREKNNFDAFSWIVVSQTYNGVDLLRKLLRKIIGYPEQTTLSDLDPRDLKNIIKERLKDRKFLVVLDDVWNREAYTLIEDAFLSCQTSRIIITTRQDDVATLAYPTHRLKLKPLEHNDAFDLFCKKTFYNSMHSKCPQDLEKLAHNIVDRCEGLPLAIVSIGGLFSSVKLESYIWNEMYKQLGDELVNNDHIRAILNLSYRDLPGHLRNCFLYCSLFPEDHLFSRDTLIWLWVAEGFAVRKQHSTPEEVADRYLRELIQRNMLDVVENDELGRASSCKMHDLVRDLALSIAKEEKFGFSNDYGTMIEMERDVRRLSSCGWENNDALKLKFPRLRTLVSLRAMSPSTYMLSSILSESNYLTVLELQDSEITEVPESIGNLFNLRYIGLRRTKVKTLPQSVEKLSNLHTLDIKSTKIEKLPKGIAKVKKLRHLIADRYADETQSGFQYFVGMQAPKNLSNMEELQTLETVQASKDLAEQLSKLLKLRSVWIDNISYTDCARLFATLSNMQLLSSLLISATNENEALCFEELRPKSKELHKLIIRGQWAKQTLDYPIFRYHGTQLNYLALSWCYLGEDMLGILSSRLENLTCLRLNNIHGTKTLVLDAKAFPRLKMLVLKHMMQSLNFVTIYCYCLTEEILTHCMPWQARTDALLHYYASCEPSLFFMCRICNQMNMQEG >ONIVA11G07350.4 pep chromosome:AWHD00000000:11:6353473:6355531:1 gene:ONIVA11G07350 transcript:ONIVA11G07350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSLQGTMVLKHMPDVNQLVVAGGALPVIEGLYIVSLPELERVPQGIETLRSLKKLWLLNLHKYFKSH >ONIVA11G07350.5 pep chromosome:AWHD00000000:11:6350386:6355531:1 gene:ONIVA11G07350 transcript:ONIVA11G07350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVILAVSKIGSVLVEEATKAAIAKLSEKVANLKELPTKVDEIKKELETMNNVIKKMSTTHPTDEVVKGWIVEVRGLAHHVQDVMDKYSYHALMLEEESSMKKVFTKKKYVTVFSEIAEEIIQIEKKIENAGKRKERWLQQPDLIPNPLTYIERKQFQDCLLAQDYLVGIEGNRKLLTEWLYSSEQGNTVITVSGMGGLGKTALVNNVYEREKNNFDAFSWIVVSQTYNGVDLLRKLLRKIIGYPEQTTLSDLDPRDLKNIIKERLKDRKFLVVLDDVWNREAYTLIEDAFLSCQTSRIIITTRQDDVATLAYPTHRLKLKPLEHNDAFDLFCKKTFYNSMHSKCPQDLEKLAHNIVDRCEGLPLAIVSIGGLFSSVKLESYIWNEMYKQLGDELVNNDHIRAILNLSYRDLPGHLRNCFLYCSLFPEDHLFSRDTLIWLWVAEGFAVRKQHSTPEEVADRYLRELIQRNMLDVVENDELGRASSCKMHDLVRDLALSIAKEEKFGFSNDYGTMIEMERDVRRLSSCGWENNDALKLKFPRLRTLVSLRAMSPSTYMLSSILSESNYLTVLELQDSEITEVPESIGNLFNLRYIGLRRTKVKTLPQSVEKLSNLHTLDIKSTKIEKLPKGIAKVKKLRHLIADRYADETQSGFQYFVGMQAPKNLSNMEELQTLETVQASKDLAEQLSKLLKLRSVWIDNISYTDCARLFATLSNMQLLSSLLISATNENEALCFEELRPKSKELHKLIIRGQWAKQTLDYPIFRYHGTQLNYLALSWCYLGEDMLGILSSRLENLTCLRLNNIHGTKTLVLDAKAFPRLKMLVLKHMMQSLNFVTIYCYCLTEEILTHWSFPNLKTMVLKHMPDVNQLVVAGGALPVIEGLYIVSLPELERVPQGIETLRSLKKLWLLNLHKYFKSH >ONIVA11G07340.1 pep chromosome:AWHD00000000:11:6334672:6336250:1 gene:ONIVA11G07340 transcript:ONIVA11G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRANVLALDLKECNDFDDNRVKGIMKDNLSRLKYLSLGSATTKLPKAIENLQCLHTLELSKTNVVALPIEVIKLPHLVHLFGKVKLRKKRSIHAVQVIDDIISNKKSIGQKSKLQTLSGFIIDKDSIIPQLMVHMRGLRKVKIWCDYSTGEGNTIHLKEAIENMVMYEMDTGVGVRSLSLYLGNALENLLGRLGETNGFLTTLKLHGRLSQFPKFVTSLTGIKELCLSSTNLTGSDLSGSGLGDLPCLLYLKLVEYNLVGFVIKKGDYPVLQRLCLVVESPNPILPKIEEEALPELVSLHLLCVRLGDLAGINIRNHTNLQEVALDSAISMETIITWENEARKHPKRPKVLFFKRVGTPNTGCTVKYTATERPKTPESTGPGESMEINN >ONIVA11G07330.1 pep chromosome:AWHD00000000:11:6329303:6333126:1 gene:ONIVA11G07330 transcript:ONIVA11G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLLALTKIGNVLADQVAKDLLAKLSEKVNNLRDLDEKIEQMRMQLATMNNVIWKISMTCLTDEVVKGWIGEVRKVAYRVEDVMDKYSYYSVQMAEEWFLKKYFIKGSHYVLVFTQIADEVVKIEKEIKQVVELKDKWLPLCPFVSHPLTEMERQRSQDIFPELVKDEDLVGIEDNRRLLTEWLYYDELDNKVITVSGMGGLGKTTLVTNVYEREKTNFSAHAWMAVSQTYTVDALLKKLLRKVGYKGEIDKMDVYDLKEEITRVLKDRKCLIVLDDVWDQEAYFKICDAFQSNQQSRVIITTRKNHVAALASSACRLDLQPLDGNQAFDLFCRRAFYSTKDHECPSELVEVAASIVDRCQGLPLAIVSIGSLLSSRPRTHYVWNQTYKQLRSELSKNNNIRAILNMSYHDLSGELRNCFLYCSLFPEDYPISRESLVRLWIAEGFVLSKENNTPEVVAEGNLMELIYRNMLQIKENDELGRVTTCTMHDIVRDLALSIAKEEKFGSANDFGTMVQINKDVRRLSSYEWNDSVAATAPKFKLPRLRTLVLLGAISFPTYMLDSILSESSYLTVLELQDSEITQLPKSIGNMFNLRYIGLRRTKVKSLPDSIEKLSNLYTLDIKQTKIEKLPRGIAKIKKLRHLLADRYDDEKRSEFRYFKGVQAPKDLSNLEELQTLETVEASKDLAVQLKKLMQLRSVWIDNISSADCGNIFATLSTMPLLSSLLLSARDENEPLCFEALQPMSKELHRLIIRGQWAKGTLDYPIFRSHTTHLKYLALSWCNLGEDPLGMLASHLSNLTYLRLNNMHSSKTLVLDAEAFPHLKTLVLMHMPDVNQINITDGALPCIEGLYIVSLWKLDKVPQGIESLASLKKLWLKDLHKDFKTQWKGDGMHQKMLHVAEIYFIVANFLRRVNSLTESSDDICHRLGSQVEKAPAFQELREVLMSNYGNLSDHLVKTCLLYMSVFPKGFEIRRNSLARRWVAEGYAQSVGKFTDETVAHQNFKKLLDQNIIEPMGACDNARVKTCRTNAVMREFMLHRSFCDHFIGSLDTMSRVR >ONIVA11G07320.1 pep chromosome:AWHD00000000:11:6322491:6323855:1 gene:ONIVA11G07320 transcript:ONIVA11G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVPGYFVGRPMNQAEPAKEQQQGADEQRPATNAQIPGDYFVGRPANPQQPPSQPPRAQERSSFLAKCCPCLDSRAEG >ONIVA11G07320.2 pep chromosome:AWHD00000000:11:6322491:6324272:1 gene:ONIVA11G07320 transcript:ONIVA11G07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVPGYFVGRPMNQAEPAKEQQQGADEQRPATNAQIPGDYFVGRPANPQQPPSQPPRAQERSSFLAKCCPCLDSRAEG >ONIVA11G07310.1 pep chromosome:AWHD00000000:11:6320227:6321532:1 gene:ONIVA11G07310 transcript:ONIVA11G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNPPGYFVGRPLNHEEQQASRPAEEQNAQFPGYYNGNPVRPNDAKGAQRNEPGFFKKLFGCFTGGQNVN >ONIVA11G07300.1 pep chromosome:AWHD00000000:11:6307447:6307928:1 gene:ONIVA11G07300 transcript:ONIVA11G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASEGMHAPDESIAREVADERGGNLDPPGALEPAACSLRKRRDGDGVVRMGLGSPLIGGVSLAGRGELAARHRESGHSRLAATASG >ONIVA11G07290.1 pep chromosome:AWHD00000000:11:6292747:6300204:1 gene:ONIVA11G07290 transcript:ONIVA11G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFILKGKKTSQPRCTRGSNRRISPSSSQKSQMEAAILSGLLKILASRMLSLVDQKYNLYKGFKGDAEFLLKELRMIAGAIDEQLLRTVSRGSVLLLSIEELRDLARDIEDCVDRIMYQKARDQQASLFSINSVTGTSKLQLAKEMKKLRKRADEAKERRERYTVVVGHQSSPVSSDEQRCSGASDGRNLQADLVGIDLPREELLEHLKEAEPKKLKVISIVGFCGLGKTALARELYNNSGLGRSFSKQAWVSAAHGDPSKVLREIIGQLVSNPPSDASVVDLDQLIVNLTDQLTNLRYFIVIDDMRKDLWSTIESAFPKDGFSSRIVVTTTVQSVAKACSSANGYVYKIRRLDKIHSKKLFLKNACPVEYQDYIQPDSVRILKKCDGQALALLTVGQFLRKMGWPREPKCEDACNQLCNHLEDDDTLERMRQVLIHEYSTLSCHALKACLLYFGMFPSGHSIRRKRLLRRWSAEGFVEALPSGSFPDPAVENFNKLMDRNIIQPIDLSSNEEVKTCQTYGMMREFILLKSISQDFIAVFGDKKLQYQHVRRLCLQNNSAVDSSNLDIDLTLVRSLVVFGKAGKAILDFKKYQLLRVLDLEECTDLDDDHLIQVCNLFLLRYLSLGGKVTKLPEEITKLKLLETLDLRRRKEVTINLSTEVIKLPYLINLLGKFKLLNKAKRLNELQKFLSENCRLQTLVGFSTDGSEGFPELMGHMKQLRKVKVWCTELSASSSGFTNLQNAIQKFIHDEQNGFNDPRSLSLNFDNCPEDFLYEIKAPCYLRSLKLHAKLLESPKFVVLLRGLQELCISSSATKLTTGLLSALSNLRKLKYLKLIADQLEEFIIKDNALPSLLSLCFVLNRPTFPVIKGNALRFLKSLQLLCKNLVGLSEININCLKRLEEVVLHPCVNKATKVTWERAAKEHPNRPKVLVLEKVDLADGHEEDSDSTPTEIVTNKESTVAGNGADIDKQNYIDKQNYPTSNMSRAMVSPALTEPCSAGNGVEPSCA >ONIVA11G07290.2 pep chromosome:AWHD00000000:11:6292747:6300204:1 gene:ONIVA11G07290 transcript:ONIVA11G07290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFILKGKKTSQPRCTRGSNRRISPSSSQKSQMEAAILSGLLKILASRMLSLVDQKYNLYKGFKGDAEFLLKELRMIAGAIDEQLLRTVSRGSVLLLSIEELRDLARDIEDCVDRIMYQKARDQQASLFSINSVTGTSKLQLAKEMKKLRKRADEAKERRERYTVVVGHQSSPVSSDEQRCSGASDGRNLQADLVGIDLPREELLEHLKEAEPKKLKVISIVGFCGLGKTALARELYNNSGLGRSFSKQAWVSAAHGDPSKVLREIIGQLVSNPPSDASVVDLDQLIVNLTDQLTNLRYFIVIDDMRKDLWSTIESAFPKDGFSSRIVVTTTVQSVAKACSSANGYVYKIRRLDKIHSKKLFLKNACPVEYQDYIQPDSVRILKKCDGQALALLTVGQFLRKMGWPREPKCEDACNQLCNHLEDDDTLERMRQVLIHEYSTLSCHALKACLLYFGMFPSGHSIRRKRLLRRWSAEGFVEALPSGSFPDPAVENFNKLMDRNIIQPIDLSSNEEVKTCQTYGMMREFILLKSISQDFIAVFGDKKLQYQHVRRLCLQNNSAVDSSNLDIDLTLVRSLVVFGKAGKAILDFKKYQLLRVLDLEECTDLDDDHLIQVCNLFLLRYLSLGGKVTKLPEEITKLKLLETLDLRRRKEVTINLSTEVIKLPYLINLLGKFKLLNKAKRLNELQKFLSENCRLQTLVGFSTDGSEGFPELMGHMKQLRKVKVWCTELSASSSGFTNLQNAIQKFIHDEQNGFNDPRSLSLNFDNCPEDFLYEIKAPCYLRSLKLHAKLLESPKFVVLLRGLQELCISSSATKLTTGLLSALSNLRKLKYLKLIADQLEEFIIKDNALPSLLSLCFVLNRPTFPVIKGNALRFLKSLQLLCKNLVGLSEININCLKRLEEVVLHPCVNKATKVTWERAAKEHPNRPKVLVLEKVDLADGHEEDSDSTPTEIVTNKESTVAGNGADIDKQNYIDKQNYPTSNMSRAMVSPALTEPCSAGNGVEPSCA >ONIVA11G07290.3 pep chromosome:AWHD00000000:11:6292747:6300204:1 gene:ONIVA11G07290 transcript:ONIVA11G07290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFILKGKKTSQPRCTRGSNRRISPSSSQKSQMEAAILSGLLKILASRMLSLVDQKYNLYKGFKGDAEFLLKELRMIAGAIDEQLLRTVSRGSVLLLSIEELRDLARDIEDCVDRIMYQKARDQQASLFSINSVTGTSKLQLAKEMKKLRKRADEAKERRERYTVVVGHQSSPVSSDEQRCSGASDGRNLQADLVGIDLPREELLEHLKEAEPKKLKVISIVGFCGLGKTALARELYNNSGLGRSFSKQAWVSAAHGDPSKVLREIIGQLVSNPPSDASVVDLDQLIVNLTDQLTNLRYFIVIDDMRKDLWSTIESAFPKDGFSSRIVVTTTVQSVAKACSSANGYVYKIRRLDKIHSKKLFLKNACPVEYQDYIQPDSVRILKKCDGQALALLTVGQFLRKMGWPREPKCEDACNQLCNHLEDDDTLERMRQVLIHEYSTLSCHALKACLLYFGMFPSGHSIRRKRLLRRWSAEGFVEALPSGSFPDPAVENFNKLMDRNIIQPIDLSSNEEVKTCQTYGMMREFILLKSISQDFIAVFGDKKLQYQHVRRLCLQNNSAVDSSNLDIDLTLVRSLVVFGKAGKAILDFKKYQLLRVLDLEECTDLDDDHLIQVCNLFLLRYLSLGGKVTKLPEEITKLKLLETLDLRRRKEVTINLSTEVIKLPYLINLLGKFKLLNKAKRLNELQKFLSENCRLQTLVGFSTDGSEGFPELMGHMKQLRKVKVWCTELSASSSGFTNLQNAIQKFIHDEQNGFNDPRSLSLNFDNCPEDFLYEIKAPCYLRSLKLHAKLLESPKFVVLLRGLQELCISSSATKLTTGLLSALSNLRKLKYLKLIADQLEEFIIKDNALPSLLSLCFVLNRPTFPVIKGNALRFLKSLQLLCKNLVGLSEININCLKRLEEVVLHPCVNKATKVTWERAAKEHPNRPKVLVLEKVDLADGHEEDSDSTPTEIVTNKESTVAGNGADIDKQNYIDKQNYPTSNMSRAMVSPALTEPCSAGNGVEPSCA >ONIVA11G07290.4 pep chromosome:AWHD00000000:11:6292747:6300204:1 gene:ONIVA11G07290 transcript:ONIVA11G07290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFILKGKKTSQPRCTRGSNRRISPSSSQKSQMEAAILSGLLKILASRMLSLVDQKYNLYKGFKGDAEFLLKELRMIAGAIDEQLLRTVSRGSVLLLSIEELRDLARDIEDCVDRIMYQKARDQQASLFSINSVTGTSKLQLAKEMKKLRKRADEAKERRERYTVVVGHQSSPVSSDEQRCSGASDGRNLQADLVGIDLPREELLEHLKEAEPKKLKVISIVGFCGLGKTALARELYNNSGLGRSFSKQAWVSAAHGDPSKVLREIIGQLVSNPPSDASVVDLDQLIVNLTDQLTNLRYFIVIDDMRKDLWSTIESAFPKDGFSSRIVVTTTVQSVAKACSSANGYVYKIRRLDKIHSKKLFLKNACPVEYQDYIQPDSVRILKKCDGQALALLTVGQFLRKMGWPREPKCEDACNQLCNHLEDDDTLERMRQVLIHEYSTLSCHALKACLLYFGMFPSGHSIRRKRLLRRWSAEGFVEALPSGSFPDPAVENFNKLMDRNIIQPIDLSSNEEVKTCQTYGMMREFILLKSISQDFIAVFGDKKLQYQHVRRLCLQNNSAVDSSNLDIDLTLVRSLVVFGKAGKAILDFKKYQLLRVLDLEECTDLDDDHLIQVCNLFLLRYLSLGGKVTKLPEEITKLKLLETLDLRRRKEVTINLSTEVIKLPYLINLLGKFKLLNKAKRLNELQKFLSENCRLQTLVGFSTDGSEGFPELMGHMKQLRKVKVWCTELSASSSGFTNLQNAIQKFIHDEQNGFNDPRSLSLNFDNCPEDFLYEIKAPCYLRSLKLHAKLLESPKFVVLLRGLQELCISSSATKLTTGLLSALSNLRKLKYLKLIADQLEEFIIKDNALPSLLSLCFVLNRPTFPVIKGNALRFLKSLQLLCKNLVGLSEININCLKRLEEVVLHPCVNKATKVTWERAAKEHPNRPKVLVLEKVDLADGHEEDSDSTPTEIVTNKESTVAGNGADIDKQNYIDKQNYPTSNMSRAMVSPALTEPCSAGNGVEPSCA >ONIVA11G07280.1 pep chromosome:AWHD00000000:11:6289394:6289706:-1 gene:ONIVA11G07280 transcript:ONIVA11G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRAMTQAGGGIGRWGLSDGPITVGEERRTACGASGEWPWRASDLASGDGEWVGGGEVARRGETNTAHARA >ONIVA11G07270.1 pep chromosome:AWHD00000000:11:6277951:6278313:1 gene:ONIVA11G07270 transcript:ONIVA11G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSVRPSVRVPLHPHQWLVWLWWTLTTIGRYLQGGLRARRSWRTEMTGRRRMPRAVARLPLSRLPSNPTPRRLGVPYISRCQTNTSSPRTSQPFPASRRRCLVRLTMGGYGAADAQN >ONIVA11G07260.1 pep chromosome:AWHD00000000:11:6278004:6287259:-1 gene:ONIVA11G07260 transcript:ONIVA11G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVPTSASMGAMGSLLRKLHVLLTPEYQQLIEPVKDGIELLLQDLEAVKAAMVDLSEMETPTMMARHWMNEVRDLSYDIEDYIDNTMDSCSNSGADLESFDCGRIGEFGASIEEARQRHEIYELGRCTSRFTCPQIPAPNWKGINLVGMGKSKVELTNKLTDGSLPQLKVVSILGCAGVGKTALAKQVFCELRGRFECRAFVQVSRMPDTKRLLRSMLSQFRRHNRLPADRCTVQDLIDNIRDHIQDKRYFIVIDDLWETTSWDIINSAFPKGQDCSRILMTTTIEDVALECCDYQSDDICKMKPLSRDDSLNLFLNSAFGSEQNCSERLREVSTEIVRNCGGLPLGIINIARLLASEVDNLELWHHVQKHLSSSMATNHTSEDKLRNIVRLCYRCLPCRLKTCLQYLTMYPEGCIIWKADLVKQWTAEDFIRETEREDANAVADSYFDELVSRGMMQPNNRTYSDMVLSCTVHHMVFDVVKDMSVEENFTTALDYSQPITRLNFKIRRLSLHVSNTKYATKPVHISLSQARSLNFYGLAECLPSTLQFKQLRVLMLEFWDDQEEFDLRGIFRLLQLRYVQVTTDMIVKLPATVQSLQYLETLQLNARVANVPSDIVHLPKLLHLRLRDVANLPDNIGCMASLCTLESLDLSNNSEQNVWGLGEMTNLQELYLNCSAELSGRLKRNLTALASSLGKLRKLRTLILAPSSGTSMYMDCSSIVSSPPLCLQRLELLPTICIFSRLPKWIGQLKRLRILKIVVRELLCSDVHSLTMLQELTVLSLDVQQSTEETIVFNDKAFPVLKYFKFRCGVLRLAFQAEAMPNLRKLKIEFNVHRGEQYGDILTGIEHLLNLQEIIGQIGAAAGAEESDKRAAEFALSDATKKHSRISRFNIRWINCVEEEVSKISDLQGISSSSDEEKQKANEPSGTDITIEDESPFSHEPNYDVPRAYKAEIESEEVPHAELADASRTSDSIDNRRRQRRSIEPSSFSRDRLSQPRKHSVASAVPKFGEWDSPLRPADNYTGIFNKVREEKRSQVEKPSVFDKDDLLVRRTRSRNDGHASTAVNYQFWASAAPYPPMVRRTRQRRRLAGNGCDVRGEEVLVWQRDIYGTPSLLGVGFEGRRDKGRRATARGMRRLPVISVLQLRRARRPPCRYLPMVVSVHHNQTSH >ONIVA11G07260.2 pep chromosome:AWHD00000000:11:6275627:6277998:-1 gene:ONIVA11G07260 transcript:ONIVA11G07260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDADGRTDGGGWRHRGDHRLRGWDELLRLRQQESRRLLGSWEPAESLSSLKKLLLINLHKDFKVQLNGNGMHQKMLHVAEISAVLNLLDPSAPDSCVASLGNSGGEARLPNLPSARSPTSWPPATPPPAVVASAPPPSLLFPPSLLPSLSFFPSSFSSDVRRPVAWPSSLPSADRLPSRTGGKGCMGDSQIWPCRSRFGGFRASWGKRPLRRRLMVVAARGRAGSGRL >ONIVA11G07250.1 pep chromosome:AWHD00000000:11:6264671:6275040:1 gene:ONIVA11G07250 transcript:ONIVA11G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAIPTMRLPKCIIQLRKLCILKVSVRELLVSDIYILTELPSLRALSLRVQTAPEGKIIFSEGALPVLRYFRFECGVLCLEFRPGAMPNLQRLKLGFNTEQENYVNMLAGIEYVSNLQHIAARIGPNASVDEFDRRAVDSAFKKAITKHPRCPSFNVQWVASSKEEWHPSEKQQQSQEKGSSSGEYVTIKTGSAEDTDKNVLTGHRIFPQPSGKAHSSTQHKGSLAGQDWTIEKESVQDMTKESFTVLHQTSRTTNHMEPLDHNQLSLDDLEVIQLNIQESIRKQIAQELKISLSTQCQYVVACYQCFYANGIISAVFEYMYCGSLSDLLKTVITIPEPYLAAICKQARLMYLHHEKHIIHRDLKPSNILINHWGEVKICDFGVSGIINGLCAKRDSFTGTYNYMAPERISGQEHDYMSDIWSLGLVMLECATGHFYILPFKTVMNSLKLLLTDQHLLLHQTTFQRNSVHSSLHGCFFTFYAVFVIQTHPFLSLYSDMDIDLASYFKITGSPFDTFSSSKIKNGEFSRQNNKSEIKSQLTSKPTLQSSQGITDNFPSEQDFEHEMKGESSTQNKAGNKSQYPITIPKNPTLDSLKVITDNFSSKREIGRGAFGVVYKGVLENGEVIAVKKLERTSGIHARRFQNEANNLLELEHKNVVKLIGSCCQAERQVVEHDGKYVFTDVVEKLLCYEYLPNGSLDNYIYDELNGIDWPTRFKIILGICNGLHFLHKERNEAIIHMNLKPSNILLGDNMVPKIADFGLSRLFGQEQTRLITQNVVGWIGYIAPEYYYRGEISEKSDIFSLGILILEIVTGLKNDSTSQEVSSRILIDNVRRNWLKSSQITSRYPSLEEDDILQAKRCIESGLNCVETDPKKRPTIGEIIVKLTDKGTVIGDEAIIHEEMEKRQKFVSTLTRNPKLQFLEDITNNFSHEREIGRGSFGVVYKGVLPNGELVAVKKLLDSVTAVNQDKQFQSEAGILIDLNHMNIVKLIGYCYEIRKEVVENNRKFFFVETPKKLLCYEYLPTGSLDKYIYGESSELKWDMRFKIIEGICQGLKFLHELKRPIIHLDLKPGNVLLDDNMMPKIADFGLSRLLGEEQTRTRTLTVVGSIGYIAPEYRYSGEISTKSDIFSLGVLIIEIVTELKVDSSSQDVTSKGFIDNVRNNWAKMPQIASNYPLLEANCLQQGTGREILQFHYRTKRVDPVYKENRTQ >ONIVA11G07250.2 pep chromosome:AWHD00000000:11:6264671:6275167:1 gene:ONIVA11G07250 transcript:ONIVA11G07250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAIPTMRLPKCIIQLRKLCILKVSVRELLVSDIYILTELPSLRALSLRVQTAPEGKIIFSEGALPVLRYFRFECGVLCLEFRPGAMPNLQRLKLGFNTEQENYVNMLAGIEYVSNLQHIAARIGPNASVDEFDRRAVDSAFKKAITKHPRCPSFNVQWVASSKEEWHPSEKQQQSQEKGSSSGEYVTIKTGSAEDTDKNVLTGHRIFPQPSGKAHSSTQHKGSLAGQDWTIEKESVQDMTKESFTVLHQTSRTTNHMEPLDHNQLSLDDLEVIQLNIQESIRKQIAQELKISLSTQCQYVVACYQCFYANGIISAVFEYMYCGSLSDLLKTVITIPEPYLAAICKQARLMYLHHEKHIIHRDLKPSNILINHWGEVKICDFGVSGIINGLCAKRDSFTGTYNYMAPERISGQEHDYMSDIWSLGLVMLECATGHFYILPFKTVMNSLKLLLTDQHLLLHQTTFQRNSVHSSLHGCFFTFYAVFVIQTHPFLSLYSDMDIDLASYFKITGSPFDTFSSSKIKNGEFSRQNNKSEIKSQLTSKPTLQSSQGITDNFPSEQDFEHEMKGESSTQNKAGNKSQYPITIPKNPTLDSLKVITDNFSSKREIGRGAFGVVYKGVLENGEVIAVKKLERTSGIHARRFQNEANNLLELEHKNVVKLIGSCCQAERQVVEHDGKYVFTDVVEKLLCYEYLPNGSLDNYIYDELNGIDWPTRFKIILGICNGLHFLHKERNEAIIHMNLKPSNILLGDNMVPKIADFGLSRLFGQEQTRLITQNVVGWIGYIAPEYYYRGEISEKSDIFSLGILILEIVTGLKNDSTSQEVSSRILIDNVRRNWLKSSQITSRYPSLEEDDILQAKRCIESGLNCVETDPKKRPTIGEIIVKLTDKGTVIGDEAIIHEEMEKRQKFVSTLTRNPKLQFLEDITNNFSHEREIGRGSFGVVYKGVLPNGELVAVKKLLDSVTAVNQDKQFQSEAGILIDLNHMNIVKLIGYCYEIRKEVVENNRKFFFVETPKKLLCYEYLPTGSLDKYIYGESSELKWDMRFKIIEGICQGLKFLHELKRPIIHLDLKPGNVLLDDNMMPKIADFGLSRLLGEEQTRTRTLTVVGSIGYIAPEYRYSGEISTKSDIFSLGVLIIEIVTELKVDSSSQDVTSKGFIDNVRNNWAKMPQIASNYPLLEANCLQQVKRCIDIALACVDKNPKGRPSIGEIVDRLNWRKG >ONIVA11G07250.3 pep chromosome:AWHD00000000:11:6264671:6275167:1 gene:ONIVA11G07250 transcript:ONIVA11G07250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAIPTMRLPKCIIQLRKLCILKVSVRELLVSDIYILTELPSLRALSLRVQTAPEGKIIFSEGALPVLRYFRFECGVLCLEFRPGAMPNLQRLKLGFNTEQENYVNMLAGIEYVSNLQHIAARIGPNASVDEFDRRAVDSAFKKAITKHPRCPSFNVQWVASSKEEWHPSEKQQQSQEKGSSSGEYVTIKTGSAEDTDKNVLTGHRIFPQPSGKAHSSTQHKGSLAGQDWTIEKESVQDMTKESFTVLHQTSRTTNHMEPLDHNQLSLDDLEVIQLNIQESIRKQIAQELKISLSTQCQYVVACYQCFYANGIISAVFEYMYCGSLSDLLKTVITIPEPYLAAICKQARLMYLHHEKHIIHRDLKPSNILINHWGEVKICDFGVSGIINGLCAKRDSFTGTYNYMAPERISGQEHDYMSDIWSLGLVMLECATGHFYILPFKTVMNSLKLLLTDQHLLLHQTTFQRNSVHSSLHGCFFTFYAVFVIQTHPFLSLYSDMDIDLASYFKITGSPFDTFSSSKIKNGEFSRQNNKSEIKSQLTSKPTLQSSQGITDNFPSEQDFEHGAFKGPYVRFSDFGQSEMKGESSTQNKAGNKSQYPITIPKNPTLDSLKVITDNFSSKREIGRGAFGVVYKGVLENGEVIAVKKLERTSGIHARRFQNEANNLLELEHKNVVKLIGSCCQAERQVVEHDGKYVFTDVVEKLLCYEYLPNGSLDNYIYDELNGIDWPTRFKIILGICNGLHFLHKERNEAIIHMNLKPSNILLGDNMVPKIADFGLSRLFGQEQTRLITQNVVGWIGYIAPEYYYRGEISEKSDIFSLGILILEIVTGLKNDSTSQEVSSRILIDNVRRNWLKSSQITSRYPSLEEDDILQAKRCIESGLNCVETDPKKRPTIGEIIVKLTDKGTEVKQGVLPNGELVAVKKLLDSVTAVNQDKQFQSEAGILIDLNHMNIVKLIGYCYEIRKEVVENNRKFFFVETPKKLLCYEYLPTGSLDKYIYGESSELKWDMRFKIIEGICQGLKFLHELKRPIIHLDLKPGNVLLDDNMMPKIADFGLSRLLGEEQTRTRTLTVVGSIGYIAPEYRYSGEISTKSDIFSLGVLIIEIVTELKVDSSSQDVTSKGFIDNVRNNWAKMPQIASNYPLLEANCLQQVKRCIDIALACVDKNPKGRPSIGEIVDRLNWRKG >ONIVA11G07240.1 pep chromosome:AWHD00000000:11:6244962:6246820:1 gene:ONIVA11G07240 transcript:ONIVA11G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFSGYALFFVLFLTGSTIGGCFPTVEELAVHGWIPPDDGSTEYYGLEATMDVYGFNLEHGQQTGGFIWIYNSDETPAANVIHAGWNVDPESYNDSQTHFTTSWFVEESKKGCLDMRCPGFQRTGGSHPFVPGQVINPVSSTSRRKQYINVRVSKDQNSGDWEIYFGFDGKAKIIGYYPRSVFTSLSNKPVTIVFGGFAFWKEHKPSPPMGSGIAPPKNAASFSNLKFFDAAGNAHPIDHDLAHVSDCYPVTDVRDGMFSYGGPGNVC >ONIVA11G07230.1 pep chromosome:AWHD00000000:11:6240568:6240843:-1 gene:ONIVA11G07230 transcript:ONIVA11G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAEIAGDESPPPLPPSEGGEVSGAPSTSSTSDADGGGKPSPRTSKPTEIHHSPLPSDAIAVDLWSTGCILAKLLAGKPILPGQTEVNK >ONIVA11G07220.1 pep chromosome:AWHD00000000:11:6235647:6239885:-1 gene:ONIVA11G07220 transcript:ONIVA11G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACGSSLLLLPPASPPPSTLPRPPAALLGPRAEARSSGGGDATSAAVGGGAGRGAARLLPSTRGDRERCRVRPRRPRLPGARRRGRAGRHAEAAGGGGGGDAASVASRFAKHVLKNRSNSGGPRLEFASGFWADASRSLSREFMGLAGYMYGSEAEKADFNNKIGDAPWKRTTTSWRLMAVAGERWPAALETKALLQASLPQHLLQIDAEETSGPWQTRWTSWGRLTLIGLSTHLGVLYSPLHGGDPVCDVYDSEAMITATATSIFGSVAGFGSYRGRSGQTWCYLGSNMHLRRFIFLLSEQKLHSAITRNWRWRVLLCLCVKFLFLCFYYDVHVKSLFFVP >ONIVA11G07210.1 pep chromosome:AWHD00000000:11:6231939:6233510:-1 gene:ONIVA11G07210 transcript:ONIVA11G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYYTPPTPHPPPENTLDVFMDGDAVVIRTTITSSYYLAAQFVNKIAREHHEGGGLIVGINTEWREDHEPDIVYHLRMESGLNPKGKHRGLGRDSQAEWAPKCTTWLSPRGGPNTLNCRLLQILKSDYNSKKFGFYLWYACFKRIEQGLLFSAQFQIRLVSPKLSSTLMAGRYYTPPTPRPPPENTLDVFMDGDAVVIRTTITLSHYLATQFINEIAREHHEGGVLIVGIDTEWREDHEPDDKKCYKVVVLQLCVNRRCLVFQLYQASNEVPRELAEFLADAGVRFVGIGVDGGVRRLANECNLRVACTVDLRDTAAAVLGRPELARAGLKSLVLIVMGTRMEKAKDITMSRWGEPTLTWEQINYTCIDVYMSYEIGRRMLSDEPILAAPS >ONIVA11G07200.1 pep chromosome:AWHD00000000:11:6226119:6227777:-1 gene:ONIVA11G07200 transcript:ONIVA11G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRPWGEALAAAQRAFCLPLAGRVLAAAGTGNAAVSAPAVHVSLALAAGGARGATRRQVLQALGCGGGGRGGAADAANVASRVVKRVLRDRSTSGGPRLAFAGGVWADASRSLSPEFVGLAGNVYGSAAKKADFKNKPEDAPDQINSWVKDSTKGTVTTLLPAGTIDQNTGLVLGSALYFRGRWLDRDDLRMTTEQKFYCLDGTSVEVPFVEYDRTRLFAVHDNFKVIKLPYKQGKNERKFSMYIFLPDDHDGLFELTQKIFSEPMFLEQHLPTEKCHVGISVPNFKISFQIDVKDFLKDMGLELPFLREAEFSDMIKEDDSSGPLFLSDVLHKSVLEVDQKGIEETSVSMGLGKPLPAQHFKADHPFFFMIREEVSGTVIFMGHVLDPSSRT >ONIVA11G07190.1 pep chromosome:AWHD00000000:11:6217057:6219489:-1 gene:ONIVA11G07190 transcript:ONIVA11G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRHGHGGARLLVFLLPVLLLLRTAASNTLSTFAMAKAESTTIVCALLPSAASPLLVDLNCTEAGGDHERQETYPSSHPFSALAGGDHFLCAVGPSALRAGDVAMRWWDLSKNRTNTPETNGTKDGGGRSKRVYLGPPIQALSSGGYRVCGVLSSGELHCWRWRGLKIPDGLRFVSVAVGDWFVCAIQAARPASIRCFGNDTEAVSSAPEGGSFDVVAAHGRRACALSTSGALSCWGHGAPLVGGGEDEATTGYAALALGTDGVCGLRTNGTIRCFGDGVAPPPDSLAGFQYVDVQAHGSVFCGVLMANYSLVCWGGHEFNATNRLVFDRVLPGPCVTMSSCRCGVLPGSANLCASGRCICVDCAFELNVATPNASSLGPGPGKSSSKRSRIIWVAVAAGAFLVLLVALQFALLMWCRRRRRRGRGGQADQAAAMSLMLPRHGSSKGPGSVVEHFALEALQAATDGFSDERRIGSGSFGSVYRGTLTDGREVAIKRAEDQAKSSSSAARPARRRDKETAFNSELTALARANHKNIVCLLGCCADAGERVLVYEYMANGTLHDQLHGRSPMAPPVSAWRGRLTIALDAARGIEYMHVYAVPNIIHRDIKSANILLDDSWTAKIADFGLSSILDPATAGGGGGGGGGEGSSSRPLYTGGTVGYMDPEYYRMQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESVTPKNVVEFAVPHILADEVPRVLDPRIPPPTPHEADALAYVGYLAADCVGPVGCDRPSMTEVVDALERALAACAAASLSRSGTVTGAGRRALSRSGTDQFDLTDTD >ONIVA11G07180.1 pep chromosome:AWHD00000000:11:6216819:6217001:-1 gene:ONIVA11G07180 transcript:ONIVA11G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSALRRRHWSRVLQLAGPRESNAMGKPWNGGEEEEETKSDIDISDASAAPAAAAAAAN >ONIVA11G07170.1 pep chromosome:AWHD00000000:11:6210979:6211695:1 gene:ONIVA11G07170 transcript:ONIVA11G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWTVDEWNTLASRDWLWRCSSPSGWRRHHQLGLGSWGLEASGLRQHTEGGSAEAEATAMARAVDAWAMSARWRLEAVPVDRRMAGGGDAGAVETGGGGRAVAALARCWRRRWHGTGGGAGVVEAGGGGQTGGGIKRDGEKCGAVLW >ONIVA11G07160.1 pep chromosome:AWHD00000000:11:6202488:6206268:-1 gene:ONIVA11G07160 transcript:ONIVA11G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGSAPRHPSRVLLLLSAARSAFVLPSTATTSLPPSTPLPTPPPVGREEAPDPLLAAFSPGQSPPRAAAAPFVVVARRPPTSLRRRIRPPPSSRRERGETQEGREVERPRRDKGSGLRVVDFIRPKFFLILPTASENVADT >ONIVA11G07150.1 pep chromosome:AWHD00000000:11:6189641:6190213:-1 gene:ONIVA11G07150 transcript:ONIVA11G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIELGPVGVHGQRSVTMLPSSLARTSGLAWRAGGVPAQILASRVRAIDVSLTLESLMVSGDLYFVVGKDGEKVPVAWLVGRTGLLYFSAHWCSPCRKFLPKLIEEYIKMREETSSDVEVVFVSNTDGQEKRNCHVRPTAYILSFFTDM >ONIVA11G07140.1 pep chromosome:AWHD00000000:11:6186830:6188711:1 gene:ONIVA11G07140 transcript:ONIVA11G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZT3] MKDRNASAEPVVKPGLSPSRFVKVFMHGEPFGRKINLALHNNYDSLSFTLKKLGNNYSMSPFELEGLVNKEEDGAIDSDFDLLYDDMDGVRYFLGDVPWEVFTTTVKKIYIVPAEQQNENDYQEEEEDNAAAAATADEDGDGAAADDGVAAAADDVDDVAGYTSNDDPSFD >ONIVA11G07130.1 pep chromosome:AWHD00000000:11:6178519:6179680:1 gene:ONIVA11G07130 transcript:ONIVA11G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZT2] MGRMKDRNASARPEVKPAGLSPSRFVKVFMHGEPFGRKINLAIHNNYDSLSFTLKRLGNNYSMSPFELEGFVNNEEDGAIDNDFDLLYDDMNGVRYLLGEVPWEVFTITVKRIYIVPAEQQNGV >ONIVA11G07120.1 pep chromosome:AWHD00000000:11:6166350:6172580:1 gene:ONIVA11G07120 transcript:ONIVA11G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZT1] MNLISFETPPLGRRSQDGGSSSSSITAATTTINKAKEAASHLDLSLGISLSPGGGGGGGDAGTKASSCCYGGGGDGGGCMGSGMLTAGVLGVGHGGSSHDNTTASGGGGGSWTAAFMPSPTGFMHPWSLAARQQKAAAEQERSGVARLPPATTTYMPRAAATVISLPAAVGWPPVHTSRRNLVATINNVLKPDTTAAVKPDRLTQATAMFAADETTAPPPRSAAAAATEASRTLNMFAKVHMDGYKVGRKINLRAHRNYDSLRRVLTKMTHNFFCPADYSSTNKGEEDCAKSDEFIFLYEDFEGDRMLVGDVPWELFLASAKRLYIAKNPAPRNKEHAEIAKRKETEDAIDN >ONIVA11G07110.1 pep chromosome:AWHD00000000:11:6162423:6162812:-1 gene:ONIVA11G07110 transcript:ONIVA11G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIVTSIPSTIGPHKRTPTRNRRLRRRMMTRPMMRTRAAEEVVEAEVTKVGAEAKAEERALIAMVMAATAASTSDTVEEEGMAGARAGAKGGPCAVEVPERARCAVVPESATSTQPRHMRAALMQPSC >ONIVA11G07090.1 pep chromosome:AWHD00000000:11:6139520:6140771:1 gene:ONIVA11G07090 transcript:ONIVA11G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGGPLPGERRLSPADPEEPSPPASSSDGTGSGGGDNSVEEGDGEGPGSGSGTPTAKRQLFDADGSASRPTRRRRIASDDELNSGDGHGEVD >ONIVA11G07080.1 pep chromosome:AWHD00000000:11:6137913:6138743:-1 gene:ONIVA11G07080 transcript:ONIVA11G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALIVLLMNKNLYRPGIRSYALIICMVAGVFGVMGAYAAGSSMYLRTFVIVLVLVLVAFLGVVFLAINHFNKLHKKKMEKNKPPPPAQQQQPPNPKKSSLTTFAMQKDMLKYLMLVGILAATATYLTSLKPPGGLWKDNDDGHSAGNPVLYDIDKKRYNIFFHSNSTYFMASITVIVLLLQRMVLPERSGGKVFWSMHTVMLLDMLALMVAYAAGSVRDWETSKNVFLLLLPIHIFVGGLLFICKQIQPSPQDEASPNAGANTQEISNPTPSS >ONIVA11G07070.1 pep chromosome:AWHD00000000:11:6131271:6135682:-1 gene:ONIVA11G07070 transcript:ONIVA11G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGDGEGKPPAKEESTEYRLRKYLLLLATLVATVTYAAGLNLPGGSWAEDAPAPAGSWAEDAPAPAGLWVVAGDPILRKTRYTRYVVFYACNAVAFAASLVVSLIVLVLPKEGGGRLLGAMRAVMVVDLLGLMGAYAAGSSRDGFTTAAASALLLLVFAYVAGAFLASLNLITVRWQLPCQERASPPAAAPRPPPPPGDQDPAATKAMKSEHEILLLLAIFAATIAYVAGMNPPGGFWRDAAVGGEHVAGDPVLLGREHPNRYRAFYVCNTAAFAASLLAVMFIVVEDKRLRHWRRAVPYGLVVAALLGLGGAYAAGSCRDGKHTAYVACLVAPVVAYIAILYIACPSRSPSSTSKSPSNTNTTTTTISISDSKQDKEVEDKEVDKICEYIQLLATLAATIAYQAGIDPPGGVWGESGTDNSGKDYSVGDPILLTTHPRRFKVFFYFNSAAFVASLVIMALSQNKRLVRRYHAVLEATMILDLFGLIGAYAVGCCRDTSTSIYIIAMAGAVLVYVVIHIVFFTLETKNGGDDQLEEHRELEEHREVLLLLTVLAATLTYQAGLTPPGGFWENNKFSHPAGFPVLLNKNPRRYKAFFYCNAASFMASVALIVLLMNKNLYRPGIRSYALIICMVAGMFGVLGAYAAGSSMYLRTFIIVLVLVLVVFVGVICLAINHFRELKKNTQQQQQQQQQQQQQQQQPPPTGTNGFSSPKLSMQEEDVIKYLMLVGILAASITYLTGLKPPGGLWRDEGDGHSAGNPVLYDIDMRRYNTFFYSNSTSFMASITVIVLLLQRMLSPKTGGEKVFWPMHTVIVLDMLALLVAYAAGSVRDWETSKNVFLLLIPIQLFVGGLFFICKKKQTSPQDDGSAAGTNM >ONIVA11G07060.1 pep chromosome:AWHD00000000:11:6123583:6130047:1 gene:ONIVA11G07060 transcript:ONIVA11G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cam interacting protein 111 [Source:Projected from Arabidopsis thaliana (AT3G56690) TAIR;Acc:AT3G56690] MPPKAKKKQSAASPQPSPRTPASRGREGGSVAAGGGDGGGTLDLPSVAAAAAARHPALVPRGGEGCFSGTVAEVAPRGRSRGGEARLWLSEPAMVGAALRPGCLVSVSLISSNRGRSDGSPLDSLFEECNEFFDLDVDNDLMPNEAGRNFVIAKVFPSREVQKNGIKLSWDLACSLGNPSVGCSLFFSPLYTSQAPKETDSVDILRVIKCSNLYLSFVPAKVGSSSEIESESVHHPIRNGMVIESPKRNSSVLSGRNESYDIASHSGPSLCLDPATARSSLADEKINELLQTCASRWLSGRHLLKANYVPLLMCGKLSMFIVMGAEVDGSAPDVVHDKDKLPSNEEISGKFGEAPVSFLVDRTTKVHLSGPVCSEEIAFVKPGPSAHNSFRTDARNGDFNHGPRLGGLSKESKEIKEIISFSIKDQIGLQRVKDNLWYRGILLSGPPGTGKTSLATSCAYDEGVNLFTINGPEIISQYYGESEQALYDVFSSAKQAAPAVIFIDELDAIAPERKDGSEELSIRIVVTLLKLIDAMSPRDRVLVIAATNRPDSIDPALKRPERLDRKIEIGVPSPVQRLDILQHLLVGVQHSLSCEQLESLASATHGFVGADLAALCNEAALSALRRYISLKKSSQQLGYYDNNAEKPDIREINDPLGYQVNSIASSLSKLTMSVDDVLCTSRSNDTENNGSSGKKDDLLLLVTTEDFEKAKIKVRPSAMREVSLELPKIRWEDVGGQVRIKEQLIEAIELPQKNPKAFENMGVSPPRGLLMIGPPGCSKTLMARAVASEAKLNFLAVKGPELFSKWVGDSEKAVRSLFAKARDNAPAILFFDEIDGLAVTRGRENDSVSVGDRVLSQLLVEMDGLEQRIGVTVIAATNRPDKIDCALLRPGRFDRLLDVQPPDEADRVDIFRIHTRNMPCSHDVNLNELARLTEGYTGADIKLVCREAAIAALDENIDIPEVEIRHFKSAISRIKPSDVKFYQELAAQYSRFVDPMSQSKQ >ONIVA11G07050.1 pep chromosome:AWHD00000000:11:6121783:6122626:-1 gene:ONIVA11G07050 transcript:ONIVA11G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFMASGRSTVAPSTTRSCRPAAGFVTPRSLFSWGGRSAPPPPPPEFQYHDVAPPFPMSLVANTHLRGKTQIIFAGRELKCCYKATVDGFSATDFHRRCDFKGPCVVVGRTGGGVRFGGFSPEGYRSTDDYYDTLDAFLFYWPETDTDAAAEEAAVVVLPKVGGSGAALFDYARGGPQFGADGLLIGPPLTAVMGVFTGPDSSAGAGDLRGARSRLGLSYARRPDGKESLFGDESRAELDEVLVFCSSQIASLY >ONIVA11G07040.1 pep chromosome:AWHD00000000:11:6106938:6111891:1 gene:ONIVA11G07040 transcript:ONIVA11G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRTTVAQFLRIALRNKRHPNPKPRPPPPHTRRCSTPPPPVPPSPPSPRAAPHPYRHDTPPPPALPSPLSPSRHTLLAATCLHHRRPHRRRLLPDSRSPTLHHVGAPSTDPRRRNPCLGTQSTDPRGALNRFPPPEALPSRLTVSITPARPRPILAAARRPSPELNGTEQKHEPSAAMDWEQVVP >ONIVA11G07030.1 pep chromosome:AWHD00000000:11:6073581:6074144:-1 gene:ONIVA11G07030 transcript:ONIVA11G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRPTAPPWIGRGLVVRTPDRREER >ONIVA11G07020.1 pep chromosome:AWHD00000000:11:6069604:6073166:1 gene:ONIVA11G07020 transcript:ONIVA11G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPDWKQELTPIEVSFFDHGLQLPSKSETSLDLITCELESDASYYKVPDSEATNPDVAGGQEAAITTTEGSASAEVGMATIASIIWNNRAILEGRITCGLRCEDSEHAEAIGILSGLQLARKLKIKKIDVVTDNMEIYEVLIGRKDVFQHKHRDVLLMAIEVAKEFDVCRFRWEPRELLCLVNEMANATREDYRAKTLSLRRIWEGKVAYCLWSLPVIRINQSTKKIALKLEKGWAGEVNMKLVQSKGYHVNVREQMLKIDALEGLLDSLDPPLLIVLVGSEEAASKVSLELNWRFRSVLLRGSGQVSGSISNANGDCSPIPEGTALVLNSETNIPPFSAQKVLLVVYDTPSSELQFSKDQDTTGVITVRIVAPHEEDSLPDTSTEVNPFVLGFFNSGTYFLRLNLHFGSLLNVIFVYPTCKLFT >ONIVA11G07010.1 pep chromosome:AWHD00000000:11:6060341:6068904:1 gene:ONIVA11G07010 transcript:ONIVA11G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSAPAPGDGNSAPASSRVTFEDMLRSCDQSYYQMLGFPDAASYFEAKERVNHEEILEHVSSILNSKGIVPSGKGIDTADLKCKKRGCNIPSKRPSKLLVLKEARDKFFPYWKSVLSARLPVKIVPPCEPSSNDIQRTEPRKDILSTEASRKDLQPPNKSIANLVSTSKPRLASNYECRRQLCALAAMSLKVLRRTLGKDESIGGMRVAVLESVFVFF >ONIVA11G07010.2 pep chromosome:AWHD00000000:11:6065206:6068904:1 gene:ONIVA11G07010 transcript:ONIVA11G07010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSPLYPRRLFPSLHPASLPRTVNHEEILEHVSSILNSKGIVPSGKGIDTADLKCKKRGCNIPSKRPSKLLVLKEARDKFFPYWKSVLSARLPVKIVPPCEPSSNDIQRTEPRKDILSTEASRKDLQPPNKSIANLVSTSKPRLASNYECRRQLCALAAMSLKVLRRTLGKDESIGGMRVAVLESVFVFF >ONIVA11G07010.3 pep chromosome:AWHD00000000:11:6065571:6068904:1 gene:ONIVA11G07010 transcript:ONIVA11G07010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNVNHEEILEHVSSILNSKGIVPSGKGIDTADLKCKKRGCNIPSKRPSKLLVLKEARDKFFPYWKSVLSARLPVKIVPPCEPSSNDIQRTEPRKDILSTEASRKDLQPPNKSIANLVSTSKPRLASNYECRRQLCALAAMSLKVLRRTLGKDESIGGMRVAVLESVFVFF >ONIVA11G07010.4 pep chromosome:AWHD00000000:11:6060341:6068904:1 gene:ONIVA11G07010 transcript:ONIVA11G07010.4 gene_biotype:protein_coding transcript_biotype:protein_coding METPSAPAPGDGNSAPASSRVTFEDMLRSCDQSYYQMLGFPDAASYFEAKERVNHEEILEHVSSILNSKGIIPSKRPSKLLVLKEARDKFFPYWKSVLSARLPVKIVPPCEPSSNDIQRTEPRKDILSTEASRKDLQPPNKSIANLVSTSKPRLASNYECRRQLCALAAMSLKVLRRTLGKDESIGGMRVAVLESVFVFF >ONIVA11G07010.5 pep chromosome:AWHD00000000:11:6065206:6068904:1 gene:ONIVA11G07010 transcript:ONIVA11G07010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPSPLYPRRLFPSLHPASLPRTVNHEEILEHVSSILNSKGIIPSKRPSKLLVLKEARDKFFPYWKSVLSARLPVKIVPPCEPSSNDIQRTEPRKDILSTEASRKDLQPPNKSIANLVSTSKPRLASNYECRRQLCALAAMSLKVLRRTLGKDESIGGMRVAVLESVFVFF >ONIVA11G07010.6 pep chromosome:AWHD00000000:11:6060342:6064398:1 gene:ONIVA11G07010 transcript:ONIVA11G07010.6 gene_biotype:protein_coding transcript_biotype:protein_coding IIYFYQKFTAQIFTRKKQIKSIFANLILQLITQIIIQFHSYWAHEACYVGGPREERRPARRTSPSSGVSIHTPYPPTLLPLIPSLSCPHARPRGGDRRRTSPPLPRRGAGLAGGAQHRRQIHVEHRGRSRTGRG >ONIVA11G07000.1 pep chromosome:AWHD00000000:11:6043572:6047102:-1 gene:ONIVA11G07000 transcript:ONIVA11G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent protease La (LON) domain protein [Source:Projected from Arabidopsis thaliana (AT1G35340) TAIR;Acc:AT1G35340] MAASALLRLLSPSSPASLHARATRRRHPRAVVRCSSPSPASLDLPLLPFQPAEVLIPSECKTLHLYEARYLALLEEALYRKNNSFVHFVLDPVVSGSPKASFAVERLDIGALVSIRGVCRVNIINLLQMEPYLRGDVSPIMDISSESIELGLRISKLRESMCNLHSLQMKLKVPEDEPLQTNIKASLLWSEKEIFEEYNEGFIPALPERLSFAAYQTVSGMSEAELLSLQKYKIQAMDSTNTLERLNSGIEYVEHNIGKNFRKQKYNFWLLQQLEIHTTILPPC >ONIVA11G06990.1 pep chromosome:AWHD00000000:11:6038431:6040481:-1 gene:ONIVA11G06990 transcript:ONIVA11G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFLAGSGREGGEREAAGGGPVEGETECSGGEDEWSARMAEAEVVVDDWKFAAEQLVRWSDRARVLADRVPSTAKQLTRDHTVFHREGFLMKMANPTPPVDATPLCSTSQ >ONIVA11G06980.1 pep chromosome:AWHD00000000:11:6037243:6037684:-1 gene:ONIVA11G06980 transcript:ONIVA11G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWAITTMASKLVYCVVVECCVIHAICNGLNYIPYFYTRGSIMLGATNQPLHNNIYINPWNVETITKSMYIAMDEEQARHVKHYTFLKLHGIIIWTRSFITDLSSWPCVIKESSSRSPTMPLDVYSHLPIIELTVLCQN >ONIVA11G06970.1 pep chromosome:AWHD00000000:11:6035097:6035615:-1 gene:ONIVA11G06970 transcript:ONIVA11G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMYTAINMDIQETQERHVKHNTFLVWHGIIIWARSFTANLSSCPFLVMDSMLQSLTLSLHVKVLLCRDQPKYLTK >ONIVA11G06960.1 pep chromosome:AWHD00000000:11:6033381:6033710:-1 gene:ONIVA11G06960 transcript:ONIVA11G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDKLERMRAPLATALAKELSSYHARSPSAVASSSSSVDLSIKDLLALIYFGSLFDVKPQTEFVATMLVRPKEWDCCITFDYVRPCRLTRCRSLVPPPLAARQCGKKT >ONIVA11G06950.1 pep chromosome:AWHD00000000:11:6032389:6032874:-1 gene:ONIVA11G06950 transcript:ONIVA11G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLTDRRKLNKEQEELVIVMLINELKRMCAPLATALAEELSSRPARSPSAVASSSSSSVDLSIEDLLALIYFGSLFDVKPQTEFVATMLVRAKERDCCSTFDYVCPCRLTPCHSPVSPPLAARQCGKKTGERRKKGKKEEMDGKHDGDGTVPIL >ONIVA11G06940.1 pep chromosome:AWHD00000000:11:6024063:6026092:-1 gene:ONIVA11G06940 transcript:ONIVA11G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARHVKHYTFLMLHGLIIWARAFLPPGLPSSGIAHHGSPTRILCRSHTQTRATAAAVGAARPFEEAAMEHGTVEDSSASTFSIAEEEHTLANPARFVLNQDPRVAFCGYGTAVY >ONIVA11G06940.2 pep chromosome:AWHD00000000:11:6026096:6027534:-1 gene:ONIVA11G06940 transcript:ONIVA11G06940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFVGLSMNTAIIGRPGEARGSQALHLPTLHGIIICVRFSPLIYPPALLIICGTIHINAWNMEAMAVSMYIAIIMNIQEKHGRAVKHCTFLTLNGIIIWEKHARHVKRYTFLTLHGIIIWARCLSSLSSSIHVNARNVEAMYTAITMVV >ONIVA11G06930.1 pep chromosome:AWHD00000000:11:6023551:6023730:1 gene:ONIVA11G06930 transcript:ONIVA11G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRVQRRTRACKGRRWGHHPAPLPLLILPASNEATDMAKQQRTAQRRRSSTGATTTSP >ONIVA11G06920.1 pep chromosome:AWHD00000000:11:6021709:6023552:-1 gene:ONIVA11G06920 transcript:ONIVA11G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHRAGLAEQRSSNRALLLSLAHGAFLHPLGQAGSYLQATKRPHSASTHSSQGLCRQPNTPSIPHPADNKVNIRVQTTGDPAIDVFKDGLQDLIVMCQHVRGTFDNAVVDFRSKMTATKSYLGVVATLCGTHKIDED >ONIVA11G06910.1 pep chromosome:AWHD00000000:11:6018658:6022948:1 gene:ONIVA11G06910 transcript:ONIVA11G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMANAKLPKQALLPPRSPFPTAAAAAGPYAGDHGPIARPQGAPHHRHGHGHGHHQRTSSESFIEEQPSWLDDLLNEPETPVRQHGRAGHRRSSSDSFAMFDGGAAAGAYANGFEGMGGGGGGGQAAPWGGVQEYYAKPSSFGRHQGRPWEQGMNNLVNYRQSGGPPMPAKEKVGGHHGSPSVLRDHDHGMDRRSSDESGHDQKVGPERKEGVPPKHAQSEADTKRAKQQYAQRSRVRKLQYIAELERKVQALQSEGIDVSAEMEFLSQQNIMLDLENKALKQRLESLAQEQLIKRFQQEMFEREIGRLRSLYQQQQQQQKQPQPTTTLSRSNSRDLDSQFANLSLKHKDPNSGPLRTQSSSIL >ONIVA11G06910.2 pep chromosome:AWHD00000000:11:6018659:6022948:1 gene:ONIVA11G06910 transcript:ONIVA11G06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMANAKLPKQALLPPRSPFPTAAAAAGPYAGDHGPIARPQGAPHHRHGHGHGHHQRTSSESFIEEQPSWLDDLLNEPETPVRQHGRAGHRRSSSDSFAMFDGGAAAGAYANGFEGMGGGGGGGQAAPWGGVQEYYAKPSSFGRHQGRPWEQGMNNLVNYRQSGGPPMPAKEKVGGHHGSPSVLRDHDHGMDRRSSDESGHDQKVGPERKEGVPPKHAQSEADTKRAKQQYAQRSRVRKLQYIAELERKVQALQSEGIDVSAEMEFLSQQNIMLDLENKALKQRLESLAQEQLIKRFQQEMFEREIGRLRSLYQQQQQQQKQPQPTTTLSRSNSRDLDSQFANLSLKHKDPNSGPLRTQSSSIL >ONIVA11G06900.1 pep chromosome:AWHD00000000:11:6005733:6006065:-1 gene:ONIVA11G06900 transcript:ONIVA11G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGDGKDQEGQGTKQLDDKSMEDENPVADHPKKQMRSMQRYYHKGSFFQQDADNATQTAGAEGEGDEGEELAANMWGPRGSHRHISQNRPLYCVGPRLTRYCELGNVL >ONIVA11G06890.1 pep chromosome:AWHD00000000:11:5990435:5999162:-1 gene:ONIVA11G06890 transcript:ONIVA11G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPLPEEDEQPYEQQVEVDFAQEEHVESAVATMRREREERRKKLKREQQDEGSRLHSQQIRNDYAPYNRAGRGRIKEAPDGWMNCPAFGEPIDKIIPSKVPLDETFNDSVPPGKRYSSKQVVNKQRKAGREIGLVIDLTNTTRYYSPAEWTRQGIKHVKIPCKGRDAVPDNESVNWFVYEVMMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTQVSCVAEAINIFAKRRPPGIYKRDYIEALYSFYHEVPEHMITCPSTPEWKRSSDLDLNGEAKQDDDDENGDLAPVHNEVEDKVITNDDVLGDAVPYDQQDALRVVCYRLLEMPPVRGHAQFPGSHPVSLNSENLQLLRQRYYFATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPHRNLNEGLHEMTLIDGEMIIDTVPDSGLKRRYLAYDLMALDAVSKTKLPFSERWRLLEDEIIRPRYYEKKQFESGVKSNPMYKYDMELFSVRRKDFWLLSTVTKLLKEFIPSLSHDADGLIFQGWDDPYVTRTHEGLLKWKYPSMNSVDFLFEVGGDNRQLVFLYERGKKKLMDGSRIAFPNEEDPSSISGRIVECSWNKEEGCWVCMRIRSDKSTPNDINTYRKVMRSITDNITEEKLLEEIHEITRLPMYADRIKQAQAKMAQHRRR >ONIVA11G06880.1 pep chromosome:AWHD00000000:11:5978030:5988884:1 gene:ONIVA11G06880 transcript:ONIVA11G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G05830) TAIR;Acc:AT2G05830] MGELGALQSIVYHRGSLRLLDQRKLPLEVDYIDVKCSGDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLEDFTGTPAEAAAFVSEKLEYLVSSRPTAVNLSDAATKLRSLVSRTAETEKDAKAIFQAYIDAAETMLVDDVSDNKAIGSHGAEFLKQKLEVSKDISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHDKVPATLIADSAAAALMKSGCIQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPITSIDLSLPSGEQIVIEERSPNELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKSDADETFNIKDFIQSAKLYSTMQ >ONIVA11G06880.2 pep chromosome:AWHD00000000:11:5976378:5989203:1 gene:ONIVA11G06880 transcript:ONIVA11G06880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G05830) TAIR;Acc:AT2G05830] MNTFGRRSNTWSAWTNRVLDLHRPLGSTVARHCSVAPDILSLRTLVGGPNRITRIQRGLGEKAAKHSSDIYSSPDTQGTDKIASGGASSTRSSTPPDSPGFLSISAEFRSAPAMGELGALQSIVYHRGSLRLLDQRKLPLEVDYIDVKCSGDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLEDFTGTPAEAAAFVSEKLEYLVSSRPTAVNLSDAATKLRSLVSRTAETEKDAKAIFQAYIDAAETMLVDDVSDNKAIGSHGAEFLKQKLEVSKDISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHDKVPATLIADSAAAALMKSGCIQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPITSIDLSLPSGEQIVIEERSPNELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKSDADETFNIKDFIQSAKLYSTMQ >ONIVA11G06880.3 pep chromosome:AWHD00000000:11:5978030:5988974:1 gene:ONIVA11G06880 transcript:ONIVA11G06880.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G05830) TAIR;Acc:AT2G05830] MGELGALQSIVYHRGSLRLLDQRKLPLEVDYIDVKCSGDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLEDFTGTPAEAAAFVSEKLEYLVSSRPTAVNLSDAATKLRSLVSRTAETEKDAKAIFQAYIDAAETMLVDDVSDNKAIGSHGAEFLKQKLEVSKDISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHDKVPATLIADSAAAALMKSGCIQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPITSIDLSLPSGEQIVIEERSPNELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKSDADETFNIKDFIQSAKLYSTMQ >ONIVA11G06880.4 pep chromosome:AWHD00000000:11:5978138:5989203:1 gene:ONIVA11G06880 transcript:ONIVA11G06880.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G05830) TAIR;Acc:AT2G05830] MVLVYHTKGAKKFALSYDTNIPRQAGLSGSSAIICAALSCLLDFYNVRHLIKVEIRPNIILDAEKELGIVAGLQDRVAQVYGGLVYMDFGKEHMDTLGHGVYTPLDINLLPPLHLIYADNPSDSGKVHSTVRQRWLDGEEFIISSMEEVARLALDGRKALLDKNYRELARLMNRNFDLRRQMFGDDVIGTVNIKMVEAARSVGAAAKFTGSGGAVVALCPDGEAQVLLLEKACRDAGFLVQRIQVAPSPLPLTEGNPPF >ONIVA11G06860.1 pep chromosome:AWHD00000000:11:5968938:5969538:1 gene:ONIVA11G06860 transcript:ONIVA11G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLANGDSSADTTTRRNAEDFLAILLKVPKELHARLISPWLTGERGIGDGTRRPELEKMTAISLVCARFLKFLEGFWP >ONIVA11G06850.1 pep chromosome:AWHD00000000:11:5967374:5967682:-1 gene:ONIVA11G06850 transcript:ONIVA11G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKERRRRRGTGGKLLCHLRAVRGRGKADRDGFHVAHALDAQAGRRGGRRGGGGEDGGGKGGDEVVAEWREGEGGDEARVGEEGDKEAKEGREKGDDGGEG >ONIVA11G06840.1 pep chromosome:AWHD00000000:11:5963405:5966533:-1 gene:ONIVA11G06840 transcript:ONIVA11G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRLRRALAAASTSASPFLRPAASASRSAPLAAAPLPPPHQVSRPWLPAAGFRSSAAASAAARGDDYGRRDVDEKISPEEILFEGCDYNHWLITMEFPDPKPTREEMIETYLQTLAKVVGSYEEAKKRMYAFSTTTYVGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYENGVITPRPPPVHYSKPSRTDRNRNYRGNYQNGPPQGNYQNSPPQGNYQNSPPQGNYQNSPPPYGSQQDGRGYAPRQNYADRPGYSGTSGGYQSQTTQYQGHANPAGQGQGYYNSQERRNFNQGQGGDFRPGGPSAPGTYGQPPAPGNYVQPHPPTYPGSNQGAPGVNPGYGGNNRQGPGPAYGGDNWQGGSNQYPSQSEGQQESWRGRQ >ONIVA11G06830.1 pep chromosome:AWHD00000000:11:5946389:5948940:1 gene:ONIVA11G06830 transcript:ONIVA11G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDKEKKKEKKEWTPHPPPKKKEKRLTSVRSHPCAPKLESPRRRRGGKSPDRGGRGTLDEVEMAVAAKEANTVVTSTGKEGDLVKRSDMAMVIELVGNAVFMLEFMLIVWFAIKTVILFPGWKGVLLVVFISPAAIMVLVLTRLLKKDFVRRYTEGYYDAGVNQPSGK >ONIVA11G06820.1 pep chromosome:AWHD00000000:11:5933907:5936348:-1 gene:ONIVA11G06820 transcript:ONIVA11G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSIPPDLVVDPRLHYPRSRVVTNRDERCPLLDDARIPQMPLGHEQPDVPPQLYARGLDSAGTSSSAIIGRCVFCARQEHSLLPVYNAAPHVPPVDNAAAERLKKLKKELKTCRPIGIAAIALVMSIGLFVASTSNILSKAVKFWSVGQLIIVFILTTLTTLAAYRVEPTNFNIDLISVGLTAVILYLVDVLLLLFFCLSPYDWGSNQKAISLAIGLGAALDSCIFLIRVNIWKRPMVIYNSPFMAHLKKITCAVMTLLLKVLLAVLMVGVVFIMGCWEILKAVRVLGQGGAQESSSVSAAGGQPPQQSSTGRSQQLPQHSSDGGTEQHSSDGGTEQPHHSSDGGTEQHSSDGGTEQQPRQSQQPPLGDNGIV >ONIVA11G06810.1 pep chromosome:AWHD00000000:11:5918071:5925441:1 gene:ONIVA11G06810 transcript:ONIVA11G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTYVFICSGYLPPEYIEKNVLSNKLDIFSLGVVMLNIITGPRGRSRSAEMSSQEFTDLVLGNWTIRLQATWNGSSLEAYRQQVKTCTEIALKCVEIDRHKRPNILDIVNKINETETMTGKLPISHGPEFRFVNDHREKPTSYSDEFITPESRLASHLNLSDTQVNQEAYRHNGSSFKEKEEDREVHQIIPMENPDIPIDAHPTGPWYVKLALCFEYLTGGSLENHLSDEFHGLDWPTRFKIIKGTCEGLKYLHEGLKPPIYHLDLKPGNILLDKNMVPKLADFGLSKLFTEEKTRVTQTPIGTVGYLPPEYIEKNVLSNKLDIFSLGVVMLNVIAGPRGRSRSAEMSSQEFTDLVLGNWTVRLQATWNGSSLEAYRQQVKTCTEIALKCVEIDRHKRPNILDIVNKINETETMIGKLPISHGSEVRFVNDHREKPTSYSDEFITLESRLASHLNLSDTQVNQEAYHHNGSSFKEKEEDREVHQIIPMENPDIPIDAHPTEPWYVKLSSLFV >ONIVA11G06800.1 pep chromosome:AWHD00000000:11:5916610:5918042:1 gene:ONIVA11G06800 transcript:ONIVA11G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDDEERSLWVVSGDPIAMMDQPFDFNYSEKHRQQVPDSTMDPTDITFRLLEEITDGFSEERKIGQGAYGTVYKGEFRNGKEIAVKMLHNDTLGFDDKQFENEFQNLMRLEHPNIVRLVAYCYETQHKYAEYKGRIVFAAIIHRALCFEYLTDEFHGLDWPTRFKIIKETCEGLKYLHEGLKPPIYHLDLKPGNILLDKNMVPKLADFGLSKLFTEEKIRITQTPIGTVEAE >ONIVA11G06790.1 pep chromosome:AWHD00000000:11:5915010:5915384:-1 gene:ONIVA11G06790 transcript:ONIVA11G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPSLRKRSSSSPAISMSSMSSLPSSSSSSFMDGVRSNAVWERLDDEVASRSSDIFASLDLATNVDGDGDDRGNPQPPPTTADDRGRGILQPTMAMTVEEEFPASSVGVILDTAVDAAAPKH >ONIVA11G06780.1 pep chromosome:AWHD00000000:11:5914584:5914950:-1 gene:ONIVA11G06780 transcript:ONIVA11G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGFASSSGAGLLTSSSTSPFLAAATSTTAVVAAALTTNTICGCVEVEEEAVAMALRGEVVEEVVVMARVKGEEGGTIFHGCNRKARSPLAVGETLSARLPGDRLTLLCRFSRDSPTA >ONIVA11G06770.1 pep chromosome:AWHD00000000:11:5905985:5910253:-1 gene:ONIVA11G06770 transcript:ONIVA11G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G31390) TAIR;Acc:AT4G31390] MELCTSSVSGSVQWSRISAFDYRTRSHGYASSSSWVSQRRRKTSFYVMNAASTGALPPTKKLIPRANVRNISGDKPSSALEQLDIERGVCIPFRKYTPEMVRNRVLGSRGSVLSLASRGVEIIWKLGLYWSALMYDFLVGRDEEIVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFSIIEEELGQPLERLFSRISSRTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFLENFKDDPTVKIPQVYKQYSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIVPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLQPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVSKMSSNPALKKNSSQIERNRQMEKKLDLTDTIKDGARLFLIDAGIRRQLIMAFTEDSKLHVEELVDVYRLVEDQIDIPSVALEVIQDLPSVARDFMLSWSDSILSDRQY >ONIVA11G06770.2 pep chromosome:AWHD00000000:11:5905987:5910230:-1 gene:ONIVA11G06770 transcript:ONIVA11G06770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G31390) TAIR;Acc:AT4G31390] MELCTSSVSGSVQWSRISAFDYRTRSHGYASSSSWVSQRRRKTSFYVMNAASTGALPPTKKLIPRANVRNISGDKPSSALEQLDIERGVCIPFRKYTPEMVRNRVLGSRGSVLSLASRGVEIIWKLGLYWSALMYDFLVGRDEEIVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFSIIEEELGQPLERLFSRISSRTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFLENFKDDPTVKIPQVYKQYSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIVPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLQPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVSKMSSNPALKKNSSQIERNRQMEKKLDLTDTIKDGARLFLIDAGIRRQLIMAFTEDSKLHVEELVDVYRLVEDQIDIPSVALEVIQDLPSVARDFMLSWSDSILSDRQY >ONIVA11G06760.1 pep chromosome:AWHD00000000:11:5902907:5904095:-1 gene:ONIVA11G06760 transcript:ONIVA11G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G09350) TAIR;Acc:AT4G09350] MAASTASAPFTPLLHRRRASVHGRCGSGRAFVAVVVAAAAGGAPETEPSPATAAGAAAQGKKKTVDTRIHWSDPDEGWVGGNAKKDGGGRKKEPLGGRFADLINNPSESHYQFLGVEPKADIEEIKAAYRRLSKEYHPDTTSLPLREASERFIRLREAYNVLSREESRRFYDWTLAQEAESRRLQQLRSRLEDPYQQDLDSYQSVPDMVDRLGGRNMDLSDQAMTALTIDIGIIIFCVFCLIYAVFFKEQY >ONIVA11G06750.1 pep chromosome:AWHD00000000:11:5896632:5904323:1 gene:ONIVA11G06750 transcript:ONIVA11G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZN3] MQGANMLLDEPVRLTSVLTPVKPKVFPSLTKIVGTLGPNSHSVEVIQECLTAGMAVARFDFSWMDASYHQETLNNLRKAAQNVNKLCPVMLDTLGPEIQVHNSTGGPIELKAGNHVTITPDLSKAPSSEILPIKFGGLAKAVKKGDTLFIGQYLFTGSETTSSWLEVVETSGENVECLVTNTATLAGPMFTLHVSKAHVSLPTLSDYDKEVISTWGLHNSVDIISLSHTRSAEDVRELRSFLQSHGLQDTQIYAKVENTEGLDHFDEILQEADGVIISRGDLGIDLPPEDVFISQKTAIKKCNLAGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETHRGPYPVDAVSTVGRICAEAESVYNQLVHFKKLVKHVGDPMPHEESVASSAVRTAMKVKAAAIVVFTFSGRAARLVAKYKPPMPVLAVVFPREGSDPTKWRSYGTTQARQCFAARGVYPLMASTEEAETGGLTREEYGIKLAQNYGRSVGMLKPYDRLIIFQKIGDSSVVKIIECDNSDGLLMRSANLPPSGSFFRPPPSFLAFPPTHPSSGSDQCILVSTVFFFPCAAAPAAVAGDGSVSGAPPAAAATTTATNALPLPHRPWTLARRRWRSGVNGADAVDAAMRARPECAWWCIPKELEAEEVCIRCGARRGEEAMRWGPGVGPTCQHGISRAHV >ONIVA11G06750.2 pep chromosome:AWHD00000000:11:5896632:5904136:1 gene:ONIVA11G06750 transcript:ONIVA11G06750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZN3] MQGANMLLDEPVRLTSVLTPVKPKVFPSLTKIVGTLGPNSHSVEVIQECLTAGMAVARFDFSWMDASYHQETLNNLRKAAQNVNKLCPVMLDTLGPEIQVHNSTGGPIELKAGNHVTITPDLSKAPSSEILPIKFGGLAKAVKKGDTLFIGQYLFTGSETTSSWLEVVETSGENVECLVTNTATLAGPMFTLHVSKAHVSLPTLSDYDKEVISTWGLHNSVDIISLSHTRSAEDVRELRSFLQSHGLQDTQIYAKVENTEGLDHFDEILQEADGVIISRGDLGIDLPPEDVFISQKTAIKKCNLAGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETHRGPYPVDAVSTVGRICAEAESVYNQLVHFKKLVKHVGDPMPHEESVASSAVRTAMKVKAAAIVVFTFSGRAARLVAKYKPPMPVLAVVFPREGSDPTKWRSYGTTQARQCFAARGVYPLMASTEEAETGGLTREEYGIKLAQNYGRSVGMLKPYDRLIIFQKIGDSSVVKIIECDSS >ONIVA11G06750.3 pep chromosome:AWHD00000000:11:5896632:5905114:1 gene:ONIVA11G06750 transcript:ONIVA11G06750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZN3] MQGANMLLDEPVRLTSVLTPVKPKVFPSLTKIVGTLGPNSHSVEVIQECLTAGMAVARFDFSWMDASYHQETLNNLRKAAQNVNKLCPVMLDTLGPEIQVHNSTGGPIELKAGNHVTITPDLSKAPSSEILPIKFGGLAKAVKKGDTLFIGQYLFTGSETTSSWLEVVETSGENVECLVTNTATLAGPMFTLHVSKAHVSLPTLSDYDKEVISTWGLHNSVDIISLSHTRSAEDVRELRSFLQSHGLQDTQIYAKVENTEGLDHFDEILQEADGVIISRGDLGIDLPPEDVFISQKTAIKKCNLAGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETHRGPYPVDAVSTVGRICAEAESVYNQLVHFKKLVKHVGDPMPHEESVASSAVRTAMKVKAAAIVVFTFSGRAARLVAKYKPPMPVLAVVFPREGSDPTKWRSYGTTQARQCFAARGVYPLMASTEEAETGGLTREEYGIKLAQNYGRSVGMLKPYDRLIIFQKIGDSSVVKIIECDSS >ONIVA11G06740.1 pep chromosome:AWHD00000000:11:5889239:5889801:1 gene:ONIVA11G06740 transcript:ONIVA11G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRFLLLAGLLLATAVTAAGEQQPRRTAMADHQQPAATAAPCDPLCISGAAAGATPEAMAAAAMAGGNESESALPPRQLDRPDSSGLPTTHQSWIYHEPVAMPYSTAPPAAISLVGATAAATAVFSTMLLAAAAAR >ONIVA11G06730.1 pep chromosome:AWHD00000000:11:5885573:5889327:-1 gene:ONIVA11G06730 transcript:ONIVA11G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRGCCSPAAVTAVARRRPARRRKRRSIAQQGEAAHKIECWLALARVLVLGVLVIVVVHAAANVAGSSHSPPLTRPSPSRVLLHGGRWSTSPAKRAASDGGDFDDGRRARPRWLRWQRRRRRQQRETVVASTTIDEPGGSGFDGGSGGCGQAAASSFDSGRWRAALRCECRHRVSVAPTDGEGNGSLALPHEASEAGSSGRPAGARRPPPLPLREGVASLADNDNEDEEEEEAAWRGAAGPACTCPAPTDEEEEEEEEEEAAGRGTAGPARTRTGRINTVPSAADEEAAAARSGAAGPACTPCRRRWILDR >ONIVA11G06720.1 pep chromosome:AWHD00000000:11:5880669:5884563:-1 gene:ONIVA11G06720 transcript:ONIVA11G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAISWISGALFIWMFLIDVAEPTKRAIKSIKADDGDVIDCINIYQQPAFNNPRLKNHTISTIKTRPGKLPFSKRAKTARQAWQNNGRCPDGTIAIRRATQQSQLEVDATQPNGCYIEYAGIQAPQTVYGARGDVNVWGIRVEPNEWSTNGIVITNGHGASLQFGWMVAPTLYGESHGKTRLFIRTVDPQNGVDCFNLNCAGFVQISNEYAFGAALAPLSEYGDVQYETHLTIYKDMLSNRWCAMYGDTMLGYWPLEAFPAFDKGEEAFWGGQVCNMHEGQEYTTTGMGSGYHPIEGMGKSAYIYGIQVMQIDKSWQRPTRTFGNMSNQPCYGVEPYESKDGALSIFFGGTANMACCGLACQSPGK >ONIVA11G06710.1 pep chromosome:AWHD00000000:11:5873668:5876709:-1 gene:ONIVA11G06710 transcript:ONIVA11G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIIFLLFLIACVDRSINVHCEKQPVSSSFDKHDNVSSSLAELFSGKRIPLFRYISNKTSRLSTQAVQVGWDRGLQTSLYVISVGLGTPAKTQIVEIDTGSSTTWVFCECDGCHTNPRTFLQSRSTTCAKVSCGTSMCLLGGSDPHCQDSENYPDCPFRVSYQDGSASYGILYQDTLTFSDVQKIPSFTFGCNLDSFGANEFGNVDGLLGMGAGPMSVLKQSSPTFDGFSYCLPLQKSERGFFSKTTGYFSLGKVATRTDVRYTKMVARRKNTELFFVDLAAISVDGERLGLSPSIFSRKGVVFDSGSELSYIPDRALSVLSQRIRELLLRRGAAEEESERNCYDMRSVDEGDMPAISLHFDDGARFDLGSHGVFVERSVQEQDVWCLAFAPTESVSIIGSLMQTSKEVVYDLKRQLIGIGPSGAC >ONIVA11G06700.1 pep chromosome:AWHD00000000:11:5870618:5871158:-1 gene:ONIVA11G06700 transcript:ONIVA11G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFLLLVLVLLAPLLPGTTGDHQHALTTEHLPAAAAATAVIDDAGNTTTAASRDPLHISIVVRAAGGGASPSATAATGDDASTPPPPQLSRPNRDLPTVPSPHDHEPVPTPPSPDFFPDSALRTIPAIAIVMSAILLLLLIAATH >ONIVA11G06690.1 pep chromosome:AWHD00000000:11:5867315:5867865:1 gene:ONIVA11G06690 transcript:ONIVA11G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRFLLLLLLLLATGIAAGQQPPRRTTAAAPCDPLCISGAAGAGATPEAMAAAAAAMAGGNESESALLPAPHQLDRPDSSGLPSTHQSWIYYGPLPTTPYPYSKAPPASSLLCAATAATAVVFSTMLLVAAAVR >ONIVA11G06680.1 pep chromosome:AWHD00000000:11:5864183:5864728:1 gene:ONIVA11G06680 transcript:ONIVA11G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZM6] MPLLLQLVLAVAAVAVAVGAATTTTTHTRFYMHDTVTASASGGGPAATAVRVVRGAAAAALPGDAVNRFGDLYAVDDPLTDGADASSSAAVGRARGFYMFASRTDSALLFSATMEFTAGVHRGGAVSVLARDAILDEVRELPVVGGAGVLRGAAGYGLLRTHSFNATTNNAVLQIDMYLTV >ONIVA11G06670.1 pep chromosome:AWHD00000000:11:5858109:5858660:1 gene:ONIVA11G06670 transcript:ONIVA11G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZM5] MASLSSILLGCFLLAAAVFLHRNGASTTTTTTTHLHFYMHDAYTGPSPTAMRVVSGRSLLLDGGGDGSPPRQFGDIVALNNALTEGPSAGSARVGTAQGFAVRVSEGGVVSDLSLHMVLEAGEHRGSSVTAKGRIDMDAGERESVVIGGTGRFRLARGYMVTKNYDYSLATGGIVEIDLYLQH >ONIVA11G06660.1 pep chromosome:AWHD00000000:11:5846993:5852784:-1 gene:ONIVA11G06660 transcript:ONIVA11G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLRRTGDGNGGDHGGLRGARELAVDPAAVGPLAADPATGRARRATIRRRRSWRRWRWGRVRIRWRDNCKNKRPRRTIKPAARNVSKSALPSGLDALNPNRRTLRTASSNRDKKNKDKLDTEIFELYLEDLWKDIDEEKRSAYAYFDSLWFHMYTSGHNKSNVLKWIKAKKVFSRQYVFVPIVIWGHWNLLVLCNFGETDYLGTDKGPRMLLLDSLKTTNPTRLRSNIKRFIADIFKTEEREENEQFINKICLEFPEVPQQNGDECGIYVLYFIYCFLQNKALGEDFSQLFDDPEEWENFRKGTEKMKLQNRIQQQITCSSSWWHNLKKFEDCQEFVCHTVHAVKRKMAISQIQSSISVNLALSRSFVDPGY >ONIVA11G06660.2 pep chromosome:AWHD00000000:11:5846993:5852784:-1 gene:ONIVA11G06660 transcript:ONIVA11G06660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLRRTGDGNGGDHGGLRGARELAVDPAAVGPLAADPATGRARRATVAVVDLVDSDDDCKNKRPRRTIKPAARNVSKSALPSGLDALNPNRRTLRTASSNRDKKNKDKLDTEIFELYLEDLWKDIDEEKRSAYAYFDSLWFHMYTSGHNKSNVLKWIKAKKVFSRQYVFVPIVIWGHWNLLVLCNFGETDYLGTDKGPRMLLLDSLKTTNPTRLRSNIKRFIADIFKTEEREENEQFINKICLEFPEVPQQNGDECGIYVLYFIYCFLQNKALGEDFSQLFDDPEEWENFRKGTEKMKLQNRIQQQITCSSSWWHNLKKFEDCQEFVCHTVHAVKRKMAISQIQSSISVNLALSRSFVDPGY >ONIVA11G06650.1 pep chromosome:AWHD00000000:11:5833012:5843534:-1 gene:ONIVA11G06650 transcript:ONIVA11G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEVGGMLASAVLKVATQKLGAAIAGRVMLQWNFDKDLEGMKATLESVDAVLRDAERRSIRDAAVRLWLRRLKDAAYDISDMLDDLEAITSKSDAGKLGCVMIPNLTIAHKITLANKMKTISDELKEITNQHLSFRFTEDSSYKEHRVTDKRETSSKVEEARVVGRTAEKRIIISSLSKRMTEETVILPIYGIGGIGKTTLAKLVFNASKFRDYSKVWIYVSQTFDLNKISNSIISQVSESESQLTEREMINRRLDELLSGRKILIVLDDLWERDQFQLDDLKTMLKVGRGSRVIAIVTTRDKDIAEKICTTEPYKLEPLTNDMCWKIIKEKSVFEARDDKEQLENIGREIASKCGGVALAAKSLGYTLQPMKFDEWVSVRNNDIWKASTFECTSLPYHNVLASLRLSCSNMPPNLRLCFAYCAIFPKGHIIVKDQIIHQWNALGFIEQSDIFSTRQLGEAYVRQLLGLCFLQQTKAPSTHRSDREKSKASKEVNNDRSRCRRPPKTGLGFHPKWREFTRQHLQGGNDTHRHRCHRSWKTRQGFRLRLPQEVYTATWHVKHQRGPSALTADRLDHQHGRTKNSTTKRLHGRPGSRRPREGRRPGRPEGELRAVGRGSKDIGVIHVSSEDLRASRDNPNNCDNHLQLHHTTSAERGALGRTAEQLKGRKYGGRGDDGHRSPLLLLPRRQREEERVGKEREKMTTRVHNEDSTFLTMHDLVHDFARSILFDAVLDSGKKINIGVSSCRYGMLRDCSKPLELVTPSPAKIRALHFLGCGKIELHGVAFSSASCLRVLDLSGCSILRLPASIGQLKQLRYLNAPGMKNRMIPKCITKLSKLNFLSLCRSRAISALPESIGEIEGLMHLDLSGCSRLKELPKSFGKLRRLVHLNLSNCSRVKDVSEYICGLTNLEYLNLSVCRKIGFLPRTLGSLTELKYLNLSGCFGIKELPKSFQQLKNLVHLDLSCCNCVKDLSEALDGLAKLQYLNLSYCHHYGNQFRLRGLPEVIGNLTSLRHLHLSGFLDNIFGNQSGVMDKLLEIGYLNLSTFQGNIFQQLPPGQSHSFIECISALSNLEHLNLSNNVSLYSVPESLGNLRRLHTLDLTGCIGLLWLPESISKIQSLKYVLMKDLLRLKSTLSCFNNGPILLPNFVVQAVDKKSSNLGQLLDANPAELDISSLENVKSTKEAEGIKLSGKRNIVKLKFDWTIGTKRYVEDMEVLRELVPPSTVKYFELRGYHSTSFPTWLMGIAHCLPNLVKIKIVDLSKCSILPLGQLPNLKQLVLGRMKSITKIDADFCGGARAFPQLKTFDIYSMERLQEWNTTYSCGEDGVTEFMFPNLQWLSISDCPNLVVKPLPPRVTWWKIEGGESVISSWGGSVHTGTSSSSCSVTNLVVEFCTLPLSQWSLLHHLPALRHLTIHWCTDLTSSPEIIQDLHSLKSLSLDGNEQAELPDWLGDLPSLQELKITMYPALTELQEKIRQLMSLESLTLSSCQMLTSLGEWFGSLTSLQELHISHCQRLNSFPEGMQYLTSLLSLHLSYCESISALPEWLGNLTSLETLQIWECRGIKSLPESIEQLTMLEHLEISGCPELKQCVFGSAGRLEGVFTNLKLALQIQEHLGAICAAMSLPASQK >ONIVA11G06650.2 pep chromosome:AWHD00000000:11:5833012:5843534:-1 gene:ONIVA11G06650 transcript:ONIVA11G06650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEVGGMLASAVLKVATQKLGAAIAGRVMLQWNFDKDLEGMKATLESVDAVLRDAERRSIRDAAVRLWLRRLKDAAYDISDMLDDLEAITSKSDAGKLGCVMIPNLTIAHKITLANKMKTISDELKEITNQHLSFRFTEDSSYKEHRVTDKRETSSKVEEARVVGRTAEKRIIISSLSKRMTEETVILPIYGIGGIGKTTLAKLVFNASKFRDYSKVWIYVSQTFDLNKISNSIISQVSESESQLTEREMINRRLDELLSGRKILIVLDDLWERDQFQLDDLKTMLKVGRGSRVIAIVTTRDKDIAEKICTTEPYKLEPLTNDMCWKIIKEKSVFEARDDKEQLENIGREIASKCGGVALAAKSLGYTLQPMKFDEWVSVRNNDIWKASTFECTSLPYHNVLASLRLSCSNMPPNLRLCFAYCAIFPKGHIIVKDQIIHQWNALGFIEQSDIFSTRQLGEAYVRQLLGLCFLQQTKAPSTTRVHNEDSTFLTMHDLVHDFARSILFDAVLDSGKKINIGVSSCRYGMLRDCSKPLELVTPSPAKIRALHFLGCGKIELHGVAFSSASCLRVLDLSGCSILRLPASIGQLKQLRSRAISALPESIGEIEGLMHLDLSGCSRLKELPKSFGKLRRLVHLNLSNCSRVKDVSEYICGLTNLEYLNLSVCRKIGFLPRTLGSLTELKYLNLSGCFGIKELPKSFQQLKNLVHLDLSCCNCVKDLSEALDGLAKLQYLNLSYCHHYGNQFRLRGLPEVIGNLTSLRHLHLSGFLDNIFGNQSGVMDKLLEIGYLNLSTFQGNIFQQLPPGQSHSFIECISALSNLEHLNLSNNVSLYSVPESLGNLRRLHTLDLTGCIGLLWLPESISKIQSLKYVLMKDLLRLKSTLSCFNNGPILLPNFVVQAVDKKSSNLGQLLDANPAELDISSLENVKSTKEAEGIKLSGKRNIVKLKFDWTIGTKRYVEDMEVLRELVPPSTVKYFELRGYHSTSFPTWLMGIAHCLPNLVKIKIVDLSKCSILPLGQLPNLKQLVLGRMKSITKIDADFCGGARAFPQLKTFDIYSMERLQEWNTTYSCGEDGVTEFMFPNLQWLSISDCPNLVVKPLPPRVTWWKIEGGESVISSWGGSVHTGTSSSSCSVTNLVVEFCTLPLSQWSLLHHLPALRHLTIHWCTDLTSSPEIIQDLHSLKSLSLDGNEQAELPDWLGDLPSLQELKITMYPALTELQEKIRQLMSLESLTLSSCQMLTSLGEWFGSLTSLQELHISHCQRLNSFPEGMQYLTSLLSLHLSYCESISALPEWLGNLTSLETLQIWECRGIKSLPESIEQLTMLEHLEISGCPELKQCVFGSAGRLEGVFTNLKLALQIQEHLGAICAAMSLPASQK >ONIVA11G06650.3 pep chromosome:AWHD00000000:11:5833012:5843534:-1 gene:ONIVA11G06650 transcript:ONIVA11G06650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEVGGMLASAVLKVATQKLGAAIAGRVMLQWNFDKDLEGMKATLESVDAVLRDAERRSIRDAAVRLWLRRLKDAAYDISDMLDDLEAITSKSDAGKLGCVMIPNLTIAHKITLANKMKTISDELKEITNQHLSFRFTEDSSYKEHRVTDKRETSSKVEEARVVGRTAEKRIIISSLSKRMTEETVILPIYGIGGIGKTTLAKLVFNASKFRDYSKVWIYVSQTFDLNKISNSIISQVSESESQLTEREMINRRLDELLSGRKILIVLDDLWERDQFQLDDLKTMLKVGRGSRVIAIVTTRDKDIAEKICTTEPYKLEPLTNDMCWKIIKEKSVFEARDDKEQLENIGREIASKCGGVALAAKSLGYTLQPMKFDEWVSVRNNDIWKASTFECTSLPYHNVLASLRLSCSNMPPNLRLCFAYCAIFPKGHIIVKDQIIHQWNALGFIEQSDIFSTRQLGEAYVRQLLGLCFLQQTKAPSTTRVHNEDSTFLTMHDLVHDFARSILFDAVLDSGKKINIGVSSCRYGMLRDCSKPLELVTPSPAKIRALHFLGCGKIELHGVAFSSASCLRVLDLSGCSILRLPASIGQLKQLRYLNAPGMKNRMIPKCITKLSKLNFLSLCRSRAISALPESIGEIEGLMHLDLSGCSRLKELPKSFGKLRRLVHLNLSNCSRVKDVSEYICGLTNLEYLNLSVCRKIGFLPRTLGSLTELKYLNLSGCFGIKELPKSFQQLKNLVHLDLSCCNCVKDLSEALDGLAKLQYLNLSYCHHYGNQFRLRGLPEVIGNLTSLRHLHLSGFLDNIFGNQSGVMDKLLEIGYLNLSTFQGNIFQQLPPGQSHSFIECISALSNLEHLNLSNNVSLYSVPESLGNLRRLHTLDLTGCIGLLWLPESISKIQSLKYVLMKDLLRLKSTLSCFNNGPILLPNFVVQAVDKKSSNLGQLLDANPAELDISSLENVKSTKEAEGIKLSGKRNIVKLKFDWTIGTKRYVEDMEVLRELVPPSTVKYFELRGYHSTSFPTWLMGIAHCLPNLVKIKIVDLSKCSILPLGQLPNLKQLVLGRMKSITKIDADFCGGARAFPQLKTFDIYSMERLQEWNTTYSCGEDGVTEFMFPNLQWLSISDCPNLVVKPLPPRVTWWKIEGGESVISSWGGSVHTGTSSSSCSVTNLVVEFCTLPLSQWSLLHHLPALRHLTIHWCTDLTSSPEIIQDLHSLKSLSLDGNEQAELPDWLGDLPSLQELKITMYPALTELQEKIRQLMSLESLTLSSCQMLTSLGEWFGSLTSLQELHISHCQRLNSFPEGMQYLTSLLSLHLSYCESISALPEWLGNLTSLETLQIWECRGIKSLPESIEQLTMLEHLEISGCPELKQCVFGSAGRLEGVFTNLKLALQIQEHLGAICAAMSLPASQK >ONIVA11G06650.4 pep chromosome:AWHD00000000:11:5833010:5843534:-1 gene:ONIVA11G06650 transcript:ONIVA11G06650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEVGGMLASAVLKVATQKLGAAIAGRVMLQWNFDKDLEGMKATLESVDAVLRDAERRSIRDAAVRLWLRRLKDAAYDISDMLDDLEAITSKSDAGKLGCVMIPNLTIAHKITLANKMKTISDELKEITNQHLSFRFTEDSSYKEHRVTDKRETSSKVEEARVVGRTAEKRIIISSLSKRMTEETVILPIYGIGGIGKTTLAKLVFNASKFRDYSKVWIYVSQTFDLNKISNSIISQVSESESQLTEREMINRRLDELLSGRKILIVLDDLWERDQFQLDDLKTMLKVGRGSRVIAIVTTRDKDIAEKICTTEPYKLEPLTNDMCWKIIKEKSVFEARDDKEQLENIGREIASKCGGVALAAKSLGYTLQPMKFDEWVSVRNNDIWKASTFECTSLPYHNVLASLRLSCSNMPPNLRLCFAYCAIFPKGHIIVKDQIIHQWNALGFIEQSDIFSTRQLGEAYVRQLLGFVFGSAGRLEGVFTNLKLALQIQEHLGAICAAMSLPASQK >ONIVA11G06640.1 pep chromosome:AWHD00000000:11:5824148:5827887:-1 gene:ONIVA11G06640 transcript:ONIVA11G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZL8] MAAAVAVVVGCVLLGGVWGFPEEDLVARLPGQPVVGFRQFAGYVDVDEKAGRSLFYYFAEAAEGAAAKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRLNKKSWNKVSNLLFVESPAGVGWSYSNTSSDYNTGDARTANDMYKFLLGWYKKFPEYRSRGLLLSGESYAGHYIPQLTDVLLTHNEKSNGFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEIFLSISHSCDFEDYTFSNPHNESKSCNDAIAEANSIVGDYVNNYDVILDVCYPSIVMQELRLRKYVTKMSIGVDVCMTYERYFYFNLPEVQQALHANRTHLPYGWSMCSDVLNYSNKDGNINILPLLQRIVEHKIPVWVFSGDQDSVVPLLGSRTLVRELAHDMGLHVTVPYSSWFRRGQVGGWVTEYGNILTFATVRGASHMVPFAQPDRALGLFQSFALGRRLPNTTHPPIN >ONIVA11G06630.1 pep chromosome:AWHD00000000:11:5820445:5821857:1 gene:ONIVA11G06630 transcript:ONIVA11G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAGRLSGLLRRCAAAGAVRPGEQAHARAVVGGWLPDATLETDLVLMYCRCGERRRARRVFDGMRAPSMHAYNVLLAASPPRDAMEVFSRLLASGLRPDGYSVPAVVRACAELPDAVLGGVIHGFAVRLGLMGNVVVAAALLDMYAKAGFLDDAVRVFDEMTERDAVVWNCMVAGYARAGRAVETFEIFSRAQVEAVNMVNGLQAVPSVLNICAKEGELMKGREIHGRMVRCLAFDSDIAVGNALINMYAKCGRVNVSQAVFSGMQQRDVVSWSTMIHSYSIHGKGEQALKVYMEMLSEGVKPNWITFTSVLSSCSHSGLVTEGRKIFESMTKVHGVHPAAEHYACMVDLLGRAGAIEEAVGLIRKMPMEPCASVWGALLSACAMHNNVDVGEIAAFRLFELEEGSASNYVTLCGIYDAVGQSDGVAGLRSRMRELGMVKTPGCSRIDVKGRAHAFYQGSIPRYLRR >ONIVA11G06610.1 pep chromosome:AWHD00000000:11:5814256:5816853:1 gene:ONIVA11G06610 transcript:ONIVA11G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAACFFFFFFSRLITIYFCFSPIAHARHPVTRAPDAGDGCVCKERDALLDFMRGINDADNTLASWQWEKDCCRWIGVTCSSNRIRMAGNVIRLELSEASLGGQVLQGRMSPSLASLEHLEYLDLSALVLPGINSSSPKFLGSMTNLRYLDLSGCFLSGSVSPWLGNLSKLEYLDLSFSTLSGRVPPELGNLTRLKHLDLGNMQHMYSADISWITHLRSLEYLDMSLVNLSMVAPGWPHVLNTIPSLEVLNLVKCTLPSTPQALAQLNLTKLVQLDLSSNRLGHPIQSCWFWNLTSIESLELSETFLHGPFPTALGSFTALQWLGFSDNGNAATLLADMRSLCSMKSLGLGGSLSHGNIEDLVDRLPHGITRDKPAQEGNFTSLSYLDLSDNHLAGIIPSDIAYTIPSLCHLDLSRNNLTGPIPIIENSSLSELILRSNQLTGQIPKLDRKIEVMDISINLLSGPFPIDIGSPNLLALILSSNYLIGRIPESVCESQSMIIVDLSNNFLEGAFPKCFQMQRLIFLLLSHNSFSAKLPSFLRNSNLLSYVDLSWNKFSGTLPQWIGHMVNLHFLHLSHNMFYGHIPIKITNLKNLHYFSLAANNISGAIPRCLSKLTMMIGKQSTIIEIDWFHAYFDFVDGSLGRIFSVVMKHQEQQYGDSILDVVGIDLSLNSLTGGIPDEITSLKRLLSLNLSWNQLSGEIVEKIGAMNSLESLDLSRNKFSGEIPPSLANLTYLSYLDLSYNNLTGRIPRGSQLDTLYAENPHIYDGNNGLYGPPLQRNCLGSELPKNSSQIMSKNVSDELMFYFGLGSGFTVGLWVVFCVVLFKKTWRIALFRLFDRIHDKVYVFVAITWASIGREATTD >ONIVA11G06600.1 pep chromosome:AWHD00000000:11:5801265:5807781:1 gene:ONIVA11G06600 transcript:ONIVA11G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPAHLSTAVGADPLPLPRLALAWHDPLASASPCIGLLLRRQIPTPTYQGLRLAVVKVVEVVASGVPVTTATQLGHVDCLDAAQQMPGIYGEARARIIT >ONIVA11G06590.1 pep chromosome:AWHD00000000:11:5792273:5794069:1 gene:ONIVA11G06590 transcript:ONIVA11G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTQHHPTAAATAVILLLLAVSPAPSGADPDDERCLSSLQQSLSGLRNWSKASFSAPCEGFISHLQGVTCNNGRVYKLSLPGLSLAGTIPPDLSNCTNLQSLDLSSNALSGAIPPELSGLLNLAVLNLSANRLSGAIPRDLARCAYLNVIDLHANQLTGSIPDELGLLVRLSTFDVSYNRLSGPIPVLLANRSGTTGRFNATSFVGNKDLYGYPLPPMPGHALSVLAIVGIGLGSGLLSLVLSFSARVISERS >ONIVA11G06580.1 pep chromosome:AWHD00000000:11:5780162:5784646:1 gene:ONIVA11G06580 transcript:ONIVA11G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLKKTKAGSRPILFRQFLTFVLIKYRLAKYLPETKEGTYKWQKLCECKLRFISSFMNS >ONIVA11G06570.1 pep chromosome:AWHD00000000:11:5776757:5777036:-1 gene:ONIVA11G06570 transcript:ONIVA11G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGGRFLEVAGVAVRPAADGKALLPLLPAAAPSPVLPSWDPTAATPCSWVGVTCSPQSRVSLSWLPPQLRNLDRFDSNIKQMADNGRL >ONIVA11G06560.1 pep chromosome:AWHD00000000:11:5770543:5776693:-1 gene:ONIVA11G06560 transcript:ONIVA11G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYLGELALANDDKATIAEAARGRGEEGDKDVNGDGVRDEMKKVTGVAWFFSSRATALHLRRRELKLLPFPSFPCSSSSAPNTCSQQSHLLLVSSAGPREQTLLPADSRSSLPHGITDNGTRKEGRRRRRGRKRKETAKDEGECVPSAEEVSIRVNTLYESGDPIGKKELGRCVVQWLKQGMHSMAIKYASTEMQNDGATFLLDGGSSEDNLGFVMLAQPYLSAIPMPKGQEALCLKASTHYPTLFDHFQRELRDVLLKQQNQGLISDWRTTQSWMLLKELANSAQHRAAARKPKAPTTHSTLGISLDKTRLMQTKIEDFVKKMSDLLHIERDAELEFTQEELNATPVMDGNSKKPLKPVEYLVTHGQSQQEQCDTICNLNVISSSTGLDGQHLVLFRVKDNHRLPPTTLSPGDMVCIRTCDNRGEITTSCMQGFIYNLGEDGCSITVTLKSRRGDPTFSKLFGKNVRIDRIQALADALTYERNCEALMLLQRKGLQKKNSSIGVVATLFGDKEDMMMMEQNNLADWGESTIHDDELLKKNKYDFDASQLKAITLGLNNKRPVLIIQGPPGTGKTGLLSYLIACAVRKGERVLVTAPSNAAVDNMVEKLSDTGLDTVRVGNPARISPSVASRSLGELVNRRLQKFTEEFERKKSDLRKDLKHCIQDDTLAAGIRQLLKQLGKNFKKKEKEIIREVLSNADVVLSTNIGAADPLVRRIGCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDQRQLAPVVLSREAMQGGLAMSLLERASSLHNELLTTKLTTQYRMHDSIASWASNEMYDGFLKSSPSVVSHLLADYPFIKETWITRCAFLLLDTRMPYGSLNIDCEEHLDPAGTGSFYNNGEADVVSQHVLNLVQCGVSPTAIAVQSPYIAQVQLLRDRLEDYPEASGVEVSTIDSFQGREADAVVISMVRSNTLGAVGFLGDNRRMNVAITRARRHVALVCDSSTICNNAFLARLLRHIRQHGQVRHVEPGSFGGDSGLGYTPPALPSIS >ONIVA11G06550.1 pep chromosome:AWHD00000000:11:5767072:5768055:1 gene:ONIVA11G06550 transcript:ONIVA11G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAAMSSSSSSSPCITFIAESMILPTRNIRLFAPIFLLIFCHTFIFLGITAIHVNPLAPSLDSIHSLATGVLVHVYAPKNTTDDGQGQATATDSLIRGHAIVYLAYLVSRLTVQVVAVVAGCTTYSGKRLSFTELLGWEVATTERIRGPLITAMFMGVVDLSTATLLVLAAHMTAFVGGSGMASILGSLLFLAALVLYIHLGAVIPVSIAVSSAEGRWAAPALWLAWRLMKARRKEAGVLTLIACLVPAAICPVYTIAAALSDELLFTFYVWLLGVVFGFFLLPVALQLLSTTAATVFYYHCVEAQVVAHVCDVSVDDRDVVDQV >ONIVA11G06540.1 pep chromosome:AWHD00000000:11:5691837:5713174:-1 gene:ONIVA11G06540 transcript:ONIVA11G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEEKTMITKNLVGTLPYMPREYIERKEISNKLDIFSLGAVMLDIIAGPTGRFRSTKMPSLEFTNQVQGKWKNRLQTRRNGPLLEAYCQQVKTCTEIALKCLEIDRENRPNILEIINQINEKEDIIGEKTKNLITIMNHVPKRKRRTKRKIRSSQWSILIFQEMCIHQSHVTAAKFIARKQWFVVGHQDGFIRVYTYESPVKQVKRFKAHVWNITTLDVHPTEPYLLSVGSQDQIKLWDWNKGWECIKTFDLHGIAYQIKFNPKDTHKFAIASLQDAQVWNIRSSRHEFTLSGHGYIVSCLDYFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPVLLTGSNDETVRLWNSITFKLEGVLDFELGQVTAIICLKGSKRVVIGHDAGLVITEIRHERPAAWHLVVVMSSVSECAPRMGTRSTLTTKAPTGAWLTATWTHPPGAAQRSSTASAVGRKRNRASSWSSLKAVRAMNPTNVTYELLEEITDGFSEERKLGEGGYGTVYKGEHKNGDKIAVKILHDTQDFDGKKLQNEFGNLMIVNHPNIVQLVGYCYEIKHTHGQVKGRPVLVATIHRALCFEYMPRGSLENYLSDKVDGLDWPIRYKIIKGTCEGLKHLHVEMKPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEDKTMTTKTLVGTITYLPREYIERKEISNKLDIFSLGVVMLEIIAGPGPTGRFRSTEIPSQEFTDQVLGNWKTRLETGRNGSLLEAYCQQVKTCTEIALKCMETDRENRPNIVEIINQINEKEAIIGELPIDHALEKLPSHNNESVTLESKLPSHLNLNETKENHEADHHNSSCSKEKEEDREEDQIIPMEHPDVPIDVHPSEPWILTSNMFGSVDILNYNTLVFAYISDIEAITAAKFIARKQWFVVGHHDGFIRVYTYESPVKQVKRFKAHAWSWTITTLDVHPTEPYLLSVGSQDQIKLWDWNKDWECIRTFDPHGVAYQIKFNPKDTHKFAVASLMDAQVWNIRSSRHEFTLSGHVSIVDCFDFFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNATTFKLEGVLDFELGKVTAIVCLKGSKRVAIGHDAGLVITEIRHGKPAPSNR >ONIVA11G06540.2 pep chromosome:AWHD00000000:11:5691837:5713174:-1 gene:ONIVA11G06540 transcript:ONIVA11G06540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEEKTMITKNLVGTLPYMPREYIERKEISNKLDIFSLGAVMLDIIAGPTGRFRSTKMPSLEFTNQVQGKWKNRLQTRRNGPLLEAYCQQVKTCTEIALKCLEIDRENRPNILEIINQINEKEDIIGEKTKNLITIMNHVPKRKRRTKRKIRSSQWSILIFQEMCIHQSHVTAAKFIARKQWFVVGHQDGFIRVYTYESPVKQVKRFKAHVWNITTLDVHPTEPYLLSVGSQDQIKLWDWNKGWECIKTFDLHGIAYQIKFNPKDTHKFAIASLQDAQVWNIRSSRHEFTLSGHGYIVSCLDYFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPVLLTGSNDETVRLWNSITFKLEGVLDFELGQVTAIICLKGSKRVVIGHDAGLVITEIRHERPAAWHLVVVMSSVSECAPRMGTRSTLTTKAPTGAWLTATWTHPPGAAQRSSTASAVGRKRNRASSWSSLKAVRAMNPTNVTYELLEEITDGFSEERKLGEGGYGTVYKGEHKNGDKIAVKILHDTQDFDGKKLQNEFGNLMIVNHPNIVQLVGYCYEIKHTHGQVKGRPVLVATIHRALCFEYMPRGSLENYLSDKVDGLDWPIRYKIIKGTCEGLKHLHVEMKPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEDKTMTTKTLVGTITYLPREYIERKEISNKLDIFSLGVVMLEIIAGPGPTGRFRSTEIPSQEFTDQVLGNWKTRLETGRNGSLLEAYCQQVKTCTEIALKCMETDRENRPNIVEIINQINEKEAIIGELPIDHALEKLPSHNNESVTLESKLPSHLNLNETKENHEADHHNSSCSKEKEEDREEDQIIPMEHPDVPIDVHPSEPWILTSNIRKLLSSNRLVTCLFGGTVTAAKFIARKQWFVVGHHDGFIRVYTYESPVKQVKRFKAHAWSWTITTLDVHPTEPYLLSVGSQDQIKLWDWNKDWECIRTFDPHGVAYQIKFNPKDTHKFAVASLMDAQVWNIRSSRHEFTLSGHVSIVDCFDFFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNATTFKLEGVLDFELGKVTAIVCLKGSKRVAIGHDAGLVITEIRHGKPAPSNR >ONIVA11G06540.3 pep chromosome:AWHD00000000:11:5691837:5713174:-1 gene:ONIVA11G06540 transcript:ONIVA11G06540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEEKTMITKNLVGTLPYMPREYIERKEISNKLDIFSLGAVMLDIIAGPTGRFRSTKMPSLEFTNQVQGKWKNRLQTRRNGPLLEAYCQQVKTCTEIALKCLEIDRENRPNILEIINQINEKEDIIGEKTKNLITIMNHVPKRKRRTKRKIRSSQWSILIFQEMCIHQSHVTAAKFIARKQWFVVGHQDGFIRVYTYESPVKQVKRFKAHVWNITTLDVHPTEPYLLSVGSQDQIKLWDWNKGWECIKTFDLHGIAYQIKFNPKDTHKFAIASLQDAQVWNIRSSRHEFTLSGHGYIVSCLDYFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPVLLTGSNDETVRLWNSITFKLEGVLDFELGQVTAIICLKGSKRVVIGHDAGLVITEIRHERPAAWHLVVVMSSVSECAPRMGTRSTLTTKAPTGAWLTATWTHPPGAAQRSSTASAVGRKRNRASSWSSLKAVRAMNPTNVTYELLEEITDGFSEERKLGEGGYGTVYKGEHKNGDKIAVKILHDTQDFDGKKLQNEFGNLMIVNHPNIVQLVGYCYEIKHTHGQVKGRPVLVATIHRALCFEYMPRGSLENYLSDKVDGLDWPIRYKIIKGTCEGLKHLHVEMKPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEDKTMTTKTLVGTITYLPREYIERKEISNKLDIFSLGVVMLEIIAGPGPTGRFRSTEIPSQEFTDQVLGNWKTRLETGRNGSLLEAYCQQVKTCTEIALKCMETDRENRPNIVEIINQINEKEAIIGELPIDHALEKLPSHNNESVTLESKLPSHLNLNETKENHEADHHNSSCSKEKEEDREEDQIIPMEHPDVPIDVHPSEPWILTSNMFGSVDILNYNTLFIARKQWFVVGHHDGFIRVYTYESPVKQVKRFKAHAWSWTITTLDVHPTEPYLLSVGSQDQIKLWDWNKDWECIRTFDPHGVAYQIKFNPKDTHKFAVASLMDAQVWNIRSSRHEFTLSGHVSIVDCFDFFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNATTFKLEGVLDFELGKVTAIVCLKGSKRVAIGHDAGLVITEIRHGKPAPSNR >ONIVA11G06520.1 pep chromosome:AWHD00000000:11:5683871:5686218:-1 gene:ONIVA11G06520 transcript:ONIVA11G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDASSNGETKSSEVPITATYIPRDVEYLREAMALEWVADKEDEETTADLLDAPPVFLIDYPGWGWERLFPYHSSSVEWPQFKKYLEEYSSHNAGQVATLCSQLRSVQGQGIPPAGCGVLHDAANLCIKIENKLLRSCHSALTVEEIILSSKIKECASHMIQSEGESSAAAAGLVGIAKEARKLSYLLSEDDPEMCLKYDMCEYIRAYAAEVLTKLEFLAIRLMSDIWFFYTIGRVSTVGDLNCEQPDSMGKNERDIKDSNVKWNSNMKKLKKARKKRLKRAEKRRLKREKKRLKREEKRKLEDQTEG >ONIVA11G06510.1 pep chromosome:AWHD00000000:11:5673784:5674614:1 gene:ONIVA11G06510 transcript:ONIVA11G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPSLRKRSSSSPAISMSSTSSSPSFSSSSSMDGVRSSAVWERPDDEMAGGSSNIFPSLNLATSVDGDGDDRGNPQPPPTTANDRGGGILQPMTAITMEEESPASSVGGILDTATDAAAPQALATAPRPRSRSHSRLLQWQPTDDDDRLRLLFQIGPPHLLIHLPFLAAATSTAAAVAAALTTNTICGCVGRSGGGGGGDGAARQGGRGSRGDGAGEGGGGGNHLPWLQPRGPLVAHRRRDAVRAPPWRPTHTPLSLLSWLPDGLDTTAHCLSQ >ONIVA11G06500.1 pep chromosome:AWHD00000000:11:5673090:5673287:-1 gene:ONIVA11G06500 transcript:ONIVA11G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDFPVRPGGGEAAAEGEGERMAVARLAARMVRWLVRDSLLPMRRRRYAGRRRSPVARCGGAS >ONIVA11G06490.1 pep chromosome:AWHD00000000:11:5667384:5672983:-1 gene:ONIVA11G06490 transcript:ONIVA11G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPFDFNYSESFYLLSDGHRHQAPDSTMDPTDITFRLLEEITDGFSEERKIGQGAYGTVYKGEFRNGKEIAVKMLHNDTLGFDDKQFENEFQNLMRLEHPNIVRLVAYCYETQHKYAEYKGRIVFAAIIHRALCFEYLTGGSLENHLSDEFHGLDWPTRFKIIKGTCEGLKYLHEGLKPPIYHLDLKPGNILLDKNMVPKLADFGLSKLFTEEKTRVTQTPIGTVGYLPPEYIEKNVLSNKLDIFSLGVVMLNVIAGPRGRSRSAEMSSQEFTDLVLGNWTVRLQATWNGSSLEAYRQQVKTCTEIALKCVEIDRHKRPNILDIVNKINETETMIGKLPISHGSEVRFVNDHREKPTSYSDEFITLESRLASHLNLSDTQVNQEAYHHNGSSFKEKEEDREVHQIIPMENPDIPIDAHPTEPWILTGNIFGSVDILNCDTQLIARKQWLVVGYHDGFIRVYTYESPVQQVKRFKAHSWNITCLDVHPTEPYVLSVGLLDPIKMWDWNKGWECIRMFDMQGVLAHGIKFNPHDSYKFAAASMMNVQVWNFRSSRREFTLFGHGSAVSCFDYFTRGNQQYIITGSLDKTARIWDCKSRTCVQILIGHMDCVTCVCSHPDLPILLTGSNDETVRLWNSITFKLEGVLDFELGKVGAIVCLKGSKRVVIGHQAGLVITEIRNEQPGPSNR >ONIVA11G06480.1 pep chromosome:AWHD00000000:11:5632535:5635709:-1 gene:ONIVA11G06480 transcript:ONIVA11G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAATGQFLLVRIACSVIQIVCQSLHGNETDRLSLLDFKNAIILDPQQALVSWNDSTQVCSWEGVFCRVKAPNPVVALNLKNRDLVGTISPSLGNLTFLKHLILTGNAFTGQIPASLAHLHRLQTLSLAANTLQGRIPNLANYSDLMVLDLFRNNLAGKFPAALPHRLEKLRLSFNNITGTIPASLANITTLKYFACVNTSIEGNIPDEFSKLSALEILYLDINKLTGSFPEAVLNISALTGLSFAINDLHGEVPPDLGNSLPNLQAFELGGNLFHGKIPSSITNASNLYLIDVSNNNFNGGLASSIGKLTKLSWLNLEDNELHGRNNEDQEFLNSIANCTELQMFSIAWNRLEGRLPNSFGNHSFQLQYVHMGENQLSGHFPSGLANLHNLVAIGLAGNRFSGVLPDWLGALKSLQKLSVGDNNFTGFIPSTLSNLTNLVQFFLYSNKFSGQLPASFGNLAALERLGISNNNLDGTVPEDIFRIPTIQYINLSFNNLKGLLPFYVGNAKHLMYLILSSNNLSGEIPNTLGNSESLQIIRFDHNIFTGGIPTSLGKLLSLTLLNLSYNNLTGPIPDSLSNLKYLGQLDLSFNHLNGEVPTKGIFKNATAVQIDGNQGLCGGVLALHLAACYIAPLSSRKHGQSLVIKIAIPLAILVSLSLAVLVLLLLRGKQKGQSISSPLSHTDFPKVSYNDLARATERFSMSNLIGKGRFSCVYQGKLFQCNDVVAVKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSKGNDFKALVYKFMSGGDLHKLLYSNRDDGDAPHQNHITLAQRINIMVDVSDALEYLHHSSQGTIVHCDLKPSNILLDDNMVAHVGDFGLARFKIDSTTSSLSYSNSTSSPVIKGTIGYIAPECSDGGQVSTASDVYSFGVVLLEIFIRRRPTDDMFMDGLSIAKYTAINFPDKILEIVDPKLHQELIPCSTEMEELDPCQETPIAVEEKGLHCLHSMLNIGLCCTKPTPGERISMHEVAAKLHRIKDAYLREY >ONIVA11G06470.1 pep chromosome:AWHD00000000:11:5614767:5618111:-1 gene:ONIVA11G06470 transcript:ONIVA11G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQNVALFTMHDLVHDLARSVMVDEILVSSKQDNNSESSYRYALLNDSSKPLKSFTEFHTKLRALRFVDCTKAGLRDGAFSGVKYLRVLDLSECFVQKLPCSIGQLRQLRYLSAPGIKDTMVPDCIAKLSKLLYLNLSGSSQLLSLPESIGEMDSLMHLDLSGCSGIQRVPQSFGKLKLSYLDLSNCSSLKGVSEYLGNLSKLQHLDLSYCQYVEKLGNLGSLTELQYFHFSTSSCSPGLSQTDVLGTFTKLEYLNLSTEFTDIKIKRLPDAMGNFIKLEYLDLSGWRELEELPKSWGSLKNLIHLDLSKCFKIKGRSRGSGGKLTELRNLNLSGCLNTLQEGEIEAEVVCQNFLASVCSLSNLEELDLSHNYRIKTLPESTGDLRKLHTLTLRRCLGLSQLPRALREIDNLKHLNIADCFLDMSTVPKSNSSLILLPRFAVQALDDGSGSNLVLLQNVNRATTLEINKLENVVTVREAQRVRLKEKEMILELALCWTSYARRLLDDQILLGELEPPRNLSKFQLRFYNSVAFPDWLMNIAPHHFPSLSRIYLVDLPKCTCLPPLGQLPQLEILSLDGMNGITKIDGEFCGGAGAFPSLKNLYISNMESLEEWQTKYSCSEGGGVRELMSPMLKSLPKMSIKPCLPNKLVDLEIESSDNVISSCPIGACASTSSSVSVKRMVVKSCKLPLHQWRMLRQLAPQSSLVIESCSDVGSSSPEIAQALPSLKKLTLRGNDDTTELPNWMGQLTCLETLVMSTRCLELKASRGVTSLTVVRVRLLKCESMVSLPEWLGDLPSLRTLWIDGCPSLNNLQEVMDERLTSLQMLIVESCKSISALWWSTKCSRHIKRTAEWPLPCAATCREWSQLTGVH >ONIVA11G06460.1 pep chromosome:AWHD00000000:11:5599738:5602524:-1 gene:ONIVA11G06460 transcript:ONIVA11G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLLLAMKKIGFAVASEVTKQASAQFGKHKLQLTELQGSMGRIKIELHVMHNFLCQMDIRSRGNQVYQGWLEEVRKVVYVMEDMVDEYLHLVGHQSEFGCCFCLKRLFRQLPRSAPSLDRIASMVKEIEKNLVHLSETKDRWVLVTNNGVNSYSSYNIVQGPQDLISISRSLYQDDLVGIEDNKQQLVEWLEDGEPTHSVIVVHEDILRKLIIEIFKDQPTGPSNIAGMDMTSIQEELKSFLERMKYLIILDDVWTPQVYNDLLGALVPNLKGSKIIITTRNADVGHLTFPEMVLEIKRLSVDDSWKLFCKKAFLKRKCPEELKDLSEQIVSKCEGLPLAIVSIGSLLFVRDKTREEWKMIHDQLSWELVNNPRMEHVRNVLHLSYIYLPTNLKSCFLYCSLFPEDYILKRKNLIRLWIAEGFVEKRGGSTMEEVAQGYLKELIHRNMLQLVEKNTFGRIRSFKMHDIVRELAIDLCRRECFGVAYNCKHKCNQPLDEKDERRMVIHGLDKDTNQDILRECHLRSFIALDKRVITAFDKGILPLVVDKYRYMSVLDLSWLPVDNVPDAISDLFNLRHLGLRDSKVRLLPNSIEKLSNLLTLDLYSSKIQELPRGIVKLNRLRHLFADRENDRYGRDLRSRTGVCIPKGLGKLRELQTLQAIEVRDEGTVRRLGELRQMRSIRIFGVKGSHCKVLCESLLQMEFLTNLDIMASDENEVLQLNGLKPLPPNLQKLTLRGRLEQQGMILGAAAAAARGPNHSLYSILLSWSQLVEDPLPNLSQWSKLTELWLTRAYMGEQLTFLQGWFPSIKKLYLRDMPNLKRLEIHQGTMEGLQQLLLLNLRSMVKVPPGIEFLQPTLNFLGFGEISRNFLAVLCRCSRLSGIRWSYSLR >ONIVA11G06450.1 pep chromosome:AWHD00000000:11:5596719:5597045:1 gene:ONIVA11G06450 transcript:ONIVA11G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHDQTVLLRSADDASCASDELEHWKSGAEGDEGRADELKVDEGGSASTGGIDADATSPSRGPHHTLGGAIGPSPPHGHHSSRAPDGHLLLLSVAASSDRASVAGGGG >ONIVA11G06440.1 pep chromosome:AWHD00000000:11:5581097:5590470:-1 gene:ONIVA11G06440 transcript:ONIVA11G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAMLASAVLKVATQKIGSAIGGQLMLRWSLTRDLEEMKDTMASIEAVLQDAERRSVRDAAVRLWLKRLKDAAYDISDLLDELEATNTSHLLKITMARRLKKMQNKLKKITEQHQSFGFTVVMEQRVPDRRETSSKMEEALIVGRNEDRQKTLALLAENIMQEIIILPIYGIGGIGKTTLAKSVFNHNHFREYSQVWVYVSQLFDLKRIGNSIISQVSKRESQLTDLEMIHNCLGELIAGRKILIILDDLWENDQFELDKLKLMLKVGSGSKVTVIVTTRDEGIAKKFCTVTPYKLEPLTDELCWTIIKQNSAFEDRDDKEQLEDVGREIAIKCGGVALAAQSLGYMLQSRKFDAWVSVKNSDIWNESISGETPSPHHNVLSSLKLSYSSMHPYLRLCFAYCAIFPKGHKIVKEDLIHQWISLGFIEPSNIFSNRQVSEHYISQLLGMSFLQHSELPMTAGMHEKDGTLFSMHDLVHDVARSVMVEEILYANEKSNNGASNCRYALLMECTKPLKFFANLPSRIRVLHILDCAQIALRGVSFSSAKCLRALDLSRCSIQSLPDSVGQLKQLRYLNAPGVQDIKIPNSITNLSKLSYLNLHGSSKISALPESIGNIEGMVHLDLSGCLGIEKLPESFRELRNLVHLNLSNCDSVTGVSESLGNLTNLQYLNLSYCQNIGELPITLGGLKELRYLNLSFSSYLEGWPAADVLGTLNKLEYLNLSSEFSGLGKLPEALGSFTELEYLNLSGCRRIKVLPKSIGKLKKLVHLDLSHCYDVEGIPEALGSLTMLRYLNLSHCCRYGNRLHLQGPSEITCRRYRKRLHLIWLPEVLGDLSELRYLNLSNCLDDIIGYQIADQSNNFIECISTLSNLEHLDLSLNFTLRSLPESIGALRKLHTLDLSGSYNLERLPKSIGQIDSLKFLTVTNCRILDKSTLPRFSYSSILLPHFVVHPGGSESSSNLAQLQDLNPTDELQISKLENVKSTEETKKINLVEKRRIVDLKFDWTRNARRFVEDKEVLRELVPPSTLKQFALQGYSSASFPAWVMGIAPYLPNLLKIEMEDLPNCRILPPLGQLQNLQRLVFRKMDSIVKIDGGLCGGARAFPRMLEFSLCDMESLEEWNTMYSIGKDDKKEFMFPKLQRLEIRECPKLRLKPCPPRAVDWQIWSCDSVLSSWTDPDSAAVDEDEIS >ONIVA11G06440.2 pep chromosome:AWHD00000000:11:5584214:5590470:-1 gene:ONIVA11G06440 transcript:ONIVA11G06440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAMLASAVLKVATQKIGSAIGGQLMLRWSLTRDLEEMKDTMASIEAVLQDAERRSVRDAAVRLWLKRLKDAAYDISDLLDELEATNTSHLLKITMARRLKKMQNKLKKITEQHQSFGFTVVMEQRVPDRRETSSKMEEALIVGRNEDRQKTLALLAENIMQEIIILPIYGIGGIGKTTLAKSVFNHNHFREYSQVWVYVSQLFDLKRIGNSIISQVSKRESQLTDLEMIHNCLGELIAGRKILIILDDLWENDQFELDKLKLMLKVGSGSKVTVIVTTRDEGIAKKFCTVTPYKLEPLTDELCWTIIKQNSAFEDRDDKEQLEDVGREIAIKCGGVALAAQSLGYMLQSRKFDAWVSVKNSDIWNESISGETPSPHHNVLSSLKLSYSSMHPYLRLCFAYCAIFPKGHKIVKEDLIHQWISLGFIEPSNIFSNRQVSEHYISQLLGMSFLQHSELPMTAGMHEKDGTLFSMHDLVHDVARSVMVEEILYANEKSNNGASNCRYALLMECTKPLKFFANLPSRIRVLHILDCAQIALRGVSFSSAKCLRALDLSRCSIQSLPDSVGQLKQLRYLNAPGVQDIKIPNSITNLSKLSYLNLHGSSKISALPESIGNIEGMVHLDLSGCLGIEKLPESFRELRNLVHLNLSNCDSVTGVSESLGNLTNLQYLNLSYCQNIGELPITLGGLKELRYLNLSFSSYLEGWPAADVLGTLNKLEYLNLSSEFSGLGKLPEALGSFTELEYLNLSGCRRIKVLPKSIGKLKKLVHLDLSHCYDVEGIPEALGSLTMLRYLNLSHCCRYGNRLHLQGPSEITCRRYRKRLHLIWLPEVLGDLSELRYLNLSNCLDDIIGYQIADQSNNFIECISTLSNLEHLDLSLNFTLRSLPESIGALRKLHTLDLSGSYNLERLPKSIGQIDSLKFLTVTNCRILDKSTLPRFSYSSILLPHFVVHPGGSESSSNLAQLQDLNPTDELQISKLENVKSTEETKKINLVEKRRIVDLKFDWTRNARRFVEDKEVLRELVPPSTLKQFALQGYSSASFPAWVMGIAPYLPNLLKIEMEDLPNCRILPPLGQLQNLQRLVFRKMDSIVKIDGGLCGGARAFPRMLEFSLCDMESLEEWNTMYSIGKDDKKEFMFPKLQRLEIRECPKLRLKPCPPRAVDWQIWSCDSVLSSWTDPDSAAVDVPLH >ONIVA11G06430.1 pep chromosome:AWHD00000000:11:5566743:5581007:-1 gene:ONIVA11G06430 transcript:ONIVA11G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGAMLTSAVLKMVGEKIGSAIGGRIKLQWDFNYDLKDMKMTLETIEVFLKDAERRSIREESVRLWLRRLKNVMYNISDMIDGFEAETTRKIKTAKEMKRMRGELEKITKQHKDFSFASENSSNIQEVVSSDRKTSSKVEETAIIGRIQEKQKILDCLSDKILTQDFIILAIYGMGGIGKTTLAQLVLNDKKFKEFYPVWVYVFDLDKIESSIISQLSKREPNMTDLEMVPPNMNIIMVLDDLWENDGFKLDSLKLKLKVGNGAKVIILVTTRDKTIAMRFSNVEPYKLEPLTDDMCWKIIKQKSAFEGRGDRECLEHIGKEIARKCGGVALAAQSLGYILHSKRADEWESVRDSNIWNESTSEDTSSPHHMLASLKLSYLTMKPCLKICFGYCAMFPKGQRIVKDDLICQWICLDLIETSKVYSSKQLGEIYVNQLLGMSFLQHPESIARVHQENVTLFTIHDLVHDLASSVMVDEILVSSKQDNNGESNYRYALLSDSTKPLHSFTKFPAKMRALRFVDCSKTGLHYDAFSGAKYYLRVLDLSDCFLQKLPDSIGQLRQLRYLSAPGLQDTMIPDCITKLSELIYFNLHGSSALCSLPESVGEMDSLMHLDLSGCSGIQRVPQSFGKLKLSYLDLSNCSSLKGLSEFLGNLTKLQHLNLSYCESVEKLGNLGSLTELQYFHFSTSSCSPGLSQTDICAFTKLEYLNLSTEFTDIKIKKLPDAMGSFMKLEYLDLSGWRELEELPKSWGSLKNLIHLDLSKCFKIKGVPEALSSLTKLQYLNLSDCCCDESDRLQLRGLEEVVGKLTELRYLYLSKFLDILLAAFQGEAIAKKVDVFYNMFASISSLSHLQELDLSNNDSITFLPESIGNLNILHTLNLSSCLGLVQLPRVMHDMDNLKHLNVSGCCFLDKSIIPKLSGILFSLPQFAVQTCNGESSSNLVMLQKLDSTTTPELEISKLENVITVEEAQRVRLKEKKMISDLTLCWTRDVRRFVEDRDLLGELEPPRDLQKFKLQGYNSVAFPDWLMNIAPHHFPGLSRIDLVDLPKCTCLPPLGQLPRLTLLSLEGMNGITKIDGEFCGRAGAFSSLSRFSISNMKRLVDWQMKYSSGEVGDVGEFMFPMLKRLIIHHCPKLSLKCWPPNKVTYLDIESSDNVISSWPLGACASVKRMVVKSCKLPLHQWRMLCQLAPQSTLVIESCSDVGSSSPEIAQALSSLKELTLTKGNDDMLELPNWMGELTCLESLEIIGRRCLELKASRGVMRHLIWLTSLTLRECDSIVSLPEWLGDLSSVRNLKIVKCLSLNNLQGIMDGHLSSLEKLEVVSCKSISALPKRLGEVTSLKKLYIHRCSAIKSLPYSIHKLTNLKTLQVTACPELKKWCESKKNKTKFSHVLNYPVYYCLD >ONIVA11G06430.2 pep chromosome:AWHD00000000:11:5566743:5581007:-1 gene:ONIVA11G06430 transcript:ONIVA11G06430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGAMLTSAVLKMVGEKIGSAIGGRIKLQWDFNYDLKDMKMTLETIEVFLKDAERRSIREESVRLWLRRLKNVMYNISDMIDGFEAETTRKIKTAKEMKRMRGELEKITKQHKDFSFASENSSNIQEVVSSDRKTSSKVFDLDKIESSIISQLSKREPNMTDLEMVPPNMNIIMVLDDLWENDGFKLDSLKLKLKVGNGAKVIILVTTRDKTIAMRFSNVEPYKLEPLTDDMCWKIIKQKSAFEGRGDRECLEHIGKEIARKCGGVALAAQSLGYILHSKRADEWESVRDSNIWNESTSEDTSSPHHMLASLKLSYLTMKPCLKICFGYCAMFPKGQRIVKDDLICQWICLDLIETSKVYSSKQLGEIYVNQLLGMSFLQHPESIARVHQENVTLFTIHDLVHDLASSVMVDEILVSSKQDNNGESNYRYALLSDSTKPLHSFTKFPAKMRALRFVDCSKTGLHYDAFSGAKYYLRVLDLSDCFLQKLPDSIGQLRQLRYLSAPGLQDTMIPDCITKLSELIYFNLHGSSALCSLPESVGEMDSLMHLDLSGCSGIQRVPQSFGKLKLSYLDLSNCSSLKGLSEFLGNLTKLQHLNLSYCESVEKLGNLGSLTELQYFHFSTSSCSPGLSQTDICAFTKLEYLNLSTEFTDIKIKKLPDAMGSFMKLEYLDLSGWRELEELPKSWGSLKNLIHLDLSKCFKIKGVPEALSSLTKLQYLNLSDCCCDESDRLQLRGLEEVVGKLTELRYLYLSKFLDILLAAFQGEAIAKKVDVFYNMFASISSLSHLQELDLSNNDSITFLPESIGNLNILHTLNLSSCLGLVQLPRVMHDMDNLKHLNVSGCCFLDKSIIPKLSGILFSLPQFAVQTCNGESSSNLVMLQKLDSTTTPELEISKLENVITVEEAQRVRLKEKKMISDLTLCWTRDVRRFVEDRDLLGELEPPRDLQKFKLQGYNSVAFPDWLMNIAPHHFPGLSRIDLVDLPKCTCLPPLGQLPRLTLLSLEGMNGITKIDGEFCGRAGAFSSLSRFSISNMKRLVDWQMKYSSGEVGDVGEFMFPMLKRLIIHHCPKLSLKCWPPNKVTYLDIESSDNVISSWPLGACASVKRMVVKSCKLPLHQWRMLCQLAPQSTLVIESCSDVGSSSPEIAQALSSLKELTLTKGNDDMLELPNWMGELTCLESLEIIGRRCLELKASRGVMRHLIWLTSLTLRECDSIVSLPEWLGDLSSVRNLKIVKCLSLNNLQGIMDGHLSSLEKLEVVSCKSISALPKRLGEVTSLKKLYIHRCSAIKSLPYSIHKLTNLKTLQVTACPELKKWCESKKNKTKFSHVLNYPVYYCLD >ONIVA11G06420.1 pep chromosome:AWHD00000000:11:5541305:5551779:-1 gene:ONIVA11G06420 transcript:ONIVA11G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGAMLTSAVLKMVGKQVGSTISGQIKLQWDFTDDLKDMKMTLESVEAFLKDAERRSVTEKSVRLWLRRLKNAMYDISDMINGFEADTTHNKSKIFSNLSISAKKKTAKDMRRMRGELKKITKQHRDFSFASENSSNIQKEDSSDRKTSPKVEETAIVGRIQEKREILACLSKKILTQDFIILAIYGMGGIGKTTLAQLVFNDKQFKEYFPAWVHVSQVFDLDKIETSLITQLSKRAPNMIDLDIVPPNLNIIIVLDDLWENDGFKLDNLKLKLKIGNGAKVIILVTTRDKSIATRFSNVEPYKLEPLTNDMCWKIIKQKSAFEGRYDREWLEHIGKDIARKCGGVALAAQSLGYILHSKRADEWESVRDSNIWNESTSEDTSSPHHMLASLKLSYLRMKPCLKMCFGYCAIFPKGQRIVKDDLIHQWICLDFIETSKIYSSKQLGEIYVDELLGMSFLQHSKWFAGVHQENVTLFTMHDLVHDLASSVMVDEILVSSKQDNNGERYALLSDSTKPLHSFTKFPAKLRALCFVDCAKTELHYDAFSGAKYLRVLDLSQCFVQKLPDSISQLRQLRYLSAPGIQDTMIPDCITKLSKLVYLNLHGSARLRSLPVSIGEMDSLMHLDLSGCSGIRRVPQSFGKLKLSYLDLSNCSSLKDVSEFLGNLTKLQYLNLSYCQYVEKLGYLGSLTELRYFLFSSSCSPGLSQTDVLGPSTKLEYLNLSTEFTDIKIKRLPEAMGCFIKLKYLNLSGWQKLKELPRSWGNLQNLMHLDLSECRMINGVPEALRCLDTLIYEEVEADVVCQNFLAYVCSLSNLEELDLSYNECITTLPESIGDLRKLHTLILRGCYHLSQLPKVLLKNDNLKQLNISGCHNLDKSTVPESDSSLILLPQFAVQALDGGSGSNLVLLQNVNSATYLDISKLENVVTVEEAQSVRLKEKKMISTLTLEWTTDARRFVEDQDLLGELEPPRDLEWFGLEGYNSVAFPPWLMNIAPHHFSKLSRIDLVGLPKCTYLPPLGQLPLLNYLFLAEMNGITKIDGEFCGGAGAFPSLKDLYIFNMESLEEWQTKYSCSEGGGVSEFMFPTLTTLEIRYCPKLRLKPCPSNKSICLEIEGSDNVISSWPVGTCASTSSSVSVQRMAVKSCKLPLHQWRMLHQLASHSNLTIESCSDIGSCSPEIAQALSPLKELTLGGNDDMLELPKWMGELTCLTCLKISTRCPELKASQGVTRRLTSLTSLTLHKCECMVSLPEWLGDLPSLRFLSIRECPNLNNLQGIMDERLTSLKTLSLEYCESISVLPESLGELTSLNQLDITCCTNIKSLPESIHKLAKLFRLIVRKCPELKKWCESEENKTKFSNVLSKRVYSYH >ONIVA11G06420.2 pep chromosome:AWHD00000000:11:5541305:5551779:-1 gene:ONIVA11G06420 transcript:ONIVA11G06420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGAMLTSAVLKMVGKQVGSTISGQIKLQWDFTDDLKDMKMTLESVEAFLKDAERRSVTEKSVRLWLRRLKNAMYDISDMINGFEADTTHNKSKIFSNLSISAKKKTAKDMRRMRGELKKITKQHRDFSFASENSSNIQKEDSSDRKTSPKVEETAIVGRIQEKREILACLSKKILTQDFIILAIYGMGGIGKTTLAQLVFNDKQFKEYFPAWVHVSQVFDLDKIETSLITQLSKRAPNMIDLDIVPPNLNIIIVLDDLWENDGFKLDNLKLKLKIGNGAKVIILVTTRDKSIATRFSNVEPYKLEPLTNDMCWKIIKQKSAFEGRYDREWLEHIGKDIARKCGGVALAAQSLGYILHSKRADEWESVRDSNIWNESTSEDTSSPHHMLASLKLSYLRMKPCLKMCFGYCAIFPKGQRIVKDDLIHQWICLDFIETSKIYSSKQLGEIYVDELLGMSFLQHSKWFAGVHQENVTLFTMHDLVHDLASSVMVDEILVSSKQDNNGERYALLSDSTKPLHSFTKFPAKLRALCFVDCAKTELHYDAFSGAKYLRVLDLSQCFVQKLPDSISQLRQLRYLSAPGIQDTMIPDCITKLSKLVYLNLHGSARLRSLPVSIGEMDSLMHLDLSGCSGIRRVPQSFGKLKLSYLDLSNCSSLKDVSEFLGNLTKLQYLNLSYCQYVEKLGYLGSLTELRYFLFSSSCSPGLSQTDVLGPSTKLEYLNLSTEFTDIKIKRLPEAMGCFIKLKYLNLSGWQKLKELPRSWGNLQNLMHLDLSECRMINGVPEALSRLSKLQYLNLSYCSSGCLENQSLLRDSEKKSPLRGLKEAVANLTELQNLYLSGCLDTLIYEEVEADVVCQNFLAYVCSLSNLEELDLSYNECITTLPESIGDLRKLHTLILRGCYHLSQLPKVLLKNDNLKQLNISGCHNLDKSTVPESDSSLILLPQFAVQALDGGSGSNLVLLQNVNSATYLDISKLENVVTVEEAQSVRLKEKKMISTLTLEWTTDARRFVEDQDLLGELEPPRDLEWFGLEGYNSVAFPPWLMNIAPHHFSKLSRIDLVGLPKCTYLPPLGQLPLLNYLFLAEMNGITKIDGEFCGGAGAFPSLKDLYIFNMESLEEWQTKYSCSEGGGVSEFMFPTLTTLEIRYCPKLRLKPCPSNKSICLEIEGSDNVISSWPVGTCASTSSSVSVQRMAVKSCKLPLHQWRMLHQLASHSNLTIESCSDIGSCSPEIAQALSPLKELTLGGNDDMLELPKWMGELTCLTCLKISTRCPELKASQGVTRRLTSLTSLTLHKCECMVSLPEWLGDLPSLRFLSIRECPNLNNLQGIMDERLTSLKTLSLEYCESISVLPESLGELTSLNQLDITCCTNIKSLPESIHKLAKLFRLIVRKCPELKKWCESEENKTKFSNVLSKRVYSYH >ONIVA11G06410.1 pep chromosome:AWHD00000000:11:5534122:5537357:-1 gene:ONIVA11G06410 transcript:ONIVA11G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDLEQPMDLVSQPGEVNAAMWAKAAPPLPVAEKADAAADEEENVESSDGPAILGARRRPRSESDGDVAHDSTTVYQKKGGLMARDRQPLGDSEATATAWNLSWLPKAMGEMDNLNNLSISGCYDLDKSTIPKFNSSLILLPQFEVQACNRECGSNLIYSTSELEISKMENVVTVEEARTIRLKEKNKISKLILKWTRDARTRMCESLSHKITRMSLGYKVTTVYPFQPG >ONIVA11G06400.1 pep chromosome:AWHD00000000:11:5513135:5522925:-1 gene:ONIVA11G06400 transcript:ONIVA11G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGMLASAVLRLATQKMGSAIGDQILLQWDFQGDLQEMKMALESVEALLSDAERQSIDRESVRLWLKRLKHCSYDIADVLDEAEAITNPTAGKIVCMPIIPKITLANKMKVMRKKLVKITNQHKDFGLIEGANCNVQQVTDPRASASKVEEALIVGRTKEKQKIVTLLSEASTWQDTMIFPIYGIGGIGKTTLAKLVFNGTGFKDYCQAWVYVSQTFDLNKICNSIISQISGHETMMTEKQMINRRLEELLAGRKILIVLDDLWEKGGSELDELKLMLKADENSNIIVIVTTRDESIAKKICTVQPYKLEPLTDDMCWTIIKQKSAFNDRDDKEQLEPIGRDIAKKCGGVALAAQSLGYILQSMASDEWESVRNSDIWTQSTSKGRSSPHHNVLASLLLSYSNMLPYLRLCFAYCAIFPKGHQIVQDDLIHQWIALDLIEPSDIFSARQLSKNYISQLLGMSFLQHSKTPSTVGLYYEDVTLFTMHDLVHEVARSIMVDEVLYSRKEGDIRGSSRYALLTDCGKPLKFLTNRPTKIRALHFLDCAKIVLHGTAFSSARCLRVLDLSECYVHKLPDSICQLKQLRYLKAPDIKGQMIPKCITKLSKLSYLNLCGSQRVTGVSESLGSLTELQYLNLSYCTKIGELPRELGNLMGLQYLNLSFSSYLDGAPSTEVLSTLTKLEYMNLSSELSYIGKLPEALGCFTELKYLNLSGCRGIEKLPKSFGSLRNLVFLDFSNCYRVEGIPEALCGLTKIQYLNLSRCCYGNGLHLRGLPEVMRNLIELRYLNLSMCLHAMFGHQSAGENQSLVEFISNLSNLEHLDLSKNISLSGLPESLSNLRKLHTLDLSGCSKLERIPENIATIDSLKFLIVVNCWKLDKSRLSRFNDNSILLPHFIVQAGDGESSSNLVQLQDANPAELEISNLENVKFAKDAQMIKMLQKQRILKLKLHWTSGSRRYVEDMEVLKELLPSSTLVHFEIQGYNSISFPGWLIGISSYLPNLVEIKMEGLIMCKNLPPLGQLPSLQELVLQRMPAIKKIDAGLCGGARAFPRLRKFILSDMENLEEWSTTYSCGENFVNQFMFPNLQILETRDCPKLRLHPCPPRAVKWDIWSSDNAILSWGERETGPSTASCPVSYLMVIFCKVPFHKWRLLHHLPSLPSLSINSCNDLTGSPEIIQELSSLRSLTLHGNYEAELPQWLGELTSLQQLWISSNCPELKASQEIIAQLTSLQSLYLTSCGSIEALPQWLGLLTSLQDLEISRCPKLNNIKGSMPLHSLRSLHLGYCGSIPQLPERLGGLTALTELSIWNCGGIKFLPESIQQLTNLLILDIAACPELKLWCTADENVMKLAHIKRKVFMPITIETIRLAWQGKTTGALRLRINNLEQTERFSHPIS >ONIVA11G06400.2 pep chromosome:AWHD00000000:11:5513383:5522925:-1 gene:ONIVA11G06400 transcript:ONIVA11G06400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGMLASAVLRLATQKMGSAIGDQILLQWDFQGDLQEMKMALESVEALLSDAERQSIDRESVRLWLKRLKHCSYDIADVLDEAEAITNPTAGKIVCMPIIPKITLANKMKVMRKKLVKITNQHKDFGLIEGANCNVQQVTDPRASASKVEEALIVGRTKEKQKIVTLLSEASTWQDTMIFPIYGIGGIGKTTLAKLVFNGTGFKDYCQAWVYVSQTFDLNKICNSIISQISGHETMMTEKQMINRRLEELLAGRKILIVLDDLWEKGGSELDELKLMLKADENSNIIVIVTTRDESIAKKICTVQPYKLEPLTDDMCWTIIKQKSAFNDRDDKEQLEPIGRDIAKKCGGVALAAQSLGYILQSMASDEWESVRNSDIWTQSTSKGHQIVQDDLIHQWIALDLIEPSDIFSARQLSKNYISQLLGMSFLQHSKTPSTVGLYYEDVTLFTMHDLVHEVARSIMVDEVLYSRKEGDIRGSSRYALLTDCGKPLKFLTNRPTKIRALHFLDCAKIVLHGTAFSSARCLRVLDLSECYVHKLPDSICQLKQLRYLKAPDIKGQMIPKCITKLSKLSYLNLCGSQRVTGVSESLGSLTELQYLNLSYCTKIGELPRELGNLMGLQYLNLSFSSYLDGAPSTEVLSTLTKLEYMNLSSELSYIGKLPEALGCFTELKYLNLSGCRGIEKLPKSFGSLRNLVFLDFSNCYRVEGIPEALCGLTKIQYLNLSRCCYGNGLHLRGLPEVMRNLIELRYLNLSMCLHAMFGHQSAGENQSLVEFISNLSNLEHLDLSKNISLSGLPESLSNLRKLHTLDLSGCSKLERIPENIATIDSLKFLIVVNCWKLDKSRLSRFNDNSILLPHFIVQAGDGESSSNLVQLQDANPAELEISNLENVKFAKDAQMIKMLQKQRILKLKLHWTSGSRRYVEDMEVLKELLPSSTLVHFEIQGYNSISFPGWLIGISSYLPNLVEIKMEGLIMCKNLPPLGQLPSLQELVLQRMPAIKKIDAGLCGGARAFPRLRKFILSDMENLEEWSTTYSCGENFVNQFMFPNLQILETRDCPKLRLHPCPPRAVKWDIWSSDNAILSWGERETGPSTASCPVSYLMVIFCKVPFHKWRLLHHLPSLPSLSINSCNDLTGSPEIIQELSSLRSLTLHGNYEAELPQWLGELTSLQQLWISSNCPELKASQEIIAQLTSLQSLYLTSCGSIEALPQWLGLLTSLQDLEISRCPKLNNIKGSMPLHSLRSLHLGYCGSIPQLPERLGGLTALTELSIWNCGGIKFLPESIQQLTNLLILDIAACPELKLWCTADENVMKLAHIKRKLSIRA >ONIVA11G06400.3 pep chromosome:AWHD00000000:11:5513383:5522925:-1 gene:ONIVA11G06400 transcript:ONIVA11G06400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGMLASAVLRLATQKMGSAIGDQILLQWDFQGDLQEMKMALESVEALLSDAERQSIDRESVRLWLKRLKHCSYDIADVLDEAEAITNPTAGKIVCMPIIPKITLANKMKVMRKKLVKITNQHKDFGLIEGANCNVQQVTDPRASASKVEEALIVGRTKEKQKIVTLLSEASTWQDTMIFPIYGIGGIGKTTLAKLVFNGTGFKDYCQAWVYVSQTFDLNKICNSIISQISGHETMMTEKQMINRRLEELLAGRKILIVLDDLWEKGGSELDELKLMLKADENSNIIVIVTTRDESIAKKICTVQPYKLEPLTDDMCWTIIKQKSAFNDRDDKEQLEPIGRDIAKKCGGVALAAQSLGYILQSMASDEWESVRNSDIWTQSTSKGRSSPHHNVLASLLLSYSNMLPYLRLCFAYCAIFPKGHQIVQDDLIHQWIALDLIEPSDIFSARQLSKNYISQLLGMSFLQHSKTPSTVGLYYEDVTLFTMHDLVHEVARSIMVDEVLYSRKEGDIRGSSRYALLTDCGKPLKFLTNRPTKIRALHFLDCAKIVLHGTAFSSARCLRVLDLSECYVHKLPDSICQLKQLRYLKAPDIKGQMIPKCITKLSKLSYLNLCGSQRVTGVSESLGSLTELQYLNLSYCTKIGELPRELGNLMGLQYLNLSFSSYLDGAPSTEVLSTLTKLEYMNLSSELSYIGKLPEALGCFTELKYLNLSGCRGIEKLPKSFGSLRNLVFLDFSNCYRVEGIPEALCGLTKIQYLNLSRCCYGNGLHLRGLPEVMRNLIELRYLNLSMCLHAMFGHQSAGENQSLVEFISNLSNLEHLDLSKNISLSGLPESLSNLRKLHTLDLSGCSKLERIPENIATIDSLKFLIVVNCWKLDKSRLSRFNDNSILLPHFIVQAGDGESSSNLVQLQDANPAELEISNLENVKFAKDAQMIKMLQKQRILKLKLHWTSGSRRYVEDMEVLKELLPSSTLVHFEIQGYNSISFPGWLIGISSYLPNLVEIKMEGLIMCKNLPPLGQLPSLQELVLQRMPAIKKIDAGLCGGARAFPRLRKFILSDMENLEEWSTTYSCGENFVNQFMFPNLQILETRDCPKLRLHPCPPRAVKWDIWSSDNAILSWGERETGPSTASCPVSYLMVIFCKVPFHKWRLLHHLPSLPSLSINSCNDLTGSPEIIQELSSLRSLTLHGNYEAELPQWLGELTSLQQLWISSNCPELKASQEIIAQLTSLQSLYLTSCGSIEALPQWLGLLTSLQDLEISRCPKLNNIKGSMPLHSLRSLHLGYCGSIPQLPERLGGLTALTELSIWNCGGIKFLPESIQQLTNLLILDIAACPELKLWCTADENVMKLAHIKRKLSIRA >ONIVA11G06390.1 pep chromosome:AWHD00000000:11:5505241:5513124:-1 gene:ONIVA11G06390 transcript:ONIVA11G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVGGMLTAAVLKIVAEQIGSIIGGEIKLLCNLNDDLEDMRMTLESVLALLKDAERQSVKSVAVLLWLKRLKFAAYDISDMIDEFEADAMTKAVAPKCATISCCFTAWSNIKMAKNMKKMRVQLEKIAKQNKDFNLMPESSSTVQVLYDDRATSPNVEESVIVGRAQDKQRILFYLSDKILTQDFIILAIYGMGGIGKTTLVQLVFSDTKFREYSLVWVYVSQVFDLNKIESSIISQLPKMDLSMSDSEVAPTNRNILIVLDDLWENNGFKLDKLKLKLKVRTGAKVIVIVTTRDEDIARRFSNVEPYKLEPLTDGMCWNIIKQKSAFEDRGDKERLEQTGREIARKCGGVALAAQSLGYLLHSKRLDEWESVKDNDIWNESTSEDASSPHHVLASLKLSYVKMQPSLKLCFGYCAIFPKGQKIVKDDLIHQWISLNFIKPSKTAGDYHDNFILLTMHDLVHDLARSVMFDEIQNDGLQGDTSGRNCRYALRTEFSKPLETLRALRFMGCSIDNRLHNDSFSSAKYLRLLDLSECSIQRLPDSIGQLKQLRYLNATGVQHETIPDGITKLLKLMYLSLRGSSGIQALPEFMGEMEDLMYLDLSDCSRIIRLPVSFGKLTKLVHLDLSHCTRVRGVSESLESLTNVEYLNLSNCKNIGELPGALGNLRKLEYLNLSSCSYILGGSAMEVKGIPEALGGLTNLQVLNLSHCYNIFENDVYIRRKVEAIGNLKKLQYLNLSDLLNKKCHDKSTYVSFFECINTLSNLEHLDLSHNEYLRSLPDCFGSLKRLHTLDVSGCSFLDKIPPSIHNIDNLKFLHADTRIYLGKSMFCLLNESSVSLPHFVVQANANGSGSNLVLLQDVNPPKLEISSLENVRSINEVQIIKLLEKQRIEELNLEWAKDAVRFVEDIELLGEIIPPTNLMEFEIHGYNCTKFPAWLMGIAPYLPNLVPLTMMDMPCCISLPPLGQLPNLKELTLEKMKSVTKIDGDFCGGRRPFPRLKKFVMRDMESLQVWNTIYCSGGDGVSEFMFPILPELSIFRCTKLRLTPCPLRAEKWNIWGSDGVISSWEESAADIIASCSSPLVTTLSINCKVSLHEWRLLHHLPDLKGLIINDCNDWTISAEIIRALSSLESLTLERWYNQAQLPNWLGQLVSLKELKINRFEMNESQEDIKHLTSLQKLCLHRCTSMTKLPQWVGDLVSLQKLEILSCPDLKYLPESMGCLTSLKKLNISFCDDIESLPEGIEKLCKLEYISMSGCPKLKRWFQLDDNRKKISHVKEIVCVLKSYISANFLPAFYISRRLFKYRI >ONIVA11G06390.2 pep chromosome:AWHD00000000:11:5505243:5513124:-1 gene:ONIVA11G06390 transcript:ONIVA11G06390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVGGMLTAAVLKIVAEQIGSIIGGEIKLLCNLNDDLEDMRMTLESVLALLKDAERQSVKSVAVLLWLKRLKFAAYDISDMIDEFEADAMTKAVAPKCATISCCFTAWSNIKMAKNMKKMRVQLEKIAKQNKDFNLMPESSSTVQVLYDDRATSPNVEESVIVGRAQDKQRILFYLSDKILTQDFIILAIYGMGGIGKTTLVQLVFSDTKFREYSLVWVYVSQVFDLNKIESSIISQLPKMDLSMSDSEVAPTNRNILIVLDDLWENNGFKLDKLKLKLKVRTGAKVIVIVTTRDEDIARRFSNVEPYKLEPLTDGMCWNIIKQKSAFEDRGDKERLEQTGREIARKCGGVALAAQSLGYLLHSKRLDEWESVKDNDIWNESTSEDASSPHHVLASLKLSYVKMQPSLKLCFGYCAIFPKGQKIVKDDLIHQWISLNFIKPSKTAGDYHDNFILLTMHDLVHDLARSVMFDEIQNDGLQGDTSGRNCRYALRTEFSKPLETLRALRFMGCSIDNRLHNDSFSSAKYLRLLDLSECSIQRLPDSIGQLKQLRYLNATGVQHETIPDGITKLLKLMYLSLRGSSGIQALPEFMGEMEDLMYLDLSDCSRIIRLPVSFGKLTKLVHLDLSHCTRVRGVSESLESLTNVEYLNLSNCKNIGELPGALGNLRKLEYLNLSSCSYILGGSAMEVLGKLTKLEYLNLSSESSDIERLPEALCSFNYLKYLNLSGFKKLEKLPTSFGNLNSLMHFDLSHCLQVKGIPEALGGLTNLQVLNLSHCYNIFENDVYIRRKVEAIGNLKKLQYLNLSDLLNKKCHDKSTYVSFFECINTLSNLEHLDLSHNEYLRSLPDCFGSLKRLHTLDVSGCSFLDKIPPSIHNIDNLKFLHADTRIYLGKSMFCLLNESSVSLPHFVVQANANGSGSNLVLLQDVNPPKLEISSLENVRSINEVQIIKLLEKQRIEELNLEWAKDAVRFVEDIELLGEIIPPTNLMEFEIHGYNCTKFPAWLMGIAPYLPNLVPLTMMDMPCCISLPPLGQLPNLKELTLEKMKSVTKIDGDFCGGRRPFPRLKKFVMRDMESLQVWNTIYCSGGDGVSEFMFPILPELSIFRCTKLRLTPCPLRAEKWNIWGSDGVISSWEESAADIIASCSSPLVTTLSINCKVSLHEWRLLHHLPDLKGLIINDCNDWTISAEIIRALSSLESLTLERWYNQAQLPNWLGQLVSLKELKINRFEMNESQEDIKHLTSLQKLCLHRCTSMTKLPQWVGDLVSLQKLEILSCPDLKYLPESMGCLTSLKKLNISFCDDIESLPEGIEKLCKLEYISMSGCPKLKRWFQLDDNRKKISHVKEIVCVLKSYISANFLPAFYISRRLFKYRI >ONIVA11G06380.1 pep chromosome:AWHD00000000:11:5496320:5500508:1 gene:ONIVA11G06380 transcript:ONIVA11G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPRRRCSSPPGLFRSPLSRHGLAASASVFPTPVVRRRPRRRATAVICPPGCSIHGAAEGRGTAAPRHHGDHAATGWSPRGRRHQPLAPGAQDPWPACLTNFVLSKTRPTLKWLICAGGSGASDGEKQRSAEIDDGAEETGQACECDVDSATEIGGGTEKVEERSGATRRRTGGDREEVGRRGGDRRRREGSGGGWEGISEVGVGVPAWFGGGVASLAAAAAEKVEENGRDGELVQRGRRATIEALPEGAVAHACHVGYSQARKPKREGLPLPVENSSLKNSSHPSEVSASTDWIANRFRHHQPEHHV >ONIVA11G06370.1 pep chromosome:AWHD00000000:11:5483084:5486617:-1 gene:ONIVA11G06370 transcript:ONIVA11G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFQRRPPSPPPSPGEYLGCGARAGVCDTLSSPNASPRQLERKIGQLQDLKNSAQEVSSDDTLQMFHESILQSSHSLEEPHATLKRATDSSRLQCLSEDVAMLCCMNAQI >ONIVA11G06370.2 pep chromosome:AWHD00000000:11:5483084:5486688:-1 gene:ONIVA11G06370 transcript:ONIVA11G06370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAATRRHSALSAAIPSSSSRQWGSSSGGRPLRRLPRASTSVAARARGVRGGAKPTPSWRSPSIRSGRARPDGASPLRVRLRPGIEGCRPFELKGAAVAKIQGRRSPPRLHALQKKDKEAPASSAPALSPNASPRQLERKIGQLQDLKNSAQEVSSDDTLQMFHESILQSSHSLEEPHATLKRATDSSRLQCLSEDVAMLCCMNAQI >ONIVA11G06370.3 pep chromosome:AWHD00000000:11:5483084:5486688:-1 gene:ONIVA11G06370 transcript:ONIVA11G06370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAATRRHSALSAAIPSSSSRQWGSSSGGRPLRRLPRASTSVAARARGVRGGAKPTPSWRSPSIRSGRARPDGASPLRVRLRPGIEGCRPFELKGAAVAKIQGRRSPPRLHALQLERKIGQLQDLKNSAQEVSSDDTLQMFHESILQSSHSLEEPHATLKRATDSSRLQCLSEDVAMLCCMNAQI >ONIVA11G06360.1 pep chromosome:AWHD00000000:11:5475577:5479293:-1 gene:ONIVA11G06360 transcript:ONIVA11G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifS-like protein [Source:UniProtKB/TrEMBL;Acc:B9V0P0] MPSLGAATDGGGGGEAGVTTAAAKATSTATLLSLLRAKSERSAEAEEKVEWVRSQLVGAGAEFDTPFGRRPLVYADHTASGRGLRYVEDYVLHHVLPFYGQYHLPCRFSSHAICELDHDVHGDGEVIDAMLVGNTHTEDSYVGSRTTRMARKAASYIKRCVGAGGAAGGDVALLFCGSGATAAVKRLQEAMGVAAPPGPLRERAAALLRPEERWVVFVGPYEHHSNLLSWRRSLADVVEVGAGDDGLLDLAALRRALRAPEHADRPMLGSFSACSNVTGVLTDTRAVARLLHQHGAFACFDFAASGPYVEIDMRPGEVDGYDAVFLSPHKFVGGPGTPGILLMSRSLYRLSSQPPTTCGGGTVAYVNGASERDTVYLAGVEEREDAGTPPIVGKVRAALAFWVKARVGRGGAVALRERAHADAAMAWLLGNPNVEVLGNVAAPRLPIFSFLVFPGDGDDRRLPLHGRFVAKLLNDLFGVQARGGCACAGPYGHALLGVGDELSLRIRAAIVRGYHGVKPGWTRVSFAYYLSGDELRYVLAAIDFVAAHGHRFLPLYAFDWATGDWSFRRAALKRQLMARELLHCHLGSSSATSSDSDGGEFQTARKTTAAGKVGGDVSADKFEGYLESARRIARSLPDTWQATVTVPEGIDPDIVLFRV >ONIVA11G06350.1 pep chromosome:AWHD00000000:11:5456920:5466031:-1 gene:ONIVA11G06350 transcript:ONIVA11G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRSLSFGPEESPREITVAGGGGGDAAAHPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHQGVRDYLCNRMYTLPLPGLEAYLFQVCYMMVHKPSPSLDRFVIDTCSKSLRIALKVHWLLAAELELEDTDDLDGIDRVQEQCQAAATVQGEWPPLVRPAPPSPIASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGASNAAAAEDVGGSGVKQPATPSSEDNKLLKRLSIGPKVRDAASFFRRSVEKDDEQDKEGFFKRLLRDSKDKEEEDGDKEGFFKRLLSKEKENEEEEGDRDGFFRRLLRDSKDEDMELTPSSEGLLKRLFRDKEDRQGDDEEKEGFFRRIFKDKNEERRESLHGRHGDEERVGKSLEDDDKEGFFRKIFKDKNEERKDGGHSKQQDDNEKTAGNIEDDKRDGFFRQLFKEKNEEKKEGTTPNKKEEDDKGHRTMDDENFFRRLFKDKNEEKKGAAHDRNDDDKCEEGDKENFFRKLFKDKHEERRSDGLDKHDDDGKGTSGIDDEENSEFLSFRRLFRVHPEDAKSGHIESSQPNAQDQRAFSSDYSETEIAHLKTLSFLKNSVPTGNGDKQSGKPPLPNNAIAELRKGCYYASLELVQSLCDTSYGLVDIFPMEDRKIALRESLTEINSQIASTEKNGGVCFPMGKGIYRVVHIPEDEAVLLNSREKAPYLICVEVLKAEAPSHSKGSSDVNKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWEAKVKFVNVSFSVEKLGRSRSVAISDSGHRSRQSTADSNEPSGDSQPIADQPIEWVKVTLSAVPGVNMDDVDDNEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQNAQNIESKATDGGDPKPTDALAGELWAVKRERIRRSSVHGKLPGWDLRSVIVKSGDDCRQEHLAVQLVAHLYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPDITSLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRVLNGIL >ONIVA11G06350.2 pep chromosome:AWHD00000000:11:5456920:5466031:-1 gene:ONIVA11G06350 transcript:ONIVA11G06350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRSLSFGPEESPREITVAGGGGGDAAAHPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHQGVRDYLCNRMYTLPLPGLEAYLFQVCYMMVHKPSPSLDRFVIDTCSKSLRIALKVHWLLAAELELEDTDDLDGIDRVQEQCQAAATVQGEWPPLVRPAPPSPIASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGASNAAAAEDVGGSGVKQPATPSSEDNKLLKRLSIGPKVRDAASFFRRSVEKDDEQDKEGFFKRLLRDSKDKEEEDGDKEGFFKRLLSKEKENEEEEGDRDGFFRRLLRDSKDEDMELTPSSEGLLKRLFRDKEDRQGDDEEKEGFFRRIFKDKNEERRESLHGRHGDEERVGKSLEDDDKEGFFRKIFKDKNEERKDGGHSKQQDDNEKTAGNIEDDKRDGFFRQLFKEKNEEKKEGTTPNKKEEDDKGHRTMDDENFFRRLFKDKNEEKKGAAHDRNDDDKCEEGDKENFFRKLFKDKHEERRSDGLDKHDDDGKGTSGIDDEENSEFLSFRRLFRVHPEDAKSGHIESSQPNGISEGSPGSESFFKRLFRDRDRSLEDSELFGSKLLKEARHQFPEVKNSVPTGNGDKQSGKPPLPNNAIAELRKGCYYASLELVQSLCDTSYGLVDIFPMEDRKIALRESLTEINSQIASTEKNGGVCFPMGKGIYRVVHIPEDEAVLLNSREKAPYLICVEVLKAEAPSHSKGSSDVNKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWEAKVKFVNVSFSVEKLGRSRSVAISDSGHRSRQSTADSNEPSGDSQPIADQPIEWVKVTLSAVPGVNMDDVDDNEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQNAQNIESKATDGGDPKPTDALAGELWAVKRERIRRSSVHGKLPGWDLRSVIVKSGDDCRQEHLAVQLVAHLYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPDITSLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRVLNGIL >ONIVA11G06340.1 pep chromosome:AWHD00000000:11:5405852:5451310:-1 gene:ONIVA11G06340 transcript:ONIVA11G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSSQLRGSMVLHSPIPTAVGFGELGVDRLSSSGAAAEAADCESARPPSLLARILHCEFQAICCSRCSLDARRLFDGMPPGEAPPPTGGDRIGGLPDGVLQHILGFLPPHEAVRTCVLARRWRHLWKSVAALRITNWDWRKVVPMEEFRYFVHHLLLRRGRAPIDEFELDIAELSDRDTLRVNRWFRHAVMCQARVLRLDIRVSRGSELELENLPVVSRHLQKLDLYGVKLMHNFLDFSSCPVLQHLEIAGCDLSDSNARKISSLSIKHLNISGCNFSDTFHTHIYVPNLLSLGLVNYMNQSPVFEGIPSGSFITGQEVGVFNFEMYWQRCPTFSKLKTLLISACASAVLDFEAVSCILRHSPVLENLTLQFHRMGHGPDRVEMKGSYSRMDRSSAISEYLKIVVVRFDEIDDLVIKVLKFLSAFSIPRVLTFMLGSSTLPAARADASLPNPHSTRVGPLRLDSSCSGAAPEAADGEASISLSLSHPPLYWQQCPIFSRLKTLSICELISADIDFEALSCILQHSPILEKLTLNSGEGGCRRRGICTSPSLILTRSLPPLHPPLRCGRFLLCECDARHLFDGMRPGETGKRVKGSPSRSGGADEDRIGCLPDGVLHHILGFLPAPDAVRTCLLARRWRHLWKSATGLRISEGYGVEKLHDFVHHLLLLRGGAPLDTFELGSIDLAEGDNRSMNLWFRHALLCQVRVLRLNVFGLELHDLPFVSRHLVKLELRFVILMHSFLDFSSCPVLEHLEIVSSELSGAKRISSQSLKHLNLTHCTFSENVRIRIDVPNLLSLWLQDYRCRTPVFEVMPLLVKAFVSVTGDSGDWLTCTSGNADSEECPHDDCELCYSNTNCMLLQALSQARSLMLVAQNQEFVFKRDMMRCPTFSNLKTLLLIDSFYVAFDLHGITCILRHSPVLEKLTLELFCQESEHILEMKGSYNQTERSSAIPEHLKSVVVKCGVIDERVTKPELDNLNLPVVSRHLAKLQLSGVKLMQSFLNFSSCPVLEHLEIVHCDLSDSNARKISSLSLKHLYIFRCNFSRTFHTQIYAPNLVYLGLVYYMNRTPVFEGVPLLTEAVVGVAAESGDWNACPRFDDSNTNSCMLPEALSQAKKLVLEVEEQDFNFKMYWQHCPTFSKLKTLFISVCISAILDFEGLSCILRHSPVLEILTLQFHRSEFRQKDKVEMKGSYSRMEKSSAISEHLKIVAIQCDDIDDQLHPPVQSSGCFLPCGRDARHLFDGMSPPGEAGRRGKGAARPSDDRIGHLPDEVLHHIIGLLPAPDAVRTCVLARRWRHLWKSATGLRIADDDGVGLVPMEELRDFVDHLLLLRGRAPLDTCELSFAGLSSDGGGGDARLVDLWFRHAVLCEVQALRLNAPRSASRLVLDGLPLVSRRLAKLELAHLNLVHNFLDFSSCPVLEHLEIVLCSLSDAERISSQSLKRLNITACDFSEIFRTRIDVPNLLSLRLDNYNHRTPVFEGMPLLVDAFFGVTFASGDIRCCPGVNDDLEECPYDDCDNCPGDNNCKVLQAFSQAKNLALVADSQKFIFKRELIRCPTFSKLKTLLLSDSWIVAFDLHEITCILRHSPVLENLTLQFFYPVHMYLYSKLETSAYSRMERSSAISEHLKIVVVKCGVVDERVIKILKFLSTFNIVSRKEKRGARGARATDSAAGGRIRRACGARLGLLLAVVVDRRLIHQRLGDRRLFVSCRAVPFARHLFDGMPPRRRGSRGEEMAEASKEGGINALPDELLQHVLSLLSADEAVKTCVLSRRWRHLWRSTDVLRLDADKERWKSSKRFKKFVNHLVLFRGCSPLREFDLEFSSCREKDEKGDDSDEDESDDDRGEDESDEDSDGDESDEHSDDGESDDDSDEDEDSNPFQCVMMWVMYALICQVQVLKIHNFNERYIEIDGSMPLVSQHLTKIELSGIVLKDCFLNFSSCPALKELYFTKNCCFDSVKKIFSQSMQCLRIFCCQFSEYHRTLIYAPSLIRLFLEGFWGRTPFLERMPSLVEASVRPHQDCDDWCSNTYTGNCEDEDCDGCHGMIDKISNSSNCVLLGGLSEAKSLKLIAGPEIIIFGSDLRWCPMFSKLKNLLLNEWCLLSNFWALACILEHSPVLRKLTLQISKEAKSMMQTEENDNPLRKPAAISEHLKVVKVHCKEVDEVVYKIGKWLSTLDIKVLIKRRNQSPKRVELHRKTRARRRHGHRHRRRRTSPTSSLATPPPKIESRYCSRVASYHGAPASHTGCFLHRGPDASRLFDGMRPQGKTLEMGKRALPRSSDGDEDRTGDLPDGILHHILGSLPARDAVRTCVLARRWRHLWKFATGLRITDREMREPAPMEKLQDFVDHLLLLRGRAPLETCWLNLTRLSSDGDARRVNLLFRHAVLCEVQVLRLDLILNGFQLKLDDLPLASRCLAKLNLSGVHLMHSFPDFSCCPVLEHLDIFFCDLSDAKKITSQSMKCLNIRHCTFSQISRTRISAPNLISLRLENYWHRTPVFEWMHLSEYTIDLGIGIVVQVVMLIFEECLCEDCDFCHSNTNCVIMQALSQAKNLVLSAHEQEFIFKRELMRCPTFSNLKTLLLINCFCVAFDLHGITSILRHTPVLEKLILEFFFEVTEHDDEVEMKGSRSQMERSSAISKHLKFQFRVGFKSWAVPWIYYYVTVQSIGGLVLNLL >ONIVA11G06340.2 pep chromosome:AWHD00000000:11:5410085:5451310:-1 gene:ONIVA11G06340 transcript:ONIVA11G06340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSSQLRGSMVLHSPIPTAVGFGELGVDRLSSSGAAAEAADCESARPPSLLARILHCEFQAICCSRCSLDARRLFDGMPPGEAPPPTGGDRIGGLPDGVLQHILGFLPPHEAVRTCVLARRWRHLWKSVAALRITNWDWRKVVPMEEFRYFVHHLLLRRGRAPIDEFELDIAELSDRDTLRVNRWFRHAVMCQARVLRLDIRVSRGSELELENLPVVSRHLQKLDLYGVKLMHNFLDFSSCPVLQHLEIAGCDLSDSNARKISSLSIKHLNISGCNFSDTFHTHIYVPNLLSLGLVNYMNQSPVFEGIPSGSFITGQEVGVFNFEMYWQRCPTFSKLKTLLISACASAVLDFEAVSCILRHSPVLENLTLQFHRMGHGPDRVEMKGSYSRMDRSSAISEYLKIVVVRFDEIDDLVIKVLKFLSAFSIPRVLTFMLGSSTLPAARADASLPNPHSTRVGPLRLDSSCSGAAPEAADGEASISLSLSHPPLYWQQCPIFSRLKTLSICELISADIDFEALSCILQHSPILEKLTLNSGEGGCRRRGICTSPSLILTRSLPPLHPPLRCGRFLLCECDARHLFDGMRPGETGKRVKGSPSRSGGADEDRIGCLPDGVLHHILGFLPAPDAVRTCLLARRWRHLWKSATGLRISEGYGVEKLHDFVHHLLLLRGGAPLDTFELGSIDLAEGDNRSMNLWFRHALLCQVRVLRLNVFGLELHDLPFVSRHLVKLELRFVILMHSFLDFSSCPVLEHLEIVSSELSGAKRISSQSLKHLNLTHCTFSENVRIRIDVPNLLSLWLQDYRCRTPVFEVMPLLVKAFVSVTGDSGDWLTCTSGNADSEECPHDDCELCYSNTNCMLLQALSQARSLMLVAQNQEFVFKRDMMRCPTFSNLKTLLLIDSFYVAFDLHGITCILRHSPVLEKLTLELFCQESEHILEMKGSYNQTERSSAIPEHLKSVVVKCGVIDERVTKPELDNLNLPVVSRHLAKLQLSGVKLMQSFLNFSSCPVLEHLEIVHCDLSDSNARKISSLSLKHLYIFRCNFSRTFHTQIYAPNLVYLGLVYYMNRTPVFEGVPLLTEAVVGVAAESGDWNACPRFDDSNTNSCMLPEALSQAKKLVLEVEEQDFNFKMYWQHCPTFSKLKTLFISVCISAILDFEGLSCILRHSPVLEILTLQFHRSEFRQKDKVEMKGSYSRMEKSSAISEHLKIVAIQCDDIDDQLHPPVQSSGCFLPCGRDARHLFDGMSPPGEAGRRGKGAARPSDDRIGHLPDEVLHHIIGLLPAPDAVRTCVLARRWRHLWKSATGLRIADDDGVGLVPMEELRDFVDHLLLLRGRAPLDTCELSFAGLSSDGGGGDARLVDLWFRHAVLCEVQALRLNAPRSASRLVLDGLPLVSRRLAKLELAHLNLVHNFLDFSSCPVLEHLEIVLCSLSDAERISSQSLKRLNITACDFSEIFRTRIDVPNLLSLRLDNYNHRTPVFEGMPLLVDAFFGVTFASGDIRCCPGVNDDLEECPYDDCDNCPGDNNCKVLQAFSQAKNLALVADSQKFIFKRELIRCPTFSKLKTLLLSDSWIVAFDLHEITCILRHSPVLENLTLQFFYPVHMYLYSKLETSAYSRMERSSAISEHLKIVVVKCGVVDERVIKILKFLSTFNIVSRKEKRGARGARATDSAAGGRIRRACGARLGLLLAVVVDRRLIHQRLGDRRLFVSCRAVPFARHLFDGMPPRRRGSRGEEMAEASKEGGINALPDELLQHVLSLLSADEAVKTCVLSRRWRHLWRSTDVLRLDADKERWKSSKRFKKFVNHLVLFRGCSPLREFDLEFSSCREKDEKGDDSDEDESDDDRGEDESDEDSDGDESDEHSDDGESDDDSDEDEDSNPFQCVMMWVMYALICQVQVLKIHNFNERYIEIDGSMPLVSQHLTKIELSGIVLKDCFLNFSSCPALKELYFTKNCCFDSVKKIFSQSMQCLRIFCCQFSEYHRTLIYAPSLIRLFLEGFWGRTPFLERMPSLVEASVRPHQDCDDWCSNTYTGNCEDEDCDGCHGMIDKISNSSNCVLLGGLSEAKSLKLIAGPEIIIFGSDLRWCPMFSKLKNLLLNEWCLLSNFWALACILEHSPVLRKLTLQISKEAKSMMQTEENDNPLRKPAAISEHLKVVKVHCKEVDEVVYKIGKWLSTLDIKVLIKRRNQSPKRFCSSKV >ONIVA11G06340.3 pep chromosome:AWHD00000000:11:5410085:5451310:-1 gene:ONIVA11G06340 transcript:ONIVA11G06340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSSQLRGSMVLHSPIPTAVGFGELGVDRLSSSGAAAEAADCESARPPSLLARILHCEFQAICCSRCSLDARRLFDGMPPGEAPPPTGGDRIGGLPDGVLQHILGFLPPHEAVRTCVLARRWRHLWKSVAALRITNWDWRKVVPMEEFRYFVHHLLLRRGRAPIDEFELDIAELSDRDTLRVNRWFRHAVMCQARVLRLDIRVSRGSELELENLPVVSRHLQKLDLYGVKLMHNFLDFSSCPVLQHLEIAGCDLSDSNARKISSLSIKHLNISGCNFSDTFHTHIYVPNLLSLGLVNYMNQSPVFEGIPSGSFITGQEVGVFNFEMYWQRCPTFSKLKTLLISACASAVLDFEAVSCILRHSPVLENLTLQFHRMGHGPDRVEMKGSYSRMDRSSAISEYLKIVVVRFDEIDDLVIKVLKFLSAFSIPRVLTFMLGSSTLPAARADASLPNPHSTRVGPLRLDSSCSGAAPEAADGEASISLSLSHPPLYWQQCPIFSRLKTLSICELISADIDFEALSCILQHSPILEKLTLNSGEGGCRRRGICTSPSLILTRSLPPLHPPLRCGRFLLCECDARHLFDGMRPGETGKRVKGSPSRSGGADEDRIGCLPDGVLHHILGFLPAPDAVRTCLLARRWRHLWKSATGLRISEGYGVEKLHDFVHHLLLLRGGAPLDTFELGSIDLAEGDNRSMNLWFRHALLCQVRVLRLNVFGLELHDLPFVSRHLVKLELRFVILMHSFLDFSSCPVLEHLEIVSSELSGAKRISSQSLKHLNLTHCTFSENVRIRIDVPNLLSLWLQDYRCRTPVFEVMPLLVKAFVSVTGDSGDWLTCTSGNADSEECPHDDCELCYSNTNCMLLQALSQARSLMLVAQNQEFVFKRDMMRCPTFSNLKTLLLIDSFYVAFDLHGITCILRHSPVLEKLTLELFCQESEHILEMKGSYNQTERSSAIPEHLKSVVVKCGVIDERVTKVLKFLSTFNIQAVVGVAAESGDWNACPRFDDSNTNSCMLPEALSQAKKLVLEVEEQDFNFKMYWQHCPTFSKLKTLFISVCISAILDFEGLSCILRHSPVLEILTLQFHRSEFRQKDKVEMKGSYSRMEKSSAISEHLKIVAIQCDDIDDQLHPPVQSSGCFLPCGRDARHLFDGMSPPGEAGRRGKGAARPSDDRIGHLPDEVLHHIIGLLPAPDAVRTCVLARRWRHLWKSATGLRIADDDGVGLVPMEELRDFVDHLLLLRGRAPLDTCELSFAGLSSDGGGGDARLVDLWFRHAVLCEVQALRLNAPRSASRLVLDGLPLVSRRLAKLELAHLNLVHNFLDFSSCPVLEHLEIVLCSLSDAERISSQSLKRLNITACDFSEIFRTRIDVPNLLSLRLDNYNHRTPVFEGMPLLVDAFFGVTFASGDIRCCPGVNDDLEECPYDDCDNCPGDNNCKVLQAFSQAKNLALVADSQKFIFKRELIRCPTFSKLKTLLLSDSWIVAFDLHEITCILRHSPVLENLTLQFFYPVHMYLYSKLETSAYSRMERSSAISEHLKIVVVKCGVVDERVIKILKFLSTFNIVSRKEKRGARGARATDSAAGGRIRRACGARLGLLLAVVVDRRLIHQRLGDRRLFVSCRAVPFARHLFDGMPPRRRGSRGEEMAEASKEGGINALPDELLQHVLSLLSADEAVKTCVLSRRWRHLWRSTDVLRLDADKERWKSSKRFKKFVNHLVLFRGCSPLREFDLEFSSCREKDEKGDDSDEDESDDDRGEDESDEDSDGDESDEHSDDGESDDDSDEDEDSNPFQCVMMWVMYALICQVQVLKIHNFNERYIEIDGSMPLVSQHLTKIELSGIVLKDCFLNFSSCPALKELYFTKNCCFDSVKKIFSQSMQCLRIFCCQFSEYHRTLIYAPSLIRLFLEGFWGRTPFLERMPSLVEASVRPHQDCDDWCSNTYTGNCEDEDCDGCHGMIDKISNSSNCVLLGGLSEAKSLKLIAGPEIIIFGSDLRWCPMFSKLKNLLLNEWCLLSNFWALACILEHSPVLRKLTLQISKEAKSMMQTEENDNPLRKPAAISEHLKVVKVHCKEVDEVVYKIGKWLSTLDIKVLIKRRNQSPKRFCSSKV >ONIVA11G06340.4 pep chromosome:AWHD00000000:11:5410085:5451310:-1 gene:ONIVA11G06340 transcript:ONIVA11G06340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSSQLRGSMVLHSPIPTAVGFGELGVDRLSSSGAAAEAADCESARPPSLLARILHCEFQAICCSRCSLDARRLFDGMPPGEAPPPTGGDRIGGLPDGVLQHILGFLPPHEAVRTCVLARRWRHLWKSVAALRITNWDWRKVVPMEEFRYFVHHLLLRRGRAPIDEFELDIAELSDRDTLRVNRWFRHAVMCQARVLRLDIRVSRGSELELENLPVVSRHLQKLDLYGVKLMHNFLDFSSCPVLQHLEIAGCDLSDSNARKISSLSIKHLNISGCNFSDTFHTHIYVPNLLSLGLVNYMNQSPVFEGIPSGSFITGQEVGVFNFEMYWQRCPTFSKLKTLLISACASAVLDFEAVSCILRHSPVLENLTLQFHRMGHGPDRVEMKGSYSRMDRSSAISEYLKIVVVRFDEIDDLVIKVLKFLSAFSIPRVLTFMLGSSTLPAARADASLPNPHSTRVGPLRLDSSCSGAAPEAADGEASISLSLSHPPLVKGSPSRSGGADEDRIGCLPDGVLHHILGFLPAPDAVRTCLLARRWRHLWKSATGLRISEGYGVEKLHDFVHHLLLLRGGAPLDTFELGSIDLAEGDNRSMNLWFRHALLCQVRVLRLNVFGLELHDLPFVSRHLVKLELRFVILMHSFLDFSSCPVLEHLEIVSSELSGAKRISSQSLKHLNLTHCTFSENVRIRIDVPNLLSLWLQDYRCRTPVFEVMPLLVKAFVSVTGDSGDWLTCTSGNADSEECPHDDCELCYSNTNCMLLQALSQARSLMLVAQNQEFVFKRDMMRCPTFSNLKTLLLIDSFYVAFDLHGITCILRHSPVLEKLTLELFCQESEHILEMKGSYNQTERSSAIPEHLKSVVVKCGVIDERVTKPELDNLNLPVVSRHLAKLQLSGVKLMQSFLNFSSCPVLEHLEIVHCDLSDSNARKISSLSLKHLYIFRCNFSRTFHTQIYAPNLVYLGLVYYMNRTPVFEGVPLLTEAVVGVAAESGDWNACPRFDDSNTNSCMLPEALSQAKKLVLEVEEQDFNFKMYWQHCPTFSKLKTLFISVCISAILDFEGLSCILRHSPVLEILTLQFHRSEFRQKDKVEMKGSYSRMEKSSAISEHLKIVAIQCDDIDDQLHPPVQSSGCFLPCGRDARHLFDGMSPPGEAGRRGKGAARPSDDRIGHLPDEVLHHIIGLLPAPDAVRTCVLARRWRHLWKSATGLRIADDDGVGLVPMEELRDFVDHLLLLRGRAPLDTCELSFAGLSSDGGGGDARLVDLWFRHAVLCEVQALRLNAPRSASRLVLDGLPLVSRRLAKLELAHLNLVHNFLDFSSCPVLEHLEIVLCSLSDAERISSQSLKRLNITACDFSEIFRTRIDVPNLLSLRLDNYNHRTPVFEGMPLLVDAFFGVTFASGDIRCCPGVNDDLEECPYDDCDNCPGDNNCKVLQAFSQAKNLALVADSQKFIFKRELIRCPTFSKLKTLLLSDSWIVAFDLHEITCILRHSPVLENLTLQFFYPVHMYLYSKLETSAYSRMERSSAISEHLKIVVVKCGVVDERVIKILKFLSTFNIVSRKEKRGARGARATDSAAGGRIRRACGARLGLLLAVVVDRRLIHQRLGDRRLFVSCRAVPFARHLFDGMPPRRRGSRGEEMAEASKEGGINALPDELLQHVLSLLSADEAVKTCVLSRRWRHLWRSTDVLRLDADKERWKSSKRFKKFVNHLVLFRGCSPLREFDLEFSSCREKDEKGDDSDEDESDDDRGEDESDEDSDGDESDEHSDDGESDDDSDEDEDSNPFQCVMMWVMYALICQVQVLKIHNFNERYIEIDGSMPLVSQHLTKIELSGIVLKDCFLNFSSCPALKELYFTKNCCFDSVKKIFSQSMQCLRIFCCQFSEYHRTLIYAPSLIRLFLEGFWGRTPFLERMPSLVEASVRPHQDCDDWCSNTYTGNCEDEDCDGCHGMIDKISNSSNCVLLGGLSEAKSLKLIAGPEIIIFGSDLRWCPMFSKLKNLLLNEWCLLSNFWALACILEHSPVLRKLTLQISKEAKSMMQTEENDNPLRKPAAISEHLKVVKVHCKEVDEVVYKIGKWLSTLDIKVLIKRRNQSPKRFCSSKV >ONIVA11G06330.1 pep chromosome:AWHD00000000:11:5396198:5399493:-1 gene:ONIVA11G06330 transcript:ONIVA11G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSACVSIAVLVVVLSSTSCYSSPSPTTTANGSSDTDLAALLAFKSQLTDPLGVLTSNWSTSTSFCHWLGVTCSRRRRHRRVTGLSLPQTPLHGPITPLLGNLSFLSFLRLTDTNLTASIPADLGKLRRLRHLCLGENSLSGRIPPDLGNLARLEVISLEGNSLSGQIPPFLFNNTPSLRYLSFGNNSLSGPIPDGVASLSQLEILDMQYNQLSSLVPQALYNMSWLRVMALAGNGNLTGPIPNNNQTFRLPMLRFISLARNRIAGRFPAGLASCQYLREIYLYSNSFVDVLPTWLAKLSRLEVVSLGGNKLVGTIPAVLSNLTRLTVLELSFGNLTGNIPPEIGLLQKLVYLLLSANQLSGSVPRTLGNIAALQKLVLPHNNLEGNMGFLSSLSECRQLEDLILDHNSFVGALPDHLGNLSARLISFIADHNKLAGSLPEKMSNLSSLELIDLGYNQLTGAIPESIATMGNLGLLDVSNNHILGPLPTQIGTLVSIQRLFLERNKISGSIPDSIGNLSRLDYIDLSNNQLSGKIPASLFQLHNLIQINLSCNSIVGALPADIAGLRQIDQIDVSSNFLNGSIPESLGQLNMLTYLILSHNSLEGSIPSTLQRLTSLTWLDLSSNNLSGSIPMFLENLTDLTMLNLSFNRLEGPIPEGGIFSNNLTRQSLIGNAGLCGSPRLGFSPCLKKSHPYSRPLLKLLLPAILVASGILAVFLYLMFEKKHKKAKAYGDMADVIGPQLLTYHDLVLATENFSDDNLLGSGGFGKLGFLERLNIMLDVSMAVHYLHHEHYEVVLHCDLKPSNVLFDNDMTAHVADFGIAKLLLGDDNSMIVASMSGTVGYIAPEYGSMGKASRKSDVFSYGIILLEVFTGRRPMDAMFLGDLSLREWVHQVFPTKLVHVVDRHLLQGSSSSSCNLDESFLVPIFELGLICSSDLPNERMTMSDVVVSLKKIKVAYTEWISATQNPAQ >ONIVA11G06320.1 pep chromosome:AWHD00000000:11:5393631:5395452:-1 gene:ONIVA11G06320 transcript:ONIVA11G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLPFYNILPVYPIRAGLLEDAAPRLPTPPPATPPQKSPVEALRSSAAPRRAEDRREVEAATQRLVKPGDHPISSCLCVAQCPGYARHLFDGMSPSEECGIDDLPDELLQQILSLLSADEAVKTCVLSRRWRHLWKSTDILRVAYSTDRWKSSDEFKKFVNHLVLLRGISPLRELDLRFNARRYEDVVHDGGSDPYQCVMLWVMYAVMCRVQVLKIHNLDQIDIEVYKGMPLVSPHLTKIELSGIELKNCFLNFSSCPALKELYFTKNCGFDSVYEILSRSIQCLHIFHCRFGEYHRTDIYAPSLVTLLLEGFCGRTPFLGRMPSLVEASVRPHQDCDDSCSNSYSGNCEDEYCDGCHCRYEVSDDSESVLLGGLTEAENLKLIAGPNIEYKSMVELETEENDNPLWKPAAISEHLKVVKVHCKEVDEGVYKIGKWLSTLDKKVIIKQRKQPPKRKLTVDIFSFFQYF >ONIVA11G06310.1 pep chromosome:AWHD00000000:11:5389636:5392095:-1 gene:ONIVA11G06310 transcript:ONIVA11G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-domain receptor-like protein kinase family-1 [Source:UniProtKB/TrEMBL;Acc:B9V0Q0] MSPLHTYLGFFLFLLFSLHNPSCSAAANDTLVVGQVLAIGEKLVSRNGKFALGFYKPALPEGIASKYGNITSPGWYLAIWFNKIPVCTTVWVANRERPITDLEIKLTQLRFSQDGSSLAIIINHATESTVWSTQIANRTAQAKTSMNTSAILLDSGNLVIESLPDAYLWQSFDNATDLALPGAKFGWNKITGLHRTGTSKKNLIDPGLGSYSVQLNERGIILWRRDPYMEYWTWSSVQLTNMLIPLLNSLLKMNSQTRGFLTPYYVNNDEEEYFMYHSSDESSSSFVSIDMSGQLKLSIWSQANQSWQEVYAQPPDPCTPFATCGPFSVCNGNADLFCDCMESFSQKSPQDWELKDRTAGCFRNTPLDCPSNRSSTDMFHTITRVALPANPEKIEDATTQSKCAESCLSNCSCNAYAYKDNTCFVWHSDLLNVKLHDSIESLSEDTLYLRLAAKDMPTTTKNKQKPVVVAVTAASIVGFGLLMLVLFFLIWHNKFKCCGVTLHHNQGSSGIIAFRYTDLSHATKNFSEKLGSGGFGSVFKGVLRDSTTIAVKRLDGSHQGEKQFRAEVSSLGLIQHINLVKLIGFCCEGDKRLLVYEHMVNGSLDAHLFHSNGAVLDWSTRHQIAIGVARGLSYLHESCRECIIHCDIKPENILLEASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNLSEAYTSNHYHFDFFPVQAISKLHEGSVQNLLDPELHGDFNLEEAERVCKVACWCIQEDEIDRPTMGEVVRFLEGLQEVDMPPMPRLLAAITERSHI >ONIVA11G06300.1 pep chromosome:AWHD00000000:11:5362693:5385534:-1 gene:ONIVA11G06300 transcript:ONIVA11G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAIGRGVLPTNHKRVEDATTQSKCEEACLSNCSCIAYAYEDSTCYAWHGELLNLRLQDSIESLSEDTLYLRLAAKDMPASTKNKRKPFPAAVTIASIIGFGLLMLLLLFLIWQNKLKCRGVPLHHTQGSSGIVAFRYIDLSHATKNFSKKLGDSTTIVVKRLDGLHQGEKHFKAEMRSLRLIQHINLVKLIGFCYEDDKRLLVYEHMINGPLDAHLFHSNGAIIDWSTRHQIAIGVARGLSYLHESCHECIIHCDIKPENILVEASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAVTPKVNVYSFGMKSYNEGGIYPKHTPATIIILIIFLCKPSANFMREVCRICLIQNYMVISIWKRLKGFVKLLVGASKKMKLIGQQWVKWFAFLRVYRRMTCPPCQDYLYLGLLLAISLHTPSCSTANDTLAAGQVLIVGEKLISRNGKFALGFFKPALPEGTANTYGNVISPGWYLAIWFNNIPVCTTVWVANRERPITEPELKLVQMKISEDGSSLVIINHATKSIVWSTQITNGTAQAKTGVNTSAILLDSGNLVIESLPDVYLWQSFDYPTDLVLPGAKIGWNKVTGLCRTCTSKKNLIDPGLGSYSVQLNSRGIILWHRDPYVEYWTWSSIQMTYTLMPLLNSLLTMNSEARGFLTPTYVNNDEEEYLMYHSSDESSSSFVSIDMSGQVKLNIWSQANQSWAEVHAEPWAQVYAQPPDPCTPFATCGPFGICNGNSEQFCDCMESFSQKSPQDWKLKDRSAGCIRNTPLDCPSNRSSTDMFQTIARVTLPANPEKLEDATTQSKCAEVCLSNCSCNAYAYKDSVCSVWHSELLNVKLRDNIESLSEDTLYLRLAAKDMPASTKNKRKPVIAVVTTASIVGFGLLMLVMFFLIWRIKFNCCGVPLHHNQGNSGIIAFKYTDLSHATKNFSEKLGSGGFGSVFKGVLSDSTTIAVKRLDGLHQGEKQFRAEVSSLGLIHHINLVKLIGFCYEGDKRLLVYERMINGSLDAHLFHSNGAAVRAVRTEAPPTPTPPAKQRRRRPDPRPSYCTAPASLSPRAAARASARLLDCTSTWSVVSRLFASRAHSVPFARHLFDGMPPKRKGLTSKKMAEAREEGGIDVLPDALLQHILSFLSADEATCVLSRRWRHLWKLTPILCITNTERWRSPKDFIKLVNHLVLFRGSSPLHKFEIKINSCAHWMIMSGDSNPFHCAIMWVMYALMCQVQVLTIKNMHAYIEMDDGFSLFSRHLTELNLSGLDFRKFVLNFSSCPALEYIYFSASCCFNSVEKILSQSVKYLTFDFPEFSEHHRTHIYAPNLITLRLDDCWGRVPFLESMPSLIAAFVRPHRDSDGLCSNTYSGNCEDEYCHGCYGMVDNAGNDSAKCVLLGGLSEAKKLELIAGPEMRIFRSDLRWCPLFSKLKSLLLNEWCVANNFWALACILEHSPVLLKLTLQISKETKPMIGAVENYSALVKPAAISKHLKVVKVHCKEVDEGVCETVKFLSTLDVVSSVSRKEIRVQVMMKDPLDELSMVITSVFLGMCFKPLILTNSDSISLPFRRSTRARGCISVPFGGAEATPRFHFHPHARQPFDGMPPLAPRKRKAVAAARGRKKKERVAPDRISALPDEVLHLVLSLLPVHDAVATCVLARRWLHLWKEAPGLSVEWWDYDEPGDRFISLVDRFFTLRSSSAPLNYCSININFPEFLPEKEQLFVRWIQRALRCQARVLRISLIDWVELPNMTLISQHLTRLELQGISGDDNFLDLSGCPSLVNLNMDTCCIYVDKLSSSSLKTLCLSQCQFSIEYHIWLCFPSLVSLELSYCPGRAPFLESMPSLLQAIVRFDDACEDKCQKSVSGGCDDDDDDYCFGCADEVVAGYGTNGMCLQGLSEATHLELSADPAVYVFRRDLKWCPTFAKLKTLLLDEWCVVGDLSALICFLQHSPILEKLTIQLQKAPTCLMDSEGQYNTSELPFVSNHLKIVEIECKEVNTWVWKILKTLTTYGIPLKQINIKQTSERNGSGCFNFVCTGLCLGWPFGSKHRAGVNIWDVVC >ONIVA11G06300.2 pep chromosome:AWHD00000000:11:5362693:5385534:-1 gene:ONIVA11G06300 transcript:ONIVA11G06300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAIGRGVLPTNHKRVEDATTQSKCEEACLSNCSCIAYAYEDSTCYAWHGELLNLRLQDSIESLSEDTLYLRLAAKDMPASTKNKRKPFPAAVTIASIIGFGLLMLLLLFLIWQNKLKCRGVPLHHTQGSSGIVAFRYIDLSHATKNFSKKLGDSTTIVVKRLDGLHQGEKHFKAEMRSLRLIQHINLVKLIGFCYEDDKRLLVYEHMINGPLDAHLFHSNGAIIDWSTRHQIAIGVARGLSYLHESCHECIIHCDIKPENILVEASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAVTPKVNVYSFGMKSYNEGGIYPKHTPATIIILIIFLCKPSANFMREVCRICLIQNYMVISIWKRLKGFVKLLVGASKKMKLIGQQWVKWFAFLRVYRRMTCPPCQDYLYLGLLLAISLHTPSCSTANDTLAAGQVLIVGEKLISRNGKFALGFFKPALPEGTANTYGNVISPGWYLAIWFNNIPVCTTVWVANRERPITEPELKLVQMKISEDGSSLVIINHATKSIVWSTQITNGTAQAKTGVNTSAILLDSGNLVIESLPDVYLWQSFDYPTDLVLPGAKIGWNKVTGLCRTCTSKKNLIDPGLGSYSVQLNSRGIILWHRDPYVEYWTWSSIQMTYTLMPLLNSLLTMNSEARGFLTPTYVNNDEEEYLMYHSSDESSSSFVSIDMSGQVKLNIWSQANQSWAEVHAEPWAQVYAQPPDPCTPFATCGPFGICNGNSEQFCDCMESFSQKSPQDWKLKDRSAGCIRNTPLDCPSNRSSTDMFQTIARVTLPANPEKLEDATTQSKCAEVCLSNCSCNAYAYKDSVCSVWHSELLNVKLRDNIESLSEDTLYLRLAAKDMPASTKNKRKPVIAVVTTASIVGFGLLMLVMFFLIWRIKFNCCGVPLHHNQGNSGIIAFKYTDLSHATKNFSEKLGSGGFGSVFKGVLSDSTTIAVKRLDGLHQGEKQFRAEVSSLGLIHHINLVKLIGFCYEGDKRLLVYERMINGSLDAHLFHSNGAAVRAVRTEAPPTPTPPAKQRRRRPDPRPSYCTAPASLSPRAAARAARVARHLFDGMSPKQKSLRSKRMAEASEEDGIDVLPDALLQHILSFLPVEDAVKTCVLSRRWRHLWKLTPILCITNTERWRSPKDFIKLVNHLVLFRGSSPLHKFEIKINSCAHWMIMSGDSNPFHCAIMWVMYALMCQVQVLTIKNMHAYIEMDDGFSLFSRHLTELNLSGLDFRKFVLNFSSCPALEYIYFSASCCFNSVEKILSQSVKYLTFDFPEFSEHHRTHIYAPNLITLRLDDCWGRVPFLESMPSLIAAFVRPHRDSDGLCSNTYSGNCEDEYCHGCYGMVDNAGNDSAKCVLLGGLSEAKKLELIAGPEMRIFRSDLRWCPLFSKLKSLLLNEWCVANNFWALACILEHSPVLLKLTLQISKETKPMIGAVENYSALVKPAAISKHLKVVKVHCKEVDEGVCETVKFLSTLDVVSSVSRKEIRVQVMMKDPLDELSMVITSVFLGMCFKPLILTNSDSISLPFRRSTRARGCISVPFGGAEATPRFHFHPHARQPFDGMPPLAPRKRKAVAAARGRKKKERVAPDRISALPDEVLHLVLSLLPVHDAVATCVLARRWLHLWKEAPGLSVEWWDYDEPGDRFISLVDRFFTLRSSSAPLNYCSININFPEFLPEKEQLFVRWIQRALRCQARVLRISLIDWVELPNMTLISQHLTRLELQGISGDDNFLDLSGCPSLVNLNMDTCCIYVDKLSSSSLKTLCLSQCQFSIEYHIWLCFPSLVSLELSYCPGRAPFLESMPSLLQAIVRFDDACEDKCQKSVSGGCDDDDDDYCFGCADEVVAGYGTNGMCLQGLSEATHLELSADPAVYVFRRDLKWCPTFAKLKTLLLDEWCVVGDLSALICFLQHSPILEKLTIQLQKAPTCLMDSEGQYNTSELPFVSNHLKIVEIECKEVNTWVWKILKTLTTYGIPLKQINIKQTSERNGSGCFNFVCTGLCLGWPFGSKHRAGVNIWDVVC >ONIVA11G06300.3 pep chromosome:AWHD00000000:11:5371759:5385534:-1 gene:ONIVA11G06300 transcript:ONIVA11G06300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAIGRGVLPTNHKRVEDATTQSKCEEACLSNCSCIAYAYEDSTCYAWHGELLNLRLQDSIESLSEDTLYLRLAAKDMPASTKNKRKPFPAAVTIASIIGFGLLMLLLLFLIWQNKLKCRGVPLHHTQGSSGIVAFRYIDLSHATKNFSKKLGDSTTIVVKRLDGLHQGEKHFKAEMRSLRLIQHINLVKLIGFCYEDDKRLLVYEHMINGPLDAHLFHSNGAIIDWSTRHQIAIGVARGLSYLHESCHECIIHCDIKPENILVEASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAVTPKVNVYSFGMKSYNEGGIYPKHTPATIIILIIFLCKPSANFMREVCRICLIQNYMVISIWKRLKGFVKLLVGASKKMKLIGQQWVKWFAFLRVYRRMTCPPCQDYLYLGLLLAISLHTPSCSTANDTLAAGQVLIVGEKLISRNGKFALGFFKPALPEGTANTYGNVISPGWYLAIWFNNIPVCTTVWVANRERPITEPELKLVQMKISEDGSSLVIINHATKSIVWSTQITNGTAQAKTGVNTSAILLDSGNLVIESLPDVYLWQSFDYPTDLVLPGAKIGWNKVTGLCRTCTSKKNLIDPGLGSYSVQLNSRGIILWHRDPYVEYWTWSSIQMTYTLMPLLNSLLTMNSEARGFLTPTYVNNDEEEYLMYHSSDESSSSFVSIDMSGQVKLNIWSQANQSWAEVHAEPWAQVYAQPPDPCTPFATCGPFGICNGNSEQFCDCMESFSQKSPQDWKLKDRSAGCIRNTPLDCPSNRSSTDMFQTIARVTLPANPEKLEDATTQSKCAEVCLSNCSCNAYAYKDSVCSVWHSELLNVKLRDNIESLSEDTLYLRLAAKDMPASTKNKRKPVIAVVTTASIVGFGLLMLVMFFLIWRIKFNCCGVPLHHNQGNSGIIAFKYTDLSHATKNFSEKLGSGGFGSVFKGVLSDSTTIAVKRLDGLHQGEKQFRAEVSSLGLIHHINLVKLIGFCYEGDKRLLVYERMINGSLDAHLFHSNGAAVRAVRTEAPPTPTPPAKQRRRRPDPRPSYCTAPASLSPRAAARASARLLDCTSTWSVVSRLFASRAHSVPFARHLFDGMPPKRKGLTSKKMAEAREEGGIDVLPDALLQHILSFLSADEAVKTSASYPAAGATPPLEIHAHPAYCQNGGQMGLGELRGFQQDCDDYCSNTYSGNCENCNGCLCMIDETGNDSAKCMLLGGLLEAKNLELIAEPEMSLLLNEWCVANNFWALACILKKSPVLENFTLQISKDTKSMIETEENYNVLVKPVVTSKHLKVVKVHCTEVDEGVYKIVKFLTTLNIESSVSRKIWVQILRKNLLRELAQALRQPKRVEEEQ >ONIVA11G06300.4 pep chromosome:AWHD00000000:11:5362693:5371398:-1 gene:ONIVA11G06300 transcript:ONIVA11G06300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKQKSLRSKRMAEASEEDGIDVLPDALLQHILSFLPVEDAVKTCVLSRRWRHLWKLTPILCITNTERWRSPKDFIKLVNHLVLFRGSSPLHKFEIKINSCAHWMIMSGDSNPFHCAIMWVMYALMCQVQVLTIKNMHAYIEMDDGFSLFSRHLTELNLSGLDFRKFVLNFSSCPALEYIYFSASCCFNSVEKILSQSVKYLTFDFPEFSEHHRTHIYAPNLITLRLDDCWGRVPFLESMPSLIAAFVRPHRDSDGLCSNTYSGNCEDEYCHGCYGMVDNAGNDSAKCVLLGGLSEAKKLELIAGPEMRIFRSDLRWCPLFSKLKSLLLNEWCVANNFWALACILEHSPVLLKLTLQISKETKPMIGAVENYSALVKPAAISKHLKVVKVHCKEVDEGVCETVKFLSTLDVVSSVSRKEIRVQVMMKDPLDELSMVITSVFLGMCFKPLILTNSDSISLPFRRSTRARGCISVPFGGAEATPRFHFHPHARQPFDGMPPLAPRKRKAVAAARGRKKKERVAPDRISALPDEVLHLVLSLLPVHDAVATCVLARRWLHLWKEAPGLSVEWWDYDEPGDRFISLVDRFFTLRSSSAPLNYCSININFPEFLPEKEQLFVRWIQRALRCQARVLRISLIDWVELPNMTLISQHLTRLELQGISGDDNFLDLSGCPSLVNLNMDTCCIYVDKLSSSSLKTLCLSQCQFSIEYHIWLCFPSLVSLELSYCPGRAPFLESMPSLLQAIVRFDDACEDKCQKSVSGGCDDDDDDYCFGCADEVVAGYGTNGMCLQGLSEATHLELSADPAVYVFRRDLKWCPTFAKLKTLLLDEWCVVGDLSALICFLQHSPILEKLTIQLQKAPTCLMDSEGQYNTSELPFVSNHLKIVEIECKEVNTWVWKILKTLTTYGIPLKQINIKQTSERNGSGCFNFVCTGLCLGWPFGSKHRAGVNIWDVVC >ONIVA11G06290.1 pep chromosome:AWHD00000000:11:5351639:5353253:-1 gene:ONIVA11G06290 transcript:ONIVA11G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRIRRALARRAAPEEVPDRVSALPDEALHAVLSLLPAHDAVRTCVLARRWRHLWEHAPALRVTDVEGWNPRLRGDGLGRFIRFVDGLFVSRRRCDAPLELCDLDFDFPEDKGKDWHVNRWIMLALLRHHARVLRISLPAYITLPDVPLISQRLTRLELDGVLGNDNILDFSCCPALIALKMKCCRINAEKMSSPSVKILSLASCEFYPATRTQMSFPSVVSLELDGCSGSVPFLESMPSLTDVTIVSLVTVATILALIAAIILTAANAFLEATHLELSAEPAMYVFRRDLNLLLACHTFAKLKTLALGEWCMTHDLSALIRFLQQSPILEKLTIKIPEEPKCSMDAGQQKIPEEPFVSNHLKIVEIKCKGKEVMWVCKFLKTLGTFGIPLEKINIKLTSEHCRSECELI >ONIVA11G06280.1 pep chromosome:AWHD00000000:11:5336428:5339582:-1 gene:ONIVA11G06280 transcript:ONIVA11G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPEDVLHHVLSLLPSRDAVRTCVLAQRWRDLWRSVPAVRVAGARGWARADAFVLFVDRLLRLRRGRAALDTCDLDLRFDGPFPGGERHGNRWIRRALRRQVRVLRFLLSTVPRVPLPLPDSPLVSDSLTTLELKGVLGNNQVLDFSSCPSLVDLKMEDCYVGGLEMWSPTYLFNRDLKCCSTFSKLKNLVLNAWFVAPDISALTWFLQYAPLLERLTLKVSEVPNNLVETEGSYSQLEQSFAASHLQIVEIKCKEVHGIILKILEVLNANGIPLEKISIRCSGRASQKLLLTTALKLPITPD >ONIVA11G06270.1 pep chromosome:AWHD00000000:11:5332481:5336553:1 gene:ONIVA11G06270 transcript:ONIVA11G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPDDVIHHVLSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGDRGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWIRRALRRQVRALRFAVSTHPRVPIPLSDSPLVSHSLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSITYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAVRLDHFCHDRCANGWYDDCGDAGCKGCHDYYRPDEYDCVFLEGLTEATDLTLLAYSKVYLFNRDLKWCSTFSKLKTLFLNAWFVAPDLSALAWFLQHAPLLERLFLRVSKVPKNLVGMDGSFNQLEQPFAASHLQIVEIYCREVDGIILKILKVLNANGVPLEKISIRCSGCELSMNTHASYYAVLLILCVLVSTPRINKTQAVHGHKYVFDGTDIVSLALKGSQFSTYVMYH >ONIVA11G06270.2 pep chromosome:AWHD00000000:11:5332481:5336553:1 gene:ONIVA11G06270 transcript:ONIVA11G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPDDVIHHVLSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGDRGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWIRRALRRQVRALRFAVSTHPRVPIPLSDSPLVSHSLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSITYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAVRLDHFCHDRCANGWYDDCGDAGCKGCHDYYRPDEYDCVFLEGLTEATDLTLLAYSKVYLFNRDLKWCSTFSKLKTLFLNAWFVAPDLSALAWFLQHAPLLERLFLRVSKVPKNLVGMDGSFNQLEQPFAASHLQIVEIYCREVDGIILKILKLLILCVLVSTPRINKTQAVHGHKYVFDGTDIVSLALKGSQFSTYVGVSGAFTDSTLRQY >ONIVA11G06270.3 pep chromosome:AWHD00000000:11:5332481:5336553:1 gene:ONIVA11G06270 transcript:ONIVA11G06270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPDDVIHHVLSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGDRGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWIRRALRRQVRALRFAVSTHPRVPIPLSDSPLVSHSLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSITYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAVRLDHFCHDRCANGWYDDCGDAGCKGCHDYYRPDEYDCVFLEGLTEATDLTLLAYSKVYLFNRDLKWCSTFSKLKTLFLNAWFVAPDLSALAWFLQHAPLLERLFLRVSKVPKNLVGMDGSFNQLEQPFAASHLQIVEIYCREVDGIILKILKLLILCVLVSTPRINKTQAVHGHKYVFDGTDIVSLALKGSQFSTYVMYH >ONIVA11G06270.4 pep chromosome:AWHD00000000:11:5332481:5336553:1 gene:ONIVA11G06270 transcript:ONIVA11G06270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPDDVIHHVLSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGDRGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWIRRALRRQVRALRFAVSTHPRVPIPLSDSPLVSHSLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSITYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAVRLDHFCHDRCANGWYDDCGDAGCKGCHDYYRPDEYDCVFLEGLTEATDLTLLAYSKVYLFNRDLKWCSTFSKLKTLFLNAWFVAPDLSALAWFLQHAPLLERLFLRVSKLLILCVLVSTPRINKTQAVHGHKYVFDGTDIVSLALKGSQFSTYVGVSGAFTDSTLRQY >ONIVA11G06270.5 pep chromosome:AWHD00000000:11:5332481:5336242:1 gene:ONIVA11G06270 transcript:ONIVA11G06270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPDDVIHHVLSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGDRGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWIRRALRRQVRALRFAVSTHPRVPIPLSDSPLVSHSLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSITYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAVRLDHFCHDRCANGWYDDCGDAGCKGCHDYYRPDEYDCVFLEGLTEATDLTLLAYSKVYLFNRDLKWCSTFSKLKTLFLNAWFVAPDLSALAWFLQHAPLLERLFLRVSKVPKNLVGMDGSFNQLEQPFAASHLQIVEIYCREVDGIILKILKLLILCVLVSTPRINKTQAVHGHKYVFDGTGVSGAFTDSTLRQY >ONIVA11G06260.1 pep chromosome:AWHD00000000:11:5328673:5330389:1 gene:ONIVA11G06260 transcript:ONIVA11G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMEDVVVLIVGAGPAGLATAACLAQRHVPYIIVERESSTASLWRHRAYDRLKLHLAKEFCELPHMAYPAGTPTYVPRDMFVEYLDSYADQFGIRPRYHTAVESAIYDRGKNQWVVLVRDMDTSVVARLATQFLVVAAGENSVASIPPIPGLSRFEGEAIHSSAYKSGRAYTGKSVLVVGAGNSGMEIAYDLATHGAHTSIVVRSPVHIMTKELIWYGMTMVQNLGLNVTTVDSLLVMAANFYFGDLSEHGIMRPKIGPLLLKSQTGRSAVIDVGTARLIKGGVIKVFQGISKINTNSIEFHGGRQNSFDAIVFATGYKSTVNAWLKNGESMFKDDGFPKNYFPNHWRSENGLYCAGFARRGLAGIAMDAKNIANDIVAAMDKMSC >ONIVA11G06250.1 pep chromosome:AWHD00000000:11:5327321:5327824:1 gene:ONIVA11G06250 transcript:ONIVA11G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVDAGDALFCGGVEPPTLTAVSNLAATTADAAVSDAAELALLDAPVPTTFPADASDAEAALARFINSLGKKIFQAEDSLTEGYDKLRLSAYDALGAWRKSVDGVVGGLTASVDSTKKQASGGVLARVPLLVLNPARPCPHALALPSLRRWKVRPRCLLLLLLRRS >ONIVA11G06240.1 pep chromosome:AWHD00000000:11:5320634:5324563:-1 gene:ONIVA11G06240 transcript:ONIVA11G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEDRISALPEDLLHQVLSLLPSRDAVRTCVLARRWRDLWRSVPAVRVVGPRGWATADAFARFVDRLLRLRRGGAPLDTCVFDLDLDEPSPGEERRGNRWIRSALRHHARVLRFIIFVNSRNSFQIFDEHLVSQNLTFLELQGVRASNRVLDFTSCPALLDLKMHGCQMDALEMCSPSVKHLIIMFCSFFTNHRFWISFPSLVSFFFDKNSGRAPRLDGMPSLATATVRLGYGCDDQCLNGFYNDCEDDECMACRDYDGHDECVFLKGLTEATELKLLAFPKVYLFNRDLELCPPFSKLKTLVLKSWFVPPDLSALTWFLQHAPLLEKLTLNLSKVPNNLGVMDDSYKPWEQSFAASHLQKVGIECKNVDGIVLKILKILNANGVLVLCVLASSPRIIKTVHGSSMSFMEQVSVVLPWIRLFSSAEC >ONIVA11G06230.1 pep chromosome:AWHD00000000:11:5314298:5318331:-1 gene:ONIVA11G06230 transcript:ONIVA11G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEEVVVLIVGAGPAGLATAACLAQRHVPYVIVERESCTASLWRHRAYDRLKLHLAKEFCELPHMAYPMGTPTYVPRDMFVEYLDSYTDQFGIRPRYHTAIESAIYDGGKNRWSVLARDTDTSVVTRLTAQFLVVATGENSAASIPPVPGLTKFEGEAIHSSAYKSGRAYTGKNVLVVGAGNSGMEIAYDLATHGAHTSIVVRSPVHIMTKELIRFGMTVVQNLGLTVTTADSLLVMAANFYFGDLSKHGITRPKIGPLLLKSQTGRSAVIDVGTARLIKGGVIKVFQGITKINTNSIEFHGGKQIPFDAIVFATGYKSTVNTWLKRIIYFFHYYKKDNPSLSAALQNGESMFKDDGFPKKFFPNHWKGENGLYCAGFARRGLAGIAMDAKNIADHIVATMDQVSC >ONIVA11G06220.1 pep chromosome:AWHD00000000:11:5305747:5308969:1 gene:ONIVA11G06220 transcript:ONIVA11G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 69 [Source:Projected from Arabidopsis thaliana (AT4G33450) TAIR;Acc:AT4G33450] MASSSTTNTSDGAGKPASSSSSACPRGHWRPGEDEKLRQLVEKYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLAAHRHHGNKWALIARHFPGRTDNAVKNHWHVVRARRSRERSRLLARAAAAAAHPPPFSSYASQLDFSGGGASSGARNSSLCFGFGMINRSSSSSSSPAAAPFLIKSFNGTSYGTLLPATTSMAAAAQPVSTITFSSTPMRETLELMDAGGHENHGDVDGGGDKRKGVPYFDFLGVGV >ONIVA11G06210.1 pep chromosome:AWHD00000000:11:5293195:5295795:-1 gene:ONIVA11G06210 transcript:ONIVA11G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLIVCGSFSSKFTPLWRQPRGVPSPIHGYYAGYASLRTPHVEAIRHCATGEICPARVVGRVDERKQAIEFLLHIDKNGSSSPDGCVLPIWGVKGVGKTTIARLVCDDRKVRSHFSMIIWVNCREYTSPAMALVKSLCKKLGLSSNVTINLSSVIHGISERLRMERFLLVLDGASSYPRGMNGILDTLFMKSRAGSKAIITTMYQHLATKINKHENLPVGFLAMEDLGCMFMENALGGAHPEEYQKLLVIGKKIAVTLRVCSPLAAKVVSGLLRENLNEKYWYIVLNRCQQFVASSSRFVTPFILGSSSQTPATLLWGVGYIPSLDLHKGGMISYWMNNCVVVSENCMKNSIENVATDYFDDLVRKAFIQPSHIPGLYKVDDMLRDIALYIGPMPVPKTRIHLANLIGEGFSIHERVPAPSADKVYKKIKVLNNHGEY >ONIVA11G06200.1 pep chromosome:AWHD00000000:11:5291161:5292453:-1 gene:ONIVA11G06200 transcript:ONIVA11G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRGRVKHALRGADAAFRVLAGGRRGIHFVPGPTEDEEEEHATPPSRRWYRAAYARLLRLAGSLRGVERGDGGGPRHAETGSVVADARRVADRVAEFDALAARYLAAGQRAPPLKATSLSSLTRVCDVLGVSAQLRKSVRLAICPQLTQHHIWRGALEEVLRDLRADMAALGHPSPATQMADQIAAACVHFLSDTADEATSSSPSWMRPTPFNKPANSPPPPPAKTWQEVLDMFTDLAKSLDADARLAGHADKVAAMKEGLYQIRNVFVERDIAFKEARRQDCLVQKKLSKSLGHSSKCLYTLLLFYLYGNVRDVEVHAGKRLSGKGGKRVTVHAAKFLIDGDEPAIRNAVKQLSRAIGVFRFVWEAAHADNGDHANGNGKGGAMAKKGHGDDAKGLLKLQGHIWGLGVEEKEVTYRGDVFHVHQIQLP >ONIVA11G06190.1 pep chromosome:AWHD00000000:11:5286359:5292117:1 gene:ONIVA11G06190 transcript:ONIVA11G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWKRGKGKKGKGGSAAAGEEEEGRRKAGSFDEALLVGKQSREEKEVVVVGHPLPRPASLPAPSAASSSAAGSASASSAGSSSLGSSAASDEPPDLGTYRVPDASYVLPGRTLAIDSRRQNLAVEEGRFFANNQGLEHPRLSETSVSPRKESYLHNLDLSNDRSAYCHGRKSTEIVFSTRVPISPPGSKGQIPCPTSPVQSRAFGQCPGSPTARQDDSRSSSSPHPLPRPPGSPCSSSRVVSSQWKKGKLLGSGTFGQVYQGFNSEGGQMCAIKEVKVISDDSNSKECLRQLHQEIVLLSQLSHPNIVQYYGSDLSSETLSVYLEYVSGGSIHKLLQEYGAFGEAVLRNYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGDIKLADFGMAKHISAHTSIKSFKGSPYWMAPEVIMNTNGYSLSVDIWSLGCTIIEMATARPPWIQYEGVAAIFKIGNSKDIPDIPDHLSFEAKNFLKLCLQRDPAARPTAAQLMEHPFVKDLVANRSFRSGMTRDTFPTSFDGKGAMVQTSNRSLSPLRDPDLTMRNLQVPTSAIPSISTRRISAINPSNVRMNMSLPVSPCSSPLRQYRQSNRSCMPSPPHPAYSAGAANYSPINNALYPKRPSNHVPDPWLEISQLKAPPFDSPRRL >ONIVA11G06180.1 pep chromosome:AWHD00000000:11:5256363:5265028:1 gene:ONIVA11G06180 transcript:ONIVA11G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPNPMGPGQPVGAASLLRTSSSLLSGGQQGMGSGGGMLPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGPGSMPGGGGLPMNTLQQQRGGLDGAVDLVGAGGSDSLSFPSSSQVSLGNQLGSDNLHPPPQHQQQQQHLDAMQDLQHQHQHQQQLPMSYNQQQLPPQPPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSTAQMMRNASNVKIEPPQLQALRSLSAVKMEQQSSDPSAFLQQQQQQQHLLQLTKQNPQAAAAAQLNLLQQQRILQMQQQQQQQQQILKNLPLQRNQLQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFSPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYVDMPRESQNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGGVNPQQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSTPSTSFPSPVPTTSNNNMMPAPQNTNQLSSPTASSNLPPMQPPATRPQEPDPNESQSSVQRILQDLMMSPQMNGVGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGGMGFGAMGGLGPNHAASGLRTAIANNAMAISGRMGMNHSAHDLSQLGQLQQQQQHQQQQQQQQQHDLGNQLLSGLRAANSFNNLQYDWKPSQ >ONIVA11G06180.2 pep chromosome:AWHD00000000:11:5256363:5265028:1 gene:ONIVA11G06180 transcript:ONIVA11G06180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPNPMGPGQPVGAASLLRTSSSLLSGGQQGMGSGGGMLPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGPGSMPGGGGLPMNTLQQQRGGLDGAVDLVGAGGSDSLSFPSSSQVSLGNQLGSDNLHPPPQHQQQQQHLDAMQDLQHQHQHQQQLPMSYNQQQLPPQPPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSTAQMMRNASNVKIEPPQLQALRSLSAVKMEQQSSDPSAFLQQQQQQQHLLQLTKQNPQAAAAAQLNLLQQQRILQMQQQQQQQQQILKNLPLQRNQLQQQQQQQQQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFSPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYVDMPRESQNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGGVNPQQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSTPSTSFPSPVPTTSNNNMMPAPQNTNQLSSPTASSNLPPMQPPATRPQEPDPNESQSSVQRILQDLMMSPQMNGVGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGGMGFGAMGGLGPNHAASGLRTAIANNAMAISATAAAAAAAT >ONIVA11G06180.3 pep chromosome:AWHD00000000:11:5256363:5264677:1 gene:ONIVA11G06180 transcript:ONIVA11G06180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPNPMGPGQPVGAASLLRTSSSLLSGGQQGMGSGGGMLPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGPGSMPGGGGLPMNTLQQQRGGLDGAVDLVGAGGSDSLSFPSSSQVSLGNQLGSDNLHPPPQHQQQQQHLDAMQDLQHQHQHQQQLPMSYNQQQLPPQPPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSTAQMMRNASNVKIEPPQLQALRSLSAVKMEQQSSDPSAFLQQQQQQQHLLQLTKQNPQAAAAAQLNLLQQQRILQMQQQQQQQQQILKNLPLQRNQLQQQQQQQQQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFSPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYVDMPRESQNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGGVNPQQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSTPSTSFPSPVPTTSNNNMMPAPQNTNQLSSPTASSNLPPMQPPATRPQEPDPNESQSSVQRILQDLMMSPQMNGVGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGGMGFGAMGGLGPNHAASGLRTAIANNAMAISGRMGMNHSAHDLSQLGQLQQQQQHQHQHQHQHQQQQQQQQQQQHDLGNQLLSGLRAANSFNNLQYDWKPSQ >ONIVA11G06180.4 pep chromosome:AWHD00000000:11:5256363:5264677:1 gene:ONIVA11G06180 transcript:ONIVA11G06180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPNPMGPGQPVGAASLLRTSSSLLSGGQQGMGSGGGMLPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGPGSMPGGGGLPMNTLQQQRGGLDGAVDLVGAGGSDSLSFPSSSQVSLGNQLGSDNLHPPPQHQQQQQHLDAMQDLQHQHQHQQQLPMSYNQQQLPPQPPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSTAQMMRNASNVKIEPPQLQALRSLSAVKMEQQSSDPSAFLQQQQQQQHLLQLTKQNPQAAAAAQLNLLQQQRILQMQQQQQQQQQILKNLPLQRNQLQQQQQQQQQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFSPNAKKRWMYGIVRYAIGSLGEALNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGGVNPQQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSTPSTSFPSPVPTTSNNNMMPAPQNTNQLSSPTASSNLPPMQPPATRPQEPDPNESQSSVQRILQDLMMSPQMNGVGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGGMGFGAMGGLGPNHAASGLRTAIANNAMAISGRMGMNHSAHDLSQLGQLQQQQQHQHQHQHQHQQQQQQQQQQQHDLGNQLLSGLRAANSFNNLQYDWKPSQ >ONIVA11G06180.5 pep chromosome:AWHD00000000:11:5256363:5257055:1 gene:ONIVA11G06180 transcript:ONIVA11G06180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGCSGSSALLNSADGFCACFFVFGVDLVVVLLRSVLLLREGEVGFGARWCWFSVGFLGDGV >ONIVA11G06170.1 pep chromosome:AWHD00000000:11:5237270:5245502:1 gene:ONIVA11G06170 transcript:ONIVA11G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSGPLNPMGPGQPVGAAASLLRTSSSLLSGGQQGMGSGGGMIPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGPGLMPGGGGIPMNTLQQQRGGLDGAGDLVGVGGSDPLSFPSSSQVSLGNQLGSDNLHQPPQHQQQQQQLDAMHDLQQQQQHQQLPMSYNQQHLPPQPPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSSAQMMRHASNVKIEPQQLQALRSLSAVKMEQPTSDPSAFLQQQQQQQHLLQLTKQNPQAAAAAQLNLLQQQRILQMQQQQQQQQQQILKNLPLQRNQLQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMITILSTGEILSMSIFLQMLKRGGVYLSMEVVAKLLEFSLRMYGIVRYAIGSLGEALTTVEVLPRLCQIKYASGTLEELLYVDMPRESQNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGVSPHQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSNPSTSFPSPMPTTSNNNMMPAPQSTNQLSSPTTSSNLPPMQPPATRPQEPEPNESQSSVQRILQDLMMSPQMNGIGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGFGAMGGLGPNHAASGLRTAMVNNAMAISGRMGMNHSAHDLSQLGQLQQQQQHQQQQQQQQHDLGNQLLNGLRAANSFNNLQYDWKPSQ >ONIVA11G06170.2 pep chromosome:AWHD00000000:11:5237270:5245623:1 gene:ONIVA11G06170 transcript:ONIVA11G06170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSGPLNPMGPGQPVGAAASLLRTSSSLLSGGQQGMGSGGGMIPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGPGLMPGGGGIPMNTLQQQRGGLDGAGDLVGVGGSDPLSFPSSSQVSLGNQLGSDNLHQPPQHQQQQQQLDAMHDLQQQQQHQQLPMSYNQQHLPPQPPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSSAQMMRHASNVKIEPQQLQALRSLSAVKMEQPTSDPSAFLQQQQQQQHLLQLTKQNPQAAAAAQLNLLQQQRILQMQQQQQQQQQQILKNLPLQRNQLQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMITILSTGEILSMSIFLQMLKRGGVYLSMEVVAKLLEFSLRMYGIVRYAIGSLGEALTTVEVLPRLCQIKYASGTLEELLYVDMPRESQNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGVSPHQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSNPSTSFPSPMPTTSNNNMMPAPQSTNQLSSPTTSSNLPPMQPPATRPQEPEPNESQSSVQRILQDLMMSPQMNGIGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGFGAMGGLGPNHAASGLRTAMVNNAMAISGRMGMNHSAHDLSQLGQLQQQQQHQQQQQQQQHDLGNQLLNGLRAANSFNNLQYDWKPSQ >ONIVA11G06170.3 pep chromosome:AWHD00000000:11:5237270:5245623:1 gene:ONIVA11G06170 transcript:ONIVA11G06170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSGPLNPMGPGQPVGAAASLLRTSSSLLSGGQQGMGSGGGMIPSQSPFSSLVSPRTQFGANGLLGGGSNVSSLLNRPFGNGGHMLGPGLMPGGGGIPMNTLQQQRGGLDGAGDLVGVGGSDPLSFPSSSQVSLGNQLGSDNLHQPPQHQQQQQQLDAMHDLQQQQQHQQLPMSYNQQHLPPQPPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSSAQMMRHASNVKIEPQQLQALRSLSAVKMEQPTSDPSAFLQQQQQQQHLLQLTKQNPQAAAAAQLNLLQQQRILQMQQQQQQQQQQILKNLPLQRNQLQQQQLLRQQSLNMRTPGKSAPYEPGTCAKRLTHYMITILSTGEILSMSIFLQMLKRGGVYLSMEVVAKLLEFSLRMYGIVRYAIGSLGEALNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGVSPHQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSNPSTSFPSPMPTTSNNNMMPAPQSTNQLSSPTTSSNLPPMQPPATRPQEPEPNESQSSVQRILQDLMMSPQMNGIGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGFGAMGGLGPNHAASGLRTAMVNNAMAISGRMGMNHSAHDLSQLGQLQQQQQHQQQQQQQQHDLGNQLLNGLRAANSFNNLQYDWKPSQ >ONIVA11G06160.1 pep chromosome:AWHD00000000:11:5215903:5220657:-1 gene:ONIVA11G06160 transcript:ONIVA11G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVDGGSWEDMLRRILPPGSPIPEAPPNLDYSIALEYDGPPVAYDLPRVDPVEIPTAEPVSGPQRLGNGGGLPVVEPIPLPVSRIARCAEPVSAQVGGGSSDSVNSVLQNEEFDDDDDDSRSLSHDSAQSSPGPQDRSRRTQEGRRGATVTFGFTPDSKCESKEFEEMSEQYVAVTKKEKRRRACYRCGKRKWESKEACIVCDARYCGYCVLRMMGSMPEGRKCVTCIGQPIDESKRSKLGKNSRTLSRLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLGPDELTDLLSCSRPPQKLKPGKYWYDKESGLWGKEGEKPERIISSNLSFTGKLQANASNGNTQVYINGREITKIELKILKVANVQCPRDTHFWVYDDGRYEEEGQNNIKGKIWESALTRFACALFSLPVPPGNSNETRDEVHYSARTVPDYLDQKRIQKLLLLGPDGAGTSTIFKQAKYLYGTRFTQEELENIKLIIQSNMFKYLGILLEGRERFEEEALSRSNCTISEDEETQQDENKANGSNSCIYSINPRLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDVIFAEGVTQGNGLAFIEFALDDRSPMSEPYIENPEAHSQPLTKYQLIRVSAKGMNDGCKWVEMFEDVRMVIFSVALSDYDQVGPPVYGSSRPLLNKMMQSKELFEATIRQPCFCDTPFVLVLNKYDLFEEKINRAPLTTCEWFNDFSPVRTHHNNQSLAQQAYYYVAVKFKDLYYEHTGRKLFVWQARARDRQTVDEAFKYVREILRWEDEKEENLYGGGEDSFYSTTEMSSSPFIRAE >ONIVA11G06150.1 pep chromosome:AWHD00000000:11:5208281:5212364:1 gene:ONIVA11G06150 transcript:ONIVA11G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDIGELLPPWTSPSKIELPFRVAAYITLYESSSFTFNALLRSPFLATAHCAHNTLAPIQSGVMRLIAAFPRRPAAGDAMLHLRQCLLPLLRAAAAAHPHTSAASSSSLHLSRRLFSTAAGPDTAPPFSVEEYLVATCGLTGAQALKASKKLSHLRSPAKPDAVLAVLSGVGLSRADLAAVVAADPMLLCARARNVARRLHSLRDRVGLSDADVARFLLAGGAMGLRKCDIAPRLEFWIGFVGSFDKLLPALKGNNGILMSDLDKVVKPNIALLQECGLSVCEIAKLSTLKWTVLSLSPERVKASVLCVEKLVVPRSSDRFKHVLKSACWISEDMLAMKMEFLRSTLGCSEDKLRAAVCISPHIFYLSDKNLCRKIDFLISEVGLEREFIVERPWVLGYSLEKRMVPRHSVMKILRTMGLMKDAVDFSSSLVYSEKKFVARYIDPYKQAAPTLADSYAAACAGKMPAHKPSKMTKHVTFDRFAT >ONIVA11G06150.2 pep chromosome:AWHD00000000:11:5208281:5210919:1 gene:ONIVA11G06150 transcript:ONIVA11G06150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDIGELLPPWTSPSKIELPFRVAAYITLYESSSFTFNALLRSPFLATAHCAHNTLAPIQSGVMRLIAAFPRRPAAGDAMLHLRQCLLPLLRAAAAAHPHTSAASSSSLHLSRRLFSTAAGPDTAPPFSVEEYLVATCGLTGAQALKASKKLSHLRSPAKPDAVLAVLSGVGLSRADLAAVVAADPMLLCARARNVARRLHSLRDRVGLSDADVARFLLAGGAMGLRKCDIAPRLEFWIGFVGSFDKLLPALKGNNGILMSDLDKVVKPNIALLQECGLSVCEIAKLSTLKWTVLSLSPERVKASVLCVEKLVVPRSSDRFKHVLKSACWISEDMLAMKMEFLRSTLGCSEDKLRAAVCISPHIFYLSDKNLCRKIDFLISEVGLEREFIVERPWVLGYSLEKRMVPRHSVMKILRTMGLMKDAVDFSSSLVYSEKKFVARYIDPYKQAAPTLADSYAAACAGKMPAHVHR >ONIVA11G06140.1 pep chromosome:AWHD00000000:11:5207030:5208173:1 gene:ONIVA11G06140 transcript:ONIVA11G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYTVLLKTVKRSYRILTSDIEEVIKPNFAQLQECGLTVCDIVKTNPRLLSFNPERIKRYVHRADMLGVPRCSPAFRMAVCSTNEGSVTARMEFLSRTLGCSMDNILIAVGKRPTILGLSMDNLRRKIEFLVTEVGLKLECIVECLGILRYSLEKRMVPRHSVMEILRARGLMKKGASLYGLIMQGEADFVARYIDTHKDMVHGLADAYNASCFGKMPVVPDSTVKKRHGSTS >ONIVA11G06130.1 pep chromosome:AWHD00000000:11:5205904:5206598:-1 gene:ONIVA11G06130 transcript:ONIVA11G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPNMSSFLHMFVGDDSNDVGDEMDVLDGEEQCLTVVSTVIKLVIGAEGYSHLGRPDTQWHLRDELLDGDGRDTERKNSHPNTTGIVTFAEAGARCGELDGGQPWVAALGEGDVDASHSKHNGMCGHAVVRAAEEISGHAGGAW >ONIVA11G06120.1 pep chromosome:AWHD00000000:11:5202025:5203401:-1 gene:ONIVA11G06120 transcript:ONIVA11G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRRRLLPLLRVPSSPHASASYYAHLRRLRLPLSTAAAATATPFSAEDYLVATCGLTGDQALKASKKISHLRSAANPDAVLAVLSGVGLSRADLAAVVASDPHLLCARPDNVSRRVTSLRDRVGLSDPQIGRFLLAGGAMAVRKCDVAERLEFWIPFLGGSFETLLKMLRRNNAIVRADVEKVIKPNIALFQESGLTVRDIVKMPGWLFTFNPKRVEAAVERTGKLGVELASSRLKYMLSIAGNITEGNASARMKYLSSTLNCSMDKVEYMVGKMPTIITLSEEKLRSKIEFLSSTLNCCVDKIGHMVCKEPFILAISEEKLRINTEFLSSALGCSIDNICVMVYKMPSILGLSVNNLCRKIEFLVTKVGLEPDYILSKPVLFACSLEKRLMPRHYIVEVLLAKGLIKNAGFLTYAILREKDFVARYIDQHKNAVPGLADAYATICSGKVPPELQP >ONIVA11G06110.1 pep chromosome:AWHD00000000:11:5191598:5202009:-1 gene:ONIVA11G06110 transcript:ONIVA11G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFISASRRRRLLLSTAARSAAATPFSVEEYLVDTCGLTGAQALKASKKLSHLRSPAKPDAVLAVLSGVGLSRADLAAVVAAEPQLLCARADNIARRIASLRDRVGLSDPQIGSFLLVAGGAKGIHACDVAPRLEFWIPFLGSFETLLRILKGNNVLVLSDLEKVIKPNIALLQECGLTVCDIAKMARFAPRMFTSNPKQVEGFVRRADELGVPRTSGQFKYMVGIFANISEGSATARMEYLSRSLGCSMDKLRSAVQKLPQILGLSETNLGSKIEFLVGKVRLEPEYLLKTPKLFTYSLEKRLVARHYIVQVLAAKGLKGLKKDVPFCSYVQLGESCFVKNFIDQHENVVPGLSDAYAALRAGKVPPEMELLSSTLGCSMDELRYAICKSPQVLGFSETKLRAKIRACALLAFSLEKRLVPRHYVAEALAVYYLPAYIANATLHFLRLSVDEDADGVGCLRPLQRLEKTGLAG >ONIVA11G06110.2 pep chromosome:AWHD00000000:11:5191598:5202009:-1 gene:ONIVA11G06110 transcript:ONIVA11G06110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFISASRRRRLLLSTAARSAAATPFSVEEYLVDTCGLTGAQALKASKKLSHLRSPAKPDAVLAVLSGVGLSRADLAAVVAAEPQLLCARADNIARRIASLRDRVGLSDPQIGSFLLVAGGAKGIHACDVAPRLEFWIPFLGSFETLLRILKGNNVLVLSDLEKVIKPNIALLQECGLTVCDIAKMARFAPRMFTSNPKQVEGFVRRADELGVPRTSGQFKYMVGIFANISEGSATARMEYLSRSLGCSMDKLRSAVQKLPQILGLSETNLGSKIEFLVGKVRLEPEYLLKTPKLFTYSLEKRLVARHYIVQVLAAKGLKGLKKDVPFCSYVQLGESCFVKNFIDQHENVVPGLSDAYAALRAGKVPPEMELLSSTLGCSMDELRYAICKSPQVLGFSETKLRAKIRACALLAFSLEKRLVPRHYVAEALAVKGLIRKGLDFYHCVYYLPAYIANATLHFLRLSVDEDADGVGCLRPLQRLEKTGLAG >ONIVA11G06110.3 pep chromosome:AWHD00000000:11:5191598:5202009:-1 gene:ONIVA11G06110 transcript:ONIVA11G06110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFISASRRRRLLLSTAARSAAATPFSVEEYLVDTCGLTGAQALKASKKLSHLRSPAKPDAVLAVLSGVGLSRADLAAVVAAEPQLLCARADNIARRIASLRDRVGLSDPQIGSFLLVAGGAKGIHACDVAPRLEFWIPFLGSFETLLRILKGNNVLVLSDLEKVIKPNIALLQECGLTVCDIAKMARFAPRMFTSNPKQVEGFVRRADELGVPRTSGQFKYMVGIFANISEGSATARMEYLSRSLGCSMDKLRSAVQKLPQILGLSETNLGSKIEFLVGKVRLEPEYLLKTPKLFTYSLEKRLVARHYIVQVLAAKGLKGLKKDVPFCSYVQLGESCFVKNFIDQHENVVPGLSDAYAALRAGKVPPEMELLSSTLGCSMDELRYAICKSPQVLGFSETKLRAKIRACALLAFSLEKRLVPRHYVAEALAVKGLIRKGLDFYHCVCMRDEVFVAKYIDHYEDALPGLADAYAAVRAGKLPAQVYYLPAYIANATLHFLRLSVDEDADGVGCLRPLQRLEKTGLAG >ONIVA11G06110.4 pep chromosome:AWHD00000000:11:5192675:5202009:-1 gene:ONIVA11G06110 transcript:ONIVA11G06110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFISASRRRRLLLSTAARSAAATPFSVEEYLVDTCGLTGAQALKASKKLSHLRSPAKPDAVLAVLSGVGLSRADLAAVVAAEPQLLCARADNIARRIASLRDRVGLSDPQIGSFLLVAGGAKGIHACDVAPRLEFWIPFLGSFETLLRILKGNNVLVLSDLEKVIKPNIALLQECGLTVCDIAKMARFAPRMFTSNPKQVEGFVRRADELGVPRTSGQFKYMVGIFANISEGSATARMEYLSRSLGCSMDKLRSAVQKLPQILGLSETNLGSKIEFLVGKVRLEPEYLLKTPKLFTYSLEKRLVARHYIVQVLAAKGLKGLKKDVPFCSYVQLGESCFVKNFIDQHENVVPGLSDAYAALRAGKVPPEMELLSSTLGCSMDELRYAICKSPQVLGFSETKLRAKIRACALLAFSLEKRLVPRHYVAEALAVKGLIRKGLDFYHCVCMRDEVFVAKYIDHYEDALPGLADAYAAVRAGKLPAQVYYLPAYIANATLHFLRLSVDEAHS >ONIVA11G06100.1 pep chromosome:AWHD00000000:11:5187630:5190318:-1 gene:ONIVA11G06100 transcript:ONIVA11G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQKKAKESPGSTGGDRIGDLPDEVLHHVLSFLPAQEAVRTCLLARRWRHLWKSATGVRIGEGETDPGSVKDHQEFLDHLLVLRDSAPMETCVLRFSEHSKELIEDAARLNFWFKHALLRKVRFLQLENWEFYDPVPIDELPLVSRHLTRLQLYGISLNNSFLNFSSCPALEHLLFEFCFFECAKISSNSVKRLSITCCSFNATLRVCVDVPSLVSLLLDEFDNRAPVLERMPSLVDAFVRIFFYTKDFCSESNSGDCSHEGCESCYGIKDNKCVLLEGLSNAKTLVLINKQKSFIFRRDLKWCPTFTKLKTLLLNEYWCVPDDYSALACILEHSPVLENLILQIYSEGPEHIMKINGNCSSVDRSAAISAHLEIVEIRCEMIDNFVDEVLKYLSTFNILYVDVAILNSSLLLALIAPMSFAMWTIFNFEEIGIFDDDEDEDEDRDGDDDEDSYEDDDDKDEDEDSYEDDDDEDEDEDSYQEGNEDDNEDA >ONIVA11G06090.1 pep chromosome:AWHD00000000:11:5184398:5190733:1 gene:ONIVA11G06090 transcript:ONIVA11G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRCDERRNRRIGVEMEKTTGSQTQSLTEEMKTAKEHIQAFSPMVAGVGAEHEPLGPTRAEPPITNRNEGSPGQTLSSSRRHSPPPAASGQENARSTAWGSKISTYANGGRPDEEPGRAGERGSVGQGGWQLEAAARARKIGGIAGSEEVSLDQFEAARRERVRWRNGGD >ONIVA11G06090.2 pep chromosome:AWHD00000000:11:5183044:5190733:1 gene:ONIVA11G06090 transcript:ONIVA11G06090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVADFQRWSHRRASRHVRTASCAGRKESTWWSTSSGRVPYAVSPGRGRRFLGHLLRRHSVEQVAGVGAEHEPLGPTRAEPPITNRNEGSPGQTLSSSRRHSPPPAASGQENARSTAWGSKISTYANGGRPDEEPGRAGERGSVGQGGWQLEAAARARKIGGIAGSEEVSLDQFEAARRERVRWRNGGD >ONIVA11G06090.3 pep chromosome:AWHD00000000:11:5177482:5181299:1 gene:ONIVA11G06090 transcript:ONIVA11G06090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKLLLLLHTPQIALVLANAQPRGGLPELTHLVKQERARGIAGAAASGGSSDLGGRGDEEARRRQVEEEMRELHEGY >ONIVA11G06090.4 pep chromosome:AWHD00000000:11:5177482:5184340:1 gene:ONIVA11G06090 transcript:ONIVA11G06090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSSSSYQLNLSTQVSRGALSRSTSRWSRNSCCSFTLPRLLSSSPTRSPVADFQRGGAIAGLAGTSGQPPAPVGRRARGGAPRPGGSPMRSPPVEGGDSLAIFCGDIPSNRLRASPRRPHWRSKNRSGDTRSAKS >ONIVA11G06080.1 pep chromosome:AWHD00000000:11:5156669:5181116:-1 gene:ONIVA11G06080 transcript:ONIVA11G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKKRNERESPNFRKWKRRHGAGPPVGVTALKTHQPLSSPPRPVVFSPLRLLVRPNPSSLRWRRRRRFLARAPGGADARRLFGGMSARKEAKGSAGGDRIGALPDEVLHRVLSFLPAQDAVRTCVLAPRWRHLWKSATGLRVGEDESNLGSVKEQQEFLDHLLVLRDSAPLETCVLRFNWYDDDDFEDIFRLNVWFRYAIHRKVRFLRLDVWQEEEFGNPVPIDEQPIVSQHLTRLQLYGIVLNDGLLDFSSCPSLEHLVFESCVFECAKISSNSVKHLSITFSNFPAGTSRVRIDIPSLVSLRLDRIYDRKPVLERMPSLVDAFVSVPSSSEDFCGESDSGDCGRDGCESCYGFTNKNCVLLEGLSEAKMLVLINEDESFIFKRDLKWCPTFSKLKTLILNGYWCVPDDSHMLARILEHSPALEKLVFQLGYQAYKRTNKIKGILNPMERSAGISEHLQIVEVQCNAIDGQVLKVLKYLSTFKIYFSLEEATIEMGRAHAHAHNLFDGMRQRKKAKRAPCSTGVDRIGALPDEVLHRVLSFLLAPPLEVRHEAAHRRRSTLGSIKERRRFIENLLLLRDSAPLGTFVLRFRECEGIDDIARLNLWYQACSAPQGYNFTVQKLNDIFLNFSSCPALEHLVFDYCILECAKISSSSVKLLSITDSNFSETLRRAVLRLPAAAAVTPAGGDGAGGEARRGALWLCPHWRGVLRSANARGLFDEMPTGKEGMEEPLPTDADHIGALPDTVLHHVLSFLPSQDAVRTCVLAKRWLDLWKSVTALRIGDRDKRKLWTVKGLQGFVDHFLLLRESVPLHTCVLRFIVFSEDLNETSRLNLWIKHALLRMVQFLQVSIRQNTAFYHQINLGILPFVSRHLSMLELHGVRMVGSFLDFSRCPALQHLEFDRCELPCDKILSESLKLLRITRCKFSQTSRVRICVPSLVSLRLDDFYRRTPVLERMPSLVEAFVRVVHRTYDCCGYDYINSGDCGNEHCKSCHGIKDDNNCVLLDGLSEAKTLALIDGTISFIFNRDLKWCPTFSKLKTLLLNEYWCVPDEFSALACILEHAPVLENLILQLYSEGPKHTMKIKGNCHPMDRSAAISGHLETVEIRCEVVDKRVLKVLKYLSTFNILLSKSRFLKKMTVMTMTKRMRKMMMTTFMERRRKRKKRMTKMKMIDAPPFDYSEVQRARRLFDGMPPAKRGRRMMDLDGGGGEDRVGALPDEVLHHMLSFLPARDAVQTCVLAHRWRDLWKSATGLRIGSDEEDTARVREIRVFVDHLLLLRGCAPLDMCELKFWFDSDEDDDEEDEESKNDARRVNLWIRSAVASKVRNLVLNNICSGSFELDDLPLVSRHLTRLELFNLELTNRFCNFSSCPALEHVKIANSTVSCPRIISSSTGSLLRLIITRCSFVVGTSFRTKICVPSLVSLQLDSNSKTPLLESMPSLAEATVRVTAGCSDVCGNADSGYCGFEDCKYCYPIDDNRNCVLLNGLSEAKNLALTAECKTFIFKRDLQWCPTFSKLKTLLLNDHWCVAPDFHALSCILKHSPVLEKLTLHLFSKGPEHKVELNGSFGLMDRPTGISERLNIVEGKCKVVDENVSKVLKFLCACNIPEPYLSCGSERPRAHSLFDGMPPAKRSKKDQAGGEDRIGALPDEILHHMLSFLPARDAVQTCVLAGRWRHLWKSATGLRIGGESEDWVWWRVEEKPRVRDIREFVDHLLLLRGCEPLDMCELRFWSDYYDDDDETRRVNLWIRHAVASQVRHLVVRSIAGGVFELDDLPLVSRHLTRLELFKLDLTDRFCNFSSCSALKHLKISDSMISCPMISSSAGSLQQLSISHCSFGAVRNFRTRICVPSLVSLQLDDYWCMTPLLESMPSLVEATITVNSGCSDFCRNAGSGYCGFEDCNYCYPINDDRSCVLLKGLSEAKNLALVAHCRTFIFNRDLKWYPTFSKLKTLLLNDHWCVAPEFHALSCILKHSPVLEKLTLHLFSWGPGHKVEMNGSFGMMDRPAEIPEHLNIVEVKCEEVNENVSKVLKFLIQFLEGVDKFWTVDRFTITGLFINCNGGIKFDVTKLPCLVHIELASARRPFDGMPPAKRGKEGGSGRRRRPHRRAAGRTRTSTHVLSFLPARDAVQTCRCVLARRWRELWKSATAGPEDWGRRRRRRRRRRREMARVQDMMEFMDHLFLLRGLRAGAARHARAQVLV >ONIVA11G06080.2 pep chromosome:AWHD00000000:11:5156669:5178684:-1 gene:ONIVA11G06080 transcript:ONIVA11G06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLFRCLAIGGADARRLFGGMSARKEAKGSAGGDRIGALPDEVLHRVLSFLPAQDAVRTCVLAPRWRHLWKSATGLRVGEDESNLGSVKEQQEFLDHLLVLRDSAPLETCVLRFNWYDDDDFEDIFRLNVWFRYAIHRKVRFLRLDVWQEEEFGNPVPIDEQPIVSQHLTRLQLYGIVLNDGLLDFSSCPSLEHLVFESCVFECAKISSNSVKHLSITFSNFPAGTSRVRIDIPSLVSLRLDRIYDRKPVLERMPSLVDAFVSVPSSSEDFCGESDSGDCGRDGCESCYGFTNKNCVLLEGLSEAKMLVLINEDESFIFKRDLKWCPTFSKLKTLILNGYWCVPDDSHMLARILEHSPALEKLVFQLGYQAYKRTNKIKGILNPMERSAGISEHLQIVEVQCNAIDGQVLKVLKYLSTFKIYFSLEEATIEMGRAHAHAHNLFDGMRQRKKAKRAPCSTGVDRIGALPDEVLHRVLSFLLAPPLEVRHEAAHRRRSTLGSIKERRRFIENLLLLRDSAPLGTFVLRFRECEGIDDIARLNLWYQACSAPQGYNFTVQKLNDIFLNFSSCPALEHLVFDYCILECAKISSSSVKLLSITDSNFSETLRRAVLRLPAAAAVTPAGGDGAGGEARRGALWLCPHWRGVLRSANARGLFDEMPTGKEGMEEPLPTDADHIGALPDTVLHHVLSFLPSQDAVRTCVLAKRWLDLWKSVTALRIGDRDKRKLWTVKGLQGFVDHFLLLRESVPLHTCVLRFIVFSEDLNETSRLNLWIKHALLRMVQFLQVSIRQNTAFYHQINLGILPFVSRHLSMLELHGVRMVGSFLDFSRCPALQHLEFDRCELPCDKILSESLKLLRITRCKFSQTSRVRICVPSLVSLRLDDFYRRTPVLERMPSLVEAFVRVVHRTYDCCGYDYINSGDCGNEHCKSCHGIKDDNNCVLLDGLSEAKTLALIDGTISFIFNRDLKWCPTFSKLKTLLLNEYWCVPDEFSALACILEHAPVLENLILQLYSEGPKHTMKIKGNCHPMDRSAAISGHLETVEIRCEVVDKRVLKVLKYLSTFNILLSKSRFLKKMTVMTMTKRMRKMMMTTFMERRRKRKKRMTKMKMIDAPPFDYSEVQRARRLFDGMPPAKRGRRMMDLDGGGGEDRVGALPDEVLHHMLSFLPARDAVQTCVLAHRWRDLWKSATGLRIGSDEEDTARVREIRVFVDHLLLLRGCAPLDMCELKFWFDSDEDDDEEDEESKNDARRVNLWIRSAVASKVRNLVLNNICSGSFELDDLPLVSRHLTRLELFNLELTNRFCNFSSCPALEHVKIANSTVSCPRIISSSTGSLLRLIITRCSFVVGTSFRTKICVPSLVSLQLDSNSKTPLLESMPSLAEATVRVTAGCSDVCGNADSGYCGFEDCKYCYPIDDNRNCVLLNGLSEAKNLALTAECKTFIFKRDLQWCPTFSKLKTLLLNDHWCVAPDFHALSCILKHSPVLEKLTLHLFSKGPEHKVELNGSFGLMDRPTGISERLNIVEGKCKVVDENVSKVLKFLCACNIPEPYLSCGSERPRAHSLFDGMPPAKRSKKDQAGGEDRIGALPDEILHHMLSFLPARDAVQTCVLAGRWRHLWKSATGLRIGGESEDWVWWRVEEKPRVRDIREFVDHLLLLRGCEPLDMCELRFWSDYYDDDDETRRVNLWIRHAVASQVRHLVVRSIAGGVFELDDLPLVSRHLTRLELFKLDLTDRFCNFSSCSALKHLKISDSMISCPMISSSAGSLQQLSISHCSFGAVRNFRTRICVPSLVSLQLDDYWCMTPLLESMPSLVEATITVNSGCSDFCRNAGSGYCGFEDCNYCYPINDDRSCVLLKGLSEAKNLALVAHCRTFIFNRDLKWYPTFSKLKTLLLNDHWCVAPEFHALSCILKHSPVLEKLTLHLFSWGPGHKVEMNGSFGMMDRPAEIPEHLNIVEVKCEEVNENVSKVLKFLIQFLEGVDKFWTVDRFTITGLFINCNGGIKFDVTKLPCLVHIELASARRPFDGMPPAKRGKEGGSGRRRRPHRRAAGRTRTSTHVLSFLPARDAVQTCRCVLARRWRELWKSATAGPEDWGRRRRRRRRRRREMARVQDMMEFMDHLFLLRGLRAGAARHARAQVLV >ONIVA11G06080.3 pep chromosome:AWHD00000000:11:5156669:5187587:-1 gene:ONIVA11G06080 transcript:ONIVA11G06080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSATGLRVGEDESNLGSVKEQQEFLDHLLVLRDSAPLETCVLRFNWYDDDDFEDIFRLNVWFRYAIHRKVRFLRLDVWQEEEFGNPVPIDEQPIVSQHLTRLQLYGIVLNDGLLDFSSCPSLEHLVFESCVFECAKISSNSVKHLSITFSNFPAGTSRVRIDIPSLVSLRLDRIYDRKPVLERMPSLVDAFVSVPSSSEDFCGESDSGDCGRDGCESCYGFTNKNCVLLEGLSEAKMLVLINEDESFIFKRDLKWCPTFSKLKTLILNGYWCVPDDSHMLARILEHSPALEKLVFQLGYQAYKRTNKIKGILNPMERSAGISEHLQIVEVQCNAIDGQVLKVLKYLSTFKIYFSLEEATIEMGRAHAHAHNLFDGMRQRKKAKRAPCSTGVDRIGALPDEVLHRVLSFLLAPPLEVRHEAAHRRRSTLGSIKERRRFIENLLLLRDSAPLGTFVLRFRECEGIDDIARLNLWYQACSAPQGYNFTVQKLNDIFLNFSSCPALEHLVFDYCILECAKISSSSVKLLSITDSNFSETLRRAVLRLPAAAAVTPAGGDGAGGEARRGALWLCPHWRGVLRSANARGLFDEMPTGKEGMEEPLPTDADHIGALPDTVLHHVLSFLPSQDAVRTCVLAKRWLDLWKSVTALRIGDRDKRKLWTVKGLQGFVDHFLLLRESVPLHTCVLRFIVFSEDLNETSRLNLWIKHALLRMVQFLQVSIRQNTAFYHQINLGILPFVSRHLSMLELHGVRMVGSFLDFSRCPALQHLEFDRCELPCDKILSESLKLLRITRCKFSQTSRVRICVPSLVSLRLDDFYRRTPVLERMPSLVEAFVRVVHRTYDCCGYDYINSGDCGNEHCKSCHGIKDDNNCVLLDGLSEAKTLALIDGTISFIFNRDLKWCPTFSKLKTLLLNEYWCVPDEFSALACILEHAPVLENLILQLYSEGPKHTMKIKGNCHPMDRSAAISGHLETVEIRCEVVDKRVLKVLKYLSTFNILLSKSRFLKKMTVMTMTKRMRKMMMTTFMERRRKRKKRMTKMKMIDAPPFDYSEVQRARRLFDGMPPAKRGRRMMDLDGGGGEDRVGALPDEVLHHMLSFLPARDAVQTCVLAHRWRDLWKSATGLRIGSDEEDTARVREIRVFVDHLLLLRGCAPLDMCELKFWFDSDEDDDEEDEESKNDARRVNLWIRSAVASKVRNLVLNNICSGSFELDDLPLVSRHLTRLELFNLELTNRFCNFSSCPALEHVKIANSTVSCPRIISSSTGSLLRLIITRCSFVVGTSFRTKICVPSLVSLQLDSNSKTPLLESMPSLAEATVRVTAGCSDVCGNADSGYCGFEDCKYCYPIDDNRNCVLLNGLSEAKNLALTAECKTFIFKRDLQWCPTFSKLKTLLLNDHWCVAPDFHALSCILKHSPVLEKLTLHLFSKGPEHKVELNGSFGLMDRPTGISERLNIVEGKCKVVDENVSKVLKFLCACNIPEPYLSCGSERPRAHSLFDGMPPAKRSKKDQAGGEDRIGALPDEILHHMLSFLPARDAVQTCVLAGRWRHLWKSATGLRIGGESEDWVWWRVEEKPRVRDIREFVDHLLLLRGCEPLDMCELRFWSDYYDDDDETRRVNLWIRHAVASQVRHLVVRSIAGGVFELDDLPLVSRHLTRLELFKLDLTDRFCNFSSCSALKHLKISDSMISCPMISSSAGSLQQLSISHCSFGAVRNFRTRICVPSLVSLQLDDYWCMTPLLESMPSLVEATITVNSGCSDFCRNAGSGYCGFEDCNYCYPINDDRSCVLLKGLSEAKNLALVAHCRTFIFNRDLKWYPTFSKLKTLLLNDHWCVAPEFHALSCILKHSPVLEKLTLHLFSWGPGHKVEMNGSFGMMDRPAEIPEHLNIVEVKCEEVNENVSKVLKFLIQFLEGVDKFWTVDRFTITGLFINCNGGIKFDVTKLPCLVHIELASARRPFDGMPPAKRGKEGGSGRRRRPHRRAAGRTRTSTHVLSFLPARDAVQTCRCVLARRWRELWKSATAGPEDWGRRRRRRRRRRREMARVQDMMEFMDHLFLLRGLRAGAARHARAQVLV >ONIVA11G06080.4 pep chromosome:AWHD00000000:11:5156752:5178684:-1 gene:ONIVA11G06080 transcript:ONIVA11G06080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLFRCLAIGGADARRLFGGMSARKEAKGSAGGDRIGALPDEVLHRVLSFLPAQDAVRTCVLAPRWRHLWKSATGLRVGEDESNLGSVKEQQEFLDHLLVLRDSAPLETCVLRFNWYDDDDFEDIFRLNVWFRYAIHRKVRFLRLDVWQEEEFGNPVPIDEQPIVSQHLTRLQLYGIVLNDGLLDFSSCPSLEHLVFESCVFECAKISSNSVKHLSITFSNFPAGTSRVRIDIPSLVSLRLDRIYDRKPVLERMPSLVDAFVSVPSSSEDFCGESDSGDCGRDGCESCYGFTNKNCVLLEGLSEAKMLVLINEDESFIFKRDLKWCPTFSKLKTLILNGYWCVPDDSHMLARILEHSPALEKLVFQLGYQAYKRTNKIKGILNPMERSAGISEHLQIVEVQCNAIDGQVLKVLKYLSTFKIYFSLEEATIEMGRAHAHAHNLFDGMRQRKKAKRAPCSTGVDRIGALPDEVLHRVLSFLLAPPLEVRHEAAHRRRSTLGSIKERRRFIENLLLLRDSAPLGTFVLRFRECEGIDDIARLNLWYQACSAPQGYNFTVQKLNDIFLNFSSCPALEHLVFDYCILECAKISSSSVKLLSITDSNFSETLRRAVLRLPAAAAVTPAGGDGAGGEARRGALWLCPHWRGVLRSANARGLFDEMPTGKEGMEEPLPTDADHIGALPDTVLHHVLSFLPSQDAVRTCVLAKRWLDLWKSVTALRIGDRDKRKLWTVKGLQGFVDHFLLLRESVPLHTCVLRFIVFSEDLNETSRLNLWIKHALLRMVQFLQVSIRQNTAFYHQINLGILPFVSRHLSMLELHGVRMVGSFLDFSRCPALQHLEFDRCELPCDKILSESLKLLRITRCKFSQTSRVRICVPSLVSLRLDDFYRRTPVLERMPSLVEAFVRVVHRTYDCCGYDYINSGDCGNEHCKSCHGIKDDNNCVLLDGLSEAKTLALIDGTISFIFNRDLKWCPTFSKLKTLLLNEYWCVPDEFSALACILEHAPVLENLILQLYSEGPKHTMKIKGNCHPMDRSAAISGHLETVEIRCEVVDKRVLKVLKYLSTFNILLSKSRFLKKMTVMTMTKRMRKMMMTTFMERRRKRKKRMTKMKMIDAPPFDYSEVQRARRLFDGMPPAKRGRRMMDLDGGGGEDRVGALPDEVLHHMLSFLPARDAVQTCVLAHRWRDLWKSATGLRIGSDEEDTARVREIRVFVDHLLLLRGCAPLDMCELKFWFDSDEDDDEEDEESKNDARRVNLWIRSAVASKVRNLVLNNICSGSFELDDLPLVSRHLTRLELFNLELTNRFCNFSSCPALEHVKIANSTVSCPRIISSSTGSLLRLIITRCSFVVGTSFRTKICVPSLVSLQLDSNSKTPLLESMPSLAEATVRVTAGCSDVCGNADSGYCGFEDCKYCYPIDDNRNCVLLNGLSEAKNLALTAECKTFIFKRDLQWCPTFSKLKTLLLNDHWCVAPDFHALSCILKHSPVLEKLTLHLFSKGPEHKVELNGSFGLMDRPTGISERLNIVEGKCKVVDENVSKVLKFLCACNIPEPYLSCGSERPRAHSLFDGMPPAKRSKKDQAGGEDRIGALPDEILHHMLSFLPARDAVQTCVLAGRWRHLWKSATGLRIGGESEDWVWWRVEEKPRVRDIREFVDHLLLLRGCEPLDMCELRFWSDYYDDDDETRRVNLWIRHAVASQVRHLVVRSIAGGVFELDDLPLVSRHLTRLELFKLDLTDRFCNFSSCSALKHLKISDSMISCPMISSSAGSLQQLSISHCSFGAVRNFRTRICVPSLVSLQLDDYWCMTPLLESMPSLVEATITVNSGCSDFCRNAGSGYCGFEDCNYCYPINDDRSCVLLKGLSEAKNLALVAHCRTFIFNRDLKWYPTFSKLKTLLLNDHWCVAPEFHALSCILKHSPVLEKLTLHLFSWGPGHKVEMNGSFGMMDRPAEIPEHLNIVEVKCEEVNENVSKLAHAARSTECLQRRGAKKADPDGGEDRIGALPDERGPPPTCSRSCRRGTPCRRAGACSPGAGANSGSPPRPGLRIGGDGDDDDDDDGERWRVCKT >ONIVA11G06070.1 pep chromosome:AWHD00000000:11:5153211:5155438:-1 gene:ONIVA11G06070 transcript:ONIVA11G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRGGSKRACVGSGGGGDRISDLPDEVIHRVLWFLPTHEAVKTSLLSRRWRELWKSTRRLSIAGLSRSPHLLSTTGSGGSSPATVDKLSKFVNHLLLSRKQGPLDECRFSFDGFKDMDGAQVDMWIRYVLDNVWQLRVLLINLGTSIHVKLAGTPLVSENLVSVGKIFSQSLSVLVLNDCDGQTPLLESMPSLERAYVRLGWFAVDHCTEGICGECHRPCENSCDNDNNSSENNSSDNGNSSDNNSSDNGNCSDQDNYDALCGLCANCRDNDNISGTCLLLRGLSRCTYLELSPSYQMLTFERDLRWCPTFSNLRTLVLSDYNLDGGFHALLCFLQQTPVLQKLTLKLRKIHGPTVDISSYLKRPVVLRHLRIVEVKCPVSVQEEIFKLWKILITWGRYIVQFNIESTHYRLDWTIKMPKLSGRLAKQHRSMAKEARSTTPVFMCCYAHIDCSGQASGVQRLRLSVRGETSDKNVLVE >ONIVA11G06060.1 pep chromosome:AWHD00000000:11:5147339:5149759:1 gene:ONIVA11G06060 transcript:ONIVA11G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKRAKKAAAAGGGDLIGALPDAMLHRILSLLPAHEAVRTCVLARRWRHLWRSAPGLRIVRAAGRPPATVEEPQGFVDHLLLLRGGSPLNTCELSFDQIRRQHIPRVNLWIRHIVMCKVRVLVLHLNPYCHELDELPLVSQHLTRLELSGLILNDSFLNFSSCLALDYLEIVQCYFSSLTKITSQSLKRLRIIKCYTGSRPHVHATNLVSLHLDAITRTPVLERLPSLVKADIKLNSQCRDFCSFMTFLGVAIMNSAAVVVAYKLRTACFCEGPKHKVEMKGSRHPSGVSAAMLKYLEIVEVKCEVVDESVLDVLKFLSSLNICKITTGTHAFYIIRFAFKCGIEKQATKKLPGFTFKK >ONIVA11G06050.1 pep chromosome:AWHD00000000:11:5145622:5147228:1 gene:ONIVA11G06050 transcript:ONIVA11G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLFVYSRDLNIKLEMNGSRYQSDVSAAVLEHLKIVEIKCEVVDERVHEVLKFLSTLNIYNVLVNNKKSFLGAFSAPQRLKLNPTSPPPSRVQPGEAIGAIGGEVS >ONIVA11G06040.1 pep chromosome:AWHD00000000:11:5142124:5144951:-1 gene:ONIVA11G06040 transcript:ONIVA11G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMSAASSGRVKIGDLPDDLLRRVVSLLSARQAVQTSALSRRWRHLWRSAPLLQILPNDGFRTVRGLNEFAKHLLLLRDRAALLDACVINFDFCEFESYQDLPDDPDVGLWLRHAVSCQAQWIRVEIYVEDDPLCLPDLPLVSKHLRVLELKYVKIKDSLVDFSGCPALEHLKFWGGFIHAHTISSPSVKHMIMDGCGFNRKFRTRISVPSLISLQLKHFWGATPFLEDMPLLVTASVSLSDDCRDRCVNTEFGKCGDPGCFDCGANKVIDCDGCVLLQGLSGTSTLELKAESRVFMFRRDLRWCPMFSKLKTLLVNEWFMNSNMSELACLLEHAPLVEKITLQLSTEPYNFVEIEDSDKPLKQAFPFKNLKIVEIKCHEGDERVNSFKDPEPKQRFGFLPMKKWGLQMIDVSERVE >ONIVA11G06030.1 pep chromosome:AWHD00000000:11:5132163:5136357:-1 gene:ONIVA11G06030 transcript:ONIVA11G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQCRAAAAVATAPARGQGRRRRAVRVLSALPAHEVVRTSVLSRRWRDVWRSAPVVRVTAAAGGGGGGWDTATEFNVFLDGLLRLRGRRGGDDGARLEWFVLDLGFPAPGTSPAPSTSSTSAARSASASAPSKNGCCWYYYYLTGLSSDGLKIFSLVERLSETTNLCLFAHPGMFIFNRDLYWCPTFNKLKTHLKTQRLVRKDSFQTTGSYKTLGQLASDRLKMIEINHYEFFDERVRKIFKILSTFVTPLQVYMNARCQLIIPLLMLLFCILSKNI >ONIVA11G06030.2 pep chromosome:AWHD00000000:11:5133830:5134293:-1 gene:ONIVA11G06030 transcript:ONIVA11G06030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSPPRAKRRRREAKDDEDAPSASSPRSRRTRSCGRACCPGAGATSAPVVRVTAAAGGGGGGWDTATEFNVFLDGLLRLRGRRGGDDGARLEWFVLDLGFPAPGTSPAPSTSSTSAARSASASAPSKNGCCWYYYYLTGLSSPGISRHWS >ONIVA11G06020.1 pep chromosome:AWHD00000000:11:5091093:5117497:-1 gene:ONIVA11G06020 transcript:ONIVA11G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPPAKRRRRYARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVWRSAPAVRVTGAGGWDSAAKFTAFLDGLLRRLRSRRRPGDASPRLESFVFDLNEIDFGFAGLLLPSNHLHLSRAIWRAARHEVRVLRFRLFTSEQRLRLPDLPLVSHHLTTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCAFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYTRSDNDKSISRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVLNDWCVTSNLHALIYFLQHSPILERLTLHLSKVRTYSLQTTERYKPREQSFAFDHLKKVEFMCDEVDDRVRKISKILSTYVTPLQVNVSVMPGPWAGGSSTAINRRRRALDLRPLVGDRGEDRVVFFLSLSLSGSPRDQRYTLIIDSFSSSPLSRSVNFLARFLSSFDARKVFDGMSPESKKALVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRHLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHQLTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVAAKVLLLDFYCKDCCDGNDPGYCPAGCTHCYGIDDGSAGCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKSFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSECFNFVWTGFIPRQSCGRPGLSMFLHRVRRRPPARGSPTALNRRVSPISFTASASVCVRCVCVGEIAEVSSRRVFDGMSPKSKKAAVEGGGGGDHIGALPDALLRHVLSFLQSKEVVRTCVLARRWRHLWKSVPVLRVTGADEAIHKFMDHLQLLRDRSPLEACVFAFCLYSKHDAPFANLWIRYVLSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKFLDFSSCPALEELKTTKCTISADKISSQSLKRLSICECKLKSDGRTVISVPSLLFLQLIAFKGRTPFLEDMPLLVTAKVILSGYHCCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASDNLKTVEVKCQDIDKKVHKLIMSLNSYGIPPEKINIQQTNESYESGGTGKSWPPAAASGKEAAPFANHSALASNPRSTGCSEESISCALFLHVLYSPHCVLSRFGARHLFDVMPHPRPRGGGDRLGALPDEALQHVLPFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRSGVRRLNRFVNHLLLLRDRSARLDACEINLGTFRSQDDPQINLWIRHVLLCEARDLRVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNACDNCGANGGSSGDCVLLDGLSEAKTLELIAKPRVFIFRSDLMWCPTFGKLKTLLLNEWSVAIDLVELICFLQHTPVLEKLTLQLCEGPVNWMENEGSYDPTENPFASKQLKVVEVKFEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVVHSEEPTDDSGAGPSRVRTLPISTSENAQLKITIDQMLGQQQELLAQQQQQQHFLSQLQRRQQILCDITAQLSKYAAPPISQRPPQANLYPSDMALSVSILWSLMLAVGLLPLQLDLFNPSLGLSLCILWGRRLRGDKFPARRMGMGTNVAPLYFTGAATGEFWLHGGGSDVVSSDGEFPVAIPNPDNKGRGMLDCIGLQLDLDDPCINLWIRHALLCETQALQVHLSIDSDSYELEDVALVSQHLMRLDLCSVVLKDYFLNFLCCPVLKELLMRKCCIKARKISSESLKRLTAVDCSFYSYPRVRIFLPSLVALELTGPQENTPVLESMPSLLTESIELTYCDDHCGKEEFGGLCDYNACDSYEARKTEIDPNKQRIERTSAKAHLSIGWNRKGANRKSSLRMIQELDLFYFGFHQSPPVECSIEKYDWHNLLR >ONIVA11G06020.2 pep chromosome:AWHD00000000:11:5091093:5117497:-1 gene:ONIVA11G06020 transcript:ONIVA11G06020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPPAKRRRRYARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVWRSAPAVRVTGAGGWDSAAKFTAFLDGLLRRLRSRRRPGDASPRLESFVFDLNEIDFGFAGLLLPSNHLHLSRAIWRAARHEVRVLRFRLFTSEQRLRLPDLPLVSHHLTTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCAFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYTRSDNDKSISRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVLNDWCVTSNLHALIYFLQHSPILERLTLHLSKVRTYSLQTTERYKPREQSFAFDHLKKVEFMCDEVDDRVRKISKILSTYVTPLQVNVSVMPGPWAGVCGVTLLRFHSSRRFLSSFDARKVFDGMSPESKKALVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRHLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHQLTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVAAKVLLLDFYCKDCCDGNDPGYCPAGCTHCYGIDDGSAGCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKSFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSECFNFVWTGFIPRQSCGRPGLSMFLHRVRRRPPARGSPTALNRRVSPISFTASASVCVRCVCVGEIAEVSSRRVFDGMSPKSKKAAVEGGGGGDHIGALPDALLRHVLSFLQSKEVVRTCVLARRWRHLWKSVPVLRVTGADEAIHKFMDHLQLLRDRSPLEACVFAFCLYSKHDAPFANLWIRYVLSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKFLDFSSCPALEELKTTKCTISADKISSQSLKRLSICECKLKSDGRTVISVPSLLFLQLIAFKGRTPFLEDMPLLVTAKVILSGYHCCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASDNLKTVEVKCQDIDKKVHKLIMSLNSYGIPPEKINIQQTNESYESGGTGKSWPPAAASGKEAAPFANHSALASNPRSTGCSEESISCALFLHVLYSPHCVLSRFGARHLFDVMPHPRPRGGGDRLGALPDEALQHVLPFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRSGVRRLNRFVNHLLLLRDRSARLDACEINLGTFRSQDDPQINLWIRHVLLCEARDLRVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNACDNCGANGGSSGDCVLLDGLSEAKTLELIAKPRVFIFRSDLMWCPTFGKLKTLLLNEWSVAIDLVELICFLQHTPVLEKLTLQLCEGPVNWMENEGSYDPTENPFASKQLKVVEVKFEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVVHSEEPTDDSGAGPSRVRTLPISTSENAQLKITIDQMLGQQQELLAQQQQQQHFLSQLQRRQQILCDITAQLSKYAAPPISQRPPQANLYPSDMALSVSILWSLMLAVGLLPLQLDLFNPSLGLSLCILWGRRLRGDKFPARRMGMGTNVAPLYFTGAATGEFWLHGGGSDVVSSDGEFPVAIPNPDNKGRGMLDCIGLQLDLDDPCINLWIRHALLCETQALQVHLSIDSDSYELEDVALVSQHLMRLDLCSVVLKDYFLNFLCCPVLKELLMRKCCIKARKISSESLKRLTAVDCSFYSYPRVRIFLPSLVALELTGPQENTPVLESMPSLLTESIELTYCDDHCGKEEFGGLCDYNACDSYEARKTEIDPNKQRIERTSAKAHLSIGWNRKGANRKSSLRMIQELDLFYFGFHQSPPVECSIEKYDWHNLLR >ONIVA11G06020.3 pep chromosome:AWHD00000000:11:5091588:5117497:-1 gene:ONIVA11G06020 transcript:ONIVA11G06020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPPAKRRRRYARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVWRSAPAVRVTGAGGWDSAAKFTAFLDGLLRRLRSRRRPGDASPRLESFVFDLNEIDFGFAGLLLPSNHLHLSRAIWRAARHEVRVLRFRLFTSEQRLRLPDLPLVSHHLTTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCAFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYTRSDNDKSISRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVLNDWCVTSNLHALIYFLQHSPILERLTLHLSKVRTYSLQTTERYKPREQSFAFDHLKKVEFMCDEVDDRVRKISKILSTYVTPLQVNVSVMPGPWAGVCGVTLLRFHSSRRFLSSFDARKVFDGMSPESKKALVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRHLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHQLTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVAAKVLLLDFYCKDCCDGNDPGYCPAGCTHCYGIDDGSAGCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKSFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSECFNFVWTGFIPRQSCGRPGLSMFLHRVRRRPPARGSPTALNRRVSPISFTASASVCVRCVCVGEIAEVSSRRVFDGMSPKSKKAAVEGGGGGDHIGALPDALLRHVLSFLQSKEVVRTCVLARRWRHLWKSVPVLRVTGADEAIHKFMDHLQLLRDRSPLEACVFAFCLYSKHDAPFANLWIRYVLSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKFLDFSSCPALEELKTTKCTISADKISSQSLKRLSICECKLKSDGRTVISVPSLLFLQLIAFKGRTPFLEDMPLLVTAKVILSGYHCCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASDNLKTVEVKCQDIDKKVHKLIMSLNSYGIPPEKINIQQTNESYESGGTGKSWPPAAASGKEAAPFANHSALASNPRSTGCSEESISCALFLHVLYSPHCVLSRFGARHLFDVMPHPRPRGGGDRLGALPDEALQHVLPFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRSGVRRLNRFVNHLLLLRDRSARLDACEINLGTFRSQDDPQINLWIRHVLLCEARDLRVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNACDNCGANGGSSGDCVLLDGLSEAKTLELIAKPRVFIFRSDLMWCPTFGKLKTLLLNEWSVAIDLVELICFLQHTPVLEKLTLQLCEGPVNWMENEGSYDPTENPFASKQLKVVEVKFEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVVHSEEPTDDSGAGPSRVRTLPISTSENAQLKITIDQMLGQQQELLAQQQQQQHFLSQLQRRQQILCDITAQLSKYAAPPISQRPPQANLYPSALQVHLSIDSDSYELEDVALVSQHLMRLDLCSVVLKDYFLNFLCCPVLKELLMRKCCIKARKISSESLKRLTAVDCSFYSYPRVRIFLPSLVALELTGPQENTPVLESMPSLLTESIELTYCDDHCGKEEFGGLCDYNACDSYEARKTEIDPNKQRIERTSAKALARASVFLLAELIKKKSLIIRDEAPMTRICFRCG >ONIVA11G06020.4 pep chromosome:AWHD00000000:11:5091126:5117497:-1 gene:ONIVA11G06020 transcript:ONIVA11G06020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPPAKRRRRYARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVWRSAPAVRVTGAGGWDSAAKFTAFLDGLLRRLRSRRRPGDASPRLESFVFDLNEIDFGFAGLLLPSNHLHLSRAIWRAARHEVRVLRFRLFTSEQRLRLPDLPLVSHHLTTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCAFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYTRSDNDKSISRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVLNDWCVTSNLHALIYFLQHSPILERLTLHLSKVRTYSLQTTERYKPREQSFAFDHLKKVEFMCDEVDDRVRKISKILSTYVTPLQVNVSVMPGPWAGVCGVTLLRFHSSRRFLSSFDARKVFDGMSPESKKALVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRHLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHQLTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVAAKVLLLDFYCKDCCDGNDPGYCPAGCTHCYGIDDGSAGCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKSFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSECFNFVWTGFIPRQSCGRPGLSMFLHRVRRRPPARGSPTALNRRVSPISFTASASVCVRCVCVGEIAEVSSRRVFDGMSPKSKKAAVEGGGGGDHIGALPDALLRHVLSFLQSKEVVRTCVLARRWRHLWKSVPVLRVTGADEAIHKFMDHLQLLRDRSPLEACVFAFCLYSKHDAPFANLWIRYVLSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKFLDFSSCPALEELKTTKCTISADKISSQSLKRLSICECKLKSDGRTVISVPSLLFLQLIAFKGRTPFLEDMPLLVTAKVILSGYHCCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASDNLKTVEVKCQDIDKKVHKLIMSLNSYGIPPEKINIQQTNESYESGGTGKSWPPAAASGKEAAPFANHSALASNPRSTGCSEESISCALFLHVLYSPHCVLSRFGARHLFDVMPHPRPRGGGDRLGALPDEALQHVLPFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRSGVRRLNRFVNHLLLLRDRSARLDACEINLGTFRSQDDPQINLWIRHVLLCEARDLRVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNACDNCGANGGSSGDCVLLDGLSEAKTLELIAKPRVFIFRSDLMWCPTFGKLKTLLLNEWSVAIDLVELICFLQHTPVLEKLTLQLCEGPVNWMENEGSYDPTENPFASKQLKVVEVKFEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVVHSEEPKDDSGAGPFLLWIPPISPCGVLN >ONIVA11G06020.5 pep chromosome:AWHD00000000:11:5100337:5117497:-1 gene:ONIVA11G06020 transcript:ONIVA11G06020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPPAKRRRRYARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVWRSAPAVRVTGAGGWDSAAKFTAFLDGLLRRLRSRRRPGDASPRLESFVFDLNEIDFGFAGLLLPSNHLHLSRAIWRAARHEVRVLRFRLFTSEQRLRLPDLPLVSHHLTTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCAFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYTRSDNDKSISRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVLNDWCVTSNLHALIYFLQHSPILERLTLHLSKVRTYSLQTTERYKPREQSFAFDHLKKVEFMCDEVDDRVRKISKILSTYVTPLQVNVSVMPGPWAGVCGVTLLRFHSSRRFLSSFDARKVFDGMSPESKKALVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRHLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHQLTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVAAKVLLLDFYCKDCCDGNDPGYCPAGCTHCYGIDDGSAGCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKSFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSECFNFVWTGFIPRQSCGRPGLSMFLHRVRRRPPARGSPTALNRRVSPISFTASASVCVRCVCVGEIAEVSSRRVFDGMSPKSKKAAVEGGGGGDHIGALPDALLRHVLSFLQSKEVVRTCVLARRWRHLWKSVPVLRVTGADEAIHKFMDHLQLLRDRSPLEACVFAFCLYSKHDAPFANLWIRYVLSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKFLDFSSCPALEELKTTKCTISADKISSQSLKRLSICECKLKSDGRTVISVPSLLFLQLIAFKGRTPFLEDMPLLVTAKVILSGYHCCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASDNLKTVEVKCQDIDKKVHKLIMSLNSYGIPPEKINIQQTNESYESGGTGKSWPPAAASGKEAAPFANHSALASNPRSTDLVLATCST >ONIVA11G06020.6 pep chromosome:AWHD00000000:11:5091093:5100337:-1 gene:ONIVA11G06020 transcript:ONIVA11G06020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPRPRGGGDRLGALPDEALQHVLPFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRSGVRRLNRFVNHLLLLRDRSARLDACEINLGTFRSQDDPQINLWIRHVLLCEARDLRVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNACDNCGANGGSSGDCVLLDGLSEAKTLELIAKPRVFIFRSDLMWCPTFGKLKTLLLNEWSVAIDLVELICFLQHTPVLEKLTLQLCEGPVNWMENEGSYDPTENPFASKQLKVVEVKFEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVVHSEEPTDDSGAGPSRVRTLPISTSENAQLKITIDQMLGQQQELLAQQQQQQHFLSQLQRRQQILCDITAQLSKYAAPPISQRPPQANLYPSDMALSVSILWSLMLAVGLLPLQLDLFNPSLGLSLCILWGRRLRGDKFPARRMGMGTNVAPLYFTGAATGEFWLHGGGSDVVSSDGEFPVAIPNPDNKGRGMLDCIGLQLDLDDPCINLWIRHALLCETQALQVHLSIDSDSYELEDVALVSQHLMRLDLCSVVLKDYFLNFLCCPVLKELLMRKCCIKARKISSESLKRLTAVDCSFYSYPRVRIFLPSLVALELTGPQENTPVLESMPSLLTESIELTYCDDHCGKEEFGGLCDYNACDSYEARKTEIDPNKQRIERTSAKAHLSIGWNRKGANRKSSLRMIQELDLFYFGFHQSPPVECSIEKYDWHNLLR >ONIVA11G06020.7 pep chromosome:AWHD00000000:11:5091095:5100337:-1 gene:ONIVA11G06020 transcript:ONIVA11G06020.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPRPRGGGDRLGALPDEALQHVLPFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRSGVRRLNRFVNHLLLLRDRSARLDACEINLGTFRSQDDPQINLWIRHVLLCEARDLRVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNACDNCGANGGSSGDCVLLDGLSEAKTLELIAKPRVFIFRSDLMWCPTFGKLKTLLLNEWSVAIDLVELICFLQHTPVLEKLTLQLCEGPVNWMENEGSYDPTENPFASKQLKVVEVKFEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVVHSEEPTDDSGAGPSRVRTLPISTSENAQLKITIDQMLGQQQELLAQQQQQQHFLSQLQRRQQILCDITAQLSKYAAPPISQRPPQANLYPSGIYFSSPTIGARNVTWHPTRGVPLEGRSDDPCINLWIRHALLCETQALQVHLSIDSDSYELEDVALVSQHLMRLDLCSVVLKDYFLNFLCCPVLKELLMRKCCIKARKISSESLKRLTAVDCSFYSYPRISFMDYESLNLYYVVSYSHLSIGWNRKGANRKSSLRMIQELDLFYFGFHQSPPVECSIEKYDWHNLLR >ONIVA11G06020.8 pep chromosome:AWHD00000000:11:5091093:5100337:-1 gene:ONIVA11G06020 transcript:ONIVA11G06020.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPRPRGGGDRLGALPDEALQHVLPFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRSGVRRLNRFVNHLLLLRDRSARLDACEINLGTFRSQDDPQINLWIRHVLLCEARDLRVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNACDNCGANGGSSGDCVLLDGLSEAKTLELIAKPRVFIFRSDLMWCPTFGKLKTLLLNEWSVAIDLVELICFLQHTPVLEKLTLQLCEGPVNWMENEGSYDPTENPFASKQLKVVEVKFEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVVHSEEPTDDSGAGPSRVRTLPISTSENAQLKITIDQMLGQQQELLAQQQQQQHFLSQLQRRQQILCDITAQLSKYAAPPISQRPPQANLYPSVGTEAPWGQIPRTEDGDGNKCCPVVFHGGSDGGILAPWRRDDPCINLWIRHALLCETQALQVHLSIDSDSYELEDVALVSQHLMRLDLCSVVLKDYFLNFLCCPVLKELLMRKCCIKARKISSESLKRLTAVDCSFYSYPRVRIFLPSLVALELTGPQENTPVLESMPSLLTESIELTYCDDHCGKEEFGGLCDYNACDSYEARKTEIDPNKQRIERTSAKAHLSIGWNRKGANRKSSLRMIQELDLFYFGFHQSPPVECSIEKYDWHNLLR >ONIVA11G06020.9 pep chromosome:AWHD00000000:11:5091095:5100337:-1 gene:ONIVA11G06020 transcript:ONIVA11G06020.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPRPRGGGDRLGALPDEALQHVLPFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRSGVRRLNRFVNHLLLLRDRSARLDACEINLGTFRSQDDPQINLWIRHVLLCEARDLRVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNACDNCGANGGSSGDCVLLDGLSEAKTLELIAKPRVFIFRSDLMWCPTFGKLKTLLLNEWSVAIDLVELICFLQHTPVLEKLTLQLCEGPVNWMENEGSYDPTENPFASKQLKVVEVKFEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVVHSEEPTDDSGAGPSRVRTLPISTSENAQLKITIDQMLGQQQELLAQQQQQQHFLSQLQRRQQILCDITAQLSKYAAPPISQRPPQANLYPSALQVHLSIDSDSYELEDVALVSQHLMRLDLCSVVLKDYFLNFLCCPVLKELLMRKCCIKARKISSESLKRLTAVDCSFYSYPRVRIFLPSLVALELTGPQENTPVLESMPSLLTESIELTYCDDHCGKEEFGATCQLDGTGRELTENLFAFKQLKMIQELDLFYFGFHQSPPVECSIEKYDWHNLLR >ONIVA11G06010.1 pep chromosome:AWHD00000000:11:5082397:5084510:-1 gene:ONIVA11G06010 transcript:ONIVA11G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRLPASTRPTTHCSATFSPSSISLEFHIPDDWICEKDEAVEHQEGMAEILRHTKKAPATKEKQMEGKFADEAMKNNRCISSVTIFGACSLLYDHYP >ONIVA11G06000.1 pep chromosome:AWHD00000000:11:5077433:5082076:1 gene:ONIVA11G06000 transcript:ONIVA11G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZB0] MATRRDGSSRREGLTAEEDGGEDWLSTLPDEILHNVLSFLPAHEAVWTCVLSRRWRNLWRSAPVLRIRNAKRWGGMAKFDKFFNNLLLLRDPVPLDELEFQTVLGICKLHPLQPSFRLLKYANTWIRHALMCNVRVLRVLVQYQYDLPLLKVNMPLISEHLKTLELRRVLLDKRALDFSSCPSLEELEMNFCGNSTTNKIFSQSLKRLCITDGRFTDDLSSLPALQDLEMESCGICTEKLVCQSLKHLCFTRCHFEEPTHISAPGLISLQLNDIWGWTPSLETLPLLVTASVKLGKESMGCCSECTFHPGTCADCDGDPDSSFKCEFLRDLSNAVNLELAAEDGMCMFKQDLTWCPRFSKVKTLLLDGWVVGHDFYAVVCFLQHTPILEKLTLQLCEGHERMVEIEESSRSVGRMVQFEHLQTVEVRCLRNDEWVHKILKILNTYGITPDKITIQIQKELESMATGGNSLPSPSCADDKKRRVCYYYDPGSAHIKFSDDHVMVPARVAMAHSLVGVYGMLGDMRRLRTRPATEAEIRRFHSPEYVDLLRDLTPESYFNDAALRQKAEDDHGIGGKDDCPAFDRLWKYCRGYAGGSLAAARALVDGASDIAINWSGGMHHASACKATGFCYVNDIVLAINELLGTFRRVIYVDIDAHHGDGVQNAFLDSNRVMTLSFHRYGKITPHKNFFPGSGAINEIGAGAGEHYSVNVPLDAGVRDDVYHTLFKPIVGKAMEVFQPEAIVLQCGADSLSGDRLGGMELSVRGHAECVGFLRGFNLPLLLVGGGGYTINHVAYTWCYETAVAVGKERELPDDIEIPSHGYELMYKNQGDKLHYQKSTATAARKRSSSAEVTKGKVLEHLSQIERAPSVQFQERRGGNNAAGVELYYDRPPSLEDDEPAQRLHRLCFPGLTKRIKLRWCLVEVRKCKRKWDQLQR >ONIVA11G05990.1 pep chromosome:AWHD00000000:11:5076536:5077249:-1 gene:ONIVA11G05990 transcript:ONIVA11G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGRNRCGSHLSASPLSPSSLPPVRCGEGRGRATAPAENEAERRRTGSSQSLARISPRRDAPVIVGAKTATRWERVTAAWTSSGGERRRRQQRAAPAVTDEGGADEVGRREAAEDVVEGVVGEHRGGGNLTAATPPPPVALLRQRRCSVRRHYAFSSTSAAATTPSPPTPPFRLLRFHEKLVHRRYTSSSAAAVARLHEEPIRRSASSSAGAAAPPRPLPHRTEGREEGERGKAD >ONIVA11G05980.1 pep chromosome:AWHD00000000:11:4989930:4990844:1 gene:ONIVA11G05980 transcript:ONIVA11G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGATGSYHPKAFCSKTTDVASCLRVYPTLPDDVAKSQDNEQLYTRLYDYCGVKIYEASSLAESMIATTTAADPVIIATFFPQWKGDEAITTKTHPGKCLLSCNKTIGDVDAIQTCGNTYMEDRPPMIHQNLTVLFHGGHPLPLCKSGCPERSSSEGEAILATKFKYIWTLLDLLEAVLPEYLSETATGAKHKTTTPSPAAASTAP >ONIVA11G05970.1 pep chromosome:AWHD00000000:11:4980625:4981314:-1 gene:ONIVA11G05970 transcript:ONIVA11G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLASPHSLQLPLLLLFLLVAAAIGSYDPKAFCSKTTDVASCLKVFPTLPDIVTKAQDNQELYKRLVRYCSFKTYEATSLAESMIATTTAADPKISAPFFPQWKRDEAMTTKTPPGKCLLSCNKTIGEVDAILTCGHTYMEDRPPIIHQNLTVLFHGGHPPSLCKSGCLDGSSSEGEVLLATKFNYIWSLLDLIEAVLPEYLSETGTATTTVPSPYVAAAAAPAP >ONIVA11G05960.1 pep chromosome:AWHD00000000:11:4961571:4965792:-1 gene:ONIVA11G05960 transcript:ONIVA11G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZA6] MAERRSNMMMIYGFNLLIVAAAVRSSSSSILGDATVVSTDDRWVRRRLLLIDGMVDGKRMRKTVVVAKDGTANFTTITQALGAAPPRGRFGIFVKAGVYEETVNITRPNVVLWGEGIGKTVITGSRSCPIENNKTKTDMMPWTATVTVQGHGFIAQDVTIENKAGPTGTPAVALRCDSNRSLIHRCRIDGYQDTLWAQNNLQVYLRCDIAGTIDFVYGNAKAIFQYCRLLVRNPGNGKHNAITAQGRNDPTSEDSGFVFQGCNITAMEGESLAGVDTYLGRPWKNHSRVVFMGCFMSDIINPDGWVHWNKATPVEETTRTVEYLEYGNWGAGAETADRVKWKGVRVITAAEANRFTVDHFINGNQWLPNLVNGEQINYTHASMAGSSSLASPRSLQLPLLLLFLVAAAIGSYDPKAFCSKTTDVASCLRVFPTLPDIVTKAQDNQELYKRLVRYCSFKTYEATSLAESMIATTTAADPKISAPFFPQWKRDEAMTTKTPPGKCLLSCNKTIGEVDAILTCGHTYMEDRPPIIHQNLTVLFHGGHPPSLCKSGCLDGSSSEGEVLLATKFNYIWSLLDLIEAVLPEYLSETGTATTTVPSPDVAAAAAPAP >ONIVA11G05950.1 pep chromosome:AWHD00000000:11:4956447:4960533:-1 gene:ONIVA11G05950 transcript:ONIVA11G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCMQVGPVWLDGQGSFGEIAIVAGGCDKNGQVLKSAELYNSETGHWETLPDMNLARRLSSGFFLDGFYRVYPTLPEDVAKSQDNEQLYTRLYDYCGVKIYEASSLAESMIATTTAADPVIIATFFPQWKGDEAITTKTHPGKCLLSCNKTIGDVDAIQTCGNTYMEDRPPMIHQNLTVLFHGGHPLPLCKSGCPERSSSEGEAILATKFKYIWTLLDLLEAVLPEYLSETATGAKHKTTTPSPAAASTAP >ONIVA11G05940.1 pep chromosome:AWHD00000000:11:4933066:4939641:1 gene:ONIVA11G05940 transcript:ONIVA11G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) TAIR;Acc:AT1G21640] MLAVCARHGPAKLPPPPPPPLAGERAAAWVVGRWWWRPAAAGRRGVVAARASFFSSRIGLDSQNYHTRDLSQLLWVGPVPGDIAEIEAFCRIFRAAEQLHTAVMSALCDPETGECPVRYDVQTEDLPVLEDKVAAVLGCMLALLNRGRKEVLSGRSGVASAFQGSEDSTMDRIPPLALFRGDLKRCCESMQVALASYLVPSEARGLDIWRKLQRLKNACYDAGFPRADGHPCPTLFANWFPVYFSTVPDDSLSDELEVAFWRGGQVSEEGLEWLLSKGFKTIVDLREEDVKDDLYLSAIQEAISLGKIEVVNLPVEIGTAPSAEQVQRFAEIVSDSAKKPIYLHSQEGISRTSAMVSRWKQYVTRAERLATQNRSLNGNGKHVRNDQTEQLTNSPGFSSEGSENGTPLESDRTMEGETCDIDIETARHNLEITNSLPSEQSTEQGELHGTRTELQSNFRLESNPLKAQFPSCDVFSKKGMTDFFRSKKVYPKSVLNPRRRLNSLLISRRKQSLSAEQNGAIDYEAAEFKVLKSSNGASFDNDYILSVASGITNGKPSNNGASTSVEDREMETSVVTVDPRTSDTSNSNGNAPLGSQKSAERNGALYVEREKSDHVDGNMCASATGVVRLQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHHQEKMNVLVEPDVHDIFARIPGYGFVQTFYTQDTSDLHERVDFVACLGGDGVILHASNLFRTSVPPVVSFNLGSLGFLTSHNFEGFRQDLRAVIHGNNTLGVYITLRMRLRCEIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGDSVQISMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >ONIVA11G05940.2 pep chromosome:AWHD00000000:11:4933596:4939641:1 gene:ONIVA11G05940 transcript:ONIVA11G05940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) TAIR;Acc:AT1G21640] MSALCDPETGECPVRYDVQTEDLPVLEDKVAAVLGCMLALLNRGRKEVLSGRSGVASAFQGSEDSTMDRIPPLALFRGDLKRCCESMQVALASYLVPSEARGLDIWRKLQRLKNACYDAGFPRADGHPCPTLFANWFPVYFSTVPDDSLSDELEVAFWRGGQVSEEGLEWLLSKGFKTIVDLREEDVKDDLYLSAIQEAISLGKIEVVNLPVEIGTAPSAEQVQRFAEIVSDSAKKPIYLHSQEGISRTSAMVSRWKQYVTRAERLATQNRSLNGNGKHVRNDQTEQLTNSPGFSSEGSENGTPLESDRTMEGETCDIDIETARHNLEITNSLPSEQSTEQGELHGTRTELQSNFRLESNPLKAQFPSCDVFSKKGMTDFFRSKKVYPKSVLNPRRRLNSLLISRRKQSLSAEQNGAIDYEAAEFKVLKSSNGASFDNDYILSVASGITNGKPSNNGASTSVEDREMETSVVTVDPRTSDTSNSNGNAPLGSQKSAERNGALYVEREKSDHVDGNMCASATGVVRLQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHHQEKMNVLVEPDVHDIFARIPGYGFVQTFYTQDTSDLHERVDFVACLGGDGVILHASNLFRTSVPPVVSFNLGSLGFLTSHNFEGFRQDLRAVIHGNNTLGVYITLRMRLRCEIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGDSVQISMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >ONIVA11G05930.1 pep chromosome:AWHD00000000:11:4922032:4931881:1 gene:ONIVA11G05930 transcript:ONIVA11G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVDERRELAKIIVQNGGQYSANLTRRCTHLVSNISFGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKELSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCAVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANNTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDT >ONIVA11G05930.2 pep chromosome:AWHD00000000:11:4922032:4931881:1 gene:ONIVA11G05930 transcript:ONIVA11G05930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGRTEGVGKNNSAEWWPVFSKSYTAVHSFSDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKELSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCAVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANNTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDT >ONIVA11G05930.3 pep chromosome:AWHD00000000:11:4922032:4931881:1 gene:ONIVA11G05930 transcript:ONIVA11G05930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGRTEGVGKNNSAEWWPVFSKSYTAVHSFSDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKELSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCAVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANNTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDT >ONIVA11G05930.4 pep chromosome:AWHD00000000:11:4922032:4931881:1 gene:ONIVA11G05930 transcript:ONIVA11G05930.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKELSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCAVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANNTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDT >ONIVA11G05930.5 pep chromosome:AWHD00000000:11:4922032:4931881:1 gene:ONIVA11G05930 transcript:ONIVA11G05930.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKELSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCAVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANNTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQQDSKSARQMEYNLEAIPRQRTWADLKDGEAAKPFGDGLHEKTSVMMTYAAVLSCFET >ONIVA11G05930.6 pep chromosome:AWHD00000000:11:4922032:4931881:1 gene:ONIVA11G05930 transcript:ONIVA11G05930.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVDERRELAKIIVQNGGQYSANLTRRCTHLVSNISFGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKELSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCAVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANNTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDT >ONIVA11G05930.7 pep chromosome:AWHD00000000:11:4922032:4931881:1 gene:ONIVA11G05930 transcript:ONIVA11G05930.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVDERRELAKIIVQNGGQYSANLTRRCTHLVSNISFGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKELSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCAVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANNTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQWLWSV >ONIVA11G05930.8 pep chromosome:AWHD00000000:11:4922032:4931881:1 gene:ONIVA11G05930 transcript:ONIVA11G05930.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKDEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKELSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCAVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQAAKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANNTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDT >ONIVA11G05920.1 pep chromosome:AWHD00000000:11:4915876:4917211:1 gene:ONIVA11G05920 transcript:ONIVA11G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPKPFCKALKEFWLQICRKRSTTEYQENRRTEEELFWSGARTAVLANLRIRYVVCGECLHKLCPVIHAHRCGGWHLPWAFQVSTGPIRQYNGVLQGLT >ONIVA11G05910.1 pep chromosome:AWHD00000000:11:4905258:4908026:1 gene:ONIVA11G05910 transcript:ONIVA11G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDDGDEQQSLAAPLLLDLEAAAAVGSKPPADDDDDHTTATTVVEKMAAVLPRIRLSWVFLFLLWVYLLNWVRRFTLTYTDGSIWLTTFAVMVSAIPLTELFYIHAMRIEEITEDDDDASYEEASYKHKQKMGRCILRGLLVLAWLFVIDFGRRVWGEVDELLPAIFIVSWLVITATQFLVMAGLYIEGLPPVVSET >ONIVA11G05900.1 pep chromosome:AWHD00000000:11:4879010:4880517:1 gene:ONIVA11G05900 transcript:ONIVA11G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDNTKSFLNKVKDFWTEITTRESQVVKNNNADEIEKNNKKRSGLYRDLIVMFALGSVFWSGAKKALSQLKGWVFCVASVYINFALISMMVGAAAGTLPDVFRCHMGLSGNGVLQGLLFNIIAFNYELFTTLLPGSLVKLSQRVMYWVTGATSAIAVTVIWTLATEDPLCALVVLRIIGRFLRWICVAICSCFPDFRHPKKMSKSAGHKGTTNQDLAAALVGTNHSQV >ONIVA11G05890.1 pep chromosome:AWHD00000000:11:4867632:4875654:-1 gene:ONIVA11G05890 transcript:ONIVA11G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSIAEPGLKRGALRAIRLHLPASYLVPSEAQWLDIWRMLHRLKMPDIMQVSQGLMVIPVQRYFQIGFRGGQFSGEKLEWLLSKGFKIIVDLWEEDVKDDLYLLAVQEAVSLGKIEVVNIPVEIGTAPSAKQVQRLTEVVSDSVKKPIYLHCQEGNGRTYVMVSRWKQHTVDTQNGSLNWNGKPVKNDQTDQLTNSPGFSSEGSKNSTSFTDHLRTKQSLSAEQNEPLTIKQLRFYGLEKLGHPLIVTIFYQLLLVLLMENHPAMEPPHLLRKGHLMPAILKAIVSLDHTIVKYLLACMPLPSTFFLDGRELVIFYPVIVLQCRVLGGFIPTALTWEEEEADTLGQAGAGKKRLTGPRQKGGKETGFPLQLMHLKEGSDPYLPKIECYEHNHLITKVEGDEGDSSYTDWQYSILWCSWRLNGPSKCPMFAVYSNLPALIIPDDARSNVWVSFDGKRRQQLSRVDCSNIHESAPTPNWWPAAILMVNKKRWYQNGGCQN >ONIVA11G05890.2 pep chromosome:AWHD00000000:11:4867632:4873971:-1 gene:ONIVA11G05890 transcript:ONIVA11G05890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSTFFLDGRELVIFYPVIVLQCRVLGGFIPTALTWEEEEADTLGQAGAGKKRLTGPRQKGGKETGFPLQLMHLKEGSDPYLPKIECYEHNHLITKVEGDEGDSSYTDWQYSILWCSWRLNGPSKCPMFAVYSNLPALIIPDDARSNVWVSFDGKRRQQLSRVDCSNIHESAPTPNWWPAAILMVNKKRWYQNGGCQN >ONIVA11G05890.3 pep chromosome:AWHD00000000:11:4874058:4875654:-1 gene:ONIVA11G05890 transcript:ONIVA11G05890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSIAEPGLKRGALRAIRLHLPASYLVPSEAQWLDIWRMLHRLKMPDIMQVSQGLMVIPVQRYFQIGFRGGQFSGEKLEWLLSKGFKIIVDLWEEDVKDDLYLLAVQEAVSLGKIEVVNIPVEIGTAPSAKQVQRLTEVVSDSVKKPIYLHCQEGNVGASFDSDYILSVASGITNGKPSSNGASTSVEERVLFIQ >ONIVA11G05890.4 pep chromosome:AWHD00000000:11:4875654:4876636:-1 gene:ONIVA11G05890 transcript:ONIVA11G05890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVACGVSIPLSPFSRRGGVAAGGGGAAEAAAATASPSGGGGDAAPPPDPLRVTASHVDAVAANSVPFPVATRHRVLLLPFLRFMVSSGGGGGARHGVVAAWASFFSSRIGLDCQGPVPTVVGGCEIEVYCHIFGAAEQLHTAVMLAICDPETGECPVRYDVQAMDLPVLEDKVGAML >ONIVA11G05880.1 pep chromosome:AWHD00000000:11:4844541:4867699:1 gene:ONIVA11G05880 transcript:ONIVA11G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZ87] MWRLKVSEGGSPWLRSVNNLLGRQVWEFDPDLGTPEERADVEKARREFADHRFDRKHSSDLLMRMQFAKENCQRLDLLAVKRGEHEDVMGEAVWSSLKRVVSRVCNLQAHDGHWPGDYAGLMFLLPGLNEDGGWGLHIEGHSTMLGSSLNYVALRLLGEGPNGGDGCIENGRNWILDHGGATFTSSWGKFWLSVLGVFDWSGNNPVPPELLLLPYQLPFHPGRMSCYIRMVYIPMSYVYGKRFVGPITPVVLELRSELYNDPYDEIDWNKARTQCAKEDMYYPRSSNLDMFWSFLDKFIEPVLLRWPGRKLREKALATSMRNVHYEDECTRYICLGGVPKALNTLACWVEDPSSEAFKCHIARVYDYLWIAEDGMKMQIYDGSQVWDASFTVEALVATDLVKELGPTLKRAHSFLKNSQLLDNCPRDFNRWYRHISKGGWTFTTADDGWQVSDCTATALKACLLLSRISPEIVGEPLEIDAQYNAINCLMSFMNDNGGFSAFELVRSNTWLEHINPTEAFGRAMIEYPKLHPGHRKEEVENCISKGANFIEKSQRSDGSWYGSWGVCFTYATWFAVTGLVAAGRTLGNSATVRKACDFLLSKQLPSGGWGESYLSSHDEVYTNLKGNRPHGTHTAWAMIALIDAGQAERDPVPLHRATKALLNLQLEDGEFPQQEIVGVFLQTAMASYSQFRNIFPIMALTRYRRRVLLEGNI >ONIVA11G05870.1 pep chromosome:AWHD00000000:11:4802711:4805687:1 gene:ONIVA11G05870 transcript:ONIVA11G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLALPHLPFLLLTPPPSSSILIPLMIRLKKLKAVQERKNYGKSKAGDANSDEEGDTSGEDESNDDEV >ONIVA11G05860.1 pep chromosome:AWHD00000000:11:4800266:4801324:1 gene:ONIVA11G05860 transcript:ONIVA11G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAIDRILEMLEQMEAKRRCDEKIDRILEKLDEIEANRSKATGEMITANRATSAILKATLSPTPMAPPPPMPTKCSTICSSSDAKADITVAIEVTCVTSVESSMELVATDSTTGGTHINTPDSTKVMPANCLTVGLGVKGGADFARVTCQTMMGVPEGVLVPDASSEVFSPWLIAEIDPMTFMVTKCLMKCLECDSKVTMSTNTYELGLGNWDSRPTHGLEFSYCWVEEFKLPPWPLPIEVILLYPGGLILLNIVKLQEAWNYIEVKVPWLLLDQARFKGVPMDYAMVGQISNINGKKV >ONIVA11G05850.1 pep chromosome:AWHD00000000:11:4772051:4772466:1 gene:ONIVA11G05850 transcript:ONIVA11G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPTWKGRSSTKKKQISSASAWRLWRIIASATPMYVDKNSIHDVVLVGNSTRIPKVQKKLSESFDGKELCRSLDDKPSRMAPPFMPPL >ONIVA11G05840.1 pep chromosome:AWHD00000000:11:4767253:4790841:-1 gene:ONIVA11G05840 transcript:ONIVA11G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNTTKSPAIGIDLGTTYSCVAVWRHDRSEAITNDQGNRITPSCVAFTAADRFVGDAAENQAALNPTNTIFEAQRLIGRRFSDKSVQEDIKLWPFKVIAGPDDRPTIVVQHEGKEMQFVPEEISAMVLSKLRDAAVAYLGEPVTDAVITVPKAIRRLRSACERAKRLLSFTAQTSIEVDSLHDGVDFCAKMSRSRFEELNKELFGKCVKAVEKCLEDAKMDKSAVHDVVLVGGSSRIPKLQSMLHDFFQEKKLRHSVNPDEAVAYGAAIQASILNGDSDDADADADDKKRVMILRDITPLSLGVEINFDHTMSVVIPRNTFIPTKNTRRYTTLYDNQIRVSFPVFEGESASTLDNNLLGKFVLSGVLPAPRGVPQIDVTFDFDTNGVLHVFAEDMGTGSKNNITITNHSGRLKKEDVERMSREARSYNRKRKRTRSSLQMNSGNLQLPGATGMENKPRSFSREADILASDCGEMASAPGDGKQGGGGGGPAVGIDLGTTYSCVAVWRHDRGEVIANDQGNRLTPSCVAFTADDDDSFVGDAAFNQSALNPTNTIFEVKRLIGRRFSDDSVQKDIKLWPFKVVAGQEDRPMIVVRHEGEERQFMPEEISSMVLAKMRETAEVYLGKTVTKAVITVPVYFNNAQRQATMDAGAIAGLNVMRIINEPTAAALAYCLEKMPVSNKGRMVLVFDLGGGTFDISLLNIDPGVNIDMGLFEVKATAGDTHLGGADFDNELVKHSLREFNRKHGSMDIESNQKALRRLRTACERAKRMLSSTMQTTIEVDSLHQGIDFRVTLTRSRFEELNKDLFSKCMEAMENCLRDAKVDKWSVDDVVLVGGSTRIPKVQKMLSEFFDGKELCRSINPDEAVAYGAAIQASILCGGTDDKRLVDMLLREVTPLSLGVETEDNCTMSVVIPRNTAIPTKKVKNFTTLYDNQINVSFPVYEGESANTKDNNLLGEFTLYGIPPAPKRVPSIDATFDIDANGVLNVSAEHKVTGQKNSITITNRSGRLNKEEIDRMALEAERHKMKRIKQNERYDIAVVAAAAEGGLSDDAALMRDLAGGVEGGSGDPIEAGLDSPVGGTDGEAACWQRILGWRGLGMTTTSEGEDAAGGSLTRGFGVCVDPK >ONIVA11G05830.1 pep chromosome:AWHD00000000:11:4766050:4766271:1 gene:ONIVA11G05830 transcript:ONIVA11G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPHFDVTFDIDGNGVLNVTAEDKDTGRKNNIIISNRSGRLNKEEIERMALEAERYKMKRIKQLQIEAVQGN >ONIVA11G05820.1 pep chromosome:AWHD00000000:11:4756788:4765656:1 gene:ONIVA11G05820 transcript:ONIVA11G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNTTKKGGGGGGPAIGIDLGTTYSCVAVRRRYRSEAITNDQGNRITPSCVAFTAADRFVGDAAENQAALNPTNTIFEVKRLIGRRFSDKSVQEDIKLWPFKVIAGRDDRPTIVVRHEGKEKQFVPEEISAMVLSKLRDAAVAYLGEPVTDAVITVPVYFNNAQREATLDAATIAGLNVMRIINEPSAAALAYGLDKMPPASGGAGRMVLIFDLGGGTLDVSLLNIGHPGNNNSSDSGSFEFEVKAVAGDTHLGGADFDNAIVKHCINEFIRKHGVAAEGIWSNQKAIRRLRTACERAKRMLSFTTLASIEVDSLHDGIDFCGKMSRSRFEELNKELFGKCVKAVKKCLEDAKMDKNAVDDVVLVGGSSRIPKLQSMIHDFFDEKKLRRNVNPDEAVAYGAAIQASVLNGDADEADDKKQVMILRDITPLSLGIEVGLDHTMSVVIPRNTFIPTKNVRRYSTIFDNQIAVSINVFEGESASILRNNLLGKFVLSGILPAPRGVPQIDVTFEFDANGVLHVSAKDMGTGSKNNIAITNHSGRLKKEDVERMAREARSYNRTRSSLAITSGNLDGSFLVPFDPNASDCGDQTMAASNTSKKGGGGDGGGGGGPAIGIDLGTTYSCAAVRRHNRSEVITNDQGNRITPSCVAFTADDRFVGDAAENQAALNPTNTIFEAKRLIGRRFSNKSVQEDIKLWPFKVVAGPDDRPTIVVQHEGKEMQFVPEEISAMVLSKLRDAAVAYLGEPVTDAVITVPVYFNNAQREATLDAAAIAYGLDKMPPPPASGGGAAVRTVLIFDLGGGTLDVSLLNIGRPGNNSNSGDNGSSFEFEVKAVAGDTHLGGADFDNAMVNHCINEFIRKHDVAEEGIRSNQKAIRRLRSACERAKRLLSFTAQTSIEVDSLHDGVDFCAKMSRSRFEELNKELFGRCVKAVEKCLEDAKMDKGDVHDVVLVGGSSRIPKLQSMLHDFFQEKKLRHSVNPDEAVAYGAAIQASILNGDADDADDKKKAMILRDITPFSLGVEIYDENDHTMSVVIPRNTFIPAKNTQRYTTHRDMQTSVSIKVFEGESASTKNNYLLGEFVLSGITPAPAGVARIDETLEIDANGVLHVFAEDMGTGSKNNITITNHSGRLKKEDVERMSREARSYNRKRKRTRSSLQINSGNLVILE >ONIVA11G05810.1 pep chromosome:AWHD00000000:11:4754305:4754955:1 gene:ONIVA11G05810 transcript:ONIVA11G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVEARRRVYEFLDTVDGDPTRARGRAVRCCEMALNLYAEYGDMAEARGLLRGGLMQRDADYGSIYRGWIAMEADHAGNVDFARALFAEWRALCGDNNGGFWCRYIAFEARHGGARRARDVAEAAVAACPGEPAVHAKCARLELLLGHEGRAFAVLARGLAAFDSDAAAQEWLVDQVRVYRDALRRRTLAGRLRSCCRAVMASRRPRGYERLQTV >ONIVA11G05800.1 pep chromosome:AWHD00000000:11:4751691:4753737:-1 gene:ONIVA11G05800 transcript:ONIVA11G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVVGPPPVPPPTAPPLPPERQLSGEFVDVELGNVSSTVVDVDRLRAHAPPVPPPSAPPVPPETMNPPPRRQLTGEFVDVELGNAGLSTVRRRRRQRTTARSADDEDGLCSMVCAAVIVVIFMVAIVMLLNKYWCPRIQQGYNWCRDLTKSWQQTDYSIHFASDGEYSKLNTKCCCQNLRLEEDPDLLLSDFESLTCGSTG >ONIVA11G05790.1 pep chromosome:AWHD00000000:11:4746346:4747638:-1 gene:ONIVA11G05790 transcript:ONIVA11G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTPSSSSFLDFTGGVDGDDDDPSCPFEGLCCPDDPLDQVLNFDSSDFGHVFFESLDVELFLPRGGPSRGAGEEDSKGAVERVAFGSSAAVESELGGVGGGGAGSEVSVPGGAGGGRGEDMETEALDVKPVVGVGAGGAMGAHVAGGVGAPGAFPESKQLVPWPCAVGAGASAPGAAPDNRLLALPDVRFDALTAEGAAPGGERGKTIPDSVSKNGLPTLPGVRSATPTAPPATPFRLEWDHAAAPSSSATTTPSDSSLSSPPSLSSVFPRIARVFPSRTKPRRRRTLRRQHWSLICPLHLVPVAAAARGKSISELNASASASAGTDAGTPSINDGGGGSYHRRVVGRQRNRQVRKDRRCSHCGTSETPQWRMGPDGPGTLCNACGIRSKMDRLLPEYRPSTSPSFNGDEHSNRHRKVLKLREKKGRD >ONIVA11G05780.1 pep chromosome:AWHD00000000:11:4741651:4744973:-1 gene:ONIVA11G05780 transcript:ONIVA11G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFESISTDTGRNHYNLTKHPTKISKHESKGLCPRNSLPCQTIFATSMQEHPRTMTREHDGDGEEVATAVHGDAGAEEDGDRNVVDKSEFSDAVHVVVDRDDEEPEFPSDDDEGGDDDVRVSFATAVGDSDEHLREEQGELDLDDDDEEDVSRYEYDYGMWMEAEPMSIQERRRRLLQGMGLASSRDLLRSRSARMRPILPPNIPRCASRRQPPPQCPAAAADDAPSTSTAATVKRQRNAVLTRCRSDSRLAVRGGGAARKPPTFRRVYSVPHSLHGSPVHKALRAAARSRSPLPLPAPKDERENTVRKLDDGKEFVVSGQPAAGGSRGALSDLKTGVQLSLDEFERFIGYTPFVKQLMRRSQSQPVAAGAANGDAKPGKKKPRWLKNIKLVASAAGLIQEKYKESNCGGGGCGRSSSSSSSSAEQAHQPGVTMSKSASTNAATMASSSSSLERPKVHSFGKTARELTGMYFRQEVRAHEGSIWSIKFSPDGRFLASGGEDRVVHVWHVVDDGAPPSSMSPELLSSSQSLPPLAPHGDGGLAAQLSRKLRARRWKTCKDVLPEHVVVPETAFALADEPACSLEGHLDDVLDLAWSMYSQLLLSSSMDKTVRLWDTEAKACLKLFPHNDYVTCVQFNPVDDGYFISGSLDSKVRIWSVAERQVVDWSDLDDMVTAACYTPDGQAAIVGSHKGSCRFYKTADCKLNQEAQIDMNISKKRKSHAKKITGFQFAPGNPSEILVTTADSQIRVFNGITVLQKFKGFKNTSSQISASYSGDGRYVVCASEDSNVYVWRRATSPGGAAGGGVAVKAKTWRTSRAYECFFCKDVSAAVPWPLSPCLPPTRGGGGGGDDDERASSSVRGAVVGGDASASRSPARQLGSLPLRPKSGPMTYSGEKQLGVPREPSSRWHGGAEGGNAWGMVVVTASLAGEIRVYQNFGMPLSLFRKT >ONIVA11G05770.1 pep chromosome:AWHD00000000:11:4730718:4733827:-1 gene:ONIVA11G05770 transcript:ONIVA11G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLDGDESEATLAELAAGFEEWGFFQLVNTGIPDDLLERVKKVCSDIYKLREDGFKESNPAVKALARLVDQEGEGLAMKKIEDMDWEDVFTLQDDLPWPSNPPSFKETMMEYRRELKKLAEKLLGVMEELLGLEEGHIRKAFTNDGDFEPFYGTKVSHYPPCPRPELVDGLRAHTDAGGLILLFQDDRFGGLQMIPNHGGDGRWIDVQPVENAIVVNTGDQIEVLSNGRFKSAWHRILATRDGNRRSIASFYNPARMANIAPAIPAAAADYPSFKFGDYMEVYVKQKFQAKEPRFAALANNVFAQVIFSPVEKIKKMKELKIQRYPGTKKTVLQLSELVRSMLDAFAVSRILPVRPTPTIPAKVPAVFEIPITIPANFGATSMWFTANPALPRARKPRDTDEQNIAPEGVLNNGRAMSAMAETTNPTVISEDPTNVRE >ONIVA11G05770.2 pep chromosome:AWHD00000000:11:4730718:4733179:-1 gene:ONIVA11G05770 transcript:ONIVA11G05770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRELKKLAEKLLGVMEELLGLEEGHIRKAFTNDGDFEPFYGTKVSHYPPCPRPELVDGLRAHTDAGGLILLFQDDRFGGLQMIPNHGGDGRWIDVQPVENAIVVNTGDQIEVLSNGRFKSAWHRILATRDGNRRSIASFYNPARMANIAPAIPAAAADYPSFKFGDYMEVYVKQKFQAKEPRFAALANNVFAQVIFSPVEKIKKMKELKIQRYPGTKKTVLQLSELVRSMLDAFAVSRILPVRPTPTIPAKVPAVFEIPITIPANFGATSMWFTANPALPRARKPRDTDEQNIAPEGVLNNGRAMSAMAETTNPTVISEDPTNVRE >ONIVA11G05770.3 pep chromosome:AWHD00000000:11:4733380:4733827:-1 gene:ONIVA11G05770 transcript:ONIVA11G05770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLDGDESEATLAELAAGFEEWGFFQLVNTGIPDDLLERVKKVCSDIYKLREDGFKESNPAVKALARLVDQEGEGLAMKKIEDMDWEDVFTLQDDLPWPSNPPSFK >ONIVA11G05760.1 pep chromosome:AWHD00000000:11:4728777:4732125:1 gene:ONIVA11G05760 transcript:ONIVA11G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPKRYAIVLLTFMCTNVCYIERVGFSIAYTVAADAVGTNQANKGMILSMFYYGYVLSQIPGGWAAQRLGGRLVLLLSFVLWSSICAVVPLDPNRVILLVLSRLLVGVAQGLIFPSIHTVLAQWVPPQERSRSVSLTTSGMYLGAACGMLLLPSLVKNMGPQSVFSVEAMLGVAWLLIWFKFASDPPRTDLPKVASKDKMKVQTGGIMAPRTVKIPWARILFSLPIWAIVVNNFTFHYALYVLMNWLPTYFKLGLQLSLQDMGFSKMLPYLNMFLFSNIGGVLADHLITRKILSVTKTRKLLNTVGFVVSAIALMALPLFRTPSGAIFCSSVSLGFLALGRAGFAVNHMDVAPKFAGIVMGISNTAGTLAGIVGVGLTGRILETAKASNMDLTSSESWRTVFFVPGYLCIFSSFIFLIFSTGEKIFE >ONIVA11G05750.1 pep chromosome:AWHD00000000:11:4725169:4725600:1 gene:ONIVA11G05750 transcript:ONIVA11G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQVVGPPPVPPPSAPPLPPERQLSGEFVDVELGNVSSTVVDVDRLRQLVTPSALPLPPETMNPPPRRQLTGEFVDVELGNASLYTVQRRQPQTTAQTAYDDETGLCCSVLCAMVIFLSIAILVLIFIGVIVVLIDKYVFGI >ONIVA11G05740.1 pep chromosome:AWHD00000000:11:4722588:4724597:-1 gene:ONIVA11G05740 transcript:ONIVA11G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVEARRRVYEFLDTVDGDPTRAQGRAVRCCEMALNLYAEYGDMAEARGLLRGGLMQRDADYGSIYRGWIAMEADHAGNVDFARALFAEWRALCGDNNGGFWCRYIAFEARHGGARRARDVAEAAVQACPGEPAVHAKCARLELLLGHEGRAFAVLARALAAFGSDAAAQEWLVDQVRVYRDALRRRTLAGRLRSCCRAVMPSRRPRGYERLQTHRNN >ONIVA11G05730.1 pep chromosome:AWHD00000000:11:4716885:4718915:1 gene:ONIVA11G05730 transcript:ONIVA11G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKEGKLIMSREDEEIMAWFERTTRDAADVQRETLRRILAENAGVEYLRELGLAGLTDAGSFRARVPVVTHADLDPYIQRVADGDASPVLTAKPVTAISLSSGTTQGKRKRLLFNDDLLRSSIRFFHASYAFTNRAFPVEDGRVLQFMYGSRHETTKGRLTATTVMTNLLRSEEFTAYMAARSRPRLPSCSPSEVIFSPDFDESLYCHLLCGLLLAGEVRAVSASFAHGIVVALQALERVWRELCADIRRGAASPARVTTPAVRRAVAPILAAPNPALADALERRCAALGDWSGVIPALWPNARYVQATMTGSMEHYVKKLRHYAGGVPLVSGNYASSEGVIGINAEQHAPPESVVFTVLPDAAYFEFIPLKPPCTDAADDDDNPAAAGSSCYVDADDANPVGLTDVVVGEHYEVVMTTFTGLYRYRLGDVVKVAGFHHATPKLRFVCRRSLILSINVDKNSEHDLQLAVDSAAKILAGDGENHKQLEIADYTSHADTSSDPGHYVVFWELNGGGEEDGGGVLQRCCDEMDRAFGADAGYAQSRKTCAIGALELRVLRRGAFQEVLRHYVAGGSSAGQFKMPRCVAPSNAGVLRVLKDNTINIFFSTAYDYD >ONIVA11G05720.1 pep chromosome:AWHD00000000:11:4703440:4713359:-1 gene:ONIVA11G05720 transcript:ONIVA11G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding;nucleotide binding;nucleic acid binding;DNA-directed DNA polymerases;DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT5G63960) TAIR;Acc:AT5G63960] MSSGGRGGKRRGAPPPGPSGAAAKRAHPGGTPQPPPPAATAAAPVVEEEDMMDEDVFLDETILAEDEEALLLLDRDEALASRLSRWKRPALPADLASGCSRNVAFQQLEIDYVIGESHKVLLPNSSGPAAILRIFGVTREGHSVCCQVHGFEPYFYISCPMGMGPDDISRFHQTLEGRMKDSNRNSNVPRFVKRIELVQKQTIMHYQPQQSQPFLKIVVALPTMVASCRGILERGITIEGLGSKSFLTYESNILFALRFMIDCNIVGGNWIEVPAGKYSDLVSHAAEGEHSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGEGQPFVRNVMTLKSCSPIVGVDVMSFDTERDVLLAWRDFIREVDPDIIIGYNICKFDLPYLIERAEVLKIVEFPILGRIRNSRVRVRDTTFSSRQYGMRESKDVAVEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLADAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQASGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESVNKTPSGETFVKPDVQKGILPEILEELLAARKRAKADLKEAKDPFERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADYISGTFIKPIKLEFEKIYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILVDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDAATAPTVGDRVPYVIIKAAKGAKAYERSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASRELLHGSHTRAVSISTPSNSGIMKFAKKQLTCLGCKAVISGSNQTLCSHCKGREAELYCKTVGNVSELEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARVQLQRWDF >ONIVA11G05710.1 pep chromosome:AWHD00000000:11:4696806:4703532:1 gene:ONIVA11G05710 transcript:ONIVA11G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQKQRSSLCSTATVVVFVALCLVGLWMISSPETIPAAAANVSKKPDVVAVKEEDSSLDATNNVKQNSANVVAETAAADETAATAAADEDDNPAKPAAGEKAAASSKDQTFDDENGRTEGGALVKPENGGGDEVASDVKEIGSLEQAAIDMKDTTEQSVGDTKEAAVVQDKSSDEITTAASDARESGDGGGGAAKNKQTFDDENGKLDGVNLVKDVENKTMSEEGAKPLPEETTTVSSKNSIVAAAAMSDEKLSDNNGEQAQPVEALPNGQAELLTERAAQNGSFTTQAAESIKEKKKRAEKKKKKKKKAKAAAAAAAAEEEGGGGGAASLGWKLCNTSAGADYIPCLDNEAAIKKLKTTAHYEHRERHCPASPPTCLVPSPEGYRDPIRWPRSRDKIWYHNVPHSELAAYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIELIQSSFPEVAWGRRSRVALDVGCGVASFGGYLFDHDVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTRRLPFPSNVFDAVHCARCRVPWHIEGGMLLLELNRLLRPGGFFVWSATPVYQELPEDVEIWGEMVKLTKAMCWEMVSKTSDTVDQVGLVTFRKPADNACYMKRRQKEPPLCEPSDDPNAAWNITLRACMHWMPTDRSVRGSRWPERWPERMEKTPYWLNSSQVGVYGKPAPEDFVADQEHWRKVVRNSYLTGMGIDWKTVRNVMDMRAVYGGFAAALRDMSVWVMNVVTINSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKSRCEVLPVIVEVDRILRPNGKLIVRDDKETVDEIKGVVRSLQWEVRMTVSKNREAMLCARKTTWRPTEVEAR >ONIVA11G05710.2 pep chromosome:AWHD00000000:11:4696806:4699393:1 gene:ONIVA11G05710 transcript:ONIVA11G05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQKQRSSLCSTATVVVFVALCLVGLWMISSPETIPAAAANVSKKPDVVAVKEEDSSLDATNNVKQNSANVVAETAAADETAATAAADEDDNPAKPAAGEKAAASSKDQTFDDENGRTEGGALVKPENGGGDEVASDVKEIGSLEQAAIDMKDTTEQSVGDTKEAAVVQDKSSDEITTAASDARESGDGGGGAAKNKQTFDDENGKLDGVNLVKDVENKTMSEEGAKPLPEETTTVSSKNSIVAAAAMSDEKLSDNNGEQAQPVEALPNGQAELLTERAAQNGSFTTQAAESIKEKKKRAEKKKKKKKKAKAAAAAAAAEEEGGGGGAASLGWKLCNTSAGADYIPCLDNEAAIKKLKTTAHYEHRERHCPASPPTCLVPSPEGYRDPIRWPRSRDKIWYHNVPHSELAAYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIELIQSSFPEVAWGRRSRVALDVGCGVASFGGYLFDHDVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTRRLPFPSNVFDAVHCARCRVPWHIEGGMLLLELNRLLRPGGFFVWSATPVYQELPEDVEIWGEMVKLTKAMCWEMVSKTSDTVDQVGLVTFRKPADNACYMKRRQKEPPLCEPSDDPNAACLSFLLPMLITYFLARSKQ >ONIVA11G05700.1 pep chromosome:AWHD00000000:11:4691138:4696141:1 gene:ONIVA11G05700 transcript:ONIVA11G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZ66] MAEEEVAAVVGELRGSFRSGRTRAAEWRAAQLRGIVRMVEEREGDISDALHSDLAKPRMESYLHEISLAKAACTFALKGLKNWMKPEKMLIMLTLINDDQVPAALTTFPSTAQIVSEPLGVVLVISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPATSALFAKLLPEYVDSSCIKVVEGGVPETTALLEQKWDKIFYTGSGNVGRIVMAAAAKHLTPVALELGGKCPAIVDSNTDLHVTMKRLAVGKWGCNNGQACIAPDYVITTKSFAPELVDSLKRVLKRFYGEDPLQSEDLSRIVNSNHFRRLTNLIEDKKVAQKIVYGGQTDEKQLKIAPTVLLDVPLDTTLMAEEIFGPLLPIVTVDKIEDSIQFINSRTKPLAAYLFTKDKKLQEEFVSNVPAGGMLVNDVALHLANPHLPFGGVGDSGIGSYHGKFSFDCFTHKKAVLIRGFGGEATARYPPYTIEKQKILRGLINGSFFALILALLGFPKERR >ONIVA11G05690.1 pep chromosome:AWHD00000000:11:4662525:4666211:-1 gene:ONIVA11G05690 transcript:ONIVA11G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKEPVHSHLTVGLASLALLSDGRAAAALLTVGFAAVPVSCPWVASPLLFSSILERVYCRSMTSPEVSVGHPQGVRS >ONIVA11G05680.1 pep chromosome:AWHD00000000:11:4658185:4658783:1 gene:ONIVA11G05680 transcript:ONIVA11G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIKNSSTMLLSVMALMALCTTLPSCHAMDGANRCVSIGRAALWICVVSTARTGASIGKEPSVMVLLTSVAANTMVRDEPTFVLVKEFILQFLPATDYPVIMINVIDFDVYDPINLPARLMANIFLQNK >ONIVA11G05670.1 pep chromosome:AWHD00000000:11:4654830:4655165:1 gene:ONIVA11G05670 transcript:ONIVA11G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKHAAHTRKSSVSTALPLLLMFLLATAAASASASPSAISHQPNDLEDFATCFRASSCYDTGCAIRCRDLGLNPAGSRRKVLPGIGQCCCCGRLPPPASSSSPVFPSIVA >ONIVA11G05660.1 pep chromosome:AWHD00000000:11:4652268:4652979:1 gene:ONIVA11G05660 transcript:ONIVA11G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMALIKNSTILLMALMVLCTTLPSCHAVSTQDGPWSKQLCVNWQGCAVDVCRRYCSHRGLEWQGASCNDSSDRCCCQYNDVQKSTN >ONIVA11G05650.1 pep chromosome:AWHD00000000:11:4643598:4650594:1 gene:ONIVA11G05650 transcript:ONIVA11G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLATRSSKSLTLLVFVAAVIAVPLVLMCLLVAVAAASASAAASSGEYRPSYGDTYATCIPVAACDDNGCATRCRDLGYNPGSACWTSKDIKLYCCCGHGRRLPSLAMHSRKKPSPVAAAAAVPLLLMCLLFAATAMAASPSSAAAAAASFVEPSDADTYSTCFEAGGCNNTGCAIRCRDLGHNPAGSACRTRDTAIYCCCGVGRDTPPSCVLSIDRLIRALQLAAHSRKSTVSVLIMPLLMLLLAAMAASAASSYQADDQMSDFGMCFFASSCYDTGCAIRCRDLGWNPAGSGCRKYPDIDQLCCCARPSSSSSSSPAPSIA >ONIVA11G05640.1 pep chromosome:AWHD00000000:11:4632876:4633749:-1 gene:ONIVA11G05640 transcript:ONIVA11G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRSLLHKRILPAAAAAAAAGAALRRPAAGSRLLQARLHQGNGRQRSSSAFLDAGFRDSEKDIDREIEQLAQKFEENSKRWKQEREELDNLRRYTSS >ONIVA11G05630.1 pep chromosome:AWHD00000000:11:4622475:4623332:1 gene:ONIVA11G05630 transcript:ONIVA11G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKKEVADDTILLISSDGEHFNVPSAAASLPQLVSNMIEDDCTTNGVPLPNVASKVLAKVIEYCVKHAAAAEDEEKELKSFDAEFMIDVDKNMLYGLLLASNFLNIKSLLDLCCQHTANLIKGKSPEQIRKEIVDL >ONIVA11G05620.1 pep chromosome:AWHD00000000:11:4621416:4621934:-1 gene:ONIVA11G05620 transcript:ONIVA11G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEATTDGGGKMIILISADGKRFEVTEAVASQSQLISNMIEDDCTENGVRLPNVDGDILTMVVDYCNMHAGDAAAAGDTMKASSTEEELKKFDAELVQALENPVLFKLILAANFLNIKSLLDMTCQRVADMMSGKTPEQMRETFSIENDFTPEEEAAIRQENAWAFDD >ONIVA11G05610.1 pep chromosome:AWHD00000000:11:4602993:4603266:1 gene:ONIVA11G05610 transcript:ONIVA11G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGWDPVVHPGVPLRHTGDAKFALKSFSPASNGGPWPECPPQPKLIRPVTVLQRVRGAQGSAAGRVPRRVELAVRRARQVPARQ >ONIVA11G05600.1 pep chromosome:AWHD00000000:11:4602161:4602487:1 gene:ONIVA11G05600 transcript:ONIVA11G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQLAARSSKSLAVAAGVPLLMCLFLVAVAGVAASSDQTAVASSPQYQPSYGNTYSTCFQVGGCDDTGCAIRCRDLGHNPAGSACWTSNVATIFCCCGRGRPPPVA >ONIVA11G05590.1 pep chromosome:AWHD00000000:11:4598749:4601042:-1 gene:ONIVA11G05590 transcript:ONIVA11G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEAARHRRDISGALTALAVRLGAASPGRNLVFSPLSVYASLSLAAAGAAVGTLDELLPVFGAASSDDLAAFVGRMAKTALADRGPESLGPHVVSASGVWCDAARPFKPAYRAAVAAEYNAEATAVDFKNKVGATGKALKNVVPVGIDCSFLGSLFVHATLPAAMNQSNYALSPGLGLQLPHFTGSFKIMRAWILKSQSMQLKNTRTDN >ONIVA11G05580.1 pep chromosome:AWHD00000000:11:4596895:4597287:1 gene:ONIVA11G05580 transcript:ONIVA11G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAMAATGQPLPGRCDDLGLPGPCTADECQLECRGMGGDSTRASCNSAGKCCCPARNALVCEHYDHCRDRIDGCRKKCENDWALSPAGAYCKDGSGNVRDSCCCRPNATVAVVDGDVDHRHRRIHLHY >ONIVA11G05570.1 pep chromosome:AWHD00000000:11:4585883:4586242:1 gene:ONIVA11G05570 transcript:ONIVA11G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILQRSSARSKRALFVVVVIAVVAALLLMHDDLLAAAAPAADGGGSGSGSGQMKPEGILYAGCFRAGGCKLTPEWCPVRCVYLGFSPGAGCEVMDDGHIYCCCGPSRTSAHADPSTKA >ONIVA11G05560.1 pep chromosome:AWHD00000000:11:4573506:4575125:-1 gene:ONIVA11G05560 transcript:ONIVA11G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGALQLPPGFRFHPTDDELVMYYLCRKCGGLPLAAPVIAEVDLYKFNPWDLPERAMGGEKEWYFFSPRDRKYPNGQRPNRAAGTGYWKATGADKPVGSPRAVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKLSKSSHNALRLDDWVLCRIYNKKGVIERYDTVDAGEDVKPAAAAAAKGGRIGGGGGAAAMKVELSDYGFYDQEPESEMLCFDRSGSADRDSMPRLHTDSSGSEHVLSPSPSPDDFPGGGGGDHDYAESQPSGGCGGWPGVDWAAVGDDGFVIDSSLFELPSPAAFSRGGGDGAAFGDMFTYLQKPF >ONIVA11G05550.1 pep chromosome:AWHD00000000:11:4566399:4573379:-1 gene:ONIVA11G05550 transcript:ONIVA11G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASTGRSKSKQKIRENSWYFQYLSSTPRVGTNNREEKRKKNTGEIQRPKFKGRQQLTTSVPIQHSGGARYEPVAGDASRRAVAPTTSSALGCCLLRAI >ONIVA11G05540.1 pep chromosome:AWHD00000000:11:4566174:4571989:1 gene:ONIVA11G05540 transcript:ONIVA11G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARLALLASLLLLLQLVPPSSAVVLELHGNVYPIGHFFVTMNISDPAKPYFLDIDTGSTLTWLQCDYPCINCNKAHSLFYPRLIGSFVPHGLYKPELKYAVKCTEQRCADLYADLRKPMKCGPKNQCHYGIQYVGGSSIGVLIVDSFSLPASNGTNPTSIAFGCGYNQGKNNHNVPTPVNGILGLGRGKVTLLSQLKSQGVITKHVLGHCISSKGKGFLFFGDAKVPTSGVTWSPMNR >ONIVA11G05530.1 pep chromosome:AWHD00000000:11:4561987:4564620:1 gene:ONIVA11G05530 transcript:ONIVA11G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRADDPLFVALHEDGRSGDGNHMSPTQCDYEIKYADGASTIGALIVDQFSLPRIATRPNLPFGCGYTQGVGENFQQTSPVNGIASALEEGASSSSGTTMATWNYYSPGSATLYFDRHSLGMNPMDVIKGGLSSTSLEQVSDPSLPLCWKGQKAFESVSDVKKEFKSLQLNFGNNAVMEIPPENFLIVTVERIPS >ONIVA11G05520.1 pep chromosome:AWHD00000000:11:4560935:4561304:1 gene:ONIVA11G05520 transcript:ONIVA11G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARWAPIASFLLVLPALLLLPPAASSAAMVFPLHGNPLLRDDEHGVPAKPYFLDIDTGSDLTWVECDAPC >ONIVA11G05510.1 pep chromosome:AWHD00000000:11:4558173:4558511:1 gene:ONIVA11G05510 transcript:ONIVA11G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLATATTGSIQVGKCGDLSPAFPGNCAADVCQFRCAVMGGDREKAYCDAATGKCCCPPGSATLCRPLDGCRSRIPACRIKCKSVFRDPGRESLLPGRLSRFRRLLLLPSQ >ONIVA11G05500.1 pep chromosome:AWHD00000000:11:4552713:4555625:1 gene:ONIVA11G05500 transcript:ONIVA11G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMGRRLLLITLLLGALLCNNVAYAKFSRYSFPKDFIFGTGSAAYQYEGAYKEGGKGPSIWDTFTHVPGKILNNDTGDVASDFYHRYKEDVNLLKDMNMDAFRFSIAWTRILPNGSLSGGINREGVAFYNSLINDVIAKGMIPFVTIFHWDTPQALESKYGGFLSENIVKDYVDFAEVCFREFGDRVKYWTTFNEPFTYNAYGYGKGVFAPGRCSPYVSKSCGAGDSSREPYLVTHHIHLSHAAADLPSTSTAPAHRGAVQRSLDFMFGWFMDPLVHGDYPGTMRGWLGDRLPKLTLAQSAMVKGSYDFIGINYYTTYYAKSMPPPNSNELSYDVDSRANTTGFRNGKPISPQEFTPIFFNYPPGIREVLLYTKRRHRRGQQQHGARGAQGWAQDRVPLQAPLVRQPRHQERGKCEGLLHMDVHGLL >ONIVA11G05490.1 pep chromosome:AWHD00000000:11:4545526:4549504:1 gene:ONIVA11G05490 transcript:ONIVA11G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRQAMAMGSSDGDVLYARCLVTLYLLSPLNVFALWFMSAPYGKLSRPGWGPTVPAALAWCLMESPTLWLPPLVLSTTTTSLSTPTLRVTILPAALYMLHYVHRTLVHPIRLLRLRRAPVPMPILIIMFGFGFNLLNAYIQARSWALDAVPPATAAPLTVARCLVGLALFVWGMWTNIAADRELLRLKEAGKGYQIPKDGLFDVVTCPNYFGETVEWLGYALVAWTPAAWAFFLYTCVNLGPRARDQRQWYIGKFGDKYPASRKAFVPYIY >ONIVA11G05490.2 pep chromosome:AWHD00000000:11:4545526:4549504:1 gene:ONIVA11G05490 transcript:ONIVA11G05490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRQAMAMGSSDGDVLYARCLVTLYLLSPLNVFALWFMSAPYGKLSRPGWGPTVPAALAWCLMESPTLWLPPLVLSTTTTSLSTPTLRVTILPAALYMLHYVHRTLVHPIRLLRLRRAPVPMPILIIMFGFGFNLLNAYIQARSWALDAVPPATAAPLTVARCLVGLALFVWGMWTNIAADRELLRLKEAGKGYQIPKDGLFDVVTCPNYFGETVEWLGYALVAWTPAAWAFFLYTCVNLGPRARDQRQWYIGKFGDKYPASRKAFVPYIY >ONIVA11G05480.1 pep chromosome:AWHD00000000:11:4540673:4545110:1 gene:ONIVA11G05480 transcript:ONIVA11G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARWAPVVGLLGLLLLPFAPAPAGAATPARSPPSSASSAVFLLSGDVYPTGHYYVTMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPTKNKLVPCANSICTALHSGSSPNKKCTTQQQCDYQIKYTDKASSLAVDMTNKWGKMVQHQQQQTACLGLGGDRNYYSPGSATLYFDRRSLSTKPMEVVFDSGSTYTYFSAQPYQATISAIKGSLSKSLKQVSDPSLPLCWKGQKAFKSVSDVKKDFKSLQFIFGKNAVMEIPPENYLIVTKNGNVCLGILDGSAAKLSFSIIGDITMQDQMVIYDNEKAQLGWIRGSCSRSPKSIMSSFP >ONIVA11G05470.1 pep chromosome:AWHD00000000:11:4526741:4536659:1 gene:ONIVA11G05470 transcript:ONIVA11G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSTSVAAEISRSVARRRASAEASQEEELGPREQRVKGSKLLSGCFLRIGGILKERCSDGSSQAMWRHKEGGGAGADGRGRWRWHWLGKKRGNRSEKRTRDVMGWHRGVGDRSDLAEVDDPESAMSTVAQLLEQLHTSMTSLPEKEVTTKRLLELAKEKKEARVLIGSHSQAIPLFISILRSGTSIAKVNAAALLSTLCKEEDLRVKVLLGGCIPPLLSLLKSESTEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVMPTLWDMLKPRSHQDRVVEGFVTGALRNLCGDKDGYWRANLEAGGVEIITGLISSKNTTSQSNAASLLARLVSAFGDSIPKIIDAGAVKALLRLLNRDNDISVRESAADALEALSSKSSIAKKAVVDAGGIPVLIGAVVAPSKECMHGDTCHSLQSHAVHALSNICGGTVSLLLYLGELCQAPCPPVPLADILGALAYTLMVFSGTDGKSFDPIEIENILIVLLKSYDSNLVLDRILEALASLYGNVCLSGRLNHSNAKKVLVGLITMASADVQKNLVHALTSLCSDGIGIWDALGKREGTQLLISFLGLSSEQHQEYAVSLLAILSDEVDDSKWAMTAAGGIPPLVQLLETGSQKAKEDAAHILWNLCCHSDDISACVESAGAVLALLWLLKSGSPRGQEASAKALKKIIRSADSSTINQLRALLLSDSLSTKAHAITVLGHVLVMASQRDLVQNGAPANKGLKSLIDILESSNEETQEQAATVVADIFSTRQDICDILATDEIIQPCMKLLTSGNQVIATQSARALGALSHSANAMLKNKMSCIAEGYVQTLIEMSKSPSIDAAETTIAALANFLSDAHIAKEALDGNIVLALTRVLKEGSLEGKISASRSLCQLLNQFPLNEVIPDYSQCYFIIHALLVCLSGINLENATNLDPLNVLAWMARTKEGAHFSSPLWSAFLDVPESLEPLVRCISVGLPPIQDKAIRILASLCQDQPSLLGEHLNRSQGCIASLASRVIEATNMEIRIGSAITLISAMRHSREHSIDVIEESGHLKNLISASIDMMKQDSAPTSLDIEVWKPYPENSLYNYDKDVLGVSGSGKVLEETVALWLLSLICSSHLSSKLTVMDLGGVETISDKLASYTANQQDQYEDSESVWTCALLLATLFQDSMVVQSPAIMRTIPSLASLLKSDKIIDKYFAAQSLASLVSTGSRSIQLAIANSGAVMGTIAMIGQIESTMPNLVAMAEEFKLTENPSKIILRSLFELEDVRTSATARRSIPLLVDLLKPMPDRQGAPLVALHLLTQLAEGSETNKVAMAEAGVLDALTKYLSLSPQDSTETTIINLLRILYTNPDLLYHESSISTSNQLVAVLRLGSRNSRLSAARTLQNLFDSENIRDTEVAWQAIPPLLDMLESGTETEQQAALGALIKLSSGNISKASAMFDVEGTTLESLYKILSFSSSLELKNDAAQLCYILFENSTIRASPIASECLQPLISLMTSGSTFVVEPAVRALNRLLDEEYNAEIAATSEVVDLLVSFVPGTNHQLSEACIGALIKLGKDRPNCKLEMVKAGIIEHVLDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLSPSQIIEPLISFLESPSQAIQQLGTELLTHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGILELSKVIVQDDPQPSQALWDSAALVLCNVLRYSSDNYVQVSIAVLVRLLNSTIESTVTIALNALLVQEKSKSRCALAMAEAGAVRALLKLLKSHRCEESAARLLEALINNARVRETKVAKYSIGPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSVLEDQPTDDMTMVAICALQSLVLHSRTNRRAIAEAGGILVVQELLLSPNVDIAGQAALLIKYLFLNHTLQEYVSNELIRSLTAALERELLSTSTINEVILRTIHVIFNNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLQCLPGCLTVTILRGNNLKQTMGSTNAFCCLQIGNGPPRQTKVVNNSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDNVVTEGVYSGFFSLKHDGGKDGSRTLEIEIVWSNRPSNDIM >ONIVA11G05470.2 pep chromosome:AWHD00000000:11:4526741:4536659:1 gene:ONIVA11G05470 transcript:ONIVA11G05470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSTSVAAEISRSVARRRASAEASQEEELGPREQRVKGSKLLSGCFLRIGGILKERCSDGSSQAMWRHKEGGGAGADGRGRWRWHWLGKKRGNRSEKRTRDVMGWHRGVGDRSDLAEVDDPESAMSTVAQLLEQLHTSMTSLPEKEVTTKRLLELAKEKKEARVLIGSHSQAIPLFISILRSGTSIAKVNAAALLSTLCKEEDLRVKVLLGGCIPPLLSLLKSESTEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVMPTLWDMLKPRSHQDRVVEGFVTGALRNLCGDKDGYWRANLEAGGVEIITGLISSKNTTSQSNAASLLARLVSAFGDSIPKIIDAGAVKALLRLLNRDNDISVRESAADALEALSSKSSIAKKAVVDAGGIPVLIGAVVAPSKECMHGDTCHSLQSHAVHALSNICGGTVSLLLYLGELCQAPCPPVPLADILGALAYTLMVFSGTDGKSFDPIEIENILIVLLKSYDSNLVLDRILEALASLYGNVCLSGRLNHSNAKKVLVGLITMASADVQKNLVHALTSLCSDGIGIWDALGKREGTQLLISFLGLSSEQHQEYAVSLLAILSDEVDDSKWAMTAAGGIPPLVQLLETGSQKAKEDAAHILWNLCCHSDDISACVESAGAVLALLWLLKSGSPRGQEASAKALKKIIRSADSSTINQLRALLLSDSLSTKAHAITVLGHVLVMASQRDLVQNGAPANKGLKSLIDILESSNEETQEQAATVVADIFSTRQDICDILATDEIIQPCMKLLTSGNQVIATQSARALGALSHSANAMLKNKMSCIAEGYVQTLIEMSKSPSIDAAETTIAALANFLSDAHIAKEALDGNIVLALTRVLKEGSLEGKISASRSLCQLLNQFPLNEVIPDYSQCYFIIHALLVCLSGINLENATNLDPLNVLAWMARTKEGAHFSSPLWSAFLDVPESLEPLVRCISVGLPPIQDKAIRILASLCQDQPSLLGEHLNRSQGCIASLASRVIEATNMEIRIGSAITLISAMRHSREHSIDVIEESGHLKNLISASIDMMKQDSAPTSLDIEVWKPYPENSLYNYDKDVLGVSGSGKVLEETVALWLLSLICSSHLSSKLTVMDLGGVETISDKLASYTANQQDSMVVQSPAIMRTIPSLASLLKSDKIIDKYFAAQSLASLVSTGSRSIQLAIANSGAVMGTIAMIGQIESTMPNLVAMAEEFKLTENPSKIILRSLFELEDVRTSATARRSIPLLVDLLKPMPDRQGAPLVALHLLTQLAEGSETNKVAMAEAGVLDALTKYLSLSPQDSTETTIINLLRILYTNPDLLYHESSISTSNQLVAVLRLGSRNSRLSAARTLQNLFDSENIRDTEVAWQAIPPLLDMLESGTETEQQAALGALIKLSSGNISKASAMFDVEGTTLESLYKILSFSSSLELKNDAAQLCYILFENSTIRASPIASECLQPLISLMTSGSTFVVEPAVRALNRLLDEEYNAEIAATSEVVDLLVSFVPGTNHQLSEACIGALIKLGKDRPNCKLEMVKAGIIEHVLDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLSPSQIIEPLISFLESPSQAIQQLGTELLTHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGILELSKVIVQDDPQPSQALWDSAALVLCNVLRYSSDNYVQVSIAVLVRLLNSTIESTVTIALNALLVQEKSKSRCALAMAEAGAVRALLKLLKSHRCEESAARLLEALINNARVRETKVAKYSIGPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSVLEDQPTDDMTMVAICALQSLVLHSRTNRRAIAEAGGILVVQELLLSPNVDIAGQAALLIKYLFLNHTLQEYVSNELIRSLTAALERELLSTSTINEVILRTIHVIFNNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLQCLPGCLTVTILRGNNLKQTMGSTNAFCCLQIGNGPPRQTKVVNNSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDNVVTEGVYSGFFSLKHDGGKDGSRTLEIEIVWSNRPSNDIM >ONIVA11G05470.3 pep chromosome:AWHD00000000:11:4526213:4536659:1 gene:ONIVA11G05470 transcript:ONIVA11G05470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNSSERRDYREPTSPSPSTSSSRSRDRSDLAEVDDPESAMSTVAQLLEQLHTSMTSLPEKEVTTKRLLELAKEKKEARVLIGSHSQAIPLFISILRSGTSIAKVNAAALLSTLCKEEDLRVKVLLGGCIPPLLSLLKSESTEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVMPTLWDMLKPRSHQDRVVEGFVTGALRNLCGDKDGYWRANLEAGGVEIITGLISSKNTTSQSNAASLLARLVSAFGDSIPKIIDAGAVKALLRLLNRDNDISVRESAADALEALSSKSSIAKKAVVDAGGIPVLIGAVVAPSKECMHGDTCHSLQSHAVHALSNICGGTVSLLLYLGELCQAPCPPVPLADILGALAYTLMVFSGTDGKSFDPIEIENILIVLLKSYDSNLVLDRILEALASLYGNVCLSGRLNHSNAKKVLVGLITMASADVQKNLVHALTSLCSDGIGIWDALGKREGTQLLISFLGLSSEQHQEYAVSLLAILSDEVDDSKWAMTAAGGIPPLVQLLETGSQKAKEDAAHILWNLCCHSDDISACVESAGAVLALLWLLKSGSPRGQEASAKALKKIIRSADSSTINQLRALLLSDSLSTKAHAITVLGHVLVMASQRDLVQNGAPANKGLKSLIDILESSNEETQEQAATVVADIFSTRQDICDILATDEIIQPCMKLLTSGNQVIATQSARALGALSHSANAMLKNKMSCIAEGYVQTLIEMSKSPSIDAAETTIAALANFLSDAHIAKEALDGNIVLALTRVLKEGSLEGKISASRSLCQLLNQFPLNEVIPDYSQCYFIIHALLVCLSGINLENATNLDPLNVLAWMARTKEGAHFSSPLWSAFLDVPESLEPLVRCISVGLPPIQDKAIRILASLCQDQPSLLGEHLNRSQGCIASLASRVIEATNMEIRIGSAITLISAMRHSREHSIDVIEESGHLKNLISASIDMMKQDSAPTSLDIEVWKPYPENSLYNYDKDVLGVSGSGKVLEETVALWLLSLICSSHLSSKLTVMDLGGVETISDKLASYTANQQDQYEDSESVWTCALLLATLFQDSMVVQSPAIMRTIPSLASLLKSDKIIDKYFAAQSLASLVSTGSRSIQLAIANSGAVMGTIAMIGQIESTMPNLVAMAEEFKLTENPSKIILRSLFELEDVRTSATARRSIPLLVDLLKPMPDRQGAPLVALHLLTQLAEGSETNKVAMAEAGVLDALTKYLSLSPQDSTETTIINLLRILYTNPDLLYHESSISTSNQLVAVLRLGSRNSRLSAARTLQNLFDSENIRDTEVAWQAIPPLLDMLESGTETEQQAALGALIKLSSGNISKASAMFDVEGTTLESLYKILSFSSSLELKNDAAQLCYILFENSTIRASPIASECLQPLISLMTSGSTFVVEPAVRALNRLLDEEYNAEIAATSEVVDLLVSFVPGTNHQLSEACIGALIKLGKDRPNCKLEMVKAGIIEHVLDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLSPSQIIEPLISFLESPSQAIQQLGTELLTHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGILELSKVIVQDDPQPSQALWDSAALVLCNVLRYSSDNYVQVSIAVLVRLLNSTIESTVTIALNALLVQEKSKSRCALAMAEAGAVRALLKLLKSHRCEESAARLLEALINNARVRETKVAKYSIGPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSVLEDQPTDDMTMVAICALQSLVLHSRTNRRAIAEAGGILVVQELLLSPNVDIAGQAALLIKYLFLNHTLQEYVSNELIRSLTAALERELLSTSTINEVILRTIHVIFNNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLQCLPGCLTVTILRGNNLKQTMGSTNAFCCLQIGNGPPRQTKVVNNSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDNVVTEGVYSGFFSLKHDGGKDGSRTLEIEIVWSNRPSNDIM >ONIVA11G05470.4 pep chromosome:AWHD00000000:11:4526213:4536659:1 gene:ONIVA11G05470 transcript:ONIVA11G05470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAQLLEQLHTSMTSLPEKEVTTKRLLELAKEKKEARVLIGSHSQAIPLFISILRSGTSIAKVNAAALLSTLCKEEDLRVKVLLGGCIPPLLSLLKSESTEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVMPTLWDMLKPRSHQDRVVEGFVTGALRNLCGDKDGYWRANLEAGGVEIITGLISSKNTTSQSNAASLLARLVSAFGDSIPKIIDAGAVKALLRLLNRDNDISVRESAADALEALSSKSSIAKKAVVDAGGIPVLIGAVVAPSKECMHGDTCHSLQSHAVHALSNICGGTVSLLLYLGELCQAPCPPVPLADILGALAYTLMVFSGTDGKSFDPIEIENILIVLLKSYDSNLVLDRILEALASLYGNVCLSGRLNHSNAKKVLVGLITMASADVQKNLVHALTSLCSDGIGIWDALGKREGTQLLISFLGLSSEQHQEYAVSLLAILSDEVDDSKWAMTAAGGIPPLVQLLETGSQKAKEDAAHILWNLCCHSDDISACVESAGAVLALLWLLKSGSPRGQEASAKALKKIIRSADSSTINQLRALLLSDSLSTKAHAITVLGHVLVMASQRDLVQNGAPANKGLKSLIDILESSNEETQEQAATVVADIFSTRQDICDILATDEIIQPCMKLLTSGNQVIATQSARALGALSHSANAMLKNKMSCIAEGYVQTLIEMSKSPSIDAAETTIAALANFLSDAHIAKEALDGNIVLALTRVLKEGSLEGKISASRSLCQLLNQFPLNEVIPDYSQCYFIIHALLVCLSGINLENATNLDPLNVLAWMARTKEGAHFSSPLWSAFLDVPESLEPLVRCISVGLPPIQDKAIRILASLCQDQPSLLGEHLNRSQGCIASLASRVIEATNMEIRIGSAITLISAMRHSREHSIDVIEESGHLKNLISASIDMMKQDSAPTSLDIEVWKPYPENSLYNYDKDVLGVSGSGKVLEETVALWLLSLICSSHLSSKLTVMDLGGVETISDKLASYTANQQDQYEDSESVWTCALLLATLFQDSMVVQSPAIMRTIPSLASLLKSDKIIDKYFAAQSLASLVSTGSRSIQLAIANSGAVMGTIAMIGQIESTMPNLVAMAEEFKLTENPSKIILRSLFELEDVRTSATARRSIPLLVDLLKPMPDRQGAPLVALHLLTQLAEGSETNKVAMAEAGVLDALTKYLSLSPQDSTETTIINLLRILYTNPDLLYHESSISTSNQLVAVLRLGSRNSRLSAARTLQNLFDSENIRDTEVAWQAIPPLLDMLESGTETEQQAALGALIKLSSGNISKASAMFDVEGTTLESLYKILSFSSSLELKNDAAQLCYILFENSTIRASPIASECLQPLISLMTSGSTFVVEPAVRALNRLLDEEYNAEIAATSEVVDLLVSFVPGTNHQLSEACIGALIKLGKDRPNCKLEMVKAGIIEHVLDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLSPSQIIEPLISFLESPSQAIQQLGTELLTHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGILELSKVIVQDDPQPSQALWDSAALVLCNVLRYSSDNYVQVSIAVLVRLLNSTIESTVTIALNALLVQEKSKSRCALAMAEAGAVRALLKLLKSHRCEESAARLLEALINNARVRETKVAKYSIGPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSVLEDQPTDDMTMVAICALQSLVLHSRTNRRAIAEAGGILVVQELLLSPNVDIAGQAALLIKYLFLNHTLQEYVSNELIRSLTAALERELLSTSTINEVILRTIHVIFNNFKKVRFSEAATLCIPHLVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLQCLPGCLTVTILRGNNLKQTMGSTNAFCCLQIGNGPPRQTKVVNNSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDNVVTEGVYSGFFSLKHDGGKDGSRTLEIEIVWSNRPSNDIM >ONIVA11G05460.1 pep chromosome:AWHD00000000:11:4510658:4518320:1 gene:ONIVA11G05460 transcript:ONIVA11G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQGRKFKPKAEQFKTRNTEIDSEFRLVFLFEEKSSFSTLIHSLPNPDLPRTCFPRVDPVPTRPPHSPPPPPTTTPRTTTTMPRKASSTSDSRLKWRKRKRNPTASPSPSRRSSAAAAAADHSDDSDSAAVNEDDDSAVPEDADDETLAGAEDPVLDLREAEVLPSAEPVSAFPVATRRVVNRPHPSVLAVIAAERSACAGEGSAAVAAAVLENISYGQQQVLSGVLPDHASLATDTDKPSTYVCTPPNLMEGHGVTKQFQGRLHVVPKHSGPPALCNQKPECLSNVALICLRFVDWFSPGIVHRLERQVVPQFFSGKSPGNTPEKYMLLRNKVIAKYLENPSKRLAFAECQGLVANTAELYDLSRIVRFLDTWGIINYLASGSVHRGLRMATSLLREEPTGELQLLTAPLKSIDGLILFDRPKCSLQAEDISSLASNSEVVHFDAGLAELDEKIRERLSESSCSYCLQPLTSLHYQSLKEADIALCSDCFHDARYITGHSSLDFQRVDGDNNRSENDGDSWTDQETLLLLEGIEKYNDNWNNIAEHVGTKSKAQCIYHFIRLPVEDGLLENIEVPDVFVPFRAETNGYPHSDCNGSTSGNLPQRIPPGNQLPFINSSNPVMSLVGFLASAIGPRVAASCASAALSDLTIDDDSRVNSEGICSDARGHGAHPNFRDHNGGVSSSISPEKVKHAAMCGLSAAATKAKLFADQEEREIQRLTATVINHQLKRLELKLKQFAEVETLLLKECEQVERIRQRIASDRVRIVSTRLASPGNSLPGGSTSTMSSNPMSMSPRPMGVPGSMPQSSMPAPFANNMQGHGHPQMAFLQQQQQQRQQMLSFGPRLPLSAIQTQPSPQTSNIMFNPGMPNSVTPNHHQLLRSSSGNNSSVG >ONIVA11G05450.1 pep chromosome:AWHD00000000:11:4496578:4504124:-1 gene:ONIVA11G05450 transcript:ONIVA11G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKDIQSFTRRCSSQHHGAASSAAAGVGVAAVVAPRRPVPPANGDPGRLEMCPSMYLAAYNGRVEEVMALLVQPRHGTAKGDRRVNGIVHHGQCNLLEVSAERNTILHVAAEQGHGELIQELYHRFITDKTFLSRRNSTLDTPLHCTARAGHVNAVKTLLNLSWNSGVNAAGDTAPHLAARHDGAAVEALVSTRASASELNKADVSPLYLVVMSMSVAAVRAIIITNEDTSSAGPSSQNALHAAVLQSLEMVHLLLQWKPELAVQVDCNGSTPLHFAASDGNRKIVRAILATAPPGTAYMKDSDGLSALHVAVRLGHGGVVEELTGFYPDAAELRDGRGETFLHAAARERRSSVVSLAIKNPVMMGGLVNAQDAGGNTPLHLAVVAGAPDIVEALLREGNAQTDVLNDDGHTPLDLASESNSLFNMMSFVVTLVTFGAQAQPQRNDHLKPSSGHDMASGIEKTSDSLAVVAVLIAAAAFAAGFNMPGGYGDDGTANLRDNIAFECFMVLDTIAIAASVVAVVLLVYGKTAASSRSAVSWKSFVVALQCIWVSLVSLILAFFSAIHAVVIATSGSRTVLITMFLVIYVCFNALILWIEKWIDPAATTYRAVWRWFVWRGRHAHAIKRRYPFVGDSVYSLLVFSVINITTFIGLVVVYYFDDIQLARCSSQHHGSEAAVTARGDSAGSLEMCPALYLAVYKGRAEEVMALLLQPRHGGVAQGNLDQVNGIIQHRQCTLLEVCAERNTLLHVTAEQGHGELIEELYHRFNKDKNFLSHRNSALDTPLHCAARAGRLNAVKVLLNLSRDSGESIINCKNEARDTALHLAARHGHGATVEALVAARASASEVNKAGVSPL >ONIVA11G05440.1 pep chromosome:AWHD00000000:11:4469798:4470432:1 gene:ONIVA11G05440 transcript:ONIVA11G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPEPGRLGAQQKKRRRSARVSLPATARRDGHHTHGATDRFEVDPWRRVGPADRGLRRRRRPVGLSFETHHGGGVAPSPEFAACTASSCSAASSWCAMTGRAITTAVTSQCRNQPKPPPQLKLDGDFRY >ONIVA11G05430.1 pep chromosome:AWHD00000000:11:4465370:4496535:-1 gene:ONIVA11G05430 transcript:ONIVA11G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCGDALSIGPSSQNALHAAVFQSLEMVQLLLQWKPALASQVDCNGSTPLHFAASHGNLSIVSAILLAAPPTTVYMKDSDGLSALHVAARLGHADVVKELIGVCPDASKLRDSHGETFLHAAVREKRSSVVSLAIKNPMLGALLNVQDGHGNTPLHLAVAAGALRIVDALLRKGKVQTDVLNDDGLMPLDIVLKSTSLFTMINLVVTLVAFGAHGWPQRLDHLKPWSSRDIAQGIENASDSLAVVAVLIATVAFAAGFNMPGGYGNSGTANLEGVTLCRFAEELHSGIVLHLVFTGEPAPGLLHGVLCRDNLEGILVRVHGYDIQLARCSSQHHGSEAAVTARGDSAGGLEMCPALYLAAYKGRAEEVLALLLQPRHGGVAQGHLDQVNGIVQHRQCDLLEVCAERNTLLHVAAEQGHSELIEELYHRFNKDKNFLSHRNSALDTPLHCAARAGRLNAVKVLLNLSRDSGESIINCKNEARDTALHLAAKHGHGATVEALVAAHASVSEVNKAGISPLYLAVISKSVPAVRAIMTICGDALSIGPSSQNALHAAVFQSLEMVQLLLQWKPALASQVDCNGSTPLHFAASHGNLSIVSAILLAAPPTTVYMKDSDGLSALHVAARLGHADVVKELIGVCPDASKLRDSHGETFLHAAVREKRSSVVSLAIKNPMLGALLNVQDGHGNTPLHLAVAASALRIVDALLRKGKVQTDVLNDDGLMPLDIVLKSTSLFTMINLVVTLVAFGAHGWPQRLDHLKPWSSHDIAQGIESASDSLTVVAVLIATVAFAAGFNMPGGYNENSGTANLEGALAFKYFMFLDTIAIVTSVIAVILLVYGKVSRSAGLRKSFIVALYFIWFSLVSLLLAFYTAFCAVTTSKVLLFVFMAMYLCLNILTILVGTWFGPSTMTVSTLWRFAWRGHRSHAVKMQYPYAGTSVYNSLLFSGVVAVLAQQRYSGSLSAHQQPAAAAAANGESTARVEMCPSLYRAARSGRSEEVVALLLQQRHGAGSAAGHRQVAAEKGHVELIKELYHRFIKDNNFLSRRNSALNTPLHCAAREGHTGTVTTLVHLAQDRVENIMGCQNTAGDTALHLAAKHGHGATVEALVAAHAKATELNKVGVSPLYLAVMSRSVPAVRAIVTTCSDASAVGPSSQNALHAAVFRSLEMVHLLLQWKPELASQVDCNGSTPLHFAASDGNRKIIRAIMATAPPGTVYMKDSDGLSALHVAAKLGHADVVKQLIGIRPDAVELRDSHGETFVHSAVREKRSSIVSLAIKKHKQVGGLLDAQDGDGNTPLHIAVVAGAPGIVNALLQKGKVKTDVLNDDGHTPLDLASTSPSLFNMVRFVMALVAFGAQCRPQRNDHLKPWSGHDNIGKGIERTSDSLAVVAVLIATVAFAAGFNMPGGYTNDGSASLEGMSLFRWFVVLDAIAVASSVIAVILLVYGKASRSIGSWKSFVAALHCIWVSLVSLILAFFAASRAVMRTSTAESIVYIVIYVGLIVLSLFVAQWIGPVTTARAFWRFLWLSHRAHTVRRQYPFAVASIYNWLLFLHITYIMFAGLGVVHNHSNSDRGGLSSSWNPNHISPAPAPM >ONIVA11G05430.2 pep chromosome:AWHD00000000:11:4465370:4496535:-1 gene:ONIVA11G05430 transcript:ONIVA11G05430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCGDALSIGPSSQNALHAAVFQSLEMVQLLLQWKPALASQVDCNGSTPLHFAASHGNLSIVSAILLAAPPTTVYMKDSDGLSALHVAARLGHADVVKELIGVCPDASKLRDSHGETFLHAAVREKRSSVVSLAIKNPMLGALLNVQDGHGNTPLHLAVAAGALRIVDALLRKGKVQTDVLNDDGLMPLDIVLKSTSLFTMINLVVTLVAFGAHGWPQRLDHLKPWSSRDIAQGIENASDSLAVVAVLIATVAFAAGFNMPGGYGNSGTANLEGALAFKYFMFLDTIAIVTSVIAVILLVYGKVSRSAGLQKSFIVALYFIWFSLVSLLLAFYTAFCAVTTSKDIQLARCSSQHHGSEAAVTARGDSAGGLEMCPALYLAAYKGRAEEVLALLLQPRHGGVAQGHLDQVNGIVQHRQCDLLEVCAERNTLLHVAAEQGHSELIEELYHRFNKDKNFLSHRNSALDTPLHCAARAGRLNAVKVLLNLSRDSGESIINCKNEARDTALHLAAKHGHGATVEALVAAHASVSEVNKAGISPLYLAVISKSVPAVRAIMTICGDALSIGPSSQNALHAAVFQSLEMVQLLLQWKPALASQVDCNGSTPLHFAASHGNLSIVSAILLAAPPTTVYMKDSDGLSALHVAARLGHADVVKELIGVCPDASKLRDSHGETFLHAAVREKRSSVVSLAIKNPMLGALLNVQDGHGNTPLHLAVAASALRIVDALLRKGKVQTDVLNDDGLMPLDIVLKSTSLFTMINLVVTLVAFGAHGWPQRLDHLKPWSSHDIAQGIESASDSLTVVAVLIATVAFAAGFNMPGGYNENSGTANLEGALAFKYFMFLDTIAIVTSVIAVILLVYGKVSRSAGLRKSFIVALYFIWFSLVSLLLAFYTAFCAVTTSKVLLFVFMAMYLCLNILTILVGTWFGPSTMTVSTLWRFAWRGHRSHAVKMQYPYAGTSVYNSLLFSGVVAVLAQQRYSGSLSAHQQPAAAAAANGESTARVEMCPSLYRAARSGRSEEVVALLLQQRHGAGSAAGHRQVAAEKGHVELIKELYHRFIKDNNFLSRRNSALNTPLHCAAREGHTGTVTTLVHLAQDRVENIMGCQNTAGDTALHLAAKHGHGATVEALVAAHAKATELNKVGVSPLYLAVMSRSVPAVRAIVTTCSDASAVGPSSQNALHAAVFRSLEMVHLLLQWKPELASQVDCNGSTPLHFAASDGNRKIIRAIMATAPPGTVYMKDSDGLSALHVAAKLGHADVVKQLIGIRPDAVELRDSHGETFVHSAVREKRSSIVSLAIKKHKQVGGLLDAQDGDGNTPLHIAVVAGAPGIVNALLQKGKVKTDVLNDDGHTPLDLASTSPSLFNMVRFVMALVAFGAQCRPQRNDHLKPWSGHDNIGKGIERTSDSLAVVAVLIATVAFAAGFNMPGGYTNDGSASLEGMSLFRWFVVLDAIAVASSVIAVILLVYGKASRSIGSWKSFVAALHCIWVSLVSLILAFFAASRAVMRTSTAESIVYIVIYVGLIVLSLFVAQWIGPVTTARAFWRFLWLSHRAHTVRRQYPFAVASIYNWLLFLHITYIMFAGLGVVHNHSNSDRGGLSSSWNPNHISPAPAPM >ONIVA11G05430.3 pep chromosome:AWHD00000000:11:4465370:4496535:-1 gene:ONIVA11G05430 transcript:ONIVA11G05430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCGDALSIGPSSQNALHAAVFQSLEMVQLLLQWKPALASQVDCNGSTPLHFAASHGNLSIVSAILLAAPPTTVYMKDSDGLSALHVAARLGHADVVKELIGVCPDASKLRDSHGETFLHAAVREKRSSVVSLAIKNPMLGALLNVQDGHGNTPLHLAVAAGALRIVDALLRKGKVQTDVLNDDGLMPLDIVLKSTSLFTMINLVVTLVAFGAHGWPQRLDHLKPWSSHDIAQGIESASDSLTVVAVLIATVAFAAGFNMPGGYNENSGTANLEGALAFKYFMFLDTIAIVTSVIAVILLVYGKVSRSAGLRKSFIVALYFIWFSLVSLLLAFYTAFCAVTTSKVLLFVFMAMYLCLNILTILVGTWFGPSTMTVSTLWRFAWRGHRSHAVKMQYPYAGTSVYNSLLFSGVVAVLAQQRYSGSLSAHQQPAAAAAANGESTARVEMCPSLYRAARSGRSEEVVALLLQQRHGAGSAAGHRQVAAEKGHVELIKELYHRFIKDNNFLSRRNSALNTPLHCAAREGHTGTVTTLVHLAQDRVENIMGCQNTAGDTALHLAAKHGHGATVEALVAAHAKATELNKVGVSPLYLAVMSRSVPAVRAIVTTCSDASAVGPSSQNALHAAVFRSLEMVHLLLQWKPELASQVDCNGSTPLHFAASDGNRKIIRAIMATAPPGTVYMKDSDGLSALHVAAKLGHADVVKQLIGIRPDAVELRDSHGETFVHSAVREKRSSIVSLAIKKHKQVGGLLDAQDGDGNTPLHIAVVAGAPGIVNALLQKGKVKTDVLNDDGHTPLDLASTSPSLFNMVRFVMALVAFGAQCRPQRNDHLKPWSGHDNIGKGIERTSDSLAVVAVLIATVAFAAGFNMPGGYTNDGSASLEGMSLFRWFVVLDAIAVASSVIAVILLVYGKASRSIGSWKSFVAALHCIWVSLVSLILAFFAASRAVMRTSTAESIVYIVIYVGLIVLSLFVAQWIGPVTTARAFWRFLWLSHRAHTVRRQYPFAVASIYNWLLFLHITYIMFAGLGVVHNHSNSDRGGLSSSWNPNHISPAPAPM >ONIVA11G05420.1 pep chromosome:AWHD00000000:11:4447334:4447513:1 gene:ONIVA11G05420 transcript:ONIVA11G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGRRLRRRQMMLGLGDGQFVAVLPILWSRDTQQRWLGTGDDKDTHELRNDPCVNDGA >ONIVA11G05410.1 pep chromosome:AWHD00000000:11:4444193:4449913:-1 gene:ONIVA11G05410 transcript:ONIVA11G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPAASNGESTPRMEMCPSLYRAARSGRAEEVMALLLQQRPGAGAAAHRQVAGIIQHRQCNLLKVTGERNTILHVAAEKGHGEVIQELYHRFIRDNSLLFRRNSALDTPLHCAARAGHAGTVTILVNLTQDCEENILGCQNTAGDTALHLAARHGHGATVEALVAARAKATELNKAGVSPLYLAVMSRSVPAVRAIVTTCSDASPVGPSSQNALHAVVFRSLEMVHLLLQWKPELASQVDCNGSTPLHFAASDGNCKIVHAILDTTPPGTVYMKDSDGLSALHVAARLGHANVVKQLIGICPDAVELRDGHGETFLHTAVREKRSSIVSLAIKKHKQVNDLLDAQDKDGNTPLHIAVVAGSPDIVNALLHKGKVQSDVLNDDGHSPLDLASTSTNLFNMVSFVVILVAFGAQGRPQRNDHLKPWSGRDIGKGIERTTDSLAVVAVLIATVAFAAGFNMPGSYGDDGTANLKGRFSFKWFMVLDTVAVAASVVAVILLVYGKASRSAGSWKSFVAALHFIWVSLVSLILAFFAAFRATMRTSRAVSIVFMVIYVCLIVLVGNVGTWVEPVTTMRIFWRFVWRSHRTNAVKRQYPLAVATVYNCLLFSVINFIIFAGLGVVPS >ONIVA11G05400.1 pep chromosome:AWHD00000000:11:4435339:4440972:-1 gene:ONIVA11G05400 transcript:ONIVA11G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with WD40/YVTN repeat doamin [Source:Projected from Arabidopsis thaliana (AT3G52030) TAIR;Acc:AT3G52030] METSSSRGRSGSAGLKRRRGPGGGGGGSGSTAQALNDDTLRSVFSRLDDHFDLARCSTVCNSWNRVIDTAHLMRDLYYKRNPQARSSGSNTSIKSYFKELALDEHASSFSRGPAEVYQWIGHPNQATICRMKSGSILTGVGDKTLRLWSAESCKYMNEYIVPSSKMLVNFDFDENKIVGLTSSQLCIWRRSEPRSIFQSRGASFNRGLCMSYADPEVIIGCEDGRAFVYDMYSRSCSSIYRLHSSPLICLTITDDQLIAAGSTFGNVAIADQTSGQKLGVLKSAFAPTAIRCLSFSTSGHLIFAGSSAGYAHCWDLRTLRPLWEKRVSPNVIYSAHHLPGDTGTLAVGGIDGVLRLICQRTGETIRSFIVNADRPAASSSHQQVEKKSVRQVAPNARLDNIPTRLRPQITCLAVGMKKIVTTHGENYIRVWKFRPKSS >ONIVA11G05390.1 pep chromosome:AWHD00000000:11:4429910:4431107:-1 gene:ONIVA11G05390 transcript:ONIVA11G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGTQGMECCFVVPSEKTPRHVLWLSPLDIVLANRGALTPLVHFYRRRHDAAGGGGGFFDVGRLKEALAKALVVFYPLAGRFRVGGDGRPEIDCNADGVFFAVARSELAVDDVLTDLKPSPELKRLFIPRTEPPSAVLAVQAVTFLRWGGIVLGTAVHHAAVDGHSMFHFLQTWAAFCRDGDAAVVELPCHDRALLRARPRLAIHPDASSTRA >ONIVA11G05380.1 pep chromosome:AWHD00000000:11:4429560:4429856:-1 gene:ONIVA11G05380 transcript:ONIVA11G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMPDRPDNGSLPETELRVVSWLGIPLYDAVDFGWGKPWAMSRAESLRGGFFYVMDGGAADGDGGDAAAVRVLMCMEAANVEEFERLLRAKFVYPRI >ONIVA11G05370.1 pep chromosome:AWHD00000000:11:4423609:4424397:-1 gene:ONIVA11G05370 transcript:ONIVA11G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIVLSSFAFELKDQERNQEEIERIEAVISVEMAAAAMDDSFRRAGAIPFKWEICPGTPKHARSASASAASAAVVSPVPPALAKVATRQQLALPPCMTSPRASPSPYYHSPRLSSAAACRSAASASPCRSRYAGGGSAYRPRPTAFLDLAPRATTAPDLYGAAHEADHDEPAAAPAYGCFPLPLLRRKGSSKKRGGGGYSSGSGGSSSSGSFRSDGEPGGGLRRSASSSFSFARGGGNRIRLAAGARQQEEVEAASGSWFF >ONIVA11G05360.1 pep chromosome:AWHD00000000:11:4404546:4410987:1 gene:ONIVA11G05360 transcript:ONIVA11G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQPPPASSPGRERERGDAAASSAGPRGVTEITYLLRSHELKISELESKEQIYCNSLVQLEERIASLEYYKEKLLTRLSWTEEANSTLRSDNSKLKNLAYSLKERVDELEKDNFMLGLKMNEFAQEMERFQMQVGSTNTQMQDNLDDMAKAFESKERHVTFTQQKLADSINHHEERIATLEQDISQCTQHVQSLQVKVKSNTSQLETNNNDHHSLENRIQVLESTERQNASRLVKMEESIIHQHERTIGVEQDLSANITQHGQQIQTLQNKVKSNTSQLQTNNNEAHLLENRIQVLESAERQNASRLVKMEDSIIHQHERIIGVEQDMSANITQHGQQVQTLQNKENDVLMKSFQSLLTCMVPFIVAFLLSMLSSQSDFGEYEKDLVIQVSLVCGLPGVMAMVFAHLASGPFWTSIVASLTLYFVIYSITLVYYAFIRLLPWSPWTRLQFYATVILLAIFLIVVLTMLIVWGSFVKKPKGLNDRCLLQEVNK >ONIVA11G05350.1 pep chromosome:AWHD00000000:11:4402168:4402777:-1 gene:ONIVA11G05350 transcript:ONIVA11G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYFHCVASLQLQSTKLCALRSFQCRRRLQVQVATFTSPSASDLHWMWRGRLVAITPGCREFAVRLHPSS >ONIVA11G05340.1 pep chromosome:AWHD00000000:11:4396116:4403327:1 gene:ONIVA11G05340 transcript:ONIVA11G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEWPWDRRRRGPSGFGPTSTAEEVTAGVDATHLTAIVTGATNGIGRETARVLARRGAEVIIPARTMESGNAVKQSIAEEVPGSRLHVMEMDLASLDSVRRFATAFDSSHTHLNILINNAGIMGCPFKLSKDGIELQFATNHVGHFLLTNLLLDKMKSTARKTGVQGRIVNVSSIAHKRSDGSCFDLNKLNDKSRYKPLIAYAHSKLANILHANELAKRFQEEGCNLTANSLHPGVILTNITRYVVTNSVMVSILSVGNLFLKNTQQGAATTCYLALHPELKDVSGKYFADCKEATPRPAARDAELAKRLWDFSEQLVDTNRRGEFNRQK >ONIVA11G05340.2 pep chromosome:AWHD00000000:11:4396116:4403327:1 gene:ONIVA11G05340 transcript:ONIVA11G05340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSWGWLCGRRNGPSGFGGASTADEVTAGVDASRLTVVVTGATNGIGKETARVLALRGAEVILPARTLESGMKVKQSLAEEIPSSKLHVMEMDLSSLDSVRSFAKSFNSSYRHLNVLINNAGVMSCPFGLSKDGIELQFATNHVGHFLLTNLLLDKMKATAKETGLQGRIINVSSISHRGSDGSCFDLDKLNDKSKYIFRYRPFKAYGHSKLANILHANELSRRFQEEGCNLTANSLHPGVIATNLPRHILTNSLIISIFSVMKPFLKSIPQGAATSCYLALHPGLKDVSGKYFADCNEATPTAVARDAELAKKLWEFSEELTSGDQKLKEK >ONIVA11G05330.1 pep chromosome:AWHD00000000:11:4392397:4395528:1 gene:ONIVA11G05330 transcript:ONIVA11G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAAAAVAWAPSPSPSTSTSSPPPFKVGIASPCGTAAHASSAPRLVAAATHRGRRRQQQVVKAIANPDPAVELPLTAENVEIVLDEVRPYLMADGGNVALHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNQENIEKVLDEIRPYLSGTGGGELEFVAIEEPIVKVRLTGPAAGVMTVRVALTQKLREKIPKIAAVQLLS >ONIVA11G05320.1 pep chromosome:AWHD00000000:11:4387667:4392310:1 gene:ONIVA11G05320 transcript:ONIVA11G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein [Source:Projected from Arabidopsis thaliana (AT1G11000) TAIR;Acc:AT1G11000] MVEEGRSLAETPTWSVATVTTLMVAACFLVERGISRFAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTARWISEICVPSSLFTSRFYICSETDYEDLVVGGKRSTMEMNQTVVPNGLFGIQSQNVCSEGHEPFVSYEGLEQLHRFLFILGITHVLYTFVTVVLSMIKIYSWRKFETQACQLPTEQLQARRTKVMQRQSTFVFHHTSHPWSKNKILIWMYHKLPHSYNFHKYMVRSMEDDYNGSVGISWPLWAYAIICIFVNIHGLNIYFWISFAPAILVLLVGTELQHVIAQLALEVVGATAPYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQNAFEMATFVWSLLELSAQSCFMKNHYMIVLRLTSGILVQFWCSYNTLPLNVIITQMGSKFKKSLVSESVRESLHSWCKRVKDKNRHNLASRSVCSLDTTYEETDHETATVGTLSRTVSATSLDEELTVATVEDNDDDEEMSRIEQEIDRSL >ONIVA11G05310.1 pep chromosome:AWHD00000000:11:4385678:4385917:1 gene:ONIVA11G05310 transcript:ONIVA11G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTTGRSPWRRRKRGTATTARRRRTPTSLRALWRRIVPRTSTTTAPRVRTRKPGLLSRALRVLSCGGGRRSRAARRW >ONIVA11G05300.1 pep chromosome:AWHD00000000:11:4378450:4385103:1 gene:ONIVA11G05300 transcript:ONIVA11G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZ19] MHRRGGHHLHLLLAAAVVLLLTVAGLPLASASESDHKYKAEETVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQVDIKFLKNVEKGPICTIELDDNKIQQFTDAIERSYWFELFIGFVGETDKNNENKHYLYTHKNIVVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRSLVFLSAFVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKSMILTASLFPFLCFSIGLVLNTIAIFYRSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLFVRRIYRNIKCD >ONIVA11G05290.1 pep chromosome:AWHD00000000:11:4362233:4375669:-1 gene:ONIVA11G05290 transcript:ONIVA11G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAATAGATRATGGFLGTSRRRPQSSRGDSAPGLRFWFAIGGVVDSRRVSSGIYISTAQMKHQCCDLNDGLEFRPKAHDWPCYYDLKVTPPQKNQHFTQKPSKTHPSQPRMTSEREHPPKD >ONIVA11G05280.1 pep chromosome:AWHD00000000:11:4359576:4363208:1 gene:ONIVA11G05280 transcript:ONIVA11G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKANGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHELLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGVDPQTHRPLNAAADHHQQQQLQAPRRFAAAPAGHHHHHPDHFAVLSNSPEACSHSSDDEPSSATPPPPPRHLGIDLNLSISLAPYQPQDQTSEPMKQEEDDEASATANGAGNAAMTTTATTAAVCLCLNRLGLHGGEVCSCGRGGAPSMQASTHMFRFITPLGGSHHNSSSTTMT >ONIVA11G05270.1 pep chromosome:AWHD00000000:11:4356229:4356571:-1 gene:ONIVA11G05270 transcript:ONIVA11G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVGGQTVYGAVDVDLVYSWGIPRKGREAKRRKGKRRDAAGSRQQQQQVAAGGVIIKVCQ >ONIVA11G05260.1 pep chromosome:AWHD00000000:11:4332269:4344407:1 gene:ONIVA11G05260 transcript:ONIVA11G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G35530) TAIR;Acc:AT1G35530] MAAPPPPPPLHPAIIIDDDDDDFDWEAAVQEIDRRCALASSSAASESAPPPEPSAAAAAAAGTRQLTLDRFVDSFTRRRQMAAMERGPPVPASPPAAAPILPSGARGPPVPGSPPAAAPVPPSGGWGRPSDRAGEGCSRRADEDVVPKPCAVALDHEAARTWIYPTNVQVREYQKKFVEKALFTNTLVALPTGLGKTFIAAVVMYNYFRWFPEGKIVFTAPTRPLVTQQIEACHNTVGIPQEWTIDLKGNLSPSKRSCFWKSKRVFFVTPQVLQNDIQSGICMVNQLVCLVIDEAHRASRNYAYCVVVRELEAARVPLRILALTATPGSKQPAIQNVINNLRISELVHCDESDPEVSRYIQRRTVEPLEVCMDSDKFIPVGDEAEQVNDKLLDVIRPHLVKLRSARVIDHRDASNWSPHQLRMLKDKFDQAPPPNIPLADKKEIGISFQALTLLYGIMKMLLSYGIKAAHQSIEAKYKEGSWKVLTRNNTFLEVKKTMENFLSQGILSPKVRTLVEVLLDHFRKNPKDSRVIIFAHYRECVKEILCSLRNIDGELVRPAAFIGQSSTGDQLKGQTQKMQQAILHKFRSGEYNILVATSIGEEGLDIMEVDLVVCFDANISALRMIQRMGRTGRKNEGRVDILFLVPHVYNPEVKFVELSIEKYIPCSKKSKVDVNVASPIFNKMSEDDGRLIARYFGACKEDFWKPSLVTFPSFQVSPCDIYRVPHSFRTTNMLIDAMQQLQDLSFSRTKCASPLEGPADVPVVMDEAPEGLFGADGSKEVIPQEYCGLEVLSGEAAWSKNVLVPSSPIKKYPVHSFFSGDYVAMDVSGYVSITFVPALPRTSEFHKDARNVNWHQKVQNKTTSVKLAADISRPTIEFDCLAGFAYSSKPILTDEFGLAPHSPEYTERYGRTDDRHVHGTPPPKTLVSPKEICHRPCNSKPVSPGLSGQEDMELSPRLTYYIEEGIVPESPMLEVGHKHLETDSAANADFVQQKVDFSKSNCEGAKANELKSRNGPLNFEGKGQFFSEISKLAVSPGENALDQTQANKEERMHPSNVKIHSPSAHTPMANLLCDSFSDDWQLRSGGDTPGSVREAPKYKRLCKYADKIKRVSSMSLDDRYDIAAGGNHNFATKRNKRRAKMCLDTFIDDEAEVSEDADVSADEGNDHSEDNYEDSFINDQATPTGQFTQSVHRGENSGDMMAFYRRSLLTQTPIVLPSRYQDVPDNSASRSGSASASCSSENLHNSMETPQGIHQPHHTIGPSPLGDQQSFVARASSIKEQGETSLAHCESSTTLDCRKRKLSFQQAASIPVINLEPELAPAPAPQPSSHITTGVNNNFVWDDDDFFESLDLDAIEAQATELWRLKKEQSTQRSFGN >ONIVA11G05250.1 pep chromosome:AWHD00000000:11:4314958:4322633:-1 gene:ONIVA11G05250 transcript:ONIVA11G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATGTDRTSSHLTRLKKKNEKATEGNGKAANNTGSPHRDMYAPFLTLSWGYITVFFMSLTPYNDHIQDIQTAFQTGKFTAAQNPANREKNRYSDVMPFDETRVRLKPSASDHPSSNEYINASLIETDDQGQSHTKFISTQGPLVKTFGDFWQMVYENQCPVIVMVTKFDGAKCDRYLPTNEGEERDYGKFSVKITKFKCDGVLELRGLEVQQNESLTVRHVLHILYSDWPDHGVPHDSAFVRKILKRLYGIPKEHPIVAHCSAGIGRTGAYITIHNTIERILLGDMSALDLSKTVKKFRSQRPGMVQTEEQSVPWVSLTDCRPSTPSIL >ONIVA11G05240.1 pep chromosome:AWHD00000000:11:4306824:4309970:-1 gene:ONIVA11G05240 transcript:ONIVA11G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFCSVVGIVCGSPSSSGDEAFASHGEQQQATTTTTAQSSGKSSSSRRKTAPEASGEHKAGGEAPPPASKKTALLDKGKEKVSEMDTSVRRTSKGISGNPSEDSNKPVAKSPTLKTVIGSIRNYIATKKGRKIKILAFEVANTIAMGSNLMNFLSEENIRYLKRVVLQNQGVQSLISDDQSQLLALVGDEIRQQFKDFAASVARLGNMCRDPKWHNLDEHFSGLEYGPITQEYSHEKAASKMEDLMELVTKTKILFEALRRLGVSEKMYREAKQTGMPLETFQNAVNIEKEIVQSAKKKALWVKKIEKIVEELVYIVHYLPSEINCVFYKEHEEDRSVKANGSPQQTLGSADLHLNYARIVIAIQVLVSVASSVPQCAVDSLFHALPYRIRSVLLPRMRHGDFDDQRTETQIADEMTRRLEWLYPMAEFTIRLSQHTGMIRECLVSGSLSDRDQRKMLKVQTLYHADKMKTDGCIIDMVMDLHLLIKAARLRADAPHHSGPLDQPVSTSGSSTSSASTGISGSTSFGNISTTWSDIDEDFIA >ONIVA11G05230.1 pep chromosome:AWHD00000000:11:4305051:4305608:1 gene:ONIVA11G05230 transcript:ONIVA11G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IZ12] MEAKGVVVITLVVTLAAFARAARPEETRPGLARRGSASSPTTHLHFYFHDKVSKPSPTAVRVVDPVDPSSRSFFGMINVMDDPLTEGPEPESKPMGRAQGLYMGSDQAKLGFLQAMNLVFTDGTYNGSVVTVLGRNCPFDDVREMPVIGGTGAFRFARGYAQARTHTLDLKTGDAIVEYNVYVMH >ONIVA11G05220.1 pep chromosome:AWHD00000000:11:4270734:4271267:-1 gene:ONIVA11G05220 transcript:ONIVA11G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTPFGSAANSPRARRSALSQSWGGEGRSDKEVRRWLGSSLSLRQQWLTPRRGGVDRDEEIHSPVAEAVAAKEESTAATLLSGSGGKRRRRAVGRRGRSAAAPASESTACEVGDVAFKNDFS >ONIVA11G05210.1 pep chromosome:AWHD00000000:11:4252694:4252972:1 gene:ONIVA11G05210 transcript:ONIVA11G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKTYQTYRMGQEQMDTILSWALPEKDYEPVFTVISSHTDEQKEKDRLLAIGTAAVKNKLLHHKMGLQAFVKDNLDRFGYVDINDSMFYP >ONIVA11G05200.1 pep chromosome:AWHD00000000:11:4245689:4246476:-1 gene:ONIVA11G05200 transcript:ONIVA11G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLNLLIVLLFIVISVQGTNGADRCAASSSIDVQTINTGEAAAGGGGDTVFEVQVKNLCGCSVRDVRLDGGGFATTVEVDPAVFRAADDGGDYYLVNGGGPIASMATVSFRYTWDHFFPITPRSMEEDQC >ONIVA11G05190.1 pep chromosome:AWHD00000000:11:4232985:4239538:1 gene:ONIVA11G05190 transcript:ONIVA11G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGKCDGLPLAIKVVGGLLCRRDRNHGVWSEILSNSTWSVDGMPQDLNYVLHLSYEDLSPHLKQCFLHYSLIPKNVVLGSDTIIGMWISEGLVLQCTKGLEELGEDYFKELIVRNLLEPSIKYVDQWHCTMHDVVRSFAHYMARDEALVAQGRQIDISNLRSQKFYRLSIETDDEVEWNLLKEQMSLRMLISVSDIKLRPSDSFGIFSSLRILYIASHFLPLVDSLCQLKHLRYLSLATDDISRLPDDIGKMKFLMYIDINACGNLVQLPKSILKLRQLRYLSLGDTHINVIPEGFRILSSIRKLYGFPAQMGTSGVSRKENWCSLEELECPSELRDLELNCLENISASSYAAKASLCTKEHLIYLNLRCTSRLGDDGLLKEEGLSEMEQRLVEEVFNELCPPRCLCNLEIFGYFGCSLPNWMMFPISRTPLMSLRYLFLVDLARCTQLPDILSQLPHLFIIQIDRAPAIKRVGSEFLLCHDHGHHSPMAKAFPRLQKLLFVGMVEWEEWEWEEQVQAMVVLEELLLWRCKLRCLPPGLAFHARALKKLGIHEVQNLNSLDNFACVVELNMYGNPDLQRISNFPKLWNLDIVFCPKMEVLENVPELRSLTLEDYSIETLPGYLQQVSMRNLFVDCSFELLSSIAMGDTGPEWNKISHIQQVKANADDGYDETMWYISYTRDPYSFETNVIPSSNPSEPNDEK >ONIVA11G05180.1 pep chromosome:AWHD00000000:11:4221489:4226939:-1 gene:ONIVA11G05180 transcript:ONIVA11G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component Gpi16 subunit family protein [Source:Projected from Arabidopsis thaliana (AT3G07140) TAIR;Acc:AT3G07140] MAAPPPALRRLLLLLLFSLLLLASVAAAAAAPAAEEEEFTEELLLRPLPDRKALAHFHFRSSASPSAAAAGRHHHVFPKAIAQLVQQFHISELELSFTQGRWNYEQWGGYDPMSTNYAKPPGVELWAAFDLPLDEIDATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTSSQSFGIIIDQTLTVVLQPDTFRGQQLHSTDGQLQPSWSMKDLFNRKLSGKCRVSKSSRVFLEIENDIVDKSGTEASSTNELFVLSTAPDRVLKELNNMDAQSSSLYEYDVSNYNNDKPLDVGITWKLPLIWSCTPAPYHANRFLMGSGNERGSIALSFRSTNLHKQLFGSSNDCSIKAVVFQVVPWYVKVYYHSLQIFIDGNSKAISEVVEKIHVTPSEDKHLPGTLEMLLRLPCSMESATLSLDFDKGFLHIDEYPPDANQGFDIPSALVTFPEFNSSRSYPEGDTLFVSPLLQTFKEDGVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELKKAAAKRGLIPLLIAKLRGKKVDPPPQGSSPTSLLSTKLLLKVVFVAVVAVSLHYLSNS >ONIVA11G05170.1 pep chromosome:AWHD00000000:11:4213839:4218405:-1 gene:ONIVA11G05170 transcript:ONIVA11G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKPARAVPEWLNSPLWSAPPPSPPVPPDPYGADLSPPPPPPPKPPPTVPPPSYEQAVGSSRRARSEAGWEEEEEDGEVGGGAALRAHLLADFKLALSKKVVNMGELRRLACLGVPDGGAAVRPLVWKLLLGYLPTERAFWPHELEKKRSQYSAYKDEFLLNPSEKIRRFEESKLLRKKELNSDKIGLLPRAKVTNEEHPLSFGKSSLWNQYFQESEILEQIDRDVKRTHPEMPFFSAKANQESLRRILIVFSKLNPTIRYVQGMNEVLAPLFYVFKNDPDTSNSASAEADTFFCFVELLSGFKDNYCKHLDNSQVGIRSTLSKLSQLLKRHDEELWRHMEITTKVYPQYYAFRWITLLLTMEFSFNVCIHIWDAILGDPEGPPDTLLRICCAMLILVRRRLLAGDFTANIQLLQHYPATNIDHLLHIANRLRGSVAS >ONIVA11G05160.1 pep chromosome:AWHD00000000:11:4207168:4209843:-1 gene:ONIVA11G05160 transcript:ONIVA11G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEASSRGGDGLQRRGIGSDGVIDYPCAVLKRSAKDASCDISVWKQATQKEQRFFLIPFKMVVTGFQGHVYLLQHFGLLKRVGTLKL >ONIVA11G05160.2 pep chromosome:AWHD00000000:11:4207168:4209843:-1 gene:ONIVA11G05160 transcript:ONIVA11G05160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEASSRGGDGLQRRGIGRYARAAAAMKQATQKEQRFFLIPFKMVVTGFQGHVYLLQHFGLLKRVGTLKL >ONIVA11G05150.1 pep chromosome:AWHD00000000:11:4205753:4209173:1 gene:ONIVA11G05150 transcript:ONIVA11G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKNFPVSHPSQIAPGQARLTLELFGDWLPEKKLQLVVTSGCYNYSQTHQFLRTSMKLLSDMIHCSRPVETAAIAGVTKSGIDMAQGPVKSMGSYLWARVTHLVKCEAEVDKMKVKVDSLLRDKTDMETIIEHANYECKVASEATKQWILDVEEIATQAKDLVVECKGKNPARHDLHDADATQKARKKIEVMNPIRRLQIGALAIKLLARAEELLKHRNDLFLLVPCRRPPNTLMLRNDVMEFGSRNEIVSQIINALKEDKVHIVGVYGPCGIEEIKNSIAKQLGMIYSAKLNAHRAAFLAEKLKEKKSILFLDNAWESLDLWKMGIPVEECKVIVTTQKIEVCKYMGAQVEISVDFLTEKESWELFKFKAGVPDISGTETVEGKIAKRCGRLPLALDVIGTVLCGKDKRYWECALSELESSYPLEKAEVLQKIYMPLESSYNHLEGDEKKSLFFLCSLFPGGHKISKNELTSYWTGEDIFNEFNTLEETRRKLHMRITDIEDSFLLLPINDTKCVMMHDIVRDVAVFIASRFCEQFAAPYEIAEDKINEKFKTCKRVSFINTSIEKLTAPVCEHLQLLLLRNNSSLHELPENFFQSMQQLAVLDMSNSSIHSLPLSTKDLAAVRTLCLNDSKVSRGIWLVSSLENLRVLSLAGCSIDSLPEQLGNLKKLRLLDLSSMESLEIPEGLISKLRYLEELYVDTSKVTAYLMIEIDDLLRLRCLQLFIKDVSVLSLNDQIFRIDFVRKLKSYIIYTELQWITLVKSHRKNLYLKGVTTIGDWVVDALLGETENLILDSCFEEESTMLHFTALSCISTFRVLKILRFTNCNGLTHLVWCDDQKQFAFHNLEELHITKCDSLRSVLHFQSTSKNLSAFPCLKIIQLINLQETVSIWSWEGNPPPQHICPNLKELNVQRCRKLDFVFVARVAAMLSNLERLTLKSNVALKEIVANDYRMEEIVAKHVEMEETVGNEIVSADTRYPAHPADVGALLDPEAFPSLTHLSLVDLPEMEYFYKVRDEIMRFSWKSLVSLKMGGCNSLKGFPIHGKSAPGLKNVELVHDSYKSWYQTLISQDASLAERFKTAQG >ONIVA11G05140.1 pep chromosome:AWHD00000000:11:4196183:4200131:-1 gene:ONIVA11G05140 transcript:ONIVA11G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGTETSRRNDSVGQDIRAGFGFLWILRVILDRAALLIATLQDDPSYLEQDKSMRVPPSSMDHSIIHQLVTEAPIFMEVSGTRILIRRDENITSMSPKRVELEMGLHPPSLRHAERATMSGLSGDGSMIDSSPSVVTNDLIASLCTVQGVLSSMETQVAGPIFCYQPILEQQDGNLLMIAMGIERNLDMLEYHQVKKDENTSIRIFNHLKHLLQSILPVLSTTFEEYLVSMNLKKATSVLQRPGGLTAPIMPNLDNIRSQLATASPSVEMQNQSGMEETIETELVEKGQDGIYLLSFLLSNEAEYFVIPIKNAYKNSRVKGHFDVRVWVNVSCKSTMIVPSKVSLSVPCCINELMNAHVMNSDNRLPPVHSDEFTNWIIQNLFSVSGGSRKAYDHTMKDLEKVIQCGLSGKKFLLVLHGVSEDQMAQWDHLFRSIKSGCKGSKIIVVTTSANVEKSVRNVNILQSDEDENNVSWRFFRNYAFDSFNIDVPFTVWSNYLPPNPRLMTHMSIASRFLPTLRKLRWKIPELHLRTLLVFGPCKHTEPSSKEILDGILKGQKYLRALDLTGCEMQKLPELSDESRHHLRYLCLQDTGIATFENLDKFYNLLVLNIQGCPLISLPDRTSENLLGIRHIIGPSSVVSSIRHIGNLKNLQELQEFRAQKLYGYGVQELQYMSLTGSLSIANLENVTVATKADKVNLRSKTCLDSLKLEWNSTNETSQPLSAGILERLQPPESLNELEINGYPGVISPTWFTEDHLINVKKVTLRNCSFVSVIAPLAKFPSLEELILERFSMLERISESEESDRTSYFKYPFRLLGFPAETSCRFPRLVKLRIEDMPVLEEWTEQQPCFPCLEELTVRNCPKLAVLPPLHHARVNRMHIEGLPLISFDSPRMGSVVPFGAFLDVPNRCPNRVEARALQPSRVFILRHCPNLSTFTITADNSSSSHGFGPLLQLEITDCKKLKSIQGAFAFVEKLYIEKCHSSLKLPNGNAMRSLHTLHIDSVSTRMDPFLLGLRALRILIIKDSEELNSLDVLLESDHLLDTLEQLQLINCNSIKSLPWNMDRVLVLESLQLINCPNMQFLPCLPNNLNELSISGCPILKEKYGEYGPEWDNISHVPYVSFD >ONIVA11G05130.1 pep chromosome:AWHD00000000:11:4188404:4194555:1 gene:ONIVA11G05130 transcript:ONIVA11G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGHAEALLLRRRRGPARLWVAVLALLAGTLWLLSSSSSAGLGLGLARSSYGLQLDSQFKVLTLTSVLLLKDVDVNKLWRTADSNGWRASSAPRTYWPPPPIESESNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWHDESGFLGIYDVLHFIKTLKYDVRIAMVIPEITTNGKTKKLKAHQIRPPRDAPVTWYTTVALEKMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKTSSEIVNKLRTEGHFMSIHLRFEMDMLAFAGCIDIFTPQEQKILIKYRKEHFAEKELIYRERRLIGKCPLTPEEVGLILRSMGFDNKTRIYLASGDLFGGKRFMKPFKAMFPRLENHSTVGPGKLEENTRGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDREEGRAASFEERVRQVMFNTHFGGPHKRVHPESFYTNSWPECFCQPNPRNHADKCPPDNIYEVLESQFQSVEGEEDIEEVKSTNQTDSTSQIEELVV >ONIVA11G05120.1 pep chromosome:AWHD00000000:11:4181013:4183982:-1 gene:ONIVA11G05120 transcript:ONIVA11G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQLMKPPPPEEAGEIHESFVMSLGQQLAAAVAEQLQEYRERKDYAGLWGSMESYGTWPDYADLLVVENLYSPMQPIDLEYYVGIPLPSYWTGSRWLISTVSQQVSTSARSAKDISKAVVGHDDDEEHWHRAALRCFHYALLHLLFPCEQDNNSNTTSHYALTSEELIRQWAAQGFLATTNCSSEASKATETAAHFLIYHHLVASHLSEHEIFHEEEEVGLKNKRWIRMTSKQQGMENQAWHLSTQLLGKEESNDPTTFILRHFLHTSSLLNLIDNILPKLPCLRVLDLSYTQLESLPPTVWCLSNLILLSLRGCRAIKSLHSVSNSGGSHPENEKHRMMNNLLYLDLTLLSINIFPNDFFQGMTKLEELMLAGCSSLVELPCSISALSSLLTLEVTGTKLTSLPSSMFAGMQKLQSLKLIDNKLLNSIPMSILEARGLKELHIQGWHSRMQEEINLDGHPTLNSFSLINAPHIKRLSLQGCMKLECVDLRDLGTLEDLDLSATAIKELPANVPNLPQLRRLILMGFPNQSRFPWHKLQRFPNVFCLDHYAQGHDNHYDNQVARVYVKDSRLFYSFSESTKELVQEGEFLQSFYVQIAPSTVNIRRLENEQDMLVSRLQELAHKRSPYGDVYHRCIALEFSVMYMARSAIHQTARHVHMSTIDKYPHGLNTIFFKDHEKGAINVEFPSLQRMRLQELPLLKHLFDGDDIVLSAPTWKELHVRGCWSLQHLPRLSQEDLNQAVQVSGERAWWEKLIWDDDSSLTHRSYYNCKFPLPFASFNERATVTSYLR >ONIVA11G05110.1 pep chromosome:AWHD00000000:11:4159955:4162450:1 gene:ONIVA11G05110 transcript:ONIVA11G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVELPQQEVKLFSRWSFEDVQVNDISLADYLAVNPTKHATYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKIMAVRIVKHAMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGAVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >ONIVA11G05100.1 pep chromosome:AWHD00000000:11:4142027:4153033:-1 gene:ONIVA11G05100 transcript:ONIVA11G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPYSPPQQQQPRPPQPFAAAPAQNPTTTTTLPGAFSNLQIARGPAPPLGAPRGLAPQPAGFAARPSFPGSPPAAGPPFARAPVASSAHASPPFGGPPAAAAAQPRAFGGPPGMVSQAPPPFGGPPAAAASQAPPPQFNAPPGAMSHQPPPPQFGGPPGAMSQPAPPQFGAPGGMPQPAPPQFGAPRPAFSGPPAAAGLASSQAMPPSFGSQQQQPFGGAPQFGLPRPGAQPPFPAQSAPLSQQAPFMGPPRGSAPAFGAAPWQSQGSGSGAMQPPMRMPGMPPNTLGQGMPPTMPTMPYSPGTQVSTPSKIDPNQIPRPMAETSVIIFETRQGGQAAIPPAASSEFIVKDTGNCSPRLMRCTVNQIPCTGDLLTTSGMPLSLMVQPFSLPHPSEEPIQLVDFGDMGPIRCSRCKAYINPFMRFVDQGRRFICNLCGFSNDTPREYICNLGPDGRRHDADDRPELCRGTVEFVASKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQAISDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQKDLILPVSECRENLEQLLESIPSMFENNRVADSAFGAAMKAGFLAMKSTGGKLLVFQSVLPSLGVGSLSAREAEGRANVSTGDKEPHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDIASISVVPNTTGGRVYYYYPFSARSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPLIDSDKTIMVTFKHDDKLQENSECGFQCALLYTTIYGQRRIRVMNLSLPCTNMLSNLFRYADLETQFTCFLKQAANGIPTSTLLHLREEVTNTCINILQSYRKYCASVSSSGQLILPEALKLLPLYTLALIKSIGLRNEGRLDDRSYWISLVSSVSVLLAVPLVFPRLIPIHDLTSRGDDESLIPSPLMLNSENIREDGVYLLENGEDSLIYAVLEQFDNELSRKVNEVINEIRRQRCSYLRLRLCRRGEPSGDFFRSFLIEDKAPGGLSYVEFLVHVHRQIQSKMT >ONIVA11G05090.1 pep chromosome:AWHD00000000:11:4139262:4142203:1 gene:ONIVA11G05090 transcript:ONIVA11G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGGHIPRFGDWKSSDGGTPYTVFFDDARKRKNAGGVVPPPSLARGDSAPPPSGHRTPPHGAGSSTPQRNKDPASRPRSQSAVGHGGGSVPAWGQWNEGNAGGGGAQQYTLMFDQIRDERRGSAPSTPTVEQLQRATPTRYNQHNQHANMRKRFTCFGLCLK >ONIVA11G05090.2 pep chromosome:AWHD00000000:11:4140060:4142203:1 gene:ONIVA11G05090 transcript:ONIVA11G05090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVSSELDAKFSPFMPKYSNLNLSCDRAKEEGTSQGLEIGRAVMVAPLTPSSLTMPARGRMQVVWCLLLLWLVATPHLLLQAIEHLLTELVLPLPKETRIPHLVLAAKARSGMVVGLCLLGANGMRAMLVVVGPSSTRLCSTRLGMRGEAVLLQRLPSSNSSVPLQLEIHMFRTVLEVEESSWMDQGNCVLMSMDTAFVVHVWNYCYEFSINSQDCTYKGF >ONIVA11G05080.1 pep chromosome:AWHD00000000:11:4132432:4135169:-1 gene:ONIVA11G05080 transcript:ONIVA11G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSLAVSAAAAVFPSAADPRRPPPPSVAIVDKNSSYGRSLQAADNGGGGGMEAPLRPLDVQEAMTMLTEGKAVQSAMYVPLLHRCVETGSLGAARAVHGHMAKTGASADMFVATSLVNAYMRCGAARDARRLFDGMPERNVVTWTALVTGYTLNSQPALGLEVFVEMLEMGRYPSHYTLGATLNACLASCDVDLGKQVHGYAIKYGAESITSMGNSLCSLYAKLGSLDSALRAFWRIPEKNVITWTTMISACAEDEECVELGLSLFIDMLMDGVMPNEFTLTSVMSLCGTRLDLNLGKQVQAFSFKIGCETNLPVKNSTMYLYLRKGETDEAMRLFEQMEDASIITWNAMISGYAQIMDSAKDDLQARSRGFQALTIFRDLKRSVMKPDLFTFSSILSVCSAMMALEQGEQIHAQTIKSGFLSDVVVNSALVNMYNKCGCIQDANKAFLEMPTRTFVTWTSMISGYSQHGQPQEAIQLFKEMRLAGVRPNEITFVSLLSACSYAGLVEEAEHYFDMMKKEYCIEPVVDHYGCMIDMFVRLGRVEDAFSFIKRTGFEPNEAIWSSLVAGCRSHGNMELAFYAADKLLELKPKGIETYILLLNMYISTERWQDVARVRKLMKQEDVGILRDRSWITIKDKVYFFRANDRTHPQATELYQLLEDLLEKAKAIGYEPYQNAELSDSEDDEKPAAGSLKHHSERLAVALGLLQTPPGATVRVTKNITMCRDCHSSIKLFSLLENREIIVRDSKRLHKFKDGRCSCGDFGALL >ONIVA11G05070.1 pep chromosome:AWHD00000000:11:4124622:4130022:1 gene:ONIVA11G05070 transcript:ONIVA11G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSASASATASRFAAFWAADALAGDDALDFAVTKAMVSVSPDSVRAAPEAVRERVALRCLQEVVSLASSDGERGATASAIAAPGEGMLGVEDASRTCEDLLLQLIREVGSSGSLEKDMLPPFRQDIQKFICIKRPTLPETSFELLRKVYPEITPVVPPSPVEQNGNDQHDNISHDLVNTEKTGFTTDGAQLQQDDLANLVDERNTENLQKDAMATSDFQQPCTSDNRCFDQRQEDSINTVGVNIRSPEDSPTNVNRHMSVAAEPSLASSADLLGSNTGRMSEQDTIDHTTIVQSQSCGVRYPNKHHSNNGDMPLVASIQSPKDSIHEGSTMQTTVSPAVDRSNDALPASEMTHLPEFIAVEDKIMTSEPHFSKTHPNSGQHDTGDKANQDVGCGSTGIQTAAALPSEGFNGDVQGDKSEIKDPAGNTTQHTETFEQENSDKAHLEVGCSDKVNQALYDDGNIIKNNMVFGGLNKQTALESHGCSMTLHNRNSEANHFSEQNIGRNRTEVQNDCCSIPTSPNDVNDKRAKQASNKETMANTVAETLHVHSSDGSFSGFAAGGLLSMAEKLPFCTQDQYANGTVEGLSEQELCIKCGKDGQLLKCSGCFLAVHDTCFGSSVTFDDSGQFYCPVCFYTKATEAYQKAKKTYSEARKNLSAFLGRKQLAEQYQQAAVRQRAANSEDHFNGCNNAIKRQGNHQSEGNNLSHRDEEPARQRKKQKTNARDACTQEVVTKKAPTVHNSDVVSMKKNSVLQNNRKQAQVAEQEQPEENAEASGESGNTNSSHKTAHSSQNKCSPAASQNIDADKEDVLASSQQSEDSDEIEATSSSDPSKQSSPHWRKLRHRKARYQDNNTAIPINSKKTLGHHDQHMASPSRKRNYAYPPKRYSNPVGPAGRRTKLCWTEQEEATLREAMAKFTPSDNGPIPWVQILDYGRDVFHRTRLASDLRVKWRNMKKKAGS >ONIVA11G05060.1 pep chromosome:AWHD00000000:11:4107925:4108608:1 gene:ONIVA11G05060 transcript:ONIVA11G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIQINSSHLYPLLSTAIPFSLSPSHPLPSSPAFLPSLLPPLPFLPSYCGGPVWAEGAGGGAGGGCRRRGSAAARAEGAVSGAGQRASVTEPTSDDNDDYCATTTTTAGHVAAPLHDPSSPRSGGGSAGRAGGGGGSAGRSGGSSARLGDKGRRRRGGRWRRLGEERRAGGSCSATRDGADDEVQRHIPSLVWSSPPSLPLPLRSAWRRDGWRPVAVVAWPSPLEQ >ONIVA11G05050.1 pep chromosome:AWHD00000000:11:4107012:4113320:-1 gene:ONIVA11G05050 transcript:ONIVA11G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTTTCNPDNKPFKYYMTDKRQLASYAAAPRGRNPSLRRHPSSSLLHASKPPELVAGKAGRRAGMAVAGPSSSAPPRPGTGVATMAAGRRGHGDGRRRRQIWSPRLAGVTGDGLGRRRHRSANLAGGWLAAAMVADVAATKLPTTAADCGAYRDAGPRQEPRHHEEGAAPSSMLRL >ONIVA11G05040.1 pep chromosome:AWHD00000000:11:4091369:4093045:-1 gene:ONIVA11G05040 transcript:ONIVA11G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFVAAWSCLLVVVMVSSMRLSRSASSSCGSSCGHGGHGGWGPRSYPVIGCLVAFYRNRRRLLDWYTEMLAASPSQTIVVDRLGARRTVVTANPANVEHILRARFANYPKGKPFTDVLGDLLGMGIFNVDGELWHAQRKLVSHEFSARALRDLEVTVLEAEARDRLVPALAAASRGGGVVDMQDVLRRFAFDVICRVSLGVDPGCLDPALPAPRLAAAFDTAAGIIARRGAAPVAAVWKVKRALDAGSERRLREEVGVIHEAVMGLIRSRRKERALLLVNGNGVGGGGGARSDLLSRMIECGYPDEAIRDMVISFIMAGRDTTSSALTWFFWLLMRHRGVEAQVLDEIAATRRARGAGEEGGDDGEGEGLDLDDYRRMRVLHAALCETMRLYPPVAWDSKHAAADDVLPDGTAVGRGDRVTYFQYGMGRMEAIWGADAADFSLDRWLSLPRGGGDGAAASPAAAAFAGVSPFKYPVFQGGPRTCLGKEMAFVQMKFVASAVLRRFELRPVDEGRTPAFVPLMTAHMAGGLNVTVRRRTPAPATSTAANGTGGELTSS >ONIVA11G05030.1 pep chromosome:AWHD00000000:11:4086403:4087147:1 gene:ONIVA11G05030 transcript:ONIVA11G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAASERMAAMAMTVTRAWRCRSEGRCTASTPPPTKKLTTATAGMPNSHPHQRADVDGRDAQRGRGEVVEVEDPAALVDEAAAAASSRSGGKGKATAVEEEEVNGFFMEEEVGAVLNASSIGVASSDSSTGELVIGEGGAFSSLQATFQRK >ONIVA11G05020.1 pep chromosome:AWHD00000000:11:4062689:4068105:-1 gene:ONIVA11G05020 transcript:ONIVA11G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGIHGVSGEEEKETPTLEGTNREKKTEENQRSGARKGKERRCLSMAAEGSSRGGGGGRKASWLGMSSEGGAAKFLSGLPSRGNFSSISASSTLGRLRVYVCEHDTDPPEGQVIETDTTNILIRHLQLKKKEMDAKEAKEVRDAGSRNQGETVKWKRVAPRSLDGQSSSKRPNLGSSSGLSSAEEAAPGFSGHTLQTFTVERMNSSLA >ONIVA11G05010.1 pep chromosome:AWHD00000000:11:4044801:4048674:-1 gene:ONIVA11G05010 transcript:ONIVA11G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAAAEAHHHNLHGCLAVRAPVPRCSLGGGGGGGAVAMGGSSDEASCGSPRWIGKSLSCVCIKRKGAYERICMNLTPVQEERLQRLRHRMKVYFDPSRRDHQEALKALWHATYPDQELQGLISEQWKDMGWQGRDPSTDFRGAGFISLENLLFFAKTFSASFQRLLKKQCGNRATWEYPFAVAGVNITFMIMQMLDLQSKDEWAFDLLYCVAFVVMDKQWLDKNASYMDFNEILKSTRTQLERELLLDDVMRIEDMPSYSLLC >ONIVA11G05000.1 pep chromosome:AWHD00000000:11:4042896:4044329:1 gene:ONIVA11G05000 transcript:ONIVA11G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVGARGGIRRRPNLSLLADRCATPRALAAVHAAMLVSGRLADDAFAASRLLSAHAALSPPGAVLRLLASLPCAPNSFMLNTTLRALASSPDPASALRFFSLLRRGSGGGGGSYSPGRHSFTFLLKASARLPLRASEQLHALAVRHGLERDAYVANGLVRAYSLAGLVPLARRVFDGLPERSAVVCTTMVSGYAQNGMHEDAMRAFEEMVGDGIEPHGAALASVLSSCARSGSRGLEMGRRVHELMESRRVTAPVVGAILGTALVDMYAKTGAMEEATAVFDRMPERQTATWNALITGLAHHGHGEVALATFHRMRRDGVPPNGATLVGVLSAYGCTGRLDEARRVFASMEKDFAVAPTIQHYGCMVDLLGRSGLLTEAEEMIRGMTTCDADTVIWGALLNACKNHGDIDVAERAVQEMLKLDPGNHGVYVVLSNMYAEAGRWQDVDRLRKVMKRARLSKIPGSSTVAGDDS >ONIVA11G04990.1 pep chromosome:AWHD00000000:11:4032900:4040844:1 gene:ONIVA11G04990 transcript:ONIVA11G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G53850) TAIR;Acc:AT5G53850] MACCGGGRGEGAAATESEAYLEGEAVREARELVAELCRHFYGQGWVTGTGGSITVKANDPALPLADQLIVMSPSGVQKERMVAEDMYVLSADGKVLSSPVSKPWPNKPPKCTDCAPLFMKAYLMRGAGAVIHSHGMETCIATMLDPGAKEFRMTHMEMIKGIKGHGYRDELVVPIIENTPYEYELTDSLAEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLFDAAIKLYQLGIDWTTPEHGPINSAKRPRSVLSSSIPNGCPDSKSSKHCVVLDIEGTTTPISFVTDVMFPYARDNVRKHLTSTYSSDETKEDIKLLRIQVEEDLKNGIVGSVPIPPDDADKEEVINALVANVESMIKADRKITSLKQLQGHIWRTGFESKELQGVVFDDVPEALKHWHASGMKVYIYSSGSREAQRLLFGNTAYGDLRQYLCGFFDTTTGNKRETRSYFEISQSLGVDSPAQILFITDVFQEAVAAKSAGFEVIISIRPGNAPLPENHGFRTIKSFSEI >ONIVA11G04980.1 pep chromosome:AWHD00000000:11:4024343:4029983:-1 gene:ONIVA11G04980 transcript:ONIVA11G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT1G44900) TAIR;Acc:AT1G44900] MDDSENNAPSTPGSPGFSTDRLPPNTTTSRGATDPSSYSDDDDDDVVGAEEAEVDPNVLPEDDGVVAAEEEEDGEDLFNDNYLDDYRRMDEQDQYESVGLDDSIEDERNLDEIMADRRAAEAELDARDVRTGAAPDRKLPRMLHDQDTDEDMSFRRPKRHRANFRPPREPRTPRSDDDGDGAMPSSPGRSQRGMYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGTVLGPFFQNSYTEVKVGSCPECQSKGPFTINVEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLAKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVPTDVEDDPLAAARQADPDILSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLRTLVKDVLHFEEIVSGPTTRLTHIEVKVEDLKNKAQEYEIYDLRPFFSSAHFRDNNFVLDEGRGIIRHPLAA >ONIVA11G04970.1 pep chromosome:AWHD00000000:11:4021297:4024308:-1 gene:ONIVA11G04970 transcript:ONIVA11G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSYLMGLSDIVGGGERDTAAAAAALRRTRPGGARRGTDTSTTLPLFLSFLTPQPPTPDPRLGSSARPPFHLGGSNPTEGTPLVSPPSPPSQSSGGAAASLQDFRALAVHPFLNSEDLNLWRAAENYGLAALFKPD >ONIVA11G04960.1 pep chromosome:AWHD00000000:11:4016550:4021291:-1 gene:ONIVA11G04960 transcript:ONIVA11G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydrofolate reductase-thymidylate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IYY3] MATTLSNGVSQNGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKELTVTTADPVKKNAVIMGRKTWESLPLKARPLPGRLNIILTRSGSFEFATVENVVICGSMNSALELLSSTPYCLSIEKVFVIGGGQVLRESLNGPSCEAVHLTDIQSSIECDTFIPPIDLSVFQPWYSSLPVVESNIRHSFVTYVRVRKTMAETHDSNGKESANDGIKSDKFETENFSFLPKLIFDRHEEYHYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRNSFPLLTTKKLAPLKLYNKVFWRGVVEELLWFISGSTSAKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVESGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAHVCGLSPGEFVHVIGDAHVYRTHVRALEEQIQKLPKPFPILKINPLKKDIDSFVASDFKLVGYDPHQKIEMKMAI >ONIVA11G04950.1 pep chromosome:AWHD00000000:11:4011426:4012952:-1 gene:ONIVA11G04950 transcript:ONIVA11G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating [Source:UniProtKB/TrEMBL;Acc:A0A0E0IYY2] MASPAPAPPAASSSAAGSSPPPRIGLAGLATMGQNLALNIAERGFPISVYNRTAAKVDAAVSRAEAEGALPVLGHRDPRGFVLSLSRPRTVVLLVQAGRAVDATIDALVPYLDAGDAIVDGGNEWYQNTERRIEEAAARGILYLGMGVSGGEEGARNGPSLMPGGHVDAYNNIRDILEKAAAQTEDGACVTFVGPGGAGNFVKMVHNGIEYGDMQLIAEAYDVLRRVGGLSNSEIADVFAEWNRGELESFLVEITADIFTVADPLDGSGGGGLVDKILDKTGMKGTGKWTVQQAAELAIAAPTIAASLDGRYLSGLKEERVAAAGVLEAEGMPSGLLETINVDKKMLVDRVRQALYASKICSYAQGMNLLRAKSVEKGWNLNLAELARIWKGGCIIRAKFLDRIKKAYDRNPELANLIVDREFAREMVQRQNAWRWVVARAVEAGISTPGMSASLSYFDTYRCSRLPANLIQAQRDLFGAHTYERIDRPGSFHTEWTKLARKSNGAAI >ONIVA11G04940.1 pep chromosome:AWHD00000000:11:4002826:4006956:-1 gene:ONIVA11G04940 transcript:ONIVA11G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCILPAAEPYPGASDVDREFVALGG >ONIVA11G04940.2 pep chromosome:AWHD00000000:11:4003589:4006869:-1 gene:ONIVA11G04940 transcript:ONIVA11G04940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISVLLETKVQISNWTTFMNQDSSWALQGPRHPATRKGLGALKLLLGISTL >ONIVA11G04940.3 pep chromosome:AWHD00000000:11:4002826:4003345:-1 gene:ONIVA11G04940 transcript:ONIVA11G04940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMIKLYSRGHGLEPRSGTDATKGGIFHHGDWAMSCILPAAEPYPGASDVDREFVALGG >ONIVA11G04930.1 pep chromosome:AWHD00000000:11:3994591:3998085:-1 gene:ONIVA11G04930 transcript:ONIVA11G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEVLSTIFLLLIFFTTTINPSSSQLPWLFSLLYLSLAMAVVALPPLLAKRHGHARRVNGGGAAIPGPRGWPLLGSLPAVSGPLMHRRLAALADAHGGGARRLMSLTLGATPVVVSSHPDTAREILAGAAFRDRPARAAARELMFLRAVGFAQAAGDDGGAYWRRLRRAAGAGMLSPRRAAALAALRARVARRTSEAVSRGMAVPPGRVAMRALLHAASLDNMVGSVLGLEHHDHHGGIISDMGDMVREGYELVGKFNLGDYYSTTQYQCLWGLLDFHGVGPRCQRLAARVREQFGRVMEERRKVSDLHKRDDLLSYMLSMPQEERIEDSDVIAVLWEMIFRGTDVVAILLEWAMARMVLHPDIQSKVQEELDRAVGHRPMTDSDIPNLRFLHCVIKETLRMHPPGPLLSWARLAVHDTYVGKHLVPAGTTAMVNMWAISHDETIWGDPWVFRPERFMEEDINVLGSDLRLAPFGSGRRVCPGRMMGLSTSYLWFGRMLQEYKWSPAQPVKLTECLRLSMEMKKPLNRSSFSML >ONIVA11G04920.1 pep chromosome:AWHD00000000:11:3990234:3992390:1 gene:ONIVA11G04920 transcript:ONIVA11G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRRRRGVHPAAATAPPCSAMASGAASPRSPPAAKKRAVVVAGDGDDSDVFDRLPDDIVLVVLSRLAANAASPADVASAALTCRRFRELAAHPAVLSRASAAAVAVRWGAWSEAAHRFLRRCAAAGSLHACYFLGMVRFYCLGSRATGAALLGRAAGGGHAPALYALAVVQFNGSGGGKADKDARAGVALCARAAWLGHTPALRELGHCLQDGYGARRDAPAGRRLLLHAAAREHLSWKKHNHGHHDGSAAEDAVSRFMVAWWDSHRAKAAARGCLPGEHGDGEHDGGEDLRLCSHARCGRRETRRHEFRRCSVCGAASYCSRACQALDWKRAHRAQCAAARWLAAAAAADGVAH >ONIVA11G04910.1 pep chromosome:AWHD00000000:11:3981625:3981801:-1 gene:ONIVA11G04910 transcript:ONIVA11G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMMADMASLAPLAPSDEGKAGEGAIGEGGDIIVEIRRDLKHCVKENDREDDKVEEL >ONIVA11G04900.1 pep chromosome:AWHD00000000:11:3979183:3979575:-1 gene:ONIVA11G04900 transcript:ONIVA11G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHPPLLSISLSLLPPLLFLVFLEAGDDIVHAWVAAELSAYGEAVWVLDAKQEVLSLLAPVTPCACRDVIGSEEPRSSITRSTVVKGGEEPRRMGGARALRLWRGRTGRSRRQTRGVELVGASHAICAA >ONIVA11G04890.1 pep chromosome:AWHD00000000:11:3947516:3962143:-1 gene:ONIVA11G04890 transcript:ONIVA11G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYWHDVVTGPNSMVAKVAEAPTSRASATVFGTVYVIDDPLTDGPSLTAPSRLVGHVQGMYVSAGKETMSLLMAMSFVFAADEPYNGSSVAIFGPNPEPYNGSSVAIFGPNPARPVREIPVVGGTGAFRFARGYCRTTTYWYNAAGDATTSSAAEALAAVREDEERVAVAGMEAWRFGFSRFSWFPAFKFDPTDADIVASYLLPRALYGRGHAAVIQDDVSRCEPWTLMREHGHATSAHAFFVHDHESVGGGGRRKVQRAVKNGGGVWRIQKSEVAILTIVRGGGGGGGELDVVYKRRNLSFHRRGESSSSGWVMHEYEITSPPLPATVLSRIRATPRAKDKKLCIKEEPSCSTSAAGDGDGERSGPNPDHTAAGAAMAASSSSFVFASALLVLAAATAAQAQRETKLRVFWHDVVSGGPNSTVAQVAEAPTTNASATGFGAVVVIDDPLTDGPNLTASRLVGRAQGMYVAAGKDALSLMMAMNFVFAGDGPYNGSSLAILGANPAERAVREMPVVGGTGVFRFARGYCQATTWWFNATTGDATLARSAQAPAAAMAKAALQLLLLLCVAAVAWAADDGGGGSAGMTKIKVYWHDVVAGPNPTAIRVAQAASTNASSTYFGAVVAIDDPLTSSPAAAAAGELVGRAQGTYTFADQRVIGLLMDMNFVFTAGDHNGSSLAIMGRNEVMSPVREMSIVGGSGKFRMARGYAEARTVDSGFKSGETIVEYTLFVKA >ONIVA11G04890.2 pep chromosome:AWHD00000000:11:3942165:3947508:-1 gene:ONIVA11G04890 transcript:ONIVA11G04890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDIHKHIYLPPPSSSSCSAPAMAASPAFLVLAVLLCAAAAVARAADDGAAGMTKFKVYFHDVVGGTKPTAIRVAQAASTNGSSTFFGAVVAIDDPLTTDAASSSSEVGRAQGSYTFADQKTFGLLMNMNFVFTAGDHKGSTLAIVGRNEVLSAVREMSIVGGSGKFRMARGYVEARTVDSGANSGETIVEYTVFVKAAHPAGGGGGDGGRTSSPSSKLSVRAAGS >ONIVA11G04880.1 pep chromosome:AWHD00000000:11:3919141:3919542:-1 gene:ONIVA11G04880 transcript:ONIVA11G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSGGSTARFESGSSHANRGKPSGAAAQESSGATVATTATTMSQADLPMSSDGSGRSVTYGLKSDGSADRCLGRDGAAIGVFQQWRAADPAVVEPRRIQDRLWILPRLCNVGYYNDHDLRATSYDNGGIM >ONIVA11G04870.1 pep chromosome:AWHD00000000:11:3893727:3899048:-1 gene:ONIVA11G04870 transcript:ONIVA11G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEKIMVLIKDTQAKAEEACRLAVLIQEATAGGGSNVAAVSELCKVVATVGDGSGLGVAKALEVCKAVDVMHKEVAVPADLMQVGTTAEKVAYRPPFLILAPRAVDIGGDEVENPSCYQRTILEDNSDHKPLFEKALVGQINIEDTSGKAKDVISDEGSSEEMKDSDNDVGMVIGGYAQDPYDDRGLEELMQDQDALEKSVKNFLECFKSTKFR >ONIVA11G04850.1 pep chromosome:AWHD00000000:11:3879829:3880155:1 gene:ONIVA11G04850 transcript:ONIVA11G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPSAVVVPVQSPTILCADDGRIFHLSCTQERGGIAMCMACIRPDHVAGAEEEFTYEVKVRTACQRLHACTPWTRQLHRANLRHVQPDVPIAPVPSCGPCCQQRGD >ONIVA11G04840.1 pep chromosome:AWHD00000000:11:3878562:3880159:-1 gene:ONIVA11G04840 transcript:ONIVA11G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLPFADNMAHKTGLARWAHRAGHAGDSPDGAAASMLRWKILPSSAQRMVGDWTGTTTAEGAPAISRGQVAPQEVGYRRRSCSGRSGRSRTRARRNDGQPYLWFLQEMGKEEYKEVALVDGISRITVLVNGISWILFRERVFLSTYD >ONIVA11G04830.1 pep chromosome:AWHD00000000:11:3853894:3855245:1 gene:ONIVA11G04830 transcript:ONIVA11G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHEEDEVEDAEEFEEVFTMEDLIAEDDIFEEIVAEGFKADMDREASTVHRRRRQSGPRRYIPRNGEQEALRRNSAIKARPTHRQLKKDLIEHIWQRYGNKEN >ONIVA11G04820.1 pep chromosome:AWHD00000000:11:3843345:3844773:-1 gene:ONIVA11G04820 transcript:ONIVA11G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLLAPSAVALLVVASAWVPAAADPQITLLNLGCSQYNATPAAAFLAALNATFAVLRANLSSAGGGGGFATAAEPRAAAPAFAMAQCRPYVAGTGSCAACFDAAASRLRARCGAANGGRAILDGCVVRYESAAFFDGPATLPGNTQVCNGTAVADGSFAGAARGLVGDLAAAAPRAPGLAAAAARGGVYAAAQCVETVGEGGCEQCLAVAARNIDGCPPDSDGRAVDAGCFMRSKDFFAVSEKQHRSLIDNGFKLDSQHAGSNQVPLCAVGKVGAILAQ >ONIVA11G04810.1 pep chromosome:AWHD00000000:11:3828510:3831785:-1 gene:ONIVA11G04810 transcript:ONIVA11G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVQRSSVQISPSIIYAGKSNHKGAIIGGILGGVAFLLLGLLALFWTRQSKKPLKPRRGDILGATELQGPTSFYYKDLKVATNNFSEQSKLGEGGFGDVFKASLKNGKTVAVKRLTVMETSRAKADFESEVKLISNVHHRNLVRLLGCASKGSECLLVYEYMANGSLDKFLFGEKSVALNWKQRFNIIIGMARGLAYLHEEFHVRIIHRDIKSSNVLLDDEFQPKIADFGLARLIPDDHSHLSTNFAGTLGYTAPEYAIHGQLSEKVDTYGFGVVTLEIIGGRKLNDARLEPDSQYLLEWAWKLYEDNNLIELVDRSLDPEEYNHEEVKRTMEIALLCTQSAVTSRPMMSEVVVLLLTRNALEFQPTRPTFIDATRRVPGETSTSSSSSASKATVSISQLSAR >ONIVA11G04800.1 pep chromosome:AWHD00000000:11:3813611:3816497:-1 gene:ONIVA11G04800 transcript:ONIVA11G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPRTSPSAASPHGTGPTCSSGLAPCRCSLRRRRVPAAEAILRTHDHVFASRPRTVLLANIVFYRSRDVKFAPYGDHWRQARKLVTTHLLSAKKVSLVMTKISKAATASAVVDIGQILRSFTNDMICRTVSGKCPRDDRQKKIFQELANETSLLLGGFDIEEYFPVLARVGLVGKMMCVKAERLKKRWDELLEELINDHENDDHSCNLISDQNDEDFVDILLSVRQEYGFTREHVKAILQDVFFGGIDTSALVLEFTIAELMQRPRMLKKLQDEVRACIPKGQKIVSEVDINNMAYLRAVIKEGIRLHPVAPVLAPHISMDDCNIDGYMIPSGTRVLVNVWAIGRDPRFWEDAEEFVPERFIDSMSSAAENVNFRENDYQYLPFGSGRRMCPGMKFGIAVVEIMLANLIWKFDWTLPLETEIDMSEVFGLSVHRKEKLLLVPKQHE >ONIVA11G04790.1 pep chromosome:AWHD00000000:11:3781348:3783126:-1 gene:ONIVA11G04790 transcript:ONIVA11G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLWLPDQALFTVLLMTLPMVFSTMGRVQAEVVVASKVRVGGVQVDTKAHMGTVMGRRQTEVVVAGKVGVRTIEVDMEPQADMKTILILRRTLVPLITVYLTLAIIYHALKMDWPPFENK >ONIVA11G04780.1 pep chromosome:AWHD00000000:11:3772500:3773166:1 gene:ONIVA11G04780 transcript:ONIVA11G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLYPVLRGEVARYGELVGACYAALEEDPSLPRYMNCKYGKLRMLEDAGAGYEVMRYIYSSSDAAVPGMEASNSGRASWAGDGGGGDVKVESEFLNIYTSANETRRFGCANSCRDQLLREVSRLVASLSGGEDVSVTLAGHSMGGVLALLLAYDLVELGVAGGAAAAARSPSSPTAG >ONIVA11G04770.1 pep chromosome:AWHD00000000:11:3762868:3771190:-1 gene:ONIVA11G04770 transcript:ONIVA11G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGLPLPALLLVVQLTMAVASHGGDRATFVAARPGSVHSSLDDSAYGILNDGEGAGGGGGGEQGGGWANGSGYESRYLNSYEQGAGRRDGARLGVQVDTKAHIGTVMGRRQTEVVVAGKVGVRTIEVDMEPQADMETIKSDAEEQSRSGLPFPMISGRNASYTDTPYRDFTLQAGQDHMRVILILRRTLVPLITVYLTLAIIYHALKMDWPPFENKLTCNL >ONIVA11G04770.2 pep chromosome:AWHD00000000:11:3762868:3771190:-1 gene:ONIVA11G04770 transcript:ONIVA11G04770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGLPLPALLLVVQLTMAVASHGGDRATFVAARPGSVHSSLDDSAYGILNDGEGAGGGGGGEQGGGWANGSGYESRYLNSYEQGAGRRDGARLGVQVDTKAHIGTVMGRRQTEVVVAGKVGVRTIEVDMEPQADMETILILRRTLVPLITVYLTLAIIYHALKMDWPPFENKLTCNL >ONIVA11G04760.1 pep chromosome:AWHD00000000:11:3753196:3754611:-1 gene:ONIVA11G04760 transcript:ONIVA11G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGLHLPAALLLLVLLTMAVANRGGVRDAAVAIPTEGFVNGGPDGYGKIGGNYRGGADGGGYGYSGQAGSAGGGGSCGYDDRSYNPLRAPCSPDHRLPPPCNHLPCP >ONIVA11G04750.1 pep chromosome:AWHD00000000:11:3699052:3700183:1 gene:ONIVA11G04750 transcript:ONIVA11G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVTATTVVTATMAVQGGGVGNWVRGEWGSHDEDPDDRAGPIKATRFGGEGSTTAASAKGRSGGRVKGERQSHPAGAREGDGNRFGASKRVARAIAWWRAPDLLKVI >ONIVA11G04740.1 pep chromosome:AWHD00000000:11:3688803:3690146:1 gene:ONIVA11G04740 transcript:ONIVA11G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHEEDEVEDAKEFEEVFTVEDLIAEDDIFEEIVAEGFKADMDREASTVHRRRRQSGPRRNSTIKARPTHRQLKKDLIEHIWQRYGNKEN >ONIVA11G04730.1 pep chromosome:AWHD00000000:11:3659170:3662096:-1 gene:ONIVA11G04730 transcript:ONIVA11G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding casette family G25 [Source:Projected from Arabidopsis thaliana (AT1G71960) TAIR;Acc:AT1G71960] MLRLPASSPAAAKAAAAEAVIAELGLAPCADTIVGNAFVRGVSGGERKRVSIGHELLVNPSLLVLDEPTSGLDSTAAARLVATLSSLARRGRTVVMSVHQPSTRVYRMFDSVLLLAEGTCLYFGAGRDAMDYFAAVGFSPAFHVNPADFMLDLANGFAQTEYDNCNAADGGNVKQSLISSYNRVLAPRVKASINAGDVHGGEQQPPPPAAESCSGCTSWSNQFAILLRRSLKERRHEAFTSLRLFQIIAPALVAGAMWWRSSPAAVGDRMGLLFFVSIFWGVFASFNAVFAFPQERPVLARERASGMYALSSYFMSRMAGDLPMELALPAAFTVIVYLMAGLNPSPAAFALTLAVILSYVLVAEGLGLAVGAVMMDAKRASTLVTVIMLAYLLTGGFYVHNVPGFMAWAKYTSFTYYCYRLLIAVQYSGRLARLLPPEEARGEASPAACVAALVAMFFAYRLLAYLALRRVRT >ONIVA11G04720.1 pep chromosome:AWHD00000000:11:3653396:3653938:-1 gene:ONIVA11G04720 transcript:ONIVA11G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQPYRSRREPKTPPPPPPPAESDQRREEDGGGGGAGGAGKRSSMAVVERSLVHSASAAAKGSECGASMAPPRWWWWRRRWWWRDDGCTGARRSGAGGRGSGGVGGELDGWREVLGMPGWCSHENGTTEVAVGVAAVAAAALVLVVRPRGDGEGEEAVEEEEAVEREQEEEEEARWRR >ONIVA11G04710.1 pep chromosome:AWHD00000000:11:3653325:3654044:1 gene:ONIVA11G04710 transcript:ONIVA11G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHAPPPPQPPLPPAPAPLPLSHRRHRASSSSSCSLSTASSSSTASSPSPSPRGRTTNTSAAAATAATPTATSVVPFSWEHHPGIPKTSLHPSNSPPTPPLPLPPAPLRRAPVHPSSRHHHRRRHHHQRGGAIDAPHSDPFAAALAECTRERSTTAIDDLFPAPPAPPPPPSSSRRWSLSAGGGGGGGVFGSLLDLYGCKSAMAVAEGAFVVRRPVAAARPSGPRRAAGQGRARAGR >ONIVA11G04700.1 pep chromosome:AWHD00000000:11:3639363:3639722:-1 gene:ONIVA11G04700 transcript:ONIVA11G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGRRWRSAAVAICLLPVVLPLALLCLPLLCFAVTVVRFRRRRRLRMAARKGKAPGCCFVDGEERTSPEKEEGGGSRAALLLQYLEDQMELVGGGSGGEAEEGKKASAPIGDEHHELS >ONIVA11G04690.1 pep chromosome:AWHD00000000:11:3636790:3637175:1 gene:ONIVA11G04690 transcript:ONIVA11G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQTPTPPRKMDSAAYDAVAANSDDELDDDRRAAAAAATADAGGRTAGHGAGEEEVGGGDDCGTTCGLSSLSVLCVALGVWALCRAGGEPAAVVWALSSATCGALAGWVGVLAGIGALAASSA >ONIVA11G04680.1 pep chromosome:AWHD00000000:11:3635718:3635981:1 gene:ONIVA11G04680 transcript:ONIVA11G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLSLLFASAIAFVLSRGDGDGNAEAVASDLRLPLPCTAAVSRDKAATLFVLLRVSSLLLAVVAAAAHSHLASSMDDSREHVMGLH >ONIVA11G04670.1 pep chromosome:AWHD00000000:11:3633900:3634082:-1 gene:ONIVA11G04670 transcript:ONIVA11G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLAIPECRWGGAVLELASLSADGKGVGRRGGAAAPDLAAPEQQLPVAFPYRRPRWRMR >ONIVA11G04660.1 pep chromosome:AWHD00000000:11:3624596:3632483:1 gene:ONIVA11G04660 transcript:ONIVA11G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVVVVVVAVMRGDGGGLKGARGRQGRGAAVAGRWRRVAVILLALAYAASMLVVFLGGGAGGVAVAGAGAGALRQRGAPAPAGSVYRSHLVLDRLLPELRASSASRPHPLMTPQNKKSGKRWAPCITKKLRRSELPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSKFGDIFDEDHFIGSLRKYIRVVKELPEDAFVNFDHNISMIPNMRTKAFSSESYYLQKVLPKLLELGAVRIAPFSNRLAHSVPPNIQALRCFANYEALRFSEPIRMLGTNMVDRMIKMSSLTGGKYISVHLRFEEDMLAFSCCIYDGGWRESIEMENARERSWRGKFHRPGRVINPEANRRNGKCPLTPLEVGMMLQGMGFGNTTSLYVASGKIYNAEKYMTPLRQLFPLLQTKDTLASPEELAQFKGHSSRLAALDYTVCLQSEEFVTTQGSNFPHFLMGHRRYLYGGNAKTIKPDKRKLVALFDNPNIRWDRFKRQMQDIHRHSESKGFGVRKPNGSISIYTLPMPDCMCQRAEP >ONIVA11G04650.1 pep chromosome:AWHD00000000:11:3616871:3623479:-1 gene:ONIVA11G04650 transcript:ONIVA11G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein [Source:Projected from Arabidopsis thaliana (AT2G06990) TAIR;Acc:AT2G06990] MEEVENTSKRKAPESEHAAAVSGEHPPPPPPPDAAAKRRNLSRSCIHEVAVPKGYAAAKDEAVHGTLSSPAFHGEMAKAYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDEDGQFREDNFLKLQDTFTKQSNQVDGRKGGGPKASGRIAKGGSASGNSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTDEEKDNIEQFAMGLNMPAKTVVFTSVKKWDGDTNRYIASGEYIQMSGRAGRRGKDIRGICVIMIDEKMEMSVIKDMVLGKPAPLVSTFRLSYYTILNLMSRVEGQFTAEHVIRNSFHQFQYEKALPEVVQKITSLENEATLLDSSGETDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPQSGTLPPALSASRGNNYIVDTLLHCSSSSNENGSRSKPLPPRPGEKGEMHVVPVPLPLLSGLSSVRINIPPDLRPPEARQNILFAVQELGKRYPQGLPKLDPIKDMGLQEPELVELVHKLDDLEQKLCSHPLHKSDQSEQQLSWYQRKAELNHEIQMLKSKMRDSQLQKFRDELRNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELIEQIRLRSELSTPMMQLQEAARKIAEVQKECKLEVNVEEYVESTCRPYLMDVIYCWSKGATFGEVIEMTDIFEGSIIRLARRLDEFLNQLKAAAQAVGEVNLENKFGAASDSLRRGIMFANSLYL >ONIVA11G04650.2 pep chromosome:AWHD00000000:11:3616871:3623479:-1 gene:ONIVA11G04650 transcript:ONIVA11G04650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein [Source:Projected from Arabidopsis thaliana (AT2G06990) TAIR;Acc:AT2G06990] MEEVENTSKRKAPESEHAAAVSGEHPPPPPPPDAAAKRRNLSRSCIHEVAVPKGYAAAKDEAVHGTLSSPAFHGEMAKAYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDEDGQFREDNFLKLQDTFTKQSNQVDGRKGGGPKASGRIAKGGSASGNSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTDEEKDNIEQVFSSAILCLSEEDRGLPAIELMLPLLKRGIAVHHSGLLPLIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIASGEYIQMSGRAGRRGKDIRGICVIMIDEKMEMSVIKDMVLGKPAPLVSTFRLSYYTILNLMSRVEGQFTAEHVIRNSFHQFQYEKALPEVVQKITSLENEATLLDSSGETDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPQSGTLPPALSASRGNNYIVDTLLHCSSSSNENGSRSKPLPPRPGEKGEMHVVPVPLPLLSGLSSVRINIPPDLRPPEARQNILFAVQELGKRYPQGLPKLDPIKDMGLQEPELVELVHKLDDLEQKLCSHPLHKSDQSEQQLSWYQRKAELNHEIQMLKSKMRDSQLQKFRDELRNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELIEQIRLRSELSTPMMQLQEAARKIAEVQKECKLEVNVEEYVESTCRPYLMDVIYCWSKGATFGEVIEMTDIFEGSIIRLARRLDEFLNQLKAAAQAVGEVNLENKFGAASDSLRRGIMFANSLYL >ONIVA11G04650.3 pep chromosome:AWHD00000000:11:3616871:3623479:-1 gene:ONIVA11G04650 transcript:ONIVA11G04650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein [Source:Projected from Arabidopsis thaliana (AT2G06990) TAIR;Acc:AT2G06990] MEEVENTSKRKAPESEHAAAVSGEHPPPPPPPDAAAKRRNLSRSCIHEVAVPKGYAAAKDEAVHGTLSSPAFHGEMAKAYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDEDGQFREDNFLKLQDTFTKQSNQVDGRKGGGPKASGRIAKGGSASGNSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTDEEKDNIEQVFSSAILCLSEEDRGLPAIELMLPLLKRGIAVHHSGLLPLIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIASGEYIQMSGRAGRRGKDIRGICVIMIDEKMEMSVIKDMVLGKPAPLVSTFRLSYYTILNLMSRVEGQFTAEHVIRNSFHQFQYEKALPEVVQKITSLENEATLLDSSGETDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPQSGTLPPALSASRGNNYIVDTLLHCSSSSNENGSRSKPLPPRPGEKGEMHVVPVPLPLLSGLSSVRINIPPDLRPPEARQNILFAVQELGKRYPQEPELVELVHKLDDLEQKLCSHPLHKSDQSEQQLSWYQRKAELNHEIQMLKSKMRDSQLQKFRDELRNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELIEQIRLRSELSTPMMQLQEAARKIAEVQKECKLEVNVEEYVESTCRPYLMDVIYCWSKGATFGEVIEMTDIFEGSIIRLARRLDEFLNQLKAAAQAVGEVNLENKFGAASDSLRRGIMFANSLYL >ONIVA11G04640.1 pep chromosome:AWHD00000000:11:3611242:3618215:1 gene:ONIVA11G04640 transcript:ONIVA11G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) TAIR;Acc:AT1G71800] MAAAAPAGAQNRCVFGTGPPPPASLSPSSAWFDPELSSGEGAHVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNADRNREKGRGGPGMASSVDTQKQLAGTPVVGDTGLHQPVGLPSAIHAASVMAGILGGSQTANVQNGLPVQYGLGNDPLTHYLARMSKHQLYEIMSELKSLTSQNKDVANKLLQGIPQLSKALFQSQIMLGMVTPQMMQMAKSQQPSSSLAQSSSHISEPFPQPDAMIPSVPRPSASLPNPNVLQDPSAQLHNFPQYPHSSQPAGTIFPHGSQSGVGIHPPIVSQPLGASSSVPPLPLATSGSLISQVQPPFMPHHPRPPAMPAGMQQLPLTHPHVPQVPAIPDIAQKEMRFPEQANRSTEFAHHPKLRKLEDGTSTPGIVNNNPAVYPAPSQGMLPGGPSGSYNSAAVSFQQPENEVPQLTPDVESALLQQVLQLTPEQLSSLPVEQQQQVIQLQKMLSAGK >ONIVA11G04640.2 pep chromosome:AWHD00000000:11:3611242:3618215:1 gene:ONIVA11G04640 transcript:ONIVA11G04640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) TAIR;Acc:AT1G71800] MAAAAPAGAQNRCVFVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNADRNREKGRGGPGMASSVDTQKQLAGTPVVGDTGLHQPVGLPSAIHAASVMAGILGGSQTANVQNGLPVQYGLGNDPLTHYLARMSKHQLYEIMSELKSLTSQNKDVANKLLQGIPQLSKALFQSQIMLGMVTPQMMQMAKSQQPSSSLAQSSSHISEPFPQPDAMIPSVPRPSASLPNPNVLQDPSAQLHNFPQYPHSSQPAGTIFPHGSQSGVGIHPPIVSQPLGASSSVPPLPLATSGSLISQVQPPFMPHHPRPPAMPAGMQQLPLTHPHVPQVPAIPDIAQKEMRFPEQANRSTEFAHHPKLRKLEDGTSTPGIVNNNPAVYPAPSQGMLPGGPSGSYNSAAVSFQQPENEVPQLTPDVESALLQQVLQLTPEQLSSLPVEQQQQVIQLQKMLSAGK >ONIVA11G04630.1 pep chromosome:AWHD00000000:11:3604327:3609870:1 gene:ONIVA11G04630 transcript:ONIVA11G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAYLKEMPSTPSIPSCTSGMRSVAARLLGAGTGTRPLSFVGSNGVGGRSASGSCHAGQSRALVNYDDDRGTYIIFNIADSLFIRDLNYRRPVKRICFSDKKPLCHAFDSEAKDGHDLIVGLFNVIEAAVEGTCRCTGVAWVPGHEGFFVVSNADGNLFVYDKSKDVNTDWTFPTVEDQSEMKISYAKSSKSNPVARWHICQGAINAISFSPDGTYLATVGRDGYLRVFDFAKEQLIFGGKSYFGALLCCSWSTDGKYLLSGGEDDLVQVWSMHDRKMVAWGEGHKSWVSAVAFDSYWSPPKPYERKQNSMHRFASPKSDEAEEDPIYSFASPKSDETKENTNIMYRFASIGQDAQLLLWDLTKDELNVSLTHASSCSESSSSGSCSASSSSGSSSTEDRDKEFPLGFLHPSPRLQEVTKLSPEVAHLVGVDPLFTLVFTSESVITVCRRGRITTRPREEIDNETETDQQHPGSSKLVIGNGTGNYSNPSRGCSLVYSKTI >ONIVA11G04620.1 pep chromosome:AWHD00000000:11:3597712:3604104:-1 gene:ONIVA11G04620 transcript:ONIVA11G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVAQITADLRSSDALRQSSALLQALQQCAAGRDVSAVARTVATEILAAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLASDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSPAESLRLAAVTSLSSLLPRDDLALMCSSNPSLMAHATTWWGRLAELALDSADAVAAAAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAADAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGAVNTLRRIAKPGDTTIADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATTAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDVRAKDDLNSITSKSLFREELVASLVESCFQLSLPLPEQNNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCNGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTESSTTTSNRIQDMQSVLICAQRLGTRNARAGQLLSKELEEYRASTSADFVTKHQSRYVLQIIKYVTSHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAILELWRPSPTQLSLLQTKGIGALHKELPKAYTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTEPELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFDGSVGSADYEGDYEESSQMTRQKRAFRAELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITREIESDLQGWMDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPAKTKEEEEEEKKQNEDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAHIILLISLTGD >ONIVA11G04620.2 pep chromosome:AWHD00000000:11:3597889:3604104:-1 gene:ONIVA11G04620 transcript:ONIVA11G04620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVAQITADLRSSDALRQSSALLQALQQCAAGRDVSAVARTVATEILAAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLASDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSPAESLRLAAVTSLSSLLPRDDLALMCSSNPSLMAHATTWWGRLAELALDSADAVAAAAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAADAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGAVNTLRRIAKPGDTTIADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATTAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDVRAKDDLNSITSKSLFREELVASLVESCFQLSLPLPEQNNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCNGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTESSTTTSNRIQDMQSVLICAQRLGTRNARAGQLLSKELEEYRASTSADFVTKHQSRYVLQIIKYVTSHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAILELWRPSPTQLSLLQTKGIGALHKELPKAYTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTEPELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFDGSVGSADYEGDYEESSQMTRQKRAFRAELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITREIESDLQGWMDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPAKTKEEEEEEKKQNEDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAQ >ONIVA11G04610.1 pep chromosome:AWHD00000000:11:3569003:3572047:-1 gene:ONIVA11G04610 transcript:ONIVA11G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDLRTLESWAKEKPEIEQPALQAVVGGGGLRAAAAAAEGGMEQQAAPSSSTSTSTNSSRSTSDHHAAAAAAAAAAAAQVAHQHHPFYYAAAQGGANTMPAPASFMGSLAIVPAAAAPGGGGGQVQAAAAPVASSEKKAVVAAGAGAKRPTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAIVAATGTGTIPANFSSLAVSLRSAASHSSSPRAAPFHHLQQQQQHDVAAMLGFHHHHHQLLPPPPPHQHPEPTPQDPGAGEFMRKRYREADDLFKDTSRQDPVDGATGEAEQKARAAAAAAAPPPTAPSAMWAVGPNTTGATAAFWMQPAWAFPHGAGAGAAGNTVQAPLQFMSRSSFPTAMNVTMADNNNSSNNNLGMLAALNAGGGGRSGEHQHQHEGQSPAEMDHQRRANGGGGEAGGAASSQFRMIINQFAIVRGFTSPESI >ONIVA11G04600.1 pep chromosome:AWHD00000000:11:3559643:3565808:1 gene:ONIVA11G04600 transcript:ONIVA11G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSSSQPCASSSPDAAGGVWAKLVPSDSAFPEVELAEDDAVVCSRVTPDGGGEVVAWCEIRRGGGDGDASSATIRNLSSDAIIVDGRVIQQEAVDIKPGSEIVSGPQKDGHLLYTFDITGLNDQDKTNIKIVLDIENAKCSICLNLWHDVVTVAPCLHNFWSSANSRDKSQSAACPQCRTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIALLESYASVKTNIAWHAFIPFPWSYAGAMILRSTMGINICQREVLGKQKIQSRKRRLPRSNDEANHTNHADFLCPQCGAEFGGFRCSPGAPHLPCNGCGGMMPARPDTSLGCDRAFCGAYWCSQGVNSSQHNPICDQETFKMISQRHISSVPDTVHGGNQYEKDITERCIQQSGKALQAIISEWIVKFDNKELDRSRLQLNHVDAITSRTYVCNQCYSKFIDFLLYWFRVSMPRNLLPPDAANRESCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >ONIVA11G04600.2 pep chromosome:AWHD00000000:11:3559643:3565808:1 gene:ONIVA11G04600 transcript:ONIVA11G04600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSSSQPCASSSPDAAGGVWAKLVPSDSAFPEVELAEDDAVVCSRVTPDGGGEVVAWCEIRRGGGDGDASSATIRNLSSDAIIVDGRVIQQEAVDIKPGSEIVSGPQKDGHLLYTFDITGLNDQDKTNIKIVLDIENAKCSICLNLWHDVVTVAPCLHNFWSSANSRDKSQSAACPQCRTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIALLESYASVKTNIVLGKQKIQSRKRRLPRSNDEANHTNHADFLCPQCGAEFGGFRCSPGAPHLPCNGCGGMMPARPDTSLGCDRAFCGAYWCSQGVNSSQHNPICDQETFKMISQRHISSVPDTVHGGNQYEKDITERCIQQSGKALQAIISEWIVKFDNKELDRSRLQLNHVDAITSRTYVCNQCYSKFIDFLLYWFRVSMPRNLLPPDAANRESCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >ONIVA11G04590.1 pep chromosome:AWHD00000000:11:3541192:3541686:1 gene:ONIVA11G04590 transcript:ONIVA11G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHNEKDTIGTTHLQLGLPSPMTRMKSSCRRCRRRLWLSMSSKVSAPPLRAASVWGAPAPPRRLSSTSPERLLLRRSNRRRGIAAARRLAARKMWPLEETIARDQGSESSDTNREEKGRN >ONIVA11G04580.1 pep chromosome:AWHD00000000:11:3546461:3553873:-1 gene:ONIVA11G04580 transcript:ONIVA11G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSSLHHHHLLPRSLPLLIPSAAGGDLAVLALGSRPQDRKEEEVISRMKRVSSHVSIASEAEINLDLSRLLIDKPRLTLERKRSFDEQSWSELSHRQNDGFDSIMHSPAFPSGFDSPFSLGTLGDPHPLVNEAWEALRKSVVYFRGQPVGTIAAVDHASEEVLNYDQVFVRDFFPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNRNRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTADTSLAESPECQNCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPDGEGKDFIEKIGQRLHALTYHMRNYFWLDFPHLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIISSLATPEQSVAIMDLIEERWEELVGEMPLKICYPAIENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFIGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPGTNTKPPRLRGGSTGLLVRRCGDDDDDELVVAALLTEEYRRRDTLEGAELVVLRSGEWSATPIAPIVHDDGKGEELSYWETDMAVPVGDRLLCYVDLYRGVILCGDDLFDEIPPRLQYVSLPVEAPAGAFDEEHFDRQGDNYRRCLLNTRTVVCAIDGGGAALKFVDISSRCCCGSLGKHTTCDRSSRAFVIRTWTLRIDGDGDDDMAWTMDAMIDAAELSPSTPTPPSHGAFHYTP >ONIVA11G04580.2 pep chromosome:AWHD00000000:11:3529863:3542887:-1 gene:ONIVA11G04580 transcript:ONIVA11G04580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGDMSSWTMDAMIDATELWSLDAYAASHTRIHSYPSVRGERKRRKHRVDDDDETMWKVMMDTKSKALVSVLRDDDIFSWLLPYGCTYISIKIPDHFATCVVSCGDVTTMPPANIDKLPITTEISSNLSQFLALESSPKNLQVSRMASPEEILVALEEIPGLDCDDLLKAYGIICRDNGCLLRSLLGLPMSMRKKWLLIEIKAYQDCPVCYASVERTLWKTLIATISGDIDGNCSSSVSWATAAQAAGKLLEMTDLLSNFLIFAVRESSTAMAPITEATSRSSSGHLVRVSFRPELSFRCSPCGKDGPSMDLVADHDDHSVVLLEMRYDKEGYDEFGIDYFVYNYAAADDDGDDDDPPRPPSLSLLPSYWDPLDEDERSWRQDPKVHQLDADSTGLLRRRRRRHGEDDLVVAELITTRESESSKLEEVKLLVLRSGEWSVTRAEIIHDGSKGEELSYWETDMAVPVGDRRLCWVDLYRGVILCGDDLFDEIPPRLQYVPLPVEAPAGEFEEDSDDESTRRCLMASRIVCATGGGATLKFIDVFPHCCCGDPGATLCDHSRNAFVINIWTLRIDGDGDHTMSSWTMDAMIDATELWSLDAYAGIPRVIPEYPVISTDDADVICFLVTEPYNHKQGKPYFERTTWEMTMDTKNKTLLSVCTYDDDISQREPSYGHTYIPNNFATKPPPAIICEATTTITTEINGNNLSQSLPSAKHLQVSRMAPEEILVALEEIPELSRDDLLKAYSILCRDNGRLFRSLLGLPMSLRKKWLLIEIKACRDCPPHATNLCNYSSNAFVINTWTLRMNDDDDGMEWTMDAIVDATKLWSLDAYASIPRITPEHLSSA >ONIVA11G04580.3 pep chromosome:AWHD00000000:11:3542890:3546455:-1 gene:ONIVA11G04580 transcript:ONIVA11G04580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNLVCLIVDEERKRGAHYVAEEVVWKIMMDTRSKALVSVWRGDGRCHWRQPHGYTCIPVKIPDCFATYVSYSKAATMLPAMVDKIPIATPTATGTGTNLSQSLPSESSPQSLYVLMASPGEILVALEEIPGLDCDDLLTAYSILCRDNGRLFKALMGLPMSMRKKLLLIEIKAYLNCHVCSTWWAMLNHYAELEVEGDGEDSTSNSGGAKITEAASRSSYGHLVRVSLRLEAPPVASQLSFHCSPCSKHRVHGPSINVVAAHGDSVLVEMHYEKGENDEHFDYFVYNAGAAAVADEDGLPRPPPSLSLLPTYWVPLSEVEKTAYRPHQSAKAHQLREGSTGLLVRRGGGGGGGGGDGELVVAELLTKRRRRRDTLEGAELVVLRSGEWSATPISPIVHDDGKGEELSYWEADMAVPVGDRRLCYVDLYRGVILCDDVFDEQAPLRRRPRYVPLPVEVPAGAFDEEHDRRGGNRRHCLLDTRTVCAIDGGATPSSSTSSRDAAAAAAARRSATIPAAPSSSTPGQ >ONIVA11G04570.1 pep chromosome:AWHD00000000:11:3507353:3529803:-1 gene:ONIVA11G04570 transcript:ONIVA11G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWKIMMNTKDKTLLSVCRYDNDVYQQKPSYGHTYIPCKISTLYTNHSSNNGATKPPVSRIASPEEILVALEAIPDHACDDLLKAYSILCRDNGRLFRSLLGLPMGLRKKWLMIEIKAYQNIYSTCGGGGAYPRWVMLEQRAVHDDKEDDDGEDDSRCSAPDVKINTEAACRSSDGHLVRVYFRRLVAPPAASRICFRCSPPCGTGTGRERARCDKPFLCVVAAAGDSLLLQMTYNGQFDRFVYSAADSPTLTLLPTHARRQHWLDVKTTGLLRRRRRDGELVVAELTVKKGDTDDTPEDAELVVLRSGEWTVTRAPIIHDDGKAEEVSRWRTDMVVPVGDTHLCWVDLCRGVILLSASDLFDESRPRRLKYTSLPVEAPAKKFDDDDGGEYAINPRGYPERNRSVCVTGGGAALKFIDVSPRCCCGSPGATTLCHNSSGAFVIKTWTLMMNDDDDTTSTTWAMDAMVDAAELWSLDAYAGPPRLRPVYPIVSMDNPHIICFMVCKEHWESCFHCERTIWKIIFDMKSKKLLSIRYYDESQCQTWGVHYGDDYLPSMISDYFNSNGKYTSHNATTSINDLIVTNYLPQSSHKGLKVVSSEVQVSDEEIFAALEEIPDLGCDDLLKAYSILSHDIGQHRVEKLVPSALHVQQTCNIYMLETLGAQPTAATLPNNSGGPSPAGGGGYPQWVILAEHVPLEDEDEGGDDPNSCFTADAASKVTDTETEAASRSSAGNHVGVSFLLKAPPAVSRLRFRCVPSGSRGGDRRFPSMRVVAVHRDSLLLRMQYRKGRAYDDDIGLDYFLYNAGAAATAVDPPRPPSLSLLPTYWETLQKEEDDDEEDKEDDGGEETYRGRGYRRWAAPKRWVRDLGVKTTGILHRRGDGDDGDLVVVAELIAKEEDGGTPEELLVLRSDGEWTLTRPPVVHDDGKAEEVSRWKSDLVVPIGDTMLCWVDLYRGIIVCDLFDEIPQLRYVSLPVDAPAAKFDNGRGDYSINPRMCPRQKRSFWVSDNGGELRFLDVSPRCCCGDLGATTCDNARNAFVISSWTLKMNEMRWVMDAMVDATELWSLDAYTGHGLPRVRPEYPLMIMDDPRLVFFVVQEEYQPEISFSDRGKWRVMFDMRSKKILSVSQYDESDTSWQPYSWLTYFPSKISNYFTSIGACSNVAKRPLIVTDKPAVSCIVSSNSLRSSSSRESSTKHSQMSKGVVASPEEILAALEEVPELDCDDMLRAYSILCDDIGRHRFRSLLGLPIVLSALFAQQTCNMVELISRRRFLLKGVVFNFVFLSAEYLHVNHPCSAAADAKTEAATLSSGGHTVRVSLDLRAPPAASRVCYTCSVPVDPALGPPYMSVAAAHGDSLLVLVSFSYQEGYEHHTDTIDKYLYNAGAAAAADPPRPPSLVHLPAYYNGEETTLPSPLPHDLDEKTTGLLRRGEAEADLVVADLIVKDGGEADAPKKEAELLVLRSGEWSVVRAPIVHDDGKAEELSFWETDMVVPVGDRRLCWVDLCRGIILCDMFDDGDETLRPRYFSLPIEPPADDRDRRRCQMSKRRVCVTNGGTVLKLVDVSPRCCCGRRGATQCDHSSGVFVINTWTLHMDGDDDDMAAYWTMDAMVDATELWSLDAYAGVPRINPQFPIVSMDDPDIICFQVPEEHKIGRKMQSWYIMLDTSSKTLMSVCRLDESSSLQLSYGYAYFVSTVSHCFNSSDDGGFNNDVTKPALMIIDDKVATKNNIIANDSLQSSCESSAKNSKVNSPKEILALLQEIPELSRDDLLRAYSFLCYDNNGRRLSSLLGLPMSLRKPWLLMEIKASEACSVCCACRADMQNTQPTADHDGGVTAGAGYPRCVILSRNGDDSSPPLSAPDDKTEATSVSSGGHLFRVLLFLDAPPASSRVCFDCFPRIHRGASLIVVATHGDSVLVRLSYGGRGYGDVGVLDYFVYNAGAVAADPAATAAIAVAAPCLPDDEECEEWQAYEEESETWPRHHHQIHHHLDEKTIGLLRRGDDDMVVVDLAVLEGHGLEEEGDAELLVLRSGEWTVTRAPVAHFVGRADKPPSWIIDMAVPVSERRMCWADLYRGIILCDDVFDQNPQLRFVPLPPEALTDETDDGYKRDYAITDQRVCATDGGAALKFIYIISRCCCGLPGTTFCDNSKEAFIIKTWILRMDDDDMVWTMDAMVDATELWSLHACAGLPQAKPIFPVASMDDPHLICFMVQEREFEERRRRYCHIKRTWMVIKISSYFTFDDPSYCSNAATSSEPHVVDHDTVIDESVRSSSESSAGGKHLIRVSGVASPDEIFAALEEIPELSRDDLLRAYSLLCSDNGDRRFKSLLGLPMTLRKPWLLMEIKASEARSVWCGDGGAGAGGYPRWVILCRYGEYQGYERDDSFPPFSTPDAKTKAASVFSSGGHHVRVSLCLDAPPVSSRVCFDFFPRIGGGRGASLAVVAAHGDSVLVRLSYQGEGYRYGDDGVLDYFVYNAGAAAADPPRPPSLSLLPAYVTTDADEEESETWRPRHDLDERTTGLLRRGEDDMVVVDLAVMEEGDELEEAELLVLRSGEWTVTRAPVVHFDGRAEKLPSWITDMAVPVGERRMCWADLYRGIILCDVDDVFDQNPQLRFVPLPPEALTDESNDDDDYDDHTRKYVIAERSVCVTGGXXXXADLYRLTDESNDDDDYDDHTRKYVIAERSVCVTGGGAALKFIDVIPRCCCGRPGPTYCGHSTGAFIIKTWILRVDDGSGDMAWTMDAMVDASELWSSHAFAGLPHAIPEHPIVSIDDPHLISFMVDERESEGRYCRIKETWMILFDTRSKTLLSAISCSHGRNFLPSKVSSYFTSSNGSCSNGGAMSEPAVIIDKAPTHDAIIGDSVRISCESSGAKHFRVSGSVASPDEIFAALEEIPELSRHDLLRAYSMLCHDNGRRFKSLLGLPMSLRKTWLLMEIQTCEDCAVCCGCMTDLQNA >ONIVA11G04560.1 pep chromosome:AWHD00000000:11:3499678:3501231:-1 gene:ONIVA11G04560 transcript:ONIVA11G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYTSSPSHDEGGGPLVCVVAAHRDSVLLQMHYKNEARRGGEYGLDHFVYSAGDAAAGDPPSLSLLPIHWVHYGLSDRTPNRLDDARTGLRRRRCGDNDLVVSKLTVTEGDDGVDAELLVFRSGEWSVTPAEIIHDDGKADELFHWKTDMVVLVGDRRLCWVDLYRGIILCDMFDDDEPLRPRYVSLPVEPPAGEFDDDDYGYRYYEYESGGGRGRNPRLCLMKDRTVCATNGGGGSGDTLKFVDIFPRCCCGGPGVTTCDHSSSAFVINTWTLRTSDMTWTMDAIVDATELWSLSLHADAGIPPHKRPYYPVVSTRDSHIICFLVYDHDYCVKEKFWKIMLDTTNKTLPSVFAYKNQSSPCLRCIPSEISGYLLTSCSSDSTKPAAIVVDVLPAAAATTTTTTAVISKKSHELSTNVSEMASPEEILAALEEIPDLGCDDLLEAYSLLINDGSGRRFRSLLVLPMGLRKKWLLIEVKNSQACSICSACTTQPTTWMGAEAELQGSDVLSKHLSRVL >ONIVA11G04550.1 pep chromosome:AWHD00000000:11:3496098:3496984:-1 gene:ONIVA11G04550 transcript:ONIVA11G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGGSYPRWVMLEHKGHNVRSLRKHSAAGYTGDPKTAASACTFSGGPCVRVSFCLEAPPAASRMFFDLEQKDLDASMFVAAAHGDSVLIKMEYYDEHYADDALDYLITSSTTPATPRGRRQQDEPNPYMDVRATGILRRGDDELVVAELITKGSDDDMPPNEAKLLLLRSGEWSLKRAPIIHRDDGGGKGAELSAWETDMVVPVGDRRLCWVDLHRGIMLCNPFDESPRLQYVSLPVEPPKSFDDGRRDSPATKRSVCAAATTTGGGHADAREIILLLT >ONIVA11G04540.1 pep chromosome:AWHD00000000:11:3453688:3454730:-1 gene:ONIVA11G04540 transcript:ONIVA11G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYAGPPPPPPPRPPPPCTTAYIGLQTARGSGTNGHVQTNKFFIKPRSSSAGGPPKAPPPAPGFDDAGGMRKPSKEILEHDRKRQVELRLLLLRDALEEQGYTEGEVEERVEQARKEAEEEGRGAGRRPLPGKGERGHRRGVGGDGVGCAGVGVGILVIDLEFADVLEHYRPPWVPPSPVGFPWAAASGFR >ONIVA11G04530.1 pep chromosome:AWHD00000000:11:3448026:3452499:1 gene:ONIVA11G04530 transcript:ONIVA11G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTIRQYLLVLMASSIIKIMCSSLYGNETDRLSLLEFKKAISMDPQQALMSWNDSNYFCSWEGVSCRVKTPHRVISLNLTNRGLIGQMSPSLGNLTFLKFLFLPANSFTGEIPQSLGNMHHLQIIYLSNNTLQGKIPNLANCSNLKVLWLNGNNLVGQIPADLPQRFQSLQLSINSLTGPIPVSVANITTLKRFSCLYNNIDGNIPDDFAKLPVLVYLHLGANKLAGQFPQAILNLSTLVELTLASNHLSGELPSNIGDSVPNLQKFQLGGNFFYGHIPNSLTNASKLNLIDISINNFTGVVPRSIGKLTKLSWLNLELNKFHAHSQKDLEFMNSLANCTELQMFSIYGNRFEGNVPNSFGNHSTQLQYIHMGLNQFSGLIPSGIANIPNLIALELGGNLFTTVIPDWLGGLKSLQTLSLFNNLFTGPIPPSLSNLSNLVELGLSTNQLDGYIPPSLGYLQVLEEFTISHNNINGWVPNEIFGIPTISLIWLSFNYLEGELPSEVGNAKQLMYLHLTSNKLSGDIPSTLGNCESLVDIKLDQNVFTGNIPITLGNISSLRGLNLSHNNLSGTIPVSLGDLELLQQLDLSFNHLTGHVPTKGVFKNTTAIQIDGNQGLCGGIPELHLLECPVMPLNSTKHKHSVGLKVVIPLATTMSLAVTIVFALFFWREKQKRKSVSLPSFDSSFPKVSYHDLARATDGFSASNLIGRGRYGSVYKAQLFQGRNVVAVKVFSLETKGAQKSFIAECNALRNVRHRNLVPILTACSTIDSRGNDFKALVYKFMTRGDLYELLYSTGDDENTSTSNHITLAQRLSIIVDVADALEYLHHNNQGTIVHCDLKPSNILLDDNMTAHVGDFGLARLKIDSTASTSADSTSSIAIKGTIGYIAPECASGGGQVSTVADVYSFGIILLEIFLRKRPTDNMFKDGLDIAKYVEMNSPDRTLNIVDPELLDDKQLQEIPVTMKEKCIECLVSVLNTGLCCVKISPNERMAMQEVAARLHVIKEAYAKAISDSQAVISDKT >ONIVA11G04520.1 pep chromosome:AWHD00000000:11:3437877:3443366:-1 gene:ONIVA11G04520 transcript:ONIVA11G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEGEEAPIAAFAVSKGGVVLKNIFLNAPPSPLPVEEAARGRGGEEEDPPVMFGRHPECHVLVDHPSVSRFHLEVRSRRRQRRITVTDLSSVHGTWISGRRIPPNTPVELTAGDVLRLGGSRREYRLHWLSLREAFDMEDLLPPLLEEDKEELSTCQEASKQLEPDQKESADTETHQETSQQVVSEQIDFHANVIPSAPPIPEFADLFALEESSVPEFDDSREGRIEGNLIEENHVIYSVESSITQPMLATVEDAGRSVKSGEKDTSNARRSKLKSVKTLRIETGRSKERITPLSYSYQKEENQNENPICSQNCGIECEACMVLFNNSYVGEAEEKEKMNILDRIMMEENQEQTNHLQSKEFVHYVAPLNLDYETFSDNENCVLSVAKETEHNDFNPVNCISQDSVCENPQKISELLHSVSPLVFKGDDFTDSKILQLCASVHKELSGPILENPFMQDISDENTNSNKDTGHEGLTLLNLDATLTSNENFAQSKIFVAPEDSESEGTINENLFEISNMKGNEENEENSPWDKENITPFVSGDIIVERSQLRLKPTTISQELMDSISPLNLEHNDFSDDENSILSIGEQMNSNELIAKNLIPLTSVDANMQKSHAGFMPIAHLDFKDSILTDEETSVLSPEKYDTISPVRQGNLFPDKENVTPASRDLKPIIGRKVLGPRVDNSLSVECTSKRRIHRQEPNELSAKSKVCHAVDDDVFYSDKENLTPISSGGIKARRCLPKSLTVDADQDQEAFYSDKENLTPVSSASRKTKDLSENRARMESTITKKRVVDRLPFQTLLSNSPLRHTSSLDSTQVNPRAVDVAMKLEGELNNVPHKGQESEKTKEGMKVWTMVTDMECLLDDESRKSIMLLRGLKGTQLVIPMIVIRELECLKKRERLFRMLSKATSMLQWINECMEKESWWIHVQSSTEMLPVAPTPPATPTALCNNGEREISAGTFNPIALFSPRSFSDIVSPKTEDRVLDCALLFNKLKGNQNIVILSNSVTLKIKAMAEGFPCEGAKEFRETLVNPCSSRFMWAASAPRGSAWSCLDETTLEENYYNSHHGARRRIPRPMEPAKGLKLILLHNSHYGQATNFVENRPLAPMASW >ONIVA11G04510.1 pep chromosome:AWHD00000000:11:3426251:3430274:-1 gene:ONIVA11G04510 transcript:ONIVA11G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPSGSGGDGNANAKAKLAPPPVTAAGGRPVSVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVDKASGGEFACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYTERAAAQLIRTIVAVVEGCHSLGVMHRDLKPENFLFASAAEDAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPESDVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLCRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDDSGTITFDELKEGLKRVGSELTEHEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDLHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGGAGRRTMRNSLQLNLGC >ONIVA11G04510.2 pep chromosome:AWHD00000000:11:3426620:3430274:-1 gene:ONIVA11G04510 transcript:ONIVA11G04510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPSGSGGDGNANAKAKLAPPPVTAAGGRPVSVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVDKASGGEFACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYTERAAAQLIRTIVAVVEGCHSLGVMHRDLKPENFLFASAAEDAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPESDVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLCRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDDSGTITFDELKEGLKRVGSELTEHEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDLHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGGAGRRTMRNSLQLNLGEILNPSNS >ONIVA11G04500.1 pep chromosome:AWHD00000000:11:3424289:3425755:-1 gene:ONIVA11G04500 transcript:ONIVA11G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2739 [Source:Projected from Arabidopsis thaliana (AT4G14590) TAIR;Acc:AT4G14590] MPPPPPPPRLLAGGDHHPSPTSASSPEHPFLSAHLLLPSPSPSDLPSLHLPLALAFSFLADPSPLPRRLLVVLHAAGGRYPAFYHAFASALLSLPFPLLLPHPRNRLLLAASELARAAAPGFAPLLVSLLRRLPFPGDARLLDIFHEHASFLADEEPQLLASAVFAFLRLLAKNRLDPAPQCLECSDCGECKNAKNLAGCRENLVSFCASVLRDHTQVCELIGRDLVRCLHELVLVQEFHKLWKDSMLGRAAEICRIGMPGWCTAMAVSPEMETQLLFMMNNVKWGNQKRYQLWFARKHLMVPGGEERIPDIVRFICCGYHPTNKVMQSGVIARWAVIGWLLTSCNKSYVQANAKVALFYDWLFFDEGKGNVMNIEPAMLLMVNSVSQYTDITNMLLEFLFLLVENYDVRRKEAIAECVRNAFAVLVKKGVVPSLDILTCCEKLSPMLRQKLVAFLSSASPEETEKTCGQPIDEASKAMDLNNRVCSN >ONIVA11G04490.1 pep chromosome:AWHD00000000:11:3420021:3422541:-1 gene:ONIVA11G04490 transcript:ONIVA11G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IYS5] MASATLLKSSFLPKKSEWGATRQAAAPKPVTVSMVVRAGAYDDELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSTVDGKKIVDILTEQKIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAETFFYMAENNVMFEGILLKPSMVTPGAECKDRATPEQVSDYTLKLLHRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGQPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYVY >ONIVA11G04480.1 pep chromosome:AWHD00000000:11:3406974:3409525:-1 gene:ONIVA11G04480 transcript:ONIVA11G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVRAVIESFFVMLKENIYVLIRIESLVALVTLIFLAMFIIDFYRCQTRSSILTTILESIDELSDQIVVYLIGAMQSARFENELFPVWAIVLVSLRTSLGYLSGYGISDRDRQFMELANVIKFIGAGVLAGTRGLKYARPLWSFWAILQLKSMYRFFAHGMANESLWHGRSSEFIPEYMRTFIPEDQETGVNHDDRNTSTMMPGKKYLICGESNKDITLKKPQYTINISNSSAQSLVTLGKIQELEDVTLNKDSINDMQHLIISEFIPDSRGQRQEEKVDEHQAVEAERTFRILELELAFVRDYFYTLYPLVFWEGLGSLCLSLLLSAATFAIAFWLAVGIRKDQAWFVSERLTKHLVRSFFESKIGEPWHGRIDQYDFLQQITYKPTLWKLANVITLGKIEGKLDGKKTGEAIKIPQCVKLAILQAIRRIGLTSRPLPREIPSLRSSTIQFERYEWACLKLRTCSQVILVWHIATSLCEIKLAHDKKIDLTKPGFLCSAWLYMKKKLCCFSSYPYLIGENSGLSAGLKTNYHIAISLSRYCAYLQVFRSELLPDSFLVPEVLFVETLKHAREQLKDCNLKWCRYNKLMGIALQATPSSVDEKLKMNILQQGVTLAKDLIGMKDDEACWKILAEVWADLLVHIAPSWNASDHKNNLESGGEFITLIWALLWHCGIEKSSLWHKDEAFENNSQVPQESSTETSNVIPMDEPANEDGIESSEELKTRRFRRGREHRNGPKDTTKQSHGENEEKNGIPSSSFRS >ONIVA11G04470.1 pep chromosome:AWHD00000000:11:3405657:3417620:1 gene:ONIVA11G04470 transcript:ONIVA11G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKVARIMFWLIGRGRSRRDRNIRQCNLVMSARTGSIACVTRVIFRTKKLRREVKMELFSSIKALLNSQEVAAGRTRSSPEELAQKKNELLRSYLGNAFADIEHLVGQIEHIQREIEGEGETHKILAWHIATSLCQIKLLEQQATGRWRDDLYNLTLPEGGGVWPHYVTAVTLSNYCAYLVRQALVPDNGLVAYKVLHEVRREAAQSMRGCSAMQGLPHQLILNSNRPRCNGDDGEEGIIDIAALLSEELVAAFGGGAEVELWKRLGKFWAGFLLHLSASTRAAKHQVHLRGSGELTTHLWVLLSHAGFLGETSHGDQMLDPADLSNS >ONIVA11G04470.2 pep chromosome:AWHD00000000:11:3405657:3417620:1 gene:ONIVA11G04470 transcript:ONIVA11G04470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAASYLAYAVHDMPSVSTGLTAKGRLARISHGVFVTHCIIAILVIRELWEIIVYVFSQWTNVLIICSYIRLRGRQGCWIQGLRLWMMEKVARIMFWLIGRGRSRRDRNIRQCNLVMSARTGSIACVTRVIFRTKKLRREVKMELFSSIKALLNSQEVAAGRTRSSPEELAQKKNELLRSYLGNAFADIEHLVGQIEHIQREIEGEGETHKILAWHIATSLCQIKLLEQQATGRWRDDLYNLTLPEGGGVWPHYVTAVTLSNYCAYLVRQALVPDNGLVAYKVLHEVRREAAQSMRGCSAMQGLPHQLILNSNRPRCNGDDGEEGIIDIAALLSEELVAAFGGGAEVELWKRLGKFWAGFLLHLSASTRAAKHQVHLRGSGELTTHLWVLLSHAGFLGETSHGDQMLDPADLSNS >ONIVA11G04470.3 pep chromosome:AWHD00000000:11:3405587:3417620:1 gene:ONIVA11G04470 transcript:ONIVA11G04470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKVARIMFWLIGRGRSRRDRNIRQCNLVMSARTGSIACVTRVIFRTKKLRREVKMELFSSIKALLNSQEVAAGRTRSSPEELAQKKNELLRSYLGNAFADIEHLVGQIEHIQREIEGEGETHKILAWHIATSLCQIKLLEQQATGRWRDDLYNLTLPEGGGVWPHYVTAVTLSNYCAYLVRQALVPDNGLVAYKVLHEVRREAAQSMRGCSAMQGLPHQLILNSNRPRCNGDDGEEGIIDIAALLSEELVAAFGGGAEVELWKRLGKFWAGFLLHLSASTRAAKHQVHLRGSGELTTHLWVLLSHAGFLGETSHGDQMLDPADLSNS >ONIVA11G04470.4 pep chromosome:AWHD00000000:11:3405587:3409223:1 gene:ONIVA11G04470 transcript:ONIVA11G04470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSWSPLRKLSEWPQASGPGPQDTSGRRPWHPHRAHDTSPPARRSWSRICLGSLDLDGDGTNAGDSIESAGRAHSQPRGFHLQRRKAGILAGLPDWEGTQAREGKHKIALWGLIDLADSYGQPEGNGESCGGQEQTQTERTKPFPEDQWVEGVEVVSDKCKLQLKDPECPLSLDSLVRMYSGINSELRPCHKDSFAMPCAKNRYMLFSCRMAQNDQSGLAYFKPRVPAKTPAPMNLITFASSMNCRSRSEMPYPER >ONIVA11G04470.5 pep chromosome:AWHD00000000:11:3405587:3415389:1 gene:ONIVA11G04470 transcript:ONIVA11G04470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSWSPLRKLSEWPQASGPGPQDTSGRRPWHPHRAHDTSPPARRSWSRICLGSLDLDGDGTNAGDSIESAGRAHSQPRGFHLQRRKAGILAGLPDWEGTQVHAYLRVTGFRSCRCCPLTTKHHWCSQCRVLGGGGDNAAADQVRRRFHRPRFSSQKYINPAVQILRILNHYAVSYTLGLMPPSSSHQGTVANAFFKVWAVLIVTMQDSIRIGRPYQPKEMTLVDMLTSLWSANQLRAKTAIHLRVPLWLMWSIHASRIVWYYITSSAAARARDDDMKLVSDYMAMPTQHTSNDANPATMAGYKYIVLGEEKLKQQIKVEPPSFTQTQLAQEEVITVEKVWSQGSSDALLGEAGDSSNRFKDVCLSFALYKLLRRRFFNFPIHEASHAGTRQLVVDAILDDKNGYERAFRVTSSTASTLMSSPVASLA >ONIVA11G04470.6 pep chromosome:AWHD00000000:11:3409254:3415389:1 gene:ONIVA11G04470 transcript:ONIVA11G04470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTGNSSFSNLADCMAPMRSCRCCPLTTKHHWCSQCRVLGGGGDNAAADQVRRRFHRPRFSSQKYINPAVQILRILNHYAVSYTLGLMPPSSSHQGTVANAFFKVWAVLIVTMQDSIRIGRPYQPKEMTLVDMLTSLWSANQLRAKTAIHLRVPLWLMWSIHASRIVWYYITSSAAARARDDDMKLVSDYMAMPTQHTSNDANPATMAGYKYIVLGEEKLKQQIKVEPPSFTQTQLAQEEVITVEKVWSQGSSDALLGEAGDSSNRFKDVCLSFALYKLLRRRFFNFPIHEASHAGTRQLVVDAILDDKNGYERAFRVTSSTASTLMSSPVASLA >ONIVA11G04470.7 pep chromosome:AWHD00000000:11:3409254:3414610:1 gene:ONIVA11G04470 transcript:ONIVA11G04470.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTGNSSFSNLADCMAPMRLRQTAVVCKCKMANIVLTILHLISTTVGGLFRHDSTTGAASVEFWVAAATTLLLIKFAVDSIGPASPPRSTSTLLSRSCGY >ONIVA11G04470.8 pep chromosome:AWHD00000000:11:3405587:3409223:1 gene:ONIVA11G04470 transcript:ONIVA11G04470.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSWSPLRKLSEWPQASGPGPQDTSGRRPWHPHRAHDTSPPARRSWSRICLGSLDLDGDGTNAGDSIESAGRAHSQPRGFHLQRRKAGILAGLPDWEGTQVRMYSGINSELRPCHKDSFAMPCAKNRYMLFSCRMAQNDQSGLAYFKPRVPAKTPAPMNLITFASSMNCRSRSEMPYPER >ONIVA11G04470.9 pep chromosome:AWHD00000000:11:3414318:3417620:1 gene:ONIVA11G04470 transcript:ONIVA11G04470.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAASYLAYAVHDMPSVSTGLTAKGRLARISHGVFVTHCIIAILVIRELWEIIVYVFSQWTNVLIICSYIRLRGRQGCWIQGLRLWMMEKVARIMFWLIGRGRSRRDRNIRQCNLVMSARTGSIACVTRVIFRTKKLRREVKMELFSSIKALLNSQEVAAGRTRSSPEELAQKKNELLRSYLGNAFADIEHLVGQIEHIQREIEGEGETHKILAWHIATSLCQIKLLEQQATGRWRDDLYNLTLPEGGGVWPHYVTAVTLSNYCAYLVRQALVPDNGLVAYKVLHEVRREAAQSMRGCSAMQGLPHQLILNSNRPRCNGDDGEEGIIDIAALLSEELVAAFGGGAEVELWKRLGKFWAGFLLHLSASTRAAKHQVHLRGSGELTTHLWVLLSHAGFLGETSHGDQMLDPADLSNS >ONIVA11G04460.1 pep chromosome:AWHD00000000:11:3399342:3404406:-1 gene:ONIVA11G04460 transcript:ONIVA11G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGVALNRRTRSRPPSVASSQKSDDPAAAVAAISTAEATPSPSHAAGERTVKKLRLTKAVTIPEGTTVAEACQRMAARRVDAVLLTDANGLLSGIVTDKDIAKRVIAEGLRVEQTITSKIMTRTPVYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSALAAAVEGVERQVGDNLPDHSSVIETLRERMLKPSLSTIISENTKVAIVSPWDPVCVAARKMRELRVNSVVITAGNSLQGIFTSKDVLMRVVTQNLSPELTHVEKVMTAHPECATLDTSILDALHIMHDGKFLHIPVVDGEGRVVACLDVLQITHAAISMVSLHMRIFSFLIPCFVLMVSVHLTSFCVKVEGGPETTNDVANTIMQKFWDSALALEPPDEEFDSRSEISLLMPSEAGDGRSSINPPVVGNSFVFKIEDQKGRMHRFACGSESLHELVSSVVQRLGIDGEKGTVQLLYDDDEGDRVLLTTDTDLTGAVLHAKSSGLKSLRLYTDESNSSSEVTKHSSEVTNHASEVTKHTSEVTKQPPELTSSHTSQLTPARYGLMADFSQM >ONIVA11G04450.1 pep chromosome:AWHD00000000:11:3385102:3391321:-1 gene:ONIVA11G04450 transcript:ONIVA11G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFMVMLLLCSLKERPEMREEGGIASPGEKPIPNGASPNHSQSPKICSRITDNETQGTATAKSLNEKLVLETVSDDSSTQHCQSPQPDVFTNVKDEDMQDSVESLSEKLASALLTINAKDDLVKQHTKVAEEAVAGWEQAEAEVSTLKRLLEASTQKNASLDDQVNHLDDALKECVRQLRQAREEQEEKIRDAVAKKTQELDSHKSELQNHIYELKQQLEAAKLEAATVAVQHDLQDKLQVAEKENKGLKIELLTLAKDLKRLSLERDLSNEAAETASKQHLESVKKIARVEAECRKLRHLTRRTSLANDSRPAPNNACMESLTDSQSDSGERMLTVDSEMRNSDSWASALIAELDQFKNSSASSRDVVNNHVEIDLMDDFLEMEKLAALSEVERVSSSFGTETDSDQAVAIDKASKVETETLKSQVTDLQAKVEKLETEKRDLEMALAEARVQLDASCDALMAANNKLAELQMQFNLANESKIAALGQADQLDAERGSLALQLESKSIEVEKLQAIVASLEESTDKKELESQLESTSVELVDLRKTVASLQEQIDAERTLSLQHKAYADMADADKKSLEAQLQSAHADIGKLRGSIETLESELQKEKTMYEELVVQMESMKIESEKKLGVESAKEALEARLLVVNSEIAKLHGTVNDLECDAAKEKAFSSELKMQLEAVEGIRKMLESELESSHQETMKLQEKISLLEVRLKDQTALLVEFTAKAEDAAAGRKAMEGQLEGAKLEITKLTNRVSLLQGKIEQEKLLSEEYEAKCRKLEAQLSRDSREARLWRLANTNGDLKVKQDKELSSAAGKLAECQKTIANLGRQLKSLTDLDSVTAEPEKLGSGDALLDFREPDVEVPPAGFANGLYDLDLPKSNGSCLSPIPRVQSSSTHSQTSVFSGGLSSLGSYRSKTRK >ONIVA11G04440.1 pep chromosome:AWHD00000000:11:3381132:3383619:1 gene:ONIVA11G04440 transcript:ONIVA11G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPMPFLWNLSSESPFCSKSEHFAPCQISSSPESAASCVLFHCGNISFRDQSVHWQALTMPSCKASADTVHPAGQFQTAMAVIVVLLHQYYK >ONIVA11G04430.1 pep chromosome:AWHD00000000:11:3378411:3384586:-1 gene:ONIVA11G04430 transcript:ONIVA11G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYISCGMVALYRTLESSPIVEQAKVFVLLELSSAHQLPSMGVFSSAPKVYKPASEVNLGADSNEFYISPNVKAPRVAGLLVKIFAWVLEAPIIGSIVLYILKRDNLVNKLVSDAEIPEPPLFTAAHTWQDIPEQNVSLTKPDMSPAERVQEAVVCLPARLESVLADPPSPGFRRWTIRDFTSAYISGEITPVMVARRFLAAVKECSGPDLNMALFISCNPQDVIRQAEASTLRYQQGAPLSAMDGVLVAVKDEIDCLPYPTTGGTRWLQRMRPCVQDAAVVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPYNTGKVAGGSSGGSAAVVCAGLCPVALGADGGGSVRMPAALCGVVGLKPTAGRFSKDGFVMLHIYVLFILQDQSLAKVAIAAMDVHCSDELTHLTWAISDLRLLPLNWTVGMPGILAATVEDALIAYAAIADQSQPSHLQANARTLYFLLHVWMFSETEFAEDLIFFVCLQPELNLPLLKAASSMPTIRLARYAKWFNDCSEDIRSCCYKAVHTLRTRYGWEVTADVTIPEIEEMRLAHYVTMGSECTASFDKYLKKLSKSEIGWDVRIALSAYGSFGSRAYLNSQRIRNRQMYFHDKIFETFDVIVTPMTGVTAHELQDNAGHTGELDYINGAALVRYSIAGNFLGLPAITVKVGYDREGLPVGLQFIGRPWSEATLLHLAYAMQEACGKNYRKPMVYYDLLNKNKY >ONIVA11G04420.1 pep chromosome:AWHD00000000:11:3374123:3375880:-1 gene:ONIVA11G04420 transcript:ONIVA11G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0IYR0] MSSVFSGDETAPFFGFLGAASALIFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >ONIVA11G04410.1 pep chromosome:AWHD00000000:11:3368855:3373702:1 gene:ONIVA11G04410 transcript:ONIVA11G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFIGFAIPFLASLLFTKRKGEKKRGVPVDVGGEPGYAIRNHKFERPVETHWEGVSTLAELFEQSCEQYVYMPLLGTRKLISREMEAARDGRSFEKLHLGQYEWKSYADAFKTVCNFSSGLVRIGHQRDERVAIFADTRAEWQIALQACFRQSITVVTIYSSLGEGALCHSLNETEVITVICGRKELKKLVDISEQLDTVKHVIYVNEEGVSSEVSLAQKCTSWRVESFEEVERLGLETPVEAKLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLAVVSAVMTIVPALGKKDVYLAYLPLAHILELAAETVMSAVGASIGYGSPLTLTDTSNKIKKGTQGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGAAKKLFDIAYSRRLAAINGSWFGAWGLEKHLWDMLVFQKVRAILGGRIRFILSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDNSVGRVGAPLPCSYIKLIDWAEGGYLTNDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVCPYVDNIMIHADPFHNYCVALVVVAHSELKSWASQQGITYSDVSDLCEKQETVKEVLQCLAKAAKQARLEKFEIPAKVKLVPEPWTPESGLVTAALKLKREAIKKAYEDDLAALYS >ONIVA11G04400.1 pep chromosome:AWHD00000000:11:3364905:3366638:-1 gene:ONIVA11G04400 transcript:ONIVA11G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKASPFLSLLLLLLLITIYIAHGAAGDAIGGDPWQEPEVAQQPAVVLAGEWQLLHQNTGVSAMHMQLLPGDYVLMFDRTDSGPSNISLDALSPCAAAATTALAAAGGGAVDCTAHSVLLDLRSNALRPYPLATNPWCSSAALLPNGTLLQTGGFSNGDRIARLFSPSTGWVDLPSFLAVRRWYATDILLADGRVLILGGRRQFNFEFFPHDDAPAPQPTLFPFLEETIDMDAEDNLYPFLHLLPDATVFVFANDRAVVFDPYNRAPLRRLPAIPGGVPRNYPSSGSSVLLPLRPDSPSHAEVLVCGGAPRGAYRLALRNGTFAPADRTCGRIAPTDANPVWAMEEMPLPRAMGDMVLLPTGDVLIVNGAAAGTAGWELGREPVTYPVLYKPDMQLGARFEVLAASTIPRMYHSSATLDTLGRVLVGGSNPHVGYVFDNVTYPTELSLEAFLPPYFDARLDGVRPRLVAAPAEVGYGEAAAVRFEVPGGAVSGGPEEVRVAAVAPAFATHSFGMNQRVVSLAVGTVAQLAAGLYEAQVAAPPSPSVAPPGYYLWFVLHAGVPSTAAWVRMRPLGAAT >ONIVA11G04390.1 pep chromosome:AWHD00000000:11:3363359:3364645:-1 gene:ONIVA11G04390 transcript:ONIVA11G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSAAGAASPSNSSAASASDPTPSWWESVSQARSRILALSSILPAPADSDVAALADSDRPARALLRSSAAYVALSAALRSGGGADDPACHWLYDTLLSPDPDLRLAALAFLPLLSSLYLLRLPPALPSSLSGFEAVLLAVYSSEAKNRQGKPVLVQVPDLSVPSLYHTPLSSPSSKSPRRPQPPPIPPPAGNVVVGVLSPPLEPQAAVKSTKRAGIIGVAFEAYYAKISQMPPASKVDACNAVAAWAGQYCKCRFELDEKELEEEEADSLGSVSPLSSEAENGKALEEEMAKMRVNGDTNGRNCGEREGRVPLPWELLQPVMRVLGHCLLAPLNPTEVRDTAAEAVRVVYARACHELVPQAILASRSLIELDKSARKAAKEAAAAASGAIVSVGTAGSTASSSRPSSKPNTPGKQRKPDVLLLSK >ONIVA11G04380.1 pep chromosome:AWHD00000000:11:3353193:3355557:1 gene:ONIVA11G04380 transcript:ONIVA11G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAKEKDKGGKKMSRVGSWGSMAFYSSSSSSRSQPVSAAEGKNGGKKEKRRSSISRSITCAGSICSTKESSVSSRGRGRRRRSGGGGGGDGGGGGSTSSRSLMGPGYHGDSAAAVSASSSFNSVMTAATFATATTTTTTTSSSATSPPSALSSPLSSIGGSFRAMQIRKLSGCYLHCHSVLDPRTLAAVFSCPDCDEVFVKPDSLELHRSTRHAELGADDTSRNIVEIIFQSSWLKKNAPVCRIERILKVQSSDKTIKRFEQHKEAVKEKARSAGDEAGRNPRCVADGNELLRFHCTTFACSLGLAGGTALCCASSVDGNGRITTMATSGRAHDTAEVSPDGEKRAMLVCRVVAGRVKKLHSSNSSEDHDCDSVSPCSEGVYSDLDELFVFSPRAILPCFIVIYSGY >ONIVA11G04370.1 pep chromosome:AWHD00000000:11:3339465:3340998:-1 gene:ONIVA11G04370 transcript:ONIVA11G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transporter-like protein 3 [Source:Projected from Arabidopsis thaliana (AT1G77690) UniProtKB/Swiss-Prot;Acc:Q9CA25] MASEKVETIVAGNYVEMEREGAATAGDGVGGAAAASGRRRGKLAVSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGVAFQVFYGLMGSWTAYLISVLYVEYRTRRERDKVDFRNHVIQWFEVLDGLLGRHWRNAGLLFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFVPSFHNYRVWSFLGLLMTSYTAWYLTVAAVVHGKVDGAAPRAGPSKTMVLYFTGATNILYTFGGHAVTVEIMHAMWRPRRFKMIYLAATAYVLTLTLPSAAAMYWAFGDALLDHSNAFALLPRTPWRDAAVVLMLIHQFITFGFACTPLYFVWEKAIGVHGGAGVLRRAAARLPVVLPIWFLAVIFPFFGPINSTVGSFLVSFTVYIIPAMAHMATFAPAAARENAVEPPPRALGGWPGTFAANCFVVAWVLVVGFGFGGWASTVNFVRQVDTFGLFTKCYQCPPRH >ONIVA11G04360.1 pep chromosome:AWHD00000000:11:3328951:3335891:1 gene:ONIVA11G04360 transcript:ONIVA11G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: vesicle-mediated transport, vesicle docking involved in exocytosis; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterP /.../AIN/s: Sec1-like protein (InterPro:IPR001619); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G42700) TAIR;Acc:AT2G42700] MGSVDLIAACLDSIRQIGDEIADALVYLDAGTLEAFQFIGAFPLLLELGARAICSLENASPLDAAAEWHSSFAHPARKIVVITSRLLSDAHRYILRCLGNHGTISHCTVLTAISEVGHSAYVDSPFGPDAFREYETLLTQDHEELLKKYEKSDEHKDTTFYTGKDFTSDGGRYSNWESGVHYGSNSESSPTKKDLFADESSQLETKGKRLSVTVTHFPMIFSPISSRTFVLPSEGTMAESCLSNHHEDSLSPGLPPISTGRSFDGDEIPPGVTLTAQFLYHLASKMDLKLDIFSLGDTSKVIGKLMMDMSSLYDVGRNKRSAGLLIVDRTLDLLTPCFHGDSFLDRMLSSLTRKEGTSSYFVSKNPQTPSKHSEATVKRIPLDIKVPFEKVFSKEEPKSRTSMLPESIMSFVSGWNSAEVESEVTWLPDYADKAHDDKLSSQHGSLNGSFLSNYAGVCYLESLLDRGAKDGLMLIKKWLMEALQHEKLSFPSKGRQGAASVSELHSMVQMLSRDQLSLVRNRGVIQLALAAEMALQEPQSSRWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVESHKQANKTESSQGLLSFQDVLLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDIVVDSILDRPSSVKLRFLVGLENELEAKARPKDGDKSNDSIEASKSTDDFDDQWDSWGDDDDNTDDQKDEAYGDMQLKLEVRDRVDQLFKFFHNLSSMRLRNQTLGEGLAALSRFETDIYSRKGLLYKLLLAVLARYDIPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQSILIVFVVGGINALEVREVMKAISDSSRPDVELILGGTTLLTPDDMFELMLGSSSFS >ONIVA11G04350.1 pep chromosome:AWHD00000000:11:3310504:3312749:1 gene:ONIVA11G04350 transcript:ONIVA11G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42440) TAIR;Acc:AT5G42440] MDGVLQALVVAVAASAAILFPCLAIAFFCRHRVVVKPRCRCLQPLSSAATLPVTAPSSRSCDCVSSWSFYGGGGDAGDRSLKMLSLDDLAGATGGFSPDNIIGDGSFGFVYRAVLPDGARVAVKRLSADHASGGEGNREFRAELEVLGTLSHPNLARLLGFCAAGADRLLVYELLERGSLDAWLYSDAAGAAGQLPWPARLRIARGVAAALAFLHHGNETAILHRDIKASNVLLDEGFEAKLADFGLARIAAGGAAESHLSTQAAGTAGYMAPELRAGVGASVKADVYSFGVLMMEMVTGRRPSWPVKINMKGEEVEMLKWARDKVDKGQALEILDRQMGIQWEGREADQDEMIAYLDVARRCTEESPKHRPSMEEVVEMLNKI >ONIVA11G04340.1 pep chromosome:AWHD00000000:11:3303854:3306068:-1 gene:ONIVA11G04340 transcript:ONIVA11G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGKVASPPGPRLPRLAGAGGEEEEEEAAAGMLSRHGQAREMSVMVSALARVVAGGGGGEAEEWWPPAYGAAPLPPSTSPASHEHAAAMAAGQYAPATSSAVASPREQASSPSSGDAAAGGGGGGRKRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEAAARAYDDAALRFRGCRAKLNFPEDAALLPPPPPPPAPAPTPPQSQGMVGVGEEYSEYARFLQGAGEPPHFLEQIMEDSPRPSTAAGASSSSSGQSSFPLFYSFAGHELGGNEANLARPPESGGAGGDGGRGSSPPATWPGYGWGAPPPWDPSR >ONIVA11G04330.1 pep chromosome:AWHD00000000:11:3300086:3301150:-1 gene:ONIVA11G04330 transcript:ONIVA11G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVVAVPTVDLAAPIATRVAAASRARSDGYDGGGGFRAEAASGGARGEAVGAGWRRRVEMVQPAAGGGIGGDGRALPSSSAASGGIDDVQREERRPLGGALPPPDPARGRAPEPRHLTILRATTECAID >ONIVA11G04320.1 pep chromosome:AWHD00000000:11:3293857:3299573:1 gene:ONIVA11G04320 transcript:ONIVA11G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRNETPISENEIRITAQGRMRNYISYGMSLLEENGHDEIVIKAMGRAINKTVMVVELIKRRIGGLHQITSTESIDITDTWEPLEEGLLPLETTRHVSMIAITLSKKALDTLSPGYQPPIPAEEVRPAFDYEHEESFPANRGRGRGGGRRGRGRAMSNGPPAYDYGEEWEEEGDYYNYRGRGRGRFRGRGRGRGRGGYYGGGRRGGYGYDYGYGGRGDYYEDQGEYFEEPEDYPPPGRGRGRGRRGGGPGPFRGRGRGRGRF >ONIVA11G04310.1 pep chromosome:AWHD00000000:11:3290256:3293327:1 gene:ONIVA11G04310 transcript:ONIVA11G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDVNKPCHLTSFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVVVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQMQLEKMKKYASVVRVIVHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFFGKLKA >ONIVA11G04310.2 pep chromosome:AWHD00000000:11:3290426:3293327:1 gene:ONIVA11G04310 transcript:ONIVA11G04310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDVNKPCHLTSFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVVVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQMQLEKMKKYASVVRVIVHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFFGKLKA >ONIVA11G04300.1 pep chromosome:AWHD00000000:11:3286653:3289833:1 gene:ONIVA11G04300 transcript:ONIVA11G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQDPFYIVKDEIQESIEKIQDTLHQWKQTPENTGEHVHLTKEIIASCESIQWQVVELEKAISVAERDPAYYGLNEVEIGKRRNWTSTARNQVVSIRRCVEAGKQKSAFGHSVNPSELVRSKQHIAQDNDDFIASESDQQMLLIKRQDEELDELSASVQRIGGVGLTIHDELVGQKRVAMVLKKASLKGQIMMIAFLVVLFIILFVLVFLT >ONIVA11G04290.1 pep chromosome:AWHD00000000:11:3284689:3285123:-1 gene:ONIVA11G04290 transcript:ONIVA11G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVKLAVLLLLAAAAAGGSSTTTVPPLEERLGAAFDGMAAAAEGGGGGGWMMECWSAVTKLGSCTNEIVLFFVNGESYLGPDCCVAIRTVTRRCWPAMLASIGFTAQEADILRGFCDAELAAPPPPSTNASSAAPAPAPASA >ONIVA11G04280.1 pep chromosome:AWHD00000000:11:3272587:3273122:-1 gene:ONIVA11G04280 transcript:ONIVA11G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHLFHHKKDDEPATGVDSYGEGVYTSETVTTEVVAGGQDEYERYKKEEKQHKHKQHLGEAGALAAGAFALYEKHEAKKDPENAHRHKITEEIAATAAVGAGGYAFHEHHEKKKDHKSAEESTGEKKHHLFG >ONIVA11G04270.1 pep chromosome:AWHD00000000:11:3259975:3262056:1 gene:ONIVA11G04270 transcript:ONIVA11G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPPQKSSSFSPATFREERLGRNLSLGAIKISEHAPAVRVKEEAEEGRGGVDNAGAGEGEGAAGEEAAPPEEAAEPDLAMLSAEVDAFLAGREGDAPTSISEVTLDKFASAVEQEMAQSEGDDDKWAVGENGEAAPLLAAIRRISALAAALTAVPEGSKFTIGVHRVTGVLHRAMAFVEDEFHTMLEDPRVAKAAQNGDTGSATGKSMRRGPSFNHAGGDPASDGGGGGGGGGGDTPPPFPPETVDRLRAMADAMIAVGYMTECTQVFLVARRNALDASLQSLGYEKASIDDVVRMAWESLESDVATWIKAFHHTINVGLSAEHDLCARVFAGCDAAVGRAIFVDLARCAMLQMLNFTEAVAMTKRTAEKLFKVLDMYEAVRDAAPVIDAFIAACSTTDAAADEPDTTTDALTDIKTELASVRSRLGESAAAIFCDLESSIRADASKQPVPGGAVHPLTRYLMNYLKFACEYKNTLEQVFHEHHRTDIDADDEGSDPFAAQLMEVMELLHDNLEAKSRLYKDPALCSIFLMNNGRYMLQKIRGSPEINAVVGEAWSRKRSTDLRQYHKNYQRETWSRVLTLLRDDGVITVKGSVQKPVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFSQSFSAGRQAEKYIKLSAEDLEAIIDELFDGNAVSMPRRRN >ONIVA11G04260.1 pep chromosome:AWHD00000000:11:3250491:3255550:1 gene:ONIVA11G04260 transcript:ONIVA11G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAEARRRGRERWEVADLAGVGGAERGEASPAAGRRRGGWEAVMETAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTLEDRTEIEDPSDIYVPVHEFRIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKVHREILLEEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCFGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQAVSDQVSFLWNAFLKFHRANKIKILDHLRNIWDADRKSEWSIWIVHSKVDMPHRYLCSLSSKSSPRHSLLRSSSSRKLHPDNSSSRAELHRRSIAQMKINARFIQDMQIYANPSEIPVVHIEQHVMVVPQHGSSKRLGHHLDLRLIRNQWILCDPGAECLLSQTNEDRTCGDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARFIMWSFPDFFR >ONIVA11G04260.2 pep chromosome:AWHD00000000:11:3250491:3255550:1 gene:ONIVA11G04260 transcript:ONIVA11G04260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAEARRRGRERWEVADLAGVGGAERGEASPAAGRRRGGWEAVMETAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTLEDRTEIEDPSDIYVPVHEFRIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCFGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQAVSDQVSFLWNAFLKFHRANKIKILDHLRNIWDADRKSEWSIWIVHSKVDMPHRYLCSLSSKSSPRHSLLRSSSSRKLHPDNSSSRAELHRRSIAQMKVGSQSMLKTETICITPKKRILTQIILQINARFIQDMQIYANPSEIPVVHIEQHVMVVPQHGSSKRLGHHLDLRLIRNQWILCDPGAECLLSQTNEDRTCGDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARFIMWSFPDFFR >ONIVA11G04260.3 pep chromosome:AWHD00000000:11:3250491:3255550:1 gene:ONIVA11G04260 transcript:ONIVA11G04260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAEARRRGRERWEVADLAGVGGAERGEASPAAGRRRGGWEAVMETAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTLEDRTEIEDPSDIYVPVHEFRIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKVHREILLEEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCFGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQAVSDQNSSSRAELHRRSIAQMKVGSQSMLKTETICITPKKRILTQIILQINARFIQDMQIYANPSEIPVVHIEQHVMVVPQHGSSKRLGHHLDLRLIRNQWILCDPGAECLLSQTNEDRTCGDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARFIMWSFPDFFR >ONIVA11G04260.4 pep chromosome:AWHD00000000:11:3250491:3255550:1 gene:ONIVA11G04260 transcript:ONIVA11G04260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAEARRRGRERWEVADLAGVGGAERGEASPAAGRRRGGWEAVMETAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTLEDRTEIEDPSDIYVPVHEFRIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKVHREILLEEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCFGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQANSSSRAELHRRSIAQMKVGSQSMLKTETICITPKKRILTQIILQINARFIQDMQIYANPSEIPVVHIEQHVMVVPQHGSSKRLGHHLDLRLIRNQWILCDPGAECLLSQTNEDRTCGDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARFIMWSFPDFFR >ONIVA11G04250.1 pep chromosome:AWHD00000000:11:3243742:3248341:-1 gene:ONIVA11G04250 transcript:ONIVA11G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALLPRGSILLVLRPRVPSTPHRCFAIGAAAAVDGGETWRGQLRQGEGEGRSRAVKVSVWWDFQSCHLPQGANPCRVATRVTAALRDAGIRGPVDITAFGNAYMLPRAVQEALAATGVAFSHVPSSGKGGPDQLFMADLTYWIAQNPPPAHFFLISGNKGLANILHRLRMSNYNVLLACPSADSSVLCSAATIMWPWDALVKGLDFSPKHFNQPPDGISFSWYGHYRGPLDDLFLNSESDDSMAESEDSLAESRDSKAFQPHTKSVKPPILPKSVANGVRKVLYSFPEGISLPNLRAELRKNHVFMDKGLFGFKNFSSLLQAMPDVVKFIDPLPGERNQPAVVGVSKRSMEPAEQIYKGKSSAQSSGEFKRLVQTLNEKPPSSHVPSSSSDILSADRKKVLAVDAPSSQSDLLSRNQEKAPPVDLTTQPETPASCMEADVESVAGASAFTGEQITVDKKGLFERICVLWNDTEPVKPMLSLSQDDTHSKGSNDLLTQYANSNEHNSLLTRTLKIFSTTDNSDGDNVDSTSAISSSFSNMTANDHSDKLNVKENVGNTIIHSSRSVYTSNAEHKVGFIEKSKGIFSWAAKWWASGKPDTDDNLSSVHINDGTREESEKESAFVKTAATASEQQVGVELFMKPYFWDALQQYLSTPHGSDLVSKAKTREELVHGLQKQGHWPLKSLGGKHHHQLVDLLISEKQWIKESSSQTSPFRLALPQRRARSPLLSFFTNGRPSGQRKHVDDRSPTLSRTSVHVLPTKNGKGQASCKSNENQSKSDDFLEKELGPVSDSGKPYRQNDKAVRHHPPTCSDDEFSDDENHEVVQEAGRDAAQSSLFKIIDSWNTSKTICSSKKQHGIGGIVDCSRINRGNGGDNSITENAEKATSLSKHSYMTSDSDSDSDEEKLFNSVLGSLQNAKNSMIG >ONIVA11G04250.2 pep chromosome:AWHD00000000:11:3244443:3248341:-1 gene:ONIVA11G04250 transcript:ONIVA11G04250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALLPRGSILLVLRPRVPSTPHRCFAIGAAAAVDGGETWRGQLRQGEGEGRSRAVKVSVWWDFQSCHLPQGANPCRVATRVTAALRDAGIRGPVDITAFGNAYMLPRAVQEALAATGVAFSHVPSSGKGGPDQLFMADLTYWIAQNPPPAHFFLISGNKGLANILHRLRMSNYNVLLACPSADSSVLCSAATIMWPWDALVKGLDFSPKHFNQPPDGISFSWYGHYRGPLDDLFLNSESDDSMAESEDSLAESRDSKAFQPHTKSVKPPILPKSVANGVRKVLYSFPEGISLPNLRAELRKNHVFMDKGLFGFKNFSSLLQAMPDVVKFIDPLPGERNQPAVVGVSKRSMEPAEQIYKGKSSAQSSGEFKRLVQTLNEKPPSSHVPSSSSDILSADRKKVLAVDAPSSQSDLLSRNQEKAPPVDLTTQPETPASCMEADVESVAGASAFTGEQITVDKKGLFERICVLWNDTEPVKPMLSLSQDDTHSKGSNDLLTQYANSNEHNSLLTRTLKIFSTTDNSDGDNVDSTSAISSSFSNMTANDHSDKLNVKENVGNTIIHSSRSVYTSNAEHKVGFIEKSKGIFSWAAKWWASGKPDTDDNLSSVHINDGTREESEKESAFVKTAATASEQQVGVELFMKPYFWDALQQYLSTPHGSDLVSKAKTREELVHGLQKQGHWPLKSLGGKHHHQLVDLLISEKQWIKESSSQTSPFRLALPQRRARSPLLSFFTNGRPSGQRKHVDDRSPTLSRTSVHVLPTKNGKGQASCKSNENQSKSDDFLEKELGPVSDSGKPYRQNDKAVRHHPPTCSDDEFSDDENHEVVQEAGRDAAQSSLFKIIDSWNTSKTICSSKKQHGIGGIVDCSRINRGNGGDNSITENAEKATSLSKHSYMTSDSDSDSDEEKLFNSVLGSLQNAKNSSLPG >ONIVA11G04240.1 pep chromosome:AWHD00000000:11:3240395:3245538:1 gene:ONIVA11G04240 transcript:ONIVA11G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRHRLIGVASPAATELRRAFRSEAALEAIRAHSKDADGPAHLALYNYPTFAGGYAALAADLFHRRLRRRLLVLPFSSVEPFRAGDFEGAGFQTCYLLDFIGPNNFALELSRFIPSVIAFDHRRSTLARIPHLGHCPSNLELNIDTTKSSARATFDYFSRNLAEIKSDSVSWEYFIFQFIIWCHLREKGKWVCSLFHRICVRSCWTKKMRRGFLMFSNT >ONIVA11G04230.1 pep chromosome:AWHD00000000:11:3237926:3238205:-1 gene:ONIVA11G04230 transcript:ONIVA11G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDFSSNQATPKESMNSKDDAKVQPSSGQTPNKGNYYMGHANAITMMFQYIRDGCRRVESSMEDYKCKKVEEGHGEKRKVGEGYDPR >ONIVA11G04220.1 pep chromosome:AWHD00000000:11:3232181:3232438:1 gene:ONIVA11G04220 transcript:ONIVA11G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVAATKLATTAADCGAPALVKNLAATREARRPRQCSGCERRARDAFLHDAGDPRFALHLRSAPPRPDLDGWRLAALASDGGG >ONIVA11G04210.1 pep chromosome:AWHD00000000:11:3227901:3230374:-1 gene:ONIVA11G04210 transcript:ONIVA11G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDDERLGRALAAFGGGGGGGGGVWELVDAALACAARDRPDELRARRDGIVERLYAAAGGGGGNCGAAAATTPSPRGAAVAEGEDDDDEAAAAADGLEIKILAIKDFLEDEDQSEDELLSLLQSLADMDITYKALQETDIGRHVNGLRKHPSGEVRLLVKQLIRKWKEIVDDWVRLHNSSGDASNSIITDGNSPEKIQGKNQQSSQVSEFKYSPSPSRHNNSSSERVSNGIASIAATKHRASPAPAHHNARQINNTHHSTTSSSAPARMVKEQKDSHLDLERLDSARKRLQENYQEAQNAKKQRTIQVMDINEIPKPKNRNAFIRKGNGGGFPARHR >ONIVA11G04200.1 pep chromosome:AWHD00000000:11:3222891:3224049:1 gene:ONIVA11G04200 transcript:ONIVA11G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGYGDAPTADDLAAYDQLLGLRHTRHMAEVFAVRLPDAARLRRPPPCGTISFCGGNHCNDVIYSRSRTDDSTYPPPCDTHVKLPPCGQLGQHRANRSIVCHLGICPS >ONIVA11G04190.1 pep chromosome:AWHD00000000:11:3212511:3214694:-1 gene:ONIVA11G04190 transcript:ONIVA11G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IYN2] MAVRVENVEFNVRTGNYPEFMNNLRDRLAEHPDLDDVYAGCPVLAMQRCPKQPARWMYINLVGNGDDRATLAVRDDNVNLIGFRNLKGQWFHMGFSGMSKPILPEPSTFLGCDGSYPSLLGGRDEDDVKNMLVNDIDLRQVVLLDAVHKLSGYEQPPDPYGAADDDTKLDLVHLTVVFCEGARMALHYDAVNDGQISLNERQVDYLRNWWLMSRALLQDETTPWPRRLSSETGINDDEQARRVVLLVLNTSTTVHVHGAAERRRSDWLYFRTDPEAAAAGAGQTGHGGRFVEVLAATAGFGSCTIAVFDGKRGQILYRPHHQRHYTNYQNEYNKTLTHTIGTTRGPVDVTYAVLSDAVEATVQLKLLLPVAGDDNTDHHHHLAVYGDITARSHCLAVGSALFRRGSREDAVALAVAAGGGSAVVDVPLQRCVVAVPLDWPLEIDVQLYVVGEEGIRYTCFQRLLLSSPGGEQQPQRVFHSGNTSLEVNITWSRDF >ONIVA11G04180.1 pep chromosome:AWHD00000000:11:3191743:3192235:1 gene:ONIVA11G04180 transcript:ONIVA11G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAYWGGTSERGRDDPVTGGEERGIDGGVEGVDLADPLVACNDGGEGRANGVDALNAVEVGGVDGGGQHPHAHITVANLRRGSSATLRTLSGGPWWSGRLWRAGTSRRLALAVVVSRFVVDATAAALPLPVSAQVEAASPRPAADDVVAAVTAAASPVAGR >ONIVA11G04170.1 pep chromosome:AWHD00000000:11:3191177:3192065:-1 gene:ONIVA11G04170 transcript:ONIVA11G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAIAVLYDHHGPPDKVLRVAELPRRRLATVMCACGCWPPPSTPPTSTALRASTPFALPSPPSLQATRGSARSTPSTPPSIPRSSPPVTGSSLPRSDVPPQYAATITVNPLTAPRMLQDSTLPWRSIRSARRRHGSRGHGRRHTRTTRGLAASACYAGGGGEGEQEDKAEMATTRLSLELGKVGIQSSSPCSSSSSADHPAMQPAAAATANKIPTRHMLLSWRPMTSVVAVSWFTVANTVSPTSPFLTTISTFACIPFHN >ONIVA11G04160.1 pep chromosome:AWHD00000000:11:3190485:3191730:1 gene:ONIVA11G04160 transcript:ONIVA11G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVGILFAVAAAAGCIAGWSALELLEHGDDDWIPTFPSSRLSLVVAISALSSCSPSPPPPADIAQTKIKPKAFDARSSRGFTTTHLRSMRRRRGLVLSGCGAGHVRESHGGVELSGLNAMAASSLGASWAR >ONIVA11G04150.1 pep chromosome:AWHD00000000:11:3182037:3184051:1 gene:ONIVA11G04150 transcript:ONIVA11G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAKVGPFGAGGTPVDIDHTLPPDHLKSIKIWYDEDGINGLKFSYLHAANKRKLTTTRVWGDDSGSSDEINIEDDDDYVNKLEGRTDGRTKIKSLRITTKNNNNPDWLGDKTKEGDYFSVPVEDGQIVAFFGRTNQYINALGVYILGTPYQQSTP >ONIVA11G04140.1 pep chromosome:AWHD00000000:11:3177028:3181111:-1 gene:ONIVA11G04140 transcript:ONIVA11G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRNPAYYQVSKNYSGGIGQCGGGGIGYGVGGGADPSLAAAWRPDPSPAAAGRPDPWPAIAGRPDPSPAAAGRPDPWPATAGRPDPSLATAGTTAAGLGQDGDDDDGPRARQP >ONIVA11G04130.1 pep chromosome:AWHD00000000:11:3174405:3174942:1 gene:ONIVA11G04130 transcript:ONIVA11G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKCDQGADNCSTLRQILSMNKREYRGKTKGKIKVSKGSLVITGPSFAISVYVGIDLINLHDGSQEEDDDAEDNVGGIFCNTCTCDFTDYNRAIVETVITRYGPAEVTYVVLTNAFQ >ONIVA11G04120.1 pep chromosome:AWHD00000000:11:3143672:3148781:-1 gene:ONIVA11G04120 transcript:ONIVA11G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKNVLCEVCGDVGWEELILHCNKCKNATRHQYCYDPVIYDGSLVEWLCDDCLPNGNEVGNLLDISNQKKSSQTELGFSITKETNVKKMKLTKGLWSWGHHRNRSLKARCDGSDSKTKHFASGNAFSSSEVVTGEISKINDCEMEGRGKNEFSSHSALDHASRVEQHINIQNPMGIIKPSLNSIKRLNLSNEKDDRFSSSDHVEGSIPQVNHVERAHLMIEDGSNPTFTCVEHMDLVHKKQLLQPSSLERNSIGTSIPCSENMDVLHKMQLLKPSPLDKKYVDRSIPNSENMDVVLMRRSCTLNNSMGSCEKQVVTKVDPIEPSRQFDRACLEVSSNAHEIHEADDGSKGAQSIKNGKPKKQRRLILPYEEDKDAEPIQVDDMNRQSCGIDGQVKKPVEIVASLGDINAGCGQNVCSQLALPTIAVKGQCGLSSTPFIPKYFCVQPIDEPNWTGIMKIGTNYIPVGAHFSNKACKKVCELSMSLPQIMKVTELPKLKAWPKSWEKASVPSAESIGLFFFSQNTRSNKEFDDLVKHVIDYDIVLETDVSFAKLLVFPSVVLPAEYRDEDVPEQNALDIVPCKALDQEMALVVSDIHHDNQPSLTTSQVAESEASSDKGPSHPVINSPERPMYLILDTSCKVLKKWSCERMDTKLSML >ONIVA11G04110.1 pep chromosome:AWHD00000000:11:3141561:3142289:1 gene:ONIVA11G04110 transcript:ONIVA11G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQRSASLPSRLHSTESNVEEELHGLRSCISSPSATIGTMCDGLRRLGEVYNSIEEIMFLPSNQAGISLHQQRKMVEEELDMSLLLIDLCNAMQESLSEMKMSIHELQLLLKRGDSVAVHNKIESFVRLAKKAQKMPFKKTSIGAISESCEMIRLLGEAREMAVSVLESTSLLLPKQIAKNSASKWSLVSKRFQRRNVVVCEEQQLQELEHSMGDLEDGAEFLFRRLIQIRVSLLNILSS >ONIVA11G04100.1 pep chromosome:AWHD00000000:11:3138747:3139469:1 gene:ONIVA11G04100 transcript:ONIVA11G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSSSLPSGPHSTVAKVEEELQGLKAHISSPSVTVAAICDGLRKLGDVYNSIEGIMCLPSNQVGLSLPQQKQMVEEELDRSLVLIDLCNSMQENLSELKMSILELQLVLKRGDHAAVQLKFESFVRIARKAQKPFKKTGSKATAECCNLVRIMAEAREMAVSLLDTTSGLLVKKIGAPSSSKWSLVSKRFQKRNVVCDEEQLQALEREIGDLENGAEFLFRRLIQTSVSLLNILSS >ONIVA11G04090.1 pep chromosome:AWHD00000000:11:3135191:3135763:1 gene:ONIVA11G04090 transcript:ONIVA11G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINEIGGGGGMRLVSLAYAVLSLYLLLWRVPGDQPLTWVTDFLLLACTYMYFWIISLSETITKVATFTGITCGILLSFAAAQLLGPVTGMAVMVLGMLCAAGVLGHAVAEHRHRIGSEEAASALATWTLTEEQTELEEGPYAFHAGVLVAAYSLFLLAVMAWLAVDQRGGVDTVTVATMVFEVLLVRNA >ONIVA11G04080.1 pep chromosome:AWHD00000000:11:3129271:3131471:1 gene:ONIVA11G04080 transcript:ONIVA11G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTTVVEETNNPAATAVKVEEKTAAATTTTTTTTQVDKKTTSGEEKPKPVVTYRYICENIHRLDRNDPFFFTWGMRNETAYAMCLLSLLYAVLSLCLLLPWQPIPTEGDGDHPLINSIWNFSLLALSYMFCWIISLSEAITKLVAFTSITYGILMAFAVAHLLGRVVGMAVIVIAVLYTTGMFAHAIAEHRQHTGSDTAADALLTKKLTTEQMQREELRRLPFIVLGAYSLFVFGCTAWLVFTEMGSISTATVIIVLAEVSIGTCFISYLWSILLSVGLLHDTFVSHDTIVFKAGSYSIALYFLAFLLIAMFESKLLGLSVLLLIPMAMAGFLGYVVAVYSHYKSLREYPYEDRVVL >ONIVA11G04070.1 pep chromosome:AWHD00000000:11:3113795:3123435:1 gene:ONIVA11G04070 transcript:ONIVA11G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVSAVASDLIGRFISSLAQNYNNHTCKEDDRRRLERILLRMHSVVEEAERRHITNQGMLLQLKGLIEGFYLGYYMLDKIKFQPPEEESIEDEVSHEIQSFALSACNSRKRFRFADAIRKRTPVAFGSKSKTNLKDVVDGLETKIADMREFVILLGSQTHLPRQPYSRYLYIDNCMFGRRIEKEQVINFLLCNDPHDPYVSILPIIGPQRIGKKTLVQHACLDERVRNCFSHIFFFKEDNLKTGELSLNSKASQGKYLFVTEFIWDVDEAAWTKFLSYLQNMPGTGIKVVVIGTTEDILKFGTAQPIRLKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGMQLATEMRGGFLGATVVGGLLRANPSTQFWQSMLLCIREVAQKHLSSFGVHPEDLLERNAPLDFTRVAFVGAQVQGCLVYDVRVAGPGSELPKLTSQDLKLGADIPAEDKFDVLVWKSRIPPYSNYIVTYEKQKRRYKVPTVFANHPAAAASGRRDAARAAAAAEAERGRWLLTEACVAWDSRRRPPDSRFVPVTRRRAPRALVSMEILISAVASDLISRFISSVTQNYRNHIHKEDDRRRLERILLRMHSVVEEAGGRHITNQGMILQLKGLVEGFYLGYYMLDKIKFQPPEEESIEYEVSHEIQSFALSACNSAKRFRFADAISKRTPVAFGSRSRTNLKDVVDGLETKIADMREFVILLGSHPRLPREPYSTYLYIDNFMFGRRIEKEQVINFLLCNDPDDPYVSILPILGPPRIGKKTLVQHACLDERVRDCFSYIFFFKEDDLKTGGLSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGTGIKVVLIGRTEDIAKFGTSQPIRVKRLSEEEYWYYFKALAFGSMDPDEHPKLASLAMQLSTEMNGSFLCATVFGELLRANPDTQFWKRILLFLRELARKHLTSSGLHPEDLFERNIPVDMSRIAVVDGQFQGFLVYDVRVAGPAEGELPKLTSRDMLLGGDIPVEDKFDVLVWRSRIPPYCNYIVTYEKRKPRRMVGKRNKIYL >ONIVA11G04070.2 pep chromosome:AWHD00000000:11:3113795:3120408:1 gene:ONIVA11G04070 transcript:ONIVA11G04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVSAVASDLIGRFISSLAQNYNNHTCKEDDRRRLERILLRMHSVVEEAERRHITNQGMLLQLKGLIEGFYLGYYMLDKIKFQPPEEESIEDEVSHEIQSFALSACNSRKRFRFADAIRKRTPVAFGSKSKTNLKDVVDGLETKIADMREFVILLGSQTHLPRQPYSRYLYIDNCMFGRRIEKEQVINFLLCNDPHDPYVSILPIIGPQRIGKKTLVQHACLDERVRNCFSHIFFFKEDNLKTGELSLNSKASQGKYLFVTEFIWDVDEAAWTKFLSYLQNMPGTGIKVVVIGTTEDILKFGTAQPIRLKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGMQLATEMRGGFLGATVVGGLLRANPSTQFWQSMLLCIREVAQKHLSSFGVHPEDLLERNAPLDFTRVAFVGAQVQGCLVYDVRVAGPGSELPKLTSQDLKLGADIPAEDKFDVLVWKSRIPPYSNYIVTYEKQKRRYKVPTVFANHPAAAASGRRDAARAAAAAEAESAGTRGNRRKTSEESIERKVVIDGGVRGLGFSSEATGFEVCSGHSPPRAPVS >ONIVA11G04060.1 pep chromosome:AWHD00000000:11:3109657:3111317:1 gene:ONIVA11G04060 transcript:ONIVA11G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVVEEADGCHITNQGMLLQLKGLTEGFYLGYYMLDNIKFQPPEEESIKDEVVVIGRTEDVPKFGTTQPIRVKRLSEEDDWYYFKALAFGIMDPDEHPKLASLAMQLCHARGPGWNAENLIIRKRGGQVAQKHLSSSGVHPDYLFERNTPFDFSRIAFVDGQVQGFLVYDVRVASPAEGQLPKLTS >ONIVA11G04050.1 pep chromosome:AWHD00000000:11:3100835:3106279:-1 gene:ONIVA11G04050 transcript:ONIVA11G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSASRYAAYWAADALAGDDALDFSLTKALVGVSPDSLTGAPEAVRERVALRCLQEVVSLASDGDYEATPTAGGVLSVDASRSCEDSLLQLIREIGSSGSLEKVMLLPFSQDVQKFICIKRPTLPETSFELLREVYPEITPVVLPSPVEQNGNDQHDNVSHDLENTEKTGFNTDGAQLQQDDLANLVNESNTGNLQKDAMATPDFHQPCTSENRCFDQPQEDSINAVGVNIRSPKDSPTNVDRHIQVAAEPSLATSADLLGSNTGTISEQDMIDDTTMVQSQSQGVKNPNTLHYNNGDEPLVASIQSPKDSIHEGLTIQATVSPAFDRSNDALPASTSEISQLPEFITARDTVMNSEPRINKSHPNSPKHDTVDKANQDVDYGSVGIQKAAAFLSEDRNGATQGEKSEIKDPPENTAEHTKMFEQENSVEAQLEVGCSDKVNQDIQDDGNIMTKNMVCDGLNVQTAPEPHSCRMALHNKNLVANHLSEQNIGRNTTAVEKDCCSIPTSPQVVNDTRVKQPSNKRTMGNTVVETSHVHSSDDSLSGFAATGLLSMADKIPFCTQDQDANGPVEGLSEQDLCIKCGKDGQLLKCSSCLLAAHDTCFGSLATLDDSGQLYCPVCFYTKATEAYQKAKKTYSEARKNLSAFLGRKQLAEQHQQAAVGQRAANNEDHLNGCNDASKRKDNHQSEGNNLSHRDEDPTRKRKKQKTNATSDACAQEVVTEKVAEHEQPEENEEASGESGNDNSLHKTTHSSQTKCSPAVNQNVDADKENGLASSQQSEDSDEIEATSSNDSTKKSSPPWRKLRHRKAIYQDKDTAMPSNSKKVHGNRDQHMASPSRKRNYACPPKRYSNPIVPAGRRTKLCWTEKEEITLREAMAKFTPRDNGPIPWVQILEHGRDVFHRTRLPSDLRVKWRNMKKKSGS >ONIVA11G04050.2 pep chromosome:AWHD00000000:11:3100835:3106279:-1 gene:ONIVA11G04050 transcript:ONIVA11G04050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSASRYAAYWAADALAGDDALDFSLTKALVGVSPDSLTGAPEAVRERVALRCLQEVVSLASDGDYEATPTAGGVLSVDASRSCEDSLLQLIREIGSSGSLEKVMLLPFSQDVQKFICIKRPTLPETSFELLREVYPEITPVVLPSPVEQNGNDQHDNVSHDLENTEKTGFNTDGAQLQQDDLANLVNESNTGNLQKDAMATPDFHQPCTSENRCFDQPQEDSINAVGVNIRSPKDSPTNVDRHIQVAAEPSLATSADLLGSNTGTISEQDMIDDTTMVQSQSQGVKNPNTLHYNNGDEPLVASIQSPKDSIHEGLTIQATVSPAFDRSNDALPASTSEISQLPEFITARDTVMNSEPRINKSHPNSPKHDTVDKANQDVDYGSVGIQKAAAFLSEDRNGATQGEKSEIKDPPENTAEHTKMFEQENSVEAQLEVGCSDKVNQDIQDDGNIMTKNMVCDGLNVQTAPEPHSCRMALHNKNLVANHLSEQNIGRNTTAVEKDCCSIPTSPQVVNDTRVKQPSNKRTMGNTVVETSHVHSSDDSLSGFAATGLLSMADKIPFCTQDQDANGPVEGLSEQDLCIKCGKDGQLLKCSSCLLAAHDTCFGSLATLDDSGQLYCPVCFYTKATEAYQKAKKTYSEARKNLSAFLGRKQLAEQHQQAAVGQRAANNEDHLNGCNDASKRKDNHQSEGNNLSHRDEDPTRKRKKQKTNATSDACAQEVVTEKVPVVQNSDVAPMNKHSVLQNNRKQAQVAEHEQPEENEEASGESGNDNSLHKTTHSSQTKCSPAVNQNVDADKENGLASSQQSEDSDEIEATSSNDSTKKSSPPWRKLRHRKAIYQDKDTAMPSNSKKVHGNRDQHMASPSRKRNYACPPKRYSNPIVPAGRRTKLCWTEKEEITLREAMAKFTPRDNGPIPWVQILEHGRDVFHRTRLPSDLRVKWRNMKKKSGS >ONIVA11G04040.1 pep chromosome:AWHD00000000:11:3094029:3099711:1 gene:ONIVA11G04040 transcript:ONIVA11G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIIPEPHVSVTKSTVHFSPSISISPKQAGAGARLGSPPSPPPARTQPEQRTGRGMPPPPRASVDSALHVDPCSDQQEDLDLLDTMVLDSNELHQHDAPDVDVSINCDDRIFGQESVNLAAIQEELLEEDSLSDLLLAGAEAVEAGDSILASVAFSRLDDFLSGIPENGAASSFDRLAYHFDQGLRSRMSSASTGCYQPEPLPSGNMLVHQIIQELSPFVKFAHFTTNQAILDAIIGDMDVHVVDLNIGEGIQWSSLMSDLARCGGKFFRLTAITTYADCHASTHDTVVRLLSEFADSLELPFQYNSICVHNEDELHAFFEDCKGSVIVSCDTTSMYYKSLSTLQSLLLVCVKKLQPKLVVTIEEDLVRIGRGVSPSSASFVEFFFEALHHFTTVFESMASCFIGSSYEPCLRLVEMELLGPRIQDFVVKYGSVRVEANASEVLEGFMACELSACNIAQARMLVGLFNRPNKVIWSSLAAGCGSHGIVVLAFYAADKLLEFKPKGIETYIHPAVERAHFHKEDRMWQEYEN >ONIVA11G04040.2 pep chromosome:AWHD00000000:11:3094029:3099711:1 gene:ONIVA11G04040 transcript:ONIVA11G04040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIIPEPHVSVTKSTVHFSPSISISPKQAGAGARLGSPPSPPPARTQPEQRTGRGMPPPPRASVDSEPNKVIWSSLAAGCGSHGIVVLAFYAADKLLEFKPKGIETYIHPAVERAHFHKEDRMWQEYEN >ONIVA11G04030.1 pep chromosome:AWHD00000000:11:3086849:3090951:1 gene:ONIVA11G04030 transcript:ONIVA11G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPPPAPSGGAPPRGHHRRAHSETFIRLPDADLLLDPDGEFGFSDLDFPSLSDDSPAASDPTPPPPPPALPQAAPRPPGGAHLRSLSLDAAFFDGLAFQGGGGGGGAGSGSSGGGAGHKRSGSMDGESSLFEGESAPPDYAKKAMPADRLAELALLDPKRAKRILANRQSAARSKERKIKYTGELERKVQTLQTEATTLSTQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQVPNMNGNSFNGGLQQQQQQQQMPTYFSQQQQMHYLSGHQGRHHHPNNPHNSLNGGQSMSGQTLNDSMDFM >ONIVA11G04020.1 pep chromosome:AWHD00000000:11:3080219:3081172:1 gene:ONIVA11G04020 transcript:ONIVA11G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTTTSTRVEVAIKMSYLVVVLAIASLSPCRVDATSRSLLVTGGQAAAPAPVWSFGALPPLIEPAVVEPPTAVAPVHAVAVGEAPPPLKEESGSGHVKKSKHKDEERAPPPKKHHEKAPPKSKHHGRHAPPDQPELSPPAPPPESYTPDAPPAPEAASPHHGGGENPAWPRPGNNQWPPLPPFNQPPTPEWPHPGNKWPPLPPFHPPPTPAWPHPGGNKWPPLPPFPSHPPPTPAWPQPGNKWPPLPPFPSHPPPTPAWPHPGNQWPPLPPFPFHPPPMPAWPHPGNQWPPLPPFHGSDVPPATKKITKEEEVHE >ONIVA11G04010.1 pep chromosome:AWHD00000000:11:3077919:3086486:-1 gene:ONIVA11G04010 transcript:ONIVA11G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGCEKASRLSLSLTCGRGTGSNCLAVAASCCGLRERVGPTGSRWVDTGAEACTSHKILVRAYEKMQHRADDDEDEGSAKRERERYRWPRHTVHLQRNGCFIF >ONIVA11G04000.1 pep chromosome:AWHD00000000:11:3074740:3077729:-1 gene:ONIVA11G04000 transcript:ONIVA11G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPKPAAEDVADEQPEPPDEDPDVAEADPTGRYLRYREIIGSGSSKTVYKAFDAVDGIEVAWGKVEINERIMGSSKELQRLRTEIQLLKSLQHKHILKLYASWVDTNRRTVNIVTELFTSGNLRDQKPPIIHRDLKCDNIFINGNHGKVKIGDFGLAMVMQQRKTRSIQGTIEFMAPELFGENYNELVDIYSFGMCMLEMVTCECPYSECKGFIQIYKKITEGVKPAALSKVKDAEVRGFIESCLASVSDRLPASELLKSPFLQSDDANHRSSNSVQEPVKFPENNFTKDEPIFVSLAPNNGTVNGKEQSFILVLQKSDFLLEGNMSTTNPVMLFLRFPGPDGKFKNVQFPFDMEKDTSLSVSTEMVEQLELPEWNNPVLAELIDAFLLHILPSWKPCVKVGKMLPSSS >ONIVA11G03990.1 pep chromosome:AWHD00000000:11:3056456:3057877:1 gene:ONIVA11G03990 transcript:ONIVA11G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLISAVASDLVSRFISFLVQKYGKQHTTEDDVEKLKSVLLRIQTIVEETEARQIRNRGMILQLKGLMEGMFLGYYVLDMFKFQSVEDGVDEDHQASHKRLRFSTCTRSTPLLSLATDSSTALKNVIESLEIKISDMREAVILLASCPRLPKQPYSAYLFVDKCMFGRHLEKEQVIDFILNDDQNLSILPIIGPHRIGKRTLVHHACQDERVRDRFLNIVFFHGDDLGNISLMPSTKYLCIVEFSWDVDVEAWKIFRSSMNKAAGNGSKIIIIGRTDEIAKWGTTPPIRLNRLSPEMYWHYFKTLSFGSMNPDDHPKLASLGMQMATELQGSFLGANILGHILRANPNAEIWSEFLMSLRAMTQKRMFIFAEHRPEGNSLEKKHPFDTRKVAFMSIRGYMVYDLREVFHFQSKIPRLTLEGLQLDGKSRYDLEFDVLVGRSHIPPFCNYMATFFKPKPRRIFRKKDHLAVAT >ONIVA11G03980.1 pep chromosome:AWHD00000000:11:3051365:3052596:-1 gene:ONIVA11G03980 transcript:ONIVA11G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSCRADSPLVSFTFPPLGLLLLRRRRRSVVRRAASNNDGWIHCRFPGSIPKTVSEKEWWLATDTKFQAWPRTAGPPVIMNPISRQNFIVKSTE >ONIVA11G03970.1 pep chromosome:AWHD00000000:11:3045143:3048903:1 gene:ONIVA11G03970 transcript:ONIVA11G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIAVVDGQFQGCLLYDVRVAGPAEGELPKLTSRDMLLGGDIPVEDKFDVLVWRSRIPPYCNYIVTYEKRKPRRMTIKEDLEKMMSVLKIHHHHKLRNVFPVQGAYGRRLPNRCCVEISEKPGHFANSHFDHLLPSRALVSMEILISAVASDLISRFISSVTQNYRSHIHKEDDRRRLERILLRMHSVVEEAGGRHITNQGMILQLKGLVEGFYLGCYMLDKIKVRDCFSYIFFFKEDDLKTGGLSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPATGIKVVVIGRTEDIAKFGTSQPIRVKRLSEEEYWYYFKALAFGSMDPDEHPKLASLAMQLSTEMNGSFLGATMFGELLRANPNTQFWKRILLFLRELARKHLTSSGLHPEDLFERNIPVDLSRIAVVDGQVQDFMVYDVRVAGPAEGELPKLTSRDILLGGDIPVEDKFDVLVWRSRIPPYCNYIVTYEKQKPRRMVGKRNTIYL >ONIVA11G03970.2 pep chromosome:AWHD00000000:11:3045143:3048903:1 gene:ONIVA11G03970 transcript:ONIVA11G03970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIAVVDGQFQGCLLYDVRVAGPAEGELPKLTSRDMLLGGDIPVEDKFDVLVWRSRIPPYCNYIVTYEKRKPRRMTIKEDLEKMMSVLKIHHHHKLRNVFPVQGAYGRALVSMEILISAVASDLISRFISSVTQNYRSHIHKEDDRRRLERILLRMHSVVEEAGGRHITNQGMILQLKGLVEGFYLGCYMLDKIKVRDCFSYIFFFKEDDLKTGGLSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPATGIKVVVIGRTEDIAKFGTSQPIRVKRLSEEEYWYYFKALAFGSMDPDEHPKLASLAMQLSTEMNGSFLGATMFGELLRANPNTQFWKRILLFLRELARKHLTSSGLHPEDLFERNIPVDLSRIAVVDGQVQDFMVYDVRVAGPAEGELPKLTSRDILLGGDIPVEDKFDVLVWRSRIPPYCNYIVTYEKQKPRRMVGKRNTIYL >ONIVA11G03960.1 pep chromosome:AWHD00000000:11:3028190:3029230:-1 gene:ONIVA11G03960 transcript:ONIVA11G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPNQISGGGGGGSVVIEIPAATEIAGVDTAPAKVCDDDPRAQPLRDREWVSQLPPDHPLRYTCGLPNGVFLSMSFVTIIYAVIAPWMLWRVATDHVSLMWTSSILACSYGALWTIALSERLAGAFLAIIFRVSYVALVAFASTHLIGTANGISIVYLDTFYVAGMLGYAVAEYRLRRGTEQCPSAILAAKPPPLEDQERGDEEAGLYYMGFLFGSVSLCLVGRMAWLLLYPCGGKCLISYVIEELSFEASMLIYIWVIFVSLTQLEGALVCYNTLFCKMPICFGAWFVLGVLLGVPVSGAIEMLIFWIGTMALAGFFGYCLAVHAYCKRYHLCSWQDYFTFTFS >ONIVA11G03950.1 pep chromosome:AWHD00000000:11:3007920:3012693:-1 gene:ONIVA11G03950 transcript:ONIVA11G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILAYLFLLVVAIIGVFAVLASLLDPIHGFYLRSIKEALEWAEFIFTWTSSLYFILPMVFFSNATNWASTFYDCFTPLTLWLEGIYSGIVLLLFVLFIGNLDENWGRIMIEFSGVAIQMAGIFCFVMIKVSRDDKYTMKEAQKQSIMALVIFKIFSFIILTFIKAKLVPTEDNVVIPRAFAAIGCLIQFVLMLSSPIGRWLDNNNFNDDEPTTRKINLSAALIRLITLSFDCWLFTVRGYWSSPLKKPLVEMTAANLVFTSIVVACRYIGPNKLRNFCVDIWNWLRAVPEKLQGKWNSFIQWKTDNWTPFTNTVKVALQSFCTGCCAMFQTGWNALKNRVSQCIEDMWNILAGPGGMH >ONIVA11G03940.1 pep chromosome:AWHD00000000:11:3005264:3007708:1 gene:ONIVA11G03940 transcript:ONIVA11G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 6 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IYK2] MARGKNALLGYGRMGHVLAWLDMNEIAEHIDFQADEEPVDPKKYLEERCKPQCVKPLYEYEKCVKRVEKDDTGHKHCTGQYFDYWSCIDKCVAPKLLEKLK >ONIVA11G03940.2 pep chromosome:AWHD00000000:11:3004764:3007708:1 gene:ONIVA11G03940 transcript:ONIVA11G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 6 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IYK2] MGQKPKKRGAQFSPSRNTTADEEPVDPKKYLEERCKPQCVKPLYEYEKCVKRVEKDDTGHKHCTGQYFDYWSCIDKCVAPKLLEKLK >ONIVA11G03930.1 pep chromosome:AWHD00000000:11:3002866:3004523:-1 gene:ONIVA11G03930 transcript:ONIVA11G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDDEMSLADDTPTDMDIDGVEEDVVRKTVDAETPAMEILGDIAGGEEEEKVLSTESEKPAPPLSRRERITLLPPNHPYHYTCGLPNFVFFAMAMVSFLYAVIVPFLLWRAAADKPTSLIWTWSILACSYGMLWIISLSETLGAILVFFRVSYVALVAAACTQLVGPITGVSVAYLDTFYVAGMVGYAVAEYRMRRGIEECPSAVAAMPPLISQEQERNREVNVFYAAFMFGLVSLVTVGRMAWLVFFSNGGGRISSVLEELSVETCFVSFQWTAFVALPLSLVSFNALFCWGAICYVAWHVLGAILGALVGSVAIEVLFFWLAAVAMAGFFGYCLAVHARCKRLLAICLENSERVLLVDSPVCISRFVAENILDHE >ONIVA11G03920.1 pep chromosome:AWHD00000000:11:2995128:2998944:-1 gene:ONIVA11G03920 transcript:ONIVA11G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13 [Source:Projected from Arabidopsis thaliana (AT3G18770) TAIR;Acc:AT3G18770] MEERRRLGGGRAWFGIGSSSSLSVGASLRVPRSHHATATARLAPDKVFDTMAATAGAAAEPPMVEQVITEFFAKSLHIILESRSPYESSRNFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLCRDSTSNTAAGSGKIIERWVIQYEARKSGGGNGNGSKNNGRKSRNSSAEDHSLYRATYLGSTVLLRSLHLLVRLLPAYSLFRELNSSGRIRPLNLSHKISSFVEPFTRAEDAEMKHYAFAPIETLFGRLSLSVSYVPVLEVVAASEPTSPMPPEIITDYVGSPTTDFLKKFNSLPSAGIAPACAAMTRRHSWSIEHGAGTSASPSPSPTKAQSRGSPQLGVPLHVSLKTCSHPQNASSSGQKKYTPFEECYPSPPLSPSPSQSPSANYPKNPLFRYESAPVTIPTLKSGGGGGSGLPPSPCSKGKHQFSSDNDNLAHSPDHNSNVRKDLVRLGEFEKDMALQKVLSYSKYDLGYFHGLKLTRTSSKLFIMDELDEHELVFAWEDRDTIIDQLNRADISDREEQKNQDAGGSSTRSPAAAIGALVHLLKTAPSLREGLQSDAAAVVPQEPSSVQKVVTEEHGSIASSSTPVTATDALEELKKYREVKESILNRGKTQVSDTNLGEKLTDGEP >ONIVA11G03910.1 pep chromosome:AWHD00000000:11:2993865:2994524:1 gene:ONIVA11G03910 transcript:ONIVA11G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNGDAKLTVLGPSAAAPEAKEEEEATDDGGGGGGRHTQWLRAAVLGASDGLVSTAALMLGIGAARPADALAVLLSGLAGLVAGACSMAIGEYVSVHAQLDVELADLERRRPAPAGQRLQAAAAAVSRPGQAAAASALSFAAGAALPLLAAWLVAGYRVRVVVVVATASLALAAFGAAGARLGRAPGGRAGLRAVVGGLLAMAATYGVMKLFRTHGV >ONIVA11G03900.1 pep chromosome:AWHD00000000:11:2988771:2991296:-1 gene:ONIVA11G03900 transcript:ONIVA11G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPRKLRRGHRPLVLATRLELEGVKNIIPKKHTFHEILELKDHSSGPDLSCLRLLNYGVDP >ONIVA11G03890.1 pep chromosome:AWHD00000000:11:2987744:2990479:1 gene:ONIVA11G03890 transcript:ONIVA11G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQFWRLWVRLTMDMLISAVASDLVSRFISYLVHKSEKQHTTTEQDLERLKSVLLRIYTIVEEAEARQIRTRGMILQLKDLMEGMFLGYYVLDSFQFQSVEEEGVDEDHQQPYATYLFMEKSMFGRHVEKEQVIDFILHDDQNLAVLPIIGPHRIGKRTLVHHACQDERVRGRFLNIVFFHGDDLGNISLMPSSSRKYLCIVEFSWEVDMEAWKIFRSSMKKAAISGSKVIIIGRTDEIAKWGTTPAIRLNRLSPEMYWYYFKALSFGSMNPDDHPKLASLGMQLATELQGSFLGANILGHILRGNPSAEIWSRFLMSLRRMSRKRLSIFEEHPPEKNHPSHTAEVAFMNYRGCMLYDLREAGHFQSDIPRLTPAGVELEGKIPCDLGFDVLVWRSQIPPFCNYTATFLQPKPRRIVRRKKNPLAVSIT >ONIVA11G03890.2 pep chromosome:AWHD00000000:11:2987767:2990072:1 gene:ONIVA11G03890 transcript:ONIVA11G03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVRLTMDMLISAVASDLVSRFISYLVHKSEKQHTTTEQDLERLKSVLLRIYTIVEEAEARQIRTRGMILQLKDLMEGMFLGYYVLDSFQFQSVEEEGVDEDHQVSRKRLRFSTCTRRSTSLLSLGTESTPVLKNVIESLETKICDVRELVMLLTSCPRLPQQPYATYLFMEKSMFGRHVEKEQVIDFILHDDQNLAVLPIIGPHRIGKRTLVHHACQDERVRGRFLNIVFFHGDDLGNISLMPSSSRKYLCIVEFSWEVDMEAWKIFRSSMKKAAISGSKVIIIGRTDEIAKWGTTPAIRLNRLSPEMYWYYFKALSFGSMNPDDHPKLASLGMQLATELQGSFLGANILGHILRGNPSAEIWSRFLMSLRRMSRKRLSIFEEHPPEKNHPSHTAEVAFMNYRGCMLYDLREAGHFQSDIPRLTPAGVELEGKIPCDLGFDVLVWRSQIPPFCNYTATFLQPKPRRIVRRKKNPLAVSIT >ONIVA11G03890.3 pep chromosome:AWHD00000000:11:2987593:2990479:1 gene:ONIVA11G03890 transcript:ONIVA11G03890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASDLVSRFISYLVHKSEKQHTTTEQDLERLKSVLLRIYTIVEEAEARQIRTRGMILQLKDLMEGMFLGYYVLDSFQFQSVEEEGVDEDHQVSRKRLRFSTCTRRSTSLLSLGTESTPVLKNVIESLETKICDVRELVMLLTSCPRLPQQPYATYLFMEKSMFGRHVEKEQVIDFILHDDQNLAVLPIIGPHRIGKRTLVHHACQDERVRGRFLNIVFFHGDDLGNISLMPSSSRKYLCIVEFSWEVDMEAWKIFRSSMKKAAISGSKVIIIGRTDEIAKWGTTPAIRLNRLSPEMYWYYFKALSFGSMNPDDHPKLASLGMQLATELQGSFLGANILGHILRGNPSAEIWSRFLMSLRRMSRKRLSIFEEHPPEKNHPSHTAEVAFMNYRGCMLYDLREAGHFQSDIPRLTPAGVELEGKIPCDLGFDVLVWRSQIPPFCNYTATFLQPKPRRIVRRKKNPLAVSIT >ONIVA11G03880.1 pep chromosome:AWHD00000000:11:2984496:2987062:1 gene:ONIVA11G03880 transcript:ONIVA11G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRILLRMHSVVEEAEGRHITNQGMLLQLKGLIEGFYVGYHMLDKVTFQPPEEESIKDEIADMREFVMLLGSYPRLPRQPYSTYLFMDKCMFGRRVEKEQVINFLLCSDPPDTYVSILPIIGPHRIGKKTLVQHACQDDRVKSCFSHIFFFKEDDLKMGELSLNSKASPGKYLFVIEFICDVDEAAWTKFQSYLQNMPSTEIKVVLIGRTEDVTKFGTSQPIRMKRLSEEEYWYYFKALSFGSMNPDEHPKLASLGMQLATEMNGSFLGANILGELLRANPNTQSWQSILLSLRGFVQKNLCCFGVHPEDLLERNTPVDFTRMAFLGAQAHGCLVYDLRVAGPAQSQLPKLTSREVLLGGNIPVEDKFDVLVWKSRIPPYCDYIATFEKQKPRRVVGKRNTIYH >ONIVA11G03880.2 pep chromosome:AWHD00000000:11:2984496:2987062:1 gene:ONIVA11G03880 transcript:ONIVA11G03880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRILLRMHSVVEEAEGRHITNQGMLLQLKGLIEGFYVGYHMLDKVTFQPPEEESIKDEVSHEMHSSALTTSNSAKRFRFSDALRKHTPISFASRSTANLKGVVEDLETKIADMREFVMLLGSYPRLPRQPYSTYLFMDKCMFGRRVEKEQVINFLLCSDPPDTYVSILPIIGPHRIGKKTLVQHACQDDRVKSCFSHIFFFKEDDLKMGELSLNSKASPGKYLFVIEFICDVDEAAWTKFQSYLQNMPSTEIKVVLIGRTEDVTKFGTSQPIRMKRLSEEEYWYYFKALSFGSMNPDEHPKLASLGMQLATEMNGSFLGANILGELLRANPNTQSWQSILLSLRGFVQKNLCCFGVHPEDLLERNTPVDFTRMAFLGAQAHGCLVYDLRVAGPAQSQLPKLTSREVLLGGNIPVEDKFDVLVWKSRIPPYCDYIATFEKQKPRRVVGKRNTIYH >ONIVA11G03880.3 pep chromosome:AWHD00000000:11:2984496:2987062:1 gene:ONIVA11G03880 transcript:ONIVA11G03880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVVEEAEGRHITNQGMLLQLKGLIEGFYVGYHMLDKVTFQPPEEESIKDEIADMREFVMLLGSYPRLPRQPYSTYLFMDKCMFGRRVEKEQVINFLLCSDPPDTYVSILPIIGPHRIGKKTLVQHACQDDRVKSCFSHIFFFKEDDLKMGELSLNSKASPGKYLFVIEFICDVDEAAWTKFQSYLQNMPSTEIKVVLIGRTEDVTKFGTSQPIRMKRLSEEEYWYYFKALSFGSMNPDEHPKLASLGMQLATEMNGSFLGANILGELLRANPNTQSWQSILLSLRGFVQKNLCCFGVHPEDLLERNTPVDFTRMAFLGAQAHGCLVYDLRVAGPAQSQLPKLTSREVLLGGNIPVEDKFDVLVWKSRIPPYCDYIATFEKQKPRRVVGKRNTIYH >ONIVA11G03880.4 pep chromosome:AWHD00000000:11:2984496:2987062:1 gene:ONIVA11G03880 transcript:ONIVA11G03880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVVEEAEGRHITNQGMLLQLKGLIEGFYVGYHMLDKVTFQPPEEESIKDEVSHEMHSSALTTSNSAKRFRFSDALRKHTPISFASRSTANLKGVVEDLETKIADMREFVMLLGSYPRLPRQPYSTYLFMDKCMFGRRVEKEQVINFLLCSDPPDTYVSILPIIGPHRIGKKTLVQHACQDDRVKSCFSHIFFFKEDDLKMGELSLNSKASPGKYLFVIEFICDVDEAAWTKFQSYLQNMPSTEIKVVLIGRTEDVTKFGTSQPIRMKRLSEEEYWYYFKALSFGSMNPDEHPKLASLGMQLATEMNGSFLGANILGELLRANPNTQSWQSILLSLRGFVQKNLCCFGVHPEDLLERNTPVDFTRMAFLGAQAHGCLVYDLRVAGPAQSQLPKLTSREVLLGGNIPVEDKFDVLVWKSRIPPYCDYIATFEKQKPRRVVGKRNTIYH >ONIVA11G03870.1 pep chromosome:AWHD00000000:11:2979401:2980341:-1 gene:ONIVA11G03870 transcript:ONIVA11G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNGTQTQQQVRGDMHAPAGSHLFHFSNSWKKRQETNTSSSSQCNSTPQKGLPNDTAHIITPATHLHKVSVTATADDESLFIRMIYDSHEEQKLQRIQDSDWMMMMETQYYIFRGLSFGVRDNYKSRTMKKIAQKTEILGSSTSTKVEILYRSTSNQAELQSRHAPIQ >ONIVA11G03860.1 pep chromosome:AWHD00000000:11:2977172:2978428:1 gene:ONIVA11G03860 transcript:ONIVA11G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGSSSTHSHPSPFSSFGRSLLSLRRDSPAAAAGASPAMASGEEADLEAFQRHVAAHLADLRGGEDELLSIEWIRRLLEAFLLCQEEFRVVVALARRRGALSAAAEKMVGDFYERAVKALDVCNAARDGVDQVRRWERLAGIAASVLLAPGEIHEGQLRRARKALSDLSVLLIDDAAAAAGGGGVASFLSSHRNRSFGRARASPSRSATLASSSSSSSSSSSSHFRSLSWSVSRNWSAARQLQAIGSGLAAPRAHEGGLVAPVYSMGCLLHLAAWALVAAVPCPDRAAALQAHHLPAAPPRAAFPWAPPLLALQERLTEEGKRKDRRNSCGLLKEIHALEKCTQRLAEAIDAAPVPLSGEREAEVREAAAELAAVCAAMRAGLEPLERQVREVFHRIVRSRMEGLDSPMLNAD >ONIVA11G03850.1 pep chromosome:AWHD00000000:11:2971624:2973587:-1 gene:ONIVA11G03850 transcript:ONIVA11G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRSGGQNPKRIKNGSLTNMLRFAVTDNGRRQWQTQEMAVEAEDGDGRAAGVEDSGGQAARQRRKTAATVQARDDGGHAADVGDSGGCSSAPVSLRAAVWWPRELATEAGQRKAWSMLLHLGRPHKLLKRRMQPDDKLVIVNISLSFSLSSPLSSRSSQNPTWNYYCPLLIVSALIKHRLNYGVKCTSGP >ONIVA11G03840.1 pep chromosome:AWHD00000000:11:2964722:2969702:1 gene:ONIVA11G03840 transcript:ONIVA11G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IYI7] MSFRSIVRDVRDGFGSLSRRGFEVRILGHRRGKSHGAVHELHDPVPVIQSSCWASLPPELLRDIIERLEESEATWPSRKHVVACAGVCRTWREMCKEIVKNPELCGKITFPISLRQPGPRDGTMQCFIRRDKSTQTYYLYLSLGSAVLVDNGKFLLSAKRNWRATCTEYVISMNANNLSRSTNTNIGKLRSNFLGTKFVIYDTHTPYNATSDSQSGKTSRRFSNKGTAKHPCSTYSIANISYELNVFGTRGPRRMRCLMHSIPASSLEAGGTVPSQPDSLLAHSLNESSFRSVSFSKSSVMDHSMHFSSTQFSDISIGDGPRIGGQVLSDDEECKETPLILQNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPVPPPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAISLSSFDTKLACE >ONIVA11G03830.1 pep chromosome:AWHD00000000:11:2958016:2962859:1 gene:ONIVA11G03830 transcript:ONIVA11G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNPPGNMTQGPSYGSLDLHGISKQMHPPNSGNQGFNQPQIPGNFTIPMDRVTEPDNISDGVQLGQHGKIAHHHHHHRHHSKNHGSDEEEHDMNEDAADGKDKKGSPWHRMKWTDSMVKLLITAVSYTGEDPGADLGGGRRNYSMMQKKGKWKAISKVMGERGCHVSPQQCEDKFNDLNKRYKRLTDILGRGTACNVVENHSLLDHMDISEKMKEDARKILNSKHLFYEEMCSYHNNNRISLPEDPALQQSLQLALRCKEDNDFMRHASGDAELDDDQSEDSDYEGNEEEHRAVDTNIRGPSMHKRMWHVVDYGDVGFVTSCSNDGSGRSDPYDVLDINKPFPDGCDLALVQKDLALKAAEIQKHRLQIETKAVQLAKQRLKWEMFRKNKDLELEKLALENEQMMLQNKREFTLKLGVLFLTMNAEVKSKCGGLASQMAM >ONIVA11G03830.2 pep chromosome:AWHD00000000:11:2958016:2962859:1 gene:ONIVA11G03830 transcript:ONIVA11G03830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNPPGNMTQGPSYGSLDLHGISKQMHPPNSGNQGFNQPQIPGNFTIPMDRVTEPDNISDGVQLGQHGKIAHHHHHHRHHSKNHGSDEEEHDMNEDAADGKDKKGSPWHRMKWTDSMVKLLITAVSYTGEDPGADLGGGRRNYSMMQKKGKWKAISKVMGERGCHVSPQQCEDKFNDLNKRYKRLTDILGRGTACNVVENHSLLDHMDISEKMKEDARKILNSKHLFYEEMCSYHNNNRISLPEDPALQQSLQLALRCKEDNDFMRHASGDAELDDDQSEDSDYEGNEEEHRAVDTNIRGPSMHKRMWHVVDYGDVGFVTSCSNDGSGRSDPYDVLDINKPFPDGCDLALVQKDLALKAAEIQKHRLQIETKAVQLAKQRLKWEMFRKNKDLELEKLALENEQMMLQNKRLQINSIQDRGVLFLTMNAEVKSKCGGLASQMAM >ONIVA11G03820.1 pep chromosome:AWHD00000000:11:2945370:2948037:1 gene:ONIVA11G03820 transcript:ONIVA11G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELEAAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVNLTPTLYEVARMQMWISKGEYDESGPAIVHRKCF >ONIVA11G03810.1 pep chromosome:AWHD00000000:11:2887251:2896708:-1 gene:ONIVA11G03810 transcript:ONIVA11G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLRLLAPHWPLLLLLAVSVATIDRVSKGKSEITISIRTLVAVFVYVMLKVGNKKYVLSEERNKILTHSEAKTHNIGLLSFFMIAVLAAIIQIQFQFPFPGNYSTLAKAIGIFGMFFQVKGENGLKNDAPHIRKLKITATLIKIMTLSYELWAQISQGYRQSVLTKPLCDMTIAALIVNCVLFAARYIGPKTIKEYFFPPSPPTETRKEYFTRTLALLHKDDFPSAVQRADEKHD >ONIVA11G03800.1 pep chromosome:AWHD00000000:11:2875716:2883188:-1 gene:ONIVA11G03800 transcript:ONIVA11G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain;Phox-like;Sorting nexin, C-terminal [Source:Projected from Arabidopsis thaliana (AT2G15900) TAIR;Acc:AT2G15900] MRRAMVGVDDLIEEAKVRTVWWALCIFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFHWRGQPVQKQTHLSQLSKRQLSAHDHRLSTVAPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSSITPDREAPELIRGLILHALGEISGRVKEMNLVDLLTRDMADLIGHHLDIFRKNQSQIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKTARCSESIGSLFLQGTADLLSPAASDELCNYMNELIIYLMNNKDTNSGGGNLDNSNSSVTVTNAHSAHKGSSQGCQVESRNLSQESSGLVPANSSGMRSLVTHDGDKSKMSKIEHGSAIQSRQPDWAVGLDAATKRRSQVLAPENLENMWAIGRNYQKKMVKFEHSRGKSSGIDNIPSAGAAGKELSPNFNERITSVDDKYMVNLMQGSNRNAQSTYVTGSHPLVSQEWQDTDEAKPNEGSQVDCSSTEKPCETINNTKAQLKRSNSTPDIEKRYLAKSNQTMVSSEIARKNQGDRGSFPVSHGEVVLYVPKIRCRVVGAYFEKLSSKSFAVYSIAVTDAENKAWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSIDDYLVHQRCILLDKYLQELLSIPNIAEQHEVWDFLSATSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPTSAPSSHLAENQMSLSWNQEEIDNHNLHNRNLSGAHSLSDGDSNYEDPSSSVNSASHSDNELNNSQYGSNDIKLNEAYSGFDAQASQQIEKPTRAYSDSSNMSSLNTFEDPAGIPPEWTPTNVSVHLLNLVDKVFQLKRRGWIRKQVLWISKQILQLVMEDAIDEWILRQINWLRRDEVIVQGIRWIQDTLWPNGVFFTRLDGYQGNAGPSQFDKHPSGSANQASGNRKDSASSFEQQLEASRNASEVKKLLLGGTPPTLVSIIGYKQYQRSARDIYYFLQSNVCVKQLAYAMIEQVLVSLFPELRQLIEDIHEKGRKEQASFTYQL >ONIVA11G03800.2 pep chromosome:AWHD00000000:11:2875716:2883188:-1 gene:ONIVA11G03800 transcript:ONIVA11G03800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain;Phox-like;Sorting nexin, C-terminal [Source:Projected from Arabidopsis thaliana (AT2G15900) TAIR;Acc:AT2G15900] MRRAMVGVDDLIEEAKVRTVWWALCIFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFHWRGQPVQKQTHLSQLSKRQLSAHDHRLSTVAPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSSITPDREAPELIRGLILHALGEISGRVKEMNLVDLLTRDMADLIGHHLDIFRKNQSQIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVFQDIVGGIMALVLRPQDAQSPLVRCFSRELLTCLVLQPVMNFASPIYMNELIIYLMNNKDTNSGGGNLDNSNSSVTVTNAHSAHKGSSQGCQVESRNLSQESSGLVPANSSGMRSLVTHDGDKSKMSKIEHGSAIQSRQPDWAVGLDAATKRRSQVLAPENLENMWAIGRNYQKKMVKFEHSRGKSSGIDNIPSAGAAGKELSPNFNERITSVDDKYMVNLMQGSNRNAQSTYVTGSHPLVSQEWQDTDEAKPNEGSQVDCSSTEKPCETINNTKAQLKRSNSTPDIEKRYLAKSNQTMVSSEIARKNQGDRGSFPVSHGEVVLYVPKIRCRVVGAYFEKLSSKSFAVYSIAVTDAENKAWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSIDDYLVHQRCILLDKYLQELLSIPNIAEQHEVWDFLSATSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPTSAPSSHLAENQMSLSWNQEEIDNHNLHNRNLSGAHSLSDGDSNYEDPSSSVNSASHSDNELNNSQYGSNDIKLNEAYSGFDAQASQQIEKPTRAYSDSSNMSSLNTFEDPAGIPPEWTPTNVSVHLLNLVDKVFQLKRRGWIRKQVLWISKQILQLVMEDAIDEWILRQINWLRRDEVIVQGIRWIQDTLWPNGVFFTRLDGYQGNAGPSQFDKHPSGSANQASGNRKDSASSFEQQLEASRNASEVKKLLLGGTPPTLVSIIGYKQYQRSARDIYYFLQSNVCVKQLAYAMIEQVLVSLFPELRQLIEDIHEKGRKEQASFTYQL >ONIVA11G03800.3 pep chromosome:AWHD00000000:11:2875718:2883188:-1 gene:ONIVA11G03800 transcript:ONIVA11G03800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain;Phox-like;Sorting nexin, C-terminal [Source:Projected from Arabidopsis thaliana (AT2G15900) TAIR;Acc:AT2G15900] MRRAMVGVDDLIEEAKVRTVWWALCIFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFHWRGQPVQKQTHLSQLSKRQLSAHDHRLSTVAPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSSITPDREAPELIRGLILHALGEISGRVKEMNLVDLLTRDMADLIGHHLDIFRKNQSQIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVFQDIVGGIMALVLRPQDAQSPLVRCFSRELLTCLVLQPVMNFASPIYMNELIIYLMNNKDTNSGGGNLDNSNSSVTVTNAHSAHKGSSQGCQVESRNLSQESSGLVPANSSGMRSLVTHDGDKSKMSKIEHGSAIQSRQPDWAVGLDAATKRRSQVLAPENLENMWAIGRNYQKKMVKFEHSRGKSSGIDNIPSAGAAGKELSPNFNERITSVDDKYMVNLMQGSNRNAQSTYVTGSHPLVSQEWQDTDEAKPNEGSQVDCSSTEKPCETINNTKAQLKRSNSTPDIEKRYLAKSNQTMVSSEIARKNQGDRGSFPVSHGEVVLYVPKIRCRVVGAYFEKLSSKSFAVYSIAVTDAENKAWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSIDDYLVHQRCILLDKYLQELLSIPNIAEQHEVWDFLSATSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTSPTSAPSSHLAENQMSLSWNQEEIDNHNLHNRNLSGAHSLSDGDSNYEDPSSSVNSASHSDNELNNSQYGSNDIKLNEAYSGFDAQASQQIEKPTRAYSDSSNMSSLNTFEDPAGIPPEWTPTNVSVHLLNLVDKVFQLKRRGWIRKQVLWISKQILQLVMEDAIDEWILRQINWLRRDEVIVQGIRWIQDTLWPNGVFFTRLDGYQGNAGPSQFDKHPSGSANQASGNRKDSASSFEQQLEASRNASEVKKLLLGGTPPTLVSIIGYKQYQRSARDIYYFLQSNVCVKQLAYAMIEQVLVSLFPELRQLIEDIHEKGRKEQASFTYQL >ONIVA11G03800.4 pep chromosome:AWHD00000000:11:2875718:2883188:-1 gene:ONIVA11G03800 transcript:ONIVA11G03800.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain;Phox-like;Sorting nexin, C-terminal [Source:Projected from Arabidopsis thaliana (AT2G15900) TAIR;Acc:AT2G15900] MRRAMVGVDDLIEEAKVRTVWWALCIFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFHWRGQPVQKQTHLSQLSKRQLSAHDHRLSTVAPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSSITPDREAPELIRGLILHALGEISGRVKEMNLVDLLTRDMADLIGHHLDIFRKNQSQIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVFQDIVGGIMALVLRPQDAQSPLVRCFSRELLTCLVLQPVMNFASPIYMNELIIYLMNNKDTNSGGGNLDNSNSSVTVTNAHSAHKGSSQGCQVESRNLSQESSGLVPANSSGMRSLVTHDGDKSKMSKIEHGSAIQSRQPDWAVGLDAATKRRSQVLAPENLENMWAIGRNYQKKMVKFEHSRGKSSGIDNIPSAGAAGKELSPNFNERITSVDDKYMVNLMQGSNRNAQSTYVTGSHPLVSQEWQDTDEAKPNEGSQVDCSSTEKPCETINNTKAQLKRSNSTPDIEKRYLAKSNQTMVSSEIARKNQGDRGSFPVSHGEVVLYVPKIRCRVVGAYFEKLSSKSFAVYSIAVTDAENKAWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSIDDYLVHQRCILLDKYLQELLSIPNIAEQHEVWDFLSATSKNYSAGKSTSVMKTLAGRILVLSLLFMLTIMNVPIEYFPHSRYLFALAPTVNVDDAMDDIVRQFKGVSDGLKRAVGTSPTSAPSSHLAENQMSLSWNQEEIDNHNLHNRNLSGAHSLSDGDSNYEDPSSSVNSASHSDNELNNSQYGSNDIKLNEAYSGFDAQASQQIEKPTRAYSDSSNMSSLNTFEDPAGIPPEWTPTNVSVHLLNLVDKVFQLKRRGWIRKQVLWISKQILQLVMEDAIDEWILRQINWLRRDEVIVQGIRWIQDTLWPNGVFFTRLDGYQGNAGPSQFDKHPSGSANQASGNRKDSASSFEQQLEASRNASEVKKLLLGGTPPTLVSIIGYKQYQRSARDIYYFLQSNVCVKQLAYAMIEQVLVSLFPELRQLIEDIHEKGRKEQASFTYQL >ONIVA11G03800.5 pep chromosome:AWHD00000000:11:2875716:2883188:-1 gene:ONIVA11G03800 transcript:ONIVA11G03800.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain;Phox-like;Sorting nexin, C-terminal [Source:Projected from Arabidopsis thaliana (AT2G15900) TAIR;Acc:AT2G15900] MRRAMVGVDDLIEEAKVRTVWWALCIFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFHWRGQPVQKQTHLSQLSKRQLSAHDHRLSTVAPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSSITPDREAPELIRGLILHALGEISGRVKEMNLVDLLTRDMADLIGHHLDIFRKNQSQIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKYGSNDIKLNEAYSGFDAQASQQIEKPTRAYSDSSNMSSLNTFEDPAGIPPEWTPTNVSVHLLNLVDKVFQLKRRGWIRKQVLWISKQILQLVMEDAIDEWILRQINWLRRDEVIVQGIRWIQDTLWPNGVFFTRLDGYQGNAGPSQFDKHPSGSANQASGNRKDSASSFEQQLEASRNASEVKKLLLGGTPPTLVSIIGYKQYQRSARDIYYFLQSNVCVKQLAYAMIEQVLVSLFPELRQLIEDIHEKGRKEQASFTYQL >ONIVA11G03790.1 pep chromosome:AWHD00000000:11:2857853:2859670:1 gene:ONIVA11G03790 transcript:ONIVA11G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAHTSINSACLLSLSLSLSLSIYVYYVPQQLLKLINQQFLSHTCTLSQRTRRAELKKILELRKKKAKAKVKKKPKKKKNKKAKKKKKKKKKKEEGEEESDVRQQKYTKVSCKGGCRSVGDEDIGVAEQQCTTDTASPSKISLS >ONIVA11G03780.1 pep chromosome:AWHD00000000:11:2852167:2857356:-1 gene:ONIVA11G03780 transcript:ONIVA11G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYHGGAGTDIQSGTDGLQTLYLMNPSYAGYGDAAAAAPGAAANMMLLNSAVTSMTPVSFGHQPSPSSSSAAQHFVGIPLQAPPASGYNLWTPAAATGAGDMSPPTPQHQHQQAHGGGAAGVSAVLSLSSREAAPPVTVAAVVAAGDEGKYLQAVAQGAASQGQMVMSSKYLKAAQELLDEVVSVSKGVDDVKAAAAAKSPASVKKKEDSEGVSGGGTEDGGGAKSGGAPPPPEMSTAERQELQMKKGKLINMLDEVEQRYRQYHQQMQVVVASFEAVAGGGSARTYTALALRTISRQFRCLRDAIAGQVRAASRALGEAVDADGGCGRTVGSRLRYIDHQLRQQRALQQLGMMQSSAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDQDGGGGAGAGDEGSKPGGSKGGGAGVNGGVVDSAAKMDSKAAHMESGGGVHPSLLELAGDHQAQAGFYDDDDDEDGGAAAALQQKLKKARTEEQQQAAFHVSDVATLHAHAAAAAAARHDEVSHRELLMKFMESGSAGAGAAARDHHHEHHGGVGYSLFAPAPYGQFATEQFAFAGHGGGGGGGGVSLTLGLPHGAEQTASFLMTSSNGSDGAGHVAGGGGGGGGGYDMNMQSTKSFAAQLMRDFVA >ONIVA11G03770.1 pep chromosome:AWHD00000000:11:2845263:2854358:1 gene:ONIVA11G03770 transcript:ONIVA11G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEVGWSYAVFWKAIGAADPVHLVWEDGYCGHASCPAGSDPSEALPTDVGCAAAADTMTMCSLVNKVMASQVHVVGEGTVGRAAFTGNHQWIIHGTANDHGIPSEVAAEMSYQFRVGIQTIAIIPVLPRGVLQLGSTGVVLENKSFMTHAKKLCSQLNNRSSMAVSSSVKNSSSQQGRSRPLHGASNVQSTENRSKLFSQFPVTCEQYNHPDTMAVSGSSTSLNACMNGSLLKIAQLNGQAVREHIVYSKPDVRFIQQVYRDGQLGSNAQSIAMSSDLISSSLRSVQKQPLLMNNISQLEYGDGAETSADLRKNVLLKPPVCLDPFIHDRNINISHGITEVSNVINDHGNFDFLSGGARVVRANLCTSATSQVLDRRSHSVSGMLLHREPIVSCEVPQSSEFSTKMGSLERGSFQISSAPSSESDVQISNGLNTSISRENQLSVSNHICQDQKINGVNDLSATLSTERMNNMDGCKPPGLSLERTSPLFMEQSVENDLFDILGPQFHHLCHNAGADLVPWTDAKPESSDRDVPESSIHADSAPLFSSRDNELYSGIFSLTDTDQLLDAVISNVNPAGKQSSDDSASCKTSLTDIPATSYLCSKEMKQCGSSGVPSVLIKNESAQFIKQPCLAENAEDGCLSQNNGMHKSQIRLWIESGQSMKCESASTSNSKGLDTPSKANRKRSRPGESPKPRPKDRQLIQDRIKELREMVPNGAKCSIDALLEKTVKHMLFLQSVTKHADKLKDSTESKILGSENGPVWKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMICEDRGIFLEIADFIKGLGLTILRGAMEARKSKIWARFTVEANRDVTRMEIFLSLVRLLEPNCDSSGAAENANNVNMPLGLVHQPVIPATGRIQ >ONIVA11G03760.1 pep chromosome:AWHD00000000:11:2844267:2845084:-1 gene:ONIVA11G03760 transcript:ONIVA11G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSTSDRVNCLTWQSNVATYLYLSNPFFPKTSSLPVVAIARVPSRSQPCRFDTAVACLAVEYNVTQLSRGATEERRKVTSEARELSEHNVFYRAFLVDDNVVPWLLCLLSSISAAMQNIDVASLLNLSKRPAGQMTIVEVGSVGLIVDVINVVAKAEAQHNIVAILFYLSSNNPDASRRAIAAGTVSALAALLSADHNDLTRDSIALLSRIAKKPSSIVAVLSQSGLVAHFAASL >ONIVA11G03750.1 pep chromosome:AWHD00000000:11:2828427:2837101:1 gene:ONIVA11G03750 transcript:ONIVA11G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHYAVLLRRAASLPSLPLVASLHAAALRRGAVLAPSLIHAYSACGDLASARNLFDELPPRDRTLSARTALASAMSAHGRCREVLDLFAGLAEEEMDDKAVTAVLAACARAGMVDEGRRVFATVRRRPALQHYTCMVEMLGRAGEVEEAERLVARMGARPDRVICAVLLAACRVHGRVDVAGRVHGLMRRSAAHKAVAGSSSIAFVSPRKVSILAVDSAHQPPHLSAWSPPNLLFSSSTAAAASLRFPILHIHHRLLLLPARLAVAGENPSGDLLVPMASYGVDTRPAAAAAGGGGAGAGAAGEGALSFLSRGLREDLRLIRARAGELETFLTAPVPEPELLARLRRAYSSSAGTTRLDLSAIGKAFGTGVVGRGSRGARWGWEEVQEAEEWEPIRMVKARLREMERRRQWQATDMLHKVKLSLKSMSFVPEASEEVPPLDLGELLAYFLKQSGPLFDQLGIKRDVCDKLVESLCSKRKDHLAYNSFPASEPSAFSNDNAGDELDLRIASVVQSTGHNYEGGFWNDGHKYETADKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSSKQDVTLVVPWLCKSDQELVYPNSMTFSSPQEQEAYMRSWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKVLRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAERESGQHSFSKGAYFLGKMVWAKGYRELIDLYAKHKSDLEGIKLDIYGNGEDSHEVQSAAMKLNLNLNFHKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYKTSEDFVAKVKEAMARDPQPLTPEQRYNLSWEAATQRFMEHSELDKVLSSSNRDCTTSTSGCGKSGDNKMEKSASLPNMSDMVDGGLAFAHYCFTGNELLRLSTGAIPGTLNYNKQHSLDLHLLPPQVQNPVYGW >ONIVA11G03740.1 pep chromosome:AWHD00000000:11:2824001:2825374:1 gene:ONIVA11G03740 transcript:ONIVA11G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCDGDRVVIVGGGIAGALLAKTLQNHADVVLIDPKEYFEIPWANLRAKMDPAAVARTVIPHSEYLTQAKVVTAAAVGVDDSVVLTSAGGAVGYDFLVVATGRECSRPQKREDRLQMFEHDKARIASAGSVLVVGGGPIGVELAAEIVMASPEKRVTLVHGGPRLLMVMGEKASAKALEWLRSKNVTVLLDQTVDLAAAAAGANTDDKVFTTSAGETVAADCHFVCTGRPVASGWLRESFLGEHVGGDGKVAVDEHLRVGGLRNVFAIGDITDVPEAKQGYLAQRHAMVVSRNLRLLVKAGGGDGGGSSKERKLHRYKASKAAITVTLGRRDALAELPFMTVIGHLPGVIKPRDYFIARTRRMMGLRTGARYDQSMFRI >ONIVA11G03730.1 pep chromosome:AWHD00000000:11:2822865:2823167:-1 gene:ONIVA11G03730 transcript:ONIVA11G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDEPLSGDLISELRLSSSLPSRDLFGPDRYHLFTAGLLSRLVLPSLLHTRQMGGKRGGRMARSTSSRRGTGTQGAQADGATDEVEVGREEVAGEVPGL >ONIVA11G03720.1 pep chromosome:AWHD00000000:11:2820972:2821514:-1 gene:ONIVA11G03720 transcript:ONIVA11G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGCYCVREHQLEDDDAVGHRLLPPEILVEIGIIAAADHTAAVHARRDAVVEELAARLVGILGLTSPPSAGAGRLGEKRAAAATTTTSSGGATPPYGRSHVRRREQHLAGGGGGGGVIDGGMARYHAPGFGTTQWLAPPAWCGGGGGGGTGVFLPRGEVYPTRTSNPPRKQVRNRRVC >ONIVA11G03710.1 pep chromosome:AWHD00000000:11:2812263:2815355:-1 gene:ONIVA11G03710 transcript:ONIVA11G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSPPLPPPSFLGYLNHYSSGFPAPAYGMGGRGELVMTVSGGGSPENAVVWTTVAEPGHWFYVPPSGQYGGAIMINSAAVTGDATGITGTGIGTGVFIPERPRIRRMKHPINWVPLVPDRGGNGRKPELKTANASKGKGIQMSYAAAVKTAGPSHEAVRANQSKKGHKFSRQKKNAATAAVEAPAPEKKEEATATTVEDIPELALLPEEWVY >ONIVA11G03700.1 pep chromosome:AWHD00000000:11:2802287:2807593:1 gene:ONIVA11G03700 transcript:ONIVA11G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDADAAAAAAAGGEGAAAAGVGTAGEGRGVIRWDQILPRRSLRVLLVEHDDSTRQVVTALLRKCGYRVAAVADGMKAWGVMRERAYAFDLVLTEVTMPTLSGIELLSRIVASDECKNIPVIMMSSQDSIGTVLRCMQKGAVDFLVKPVRKNELRNLWQHMNSQTNASENNAASNHLSANGGNGSKTGEHSDEESDAQSSGSKREVEIQSAEKLPEVVADGGAGSSREHKIQNGFIDGMNTKSHALKGNDDAPSGNACGDSELQVLSTEKNVRSKFLNGITSAKVAGQIMDNALRFADSSSLRSSDPGKDLLVVAQTTADRKCKSSALENNAVMENNLSENSKGTATGHAESCPSHFVEINLEKQHHLNGYTNHKLNEKDIFNHSNSSAFSRYGNKRIESSAQRPFPPSFRVVHQQPVYDKNPQSSRVLLSCEHNTRENTVQAQVPLDRSTEGAAILCSSSVREDAGTSSSSPRKDSLTHPSYGFIPVPIPVGAAIPYHYGAIMQPMYYPQGAFMHCDSAAINKTAIQHASCQSNYHENLGKPPQIDEHKQPEENHQLHHSRQILRESGEPVDLAKAHMERINQSASCSQDIRKGSGCTGSGETDANTNTVIALESGNESGVQNCSNNVLDGDRSRREAALLKFRMKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFVSQKLKSAITTEAETD >ONIVA11G03700.2 pep chromosome:AWHD00000000:11:2802287:2807593:1 gene:ONIVA11G03700 transcript:ONIVA11G03700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDADAAAAAAAGGEGAAAAGVGTAGEGRGVIRWDQILPRRSLRVLLVEHDDSTRQVVTALLRKCGYRVAAVADGMKAWGVMRERAYAFDLVLTEVTMPTLSGIELLSRIVASDECKNIPVIMMSSQDSIGTVLRCMQKGAVDFLVKPVRKNELRNLWQHMNSQTNASENNAASNHLSANGGNGSKTGEHSDEESDAQSSGSKREVEIQSAEKLPEVVADGGAGSSREHKIQNGFIDGMNTKSHALKGNDDAPSGNACGDSELQVLSTEKNVRSKFLNGITSAKVAGQIMDNALRFADSSSLRSSDPGKDLLVVAQTTADRKCKSSALENNAVMENNLSENSKGTATGHAESCPSHFVEINLEKQHHLNGYTNHKLNEKDIFNHSNSSAFSRYGNKRIESSAQRPFPPSFRVVHQQPVYDKNPQSSRVLLSCEHNTRENTVQAQVPLDRSTEGAAILCSSSVREDAGTSSSSPRKDSLTHPSYGFIPVPIPVGAAIPYHYGAIMQPMYYPQGAFMHCDSAAINKTAIQHASCQSNYHENLGKPPQIDEHKQPEENHQLHHSRQILRESGEPVDLAKAHMERINQSASCSQDIRKGSGCTGSGETDANTNTVIALESGNESGVQNCSNNVLDGDRSRREAALLKFRMKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFKNPQFLAANEGPGIFPLHPAAHILLELATQVWHIFCITVCDLIYLEVHKLAGISPAQANHTRSCR >ONIVA11G03690.1 pep chromosome:AWHD00000000:11:2795339:2797754:1 gene:ONIVA11G03690 transcript:ONIVA11G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSGGGGAISDPFATPGFRFYPTEEELLGFYLRHRLAGTRPDVERVIPVVDVYGYHPSQLAAVAGEASARDTEQWFFFCPRAERELHGGRPARTTPSGYWKATGSPSCVISSATNRVIGVKRTMVFYQGRAPTGTKTRWKMNEYKAVADDADAAAAAMLHPMAPPRLRNELGVCRVYISTGTLRSFDRRPLDNQAAAPTQQQVMPSLTAAAAVNTNLCGGGGGVVFAGAQGDSSRDCSSSSGSRELAGGADGSEDDAIDWNSLISSATADDLGFNTVVGFDPSIVGSWPQV >ONIVA11G03680.1 pep chromosome:AWHD00000000:11:2785240:2809955:-1 gene:ONIVA11G03680 transcript:ONIVA11G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRIRRENEGGVDKNEGELDILRWREGRTLAPNPATSRTGRDRSDLVRFRRACGPPAVVWATTKRSFPGSLERSELESANLKALSIICPATFAEATFEWASIPHIHVTCTDKDFELKSRSTDVDGLGFRAIQKRPDCQIPYKRHMYNMPRSLISPHLISFKSLVHQEKKSK >ONIVA11G03670.1 pep chromosome:AWHD00000000:11:2784613:2785128:1 gene:ONIVA11G03670 transcript:ONIVA11G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLHFRPPKPTSANAVAAAATADGDLLELDVLWPASRAPGLLAALPEEDGKRRRKRGGSGSGSVAVRSAARPIPETAALTLVPSSSAAAMAKSAPVRIPSEAAAARRGVMWAAQAGGEDGEAAMVPPHEIVARRAAAHSSVLEGSGRTLKGRDLHRVRNAVLRRTGFLD >ONIVA11G03660.1 pep chromosome:AWHD00000000:11:2781646:2783007:-1 gene:ONIVA11G03660 transcript:ONIVA11G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAASNCGLQRRRRRRPSSDDRLEGELGRNLVGEVVAVPPAGAGDDDDGRGSPWSDLPPELAGLVFCRLLSHGDRRRFRAVCSDWRLAAREQVAVTTGPSSSSLQLPPSLPWLALDRRTYQSLPDGEVHRFADGPGIMVCRGSFDGWLLYHRNGYRDIRSSFLWNPFSGAVLDLPSRCDDAAGGEPMCFVNAIKRKIVVCSPDLVAAAVEYTSLIFHLPNKHSSWARTNPNICCHDIAFHHGKLYSINNNDELFVHEFFTTTAADRGGGSARVTASSDWAAVTDARPPREHLGNHGYHLRFTSYLVASLAGKLLLVRWSLPDELFSGEGGRLAFSLLSNLITVRVFEADMEARRWTEVTDIGDDQALFVSATCSRALRLPDNNGGGRHGFLRGNRVFIVGSDLGRRCGGGGGGIGCCCFSCGVYDMSNGRFSTVSLKRWRAGHEQRSRDTSE >ONIVA11G03650.1 pep chromosome:AWHD00000000:11:2779276:2780160:-1 gene:ONIVA11G03650 transcript:ONIVA11G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFPKPEEFMRKIIVRSPDHVAAMTDYFILLHLPPKPPQFPYWSFTAATISIDDGGLFTSHHCWRDMALYHGKLYSISTGEELFVHEFSSSEAVSSPSSSTTTATQHRPRYCRGEVVIDTAPPLDDEEQGYYWVRNLHLVESCTGDKPLLMVRWRWRLPAVYDYRHWCADELSKEIKLDVFEADMENRRWSEVEEIGDQAIFLGNTNSKAVPTLPDHGSSIFFLGSIVTDYCLDGIIDGIDDCAYGVYNMKNGTFRFDNPVSIKRDRVPLGLDDDGYPPKRWRPRWIADWFFPS >ONIVA11G03640.1 pep chromosome:AWHD00000000:11:2774465:2777607:1 gene:ONIVA11G03640 transcript:ONIVA11G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25100) TAIR;Acc:AT2G25100] MAAAVAPEWATKEPCLMGIDEAGRGPVLGPMVYGCMYCARSYQDTLATLKFADSKTLKEEQREELFESLKDNSSIGWEVDVICPKELSAKMLKRSKVNLNEISHNSAMGLVKRALDMGVLLAEVYIDTVGDAEKYRIKLTEKFPGIKFVVAKKADSLFPVVSGASIVSKVTRDRALRNWVFDETAQNMHMNTGSGYPGDPSTKQWLEDHKHPIFGFPSLVRFSWGTCTPFFNDAIEVTWESNELEEDAGSNGSVKRQVKLSSLGFTGFKRKSEEIDSSGKGRCKFFQARKLELVRKFQ >ONIVA11G03630.1 pep chromosome:AWHD00000000:11:2757070:2772992:1 gene:ONIVA11G03630 transcript:ONIVA11G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALTLSSRPAAASRSPAKGTFASLHPRRRFSAHAVHLRAAQSASLRAPSPGARRRRRRGSGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIVEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPEQQLVKFVLDEHGQITYSVVQIVHDELVQLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPAQITKNAVEEAKRKNIDAIVMDTAGRLQIDKSMMVELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEDAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRDRRIRVAEESGKSEQEVSQLVAQLFQMRAQMQKLMGVMTGQEALPGMGNLMESLNADEKATSSTTLALSSPPTAAARRSPAKAIVASHHLRHSSVPALHLRAVPGPSFRALPSPGFPGWRRKRGSGLVVRAEMFGQLTTGLESAWNKLRGTDQLTKDNIAEPMRDIRRALLEADVSLPVVRSFIESVTEKAVGTDVIRGVKPEQQLVKLMGGEVSDLVFAKTAPTVILLAGLQGVGKTTVCAKLAYYLKKMGKSCMLIAADVYRPAAIDQLTILGKQVGVPVYSEGTEAKPSQIAKNGIKEAKSKKTDVIIVDTAGRLQVDKAMMSELKEVKRAVNPTEVLLVVDAMTGQEAASLVSTFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQAIAQMGSFSRIIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRIRVAKESGKNERQVSQLVAQLFRMRAQMQKMMGAMQGQDTPDMEGLMDSIKAEEQAAAGTGKRRRKYGNLRRRDLDAMRDVLGSRPKRLTTCLPPPPPPLRRNPKPARPPPMAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDKATYDKLLSEVPKYKQITPSVLSERLRINGSLARQAIKDLESRGAIRVVSVHSSQLIYTRATNA >ONIVA11G03630.2 pep chromosome:AWHD00000000:11:2757070:2772992:1 gene:ONIVA11G03630 transcript:ONIVA11G03630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALTLSSRPAAASRSPAKGTFASLHPRRRFSAHAVHLRAAQSASLRAPSPGARRRRRRGSGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIVEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPEQQLVKIVHDELVQLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPAQITKNAVEEAKRKNIDAIVMDTAGRLQIDKSMMVELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEDAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRDRRIRVAEESGKSEQEVSQLVAQLFQMRAQMQKLMGVMTGQEALPGMGNLMESLNADEKATSSTTLALSSPPTAAARRSPAKAIVASHHLRHSSVPALHLRAVPGPSFRALPSPGFPGWRRKRGSGLVVRAEMFGQLTTGLESAWNKLRGTDQLTKDNIAEPMRDIRRALLEADVSLPVVRSFIESVTEKAVGTDVIRGVKPEQQLVKLMGGEVSDLVFAKTAPTVILLAGLQGVGKTTVCAKLAYYLKKMGKSCMLIAADVYRPAAIDQLTILGKQVGVPVYSEGTEAKPSQIAKNGIKEAKSKKTDVIIVDTAGRLQVDKAMMSELKEVKRAVNPTEVLLVVDAMTGQEAASLVSTFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQAIAQMGSFSRIIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRIRVAKESGKNERQVSQLVAQLFRMRAQMQKMMGAMQGQDTPDMEGLMDSIKAEEQAAAGTGKRRRKYGNLRRRDLDAMRDVLGSRPKRLTTCLPPPPPPLRRNPKPARPPPMAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDKATYDKLLSEVPKYKQITPSVLSERLRINGSLARQAIKDLESRGAIRVVSVHSSQLIYTRATNA >ONIVA11G03620.1 pep chromosome:AWHD00000000:11:2753262:2754128:1 gene:ONIVA11G03620 transcript:ONIVA11G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGALKRLFEKPLPENPTLLEALSAWNHTHRKKLVDPASFTEIFGELHFQEKPPVVDSLARAAARPSPSPPPPPPPRRTVSWLDITDAATADNDNDKSKDDSSLDALLKPPRPASGGATVKRSASFCLKSSTSLLLCTEGLGSESTVDADDMVKDGDGSGAVVDSGMDVDDDASDVAAAVAGDDAFGAGGKENRPPPPPPSFPPPIRSIGRGGKPSVCFRSVRAEGRFVLMEVVIPGKDLLRATREGGRLRLQFANAAAAAVGVIDDEEMHGQEAAACVGGDTFA >ONIVA11G03610.1 pep chromosome:AWHD00000000:11:2746217:2751296:1 gene:ONIVA11G03610 transcript:ONIVA11G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEVALLRGPTNLASPTSSTLRYLANGDSDVSLPRRSSREEESPAGLQEEEEEEEEERWSFLALLLELLRKSLLRCSTVQEGGGGGGECRMEIGWPTDVQHVAHVTFDRFHGFLGLPVELEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEEFVRDQLNSGIVPDGIDIHCLSGLIKAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPAEAALLEWAVNLMADVVQEEQINKMNARNIAMVFAPNMTQMSDPLTALMYAVQVMNFLKMLIQKTLKGRQESNLEDTSLPHKDPSDESGHHKPCLTLESLLEEESRRPSFVEEEPILNSPAHGTGYNPIEVNPVQGKTAASIAQTSEVQTIIEGSSSCSRPSLTDPPATADPVCAEAANSLQRKGSRSLNSRRTRKGKGQSGTSATSSAEKSKGTSIVSRINSKIERIEAWR >ONIVA11G03600.1 pep chromosome:AWHD00000000:11:2731998:2732729:1 gene:ONIVA11G03600 transcript:ONIVA11G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEQLATTPEMEMEMEYIPLDGYNSHYVRDDDPYRRYRELRMLAPPANEISGRLLEAADEDAAMSSSLWDDEFELTGGADADRFTPPGPRLPRPPTHHLNIFSSRLQRLASTRAPPPMPPPIDDGDFGVVFLTGGARRHVAVAAARVAPADTSCLGKSKYEEPRGGGETARGGADSTGCVICIAEFEVGDELSTIPCAHRHRFHDKCLAEWLKRSRSCPLCRHLLPAVVPANTRPNIHFL >ONIVA11G03590.1 pep chromosome:AWHD00000000:11:2725975:2742630:-1 gene:ONIVA11G03590 transcript:ONIVA11G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPRRCSLGTLSRQEQCHSWKETGKAIWEAKAREDAMAVQHSNVKEGIKSSSCLPPTSQLGTRRFIALYISIDSHLGDLVAIAGVTFQLEHKLISCNCCYCLDTAIL >ONIVA11G03580.1 pep chromosome:AWHD00000000:11:2725616:2726896:1 gene:ONIVA11G03580 transcript:ONIVA11G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRGVSSSSAAAALALLLLFALCFFSFHSAAAARAVPRDEHQENGGVKAVAAVAADQLVLQLEGDTGNGDEVSELMGAAEEEAAACEEGKNNDECVQRRLLSDAHLDYIYTQHKNKP >ONIVA11G03570.1 pep chromosome:AWHD00000000:11:2712879:2715158:1 gene:ONIVA11G03570 transcript:ONIVA11G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRFRGLGFRGGGGGCRSHRGGAAARTPSRLASGIAARWHRLRMCSTPPAGAGEVVRHPGADSLEMDRLHPRRHRRLKGEARGAFSLETSLKIHAISLHPGASIEHQLSYVFANCGCNSIFNVSELAICLIGKELRARVSDIFLNLSLKAIDISGVSMVTTFLAEVPLAKSLTGVLKICVILNASNVVRRRSYSNKVQTAKVTDFYDCDPANPGQPFAPFLFFKRSKKRQPVILFSPMIPLKLSLNGKQFQHFADNDPNKKLKFLLIFMKLPCTSLLCITITSDCCFKQPVISFNPMIPLMLNLSGMKFDCVLQTMIQAKIFLLISIFGDE >ONIVA11G03570.2 pep chromosome:AWHD00000000:11:2712879:2715158:1 gene:ONIVA11G03570 transcript:ONIVA11G03570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRFRGLGFRGGGGGCRSHRGGAAARTPSRLASGIAARWHRLRMCSTPPAGAGEVVRHPGADSLEMDRLHPRRHRRLKGEARGAFSLETSLKIHAISLHPGASIEHQLSYVFANCGCNSIFNVSELAICLIGKELRARVSDIFLNLSLKAIDISGVSMVTTFLAEVPLAKSLTGVLKICVILNASNVVRRRSYSNKVQTAKVTDFYDCDPANPGQPFAPFLFFKRSKKRQPVILFSPMIPLKLSLNGKQFQHFADNDPNKKLKFLLIFMKLPCTSLLQPVISFNPMIPLMLNLSGMKFDCVLQTMIQAKIFLLISIFGDE >ONIVA11G03570.3 pep chromosome:AWHD00000000:11:2712879:2715808:1 gene:ONIVA11G03570 transcript:ONIVA11G03570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRFRGLGFRGGGGGCRSHRGGAAARTPSRLASGIAARWHRLRMCSTPPAGAGEVVRHPGADSLEMDRLHPRRHRRLKGEARGAFSLETSLKIHAISLHPGASIEHQLSYVFANCGCNSIFNVSELAICLIGKELRARVSDIFLNLSLKAIDISGVSMVTTFLAEVPLAKSLTGVLKICVILNASNVVRRRSYSNKVQTAKVTDFYDCDPANPGQPFAPFLFFKRSKKRQ >ONIVA11G03570.4 pep chromosome:AWHD00000000:11:2712879:2715808:1 gene:ONIVA11G03570 transcript:ONIVA11G03570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRFRGLGFRGGGGGCRSHRGGAAARTPSRLASGIAARWHRLRMCSTPPAGAGEVVRHPGADSLEMDRLHPRRHRRLKGEARGAFSLETSLKIHAISLHPGASIEHQLSYVFANCGCNSIFNVSELAICLIGKELRARVSDIFLNLSLKAIDISGVSMVVIILNASNVVRRRSYSNKVQTAKVTDFYDCDPANPGQPFAPFLFFKRSKKRQ >ONIVA11G03570.5 pep chromosome:AWHD00000000:11:2712879:2714438:1 gene:ONIVA11G03570 transcript:ONIVA11G03570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRFRGLGFRGGGGGCRSHRGGAAARTPSRLASGIAARWHRLRMCSTPPAGAGEVVRHPGADSLEMDRLHPRRHRRLKGEARGAFSLETSLKIHAISLHPGASIEHQLSYVFANCGCNSIFNVSELAICLIGKELRARVSDIFLNLSLKAIDISGVSMVTTFLAEVPLAKSLTGVLKICVILNASNVVRRRSYSNKVQTAKVTDFYDCDPANPGQPFAPFLFFKRSKKRYTTHSESVTDLCIFKVHEHV >ONIVA11G03570.6 pep chromosome:AWHD00000000:11:2712879:2715808:1 gene:ONIVA11G03570 transcript:ONIVA11G03570.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRFRGLGFRGGGGGCRSHRGGAAARTPSRLASGIAARWHRLRMCSTPPAGAGEVVRHPGADSLEMDRLHPRRHRRLKGEARDDILSGGSSCQILDWGSQNLWSVILNASNVVRRRSYSNKVQTAKVTDFYDCDPANPGQPFAPFLFFKRSKKRQ >ONIVA11G03560.1 pep chromosome:AWHD00000000:11:2710630:2711070:1 gene:ONIVA11G03560 transcript:ONIVA11G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMRVTHRDEEGKKVTEKVPIPETRRPDTARHFERKLEEQGFHRLERHPANGPARAGIGAPPPKSGRGGKFTWEGPDGPVDAQLQPAPPAVDPNDPNYDEGDGAGVDEEVAKEVVIGEVEVAKVAEARDGVDVVAPAPLLQQEQQ >ONIVA11G03550.1 pep chromosome:AWHD00000000:11:2704659:2708518:1 gene:ONIVA11G03550 transcript:ONIVA11G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGIAVAGAGGGHEAGFGLFRAADVTMTEAQEAAKEYQSSPSSPSTSPTPSPPPVAASGHGGEAAATPTMWSLGGEKMPSEAAGDNGMQMSGHSEHASLSSGRRRGRPKGSGRRQILATLGEWYALSAGGSFTPHVIIVGTGEDVAGRIMSFSQKGPRSICILSANGTISNVALSQPGSSGSTFTYEGRFEILQLTGSFTMAEEGGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMGLQQQPSAAPALPPPMAPPPVLTAAMPISQAAPGTNGCHAPQVSSMHPQAHTGVMEHSATASGAMNLNSSSSTGFTMVGWPVSSQSMGHRPSPDINVCLTPQE >ONIVA11G03540.1 pep chromosome:AWHD00000000:11:2693107:2695101:-1 gene:ONIVA11G03540 transcript:ONIVA11G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPTRGRSNTKKVKASGGSTDEARQLEAKRLSLYSRRNHKRRTTQEITFFGLIWKRSKNDLRSSKLKADDVILRSRDGVGSPMKPTCFLCFKPYRSDLMYIRCESCRNWFHGDALELEEGRIAQLISYRCCRCRRRPLPKCPHSDFYYSKVPEPQPVSQENADDMLSSEEAAGADGDPPLDSSGGVEPTVEETVGANFSANMKSSVPGSVQETIYMDGSSHPTHPVSKGVAKYDGFRAIAAETGSLYEHLRQKDHQTSDDIMITLDKLQQIALHHMKDIACHQANNVVQPSDQSNSRAPVPDTDAAPP >ONIVA11G03530.1 pep chromosome:AWHD00000000:11:2682312:2689973:-1 gene:ONIVA11G03530 transcript:ONIVA11G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPMEVSDRILHASPAAAAAAPATADDARETEIAGGIASASAAVEDPPASVPPASEAAGEGVIAVEHERAAAHPVSETKMDVDEVGTADAEQAVAPAAGEVKAEVNGGSIPDKEQDAAACTPTQVKTEVYENGVQEQVHTVAAVASEVKMEGCEGGVVDQKPTTSPADGCQMKEEGECLVGRYISRSVAGHGRILLGKVASYDGSTGIYSVVFEDGQGEDLELAQLQSQLVGEENGAFGMKVSCRKRKLDLLVSSGGATEVKGPPITRQRVNESEVSTRPDESQESGSGSDASEDVESSSNSSNCTKELPVEHYPPVQVLELPPSSGDIAVPEEAISYLFSVYNFLRSFSVQLFLSPFGLDDFVSSVNCTTQNTLLDAVHVSLLRALRRHLETKSSEGLKLASNCLKYLDWTLLDSLTWPAFLLEYLYVMGIIKDLGGRRFGRSVLAIEYYKLPVTLKLRILQLLCDHVIDSEELKTELEEREGYSEEMEYEMDSSTFAEVGSRSVLTRGSKASACKKLDALQNLETAQNGNNPESASTHASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPQGDWFCPECVVNKLGPTSSRIERGARGAQLFGIDMCGRSFLGCCNYLLVIGTSSDVEFCARYYNHCDVVKVVQILASLDAYTDICRRMTEYWSHLLDIFQNERSKISKEVGRSLMSQSNILSTATPVKANNGSVQATLKDGQDSKMAVLSQTNAHQVMDNQFTLCSANNNEAFRQTPLAKTYVDNAYRNGAFGPSGTSSISHQSMSMVTVMPNRTQAQPAHGLIRPDLSCGSVIGNDMSRENIRSSISARADLISPPYKSKPPVQLITENMSGGKPAKFSSFRPQAYMNLYNHGNVAASAAANLAVLKSDEGKAPTSHLTTNQRKKLAADCALQVKAFSSAALQFVWPSTEKKVMEVPRDRCGWCLACQSSAGGTKKACFLNMATANASKGSARILSGMRLIKNSDSHFPSIVTYLSHMEESLRGLLVGSLQDVQRRQQWYNQLKDASNCGNIIPLLLELESNIRGVAFSTSWLKLIDDWPVESPSASAGASRPAAYQKRGTGGRRGRKRSMASESAPVTDDDNSWKEVNWWSGGNVSKRILQRGALPILTIRKAARQGSNFPRRTRQLAWRACVGLSQSSSQLALQVRYLDAHIRWKEFIPPDQIPSEGKSVDSDYSVLRNAVVCDKKIVDNKIRYALKFPNQKHLPVRVTKNILEAEDNQDGDGKFWFSENHIPLYLLREFEQKAGVSSLPTPGMLDSNCFANFYQRRVKSSIGDVFFYLLHKGDVYPCTSCKKDVSFRDIIKCSSCQGNCHKECTLRSVGSKEGNAASSLTCKLCLQKRSLMLTNYNTNASFILPQQKSNVHHAVAAPKIIFKVGSSHSAEPATKVEAPPIVKVEAQPVVKKETWPVVKMETQPTANVEAQPTAKVEAFPISNLATQNNAGAQVQPKTKSKKPKPEKPRKSKKTEEIKYFGLVWKKSTNDKNNNENSGEVFRANDVILKGKDGVGSSIKPTCCLCNKPYCPDFLYVRCERCKKWFHGDALQLEEEKIFELVSYRCCRCRRRAIPKCPHSDDYKKPEPEYSEQTVATSSQSTMLSSEENFAVADQDPLLASYGRVEPFGAQTMDADLSMNMMSFNPGNQKLSVRRGQNKNCEYVDQSSIHVDDYYIQNQPQGNANINFSHSNEFSLSEADGVDASELLGWDFSQGNTSAAPSDFAANCPWNDISCGSVAGDEYEPQTYFSFTELLEADDTQFDNTFGMSNSVQDDGDQQGIGFDEMAFMMEDGASNMPFPAIDSASDEVACDRCKNPQPPPDLKCYVDCRCTVIARLGRMVNSHQIVPIGVVVPAGSGDDELQTFIALVDL >ONIVA11G03530.2 pep chromosome:AWHD00000000:11:2681674:2689973:-1 gene:ONIVA11G03530 transcript:ONIVA11G03530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPMEVSDRILHASPAAAAAAPATADDARETEIAGGIASASAAVEDPPASVPPASEAAGEGVIAVEHERAAAHPVSETKMDVDEVGTADAEQAVAPAAGEVKAEVNGGSIPDKEQDAAACTPTQVKTEVYENGVQEQVHTVAAVASEVKMEGCEGGVVDQKPTTSPADGCQMKEEGECLVGRYISRSVAGHGRILLGKVASYDGSTGIYSVVFEDGQGEDLELAQLQSQLVGEENGAFGMKVSCRKRKLDLLVSSGGATEVKGPPITRQRVNESEVSTRPDESQESGSGSDASEDVESSSNSSNCTKELPVEHYPPVQVLELPPSSGDIAVPEEAISYLFSVYNFLRSFSVQLFLSPFGLDDFVSSVNCTTQNTLLDAVHVSLLRALRRHLETKSSEGLKLASNCLKYLDWTLLDSLTWPAFLLEYLYVMGIIKDLGGRRFGRSVLAIEYYKLPVTLKLRILQLLCDHVIDSEELKTELEEREGYSEEMEYEMDSSTFAEVGSRSVLTRGSKASACKKLDALQNLETAQNGNNPESASTHASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPQGDWFCPECVVNKLGPTSSRIERGARGAQLFGIDMCGRSFLGCCNYLLVIGTSSDVEFCARYYNHCDVVKVVQILASLDAYTDICRRMTEYWSHLLDIFQNERSKISKEVGRSLMSQSNILSTATPVKANNGSVQATLKDGQDSKMAVLSQTNAHQVMDNQFTLCSANNNEAFRQTPLAKTYVDNAYRNGAFGPSGTSSISHQSMSMVTVMPNRTQAQPAHGLIRPDLSCGSVIGNDMSRENIRSSISARADLISPPYKSKPPVQLITENMSGGKPAKFSSFRPQAYMNLYNHGNVAASAAANLAVLKSDEGKAPTSHLTTNQRKKLAADCALQVKAFSSAALQFVWPSTEKKVMEVPRDRCGWCLACQSSAGGTKKACFLNMATANASKGSARILSGMRLIKNSDSHFPSIVTYLSHMEESLRGLLVGSLQDVQRRQQWYNQLKDASNCGNIIPLLLELESNIRGVAFSTSWLKLIDDWPVESPSASAGASRPAAYQKRGTGGRRGRKRSMASESAPVTDDDNSWKEVNWWSGGNVSKRILQRGALPILTIRKAARQGSNFPRRTRQLAWRACVGLSQSSSQLALQVRYLDAHIRWKEFIPPDQIPSEGKSVDSDYSVLRNAVVCDKKIVDNKIRYALKFPNQKHLPVRVTKNILEAEDNQDGDGKFWFSENHIPLYLLREFEQKAGVSSLPTPGMLDSNCFANFYQRRVKSSIGDVFFYLLHKGDVYPCTSCKKDVSFRDIIKCSSCQGNCHKECTLRSVGSKEGNAASSLTCKLCLQKRSLMLTNYNTNASFILPQQKSNVHHAVAAPKIIFKVGSSHSAEPATKVEAPPIVKVEAQPVVKKETWPVVKMETQPTANVEAQPTAKVEAFPISNLATQNNAGAQVQPKTKSKKPKPEKPRKSKKTEEIKYFGLVWKKSTNDKNNNENSGEVFRANDVILKGKDGVGSSIKPTCCLCNKPYCPDFLYVRCERCKKWFHGDALQLEEEKIFELVSYRCCRCRRRAIPKCPHSDDYKKPEPEYSEQTVATSSQSTMLSSEENFAVADQDPLLASYGRVEPFGAQTMDADLSMNMMSFNPGNQKLSVRRGQNKNCEYVDQSSIHVDDYYIQNQPQGNANINFSHSNEFSLSEADGVDASELLGWDFSQGNTSAAPSDFAANCPWNDISCGSVAGDEYEPQTYFSFTELLEADDTQFDNTFGMSNSVQDDGDQQGIGFDEMAFMMEDGASNMPFPAIDSASDEVACDRCKNPQPPPDLKCYVDCRCTVIARLGRMVNSHQIVPIGVVVPAGSGDDELQTFIALSKCFTEPHEPLQCTRRL >ONIVA11G03520.1 pep chromosome:AWHD00000000:11:2680530:2681325:-1 gene:ONIVA11G03520 transcript:ONIVA11G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTSRPHHLLRPLLRGFHATSQAMARPEPHEFSKPSDYLGSWEPVGDPREAWARLERLRKGYARDVRQLRRQYSYEMQLLEAERQRKAEARAEAARLANEERKATKAAAAQTRAAERRAFEKDFRQALMKERAEKLESWREKEKLKVQKKADHRELLRKKSSMWVAEDKLETTILDAIKNTTAL >ONIVA11G03510.1 pep chromosome:AWHD00000000:11:2673726:2677397:-1 gene:ONIVA11G03510 transcript:ONIVA11G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IYE1] MHSTNLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRAVDTISSCLKAGMSVARFDFSWGDAEYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKSEAAISLEANGTVVLTPDQGQEASSELLPINFSGLAKALKPGATIFVGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHCSQIHIDLPTLSDEDKEVIRRWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >ONIVA11G03500.1 pep chromosome:AWHD00000000:11:2668893:2673324:1 gene:ONIVA11G03500 transcript:ONIVA11G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPAPFLDDDLDFGDFAFADPQPAAAAAFDAFGAYDDDWGDFPRGPLPLSLFGADEDEEEEEGPAELPPTAADQRGASHASSNGSKPADLKDLIAGLYGSHPQPSSTDAAEVGTQEGSAAAAAEEEEEDGDGFEDDGWEFKAAAPSSSSDAVQDGGRQAHGDLTQDVPKSMSSDQEGWSLFTSVSENLNNVQTTDHVGTRESAGQSVKAFSYFPPNNAAILDLYKESEPIDAVHIMQCSSESVQSSSDMFSNTEMNSSFGTDENHSIKSASDRILIDFYHKLREESLTVISQYKKDLKESQKNSMLSDEKNEVMTETEREIQEICKELQDSSLAKGFCKDEHPSKDVCISELLNSAKEDHLKDFDKEYHLTEIIAMALEDMSSAVKLYKHSVSILRTLEIASKEEQCDYVNAWYSMLLSCAQELQHGAMIWQESCHANVGETVISQGAHYFIALGEIYRVAQILHISMLSFKPWVLADPGMLSKMLVCWNSCVNSWTSGLGMALTMVVDSKNLHAPVAKVLLESIININDIEVPNLQSFLPSDKMACKLTLLPTSLVPGMEVIIWDADHYFVKVANLWANQISSDTPQFSVSRVA >ONIVA11G03500.2 pep chromosome:AWHD00000000:11:2668893:2673324:1 gene:ONIVA11G03500 transcript:ONIVA11G03500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPAPFLDDDLDFGDFAFADPQPAAAAAFDAFGAYDDDWGDFVDSRLGSNPDGGSSAAAPAEKPPSWEKPRGPLPLSLFGADEDEEEEEGPAELPPTAADQRGASHASSNGSKPADLKDLIAGLYGSHPQPSSTDAAEVGTQEGSAAAAAEEEEEDGDGFEDDGWEFKAAAPSSSSDAVQDGGRQAHGDLTQDVPKSMSSDQEGWSLFTSVSENLNNVQTTDHVGTRESAGQSVKAFSYFPPNNAAILDLYKESEPIDAVHIMQCSSESVQSSSDMFSNTEMNSSFGTDENHSIKSASDRILIDFYHKLREESLTVISQYKKDLKESQKNSMLSDEKNEVMTETEREIQEICKELQDSSLAKGFCKDEHPSKDVCISELLNSAKEDHLKDFDKEYHLTEIIAMALEDMSSAVKLYKHSVSILRTLEIASKEEQCDYVNAWYSMLLSCAQELQHGAMIWQESCHANVGETVISQGAHYFIALGEIYRVAQILHISMLSFKPWVLADPGMLSKMLVCWNSCVNSWTSGLGMALTMVVDSKNLHAPVAKVLLESIININDIEVPNLQSFLPSDKMACKLTLLPTSLVPGMEVIIWDADHYFVKVANLWANQISSDTPQFSVSRVA >ONIVA11G03490.1 pep chromosome:AWHD00000000:11:2663918:2668070:1 gene:ONIVA11G03490 transcript:ONIVA11G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IYD8] MAVVEEEEGSPPAPAAAADPASSGSSDNEITVEEASFVHTEPPQDGSVPPVVSSNMEVLHDKVKKQVIKEGHGKKPSKFATCFLHYRAWVQGSLHKFEDTWQEQHPIELVIGKEKKQMSGLGIGVGNMRSGERALLHVGWELGYGKEGSFSFPNVPPMADLLYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCSIVLAEDENNVKALFRRGKARAELGQTESAREDFLKAKKHSPEDKEIQRELRSLAEQDKALYQKQKELYKGLFGPRPEPKPKASNSIVRFWQWLVSLIGYLIKLFKPKNE >ONIVA11G03480.1 pep chromosome:AWHD00000000:11:2658479:2663200:1 gene:ONIVA11G03480 transcript:ONIVA11G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAAAAAKRLAGRVTKRPVLEKARMAGLAVAVAAAAALVLLLCAASLRCSAAVGLALSAAPGKLWSGGVSIAAEAAAVEARAEGEEEEECDLFDGEWVWNDSYPLYHSTDCPFLDVGFRCSENGRPDASYSKWRWRPSRCDLPRFDARNMLEKLRNKRVVFVGDSIGRNQWESLLCMLSVAVPDKSSIFEVNGNPITKHMGFLIFKFRDYNCTVEYYRSPFIVLQGRAPPGAPGVVKYTIRVDAMDWLSDRGQWRDADVLILNTGHWWNYEKTIRSGTYFQEGDAVKMDMTVGDAYKRSIQTLFGWLHNEVNSSKTHVIFRTYAPVHFRGGDWKTGGNCHLETHPDVTPVKSLEQWADFLNPVNDVLGNSFRPKLLGLDILNVTQMTAQRKDGHVSVHLSPSGPVPLYRQDCSHWCLPGVPDTWNELVYNLLLKRQSMIGQNVPLVGTKTLKAGWRKLNKYNLTI >ONIVA11G03470.1 pep chromosome:AWHD00000000:11:2651487:2654509:-1 gene:ONIVA11G03470 transcript:ONIVA11G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation exchanger 11 [Source:Projected from Arabidopsis thaliana (AT1G08960) TAIR;Acc:AT1G08960] MGPTKFGTAPFPLRVGVPSVRYARTHSLPKFPTTFPRDSLHSPLGSSPFHHHRAAAAHRAMAPAAAAACAASVRADPPGILDYGAIHACLLGGDRRASLPALALLLLLHFRVLAAAAGTHFSPAVSRLAARLRLSPSMAAVTLLALGNGAPDAFASAAALGGAGGMRRAGLAAILSAGAFVSAFVVGAVALIAAPFAVPPASFARDVFFYLLAASGLFYIYLSAEIYLWQAIGLVLFYVFFVGLVVYMDLDAEGKAVSTTELEVVNGIGRVVMDLPVTMEDRKQQHPTLCTMFSKVTKVWEWPVAFLLKLTIPSTLPTEWNKFYVCANICLCPLLLLYSFSSFIPFDSRIVFLLPQSRFPLWSVVLFVSISLALSHFIFEKEAPITENIASTTISFVMSVFWISTMAGELLNCLAAIGVIMDFPPAILGMTVLAWGNSVGDLVADVALAKSGQPTIAIAGCFAGPMFNMLVGLGTALVIQTARVYPKAYVLEFHVGIVVAFVFLLLSLMGTLLMVTWTRFRVPRFWGYCLMGLYILFTVLLQSNGSFRWGWFTRCKMPPGCNTTSYLGS >ONIVA11G03460.1 pep chromosome:AWHD00000000:11:2646780:2648102:-1 gene:ONIVA11G03460 transcript:ONIVA11G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPPQRKDRPHRAIAASIAFRAATPQRRTDDAVRRAPASRSPPAFYHDDPQRAWRIISVAVILMKGKG >ONIVA11G03450.1 pep chromosome:AWHD00000000:11:2638182:2641250:-1 gene:ONIVA11G03450 transcript:ONIVA11G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFSGAVVEVPAELVAAGSRTPSPKTRASELVGRFLAAAEPAVSLQLGDLGHLAYSHANQSLLRPRSFASKDDIFCLFEGVLDNLGRLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTSSLLVASDPEGKVPLFWGITADGSVAFSNDIDLLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKNKVTAVPANEEEICGATFKVESATAILTALH >ONIVA11G03440.1 pep chromosome:AWHD00000000:11:2617654:2618327:-1 gene:ONIVA11G03440 transcript:ONIVA11G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPDMIPEQNHDTSYISHDPSKRGIMCETFRFHDTEKDRDTSQVSHDSTNVSSMKPVGTMIAAKILTVLMVLLSFTDFSCISPRFLDWAFSTCCPPIGVKFIDASFGVKFIDASFLS >ONIVA11G03430.1 pep chromosome:AWHD00000000:11:2609950:2613477:1 gene:ONIVA11G03430 transcript:ONIVA11G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAAVNNQFQKLHLDGGGGGKGQPKDGGGKGHPKDAGGKGQKGGGGGGGGGNGGGGSKDVKMMMPPQMPQPTPQQIQQLQQQLQMKGLKLPQFMDAAKMAPFAAAAPIKDPKSVKFNLPPPEDDFGDDGSEFDDEFDEFDDEDDFDDDGLDDDYFDDPKMMKQMAMPPPNAGGGGDKKGGNNGGGAGNGGKKGGGGNEIPVQIKGNANNAAGGGKKDSGAKQNQGGGGKNGGGQPNNAKGGGGAPNGGGNHPAQGKKGGGGQGGGVGGPMGGMPAQQQAMMMRPNMMGGSAGFPGMGQMGGGPMTMPMGHHPHMGAGAVQGMPPAAFYQGGGGMPSGPEMLQAAAAAGNPMAQQQYMAMMQQQQQQQQQQMMMNGHGHHGHHGHHGHGGGAAPAGYPAMGYGYGRPPMPYPMHYPMQPHPHADPYNYFSDENPNSCSVM >ONIVA11G03420.1 pep chromosome:AWHD00000000:11:2598100:2600223:-1 gene:ONIVA11G03420 transcript:ONIVA11G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMGGYDLRRNLKRDEKTDFVRMDSKKQRNASLARKKEEVRVCNEGETSESLISGNLHFKSNEGVFRSEFSGEVASNMSKSVVSLALLDGMVVQRDGHVIKLLTSASLLKAFNDESQDHDNLKVEVHHKDAVVIGSLREYHLDHNMAAVIVENLPDLRPVPFNNVQKFVPHSMVVALGRDISGKLMATGGLLIGGSSGSYNPKLMSSTCKFSEVYEGGPLFDFDGDFLGMNLFLTTEGTLFVPGDRVLDQLVNWILHHEVNFAARLAALKALRSSFIYTFLNKDQYGDLESLGYPEPPISNDGMILANTFEEPFGNVCGKGVWSELSEDVASNICENIVALASFNGDFTVLCCLLFHICTLIITN >ONIVA11G03410.1 pep chromosome:AWHD00000000:11:2587410:2589045:1 gene:ONIVA11G03410 transcript:ONIVA11G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNCSNLKYTDFSNNTFAGNLPNDINSLSALLEHLNLSCNHFTGRIPPLIGLFPRLKSLLLDSNQFDGRYPAENISNLADLERLTLAVNPFVPAPFPMEFGRLTHLTYLWLSNMNITGEILENLSSLRELNLLDLSSNKIQGKIPRWIWQHKKLHILYLYANRFTGEIEPNVKIDVSSNELTRTIPDGFGKITNLTLLFLYFDKLSGSIPPSVGLLSKLTDIRLFNNMLSGSLPPELEFPKSLWSVVTDQLSVVMIQNNNFSGTFPAQLPWNFTHLDISNNRFSGPIPTLASKMQVFIAANNFLSGEIPWDLTGISQVTKLDLSRNQISGSIPMTIGVLARLNTLNLSGNQISGNIPAAFGYMTGLTVLDLSSNELSGEIPEDFNKLMLNFLNLFMNQLTREITTSLQNKTYEQSFLFNPGICHNPTPATRFAGVRGQFRRREIGEETEKSRGRRQSWRRDSISFLNDDLSLYSWCI >ONIVA11G03400.1 pep chromosome:AWHD00000000:11:2576763:2579660:-1 gene:ONIVA11G03400 transcript:ONIVA11G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRWNSAYAPHCNWGGITCTKGSVTGISLPNQIIVKPLPPSICLLKNLTHLDLSYNNFSTSFPSVLYNCSNLKYLDLSNNAFAGQLPSDLNHLSALFEHLNLSSNRFTGNIPPSIGWFPRLKSLLLDTNQFDGSYPAEDISNLADLEVLTLAHNPFILAPVPVEFGRLTRLTFLWLSNMNITGEIPESLSSLRELNLLDLSMNSLEGKIPTWIWQHKKLKILDLHTNRFTGEIEPNSLVKHSPLANLEVSNNNLSGELPEDICFNRKLYGIAVFNNSFSGKLPSSLDGCYLLQNLVLYNNNFSGEFPQGLWSVVTNQLSTVMIQNISFSGTLPTKLPWNYTRLDISNNRFSGPIPTMGGKMEILRAANNLLSGEIPWDLTGISQVTEFDLSRNQISGSLPMTIGVLTKLNAINLSGNHISGNIPAAFGFMTVLTILDLSSNELSGEIPKDFMIGFMLLRRKKRTQDHLSWKLTPFHALHFTENDILSGLCEQNWIGSGRSGKVYRVYAGDRASGGMMVAVKKIWNMQNLDNELEKDFLAEVQILGEIRHLNIVKLLCCISSSEAKLLVYEYMENGSLHQWLHQRERIGAPGPLDWPTRLQIAIDSARGLCYMHHHCSPPIVHRDVKSANILLDPNFRAKMADFGLAKILLRAGEDESFSAIAGTFGYMAPEYGHQLKVNEKIDVYSFGVVLLELITGRLANDGGEYYSLAQWSWKQYQERGNGLSVDRLDEGIRDQSSHVEDALEVFTLAVICTGEQPSVRPSMKDVLHVLLRFDRTSNGGTLQDDTSDETTLLES >ONIVA11G03390.1 pep chromosome:AWHD00000000:11:2549849:2553106:1 gene:ONIVA11G03390 transcript:ONIVA11G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRYASFFLFFMMPFSLLHKSYPQLVNQSSDEHQILLELKKHWGSSPVLGRWSSNSAAHCNWGGITCTNGVVTGISLPNQTFIKPIPPSICLLKNLTHLDVSYNNISSPFPTMLYNCSNLKYLDISNNAFAGNLPNDINSLPALLEHLNLSSNHFTGRIPPSIGLFPRLKSLLLDTNQFDGRYPAEDISNFADLERLTLAVNPFVPAPFPTEFGRLTRLTYLWLSNMNITGEIPESLSSLRELNLLDLSSNKLQGKIPTWIWQHKKLQYLYLYGNKFTSEIESNITALNLVEIDVSANELTGTIPDGFGKITNLTLLFLYFNKLSGSIPPSVGLLPKLTDIRLFNNMLSGSLPPELGKHSPLANLEISYNNLSGELPEGLCFNRKLYSIVVFNNSFSGKLPSSLDGCYPLQNLMLYNNNFSGEFPRSLWSVVTDQLSVVMIQNNNFSGTFPAQLPWNFTRLDISNNRFSGPIPTLAGKMKVFRAANNLLSGEIPWDLTGISQVIEFDLSGNQISGSLPTTIGVLMRLNALYLSGNQISGNIPAGFGFITGLNDLDLSSNKLSGEIPKDFNKLLLSFLNLSMNQLTGEIPTSLQNKAYEQSFLFNPGLCVSSSNSLQNFPICRARTNINKDLFRKHIALISAVASIILLVSAVVGFILLRRKTHLQDHLSWKLTPFHVLHFTVNDILSGVCEQNWIGSGRSGKVYRVYAGDRTSGGMMVAVKRIWNMQNIDNKLEKDFLAEVQILGEIRHTNIVKLLCCISSSEAKLLIYEYMENGSLHQWLHQRERIGAPGPLDWPTRLQIAIDSARGLCYMHHHCSPPIVHRDVKCANILLDHNFRAKMADFGLAKILLRAGDDESFSAIAGTFGYMAPEYGHQFKVNEKIDVYSFGVVLLEIITGRVANDGGVYYCLAQWAWRQYQEYGLSVDLLDEGIRDPTHVEDALEVFTLAVICTGEHPSMRPSMKDVLNILIQFDRKSTRIQGSLKHAVSDETTLLES >ONIVA11G03380.1 pep chromosome:AWHD00000000:11:2545402:2548633:1 gene:ONIVA11G03380 transcript:ONIVA11G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQEGRKGVPSLLSSQGECIASNITQLIGWTPLIELKNIAKKDGIAARLVGKIEPYQPLSSVKDRSALRLIEDAEERGLISPGITTLAGVTSGNLGIGVAFVAAQKGYKFIAVMPAKLSIDKQILLRYLGVEVILVDPALNGFKGILDRVEQLKKDMENVFVIDQFTNPANPDAHFRWTGPEIWKDTAGKVDIFVAASGSGGTVTGVGRYLKMKNPSIKLICVEPAESAVISGGEPAFHNIQGIGPGFIPEILDRSQIDEIVTVTTQEAMDMARRLAREEGLLVGISSGANAAAILKASSSIIFSQTLL >ONIVA11G03370.1 pep chromosome:AWHD00000000:11:2537521:2539830:-1 gene:ONIVA11G03370 transcript:ONIVA11G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNCSIALEISHVATPGLPVLLLGSSLALLAVFLVYFYAPFWSLRTVPGPPTRFPIGHLHLLAKNGPDVFRAIAKEYGPIFRFHMGRQPLVIVANAELCKEVGIKKFKDIRNRSTPPPNVGTLHQDALFLTRDSTWSSMRNMVIPLYQPARLAGLIPTMQSYVDALVDNIAGCPDQDCIPFCQLSLCMAIDIIGKTAFGIEFGLSRKAADTAAGDDGDGDDDDDVKEFLREYKKSMEFIKMDLSSSLSTILGLFLPCVQTPCKRLLRRVPGTADYKMDQNERRLCRRIDAIIAGRRRDRDAGDGAALDFIAALLDARESGGGGHGGFALEDRHVRALAYEHLIAGTKTTAFTVSSVVYLVSCHPRVEERLLREIDGFAPRGRVPGADELHAGLPYLDQVIKEAMRFHLVSPLIARETSEPVEIAGHLLPKGTYVWLAPGVLARDAAQFPEPEEFRPERFAAGAAEERARHPYAHIPFGIGPRACVGHRFALQQVKLAAVGLYRRYVFRHSPAMESPLQFDFDLVLAFRHGVKLRAIKRTNT >ONIVA11G03360.1 pep chromosome:AWHD00000000:11:2533343:2540294:1 gene:ONIVA11G03360 transcript:ONIVA11G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAARKPSAAQTVAVTLALALASAGLLFLLFHLSPSSPTPHPHPHRRLRLRGARASPSPRGQIPFDPVIAGLERRRDDREWERLAAAGLHAPGFEAAPVPEDYIDGGGGFGADPDEDYINDAARFNLTRRVEALFPKIDVDPADGAVTPAELTAWNLASARREVMHRTARELDLHDRDHDGRIAFSEYERPSWAWRFDDHNSSNDGVGWWKEEHFNASDMDVFSLVYFVQLLTSSRYYKPKANKLVVQRRSQVGDSTGYSLESRERDKDNDGKLNFQEFYNGLFYSIRHFDEEASTDDSNASDAPARKSFTHLDLDNDGLLSADELKPIIGNLHPPEHFYAKQQADYVITQADTNKDGQLSLQEMIENPYVFYSALFTEDDYGFHDELR >ONIVA11G03350.1 pep chromosome:AWHD00000000:11:2525571:2530216:1 gene:ONIVA11G03350 transcript:ONIVA11G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVNWELQGCCDRDQKIFIAAVGVSTVVILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHPNEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLLTTRIAAGCFILALIVVLFVAKNWFLRWLCIGVMNSLFSVYGASSRLSFFAHQYTLDWLYCLERKAVLPSFLYKYNMSQDSNLPYTILI >ONIVA11G03340.1 pep chromosome:AWHD00000000:11:2524643:2524983:-1 gene:ONIVA11G03340 transcript:ONIVA11G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPTLSLPTRRAPPPLSLRPSLLPPRHSSSADKRTQRGRRAVTSGAKGRGRRPALPDVACGGRDKTCKALGGPPRGDVGVEVALGEWEWEGAAEDLFTHKPPLKFNGY >ONIVA11G03330.1 pep chromosome:AWHD00000000:11:2523752:2524600:-1 gene:ONIVA11G03330 transcript:ONIVA11G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSWTSPRQVAARSPADHIPAAAGTEVTARVTTAMEASARTTAEEAVVRAPSANPYNSSERCLLPLARPNCFPVAHTSFMSWRDESRPKIVNNLRRGLLAKWSLLQPSQCERSTTIECLIAKLRPRARWLQPLRKRQPYSE >ONIVA11G03320.1 pep chromosome:AWHD00000000:11:2519445:2523092:-1 gene:ONIVA11G03320 transcript:ONIVA11G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHGMNGYEEEEEVEEVEGFDEEGRPGRRGGGGRDGGDGGAYGDAGGDDGRAPGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGLPSSLTEDELREHFSPYGKIVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSSHGSGGGYRSSYRSGGAAASGGGGGGGGGGSGSSGGYGYGAGYRSAGGEEGMAMEVMLDLDQDMVVVMVAPCMEVPMVLMGHMVVVPMEGVPMAEVLMVVVPMVALRVPMVVLEDMAVMVELEQEVLVGGVPAGTIHMGNE >ONIVA11G03320.2 pep chromosome:AWHD00000000:11:2519447:2522759:-1 gene:ONIVA11G03320 transcript:ONIVA11G03320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHGMNGYEEEEEVEEVEGFDEEGRPGRRGGGGRDGGDGGAYGDAGGDDGRAPGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGLPSSLTEDELREHFSPYGKIVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSSHGSGGGYRSSYRSGGAAASGGGGGGGGGGSGSSGGYGYGAGYRSAGGEEGMAMEVMLDLDQDMVVVMVAPCMEVPMVLMGHMVVVPMEGVPMAEVLMVVVPMVALRVPMVVLEDMAVMVELEQEVLVGGVPAGTIHMGNE >ONIVA11G03310.1 pep chromosome:AWHD00000000:11:2503799:2513178:1 gene:ONIVA11G03310 transcript:ONIVA11G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAQMTRTKDDVARDDGGKSERLHEDGGAPPPTFCPLLNDAPPHGCCDGTPAARGLRGGAAAGSGEVLWLSSAGKIHQSGKGKAMVQKMLCFGWLGAYIGDINRKVYMVQQEHNMVTKGTERLVAGAQLFGHSTRQPFYMIQPSEEVVQKEKFYYHCLLITSDVSNLDLTKVKVEVSKFWKLTDWEIRTEGRHNFLVSLNSEDDLVSCLKIPRIETSIDDKEVNFTLARWKEDDDENIELIREWILVYGVPRIYRNWKELYQIASAVGVLIDVDEESLEGDHKEPIKLKVALRGVDASPFSCHFVFGWYSRCVTFTIEDKAQTTECEREVLEDCNGEDHPDEFGKEYNNKTDKLIEMPPEILNKGMNLESSSHDTSIVVSTHNHTLDVKGCSDKELEAIESAVLVEEPSSIEDNNISAPVPTTTIISEKTAEDSPRAGREQSISGSSTSMIGDTLFKGESKFTQIMHAATVIHSKKTTEDIPKAEGGQSTSGNSTSIIGEEPFRGIQKPPVKIVYARRNKRSMEKQDYKSFLEKQHQKEMEAAIVGQRQKSDDESGGDGNNKDSNSIAPSAIAINSKKKQTTKDIVTTQGAQFISESLIGETQTKDLDKPSAVHQGGIVPLCNGLDIIQQSLFGTTVTLCCGILQRLDYASTECQALVLVPTRDLAHETQNVIGVLGQFLSAKAHAFCGGTSAHEDQQILSTGVQVAVGTPCHVLGMLQGRALCPDHIRMFVLDEADEVLRGFKDQIHGIIQLLPTKTQFGFFSASMSHEALEMCRKYMNKPVEIIVPRDEELEGINVKQFYVNVEKEDCKLDKLCGLFDTMEITRSIIFVNTRHHAKSLTEKIRGKGYTVSAIHGGIHQRARDKAVQEFQSGSSRILITTDLRGIDVLRAPAAIFYDLPTQPVCYLRHVQRSGQHGRKGVAISFITSTDERVFSTIQKFCNTQIEELPSNVADLL >ONIVA11G03310.2 pep chromosome:AWHD00000000:11:2503799:2513178:1 gene:ONIVA11G03310 transcript:ONIVA11G03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAQMTRTKDDVARDDGGKSERLHEDGGAPPPTFCPLLNDAPPHGCCDGTPAARGLRGGAAAGSGEVLWLSSAGKIHQSGKGKAMVQKMLCFGWLGAYIGDINRKVYMVQQEHNMVTKGTERLVAGAQLFGHSTRQPFYMIQPSEEVVQKEKFYYHCLLITSDVSNLDLTKVKVEVSKFWKLTDWEIRTEGRHNFLVSLNSEDDLVSCLKIPRIETSIDDKEVNFTLARWKEDDDENIELIREWILVYGVPRIYRNWKELYQIASAVGVLIDVDEESLEGDHKEPIKLKVALRGVDASPFSCHFVFGWYSRCVTFTIEDKAQTTECEREVLEDCNGEDHPDEFGKEYNNKTDKLIEMPPEILNKGMNLESSSHDTSIVVSTHNHTLDVKGCSDKELEAIESAVLVEEPSSIEDNNISAPVPTTTIISEKTAEDSPRAGREQSISGTATVIHSKKTTEDIPKAEGGQSTSGNSTSIIGEEPFRGIQKPPVKIVYARRNKRSMEKQDYKSFLEKQHQKEMEAAIVGQRQKSDDESGGDGNNKDSNSIAPSAIAINSKKKQTTKDIVTTQGAQFISESLIGETQTKDLDKPSAVHQGGIVPLCNGLDIIQQSLFGTTVTLCCGILQRLDYASTECQALVLVPTRDLAHETQNVIGVLGQFLSAKAHAFCGGTSAHEDQQILSTGVQVAVGTPCHVLGMLQGRALCPDHIRMFVLDEADEVLRGFKDQIHGIIQLLPTKTQFGFFSASMSHEALEMCRKYMNKPVEIIVPRDEELEGINVKQFYVNVEKEDCKLDKLCGLFDTMEITRSIIFVNTRHHAKSLTEKIRGKGYTVSAIHGGIHQRARDKAVQEFQSGSSRILITTDLRGIDVLRAPAAIFYDLPTQPVCYLRHVQRSGQHGRKGVAISFITSTDERVFSTIQKFCNTQIEELPSNVADLL >ONIVA11G03310.3 pep chromosome:AWHD00000000:11:2503799:2513178:1 gene:ONIVA11G03310 transcript:ONIVA11G03310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAQMTRTKDDVARDDGGKSERLHEDGGAPPPTFCPLLNDGFTNSFSSLCAVLRQKRHFFLLLSAQRRHTGAVTGRRRRGACGVVRRPAAARCSGCQAPGRSISQAKERKVYMVQQEHNMVTKGTERLVAGAQLFGHSTRQPFYMIQPSEEVVQKEKFYYHCLLITSDVSNLDLTKVKVEVSKFWKLTDWEIRTEGRHNFLVSLNSEDDLVSCLKIPRIETSIDDKEVNFTLARWKEDDDENIELIREWILVYGVPRIYRNWKELYQIASAVGVLIDVDEESLEGDHKEPIKLKVALRGVDASPFSCHFVFGWYSRCVTFTIEDKAQTTECEREVLEDCNGEDHPDEFGKEYNNKTDKLIEMPPEILNKGMNLESSSHDTSIVVSTHNHTLDVKGCSDKELEAIESAVLVEEPSSIEDNNISAPVPTTTIISEKTAEDSPRAGREQSISGSSTSMIGDTLFKGESKFTQIMHAATVIHSKKTTEDIPKAEGGQSTSGNSTSIIGEEPFRGIQKPPVKIVYARRNKRSMEKQDYKSFLEKQHQKEMEAAIVGQRQKSDDESGGDGNNKDSNSIAPSAIAINSKKKQTTKDIVTTQGAQFISESLIGETQTKDLDKPSAVHQGGIVPLCNGLDIIQQSLFGTTVTLCCGILQRLDYASTECQALVLVPTRDLAHETQNVIGVLGQFLSAKAHAFCGGTSAHEDQQILSTGVQVAVGTPCHVLGMLQGRALCPDHIRMFVLDEADEVLRGFKDQIHGIIQLLPTKTQFGFFSASMSHEALEMCRKYMNKPVEIIVPRDEELEGINVKQFYVNVEKEDCKLDKLCGLFDTMEITRSIIFVNTRHHAKSLTEKIRGKGYTVSAIHGGIHQRARDKAVQEFQSGSSRILITTDLRGIDVLRAPAAIFYDLPTQPVCYLRHVQRSGQHGRKGVAISFITSTDERVFSTIQKFCNTQIEELPSNVADLL >ONIVA11G03310.4 pep chromosome:AWHD00000000:11:2503799:2513178:1 gene:ONIVA11G03310 transcript:ONIVA11G03310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAQMTRTKDDVARDDGGKSERLHEDGGAPPPTFCPLLNDGFTNSFSSLCAVLRQKRHFFLLLSAQRRHTGAVTGRRRRGACGVVRRPAAARCSGCQAPGRSISQAKERKVYMVQQEHNMVTKGTERLVAGAQLFGHSTRQPFYMIQPSEEVVQKEKFYYHCLLITSDVSNLDLTKVKVEVSKFWKLTDWEIRTEGRHNFLVSLNSEDDLVSCLKIPRIETSIDDKEVNFTLARWKEDDDENIELIREWILVYGVPRIYRNWKELYQIASAVGVLIDVDEESLEGDHKEPIKLKVALRGVDASPFSCHFVFGWYSRCVTFTIEDKAQTTECEREVLEDCNGEDHPDEFGKEYNNKTDKLIEMPPEILNKGMNLESSSHDTSIVVSTHNHTLDVKGCSDKELEAIESAVLVEEPSSIEDNNISAPVPTTTIISEKTAEDSPRAGREQSISGTATVIHSKKTTEDIPKAEGGQSTSGNSTSIIGEEPFRGIQKPPVKIVYARRNKRSMEKQDYKSFLEKQHQKEMEAAIVGQRQKSDDESGGDGNNKDSNSIAPSAIAINSKKKQTTKDIVTTQGAQFISESLIGETQTKDLDKPSAVHQGGIVPLCNGLDIIQQSLFGTTVTLCCGILQRLDYASTECQALVLVPTRDLAHETQNVIGVLGQFLSAKAHAFCGGTSAHEDQQILSTGVQVAVGTPCHVLGMLQGRALCPDHIRMFVLDEADEVLRGFKDQIHGIIQLLPTKTQFGFFSASMSHEALEMCRKYMNKPVEIIVPRDEELEGINVKQFYVNVEKEDCKLDKLCGLFDTMEITRSIIFVNTRHHAKSLTEKIRGKGYTVSAIHGGIHQRARDKAVQEFQSGSSRILITTDLRGIDVLRAPAAIFYDLPTQPVCYLRHVQRSGQHGRKGVAISFITSTDERVFSTIQKFCNTQIEELPSNVADLL >ONIVA11G03300.1 pep chromosome:AWHD00000000:11:2502516:2503244:1 gene:ONIVA11G03300 transcript:ONIVA11G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQGHNMVSECVEKEQVSAQAIGSKSSKNKVYPTKESALHKGWFTRIETSINDKEVSFTVARWKEDNEDNIELIIEWFLVYGVPRIYRNWKELYQIVSAVGVLIDVDEESLLGEEPFSCHFMFGWYSRCVTFMIEDEAQTTKCERKVLEDCNGQDHLDEFGKEYRVKSDKAIEIPPEILNKEMISESSSNDTSIVVSTHNHTLDVKGWSDKEFEKRIICFSGRV >ONIVA11G03290.1 pep chromosome:AWHD00000000:11:2481764:2484825:-1 gene:ONIVA11G03290 transcript:ONIVA11G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFVLGQESRQRILHRAAARLPGCAYVCAWAPLPLVAAAGLHHQRPSSGGAAGAARLLYCVDGWLSGGEDGGGCVRVLFDAYRGSVCGAVTGCVPGWAYVGGGGGAFMELSELELVASASLPVQQSFYQEAGIKMAAFMGCESGEIEVGFSTAPAENYGGGGGGGSLQASVEQVFSEDFFQQSLLEELLQLPPTRPSSSSSSLVGSPADGAASTSLLRTMTPMMASSSATPSPRELAAQVATTTTTTPSSSSRLHPRPPAPHHVHVSPFSRHGGVGGSGVLHFPSAEADDAAMAQAMLDVISSPSTSSSAAALHAPWSSVKHRAQIIRSPRRGTPTTTAFRAYNAALAPRAAASRRPPGAPGQRMIKMGFSILRRMHMVRCSQERAAAAAAAASAAAGQRSGGDDDEDATAAPPPPTSSQLHHMISERRRRERLNESFEHLRGLLPPGSKKDKATVLAKTLEYMNLLIAQISELEAKNRALQTQIHQRANGSSSSRSSMIRTVNEVHHHHHHQWLAVAAAAGGSPERVQVHVIGGGDHDGGASASSSSSAPEVTVRVAVRAPERGGADVSELVLRVLALLKAMGGFTVVAVDARQPGGGGGNGVAQASLTLRATLVYYLELLHFVFIVMGS >ONIVA11G03280.1 pep chromosome:AWHD00000000:11:2461722:2468216:-1 gene:ONIVA11G03280 transcript:ONIVA11G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11850) TAIR;Acc:AT5G11850] MKHLLRKLHLSGGGGSGGGAAAAGAPSGEHHHRPRQHRRSSAQPPPLPPPPVVAAAAATEAAPVMVPVAAPVAAAAAEEPRGMGADATMTRLEEEYQVRLALAISASDHAGLVDADSVQIRAAERISLGGAAGDRGPMEALSARYWNHCVVNYDERLSDGFYDVCGAPMHPHFQAKFPSLTTLRAVPVGGDAAYVAVLVNRERDPALKRLEGRALAIAAQDRAEHGGVASPELVQKIANLVVDAMGGPVDDADEMNREWGVKSRALCLQRNSIVLPLGLLRIGLSRHRSLLFKVLADRVNLPCKLVKGIYYTGTDEGAINLVKIDFDSVEYIVDLMGAPGTLIPSDISGSQFQDSNNSQLSNDAIEESVAELCIALEQISAGCKNTSDMGGSSSEQKSALALASSQLEDIFHTENPLKQSTISDEGEIPHLMKVNDAPMYLVPTEVDPQFAQNLQDLLLEGTALLPTYEKPEICKHTASEDDKTAGWLVIAKTGQNLPNGHVAEDSPLQHGNTKTLAVVNCFHEDAQHDVGNTEAIGRNLDLHDHTAHAIANEDQRFSEDSLVKMPGSSNGNLDKSSCSSTKTISSVIDDVADYEIPWEDLHIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVALDQFKCEVGIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLYRLLHRPNSQIDETRRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLEIPKEIDPLRSKQTTIVLPALVTAEAITADGCAWTKVLRILL >ONIVA11G03280.2 pep chromosome:AWHD00000000:11:2461893:2468216:-1 gene:ONIVA11G03280 transcript:ONIVA11G03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11850) TAIR;Acc:AT5G11850] MKHLLRKLHLSGGGGSGGGAAAAGAPSGEHHHRPRQHRRSSAQPPPLPPPPVVAAAAATEAAPVMVPVAAPVAAAAAEEPRGMGADATMTRLEEEYQVRLALAISASDHAGLVDADSVQIRAAERISLGGAAGDRGPMEALSARYWNHCVVNYDERLSDGFYDVCGAPMHPHFQAKFPSLTTLRAVPVGGDAAYVAVLVNRERDPALKRLEGRALAIAAQDRAEHGGVASPELVQKIANLVVDAMGGPVDDADEMNREWGVKSRALCLQRNSIVLPLGLLRIGLSRHRSLLFKVLADRVNLPCKLVKGIYYTGTDEGAINLVKIDFDSVEYIVDLMGAPGTLIPSDISGSQFQDSNNSQLSNDAIEESVAELCIALEQISAGCKNTSDMGGSSSEQKSALALASSQLEDIFHTENPLKQSTISDEGEIPHLMKVNDAPMYLVPTEVDPQFAQNLQDLLLEGTALLPTYEKPEICKHTASEDDKTAGWLVIAKTGQNLPNGHVAEDSPLQHGNTKTLAVVNCFHEDAQHDVGNTEAIGRNLDLHDHTAHAIANEDQRFSEDSLVKMPGSSNGNLDKSSCSSTKTISSVIDDVADYEIPWEDLHIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVALDQFKCEVGIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLYRLLHRPNSQIDETRRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLEIPKEIDPLVATIISSCWENDPSKRPSFSQLLSPLKQLQRLVVPENC >ONIVA11G03270.1 pep chromosome:AWHD00000000:11:2451921:2464463:1 gene:ONIVA11G03270 transcript:ONIVA11G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLICADHSRGNPRRDREAGAAASISRRRRIPRRLLLLPLGFRLASPAARLASSTVLHAGSGNKNAFKALIAAEYSGVKVELVKNFQMGVSNKTPEFLKMNPIGKIPVLETPDGPVFESNAIARYVTRSKADNPLFGSSLIEYAHIEQWNDFSATEVDANIGKWLYPRLGIAPYVAVSEEAAIAALKRSLGALNTHLASNTYLVGHLVTLADIVMTCNLYMGFARIMTKSFTSEFPHVERYFWTMVNQPNFKKVLGDVKQAESVPPVQKKAPPPKEQKPKEAKKEAPKPKAVEKPEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDLEPFEGESLLDAKCFKVRLAAPSTARLASATI >ONIVA11G03270.2 pep chromosome:AWHD00000000:11:2451921:2462030:1 gene:ONIVA11G03270 transcript:ONIVA11G03270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLICADHSRGNPRRDREAGAAASISRRRRIPRRLLLLPLGFRLASPAARLASSTVLHAGSGNKNAFKALIAAEYSGVKVELVKNFQMGVSNKTPEFLKMNPIGKIPVLETPDGPVFESNAIARYVTRSKADNPLFGSSLIEYAHIEQWNDFSATEVDANIGKWLYPRLGIAPYVAVSEEAAIAALKRSLGALNTHLASNTYLVGHLVTLADIVMTCNLYMGFARIMTKSFTSEFPHVERYFWTMVNQPNFKKVLGDVKQAESVPPVQKKAPPPKEQKPKEAKKEAPKPKAVEKPEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGPKIPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDLEPFEGEALLDAKCFKKGCQQFSGTTNRCNCFSGDKSWENDGRLLGSLHERTLGVIISGASGR >ONIVA11G03270.3 pep chromosome:AWHD00000000:11:2456876:2462030:1 gene:ONIVA11G03270 transcript:ONIVA11G03270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHTFDGNKNAFKALIAAEYSGVKVELAKNFQMGVSNKTPEYLKMNPIGKVPILETPDGPVFESNAIARYVTRSKSDNPLYGSSLIEYAHIEQWIDFSATEVDANTGKWLFPRLGFAPYVAVSEEAAIAALKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYMGFARIMTKNFTSEFPHVERYFWTMVNQPNFKKVMGDVKQADSVPQVQKKAAAPKEQKPKEAKKEAPKEAPKPKAAEKPEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGPKIPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDLEPFEGEALLDAKCFKKGCQQFSGTTNRCNCFSGDKSWENDGRLLGSLHERTLGVIISGASGR >ONIVA11G03260.1 pep chromosome:AWHD00000000:11:2441196:2442571:-1 gene:ONIVA11G03260 transcript:ONIVA11G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLPATLPLMEGDQDQGLYPAFHRAKDPLILFPFMIDSAVEHQGQIYGDQGLRRQQVLGESNQQFNDHMMMGGSDVFLTPSPFRPTIQSIGSDMIQRSSYDPYDIESNNKQHANGSTPPMKMRIIRKGAATDPEGGAVRKPRRRAQAHQDESQQQLQQALGVVRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMAAAANGGAAVAPAKSVAAAPVNNKPAAKKEKRAADVDRSLPFKKRCKMVDHVAAAVAATKPTAAGEVVAAAPKDQDHVIVVGGENAAATSMPAQNPISKAAAAAAASPAFFHGLPRDEITDAAMLLMTLSCGLVHS >ONIVA11G03250.1 pep chromosome:AWHD00000000:11:2422780:2430909:1 gene:ONIVA11G03250 transcript:ONIVA11G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCSIAFMVMASCLSVVVSGGLPGDLFAHSVASKLRVDRDTTARASSDFGRIVAAAPEAVLHPATPADIAELVRFSASSPSPFPVAPRGQGHSARGQSLAPGGVVVDMRALAARRGRVNVSAGGAGAAPYVDAGGEQLWADVLRATLEHGLAPRVWTDYLRITVAGTLSNAGIGGQAFRHGPQITNVLELDVITGRGDMVTCSRDKEPDLFFAVLGGLGQFGIITRARIGLEPAPKRVRWVRLAYSDVVTFTKDQELLISKRASEAGFDYVEGQVQLNRTLTEGPKSTPFFSRFNIDRLVGLASESVSGVIYFIEGAMYYNESTTASVDQKLTSVLEQLSFDKCFVFTKDVSYVQFLDRVREEERILRSIGMWDVPHPWLNLFVPQSRILDFDTGVLKGVFVGANPVGVILMYPMNRNMWDDRMTAVSGNDDMFYVVGLLRSAVVPGDVERLERENEAVLAFCDNEGIGCKQYLPHYASQDEWRSHFGAKWSRVTELKVKYDPYGILSPGQRIFSSLTPMALVAMCSIAFMIMASCLSVVVSGGLPGDLFALSVASKLRVDRNSTARASSDFGRIVAAAPEAVLHPATPADIAELVRFSASSPSPFPVAPRGQGHSARGQSLAPGGVVVDMRALASRRGRVNVSAGAAPYVDAGGEQLWVDVLRATLEHGLAPRVWTDYLRITVAGTLSNAGIGGQAFRHGPQIANVLELDVITGTGDMVTCSRDKDSDLFFAVLGGLGQFGIITRARIGLMPAPKRVRWVRLAYSDVATFTKDQELLISKRASEAGFDYVEGQVQLNRTLTEGPKSTPFFSSSDIGRLAGLASKSESGVIYVIECAMYYNESTSTTMDQKLESILGQLSFEEGFVFTKDVRYVQFLDRVREEERVLRSIGMWDVPHPWLNLFVPRSRILDFDAGVFKGVFAGANPVGVILMYPMNRNMWDDRMMAVASDNDVFYAVGLLRSAVVVDDVERLEKENEAVLAFCHNEDIECKQYLPYYTSQDGWQRHFGAKWSRVADLKAKYDPHRILSPGQRIFSSPASMVVASM >ONIVA11G03240.1 pep chromosome:AWHD00000000:11:2414770:2418190:-1 gene:ONIVA11G03240 transcript:ONIVA11G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IYA6] MRSGEGSTAAAAAAEEEKVKVAAPFRLAELGLRVCAVPLAVASVWEMATNKQVDETYGEVRFSDLSGFRYLVWINAITAAYSVASILLSSCRFITRFDWLIFLLDQASAYLLLTSASAAAEVVYLAREGDREVSWGEVCSYFGRFCGAATVSVALNAAALLCFMALSLISAFRVFTKFNPPSQSNSKQQLSQEQGKPSSDSGSILKCEKTELLFSFRKTVVLFGDVTAFTGTEESGFQTAAEEGLGLGVLVGAAADEVEEDEGDGGDDEGDVGAVPLGAERREEARAARLALHSCDGSFPHAPQSASAAGRAAGPAHTVGLT >ONIVA11G03230.1 pep chromosome:AWHD00000000:11:2412402:2417661:1 gene:ONIVA11G03230 transcript:ONIVA11G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACVTLMALICAVPVIASGVWFASAQGEECARLARWPVAILGGLILLAALAGFVGAYWNRRRLLAFYLFAMVSLIALLIALLVFAFAVTRGSGAYPVLGRAYDEYHLDGFSMWLRGYVSDDPARWERIKACLVVSDTCKKLARQAGFLTADQFYQSRLSPLQSGCCKPPAVCGYNYVSPTVWAGPAARPAADADCGAWGNDPSQLCYECESCRAGLLAALRAQWHRANVALVVATVALVFLYLVGCSAYKNAQAEALFRRYKWGQKPSMLRVNLEASQTLKAEMRESAMKQRRAAALSATDTVAAPQKRPKYTTSAAADADVSSRYADACSGNGHRRIKIRFSFKETI >ONIVA11G03230.2 pep chromosome:AWHD00000000:11:2412402:2418615:1 gene:ONIVA11G03230 transcript:ONIVA11G03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACVTLMALICAVPVIASGVWFASAQGEECARLARWPVAILGGLILLAALAGFVGAYWNRRRLLAFYLFAMVSLIALLIALLVFAFAVTRGSGAYPVLGRAYDEYHLDGFSMWLRGYVSDDPARWERIKACLVVSDTCKKLARQAGFLTADQFYQSRLSPLQSGCCKPPAVCGYNYVSPTVWAGPAARPAADADCGAWGNDPSQLCYECESCRAGLLAALRAQWHRANVALVVATVALVFLYLVGCSAYKNAQAEALFRRYKW >ONIVA11G03220.1 pep chromosome:AWHD00000000:11:2405155:2408830:-1 gene:ONIVA11G03220 transcript:ONIVA11G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C13 family [Source:Projected from Arabidopsis thaliana (AT1G08750) TAIR;Acc:AT1G08750] MASGRGGIPGPSRALLVLLLPALLMLLAFSTSSSAAASSPGAMHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNNYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLTIGSSMKGENSYSHHLDSDIGVSVVDRFTFYTLAFFEKLNMYSNASLNSLFNSYNPSMLLSTAYYRMDLYERPLNEVPVTNFFGSVMNTIHTDSAYSGFLAADDIGTIPSTGDNLLNHAVLQNKASQRRSNIEEVKEAQVRSHGWTDVLLEQLDVKNADTIVMYGLGTMGILLAISTWLSM >ONIVA11G03210.1 pep chromosome:AWHD00000000:11:2392453:2396703:-1 gene:ONIVA11G03210 transcript:ONIVA11G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IYA2] MAPAPTPAAAAGRRVAVLAAALVAASLAASVGVANAAVSYDRRSLVINGRRRILLSGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFSDRYDLVRFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGVSFRTDNGPFKAEMQKFVEKIVSMMKSEGLFEWQGGPIIMSQVENEFGPMESVGGSGAKPYANWAAKMAVRTNTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNKNYKPSMWTEAWTGWFTSFGGGVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLRDLHRAIKQAEPVLVSADPTIESIGSYEKAYVFKAKNGACAAFLSNYHMNTAVKVRFNGQQYNLPAWSISILPDCKTAVFNTATVKEPTLMPKMNPVVRFAWQSYSEDTNSLSDSAFTKDGLVEQLSMTWDKSDYLWYTTYVNIGTNDLRSGQSPQLTVYSAGHSMQVFVNGKSYGSVYGGYDNPKLTYNGRVKMWQGSNKISILSSAVGLPNVGNHFENWNVGVLGPVTLSSLNGGTKDLSHQKWTYQVGLKGETLGLHTVTGSSAVEWGGPGGYQPLTWHKAFFNAPAGNDPVALDMGSMGKGQLWVNGHHVGRYWSYKASGGCGGCSYAGTYHEDKCRSNCGDLSQRWYHVPRSWLKPGGNLLVVLEEYGGDLAGVSLATRTT >ONIVA11G03200.1 pep chromosome:AWHD00000000:11:2387457:2388908:-1 gene:ONIVA11G03200 transcript:ONIVA11G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRADARGGGGGGGGKFMSRMVTGQKPIHVRPPRPEKERVDMVPRDVVERCIVALFEKRGSTREAAMEDLVGALEGHVSAREVSCKYTTIVSRCVFSLKKGSVREARLAYRAIGILALTLGGGGDDTAGAKDVLAEAFPFLAKTVEASHDMAKVLAAIDGLAVATFAGEDGDDEIERSMDAIWGGVIDPSSAGPGGSRLAAGDARKTTPEALAAAVSAWAFLLTVVHDRYEAEEGESCKDKIALLAKLLDDHDDRGVRVAAGEAIAACVELKLAHDTPPEDMEALNATVSYLATEPSGKGAGDKRRHAGQKDIFRQIEIFLDDGEAPTKSVRTSSSRQSVLKVTTWTKLLQLNFLTRYLGNGFHSHLQHNPLFGETFEVDGDEVEGLPAARKMMSRKQREKKRTLERRRCREAVWKEKNKFGLPEEEPESGTTALMLLPALACCHHMLPPVHRQPHLLPPTAPATASHMLPPVQQPILLLEY >ONIVA11G03190.1 pep chromosome:AWHD00000000:11:2384467:2385765:-1 gene:ONIVA11G03190 transcript:ONIVA11G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGKFIRRSNGHASSSSSMLDDDTSSACGGGMSIYYQKINQRVAVLEKAAVSVHESRASTREAALASLVGALEGFVPAHFIGWHHRGEIVRGCCASIKKGAAKEARLALRAVALLAVTLGPGSKRRILPAETYNPLEPGPGSKKIMAETFPLVSRILEVSTDASLVIAALESLAVVAFVDVAAENMDDTEACMKALWGLIRPSTGPKLGGAARKTSPHVLAAAVSAWTLVLTTTDGWKKKKAASSSPTAWRDTAAHLAPLLHSDSRAVRMAAGEALAVTIEMKLVTRDSHGALISGVAARASELANEAAGAGVGKANFVEQKELFKSIASFLAGGKAPASSVRVSSSHHGRLTASTWTDIVRLNFLRRFLGGGFLPHLQGKVVMGEEAPLIGQVFVVKEDDMAMDQKKKVDKQRTLNKERQIASDLKHGSV >ONIVA11G03180.1 pep chromosome:AWHD00000000:11:2380446:2381849:-1 gene:ONIVA11G03180 transcript:ONIVA11G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKSTGRSSGHASTSYDASAGGGAVAVSAYEQRIRRNATVLEKVAVSVHDSRASTREAALAELIAALEGFLSADDAEGGHTDEILRGCYVSIKKRAAREACLALRAVALLAVTLGPGATSKKIAAVETAANPLGSGANKIMKATYPLASRILEAPQADASLVVAALECLAVVAFVDVAAENMDDTEACMNALWAVICPSAGPKLASAAKGTASPRVLAAAVSAWTLVLTTTGGWNKKKKVSPAAPWRGAAAHAHLVSLLGSDSREVRMAAGEALAVAIEMKLFTEKNNGALFSNMEARASDLAIDAAGAGVDKTNFVEQKELFKDITTFLAGGKPPVISVRTSSSNYGLLTTSTWTDNVRLNFLRRFLAGGFLHHLQGKGLMGQVFVIDDDEVTGKLSAARSKRSLMKDTRIVKELNGGRGGGGGAMDEKKEKKQEMIKNSLEKKRTVKKERLKAYELKHGSSDL >ONIVA11G03170.1 pep chromosome:AWHD00000000:11:2375163:2376698:1 gene:ONIVA11G03170 transcript:ONIVA11G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRANSGDGNDGAVGGQSKLSPSGLPIREIPGGYGVPFLSPLRDRLDYYYFQGADEFFRSRVARHGGATVLRVNMPPGPFLAGDPRVVALLDARSFRVLLDDSMVDKADTLDGTFMPSLALFGGHRPLAFLDAADPRHAKIKRVVMSIAAARMHHVAPAFRAAFAAMFDAVDAGLVAGGSVEFNKLNMRYMLDFTCAALFGGAPPSKAMGDAAVTKAVKWLIFQLHPLASKVVKPWPLEDLLLHTFRLPPFLVRREYGEITAYFAAAAAAVLDDAEKNHPGIPRDELLHNLVFVAIFNAYGGFKIFLPHIVKWLARAGPELHAKLASEVRAAAPAAGGEITISAVEKEMPLVKSVVWEALRMNPPVEFQYGRARRDMVVESHDAAYEVRKGEMLFGYQPLATRDAKVFDRAGEFVADRFVAAGDRPLLEHVVWSNGPETRTPSEGNKQCPGKDMVVAVGRLMVAEMFRRYDTFAADVEELPLEPVVSFTSLTRAAAPAAAGEGAARRGV >ONIVA11G03160.1 pep chromosome:AWHD00000000:11:2365463:2366944:1 gene:ONIVA11G03160 transcript:ONIVA11G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPVNSGDAAAAATGEKSKLSPSGLPIREIPGGYGVPFFSPLRDRLDYFYFQGAEEYFRSRVARHGGATVLRVNMPPGPFISGDPRVVALLDARSFRVLLDDSMVDKADTLDGTFMPSRALFGGHRPLAFLDAADPRHAKIKRVVMSLAAARMHHVAPAFRAAFAAMFDAVEAGLGAAVEFNKLNMRYMLDFTCAALFGGEPPSKVVGDGAVTKAMAWLAFQLHPIASKVVRPWPLEELLLHTFSLPPFLVRRGYADLKAYFADAAAAVLDDAEKSHPGIPRDELLDNLVFVAIFNAFGGFKIFLPHIVKWLARAGPELHAKLATEVRAAADDGITLAAVERMPLVKSVVWEALRMNPPVEFQYGHARRDMIVESHDAAYEVRKGEMLFGYQPLATRDAKVFDRAGEFVADRFVAAGDRPLLEHVVWSNGPETRTPSEGNKQCPGKDMVVAVGRLMVAEMFRRYDTFAADVVEAPVEPVVTFTSLTRAASG >ONIVA11G03150.1 pep chromosome:AWHD00000000:11:2353781:2360246:1 gene:ONIVA11G03150 transcript:ONIVA11G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLDAGFFAFARGVVWGGGEGGEQVPAARVAREAAEAYARDRHARAQEALRPFRRIFAAAANATVETVVLEGDGVAEALVRYAADSGVRSLVIGSASLGWFRRAMSVPDVATAVLKTTEISCNVFVVSKRRLTLKVTRHPQMSRSGTGLRIQSVSQKAFAQSYRSLLFDNFPENGIHPDSCCQSRVSHCGPTNARQSSESHGQILFNSLGAKTTGIEGYKNHGLRSPFKEAHYADSNSSEECQSIDEVAKLRKELQDTLVMYDKACEDLVHAKKKIQVLSSECSEEARKVEHALQEEEALKLVVADEKAKHLEAIEEVEQAKKSFTREAYSRNKAEMVASMISLDKAKVVDAILSTSKNCRRYKKHEIELATDNFSEARKIGEGGYGNVYRCTLDHTEVAVKVIQQDSIDKTDEFLREVDILSQLQHPNLVLLLGFCPEIGCLVYEYLQNGSLEDQLLNNKGRQPLHWFLRFQIIFDVSCGLAFLHGRKPEPIVHRDLKPANILLNKNYVGKIGDAGFAKLISDLVPDWQTEYKETIIAGTLYYMDPEYQQTGTVRPKSDLFGLGVIILQMLTGKRPNGLIVSVENAIRNGMLADILDKSQTDWPLVEAEMLAKLGLRCTALKCRERPGLESEVLPKLQEILHRITSTVNLRSPKLNVSSHFICPIMQEVMNDPYVAADGHTYEQQAIKAWLKKHKVSPVTRRILPNLSVIPNHSLRAAIQQWKSQSAHAKS >ONIVA11G03140.1 pep chromosome:AWHD00000000:11:2351328:2353668:-1 gene:ONIVA11G03140 transcript:ONIVA11G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARPSPSPAGTRLAAAHRAARRLALPPGRTATATAAGDGGRGSPEAMGIEAEAEAAIARWFKATREIRWLRAGVSGVGEELAQLTSITMGFGGREWARSDAHKDMQK >ONIVA11G03130.1 pep chromosome:AWHD00000000:11:2347014:2351034:-1 gene:ONIVA11G03130 transcript:ONIVA11G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSAFSSSASSHGGGDSEARDSSTVVAVDRDKNTQQAVKWAVDRLLARGSVLQLVHVKPQQNAEAGADAEMQQMFISYRGYCARKGMQLKEVILDGSDISKAIVEYATSNAITDIVVGASTRNTFIRKFRNPDVPTCLMKMVPDYCTVHVIHKGKAIQVKAAKAPAPFTTLPPKQYSQSSIESDGYPRSRGDWKKISNQSSPKANRPSVDRLSGFAKAPTRERPLSGARSAPPKDFDDYIDFIAPPRPSVTRSSFSDDVDFPLSLELPSMDFGDSLELSLSASMSIESLSSAGKDVETEMRRLRLELKQTMEMYNSACKEAIDAKQKAAQMHQMKMEESKKYQELRNAEEEALALVQMEKAKCRAALEAAEAAQRIAELEAQKRLRAEWKAKREAEERKRATEAMNNTDLRYRRYSIDDIEAATHKFDKALKIGEGGYGPVYKAVMDHTNVAIKILRPDASQGRKQFQQEIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGKTLPIPWNIRFRIAADIATGLLFLHQAKPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPQSAAAAEATQYRMTSTAGTFCYIDPEYQQTGMLTTMSDIYSLGILLLQIITARSPMGLTHHVESAIERGTFQEVLDPMVTDWPVEEALVFAKLALRCAELRKKDRPDLGKEILPELNRLRCLSQEYDASKVSSTSTTCSSSAPYSFGGDDVSTP >ONIVA11G03120.1 pep chromosome:AWHD00000000:11:2345233:2347933:1 gene:ONIVA11G03120 transcript:ONIVA11G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFYPKYRDGGRGEASNERAATGDDGAERGGGGDGAEDSPAPPALPHARSSQLVAPSSPRRCPPPAAIPSHSCRPGRHSAQSHAPVQLCGRSKGQEHGTCPSASDTLASSLLSPSCACAGRRLHLLQARESYCASDWELTCHQETRFLFNLCPMLQVQQPWRMEAAETLIMAWRRRRRRSCRERSWSRWCWCC >ONIVA11G03120.2 pep chromosome:AWHD00000000:11:2345233:2347052:1 gene:ONIVA11G03120 transcript:ONIVA11G03120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFYPKYRDGGRGEASNERAATGDDGAERGGGGDGAEDSPAPPALPHARSSQLVAPSSPRRCPPPAAIPSHSCRPGRHSAQSHAPVQLCGRSKGQEHGTCPSASDTLASSLLSPSCACAGRRRRLCPITPFCVNTIGLGPQKLGVFLRGLYGAAAMEDGGSRDSDHGVETSSPPKL >ONIVA11G03120.3 pep chromosome:AWHD00000000:11:2345233:2347933:1 gene:ONIVA11G03120 transcript:ONIVA11G03120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFYPKYRDGGRGEASNERAATGDDGAERGGGGDGAEDSPAPPALPHARSSQLVAPSSPRRCPPPAAIPSHSCRPGRHSAQSHAPVQLCGRSKGQEHGTCPSASDTLASSLLSPSCACAGRRLHLLQARESYCASDWELTCHQETRFLFNLCPMVR >ONIVA11G03120.4 pep chromosome:AWHD00000000:11:2345233:2347013:1 gene:ONIVA11G03120 transcript:ONIVA11G03120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFYPKYRDGGRGEASNERAATGDDGAERGGGGDGAEDSPAPPALPHARSSQLVAPSSPRRCPPPAAIPSHSCRPGRHSAQSHAPVQLCGRSKGQEHGTCPSASDTLASSLLSPSCACAGRRCSSHGGWRQQRL >ONIVA11G03110.1 pep chromosome:AWHD00000000:11:2336259:2344034:1 gene:ONIVA11G03110 transcript:ONIVA11G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase M1 [Source:Projected from Arabidopsis thaliana (AT4G33090) UniProtKB/Swiss-Prot;Acc:Q8VZH2] MAAAEQSAEQFRGQARLPGFAAPRRYDLRLVPDLDGCAFTGSVDVSVDVTAPTRFLVLNAAELEVSPRGVQFKPHGAEQELHPAEVTNVPEDEILIIRFNEVLPVGEGTLVIAFKGTLNDKMHGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPSFKAIFKITLEVPSETVALSNMPVVEEKVNGLIKAVYFQETPIMSTYLVAVIVGMFDYVEAFTTDGTRVRVYTQVGKSAQGKFALEVAVKTLVLFKEYFAVPYPLPKMDMIAIPDFASGAMENYGLVTYRETALLFDEKHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADNFFPEWNVWTQFLEESTTGFKLDALAGSHPIEVDVNHVDEIDEIFDAISYRKGAAVIRMLQSYLGAETFQKSLAAYIEKFAYSNAKTEDLWAALEEGSGEPVKTLMHSWTKQQGYPVVNVKLKDGKLEMEQTQFLSSGAEGVGQWVVPITLCCCSYSRQEKFLFNGKQEDFNLSGLVECQKKEDFWIKLNVNQTGFYRVSYDEELASRLRYAIEANKLSAADRYGVLDDTYALCMAGKQKLVSLLHLIAAYKDETEYTVLARVIDTSLSIVEMVAVAAPEGLGKLKKFLIDFLEPFAQRIGWDAKSGEGHLDALLRGTLLSALAELGHEATINEAVRRFNIFVEDRETPLLPPDVRKAAYVALMQTVNKSNRAGYESLLKIYKETDLSQEKVRILGSLASCPDPDVVRDTLDFMLSPEVRNQDSIFLLRGVGAAGHEVAWTWLKEKWDYISDTFSGTLLTYFVSTTVSPLRTDEMGDDAEEFFKSRTKANIARTVKQSIERVRINAKWVESTRAEANLGNVLKEISHDH >ONIVA11G03100.1 pep chromosome:AWHD00000000:11:2332502:2332894:-1 gene:ONIVA11G03100 transcript:ONIVA11G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRRGMTMRRPNDEERSTGWPEKRRRMVTRRPVMRGWMTMRSLATRGCAVRRLTTWAYDKAGGNEMTSDEDANDEGARARNKAGGDDGAHNEEANKMGRCNRRLGMVKWGLGTRDNDSGMVEEDLGIG >ONIVA11G03090.1 pep chromosome:AWHD00000000:11:2324733:2325260:-1 gene:ONIVA11G03090 transcript:ONIVA11G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVVGGEPLRAVAMQQLLDLDLPDELERQLNPPTRAYVRDRRAMANTPMDVKELRASGALVLAVDMPGVAPADVRVEVEDGNVLAISGERRRPAGDGDDGGEGVKYLRMERRMGKFMRRFPLPESADLDGVRAEYKDGVLTVTVDKKPPPEPQKPRVVEVKVAGAGEPKGKGK >ONIVA11G03080.1 pep chromosome:AWHD00000000:11:2319518:2324977:1 gene:ONIVA11G03080 transcript:ONIVA11G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G26180) TAIR;Acc:AT5G26180] MPPPPPSKNRGHVPAARPPPGKPPGRRMASRDAAERAAFFARREAAAVLRRVLRGDASRRAAGSIKSLVYSPSVRNKRATFALVCQTLKYLPILKEVLASTGVLTSKWKKQEELVFVTAYDILFGQETAASGSVEQLIMLHKDTIMAALEKMCIRRKVRSVEDLVSKKRTVKPKPRFLRVNTLRTSTDSVVEELNKIHKVDKDELVPDLLVLPPGTDLHNHHLVSEGKVFLQGKASCMVAVALCPEPGWKVIDACAAPGNKTVHLAALMNGEGSITACELNKERTKTLQNTIRRSGANSILSLSFCSDPSYAEVRAILLDPSCSGSGISTERLDHLLPSHSRGNQDDASTSSRIRKLSAFQRKALSHALSFPSVERVVYSTCSIHQAENEDVVSSVLPLASSLGFELATPFPQWRRRGLPVFEGSEHLLRTDPEDGLEGFFISLFVRKAAAAADAVKPSNGGLGVSKQVFKRRNGLMAFGSSRLSRMMMLCSTRGLSRR >ONIVA11G03080.2 pep chromosome:AWHD00000000:11:2319518:2324977:1 gene:ONIVA11G03080 transcript:ONIVA11G03080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G26180) TAIR;Acc:AT5G26180] MPPPPPSKNRGHVPAARPPPGKPPGRRMASRDAAERAAFFARREAAAVLRRVLRGDASRRAAGSIKSLVYSPSVRNKRATFALVCQTLKYLPILKEVLASTGVLTSKWKKQEELVFVTAYDILFGQETAASGSVEQLIMLHKDTIMAALEKMCIRRKVRSVEDLVSKKRTVKPKPRFLRVNTLRTSTDSVVEELNKIHKVDKDELVPDLLVLPPGTDLHNHHLVSEGKVFLQGKASCMVAVALCPEPGWKVIDACAAPGNKTVHLAALMNGEGSITACELNKERTKTLQNTIRRSGANSNQDDASTSSRIRKLSAFQRKALSHALSFPSVERVVYSTCSIHQAENEDVVSSVLPLASSLGFELATPFPQWRRRGLPVFEGSEHLLRTDPEDGLEGFFISLFVRKAAAAADAVKPSNGGLGVSKQVFKRRNGLMAFGSSRLSRMMMLCSTRGLSRR >ONIVA11G03070.1 pep chromosome:AWHD00000000:11:2316199:2316779:1 gene:ONIVA11G03070 transcript:ONIVA11G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVAGTAMSLRTVVSTDINLLPVKRQAFDVGIDDVGLELKNGYRQQQLKTAIAASLQQLAFPIRPCFFWVRKD >ONIVA11G03060.1 pep chromosome:AWHD00000000:11:2310517:2314667:-1 gene:ONIVA11G03060 transcript:ONIVA11G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IY83] MPSSHGDLDRQIAQLRECKHLAEGEVRALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGEAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >ONIVA11G03050.1 pep chromosome:AWHD00000000:11:2305299:2312344:1 gene:ONIVA11G03050 transcript:ONIVA11G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGGAAQPPRQYKLAPQSELRVEVPPDALVRVRLVAGTAEVFGTELPPEGWVPVPPRSKIAIFTWHGATVELDGVSESEYTSDETPMVVYVNTHAILDARRARARAAAAQGALPESSQGPRVIIVGPSDSGKSTLCKMLLSWAAKQGWKPTYVDLDIGQDVYRALMKELAQTLDKQFSGNSESRAAGMIINTMGWVENLGLELLHNSIEIFKANEKLWKMLKDAAKNKPNIDVVKLHKSEGVVPRNPKYRQKTRSFRIKEYFYGIANDLAPHSNVVNFSDVSVYKIGTHQAPKSALPIGAEPVADPTRLVAVNISTDMVHTVLAVSYAKEPDEIVSSNVAGFIHVTDVDIQRKKLTYIAPCPGDLPSKLLIASSLTWYEA >ONIVA11G03040.1 pep chromosome:AWHD00000000:11:2303304:2303759:-1 gene:ONIVA11G03040 transcript:ONIVA11G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQAPPQPPPEEEPSLPPPADHHPQADAADGGGGDGWATFVGYSLAALNSTLEMRRFERGAPFSAAVFAYPYLLLLLYFYFLTRFQGAPRGSPGIRDRLKAPLWPLAALLAVEFAYQLTGAARLTPRALEIAAAAAAIGATYAFLQRKA >ONIVA11G03030.1 pep chromosome:AWHD00000000:11:2284823:2292966:1 gene:ONIVA11G03030 transcript:ONIVA11G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISIASCVSFGKKQRNVDEYLSTIKTGVSLASGFKIPDLFPSWRTMLATVTGMRQALKEVHRTVDSTLEEVIEERQREKEDKTRPDMVDTKENIVDVLIGLHENGAHLSRDSIKASVGTGTLASALNWGIAELMRDLRVMTKLQGEIRKAFHGKVAVGEDDIQAANLPYIRLFIKETLRLHPVVPLLVPRESIDVCEVNGYTIPARSCIVVNAWAIGRDPKYWDNPKKFKPERFEGNMVDSIGSSYEYLPFGAGRRMCPGIAYGLPVLEMALVRLLYHFDWSLPKGVMEVDMEEPGLGARRKTPLLLCANPFVIPERKKFKGRGEKGVIEGGRGRYF >ONIVA11G03030.2 pep chromosome:AWHD00000000:11:2292972:2299359:1 gene:ONIVA11G03030 transcript:ONIVA11G03030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLYQALLLSALAVAVLQIVKLAIIRGKKQAVAAAPTPPGPWRLPVIGSMHHLAGKLAHRALRDLAAVHGPLMMLQLGETPLVVVSSREVAREVLRTHDANFATRPRLLAGEVVLYGGADILFSPSGEYWRKLRQLCAAEVLGPKRVLSFRHIREQEMASRVERIRAVGPSVPVDVSALFYDMAISIVSCASFGKKQRNADEYLSAIKTGISLASGFKIPDLFPTWRTVLAAVTGMRRALENVHRIVDSTLEEVIEERRGAARECKGRLDMEDNEENLVDVLIKLHEQGGHLSRNSIKSVIFDMFTAGTGTLASSLNWGMSELMRNPRVMTKLQGEIREAFHGKATVGEGDIQVSNLSYLRLFIKETLRLHPPVPLLVPRESIDMCEVNGYTIPARSRIVVNAWAIGRDPKYWDDPEEFKPERFEGNKVDFAGTSYEYLPFGAGRRICPGITYALPVLEIALVQLLYHFNWSLPKGVTEVDMEEEPGLGARRMTPLLLCATPFVVPVFTYTSTCHIHSTERRHSSQLTLATMAELLYRALLLSVLAVALLQIIKAFLIIIRAKPAAPPLPPGPWRLPVIGSMHHLAGKLPHRALRDLAAAHGPLMMLRLGETPLVVASSREMAREVLRTHDANFATRPRLLAGEVVLYGGADILFSPSGEYWRRLRQLCAAEVLGPKRVLSFRHIREQEMESQVEEIRAAGPSTPVDLSAMFSFLVISNVSRASFGSKHRNAKKFLSAVKTGVTLASGFKIPDLFPTWRKVLAAVTGMRRALEDIHRVVDSTLEEVIEERRSAREDKARCGMVGTEENLVDVLIGLHEQGGCLSRNSIKSVIFDMFTAGTGTLSSTLGWGMSELMRSPMVMSKLQGEIREAFYGKATVGEEDIQASRLPYLGLFIKETLRLHPPVPLLVPRESIDTCEIKGYMIPARSRIIVNAWAIGRDPRYWDDAEEFKPERFEKNMVDFTGSCYEYLPFGAGRRMCPGVAYGIPILEMALVQLLYHFDWSLPKGVVDVDMEESSGLGARRKTPLLLCATPFVVPVL >ONIVA11G03020.1 pep chromosome:AWHD00000000:11:2276803:2277195:-1 gene:ONIVA11G03020 transcript:ONIVA11G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVAPFIALSLLLFAVKAHGCTPNCPGEQVVPTPTHHGKNGGHGRCPMDALKLRVCANVLKGLVDVEIGHGPNDCCSLLSGIADIDAAVCLCTAVKANVLGIRVNLPVDLSLILNKCGKTCPSDFTC >ONIVA11G03010.1 pep chromosome:AWHD00000000:11:2272703:2272957:-1 gene:ONIVA11G03010 transcript:ONIVA11G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVVAPFLALSLLLFAVVAHGCTPNCPGEQAVPATPVAVPVQSHHGQHDEHGRCPINALKLRECINVLNGLVDMKIKINISN >ONIVA11G03000.1 pep chromosome:AWHD00000000:11:2270162:2270389:-1 gene:ONIVA11G03000 transcript:ONIVA11G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVASFLALSLLLFAVTAHRCTPNCSSEKVIPTPPEAVPAPLHPGGHSDHGRCPINALKLRVCANVLKGWPM >ONIVA11G02990.1 pep chromosome:AWHD00000000:11:2261036:2265682:1 gene:ONIVA11G02990 transcript:ONIVA11G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRSPSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKTAYIDVCDDTDYSWRAKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVRKKDVYLLNLPEVKSAYKVLGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVLKLVGFVDPFVRAIDGIAGERVSMRVDLDCSNGKNTIGLFSHRKLSVSVGYATAAFVLAVLEGSTQPGVWFPEEPEGVAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >ONIVA11G02990.2 pep chromosome:AWHD00000000:11:2261036:2265682:1 gene:ONIVA11G02990 transcript:ONIVA11G02990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRSPSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVRKKDVYLLNLPEVKSAYKVLGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVLKLVGFVDPFVRAIDGIAGERVSMRVDLDCSNGKNTIGLFSHRKLSVSVGYATAAFVLAVLEGSTQPGVWFPEEPEGVAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >ONIVA11G02990.3 pep chromosome:AWHD00000000:11:2261036:2265682:1 gene:ONIVA11G02990 transcript:ONIVA11G02990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRSPSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKTAYIDVCDDTDYSWRAKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVRKKDVYLLSVGYATAAFVLAVLEGSTQPGVWFPEEPEGVAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >ONIVA11G02980.1 pep chromosome:AWHD00000000:11:2238999:2242093:-1 gene:ONIVA11G02980 transcript:ONIVA11G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) TAIR;Acc:AT5G54290] MAARPVSSTTSTCRPCLPAQVSASKPSTSSSPGTGVLVGVPRERGSSVSKAAIRGARLEAAARCSLVRQRPMLLATVAVGSLVAAGAANATEIGDSLLGSSGLALADLSVGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLEVYKHTLPDLPSPCSTPVLATLLGYVATSRVCITGTFISYINILLLFLKFQNSKTVINFTIVSLITKDPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWINPVSGAFLLGGGVYTLLDRLFPATSMVM >ONIVA11G02980.2 pep chromosome:AWHD00000000:11:2238999:2268913:-1 gene:ONIVA11G02980 transcript:ONIVA11G02980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) TAIR;Acc:AT5G54290] MAARPVSSTTSTCRPCRPAQAAASKPSTSSSPGTGVLVGVPRERGSSVSKAAIRGARLEAAARCSFVRQRPMLLATVAVGSLVAAGAANATEIGDSLLGSSGLALADLSIGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSAFGSGKDRSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLEVIELQLPSFFSDYDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWINPVSGAFLLGGGVYTLLDRLFPATSMVM >ONIVA11G02980.3 pep chromosome:AWHD00000000:11:2238999:2242093:-1 gene:ONIVA11G02980 transcript:ONIVA11G02980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) TAIR;Acc:AT5G54290] MAARPVSSTTSTCRPCLPAQVSASKPSTSSSPGTGVLVGVPRERGSSVSKAAIRGARLEAAARCSLVRQRPMLLATVAVGSLVAAGAANATEIGDSLLGSSGLALADLSVGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLEVIELQLPSFFSDYDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIVGGSLLLTYTTGYVAPLLIAASFAGALQSLLSFRRYSAWINPVSGAFLLGGGVYTLLDRLFPATSMVM >ONIVA11G02980.4 pep chromosome:AWHD00000000:11:2255611:2268913:-1 gene:ONIVA11G02980 transcript:ONIVA11G02980.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) TAIR;Acc:AT5G54290] MAARPVSSTTSTCRPCRPAQAAASKPSTSSSPGTGVLVGVPRERGSSVSKAAIRGARLEAAARCSFVRQRPMLLATVAVGSLVAAGAANATEIGDSLLGSSGLALADLSIGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSAFGSGKDRSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLELRIATSALS >ONIVA11G02970.1 pep chromosome:AWHD00000000:11:2234087:2238479:1 gene:ONIVA11G02970 transcript:ONIVA11G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRRSSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNLEKGESLASKLGDESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKTAYIDVCDDTDYSWRAKGFHEQAKDCGIPAITTAGIYPGVSNGLTSHFYQTYQSVGYATAAFVLAVLEGSTQPGVWFPEEAEGLAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >ONIVA11G02970.2 pep chromosome:AWHD00000000:11:2234087:2239677:1 gene:ONIVA11G02970 transcript:ONIVA11G02970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRRSSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNLEKGESLASKLGDESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKTAYIDVCDDTDYSWRAKGFHEQAKDCGIPAITTAGIYPGVSNGLTSHFYQTYQSVGYATAAFVLAVLEGSTQPGVWFPEEAEGLAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >ONIVA11G02960.1 pep chromosome:AWHD00000000:11:2226506:2229062:1 gene:ONIVA11G02960 transcript:ONIVA11G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPPPPLNWPTAGGGGGGSGGGGRGGAGGGGGAPATPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPLAAAAAPRSPFLTHHYLPMNPASSAADRDNKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPNSRTLDGVTPLDVLRSLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTR >ONIVA11G02950.1 pep chromosome:AWHD00000000:11:2219681:2226611:-1 gene:ONIVA11G02950 transcript:ONIVA11G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGACGCYQSPLCYSYIGRRRRRPRAAAAGPSCGGAEATSRRSSRAKANQEGRRPASAGSEGGGGRAAASSGGLRPAAVGAAASGGGRGDRALPSARFGPSGGRGGGGGELEGSPWLQLPGGWTGGGGDTLPSTRSGRRGGSGASAPPDPAGRGVNDDRRGGSSKWRGSRAVAVTTSPLLDLAGGEVMAAAEPSPLPNLARSSAASLMPVGKTGSEGMS >ONIVA11G02940.1 pep chromosome:AWHD00000000:11:2209162:2219321:-1 gene:ONIVA11G02940 transcript:ONIVA11G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTDASSPELSLCSPYGPWTQGRSPTEELGLALLECAAHVETGPMEKATRCLARATGLAAAAAAGDGPRKRLAVAMVDCLARRLLRPVQAITDALIDPSVYLDRRSVRAARRGFFELSPFPKVAFVVGNRAIVEAVENESLVHVVGMSGPFTQPCQWIQLLHELRRRPEGPPRVVRLTVVHDDGELLAKMEELVSDEAEELGMEFQFHGVVGQLEDLDFSNLRNVLEIKSGEALVVSCTLQLHRLLAADDDAMYSSRSAHLNQMASIAQLQHMAVNSCPSSSGGGSVQYKDDDPYRSPATPLTFVSPPVSTPHFQTPAALASFLSAVRALSPKILVVAEQDADHNGVSFRKRFCEALHHYAAVFDSLDDAAAATTSAASHLWSPDERAQVERVVVGEEIKGVLLRDGAHRREWHDRLRQWAARMEMAGFTGVPLSYAAIRKGNDMVRRCGLRRCENKECGGCLLLCWSSRPLYSISAWRPAASRGSGSGSERSDPHTDPPCRVGRSAAAPAMSASLHRPALASLLQIGAGGCGVLGVFAPGPRFPCRGYHPCRSSSTLGSASLTPRRWHISPPGLRIRDVLVVHIVDLSCSAAHPWQWLKLLDDFHGRPGGAPELYLTVLHDDNDFLADMQSLLSKKAESLGVSFHFISVIGRLETLDFSNLRSTFQIKFGVAVAISCALQMHRLLLVDDNLSSTSIAQLQKMANFTQPKQMASSVCSPASTLNYLQTPSPRTPKLLARLLSAIQALKPNIMLIMEQDADHNTLLFRDRFNEVLNYYAALFDCFHAVAAANPGRTDERLRVERMILREEIKNILVCEGVHRHERHERLDQWAMHMEESGFHNVQLSFSAIREGKENLLSFGLKNCQNKEDRGCFLLCWGYTNLYSISAWRQNRGSSSGSREHILHPNFPLWVLCSAYVWQLKVQADNLRLCCTDRGMFQDDMLSSATSSPASSVYSPSPSPSNGSWVQELSHDQQSVRLIGLLYQCAAEVSAGSFDRANLCLEHITQLASLDAPHALQRLAAVFADALARKLLNLIPGLSRALLSSANSADAHLVPVARRHMFDVLPFLKLAFLSAVRSLSPKIMVMTEQEANHNGGAFQERFDEALNYYASLFDCLQRSAAAAAERARVERVLLGEEIRGVVACEGAERVERHERARQWAARMEAAGMERVGLSYSGAMEARKLLQSCGWAGPYEVRHDAGGHGFFFCWHKRPLYAVTAWRPAASRRGYTRP >ONIVA11G02940.2 pep chromosome:AWHD00000000:11:2209162:2213765:-1 gene:ONIVA11G02940 transcript:ONIVA11G02940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGLWVQDQGVVDHLAQLVPLLHECASHVTEGSFEKADFSFKKIRILTIADGPLQRLSTIIVDSLAHRLLSSIQGLPGALIDPSDYFEKSTLRAARHNFFKLNPYLSTGFVTINWAIMEAMEDEKVVHIVDLSCSAAHPWQWLKLLDDFHGRPGGAPELYLTVLHDDNDFLADMQSLLSKKAESLGVSFHFISVIGRLETLDFSNLRSTFQIKFGVAVAISCALQMHRLLLVDDNLSSTSIAQLQKMANFTQPKQMASSVCSPASTLNYLQTPSPRTPKLLARLLSAIQALKPNIMLIMEQDADHNTLLFRDRFNEVLNYYAALFDCFHAVAAANPGRTDERLRVERMILREEIKNILVCEGVHRHERHERLDQWAMHMEESGFHNVQLSFSAIREGKENLLSFGLKNCQNKEDRGCFLLCWGYTNLYSISAWRQNRGSSSGSREHILHPNFPLWVLCSAYVWQLKVQADNLRLCCTDRGMFQDDMLSSATSSPASSVYSPSPSPSNGSWVQELSHDQQSVRLIGLLYQCAAEVSAGSFDRANLCLEHITQLASLDAPHALQRLAAVFADALARKLLNLIPGLSRALLSSANSADAHLVPVARRHMFDVLPFLKLAFLSAVRSLSPKIMVMTEQEANHNGGAFQERFDEALNYYASLFDCLQRSAAAAAERARVERVLLGEEIRGVVACEGAERVERHERARQWAARMEAAGMERVGLSYSGAMEARKLLQSCGWAGPYEVRHDAGGHGFFFCWHKRPLYAVTAWRPAASRRGYTRP >ONIVA11G02940.3 pep chromosome:AWHD00000000:11:2213893:2219321:-1 gene:ONIVA11G02940 transcript:ONIVA11G02940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTDASSPELSLCSPYGPWTQGRSPTEELGLALLECAAHVETGPMEKATRCLARATGLAAAAAAGDGPRKRLAVAMVDCLARRLLRPVQAITDALIDPSVYLDRRSVRAARRGFFELSPFPKVAFVVGNRAIVEAVENESLVHVVGMSGPFTQPCQWIQLLHELRRRPEGPPRVVRLTVVHDDGELLAKMEELVSDEAEELGMEFQFHGVVGQLEDLDFSNLRNVLEIKSGEALVVSCTLQLHRLLAADDDAMYSSRSAHLNQMASIAQLQHMAVNSCPSSSGGGSVQYKDDDPYRSPATPLTFVSPPVSTPHFQTPAALASFLSAVRALSPKILVVAEQDADHNGVSFRKRFCEALHHYAAVFDSLDDAAAATTSAASHLWSPDERAQVERVVVGEEIKGVLLRDGAHRREWHDRLRQWAARMEMAGFTGVPLSYAAIRKGNDMVRRCGLRRCENKECGGCLLLCWSSRPLYSISAWRPAASRGSGSGSERSDPHTDPPCRVGRSAAAPAMSASLHRPALASLLQIGAGGCGVLGVFAPGPRFPCRGYHPCRSSSTLGSASLTPRRWHISPPGLRIRDVLLKT >ONIVA11G02930.1 pep chromosome:AWHD00000000:11:2206377:2206976:1 gene:ONIVA11G02930 transcript:ONIVA11G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLAHLFGSSSSSSSSSSKKENKVSSKKRRSGAKSCSFGSTTSSSSSSLAASPSDDSAATTPRSVLPASAAASSSGTKKPAAVAVTREDLEVALRRIVSSKEELAAMLAEAECAGELVLEEIAAAAADEGELKETFAVFDADGDGRISAEELRAVLASLGDELCSVDDCRRMIGGVDTDGDGFVCFDEFARMMMYGCA >ONIVA11G02920.1 pep chromosome:AWHD00000000:11:2196231:2200564:-1 gene:ONIVA11G02920 transcript:ONIVA11G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFPLSFAPQSADEATAHKEIYDQLRQAVETFPTAPNSSTSFTYSRHPDGWYTFPEGVVSAMVIKSHLTARTTDIFMVTFPKSGTTWLKALLHSALHRRADDLAAHSPHQLVPFLETQVFIKDRIPDLSSLPAPRLLMTHIPSQSLPDSVADSSCKVVYLCRDPKDCFISLWHFLNRFRPWDINEAHRNFCDGVSLFGPYWEHVLGYWRWHVKRPSQVLFLTYEELTTDTLGQLRRLAEFVGRPFMVKEQEIGVDRKIVEACAMESLSRLEVNQSGTTDMVDKTYANNIFFRRGVCGLFMASSFPLSSATEAADEAKAHKKIYNQLRQVAETFPTAPSGIDVPYSHHPDGWYMTTAGVVSAMVIKSHLTARATDIFLVTFPKSGTTWIKALLYSALHRRADELVAHSPHQLVPFLESQVFVKDWIPDLSSLPEPRLLMTHIPSQSLPDSVAASGCKVVYLCRDPWIASSRFGTSGTSSGHGILMRHTGNSIMHVLSYWNWHVERPSEVLFLTYEELAADTLGHLRCLAEFVGRPFTMEEQDAGVDRKIVEICAMESLSGLEMNRSGMTNFTEKDVPNNIFFRRGVVGDWRNHLTPEMARRIDEITEIKFKGSGLRLHPQFLQAKRELNKL >ONIVA11G02910.1 pep chromosome:AWHD00000000:11:2195062:2195265:1 gene:ONIVA11G02910 transcript:ONIVA11G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVCRVQKRPEPMSHVVPDLEKVGNEDVGGTRSQMPLDGHGALTTPCLDMYQPSGRRQYQYGGRCR >ONIVA11G02900.1 pep chromosome:AWHD00000000:11:2194466:2195049:1 gene:ONIVA11G02900 transcript:ONIVA11G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVCLDHYKLGRNGEGTASKKLGRNGFTTYMQAGLEPSLRQNDYTRRLRMRSLTNSWDELVGLLRHQLALEFHGEEPSAEAP >ONIVA11G02890.1 pep chromosome:AWHD00000000:11:2178737:2181258:-1 gene:ONIVA11G02890 transcript:ONIVA11G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IY57] MAPSFRLSSAPESADEATAHNKEIYDQLRRVAETFPSAPSLIGLPCSRHPDGWYTFTNGVVSSMVIKEHLTARATDIFLTTFPKSSTTWLKALLYSTLHRGTDELVAHSPHQLVPFLESQVFANDRIPDLSSLPSPRLFMTHIPSQSLPDSVAASGCKVVYLCRDPKDCFVSLWHFWNRFMPWDIDEAHRQFCDGARSLGRSGSISSATGARREAEPGALLDLRGARRQHARPVETPCRVCRMSIHDGGAEARVDRNIVEACALENMSGLEVNRPGTITIVDSTVPNNTVFRRGVVGDWRNHLTPEMARRIDEITKSKFKGSGLLLHPQFLQVHGTKTHYCFRRTTHFMASSSTAEAADGTSHMEIYDQLRQVVETFPAAVSGIGQPYCRHPDGWYMSRRGVVSAMAIKRHLMARTTDVFIATFPKSGTTWLKALMYSALHHDAHELASLFWSPSCSSTTASPI >ONIVA11G02880.1 pep chromosome:AWHD00000000:11:2169259:2171950:-1 gene:ONIVA11G02880 transcript:ONIVA11G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPNPGSGYPYGGAGGAPPSSQPYGAPYGAPPPSSAPYGAPGGYGSPFASLVPSAFPPGTDPNVVACFQAADRDGSGMIDDKELQSALSGYSQSFSLRTVHLLMYLFTNTNVRKIGPKEFTSVFYSLQNWRSIFERFDRDQSGKIDATELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDTAFSGSATFTYEAFMLTVLPFLIA >ONIVA11G02870.1 pep chromosome:AWHD00000000:11:2166109:2167543:-1 gene:ONIVA11G02870 transcript:ONIVA11G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDDKKQKGPDVTVPSGYFFVPKPEQLIRDYLNHWITGRPIEELRDIVREADGYGDRQAFEYRAPGNKKTDWLMEEIASNLPAAITDEGIMVICKVYLSPRAKEATADEEERQETNVVPGPKRLREAEATGYDAPAPETPQPDVGCSYSGGGETSQATASMDYCCSTTTHTADDTANAAYYHGDADAIKPDAYDGGDYGIGFNADGELVLCGNGHGGIGTQGQTPLAMQNTNGEMTLFSPMNGYGVGFNEEVRQEPQVEGEVEMDNFFNDLFVDFDGAGDLNPNPNGGGDSHGHILCE >ONIVA11G02860.1 pep chromosome:AWHD00000000:11:2159841:2160324:-1 gene:ONIVA11G02860 transcript:ONIVA11G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKTRPTTYLGGADLGLVQGAAVEVGHPAEAAAAVLDDGANGLPPSPLRLLRRVLGRHIEVLLQVPVKLLHPRPVSTLTLTHTKRSPPLHY >ONIVA11G02850.1 pep chromosome:AWHD00000000:11:2159478:2166836:1 gene:ONIVA11G02850 transcript:ONIVA11G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IY53] MEKLDRYLQEHFDVPAKNPSEEAQRRWRQAVGTIVKNRRRRFRWVPDLDRRSLDKAKVRSTQEKIRVALYVQQAALIFSDGAKKKEFKLTEDIIKARFSINPDELALITSKHDSKALKMHGGVDGISKKVRSSFDHGICASDLDTRQNIYGVNRYAEKPSRSFWMFVWDAFQDMTLIILMVCALLSVAVGLATEGWPKGMYDGLGIILSIFLVVMVTAVSDYKQSLQFKELDNEKKKIFIHVTRDGRRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYVSQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGKLMSTLSEGGEDETPLQVKLNGVATVIGKIGLVFAILTFLVLLVRFLIDKGMTVGLLKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNYMVVDKIWISEVSKSVTSNTISGELNSVVSSRTLSLLLQGIFENTSAEVVKEKDGKQTVLGTPTERAILEFGLGLEGVHDAEYSACTKVKVEPFNSVKKKMAVLISLPSGTSRWFCKGASEIILQMCDMMVDGDGNAIPLSEAQRKNILDTINSFASDALRTLCLAYKEVDDDIDDNADSPTSGFTLIAIFGIKDPVRPGVKDAVKTCMSAGITVRMVTGDNINTAKAIAKECGILTEDGVAIEGPEFHSKSPEEMRDLIPNIQVMARSLPLDKHTLVTNLRGMFDEVVSVTGDGTNDAPALYEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRKGESFITKVMWRNIMGQSLYQLFVLGALMFGGESLLNIKGADSKSIINTLIFNSFVFCQVFNEINSREMQKINVFRGIISNWIFIAVIAATVAFQVVIIEFLGTFASTVPLNWQHWLLSVGLGSISLIVGVILKCIPVGSGETSATPNGYRPLANGPDDI >ONIVA11G02840.1 pep chromosome:AWHD00000000:11:2151175:2154536:-1 gene:ONIVA11G02840 transcript:ONIVA11G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLAASALRRGGANDGGVLAAVRAEIAHELSSSPSSSPPSLQSQDIPDFSTVSDAPRGQEVLLRRRDASEEVLVSAVLAPLRFEGEEPLPRDALMKVFVSKPDVKPVMRFDCRAFAAEGDGGSADYDVTAVCYHPFAGECDAGEDKYEGPEFRGEARRPILLSQSLRACWIRSASLIFPAAVGKAAIAPPSYPASAFFPEAARVLAAPVPSPPSQVRSPSTTTASIQPATRFASNRPSALSRLAAAFPYVGLVPPCPGHPSHLVTCRQQRPDATSQLPPEMPRDLANHQVKRGFPEMSPC >ONIVA11G02840.2 pep chromosome:AWHD00000000:11:2150327:2154536:-1 gene:ONIVA11G02840 transcript:ONIVA11G02840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLAASALRRGGANDGGVLAAVRAEIAHELSSSPSSSPPSLQSQDIPDFSTVSDAPRGQEVLLRRRDASEEVLVSAVLAPLRFEGEEPLPRDALMKVFVSKPDVKPVMRFDCRAFAAEGDGGSADYDVTAVCYHPFAGECDAGEDKYEGPEFRNLDPQLQVALKGYMVARGVNSKLASLLHHHLVEKERWQYMNWLKTLEDMFSKDH >ONIVA11G02840.3 pep chromosome:AWHD00000000:11:2150327:2151052:-1 gene:ONIVA11G02840 transcript:ONIVA11G02840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRMTGCHARREYVTCHGGGHSAQRINLDPQLQVALKGYMVARGVNSKLASLLHHHLVEKERWQYMNWLKTLEDMFSKDH >ONIVA11G02830.1 pep chromosome:AWHD00000000:11:2139461:2149102:-1 gene:ONIVA11G02830 transcript:ONIVA11G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVKGVTTGDQAAAVSPLAIRVAVSPAIRAASVAALSSLSTQPKEPKASLAPPAPPLATTGAARLFLLGVEAATMVFVYGTLKRGFPNHPLLAASGSPLVGAASTATPASLVVGPYSVPFLLPRPSSSSGSHLVSGELYAVSPRALVDLDALEGTHLGVYERRKVTVVVEGGSGEVVEAEAYFAHTSYMEALWLRCGGEAAEIGEYTMEHAAKDLDPQLQVALKGYLVARGVNSKLASSLHHHLVEKERWQYMNWLKTLEDMFSHHLVEKERWQYMNWLKTLEDMFSKDH >ONIVA11G02830.2 pep chromosome:AWHD00000000:11:2138758:2143930:-1 gene:ONIVA11G02830 transcript:ONIVA11G02830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVYGTLKRGFPNHPLLAASGSPLVGAASTATPASLVVGPYSVPFLLPRPSSSSGSHLVSGELYAVSPRALVDLDALEGTHLGVYERRKVTVVVEGGSGEVVEAEAYFAHTSYMEALWLRCGGEAAEIGEYTMEHAAKDLDPQLQVALKGYLVARGHGTWSKSQLHDWLRLTTNHRGLGRWVKRVRFG >ONIVA11G02830.3 pep chromosome:AWHD00000000:11:2144490:2149102:-1 gene:ONIVA11G02830 transcript:ONIVA11G02830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVKGVTTGDQAAAVSPLAIRVAVSPAIRAASVAALSSLSTQPKEPKASLAPPAPPLATTGAARLFLLVTLTV >ONIVA11G02830.4 pep chromosome:AWHD00000000:11:2139461:2143930:-1 gene:ONIVA11G02830 transcript:ONIVA11G02830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVYGTLKRGFPNHPLLAASGSPLVGAASTATPASLVVGPYSVPFLLPRPSSSSGSHLVSGELYAVSPRALVDLDALEGTHLGVYERRKVTVVVEGGSGEVVEAEAYFAHTSYMEALWLRCGGEAAEIGEYTMEHAAKDLDPQLQVALKGYLVARGVNSKLASSLHHHLVEKERWQYMNWLKTLEDMFSHHLVEKERWQYMNWLKTLEDMFSKDH >ONIVA11G02830.5 pep chromosome:AWHD00000000:11:2144490:2150021:-1 gene:ONIVA11G02830 transcript:ONIVA11G02830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALRYNISASTVLALIRGGTKLYREVLSSVLDIMGAQDNRLPQSVAALSSLSTQPKEPKASLAPPAPPLATTGAARLFLLVTLTV >ONIVA11G02820.1 pep chromosome:AWHD00000000:11:2131183:2132918:1 gene:ONIVA11G02820 transcript:ONIVA11G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAPPSEAAAAADDVVLPYISRILMEEDMDDDVFFCLYPDHPALLEAQQPFAQILSSSSGIAGELNSAAMEDSAALMMQGSGNGRGRKGSKHGGDELEAEGWKGRILYAHSAWVVAEDGAH >ONIVA11G02810.1 pep chromosome:AWHD00000000:11:2130079:2130282:-1 gene:ONIVA11G02810 transcript:ONIVA11G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSPKLVIALVLLVVYVVSSFEAVTAQYGGSSNNGAAATGPMAAGGSCSLAVAAAVLAVAAFVWN >ONIVA11G02800.1 pep chromosome:AWHD00000000:11:2122354:2130837:1 gene:ONIVA11G02800 transcript:ONIVA11G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYVSWAVYGLNLAGHIFHSPHLGGPSRPNKPEVFGLDADGLNRLAGGEATRLFPISAIGTTTLPQLPKLPRNFELFKMPRRTDNAASANSVEPDKSEECLEFDDEEEEVEEEEIEYEEIEEEIEEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKVVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKAVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQLPLASPPPQQAGHRSGSGGRHGGSGSRYGGGGGSSGSSRPGAKRQRGDDNSSSRHKGRRRPLLNLKRHWYSISQFQYRQFTSVSHMEYEISGQESEVDSEVWLGWVAQRRVCSRLETRLPLPKLNTSCCLVTLSRGGRASNLSRGRRLRAVLLLDEPPPPGNLVQGGAGPPLQIPVDRESTKHRTHGDDTAIRRSWVLAEGERSYLV >ONIVA11G02800.2 pep chromosome:AWHD00000000:11:2122511:2130837:1 gene:ONIVA11G02800 transcript:ONIVA11G02800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCKLPHSIAVFFQLEPRVGGWRGDSAVPHFRHRDDHPASAPQDDQTLPRNFELFKMPRRTDNAASANSVEPDKSEECLEFDDEEEEVEEEEIEYEEIEEEIEEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKVVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKAVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQLPLASPPPQQAGHRSGSGGRHGGSGSRYGGGGGSSGSSRPGAKRQRGDDNSSSRHKGRRRPLLNLKRHWYSISQFQYRQFTSVSHMEYEISGQESEVDSEVWLGWVAQRRVCSRLETRLPLPKLNTSCCLVTLSRGGRASNLSRGRRLRAVLLLDEPPPPGNLVQGGAGPPLQIPVDRESTKHRTHGDDTAIRRSWVLAEGERSYLV >ONIVA11G02790.1 pep chromosome:AWHD00000000:11:2119944:2121306:1 gene:ONIVA11G02790 transcript:ONIVA11G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGDNAAKAPAAAAGSNGKGTAASMDVVSSSSSSSPAPAPSVLKSVLLSYAYVSVWITLSFSVIVYNKYILDPKMYNWPFPISLTMIHMAFCASLAVVLVRVLRVVAVPASPPMTPSLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAARFDAFGVMLQLAAVAAEATRLVLIQILLTSKGMSLNPITSLYYIAPCCLVFLTLPWYFVELPRLRAAAGAAVRPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPVNLVGYGIAFLGVAYYNHAKLQGLKAREAERRAASMATAKDGDAEAGARLLPEKDAGEQKN >ONIVA11G02780.1 pep chromosome:AWHD00000000:11:2114895:2117611:-1 gene:ONIVA11G02780 transcript:ONIVA11G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVLDPVTGKPCKIGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIEHVLEKTYDAKAGIGMPDL >ONIVA11G02770.1 pep chromosome:AWHD00000000:11:2110097:2114646:1 gene:ONIVA11G02770 transcript:ONIVA11G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWSISIRAWIAGGVLVHNVAARAGEAGAEALDPIRLAGGVQLHPGFILPEKSPSELENPPTPFMTHARVAGRHQPYTSPAAAVTAAEGAQLPPMLVMVAEEDMLRDAQTEYGEAMARAGKAVEKVVSRGRGIGHVFYLNWFAVESDPVAAARARELVDAVKNFDLITFYLPRLIAGKPTKDTEKFICRADFAPVPRCEKGGRLFFTSCKRHKGSSTRKERTAGDGTWVRQNSKGVKNKAGVKVGETQNFRFKKDGSYTDWLMEEHHCCRQQAVAGDEEPVICRMYVSPRAPPDSAARQESAAFVQQQPAPQVSEPPCDKRKRDDVAEEAPAAA >ONIVA11G02760.1 pep chromosome:AWHD00000000:11:2108929:2109996:1 gene:ONIVA11G02760 transcript:ONIVA11G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTTAPETDPSKTVVEEVTGWLRLYSDGTVERLTPPGAEPFTVIVPPYTEPRNGVTVHDVTTARGVDVRLYLPAEPPAAAPRPRRRRPLLLHLHGGGFCLSRPSWALYHNFYAPLAAKLDVAGIVSVFLPLAPEYRLPAAIDAGHAALLWLRDVACGDEGNLDPAVERLRDEADFSRVFLIGDSSGGNLVHLVAAHAAAKDDGAGADLHAVRLAGGVLLNPGFAREEKSRSELENPPSLFLTEEMVDKLLALGVPLGMNKDSPYTSPSLAAEAVARLHMPPMLLMVAEDLLHDPQVEYGEAMARVGKTVETVVSRGAVAHVFYLNFFAVESDPLTAERIRELIDTIKTFIDRY >ONIVA11G02750.1 pep chromosome:AWHD00000000:11:2097615:2103573:1 gene:ONIVA11G02750 transcript:ONIVA11G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKAFADVSPWRTTTTSAKSSPCDDTGGGIVRSLIESLWLEYDPEADAWRVVGEAPRRRYGCAGASAGGVFYVAGGVAVSGEGARALEAHVCAGSVDALHVASGAWARPRALPGGGCVVGACGVGDHLYMVASHAVELSFWRWCGATGRAGDGRGWGGWVALEAPPMPRGSVGLGMAVRVAMAGLGTNRVAAVVSAAAVRGHNAGGGALEGMVLVYDIAGGKWSRAPDLPPGFRRAACAGVDLQIAMWSSSCFINHDEREPRDCTNRFGSASTNSALNFVTPFQLIRRSHRSSFVPLSSRKPHFPAAAVSISSILFAHTEMHARLLLRMHAPISFAPASSPDAASFAPAAADVGGAVCLGYGIAIAVGVLVFISTVMLASYICVRAKAGAAAVLLADDDDDGGAPAASAVVVLGLDGPAIDALYPKFLHVGVGDDDNACAGAQCAICLGEFVAGDALRRGPGCGHRFHAECVERWLRVSATCPVCRDSPLPSPMATPLAEAVPLAAHAR >ONIVA11G02740.1 pep chromosome:AWHD00000000:11:2097070:2100856:-1 gene:ONIVA11G02740 transcript:ONIVA11G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLTSHERLREWQLSAAGMADCRIGSSAAARGARWVVIGRGESWEVEWSGGEVVGRLGSCPHLVRGAATATIADASTNHNDSMSERTIPPPVSSHGEDFAEVVVVRHGETSANAFWC >ONIVA11G02730.1 pep chromosome:AWHD00000000:11:2086889:2092009:-1 gene:ONIVA11G02730 transcript:ONIVA11G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYPPVPTDPQSCAADDTLPDGTFVRAGWFVNYSAYAMGRLAAIWGEDCMEYRPERWLGDDGAFQPASPFRFTVFHAGPRMCLGKEMAYVQMKSIVANVIEELVVDVVKEVAGGGVPEHVFSISLRMKGGLPVKIRRKGHMRGTVRPSIQAAAPGPRWLRLAATLRPISPATAAVRYPLFPLACGHQVSAVTMAKEVDRFVELVVVRHGETSWNSSRIVQGQMDPELNEIGKQQAVVVARRLARKARPAAIYSSDLKRAAETVKIIAKVCDVSNLVLIEALRERHMGYLQGLTWDDAMNKSPGVFKGFANFEVKNGLDFDGRNHEFPLSEWCISYLNKVAQNHIGERVIVVGHGAAILELYRHTDPPNSSIRRKIPNTLLNIFRISDVTGRWILERCGDVGHLSENGFLENAFGGQERLCCFKARSLAALLPSPLSDAHLAAAVSSLPDPDLAVALLSWSQSPDHHVALQDPTPLAHSTLLRLLARSRRFDAVDDTLHSMSLAGAAPTRACLGALVAAYADAGMLGKATEMCERVREQYGSLLEVTHCNRLLKLLVEQRRWDDGRKLYDEMLGKDSGADNYSTCVLVRGLCLERRVEEGLKLIEARWGAGCIPHVVFYNVLIDGYCRRGDMGRGLLLLGEMETKGFLPTLVTYGSLINWLGKKGDLEKIGSLFLEMRKRGFSPNVQIYNSVIDALCNCRSATQAMVILKQMFASGCDPDIITFNTLMTGLCHEGHVRKAEHFLREAIRRELNPNQLSYTPLIHGFCMRGELMVASDLLVEMMGRGHTPDVVTFGALIHGLVVAGKVSEALIVREKMTERQVFPDVNIYNVLISGLCKKRMLPAAKNILEEMLEKNVQPDEFVYATLIDGFIRSENLGDARKIFEFMEHKGVCPDIVSCNAMIKGYCQFGMMSEAILCMSNMRKVGCIPDEFTYTTVISGYAKQGNLNGALRWLCDMIKRKCKPNVVTYSSLINGYCKTGDTDSAEGLFANMQAEALSPNVVTYTILIGILFKKDKVLRAGLYFETMLLNHCSPNDVTLHYLVNGLTSCTPCVINSICCNTSEVHGKDALLVVFKKLVFDIGDPRNSAYNAIIFSLCRHNMLREALDFKNRMAKKGYVPNPITFLSLLYGFCSVGKSVNWRTILPNEFQQEEFEIIFRYKFFFDQYATESVCCEVSRVLQHLAECKSLQRVEQKFANS >ONIVA11G02720.1 pep chromosome:AWHD00000000:11:2083383:2084920:1 gene:ONIVA11G02720 transcript:ONIVA11G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYYCSMLFFLPPILYASYHLTRILADKKKPTTHGLKAHPLLGHLPAFVNNSHRFLDWTTELIVGSPEMRMGFWIPGMRTGIITGNPADVEHILRTNFANYPKGEHAIGMLEDFLGHGLFNSDGEQWLWQRKNASYEFSKRSLRRFVVDVVQAEVADRFLPLLRRAAGDGRGGDIVVLDLQEVLQRFGFDTICMVAFGHDPRCLADGGVMEDARSEYMHTFGEAQDLVVGRFFDPIEVSWKIKKWLNVGTEHRLRKAIADVHAFAMDIVRTRRQSASVQDRDDVLSRFVASDEVLRDIVLSFLIAGRETTASGLSWFFWFLSSRPDVVARIADEVRAGGDRHAPRRAVRVRRAPEMHYLHAALTESMRLYPPAPIDSQSCAADDTLPDGTLLRAGWSVTYSAYAMGRLAAIWSEDCLEYRPERWLGDDGAFQPASPFRFTVFHAGPRMCLGKEMAYVQMKSIVANVLEEFEVDVVKEIAGGGVPEHVLSVTLRMKGGLPVKIRRKTEAY >ONIVA11G02710.1 pep chromosome:AWHD00000000:11:2082318:2082936:1 gene:ONIVA11G02710 transcript:ONIVA11G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPARRLMDSRAAAQLAAPLSDPYAAETFEDLLRELGVDPSIHTVVRSAGRWMDPAAAAARVPMRFHRTLQRLGIDPNSDARSIRDMLQEFRRGVYQGEDDVAAPPTGMPRCKMSRDVLLGLALTKACDARQEECAVCLRDFEEKDMLRTMPCNHSFHEICLFRWLSESCLCPLCRYALPKQQQVQSC >ONIVA11G02700.1 pep chromosome:AWHD00000000:11:2080491:2081819:-1 gene:ONIVA11G02700 transcript:ONIVA11G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVKRSLVVPPPPRETEETPLTVFELVAPTYHVTVLFAFSPPNPTTRALLDALSATLPHFPLLTARLDRRGRGGAGALVVEAEVSSDLADHLPLAPSPELARLHPPVNTDAPTPHVLLVQINRFACGGLVVASSAHHQAADGQGQGADTGAVRIDPSEVANVLLHYPSEFVAELKRRAQGKYTTFETVSAHVWKKITAVRGLDAGARTSVNVSVNGRARLGTGTVPNGFFGNLIINASSGATARELTTGTLADAAALIRAGIRAVDRRYFQSFIDFGALHVDGGRDEEEPLQPANVDEPGVLSPDVDSDSWLHLELHRLDMGLGGRLAGILPAKVPEDGVVVVMPSLRKSGGVEVFVALWEKHANELTSIAYTMD >ONIVA11G02690.1 pep chromosome:AWHD00000000:11:2079727:2079987:1 gene:ONIVA11G02690 transcript:ONIVA11G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWAEAAILEPTEDAALMEAVEAGRRPQQVSLLEVPQARGARLLPRVGRPRRDQAQEEIERHRAYSSSALARRRRRLIGVARRP >ONIVA11G02680.1 pep chromosome:AWHD00000000:11:2071906:2077820:1 gene:ONIVA11G02680 transcript:ONIVA11G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEPLNRMVRMVARAFYDDVSLERDPKSARGDNCGLAVVVLDALTRRRQWVREEDLAKALKISSKQLRRILQFFEEEKLVRRCHRKEQSPKGVNISNNVSGTAGDVHPFTKGGEKAKMHTHSYCCLDYAQVYDVVRHRIHRMRKKLKDELDDRDTVQHYVCPNCKRRYSAFDALELVSDMDDYFHCEHCKEQLLPESEKLTLDEVVCGGDNAIKHKHDKLKDMQQRMEEQLKPLIAVLDRVKDLPFPSFMSLQDWERATMEASANGAVGSSQNSEGRYSSKPMPFLGETEEGVESGMESIKPQPSWMNRKSTVLTGEHKGEISNTADLDQSSEAKSDKKQLSEEDEMKSIQEAYAKAYYEAIQKRQEDEDKRMIQEESLTCISDQPFASDAQFERRLGAKSKRDDGGDSGDDGIEMKVEQPTGIS >ONIVA11G02670.1 pep chromosome:AWHD00000000:11:2071313:2071993:-1 gene:ONIVA11G02670 transcript:ONIVA11G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIINASWIHRGEHSGRIRTGSRAPWRPWRRRRRWRAAVGVSRVFAAIREVLAAAAQGARLEDEEXPQPDVGCSYSGGGETSQATASMDYCCSTTTHTADDTANAAYYHGDADAIKPDAYDGGDYGIGFNADGELVLCGNGHGGIGTQGQTPLAMQNTNGEMTLFSPMNGYGVGFNEEVRQEPQVEGEVEMDNFFNDLFVDFDGAGDLNPNPNGGGDSHGHILCE >ONIVA11G02660.1 pep chromosome:AWHD00000000:11:2064460:2071290:1 gene:ONIVA11G02660 transcript:ONIVA11G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IY27] MEKLDRYLQENFDVPAKNPSEEAQRRWRQAVGTIVKNRRRRFRWVPDLERRSLDKAKVRSTQEKIRVALYVQQAALIFSDGAKKKEYKLTGDIIKAGYAINPDELALITSKHNSKALKMHGGVDGISIKVRSSFDHGIYASELDTRQNIYGVNRYAEKPSRSFWMFVWDALQDMTLIILMVCALLSVAVGLATEGWPKGMYDGLGIILSIFLVVMVTAVSDYKQSLQFKELDNEKKKIFIHVTRDGRRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYVSQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGKLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFAILTFLVLLVRFLIDKGMTVGLLKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVTSNTISGELNSVVSSSTLSLLLQGIFENTSAEVVKEKDGKQTVLGTPTERAILEFGLGLKGDHDAEYRACTKVKVEPFNSVKKKMAVLISLPNGTSRWFCKGASEIILQMCDMMVDGDGNAIPLSEAQRKNILDTINSFASDALRTLCLAYKEVDDDIDDNADSPTSGFTLIAIFGIKDPVRPGVKDAVKTCMSAGITVRMVTGDNINTAKAIAKECGILTEDGVAIEGPEFHSKSPEEMRDLIPNIQVMARSLPLDKHTLVTNLRGMFDEVVSVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACIIGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRKGESFITKVMWRNIMGQSLYQLFVLGALMFGGERLLNIKGADSKSIINTLIFNSFVFCQVFNEINSREMQKINVFRGIISNWIFIAVIAATVAFQVVIIEFLGTFASTVPLNWQHWLLSVGLGSISLIVGVILKCIPVGSGETSATPNGYRPLANGPDDI >ONIVA11G02650.1 pep chromosome:AWHD00000000:11:2051180:2059017:-1 gene:ONIVA11G02650 transcript:ONIVA11G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLAASALRRGGANDGGVLAAVRAEIAHELSSSTPSSPPSLQSQDIPDFATVSDPPRGQEVLLRRRDASEEVLVSAVLEPLRFEGEEPLPRDALMKVFVSKPDVKPVMRFDCRAFADEGDGGSADYDVANACYHPFAGDAGEDKYEGPEFRDLDPRLQVALKGYLVARGVNSKLASSLHHHLVEKERWQYMNWLKTLEDMFSSH >ONIVA11G02640.1 pep chromosome:AWHD00000000:11:2047348:2049036:1 gene:ONIVA11G02640 transcript:ONIVA11G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAPPSEAAAAADDVVLPYISRILMEEDIDDDMFFCLYPDHPALLEAQQPFAQILSSSSGIAGEVNSAPMEDSAALMMQGSGNGRGRKGSKHGGDELEAEVGRASKLMATLEEEDDDDDGIGEMLEKMMLNGDEDEAFHGETNAPRVPAEKKGGKPAPRRRRQGKGEVVDLRELLMSCAQAVASGNRRSAGELLEQIKRHSSPTGDATERLAHYFADGLEARLAGAASLEHRLLASAEERASAMELLEAYQVFMAACCFKWVAFTFANMAILRAAEGRSKVHIVDYGGQYHGLQWPSLLQRLAEREGGPPEVRMTLVGHPQPGFRPARRLERTGRRLSNCARAFGLPFKFRAVAAARWETVTAEDVGGGGVDPDEEAVVVNDVLSLGTLMDESGVFDDPSPRDTVLGSIRDMRPAVFVQAVVNGAHGAPFFPTRFREALFFFSALFDMLDATTPEEGSHLRAVLERDVLRRAAVGVIAGEGAERVERPETYRRWQARNRRAGLRQVAVEGDVVEAVRRRVRRRHHEEFVIEEDAGWLLQGWKGRILYAHSAWVVAEDGAH >ONIVA11G02630.1 pep chromosome:AWHD00000000:11:2043332:2046743:-1 gene:ONIVA11G02630 transcript:ONIVA11G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSPKPVIALVLFVVCIVSCFEDATAQYSGSSNNGAAATGPMAAGGSCSLAVATAVLAVAAGKMPPRKRGINPPGSTAL >ONIVA11G02620.1 pep chromosome:AWHD00000000:11:2035748:2041765:1 gene:ONIVA11G02620 transcript:ONIVA11G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDNAASANSVEPEKSEECLEFDDDDEEEVEEEEIEYEEIEEEIEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKVVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVVQQPGGQLPLASPPPQQAGHRSGSGGRHGGSGGRYGGGGGSSGSSRPEECVSETRLYMRILPVSQSAESDGALYRQFTPVSHMDYEISGPESEVDSEVWLGWVAQRRRRRATAPYSGRRRVHEASDAGDDTAIRRSWQQVKEIPTSLDDFLPSIRTTCTHTHTCNPPGPSATEHTHTCYHTHTRVFSSDDDSCGGDKAKPKKSRKPLGNREAVRKYRQKKKAHTAHLEEEVKRLRVINQQLVKRLQGQAALEVEVVRLRSLLVDVRSRINGALGSCPIQAQCGVDNVLGCDGMAQCFAGKPELGVRQSCAPSTVNCHISSDSGQNLVVPHALSPSDVIGSFMVSSTSKDE >ONIVA11G02620.2 pep chromosome:AWHD00000000:11:2035748:2041765:1 gene:ONIVA11G02620 transcript:ONIVA11G02620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDNAASANSVEPEKSEECLEFDDDDEEEVEEEEIEYEEIEEEIEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKVVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVVQQPGGQLPLASPPPQQAGHRSGSGGRHGGSGGRYGGGGGSSGSSRPEECVSETRLYMRILPVSQSAESDGALYRQFTPVSHMDYEISGPESEVDSEVWLGWVAQRRRRRATAPYSGRRRVHEASDAGDDTAIRRSWQQVKEIPTSLDDFLPSIRTTCTHTHTCNPPGPSATEHTHTCYHTHTRVFSSDDDSCGGDKAKPKKSRKPLGNREAVRKYRQKKKAHTAHLEEEVKRLRVINQQLVKRLQGQAALEVEVVRLRSLLVDVRSRINGALGSCPIQAQCGVDNVLGCDGMAQCFAGKPELGVRQSCAPSTVNCHISSDSGQNLVVPHALSPSDVIGSFMVSSTSKDE >ONIVA11G02620.3 pep chromosome:AWHD00000000:11:2035748:2041765:1 gene:ONIVA11G02620 transcript:ONIVA11G02620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDNAASANSVEPEKSEECLEFDDDDEEEVEEEEIEYEEIEEEIEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKVVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVVQQPGGQLPLASPPPQQAGHRSGSGGRHGGSGGRYGGGGGSSGSSRPEECVSETRLYMRILPVSQSAESDGALYRQFTPVSHMDYEISGPESEVDSEVWLGWVAQRRRRRATAPYSGRRRVHEASDAGDDTAIRRSWQQVKEIPTSLDDFLPSIRTTCTHTHTCNPPGPSATEHTHTCYHTHTRVFSSDDDSCGGDKAKPKKSRKPLGNREAVRKYRQKKKAHTAHLEEEVKRLRVINQQLVKRLQGQAALEVEVVRLRSLLVDVRSRINGALGSCPIQAQCGVDNVLGCDGMAQCFAGKPELGVRQSCAPSTVNCHISSDSGQNLVVPHALSPSDVIGSFMVSSTSKDE >ONIVA11G02620.4 pep chromosome:AWHD00000000:11:2035748:2041765:1 gene:ONIVA11G02620 transcript:ONIVA11G02620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDNAASANSVEPEKSEECLEFDDDDEEEVEEEEIEYEEIEEEIEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKVVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVVQQPGGQLPLASPPPQQAGHRSGSGGRHGGSGGRYGGGGGSSGSSRPEECVSETRLYMRILPVSQSAESDGALYRQFTPVSHMDYEISGPESEVDSEVWLGWVAQRRRRRATAPYSGRRRVHEASDAGDDTAIRRSWQQVKEIPTSLDDFLPSIRTTCTHTHTCNPPGPSATEHTHTCYHTHTRVFSSDDDSCGGDKAKPKKSRKPLGNREAVRKYRQKKKAHTAHLEEEVKRLRVINQQLVKRLQGQAALEVEVVRLRSLLVDVRSRINGALGSCPIQAQCGVDNVLGCDGMAQCFAGKPELGVRQSCAPSTVNCHISSDSGQNLVVPHALSPSDVIGSFMVSSTSKDE >ONIVA11G02610.1 pep chromosome:AWHD00000000:11:2031182:2033237:1 gene:ONIVA11G02610 transcript:ONIVA11G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEKRTSPQQPPTLLPTNCSTHFLYARAPTTAGVTPRQPHQSFRSYLAQMGGTGDDGAKAPAAAAAMDVVSSSSSSPAPAPSVLKSVLLSYAYVSVWITLSFSVIVYNKYILDPKMYNWPFPISLTMIHMAFCASLAVVLVRVLRVVAVPASPPMTPSLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDSFRRASMLNMLGISAGVAVAAYGEARFDAFGVMLQLAAVAAEATRLVLIQILLTSKGMSLNPITSLYYIAPCCLVFLTLPWYFVELPRLRAAAGAAVRPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPVNLVGYGIAFLGVAYYNHAKLQGLKAREAERRAALINQRIQMLKALMPVAVYSLAVAFRTDSFRRASMLNMLGISAGVAVAAYGEARFDAFGVMLQLAAVAAEATRLVLIQILLTSKGMSLNPITSLYYIAPCCLVFLTLPWYFVELPRLRAAAGAAVRPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPVNLVGYGIAFLGVAYYNHAKLQGLKAREAERRAASMATAKDGDAEAGVRLLPEKDAGEQKN >ONIVA11G02600.1 pep chromosome:AWHD00000000:11:2026827:2029312:-1 gene:ONIVA11G02600 transcript:ONIVA11G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQFTGKRKPCKIGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIEHVLEKTYDAKAGIGMPDL >ONIVA11G02600.2 pep chromosome:AWHD00000000:11:2026827:2029312:-1 gene:ONIVA11G02600 transcript:ONIVA11G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVLDPVTGKPCKIGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIEHVLEKTYDAKAGIGMPDL >ONIVA11G02590.1 pep chromosome:AWHD00000000:11:2025047:2026587:1 gene:ONIVA11G02590 transcript:ONIVA11G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQMAATKERQEAANPTTTTRTLVESVTNWIRVYSDGSVDRLGPPEAAAFTFISTAAASACPTPRGRSTTASTPASPWTSTSRASSPSSSRSRRSTAYPPPSTPDTPRCSGSVTSRPGAATPSHILRSNAFAAPPTSHACSSSATARAASSCTTWRHAPARLGPRRSTRYASPAAAVTAAEGAQLPPMLVMVAEEDMLRDAQVEYGEAMARAGKAVETVVSHGRGIGHVFYLNWFAVESHPVAAARARELVDAVKSFPMKDTEKFICRADVYGSEPSDLAGKFAPVPRCEKGGRFFFTSCKRHKGSSTKKERTAGAGTWVRQNSKEVKNKAGVKVGETQNFRFKKDGSYTVAGDEEPVICRMYVSPRAPPDSAARQESAAFVQQQPAPQVSEPPCDKKKRDDVAEEAPAAA >ONIVA11G02580.1 pep chromosome:AWHD00000000:11:2021229:2022296:1 gene:ONIVA11G02580 transcript:ONIVA11G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTTAPETDPSKTVVEEVTGWLRLYSDGTVERLTPPGAEPFTVIVPPYTEPRNGVTVHDVTTARGVDVRLYLPAEPPAAAPRPRRRRPLLLHLHGGGFCLSRPSWALYHNFYAPLAAKLDVAGIVSVFLPLAPEYRLPAAIDAGHAALLWLRDVACGDEGNLDPAVERLRDEADFSRVFLIGDSSGGNLVHLVAAHAAAKDDGAGADLHAVRLAGGVLLNPGFAREEKSRSELENPPSLFLTEEMVDKLLALGVPLGMNKDSPYTSPSLAAEAVARLHMPPMLLMVAEDLLHDPQVEYGEAMARVGKTVETVVSRGAVAHVFYLNFFAVESDPLTAERIRELIDTIKTFIDRY >ONIVA11G02570.1 pep chromosome:AWHD00000000:11:2013913:2016986:1 gene:ONIVA11G02570 transcript:ONIVA11G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAESCHSRSLSWLVASDAFLHLRRAHAHLRPSLLALSVSDNGCVPQALLRFESSVPVLEVAPLPLPLTLLHCGGSVFAHARAVVLGRDVFLIGRGATLRVDALTGAARACAPTLFPRKKFAAAAVGDRIYVAGGSARTAAVEEYDPEVDAWRVVGEAPRRRYGCAGASAGGVFYVAGGVAVSGEGARALEAHVCAGSVDALHVASGTWARPRALPGGGCVVGACGVGDHLYVVASHAVELSFWRWSGATGRGGDGRGWGGWVALEAPPMPRGSVGLGMAVRVAMAGLGTNRVAAVRRGREGSXEYDPEADAWRVVGEAPRRRYGCAGASAGGVFYVAGGVAVSGEGARALEAHVCAGSVDALHVASGAWARPRALPGGGCVVGACGVGDHLYMVASHAVELSFWRWCGATGRAGDGRGWGGWVALEAPPMPRGSVGLGMAVRVAMAGLGTNRVAAVVSAAAVRGHNAGGGALEGMVLVYDIAGGKWSRAPDLPPGFRRAACAGVESASSSARPPFTTSSALNFFTPFSTHTPHASQLVRATIQLQAPLHCSRSLHLRMHASISFALAPASSPDAASFAPTAADVGGAVCLGYGIAIAVGVLVFISTVMLASYICVRAKAGAAAVLLADDDGGGAPAASAVVVLGLDGPAIDALYPKLLHVGVGDDDDACAGAQCAICLGEFVAGDALRRGPGCGHRFHAECCAICLGEFVAGDALRRGHGCGHRFHAECAERWLRVSATCPVCRDSPLPSPMATPLAEAVPLAAHAR >ONIVA11G02560.1 pep chromosome:AWHD00000000:11:2011621:2012989:-1 gene:ONIVA11G02560 transcript:ONIVA11G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERTIPPPVSSHGEDFAEVVVVRHGETSANALCIIQGQTDIELNEAGRQQAVMVARRLAKEAKPVAVYSSDLKRAAETAQTIATACNVSNLVLSPALRERHMGDLHGLKFEDAVRSKPDAYKAFSSEDRSQEIPGGGESLDQLSERCVSYLNTIAGKHKGERVIVVSHGASIEELCRHADPTSSVRRRIPNTSICVFNISGSTGHWILERFGDVAHLNEDDFP >ONIVA11G02550.1 pep chromosome:AWHD00000000:11:1988092:2010044:-1 gene:ONIVA11G02550 transcript:ONIVA11G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYAYSMLLILPLILYMSYHLTRTLAEKKPTTHGLKAHPLLGHLPAFVRNSHRFLDWSTELIAGSPEMRIGLWIPGMRSGIVTGNPADVEHILRTNFANYPKGQHAIGMLEDFLGHGLFNSDGEQWLWQRKNASYEFSKRSLRKFVVDVVQAEVANRLLPLLRRAAGDGVGGDAVVLDLQDVLQRFGFDTICMVAFGHDPRCLADGGVLEEAKSEFMRNFGEALDLVIGRFMDPIEVSWKIKKWLNIGTERRLKKAIADVHAFAMDIVRARRQSASVKDRDDVLSRFVASDEYRDEVLRDIVLSFLVAGRETTSSGLTWFFWLLSSRPDVVARIADEVRAVRKATGTRPGEPFGFDTLREMHYLHAALTESMRLYPPVPTDPQSCAADDTLPDGTFVRAGWFVNYSAYAMGRLAAIWGEDCMEYRPERWLGDDGAFQPASPFRFTVFHAGPRMCLGKEMAYVQMKSIVANVLEELVVDVVKEVAGGGAPEHVFSISLRMKGGLPVKIRRKGEWGRTRGRMCLENLPWGSCSGLDVPRAPLAAGSTQGWTYPEHHPRWLRSGANVLRHNLRERSNFNAEVFACNEIEIPTFVIEELYWHADLSIQLTQIIPDTSIRVFHISSTTGRWILERLSGRCGPLRSDGNRSRLATTGHMRGTVRPRIQAAGPAGRRWLRLAATLRPISPATAAVRYPLFPLACGRGGQVPAVTMAKEVDRFVELVVVRHGETSWNASRIVQGQMDPELNEIGKQQAVVVARRLAREARPAAIYSSDLKRAAETAEIIAKACDVSNLMLTEALRERHMGYLQGLMWDDAVNKSPGVFKGFANFEVKNGLDFDDRNHELPKRRKKKEANARERVIVVGHGAAILELCRHTDPPNRSIRRKIPNTSLNIFRISGVTGRWILERLLPRITPLPRRRLRRSHSPNPLISPAVAASLAGVLATRSTNPTWARSLAALLPSPLSDAHLAAAVSSLPDPDLALALLSWSQSPDHHEALPGPATPLAHSALLRLLARSRRFDAVDDTLQSMSLAGAAPTRACLGALVAAYADAGMLGKATDMCERLREQYGSLPEVTHCNRLLKLLVEQRRWDDARKLYDEMLGEDSGADNYSTCVLVRGLCLEGRVEEGLRLIEARWGAGCIPHVVFYNVLIDGYCRRGDMGRGLLLLGEMEAKGFLPTLVTYGSLINCLGKKGDLEKIGSLFLEMRKRGLSPNVQIYNSVIDALCKCWSATQAMVILKQMFASGCDPDIITFNTLITGLCHEGHVRKAEHFLREAIRRELNPNQLSYTPLIHGFCMRGELMAASDLLMEMMGRGHTPDVVTFGALIHGLVVAGKVSEALIVREKMTERQVFPDVNIYNVLISGLCKKHMLPAAKNILEEMLEKNVQPDEFVYATLIDGFIRSENLGDARKIFEFMEHKGVRPDIVSCNAMIKGYCQFGMMSEAILCMSNMRKVGCIPDEFTYTTVISGYAKQGNLNGALRWLCDMIKRKCKPNVVTYSSLINGYCKTGDTDSAEGLFANMQAEALSPNVVTYTILIGSLFKKDKVLRAGLYFETMLLNHCSPNDVTLHYLVNGLTSCTPCVINSICCNTSEVHGKDALLVVFKKLVFDIGDPRNSAYNAIIFSLCRHNMLREALDFKNRMAKKGYVPNPITFLSLLYGFCSVGKSMNWRTILPNEFQQEEFEIIFRYKFLFDQYATESVCCEVSRQLEVERAGTFIVPSNWRSGINVTKSPTEISTQRY >ONIVA11G02550.2 pep chromosome:AWHD00000000:11:1988092:2010044:-1 gene:ONIVA11G02550 transcript:ONIVA11G02550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYAYSMLLILPLILYMSYHLTRTLAEKKPTTHGLKAHPLLGHLPAFVRNSHRFLDWSTELIAGSPEMRIGLWIPGMRSGIVTGNPADVEHILRTNFANYPKGQHAIGMLEDFLGHGLFNSDGEQWLWQRKNASYEFSKRSLRKFVVDVVQAEVANRLLPLLRRAAGDGVGGDAVVLDLQDVLQRFGFDTICMVAFGHDPRCLADGGVLEEAKSEFMRNFGEALDLVIGRFMDPIEVSWKIKKWLNIGTERRLKKAIADVHAFAMDIVRARRQSASVKDRDDVLSRFVASDEYRDEVLRDIVLSFLVAGRETTSSGLTWFFWLLSSRPDVVARIADEVRAVRKATGTRPGEPFGFDTLREMHYLHAALTESMRLYPPVPTDPQSCAADDTLPDGTFVRAGWFVNYSAYAMGRLAAIWGEDCMEYRPERWLGDDGAFQPASPFRFTVFHAGPRMCLGKEMAYVQMKSIVANVLEELVVDVVKEVAGGGAPEHVFSISLRMKGGLPVKIRRKGEWGRTRGRMCLENLPWGSCSGLDVPRAPLAAGSTQGWTYPEHHPRWLRSGANVLRHNLRERSNFNAEVFACNEIEIPTFVIEELYWHADLSIQLTQIIPDTSIRVFHISSTTGRWILERLSGRCGPLRSDGNRSRLATTGHMRGTVRPRIQAAGPAGRRWLRLAATLRPISPATAAVRYPLFPLACGRGGQVPAVTMAKEVDRFVELVVVRHGETSWNASRIVQGQMDPELNEIGKQQAVVVARRLAREARPAAIYSSDLKRAAETAEIIAKACDVSNLMLTEALRERHMGYLQGLMWDDAVNKSPGVFKGFANFEVKNGLDFDDRNHELPPCPDNQDHIKRNGWLKCFANVALTGERVIVVGHGAAILELCRHTDPPNRSIRRKIPNTSLNIFRISGVTGRWILERLLPRITPLPRRRLRRSHSPNPLISPAVAASLAGVLATRSTNPTWARSLAALLPSPLSDAHLAAAVSSLPDPDLALALLSWSQSPDHHEALPGPATPLAHSALLRLLARSRRFDAVDDTLQSMSLAGAAPTRACLGALVAAYADAGMLGKATDMCERLREQYGSLPEVTHCNRLLKLLVEQRRWDDARKLYDEMLGEDSGADNYSTCVLVRGLCLEGRVEEGLRLIEARWGAGCIPHVVFYNVLIDGYCRRGDMGRGLLLLGEMEAKGFLPTLVTYGSLINCLGKKGDLEKIGSLFLEMRKRGLSPNVQIYNSVIDALCKCWSATQAMVILKQMFASGCDPDIITFNTLITGLCHEGHVRKAEHFLREAIRRELNPNQLSYTPLIHGFCMRGELMAASDLLMEMMGRGHTPDVVTFGALIHGLVVAGKVSEALIVREKMTERQVFPDVNIYNVLISGLCKKHMLPAAKNILEEMLEKNVQPDEFVYATLIDGFIRSENLGDARKIFEFMEHKGVRPDIVSCNAMIKGYCQFGMMSEAILCMSNMRKVGCIPDEFTYTTVISGYAKQGNLNGALRWLCDMIKRKCKPNVVTYSSLINGYCKTGDTDSAEGLFANMQAEALSPNVVTYTILIGSLFKKDKVLRAGLYFETMLLNHCSPNDVTLHYLVNGLTSCTPCVINSICCNTSEVHGKDALLVVFKKLVFDIGDPRNSAYNAIIFSLCRHNMLREALDFKNRMAKKGYVPNPITFLSLLYGFCSVGKSMNWRTILPNEFQQEEFEIIFRYKFLFDQYATESVCCEVSRQLEVERAGTFIVPSNWRSGINVTKSPTEISTQRY >ONIVA11G02550.3 pep chromosome:AWHD00000000:11:1988092:2010044:-1 gene:ONIVA11G02550 transcript:ONIVA11G02550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYAYSMLLILPLILYMSYHLTRTLAEKKPTTHGLKAHPLLGHLPAFVRNSHRFLDWSTELIAGSPEMRIGLWIPGMRSGIVTGNPADVEHILRTNFANYPKGQHAIGMLEDFLGHGLFNSDGEQWLWQRKNASYEFSKRSLRKFVVDVVQAEVANRLLPLLRRAAGDGVGGDAVVLDLQDVLQRFGFDTICMVAFGHDPRCLADGGVLEEAKSEFMRNFGEALDLVIGRFMDPIEVSWKIKKWLNIGTERRLKKAIADVHAFAMDIVRARRQSASVKDRDDVLSRFVASDEYRDEVLRDIVLSFLVAGRETTSSGLTWFFWLLSSRPDVVARIADEVRAVRKATGTRPGEPFGFDTLREMHYLHAALTESMRLYPPVPTDPQSCAADDTLPDGTFVRAGWFVNYSAYAMGRLAAIWGEDCMEYRPERWLGDDGAFQPASPFRFTVFHAGPRMCLGKEMAYVQMKSIVANVLEELVVDVVKEVAGGGAPEHVFSISLRMKGGLPVKIRRKGEWGRTRGRMCLENLPWGSCSGLDVPRAPLAAGSTQGWTYPEHHPRWLRSGANVLRHNLRERSNFNAEVFACNEIEIPTFVIEELYWHADLSIQLTQIIPDTSIRVFHISSTTGRWILERLSGRCGPLRSDGNRSRLATTGHMRGTVRPRIQAAGPAGRRWLRLAATLRPISPATAAVRYPLFPLACGRGGQVPAVTMAKEVDRFVELVVVRHGETSWNASRIVQGQMDPELNEIGKQQAVVVARRLAREARPAAIYSSDLKRAAETAEIIAKACLMWDDAVNKSPGVFKGFANFEVKNGLDFDDRNHELPKRRKKKEANARERVIVVGHGAAILELCRHTDPPNRSIRRKIPNTSLNIFRISGVTGRWILERLLPRITPLPRRRLRRSHSPNPLISPAVAASLAGVLATRSTNPTWARSLAALLPSPLSDAHLAAAVSSLPDPDLALALLSWSQSPDHHEALPGPATPLAHSALLRLLARSRRFDAVDDTLQSMSLAGAAPTRACLGALVAAYADAGMLGKATDMCERLREQYGSLPEVTHCNRLLKLLVEQRRWDDARKLYDEMLGEDSGADNYSTCVLVRGLCLEGRVEEGLRLIEARWGAGCIPHVVFYNVLIDGYCRRGDMGRGLLLLGEMEAKGFLPTLVTYGSLINCLGKKGDLEKIGSLFLEMRKRGLSPNVQIYNSVIDALCKCWSATQAMVILKQMFASGCDPDIITFNTLITGLCHEGHVRKAEHFLREAIRRELNPNQLSYTPLIHGFCMRGELMAASDLLMEMMGRGHTPDVVTFGALIHGLVVAGKVSEALIVREKMTERQVFPDVNIYNVLISGLCKKHMLPAAKNILEEMLEKNVQPDEFVYATLIDGFIRSENLGDARKIFEFMEHKGVRPDIVSCNAMIKGYCQFGMMSEAILCMSNMRKVGCIPDEFTYTTVISGYAKQGNLNGALRWLCDMIKRKCKPNVVTYSSLINGYCKTGDTDSAEGLFANMQAEALSPNVVTYTILIGSLFKKDKVLRAGLYFETMLLNHCSPNDVTLHYLVNGLTSCTPCVINSICCNTSEVHGKDALLVVFKKLVFDIGDPRNSAYNAIIFSLCRHNMLREALDFKNRMAKKGYVPNPITFLSLLYGFCSVGKSMNWRTILPNEFQQEEFEIIFRYKFLFDQYATESVCCEVSRQLEVERAGTFIVPSNWRSGINVTKSPTEISTQRY >ONIVA11G02540.1 pep chromosome:AWHD00000000:11:1984975:1986528:1 gene:ONIVA11G02540 transcript:ONIVA11G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYYYSMLFVLPPILYMSYHLTRILADKKKPTTHGLKAHPLLGHLPAFVNNSHRFLDWTTELIVGSPEMRMGFWIPGMRTGIITGNPADVEHILRTNFANYPKGEHAIGMLEDFLGHGLFNSDGEQWLWQRKNASYEFSNRSLRRFVVDVVQAEIADRFLPLLRRAAGDGGGDGDVVVLDLQEVLQRFGFDTICMVAFGHDPRCLADGGVMEDARSEFMHTFGEAQDLVVGRFFDPIEVSWKIKKWLNVGTERRLRKAIADVHAFAMDIVRARRQSASVNDRDDVLSRFVASDEHSDEVLRDIVLSFLIAGRETTASGLSWFFWLLSSRPDVAARIADEVRAVREATGTRPGEPFRFDALREMHYLHAALTESMRLYPPAPIDSQSCAADDTLPDGTLLRAGWSVTYSAYAMGRLAAIWGEDCLEYRPERWLGDDGAFQPASPFRFTVFHAGPRMCLGKEMAYVQMKSIVANVLEEFEVDVVKEVAGGGVPEHVLSVTLRMKGGLPVKIRRKTEAY >ONIVA11G02530.1 pep chromosome:AWHD00000000:11:1983644:1984300:1 gene:ONIVA11G02530 transcript:ONIVA11G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPARRLMDSRAAQLAAPLPDSYSAETFEDLLRELGVDPSIHTIVRSAGRWMDPAAAAARVPVRFHRLLQRLGIDPNSDARSIRDMLQEFYRVVYHGEVYWAGRVIRPRPSSMPTPVLGRRRRAADGDAPMQPPSKYARVHAVSRDVLLGLALTKACDARQEECAVCLSDFEEKDRLRTMPCNHSFHENCLFRWLRDSCLCPLCRYALPKQQQVQSC >ONIVA11G02520.1 pep chromosome:AWHD00000000:11:1981593:1982937:-1 gene:ONIVA11G02520 transcript:ONIVA11G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVKRTLVVPPPPTCETEEVPLTVFDLVAPTYHVTVLFAFSPPNPTTRALLDALSAMLPHFPLLTARLERRGARRRPFFVTGRGGAGALVVEAEVSSELADHLPLAPSPELARLHPPVNTDAPTPHVLLVQINRFACGGLVVASSAHHQAADGFSMSTFFHAWTDAIRRNGAPLLDRPVPYGPGALSPRRPPRCEFEHRGKEFLPHDGVTSRQGQGADTGAVRIDPSEVANVLLHCPSEFLKRRAQGKYTTFETVSAHVWKKITAVRGLDAGVRTSVNVSVNGRARLGTAAVPNGFFGNLIITASSGATARELTTGTLADAAALVRAGIRAVDRRYFQSFVDFGALHCDGDGDEDEPVEPVNVDEPGVLSPDVDSDSWLHLELHRLDLGLGGRLAGILPAKVPEDGVVVVMPSLRKGGGVEVFVALWEKHAKELTSIAYTMD >ONIVA11G02510.1 pep chromosome:AWHD00000000:11:1978775:1981576:-1 gene:ONIVA11G02510 transcript:ONIVA11G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTEEWKVTYQWQEFLPIDAEEILKIRIHKRPVDDVVAWHFEKTVSSLRRDRPSYKYNWQAEVPPKVKILHGGWLVMNTVATNTFSGSVMEDAMEDNLSCSG >ONIVA11G02500.1 pep chromosome:AWHD00000000:11:1970378:1972237:-1 gene:ONIVA11G02500 transcript:ONIVA11G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTDDAVEAAIWVLPNPPDWSVFLLPPAGAREGGGYDNDSRVEISITTGTGGHQERGASSSAGGLREGGAAREVEERGGGDVGLTATAISGRRRGDGSLAAGSSIAGGHGGGTADDEPHSEGTVAGAVQGHLAGVGSLPTPTDEASRFEGRYEDDYNPYVDLYKFGRPAPDFWYSVWMRDPLVLHDKKLLLASDSLGVHRGGEIPDLADAITDVLDNHPGPVSYFRVDSTTWTDDNQLVKWFETLSNKSVVEITLLNIGCPVSTILPISELLSPNLTTLRVGFMKILDSDLLSFEYSSLSVLQLIGCSYRGADLNYLEIRCVSSIRQLQVGVNFAERSHRASLRNILECFPELSILVIWRMDGIVYDEGSDVLFDSSFVGLGSVSCVKTRIQYFELEGFRGGPAEMDIARGILRHASRLAKFVLSHHKNYSEEDLVEQLEEIKTCIRASEDCIIEVHPLKDSPY >ONIVA11G02490.1 pep chromosome:AWHD00000000:11:1962578:1966741:-1 gene:ONIVA11G02490 transcript:ONIVA11G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECLSSLEDQRLNYVEMHAGNAGIQTEKLESLRIAVAKDSPMWETLDICLKVVDKESLDLLVPRLAQMVKSAVGLNTRVGVASFITLLVQKVMVEIKSYAAALLRLLYSAVLEEKSSAAKRAFASSCASVLKYASPSQAQKLIEDTTSLHLGEKNAQLSAAILIKSYLSNAADILSGYNAVVLPVIFASRFDDDKDIGALYGELWEDIPSSERVTLQLYLPEIISLLCDSMSSSSWAGKRKSAKAIKKLCDALGESLSVHHNNILESLLKELPGRFWEGKDAILDALAALCSSCHTAMSAEDSGMPSVILNAVCAACSRKSKLYREAAFSCLQQVITAFKDPGFFNIVFPMLYEVSNRSVICKTRNSSSLTASSSAEQDETEGVSVSLDKVLNCVASSITVAFLQDIINQRKNILEIILNSLSPEESWQIKLSSFLCIKELCYKFQNSDGNNTWPEETTYLVEELFHSTAPKVVDVIRLVKIAQVHTAASECLLELSKLYRDFPLVDRKGPKFSGELAELCESEKSEQAKAFLKQCMDILKDFEDPTGLAMEMD >ONIVA11G02480.1 pep chromosome:AWHD00000000:11:1957959:1963369:1 gene:ONIVA11G02480 transcript:ONIVA11G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASVDDSNTNNIAMVATIRRSFTAVLIHGICKANILIHPAMGWIWSSELFHGRFHQTTSNRRNELTCSIMTVAMQHVLWDLPRFVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVVEGIKHVPDVRFPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNLNCTIKESLVRYHDVVTSAKKHGIRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVLAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >ONIVA11G02480.2 pep chromosome:AWHD00000000:11:1958065:1963369:1 gene:ONIVA11G02480 transcript:ONIVA11G02480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASVDDSNTNNYKHRNGGNNQTIFHSSAHSWHMQGQYTDSSCNGVDMEFRALPRKVLWDLPRFVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVVEGIKHVPDVRFPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNLNCTIKESLVRYHDVVTSAKKHGIRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVLAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >ONIVA11G02480.3 pep chromosome:AWHD00000000:11:1957959:1963369:1 gene:ONIVA11G02480 transcript:ONIVA11G02480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASVDDSNTNNYKHRNGGNNQTIFHSSAHSWHMQGQYTDSSCNGVDMEFRALPRKVLWDLPRFVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVVEGIKHVPDVRFPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNLNCTIKESLVRYHDVVTSAKKHGIRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVLAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >ONIVA11G02480.4 pep chromosome:AWHD00000000:11:1958421:1963369:1 gene:ONIVA11G02480 transcript:ONIVA11G02480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQCGMSSLEEPLGLGDLPKLSINRLERFSPSACRASVDDSNTNNYKHRNGGNNQTIFHSSAHSWHMQGQYTDSSCNGVDMEFRALPRKVLWDLPRFVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVVEGIKHVPDVRFPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNLNCTIKESLVRYHDVVTSAKKHGIRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVLAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >ONIVA11G02480.5 pep chromosome:AWHD00000000:11:1958436:1963369:1 gene:ONIVA11G02480 transcript:ONIVA11G02480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASVDDSNTNNYKHRNGGNNQTIFHSSAHSWHMQGQYTDSSCNGVDMEFRALPRKVLWDLPRFVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVVEGIKHVPDVRFPVLTPNLRGFEAAVAAGAKEVAVFASASESFSKSNLNCTIKESLVRYHDVVTSAKKHGIRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVLAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >ONIVA11G02470.1 pep chromosome:AWHD00000000:11:1950975:1955596:-1 gene:ONIVA11G02470 transcript:ONIVA11G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar ribonucleoprotein protein MPP10 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXZ8] MAMDLDEPLDAEKGEAALRRLRDADPALYLSPSADLAAAAREASKHLYASLVPFSPAQPPPLSNLLAGPAFDAEQIWSQIELLSRPLIPHLRRQLRRLEKQPPSQPPPRTESKSADAEEKSEEEDEEDGEGDEELEELDDVDDEEESEEEEEEEEEDKEGLEDKAGNQVEDEFFKIKDLDNFMVKGEEAEYGGGAKQGKKKKKTENWMEEDSDEEEEEDLDEDEDNEDEEDDDEHLDLEDFDDEEEEEEGAVGDIMYKDFFEEADDQKVRKKGGSKKVQFKDEPDEPEVDDKNDDGNVSQDEQGLSTHEKARLKMHAKIEEMEKANLEPSMWTMQGEVNASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIIEGHFDDVEKPSPLQFKSPKEQKDLDESKSKKGLAELYEDDYAQKAGLAPAPLSISDELKNEAKTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSEAAMLAPEEIFEGKGDVKEEAELTQAERKRRRANKKRRYAGSHKERPAKMQKD >ONIVA11G02460.1 pep chromosome:AWHD00000000:11:1945188:1948012:-1 gene:ONIVA11G02460 transcript:ONIVA11G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXZ7] MITVVDLYHVLTAVVPLYVAMTLAYASVRWWRIFSPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKLIVLALLALWCRLSARGSLDWLITLFSLSTLPNTLVMGIPLLKGMYAAAGAGAGADSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLVMEQFPDTAASIVSFRVDSDVVSLAGGGGGAAELQAEAEVGDDGRMRVTVRKSTSSRSEAACSHGTQSHSQSMQPRVSNLSGVEIYSLQSSRNPTPRAQAYDEYSFGNKNEKDGPTLSKLGSNSTAQLRPKDDGEGMAAAMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLASYAMAVRFLVGPAVMAAASIAVGLRGVLLHIAIVQVSCKFRLLHQNASLTAKESIVTQQLSLNSQAALPQGIVPFVFAKEYNVHPNILSTAYDLFTIFSFSVLEKCPHFERDIRDADCSPHHIGLLHTAGALKSSPSLNSTVQELDVTDSLIRIYGSVLVAQILFG >ONIVA11G02450.1 pep chromosome:AWHD00000000:11:1939458:1941801:-1 gene:ONIVA11G02450 transcript:ONIVA11G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLFFGDINDAIAALTTTAADTGGFTHLLSVVSSASISFITDCRPGLSIPTEEVRRVVAGEEGAPPVSAVAPGRLLRVVERAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLVHCFAGVSRSATIIVAYLMRTEQKSLEEALESLKEVNESACPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIVAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTT >ONIVA11G02440.1 pep chromosome:AWHD00000000:11:1938020:1938637:-1 gene:ONIVA11G02440 transcript:ONIVA11G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRARPMTGLLLFTGVNLVLLNTITPVYDFVCFHPYWERRRERRQKERKALQANGSLQTAK >ONIVA11G02430.1 pep chromosome:AWHD00000000:11:1932676:1934468:1 gene:ONIVA11G02430 transcript:ONIVA11G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEEKQSSVGRWRCRWLVDVGRWRPSPAEFQAAAALLPPPDRPAIHRFVREDDRKRALVSRLLQYSLVHHALGIPFHQIRINRTLEGKPYLQNKNANFPGFNFNTSHQGEYVGIASEPLCLVGLDIVCISKPQRETALEFINNFTSYLTDHEWNCIVTAGSHDGMLTEFYRYWCLKEAFVKATGAGVGFGLQRLEFHHMNWTNISLRIDGEEDRKWRFWLFKIDEKHLASIAKGHPEDAIDSFRRTLSDVVIQEGELHTAIEIPEEAFTLLTVEQLIQLHD >ONIVA11G02430.2 pep chromosome:AWHD00000000:11:1932676:1934468:1 gene:ONIVA11G02430 transcript:ONIVA11G02430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEFYRYWCLKEAFVKATGAGVGFGLQRLEFHHMNWTNISLRIDGEEDRKWRFWLFKIDEKHLASIAKGHPEDAIDSFRRTLSDVVIQEGELHTAIEIPEEAFTLLTVEQLIQLHD >ONIVA11G02420.1 pep chromosome:AWHD00000000:11:1925059:1932178:-1 gene:ONIVA11G02420 transcript:ONIVA11G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLVLETAYQYHEGCPACAVERSKAVNPGIPYMRFFHIWIIILVSCLPISSLFPFLYFMIRDLHVAKRVEDIGFYAGFVAYYNRALQAYAVEVCRPEHQSIGLSLVSTSWAIGLIVGPAIGGYLAQPSEKYPILFPANSLFGRFPYFLPCLCISIFCFVILISCIWLPETLHKHATERNGDCKIGSLSIHLVDSEEFVKQHTGPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMAILCSYPPMTYLSRPWLSIVVNIASMLKNNFVCKLPVYMVVQPQHQRATANGLATTLMSFFKAFAPAGAGIL >ONIVA11G02410.1 pep chromosome:AWHD00000000:11:1917099:1922181:1 gene:ONIVA11G02410 transcript:ONIVA11G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXY9] MVKASMKPYFVAIVVQLIYTGMFMISKAAFNHGMNIYIFEKYTTRHDTWGAHKALLLCLNRDYAWGKSVPCKSQVHLCNSGICSRQLTPSHHLLLGGAFEDRTEYVKLRSSSGIAKVTSVAICLAGVFTIAFFTGPSISPINHHRAFASDTSSKTVVPRGVWIKWTFLMVVANMCWSLWIIFQAAVQKEYPDKMVVTVTQCLFSTVQSFVVAVVAERDFSRWKLRFDISLLVILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVHLGSILGGILLGKSKEGNETDDVTDDDIEKSTHIYPGEQQHTTTDQAKESTLTSSTALHVQEL >ONIVA11G02410.2 pep chromosome:AWHD00000000:11:1916994:1922181:1 gene:ONIVA11G02410 transcript:ONIVA11G02410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXY9] MTLGVLIKLFFCALIGITLGVNLYHVSLKFTSATVASAVDSSLPAITFFLADRTEYVKLRSSSGIAKVTSVAICLAGVFTIAFFTGPSISPINHHRAFASDTSSKTVVPRGVWIKWTFLMVVANMCWSLWIIFQAAVQKEYPDKMVVTVTQCLFSTVQSFVVAVVAERDFSRWKLRFDISLLVILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVHLGSILGGILLGKSKEGNETDDVTDDDIEKSTHIYPGEQQHTTTDQAKESTLTSSTALHVQEL >ONIVA11G02410.3 pep chromosome:AWHD00000000:11:1916994:1922181:1 gene:ONIVA11G02410 transcript:ONIVA11G02410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXY9] MTLGVLIKLFFCALIGITLGVNLYHDRTEYVKLRSSSGIAKVTSVAICLAGVFTIAFFTGPSISPINHHRAFASDTSSKTVVPRGVWIKWTFLMVVANMCWSLWIIFQAAVQKEYPDKMVVTVTQCLFSTVQSFVVAVVAERDFSRWKLRFDISLLVILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVHLGSILGGILLGKSKEGNETDDVTDDDIEKSTHIYPGEQQHTTTDQAKESTLTSSTALHVQEL >ONIVA11G02400.1 pep chromosome:AWHD00000000:11:1891608:1915556:-1 gene:ONIVA11G02400 transcript:ONIVA11G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALMFFLSRTFFPAVLLFRNSVCLRTCTSRQAIKFLGSVLAQLLLFCFDFFFCIAMASIGDGAPGAGGNGSAPGGGGNGSAPGDNTNEGNTNASTSSALFSGGEEADHGRRRQAEAPLLEKKGSTGISNGYCIERCGVDRRKAASSSIPYRSFLFVWIITLCTDRAKVYRVREIDTASEIGELMGDHGRRRQPEAAAPLLEKKGSTGTGVGDGSCIEGCPGCVVDRRKAASSGIPYGSFLFVWIVTLCTALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVDRIGRKPVVVFGIFAAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQIFSLWAESDRKYGGLSLSSEDVGQVLAITGSSLLVYQLFIYPRINKILCIPILFAYPYMTYLSGPGLTIILNIASVIKNNLGPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQHASFLPGDQMVFFLLNLFELLGLILTFKPFLAPFRRLALLLPDPESSSCELALAVAAMAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAAVAAADSGAAAPAASKEEEKKEEPEEESDDRSMGSSEEEAAAPLLLLPAAAAAAEERCPGCVQEQRKARRGGRIPYTELFFVAVTTLASSLPITCLFPFLYFMVRDLQVAQTEEDIGNYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIMVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQILSLWAVSDRKYGGLSFSSEDIGQVLAAAGASLLAYQLIFYHWVHKFLGPIISLRIASALSILILSTYPFMTYFSGTRLSFALYSAAMMKSALAITISTGISLLQNNAVLQEHRGTANGVSTTAVIFQGNCSSRGRSSILMGAKTSGCLLLSSLAFLKPVGDQVVFLMLNVVELIGLIFTFEPFMVLPAASDECS >ONIVA11G02400.2 pep chromosome:AWHD00000000:11:1891608:1915556:-1 gene:ONIVA11G02400 transcript:ONIVA11G02400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALMFFLSRTFFPAVLLFRNSVCLRTCTSRQAIKFLGSVLAQLLLFCFDFFFCIAMASIGDGAPGAGGNGSAPGGGGNGSAPGDNTNEGNTNASTSSALFSGGEEADHGRRRQAEAPLLEKKGSTGISNGYCIERCPGAASTGVRPRAPASPTGASSSSGSSRSAQIRDLHVAKRTEDIGFYAGFVDRIGRKPVVVFGIFAAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQIFSLWAESDRKYGGLSLSSEDVGQVLAITGSSLLVYQLFIYPRINKILCIPILFAYPYMTYLSGPGLTIILNIASVIKNNLGPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQHASFLPGDQMVFFLLNLFELLGLILTFKPFLAPFRRLALLLPDPESSSCELALAVAAMAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAAVAAADSGAAAPAASKEEEKKEEPEEESDDRSMGSSEEEAAAPLLLLPAAAAAAEERCPGCVQEQRKARRGGRIPYTELFFVAVTTLASSLPITCLFPFLYFMVRDLQVAQTEEDIGNYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIMVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQPVEKYPHIFSKESVFGRFPYLLPCLGVSLFAAIVLISCIWLPILSLWAVSDRKYGGLSFSSEDIGQVLAAAGASLLAYQLIFYHWVHKFLGPIISLRIASALSILILSTYPFMTYFSGTRLSFALYSAAMMKSALAITISTGISLLQNNAVLQEHRGTANGVSTTAVIFQGNCSSRGRSSILMGAKTSGCLLLSSLAFLKPVGDQVVFLMLNVVELIGLIFTFEPFMVLPAASDECS >ONIVA11G02400.3 pep chromosome:AWHD00000000:11:1891840:1907399:-1 gene:ONIVA11G02400 transcript:ONIVA11G02400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAGAEVPLLKIKGDYHQGCPGCAYDRKKEVYRGLPYKEFLYLWMICLTAALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVDRIGRKPVVVFGIFAAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQRNFPMSFPLTHCLQESDRKYGGLSLSSEDVGQVLAITGSSLLVYQLFIYPRINKILCIPILFAYPYMTYLSGPGLTIILNIASVIKNNLGPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQHASFLPGDQMVFFLLNLFELLGLILTFKPFLAPFRRLALLLPDPESSSCELALAVAAMAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAAVAAADSGAAAPAASKEEEKKEEPEEESDDRSMGSSEEEAAAPLLLLPAAAAAAEERCPGCVQEQRKARRGGRIPYTELFFVAVTTLASSLPITCLFPFLYFMVRDLQVAQTEEDIGNYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIMVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQILSLWAVSDRKYGGLSFSSEDIGQVLAAAAAMMKSALAITISTGISLLQNNAVLQEHRGTANGVSTTAVIFQGNCSNSHGRKNVRMPSSFQVSYLFELHCAILPYLSVLRRNSLRE >ONIVA11G02400.4 pep chromosome:AWHD00000000:11:1891840:1907399:-1 gene:ONIVA11G02400 transcript:ONIVA11G02400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAGAEVPLLKIKGDYHQGCPGCAYDRKKEVYRGLPYKEFLYLWMICLTAALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVDRIGRKPVVVFGIFAAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQIFSLWAESDRKYGGLSLSSEDVGQVLAITGSSLLVYQLFIYPRINKILCIPILFAYPYMTYLSGPGLTIILNIASVIKNNLGPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQHASFLPGDQMVFFLLNLFELLGLILTFKPFLAPFRRLALLLPDPESSSCELALAVAAMAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAAVAAADSGAAAPAASKEEEKKEEPEEESDDRSMGSSEEEAAAPLLLLPAAAAAAEERCPGCVQEQRKARRGGRIPYTELFFVAVTTLASSLPITCLFPFLYFMVRDLQVAQTEEDIGNYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIMVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQILSLWAVSDRKYGGLSFSSEDIGQVLAAAAAMMKSALAITISTGISLLQNNAVLQEHRGTANGVSTTAVIFQGNCSNSHGRKNVRMPSSFQVSYLFELHCAILPYLSVLRRNSLRE >ONIVA11G02390.1 pep chromosome:AWHD00000000:11:1883321:1886152:-1 gene:ONIVA11G02390 transcript:ONIVA11G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVILNAVCAACSRKSKLYREAAFSCLQQVITAFKDPGFFNNVFPMLYEVSNRSVICKTRNSSSLTASSSAEQDETEGVSVSLDKVLNCVASLITVAFLQDIINQRKNILEIILNSLSPEESWQIKLSSFLCIKELCYKFQNPDGNNTWPEETTYLVEELFHSTAPKVVDVIRLVKIAQVHTAASECLLELSKLYRDFPLVDRKGPKFSGELAELCESEKSEQAKAFLKQCMDILKDFEDATGLAMEMD >ONIVA11G02380.1 pep chromosome:AWHD00000000:11:1879238:1883629:1 gene:ONIVA11G02380 transcript:ONIVA11G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPNACRASADDRSTSKRSFTAVLIHGICKANILIPPAMEWIWSSELFHGRSLHQTTSNTRNELTCSIMSAAIQHVLWELPRFVKIVEVGPRDGLQNEKSTVPASVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLQGIRHVPDVRFPVLTPNLRGFEAALAAGAKEVAVFASASESFSKSNLNCTIKESLVRYRDVVTSAKKHGMRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSILAMLEAVMSFVPVDKLAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >ONIVA11G02380.2 pep chromosome:AWHD00000000:11:1879238:1883629:1 gene:ONIVA11G02380 transcript:ONIVA11G02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPNACRASADDRSTSNYKHHNGGNNQTIFHSSSHSWHMQGQYTDSSCNGVDMEFRALPRKVLWELPRFVKIVEVGPRDGLQNEKSTVPASVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLQGIRHVPDVRFPVLTPNLRGFEAALAAGAKEVAVFASASESFSKSNLNCTIKESLVRYRDVVTSAKKHGMRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSILAMLEAVMSFVPVDKLAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >ONIVA11G02380.3 pep chromosome:AWHD00000000:11:1879238:1883629:1 gene:ONIVA11G02380 transcript:ONIVA11G02380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPNACRASADDRSTSNYKHHNGGNNQTIFHSSSHSWHMQGQYTDSSCNGVDMEFRALPRKVLWELPRFVKIVEVGPRDGLQNEKSTVPASVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLQGIRHVPDVRFPVLTPNLRGFEAALAAGAKEVAVFASASESFSKSNLNCTIKESLVRYRDVVTSAKKHGMRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSILAMLEAVMSFVPVDKLAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >ONIVA11G02370.1 pep chromosome:AWHD00000000:11:1876547:1877120:-1 gene:ONIVA11G02370 transcript:ONIVA11G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDEPLDAEKGDAALRRLRDADPALYLSPSADLAAAAREASKHLYASLVPFSPAQPPPLSNLLAGPAFDAEQIWSQIELLSRPLIPHLRRQLRRXTRHRYPVRDVRIWCSADSLVALTPN >ONIVA11G02360.1 pep chromosome:AWHD00000000:11:1855626:1872368:-1 gene:ONIVA11G02360 transcript:ONIVA11G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMILNAFPSLQQIRELHIARTEEDIGFYAGFLADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLETGVEAAEASTTQGGAESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTEVIGLMLTFKPFLASMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLDRIGRKPIIKFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPSQSQRGAANSISMTAMSFFKAIAPAGAGVLFSWAQERQNEAFFPGDQMIFFILNRGQKKKLATLVGCNYAGTPNELQGCINDVAAMRDALVARFGFAPADVTVLTDDRGSPVLPTGANIKRALADMVARAAPGDVLFFHYSGHGTLVPPVKGRRHGHGECDEAIVPCDFNLITDVDFRRLVDRVPRGASFTMVSDSCHSGGLIDLEKEQIGPSVLAAGAAPAAAAASTTATRATAARFLPYAAVVEHLSGVSGVDAAHHVADHLLALFGADASAKFHRDAEQPARTDDDGILLSGCQTDETSADVPGDDEVAAGGKACGAFSNAIQTVLASHPAPVSNRQLVSMARAVLSDQGFEQHPCLYCSDGNAETPFLWQEEDKKKTVTAAAEQAMFSWAQKRQHAFFFPGDQMVFFILIIIEFLELIWTFKPFLAVP >ONIVA11G02360.2 pep chromosome:AWHD00000000:11:1855626:1872368:-1 gene:ONIVA11G02360 transcript:ONIVA11G02360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMILNAFPSLQQIRELHIARTEEDIGFYAGFLADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLETGVEAAEASTTQGGAESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTEVIGLMLTFKPFLASMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLDRIGRKPIIKFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPSQSQRGAANSISMTAMSFFKAIAPAGAGVLFSWAQERQNEAFFPGDQMIFFILNRGQKKKLATLVGCNYAGTPNELQGCINDVAAMRDALVARFGFAPADVTVLTDDRGSPVLPTGANIKRALADMVARAAPGDVLFFHYSGHGTLVPPVKGRRHGHGECDEAIVPCDFNLITDVDFRRLVDRVPRGASFTMVSDSCHSGGLIDLEKEQIGPSVLAAGAAPAAAAASTTATRATAARFLPYAAVVEHLSGVSGVDAAHHVADHLLALFGADASAKFHRDAEQPARTDDDGILLSGCQTDETSADVPGDDEVAAGGKACGAFSNAIQTVLASHPAPVSNRQLVSMARAVLSDQGFEQHPCLYCSDGNAETPFLWQEEDKKKTVTAAAEQAMFSWAQKRQHAFFFPGDQMVFFILIIIEFLELIWTFKPFLAVP >ONIVA11G02360.3 pep chromosome:AWHD00000000:11:1855626:1872368:-1 gene:ONIVA11G02360 transcript:ONIVA11G02360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLETGVEAAEASTTQGGAESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTEVIGLMLTFKPFLASMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLDRIGRKPIIKFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPSQSQRGAANSISMTAMSFFKAIAPAGAGVLFSWAQERQNEAFFPGDQMIFFILNRGQKKKLATLVGCNYAGTPNELQGCINDVAAMRDALVARFGFAPADVTVLTDDRGSPVLPTGANIKRALADMVARAAPGDVLFFHYSGHGTLVPPVKGRRHGHGECDEAIVPCDFNLITDVDFRRLVDRVPRGASFTMVSDSCHSGGLIDLEKEQIGPSVLAAGAAPAAAAASTTATRATAARFLPYAAVVEHLSGVSGVDAAHHVADHLLALFGADASAKFHRDAEQPARTDDDGILLSGCQTDETSADVPGDDEVAAGGKACGAFSNAIQTVLASHPAPVSNRQLVSMARAVLSDQGFEQHPCLYCSDGNAETPFLWQEEDKKKTVTAAAEQAMFSWAQKRQHAFFFPGDQMVFFILIIIEFLELIWTFKPFLAVP >ONIVA11G02360.4 pep chromosome:AWHD00000000:11:1855626:1872368:-1 gene:ONIVA11G02360 transcript:ONIVA11G02360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMILNAFPSLQQIRELHIARTEEDIGFYAGFLADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQETLHKHKGLETGVEAAEASTTQGGAESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTEVIGLMLTFKPFLASMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLDRIGRKPIIKFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPSQSQRGAANSISMTAMSFFKAIAPAGAGVLFSWAQERQNEAFFPGDQMIFFILNRGQKKKLATLVGCNYAGTPNELQGCINDVAAMRDALVARFGFAPADVTVLTDDRGSPVLPTGANIKRALADMVARAAPGDVLFFHYSGHGTLVPPVKGRRHGHGECDEAIVPCDFNLITDVDFRRLVDRVPRGASFTMVSDSCHSGGLIDLEKEQIGPSVLAAGAAPAAAAASTTATRATAARFLPYAAVVEHLSGVSGVDAAHHVADHLLALFGADASAKFHRDAEQPARTDDDGILLSGCQTDETSADVPGDDEVAAGGKACGAFSNAIQTVLASHPAPVSNRQLVSMARAVLSDQGFEQHPCLYCSDGNAETPFLWQEEDKKKTVTAAAEQAMFSWAQKRQHAFFFPGDQMVFFILIIIEFLELIWTFKPFLAVP >ONIVA11G02360.5 pep chromosome:AWHD00000000:11:1855626:1872368:-1 gene:ONIVA11G02360 transcript:ONIVA11G02360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLETGVEAAEASTTQGGAESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTEVIGLMLTFKPFLASMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLDRIGRKPIIKFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPSQSQRGAANSISMTAMSFFKAIAPAGAGVLFSWAQERQNEAFFPGDQMIFFILNRGQKKKLATLVGCNYAGTPNELQGCINDVAAMRDALVARFGFAPADVTVLTDDRGSPVLPTGANIKRALADMVARAAPGDVLFFHYSGHGTLVPPVKGRRHGHGECDEAIVPCDFNLITDVDFRRLVDRVPRGASFTMVSDSCHSGGLIDLEKEQIGPSVLAAGAAPAAAAASTTATRATAARFLPYAAVVEHLSGVSGVDAAHHVADHLLALFGADASAKFHRDAEQPARTDDDGILLSGCQTDETSADVPGDDEVAAGGKACGAFSNAIQTVLASHPAPVSNRQLVSMARAVLSDQGFEQHPCLYCSDGNAETPFLWQEEDKKKTVTAAAEQAMFSWAQKRQHAFFFPGDQMVFFILIIIEFLELIWTFKPFLAVP >ONIVA11G02360.6 pep chromosome:AWHD00000000:11:1855626:1872368:-1 gene:ONIVA11G02360 transcript:ONIVA11G02360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQETLHKHKGLETGVEAAEASTTQGGAESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTEVIGLMLTFKPFLASMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLDRIGRKPIIKFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCLCISLIALVVLISCIWLPSQSQRGAANSISMTAMSFFKAIAPAGAGVLFSWAQERQNEAFFPGDQMIFFILNRGQKKKLATLVGCNYAGTPNELQGCINDVAAMRDALVARFGFAPADVTVLTDDRGSPVLPTGANIKRALADMVARAAPGDVLFFHYSGHGTLVPPVKGRRHGHGECDEAIVPCDFNLITDVDFRRLVDRVPRGASFTMVSDSCHSGGLIDLEKEQIGPSVLAAGAAPAAAAASTTATRATAARFLPYAAVVEHLSGVSGVDAAHHVADHLLALFGADASAKFHRDAEQPARTDDDGILLSGCQTDETSADVPGDDEVAAGGKACGAFSNAIQTVLASHPAPVSNRQLVSMARAVLSDQGFEQHPCLYCSDGNAETPFLWQEEDKKKTVTAAAEQAMFSWAQKRQHAFFFPGDQMVFFILIIIEFLELIWTFKPFLAVP >ONIVA11G02350.1 pep chromosome:AWHD00000000:11:1853331:1853832:-1 gene:ONIVA11G02350 transcript:ONIVA11G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAPVAVSEAAMLAPEEIFEGKGDVKEEAELTQAERKRRRANKKRRYAGSHKERPAKMQKD >ONIVA11G02340.1 pep chromosome:AWHD00000000:11:1847506:1850361:-1 gene:ONIVA11G02340 transcript:ONIVA11G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXX2] MITVVDLYHVLTAVVPLYVAMTLAYASVRWWRIFSPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKLIVLALLALWCRLSARGSLDWLITLFSLSTLPNTLVMGIPLLKGMYAAAGAGAGADSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLVMEQFPDTAASIVSFRVDSDVVSLAGGGGGAAELQAEAEVGDDGRMRVTVRKSTSSRSEAACSHGTQSHSQSMQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVGNGKHGDEEKGAAGGGGHSPQPVVGKRKDLHMFVWSSSASPVSERAAAAAAAGAVHVFGGGGADHGDAKGAQAYDEYSFGNKNEKDGPTLSKLGSNSTAQLRPKDDGEGRAAAMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLASYAMAVRFLVGPAVMAAASIAVGLRGVLLHIAIVQVSCKFRLLHQNASLTAKESIVTQQLSLNSQAALPQGIVPFVFAKEYNVHPNILSTAYDLFTIFSFSVLEKCPHFERDIRDADSSPHHIGLLHTAGALKSSPSLNSTVQELDVTDSLIRIYGLF >ONIVA11G02330.1 pep chromosome:AWHD00000000:11:1841433:1843668:-1 gene:ONIVA11G02330 transcript:ONIVA11G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLFFGDINDAIAALTTTAADTGGFTHLLSVVSSASISFITDCRPGLSIPTEEVRCVVAGEEGAPPVSAVAPGRLLRVVERAGVELRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLVHCFAGVSRSATIIVAHLMRTEQKSLEEALESLKEVNESACPNDGFLEQLKLFEETGFKVHTSIPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFYWSGIQCNCGSWITPAFQISKSKVDISTT >ONIVA11G02320.1 pep chromosome:AWHD00000000:11:1832679:1837818:1 gene:ONIVA11G02320 transcript:ONIVA11G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVNTDTENHRHQKHQHRHRECHTNTDTGSEEFPAAIGWRCRCGGGREDDNDDEEGGAYGGNGKGEQAFVVLMVSSAAASASTSATVSGSVPVGLAGAQDLNLAFPHHHGRALQSLEFTVFPSLESSSMCNPGGNLAAANDVGGRGSC >ONIVA11G02310.1 pep chromosome:AWHD00000000:11:1813513:1838613:-1 gene:ONIVA11G02310 transcript:ONIVA11G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPGTIEMISGGGAYNAADVESFIGSHGEFSDKTTPFTVVSIIGPQSSGKSYLLNSLFGTNFGVMDASLERTQTTKGIWLAKCIRPSILVMDVEGSDGLERGEDDTSFENQSALFSLVVSDIILVNMWLHDIGRLKAGSLPLFRLIFQERKKLEPHQTKVVLVIRDFDKESSEDSVIKQLVRILEQFSHATIPPKSDREEFNKKCAELRGGLVTGNFAGLRQHNTSASAFSYNAHRIWQDVRQNKKLDLPSYKKAYSDMQCNKVKNNVLASLGSCENFRALFKDGTINPRDFSKEMNQLLESITTKYDKESVDMDVSVATETRNEIIVQIKQLLENRCETMLKKYADNHISESKKEIGKTMSNTLTVSVDSVIGPFLATFDYQCEDLRRIYETSITEIRTKVECDLRNFAVDTANEIQEAYSRMQCNEVKNNLLASLESYEIFNSITANPQDFFNEMVLLMESITIRYDEEIVDMDESVSTEIRTEMIMQIKQLLQNPCETMLKKYVDNIFSESKKEIGNVLSNTDLATVSVDTVIGKFLAKFDHESQDLGKIYEVSVTENRAKLEVVLRNYVVDKAKKIQRNRDAARTAAEVGINLLRATLWGLSAVTGTNGPDF >ONIVA11G02310.2 pep chromosome:AWHD00000000:11:1813513:1832374:-1 gene:ONIVA11G02310 transcript:ONIVA11G02310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDPRTHRCNGVLPRGFILFGVGHGSWRRSDAAPTKQCGRSGQRKKRMGEMTCGSRFSHATIPPKSDREEFNKKCAELRGGLVTGNFAGLRQHNTSASAFSYNAHRIWQDVRQNKKLDLPSYKKAYSDMQCNKVKNNVLASLGSCENFRALFKDGTINPRDFSKEMNQLLESITTKYDKESVDMDVSVATETRNEIIVQIKQLLENRCETMLKKYADNHISESKKEIGKTMSNTLTVSVDSVIGPFLATFDYQCEDLRRIYETSITEIRTKVECDLRNFAVDTANEIQEAYSRMQCNEVKNNLLASLESYEIFNSITANPQDFFNEMVLLMESITIRYDEEIVDMDESVSTEIRTEMIMQIKQLLQNPCETMLKKYVDNIFSESKKEIGNVLSNTDLATVSVDTVIGKFLAKFDHESQDLGKIYEVSVTENRAKLEVVLRNYVVDKAKKIQRNRDAARTAAEVGINLLRATLWGLSAVTGTNGPDF >ONIVA11G02310.3 pep chromosome:AWHD00000000:11:1832688:1838613:-1 gene:ONIVA11G02310 transcript:ONIVA11G02310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPGTIEMISGGGAYNAADVESFIGSHGEFSDKTTPFTVVSIIGPQSSGKSYLLNSLFGTNFGVMDASLERTQTTKGIWLAKCIRPSILVMDVEGSDGLERGEDDTSFENQSALFSLVVSDIILVNMWLHDIGRLKAGSLPLFRLIFQERKKLEPHQTKVVLVIRDFDKESSEDSVIKQLVRILEQIWRSVNPQLVLTDYIKVTNRPDAKR >ONIVA11G02300.1 pep chromosome:AWHD00000000:11:1800236:1802960:1 gene:ONIVA11G02300 transcript:ONIVA11G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVTTKTPGTGAYKECTGLAQMVIITKAVVPVSEDDDQITITILDSVNMGGGRGASMHRTVRIATRNVSQTLSAGDVAENRTKSYLSRPSRDNDVLGWWGHDSVRAAEPAQL >ONIVA11G02290.1 pep chromosome:AWHD00000000:11:1797173:1798320:1 gene:ONIVA11G02290 transcript:ONIVA11G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLEVFEEDILLVMKEEKITRDEALHLLQEELMDAQHRVEEKLDRLVEMFGVMGDMSNKIPKEFNASNRNVTTTVEAATSSLLQAPHSPTPSSCSKMCPDDNIMLVRARSSHISEEPATMDAFELGDGENKSCYSYLVTMDLPEVTHAKCSTVGSEVKCGIDQASVTFQTMSNASKDVPVCIQFVSNVVPRPFADIKLNMVLDTTIQIATEKLIMGKVTS >ONIVA11G02280.1 pep chromosome:AWHD00000000:11:1796753:1799724:-1 gene:ONIVA11G02280 transcript:ONIVA11G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWRRPRRWRRREGEAEAPRDDDATTAAAAEEASDEDGGELREKAGGRRRGREERSTRVPTGRPGHRRRRRQELSAPPSPIGPFVLREEQLLLLL >ONIVA11G02270.1 pep chromosome:AWHD00000000:11:1769106:1773283:1 gene:ONIVA11G02270 transcript:ONIVA11G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCTGGGKAVAGDEAEPGTSKAAPPSRGTSSKNGSAKQQPCSPAAKAAATEAAAASSSKKPAGPIGEVLERPMEEVRTTYSIGKELGRGQFGVTHLCTHKATGEKLACKTIAKRKLANKEDVDDVRREVQIMHHLSGQPNIVDLRGAYEDKHNVHLVMELCAGGELFDRIIARGHYTERAAAALLRAIVGIVHTCHSMGVIHRDLKPENFLLLSKGDDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYIFLAGVPPFWAESENAIFTAILRGQIDLASEPWPKISSGAKDLVRKMLNINPKERLTAFQVLNHPWIKEDGDAPDVPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKFSDNEIEQLMEAADADGNGIIDYEEFVTATVHMNKMDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDANEIKDVITDADSNNDGRIDYSEFVAMMRKGSGCAEATNPKKKRRDLVGLRFMFGLAHCPG >ONIVA11G02270.2 pep chromosome:AWHD00000000:11:1769106:1774944:1 gene:ONIVA11G02270 transcript:ONIVA11G02270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCTGGGKAVAGDEAEPGTSKAAPPSRGTSSKNGSAKQQPCSPAAKAAATEAAAASSSKKPAGPIGEVLERPMEEVRTTYSIGKELGRGQFGVTHLCTHKATGEKLACKTIAKRKLANKEDVDDVRREVQIMHHLSGQPNIVDLRGAYEDKHNVHLVMELCAGGELFDRIIARGHYTERAAAALLRAIVGIVHTCHSMGVIHRDLKPENFLLLSKGDDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYIFLAGVPPFWAESENAIFTAILRGQIDLASEPWPKISSGAKDLVRKMLNINPKERLTAFQVLNHPWIKEDGDAPDVPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKFSDNEIEQLMEAADADGNGIIDYEEFVTATVHMNKMDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDANEIKDVITDADSNNDGRIDYSEFVAMMRKGSGCAEATNPKKKRRDLVL >ONIVA11G02260.1 pep chromosome:AWHD00000000:11:1756893:1768596:-1 gene:ONIVA11G02260 transcript:ONIVA11G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLEMAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCLPISSLFPFLYFMIRDLHVAKRVEDIGFYAGFVSTSWAIGLIVGPAISGYLAQPSEKYPILFLANSLFGSTHLVDSEEFVKQHTGPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMALLCSYPPMTYLSRPWLSIVVNIASMLKNNFVVFVGTKTPTCVFLSR >ONIVA11G02250.1 pep chromosome:AWHD00000000:11:1752803:1753386:-1 gene:ONIVA11G02250 transcript:ONIVA11G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQVSYEHGPTTDVGEVLADATARHVNMVGKRSAGPVGSLQLIPLSTCASMGSSFVLVTDVLA >ONIVA11G02240.1 pep chromosome:AWHD00000000:11:1746272:1748198:1 gene:ONIVA11G02240 transcript:ONIVA11G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXV8] MTLGVLIKLFFCALIGITLGVNLYHVSLKFTSATVASAVDSSLPAITFFLADRTEYVKLRSSSDIAKITSVALCLAGVFTIAFFTGPSISPINHHRAFASDTSSKTVVPRGVWIKWTFLMVVANMCWSLWIIFQAAVQKEYPDKMVVTVTQCLFSTMQSFVVAVVAERDFFRWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVYLGSILGGILLVASLYTMLWGKSKEGNETDDVTDDDIEKSTHIYPREQQHTTTDQAKESMLTSSDVDKLGSFARPRTIILFLAW >ONIVA11G02240.2 pep chromosome:AWHD00000000:11:1746272:1748198:1 gene:ONIVA11G02240 transcript:ONIVA11G02240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXV8] MTLGVLIKLFFCALIGITLGVNLYHDRTEYVKLRSSSDIAKITSVALCLAGVFTIAFFTGPSISPINHHRAFASDTSSKTVVPRGVWIKWTFLMVVANMCWSLWIIFQAAVQKEYPDKMVVTVTQCLFSTMQSFVVAVVAERDFFRWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVYLGSILGGILLVASLYTMLWGKSKEGNETDDVTDDDIEKSTHIYPREQQHTTTDQAKESMLTSSDVDKLGSFARPRTIILFLAW >ONIVA11G02230.1 pep chromosome:AWHD00000000:11:1710735:1743232:-1 gene:ONIVA11G02230 transcript:ONIVA11G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGRRRQTAAPLLEKKGSTGTGVDDGYCIEGCPGCVVDRRKAASYGIPYGSFLFVWIVTLCTEEGMEEEVPLLKGDYHQGCPGCAYDRKKEVYRGLPYKEFLYLWMICLTAALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMFGRCLTSTVWGIAADRIGRKPVVVFGIFAVVIFNTLFGLSFTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPIFSLWAESDRKYGGLSLSSEDVGQVLAITGVSLLVYQLFIYPRINKVTIITGCFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQQASFLPGDQMVFFLLNLFELLGLILTFKPFLAVQSLVLGHASAQYDGPNPNSNPSGPSKSASHLLLHLPVSVSVSNLNPHLARRRLALLLPDPESSSCELALAVAAMAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAVAASKEEEKKEEPEEESDVKNYLGLSVYPTISMGSGSEEAAPLLLPVAAATATAEERCPGCVQERRKASRGGRIPYTELFFVAVRDLQVAQTEEDIGVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLFQNRPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQALSILILSTYPFMTYLSGAELSLALYSAAMMKSALAITISTGICLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPVGADSRGRKNVRMPSSFQLAHHHTLHTCLSTPLRASPLMAEPPATKVYHDGCPGCAMERRKEEHKGIPYREFLFVAITTLASSLPISSLFPFLYFMVLDPFSSLEQIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQPLGLSIVSTAWGIGLVVGPATGGYLAQPVKQYPHIFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTTQESAESHQKSLFRNWPLMSSIVTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPIHSTRISAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAIAPAGAGVIFSWAQKRQHVAFFPGDQMVFLLLNLTEVIGLMLTFKPFLAVPQQYK >ONIVA11G02230.2 pep chromosome:AWHD00000000:11:1710735:1743232:-1 gene:ONIVA11G02230 transcript:ONIVA11G02230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGRRRQTAAPLLEKKGSTGTGVDDGYCIEGCPGCVVDRRKAASYGIPYGSFLFVWIVTLCTEEGMEEEVPLLKGDYHQGCPGCAYDRKKEVYRGLPYKEFLYLWMICLTAALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMFGRCLTSTVWGIAADRIGRKPVVVFGIFAVVIFNTLFGLSFTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPIFSLWAESDRKYGGLSLSSEDVGQVLAITGVSLLVYQLFIYPRINKVTIITGCFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQQASFLPGDQMVFFLLNLFELLGLILTFKPFLAVQSLVLGHASGIPLHFDRVAQYDGPNPNSNPSGPSKSASHLLLHLPVSVSVSNLNPHLARRRLALLLPDPESSSCELALAVAAMAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAVAASKEEEKKEEPEEESDVKNYLGLSVYPTISMGSGSEEAAPLLLPVAAATATAEERCPGCVQERRKASRGGRIPYTELFFVAVRDLQVAQTEEDIGVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLFQNRPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQALSILILSTYPFMTYLSGAELSLALYSAAMMKSALAITISTGICLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPVGADSRGRKNVRMPSSFQLAHHHTLHTCLSTPLRASPLMAEPPATKVYHDGCPGCAMERRKEEHKGIPYREFLFVAITTLASSLPISSLFPFLYFMVLDPFSSLEQIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQPLGLSIVSTAWGIGLVVGPATGGYLAQPVKQYPHIFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTTQESAESHQKSLFRNWPLMSSIVTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPIHSTRISAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAIAPAGAGVIFSWAQKRQHVAFFPGDQMVFLLLNLTEVIGLMLTFKPFLAVPQQYK >ONIVA11G02230.3 pep chromosome:AWHD00000000:11:1710735:1743232:-1 gene:ONIVA11G02230 transcript:ONIVA11G02230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGRRRQTAAPLLEKKGSTGTGVDDGYCIEGCPGCVVDRRKAASYGIPYGSFLFVWIVTLCTALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMFGRCLTSTVWGIAADRIGRKPVVVFGIFAVVIFNTLFGLSFTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPIFSLWAESDRKYGGLSLSSEDVGQVLAITGVSLLVYQLFIYPRINKVTIITGCFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQQASFLPGDQMVFFLLNLFELLGLILTFKPFLAVQSLVLGHASAQYDGPNPNSNPSGPSKSASHLLLHLPVSVSVSNLNPHLARRRLALLLPDPESSSCELALAVAAMAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAVAASKEEEKKEEPEEESDVKNYLGLSVYPTISMGSGSEEAAPLLLPVAAATATAEERCPGCVQERRKASRGGRIPYTELFFVAVRDLQVAQTEEDIGVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLFQNRPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQALSILILSTYPFMTYLSGAELSLALYSAAMMKSALAITISTGICLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPVGADSRGRKNVRMPSSFQLAHHHTLHTCLSTPLRASPLMAEPPATKVYHDGCPGCAMERRKEEHKGIPYREFLFVAITTLASSLPISSLFPFLYFMVLDPFSSLEQIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQPLGLSIVSTAWGIGLVVGPATGGYLAQPVKQYPHIFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTTQESAESHQKSLFRNWPLMSSIVTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPIHSTRISAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAIAPAGAGVIFSWAQKRQHVAFFPGDQMVFLLLNLTEVIGLMLTFKPFLAVPQQYK >ONIVA11G02230.4 pep chromosome:AWHD00000000:11:1710735:1739595:-1 gene:ONIVA11G02230 transcript:ONIVA11G02230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVPLLKGDYHQGCPGCAYDRKKEVYRGLPYKEFLYLWMICLTAALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMFGRCLTSTVWGIAADRIGRKPVVVFGIFAVVIFNTLFGLSFTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPIFSLWAESDRKYGGLSLSSEDVGQVLAITGVSLLVYQLFIYPRINKPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQQASFLPGDQMVFFLLNLFELLGLILTFKPFLAVQSLVLGHASAQYDGPNPNSNPSGPSKSASHLLLHLPVSVSVSNLNPHLARRRLALLLPDPESSSCELALAVAAMAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAVAASKEEEKKEEPEEESDVKNYLGLSVYPTISMGSGSEEAAPLLLPVAAATATAEERCPGCVQERRKASRGGRIPYTELFFVAVRDLQVAQTEEDIGVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLFQNRPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQALSILILSTYPFMTYLSGAELSLALYSAAMMKSALAITISTGICLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPVGADSRGRKNVRMPSSFQLAHHHTLHTCLSTPLRASPLMAEPPATKVYHDGCPGCAMERRKEEHKGIPYREFLFVAITTLASSLPISSLFPFLYFMVLDPFSSLEQIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQPLGLSIVSTAWGIGLVVGPATGGYLAQPVKQYPHIFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTTQESAESHQKSLFRNWPLMSSIVTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPIHSTRISAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAIAPAGAGVIFSWAQKRQHVAFFPGDQMVFLLLNLTEVIGLMLTFKPFLAVPQQYK >ONIVA11G02230.5 pep chromosome:AWHD00000000:11:1710735:1732641:-1 gene:ONIVA11G02230 transcript:ONIVA11G02230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFMASQHVQSLVLGHASGIPLHFDRVAQYDGPNPNSNPSGPSKSASHLLLHLPVSVSVSNLNPHLARRRLALLLPDPESSSCELALAVAAMAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAVAASKEEEKKEEPEEESDVKNYLGLSVYPTISMGSGSEEAAPLLLPVAAATATAEERCPGCVQERRKASRGGRIPYTELFFVAVRDLQVAQTEEDIGVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLFQNRPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQALSILILSTYPFMTYLSGAELSLALYSAAMMKSALAITISTGICLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPVGADSRGRKNVRMPSSFQLAHHHTLHTCLSTPLRASPLMAEPPATKVYHDGCPGCAMERRKEEHKGIPYREFLFVAITTLASSLPISSLFPFLYFMVLDPFSSLEQIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQPLGLSIVSTAWGIGLVVGPATGGYLAQPVKQYPHIFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTTQESAESHQKSLFRNWPLMSSIVTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPIHSTRISAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAIAPAGAGVIFSWAQKRQHVAFFPGDQMVFLLLNLTEVIGLMLTFKPFLAVPQQYK >ONIVA11G02230.6 pep chromosome:AWHD00000000:11:1710735:1732641:-1 gene:ONIVA11G02230 transcript:ONIVA11G02230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFMASQHVQSLVLGHASGIPLHFDRVAQYDGPNPNSNPSGPSKSASHLLLHLPVSVSVSNLNPHLARRRLALLLPDPESSSCELALAVAAMAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAVAASKEEEKKEEPEEESDVKNYLGLSVYPTISMGSGSEEAAPLLLPVAAATATAEERCPGCVQERRKASRGGRIPYTELFFVAVRDLQVAQTEEDIGVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLFQNRPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQALSILILSTYPFMTYLSGAELSLALYSAAMMKSALAITISTGICLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPVGADSRGRKNVRMPSSFQLAHHHTLHTCLSTPLRASPLMAEPPATKVYHDGCPGCAMERRKEEHKGIPYREFLFVAITTLASSLPISSLFPFLYFMIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQPLGLSIVSTAWGIGLVVGPATGGYLAQPVKQYPHIFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTTQESAESHQKSLFRNWPLMSSIVTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPIHSTRISAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAIAPAGAGVIFSWAQKRQHVAFFPGDQMVFLLLNLTEVIGLMLTFKPFLAVPQQYK >ONIVA11G02230.7 pep chromosome:AWHD00000000:11:1710735:1732641:-1 gene:ONIVA11G02230 transcript:ONIVA11G02230.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFMASQHVQSLVLGHASGIPLHFDRVAQYDGPNPNSNPSGPSKSASHLLLHLPVSVSVSNLNPHLARRRLALLLPDPESSSCELALAVAAMAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAVAASKEEEKKEEPEEESDVKNYLGLSVYPTISMGSGSEEAAPLLLPVAAATATAEERCPGCVQERRKASRGGRIPYTELFFVAVRDLQVAQTEEDIGVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLFQNRPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQALSILILSTYPFMTYLSGAELSLALYSAAMMKSALAITISTGICLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPVGADSRGRKNVRMPSSFQLAHHHTLHTCLSTPLRASPLMAEPPATKVYHDGCPGCAMERRKEEHKGIPYREFLFVAITTLASSLPISSLFPFLYFMVLDPFSSLEQIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQPLGLSIVSTAWGIGLVVGPATGGYLAQPVKQYPHIFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTTQESAESHQKSLFRNWPLMSSIVTYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPIHSTRISAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAIAPAGAGVIFSWAQKRQHVAFFPGDQMVFLLLNLTEVIGLMLTFKPFLAVPQQYK >ONIVA11G02220.1 pep chromosome:AWHD00000000:11:1698087:1699374:1 gene:ONIVA11G02220 transcript:ONIVA11G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVHFYIYKDKFVYKEGENMKTILSYFVFFLVILQISHRLVAGQNYEFQSGINHGFVNSRKNLYKHAIPRXLTELGELASREDSTTADNNVDLTPKHQSLTASKTGTIHFRAKNII >ONIVA11G02210.1 pep chromosome:AWHD00000000:11:1693475:1703430:-1 gene:ONIVA11G02210 transcript:ONIVA11G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAPPSSPVMRAVFYDGCPGCAMELKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMKTYMWQKRNKILDYTLDFLMFASLFWGVVADRIGRKPIIEFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKFPYFLPCIYISFIALVVLISCIWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEIFSLWAVSEKRYGGLSFSSKEVGQVLAVAGAGLLVYQLFIYRSVHKFLGSINSSRIASALSIPILAPYPFMTHLSGLRLGIALYLGTILKGVLSSQSQRGAANSISMTAMSFFKAIAPAGAGALFSWAQERQNAAFFPGDQMIFFALKWIVPVLLAGSPPCPCAPPLPSSNLRPLFSSPPPLSFSPSCPSSLPPMGRKIKVKKKKASSKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERASWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAVNEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPSMIISTAADGFNMLMPSNIDTTIREADA >ONIVA11G02210.2 pep chromosome:AWHD00000000:11:1693475:1703430:-1 gene:ONIVA11G02210 transcript:ONIVA11G02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAPPSSPVMRAVFYDGCPGCAMELKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMKTYMWQKRNKILDYTLDFLMFASLFWGVVADRIGRKPIIEFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKFPYFLPCIYISFIALVVLISCIWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEIFSLWAVSEKRYGGLSFSSKEVGQVLAVAGAGLLVYQLFIYRSVHKFLGSINSSRIASALSIPILAPYPFMTHLSGLRLGIALYLGTILKGVLSVLLGPRTPKCSLLPRGSNDILCPKMDCPRFACGQALMLWGQINIVGSPPCPCAPPLPSSNLRPLFSSPPPLSFSPSCPSSLPPMGRKIKVKKKKASSKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERASWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAVNEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPSMIISTAADGFNMLMPSNIDTTIREADA >ONIVA11G02200.1 pep chromosome:AWHD00000000:11:1691023:1692191:-1 gene:ONIVA11G02200 transcript:ONIVA11G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGQSPTEAELKKMVEEVDADGSGSIEFEEFLGLLARKLRDTGAEDDIREAFRVFDKDQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKVMMAKRRQNMMEGHGSGGHRSTSRNGNADSKEWDAKIVHPFLLSQVTLGKLILKII >ONIVA11G02190.1 pep chromosome:AWHD00000000:11:1687260:1692643:1 gene:ONIVA11G02190 transcript:ONIVA11G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXU6] MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPELDEKYDTTLDDVDAVFNDSEEHHVTEKKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSRTLKDVVWKSDDLQNQLS >ONIVA11G02180.1 pep chromosome:AWHD00000000:11:1673384:1674439:1 gene:ONIVA11G02180 transcript:ONIVA11G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVPRDRVPVAERRRRRQRLVRPAVHHHLHPRHLRRRQLDAERVGGVRHDVLQPEPDLPRQPVLGDVVLADREDEIAVRVQFGVPLPQPVLPVLVFGDEDLVEVFGIGIRDEELCCTPVRNTHLPQCWA >ONIVA11G02170.1 pep chromosome:AWHD00000000:11:1673207:1678368:-1 gene:ONIVA11G02170 transcript:ONIVA11G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAACTKLPLLLLLVAVAASSASSLTPLHAAVPAKGPRPGGSVTLHLDHRQVTLSNPGGHITGVRYNGERNLLHYDGEANSAGYWDVVWNFPGSNSPRGMIDMLDSTEFKVVSSSEDQLELSFRSTYNPSRPNSACDVESSGFYCYAIFEHVRDWPALNISEARLAFKLNTDKFNYMAISDDIQRFMPSATDRDAPRGAPLAYKEAVLLVDPKEPQFRGEVDDKYEYSLDNKDNRVHGWISSNHPNPMGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYVGNDIVLKIEEGEYWKKVMGPVFIYLNSNPRRGDLHSLWVDAKVCQQQRYSCWDGICWPGLSWTTRIGNVRAGVYNLYAWVPGFLGDYMYTSQVTVTPGRSINLGDLVFEPPRSGPTLWEMGVPDRSAAEFFIPDPNPKYLNKIFITKDKYRQYGLWERYAELYPDGDLVFTIGENDISKDWFFAHITRKQGDGYAPTTRQIRFRLEHVVADATYTLRVELAAAQMSRVQVVVNGWADEALTTAAAFGDGNAIARHGVHGVQWSLDFAMKGYMLQEGDNVVLMTQTRALSPFFGVMYDYIRLEGPPSPSWRDPTTQV >ONIVA11G02170.2 pep chromosome:AWHD00000000:11:1673207:1678368:-1 gene:ONIVA11G02170 transcript:ONIVA11G02170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAACTKLPLLLLLVAVAASSASSLTPLHAAVPAKGPRPGGSVTLHLDHRQVTLSNPGGHITGVRYNGERNLLHYDGEANSAGYWDVVWNFPGSNSPRGMIDMLVMLKGSSGFYCYAIFEHVRDWPALNISEARLAFKLNTDKFNYMAISDDIQRFMPSATDRDAPRGAPLAYKEAVLLVDPKEPQFRGEVDDKYEYSLDNKDNRVHGWISSNHPNPMGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYVGNDIVLKIEEGEYWKKVMGPVFIYLNSNPRRGDLHSLWVDAKVCQQQRYSCWDGICWPGLSWTTRIGNVRAGVYNLYAWVPGFLGDYMYTSQVTVTPGRSINLGDLVFEPPRSGPTLWEMGVPDRSAAEFFIPDPNPKYLNKIFITKDKYRQYGLWERYAELYPDGDLVFTIGENDISKDWFFAHITRKQGDGYAPTTRQIRFRLEHVVADATYTLRVELAAAQMSRVQVVVNGWADEALTTAAAFGDGNAIARHGVHGVQWSLDFAMKGYMLQEGDNVVLMTQTRALSPFFGVMYDYIRLEGPPSPSWRDPTTQV >ONIVA11G02160.1 pep chromosome:AWHD00000000:11:1666022:1666690:-1 gene:ONIVA11G02160 transcript:ONIVA11G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYRRVLKAVQKHVGGGDSKKHFREFIASEFRRPTGTDADARARLRLAGDYAYLLASVHHHKDLLFSYNIAVDRSEEMKKILNKSAASVGLQLPDVYQA >ONIVA11G02150.1 pep chromosome:AWHD00000000:11:1662406:1666898:1 gene:ONIVA11G02150 transcript:ONIVA11G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXU0] MIMASSQEFEANDPQQLHAKPKRLYQVWKGNNIFLCGGRLIIGPDAASLLLSMFLILGPAIDLFFLFMTSARDPGIVPRNTRAPPEADEFLGSTTPSMEWSSGRTPRMRFRRAKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLLKKTHQSSKLNYTRLNRNYRYFFLFVATSTFLCIIVFIFSWVNVYYERGDDGGSIWKALQKETYSFVLIIYTFIVVWFVGGLTVFHLYLISTNQTTYENFRYHYNKKDNPYRKSVAANFVEVFFTKIPPPQNNFCSWVGEGALEAGFYTPYIALDLTDPREKIDLEMGNKDILVGGMQIPTVLQNIDYGSFEDNPDDKNRNEDDRLVPFASTWVQQANEGARTSEIATVEYKDEISEDGECAKQEKRMNLGKRTKSNLVVVDAGEEVGVIPGEPQPRSGVGVRASGAAELGGDELAEVLLGVAPADVLLHRLEHPPVDGRRGHHLVCVIGAARGGGCWVRRRPGVPPPPRSGGDWTPIWTAYFDPMVFGNFWKDN >ONIVA11G02150.2 pep chromosome:AWHD00000000:11:1662406:1666898:1 gene:ONIVA11G02150 transcript:ONIVA11G02150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXU0] MIMASSQEFEANDPQQLHAKPKRLYQVWKGNNIFLCGGRLIIGPDAASLLLSMFLILGPAIDLFFLFMTSARDPGIVPRNTRAPPEADEFLGSTTPSMEWSSGRTPRMRFRRAKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLRNYRYFFLFVATSTFLCIIVFIFSWVNVYYERGDDGGSIWKALQKETYSFVLIIYTFIVVWFVGGLTVFHLYLISTNQTTYENFRYHYNKKDNPYRKSVAANFVEVFFTKIPPPQNNFCSWVGEGALEAGFYTPYIALDLTDPREKIDLEMGNKDILVGGMQIPTVLQNIDYGSFEDNPDDKNRNEDDRLVPFASTWVQQANEGARTSEIATVEYKDEISEDGECAKQEKRMNLGKRTKSNLVVVDAGEEVGVIPGEPQPRSGVGVRASGAAELGGDELAEVLLGVAPADVLLHRLEHPPVDGRRGHHLVCVIGAARGGGCWVRRRPGVPPPPRSGGDWTPIWTAYFDPMVFGNFWKDN >ONIVA11G02140.1 pep chromosome:AWHD00000000:11:1655555:1660237:-1 gene:ONIVA11G02140 transcript:ONIVA11G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIAVDADVPSCASTSAVPPYAGAPPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNNEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNIKKGSYDHFMQKEIHEQPHSLTTTMRGRVKDSGVLLGGLKEKEYLKTIRRSRRLVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAFFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMVMVALAVGSDQISTQVTRQAIISGLSNLPSNVSEVLKLDTEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >ONIVA11G02130.1 pep chromosome:AWHD00000000:11:1652614:1654510:1 gene:ONIVA11G02130 transcript:ONIVA11G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHRGPLDVSKRARMMEPAPPYFGAMGSSAAGGTSAFHQPYGTNLPGAGANSAIQNFPGVRLRGLPFDCDDLDIFKFFVGLDIVDCLLVHKNGRFTGEAFVVFPSAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYSAIAAEVNQGGFFDSEYRHSPPPPRPKKPAEDKSSMEYTEVLKLRGLPYSATTEDIIKFFVEYELTEENVHIAYRPDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRAKSRARQ >ONIVA11G02120.1 pep chromosome:AWHD00000000:11:1643994:1649206:-1 gene:ONIVA11G02120 transcript:ONIVA11G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRLATFALLVIITLSSSSRPCPRRVDAAREWLARGASIAVEDHATDVLRSPDGTFAAGFYDASPTVFTFSVWFARAADRAVVWTAARARPVHSKGARVTLDARHGALVLTDYGGEVVWNSSTPAAGGSGGARVRLHDSGNLVVEDAGGKTLWQSFDFPTDTLLPTQRLTAATRLVSRDRLLSAGYYSLGFSDYAMLSLFYDNGNFSSIYWPNPYFSYWQNNRKIYNFSREAAMDALGQFLSSDGTTFEAADLGAAGVRRRLTLDTDGNLRAYSLDGATGAWSVSWMAFGNPCNIHGVCGPNAVCLYSPAPVCVCAPGHERVDASDWSRGCRPTFRIECGRPAKLVALPHSDFWGYDLNDGEVMPLGDCANKVVAVKVLKNVSRQSEEEFQAELSVIGRIYHMNLVRMWGCCSQAKHRILVSEYIENGSLAQRLFDHGFDDDVLDWNQRFKIALGVAKGLAYLHSECSEWIVHCDMKPENILLDKDLEPKITDFGLSKLLNRDGSHAILTRIRGTRGYMAPEWVTNLPVTEKVDVYSYGGILLELVKGIRISEWVIHGIKVCEMDIRMVVRATRQMMGSNEERSIEDLVDYRLNGDFNHVQVKLMLEIAVSCLEEDRSKRPNMNSVVQALISVEAYTFTDTYVFDVDTRIRSSVNVNTCVHSEKPENILLDKDLEPKITDFELSKLLNRDGSYAILTWIRGTRGYMAPNYGVILLPLVKVIRISEWVIYAIKNYEMDTRMVVRAACEKLEPNERRSIEDLVDHRLNGDSSRWIPSPSPGNNLTRLRKQGNTNRENFIISLQLVNGLEKKRWLKRQGRKTLL >ONIVA11G02110.1 pep chromosome:AWHD00000000:11:1643836:1644583:1 gene:ONIVA11G02110 transcript:ONIVA11G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKVIGVFCVLAFLAISSSPSHLQAEGCENEKNIVMNKDGCYHNIERHLGDQFPKRHSHCCQTVESADINCICRTFTAADKAKIALSKWINVAKECGNPLHAGTNCAGYRVPLLP >ONIVA11G02100.1 pep chromosome:AWHD00000000:11:1639104:1641641:1 gene:ONIVA11G02100 transcript:ONIVA11G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXT5] MSTSCSGALRAATTKALLLLVVAVFVVSLSRPFPCAAAARDSLLRGASIAVEDHATDVLLSPDGTFACGFYVVSPTVFTFSVWFARAADRAVVWSANRGRPVHSKRSRLKLNGRRRALVLTDYDGEVVWNSTVSANATATATAARARLHDSGSLAVEDASGNVLWQSFDHPTDTLLPTQRIAAGEAMVSADKLLAAGFYSFRFSDYAMLSLVYDNHEMSSIYWPNPYYSYWQNSRKIYNFTREAFFDASGHFSSSDNATFGASDLGKNVAVRRRLTLDTDGNLRLYSLDEVTGTWSVSWMAFSNPCIIHGVCGANAVCLYSPAPVCVCAPGYARAEPSDWSRGCRPTFNSGDGGGRPGAMKLVALPHTDFWGFDINSSENLSLDECSTRCMSEPSCVVFQYKQGKGECYPKSLMFNGRTFPGLPGTAYLKVPADLDMLEIHIHQWQADSDGHGIAAIQEDIVGCGGMSSPEFLLNVSNASSSKSNQGKSIWFYFYGFLTAFFVIEVFVIAFGCWLFSNKGVFKPCQVSALDEGYRMVTNHFRTYSYAELQKGTRKFQSEIGRGGSGVVYKGVLDDERTVAVKVLQDVKQSEDVFQAELSVIGRIYHMNLVRMWGFCLEGIHRILVYEYIENGSLAKVLFQGRNSGMFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDGSGPDMSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGMRILDWVLDGKEGLEADVRSVVKMVVSKLESNMESWVADLMDDRLHGEFNHLQARLLMQLAVSCLEEDKNKRPTMKYIVQMLISAEDEAHAFT >ONIVA11G02090.1 pep chromosome:AWHD00000000:11:1635233:1636387:-1 gene:ONIVA11G02090 transcript:ONIVA11G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVCSAPRAEPPCPCHVRVGPPLPLRVRWRRAEARHGPLRAADQEQLLTALREQPDPDTVLRMLNAVLAWNDFAPGPEVYEEIIRKLGAAGALDLMKVLVAEMRREGHQVKLGVVHSFLDCYARQQPFDDAVDLVLNQLDPLFGIQADTVVYNHLLNVLVEGSEMKLLELVYSEMGARGIKPAVVTFNTLMKALCRAHQVRTAVLMLEEMSSRGVAPDETTFTTLMQGFVEEGSIEAALRVKARMLEMGCSLTKVMVNVLINGYCKLGRLEIADGFEPDQMTYNTFVNGLCQNDHVGHALKVMDVMVQEGHHPDVFTYNIVVNCLCKNGQLEEAKEILNQMVDQGCLLDVTTFNTLIAALCTWNRLEEALDLSC >ONIVA11G02080.1 pep chromosome:AWHD00000000:11:1630870:1635023:-1 gene:ONIVA11G02080 transcript:ONIVA11G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGCPQSTITYDTIIDGLCKTMRIEEAKEVFDQMDLQGISRNAITFNTPIDGLCKAKRIDDAFELINQMISEGLQPNNITYNSILTHYCKDEAYSKSLQSAIQSLFRRNDIRDALSLFREITEVGEPPDAFTYKIVFRGLCCGGGPINEAFDFHMVDKGFIPEFSSFRMLAEGLLHLGMDDYFIRAIEIIIEKTDLRDSDVSAIREYLKIRKFYYALATFGRLLEINNHQWKKNF >ONIVA11G02070.1 pep chromosome:AWHD00000000:11:1627283:1629700:-1 gene:ONIVA11G02070 transcript:ONIVA11G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXT2] MSKNCSRAAATVPLLAAVVVFLSLSRPFPCEARRDSLPRGASIDVEDHATDLLLSPDGTVHGARSRLALDGRRGALVLTDYDGEVVWNSTVANATAARARLHDSGNLAIEDASRNILWQSFDHPTDTLLPTQRIVAAGEVMVSAGKLLAAGFYSFRFSDYAMLSLVYDNHKMPSSIYWPNPYYSYWQNNRNIYYNFTREAFFDASGHFLSSDNATFDAADLGEDAGVRFRRLTLDTDGNLRLYSLDETAGTWSVSWMAFVNPCVIHGVCGANAVCLYSPAPVCVCVPGYARADPSDWTRGCQPTFNYTNGGGGGGRPPAMKLVALPHTDFWGFDINSSAHLSLHECTARCMSEPSCVVFEYKQGTGECYTKGLMFNGRTHPAHLGTAYLKVPADLDMPELHVHQWQTHGDGHSLAIEEDIAGCSGSSSSEFLLNVSDMSSSSSNNQGKSIWFYFYGFLSAIFVIEVFLIAMGCWIFSNKGVFRPSQVSVLEEGYRIVTSHFRAYRYSELERGTKKFNNKIGHGGSGIVYKGSLDDERVVAVKVLQDVRQSEDVFQAELSVIGRIYHMNLVRMWGFCSEGTHRILVYEYIENGSLAKVLFDRRDSSKFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDGSSSEMSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGRRITEWVVDGKDGVETDVRSVVKMVVDKLDSKDESWIMDLIDDQFGGEFNHLQAQLVIKLAISCLEEDRNKRPSMKYIVQMLISAEDEAHAFT >ONIVA11G02060.1 pep chromosome:AWHD00000000:11:1614365:1618863:1 gene:ONIVA11G02060 transcript:ONIVA11G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLAFFAASILLASTTTTVHGVHIDPNDIIKTIEFKPSVDRPKIVEKMVVLGGNNSFKFAEQAWHRSAAYGVNGPYHGASAWLPIWKVRVGPSEFSKSYLAIASPTVREFTPIPGKDPPNIDNQIALGITVYPQFFGDDLPRLYIYSTNDGGVKLKCFNLECSFVQTSKKHAIEWMMQDDGPVVWWVSLMDEPIGYFHESAFAAPFIESFHNEMGGHVLDRRPGGRHTLTPMGSGMYPSDGLQNAACIHAYLAIAYTGADQVDDPVNTIVTHPKCYDVKDDGPDLYRPGINVAFGGPEYVYN >ONIVA11G02060.2 pep chromosome:AWHD00000000:11:1618834:1625219:1 gene:ONIVA11G02060 transcript:ONIVA11G02060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDEMPSKRRWKKPVDSARTRQEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNGFASLQLLSRWRHEVGLNIEIGAFLKKYPYIFYIYVHPVKRNECCKVTPKMAELIAEEDAVIRENEPAIVKRLKKLLMLMKDGTLNMHALWLIRREFGLPDDYRAKEYTEKWLAESETKYVFPINLPAGFKIENGFREKLGNWQRLPYTKAYDKNDLHPIHNVGRLEKRIVGILHELLSLTVEKMIPLERLSHFRRPFGMEVNLRELILKHLGIFYISTKGSTQHVLLRESNSKGCLVDPNPVYNDVVALMKWTVHFGLLRNTIRKVFQREGALHHRLRAVLSLNYEQAAVEYSKEVRKRWDIIWKRQQMPTESSDHLSKTATMDAPFLSTSLAVLATLFLLALPLSAATHDILPLKSSLFVEEYETNILQSSDGTFSCGFYNITNAYNITSAFTFSIWYSNSADKAIVWSANRGRPVHSRRSEITLRKDGNIVLTDYDGTVVWQTDGKFPNVRYVQLLNTGNLVLKNSSGNIVWQSFDSPTDTLLPTQRILATTKLVSTTGLQVPSHYTFRFSDQSILSLIYDDTNVSGVYWPDPDYQYYENNRNLYNSTRIGSLDDYGEFFSSDLAKHQARVASDRSLGIKRRLTLDYDGNLRLYSLNNSDGTWTVSWIAQPQTCMTHGLCGPYGICHYSPTARCSCPPGYKMRNPGNWTQGCMPIVEIACDGKQNVTFLQLRNTDFWGSDQQRIEKVPWEVCWNTCISDCTCKGFQYQEGNGTCYPKSFLFNGRTFPTPFVRTMYIKLPSSLDVSKKPIPQSSIHDYTPSGLDCDHLNTITTEAVRNMNKIGGEEPKWFYFYGFIGVFFIVEVFFFAFAWFFVLRKEMRSSQVWIAEEGYRVMTSHFRMYSHRELVKATERFKHELGWGGSGVVYKGILDDDRAVVIKKLENVTQNREEFQDELHVIARINHMNLVRIYGFCSERFHRLLVLEYVENGSLANVLFNSKVLLDWKQRFNIALGVAKGLAYLHHECLEWVIHCNLKPENILLDENLEPKITDFGLAKLLSRSGSSQNVSRARGTIGYIAPEWISGLPITAKVDVYSYGVVLLELVSGRRVFDLIVGEDKTKVHEMLKKFIKMICYRLDNEKSLWLAEFVDSRVGDEFNYLQAKTLVKLAVSCLEEDRKKRPTMESIVESLLSVDLARS >ONIVA11G02050.1 pep chromosome:AWHD00000000:11:1607838:1611320:-1 gene:ONIVA11G02050 transcript:ONIVA11G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHGLVAVYDVRRSPLVSVLLVAGAVAGVVRIPSYFARIDSLKGVSKVPHLT >ONIVA11G02050.2 pep chromosome:AWHD00000000:11:1606516:1611320:-1 gene:ONIVA11G02050 transcript:ONIVA11G02050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHGLVAVYDVRRSPLVSVLLVAGAVAGVVRIPSYFARIDSLKGGS >ONIVA11G02040.1 pep chromosome:AWHD00000000:11:1600205:1608859:1 gene:ONIVA11G02040 transcript:ONIVA11G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEETYFSALCYLLLFSVSWKCQAAELDMAQTAVLEVDASWNLSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDESSIYVTTDCSSCFRQNIVALRMEILCDNCPAGGVGIYNPGFWGMNIEEGKAYNLVMYIRSLESVELTASLTCSDGMQNLASVSIQGIDLSNWTKIELQLLAEGTCRTSRLELTSMKKGIIWFDQVSLMPSDTYKGHGFRKELLYMLLELKPQFLRFPGISHHDEVDTTIIEPFVKDVLDSLEFARGSAESTWGSVRAAMGHPERFPLKYVAIGNEDCDKGFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDLVQMASYAPLFVNDNDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPITIGSSYSDSLAASAITWKDTHDIFLRIKIVNFGPNAVNLAISSRGLQAGVNTAKSTVTVLTSGNLLDENSFAEPNKVVPVKSELPDASEEMEAALSPYSFTSFDLALDQYSKLVAEM >ONIVA11G02040.2 pep chromosome:AWHD00000000:11:1600298:1608859:1 gene:ONIVA11G02040 transcript:ONIVA11G02040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEETYFSALCYLLLFSVSWKCQAAELDMAQTAVLEVDASWNLSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDESSIYVTTDCSSCFRQNIVALRMEILCDNCPAGGVGIYNPGFWGMNIEEGKAYNLVMYIRSLESVELTASLTCSDGMQNLASVSIQGIDLSNWTKIELQLLAEGTCRTSRLELTSMKKGIIWFDQVSLMPSDTYKGHGFRKELLYMLLELKPQFLRFPGISHHDEVDTTIIEPFVKDVLDSLEFARGSAESTWGSVRAAMGHPERFPLKYVAIGNEDCDKGFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDLVQMASYAPLFVNDNDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPITIGSSYSDSLAASAITWKDTHDIFLRIKIVNFGPNAVNLAISSRGLQAGVNTAKSTVTVLTSGNLLDENSFAEPNKVVPVKSELPDASEEMEAALSPYSFTSFDLALDQYSKLVAEM >ONIVA11G02030.1 pep chromosome:AWHD00000000:11:1597851:1598762:-1 gene:ONIVA11G02030 transcript:ONIVA11G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECQRRGKRRDGLVGDRSRFHNGLLPWRHQSLFLFAIVLVAASQVQLAVNTDPFMSGACKTVAGSNGGVISVTFCMDALGSDSRSLNANHYSDLAIVAIDLLTSNATSVNAKIDSILKDDGGGLKPDDATTVCLQMCQVAYAGVCRTKEAD >ONIVA11G02020.1 pep chromosome:AWHD00000000:11:1589817:1590491:-1 gene:ONIVA11G02020 transcript:ONIVA11G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSAAVYRRVLKEVQKHVGGGDSKKHFREFVASEFRRPTGTDADARARLRLAGDYAYLLASVHHHKDLLFSYNIAVDRSEEMKKILNKSAASVGLQLPDVYQA >ONIVA11G02010.1 pep chromosome:AWHD00000000:11:1586438:1587687:-1 gene:ONIVA11G02010 transcript:ONIVA11G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCEDRGGRYLRQVSQNFTFTVKPLTVTSFDLRNLIRGVLPELHSIDGVVEPRNSSTSGGALVFLGTIPGSLADGGCIRANNKASTTQEYLQNEGKPVYLSAMKLKKLKPDRWSKKERKKEQSLLGLACSCCGSLASNSCDDAMIMIHGSMASSLLLVALRVLCACA >ONIVA11G02010.2 pep chromosome:AWHD00000000:11:1586536:1587687:-1 gene:ONIVA11G02010 transcript:ONIVA11G02010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCEDRGGRYLRQVSQNFTFTVKPLTVTSFDLRNLIRGVLPELHSIDGVVEPRNSSTSGGALVFLGTIPGSLADGGCIRANNKASTTQEYLQNEGKPVYLSAMKLKKLKPDRWSKKERKKEQVRCFPSRLGRASWAWRAAAVGH >ONIVA11G02000.1 pep chromosome:AWHD00000000:11:1585640:1592366:1 gene:ONIVA11G02000 transcript:ONIVA11G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXS0] MIMASSQEFEANDPQQLHAKPKRLYQVWKGNNIFLCGGRLIIGPDAASLLLSMNTRAPPEVDEFLGSTTPSMEWSSGRTPRMRFRRSKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLRNYRYFFLFVATSTFLCIFVFIFSWVNVYYERGYNGGSIWKALRKETTYENFRYHYNKKDNPYRKSVAANFVDVFFTKIPPPQNNFCSWVGEGALEAGFYTPYIALDLTDPREKIDLEMGNKDILVGGIQIPTVLQNIDYGSFEDNPDDKNRNEDDRLVPFASTWVQQANEGARTSEIATVEYKDEISEDGGKEIISSNTSSEQTSIEANAAASEDESNEDNAGKNQIALLPLWYYKGEANNADVEQEKRMNLGKRTKSNLVVVDAGEEVGVIPGEPQPRSGVGVRASGAAELGGDELAEVLLGVAPADVLLHLLEHPPTAADVATIDLTPDDAFVISWASTRCQHSRPEAIDASRIILARNSNSITYNIGLTTTMPSFPPPGTVTICEINRDLVAADALSDDGAKDAYGDVLGMVFSPIPFQPDAIVATHEPPA >ONIVA11G02000.2 pep chromosome:AWHD00000000:11:1587093:1592366:1 gene:ONIVA11G02000 transcript:ONIVA11G02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXS0] MTSARDPGIVPRNTRAPPEVDEFLGSTTPSMEWSSGRTPRMRFRRSKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLRNYRYFFLFVATSTFLCIFVFIFSWVNVYYERGYNGGSIWKALRKETTYENFRYHYNKKDNPYRKSVAANFVDVFFTKIPPPQNNFCSWVGEGALEAGFYTPYIALDLTDPREKIDLEMGNKDILVGGIQIPTVLQNIDYGSFEDNPDDKNRNEDDRLVPFASTWVQQANEGARTSEIATVEYKDEISEDGGKEIISSNTSSEQTSIEANAAASEDESNEDNAGKNQIALLPLWYYKGEANNADVEQEKRMNLGKRTKSNLVVVDAGEEVGVIPGEPQPRSGVGVRASGAAELGGDELAEVLLGVAPADVLLHLLEHPPTAADVATIDLTPDDAFVISWASTRCQHSRPEAIDASRIILARNSNSITYNIGLTTTMPSFPPPGTVTICEINRDLVAADALSDDGAKDAYGDVLGMVFSPIPFQPDAIVATHEPPA >ONIVA11G01990.1 pep chromosome:AWHD00000000:11:1531978:1536149:-1 gene:ONIVA11G01990 transcript:ONIVA11G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTTIPPHLFQTSGKLGFRRLASGGRCSSRRPRRREGRSSVSILSSVDHPPSLSLAAIALFHWHCTMASRRNVGGYAPLPTEDRDDSNLTDDTFGSLTPQNPSEKSRGSHMEGDNSQAYGLLFLGILAFLPGFYETRVAYYSSRGAPGYTFASIPDY >ONIVA11G01990.2 pep chromosome:AWHD00000000:11:1531978:1536149:-1 gene:ONIVA11G01990 transcript:ONIVA11G01990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTTIPPHLFQTSGKLGFRRLASGGRCSSRRPRRREGRSSVSILSSVDHPPSLSLAAIALFHWFALSVKPFGFAGSLLRPSLSSTLSVELSGTALWRLDAMLGGHMEGDNSQAYGLLFLGILAFLPGFYETRVAYYSSRGAPGYTFASIPDY >ONIVA11G01980.1 pep chromosome:AWHD00000000:11:1530385:1530942:-1 gene:ONIVA11G01980 transcript:ONIVA11G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNNGVSALFLLAVLLIAASQLAAGINSAVYGACKTIAGGSGLIGVTFCIDALSSDNRSSNVGSYKEFAVIAVDLLTANATSTKSEIDAMLRSSGGGGDATTRCLKSCQAVYGGILQAQAAVGAAVKGGRFQEAISSLEKSASAVKECQDGFGKSNVTSPLSVENDDTFQLAELIVLLIRDEP >ONIVA11G01970.1 pep chromosome:AWHD00000000:11:1527412:1527912:-1 gene:ONIVA11G01970 transcript:ONIVA11G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSINGVSILFLLAVLLPASQLAAGIDSALYGACKTVAGNSGVVSVTFCIDALSSDNRSHDAAGFKDYAVVTVDLITANATSTKSKIDGILQNGGGGGAGDAKRRCLQSCQAAYAGVLRAQPGIVADVQGGRLPEAISALEKSASGAGMREWFRQEQRDVTGHRG >ONIVA11G01960.1 pep chromosome:AWHD00000000:11:1512234:1526269:1 gene:ONIVA11G01960 transcript:ONIVA11G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRESFMSTIFFFLLLFSLGCKCIASELHLHATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKIYNLVMYVKSPETVELTVSLTSSDGSQNLASTTIPLVPFLSILGQENKDVCPFPLVLKGLYCCRVSGASNWTKLEQKLVAQGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLTSLIATKLFYISGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSRPLDHPADLYDFHVNYSSSGVLLCSTSHSVTNVKVYTDSKTLFSMKSAFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFGESSGAMFHPVTITSSYSGSLAASAITWQDSENSFLRVKIINFGSDPVSLTISATGLQARVNALGSTATVLTSSNVMDENSFSNPNKQSRCKSRLLLTLSPHSILRLPSPNSWQRCEAATQGILTSHLHCGKLSREQVQLVVDKIMMGEKIGEGNFVSFALMRTVSVTPDKEINHAGSGGLWAELVSNRGFEAGANTSNIDPWSIIGDESSVHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMFKVYGAATEGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYDFLQDAIDSLEFARGSKESTWGSVRAAMGHPEPFPLKCVALGNEDCEIFKPTYQENYPKFYNAIREAYPDIQIISNCDGPSRPLDHPADLYDFHTVKITIDYAIVSLQVFVSEYAVNNDKGGDAGNGSLLASLAEAAFLTGLEKNSWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPVTISSSYFDLLAASAITWQDNDDIFLRVKIVNFGPSAVNLTISSSGLQAGVNVAKSTVTVLTSSNLLDENSFSEPNKVVPVTRELPNAAQEMQFLLLPFSLTSFDLAMF >ONIVA11G01950.1 pep chromosome:AWHD00000000:11:1498670:1508598:1 gene:ONIVA11G01950 transcript:ONIVA11G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREPFLSAIFFFFLLLFCLGCKCIASELHLHTTQTAVLKVDASPQHARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETVELTVSLTSSDGSQNLASSTIPVSGASNWTKLEQKLVAKGTNRTSRLQITTTKKGVVWFDQVSLMSADTYKCMIPLKSSWAFSTASNISFTHSGTWFSHRTNIYDVGLKTTILEIPWYELYFPIENQVLDICTYMSDVSGIIDLISIQTIVGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQVPV >ONIVA11G01940.1 pep chromosome:AWHD00000000:11:1489081:1490780:-1 gene:ONIVA11G01940 transcript:ONIVA11G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASALSNPRLQAMLEEEKRKAMANEFVAKLTDVCWDKCITGSIGSSFSNSEASCLSNCAKRFFELKMLIVQRVSSPR >ONIVA11G01930.1 pep chromosome:AWHD00000000:11:1485292:1487692:-1 gene:ONIVA11G01930 transcript:ONIVA11G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEDEAENGKLPLELEPLRSLAPKFPTILGYDVETQSTDPLLVYATPSIPCSSSEQPQEAPASFSLPLPKSPVPIKATPISAAFPTPQHEDESSDQDYKPFCKNKKPAMPKRAKRPQQAEKSNDANIKRRSIRRNLDSEFNLCSSSSDNPKESVEGILMMFDSLRRRVLQLDEKEDASRRADLKAGTLMMQNNLRINNHKMIGHVPGVEVGDIFFFRIEMCIVGLHAPAMGGIDYISSKNKDETLAVCIISSGGYENDDDDTDILVYTGQGGNSRHKEKHDQKLERGNLALMNSKSKKNQIRVVRSAQDPFCNSGKIYIYDGLYRIEDTWTDTAKNGFNVFKYKLRRDPGQPDGISLWKMTEKWKANPATREKAILLDLSSKVEHLPVCLVNDVDDEKGPSHFNYVAGVKYLRPLRKTKPLQCCKCPSVCLPGDPNCSCAQQNGGDLPYSATGLLAKHTPMVYECSSNCQCSHNCRNRITQKGIKLNFEVFWTGDRGWGLRSWDPIRAGTFICEYAGEVIDETKMDIDVEEDKYTFRASCPGNKALSWNLGAELLEEKSTAVTTKNFKKLPIIIRANNEGNVARFLNHSCSPNLLWQAVQYDHGDDSYPHIMFFAMEHIPPMTELTYDYGTRGAPPGFEGKPFKACKLKSCLCGSKHCRGY >ONIVA11G01920.1 pep chromosome:AWHD00000000:11:1480390:1482054:1 gene:ONIVA11G01920 transcript:ONIVA11G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPATAAAEAALARRAADPLPALRRRDALPLPARLFAQLHALVPSSRCLPSALSLYALLFLSSSPPLLRPNAFTYPPLFRAAPPALALALATHSVKFLGAHAASCDRVLGAALLGVFARCGRIASCRRVFDRIAHPDLPAWNALLSAYARLRARDVACATSAADAILELFVRMLSLAIEPNEITLVAVIGACGELGAVSHGVWAHTYAVKRRLAVNCIVATALVEMYAGCGRLDLAEQVFAAASDRDTRCYNAMLHGLAVHGHGRAALSLLDRMHGAGVPVDGVTVLSVMCACAHAGLVDEGLDYFDRMEIEFGIEPRIEHYGCMIDMLSRAGRLNNAEKLIHEMLIVPNAAIYRSLIRACGIHGKLELGEKMIAELMRLEPDDSGNHVLISNFYARTNRWEDAKKARKEMKSMGIDKNPGSSLVDINGVLHEFLVGDKTHPASKEIYTMVEEIETRLIECGRRSSTSSALFDVEEEDKADTLSYHSERLAIAFALIASNPGAPIRIIKNLRVCADCHESAKLVSRVYGREIVMRDRTRFHHFRDGVCSCGDFW >ONIVA11G01910.1 pep chromosome:AWHD00000000:11:1476147:1479276:1 gene:ONIVA11G01910 transcript:ONIVA11G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAETVRLPEQQRRRPLTIASLPEEIMSEILLLLPPKSILQCRAVCKAWRDVTSDRAFLLTHHRRQPPQRLLTFIRDVGSHHDDLDILDYCVEAVDFRTHQFQSLARFTGQDYDCSLEDSPFTVHASCDGLLLMSYNNYLHLCNPTTRQWLWVSPPALQHDKVVGLYAHGHPSEYRVLYYREFGLGRTFYITTVGSRKERRIWPHSSSESLRKWLTKGTEDTEFNKPFLFHGNLHWLPQSGRQNKIVVFDTLDEAFRWLHVPFKMHNVSSLLEIEGSLAMSNSHIGSSKVDLWLLQDYKHMFHYDMKGNLLEKFQCSGRMLNITPHILQESLIPHEPIIRFNSTGNWA >ONIVA11G01910.2 pep chromosome:AWHD00000000:11:1476975:1479122:1 gene:ONIVA11G01910 transcript:ONIVA11G01910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVRLPEQQRRRPLTIASLPEEIMSEILLLLPPKSILQCRAVCKAWRDVTSDRAFLLTHHRRQPPQRLLTFIRDVGSHHDDLDILDYCVEAVDFRTHQFQSLARFTGQDYDCSLEDSPFTVHASCDGLLLMSYNNYLHLCNPTTRQWLWVSPPALQHDKVVGLYAHGHPSEYRVLYYREFGLGRTFYITTVGSRKERRIWPHSSSESLRKWLTKGTEDTEFNKPFLFHGNLHWLPQSGRQNKIVVFDTLDEAFRWLHVPFKMHNVSSLLEIEGSLAMSNSHIGSSKVDLWLLQDYKHMVWVHKYRIELPVIDIRRLVEDDVWFLHIVSQEGDVLVDGSYWQFHYDMKGNLLEKFQCSGRMLNITPHILQESLIPHEVFQILDNERTELPD >ONIVA11G01900.1 pep chromosome:AWHD00000000:11:1471549:1473201:-1 gene:ONIVA11G01900 transcript:ONIVA11G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRYLLALDKHPITTKAVTSAVLTLTGDLICQLAIDKVPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVMINGASGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVTVK >ONIVA11G01890.1 pep chromosome:AWHD00000000:11:1467156:1467641:1 gene:ONIVA11G01890 transcript:ONIVA11G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAATLHHHFRAAAAAPGRRRIAKRRPRPSRRLPTTYISADPANFRRMVHQVTGADDLPPPPPSLSLQQTTELLLPAVHAAPAGSPGAAGALMLPTLDTSAFLLGRRAEPAAAAPPCDESVALVRGGAGNYGSNNNSISSSSSSGNCGGGFPTLDSWDLL >ONIVA11G01880.1 pep chromosome:AWHD00000000:11:1448271:1455817:-1 gene:ONIVA11G01880 transcript:ONIVA11G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLGSVTLAKCANETVTYGGCLPIAPFPFPLFYQHLLQATEGFGFSVLSLQANVLSEVFLLTALLLGALLSYGEPAVLVLGENQQTLSFKSKISITDCSIDKVETVAVEEHLDKTTTSNEVYVRERNFEGNIHDTHWEEKNGTYMTVDTALNDEIHTKDGTSDYDLQDTHREGKGITSVETDTVPCVAPLSFAYSGVTVETEDVGENSKKNDELQELGSINPGSDNNKVQYQYQLGEFMSSCWEPVMRQEPQDACSDSESDLTESSPDASMTDIIPMLEELHPLIDLQTGHPSLVSRDNLNTSSDDDEDDLEEEDASTDENQLEGKIDDFANWKDVIDLNYLDMDNNSKLEGMMDLQRAKNILKFELDKRLMDLQAADAVQKMEEASRFRVQVPSISTGRQNPFDSSNGSDEIIELPHVPDSAPSCLLPRKNLFDLAVNQNMAHDSPLQETWTPLSYFSARRHRKHGNLYVRHSTSLHHNSFKLEKDEISENDAHKSHNSQSDGDAKQERNNSTLFGSLEAHIGEEIKILGMAISDVGVLEVNSGMVSSNQNADFSDDISSSPFQKPRQSTFEATDTVHAGIEQLTSCSPYKVNNFEAHIVEADSIDEFNSLFKCRMEEVLVQSISESSISQPLTVKLEDELSEPLSPDSGTGTHFIDGSSVEDSDPRFAQLNDEALVSSTSNATCRNESIEEKSSEALLAGNEDYSELPNELLKSGDPQTADSSEIQVQVTCERFTRLCIKE >ONIVA11G01880.2 pep chromosome:AWHD00000000:11:1448271:1455817:-1 gene:ONIVA11G01880 transcript:ONIVA11G01880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLGSVTLAKCANETVTYGGCLPIAPFPFPLFYQHLLQATEGFGFSVLSLQANVLSEVFLLTALLLGALLSYGEPAVLVLGENQQTLSFKSKISITDCSIDKVETVAVEEHLDKTTTSNEVYVRERNFEGNIHDTHWEEKNGTYMTVDTALNDEIHTKDGTSDYDLQDTHREGKGITSVETDTVPCVAPLSFAYSGVTVETEDVGENSKKNDELQELGSINPGSDNNKVQYQYQLGEFMSSCWEPVMRQEPQDACSDSESDLTESSPDASMTDIIPMLEELHPLIDLQTGHPSLVSRDNLNTSSDDDEDDLEEEDASTDENQLEGKIDDFANWKDVIDLNYLDMDNNSKLEGMMDLQRAKNILKFELDKRLMDLQAADAVQKMEEASRFRVQVPSISTGRQNPFDSSNGSDEIIELPHVPDSAPSCLLPRKNLFDLAVNQNMAHDSPLQETWTPLSYFSARRHRKHGNLYVRHSTSLHHNSFKLEKDEISENDAHKSHNSQSDGDAKQERNNSTLFGSLEAHIGEEIKILGMAISDVGVLEVNSGMVSSNQNADFSDDISSSPFQKPRQSTFEATDTVHADSGTGTHFIDGSSVEDSDPRFAQLNDEALVSSTSNATCRNESIEEKSSEALLAGNEDYSELPNELLKSGDPQTADSSEIQVQVTCERFTRLCIKE >ONIVA11G01870.1 pep chromosome:AWHD00000000:11:1445621:1448229:1 gene:ONIVA11G01870 transcript:ONIVA11G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRAESELELVEAEAEAEAEAEAEKNGRRAAGSQDGVNRAFILECSKHSDGSIYSGDDFWHRFYKVADTRETRMEAMMLSNPTNCRPHMWACKAHSVQFMMQIFSLKLSNITAAVDGPVHLYGYFAVRDHLDPLRNYIFNRTRDDPFIMGQDNGVDSDNSLIPMSGPKRGIGNQVRVLIEFDMKIKNGETQDDDFQLIDGAIICSEFVLPDRVFTQRIEGDCGAVDISRALFHEAVEATIQVSISQVHVNGLSLSLYSYTSRIPEKIRLFDGVISKPCDLNRVVAVVENTPLFLIFRAVHRDGSDYDIPKYCPLVFKVDQGDGSYRVSEYCPFKARRHGYDMKELKLGGARVLLKVSWSTLK >ONIVA11G01860.1 pep chromosome:AWHD00000000:11:1442284:1445222:-1 gene:ONIVA11G01860 transcript:ONIVA11G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVLVSSKIHGRSKSTTAKRNCIICGQRISKRRRTQHNFQKISRGQLNLQRTRPCLLNFQSLPEMYCSLNRCPLDLDDIVDQPHYHLKMVCIFGFCGNTGQVELAKYILRNALILEQMIIDPKGRYRLDGYFGRQEADEKLVPEDIDGVLTIL >ONIVA11G01850.1 pep chromosome:AWHD00000000:11:1438308:1439695:-1 gene:ONIVA11G01850 transcript:ONIVA11G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPMPAPPPPSSSPPPGHDAAAATETTPLHPSFRGAPPPSPGTYIIQIPKDQVLRVPPPDRARRYKKLAARPARRRRLRHACCGAFCGVLLLLLLAAAFVGVVYLVFRPRAPSFSVASLSIRGLDALAVSSLTPQIDAAVRADNGANKKTGIDYRGGGEVTVSYSGERLAAGPWPAFHQAPRNVTVFSTALAGGGVYLIF >ONIVA11G01840.1 pep chromosome:AWHD00000000:11:1433964:1436184:1 gene:ONIVA11G01840 transcript:ONIVA11G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPLSERDFHALFSAISGGKNPATHRHLFNDTLLKINKELTYLQFELRAGINQYDGTVYYGVVNNIADEESKLGSKFSVPQIAFYKGLLEAIVHEAGNDGSITNIDALNTRIENQVVIADASQGSQSRLPTSITNFSLSQKEKTLNELIQDRWLSYTPTGKIGLGIRSFLDLRSWLRSNDIPSCEVCNEACIKASSCPNEGCNVRIHVYCLKKKFSQRKASRACGCGTEWPRLEGEDDGAEDVNEPEEDQVPSANQHSRTRRRGVKSELVEENERAGPSARMTRRSLRSSKAEAVEAAQEVPSAAGPSQSTRASKRRKN >ONIVA11G01830.1 pep chromosome:AWHD00000000:11:1431362:1432312:-1 gene:ONIVA11G01830 transcript:ONIVA11G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALAPCRSAAPLHAARLLPQQRTPTAPRILLPAGGLLLRPQPPFHHPQRRSSSRRDLRCRRRLLTARGDYDFYENYADEEGDEEEESEVIGGSFDAAVALFNGGEFHACHDVVEELWYTAEEPTRTLLHAILQCAVAFHHLFNQNHRGAMMELGEGLCKLRKLRLDDDDDTTSPFSRFEEEVAAALNFIYRTQKELAACTDDLCLTMDGSATSYQLLGNFAAGQKLYRLETATGADGDGDGVPTIIFSASSRLVRVKLPTLSATEQHLAALQCTSEYI >ONIVA11G01820.1 pep chromosome:AWHD00000000:11:1422773:1429966:-1 gene:ONIVA11G01820 transcript:ONIVA11G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKAEAKAETIGGGGGGSGSFSEQAFVEKLNKLNNTATRIQTLSNWCIFHRKRARKVVDTWEKQYNSANKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKNDILGGSTHTMGNNVNSSNPSPNPSSVSKAARKDSGTVTRKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCNNAVSVLDRMDKDVDDACTQGIQQGSSLISDLQGQETVLKQCIEQLESVNMARITLINKLREALGEQEAKSELLRNQLHVARAKAEHAMQLKQRLGSALNNGAGSSSSPLMVTLPPGQTAAMMQNSAAMPIFPQFQPLHPATSLPATSSAVGDEPKKTAAAMADKLASLSAPE >ONIVA11G01810.1 pep chromosome:AWHD00000000:11:1411712:1414651:-1 gene:ONIVA11G01810 transcript:ONIVA11G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRTLHPADDRPPGRPRKTPPPAPCPRRSRSASVEPRARGIGEYAAGNTRTNPLFDRRGGGEHSASPPQAAGCRGEEAAASRERGREVARNGSCAGGSGRARSVSVAPRRRRADSSPSWGNGDGGGGRRASTAVDLQPYLGDEVIWQSNHSNVQVQQFDPDSADLAPDIEHRSTELQREQMVIPLDFDPDSAELSPDITEYTTKLKQSHECARKLRADLAVEEQREQELSRVLKGIVTAPNFTEAHKEGQGGSVERLKVSKHLAEEAMNYFEECVSISTLDSTDFSSLEDPQINSVVNIPQKNRNTSFDKGGSSIAETHYPTDRHWHNEEFDNQTQCSVSLTGSDVSGGHTYSHTMMTPVSRTTNSSSDDLDGFDTPKGRSSCFSFTHEPTKTVEGDDVQQYLRSFGRGISKDLREIRSNYCDDDYVFQKMNADLIMDTVTFKNMVNFGGLLICNIRRY >ONIVA11G01810.2 pep chromosome:AWHD00000000:11:1411712:1414651:-1 gene:ONIVA11G01810 transcript:ONIVA11G01810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRTLHPADDRPPGRPRKTPPPAPCPRRSRSASVEPRARGIGEYAAGNTRTNPLFDRRGGGEHSASPPQAAGCRGEEAAASRERGREVARNGSCAGGSGRARSVSVAPRRRRADSSPSWGNGDGGGGRRASTAVDLQPYLGDEVIWQSNHSNVQVQQVIEIPPEFDPDSSEFVSDISDFTTEFKKEEILHIPFEFDPDSADLAPDIEHRSTELQREQMVIPLDFDPDSAELSPDITEYTTKLKQSHECARKLRADLAVEEQREQELSRVLKGIVTAPNFTEAHKEGQGGSVERLKVSKHLAEEAMNYFEECVSISTLDSTDFSSLEDPQINSVVNIPQKNRNTSFDKGGSSIAETHYPTDRHWHNEEFDNQTQCSVSLTGSDVSGGHTYSHTMMTPVSRTTNSSSDDLDGFDTPKGRSSCFSFTHEPTKTVEGDDVQQYLRSFGRGISKDLREIRSNYCDDDYVFQKMNADLIMDTVTFKNMVNFGGLLICNIRRY >ONIVA11G01800.1 pep chromosome:AWHD00000000:11:1403822:1408230:-1 gene:ONIVA11G01800 transcript:ONIVA11G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSSPDPASSSPSASSSPSSPSSSSSEDSSSPMSMPCKRRARPRTEKSTGKAKRPKKESKEVADPSSNGGGGGKRSSIYRGVTRHRWTGRFEAHLWDKNCSTSLQNKKKGRQGAYDSEEAAARAYDLAALKYWGPETVLNFPLEEYEKERSEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTFDTQEEAAKAYDLAAIEYRGANAVTNFDISCYLDQPQLLAQLQQEP >ONIVA11G01790.1 pep chromosome:AWHD00000000:11:1399338:1402919:1 gene:ONIVA11G01790 transcript:ONIVA11G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPCTADIDRSEKQMETIIPDSVREPLLGNRTHESKSERHEPNMQPNLWDGKGQERLGWMHIISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQAVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRIPFTVYYTRRMLCAELQLISFTLFVGKMSTKASSQFVQLLTEDEMAFDNLFCVAFQMLDAQWLARQASYMEFNEVMKSTRIQLEQELTIGSISSVQEMPSFGLLKR >ONIVA11G01780.1 pep chromosome:AWHD00000000:11:1397180:1399168:-1 gene:ONIVA11G01780 transcript:ONIVA11G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLLLLLLLRIVASAPASLPATALFVLGDSTASCAATTLPINLSLTSSSGNCLFPSAHRLLPDLLAAKMGLPPRLEAPTPQDADAAAARAVFILSFGTDAYARVLSRRSEADASAPKHGRRGLARLLADRVARAVEELYEAGARRTAVMGVAPLGCAPRVMWEGLHVVDGRSCVEEANELVQGYNARVAARLAALRPRLPGADITRKACCGLGPFGGTVGCLTKEMVCPTPQRHVWWDLYSPTEVVTSLLANWSWSAPSHSNATICRPITLEMLTGHMSSMSPSKS >ONIVA11G01770.1 pep chromosome:AWHD00000000:11:1386868:1389421:-1 gene:ONIVA11G01770 transcript:ONIVA11G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPGDEHDDCRTAPLLEPKHAHGEGSNNDKQEEDEEEVGSLGRRVLVESKKLWVVAGPSICARFSTFGVTVISQAFIGHIGATELAGYALVSTVLMRFSGGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLLCCAVLILPIYLFTTPLLIFLGQDPKIAAMAGTISLWYIPVMISNVGNFTLQMYLQAQSKNMI >ONIVA11G01760.1 pep chromosome:AWHD00000000:11:1367932:1371425:-1 gene:ONIVA11G01760 transcript:ONIVA11G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXP2] MERTTEDDERLTDPLLEPKPAINGGGGGSNEEEEEVGSLGRRLVEENKKLWVVAGPSICARAAYALVSTVLMRLSVGILIGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLFCCAVILLPIYLFTTPLLIALGQDPDISVVAGTISLWYIPIMFSYVWGLTIQMYLQSQSKNMIVTYLSLLNFGLNLFLSWLMVVKFHLGLAGVMGSMVIACWIPIFGQLAYVFFGGCPQTWTGFSSSAFTDLGAIIKLSISSGVMLCVELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMIAIGFLAATGVRVANELGAGSARRAKFAIFNVVTTSFLIGFVFFVLFLFFRGSLAYIFTESQEVVDAVADLAPLLAFSILLNSVQPVLSGVAIGSGWQSVVAYVNVASYYLIGIPIGAILGYALGFEVKGIWIGMLVGTLVQTLVLLFITLRTNWEKQVEIALERLNRWYTDDNGRSQNSRGNP >ONIVA11G01750.1 pep chromosome:AWHD00000000:11:1362331:1367041:-1 gene:ONIVA11G01750 transcript:ONIVA11G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase-like family protein [Source:Projected from Arabidopsis thaliana (AT5G21060) TAIR;Acc:AT5G21060] MAAPVRSVLPVVLLGCGGVGRHLLRHIVSCRPLHANQGVAIRVLGVADSSSLLVADDLHSNGFDDALLADLCAAKSAGSPLSSLLSRGQCQLFNNTEARRKVIDTASVLGKTTGLVLVDCSATYDTVGMLKDAVDCGCCVVLANKKPLTCAYEDFEKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPVSRIVGSLSGTLGYVMSELEDGKKFSEVVKTAKSLGYTEPDPRDDLSGMDVARKALILARLLGQQISMENINVESLYPSELGPDAMSTKDFLESGLVQLDKSIEERVKAASLKGNVLRYVCKIESTGCQVGLEELPKNSALGRLRGSDNVVEIYSRCYESAPLVIQGAGAGNDTTAAGVEIYSRCYESAPLVIQGAGAGNDTTAAGVLADILDLQDLFHKTA >ONIVA11G01750.2 pep chromosome:AWHD00000000:11:1363301:1367041:-1 gene:ONIVA11G01750 transcript:ONIVA11G01750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase-like family protein [Source:Projected from Arabidopsis thaliana (AT5G21060) TAIR;Acc:AT5G21060] MAAPVRSVLPVVLLGCGGVGRHLLRHIVSCRPLHANQGVAIRVLGVADSSSLLVADDLHSNGFDDALLADLCAAKSAGSPLSSLLSRGQCQLFNNTEARRKVIDTASVLGKTTGLVLVDCSATYDTVGMLKDAVDCGCCVVLANKKPLTCAYEDFEKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPVSRIVGSLSGTLGYVMSELEDGKKFSEVVKTAKSLGYTEPDPRDDLSGMDVARKALILARLLGQQISMENINVESLYPSELGPDAMSTKDFLESGLVQLDKSIEERVKAASLKGNVLRYVCKIESTGCQVGLEELPKNSALGRLRGSDNVVEIYSRCYESAPLVIQGAGAGNDTTAAGVLADILDLQDLFHKTA >ONIVA11G01750.3 pep chromosome:AWHD00000000:11:1362331:1367041:-1 gene:ONIVA11G01750 transcript:ONIVA11G01750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase-like family protein [Source:Projected from Arabidopsis thaliana (AT5G21060) TAIR;Acc:AT5G21060] MAAPVRSVLPVVLLGCGGVGRHLLRHIVSCRPLHANQGVAIRVLGVADSSSLLVADDLHSNGFDDALLADLCAAKSAGSPLSSLLSRGQCQLFNNTEARRKVIDTASVLGKTTGLVLVDCSATYDTVGMLKDAVDCGCCVVLANKKPLTCAYEDFEKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPVSRIVGSLSGTLGYVMSELEDGKKFSEVVKTAKSLGYTEPDPRDDLSGMDVARKALILARLLGQQISMENINVESLYPSELGPDAMSTKDFLESGLVQLDKSIEERVKAASLKGNVLRYVCKIESTGCQVGLEELPKNSALGRLRGSDNVVEIYSRCYESAPLVIQGAGAGNDTTAAGVLADILDLQDLFHKTA >ONIVA11G01740.1 pep chromosome:AWHD00000000:11:1357745:1361661:-1 gene:ONIVA11G01740 transcript:ONIVA11G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEQHCGGGGGDKEKDLLSAVVGDIRSYSGSDPLRPWLRGMRKMEAALPPATLRAKLPRFLQKCAQEFQDDARYRDDSRYLRVWIQLMDYVKDAKPLLKKMEKNRIGLKRSAFYMAYALYYEKHKRFEDAENMYRLGTQKGMRKMEAALPPATLRAKLPRFLQKCAQEFQDDARYRDDPRYLRVWIQLMDYVKDAKPLLKKMEKNRIGLKRSAFYMAYALYYEKHKRFEDAENMYRLGTQNLAEPVGELQKAHEQFIRRMELYKRRKSRVQQERMPNKVQSIATSKNEVEGQSRSCTKPKSNPVQRSGSGSNPHLGFPHPLGRPLSRGTSGETMSLSRHNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAISSMFLEPLEPETKLKRRSNRDKPSFNQEASAFEIFVDEDEPNKSGPSKLQDKNKLQDKNMKQDNPKLSQQASAFEIFVDEDDPYCNNQKMVQHRHFNKENTQVNQKASGFEIFVDENEPHGNGRNAMSHKSTVCPPKPSRDSRQQANFDFQKPFVGGFAILPDDEDEQLEKNDNGVKINSGTVQLTDDKDTSLCSRQTDSKIRCDDLRPAISGLREDTVFHRFVGSAVVGEPKVENACHHGLVEPTVNLKEAMDDINNMFGIPLNFKGEKPKNKKTTTLSERKAAPLSGFSILADDEPGENPAAQVKPSNASKFECQSGLFEPTITTRDVMAEINDMFGMPLDF >ONIVA11G01730.1 pep chromosome:AWHD00000000:11:1356335:1356793:1 gene:ONIVA11G01730 transcript:ONIVA11G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAYPVQLLHRQATAATGGGQWHNLGAAYAAVRFLRPQGRSLVLYAGPDGGAQQRIVFAYPILPGDAFERMDGETLSWEEPECGDEFALCFLNEAACAAVSGAISPVTESLAALDGLAERLAGLRVAREEGGPAGVDIAGRLAAISMGRP >ONIVA11G01720.1 pep chromosome:AWHD00000000:11:1340375:1350282:-1 gene:ONIVA11G01720 transcript:ONIVA11G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGIKKGPWTPEEDIILVSYIQEHGPGNWRSPQIRQALDQRGEPDQAYELIEHFEIEKIEHAMNDHSASPQAPLFRVWERIKLQAPIFFGD >ONIVA11G01710.1 pep chromosome:AWHD00000000:11:1338527:1340341:1 gene:ONIVA11G01710 transcript:ONIVA11G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRLDSFYARLRAAAAASAADASSPLLILPSAADADALCALKVLTHVLSADSIRFSIYPVASAAAAASLLASFSASHPLCLLLINWGAHRDLRAVLPPAATAFVVDSHRPIHLHNLSAANDRVVVLFTTDDEHTADLSYDFDVSSLADASDLSAQGEADDHLRVAEEDEDSDASDSDSDGEGGRRKRRRLSDDAEADGDPERLFGKLRREYYRLGTFHGKPSGCLMYELAHALRKNTNELLWLACVSLTDQFVHERITNERYQAAVMELEQHINGSGNLDPSGVGAVVTLKDGTKIRAPEASRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKRFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNAESKDSKGSSAAEQFWVAYSALSLSNVNQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTDKLCQPQALTKFCFFLMDALRERGARMKPLICACLAREPEKVLVVGVCGKPRLGAVKGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >ONIVA11G01700.1 pep chromosome:AWHD00000000:11:1330175:1330492:1 gene:ONIVA11G01700 transcript:ONIVA11G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQQDRSAAKPYANGSTAAAAAAGRKENNKVVRYRECQRNHAASIGGHAVDGCREFMASGAEGTAAALLCAACGCHRSFHRREVEATAAECDCSSDTSSGTGRR >ONIVA11G01690.1 pep chromosome:AWHD00000000:11:1321317:1324479:-1 gene:ONIVA11G01690 transcript:ONIVA11G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRARTLLMPLAAATLLVASTIFLFAATGARWRPADTGLPVPAADFSAAVIESAVTDAAAAKEELSFVDENGRPDDPASSSAAAARCDPSHAAVRVFMYDLPPEFHFGLLGWSPPTDGAADAAMWPDVGSGAAAPRYPGGLNQQHSVEYWLTLDLLSSSSPPCGAAVRVADSRDADVVFVPFFASLSYNRHSRVVPPEKVSRDKGLQERLVRYLMAQPEWKRSGGADHVIVAHHPNSLLHARSVLFPAVFVLSDFGRYHPRVASLEKDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIFRKEGGNIRQELHYMLKDEKDVYFAFGSVQDHGASKASQGMHASKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDALDYSKFSIFVRSSDAVKKGYLMRLIRGVSKHQWTMMWRRLKEVDKHFEYQYPSQKDDAVQMIWQTLARKVPAIRLKSHRSRRFSRYDRGGK >ONIVA11G01680.1 pep chromosome:AWHD00000000:11:1317960:1318409:-1 gene:ONIVA11G01680 transcript:ONIVA11G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPPGTVQCFGRKKTAVAVSYCKPGRGLIKVNGVPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEASKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >ONIVA11G01670.1 pep chromosome:AWHD00000000:11:1312266:1314898:1 gene:ONIVA11G01670 transcript:ONIVA11G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVATPSLLFSSPTPRRPSSCLPPPPPCSSSSSSYASHGFKLLQPQLLFINRLTSRNSNGSSRRSISILSLRCSSSGTDSASSSATSERWVLEPAGDGDWRHIGYRVARPGGFQIASEAAVTVGRVPEQADIVLSVATVSGTHARLEKKEGSLLVTDLESTNGTYINERRLTPGFPTPIDPGSLLIFGDIHLAMFRVSKMIVDVSSDTNGAEQEAETAQVSAATQQTN >ONIVA11G01660.1 pep chromosome:AWHD00000000:11:1307249:1311860:-1 gene:ONIVA11G01660 transcript:ONIVA11G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSSQHRSQLAPSSTTTNNIISPRRGGREPARVRNPQSGFPASLRAKMAAAWAAVVAVMLLLAQVSAAAPVMGPAFLWAPKNYGFSSDEAKEIVHYQTVSPKSLVKSVLEEGGWSNLVCSREDHAKSVDVAVLFLGSKLQSSDISKDKQADSTLVDTLKNSFASSEFSMAFPYIAMSDDDKLEKSLLSGFAENCNNGFGDNHITYTDTCSVSEDLNKHHNMDSIHGLVASQTKKNPSGQTDLIVFCDGGFKDNTKSEGELLSELVTLLKKTGAKYTILYASQPFGLLENPSNLPLGRYLAEKTNTTKPGRGKCDGECLVKSTLLEGSFVGIVLLIILISGLKCMMGIDTPSKFDAPPES >ONIVA11G01660.2 pep chromosome:AWHD00000000:11:1307251:1311315:-1 gene:ONIVA11G01660 transcript:ONIVA11G01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWAAVVAVMLLLAQVSAAAPVMGPAFLWAPKNYGFSSDEAKEIVHYQTVSPKSLVKSVLEEGGWSNLVCSREDHAKSVDVAVLFLGSKLQSSDISKDKQADSTLVDTLKNSFASSEFSMAFPYIAMSDDDKLEKSLLSGFAENCNNGFGDNHITYTDTCSVSEDLNKHHNMDSIHGLVASQTKKNPSGQTDLIVFCDGGFKDNTKSEGELLSELVTLLKKTGAKYTILYASQPFGLLENPSNLPLGRYLAEKTNTTKPGRGKCDGECLVKSTLLEGSFVGIVLLIILISGLKCMMGIDTPSKFDAPPES >ONIVA11G01650.1 pep chromosome:AWHD00000000:11:1301731:1304281:1 gene:ONIVA11G01650 transcript:ONIVA11G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKECHKDMKYPTGMRTNRATKEGYWKATGKDREIFNLQPTSYGGSSNNKNNKQLVGMKKTLVFYMGRAPKGTKTNWVMHEFRLHANLHNDNPNLRLNPKDEWVVCKVFHKKGDDREAINKQQAQAAAVDQYSAGTPNNGSSVEAGDDDDDLFQLDSIIDPSIYFSNSSAANILSAPPNMSNSVVAANYGASTTTTAEGGVHGIGSSYSLQHQAAMVKALRDVIRLPNPLGMPQYKLDDAYLWDSS >ONIVA11G01640.1 pep chromosome:AWHD00000000:11:1285231:1286425:1 gene:ONIVA11G01640 transcript:ONIVA11G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVKSELGSLFLPPGFRFHPADAEVILNYLLEKFINPSFTSLPIHEVDLNKCEPWDLPTARMGNNEWYFSRKDMKYPTGMRTNRATKEGYWKATGKDREIFKPAIYEGSSKNNKQLVGMKKTLVFYMGRAPKGTRTNWVMHEFRPHANLHNHYPNLRLNPNEWVVCKVFHKKQGDEAIKNQQQQPAVDQADDDDIFQLDDIFADPSIYDFSNSSANILSAPPNNNAVHSSVSAGTTMTSTTTASSFQHQPNCYSAPLQQHVSSWNNTPGAGGAHGIGSSYYNLQQQQQQAAMVKDLEDIIAVPDYGTLLPSSNKGSSIRSATAGVSQQNPLGVPQYKIENYGDHYISRE >ONIVA11G01630.1 pep chromosome:AWHD00000000:11:1274617:1276801:1 gene:ONIVA11G01630 transcript:ONIVA11G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGGAMPALPPGFRFHPTDEELIVHYLMNQAASVKCPVPIIAEVNIYKCNPWDLPGKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKSILSTPTSDNIGVKKALVFYKGKPPKGVKTDWIMHEYRLTGTSANSTTTTKQRRASSMTMRLDDWVLCRIHKKSNDFNSSDQHDQEPEESTVEQLEDIHDNNSSEQPPAPADMNNQQSDFQPMTAMSMSKSCSLTDLLNTIDCAALSQFLLDGSSDAIAEPPAPPSPLIYTTPHPNYQTLNYNINSNSSMPHAFESRLDHHDGYVNNYNVNGLRRKRMMACSATSFDDGSSSNDFVHAVVKKPQLLPSDSRGSGFGGGYCNQQLSETATGFQFQNGNLLSHPFPLNNHLQMQ >ONIVA11G01620.1 pep chromosome:AWHD00000000:11:1263503:1264114:1 gene:ONIVA11G01620 transcript:ONIVA11G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAAYHLLLLRRAAHPPPSPATATGAACALRHVRLFSPPPHPPSSRPTEAEAEVTAAEARRLVRLVGVEALKRRLRDGREEVVGYGELLDACVEAGAARTRRDAEALARAMDEAGVVLLFRDKAYLHPEKPIGDSNAPI >ONIVA11G01610.1 pep chromosome:AWHD00000000:11:1261465:1262363:-1 gene:ONIVA11G01610 transcript:ONIVA11G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKDLPRDQWWKHLRKTATTSTKPPSKTIPGFTVEGCDLTEGKSTREFSVLTHATFILMAVISEPWVHVHAWVSLSAPLLMKCEFGQNRQKCMCDCKPSPYAVLLANSTGTVARSADNRVREGMAGETAVYSKQYSVLVSGE >ONIVA11G01600.1 pep chromosome:AWHD00000000:11:1256102:1258691:1 gene:ONIVA11G01600 transcript:ONIVA11G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALEATGKRLSDFADTLGLPFEFCPVADKAGNLDPEKLGVTRREAVAVHWLRHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYSEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFRVSSLAGSAAAQAALLLGMFPSDGYTLIEENGALKLGWKDLCLLTASAWRPIQASGR >ONIVA11G01590.1 pep chromosome:AWHD00000000:11:1231792:1236424:-1 gene:ONIVA11G01590 transcript:ONIVA11G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYEATKVVFARLQALEPNLAPNIISMLLTKDNNEMDMIRLACGPDNLLQSIIAKVRTDLTNKPSPPMASWGFPSDVGEEASFSVDKVGCDGGEEFSSKEYDWRLPIGGNHHRSFLSSTVDTPGWKPCLYSQSGVTTHLGSDDMQEYSSRPPQIDQSDLTNNCSARQIYLTFPPDSIFSKEDVCNYFSMYGMVQDVRIPYQEKCMFGFVTFAYQKTVKLILAKGNPHYICDARVLVKPYKEKDKVPNKFRHECYIVLGPRILYRDIASHEASFRMKQDEQQHATELQRCCLMRLPLLNLQDWGHHLSSPMGSHVLLGQVDNKYNINENDNPIHLEDVTFRDNKLKNEFAMREIASTAISTAAKRTVISTEEGKREYGPKAATPNDACGFLESGMEYNLPHSPFSSPTKASNVAATAHTSNISSSSSPHKVASSLFLPTCTLELPPTIHASFKRQDNKLKNEFAMREIASTAISTEAKRTVISTEEGKIEYGPKAATPNDACGFLESGMEYNLPHSPFSSPTKASNVAATAHTSNISSSSSPHKVASSLFPPTCTLELPPTTHASFKRQGKALTDHT >ONIVA11G01590.2 pep chromosome:AWHD00000000:11:1231792:1236424:-1 gene:ONIVA11G01590 transcript:ONIVA11G01590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYEATKVVFARLQALEPNLAPNIISMLLTKDNNEMDMIRLACGPDNLLQSIIAKVRTDLTNKPSPPMASWGFPSDVGEEASFSVDKVGCDGGEEFSSKEYDWRLPIGGNHHRSFLSSTVDTPGWKPCLYSQSGVTTHLGSDDMQEYSSRPPQIDQSDLTNNCSARQIYLTFPPDSIFSKEDVCNYFSMYGMVQDVRIPYQEKCMFGFVTFAYQKTVKLILAKGNPHYICDARVLVKPYKEKDKVPNKKFQQSDSSSYMNHNRLLYSRVPFDLRRHQIGPRILYRDIASHEASFRMKQDEQQHATELQRCCLMRLPLLNLQDWGHHLSSPMGSHVLLGQVDNKYNINENDNPIHLEDVTFRDNKLKNEFAMREIASTAISTEAKRTVISTEEGKIEYGPKAATPNDACGFLESGMEYNLPHSPFSSPTKASNVAATAHTSNISSSSSPHKVASSLFPPTCTLELPPTTHASFKRQGKALTDHT >ONIVA11G01590.3 pep chromosome:AWHD00000000:11:1231195:1236424:-1 gene:ONIVA11G01590 transcript:ONIVA11G01590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYEATKVVFARLQALEPNLAPNIISMLLTKDNNEMDMIRLACGPDNLLQSIIAKVRTDLTNKPSPPMASWGFPSDVGEEASFSVDKVGCDGGEEFSSKEYDWRLPIGGNHHRSFLSSTVDTPGWKPCLYSQSGVTTHLGSDDMQEYSSRPPQIDQSDLTNNCSARQIYLTFPPDSIFSKEDVCNYFSMYGMVQDVRIPYQEKCMFGFVTFAYQKTVKLILAKGNPHYICDARVLVKPYKEKDKVPNKFRHECYIVLGPRILYRDIASHEASFRMKQDEQQHATELQRCCLMRLPLLNLQDWGHHLSSPMGSHVLLGQVDNKYNINENDNPIHLEDVTFRDNKLKNEFAMREIASTAISTAAKRTVISTEEGKREYGPKAATPNDACGFLESGMEYNLPHSPFSSPTKASNVAATAHTSNISSSSSPHKVASSLFLPTCTLELPPTIHASFKRQEPAAAPWQLPMRPPAPCLVW >ONIVA11G01580.1 pep chromosome:AWHD00000000:11:1231239:1234130:1 gene:ONIVA11G01580 transcript:ONIVA11G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLVLEKVLHENKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLPPLDKLRPRIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLLPLDKLRPRGQLKGACWEE >ONIVA11G01580.2 pep chromosome:AWHD00000000:11:1231239:1234168:1 gene:ONIVA11G01580 transcript:ONIVA11G01580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLVLEKVLHENKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLGAAQGCMLGGIEMRPPYEGYYCC >ONIVA11G01580.3 pep chromosome:AWHD00000000:11:1230597:1231229:1 gene:ONIVA11G01580 transcript:ONIVA11G01580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQELEEGAEKVKLLGIWSSPYVVKVIWALRIKHVEYDIEEDLRNKGNLLLECNPVHQKVPVLIYQGKPSDVIIEFIDDVWKDSGQGQIYSTQLSPPIWKWFTTQGKEQEDA >ONIVA11G01580.4 pep chromosome:AWHD00000000:11:1231239:1233430:1 gene:ONIVA11G01580 transcript:ONIVA11G01580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLVLEKVLHENKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLPPLDKLRPRIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLLPLDKLRPRYQAIREAFLSK >ONIVA11G01570.1 pep chromosome:AWHD00000000:11:1222547:1228189:-1 gene:ONIVA11G01570 transcript:ONIVA11G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGQGKTIRTYEQLVCALEKDNRADEACRIWEFKIAHDLQSVPWRFCRLMLGIYYRNNKLDRLVKLFKNLEACGRKPPSKDIVRKVEDAYEMLGLVEEKKELLEKYKDLFDKPSSNDKKKGRQFKKRKTKNMAMTEEDVPAAKKMKTTTEDDHEEVLLAYRPREKEDGKKRKKVVRRLGKEEVERLLSLKLAVPTLSEEVVMPMPDDDEDDVWQKEVLLRANRLLRESAIRMRKNKELIRSLFEAKGYVDVEDEFSDDDDMDMEMQPV >ONIVA11G01570.2 pep chromosome:AWHD00000000:11:1226485:1228189:-1 gene:ONIVA11G01570 transcript:ONIVA11G01570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGQGKTIRTYEQLVCALEKDNRADEACRIWEFKIAHDLQSVPWRFCRLMLGIYYRNNKLDRLVKLFKNLEACGRKPPSKDIVRKVEDAYEMLGLVEEKKELLEKYKDLFDKPSSNDKKKGRQFKKVEKNIKPA >ONIVA11G01570.3 pep chromosome:AWHD00000000:11:1222549:1224672:-1 gene:ONIVA11G01570 transcript:ONIVA11G01570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEEDVPAAKKMKTRTEDDHEEVLLAYPPRKKEDGKKMKKVVKRLGKEEVERLLSVTVTVPTLSKEAMEEDDEEDGDAAPSRMRKDQDLIRSQFEAKGYVDVLDEVSDDDDDMEMEPLPVTSASPLKPTASSFTMQRKTKNMAMTEEDVPAAKKMKTTTEDDHEEVLLAYRPREKEDGKKRKKVVRRLGKEEVERLLSLKLAVPTLSEEVVMPMPDDDEDDVWQKEVLLRANRLLRESAIRMRKNKELIRSLFEAKGYVDVEDEFSDDDDMDMEMQPV >ONIVA11G01570.4 pep chromosome:AWHD00000000:11:1222549:1224672:-1 gene:ONIVA11G01570 transcript:ONIVA11G01570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEEDVPAAKKMKTRTEDDHEEVLLAYPPRKKEDGKKMKKVKMKTTTEDDHEEVLLAYRPREKEDGKKRKKVVRRLGKEEVERLLSLKLAVPTLSEEVVMPMPDDDEDDVWQKEVLLRANRLLRESAIRMRKNKELIRSLFEAKGYVDVEDEFSDDDDMDMEMQPV >ONIVA11G01560.1 pep chromosome:AWHD00000000:11:1218341:1220231:-1 gene:ONIVA11G01560 transcript:ONIVA11G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLDGESTCAAPCEKKRLIPSCFEWGTVPATKKMKTEEDLEVLLAYPSLGEGKKKKKVVVKRLGKEEVERLLLNRVVPDWNHPIPDEYHRLDRNMYQNSAVTIRQRQDVIRAQFEAKGYVHVLAEADDDSDTDQEMHPL >ONIVA11G01550.1 pep chromosome:AWHD00000000:11:1200846:1205317:-1 gene:ONIVA11G01550 transcript:ONIVA11G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXK9] MKGHHSLPPSPPPKRRCTALAAAVPALVVCSILLPLVFLLGLHRPGHGSEERAAVVISTELGFSKHKHLDGRMKHKLLKGYSLYTVSQGFVAILDVSRKKIPGSDGILGEKSGSRSKSKSVSTKSKEKLKGVFSLVQLKNETRKNKELHTQRRYQLKDLSWRSKDTTIDKKENQDQEVEHENPRSCELEYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARAHYPSIAKLKNQETFTRELKQNIQEHERMLSDTIADADLPPFFAKKLEKMERTIERAKSCEVGCTSVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSTSIHTVQSNKQKLEDPTFHHYVIFSKNVLAVSTTINSTVMNSKDSGSIVFHLFTDSQNFYAMKHWFDRNMYLEATVHVTDIEDHQKLSKDVDFHDMKLLRPAEEFRVTFRNHSQSFQKQMKTEYISTFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVVGAIQFCEVKLGQLKAYTEERNFGTNSCVWLSGLNVVELKKWRDLHITSRYDQLLQKLQKDSVTAFPLKVLPISLLVFQDLIYPLEDSWVQSGLGHDYGVSQTDIKRSVTLHYNGVMKPWLDLGIHDYKGYWRKYMTNGERFMTECNIH >ONIVA11G01550.2 pep chromosome:AWHD00000000:11:1200846:1205317:-1 gene:ONIVA11G01550 transcript:ONIVA11G01550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXK9] MKGHHSLPPSPPPKRRCTALAAAVPALVVCSILLPLVFLLGLHRPGHGSEERAAVVISTELGFSKHKHLDGRMKHKLLKDVSRKKIPGSDGILGEKSGSRSKSKSVSTKSKEKLKGVFSLVQLKNETRKNKELHTQRRYQLKDLSWRSKDTTIDKKENQDQEVEHENPRSCELEYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARAHYPSIAKLKNQETFTRELKQNIQEHERMLSDTIADADLPPFFAKKLEKMERTIERAKSCEVGCTSVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSTSIHTVQSNKQKLEDPTFHHYVIFSKNVLAVSTTINSTVMNSKDSGSIVFHLFTDSQNFYAMKHWFDRNMYLEATVHVTDIEDHQKLSKDVDFHDMKLLRPAEEFRVTFRNHSQSFQKQMKTEYISTFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVVGAIQFCEVKLGQLKAYTEERNFGTNSCVWLSGLNVVELKKWRDLHITSRYDQLLQKLQKDSVTAFPLKVLPISLLVFQDLIYPLEDSWVQSGLGHDYGVSQTDIKRSVTLHYNGVMKPWLDLGIHDYKGYWRKYMTNGERFMTECNIH >ONIVA11G01540.1 pep chromosome:AWHD00000000:11:1171201:1195709:1 gene:ONIVA11G01540 transcript:ONIVA11G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHVMSIAAAAVAMLLLMASSPAVAGTAVLGRKGGAMTDDDVVGGQAATGPGKYAVILDAGSTGTRVHVFRFDRKMDLLKIGDDIEVFAKNKTLINKYRILIGYYFVVDPGLSSYAGRPREAANSIQPLLDKAIHVVPNWLMKKTPLKLGATAGLRLIGDEKANQILEAVRDVVHTKSKFQYNPNWINVLTGSQEGSYMWVALNYLLDRLGEDYSKTVGVIDLGGGSVQMAYAVSSSIAANAPEVSNGQDPYITKEYLKERDYNIYVHSYLHYGAQASRVEILKRKNGPFSNCMLRGFKGKFTYNGEQYEAMAAPQGADYHKCRQDVVKALNLDSPCETKNCSFNGVWNGGGGVGQDEIYVTSSFYYIASGIGFIDSEAPSAKSTPAAYKAASEKVCILSIEEAKAAYPIARDHAYLCMDLIYQYTLLVDGFGLEATKEITLVEKVKHGEYYIEAAWPLGTAIEARRPQAAANSILPLLDKANTVVPARLMNKTPLKLGATTGPRLIGDEKANQILEAILKRKNGPFINCMLRGFNEYIKAAMLFVQDIFQVVYVPFDKPGH >ONIVA11G01540.2 pep chromosome:AWHD00000000:11:1171201:1195709:1 gene:ONIVA11G01540 transcript:ONIVA11G01540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHVMSIAAAAVAMLLLMASSPAVAGTAVLGRKGGAMTDDDVVGGQAATGPGKYAVILDAGSTGTRVHVFRFDRKMDLLKIGDDIEVFAKVDPGLSSYAGRPREAANSIQPLLDKAIHVVPNWLMKKTPLKLGATAGLRLIGDEKANQILEAVRDVVHTKSKFQYNPNWINVLTGSQEGSYMWVALNYLLDRLGEDYSKTVGVIDLGGGSVQMAYAVSSSIAANAPEVSNGQDPYITKEYLKERDYNIYVHSYLHYGAQASRVEILKRKNGPFSNCMLRGFKGKFTYNGEQYEAMAAPQGADYHKCRQDVVKALNLDSPCETKNCSFNGVWNGGGGVGQDEIYVTSSFYYIASGIGFIDSEAPSAKSTPAAYKAASEKVCILSIEEAKAAYPIARDHAYLCMDLIYQYTLLVDGFGLEATKEITLVEKVKHGEYYIEAAWPLGTAIEARRPQAAANSILPLLDKANTVVPARLMNKTPLKLGATTGPRLIGDEKANQILEAILKRKNGPFINCMLRGFNEYIKAAMLFVQDIFQVVYVPFDKPGH >ONIVA11G01540.3 pep chromosome:AWHD00000000:11:1163644:1176132:1 gene:ONIVA11G01540 transcript:ONIVA11G01540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHVMSIAAAAVAMLLLMASSPAVAGTAVLGRKGGAMTDDDVVGGQAATGPGKYAVILDAGSTGTRVHVFRFDRKMDLLKIGDDIEVFAKVDPGLSSYAGRPREAANSIQPLLDKAIHVVPNWLMKKTPLKLGATAGLRLIGDEKANQILEAVRDVVHTKSKFQYNPNWINVLTGSQEGSYMWVALNYLLDRLGEDYSKTVGVIDLGGGSVQMAYAVSSSIAANAPEVSNGQDPYITKEYLKERDYNIYVHSYLHYGAQASRVEILKRKNGPFSNCMLRGFKGKFTYNGEQYEAMAAPQGADYHKCRQDVVKALNLDSPCETKNCSFNGVWNGGGGVGQDEIYVTSSFYYIASGIGFIDSEAPSAKSTPAAYKAASEKVCILSIEEAKAAYPIARDHAYLCMDLIYQYTLLVDGFGLEATKEITLVEKVKHGEYYIEAAWPLGTAIEVISPKKKHQEPGRSS >ONIVA11G01540.4 pep chromosome:AWHD00000000:11:1163644:1176132:1 gene:ONIVA11G01540 transcript:ONIVA11G01540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHVMSIAAAAVAMLLLMASSPAVAGTAVLGRKGGAMTDDDVVGGQAATGPGKYAVILDAGSTGTRVHVFRFDRKMDLLKIGDDIEVFAKVDPGLSSYAGRPREAANSIQPLLDKAIHVVPNWLMKKTPLKLGATAGLRLIGDEKANQILEAVRDVVHTKSKFQYNPNWINVLTGSQEGSYMWVALNYLLDRLGEDYSKTVGVIDLGGGSVQMAYAVSSSIAANAPEVSNGQDPYITKEYLKERDYNIYVHSYLHYGAQASRVEILKRKNGPFSNCMLRGFKGKFTYNGEQYEAMAAPQGADYHKCRQDVVKALNLDSPCETKNCSFNGVWNGGGGVGQDEIYVTSSFYYIASGIGFIDSEAPSAKSTPAAYKAASEKVCILSIEEAKAAYPIARDHAYLCMDLIYQYTLLVDGFGLEATKEITLVEKVKHGEYYIEAAWPLGTAIEVISPKKKHQEPGRSS >ONIVA11G01530.1 pep chromosome:AWHD00000000:11:1141401:1145569:1 gene:ONIVA11G01530 transcript:ONIVA11G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATTASLTGVTAATAGLVLLLLMLHAPLTDAQPMPWQLCNTSSGNYTANSTYQANIRYLATSLPAYASSSPSLFASGSSGAPPDSIYALALCRGDTTNASSCAACVAAAIRSAQQLCPLAKSATIYDDPCILRFSNEAFPISPPYNRGIYVAWNYDNVSAAVAPAFAAAVARLVNATADYAAADSVRRFGTGEEAFDATYPRIYSLAQCTPDMTAADCRSCLGDMIAQMTPKYFVARPGGRVLGVRCNFRFETYPFFFGQPLLQLPGPSPSPAPPMTGAGEKSKNKTSAILAISIPTVAVVLAIGVGVAWFCSWRWRSRRLAAKTLRPNSSLDDMQSFGSLILDLSTLRTATDDFSEHRRLGEGGFGVVYKGDLPEGQEIAVKRLAQTSRQGIEELKTELLLVAKLNHNNLVRLIGVCLEENEKILVYEYMPNRSLDTILFDVEKIKELDWERRFKIINGIARGLQYLHEDSQLKIVHRDLKASNVLLDSAYNPKISDFGLAKIFGRDQSQVITHRIAGTYGYMPPEYAMRGQYSIKSDVFSFGVLVLEIITGRRNFGSYGSDHDVDLIYVAWEHWTSDIAIELIDPSLGNNYPIDKVLKCIHIGLLCVQPKPADRPLMSAVNVMLSSTVRLPSLSRPAFCYQEIGASSDVNSEQNLLGPYNSTKMYQDEAPVTELEPR >ONIVA11G01530.2 pep chromosome:AWHD00000000:11:1141401:1145675:1 gene:ONIVA11G01530 transcript:ONIVA11G01530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATTASLTGVTAATAGLVLLLLMLHAPLTDAQPMPWQLCNTSSGNYTANSTYQANIRYLATSLPAYASSSPSLFASGSSGAPPDSIYALALCRGDTTNASSCAACVAAAIRSAQQLCPLAKSATIYDDPCILRFSNEAFPISPPYNRGIYVAWNYDNVSAAVAPAFAAAVARLVNATADYAAADSVRRFGTGEEAFDATYPRIYSLAQCTPDMTAADCRSCLGDMIAQMTPKYFVARPGGRVLGVRCNFRFETYPFFFGQPLLQLPGPSPSPAPPMTGAGEKSKNKTSAILAISIPTVAVVLAIGVGVAWFCSWRWRSRRLAAKTLRPNSSLDDMQSFGSLILDLSTLRTATDDFSEHRRLGEGGFGVVYKGDLPEGQEIAVKRLAQTSRQGIEELKTELLLVAKLNHNNLVRLIGVCLEENEKILVYEYMPNRSLDTILFDVEKIKELDWERRFKIINGIARGLQYLHEDSQLKIVHRDLKASNVLLDSAYNPKISDFGLAKIFGRDQSQVITHRIAGTYGYMPPEYAMRGQYSIKSDVFSFGVLVLEIITGRRNFGSYGSDHDVDLIYVAWEHWTSDIAIELIDPSLGNNYPIDKVLKCIHIGLLCVQPKPADRPLMSAVNVMLSSTVRLPSLSRPAFCYQEIGASSDVNSEQNLLGPYNSTKMYQDEAPVTELEPR >ONIVA11G01520.1 pep chromosome:AWHD00000000:11:1138214:1139003:-1 gene:ONIVA11G01520 transcript:ONIVA11G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRDLKASNVLLDSAYNTKIFDFGLAKIFERDQSQVMTHRTAGTCGYMSPEYAMRGQYSIKSDVYCFSVLVLEIITGRRNFGSYASEHDVDLIYVTWEHWTSEKAIES >ONIVA11G01510.1 pep chromosome:AWHD00000000:11:1137516:1137938:-1 gene:ONIVA11G01510 transcript:ONIVA11G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMACYYLAAAAGLVLLLLLHAPLTDAQPLPWHRCNISSGNYTENSTYHANIRYLATSLPAYAASSRSLFVSSSGTPPDGIYALALCRGDTSVSSCASCVAAAIQSAQQHCPLIKTVTVYDDPCILRFSNEAFPISPPLH >ONIVA11G01500.1 pep chromosome:AWHD00000000:11:1137123:1137486:-1 gene:ONIVA11G01500 transcript:ONIVA11G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRQRHRLSKPPYSDSATPPPTTRRRTRRFATGEVGFGDDVTYPRIFSLAQCTPDMTATECRSCLGEIITRMIPQYFVGRLGGRVFGVRCNFRFETYCGDYGYPIPTWHGYPTSYRG >ONIVA11G01490.1 pep chromosome:AWHD00000000:11:1110270:1110612:-1 gene:ONIVA11G01490 transcript:ONIVA11G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPKGLLYDEEVAVKRVANCSHQGLQELKNELILVIKLQCCLDALIYHHLSYFFTTLA >ONIVA11G01480.1 pep chromosome:AWHD00000000:11:1097236:1106629:-1 gene:ONIVA11G01480 transcript:ONIVA11G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSLVHAILLAAVALPLAAGQPWPSCGTGGTYAANSTYETNLLDLISALQGNASSSPTLYASGVVGSGGRDAVYGVMLCRGDLSTSDCNDCGTRAGQDVGRVCNRTRDAALVYNQCYVRVSDADFLAATTNNSGEVPLMSSTNITRAADVRAYDAAVVSLLNATVRYAVENSTRMFATGQRVGSDPGFSDIYSMAQCSPDLSRPLCRSCLDGLVGQWWDTFPVNVEGARIAGTRCNLRSELNQGTFYTGQPMVVLRADGLAPPQGPAPAATTRASKVLVIVVPIVAVAIVAATSVCIWNVRKKRRSRKAEHFSELDASEDLESVKSTLITLASLQVATDNFHESKKLGEGGFGAVYKGLLFGQEVAVKRLAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEEGERLLVYEYMPNKSLDIFLFDSEQGRQLDWVTRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNVLLDADMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVIRGQYSTKSDVFSFGILIIEIVTGRRNSGPHFLEQNEDLISIVRRHWEEGNIVEMIDHSLGRNYPEAEVLKCVNIGLLCVQQNPVDRPTMADVMVLLNSDATSTLPAFATHNPTISIEGNSASIPLCILLTVTAASTGAMRRRSTFAVLLFAAAGLPLAAGQPWQLCGRRGGGGGGGTYTANSTYDTNLQSLIAALQQNASTSPTLFAAGALGAAPDAVYGLILCRGDVSSSDCYDCGTRAGQDVAPACNRTRDAILVYNQCYTRFSAAGDFLASANNSGQAPLMNSDNVTTADVAGYDRAVTELLSATLMYAVVNTTRLFATGQRVGADPGFPNIYSAAQCTPDLSPALCRSCLEDLVARWWKTFPRTTVGARIVGTRCSLRSEVSQDKFYTGAPMLKLWADGLSPAAAAASPDAAPGTTGVKNNSANKILEIVLPIEAVAIVAAVSILLWNIRKKRRRGKAEHFTGPDAAEDFESVKSTLLSLASLQVATDNFNESMKLGEGGFGAVYKGLLFGQDVAVKRLAKGSNQGLEEVKNELVLVAKLHHKNLVQLVGFCLEEGERMLVYEYMPNKSLDTFLFDEEKRRQLDWTTRFRIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREITNRIVGTFGYMSPEYVTHGQYSTKSDVFSFGILIIEIVTGRRRNNGPYFFEPNEDIISIRAPPCRAQVVDRMPIISGDKQ >ONIVA11G01480.2 pep chromosome:AWHD00000000:11:1097236:1106629:-1 gene:ONIVA11G01480 transcript:ONIVA11G01480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSLVHAILLAAVALPLAAGQPWPSCGTGGTYAANSTYETNLLDLISALQGNASSSPTLYASGVVGSGGRDAVYGVMLCRGDLSTSDCNDCGTRAGQDVGRVCNRTRDAALVYNQCYVRVSDADFLAATTNNSGEVPLMSSTNITRAADVRAYDAAVVSLLNATVRYAVENSTRMFATGQRVGSDPGFSDIYSMAQCSPDLSRPLCRSCLDGLVGQWWDTFPVNVEGARIAGTRCNLRSELNQGTFYTGQPMVVLRADGLAPPQGPAPAATTRVDASEDLESVKSTLITLASLQVATDNFHESKKLGEGGFGAVYKGLLFGQEVAVKRLAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEEGERLLVYEYMPNKSLDIFLFDSEQGRQLDWVTRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNVLLDADMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVIRGQYSTKSDVFSFGILIIEIVTGRRNSGPHFLEQNEDLISIVRRHWEEGNIVEMIDHSLGRNYPEAEVLKCVNIGLLCVQQNPVDRPTMADVMVLLNSDATSTLPAFATHNPTISIEGNSASIPLCILLTVTAASTGAMRRRSTFAVLLFAAAGLPLAAGQPWQLCGRRGGGGGGGTYTANSTYDTNLQSLIAALQQNASTSPTLFAAGALGAAPDAVYGLILCRGDVSSSDCYDCGTRAGQDVAPACNRTRDAILVYNQCYTRFSAAGDFLASANNSGQAPLMNSDNVTTADVAGYDRAVTELLSATLMYAVVNTTRLFATGQRVGADPGFPNIYSAAQCTPDLSPALCRSCLEDLVARWWKTFPRTTVGARIVGTRCSLRSEVSQDKFYTGAPMLKLWADGLSPAAAAASPDAAPGTTGVKNNSANKILEIVLPIEAVAIVAAVSILLWNIRKKRRRGKAEHFTGPDAAEDFESVKSTLLSLASLQVATDNFNESMKLGEGGFGAVYKGLLFGQDVAVKRLAKGSNQGLEEVKNELVLVAKLHHKNLVQLVGFCLEEGERMLVYEYMPNKSLDTFLFDEEKRRQLDWTTRFRIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREITNRIVGTFGYMSPEYVTHGQYSTKSDVFSFGILIIEIVTGRRRNNGPYFFEPNEDIISIRAPPCRAQVVDRMPIISGDKQ >ONIVA11G01470.1 pep chromosome:AWHD00000000:11:1093072:1093706:1 gene:ONIVA11G01470 transcript:ONIVA11G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTADPAVEEGRWEARLHPMRTWRAVGDASGDTEEDVVREVGRSTNQTSIITSLYFAIHANERNQSGVATFIFVTGYHEI >ONIVA11G01460.1 pep chromosome:AWHD00000000:11:1089288:1092370:1 gene:ONIVA11G01460 transcript:ONIVA11G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTVTDPLEELWNHTMSMDKTHPMCFYPSKITMGGIWTGDNPLDFSIPLLLFQILLIASTTRAATLLLSPLRLPTYISQILAGFLLGPSVLGHLPHFSNLVFPVRSLFVLESMALLGLVYYTFIVGVEIEVSAITRAGIRSFGFAIGCTLPPFLVGALTGYVALSTDDKHKDDTFLNKLSFPIFLGSTFSSTAFAVLARNIAELKLAGTDVGQLTLSASLINDTFAWTGLTVATVLGHSRCTITQTTWTLTSGVVIFGASYLLLRPMLLRLARRAAEGEAVGEDRECWILIGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVALVEKVEDFVVGALLPLFFALSGLRTDTAKITNMHSAVLLMVAAMVAAVLKVVAAIGVAGVFGMPLGDGTSIGLLLNTKGIIELVILNIARNKGIMSDQSFTVLVFVSALITAMVSPFLGMVVKPARRLVFYKRRTVAWAHPESELRVLACVHVPRDVPPLLTLLDVVTPSSRSPVGVLALHLIEFVGRSSALLLINASAPSSSSYDASVHGRSHTEMQFKHISHAFMAYEEQSVGVSARTMAAVSPYGSMHEDITSAAENQHSALILLPFHKYRSVDGGLEVSHPAIQPLNCNVQSFSPCTVGILVDRGLAAVPGGGYRVVALFFGGSDDREVAALATRMVRNPTIDLTLLRFVQKGGSFTTSEFDALKERKADEGCLRDFLERANEGGGATVEYRERGVFNASEMVGEIQSVEAMGNKDLFVVGKVPGGSGLTAGMAEWSESPELGPIGDLLASKDFQTTASVLVLQAYGRPAAVVGAGAGAMSVDFGGDSVAMAERTASGRRPWARPGV >ONIVA11G01450.1 pep chromosome:AWHD00000000:11:1082389:1085323:-1 gene:ONIVA11G01450 transcript:ONIVA11G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSQDSDLIETVLISFSPSSSDSKNPETLEMLEKKECFLQKKASAEVEKAKDYTKAKNKSAAIQCLKKKKLYETQSEQLANFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELDHELPEPPQSTRMEPSARVTTSSQPANDLAELTKLQAEMAL >ONIVA11G01440.1 pep chromosome:AWHD00000000:11:1068800:1080763:-1 gene:ONIVA11G01440 transcript:ONIVA11G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGSSSAKLVAACVIGGIVLGASVVALHLAGPVAIPALPPVDALRRRFRRGRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVVSDDEITANKGPPVTPLHERLIMVRAVKWIKRTEGVSTTDIVGRMLLCVRERSASDSHNHSSLQRQFSHGHGQKIDDSGSGSGTRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMKDDLNPYAVPRAMGIYRRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYDSKSFVNGEIVVEYECSDN >ONIVA11G01440.2 pep chromosome:AWHD00000000:11:1068800:1080763:-1 gene:ONIVA11G01440 transcript:ONIVA11G01440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAGSSSAKLVAACVIGGIVLGASVVALHLAGPVAIPALPPVDALRRRFRRGRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVVSDDEITANKGPPVTPLHERLIMVRAVKWVHDVIPDAPYAITEDFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKVGRFKQIKRTEGVSTTDIVGRMLLCVRERSASDSHNHSSLQRQFSHGHGQKIDDSGSGSGTRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMKDDLNPYAVPRAMGIYRRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYDSKSFVNGEIVVEYECSDN >ONIVA11G01430.1 pep chromosome:AWHD00000000:11:1052368:1056488:-1 gene:ONIVA11G01430 transcript:ONIVA11G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAVAERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITRSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVRFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTATPLSWATRMSIALGAAKGLACLHNAERPIIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQGSGGSDGAVQSVLGSGLPSYRVNRRLMTNRVHCRALPNPKCSPAVPACRVR >ONIVA11G01430.2 pep chromosome:AWHD00000000:11:1052368:1067761:-1 gene:ONIVA11G01430 transcript:ONIVA11G01430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITRSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVRFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTATPLSWATRMSIALGAAKGLACLHNAERPIIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQGSGGSDGAVQSVLGSGLPSYRVNRRLMTNRVHCRALPNPKCSPAVPACRVR >ONIVA11G01430.3 pep chromosome:AWHD00000000:11:1063150:1065841:-1 gene:ONIVA11G01430 transcript:ONIVA11G01430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPAAHARGSVMAVVLAAGGPRIQQPWRRSSEEDASAQRSRTTKVASHERIRDGGGGGGGGIHGSGSKEALVYGAGGARARGSAAALSDGVCGARVQQLHLLQHPVKNAVAERKHTRISSDISDPSTPRKIEVEDAKNISIYNDVIDLLFELETITKSFRADYLLGEGGFVTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHKRMALEKPKITPFNHK >ONIVA11G01430.4 pep chromosome:AWHD00000000:11:1064508:1065841:-1 gene:ONIVA11G01430 transcript:ONIVA11G01430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPAAHARGSVMAVVLAAGGPRIQQPWRRSSEEDASAQRSRTTKVASHERIRDGGGGGGGGIHGSGSKEALVYGAGGARARGSAAALSDGVCGARVQQLHLLQHPVKNAVAERKHTRISSDISDPSTPRKIEVEDAKNISIYNDVIDLLFELETITKSFRADYLLGEGGFVTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHKRMARELPTCSIFTMVAIISPPHVARMLK >ONIVA11G01430.5 pep chromosome:AWHD00000000:11:1064508:1067761:-1 gene:ONIVA11G01430 transcript:ONIVA11G01430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAVAERKHTRISSDISDPSTPRKIEVEDAKNISIYNDVIDLLFELETITKSFRADYLLGEGGFVTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHKRMARELPTCSIFTMVAIISPPHVARMLK >ONIVA11G01420.1 pep chromosome:AWHD00000000:11:1049275:1049755:-1 gene:ONIVA11G01420 transcript:ONIVA11G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPHVSISLTGLAMVVFLIFSSSFLQAAQGPDKKMVMKYDVPVKRLMYRPAAIGTEAAAYEPFELCMGCRCCASSNASSCVDTRCCYAIDCNIPGKPFGVCAFSPHTCDCGATNCTSTLSTSCCC >ONIVA11G01410.1 pep chromosome:AWHD00000000:11:1047022:1047677:1 gene:ONIVA11G01410 transcript:ONIVA11G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRRHPNPLPAAGVPAHLIRRFSMLPDVDHPPLPTSTPTPRLHLTVRGEANLARTHSLVASALSRPDDYPRLHGSRPLFSLAASRLQRLRRLDLAASLLCALLDSARRPPPRQHPPRVLRLHARCPLQRLLSVLLSALFGASRVDNVESTLASAESSFGVVPGRVSYNVLGRVRTARETEERRERRGERKVTWTP >ONIVA11G01400.1 pep chromosome:AWHD00000000:11:1043145:1046960:1 gene:ONIVA11G01400 transcript:ONIVA11G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIGEILGYRWSGRIGGGRRRLAAVGEDFLGSPGKTGSHFLPSSDLFSSKEKSDVIVSTTCWCIMISLLVALACVFGPVPGGRRVERRPRVRRESRRALAAGDVRRGGGSDAGEGETAVAGTAGAEEGVGGQRQATRPRRWRRRCRRRGGGDCRHGLALLDEFP >ONIVA11G01400.2 pep chromosome:AWHD00000000:11:1044425:1046958:1 gene:ONIVA11G01400 transcript:ONIVA11G01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKLSPGKTGSHFLPSSDLFSSKEKSDVIVSTTCWCIMISLLVALACVFGPVPGGRRVERRPRVRRESRRALAAGDVRRGGGSDAGEGETAVAGTAGAEEGVGGQRQATRPRRWRRRCRRRGGGDCRHGLALLDEFP >ONIVA11G01390.1 pep chromosome:AWHD00000000:11:1034862:1037018:-1 gene:ONIVA11G01390 transcript:ONIVA11G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLVQMSDIGLFIQDNCSCRKMKWTTDRQIASQRGRRSMSRIHPSNQRQDAAAAAAASTAAARAAVYTVWKRSSMGFQGTDGFSVYDHAGTLAFRVDNYSRRRKLFSGDLLLMDGHGSPLLALTPQSVRRRPRQEDKITTTLLNEKMLSYAKQS >ONIVA11G01390.2 pep chromosome:AWHD00000000:11:1034561:1037018:-1 gene:ONIVA11G01390 transcript:ONIVA11G01390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLVQMSDIGLFIQDNCSCRKMKWTTDRQIASQRGRRSMSRIHPSNQRQDAAAAAAASTAAARAAVYTVWKRSSMGFQGTDGFSVYDHAGTLAFRVDNYSRRRKLFSGDLLLMDGHGSPLLALTPQIISMHDQWNCYRASEEGQGKRTRSQQLFSMRKCSVMQSNHEAEVHMSGCTNASSDRTGHVRFSIEGSFRRRSCKIRNSVGEEVARITRKKAGAASLSLTLAEDVFSLEVQPNVDCAMIMAFVIALDRICWKPYTPMICSS >ONIVA11G01390.3 pep chromosome:AWHD00000000:11:1034862:1036249:-1 gene:ONIVA11G01390 transcript:ONIVA11G01390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPSNQRQDAAAAAAASTAAARAAVYTVWKRSSMGFQGTDGFSVYDHAGTLAFRVDNYSRRRKLFSGDLLLMDGHGSPLLALTPQSVRRRPRQEDKITTTLLNEKMLSYAKQS >ONIVA11G01390.4 pep chromosome:AWHD00000000:11:1034561:1036249:-1 gene:ONIVA11G01390 transcript:ONIVA11G01390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPSNQRQDAAAAAAASTAAARAAVYTVWKRSSMGFQGTDGFSVYDHAGTLAFRVDNYSRRRKLFSGDLLLMDGHGSPLLALTPQIISMHDQWNCYRASEEGQGKRTRSQQLFSMRKCSVMQSNHEAEVHMSGCTNASSDRTGHVRFSIEGSFRRRSCKIRNSVGEEVARITRKKAGAASLSLTLAEDVFSLEVQPNVDCAMIMAFVIALDRICWKPYTPMICSS >ONIVA11G01390.5 pep chromosome:AWHD00000000:11:1029012:1034848:-1 gene:ONIVA11G01390 transcript:ONIVA11G01390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCTNASSDRTGHVRFSIEGSFRRRSCKIRNSVGEEVARITRKKAGAASLSLTLAEDVFSLEVQPNVDCAMIMAFVIALDRICWKPYTPMIYFKRMPFE >ONIVA11G01380.1 pep chromosome:AWHD00000000:11:1027143:1029220:1 gene:ONIVA11G01380 transcript:ONIVA11G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAGSKYRFGPHEIDERQVFRTSPLSFAIVNIRHTRPEKKLLLLFINEMCLCFESKKGMKERKKDSALNYISNRLVKRFADLSPDETSDLWIMAKEIGARVEQYHRASSLTFTIQDGPHSGQTVPHVHVHIVPRRKEDFENNDNNNGMMNAKNETLDLDIERKDRTMEEMAQEAKEYRALFS >ONIVA11G01370.1 pep chromosome:AWHD00000000:11:1026172:1027179:-1 gene:ONIVA11G01370 transcript:ONIVA11G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKRKKQLSCVGSNVNYFHQPHQAIASMRRIQIHPSHGGGGGTGGRARRQAADQPVVYTVWKRSSIGFQGTDGFSVYDSAGKLAFRVDNYSRRRKAFAGDLLLMDGHGTPLLSLRPQVDHLLIHFITSSLAPLVYCFSSDLFTDRSV >ONIVA11G01360.1 pep chromosome:AWHD00000000:11:1020415:1022748:1 gene:ONIVA11G01360 transcript:ONIVA11G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLSADLRRAPTPFSLLPLALAPPPPPPPTLLRRRPLLLPRAISSSTSPPPVQEMEAAYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVCPKREMKRFADLSSNEISDLWVTAKEVGIRLEQYHKASSLTFAIQCLQDGPQAGQTVPHVHIHVIPRKKGDFEKNDEIYDAIDVKERELKEKLDLDIERKDRTMEEMAHEANEYRGLFS >ONIVA11G01350.1 pep chromosome:AWHD00000000:11:1007856:1011318:-1 gene:ONIVA11G01350 transcript:ONIVA11G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGRCDGDAAPARSPSYTEAKQKHRARAGATAEGAVVAQAVALPSDAEWLERLPEKKKPLYTHNLPCIEAWLRSIGFTQAREDRAVWVAEMPLWHARLSLNVTATGAGEGKRLRRSDVAGGRSTRHVVQEARRPLAIA >ONIVA11G01340.1 pep chromosome:AWHD00000000:11:1005109:1005376:1 gene:ONIVA11G01340 transcript:ONIVA11G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLGRSVPHALALTLDSRGGRFSASENGAEPRKLNAARPGTEDGLSRGGRVLPQHSHWLGGGLGDQRQRKWC >ONIVA11G01330.1 pep chromosome:AWHD00000000:11:1004513:1005066:1 gene:ONIVA11G01330 transcript:ONIVA11G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIGRESWLGRSVRRMLGRKRQGRASIHQKMALSLGTSAPHTLALRLDLAGDAESLPA >ONIVA11G01320.1 pep chromosome:AWHD00000000:11:952053:953531:1 gene:ONIVA11G01320 transcript:ONIVA11G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLKDVCLSFALFKLLRCRFARYTAADIKFFSVQNFVWQELLLIKEEEEEDHSAADDRLFKVIADELSFVHDYYYSSLPIFYSSSWFPALSIVISLLTIVPFYVIAALVMLAETRNIASYVRSNWTKVALICHYVVPSRNKWWQPIPPIMQKWIGFFVLRRSYKPWKERINLCSVLPIHPRKKTSHLLWYKEKSESVKVPGVVKSAIIGKLRRSSKLAGTAASPSQSHRCQVMFRPCSGEGRTTTDVLLVWHIATCILEVRRSSSSDDNRNRVVATHLSGYCAYLVAYCPELLPDDDGWSKNLYKAVNEDARRAIGGRVPMSSAPLDQKEYKKLVRLLSEDCRHQVVKNGAKLAEQLVPLVQLQTLLEVEERKAWEVLAGFWSEMILYLAPSDNLEGHAEAIAHGGELITLLWALLTHAGVVTRPGTKDDN >ONIVA11G01310.1 pep chromosome:AWHD00000000:11:912358:915042:-1 gene:ONIVA11G01310 transcript:ONIVA11G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPGVLLKLLQAMHTDDRVAGDHRSPVLQVTAVVPALTVSTADSLWPSNGFLLQLSDGLHSTYVQPSSADADALVSARPQLVGHLVHLDRLRFARPVPRAVGIRPVPQPSPVGGRRGAASPAPGGRRASLSPSSRRLSGEGSSKKKVGVLVAGISKMTDLTNGSAVKPGRKSWDNTSIAAAAGSVMKSKVKVDKSTILRTQEAMARRLSDVTTELSSNDDDSSVDEKPKPRKKIESPAVKTKAMAPKIMLHDPKWTDGSIPLDGVSDVLSKMGKEATERRDAAAIAAADALQEALITESVIRNLSKFSELTSASKTSNPLPTVDIFLAVYEDTLKWKKIAESISTNRTETASWENSATHWVEAALATDLEVLKLMNKAPESLSRKRGADKPKAPSVVEAPRTTISKRQSHGTSAKVQSKVLPTSTASCAWNKTQGVNETAELATTLCREMHTWFLKFVDEAMDLGFHLFEDQNVASRGKQSSHITMVLSQFKKISDWLDGVGKIAEEATTKDKVEQLKCKIYGFVINHMGSAFDSSVSISSRN >ONIVA11G01300.1 pep chromosome:AWHD00000000:11:904981:908470:1 gene:ONIVA11G01300 transcript:ONIVA11G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRCAVVRAAHTNPWRHRVSRCSGRPATRSAPSILWRRRRPRSPCPWSVEKGEEVVLVHASMGNCFGGGRPHPNRGRCRPNQSRAPIQSCCEVRQQGTHIRFSFCKLQERLSPHYSQMDQGVDKNCVDEEVSVQSSFENKDTRSLGMVCDHENNKSGVAEVIRPDKEAIESSSSINVADEDPLYGCQTPRESIFDPFAPGPEELACAPKKNMIKAPELPPRRQLSFDSGDYPVKRLSFEFDDAEEDDQFLERICKMFIDLIVSNQALETTGKDLIGSNSLGSCETPSSEPLLTGIADTCPDAPLRRPLKAVQLSPSICRKLDFDSVSPRCLFVKENK >ONIVA11G01290.1 pep chromosome:AWHD00000000:11:890296:899812:1 gene:ONIVA11G01290 transcript:ONIVA11G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLALIVVLVILLSLALFYLCNILWLRAVKIRKKLRRQGIRGPKPTFLYGNTKEIKRIRQELKFSQKQGTNNFISTLFPHFLLCRETYGPVFLYSTGALEILQVSHPDMVKDIGRWTPSELGKPNYLKKSRKALFGGGLFTENGDEWAYQRKIIAPEFFMDKIKGMIQLIEDATVTVLEAWEDMIDDVGGCREIVVDDYLRNLSADVIARACFGSSFTKGEEIFCKLRQLQKAIAQQDSFVGLSALWKYLPTKSSQEIQMLDEQVRLLILDVAKEQHHYQDSHNSLLNAIIDGAQDGRSAAEAEDFIVGNCKTIYFGGHESTAVTAIWCLMLLATHPEWQERARSEAMEVCRGRSTLDVDALRRLKIVTMVIQETLRLYPPASVMMREALTDVKLGNIEVPRGTIVQVPRLMLHLDKEAWGAHADEFRPDRFANGVAAACRAAHMYVPFGHGPRTCIGQNLAMAELKVVLARLLTKFAFSPSPRYRHSPAFRLTIEPGFGLPLMCCMIVAC >ONIVA11G01290.2 pep chromosome:AWHD00000000:11:899153:902181:1 gene:ONIVA11G01290 transcript:ONIVA11G01290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFSSQQWLALLPPIILCILLFSYVYIILWLRPERLRQKLRSQGVRGPKPSFLFGNIQEMRRIQQLAKSAHEQEAGSTDMFSSNYVATLFPYFLHWSRVYGTNIWIPIAMAHRDPSVWGPSADKFDPDRFANGIAGACKPPHMYMPFGVGVRTCAGQNLAMVELKVVLSLLLSKFEFKLSPNYVHCPAFRLTIEPGKGVPLIFREL >ONIVA11G01280.1 pep chromosome:AWHD00000000:11:884172:884609:1 gene:ONIVA11G01280 transcript:ONIVA11G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPEMRTTFVGNPDDYVDARQFEMLLEQFAEDNSSRRGAPPAATSFIENLPSVIISTSHQINDDVICPVCKDPIPTRARAKQLPCMHLYHSSCILPWFSSRNTCPVCRYELPTDDAEYERSKQATTNVRDIQVVEENLMNKRSR >ONIVA11G01270.1 pep chromosome:AWHD00000000:11:878906:882824:1 gene:ONIVA11G01270 transcript:ONIVA11G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKVPLPCYLQSPPSAPHPRHSAAFSRSLRPCRPNGPPPAFASAEFPGSVPDIAQMPPRRRHRSVAGIDQDDLLDPDALADPDSSFYEINGIRVHHKFCTHEDSSDQSADSAITNADQNQIGLPIVLLHGFGSSVFSWTHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSGDDTKTINPYSMAFSVIATLAFIDQLGAKKAVLVGHSAGCLVAVEAYFEAPERVAALVLVAPAIFVPVFRRKGVKEYGVGEQEWQNKKDSNGSNLPTNPLNRIWGKFLELCLWIAGFLMNMIRATVSIVRSLYCKAVVAVLRSSVGVRLVRLVMDKFGILAVRNAWYDPSKVTDHVIQGYTKPLRSRGWEMALLEYTISMIMDSISSSKVPVSERLSEISCPVLVVSGDTDRLVPRWNTERVARAIPGAGFEVIKNSGHLPQEERPEEFVSVVERFLRKAFGRPSEQEKLFQAAA >ONIVA11G01260.1 pep chromosome:AWHD00000000:11:877047:877628:1 gene:ONIVA11G01260 transcript:ONIVA11G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAPCEPPRHRHCPLLVAVVAHRLLHHARRNGAVILDLSFLCRLSRTARRQPSVVSPPAHRPSALGALQFMTAVEEITRGRATPVLAPAWGRDAIPCLPSAAVDPLPAPTELRLQYLTVDISPPLPPCSPAPASSPPPCRWPPDLLSHLAPSISSPPVDRRRWATQSHQREEREREGEGREEGKEIEADWTG >ONIVA11G01250.1 pep chromosome:AWHD00000000:11:860726:861102:-1 gene:ONIVA11G01250 transcript:ONIVA11G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFFTKCARGDIWVLAATSDAELLGLAEIISAASVDVNGDGANLDSMQISK >ONIVA11G01240.1 pep chromosome:AWHD00000000:11:852321:853552:-1 gene:ONIVA11G01240 transcript:ONIVA11G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSNKRSLVADQWHPSSVCCDHRAALREIAKGQSLVTQLRAIVLPALHSDERCDLAAQMLEGILDCSRKAVSQLQLLLSSPHDDDDHHHVDDKRRVRKIISSSDDDDHCSSKAAEDHNAKPLRQHKRRRFGDSVSLETPVPHYDGHQWRKYGQKHIKNSKHPRSYYRCTYRQEEKCKATKTVQQREDLHHANSYNGDHPIMYTVVYYGQHTCCKGPAALADDHVVVEASQISTDSHCQSPSSSSDLQAAEVHAGNSSQCSNISVTCSSSVVVEDCNKLLDMMPAADELTADVLLFDMTAYAPLDLDINWEMDINALWV >ONIVA11G01240.2 pep chromosome:AWHD00000000:11:852319:853566:-1 gene:ONIVA11G01240 transcript:ONIVA11G01240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSNKRSLVADQWHPSSVCCDHRAALREIAKGQSLVTQLRAIVLPALHSDERCDLAAQMLEGILDCSRKAVSQLQLLLSSPHDDDDHHHVDDKRRVRKIISSSDDDDHCSSKAAEDHNAKPLRQHKRRRFGDSVSLETPVPHYDGHQWRKYGQKHIKNSKHPRSYYRCTYRQEEKCKATKTVQQREDLHHANSYNGDHPIMYTVVYYGQHTCCKGPAALADDHVVVEASQISTDSHCQSPSSSSDLQAAEVHAGNSSQCSNISVTCSSSVVVEDCNKLLDMMPAADELTADVLLFDMTAYAPLDLDINWEMDINALWV >ONIVA11G01230.1 pep chromosome:AWHD00000000:11:846873:847913:-1 gene:ONIVA11G01230 transcript:ONIVA11G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILESFGHSDCQVVINMIEHQKALMVELRGMVMPLLPSDNEQAKLALQLLGDILSCSDKAISMLELGGDTKKLTNLVGGKRKGDKHSMDNHNLEEEAKESVSKRRKNAEHTGSTVAQAPHNDGHQWRKYGQKWISRAKHSRSYYRCANSKVQGCPATKTVQQMDSSGNGTSKLFNVDYYGQHTCRGDGIADPYVVDTAHHSMEPINQNECNSPTLEHEAHEVQDERFENLCMVQNMPEYLIDFELERAFEFIVNSPLGSEHWTFDDSIRCEHSPICIWG >ONIVA11G01220.1 pep chromosome:AWHD00000000:11:802339:812090:-1 gene:ONIVA11G01220 transcript:ONIVA11G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSQWVSSPDIPADLLIRIADDVFPLHKAVMVPKCGYIRKAVAAARGGATATVDLDLSALPGAADAFDKVARYCYGANFEISVRNAAALLCAAAFLDMHPTDGGLARRVEEFLAKVGLRTLPGAVAVLRSCEGLLPAAEEIGVVQRSADAIALRICNEVLFPTRSPPEWWTAELAALSPASFHKVITALRCRRAEPEVLVAAATAYAELLLAEVLAADGHAADHSGMHRALVESVVAVLPSTDDAPLPAAFLCHLLHVAITIGASAKTCRDLELRVAATVAKTVDEVAAEIATEESLPISKFVGLAGAVPKEARATHDCLYRAVDIYLKAHPALEEMEREKVCSVMDPLKLSYQGRLHASQNNRLPLQAVLSALYYDQLKLRSGDEGGGGWDAYGNGVMRSSAAGSARKQAKEEASLARENEALRSELARMRAYVSGMQQQSKGSSSSRGKKGSWLRTLSRLNPFKAGIWGKDTSGIVDGKTDAMNSVKAKRRRHYITPPETYRTVIFFPVSLFFHGSDQWRRTSPVLGRNSLGRRTPYTSGFSCVHRCSRHARRRLKLSGCGAQWDPRVGLILSIRAVKSTVALTTDVENGSAHECPCDGHVPHPAELERRDASPSPPPSAHHLLLLLALASDMEDAHHHHWTMVERRGTQLWASGRPFIIHGFNTYWLMSFAADQATRLRVTAAIAEAGLNVCCTWAFSDGGYRALQTAPFHYDEDVFRALDFVVSEARRHNMRLILSLCNNWEDYGGKAQYVRWGKEAGLDLTSEDDFFSDPTIKSYYKAFVEAVVTRINTVTNETYKDDPTILAWELINEPHCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGIEGFYGPSIPELLPENHLQFVDKWMQQHIDDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTIYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAVIFAKSPSTLSLLANHLRHAMISSGA >ONIVA11G01220.2 pep chromosome:AWHD00000000:11:802759:812090:-1 gene:ONIVA11G01220 transcript:ONIVA11G01220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSQWVSSPDIPADLLIRIADDVFPLHKAVMVPKCGYIRKAVAAARGGATATVDLDLSALPGAADAFDKVARYCYGANFEISVRNAAALLCAAAFLDMHPTDGGLARRVEEFLAKVGLRTLPGAVAVLRSCEGLLPAAEEIGVVQRSADAIALRICNEVLFPTRSPPEWWTAELAALSPASFHKVITALRCRRAEPEVLVAAATAYAELLLAEVLAADGHAADHSGMHRALVESVVAVLPSTDDAPLPAAFLCHLLHVAITIGASAKTCRDLELRVAATVAKTVDEVAAEIATEESLPISKFVGLAGAVPKEARATHDCLYRAVDIYLKAHPALEEMEREKVCSVMDPLKLSYQGRLHASQNNRLPLQAVLSALYYDQLKLRSGDEGGGGWDAYGNGVMRSSAAGSARKQAKEEASLARENEALRSELARMRAYVSGMQQQSKGSSSSRGKKGSWLRTLSRLNPFKAGIWGKDTSGIVDGKTDAMNSVKAKRRRHYITPPETYRTVIFFPVSLFFHGSDQWRRTSPVLGRNSLGRRTPYTSGFSCVHRCSRHARRRLKLSGCGAQWDPRVGLILSIRAVKSTVALTTDVENGSAHECPCDGHVPHPAELERRDASPSPPPSAHHLLLLLALASDMEDAHHHHWTMVERRGTQLWASGRPFIIHGFNTYWLMSFAADQATRLRVTAAIAEAGLNVCCTWAFSDGGYRALQTAPFHYDEDVFRALDFVVSEARRHNMRLILSLCNNWEDYGGKAQYVRWGKEAGLDLTSEDDFFSDPTIKSYYKAFVEAVVTRINTVTNETYKDDPTILAWELINEPHCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGIEGFYGPSIPELLPENHLQFVDKWMQQHIDDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTIYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAVIFAKSPSTLSLLANHLRCLEC >ONIVA11G01220.3 pep chromosome:AWHD00000000:11:802759:812090:-1 gene:ONIVA11G01220 transcript:ONIVA11G01220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSQWVSSPDIPADLLIRIADDVFPLHKAVMVPKCGYIRKAVAAARGGATATVDLDLSALPGAADAFDKVARYCYGANFEISVRNAAALLCAAAFLDMHPTDGGLARRVEEFLAKVGLRTLPGAVAVLRSCEGLLPAAEEIGVVQRSADAIALRICNEVLFPTRSPPEWWTAELAALSPASFHKVITALRCRRAEPEVLVAAATAYAELLLAEVLAADGHAADHSGMHRALVESVVAVLPSTDDAPLPAAFLCHLLHVAITIGASAKTCRDLELRVAATVAKTVDEVAAEIATEESLPISKFVGLAGAVPKEARATHDCLYRAVDIYLKAHPALEEMEREKVCSVMDPLKLSYQGRLHASQNNRLPLQAVLSALYYDQLKLRSGDEGGGGWDAYGNGVMRSSAAGSARKQAKEEASLARENEALRSELARMRAYVSGMQQQSKGSSSSRGKKGSWLRTLSRLNPFKAGIWGKDTSGIVDGKTDAMNSVKAKRRRHYITPPETYRTVIFFPVSLFFHGSDQWRRTSPVLGRNSLGRRTPYTSGFSCVHRCSRHARRRLKLSGCGAQWDPRVGLILSIRAVKSTVALTTDVENGSAHECPCDGHVPHPAELERRDASPSPPPSAHHLLLLLALASDMEDAHHHHWTMVERRGTQLWASGRPFIIHGFNTYWLMSFAADQATRLRVTAAIAEAGLNVCCTWAFSDGGYRALQTAPFHYDEDVFRALDFVVSEARRHNMRLILSLCNNWEDYGGKAQYVRWGKEAGLDLTSEDDFFSDPTIKSYYKAFVEAVVTRINTVTNETYKDDPTILAWELINEPHCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGIEGFYGPSIPELLPSIIMTGLPLKKIMTGLRSFPRLPHSIKENHLQFVDKWMQQHIDDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTIYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAVIFAKSPSTLSLLANHLRCLEC >ONIVA11G01220.4 pep chromosome:AWHD00000000:11:802759:812475:-1 gene:ONIVA11G01220 transcript:ONIVA11G01220.4 gene_biotype:protein_coding transcript_biotype:protein_coding METELKKRPTYNGNMQVADDTRHHIADQKRLCSHAILAAILLLGGIPAIVLHSVSSPDIPADLLIRIADDVFPLHKAVMVPKCGYIRKAVAAARGGATATVDLDLSALPGAADAFDKVARYCYGANFEISVRNAAALLCAAAFLDMHPTDGGLARRVEEFLAKVGLRTLPGAVAVLRSCEGLLPAAEEIGVVQRSADAIALRICNEVLFPTRSPPEWWTAELAALSPASFHKVITALRCRRAEPEVLVAAATAYAELLLAEVLAADGHAADHSGMHRALVESVVAVLPSTDDAPLPAAFLCHLLHVAITIGASAKTCRDLELRVAATVAKTVDEVAAEIATEESLPISKFVGLAGAVPKEARATHDCLYRAVDIYLKAHPALEEMEREKVCSVMDPLKLSYQGRLHASQNNRLPLQAVLSALYYDQLKLRSGDEGGGGWDAYGNGVMRSSAAGSARKQAKEEASLARENEALRSELARMRAYVSGMQQQSKGSSSSRGKKGSWLRTLSRLNPFKAGIWGKDTSGIVDGKTDAMNSVKAKRRRHYITPPETYRTVIFFPVSLFFHGSDQWRRTSPVLGRNSLGRRTPYTSGFSCVHRCSRHARRRLKLSGCGAQWDPRVGLILSIRAVKSTVALTTDVENGSAHECPCDGHVPHPAELERRDASPSPPPSAHHLLLLLALASDMEDAHHHHWTMVERRGTQLWASGRPFIIHGFNTYWLMSFAADQATRLRVTAAIAEAGLNVCCTWAFSDGGYRALQTAPFHYDEDVFRALDFVVSEARRHNMRLILSLCNNWEDYGGKAQYVRWGKEAGLDLTSEDDFFSDPTIKSYYKAFVEAVVTRINTVTNETYKDDPTILAWELINEPHCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGIEGFYGPSIPELLPSIIMTGLPLKKIMTGLRSFPRLPHSIKENHLQFVDKWMQQHIDDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTIYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAVIFAKSPSTLSLLANHLRCLEC >ONIVA11G01210.1 pep chromosome:AWHD00000000:11:800474:802232:1 gene:ONIVA11G01210 transcript:ONIVA11G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREHFVLIHGEGHGSWCWFKLRWLLESSGYQVTCIDLAGAGVDPTDPNTVQSFEQYDKPLLDLISAIPEDEKVILVGHGSGGLSLIHAMHQFVDRIRQAIFVAATMLPFGLQTDEDKKDESVLASMLMRPWPVTAISTASFEGDDERLNRIKRVFIKTERDHMLDPQQQDSMIKKWPPSEVLEIDTDHSPFFSAPEQLFNLIVKSL >ONIVA11G01200.1 pep chromosome:AWHD00000000:11:788820:792269:-1 gene:ONIVA11G01200 transcript:ONIVA11G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKLRFVRCPGCLQLLVEYPTIAVYQCGGCGTILRAKNRVAPAVNANAESGEHNEFSNNSTGGSQNNKLICTDGQKIPPSSDAQPGVLQEKITFASEEKTTSSSNSIDSSEHVNIECSLLDGDASNHDVRTEGINDEDKVTVSNSTLDSVRKVENVETDGNEKGSFTDDGSISNEVATTQRMVHMDGAGSDNNFTEVQSAAEGKCALSDANLDSQEIVAICQPDNISVGAKERVQPYEGFNVESHEDLIEELVRSLSLSDDEEEFVDIAENSELNDALRSQMGSCRFSLGSKMNEGPRTDPHGRLIEELEMSFSDAEEPLDQNIMVSLNDIEKPTLDEVSKENHILEEDGKESHILDVDGKESHILDMDGKQNHILDEDGKENHILNEDDKENLILDKGGEDTLDAGGANSYEERVLPSDDGLLKSGQSFQQCELVAVNMAEKDEGHLEETNMANHAEANSGIAAVLSNLSNDKFCAILPPSCDGRKEEKSNIHRGRELCQGLSLDSVDFRSIQNFIESQMDGTSSSLSSGSPSHGDLEHNRSNRFKKIDRLERLRKMDDLRDQLNRLSSQKGLENRYKNKGPGVLQEQISYRHLEQHPCGFDGDSILDSDIIDSYYDQGNPPRYPPPDPFSPTHSHYHCGHGQPHIPYNCSAWEFNSYYQSSYAGSTVLEHESLRSSYKEQKRAVRKSILRSLSGASPFTICNGCFNLVQVPSDIYVSKKKIAKFQCGRCSKALMLSFPATNSEDAKLSNKEVNRKPNKPVHNSVVGMEGGYSFSAECSRGDPVSISEECGASISRSFSGRTRAAVAASGSGKKVSDSALHRLMGYDSASQLLRRSRAFEDGYDSFESMVPVSNRVSRRKNL >ONIVA11G01190.1 pep chromosome:AWHD00000000:11:779852:782186:-1 gene:ONIVA11G01190 transcript:ONIVA11G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYCMMMVGRERELVAELRHLLFPSPSPTPTTPASHSTTALAGDGECCLPPGLTTTTTVSGGGRRRGRKRVNRDNDNVKLLLQADDDQEAVIADHGDANAKPLPNFTKTRRRKQQATTSTMVTTVPDFDGYQWRKYGQKQIEGAMYPRSYYRCTNSTNQGCLAKKTVQRNGGGGAAGYTVAYISEHTCKSIEPSLPPVILDTTVRTTNNHQQPAAAESPAATSSSSSNMVMTSSETGNWSGQHGAYACRQMIAADEEYCCWDTPATTTTTSGSNGGNSTCAEDIELLSRPIRSPMHIAAEGNWMDDLLLVTDGLIVISNSSITHFLT >ONIVA11G01180.1 pep chromosome:AWHD00000000:11:769995:772871:-1 gene:ONIVA11G01180 transcript:ONIVA11G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKFSENACVKNCDPLSPHVSMHTKIGKVKNSLLGSMAMKLQIPCNYLYPQSVRHDEIVEHVSSILKSNGIAHSGESIDTGKLECYQHGGGMSIGKSDSQRVGYGETIEADKSSSDIGEILGKQPPKGSAIREVRDMFFPYWKSVLSRRLQLKIVPSCQLSRKDLLSAEASKKGTKSIDHPCNPIKSMGNLGSMGWPPLSSESRRMLYTVASMSRKVFKRT >ONIVA11G01180.2 pep chromosome:AWHD00000000:11:769995:771113:-1 gene:ONIVA11G01180 transcript:ONIVA11G01180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGKSDSQRVGYGETIEADKSSSDIGEILGKQPPKGSAIREVRDMFFPYWKSVLSRRLQLKIVPSCQLSRKDLLSAEASKKGTKSIDHPCNPIKSMGNLGSMGWPPLSSESRRMLYTVASMSRKVFKRT >ONIVA11G01170.1 pep chromosome:AWHD00000000:11:760706:763057:-1 gene:ONIVA11G01170 transcript:ONIVA11G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRPKELGIRKLDVVTDNEANYNVMIGKRDLSKSSSPETSMAFIQAAKEYDICKCRREPREMISHVNDLAKEAHTKRTNVLKLREIIERKSPQFWGMPFVRIKQDDSSIKAKLGGSKVSDTPFEATNYYMEVWSKAQKNDAFEGLLRDLDPDFVIVFANDVSAEEVISKLTSYDYPSCIWESGANVSQHSIPDHRAHVLINHKGCIPHFTGSKCLIVAYDSPPELIQYENNQDSSGVMSVEFVGPGKQDLSFKRWSQIERVQTYFNGHGFGRAVLGFEDKDMLFLDELNRMALRIVSLLTYVRGTGTVRCRQAVKFQSDY >ONIVA11G01160.1 pep chromosome:AWHD00000000:11:757838:760092:1 gene:ONIVA11G01160 transcript:ONIVA11G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNFWDYEIKWIDGSTAISESVGAEQPRPVFSAPHTRESSLLPINESSQSSSHGQDTRSNQGLNGEARGRTQVRCT >ONIVA11G01150.1 pep chromosome:AWHD00000000:11:753972:757823:1 gene:ONIVA11G01150 transcript:ONIVA11G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFKDAIDALLPAAGTRPVTDAPVPVRDSPSPRPYRLLHHPYHLPAAAPCPLSASWAARWAAAAATKVSKLQQQQQQQQQQQQQQQQQQQQQQQQQQIGEDEALPPNNRIILADKKIGFGLEKDMGRRFLNLVVENACSGLYSPSTRAAEEATAKSQESFNVYVKEHHGKKHPGLHTMEMLGKLPSPMFNFEPTPWDGQRRHRNLEFASLLGNKNRILIADHNGHTIVFDVDSSTVFAFPNLISDKGCATISLSIKNNYTDKNIILVMSQSADPETKDYCFEVLNYTSSCKDFRGRTPYWSSLQLPPFANYIHADITSYTVVDTPLYMFLLWSQMLLNYAFDTVGRQWRRLGRWTMPFDGKAEYVPELKLWFGLSVDHPYSLCACDLLSDAAKPPTVRQQHTWVDLDIPESLLPYNLDLINLRSGRFCVVKIFRSMADDCTGFSDYDDDDIMDTDPIQGQFAVLTGLQMVRPCGKDGDVIKVVSG >ONIVA11G01140.1 pep chromosome:AWHD00000000:11:740815:750868:-1 gene:ONIVA11G01140 transcript:ONIVA11G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSLQGESYTSIKSMNEPKLGLWETLARKAKGILDEDGVAHKSDEYTKEKTPRQFDSSTGAQESRSRWSFENHSRTGDTGSRTRSEALAASVNQLGGRIRDALEEGLTIVDNKTSNIIEETKKIQIRRKQANSNSYVPNLAFDTLRPPNLSHDQAETAAQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFAKQRCAQLEEENKFLREAKQKGSKTVEDDDLIRVQLETLLAEKSRLAQENSMYARENRFLREIVDFHQFTTHDVAPLDDCDMEDSIPGEDSNHTYSEDMFPIKSAILSIWFEFYVGLVKQLTGVDSRNEENFQGGSLRRKGFSDYFDFTVPTGIVVQPYVERVVLVYHNLGNWSDHYYPLPGYTYLSPVLGLLLYDAANLSAVGLQELSFVASGSPISINFSDVRSVPAGGPAPRCVWFDLDGVPQFRDLEASNVCSTYRRGHFSIVVNSSAIPPGPVPSGNITPPIPTPTGRSKGSSKGWKIAVGVVGGVIALVLLASLVVCLARYKRDKKLELMEQNAETGETLRMAQVGRSQAPVALGTRTQPVIESEYVA >ONIVA11G01130.1 pep chromosome:AWHD00000000:11:730749:734219:-1 gene:ONIVA11G01130 transcript:ONIVA11G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASDSPASFPFSAAKLAASPRFCNPISRRRAERRRRGARGGAKGSYSKRSRGAMGDGRALLALSTSYSSLFSLSSLSLPLPLHCNSARRRRNGCGLRRGHPKEYALTFIEFCANMSQRVQPTNILLPTAMINLCLHAKEIELLSGL >ONIVA11G01120.1 pep chromosome:AWHD00000000:11:726227:730399:-1 gene:ONIVA11G01120 transcript:ONIVA11G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASDSPASFPFSAAKLAASPRFCNPISRRIFSDVAGDLTVSVDGQSFLLHKFPLVSRCGRIRRMVAESKDPDLSKLELVNVPGGALAFELAAKFCYGSNFEINTVNVAHLRCIAEYLEMTEEYQEDNLIVRTETYLNEIVVKNLDKSLEVLCACDGLDPTVEDVGLVDMCVDAIAINASKEQLVSGLAHLECDVGSGKLRMHCQDWWVEDLSALRIDYYQRVIIAMRRTGVRPESIGTSIVHYAQTALKGIERRHVWDSGPLVGDNQRVIVETLIDLLATEKITSVTLSFLFGMLRMAIEVDAGLDYRIEVEKRIGLQLEMASLDDLLIPATQTSDSMFDVDTVHRILVNFLQRIEEDDSGNLSPCGYESDDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKRDNYASLRRENRELKLEIARMRVRLTELEREQGVMRQGMRDGRGGGEHGRALLASISRGIGRIAMLGGAQGGAERRKTKTKKSSHSQSQWSSDGGGKMSSRRRHKASSVTYAAAS >ONIVA11G01110.1 pep chromosome:AWHD00000000:11:722190:724167:-1 gene:ONIVA11G01110 transcript:ONIVA11G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSQWVSSPDIPADLLIRIAHDVFPLHKSVMVPKCGYIRKVVAAARGGATAAVEIDLSALPGGANAFDKAARYCYGANFEISVRNAAALLCAAAFLDMQPTDGGLARRVEEFLAKVGLRTLPGAVAVLRSCEGLLPVAEEIGVAQRSADAIALRICNEVLFPTRSPPEWWTPELAALSPSSFHKVITALRCRRAEPEVLVAAATAYAELLLAEAKEEASLARENEALRSELARMRAYVSGMQQQSKGSGSSSSWRGKKGGMASSWLRTLSRLNPFKAGIWGKDTSGIVDGKTGAMNSVKPKRRRFSIS >ONIVA11G01100.1 pep chromosome:AWHD00000000:11:713022:717968:-1 gene:ONIVA11G01100 transcript:ONIVA11G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01930) TAIR;Acc:AT5G01930] MEAHMNARAMGMCPIPPSWSAATPRLPLHHLLLLLALASDMEDAHHHHWTMVERRGTHLWASGRPFIIHGFNTYWLMSFAADQAMRPRVTAAIAEAAEAGLNVCRTWAFSDGGYRALQTAPFHYDEDVFRAQYVRWGKEAGLDLTSEDDFFSDPTIKSYYKAFVEAVVTRINTVTNETYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGIEGFYGLSTPELLPVNPDEYSGHAGTDFIRNHQAPGIDLASIHVYSDTWLPHSIKENHLQFVDKWMQQHIHDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTVYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAAMDASALKRVLIPGQIKTGPVNVH >ONIVA11G01100.2 pep chromosome:AWHD00000000:11:712280:717968:-1 gene:ONIVA11G01100 transcript:ONIVA11G01100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01930) TAIR;Acc:AT5G01930] MEAHMNARAMGMCPIPPSWSAATPRLPLHHLLLLLALASDMEDAHHHHWTMVERRGTHLWASGRPFIIHGFNTYWLMSFAADQAMRPRVTAAIAEAAEAGLNVCRTWAFSDGGYRALQTAPFHYDEDVFRAQYVRWGKEAGLDLTSEDDFFSDPTIKSYYKAFVEAVVTRINTVTNETYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGIEGFYGLSTPELLPVNPDEYSGHAGTDFIRNHQAPGIDLASIHVYSDTWLPHSIKENHLQFVDKWMQQHIHDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTVYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAAMDASALKRVLIPGQIKTVKNIQMLLP >ONIVA11G01090.1 pep chromosome:AWHD00000000:11:711574:717035:1 gene:ONIVA11G01090 transcript:ONIVA11G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDAGDDFSESCRSTEHFVLVHGAGHGAWCWFRLLRLLQDSGHRVSAVDLAGAAGSLVDPNHVRSFDDYNAPLLDLMASLPAGDKVILVGHSAGGLSVVHAMHLFGDRIKQAIFIAATMLQFGYQTEQDIKDGVPDLSEHGDVYDLTFGLGADRPPTAVALRKEFQRIILYQQSPQEDSALASILLRPWPTALSTARFTGDDGGVESFIDRVRRVYIKTENDRMVQPEQQEAMIRRWPPSKVMVMDTDHSPFFSAPELLSNLILKSL >ONIVA11G01090.2 pep chromosome:AWHD00000000:11:711350:717035:1 gene:ONIVA11G01090 transcript:ONIVA11G01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAREHFVLVHGEGHGSWCWFKLRWLLESSGYQVTCIDLAGAGVDPTDPNTVRSFEQYDKPLLDLISAIPEDEKVILVGHGSGGLSLIHAMHQFVDRIRQAIFVAATMLPFGLQTDEDKKDGLPTLPENEINLIFGTGAEDPPTTAALRPEFQRERLSQQSPEEESVLASMLMRPWPVTAISTASFEGDDERLNRIKRVFIKTERDHMLNPQQQDSMIKKWPPSEVLEIDTDHSPFFSAPEQLFNLIVKSL >ONIVA11G01080.1 pep chromosome:AWHD00000000:11:699399:705178:-1 gene:ONIVA11G01080 transcript:ONIVA11G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKLRFVRCPGCLQLLVEYPTIAVYQCGGCGTILRAKNRVAPAVNANAESGEHNEFSNNSTGGSQNNKLICTDGQKIPPSSDAQPGVLQEKITFASEEKTTSSSNSIDSSEHVNIECSLLDGDASNHDVRTEGINDEDKVTVSNSTLDSVRKVENVETDGNEKGSFTDDGSISNEVATTQRMVHMDGAGSDNNFTEVQSAAEGKCALSDANLDSQEIVAICQPDNISVGAKERVQPYEGFNVESHEDLIEELVRSLSLSDDEEEFVDIAENSELNDALRSQMGSCRFSLGSKMNDGPRTDPHGRLIEELEMSFSDAEEPLDQNIMVSLNDIEKPILDEVSKENHILEEDGKESHILDVDGKESHILDVDGKQNHILDEDGKENHILNEDDKENLILDKGGEDTLDAGGANSYEERVLPSDDGLLKSGQSFQQCELAAVNMAEKDEGHLEETNMANHAEANSGTAAVLSNLSNDKFCAILPPSCDGRKEEKSNIHRGRELCQGLSLDSVDFRSIQNFIESQMDGTSSSLSSGSPSHGDLEHNRSNRFKKIDRLERLRKMDDLRDQLNRLSSQKGLENSCPRNNISMEAPKLRFVRCPGCLQLLVEYPTIAVYQCGGCGTVLRVLMTSGIYCAAKNRVAPATNTNAESGEHNEFSNISTGDSQNNKLICTDGQKISPSSNAQPGVVQEKITFASEEKTVSTSNSIDSNEHVNIECSLFDGDASNHDVRTEGINDEDKVTVSNSTLDLVRKVENVETDGNEKGSFTDDGSISNEVATTQSMVHMDGAGFDNNFTEVQSAAEGKCTLSDANLDSQEIVAICQPDNISVGTKERVQLYEGFHVESHEDLIEELVRSLSLSDDEEEFVDIAENSELNDALRSQMGSCRFSLGSKMNDGPRTDPHGRLIEELEMSFSDAEEPLDQNIMVSLNDIEKPILDEVSKENHILEEDGKESHILDVDGKESHILDVDGKQNHILDEDGKENHILNEDDKENLILDKGGEDTLDAGGANSYEERVLPSDDGLLKSGQSFQQCELAAVNMAEKDEGHLEETNMANHAEANSGTAAVLSNLSNDKFCAILPPSCDGRKEEKSNIHRGRELCQGLSLDSVDFRSIQNFIESQMDGTSSSLSSGSPSHGDLEHNRSNRFKKIDRLERLRKMDDLRDQLNRLSSQKGLENRYKNKGPGVLQEQISYRHLEQHPCGFDGDSILDSDIIDSYYDQGNPPRYPPPDPFSPTHSHYHCGHGQPHIPYNCSAWEFNSYYQSSYAGSTVLEHESLRSSYKEQKRAVRKSILRSLSGASPFTICNGCFNLVQVPSDIYVSKKKIAKFQCGRCSKALMLSFPATNSEDAKLSNKEVNRKPNKPVHNSVVGMEGGYSFSAECSRGDPVSISEECGASISRSFSGRTRAAVAASGSGKKVSDSALHRLMGYDSASQLLRRSRAFDDGYDSFESMVPVSNRVSRRKNL >ONIVA11G01070.1 pep chromosome:AWHD00000000:11:681764:693441:-1 gene:ONIVA11G01070 transcript:ONIVA11G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYCMMMVGRERELVAELRHLLFPSPSPTTPASHSTTALTGDDECLPPGLTTTTTVSGGGGRRRGRKRVRRDNDNLKLLQADDDQEVLAAAVHGDANAKPLPNFTKTSRRKLQTTTSTMVTTVPDFDGYQWRKYGQKQIEGAMYPRSYYRCTNSTNQGCLAKKTVQRNGGGGAAGYTVAYISEHTCKSIEPSLPPVILDTTVRATNNHHPPAASSSCAAQSPAAAATSSSSDMMMTSTSSTSSETGNWSGQHGAYACRRQMIAADEEYCCWDTPATTTTSGSDGGNSSTCADQVIDLMSGPIRSPLHIAADGNWTKEDDMLRYKLERVPFLEEKDPATLGSCPGSGAACIIRLVLNHGIKEMKNSSNKRPLVADQWHPSSVCCDHRAALREIARGQSLVTQLRAIVLPALHSDERGDLAAQMLEGILDCSRKAISELQLQLSSDSPHDDDGHLDDKRRVRKIVSSSSDDDDHSSSKAAEDHNAKPLRQHKRRRFGDSVSLETPVPHYDGHQWRKYGQKHINNSKHPRSYYRCAYRQEEKCKATKTVQQREDLQHANSYNGDHPVMYTVVYYGQHTCCKGPVASADDHVVVEASQISTDSHCQSPGSSSSELQAAAHAGDSSQCSNISVTCSSSVVVEDCNKLLDMLPAADELTTDVLGSDPQPPQYSTYL >ONIVA11G01060.1 pep chromosome:AWHD00000000:11:675995:683358:1 gene:ONIVA11G01060 transcript:ONIVA11G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVDNIWIGYAISPAWQKVLANCSFSRSATNIHSQGVLPLRSVRLRRGDIFKTQEHLHVEQQDISGQFISSWQHVKKLIAILNDD >ONIVA11G01060.2 pep chromosome:AWHD00000000:11:675995:683358:1 gene:ONIVA11G01060 transcript:ONIVA11G01060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVDNIWIGYAISPAWQKVLANCSFSRSATNIHSQGVLPLRSVRLSHVEQQDISGQFISSWQHVKKLIAILNDD >ONIVA11G01050.1 pep chromosome:AWHD00000000:11:675752:681300:-1 gene:ONIVA11G01050 transcript:ONIVA11G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVSAGAASTPIHQIGIQGRTTKALHGCSVVIKSSTSVVGPYAWVRSRGGRNKLSVQTIELGGDTNKLTNLVGGKRKGDKHSMDNHNLEEEAKESVSKRRKNAEHTGSTVAQAPHNDGHQWRKYGQKWISRAKHSRSYYRCANRKVQGCPATKTVQQMDSSGNGTSKLFNVDYYGQHTCRGDGIANPYVVDTAHHSMEPINQNECNSPTLEHEAHEVQDERFENLCMVQNMPEYLIDFELERAFEFIVNSPLGSEHWTFDDSIRCEHSPICIWG >ONIVA11G01050.2 pep chromosome:AWHD00000000:11:675752:681300:-1 gene:ONIVA11G01050 transcript:ONIVA11G01050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVSAGAASTPIHQIGIQGRTTKALHGCSVVIKSSTSVVGPYAWVRSRGGRNKLSVQTIGKRKGDKHSMDNHNLEEEAKESVSKRRKNAEHTGSTVAQAPHNDGHQWRKYGQKWISRAKHSRSYYRCANRKVQGCPATKTVQQMDSSGNGTSKLFNVDYYGQHTCRGDGIANPYVVDTAHHSMEPINQNECNSPTLEHEAHEVQDERFENLCMVQNMPEYLIDFELERAFEFIVNSPLGSEHWTFDDSIRCEHSPICIWG >ONIVA11G01050.3 pep chromosome:AWHD00000000:11:675752:678237:-1 gene:ONIVA11G01050 transcript:ONIVA11G01050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARYWSKRKGDKHSMDNHNLEEEAKESVSKRRKNAEHTGSTVAQAPHNDGHQWRKYGQKWISRAKHSRSYYRCANRKVQGCPATKTVQQMDSSGNGTSKLFNVDYYGQHTCRGDGIANPYVVDTAHHSMEPINQNECNSPTLEHEAHEVQDERFENLCMVQNMPEYLIDFELERAFEFIVNSPLGSEHWTFDDSIRCEHSPICIWG >ONIVA11G01050.4 pep chromosome:AWHD00000000:11:678290:681300:-1 gene:ONIVA11G01050 transcript:ONIVA11G01050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVSAGAASTPIHQIGIQGRTTKALHGCSVVIKSSTSVVGPYAWKPKRKQNMPNLRRTERSGRTP >ONIVA11G01050.5 pep chromosome:AWHD00000000:11:675752:676604:-1 gene:ONIVA11G01050 transcript:ONIVA11G01050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLELGGDTNKLTNLVGGKRKGDKHSMDNHNLEEEAKESVSKRRKNAEHTGSTVAQAPHNDGHQWRKYGQKWISRAKHSRSYYRCANRKVQGCPATKTVQQMDSSGNGTSKLFNVDYYGQHTCRGDGIANPYVVDTAHHSMEPINQNECNSPTLEHEAHEVQDERFENLCMVQNMPEYLIDFELERAFEFIVNSPLGSEHWTFDDSIRCEHSPICIWG >ONIVA11G01050.6 pep chromosome:AWHD00000000:11:679254:681300:-1 gene:ONIVA11G01050 transcript:ONIVA11G01050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVSAGAASTPIHQIGIQGRTTKALHGCSVVIKSSTSVVGPYAWVRSRGGRNKLSVQTIGALES >ONIVA11G01040.1 pep chromosome:AWHD00000000:11:665604:673074:-1 gene:ONIVA11G01040 transcript:ONIVA11G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGGHAWVRRGLPALDSGAGTADCKLERGVVRKRRSSGVAGDDGRVAAQARWSASCGAARLGSALAVRDGAAPGVQQLAATRGSDGARGCRQRLAASSSRCSAVQSTADEQQFFVVMWPAQSCVCSVHATETNRVTMRRVSVRRRRTASAALQHGVSRWEKENKKIEERLTSLTHIIVDLARPIGQFGLLRLD >ONIVA11G01030.1 pep chromosome:AWHD00000000:11:661510:664879:-1 gene:ONIVA11G01030 transcript:ONIVA11G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSVPSYPSDLGSSRARTPQQQRVSPRKEERTWTTDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTNDPPLFSVTYFNHHTCNSSPKIVGSTPDSTVQSRKAISICFNSHGQTGEQPTFLSSSASLLSPSMQSYSSNQQPDMNTYSRQFQWADTSSSTSNAPVKMEADDYAEASASPSTTGALSRTLLPIGQSRCIEYFHFL >ONIVA11G01020.1 pep chromosome:AWHD00000000:11:659973:660421:-1 gene:ONIVA11G01020 transcript:ONIVA11G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVVHVVSLVLSGRPLESSTRYLAARSREDGRRWHGAPAFIAAAREDEESRMASDHGASRLPQLASYTPVAPPPPVYFAPPSSKDMLSNDRPKLHHLHDWSISMTLPPLKPGSSALVDARLPARLR >ONIVA11G01010.1 pep chromosome:AWHD00000000:11:653019:654216:-1 gene:ONIVA11G01010 transcript:ONIVA11G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTESACLSYEQEAVAVREVAQVYELIKTQQPLLLVHQQPQQLAHGLLNHALRALNVALSVMNQPHASSSAAAAAVPVMSMIKAEAATPANSSSPAADVAADNHVVGKPRRSSSAAKRRRINGEEYKSSSWSQFTPVPHEDGFQWRKYGEKKIQGTHFTRSYFRCTYRDDRGCQATKQIQQKDNNDPPMFQVTYSNEHTCTTTRLINNINNPAALHNLTANPNGHHDSDDDDTIFTKMIKQEEQAAWLPPPPPADLATISNNFDETPGLHVCQEVPPSSSNSSVISHYADEFDHHQMLETTVMEEALGLGADLDDPYFYDPNLLLIYESLMNCY >ONIVA11G01000.1 pep chromosome:AWHD00000000:11:645120:646112:1 gene:ONIVA11G01000 transcript:ONIVA11G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVVLPLQLLRQYARLGAADELLVLALLPPEVFHPLPRRHVVVELELIAVRPRHGAAHVDALQVFDRGPLGLGVGDGEAERAVRLGLGLEGDVVEHAGGGERVELVAQLRPGVDAAGAQRVEEGGLRGGGGGGGGAGAAVEEGEIRDLVPLLAVQPGERHRQRASREAVRVPVRRRLPSVRARRAGGEEAGQEATDSGGPSLALGSASSIIGGGVEGEAAWHCDCGC >ONIVA11G00990.1 pep chromosome:AWHD00000000:11:642633:650817:-1 gene:ONIVA11G00990 transcript:ONIVA11G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSPNSMLWLALLVWAALLCGSCHGRFVVEKNSLKVTSPSDMKGTYECAIGNFGVPQYGGTMVGVVAYPKANKKACKSFDDFDISYKAKPGSLPTFLLVDRGDCFFTKKAWNAQNAGAAAILVADDKTEPLITMDTPEESGNTDYLENITIPSALITKSFGDKLKKAIDNGDMVNVNLDWRESLPHPDERVEYEFWTNSNDECGPKCDSQIEFVKSFKGAAQVLEKKGYTQFTPHYITWYCPDSFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVYKVAKEHGKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADKENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANISACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKDSRHGRTYSACTNDGCKCPDGFKGDGVHKCEDIDECKERTACQCKECKCKNTWGSYECGCSGGLLYMKEHDTCISKNAATEVGWNFLWVIFFGLVVAGIAGYAVYKYRIRFCLVLAEKEKSGIPNINWLRDRLFRSIRRRRRRGALAPLAPTLTTAIAMPSCFTLDSASDDGRSTAQGQGWPAGVGGFLSSFFSAGAARADGGKPSPDWDAHGLAASALPVPLSRLDGKKRYKVSDLTFLNRRTRAAAAAAAAAEAPLFDALRPGGVYTRAQLRDELDALATSGMFDHVTLQTKPKPDGTLGLTVSYAETEWPAVEHLKCINVGGPMARPDGDELELDDDMTARERMEHLRRQEREYQQLVRRAKPCVLPEKLQRELQGMVKRQRKVSSGLLKRMAGRIERWYHDEGFHCAQVVSYHGNLDAGEVVCEVVEGDITKVEYQFLDKLGNVVDGNTSIPLIDRELPQQLRPGHIYNNGAGKQALKNIDSLGLFSTIEVQPRPDETNQGGVIVAIKLKEHDPKSAQVITDWSIVPGSQGRPTLASIQPGGTVSFEHRNICGLKRSLIGSVTSSNLLNPEDDLSFKLEYAHPYLDGIDNLSRNRTFKISCFNSRKLSPIFVAGPNMYEAPPIWVDRIGFKANITESFTKQSKFTYGLVVEEITTRDENNNICTHGSRQLPSGALSMIGPPTTLSGTGVDRMAFLQANITRDNTEFVNGATIGDRCIFQMDQGLGIGSKNPFFNRHQLTVTKFINLNKQEKGSRKPPPAVLALHGRYAGCVGDLPSYDAFALGGPHSVRGYGMGELGASRNLLEVATELSVPITVKNRHTQVYAFAEHGTDLGSSKDVEGNPTEFFRRVGHGSSYGVGVKLGAVRAEYAVDHNAGTGAFFLRFGERF >ONIVA11G00980.1 pep chromosome:AWHD00000000:11:637557:643220:1 gene:ONIVA11G00980 transcript:ONIVA11G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLRHLSPSGATAPPSSFAQLRRPSSCLAGPRPLRSRLTRVYALSSNDIRVGSNLEVDGAPWKIPEASISKETKQFTYKDGAQFVFMDLTTFEESRLNESDVGDRQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVTDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >ONIVA11G00980.2 pep chromosome:AWHD00000000:11:637557:642600:1 gene:ONIVA11G00980 transcript:ONIVA11G00980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLRHLSPSGATAPPSSFAQLRRPSSCLAGPRPLRSRLTRVYALSSNDIRVGSNLEVDGAPWKIPEASISKETKQFTYKDGAQFVFMDLTTFEESRLNESDVGDRQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVTDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >ONIVA11G00980.3 pep chromosome:AWHD00000000:11:637509:641438:1 gene:ONIVA11G00980 transcript:ONIVA11G00980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLRHLSPSGATAPPSSFAQLRRPSSCLAGPRPLRSRLTRVYALSSNDIRVGSNLEVDGAPWKIPEASISKETKQFTYKDGAQFVFMDLTTFEESRLNESDVGDRQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVTDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >ONIVA11G00970.1 pep chromosome:AWHD00000000:11:632839:636831:-1 gene:ONIVA11G00970 transcript:ONIVA11G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXB9] MRHCAAEGVRGEFGTFGVVGVSESLRCATPLFFCPVYISYLTPACINPHMLSLANKNISLLTLFRSSAPLYFSRQQLALSIHRKEQKQFQFQPPSGSIAKKNHHRVSPSHEAAPDLTPLREAHTYLNRHRRQAKPLPWSSLSEVPALVEFVRSFALERSFNSADAADFADRYCDFGDIFGKLVGTEIATVEVEGIPFPQEITVSKPLSLLANGITDIEIHFLQIKYNAIGVYLEKDNVLAHLESWKGKKAEELVQDDGFFQALVSAPVEKLLRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEEDEEEALEKVTEFFQSKYFKPNSVITFHFPTTPGIAEISFVTEGKGEAKLTVENKNVAEMIQKWYLGGESAVSPTTLLSCACYN >ONIVA11G00970.2 pep chromosome:AWHD00000000:11:632946:636831:-1 gene:ONIVA11G00970 transcript:ONIVA11G00970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXB9] MRHCAAEGVRGEFGTFGVVGVSESLRCATPLFFCPVYISYLTPACINPHMLSLANKNISLLTLFRSSAPLYFSRQQLALSIHRKEQKQFQFQPPSGSIAKKNHHRVSPSHEAAPDLTPLREAHTYLNRHRRQAKPLPWSSLSEVPALVEFVRSFALERSFNSADAADFADRYCDFGDIFGKLVGTEIATVEVEGIPFPQEITVSKPLSLLANGITDIEIHFLQIKYNAIGVYLEKDNVLAHLESWKGKKAEELVQDDGFFQALVSAPVEKLLRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEEDEEEALEKVTEFFQSKYFKPNSVITFHFPTTPGIAEISFVTEGKGEAKLTVENKNVAEMIQKWYLGGESAVSPTTVKSLADQFAALLSA >ONIVA11G00970.3 pep chromosome:AWHD00000000:11:632946:636831:-1 gene:ONIVA11G00970 transcript:ONIVA11G00970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IXB9] MRHCAAEGVRGEFGTFGVVGVSESLRCATPLFFCPVYISYLTPACINPHMLSLANKNISLLTLFRSSAPLYFSRQQLALSIHRKEQKQFQFQPPSGSIAKKNAVGTEIATVEVEGIPFPQEITVSKPLSLLANGITDIEIHFLQIKYNAIGVYLEKDNVLAHLESWKGKKAEELVQDDGFFQALVSAPVEKLLRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEEDEEEALEKVTEFFQSKYFKPNSVITFHFPTTPGIAEISFVTEGKGEAKLTVENKNVAEMIQKWYLGGESAVSPTTVKSLADQFAALLSA >ONIVA11G00960.1 pep chromosome:AWHD00000000:11:619360:628436:1 gene:ONIVA11G00960 transcript:ONIVA11G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNGKVVVAVMVVAMVVAAPGASAAITCGQVGSAIAPCISYVTGRSGLTQGCCNGVKGLNNAARTTADRQAACRCLKSLAGSIKSLNLGTVAGVPGKCGVNVGFPISLSTDCNKVS >ONIVA11G00960.2 pep chromosome:AWHD00000000:11:624618:628436:1 gene:ONIVA11G00960 transcript:ONIVA11G00960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNCKVVVAVIVVAMVVAAPGASAAITCGQVGSAIAPCISYVTGRSGLTQGCCNGVKGLNNAARTTADRQAACRCLKSLAGSIKSLNLGTVAGVPGKCGVNVGFPISLSTDCNKVS >ONIVA11G00960.3 pep chromosome:AWHD00000000:11:624618:630246:1 gene:ONIVA11G00960 transcript:ONIVA11G00960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRSEGAEQRRPHHRRPPGGLPLPQEPRRQHQVAQPRHRRRRPRQVRRQRRLPHQPLHRLQQPAMRRLGDVVEAPALVLTPASMQQAGGRGSSGALDASMVVILAALLCAVICALGLTSLIRCALHCARGLSPTTATPTPSVSTAATAGLKKTELRRIPVEVYGAKQAGVPDGECAICLGDFADGDKVRVLPRCHHGFHVRCIDTWLAAHTSCPTCRDSILSVHGVVAGGQT >ONIVA11G00960.4 pep chromosome:AWHD00000000:11:618955:621860:1 gene:ONIVA11G00960 transcript:ONIVA11G00960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNGKVVVAVMVVAMVVAAPGASAAITCGQVGSAIAPCISYVTGRGGLTQGCCNGVKGLNNAARTTADRQAACRCLKTLAGTIKSLNLGAAAGIPGKCGVNVGFPISLSTDCSKVS >ONIVA11G00960.5 pep chromosome:AWHD00000000:11:628169:630246:1 gene:ONIVA11G00960 transcript:ONIVA11G00960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGWSWWMVTRVRAEPAMRRLGDVVEAPALVLTPASMQQAGGRGSSGALDASMVVILAALLCAVICALGLTSLIRCALHCARGLSPTTATPTPSVSTAATAGLKKTELRRIPVEVYGAKQAGVPDGECAICLGDFADGDKVRVLPRCHHGFHVRCIDTWLAAHTSCPTCRDSILSVHGVVAGGQT >ONIVA11G00950.1 pep chromosome:AWHD00000000:11:612617:612912:1 gene:ONIVA11G00950 transcript:ONIVA11G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGGGGDASVAALLLQSALLLPSAPMAYAVVTDPGTVDTPDMPSYQPYMKEVDLSVDCALGEAAVIRPRAVVAALHHPQPRL >ONIVA11G00940.1 pep chromosome:AWHD00000000:11:589691:607820:-1 gene:ONIVA11G00940 transcript:ONIVA11G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSPLPDPMGGEAVVQRQHGGVLPYARADGRGGLLSTTCEREKDVYCINHACMLSLPAGTAVGRQQVASKLKPTIHYVHVYLPTAREGERSCKAATEQPLPSRCVEAWRGTGRARTPSLSRTWLHRFSFPNLSWGTHRLLRCSKNPASSPPPAAPDTPIVGRGEGHPTASLTAWASAVHLSGVCSGRGTSAPAALPPPRRVRRDRTMRPMHGVLPAHNKPGDDQASN >ONIVA11G00930.1 pep chromosome:AWHD00000000:11:587844:589250:-1 gene:ONIVA11G00930 transcript:ONIVA11G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAPTDLALTPCQRGTRADPPADARELIRTVNDAGVALGTPPGSQQESSVLRNALQTLRQ >ONIVA11G00920.1 pep chromosome:AWHD00000000:11:585325:598709:1 gene:ONIVA11G00920 transcript:ONIVA11G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARRTMALVALVAVVAAAVVAERASAAVSCGDVTSSIAPCLSYVMGRESSPSSSCCSGVRTLNGKASTTADRRTACNCLKNVAGSISGLNAGNAASIPSKCGVSIPYTISPSIDCSSVN >ONIVA11G00920.2 pep chromosome:AWHD00000000:11:598331:610672:1 gene:ONIVA11G00920 transcript:ONIVA11G00920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLASIAARSMHAYATNQFTPIDRATVVGTMARAQLVLVALVAALLLAAPHAAVAITCGQVNSAVGPCLTYARGGAGPSAACCSGVRSLKAAASSTADRRTACNCLKNAARGIKGLNAGNAASIPSKCGVSVPYTISASIDCSRVS >ONIVA11G00920.3 pep chromosome:AWHD00000000:11:584544:610672:1 gene:ONIVA11G00920 transcript:ONIVA11G00920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGEHAGAVHHVRDGEGVRPHRRLLRRGQDPQLRRRHHRRPPDHLRLPQAADQRHGRPEARPRRRHPLQVRRQHPLRYQPFHRLLQGELSYRSDGSFICIQKRDGGSMCGADRILYPILVVSVRILE >ONIVA11G00920.4 pep chromosome:AWHD00000000:11:584544:585928:1 gene:ONIVA11G00920 transcript:ONIVA11G00920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGEHAGAVHHVRDGEGVRPHRRLLRRGQDPQLRRRHHRRPPDHLRLPQAADQRHGRPEARPRRRHPLQVRRQHPLRYQPFHRLLQGALSGSTSSDATIIMERWIHRSAALILRLLSAIFVVVREIELEMHVTPPFCYYLLTCCFVAV >ONIVA11G00910.1 pep chromosome:AWHD00000000:11:579820:581732:1 gene:ONIVA11G00910 transcript:ONIVA11G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTTTTTASYLRLLRRFPRRSAAGGHQLHALLAKLGLLHRSEFLSALLSRLPPSPSALSLLLEAPPAVLSPSLVCPVIVAFSSSQAPSSALLLFNHASSCSLPTPLPTFPALLKSCARAFNHSSRASAASVFVSKGMELHCRVLKLGCGKDRYVRNALVSMYGKFGRLGDARKAFDEMPDKNAVSWNALVGAHRAAADWMGADRVSQAMPVRNLSWWNAEIARNVRIGYMDEAARIFSEMPERDAVSWNSLISGYTKLGKYTQALGIFQEMQENGIQPTELTLVLVLGACAKIGKLDLGTNIHRNLQNKGIVADGLVGNALIDMYAKCGMLDLAKKVFDRMSMRDITCWNAMIVGFSVHGCSREALELFDSMKIEPNPVTFLGVLTACSRGGLVNEGRKYFNSMIEDYRIVPDVKHYGCMIDMLCRYGKIEEAYLMIKENPSTASSVLWKMLLAACRVHGHIDLAYMFFHELRELILTDNGGLVTISNVYAEAKRWDDVEHLRMKVRCNSALKHAAHSQMDVMKINRYRCLNGFVDQQQMFLKLNHDFRANWMF >ONIVA11G00900.1 pep chromosome:AWHD00000000:11:572813:573700:-1 gene:ONIVA11G00900 transcript:ONIVA11G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADLTPSEELRHIYDPDANDLGIPSSLHISIPSPSSEAPREIVHGNGFIQPPAKKLEFGTLGALPLEVTSQELGINRLNTASDSQPSASASPMSLAHNPGISSNQMRNAQPYHLKDNGDFPPLSS >ONIVA11G00890.1 pep chromosome:AWHD00000000:11:563392:568549:-1 gene:ONIVA11G00890 transcript:ONIVA11G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARWCGDRRSERSSVVGDNRNGYVETDPTGRYGRTVYRGFDELRGVEVAWNQATISDVLRTPDALHRMYAEVSLLADLRHDAIIAFHASWVATEMVKELDIADREPTEIAAMIEQEIVRLVPGYKQHEYSYADDDDDDDVNGQPNPFYYLSSSPTSSQGSLCGVGPTSSEGFPGPHGKVDWSRDYCYYPPSSVSVSDDDDSSTSSLSAAVSAISLQQQHCSASSSRLGPASASASEDGGGHAGRPRQREGEEERRRRRMSRNRSMVDMRSQLLHRTLVEELNKRLFFNTVGAVHDIGFRDPTTYGSSSSSSSSQHRRRSSSKVDHKHHYMF >ONIVA11G00880.1 pep chromosome:AWHD00000000:11:557228:561468:1 gene:ONIVA11G00880 transcript:ONIVA11G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTPRSSVARSTCNVPGLVLGFSKLCKITKICAAPEFADTKTEFGDYCGGYDQRLVITRLFEEIGALKSTYIKLQKAHIPYNRPKIAFADEIITYELDSVTALQSLCSWNGSVGSLINDRWSLVQELEAETRKKDSDIMLLRRELYGLKSANSRLNKQISSSKPSVNHHKDYSIVLKKLTTPSAVLELFKVASTSVHDFAELIFSLISSPDHRCPNNADEHSPYKRYSLEAYLSRTMLAVHDGAEDDDELDLARFDRIMRCCDPLDALMEHPNSSFARFCRTKYLAAVSSEMEAAMFRNNLDVRAFVSRGGHPRTWFYRAFATMARSAWALRVAVTARRRCCGRGSVRMLYARRGSSFQHKEITTMATLLLSSNKKPCSSSSSLLLLVLLLLLVFFAHHGSCSRPLPLPAPTPMQPQLKHESETASADTTTTTEEQVMQQQLSWLRSMKPRGRPQPSSPSKRTN >ONIVA11G00870.1 pep chromosome:AWHD00000000:11:555383:555808:1 gene:ONIVA11G00870 transcript:ONIVA11G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDIVDCLMWGIIFFFLLACIGVALCFLALTIATVVGLIRRRNDDANNKYDMLIERLLLRPKDDQDNEQCVICLSESEDDVDDGGGERGRWRMLPGCAHAFHKDCVVKWLRNRTTCPLCRSDVAVAAADDIISTADNMV >ONIVA11G00860.1 pep chromosome:AWHD00000000:11:554297:554743:-1 gene:ONIVA11G00860 transcript:ONIVA11G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKELPIWQAFGFSLFTGALAGSILYAVCVLLLCCVDRRRRHAGAPPPDPKIWLPDHAHHRRRRDESSEMECSICLGELEEGERCCTLAACRHEFHKECIYRWLANRNTCPLCRHISLPPASSPPPAPPPHHASPPPSNVLAAAHV >ONIVA11G00850.1 pep chromosome:AWHD00000000:11:543174:544493:-1 gene:ONIVA11G00850 transcript:ONIVA11G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IX99] MESRGKILMERYELGRLLGKGTFGKVHYARNLESNQSVAIKMMDKQQILKVGLSEQIRREITTMRLVAHKNIVQLHEVMATRNKIYFVMEYVKGGELFEKVAKCGKLTEVVAHKYFQQLVSAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVILFVLVAGYLPFQGPNLMEMYRKIQHGEFRCPSWFSRKLQKLLYKIMDPNPSTRISIQKIKESTWFRKGPEENRILKERTLNENTTKNVALVLGVRRKKNAHEDVKPMSVTNLNAFEIISFSKGFDLSGMFVVKEWRNEARFTSDKSASTIISKLEDVAKALNLRVRKKDNGVVKMQGRREGRNGVLQFDIEIFEVTTSYHIIEMKQTSGDSLEYRQLLEEGIRPALKDIVLAWHGDE >ONIVA11G00840.1 pep chromosome:AWHD00000000:11:540473:541601:-1 gene:ONIVA11G00840 transcript:ONIVA11G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSDFAADFAVFTGLQMLRPHGKDDPRQVRMIEHKSILRPPRRPPPGGSGGDAPAAAFLSPPPPPPRRRLSGRRQSRAPQGRRRRGLVSPTLRLEAGGAVCGGNGGGQIRAVSGQIRQGGGRRRRLTVVAAAAALVADMAAKVMVMATTTAGRGACRDAGRARQGPRCHETSGAAPSSTLPPRRR >ONIVA11G00830.1 pep chromosome:AWHD00000000:11:524525:540330:-1 gene:ONIVA11G00830 transcript:ONIVA11G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGRSLAAGKETRCRRDVDGGKICGSGLGRIAEGTILSTGDDPSCADWLWGFGQGIASKSLAEPFGRLTTVTPFGVVPLPEDVILAYPSPFPTILQHLGSDGASGLKSELLCRLAGAGGLYSLHRIPANRLFYPSTRAAEEATAKSQESFMEEHGGRKHPGLHTMEMLEKLPRSTVAFEPAPVDRYHLRSLDFACLLGHESRMLTADNRGNTVVFDADSSSVLAFPNLISPKRYNAISLSIINNDGSNNNNGLEPPPEDGLYVMTRSPDVHRIKDGCFEVLNYSSSSADFREMTPHWVSLPPPPFAGYMNAEITSYTVVHGTTIYISCNKPIHSTYAFDTVSHEWRRLGSWTMPFHGRAEYVPELNLWFGLSARHPYSLSAFDLLSDDSSVAAKPPTHLGSDGASGLKSELLCRLAGAGGLYSLHRIPANRLFYPSTRAAEEATAKSQESFMEEHGGRKHPGLHTMEMLEKLPRSTVAFEPAPVDRYHLRSLDFACLLGHESRMLTADNRGNTVVFDADSSSVLAFPNLISPKRYNAISLSIINNDGSNNNNGLEPPPEDGLYVMTRSPDVHRIKDGCFEVLNYSSSSADFREMTPHWVSLPPPPFAGYMNAEITSYTVVHGTTIYISCNKPIHSTYAFDTVSHEWRRLGSWTMPFHGRAEYVPELNLWFGLSARHPYSLSAFDLLSDDSSVAAKPPTETPRSPHLGDVGEASLSHAQLRTNPWDGQRRHRNLEFASLLGNENRILIADHSGHTIVFDADSSTVFAFPNLISDKGCAAISLSIKNNNTNKNISGGMWDEDSLYVMSQSADPETKDYCFEVLNYTSSCKDFRGRTPYWSSLQPPPFANYMHADITSYTVVDSSTIYVSSMEPDATYAFDTVGRQWRRLGCWTMPFDGKTEYVPELKLWFGLSVDHPYSLCACDLLSDAAKPPTVQQQHTWVDLDIPESWLPYNIDLINLGSGKFCVVKIFRHMADDCTGFSDYDDDDAMDSDLIQGKFAVLTGLQMVRPCGKDGDDQGGVRMIKHKSMYYNFWDYEIEWVI >ONIVA11G00820.1 pep chromosome:AWHD00000000:11:520446:521419:-1 gene:ONIVA11G00820 transcript:ONIVA11G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQDATKSYIDHDGKRPHPGALHFMDRFRNFPCPLLNLQPTPMHHRNERSLDCVTLLGDDETKILIADNYGHTVLFDAASYSVVHFPKLNCSKGYDAMAVSINRAAPQEPDCLYVLNLRTHPTTSNHCFEVLSYGGFCERIPIWRFLPPPPFTTTTQTTITSYTTLSTFLPSSVALTPLTRLWSMPFLGKAEYVPELKLWFGLSCHHPHSLCACDLTNIAQGQLHTWLDLDIPESWSPIQLDLISLGSGRFCVAKMFSSMMQDDEIDMEFAVLTGLQMVPPRGTKDDQQVPWMVKHKSIYYPFGYYNIKRVF >ONIVA11G00810.1 pep chromosome:AWHD00000000:11:517365:520098:1 gene:ONIVA11G00810 transcript:ONIVA11G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase-related protein [Source:Projected from Arabidopsis thaliana (AT5G58375) TAIR;Acc:AT5G58375] MCPLRVILIFLSATIAGFFLIRGLNADPDLLHDDADADASESPRERAPVPLHSKVGSALKTGFWTMVDMASGKYLWRTLVSPPTKCESEKAQ >ONIVA11G00800.1 pep chromosome:AWHD00000000:11:514812:517567:-1 gene:ONIVA11G00800 transcript:ONIVA11G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGTRSASLGGVARGGAVREVLLTRWEGRDDQLLVYGLLPARVDHGELMGRVRFCLWPTGDDEGAAAASRHVVEAITAGCCAVDIAVSFLRRSSTVSSKAEAFFPSRHCLSLSSPIPANTVCCRSATSTPSQSPVPRHRVRLHLLLPTPTLVVAAMRCH >ONIVA11G00790.1 pep chromosome:AWHD00000000:11:507838:511256:-1 gene:ONIVA11G00790 transcript:ONIVA11G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase (DsPTP1) family protein [Source:Projected from Arabidopsis thaliana (AT3G10940) TAIR;Acc:AT3G10940] MAAGGSRSQRRRSSSGVFLCRSSTTGSSRMEDYNTAMKRMMRNPYEYHHDLGMNYAIISDSLIVGSQPQKPEDIDHLKDEEKVAFILCLQQDKDIEYWGIDFQTVVNRCKELGIKHIRRPAVDFDPDSLRTQLPKAVASLEWAISEGKGRVYVHCTAGLGRAPAVAIAYMFWFENMNLKTAYEKLTSKRPCGPNKRAIRAATYDLAKNDPHKESFDSLPEHAFEGIADSERRLIQERVRALREA >ONIVA11G00780.1 pep chromosome:AWHD00000000:11:496535:505654:1 gene:ONIVA11G00780 transcript:ONIVA11G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IX91] MDFKVASFLLLLLIVTCGAAQGQGNDFVVLDLKTTEIGEDASPMYKEQIASTKIPVTLLRSKHSSLCSACENITSEAVNFLSEKQIQDKIRTILHDTCSQTFSFEQKCLETMDSYATLVFAKIAEIKPAAFCKQYGLCRDMALLSAVKSESTCLFCHHIIDEITSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRKALNLFSARKLILSAYFVVLPLRDEGAISLGLSTLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPSISQVSLLNLIAISSTWARVIDVMDNESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPCFWLIARSSYLMYISLFLWLSAVVSSFFYFQGRILTVAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYTLPLCFVWLLTAFHLGRLQTNLVKVQVASFAS >ONIVA11G00780.2 pep chromosome:AWHD00000000:11:496849:505654:1 gene:ONIVA11G00780 transcript:ONIVA11G00780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IX91] MDFKVASFLLLLLIVTCGAAQGQGNDFVVLDLKTTEIGEDASPMYKEQIASTKIPVTLLRSKHSSLCSACENITSEAVNFLSEKQIQDKIRTILHDTCSQTFSFEQKCLETMDSYATLVFAKIAEIKPAAFCKQYGLCRDMALLSAVKSESTCLFCHHIIDEITSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRKALNLFSARKLILSAYFVVLPLRDEGAISLGLSTLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPSISQVSLLNLIAISSTWARVIDVMDNESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPCFWLIARSSYLMYISLFLWLSAVVSSFFYFQGRILTVAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYTLPLCFVWLLTAFHLGRLQTNLVKVQVASFAS >ONIVA11G00760.1 pep chromosome:AWHD00000000:11:481196:482894:-1 gene:ONIVA11G00760 transcript:ONIVA11G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGQLEAALLHIMQRHHHQSLHQRNKTERAKVDAVKSAARVADLLVATVDGGVQELYINERRIEFEARALLATIARYKKQTDQWLAATNAINSVLKEIGDFENWMKIMDFDCKNTFQDDYSIRKGTLLPSLKIILFHTGTHRLRL >ONIVA11G00760.2 pep chromosome:AWHD00000000:11:482105:482894:-1 gene:ONIVA11G00760 transcript:ONIVA11G00760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGQLEAALLHIMQRHHHQSLHQRNKTERAKVDAVKSAARVADLLVATVDGGVQELYINERRIEFEARALLATIARYKKQTDQWLAATNAINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >ONIVA11G00750.1 pep chromosome:AWHD00000000:11:475045:476933:1 gene:ONIVA11G00750 transcript:ONIVA11G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAATTTPPPSERHRSPKVKRQRSAAAQPLGDVTNLLLPSTPTNPTTGRPRPLPSDTTAAASTCSASPSHTPVSKPSSAAAAEERSLVKSAISTVYTRRNTAQKRRTNDNTPFPAGTSSCPPPATLARKPLWLRVLGLFHPWFLVIDLKRSVAFPRTIIHVLLLGIESLCYCLLE >ONIVA11G00740.1 pep chromosome:AWHD00000000:11:472496:473255:-1 gene:ONIVA11G00740 transcript:ONIVA11G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEADALAREEKRRNHQRNGGPQEAGGPATGFSDSESSKIIKKMERLSSSVQSWVEEHKLASIGGLWATAVGASVAYGRRKTPQMRLIHARLHAQALTLAVLGGAALAHHYYNPSSNTNNSSSLDYDFYSQLPPATTDDGQENERWSW >ONIVA11G00740.2 pep chromosome:AWHD00000000:11:472494:473191:-1 gene:ONIVA11G00740 transcript:ONIVA11G00740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSSVQSWVEEHKLASIGGLWATAVGASVAYGRRKTPQMRLIHARLHAQALTLAVLGGAALAHHYYNPSSNTNNSSSLDYDFYSQLPPATTDDGQENERWSW >ONIVA11G00730.1 pep chromosome:AWHD00000000:11:467945:469542:-1 gene:ONIVA11G00730 transcript:ONIVA11G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCVCSPMASMYRLPRNAICAACYEGAKAIIAFFNDEDDEHADADQGSVKPSRLTKLNSTIKGLRDAWEEVKQMRCREEETKQRASFLQEGFAAAWKDGIHTDIAIRPGTGPPIQAHKAILATRSEVFRHILAGDDDCKAPAGDSLSLPELTHDELSHLLAFLYTGSLATCTEERHLHALLVAGDKYDVPFLRRACEARLAAGVEAGNVLRTLEVAELSSSAALKERAMGTVVEHAEEVVFSPEYEEFAVRNAALCVQITRALLANKSLPAKTP >ONIVA11G00720.1 pep chromosome:AWHD00000000:11:461398:468776:1 gene:ONIVA11G00720 transcript:ONIVA11G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKDQKKATTALEEKLELLRDVTKSSAANETSILVDASKYIKELKDKVSQEPEQLGSTSSSMPMPRVSVSSVELEKKIGFRINVSMEKSQPELLTSVLEAFEELGLDVLDADVSCADDTAFRLEALGSSQSEAAETSVDEQMVRHAVLQAIKKCIDGSSI >ONIVA11G00710.1 pep chromosome:AWHD00000000:11:432512:433602:-1 gene:ONIVA11G00710 transcript:ONIVA11G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMREWRSPPAQNSMTTQENHARRRPSSRCHRAASRPGGGQGERGRRRCRARGFTASRSPTLAGAPTASSAAPRTLPRRPHPRRRTPLSSDEEKATPPLH >ONIVA11G00700.1 pep chromosome:AWHD00000000:11:431042:431875:1 gene:ONIVA11G00700 transcript:ONIVA11G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J610] MAGARRTMALVALVAVVAAAVVAERASAAVSCGDVTSSIAPCLSYVMGRESSPSSSCCSGVRTLNGKASSSADRRTACSCLKNMASSFRNLNMGNAASIPSKCGVSVAFPISTSVDCSKIN >ONIVA11G00690.1 pep chromosome:AWHD00000000:11:429746:431152:-1 gene:ONIVA11G00690 transcript:ONIVA11G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAPTDLALTPCQRGTRADPPADARELIRTVNDAGVALGTPPGSQQESSVLRNALQTLRQ >ONIVA11G00680.1 pep chromosome:AWHD00000000:11:429018:429706:-1 gene:ONIVA11G00680 transcript:ONIVA11G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNHLDGLSGGEVKEWLKRVNKRERRRKRRSKWSSVPPSCRTLHNRCRGHLDHSARELMFPTKAPAKMALSSQSSAPLQLALRAELGTN >ONIVA11G00670.1 pep chromosome:AWHD00000000:11:428438:428997:-1 gene:ONIVA11G00670 transcript:ONIVA11G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNHAISALEARLMPSQHSRPAQHRSVVGRAPDWSGLATKCRMSAPRDMAMFYKEAQYRWQG >ONIVA11G00660.1 pep chromosome:AWHD00000000:11:426452:427830:1 gene:ONIVA11G00660 transcript:ONIVA11G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IX78] MARPANNNYAAAVMVVALLVAAPAASAVTCGQVVSMLAPCIMYATGRVSAPTGGCCDGVRTLNSAAATTADRQTTCACLKQQTSAMGGLRPDLVAGIPSKCGVNIPYAISPSTDCSRVH >ONIVA11G00650.1 pep chromosome:AWHD00000000:11:418827:424525:1 gene:ONIVA11G00650 transcript:ONIVA11G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHCRVLKLGCGKDRYVRNALVSMYGKFGRLGDARKAFDEMPDKNAVSWNALVGAHRAAADWMGAERVSQAMPERNLSWWNAEIARNVSIGYMDEASRLFREMPQRDVVSWNSLISGYTKLGKYTKALEIFQEMKENAIEPTELTLVLILGACAKDGKLELGTDIHINLQSKGIVSDGLVGNALIDMYAKCGRLDLAKKVFDRMSMRDITCWNAMIIGFSVHGCSYEALELFDSMKIEPNPVTFLGVLTACSHGGLVNEGRKYFNSMIEDYRIVPDVKHYGCMIDMLCRYGRIEEAYLMIKENPSTANSVLWKMIGYRALSKTTETFANSKL >ONIVA11G00640.1 pep chromosome:AWHD00000000:11:407747:414407:-1 gene:ONIVA11G00640 transcript:ONIVA11G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNQGCSPALEPVPTPPNPDPSSISPEAWDPLEAAAGAVVARIQPNPPSEDRRAAVIAYVQHLLRCTVGCQVFPFGSVPLKTYLPDGDIDLTAFGHSSDEILAKQVQAVLESEEARKDAEFEVKDVQYIHAEVKLVKCIVQNIIVDISFNQFGGLCTLCFLEKVDQKFEKNHLFKRSIMLIKAWCYYESRILGAHHGLISTYALEILVLYIFHLFHGTLDGPLAVLYRFLDYYSKFDWDNKGISLYGPISLSSLPELVTDSPDTVNDDFTMREDFLKECAQWFTVLPRNSEKNTQVFPRKFFNIVDPLKQSNNLGRSVSKGNFLRIRSAFDFGARKLGKIIQVPDNFTVDEVNQFFRNTLKRHCSRVRPDVQEIALDFNGERADNDSSPLYSNNSFGDLSDEFNNISISDSSNHGSLRQNGWNYVAENKERKSVSGGWLASKATNPAATNSTGMTNGSDSCEPASPSITGAHSLPSEEGHDALDLFNESESGTKAGIKYGTNPSHHGMSTVSYAGRSHQSFEEVDNDDRGTIDSNWSDLTGDYTTNFNNLLYAQGFHQDYPMNQYYPFGPVYYQIPSPPPARYQNRRSSNGHSRNNVYGYAGTNGIGPAPCPPGYLIMRPYSQIDDSHRARGTGTYFPNPNLSKDRSPSGRGGRGKTHFLPHNHQRPHHYGRADMSADLTPSEELRHIYDPDANDLGIPSSLRISIPSPSSEAPREIVHGNGFIQPPAKKLEFGTLGALPLEVTSQELGINRLNTASDSQPSASASPMSLANNPGISSNQMRNAQPYHLKDNGDFPPLSS >ONIVA11G00630.1 pep chromosome:AWHD00000000:11:398520:403236:-1 gene:ONIVA11G00630 transcript:ONIVA11G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARRCGGRTSERSSVVGDNRNGYVETDPTGRYGRTVYRGFDELRGVEVAWNQATISDVLRTPDALHRMYAEVSLLADLRHDAIIAFHASWVHPSRRTFNFITELFSSGTLRSYRLRYPRVSRRAVAAWARAILRGLAYLHSRGVIHRDLKCDNIFVNGHLGQVKIGDLGLAAVLRGCASARSVIGTPEFMAPEMYDECYGVGVDVYSFGMCMLEMLTNEYPYSECDNPAQIYKKVTAGKLPDAFYRLTDADARRFIGRCLVDAAHRPSAEELLLDPFLSPSQNHDDHNIIAHATAPPPPLPLACSNSSEEQEEAAPAPAAKTTNMAITGKLNKEHDTIFLKVQIGGGRNVRNIYFPFDVANDTAMEGSLCGVGSTSSEGFPGPHGKVDWSRDYCYYPPSSVSVSDDDDSSTSSLSAAVSAISLQQQHCSASSSRLGPASASASEDGGGHAGRPRQREGEEERRRRRMSRNRSMVDMRSQLLHRTLVEELNKRLFFNTVGAVHDIGFRDPTAAASSSSSSSHHRRRSSNKIDHKHHYMF >ONIVA11G00630.2 pep chromosome:AWHD00000000:11:398520:401199:-1 gene:ONIVA11G00630 transcript:ONIVA11G00630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVYRGFDELRGVEVAWNQATISDVLRTPDALHRMYAEVSLLADLRHDAIIAFHASWVHPSRRTFNFITELFSSGTLRSYRLRYPRVSRRAVAAWARAILRGLAYLHSRGVIHRDLKCDNIFVNGHLGQVKIGDLGLAAVLRGCASARSVIGTPEFMAPEMYDECYGVGVDVYSFGMCMLEMLTNEYPYSECDNPAQIYKKVTAGKLPDAFYRLTDADARRFIGRCLVDAAHRPSAEELLLDPFLSPSQNHDDHNIIAHATAPPPPLPLACSNSSEEQEEAAPAPAAKTTNMAITGKLNKEHDTIFLKVQIGGGRNVRNIYFPFDVANDTAMEGSLCGVGSTSSEGFPGPHGKVDWSRDYCYYPPSSVSVSDDDDSSTSSLSAAVSAISLQQQHCSASSSRLGPASASASEDGGGHAGRPRQREGEEERRRRRMSRNRSMVDMRSQLLHRTLVEELNKRLFFNTVGAVHDIGFRDPTAAASSSSSSSHHRRRSSNKIDHKHHYMF >ONIVA11G00620.1 pep chromosome:AWHD00000000:11:393009:393311:1 gene:ONIVA11G00620 transcript:ONIVA11G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLSSNKKPCSSSSSLLLLVLLLLLVFFAHHGSCSRPLLLPSPTPMQPQLKHESEAASADTTTRTEEQVVQQQQLSWLRSMKPRGRPQPSSPSKRTN >ONIVA11G00610.1 pep chromosome:AWHD00000000:11:392942:395351:-1 gene:ONIVA11G00610 transcript:ONIVA11G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRLAPSWAATTCTAAWTRAPRQEQAAEIPIVLFLSMVFPGTTVQLQVFEFRYRIMRN >ONIVA11G00600.1 pep chromosome:AWHD00000000:11:391314:391799:-1 gene:ONIVA11G00600 transcript:ONIVA11G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIKWNQLVILNKSQINFERAYVSDHVICSVIGGGGGRENIGSAERAGFMGVKPLGDAILVEHVHTSRQQLSSSSPPVYDDAAACSLMTHSSSSSSLSTLLGTRSNNSSTGELGGSAMALRRRWRRRCLTRSAMAMATAINQTATMKQTRNNNAFPSVPA >ONIVA11G00590.1 pep chromosome:AWHD00000000:11:388565:390568:1 gene:ONIVA11G00590 transcript:ONIVA11G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSSSFRLAPMESRTPRSSVARSTCNVPGLVLGFSKLCKITKICAAPEFADTKTEFGDYCGGYDQRLIITRLFEEIGALKSAYIKLQKAHIPYNPPKIAFADEIITSELDSVTALQSLCSWNGSVGSLINDRWSLVQELEAETRKKDSEIMLLRRELDGLKSANSRLNKQISSSKPSVNHHKDYSIVLKKLTTPSAVLELFKVASTSVHDFAELIFSLISSSDHHCTNNADEHSPYKRYSLEAYLSRTMLAVHDGAEDDDELDLARFDRIMRCCDPLDALMAHPNSSFARFCRTKYLAAVPSEMEAAMFRNNLDVRAFVSRGGHPRTWFYRAFATMARSAWALQVAVTAHRRCCGRGSVRMLYARRGSRYAAEYMDSVVAAAAADAGRGGGDGVAFTVTPGMKVGETMVACRVFLCHEQQDTISDETDPKFR >ONIVA11G00580.1 pep chromosome:AWHD00000000:11:384105:384506:1 gene:ONIVA11G00580 transcript:ONIVA11G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDFMDSWLMWGNIFFFFLALAITVEVDLIRLRRNDDGNNKSAHQYDMLIERLLLLRPKDDQDNEQCVICLSENEDDVDGGGGERGRWRMLPGCAHAFHKDCVVKWLRNRTTCPLCRSDVAVAVAAADNMV >ONIVA11G00570.1 pep chromosome:AWHD00000000:11:382196:383545:1 gene:ONIVA11G00570 transcript:ONIVA11G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQRGEGHVAAERAGVVVGEPPVDAFLVELVPARHQRAAPLPLLQLPQAYAALRRFIPPPAMVRVVRQPDLRIGRRRTGMSTLAIDTAEEDDAHGVQGAAGEGSCEQGEPERLPDGQLFQEQHRATIS >ONIVA11G00560.1 pep chromosome:AWHD00000000:11:381792:383527:-1 gene:ONIVA11G00560 transcript:ONIVA11G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKELPIWQAFGFSLLTGALAGSTLYAVCVVLLCCVDRQRRHAGAPPPDPKIWLPDHTHHRRRRDESSECSICLGELEEGERCCTLVACRHEFHKECIYRAQAQACSNGATLASGMQQQKKKGEKGAHWRRPPLPRLTASSGSTSPEKICGATGDEF >ONIVA11G00550.1 pep chromosome:AWHD00000000:11:368517:369647:-1 gene:ONIVA11G00550 transcript:ONIVA11G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IX66] MRLVAHKNIVQLHEVMATRNKIYFVMEYVKGGELFEKVAKRGKLTEVVAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVILFVLVAGYLPFQGPNLMEMYRKIQHGEFRCPGWFSRKLQKLLYKIMDPNPSTRISIQKIKESTWFRKGPEENRILKERTLNENTTKNVAPVLGVRRKKNAHEDVKPMSVTNLNAFEIISFSKGFDLSGMFIVKEWRNEARFTSDKSASTIISKLEDVAKALNLRVRKKDNGVVKMQGRKEGRNGVLQFDIEIFEVTTSYHIIEMKQTSGDSLEYRQLLEEGIRPALKDIVLAWHGDE >ONIVA11G00540.1 pep chromosome:AWHD00000000:11:365174:365725:-1 gene:ONIVA11G00540 transcript:ONIVA11G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRFVNLVVQGAGGLYSLRRIPANRLFYPSTRAAEEATAKSQESFMEEHGGRKHPGLHTMEMLEKLPRSTFAFEPAPVDRYHLRSLDFACLLGEENRMLTADNRGNTVVFDDDSSSVLAFPSLISPKRYNAISLSIINNDGSNNTGLEPPPEDGLYVMTRSPDVHSIKDGAQLLFFLCRFP >ONIVA11G00530.1 pep chromosome:AWHD00000000:11:358945:365172:-1 gene:ONIVA11G00530 transcript:ONIVA11G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHWVSLPPPPFAGCMNAEITSYTVVHGTTIYISCNKPIHSTYAFDTVSREWRRLGSWTMPFHGRAEYVSELNLWFGLSADHPYSLCAFDLPSDDSSVAAKPPTVQHTWVDLDIPQSWLPWNINLINLGCGRFCIAKMFHSISGDGTFCSYSESDDGTIEDSDPIHGSFAIFTGFHMVRPRGKHDDVQMIKHKFMRFLNLVVENARSGLYSLRRIPANHLFYPSTRAAEEATAKSQESFNAYVKEHHGRKHPGLHTLEMLGKLPSPMFNFEPTPWDGQRRHRNLEFASLLGNENSILIADHSGHTIVFDADSSTVFAFPNLISDKGCAAISLSIKNNNTNKNISGDMWDEDSLYVMSQSVDPETKDYCFEVLNYTSSCKDFRGRTPCWSSLQPPPIANYMHADITSYTVVDSSTIYWGVSGVAWAAGQCHSMAKLSMCQSSSSGSDYPSTTPTALCACDLLSDAAKPPTVQQQHTWVDLDIPESWLPYNIDLINLGCGRFCVVKIFRSIAGDCTLGFSDYDDDDTMDSDPIQGKFAVLTGLQMVGPCGKDGDDQGGVRMIKHKSISMGRRRYLNLLVHNNHDDLYSLRRIPANRLFYPSARAAEAAAMAKSYIDHDGKRPHPGALHFMDRFRNFPCPLLNLQPTPMHHRNERSLDLVTLLGDDETKILTADNHGHTVLFDAASYSVVHFPNLNYSKGYDAMAVSINQEPDCLYVLNLRHHPTTSNHCFEVLSYGVFCERIPIWRSLPPPPFTTTTQTTITSYTTLSTFLPSSVALTPLTR >ONIVA11G00530.2 pep chromosome:AWHD00000000:11:358522:358937:-1 gene:ONIVA11G00530 transcript:ONIVA11G00530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKQPLVNAILRQSSICAGAQALVRTIMPPPPIACALGQLHTWLDLNIPASWSPIRLDLIRLGSGRFCVAKMFSSMMQDDEIHMEFAVLTGLQMVPPRGTKDDQQAPWMVKHKSICYPFGYYNIKRVF >ONIVA11G00520.1 pep chromosome:AWHD00000000:11:355420:358081:1 gene:ONIVA11G00520 transcript:ONIVA11G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGPREADRVPFDDISSHPLLGRVNPINKFFFFFLFPCQIPSPFSSLSRCDLRIHLLLPPLASYLLLQRTAPRRHLVLVSLFFIFSHLPLRRFVITSLIFLRKRRRGEGGNAALGGGVLGSQEQKEGRPNCSILPFPKSTPSFRFASFLPWFIH >ONIVA11G00510.1 pep chromosome:AWHD00000000:11:347567:353502:1 gene:ONIVA11G00510 transcript:ONIVA11G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCAVQRWSRRVATMLPWLVLPLILLWALSQLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRSHALQLHKLRKTATRRCRNCNNPYRDQNPGGGKFMCSYCGHVSKRPVLDLGPAGTLPTGWPCTQDWPNAAGDPAYWLDLRCSSDNLYSGFSWRLFSSFCVSMRWFWREVLRFGSSGDGDGLGRDGKRLAKEGENGAKAEESRVEKAKRKAEEKRLARLEKEMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDPRKEVERRRQERRRKDEKDKGSSKSNSDCEDIERRVTREGERKRDSDRRNEPEKRDATRVGAEGHKPYNFDANNQGSKTVQSKAKYFGRMTGGLLSSSRGFGGGSFFGRSAQTSAPQVNKVTKPLVTVTDQSNVVKRDAQPPATAKSATAGGTTNSWTNVSPNVQSQPTGLKKSWHQLFSRSASVSPCPDVPAAAREMNGQPEPYGAQISNAQIFLSQYPPLDSNPSSSRCMQFPGFPPVNGALANMSLSHFPAGHMPYYCEPEPTVFEEPEQFEDPCYDPDAIALLGPVSESLDNFPLDLDSGFISSDITKETHTKPSPIESPLSRSRTFEDNPIRHSTGKGPNGSILPEASNEQGGTWQMWGTPLVQESLGLRGPQTEWLLPNANQFNHGVSHLNGGTRSSVGSGLDDNDLWLQKAPFQQMPLDTRSLFLSHDVSENAIHNNLDFGSPNKSARLHPIGPPGHSWSKEAVVLNGLQEASKICSSTGAHVGGGFFSTNPDVQSVWSFNQKETT >ONIVA11G00500.1 pep chromosome:AWHD00000000:11:339534:341175:1 gene:ONIVA11G00500 transcript:ONIVA11G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASKRAAVVLLVVVASVMMATPSEAMRPRRKAAAALVSSEARVLRFPGFPGSRQRFPGFPGARPSPRAAPPKPSPPSSSSSSVPGLPLSPPAGALPPPCGRSSQTTPPGTLIPGMPGSGGGGSSPTDCVTSLAGLTTCLCPVILGDVNRMLPKPVDPVRMMYLPIACGLVLPPQVLFICFTGQPNPPVLSRVPASWSTLSSDTY >ONIVA11G00490.1 pep chromosome:AWHD00000000:11:333173:339337:1 gene:ONIVA11G00490 transcript:ONIVA11G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IX58] MTTTTTTRHRLRDAAVASVVAVLPHEISPLISAASTFFFILSAYFAVLPLRDEGAISLGLSTLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPSISQVSLLNLIAISSTWARVIDIMDSESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPCFWLIARSSYLMYISLFLWLSAVVSSFFYFQGRILTIAGVTVAICASPLIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYALLLCFVWLLTAFHLGRLQTNLVKVQVASFASSIPR >ONIVA11G00490.2 pep chromosome:AWHD00000000:11:333589:339337:1 gene:ONIVA11G00490 transcript:ONIVA11G00490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IX58] MAVQILSAYFAVLPLRDEGAISLGLSTLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPSISQVSLLNLIAISSTWARVIDIMDSESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPCFWLIARSSYLMYISLFLWLSAVVSSFFYFQGRILTIAGVTVAICASPLIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYALLLCFVWLLTAFHLGRLQTNLVKVQVASFASSIPR >ONIVA11G00480.1 pep chromosome:AWHD00000000:11:329183:332532:1 gene:ONIVA11G00480 transcript:ONIVA11G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKVASFLLLLLIVTCGAAQGQGNDFVVLDLKTTEVGEDASPMYKEQIALTKIPVTLLRSKHSSLCSACENITSEAVNFLSEKQIQDKIMTILHDTCSQTFSFEQKCLETMDSYATLVFAKIAEIKPDEFCKQYGLCRDMALLSAVKSESTCVFCHHIIDEIMSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRKAFNLFSARKLVRDA >ONIVA11G00480.2 pep chromosome:AWHD00000000:11:329499:332532:1 gene:ONIVA11G00480 transcript:ONIVA11G00480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKVASFLLLLLIVTCGAAQGQGNDFVVLDLKTTEVGEDASPMYKEQIALTKIPVTLLRSKHSSLCSACENITSEAVNFLSEKQIQDKIMTILHDTCSQTFSFEQKCLETMDSYATLVFAKIAEIKPDEFCKQYGLCRDMALLSAVKSESTCVFCHHIIDEIMSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRKAFNLFSARKLVRDA >ONIVA11G00470.1 pep chromosome:AWHD00000000:11:328157:328582:-1 gene:ONIVA11G00470 transcript:ONIVA11G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWRTSQVARVVAGKKDKHSKVVTSRGLRDRCVRLSVPMAIAFYDIQDRLGVDQPSKSIEWLIRAAAAAIDAFLSLDCSLVLPNAAQLLTRWRRRPSSGQPSEEQARTRKATVAVSPRKSGEKRRKTRSGCAVRSASRS >ONIVA11G00460.1 pep chromosome:AWHD00000000:11:325452:328136:-1 gene:ONIVA11G00460 transcript:ONIVA11G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRREASTSAASGGGSTGEIEEDELKHDGERSGLRRAEKAGETMAVADDDDDDDSGPRAQLLPRTPAGSQLLPRRSVLPHRRRPAPLPSPTPSSSSPALTS >ONIVA11G00450.1 pep chromosome:AWHD00000000:11:317855:318675:-1 gene:ONIVA11G00450 transcript:ONIVA11G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGQLEAALLLIMQRHHHHSLHQRKITDREKIDAVRSAARVADLLVATVDGGVQELYINERRIEIEARALLATIARYKKQTDQWLAATNAINSVLKEIGDYENWMKIMDFDCKSINAAIRNIHHS >ONIVA11G00430.1 pep chromosome:AWHD00000000:11:310905:315540:-1 gene:ONIVA11G00430 transcript:ONIVA11G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSLAMADLTAIRMVGKDKENVSSALTGDDCGGGQLAVPAGNGVLSLVLLLFWVVLRLVYTVEMADLTKLRSSAAAMARVRKSQLLQRSAQRLDWGAAVSPVAEEGLETGVCEGDAEQVEAAAVVSEGSGRGRPVIGLVGVLGRRRLVTSPKGCAAALHCRFTLGLRCLSDGGGVVVAAAGSIASLSRVAGGGGGREERERRGGGRWPVAAVGEEDCRRSQKGNFSKEKIQVLGRERDCLSAQAHPSK >ONIVA11G00420.1 pep chromosome:AWHD00000000:11:310691:314467:1 gene:ONIVA11G00420 transcript:ONIVA11G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAATTTPPPSERHRSPKVKRQWSAAAQPLGDVTNLLLPSTPTNPITGRPRPLPSDTTAAASTCSASPSHTPVSKPSSATAAEERSLVKSAISTVYTRRNTTQKRRRTNDNTPFPAGTASCPPPATLARKPLRLRTKSTRMENTSSGKHMLPEDFVKKQRAYFEEVDAFELPEEEASETDLE >ONIVA11G00410.1 pep chromosome:AWHD00000000:11:308570:308994:-1 gene:ONIVA11G00410 transcript:ONIVA11G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSSVQSWVEEHKLASIGGLWATAVGASVAYGRRKTPQMRLIHARLHAQALTLAVLGGAALAHHYYNPSTNTNNKSSSSLDYDFYSQLPAATTDDGQENERWSW >ONIVA11G00400.1 pep chromosome:AWHD00000000:11:304480:306037:-1 gene:ONIVA11G00400 transcript:ONIVA11G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCVCSPMASMYRLPRNSICAACYEGAKAIIAFFNDDDDEQGDADQGSVKSRRGLAKLNSTTKGLRDAWEEVKRMRYREEDAKQRASFLQEGFAAAWKDGIHTDIAVRPGTGPPIKAHMAILAARSEVFRHILAGDDDCKAPAGDSLSLPELTHDELSHLLAFLYTGSLATCTEERHLHALLVAGDKYDVPFLRRACEARLAAGVEAGNVLRTLEVAELSSSAALMERAMETVVEHAEKVVFSPEYEEFAVRNAALCVQITRALLANKTFPAKTP >ONIVA11G00390.1 pep chromosome:AWHD00000000:11:300590:302656:1 gene:ONIVA11G00390 transcript:ONIVA11G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKDHKKATALEEKLELLRAVTKSSAANETSILVDASKYIKELKDKVSQEPEQLGSTSSSMPMPRVSVSSVELEKKRGFRINVSMEKSQPELLTSVLEAFEELGLDVLDADVSCADDTAFRLEALGSSQSEAAERSVDEQMVRHAVLQAIKKCMDGSSI >ONIVA11G00380.1 pep chromosome:AWHD00000000:11:291524:297451:-1 gene:ONIVA11G00380 transcript:ONIVA11G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRRLPPAKGGAAAGKRGSGRHSIAIPIIPWIGAASAWAAARAALVVVVVGVGGGVKVCEEAEEVDDEGELAHDGGELPAAEGPPPIAAKAEQVTASAWPRPWRRGLRPPPPPRPSVGGGTAATIVAADDVNVNLDILVRELAERNVGPNPADDPPLSSPKSAWYPNRRNSGGISFPYGLRKYNAALKKGGGGGRDGGGQLVCDDIDHEDGWCRGAYYYYKDMPRLGDPDADLVLRVLREVTYVCCIHYIGVSSNWCDLLGGGIIMTWNAAFLILEKINSPNARPWRRGRF >ONIVA11G00370.1 pep chromosome:AWHD00000000:11:281228:282746:-1 gene:ONIVA11G00370 transcript:ONIVA11G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVDVQDVNVTLIIGAYYYYKDMPRVGDPDAHLVPRVFSEIAYVYCIHYFSPNKTSLYLLSDWYDLFGGCLLMACNAMYLVLDKIDSPNARPWSRGRF >ONIVA11G00360.1 pep chromosome:AWHD00000000:11:277717:281741:1 gene:ONIVA11G00360 transcript:ONIVA11G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSLSLVSAPPRPLSGEGDEEEEGDIAMVAAQSFVSTQDSASDTVVDYSVNEDEFHKIRLLHCDFFIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYLRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKARSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >ONIVA11G00360.2 pep chromosome:AWHD00000000:11:277458:281741:1 gene:ONIVA11G00360 transcript:ONIVA11G00360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSLSLVSVSGFPYPFPSPLSKTLANPSSSRSLLLAAPLSRGATPLPLLRRDVSAAYGDDDMDDDFGDFDLDDGDDEDLDNEQDYDVDYDRLLAPVKAPPRPLSGEGDEEEEGDIAMVAAQSFVSTQDSASDTVVDYSVNEDEFHKIRLLHCDFFIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYLRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKARSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >ONIVA11G00350.1 pep chromosome:AWHD00000000:11:270467:277558:-1 gene:ONIVA11G00350 transcript:ONIVA11G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEAASTSEAEAEGRGKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSDPSSAPNKASEEPRVKKLLVQHIETVHHSEAVQDVLHSLLHSDLDAKEIKSKTKEWNNRTKQDKKQDQLRSAARQRHEDLGRNARFAQIWRSRKGDRNEVDESLREICHLYDAVQVDSDEEKHPTEPRITSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEDSDVYDIYTVKEVDDDTTMEGTSSAPYPLLQVDNDDDVCYDDDDPYGTDDSNAEDNPLYDYPEELSEDEDDDSNSENPFSELDRSDPEYEKEEARPAQIDGRPEKSGLWKKESGAAAGGARHGGHHREGETLLPVVPKSQSVGAQSQANDRVESSTRKRNASPSPSPPSLSCPAHLQTLHLLDLLYLIPSSSSLLIAFSILVASHVVQPLMDKEPTMEDLPSTLGQPSTSASSVDARYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISEEGQELATLPPASTVGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDSVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGS >ONIVA11G00350.2 pep chromosome:AWHD00000000:11:274332:277558:-1 gene:ONIVA11G00350 transcript:ONIVA11G00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEAASTSEAEAEGRGKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSDPSSAPNKASEEPRVKKLLVQHIETVHHSEAVQDVLHSLLHSDLDAKEIKSKTKEWNNRTKQDKKQDQLRSAARQRHEDLGRNARFAQIWRSRKGDRNEVDESLREICHLYDAVQVDSDEEKHPTEPRITSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEDSDVYDIYTVKEVDDDTTMEGTSSAPYPLLQVDNDDDVCYDDDDPYGTDDSNAEDNPLYDYPEELSEDEDDDSNSENPFSELDRSDPEYEKEEVEEERDEDGR >ONIVA11G00350.3 pep chromosome:AWHD00000000:11:270467:273452:-1 gene:ONIVA11G00350 transcript:ONIVA11G00350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKSLDSGRKKAARRPAGHGTVDITGKEKRFFPLFPNPNPWERSRRPTIEWSRAQGKGTHRHRHRLPPLQPLMDKEPTMEDLPSTLGQPSTSASSVDARYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISEEGQELATLPPASTVGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDSVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGS >ONIVA11G00350.4 pep chromosome:AWHD00000000:11:270467:273452:-1 gene:ONIVA11G00350 transcript:ONIVA11G00350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKSLDSGRKKAARRPAGHGTVDITGKEKRFFPLFPNPNPWERSRRPTIEWSRAQGKGTHRHRHRLPPLQPLMDKEPTMEDLPSTLGQPSTSASSTASYLTQCLPVSGYTAISEEGQELATLPPASTVGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDSVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGS >ONIVA11G00340.1 pep chromosome:AWHD00000000:11:251302:265374:-1 gene:ONIVA11G00340 transcript:ONIVA11G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLVHSSSSSAAPSAALPNHHTNHLVDDHLPVENGPDPRRDVPDEEPPPPPPPQVALLPQVVVLCEQRHEGFDEAAAAAAGPSTSGPVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARKECWIDPFSMAPPKALETIGKTLHSQYERWQPKARYKLQLDPTLEEVKKLCNTCRKFARTERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGMIVKAFLERLDWSSSSSASSSKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWDAWDMAAEICLSKLPQLIADPNAEFQVLLSQSHRFRALVLLGRFLDMGPWAVDLSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRIGQEACANAGLIYVCLRHLQPENPNDAQTEPLLLQWLCLCLGKLWEDFPEAQLLGLQSNAPEIVICLLSEPQPEVWLLSFCVNSSLYLLHNIRVKYLILPGDGNVRIWRNYTQKGGQKLVTAFSSVQGYRSAGRSIVFDWQQQSGYLYASGDMSSILVWDLDKEQVNTIQSTADSGISALSASQVRCGQFAAGFLDASVRIFDVRTPDRLVYTARPHAPRSEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRASEPYLTIEAHRGSLTALAVHRHAPVIASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHRYKSLLAAGAGDNALVSIYAEDNYQLPTLMQCVQRCFEVALGFIWRHTTVXESGVENCYVFKSRLQEYAQKTGLQTPEYHTFKEGPSHEPVFKSTVVINNTSYDSLPGFFNRKAAEQSAAEVALMEIVKSIPANANIPAVAHNSTQVLYEYNRKLVVKELLNSLFTAFSKCLEFDSVEEVFDEMLLRGLVPDVDVYNVYIGALCRKGDLARARQMMTCMEHAGCPPDVRTFGVVVAGCMSAGDMGTVRELVQEATRRGLQWDPPALSELIGLLQAGGGATQAQELLLEPLFVHDAPQETGLCKNLLQEYAQKMNYAIPSYICTKSASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKVKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDARDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEAEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVQPNEEATSGKQEPSIDAAILQPKEEASSVKQEPFIDTAMLQACKEAGSVELGPARDTVISQLNEQDRAVKQEPAGDIVVPQPDVHARVVKE >ONIVA11G00330.1 pep chromosome:AWHD00000000:11:246114:250494:1 gene:ONIVA11G00330 transcript:ONIVA11G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSHLHAHALKLGTLAHTFNMNYLLIYYARRGLLDSALKVFDEMPHRNLVSWTTMVSASTRNGAPHLGFRFFVSMIRSGFCPNEFSLATMLTACHSMVAHSSNKLLIALSLHGVAVRAGLDSNPFVGSSLLLMYAKHGRIAAAQRAFAHIRNKDLTCWNAMLEGYVSNGFGHHAISTVLLMHHSGLAPDRYTYISAVKACSISAQWDLGRQLHCLVIHSMLESNTSVMNSLVDMYFRARQKETAASVFRKIRQKDTVSWNTMFSGFAHDEDDKAVFGYLIDMSRTGFKPNEVTFSVLLRLSGAKENESLGLQIVALAYRHGYTDNVLVANAVINMLSRCGLLNRAYGFFCSLTSTNIVTWNEIIAGYGLFSHSEETMKLFRSLVCIGERPDEFTYSAVLSAFQEAQGARNHEQIHATILKQGFASCQFVSTSLIKANAAAFGSVQSSLKIIEDAGKMELVSWGAIISAFLKHGLNDEVIFLFNLFRGDSTNKPDEFILATVLNACANAALIRHCRCIHSLVLKTESAFTAVSSATNDAIMYNTMLTAYANHGLIHEALNLYEEMTKAKLNPTPATFVAILSACSHLGLVEQGKLAFSTMLSAYGMHPARANYACLVDLLARKGLLDEAKGVIDAMPFQPWPAVWRSLVNGCRIHGNKQLGVLAAEQILRMAPSSDGAYVSLSNVYADDGEWQSAEETRRRMVQNKLQKVHGYVAPEYANSGLLNEKSDVYSFGWFCWKLLQVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQNHISNNLETEPLRGKSSSGKSDAPENEMRPPRYKNRSFPPK >ONIVA11G00320.1 pep chromosome:AWHD00000000:11:227298:228466:1 gene:ONIVA11G00320 transcript:ONIVA11G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMARRFLNLVVESNRDGLYSLRRVPANRLLYPSRRAAEAATAKSEEEVKAYKEHEGRRHPGLHFMERFGQFPSPMINFQASPTYEHSSRNLELATLLGDDENKILTVDNSGHTLLFDTVSYSVVKFPSLKSNKGRGAISLPVDRAAPQEPDGLYVMSPTADPLTSNCCFEVLNYGSRGFHERAPSWLPLPPLPSASYTHANLVSCTLVGSTIFVSSTAPECGTHAFNTLTVNGTMLATGRCRFMAELNDSDDDDDYTVDSHVIPTDFAVFTGLHMVRRNGKDGQEQIQMIKHKSIFYTFNSYNIEWVI >ONIVA11G00310.1 pep chromosome:AWHD00000000:11:197194:227174:-1 gene:ONIVA11G00310 transcript:ONIVA11G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARANSAPALGWDDALEPWNPTLNTITADKARPLLESINAARASASVDDDGGLNLQQQQQHVSYGVQYIGNSSIGDNEMQMTPSF >ONIVA11G00300.1 pep chromosome:AWHD00000000:11:192909:197013:-1 gene:ONIVA11G00300 transcript:ONIVA11G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPLPPETANTSPAPNGATAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTFKEGPSHEPVFKSTVVINNTSYDSLPGFFNRKAAEQSAAEVALMEIVKSIPANANIPAVAHRPAAAADLLCCMPSLLLDPDLASCRAVLSSLCQYASAQDAVAFLDKMCHWGISPSRSDYHAVFDALLQEGKVAEAYEVMKNKMGSNRVAPALAYFKLTMQAFSECLEFDSVEEVFDEMLLRGLVPDVDVYSVYISALCRKGDLAGARQMMTCMEHAGCPPDVRTFGVVVAGCMSAGDMGTVRELVQEAIRRGLQWDPPALSELIGLLQAGDGATQSQELLLEPLFVHDAPVLGQLIGALCKQGLLGPAAVQETGLCKNLLQEYAQKMNYAIPSYICTKSASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKMPIETPKPLKIKKGGFKKKWNKRKFMKKDGQAVVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEAEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVPPNEEAISVKQEPSIDAAILQPKEEASSVKQEPFIDTAMLQACKEAGSVELGPARDTVISQLNEQDRGVKQEPAGDTAVPQPDVDARVVKEESPRTEPNGEATNMKETPKNSAVCNSPETKEFGDITAMGSDPPATNMSEE >ONIVA11G00290.1 pep chromosome:AWHD00000000:11:190007:192250:1 gene:ONIVA11G00290 transcript:ONIVA11G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSHLHAHSLKLGTLAHTFNMNHLLIYYARRGLLDSALKVFDEMPQRNLVSWTAMVSASTGNGAPHLGFRFFVSMIRSGFCPNEFSLATMLTACHSMVAHSSNKLLIALSLHGVAVRAGLDSNPFVGSSLLLMYAKHGRIAAAQRAFAHIRNKDLTCWNAMLEGYVLNGFGHHAIRTVLLMHHSGLAPDRYTYISAVKACSISAQWDLGRQLHCLVIHSMLESNTSVMNSLVDMYFRARQKETAASVFRKIRQKDTVSWNTMISGFAHDEDDKAVFGCLIDMSRIGCKPNEVTFSVLLRLSGAKENESLGLQIVALAYRHGYTDNVLVANAVINMLSRCGLLNRAYGFFCSLTSTNIVTWNEMIAGYGLFSHSEETMKLFRSLVCFGERPDEFTYSAVLSAFQEAQGARNHEQIHATILKQGFASCQFVSTSLIKANVAAFGSVQISLKIIEDAGKMELVSWGVVISAFLKHGLNDEVIFLFNLFRGDSTNKPDEFILATVLNACANAALIRHCRCIHSLVLKTGHSKHFCVASAVVDAYAKCGEITSAESAFTVVSSGTDDAILYNTMLTAYANHGLIHEALNLYEEMTKAKLSPTPATFVAILSACSHLGLVEQGKLVFSTMLSAYGMHPARANYACLVDLLARKGLLDEAKGVIDAMPFQPWPAVWRSLVIGCRIHGNKQLGVLAAEQILRMAPSSDGAYISLSNVYADDGEWQSAEETRRRMVQNHVQKLQGYSRIEM >ONIVA11G00280.1 pep chromosome:AWHD00000000:11:180419:184202:-1 gene:ONIVA11G00280 transcript:ONIVA11G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQEQHQEPVNDGLQKQEQHKKHKEKKKERLLDFLRAAPSKAPCRREGLCRLLHARLLRLDLLAGLSSLLLRALTSSALHLHALRVHCLLPNPSHLTFPIALKSASRLPHPLRAGEQLHARSLKLPSHTNPHVLTSLLSLYAKCGLLHRAQRVFDEMPHPSTVPWTALITAYMDAGDLREAVHVARNAFANGMRPDSFTAVRVLTACARVADLATGETVWRAAEQEGVAQSVFVATAAVDLYVKCGEMAKAREVFDKMRHKDAVAWGAMVGGYASNGHPREALDLFLAMQAEGMKPDCYAVVGALSACTRLGALDLGRQAIRMVDWDEFLDNPVLGTALIDMYAKCGSTVEAWVVFQQMRKKDIIVWNAMILGLGMTGHEKIAFALVGQMEKSGVKLNDNTFIGLLCSCTHTGLIQDGRRYFHNMTKLYHISPRIEHYGCMVDLLSRAGLLQEAHQLVDDMPMPANAVILGALLGGCKIHRNTELAEHVLKQLILLEPWNSGNYVMLSNIYSNRGRWEDAAKLRLDMKAKGVEKVPACSWVEFEGKVHEFRVGDKSHPLSDQIYKKLDELGLEMKTMGYEPTTEVVMFDVEDEEKEHTLVHHSEKLAIAFNLLVTGPGETIRVTKNLRVCSDCHTAIKLVSRITHREIIVRDNNRFHCFRDGSCSCNDYW >ONIVA11G00270.1 pep chromosome:AWHD00000000:11:162054:164869:-1 gene:ONIVA11G00270 transcript:ONIVA11G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSQCDNKNSSRAVVAKPERLLLAGVAPSNRPPPLTVKLLHARLLRLDLLAALSPLLLRALSSSALHLHALRLHCLLPNPSHLTFPIALKSASRLPHPLRAGEQLHARSLKLPSHTNPHVLTSLLTLYARCGLLHRAQRVFDEMPHPSTVSWTALITAYMDAGDLREAVHVARNAFANGMQPDSFTAAEGVRPDCYAVAGALSACTRLGALDLGRQAIRMVDWDEFLDNPVLGTALIDMYAKCGSTAEAWVVFQQMRKKDIIVWNAMILGLGMTGHEKTAFTLIGQMEKSGVKLNDNTFIGLLCSCTHTGLIQDGRRYFHNMTKLYHISPRIEHYGCIVDLLSRAGLLQEAHQLIDDMPMPANAVILGALLGGCKIHRNAELAEHVLTQLIRLEPWNSGNYVMLSNIYSNRGRWEDAAKLRLDMKEKGVEKVPACSWVEFEGKVHEFRVGDKSHPLSDQIYKKLDELGLEMKTMGYEPTTEVVMFDVEDEEKEHTLVHHSEKLAIAFNLLVTGPGETIRVTKNLRVCSDCHTAIKLISRITHREIIVRDNNRFHCFRDGSCSCNDYW >ONIVA11G00260.1 pep chromosome:AWHD00000000:11:156621:180221:1 gene:ONIVA11G00260 transcript:ONIVA11G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPPQQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQVKWYQKLLEAYKNTTPPPKTPADAAQLIARALNMIQRADLEGILEFYNFPIPSLPSASSNYQPSSLPEGVQFVLNTLPVYDKCIGDGDGFTAYVSTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGRESRNALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPEFARGILRNHGIGEETNATQDRTPFRNKINKADSLLQSKVEKVEFPSSSVTDSSRQVKQQPYAIAAGLQLPGQSGVLIR >ONIVA11G00260.2 pep chromosome:AWHD00000000:11:156621:180221:1 gene:ONIVA11G00260 transcript:ONIVA11G00260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPPQQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQVKWYQKLLEAYKNTTPPPKTPADAAQLIARALNMIQRADLEVYDKCIGDGDGFTAYVSTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGRESRNALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPEFARGILRNHGIGEETNATQDRTPFRNKINKADSLLQSKVEKVEFPSSSVTDSSRQVKQQPYAIAAGLQLPGQSGVLIR >ONIVA11G00260.3 pep chromosome:AWHD00000000:11:156621:180221:1 gene:ONIVA11G00260 transcript:ONIVA11G00260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPPQQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQGILEFYNFPIPSLPSASSNYQPSSLPEGVQFVLNTLPVYDKCIGDGDGFTAYVSTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGRESRNALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPEFARGILRNHGIGEETNATQDRTPFRNKINKADSLLQSKVEKVEFPSSSVTDSSRQVKQQPYAIAAGLQLPGQSGVLIR >ONIVA11G00260.4 pep chromosome:AWHD00000000:11:156621:180000:1 gene:ONIVA11G00260 transcript:ONIVA11G00260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPPQQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQVKWYQKLLEAYKNTTPPPKTPADAAQLIARALNMIQRADLEVYDKCIGDGDGFTAYVSTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGRESRNALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPEFARLNRRFAIAIVKRGIFVLVLDLKVKRSGASEEEEAVRMLRGLKAKAHEQVIQNMKKFSQ >ONIVA11G00260.5 pep chromosome:AWHD00000000:11:169106:179228:1 gene:ONIVA11G00260 transcript:ONIVA11G00260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPLQQQPLSPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQVKWYQKLLEAYKNTTPPPKTPANAAQLIARALNMIQRADLEVYDKCIGDGDGFTAYVPTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGRESRNALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPEFARGILRNHGIGEETNATQDRTPFRCTES >ONIVA11G00260.6 pep chromosome:AWHD00000000:11:169220:179228:1 gene:ONIVA11G00260 transcript:ONIVA11G00260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPLQQQPLSPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQVKWYQKLLEAYKNTTPPPKTPANAAQLIARALNMIQRADLEVYDKCIGDGDGFTAYVPTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGRESRNALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPEFARGILRNHGIGEETNATQDRTPFRCTES >ONIVA11G00260.7 pep chromosome:AWHD00000000:11:156621:179228:1 gene:ONIVA11G00260 transcript:ONIVA11G00260.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPAPPQQQPLGPHGVTPSTVGVAALAHDLLNFESTSMVPDGLSQHVVSSRKAQVKWYQKLLEAYKNTTPPPKTPADAAQLIARALNMIQRADLEGILEFYNFPIPSLPSASSNYQPSSLPEGVQFVLNTLPVYDKCIGDGDGFTAYVSTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKGIDAPELKMPYGRESRNALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPEFARGILRNHGIGEETNATQDRTPFRCTES >ONIVA11G00250.1 pep chromosome:AWHD00000000:11:152559:155456:1 gene:ONIVA11G00250 transcript:ONIVA11G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANASTVKPVVAACYDNNLVNSQGMFLGDEPLRFALPLLLVQVSIILTLSAAAHHVLRRLGQCRFVTHMLVGIFLGPSVLGRNPHLRTALFSERGTYILESVSLVALILFLFSMAVKTDLTLLRRPTARALAVGLAGSLVPLAVTLPVFHALSPSLPADLRGSSLITELAVRLSLSSFPVVADALAELDLLNSELGRVALNASLITDVTSWFLRACFAAAFLITQAKSPLFTAKVLASFAAFVLFVFFVARPAGRYIARKRTPPGDLLSEGSFVLVVIAALLSALVTDVIGFKFMIGPMMLGLALPGGMPIGATLTERLDSFFIALFLPVYMALAGYRTDLAELGMIGVSAEHEEKFCALELFVALCVAGKMVGCVAAGLFFSMPFREATVLALMLNIRGIVEVAAINNWGDTMKATAEHYSTLTLSMVVITAVATPLIKLLYDPSGRFARAKRRTMEGSRPNAELRVMACLFSEDHAAPLLDLIEASGSSRDAPVSLIVLHLTELVGHAASVLKPHRKSRSSCGNPTPSDRIVNAFRYFEQQAPLGAVTVSPYVVASPYSSMQHDVCLLAHSRKANLILLPFHKSSDGARSTANNAIRGINRSVMQYAPCSVGILIDHGVAAGSACATASNSTLQRVALYFLGGADDREALAYVARMAECGVVAVTVVRLKLRDWVGMGGRDEMRDEEALQEFWQRYSSAGAERVAYVEKTVEDGEGTASVVRAMSDKFDLLVVGRREGGGDGAEGSSAAALTSGLSEWSEFPELGVLGDMLASADFAAKYV >ONIVA11G00240.1 pep chromosome:AWHD00000000:11:147243:151446:1 gene:ONIVA11G00240 transcript:ONIVA11G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIASSSGILMDANGKANGSAPSALVAYFLGMGFSREMVFRAIKEIGDTDLEQILELLLTYQAIGSDPSVGNSSHSACDPQILEEEDEEEDVNWDEDDTVDNFDRATYSDGSGDEDFLQEMSEKDEKIKSLVSMGFPEDEDTEFSSFGGRKKTKLIDGSKKKRERYRSRPQWNQVPFDGSHEEPMPLPNPMVGFSLPKDGLRSVHRNLPDQALGPPFFYYENVALAPKGVWTTISRFLYDIYPEFVDSKYFCAAARKRGYIHNLPIKNRDIFPNGMNVLSLFSGIGGAEVALHRLGICMKTVVSVEISEVNMTLLRSWWDQTQTGTLIEIADVQNLTAERIELFIRRFGGFDLVIGGSPCNNLAGSNRYHRDGLEGKHSALFYHYYRILDSVKTIMASMKVVSPCSQGPSDETILLLDTEN >ONIVA11G00240.2 pep chromosome:AWHD00000000:11:147243:151492:1 gene:ONIVA11G00240 transcript:ONIVA11G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIASSSGILMDANGKANGSAPSALVAYFLGMGFSREMVFRAIKEIGDTDLEQILELLLTYQAIGSDPSVGNSSHSACDPQILEEEDEEEDVNWDEDDTVDNFDRATYSDGSGDEDFLQEMSEKDEKIKSLVSMGFPEDEDTEFSSFGGRKKTKLIDGSKKKRERYRSRPQWNQVPFDGSHEEPMPLPNPMVGFSLPKDGLRDIFPNGMNVLSLFSGIGGAEVALHRLGICMKTVVSVEISEVNMTLLRSWWDQTQTGTLIEIADVQNLTAERIELFIRRFGGFDLVIGGSPCNNLAGSNRYHRDGLEGKHSALFYHYYRILDSLFRHVRKALLMKQSSSLTLKTEQDPSNNSDKDSLDK >ONIVA11G00230.1 pep chromosome:AWHD00000000:11:145808:146059:-1 gene:ONIVA11G00230 transcript:ONIVA11G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGWGARRGEVGEDIRRAGDAAAAAASLTMREKGERHRLTARATSGSGRQRGPSGGGEDAKARDSGWRRRHTTRCGRRFGTN >ONIVA11G00220.1 pep chromosome:AWHD00000000:11:141006:144314:1 gene:ONIVA11G00220 transcript:ONIVA11G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLMPNITINDKTTKLLQPPLRIQLHSTLSTPSFLPRGASAAAEAMGICCSKGKEELEEEGFPWKHDAFFHDQLWSAGVSMHTKQGWKGANQDAMTTCQDFAGHKGQIFCGVFDGHGPLGREVARHVRDVLPVKLSSSLALKTEQDPSSNTDKETLEKSDCTSLSDTSNEKQLLSTWKNIFVKTFEDVDEDLRQHSGIDCICSGTTAVTVVRQGDHLIIANLGDSRAVLCTRDSKDRPISVQLTTDLKPNLPSEAERILNCKGRVFAMDDEPDVPRMWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSAKDDFLVLATDGIWDVLSNKEVIKIVSSATDHSKAAKQLVERAVRTWRRKFPTSMVDDCAVVCLFLKPSPSSSESTPGDAKPPQAVSFTGSFRKVLGGGGGEAEEGTNVWRALEGVARVNSVVRLPRMGAVLSWRRRSTSLEEDDEARID >ONIVA11G00210.1 pep chromosome:AWHD00000000:11:136379:140120:1 gene:ONIVA11G00210 transcript:ONIVA11G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGCIAVGCPDLRELSLKWCIGVTHLGLDLLALKCNKLNILDLSYTMIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALTSLDQECSKSLQVLDMSNYYNVTHVGVLSIVKAMPNLLELNLSYCSPVTPSMSSSFEMIHKLQKLKLDGCQFMDDGLKSIGKSCVSLRELSLSKCSGVTDTDLSFVVPRLKNLLKLDVTCCRKITDVSLAAITTSCPSLISLRMESCSLVSSKGLQLIGRRCTHLEELDLTDTDLDDEGLKALSGCSKLSSLKIGICLRITDEGLRHVSKSCPDLRDIDLYRSGAISDEGVTHIAQGCPMLESINMSYCTKLTDCSLRSLSKCIKLNTLEIRGCPMVSSAGLSEIATGCRLLSKLDIKKCFEINDMGMIFLSQFSHNLRQINLSYCSVTDIGLISLSSICGLQNMTIVHLAGVTPNGLIAALMVCGLRKVKLHEAFKSMVPSHMLKVVEARGCLFQWINKPYQVAVEPCDVWKQQSQDLLVQ >ONIVA11G00200.1 pep chromosome:AWHD00000000:11:121058:123294:-1 gene:ONIVA11G00200 transcript:ONIVA11G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYRSHLWGFTANEKEAYSGENDERQQILQLIIEEKQPEILELPGGGIQVHQEIDAAVAELIEQEALDELEQLVKAQPEAAADQQNPVEPHQDEDDKLSLDNLLEQHRWEGALRRLTRMVRDTGSAFVNGGVLKEIRDWVNAKRDTPLGEDEATTHMENTCHAIHDYLKLYFPAYRPQIGGKVRGHQVSRVWELGERLGKDGSRCLACHKRVKGFNVTKLQNHLQGSVKRQGIQCPAINNYILSRLERILKEMNEDQ >ONIVA11G00190.1 pep chromosome:AWHD00000000:11:114844:118912:-1 gene:ONIVA11G00190 transcript:ONIVA11G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSGRSSAFTTVLDDPKMSSNSTLTDSLHERTIVFGLKLWVVIGISVGASLLGVLLILIVCLTLQTWIKRSRRTFKEIPITQIPSASKDIKEVRAVDEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFAQEDKTIQGEENSPVPLHYVDNYDVIQSVSTCEQSSSHAPVDSVLLPGLPEFSYLGWGHWFTLRDLELATNCFSKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPDEVNLIDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNSEKRPRMDQVVRMLDSNEPIPQEERRQRQNHISNNSETEPLRGKSSSGKSDAPENEMRPPRYKNRSFPPK >ONIVA11G00190.2 pep chromosome:AWHD00000000:11:114844:117622:-1 gene:ONIVA11G00190 transcript:ONIVA11G00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTDSLHERTIVFGLKLWVVIGISVGASLLGVLLILIVCLTLQTWIKRSRRTFKEIPITQIPSASKDIKEVRAVDEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFAQEDKTIQGEENSPVPLHYVDNYDVIQSVSTCEQSSSHAPVDSVLLPGLPEFSYLGWGHWFTLRDLELATNCFSKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPPDEVNLIDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNSEKRPRMDQVVRMLDSNEPIPQEERRQRQNHISNNSETEPLRGKSSSGKSDAPENEMRPPRYKNRSFPPK >ONIVA11G00190.3 pep chromosome:AWHD00000000:11:117631:118912:-1 gene:ONIVA11G00190 transcript:ONIVA11G00190.3 gene_biotype:protein_coding transcript_biotype:protein_coding KPAASAETPANGRRRSLRQSAVGAGRSCSGGPRAGGGLKRRRAISGKPSSPPSYPFFRRRFRCDWFLCKSNPWEEKKKRKRNKVGFFSITPITYPPDNSSPPAAAAATLHHYRRLLITPSSPAVLHQASNLQSASFLFFLRLDLAQVSPLPATPHGSGFRSLFSIHYRIHFLKANFWFLTKGT >ONIVA11G00180.1 pep chromosome:AWHD00000000:11:109384:113937:1 gene:ONIVA11G00180 transcript:ONIVA11G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IX14] MPSRGCSCWLLSLALLCSLAAAKEQYHEFVIRETTVKRLCKSHNIMTVNGQFPGPTLEINEGDSLIINLINRGRYNMTLHWHGVRQMRTGWSDGPEYVTQCPVRPGQSYRYRFTVAAQEGTLWWHAHSSWLRATVYGALLIRPRDGTSYPFDVQPTRELAPILLGEWWDMNPVDVVRAATRTGAAPNISDALTVNAQPGDLYSCSSHDTAVFPVTSGETNLLRFINAALNTELFVSLAGHNMTVVAADASYTKPYTTSLLLLAPGQTTDVLVTFDQPPGRYYLAARAYASAQGVPFDNTTTTAIFDYGAANNASSAAIAMPTLPAYNDTTAATAFTTNLRGLRKAELPSRVDESLFFTVGVGLFNCTNATAQQCGGPNGTRFAASINNVSFVLPSSTSILQAHHHGAPGGVFTADFPANPPVQFDYTAQNVSRALWQPVAGTKVYKLKYGSAVQVVLQGTNIFAGENHPIHLHGYDFYILAEGLGNFDAGADTAKFNMEDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVDDGVGELQSLEAPPPDLPLC >ONIVA11G00170.1 pep chromosome:AWHD00000000:11:99749:104552:-1 gene:ONIVA11G00170 transcript:ONIVA11G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEDGSCPPAVAPSGSQAEDYGSWTLKQKLEDLVNCDPIHGIMPKNPKYKAYFEEKFEVRHDDIVEHVSSILKSNGIAHSGKSIDTGKFECYQHDGGMPIGKSDSQRVGYGETIEADKSSSDTGEVSKMILGKQPPKGLAIKEVRNMFFPYWKSVLSRRLQLKIVPSCQPSRKDLLSAEASRKGTKSIDHPCNTIKSMGDRGLMSSESRRMLYTVASMSRKVFKRT >ONIVA11G00160.1 pep chromosome:AWHD00000000:11:96098:97619:1 gene:ONIVA11G00160 transcript:ONIVA11G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTATSLSPSAPSASASSTRSCPPTPPASIVSPRAGMDDDGGDLPLSVGAICLRLVNPRLPSHAASLHRLSTRASLTPPPPPLPRHGRQICSGQRPAGEQLHDILALVGTRQAVRTSILSRAGARVWRWWAAIASRISPTVPPRLAGLLPTSRPASAELACANLVDQGDFDGACRVMDAVLSAVGPRGEVSDHLAHHFAHPAYLQKHANRKKKVKMFL >ONIVA11G00160.2 pep chromosome:AWHD00000000:11:96714:102548:1 gene:ONIVA11G00160 transcript:ONIVA11G00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAASWMPCSLPLALGARLVTTSRITLPTEEHSARGAAVSLHAGSLAISPALPRRRFARRLPNAAARDHRRRNPLHNALPGRRVALSSPTFPVKAALEPEIKRSFSIQSSSFLVSKQHVLPGPRLLTQGEEKPWFWLISSRTAITTMSSADAVRSAWFQPSGKNNSGGSSKPDVRLPVRHAARLLLAPQATARGGRLPPNWGAAAARGRRRQWRASPVAMRTRQRRGDVEMEGNDGN >ONIVA11G00160.3 pep chromosome:AWHD00000000:11:98796:102548:1 gene:ONIVA11G00160 transcript:ONIVA11G00160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIKDRAILLFCPSSLLDDREEHSARGAAVSLHAGSLAISPALPRRRFARRLPNAAARDHRRRNPLHNALPGRRVALSSPTFPVKAALEPEIKRSFSIQSSSFLVSKQHVLPGPRLLTQGEEKPWFWLISSRTAITTMSSADAVRSAWFQPSGKNNSGGSSKPDVRLPVRHAARLLLAPQATARGGRLPPNWGAAAARGRRRQWRASPVAMRTRQRRGDVEMEGNDGN >ONIVA11G00150.1 pep chromosome:AWHD00000000:11:94061:94420:1 gene:ONIVA11G00150 transcript:ONIVA11G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAVAAAPETSRRGSRTAAAAPEPYRTDGIEDGDGDSSRDLLPQMASRTAVAVAPETSHHGSRTEAATAALEPSRRRWCRGRRRRLPSPPMWMTPEPSRVDGVEDGGSDSSIDLSL >ONIVA11G00140.1 pep chromosome:AWHD00000000:11:87049:88848:1 gene:ONIVA11G00140 transcript:ONIVA11G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLGPFPAHHRCTLFLLLTVTLLPSLAAAAAAHHHHVHAAGDGVVISQADYQGLQAIKHDLSDPYAFLRSWNDTGLGACSGAWVGIKCVQGKVVAITLPWRGLAGTLSERIGQLTQLRRLSLHDNAISGPIPTSLGFLPDLRGVYLFNNRFSGAVPASIGNCVALQAFDASNNLLTGAIPPSLANSTKLMRLNLSHNTISGDIPSELAASPSLVFLSLSHNKLSGHIPDTFAGSRAPSSSSLKESITGTYNLAVLELSHNSLDGQIPQSLAGLQKLQVMDLSGNRLNGTIPDRLGSLADLKTLDLSGNALTGEIPASLSNLTTTLQAFNVSNNNLFGQVPASLAQKFGPSAFAGNIQLCGYSVSVPCPASPSPAPSAPASPVQGVETTGRHRKFTTKELALIIAGIVGGILLLLALCCLLLCFLTKKRSGSGGKQTTSSKAAGGGAGAAAGGGRGEKPGSGAAEVESGGEVGGKLVHFDGPMAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKDFESEAAVLGKIRHPNLLPLRAYYLGPKGEKLLVLDFMPNGSLSQFLHGQYSLTHYSSSCAFDLDTIN >ONIVA11G00130.1 pep chromosome:AWHD00000000:11:84388:85713:1 gene:ONIVA11G00130 transcript:ONIVA11G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IX07] MAAASPPLLPTTVLPANTTATVSPAPTSVSSADANPAATRAFLARLLDSVKRALSGARPWPELIDRSALSRPESLSDAGARLRKNLAYFRVNYAAIVALSLAATLLAHPFSLAALLALLAAWCFLYLLRPSDAPPLAAFGRTFSDRETLGGLIVASAFVIFLTSVGSLIFSALALGAAIVCAHGAFRIPEDLFLDEPDQANGAASVNLLSFITSATGGRELVLTMGERERSRELMRPWFGYIFSPSLLANALHKGIA >ONIVA11G00120.1 pep chromosome:AWHD00000000:11:72163:74374:-1 gene:ONIVA11G00120 transcript:ONIVA11G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGWYLKIAVGGAAIGASMELFMIHTGFYEKNKTEGPAKEVWKCREKATKEGTGGVDGKKHGELRFPLSSRFRVMCVHMRTTGGGRDDEREGEEDHGTCKDHPCSGVGCVERAEDH >ONIVA11G00110.1 pep chromosome:AWHD00000000:11:75449:83200:1 gene:ONIVA11G00110 transcript:ONIVA11G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IX02] MAATSPPMTSVAAAALVLTPSPALNRISFPFSRRHCRSAAPPPRWRPTRCRGKPGVTEVVAEKETSPDGEEEEVRGRGWFMVDEIGMDILTIALPAVLALAANPITALVDTAFVGHVGSTELAAVGVSISIFNLVCKLLNVPLLNVTTSFVAEQQAVEAAERNEISIPQEKASEQRRFLPAVSTSLALAAGIGLMEMVALILGSGTLMDIVGIPVDSAMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVGVGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNNKIVLLSWNIIGGDVVRYLKSGALLIARTIAVVLTFTLSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARVVLYRVLQIGGITGVALATILFLGFGYLSLLFTDDPAVLDVAQTGVWFVTVSQPINAVAFVADGLYYGVSDFAFAAYSTVQISILLFAGAVSSAVLLVAAPKFGLGGIWAGLALFMSLRAIAGLWRLGSKDHKDTQHEQESYGPIVSGGPISSKDRAGVGGRAAEAQPSRKNIRKERLRPEGDDDEGIKGFGIGIGEGKMPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKRSDFGWNGTTF >ONIVA11G00110.2 pep chromosome:AWHD00000000:11:75449:83200:1 gene:ONIVA11G00110 transcript:ONIVA11G00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IX02] MAATSPPMTSVAAAALVLTPSPALNRISFPFSRRHCRSAAPPPRWRPTRCRGKPGVTEVVAEKETSPDGEEEEVRGRGWFMVDEIGMDILTIALPAVLALAANPITALVDTAFVGHVGSTELAAVGVSISIFNLVCKLLNVPLLNVTTSFVAEQQAVEAAERNEISIPQEKASEQRRFLPAVSTSLALAAGIGLMEMVALILGSGTLMDIVGIPVDSAMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVGVGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNNKIVLLSWNIIGGDVVRYLKSGALLIARTIAVVLTFTLSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARVVLYRVLQIGGITGVALATILFLGFGYLSLLFTDDPAVLDVAQTGVWFVTVSQPINAVAFVADGLYYGVSDFAFAAYSTLFAGAVSSAVLLVAAPKFGLGGIWAGLALFMSLRAIAGLWRLGSKDHKDTQHEQESYGPIVSGGPISSKDRAGVGGRAAEAQPSRKNIRKERLRPEGDDDEGIKGFGIGIGEGKMPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKRSDFGWNGTTF >ONIVA11G00110.3 pep chromosome:AWHD00000000:11:75449:83200:1 gene:ONIVA11G00110 transcript:ONIVA11G00110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IX02] MAATSPPMTSVAAAALVLTPSPALNRISFPFSRRHCRSAAPPPRWRPTRCRGKPGVTEVVAEKETSPDGEEEEVRGRGWFMVDEIGMDILTIALPAVLALAANPITALVDTAFVGHVGSTELAAVGVSISIFNLVCKLLNVPLLNVTTSFVAEQQAVEAAERNEISIPQEKASEQRRFLPAVSTSLALAAGIGLMEMVALILGSGTLMDIDSAMRVPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVGVGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNNKIVLLSWNIIGGDVVRYLKSGALLIARTIAVVLTFTLSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARVVLYRVLQIGGITGVALATILFLGFGYLSLLFTDDPAVLDVAQTGVWFVTVSQPINAVAFVADGLYYGVSDFAFAAYSTLFAGAVSSAVLLVAAPKFGLGGIWAGLALFMSLRAIAGLWRLGSKDHKDTQHEQESYGPIVSGGPISSKDRAGVGGRAAEAQPSRKNIRKERLRPEGDDDEGIKGFGIGIGEGKMPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKRSDFGWNGTTF >ONIVA11G00110.4 pep chromosome:AWHD00000000:11:69201:75476:1 gene:ONIVA11G00110 transcript:ONIVA11G00110.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IX02] MEQQQQGRRRGAGWRRWAVLVATVWIQAVTGTNFDFSAYSSALKASLGVSQEALNYLATASDLGKALGWSSGLALIHLPLPAVLLLSAASGLAAYALQYALILDYLHLPYPLVFLICLVAGCSICWFNTVCFVLCIRSFSSSNRPLALSLSISFNGLSAAFYTLFANALSPFSPSVYLLLNAIVPLVVSLVALPAILLCHPHDGHLHVVPKHDKHIFLGLYLLAFITGIYLVIFGSFNTTNSTAWVVLTGAMVLLALPLIIPASSSCSHVDTHDPEPTAQLNHEDSKKPLLLNNNHSTESNAMIQKTVEHPMQDCCLGTILEKGRMLVLCEEHSAKKLIQCVDFWLYYIAYFCGATVGLVYSNNLGQIAQSFHRESQLTMLLAVYSSCSFFGRLLSALPDFLRRKVSFARTGWLAAALVPMPMAFFLMWKLHDVNTLVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLKMSVIDNRNGMVDTMVVCMGPKCYSTTFFVWGCITFLGLVSSIILFLRTRTAYSAAGGQQVVNTLAKFRLDRTP >ONIVA11G00100.1 pep chromosome:AWHD00000000:11:63971:72117:-1 gene:ONIVA11G00100 transcript:ONIVA11G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVMLGHNVEVAVMWVAEEYCWQCNKRYDERDNGIEKEEALRHGRMCATALDHGSNTGERCDAFCSERMREDAPWWKEACIGVGGARLRHQGSSGVGEARGTRGFHFAVVQNELVGDDDVDGRGYSEEVTR >ONIVA11G00090.1 pep chromosome:AWHD00000000:11:61757:64099:1 gene:ONIVA11G00090 transcript:ONIVA11G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCRSVHSRHSAPTRAARLLVPAQPRLFLDSPDWRQSAAGREWEWERDDDNDIRGSWFASGSWWFVGDDAALLFTILQSSEFQRFSEVERVSCERIASFLTCADSLSAGNHVEEVDRRGGFGEEWFLVAVELRLCYVAELGEGVRRVVRLRVVAFARGAAMRCTVQGELTICACSLRVGVGGGGLDNVTSLFFASCFSPRHLLTVAPPVHVVVSNQLILHNGKMKTPCSLLKLAAPVNA >ONIVA11G00080.1 pep chromosome:AWHD00000000:11:57039:60931:1 gene:ONIVA11G00080 transcript:ONIVA11G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSALFSHLREVHKRSGVKEEKLIMKSPAAAGEAAGCHKPQATATNKMTVLQSPLGLRTILTSLVAFFIVVSSVSLLFDRSQDAQAQLAVAQHQHQEVQLKQKPASAAVGEQKSVFVDQSSLRSQEAQVQWTSELQDVATDSGDGGVDGEEECNWSLGRWVYDNSSRPLYSGLKCSFIFDEVACDKYGRNDTKYQHWRWQPHGCNLPRFNATKFLEKLRNKRLVFVGDSVNRNQWVSMVCMVEHFIPDGRKMRVYNGSLISFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHANVWKDADFIVFNSYLWWRKQRDGMTMKVMYGSFEDGDAKLDEVEMVDGYEIALKKLTEYLGANINKNKTRIFFAGSSPAHSWASNWGGDDNNKCLNETEPIQIEDYRSATTDYGMMDKAKEIFGTLEPKGIHVQILNITQLSEYRKDAHPTIFRRQYVPLTKEQIANPSIYADCTHWCLPGVPDVWNEFLYAYLMHK >ONIVA11G00080.2 pep chromosome:AWHD00000000:11:56956:60931:1 gene:ONIVA11G00080 transcript:ONIVA11G00080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSALFSHLREVHKRSGVKEEKLIMKSPAAAGEAAGCHKPQATATNKMTVLQSPLGLRTILTSLVAFFIVVSSVSLLFDRSQDAQAQLAVAQHQHQEVQLKQKPASAAVGEQKSVFVDQSSLRSQEAQVQWTSELQDVATDSGDGGVDGEEECNWSLGRWVYDNSSRPLYSGLKCSFIFDEVACDKYGRNDTKYQHWRWQPHGCNLPRFNATKFLEKLRNKRLVFVGDSVNRNQWVSMVCMVEHFIPDGRKMRVYNGSLISFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHANVWKDADFIVFNSYLWWRKQRDGMTMKVMYGSFEDGDAKLDEVEMVDGYEIALKKLTEYLGANINKNKTRIFFAGSSPAHSWASNWGGDDNNKCLNETEPIQIEDYRSATTDYGMMDKAKEIFGTLEPKGIHVQILNITQLSEYRKDAHPTIFRRQYVPLTKEQIANPSIYADCTHWCLPGVPDVWNEFLYAYLMHK >ONIVA11G00070.1 pep chromosome:AWHD00000000:11:53012:53597:-1 gene:ONIVA11G00070 transcript:ONIVA11G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWZ7] MELALALEKLVNEKLHNLHSVASRCNDPQLTDFVESEFLEEQVEAIKKISEYVAQLRRVGKGHGVWHFDQKLLEEEA >ONIVA11G00060.1 pep chromosome:AWHD00000000:11:45331:48573:-1 gene:ONIVA11G00060 transcript:ONIVA11G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSLYCIFSYSWNNHFHVNIQAVVFTDISLEKAVEFDSYCHNHQPPIAFIKSEIRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMSELNDGKPRKIKNARPYSFTLEEDTTSYGTYVRGGIVTQVKPPKVLKFKTLKDAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNDLRRFPIAGSSDDVQRLIDFAISINESLGDSKLEELDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPAELKPENTRYDAQISVFGSNIQKKLEQAKIFMVGSGALGCEFLKNLVLMGISCNQNGKLIVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLLNPGGYATVARTAGDAQARDQLERVIECLEREKCETFQDCITWARLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFLTSDPSQLNFILAAAILRAETFGIPIPDWVKNPAKMAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFQMKPIQFEKDDDTNYHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLGGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPPYRRHLDVVVACEDDDDNDVDIPLVSIYYR >ONIVA11G00050.1 pep chromosome:AWHD00000000:11:39114:42485:-1 gene:ONIVA11G00050 transcript:ONIVA11G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYTREEVPTLPKNSDWDRKSEMEAWTIRVVYFIVFSFFLVSARFGSCAPCSEEGRALLRYSKSERDKSTDSLSNRGEGKVIGRVLNLLLKEKMFSSETPSELKELGTISESVADGTGGFEHCRKCLAKTVHNATPRQLLQARQLISNQTQKHHKKQSPTSASHLLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSLNSAQQWSARSEEQFRNKISVLSRVNHKNFMNLIGYCACDEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRHCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >ONIVA11G00050.2 pep chromosome:AWHD00000000:11:39114:42485:-1 gene:ONIVA11G00050 transcript:ONIVA11G00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYTREEVPTLPKNSDWDRKSEMEAWTIRVVYFIVFSFFLVSARFGSCAPCSEEGRALLRYSKSERDKSTDSLSNRGEGKVIGRVLNLLLKEKMFSSETPSELKELGTISESVADGTGGFEHCRKCLAKTVHNATPRQLLQARQLISNQTQKHHKKQSPTSASHLVPRWAIYALTVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSLNSAQQWSARSEEQFRNKISVLSRVNHKNFMNLIGYCACDEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRHCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >ONIVA11G00050.3 pep chromosome:AWHD00000000:11:39116:42180:-1 gene:ONIVA11G00050 transcript:ONIVA11G00050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWTIRVVYFIVFSFFLVSARFGSCAPCSEEGRALLRYSKSERDKSTDSLSNRGEGKVIGRVLNLLLKEKMFSSETPSELKELGTISESVADGTGGFEHCRKCLAKTVHNATPRQLLQARQLISNQTQKHHKKQSPTSASHLVPRWAIYALTVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSLNSAQQWSARSEEQFRNKISVLSRVNHKNFMNLIGYCACDEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRHCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >ONIVA11G00050.4 pep chromosome:AWHD00000000:11:37638:42485:-1 gene:ONIVA11G00050 transcript:ONIVA11G00050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYTREEVPTLPKNSDWDRKSEMEAWTIRVVYFIVFSFFLVSARFGSCAPCSEEGRALLRYSKSERDKSTDSLSNRGEGKVIGRVLNLLLKEKMFSSETPSELKELGTISESVADGILEFE >ONIVA11G00050.5 pep chromosome:AWHD00000000:11:38961:42485:-1 gene:ONIVA11G00050 transcript:ONIVA11G00050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYTREEVPTLPKNSDWDRKSEMEAWTIRVVYFIVFSFFLVSARFGSCAPCSEEGRALLRYSKSERDKSTDSLSNRGEGKVIGRVLNLLLKEKMFSSETPSELKELGTISESVADGL >ONIVA11G00040.1 pep chromosome:AWHD00000000:11:34907:37584:-1 gene:ONIVA11G00040 transcript:ONIVA11G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSPASVQDYPDLQEDDDDDFQDDDDLDDEDEEDDDDQEPSPSPSDEARLQSVLRRLTAEEVRIRVHDVEIRGCCRTRRAAVEAAVGSDLPRAATVRDLVRAAAAAADRIRRLGAFDTVSITLDAAPPGIPGNAAVIVLVDVAEARGRAAGELGIFANKGTRSCSVQGSVKLKNLFGYCETWDASGDLGLDQTVELSTGVAIPRIGAIPTPLVARISFLSEDWLKSSLREHMMGVSVGLLSTMNHNLAYNLSWRTITDRALMSSNSIRGQLGHSLLSSIKYAYKVDQRDSRIRPTRGYAYLFSSQVGGLAPESKDARYIRQPLHYFAFEWHNLELDLQVALPLGVLNGALNAGVAAGIIHPLARGSTGSISPLSEQFYLGGNRSLMCRLGGPSSLLGFKKRGLGTDLQSSTPENSENVASTSPELSARGGDIAVTAFADLSFDIPLKPLRELGIHGHAFVSAGNLAKLTEPDLRKFPLAEFLQTFRSSAGFGVVVPTRLFRIEVNYCHILKQFDYDLGKAGIQLNFSSP >ONIVA11G00040.2 pep chromosome:AWHD00000000:11:34907:37584:-1 gene:ONIVA11G00040 transcript:ONIVA11G00040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSPASVQDYPDLQEDDDDDFQDDDDLDDEDEEDDDDQEPSPSPSDEARLQSVLRRLTAEEVRIRVHDVEIRGCCRTRRAAVEAAVGSDLPRAATVRDLVRAAAAAADRIRRLGAFDTVSITLDAAPPGIPGNAAVIVLVDVAEARGRAAGELGIFANKGTRSCSVQGSVKLKNLFGYCETWDASGDLGLDQTVELSTGVAIPRIGAIPTPLVARISFLSEDWLKSSLREHMMGVSVGLLSTMNHNLAYNLSWRTITDRALMSSNSIRGQLGHSLLSSIKYAYKVDQRDSRIRPTRGYAYLFSSQVGGLAPESKDARYIRQELDLQVALPLGVLNGALNAGVAAGIIHPLARGSTGSISPLSEQFYLGGNRSLMCRLGGPSSLLGFKKRGLGTDLQSSTPENSENVASTSPELSARGGDIAVTAFADLSFDIPLKPLRELGIHGHAFVSAGNLAKLTEPDLRKFPLAEFLQTFRSSAGFGVVVPTRLFRIEVNYCHILKQFDYDLGKAGIQLNFSSP >ONIVA11G00030.1 pep chromosome:AWHD00000000:11:29227:30860:-1 gene:ONIVA11G00030 transcript:ONIVA11G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGKEEEEEDGGGGAPAAAANNYGSSTTSSTTEEEGSGESRRRTSSSSSVRPYVRSKNPRLRWTPELHLSFVRAVDRLGGQDRATPKLVLQLMNVRGLSIGHVKSHLQMYRSKKIDESGQVIGGESWRSDDHLQMQGGGHGGQAYNLGHLSLPALHHRSITAGSGTIFQSRFGNSWSPWRCHGSYWLPAGHHLLVGSKPYYPPAAEAEAPFRRSSARYVARANTSNHPDFVQGSSSSPDDNIMNHQRPVLKEMICSEGSNHQEGPLNLDLSLDICPRGEKRKRECSWRKQEEDHDHATVAIGADQEAESCATGLSLSLF >ONIVA11G00020.1 pep chromosome:AWHD00000000:11:15879:16663:1 gene:ONIVA11G00020 transcript:ONIVA11G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCCCSISVTFLGALPSSFFLPVLLAQGTWMWESNDDTLVIESAFSSFGVAGSSSQGLCGWFVLIASEFLMGLRSFGKKYGYDLMCLLLSQRIDKDECRIVGI >ONIVA11G00010.1 pep chromosome:AWHD00000000:11:9777:12390:1 gene:ONIVA11G00010 transcript:ONIVA11G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQPSPPSSTVPPAPTAAAAGAVVQVHPAVMQLHHHHHHHHPYAAAAAAQSHHLQQQQQQQAEWPAAVDYCSTASASASATAADMAIPPCCRPLKTLELFPTKSTSGGLKEDCCSSSKSSSCSTSTN >ONIVA10G22200.1 pep chromosome:AWHD00000000:10:21546265:21547255:1 gene:ONIVA10G22200 transcript:ONIVA10G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGGGGERSVAAAAKTKVGALMARALLVMSCVARLDDEDMGAGGGVEEAWATSRWRLSNADEVRHLMVRESMNGVVGNLGRSRGALAPSRRLSRGASSLPLPVLVTPCQGCLASSGPRWAREQRPAS >ONIVA10G22190.1 pep chromosome:AWHD00000000:10:21535993:21545850:-1 gene:ONIVA10G22190 transcript:ONIVA10G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLAEARSGPPPLPPPPGCSCLHTAGSGGGEARPAFHDVRHHHHCRTSPPCTGREKEKETTPPPLLPSPDHSRFHAARSGGGEGSYHENLNPNHEYLLMGWAGLTIPVETGSIHPEKVQRGEKDAADQMPPQAPSPAPAPSGSVGGAAWRHLLLLLTGLPLALAALAFALQWRGGGVDDPTARWPPHAFPGMGMTDPTPPSLPSSACGEVLIASSGPSFPYLRGWSFPFDSDSDAALHPKVCVQTSTSAGLDQILPWLFYHKVVGVAQFLLFVEGRAAKPQVAGVLESIPGVKVVYRTQELEEQQARSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAAMDWIIHLDTDELLYPGGGAEYSVRHLLADVPGDVDMVIFPNYESSVERDDIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFLTYGNGKSAARVQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKDDVKRCFMLDFDRAAFIIASTASEEEMLRWYNERVVWNDKQLNLKLLRKGVLTRIYTPMAIVQGLRESGVFTSSIAAAQSLVNDKVSLKENNVQNKNHTKLEKVSRKFIRANSQASARKILHAVEPASHDSNVSAVPPLSPPSLDNHLLELS >ONIVA10G22190.2 pep chromosome:AWHD00000000:10:21535993:21545850:-1 gene:ONIVA10G22190 transcript:ONIVA10G22190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLAEARSGPPPLPPPPGCSCLHTAGSGGGEARPAFHDVRHHHHCRTSPPCTGREKEKETTPPPLLPSPDHSRFHAARSGGGEGSYHENLNPNHEYLLMGWAGLTIPVETGSIHPEKVQRGEKDAADQMPPQAPSPAPAPSGSVGGAAWRHLLLLLTGLPLALAALAFALQWRGGGVDDPTARWPPHAFPGMGMTDPTPPSLPSSACGEVLIASSGPSFPYLRGWSFPFDSDSDAALHPKVCVQTSTSAGLDQILPWLFYHKVVGVAQFLLFVEGRAAKPQVAGVLESIPANFLLLGPQGVKVVYRTQELEEQQARSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAAMDWIIHLDTDELLYPGGGAEYSVRHLLADVPGDVDMVIFPNYESSVERDDIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFLTYGNGKSAARVQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKDDVKRCFMLDFDRAAFIIASTASEEEMLRWYNERVVWNDKQLNLKLLRKGVLTRIYTPMAIVQGLRESGVFTSSIAAAQSLVNDKVSLKENNVQNKNHTKLEKVSRKFIRANSQASARKILHAVEPASHDSNVSAVPPLSPPSLDNHLLELS >ONIVA10G22180.1 pep chromosome:AWHD00000000:10:21529254:21531445:-1 gene:ONIVA10G22180 transcript:ONIVA10G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSKEAKPERAAGGRSRAVPVALLLVVLCAFSFYLGGIYSTGRSLLDVNGIVVKGASSSSSASAVAIQKDTNTKAVVVFPECPADYQDYTPCTDPKRWRKYGNYRLSFMERHCPPAVERKECLVPPPQGYKAPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLRKEGDKFIFPGGGTMFPNGVGAYADLMAELIPGMRDGTVRTALDTGCGVASWGGDLLGRGRGILTLSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSAAFDMAHCSRCLIPWTEFGGLYLLEVHRVLRPGGFWALSGPPVNYENRWHGWNTTAAAQKADLDRLKKTLASMCFKPYSKKGDIAVWQKSTDPACYDKLTPVSSPPKCDDSVDPDAAWYVPMRSCLTSPSSTSSRYKKLALDATPKWPQRLAVAPERIATVPGSSAAAFKHDDGKWKLRTKHYKALLPALGSDKIRNVMDMNTVYGGFAASLIKDPVWVMNVVSSYGPNSLGVVFDRGLIGTNHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKFVLLEMDRILRPTGYAIIRENAYFLDSVATIAKGMRWNCDKHDTEYKADKEKVLICQKKLWSGKNTQH >ONIVA10G22170.1 pep chromosome:AWHD00000000:10:21510813:21523997:-1 gene:ONIVA10G22170 transcript:ONIVA10G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEREASSEWGDGVGALGFRVKASSREPAAQKAANVLEPDLRSHWSTATNTKEWILLELSEPCLLSHIRIYNKSVLEWEITAGLRYNKPDTFVKVRPRCEASKRDILYPANHTPCRYVRISCMRGNPIAIFFIQLIGIPIPGLEPDLQPLVNYLLPHITAHKQSSQNIHLQLLKDIASRLPPFLPQIEADLASVTDTPESSVHFLALLAGPFYPILQLTNERDFTKSLISSADSDALKSSLASTPTASKVLQELLEPDPLLDDASDQVLRTDYSSLFGDEFSLSENCFDASILNILDIAAVEEGILHILYAASSQPLLCCKLAEKGSDMWSVLPLVQALLPALRPPLSPGSTEQIDGCLSQWNHPNVHKALSQAKAACVLLDLCRGPLSPWVPMITAKVDLAIELLEDLLGIIQGVGQSLTRSRAALKYIALAISGHMDDVLTEYKVHSFFLLKDVKHKLLFILEMLDPFIDPSVSVMTDAMAFGDVSVVHLEKQASACNISLNIIRTAVKRPAVLPSLELEWRRGAVATSVILSTLDPHMPLPPDIDLCKSSMPEIDQISLIVPNCPPHSCSAEDADGRDTSETTPREDILEQCNSLFAPEELEQSELTKTLEEKKHEKISTDLDQNFPEDTKSNGKLPAGLFQLDNIFAADYYDAHADYLQLVNYQDCELRALEFQRLALNLCTQQEPTVEGHNAGIDAFLLAAECYVNPLFLLDFHSNSESLDEIERIHAELIQGNCFSEAKHLRAKDIDLMKIYNLENKRDKAVLDLLMQAARYDFEYQGKIPDGKPFPDDVEDGKQYIEISPEARHLADAVTLVRKNQAMLCHFIMKQFERKGHSPNEILLQSLLFLLHSATDLFCPPENVIDIILKSAEDLNGKLVCLYNSVNARNNKLDRVKLHYLRRRWALLQKLVLASSGSDNTRELVSIKRDGFRFKSLVPPSAWIHKISDFSRSSSPLPRFFGWMAVSRYAKEYLNEQLFLASDFSQLTSLLSIFTDELSLMGGVTTQKAKSAKIEQSGCNNYVLLKKEPLLSDQPSMRLFQILLPELHFFFPSMSKKFDAFGQSILEAVGLQLKCLPKSAVHDVLCWFSEMCLWPYLGNIREHLAFANGVNSLKGNIAAKAKAVVFYLLESIVAEHLEVIVPEMPRMVHILVSLCRASYTDVAFLDSVLCLMKPMISHFLRKSTDNGNVSGDITECSDFELLCFEELFETIQFGKQSEDTPGNKNQVPFLIFILGSLFPDLSFKRRIEILGSLLVWVDFGSSDPSSLLCSYLQGFQAFIDGCETILVQNIELFGVHVLSERNQSTEFANSASPDDTMDNKKAQASVAQVQRRSTEYHENGENSKGVDSPHTVCIKEFCGALERLVSNLAPSIEGSWKWHLQLASRLSLSIAKCLLYAKCLKSIAEGGMIYSSIKQEVGTEISTDLSQKHWESALQGLAETILVNQKKQCWQVASVMLDYMIKLPNILAWDNVLNVMSSAMKHLCSHAPRISWRLQTEIWLSILVSYGIEGLKNSENSLIDLFCTLLSHAEPEQRSVALQQLGRIIMSTTKVDSEYTTYKQNSLSSGSTVTSLLVTHTWDRVAALAFYDSSMLLRKHALALLTEYIPFVDRNHLQSFLGSSNSILNGAEQFSYAIEQGYLTRMSLLLLSRACLYSAPEDIALIPECVWRKLENMQTSIPGCFGDMEKDLCRALCQLRSESDAKTVVKELLMESTAKPVETDFKGIRESILQVLSSLSSVESYFEFFSTRSDQEYQELEEAEIELEIVKNEKALHSFIVHPQDTMIPDMSSYYKDGNEVNKQLQQIQEDIRSLERSKLREEIIARRQKKLLIRHTREKYLEETSSREMELLQELDRERAHEMEREIERQRQLDLERVKSRELQFNLDMEREKQTQRELQRELDQVELGRSSRREFSANTNSRSRERYRERDNGRGQQEGRSRGGGVEAGGSATRSFSGNLPTILQQPRERTTSDERTSTGGNYYEENAEGSGDASSVGDPESAAALEAGTRHGPRGGSKSSSSSSRQVVVERRERREGKWERKHS >ONIVA10G22160.1 pep chromosome:AWHD00000000:10:21504889:21509903:1 gene:ONIVA10G22160 transcript:ONIVA10G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease P4 [Source:Projected from Arabidopsis thaliana (AT5G45390) TAIR;Acc:AT5G45390] MSAASASATASLSAAAAVAVAAAALRPTQQRRLRVVAPPRPLRLTSLNPPRAASAPSTPLWEAGVRAESSDSPGAGAGGDVMGLLLRERIVFLGNEIEDFLADAVVSQLLLLDAVDPNSDIRLFVNSPGGSLSATMAIYDVMQLVRADVSTIGLGIAGSTASIILGGGTKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILTNKRNVIRLISGFTGRTPEQVEKDIDRDRYMGPLEAVDYGLIDGVIDGDSIIPLEPVPERVKPKYNYEELYKDPQKFLTPDVPDDEIY >ONIVA10G22150.1 pep chromosome:AWHD00000000:10:21504879:21509955:-1 gene:ONIVA10G22150 transcript:ONIVA10G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLLQSSCCYCLLGQSRNSATTARANNPHHHHHPLLFSSLVSSHPILFSSAFCSSFICAVLSTRPRLSFLRSSASFESHRSVCGVHMDPFFRRASSDPLCLEDNSVQHGIERCPFLRNINEPTSFSFSSVNFPVPARGDKGPIFEDGPNFDMAFRVFHGQDGVVPLSQGSFERFEKPMPKPNPEFNPLAAKAATISLSAFGGFFSFGDFSNKRNKKNSNQKKPNNLPQNGGQPNNHEALSNEWLEMGQCPLAKSYRALSGVVPLVAKMMTPPAGMKLRCPPAVVAARAALSRTAFAKGLRPQPLPTKILVMALLGMAANVPLGIWREHTEKFSVQWFAAVHAAVPFIGMLRKSVLMPKTAMALTIAASILGQTIGSRAERIRLKRAAKVAAGSQGDASTRMSLKTGRYTDDVQFWDPLALRVESTIGAGTPADVRVGVDGVEEEELADDCVGEEVLYLVAEEDDALPEEEAHDVTPGSGSGRVGRLSSDAGLPQRSRGGGSRARGVETGEPEGARWRDNAQASLLRGAESGRRHRHRRRRGEGGSGTRTRSRHRSQLSLSCPRLTYFLLGLPLSLALSRLLTGVWGGFSYKLLYITFVL >ONIVA10G22150.2 pep chromosome:AWHD00000000:10:21507470:21509955:-1 gene:ONIVA10G22150 transcript:ONIVA10G22150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLLQSSCCYCLLGQSRNSATTARANNPHHHHHPLLFSSLVSSHPILFSSAFCSSFICAVLSTRPRLSFLRSSASFESHRSVCGVHMDPFFRRASSDPLCLEDNSVQHGIERCPFLRNINEPTSFSFSSVNFPVPARGDKGPIFEDGPNFDMAFRVFHGQDGVVPLSQGSFERFEKPMPKPNPEFNPLAAKAATISLSAFGGFFSFGDFSNKRNKKNSNQKKPNNLPQNGGQPNNHEALSNEWLEMGQCPLAKSYRALSGVVPLVAKMMTPPAGMKLRCPPAVVAARAALSRTAFAKGLRPQPLPTKILVMALLGMAANVPLGIWREHTEKFSVQWFAAVHAAVPFIGMLRKSVLMPKTAMALTIAASILGQTIGSRAERIRLKRAAKVAAGSQGDASTRMSLKTGRYTDDVQFWDPLALRVESTIGAGTPVLVPTFH >ONIVA10G22130.1 pep chromosome:AWHD00000000:10:21501279:21504823:1 gene:ONIVA10G22130 transcript:ONIVA10G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G19150) TAIR;Acc:AT4G19150] MGRRHGGGGGGGGGRGRGGRGRGGGEEDDLHLHKAARSGDLAAAESLCEANPLALNSRDRLSRTPLHLAAWAGHVELVKCLCKHKADVGAAAMDDTAAIHFASQKGHVENSHLELVKYLVKKGADIAAKTKGGQTALHVAEKDDVRDFLKECEQSLKKGGELPSEKKDDSVSTIAEKPNDDKSSGEATKDEDEAGFGEKRKSDGIAAALRSPELKKAKVSLGHLISANDMEEEEEAD >ONIVA10G22120.1 pep chromosome:AWHD00000000:10:21500141:21500777:-1 gene:ONIVA10G22120 transcript:ONIVA10G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSEPWCLHERPNRVASGEKEEWAVAYVSFDEYLLDCARMFHAMFPDESRSQRPSDTKWELRGLERGYASASFDLGIRGSLYTDRRVRD >ONIVA10G22110.1 pep chromosome:AWHD00000000:10:21479446:21480456:-1 gene:ONIVA10G22110 transcript:ONIVA10G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWX5] MAGDGQRRRYLIGYALAPKKQQSFIQPSLVSRAAGRGMDLVPVDPSRPLPEQGPFHLLIHKLYGEEWRGQLDAFSAAHPAVPVVDPPHAIDRLHNRISMLQVVSELDVPLHAHHHHTFGIPSQVVVYDAAALSDSGLLAALRFPLIAKPLVADGTAKSHKMSLVYHREGLRKLRPPLVLQEFVNHGGVIFKVYVVGAHVTCVKRRSLPDVSSDVLQDASAEGSLSFSQVSNLPNERTAQEYYDDMRLEDAIMPPTAFINDIAAALRRALGLHLFNFDMIRDARAGDRYLVIDINYFPGYAKMPGYETVLTDFFWEMVHKDDDTPNLNPNPNDEDVK >ONIVA10G22100.1 pep chromosome:AWHD00000000:10:21471782:21480720:1 gene:ONIVA10G22100 transcript:ONIVA10G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAKVEFDESPPDDFDPKNPYGDPVAMLEYREHLVREKWIQIETAKIIRDRLRWCYRIEGVNHHQKCRHLVDQYLEATRGVGWGKDARPPELHDPKKVVEADE >ONIVA10G22090.1 pep chromosome:AWHD00000000:10:21470234:21471370:1 gene:ONIVA10G22090 transcript:ONIVA10G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSVGSAGGKPRLLEGGAAAGEEEEEEEKAGKAGRAAPDRSIHIIPVLTLLCFLVLFLLSHDPASSSLAIATGRGNDGVHRPQRRRPPPVKAGAEAGPRPKDGDGAAAAALTAKAKAGCDVSAAQLAVGSVVGIEDPSHFFFFGEEADFDRSG >ONIVA10G22080.1 pep chromosome:AWHD00000000:10:21459439:21467971:-1 gene:ONIVA10G22080 transcript:ONIVA10G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79190) TAIR;Acc:AT1G79190] MEMEAAAAAAAAASDETLAAIFAQLKPHTVTLLDLIRTRTPASKSAAASSLRAMASFLRSAPAPALQLCFEKEANASGELDISDAIAEGGLACLEVLLTKCRLTSVNQMVALLKKLTFGAMLSPLEASEEFRQGIIRCFRAMILQLHPCLDRSCSCKQATALSTALSFTSLEVGTIVTPKYSAQPEECLLAFLQSQNASAAVGHWLSLLLQSSELEASRGHRGSADVRKESLITLRVLIGKVGSADALAFFLPGLVSRLGKVLYTSKNMISGAAGSALSIEQAVLGLTEALIVVLNDKENLSELDISSVENVALCSGGNSSSEHVLQMLRQLPAKTLSKQIGSGEATEDVNADGSKTSADRRELHVKRTKKWLEETANNVDKLLSATFPHLSIHSSEKVRRSVVNGIRVLLSSCSYTLRKSKMLLVECLCILACDDAASVSEAAQDSLDYLFIEGERVLTEDDVSDIFTRFVEKLPQMVLGSEETTAISHARRLLALTYYAGPQFLANYLHRSPVVAARLFDCLGLCISQSSQFSGSMDKLIVSKPLSVGYLFSVAELKSGAYPKDENYGFQHAMPASTATKISVIHDNGLPNTTHSSVDYELPHVPPWFVHVNSQKLYFALAGIVRLVGLSAVSGEETSASLSLFVDILLDQFRRLSTELRSGGQRWYMKSDAGQTLRQASSAVCMLNELIYGLSDRSLSICLQIFNKNSAQMIGAPGQNDQLTAFGQNNGGTNRNIWKISEQMGTKNHIIHCIGSILHEYMAPEVWDLPTEPDSELSLTELNIPLYFFRDTAALHQVMIEGIGVFGVVLGQDFASSGFMHSSLYLLLRKLISSSVQIRIASDAVLRALAAAGGYCSVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEVAKASRHESVSLPDEVESFFMKVRSEGEAIQSLIEKRRDTCAMPERMDVDAQPDFMGLEYWEDLLCKLNEMRRYRRIVGSLVGSCVVASTPLLSSTKEAACLVALDIVENAIISIAKVEEAYKCESRCKAVIEETIQLLSVDELHDDMDAAEDVDENRLLPAVNKLWPYLVICLGNKISVSVVRKCTEVLSKVIQISGGDFFVRRFHKDGSVIWRLLTLSPFQRKRMALMDEKAIILPYRNTSLTSEEPMAEISSQKIQIAVLDMLAEISSNKRSAIALGSVLKKVCGLVVGIAYSGLIGLREAAIRALTGIASIDSDLVWLLMADVYYSLNQRDIPLPPKQDLVELSDLLPPPMSSREYLFVLYGGEGVRCDIDPSSVREVFKSMQDTVLT >ONIVA10G22070.1 pep chromosome:AWHD00000000:10:21455781:21461820:1 gene:ONIVA10G22070 transcript:ONIVA10G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT2G35490) TAIR;Acc:AT2G35490] MAMPPPLFAAASHASLLLPSPTIHSSTGSRRPFRLPLRSSRRPPVAAAAASGVPDEWGDRSPSAPEPPSQPDPPIDDDEWGRDDPSASGNSRPVPVTDEWGEPGVPEPQSTSAADPPTNDDEWGGDPAPPPPPPPVPEEDNEEERREELKRCLVDTVYGSDLGFRASSEVRGEVLELVTQLEATNPTPEPVQATHLLAGNWILIYTAYSELLPILAVGAAPLFKVDEISQEIDTNSMTIVNTSTISSPFASFSFSATASFDVQSPSRIEVQFKEGSFQPPKISSSVDLPAEVDIFGQKISLGPVQQVLNPLQQAFASIAGSISGQPPLKLPIPGNNRARSWLLTTYLDKDLRISRGDGGLFILVKEGSPLLDQL >ONIVA10G22060.1 pep chromosome:AWHD00000000:10:21446302:21450725:-1 gene:ONIVA10G22060 transcript:ONIVA10G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDCQVLSSMAAMAGASSSADALFASPLIPNPALAGFMSSSAAMPFHHFSNAAATLIPKEEGLMGGLHVAKDEEMDLEMDMELSGGSGSAHLDGLLSFADVDDDHKPQHSGHDQPPDAAQPSGAAGGNAKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVILRAENENLKSDNFRLQAAIRNVVCPNCGHAAVLADMSYEEQQLRIENARLKDELDRLACIATRYGGGGGRQPVLSTSALSCISAPPPVLMPPLDLDMNVYSRHFAEQAPVMGCGDLIPPPVVPQHDGAAAYMGAMMAPVQEQDKQLVVDLAATAADQLARMCRAGEPLWVRQRGAEVMAVEEHARMFSWPVDGAKQGDGGAVARAEGTRDNAVVIMNSINLVDAFLDANKWMELFPSIVCKARTIQIINHGAASGHLGSGTLLLMQAEVQFLSPLVAAREVVFFRYCVHNADEGSWAIVDFPAEGFEEGLLQASVVRCRRRPSGCIIQDMPNGYSRVVWVEHMEMVGEEKPLQPVFRDYVASGAAFGATRWLSILQRQCERLASELARNIADLGVIRTPEARTNMMKLSQRMITTFCANISASGTQSWTALSDSTQDTIRVTTRKNTEPGQPSGVILTAVSTSWLPFTHQQVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQESSTHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATSPSPAAAPTISSSTTTTTGNGNGETSSTPPRNSSSNNNNADELLPPNGCLLTVGMQVLASAVPSAKLNLSSVTAINSHVCNAIHQITAALKGSAGGAGGEPASDQ >ONIVA10G22050.1 pep chromosome:AWHD00000000:10:21432702:21433373:-1 gene:ONIVA10G22050 transcript:ONIVA10G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSPRLPLPATNTAPPFKVHRGSRLIHKQPPAAASSSSSSNSSTSSASGLTTTTKNKNNAATAAAAHRPPSRQQHKQQQQQQPVIIYTHSPKVIRTSPRDFMSIVQRLTGLDSARTAASHSHHDSSSSSSSADSCTNTSHHHAHAPPPPSSHSHSYVVVDPPPPPLPTTHHFIPPEIPLLARAPASDLPPALCAYAAPFVPVMSSPAATVFSAPDVPFPDH >ONIVA10G22040.1 pep chromosome:AWHD00000000:10:21415454:21429613:-1 gene:ONIVA10G22040 transcript:ONIVA10G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G26890) TAIR;Acc:AT2G26890] MDFASRHAASAPPPAPDASSPSSPRASSASSSSSAAAEEPEYLARYFVVKHSWRGRYRRILCIASSGLVTLDPATLAVTNSYDASYGFDRAAPEGNATEFTLTLRTDARGKFKALRFSSPLRAGILTELHRLRPVHPVLDFPVLHLRRRTHEWAPFKLKVTSVGIELLEGHSGDLRWCLDFRDMDSPAIILLGDSYGKRTAEGGGFVLCPLYGRKSKAFMAASGSTNTLIISYLTKTANSMVGVSLHVDNSQSMTATDFIAKRANEAVGAAETRHGEWSVTRLRPAAHGTASIESLSLGIGPRGGLGDHGDSVSRQLVLTNTSLVERRPENYEVDVGFFSPVLIQTIRKISITYEHASTFYTPYQINLLVIVVSRDEAHGPWAIIVRPLSTVSALVRFAEEPQMFAFEFNDGCPIHVYASTSRDSLLATVLDVLQNQSQCAIPVLPRLTMPGHRIDPPCGVARVHISHHTVDMEAASMHIKHLATVAKEAVVSSDTVPGAKIRLWRRIREFNACIPYTGVPVNIEVPEVVLMALISLLPATPQNLPADAPPLPPPSPKAAATIMGFVACLRRLLTSRSVSAHVMAFPVAVGRIMGLLRNGSEGVAAEAAGLVAMLIGGGPGDTSMLMDTRGESHATYMHAKSVLFSQPVYVPILVNRLRPLSVSPLLSLSIVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETVSVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFYPAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQNQYDEVPLSRRQKRILQQRRSRGGKSMAVPEQGMPSNNNEGDFFGHTNVGPFGADVHQRHANQYPTAYTPSPGISIDPSQAVPHGFVPEAFYENNHQTGAPQLDSHAYLVDSNGNGDLANSAHSDFSVPAQVVVENTPVGSGRLLCNWYGFWRAFSLDHNRADLIWNERTRQELREALQAEVHSLDVEKERTDDIVPGSSVTEDASDSETLPRISWNYVEFSVSYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDLGRLDGFGGGGGSSVRELCSRAMAIVYEQHNKVIGPFDGTAHITVLLDRTDDRALRHRLLLLLKALMNDLSNVEACVLVGGCVLAVDMLTVAHEASERTAIPLQSNLIAATAFMEPLKEWMYIDKDGKQVGPLEKDAIRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWALAVKVPVLTPSQIGDAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQAMLTGEPSIVEAAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAAAMVSDSDTPEIIWTHKMRAEHLIRQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLAMWREELTRRPMDLSEEDACKILEISLDDLVLGENGSSKQSSELSSGNLTNNIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLLLLLRAQCILYKRYGHVLEPFKYAGYPMLLNAVTVDKDDSNFLSSERAPLLIAASELIWLTCASSSLNGEELIRDGGIPLLATLLSRCMCIVQPTTPANEPAARIVTNIMHTFAVLSQFESGRAEILKFGGLVEDIVHSTELEFVPSAVDAALQTAANISVSSELQSSLLAAGFLWYVLPLLLQYDSTAEENATSEAHGVGARVQIAKNLHAVHATQALSRLCGLGGDGISPSNQSAFDALRALLTPKLADMLRNHPPKELLSNLNANLESPEIIWNSSTRGELLKFVDQQRASQGPDGSYDLTESHCFTYQALSKELNVGNVYLRVYNNQPDYEISDQEGFCIALLKFIAELVQKWNSLSLDENMMHQCGTAIETSVTENGDISGSTNEGKEEDSLEKHNRGVTDGDSEVIMNLRSGLTSLQNLLTSNPGLAAVFASKERLTPLFECLALPVPPESNIPQICLSVLSLLTKHAPCLEAMVAERTSLILLFQILHCNRSCRDGALTVLYSLASTPELAWAAAKHGGVVYILELMLPLEEEIPMQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSAIRDGPGEAVVSCLEQTTETPELVWTPAMAASLSAQLSTMATDLYQEQMKGRVVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVSAMAYEGRRDTMASGQTTSRLQAEPSDQENSADSTVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCAKVREILNSSDVWSAYKDQKHDLFLPSNAQSSAAGVAGLIESSSSRLTYALTAPPPQPALVRLPSTAPALPSAPANPSGRHSYQHS >ONIVA10G22030.1 pep chromosome:AWHD00000000:10:21408016:21413043:1 gene:ONIVA10G22030 transcript:ONIVA10G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPDFLAGVLFQIKSNLEEEEIEIKSMNLWTDDNASMMEAFMASADLPAFPWGAASTPPPPPPPPHHHHQQQQQQVLPPPAAAPAAAAFNQDTLQQRLQSIIEGSRETWTYAIFWQSSIDVSTGASLLGWGDGYYKGCDDDKRKQRSSTPAAAAEQEHRKRVLRELNSLIAGAGAAPDEAVEEEVTDTEWFFLVSMTQSFPNGLGLPGQALFAAQPTWIATGLSSAPCDRARQAYTFGLRTMVCLPLATGVLELGSTDVIFQTGDSIPRIRALFNLSAAAASSWPPHPDAASADPSVLWLADAPPMDMKDSISAADISVSKPPPPPPHQIQHFENGSTSTLTENPSPSVHAPTPSQPAAPPQRQQQQQQSSQAQQGPFRRELNFSDFASNGGAAAPPFFKPETGEILNFGNDSSTGRRNPSPAPPAATASLTTAPGSLFSQHTPTLTAAANDAKSNNQKRSMEATSRASNTNNHPAATANEGMLSFSSAPTTRPSTGTGAPAKSESDHSDLEASVREVESSRVVAPPPEAEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRGKLTALETDKETLQSQMESLKKERDARPPAPSGGGGDGGARCHAVEIEAKILGLEAMIRVQCHKRNHPAARLMTALRELDLDVYHASVSVVKDLMIQQVAVKMASRVYSQDQLNAALYTRIAEPGTAAR >ONIVA10G22020.1 pep chromosome:AWHD00000000:10:21391697:21395244:-1 gene:ONIVA10G22020 transcript:ONIVA10G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSSSAAADKNTVFRKLRAKSDNKMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLKMMVYGGNNRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKDVAKNSTEDGNNSWPSSPVAASQPTNQADAIPDLKLAEASKEVANEKTEPEVIRSPRAPTHSFKKPIVAKKPGNKTGGLGARKLTSKPNESLYEQKPEELAPALPPVTENSTAKSKSHTSRFEYVENTPSAGSNSEENQVIGHVAPPKSSNFFGEFGMDSGYHKKSAPGPSKVQIEESSEARQKFSNAKSISSSQFFGDQASFEKEAQVSLQKFSGSSAISSADLFGHPTNSSNVDLSASDLINRLSFQASQDLSSIKNMAGETGKKLTSLASNIMSDLQDRIL >ONIVA10G22010.1 pep chromosome:AWHD00000000:10:21384558:21385881:-1 gene:ONIVA10G22010 transcript:ONIVA10G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHESPFKELRLKNRRIMGGGGPEPEEEEAVAHGEQWPRWLSPLLSASFFSQCKVHADSHRSGECNMFCLDCAADADAAAAALCSLCLAHNHRDHHTIQIRRSSYHDVIRVSDIQRFMDIGGVQTYVINSARVVFLNERPQHKAGKGAVANICEVCSRSLLDNFRFCSLGCKVVGCSPHAATAAATATATAARRKRLRHAHAMASTSDSDNSTSPAKRSFTPSTPPPPPTLPPKRRKGIPHRAPFGSLIVEY >ONIVA10G22000.1 pep chromosome:AWHD00000000:10:21379852:21384535:1 gene:ONIVA10G22000 transcript:ONIVA10G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSTTSDANLSCRYFPFIFPIAASSSAAAADKPPQLGGRELGSAAASPRAPAAAASPRAPAASAGRERARAAVSPCAPVAAAASPRLVLLPLPCRRPRPRRLVLLPPSCRRSRLRRLVLPLPRPGDLLPTTPPALALPETREVRERKGREEGKERVADLDKLTGGAHAGPTLTQKPRSIKPGSKPPKDLGKEGGGGGGGERGPHQYPVAAEPGNGCQWELNTLADTTRRANGIHLEKHHQKATMVMAMGKGRRGGGRFAMRFPIAHALDSCR >ONIVA10G21990.1 pep chromosome:AWHD00000000:10:21373604:21378627:-1 gene:ONIVA10G21990 transcript:ONIVA10G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEAPQGEVGHQAGTGWDTAIAIGKRKRVIELPDRMAFVESGGGGRGSRRSERSKEGRPKSSLNMQPEAAQITKWANRMEMESLGRDQMAMSHAAATATTLRAAHMAPPATTLSDALDHDRRRDARRYRTFLPPSQLVLGLHRLGFACIPRSGAPLTRPRTAPSSVPHQPPPRREAPQPQVANAAVAERRPLREREEAAAAAAAKRIHDHHTPAESKAEAASEPQVSAGDHSTQSSDHSASAVAVGRGGSIWVRVLPKSVAMVDSPQTSEESTPTAEDKYIWADKYRPNFLNDFICNKDAALELYNQMMVTAQECNHIIFEGPTSVGKRSMVSALIRDAFATDNLKIEEQTKRFELKGEIAKHIDIRVKISSHHVEAVRHLCKVVTLKPPSSDEIIKVLEYIAVQESIDLPRDIARRITMSSGNNLRQAIRSFEATWKANYAFLEGHAILTGWEEEISNVAKKILEEPSPKQLYVIRGKIRKLIEHNVSPYFIFSNLVAELKRDRDEEFQNSIDQLASELNHIKDCARQKEQCESGDTGLEIRNINIEGFAKEGHDQRETIQCFIKIEEFTVRFMGFYRSLKAKNMNRGGIADLQLN >ONIVA10G21990.2 pep chromosome:AWHD00000000:10:21373604:21378627:-1 gene:ONIVA10G21990 transcript:ONIVA10G21990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEAPQGEVGHQAGTGWDTAIAIGKRKRVIELPDRMAFVESGGGGRGSRRSERSKEGRPKSSLNMQPEAAQITKWANRMEMESLGRDQMAMSHAAATATTLRAAHMAPPATTLSDALDHDRRRDARRYRTFLPPSQLVLGLHRLGFACIPRSGAPLTRPRTAPSSVPHQPPPRREAPQPQVANAAVAERRPLREREEAAAAAAAKRIHDHHTPAESKAEAASEPQVSAGDHSTQSSDHSASAVAVGRGGSIWVRVLPKSVAMVDSPQTSEESTPTAEDKYIWADKYRPNFLNDFICNKDAALELYNQMMVTAQECNHIIFEGPTSVGKRSMVSALIRDAFATDNLKIEEQTKRFELKGEIAKHIDIRVKISSHHVEVNLADIHGYEKHAVRHLCKVVTLKPPSSDEIIKVLEYIAVQESIDLPRDIARRITMSSGNNLRQAIRSFEATWKANYAFLEGHAILTGWEEEISNVAKKILEEPSPKQLYVIRGKIRKLIEHNVSPYFIFSNLVAELKRDRDEEFQNSIDQLASELNHIKDCARQKEQCESGDTGLEIRNINIEGFAKEGHDQRETIQCFIKIEEFTVRFMGFYRSLKAKNMNRGGIADLQLN >ONIVA10G21990.3 pep chromosome:AWHD00000000:10:21373604:21378627:-1 gene:ONIVA10G21990 transcript:ONIVA10G21990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEAPQGEVGHQAGTGWDTAIAIGKRKRVIELPDRMAFVESGGGGRGSRRSERSKEGRPKSSLNMQPEAAQITKWANRMEMESLGRDQMAMSHAAATATTLRAAHMAPPATTLSDALDHDRRRDARRYRTFLPPSQLVLGLHRLGFACIPRSGAPLTRPRTAPSSVPHQPPPRREAPQPQVANAAVAERRPLREREEAAAAAAAKRIHDHHTPAESKAEAASEPQVSAGDHSTQSSDHSASAVAVGRGGSIWVRVLPKSVAMVDSPQTSEESTPTAEDKYIWADKYRPNFLNDFICNKDAALELYNQMMVTAQECNHIIFEGPTSVGKRSMVSALIRDAFATDNLKIEEQTKRFELKGEIAKHIDIRVKISSHHVEVNLADIHGYEKHVITTLLNESIPSPNSICSHANCRVIVVHDADKLSSDLQHYIGWFLGRYVGCNKIMFCCSDASNLEAVRHLCKVVTLKPPSSDEIIKVLEYIAVQESIDLPRDIARRITMSSGNNLRQAIRSFEATWKAKLYVIRGKIRKLIEHNVSPYFIFSNLVAELKRDRDEEFQNSIDQLASELNHIKDCARQKEQCESGDTGLEIRNINIEGFAKEGHDQRETIQCFIKIEEFTVRFMGFYRSLKAKNMNRGGIADLQLN >ONIVA10G21980.1 pep chromosome:AWHD00000000:10:21372770:21373363:1 gene:ONIVA10G21980 transcript:ONIVA10G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTRRLLLLNHEPTTTPASSSSSSRQSFPTLLPVFILFVLLLCFLSIFLVRDLLHFLSLCLRRRRLLLRHGEDHDSSSVSMQSSASPINAQAPRKPPGLDPAILASFPTLRFKASAAAPECAVCLSDFAAGDALRLLTVCRHAFHTPCIDSWLRAHTTCPVCRSDLDAAPAPAPRHEDPAAVAVDVECDRRGGAG >ONIVA10G21970.1 pep chromosome:AWHD00000000:10:21369009:21369594:-1 gene:ONIVA10G21970 transcript:ONIVA10G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTSYCVEEVEASGELSEESYRLIQQKMCDQGATYGIKNIKEKINLRCQEYKRKDQKHHKIRSRDSQDYNLRCRHHLDLLDRLFDQVKPFKSNISFLKFCAFRLINSYCLS >ONIVA10G21960.1 pep chromosome:AWHD00000000:10:21357022:21361480:-1 gene:ONIVA10G21960 transcript:ONIVA10G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKSTSRKCEGLRMSFLNNNSKEILLTKYTPVRKYNLTVILRNLNLREIAPLIWELRLVKCIQDYGLKGWGSARRWMGGGVGFLYLLAFKAEAVAACRCRSPRLISVADRRGKGWSPGASWTMQTTWIFAFLIELITPKAAMSGMGSSNALPCPQRYRRRRKIVGRGGVEGEGDSRRGRREALAGEGRGRWRLVVTRSAGRGAGGRACEGGASESTAAAAAAVGVRGMARTTRAALETARQGCGREERRRWRRAL >ONIVA10G21950.1 pep chromosome:AWHD00000000:10:21356851:21358082:1 gene:ONIVA10G21950 transcript:ONIVA10G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGMGAAVPGAASAVGVDGEECVRRRQSTPTENHRNIPRPTYRARRHLLLSSLPQPCRAVSSAARVVRAIPLTPTAAAAAAVDSDAPPSHALPPAPLPADRVTTSLQRPRPSPASASRRPRRESPSPSTPPRPTILRRLLYRCGQGVRLADLVRAAITPSTSLADPLHRRTARTPSLASPICDADESRTPASACCHRLRLERQEVQKPYSTTHPPSS >ONIVA10G21940.1 pep chromosome:AWHD00000000:10:21349255:21350826:-1 gene:ONIVA10G21940 transcript:ONIVA10G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal export protein NMD3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWV6] MLPGSAAPAAGSGMFVPTQTVGTVLCCICGVAMQPNPANMCARCIRARVDITEGVPRHAAVVYCPDCTSYLQPPRSWLRAGPESPELMQILLRRLNRPLARLRVSLSAAEFVFSEPHSKRLRLKLRLRREVFNAVVLEQTHPVEFTVHDRLCDACARAQSNPDQWVAVVQLRQHVPHRRTFLYLEQLLLKHGQASLALRVAAAPGGLDFFFGSRSHAARLVDFLATVAPVSTQTAKQLVSHDTKSNVYNYKHTFSVEICPICREDLIALSPQVSRDLGGLGPIVLCIKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVEYIVLDIEHESPEITIDGSRYQLAYAQVARVSDFGKNDTMFTVRTHLGHLLNPGDLALGYDLYGANLNDDDMDTAMMRHSLPEVILVKKSFAKRPRTRRWKLKRLPMEEDAVNKAKGELEKRADEYEEFLRDLEHNSDIRFQIDLYKDEDYRSEMASTIGDDVPTVPIEELIEDLSLGDDEEEDEGGEGNQHADMVG >ONIVA10G21930.1 pep chromosome:AWHD00000000:10:21346655:21346903:1 gene:ONIVA10G21930 transcript:ONIVA10G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVAIAIAEEVGGIWKGGEALAAATAGGTGQPSRSNPTMTCLCDYRVGRQPRSTVGHEPKKDEEIQLVLFYSSPGQSTRQP >ONIVA10G21920.1 pep chromosome:AWHD00000000:10:21341642:21346475:-1 gene:ONIVA10G21920 transcript:ONIVA10G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVKDLVAGTVGGAANLIVGHPFDTIKVKLQSQPTPAPGQFPKYAGAVDAVKQTIATEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEALLRSEPGQPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALAEAAAASGVALPKGPIDVAKHVVREAGMKGLFKGLVPTMGREVPGNAVMFGVYEGTKQYLAGGQDTSNLSRGSLILSGGLAGAVFWLSVYPTDVVKSVIQVDDYKKPRYSGSVDAFKKILAADGVKGLYKGFGPAMAREEMGDVAKDLTAGTVGGAAQLVVGHPFDTIKVKLQSQPTPPPGQPPKFAGAIDAVKQTLAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEAVLRSEPGAPLTVSQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASAAPAAAAAAASPVGGGAATATATASVAAVKYGGPVDVAKQVLRSEGGVGGLFKGLGPTLAREVPGNALMFGVYEAIKQYLAGGQDTSSLGRGSLVVAGGLAGASFWGSVYPTDVVKSVIQVDDYKNPKYSGSMDAFRKILAADGVKGLYKGFGPAMARSVPANAACFLAYEVTRSALG >ONIVA10G21910.1 pep chromosome:AWHD00000000:10:21336160:21336798:1 gene:ONIVA10G21910 transcript:ONIVA10G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEEVPPPPAGLGLTAEEYAQVRATVEAHHRYAVGPGQCSSLLAQRIHAPPAAVWAVVRRFDCPQVYKHFIRSCVLRPDPHHDDNGNDLRPGRLREVSVISGLPASTSTERLDLLDDAHRVFGFTITGGEHRLRNYRSVTTVSQLDEICTLVLESYIVDVPDGNTEDDTRLFADTVIRLNLQKLKSVSEANANAAAAAAAPPPPPPAAAE >ONIVA10G21900.1 pep chromosome:AWHD00000000:10:21329027:21330762:-1 gene:ONIVA10G21900 transcript:ONIVA10G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKTRGRRSAPAPPPPPTPPPPPAPPANVIDLTSSPDASTTKGGGSGNRTKRAPPSLLDIELDGIEMWTPGQKRRLDEDCCILSADPLSPDVVAATAAAAANDDVAVVAERGKVACRDYPHPRSACAKFPFGTTPHDDHCEQCFCYVCDVPAPCSSWKGEKGHCHASNKDKKWKVKRTARQKRTQVVK >ONIVA10G21890.1 pep chromosome:AWHD00000000:10:21327584:21328365:-1 gene:ONIVA10G21890 transcript:ONIVA10G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIEEEIDAESDDDCCEIDPDEFARKVQLKVSDEVILVAAKGQIKVDANQPEGFGNLLDLSDSDNNLQPHHEYAAGDRMDHPYEIDEDKTTLEKLVDEGDRCREEVIPVKISVKSEPEEHGVIGEEDAYDLLPEINGFSEQLFPDERRAFDEEDDDDVVVIGRDSL >ONIVA10G21880.1 pep chromosome:AWHD00000000:10:21327419:21327850:1 gene:ONIVA10G21880 transcript:ONIVA10G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIVDKRRYQRLIHALRISPDDNNVIVVFLIKCSAFIREQLLTEAIDLREKIICIFFPNDTVFFRFALHRYLDRYDFLTAAITFVYLEIISSLLVLAINQLF >ONIVA10G21870.1 pep chromosome:AWHD00000000:10:21324342:21327234:1 gene:ONIVA10G21870 transcript:ONIVA10G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMMECR1 family [Source:Projected from Arabidopsis thaliana (AT2G38710) TAIR;Acc:AT2G38710] MPQNPRGKRDHPNKKKGARRVNDLTSNPTRRASIASPPYPPPDSGGCLAFSDELHRRVAAPCQDRMVVATEEMAVYCFDALVSHYSGDQPPPPAFEEGIHPLFVTWKKATNGSEPRLRGCIGTLEARQIVTGFKEYALTSALRDRRFPPIQSKELPYLECTVSILTEYETALNHLDWEVGKHGLIIEFTDPDYNMRRSATYLPEVAAHEGWTHLETIDSLMRKAGYNGTITDSLRKKLRVTRYQSTLYTMHYGEYAAYVKKNRGAAPEINGAPIINGFKPGH >ONIVA10G21860.1 pep chromosome:AWHD00000000:10:21320530:21323716:1 gene:ONIVA10G21860 transcript:ONIVA10G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G27600) TAIR;Acc:AT4G27600] MALASSSRLLHRFSSSSLARPCRRRVPRPRGHSRFAVRWEHRAAAVVVLLPRALLGGGFQDADAAESDDEEEEDAHGVLRPLDADDVNLDLHAPPSGPERWDVLGLGQAMVDFSGMVDDEFLHRLGIQKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALSRLGSSRSTNYPELRIAMAGSVGSDPLGSFYRAKLRRANLHFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTLSYDSDLASLVSKSNIVIVEGYLFELPHTIEAIKQACEDAHKNGSLIAVTASDVSCIKRCYNDFWDIVTNYADILFANANEARAFCELSSTDSPMSAARYLSHSVPLVSVTDGVHGSYIGVKGEAIYIPPPPCVPIDTCGAGDAYASGILYGILRGSSDLKSIGLLASRVAAIVVAQQGTRLRVQDADRLAESFTLHLDNLEFCSDIETDHVSNF >ONIVA10G21850.1 pep chromosome:AWHD00000000:10:21312226:21315423:-1 gene:ONIVA10G21850 transcript:ONIVA10G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKDVSPLVTVPPAPAAAAPPPAAAPAPPPSQPPPPPLPFAQQAPPPAANPAAAPMRLSFDQMAGKAPGGEQQHHHHPGPMLYAAAPAGGAAPPPQGGNVMGMGELMRKKRGRPRKYAPDGSMALALAPISSASGGAAPPPPPPGHQPHGFSISSPASDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEDVASKIMAFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPVETRKVEPMSAPPQMATYVPAPVASPPSEGTSSGSSDDSGSPINHSGMPYNHSGQQQQHQQHQHMPPAYASGGWSLSAHHQNRHDSDMKMMSN >ONIVA10G21850.2 pep chromosome:AWHD00000000:10:21312226:21315423:-1 gene:ONIVA10G21850 transcript:ONIVA10G21850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKDVSPLVTVPPAPAAAAPPPAAAPAPPPSQPPPPPLPFAQQAPPPAANPAAAPMRLSFDQMAGKAPGGEQQHHHHPGPMLYAAAPAGGAAPPPQGGNVMGMGELMRKKRGRPRKYAPDGSMALALAPISSASGGAAPPPPPPGHQPHGFSISSPASDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEVNPDLKTKRLSFLPSFLLDPSVQPAIAESAAAVQQVVMDLCLLFLRSGFGARTNSTPLHSSHDHDHHWLGWKGTNQGCETRTSCGVRQIPSMADVASKIMAFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPVETRKVEPMSAPPQMATYVPAPVASPPSEGTSSGSSDDSGSPINHSGMPYNHSGQQQQHQQHQHMPPAYASGGWSLSAHHQNRHDSDMKMMSN >ONIVA10G21840.1 pep chromosome:AWHD00000000:10:21299951:21309921:-1 gene:ONIVA10G21840 transcript:ONIVA10G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPADSDQVLVEANGVTRTLLMNRPKQLNALSSAMITGFLRCFTAYEEDEGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYSAHFFRNEFLLNYIIATYNKPQVSLLTGIVMGGGAGVSIHGRFRVVTESTVFAMPETALGLFPDIGASYFLSRLPGFYVEQEAPNVADEWVASAIQSLRKASPTSLKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLGKDRNPKWMPPRLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >ONIVA10G21830.1 pep chromosome:AWHD00000000:10:21277884:21285346:-1 gene:ONIVA10G21830 transcript:ONIVA10G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQERTVRDLYDRTRHKDLALPEESVEGRGSGRRVEGPGHREVVAKMEDKLTARKRSVPLDSPNVKTESGTCNVCCAPCSSCLHRNIALTDSNMDCGSSQTCFARSETKNSSFVRVDKGLRTKAKGGENDDEFSATSSPASYSENGENKVIARSSVAADSEVDKPAKRRRLVNHGSRSPIVECHDDSNSCVTGVSAASKLLLDKKKDKLSTSASSRDLTVNYKDNGINRLRNYCAEESTGKKRSDVHAMHRSSSDRSLPAESPFATKRLLRTQSSLSASHGLSPKRPTHAFGNAQNNLALQPCEKASSNKTVERSLGGKSDPSVLGGERHSMMTSCGTSNRDKIKAGSLTKNLENGTSCSRNGSLEHADIQSNDAVNRNDNDKQERNQGCSMDTGSGRKLNTQNDVMTDSGNSEGLIDVNVCDICGDVGREYLLATCTRCLEGAEHTYCMRVKLEKVPDGEWLCEECCLKEDENQTRSNGGTSRNKVLDGKNQNSESTNSSKTLKVVVTDLDSQQITCGTPVNDPLAGSNQKLHLASSDLEARQVKCATPTAERLDVKNKNSGIMGNRKKLQVVTSSLEARQSSCRTPTSGSLDKKNQSLDKRSQSSEVLLKRKKLRVATDMESPLSNDGVRSPPKSCKRYAENTLSSTPRLLKADSPRNHDVFSRENSFKSSNKGSIKSPDNAPMRSQAVNSSVTLPRSYSLGNLANVKTPGPSPRGLLSKQPSFNNSSNEPKVKQLAEPVLSKLKPSKHSPRDPRESIRKVMKSGPLKHEASICKGSSSSKQKQSVHSSQNEQPRILKPVKPTILLERGASFNLQKPNISSSPRPDSSIKSGDPRNDQDSPRPGPSILKSSKKPGIVENKHSSILSKSDKQGITSTGVVCSKDTCVVKASDPLIPMDKIKNDSTDGACESPLILVNNDNEMSTKPEVLSIPRASKTCGSDFQDIAPTSSSEDLPPEEVQYEQKVVESDGNISCKSAAAIQASEDLLPESPQGCLVAQNPYSPDTKSNDLNLKQQALVDQSSTVGSSLGALVIPEQSYIWQGTFEVSRPGSSPEMYDGFQAHLSTCASLKVLEIVKQLPQRIQLVEVPRHSSWPLQFKEVKPNEDNIALYFFAKDVESYERAYGKLLENMLAGDLSLTANICGIELLIFTSDKLPERTQRWNGLLFFWGVLYARKASSSTELLVKGMNHSPLEQINGPVNQLVCSPKMPQSLGIDLNECPVDELYDPAVSVQTEMENRGASVNHETLLRSNHEAERLNLCEIHFPETAGTGKILLGTPTAVPYGVHVHTSSKRECLNIKPEYPSDIIGSEGTAGRDNMEEEESFTKNGVPCFTKQHTGATTRSVSDEILANTQARVSFQEVSPQHSVRPKLSDDPSDSVLKDFVLPDSSSIYKRQKTSEGKYSTCSFGDGQLTSKCLSKIPLPADQHTSLDDVQYIGRVPADPCSPTKPILDHVIHVLSSDDEDSPEPRNNLNKTSLKEEEGPSPLLSLSLSMASKKHNLTGSDTGDDGPLSLSLGLPGVVTSNQALEMKQFLPEKPGMNTSLLL >ONIVA10G21820.1 pep chromosome:AWHD00000000:10:21269268:21274424:1 gene:ONIVA10G21820 transcript:ONIVA10G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEAVEELTRLYRELPPRPAVEEVEAAEAVLASADAEEAARLDEVAREEASASASSSAAAPGRADGELLAVLREARRNAVRLRALQQRKEAAYVVELERRFKVFDDLIQRASRVVSSSSDAAEAGGGTTGDGYVGVGADSVDLEMELRKKEAAVAAAAAVAEMERGSKGLAALGLESKPISSLRRDVSAGTDMEKLSLIQVASLIESSAKKGITELSLRGKLVDQIEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHSNQLINLPDAFGELSNLIDLDLHANQLKSLPSSFGNLTSLANLDLSSNMLKALPDCLGKLANLRRLIVETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTVGSLSRLRELDVSFNEVEVIPENICFATSLVKLNLSRNFADLRALPKSIGNLEMLEELDISSNQIRVLPDSFRCLSRLRVFHADETPLEFPPREVVKLGAQAVVKYMNDLNAARGTNQKKTDRGSFWTWLFSLFGCCKKNQEVGLPV >ONIVA10G21820.2 pep chromosome:AWHD00000000:10:21269268:21274424:1 gene:ONIVA10G21820 transcript:ONIVA10G21820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEAVEELTRLYRELPPRPAVEEVEAAEAVLASADAEEAARLDEVAREEASASASSSAAAPGRADGELLAVLREARRNAVRLRALQQRKEAAYVVELERRFKVFDDLIQRASRVVSSSSDAAEAGGGTTGDGYVGVGADSVDLEMELRKKEAAVAAAAAVAEMERGSKGLAALGLESKPISSLRRDVSAGTDMEKLSLIQVASLIESSAKKGITELSLRGKLVDQIEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHSNQLINLPDAFGELSNLIDLDLHANQLKSLPSSFGNLTSLANLDLSSNMLKALPDCLGKLANLRRLIVETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTVGSLSRLRELDVSFNEVEVIPENICFATSLVKLNLSRNFADLRALPKSIGNLEMLEELDISSNQIRVLPDSFRCLSRLRVFHADETPLEFPPREVVKLGAQAVVKYMNDLNAARGTNQKKTDRGSFWTWLFSLFGCCKKNQEVGLPV >ONIVA10G21810.1 pep chromosome:AWHD00000000:10:21260768:21264195:-1 gene:ONIVA10G21810 transcript:ONIVA10G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSSAKSRPHPCLHLGVGKNAMWAVMTVVVVLEFTAGATICKGLNRGLGTILAGSLAFIIELVAVRSGKVFRALFVGSSVFLIGFAATYLRFFPSIKKNYDYGVVIFLLTFNLITVSSFRQEDVVPLARDRLSTIAIGCAICLFMSLFVLPNWSGEDLHSSTVRKFEGLARSIEACVTEYFQDQDKDDKILDKQASRASIHIGYRAVLDSKSSDETLAHYASWEPRHSMQCYSYPWQKYVKIGSVLRHFAYTVAALHGCLESEIQTPPSVRSLFRNPCTRVAREVVKVLQELAVSIRDHHRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKHACANSHVLMELNSSKHTATRTTLPSFKTDGTSLLERRNTKADQPSERNERGTLRPTLSKIAMTSLEFSEALPFAAFASLLVEMVVRLEMVIEEVKELERAANFREFTGHDYLTIDLTSNDKMRNPNGVPLDSHTISTAAE >ONIVA10G21810.2 pep chromosome:AWHD00000000:10:21260768:21262944:-1 gene:ONIVA10G21810 transcript:ONIVA10G21810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPDPSNNKNSSSILHQQVKKISRIPFSWGAHAWGIGREDPRRAIHALKVGTALTLVSLLYILEPLFKGVGKNAMWAVMTVVVVLEFTAGATICKGLNRGLGTILAGSLAFIIELVAVRSGKVFRALFVGSSVFLIGFAATYLRFFPSIKKNYDYGVVIFLLTFNLITVSSFRQEDVVPLARDRLSTIAIGCAICLFMSLFVLPNWSGEDLHSSTVRKFEGLARSIEACVTEYFQDQDKDDKILDKQASRASIHIGYRAVLDSKSSDETLAHYASWEPRHSMQCYSYPWQKYVKIGSVLRHFAYTVAALHGCLESEIQTPPSVRSLFRNPCTRVAREVVKVLQELAVSIRDHHRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKHACANSHVLMELNSSKHTATRTTLPSFKTDGTSLLERRNTKADQPSERNERGTLRPTLSKIAMTSLEFSEALPFAAFASLLVEMVVRLEMVIEEVKELERAANFREFTGHDYLTIDLTSNDKMRNPNGVPLDSHTISTAAE >ONIVA10G21810.3 pep chromosome:AWHD00000000:10:21260768:21262944:-1 gene:ONIVA10G21810 transcript:ONIVA10G21810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPDPSNNKNSSSILHQQVKKISRIPFSWGAHAWGIGREDPRRAIHALKVGTALTLVSLLYILEPLFKGVGKNAIGLGTILAGSLAFIIELVAVRSGKVFRALFVGSSVFLIGFAATYLRFFPSIKKNYDYGVVIFLLTFNLITVSSFRQEDVVPLARDRLSTIAIGCAICLFMSLFVLPNWSGEDLHSSTVRKFEGLARSIEACVTEYFQDQDKDDKILDKQASRASIHIGYRAVLDSKSSDETLAHYASWEPRHSMQCYSYPWQKYVKIGSVLRHFAYTVAALHGCLESEIQTPPSVRSLFRNPCTRVAREVVKVLQELAVSIRDHHRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKHACANSHVLMELNSSKHTATRTTLPSFKTDGTSLLERRNTKADQPSERNERGTLRPTLSKIAMTSLEFSEALPFAAFASLLVEMVVRLEMVIEEVKELERAANFREFTGHDYLTIDLTSNDKMRNPNGVPLDSHTISTAAE >ONIVA10G21800.1 pep chromosome:AWHD00000000:10:21258352:21265008:1 gene:ONIVA10G21800 transcript:ONIVA10G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10650) TAIR;Acc:AT4G10650] MAAADRAIRSRLPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSQTEKWMAYMKQTSCSCVALNSHSREGIKELLNAVRAKIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHRIGRIGAAEKGKLKHAIVSSHPGETKDISGYKVASHPNIYVLDTPGVLSPVFFNDESGPLLALTGAIKDSMMQEFEIAQFLLAILNSRETYKKWENMNQAGDMPSFSHAMSSSSHHNKRQYASDHTQDFVVKAVRQVLFDSISSFKGYLENENELKSLIECQFIALQEAFRVSADLSEDVRKLVAMKLLNLYRTGRLGRYTLDCAPDVRKEIVS >ONIVA10G21800.2 pep chromosome:AWHD00000000:10:21258352:21265008:1 gene:ONIVA10G21800 transcript:ONIVA10G21800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10650) TAIR;Acc:AT4G10650] MAAADRAIRSRLPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSQTEKWMAYMKQTSCSCVALNSHSREGIKELLNAVRAKIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHRIGRIGAAEKGKLKHAIVSSHPGETKDISGYKVASHPNIYVLDTPGVLSPVFFNDESGPLLALTGAIKDSMMQEFEIAQFLLAILNSRETYKKWENMNQAGDMPSFSHAMSSSSHHNKRQYASDHTQDFVVKAVRQVLFDSISSFKGYLENENELKSLIECQFIALQEAFRVSADLSEDVRKLVAMKLLNLYRTGRLGRYTLDCAPDVRKEIVS >ONIVA10G21800.3 pep chromosome:AWHD00000000:10:21258352:21264364:1 gene:ONIVA10G21800 transcript:ONIVA10G21800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10650) TAIR;Acc:AT4G10650] MAAADRAIRSRLPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSQTEKWMAYMKQTSCSCVALNSHSREGIKELLNAVRAKIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHRIGRIGAAEKGKLKHAIVSSHPGETKDISGYKVASHPNIYVLDTPGVLSPVFFNDESGPLLALTGAIKDSMMQEFEIAQFLLAILNSRETYKKWENMNQAGDMPSFSHAMSSSSHHNKRQYASDHTQDFVVKAVRQVLFDSISSFKGYLENENELKSLIECQFIALQEAFRVSADLSEDVRKLVAMKLLNLYRTGRLGRYTLDCAPDVRKEIVS >ONIVA10G21800.4 pep chromosome:AWHD00000000:10:21258352:21264364:1 gene:ONIVA10G21800 transcript:ONIVA10G21800.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10650) TAIR;Acc:AT4G10650] MAAADRAIRSRLPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSQTEKWMAYMKQTSCSCVALNSHSREGIKELLNAVRAKIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHRIGRIGAAEKGKLKHAIVSSHPGETKDISGYKVASHPNIYVLDTPGVLSPVFFNDESGPLLALTGAIKDSMMQEFEIAQFLLAILNSRETYKKWENMNQAGDMPSFSHAMSSSSHHNKRQYASDHTQDFVVKAVRQVLFDSISSFKGYLENENELKSLIECQFIALQEAFRVSADLSEDVRKLVAMKLLNLYRTGRLGRYTLDCAPDVRKEIVS >ONIVA10G21800.5 pep chromosome:AWHD00000000:10:21258352:21263289:1 gene:ONIVA10G21800 transcript:ONIVA10G21800.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10650) TAIR;Acc:AT4G10650] MAAADRAIRSRLPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSQTEKWMAYMKQTSCSCVALNSHSREGIKELLNAVRAKIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHRIGRIGAAEKGKLKHAIVSSHPGETKDISGYKVASHPNIYVLDTPGVLSPVFFNDESGPLLALTGAIKDSMMQEFEIAQFLLAILNSRETYKKWENMNQAGDMPSFSHAMSSSSHHNKRQYASDHTQDFVVKAVRQVLFDSISSFKGYLENENELKSLIECQFIALQEAFRVSADLSEDVRKLVAMKLLNLYRTGRLGRYTLDCAPDVRKEIVS >ONIVA10G21800.6 pep chromosome:AWHD00000000:10:21258352:21262638:1 gene:ONIVA10G21800 transcript:ONIVA10G21800.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10650) TAIR;Acc:AT4G10650] MAAADRAIRSRLPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSQTEKWMAYMKQTSCSCVALNSHSREGIKELLNAVRAKIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHRIGRIGAAEKGKLKHAIVSSHPGETKDISGYKVASHPNIYVLDTPGVLSPVFFNDESGPLLALTGAIKDSMMQEFEIAQFLLAILNSRETYKKWENMNQAGDMPSFSHAMSSSSHHNKRQYASDHTQDFVVKAVRQVLFDSISSFKGYLENENELKSLIECQFIALQEAFRVSADLSEDVRKLVAMKLLNLYRTGRLGRYTLDCAPDVRKEIVS >ONIVA10G21790.1 pep chromosome:AWHD00000000:10:21249327:21251926:1 gene:ONIVA10G21790 transcript:ONIVA10G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRKVDSLKIELEVIPVIDLYKFEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATSTGYWKATGKDRKIACAGEVFGLRKTLVFYKGRAPGGERTDWVMHEYRLCQDLAHGVSNFIGAYALCRVIKRHEAGLHGEPPPAKAKGMISKVSSSSSLVTVEHQLSSRGNASPSFTPTNNGSPLVDEMFVGGGGGDPFQLLPSCVPYHGGDACGFDLPPLCMPQTQDPFFSDPGFTQAAPPLYGDVMGSVSEHELKWDTLGGYSGGGGGELWNAAAAPLLCRQASDGDDLTAWFTAADDNMSVF >ONIVA10G21780.1 pep chromosome:AWHD00000000:10:21234145:21239005:1 gene:ONIVA10G21780 transcript:ONIVA10G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR-signaling kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G46570) TAIR;Acc:AT5G46570] MPNDTLSKHLFHWDKQPLPWEMRLRVAYYIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLASKCLQFEARDRPNIKYLLSSVGPLQKQKEVASHVLMGITKATAVLPTILSPLGKACSGMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKTAIDYYSKLVGMMSVPSATVFARRSFSYLMNGQSELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWRG >ONIVA10G21770.1 pep chromosome:AWHD00000000:10:21224813:21230620:1 gene:ONIVA10G21770 transcript:ONIVA10G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidial pyruvate kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G32440) TAIR;Acc:AT1G32440] MAAAAAEIVGAVAARSLRTSTSDRVAADLALGSNGSLSAQSIAENTADATSQVVSANSRRKTKIVCTIGPSTNTREMIWKLAETGMNVARMNMSHGDHQSHQKVIDLVKEYNAKNTDGNVIAIMLDTKGPEVRSGDVPEPIMLEEGQEFNFTIKRGVSTKDTVSVNYDDFINDVEVGDILLVDGGMMSLAVKSKTADTVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDAKVIHELKDYLKSANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEEVPLLQEEIVRTCRSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGSDAIMLSGETAHGKFPLKAVKVMHTVAQRTESSLYNPTTSPSLVAHPQALLNEEFSQSQLSKMFGSHATMMANTLCTPIIVFTRTGSMAVLLSHYRPSSTIFAFTNEERVKQRLALYQGVVPIYMKFSDDAEETFSRAISSLLNAQFVKEGDYVTLVQSGVKSIWREESTHHIQVRKVQG >ONIVA10G21770.2 pep chromosome:AWHD00000000:10:21224869:21230620:1 gene:ONIVA10G21770 transcript:ONIVA10G21770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidial pyruvate kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G32440) TAIR;Acc:AT1G32440] MAAAAAEIVGSAAARMAAPAVRPAPPAAAAAAAAPPQPRRAVAARSLRTSTSDRVAADLALGSNGSLSAQSIAENTADATSQVVSANSRRKTKIVCTIGPSTNTREMIWKLAETGMNVARMNMSHGDHQSHQKVIDLVKEYNAKNTDGNVIAIMLDTKGPEVRSGDVPEPIMLEEGQEFNFTIKRGVSTKDTVSVNYDDFINDVEVGDILLVDGGMMSLAVKSKTADTVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDAKVIHELKDYLKSANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEEVPLLQEEIVRTCRSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGSDAIMLSGETAHGKFPLKAVKVMHTVAQRTESSLYNPTTSPSLVAHPQALLNEEFSQSQLSKMFGSHATMMANTLCTPIIVFTRTGSMAVLLSHYRPSSTIFAFTNEERVKQRLALYQGVVPIYMKFSDDAEETFSRAISSLLNAQFVKEGDYVTLVQSGVKSIWREESTHHIQVRKVQG >ONIVA10G21760.1 pep chromosome:AWHD00000000:10:21220022:21224164:1 gene:ONIVA10G21760 transcript:ONIVA10G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGQKAVAALPHLVKSLRSEPVSNAPRLRHLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFNINKVKYEGSLLIVENKIMTWAPKSFAEITAERHIQPISPELRKFIRSTGMKLEAVDSRNAASTYNILNEEGRPVAAALLPCGVTS >ONIVA10G21740.1 pep chromosome:AWHD00000000:10:21218593:21218815:-1 gene:ONIVA10G21740 transcript:ONIVA10G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRARWRQVAGNKTLFPDETDFDSAFMLICWLIWKERNVKIFQHVSKTPEQLEEIAVWRVAGIFSQFRE >ONIVA10G21730.1 pep chromosome:AWHD00000000:10:21215417:21216870:-1 gene:ONIVA10G21730 transcript:ONIVA10G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAQGLRRRRSMGGRFRAEIQIDPGVAIPRGPDAGFAAAVREPLVKLQRPKFEFEQWDWDYISWPHDRLDANLQMRDSDPEATFEADRKASDEFLRRSTLQLNKCERDRRKPEQQDMELEDQDMFVASLLHVDDEPTGCRSSSEPWRTAGQRSAITPHMGAAY >ONIVA10G21720.1 pep chromosome:AWHD00000000:10:21213588:21214768:1 gene:ONIVA10G21720 transcript:ONIVA10G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWS5] MGHLITKMLLLLVSLAPVAAGEHGVAAAEPRLVEIPFNFSVHSWNELISNRLKAELADNPCRQEIEGIPTMAGLHGDDEPPAKWITVRLFGGGDRDDDQAKLLVAEDDAYVAGFANRTGHWHTFRGGRCYPALPATACTELPFGGSYRDLIGGVANLRAVPVGRSSAVGAMEVLSRYDPAATTAAADAKMALAKFMVMVTEAARLKPVRRAVVERWEQVSYLSSDEVRDVPYYGKMSLMILEWKRTGRWGELGPWANIDRARCPRPTGCEDEDNADAGAGEL >ONIVA10G21710.1 pep chromosome:AWHD00000000:10:21199479:21199877:-1 gene:ONIVA10G21710 transcript:ONIVA10G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHILVELRSCHERCVLHRDIKGANLLIDGDGHAQDRRLRPRHLLHAARPQPLTSRIITLWYRPPELLLDATEYGVAVDLWSTGCMPASSPSFSPASPSCPAKPRQINKYYNLNSPSIYHDIIYAWMKWIGI >ONIVA10G21700.1 pep chromosome:AWHD00000000:10:21192584:21194513:1 gene:ONIVA10G21700 transcript:ONIVA10G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHGSSSFGGALSLCKVILMVLALICTLHTASVQGGRAAAAIGGGGKGSVLDPTYTPPTPPGGSYTGGRGCRGPYRCPPGAGSP >ONIVA10G21690.1 pep chromosome:AWHD00000000:10:21186608:21187651:1 gene:ONIVA10G21690 transcript:ONIVA10G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQSCSPCSSSSSAAVVSLCKVLLMVLALICTLETVSVEGGRRGDPYTGRGGEPYTRPGRGCTVAYGCYGGPPAAKP >ONIVA10G21680.1 pep chromosome:AWHD00000000:10:21180256:21184824:1 gene:ONIVA10G21680 transcript:ONIVA10G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18030) TAIR;Acc:AT4G18030] MSIVIVMSLCCFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSLGGVNPLVMTDKVFEPCHIRYSDYTPCQDQNRAMNFPRENMNYRERHCPTETEKLRCLIPAPKGYVTPFPWPKSRDYVPYANAPYKNLTVEKAVQNWVQFEGDVFRFPGGGTMFPNGANAYIDELASVIPFTDGTIRTALDTGCGVASWGAYLMDRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSGSFDMAHCSRCLISWKSNDAMYMFEVDRVLRPGGYWILSGPPINWKTNHQAWKRSKEDLEAEQNVIEKIAEMLCWGKIHEKGDTVIWRKKADSNECHNKDDHPSKMCKIQDADDVWYKKMEGCITPFPEEAQLRKFPERLFAAPPRILQGRTPGVTEEIFEEDNKLWKKYVNTYKRINKLIGSLRYRNIMDMNAGLGSFAAIIDSPISWVMNVVPTISEKNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYQNKCNMEDILLEMDRILRPEGAVILRDNVEVLNKVRRTVMGMRWKSKLLDHEDGPHIPEKILVSVKKYWVGNEEENIGQASGMDP >ONIVA10G21680.2 pep chromosome:AWHD00000000:10:21180256:21184886:1 gene:ONIVA10G21680 transcript:ONIVA10G21680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18030) TAIR;Acc:AT4G18030] MSIVIVMSLCCFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSLGGVNPLVMTDKVFEPCHIRYSDYTPCQDQNRAMNFPRENMNYRERHCPTETEKLRCLIPAPKGYVTPFPWPKSRDYVPYANAPYKNLTVEKAVQNWVQFEGDVFRFPGGGTMFPNGANAYIDELASVIPFTDGTIRTALDTGCGVASWGAYLMDRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSGSFDMAHCSRCLISWKSNDAMYMFEVDRVLRPGGYWILSGPPINWKTNHQAWKRSKEDLEAEQNVIEKIAEMLCWGKIHEKGDTVIWRKKADSNECHNKDDHPSKMCKIQDADDVWYKKMEGCITPFPEEAQLRKFPERLFAAPPRILQGRTPGVTEEIFEEDNKLWKKYVNTYKRINKLIGSLRYRNIMDMNAGLGSFAAIIDSPISWVMNVVPTISEKNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYQNKCNMEDILLEMDRILRPEGAVILRDNVEVLNKVRRTVMGMRWKSKLLDHEDGPHIPEKILVSVKKYWVGNEEENSS >ONIVA10G21680.3 pep chromosome:AWHD00000000:10:21180256:21184953:1 gene:ONIVA10G21680 transcript:ONIVA10G21680.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18030) TAIR;Acc:AT4G18030] MSIVIVMSLCCFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSLGGVNPLVMTDKVFEPCHIRYSDYTPCQDQNRAMNFPRENMNYRERHCPTETEKLRCLIPAPKGYVTPFPWPKSRDYVPYANAPYKNLTVEKAVQNWVQFEGDVFRFPGGGTMFPNGANAYIDELASVIPFTDGTIRTALDTGCGVASWGAYLMDRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSGSFDMAHCSRCLISWKSNDAMYMFEVDRVLRPGGYWILSGPPINWKTNHQAWKRSKEDLEAEQNVIEKIAEMLCWGKIHEKGDTVIWRKKADSNECHNKDDHPSKMCKIQDADDVWYKKMEGCITPFPEEAQLRKFPERLFAAPPRILQGRTPGVTEEIFEEDNKLWKKYVNTYKRINKLIGSLRYRNIMDMNAGLGSFAAIIDSPISWVMNVVPTISEKNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYQNKCNMEDILLEMDRILRPEGAVILRDNVEVLNKVRRTVMGMRWKSKLLDHEDGPHIPEKILVSVKKYWVGNEEENSS >ONIVA10G21670.1 pep chromosome:AWHD00000000:10:21173851:21179014:-1 gene:ONIVA10G21670 transcript:ONIVA10G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWR8] MALEISMEGIEARARELGVDLSTVDLDSVTLPAGEDFGILSDDEDLLRIDDPMELEMGFANVVVVDNLPVVPPEKFEKLENVIRKIYSQIGAIKEGGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNMFDDFDKYMKVPDEWMPAEIKPYTPGENLQKWLADEKARDQFVIRAGTFTEVYWNDARQKMPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGDDKFERLMRFAHAQVKLIDFSPGEKYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADEFSASGNISVSGVSWPIFRWGGGKDDKYFARLGKNVISVYETETFSLLDKKSLKVENVVDFCWSPTDPIIALFVPELGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNSGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDGPKPDISFYSMKTSNNISRVSKLTTLKGKQANALFWSPGGRFIVFAGLKGFNGQLEFYNVDELETMATGEHFMATDIMWDPTGRYLASAVTSVHEMENGFQIWSFSGKQLYKVSKDHFFQFLWRPRPPSLLTPEKEDEIAKNLRKYSKKYEQEDQDAFNQLSEQERKRRKQLQEEWEGWVAKWKQLHEEERPYRMELRDGEASDDEEEYDTKEVEIEEEASRRCKMQSDAVARSPRQTVRVGGRRPTADPSRGSSEAYGFVGSIAAVAAAAAYLAWAYLPEPWLRFLGVYLLPRQLFVCFAWMSDLSLMAMALCAPVSVWALAMPSLLLEAAAQGMVLYMASNFLLAPAPTCLATISDEFAREPAACGTATGEEKPIEDISDISIDKINNLMFGNASSS >ONIVA10G21660.1 pep chromosome:AWHD00000000:10:21167312:21176897:1 gene:ONIVA10G21660 transcript:ONIVA10G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFAPSLPLHVAAAATAVASQGRRGRIKTTSACWRRRAAVPVNMAAATSSSALSKLAPLEAILFDIDGTLCDSDPIHFCAFRELLQQVGFNNGVPISEEFYSANISGGHNDDLARALFPDLDHDKAMKFMDDKEALYRRLAPEQLVAVEGLHDLCRWIKDHKLKRAAVTNAPRSNAELMLSLLGLTEFFPVLVIGSECDRAKPFPDPYLKALELIGASPDHTFIFEDSASGIRAGVAANVPVVGLTTRNPEKVLQDAGASLLIKDFQDPKLLSILEEIEPTVAAVEQV >ONIVA10G21660.2 pep chromosome:AWHD00000000:10:21167312:21170527:1 gene:ONIVA10G21660 transcript:ONIVA10G21660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFAPSLPLHVAAAATAVASQGRRGRIKTTSACWRRRAAVPVNMAAATSSALSKLAPLEAILFDIDGTLCDSDPIHFCAFRELLQQVGFNNGVPISEEFYSANISGGHNDDLARALFPDLDHDKAMKFMDDKEALYRRLAPEQLVAVEGLHDLCRWIKDHKLKRAAVTNAPRSNAELMLSLLGLTEFFPVLVIGSECDRAKPFPDPYLKALELIGASPDHTFIFEDSASGIRAGVAANVPVVGLTTRNPEKVLQDAGASLLIKDFQDPKLLSILEEIEPTVAAVEQV >ONIVA10G21650.1 pep chromosome:AWHD00000000:10:21159058:21164301:-1 gene:ONIVA10G21650 transcript:ONIVA10G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWRCRLCRCIRFTPRPLAMDPLVPLSSALDPLTGQRSVFLEKGGAMSSESSESSLSPSLSSVPRSPQSPTTPAFLHCYLRQSLALLMTPSYAPSPALPRALPLFPASVVHPAAYSTWPSPVGVFAVAASVVGGGRREGELGNRGRRLGGRHPAMD >ONIVA10G21640.1 pep chromosome:AWHD00000000:10:21153655:21158290:-1 gene:ONIVA10G21640 transcript:ONIVA10G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALFRMQSEADDGAAVDHFIREWMKQVRELAYDAEDCVGLYWLRISGAARATALKHRLQTYRERRRLAAEISALRSRALSISERHARYGVNRHALQRRRLFPSYQSYSLTAAPVAAHAHRHDSHQLVGIKGQADALVELLIKQQLVGEQHVKVFSIVGFGGVGKSTLGEEVCRLLETEFPYRAIVSVSQAFDPTSRDRRSDLMELLKRVFRQVVEVKAENEILLDGQGKETLRSEANKQLNHDDAGKMKKLLDGIDDWKYEKLDKELRSYLKDKRIIVTTRIEAVAKACSPAIGGHMQPLEFEDSKKLFLSRTFVNKECPEELEDVMENILKRCGGLPLAIVSIASVLAGYTSPGSKDKWESIYKSIGSHMESNPTLEGMRQILALSYNHLPHELKGCMMYFSIFPEDYEVNKDRLLWRWIAEGLVTEKRGLSLMEVAESYLDDLVNRNMIQLREDIEYYRKAQMYRVHDMFLEVMVSKSLESNFASLLGGQYATMSYDRIRRLSIQGDDDRLENAEQPRKNTAASTGVDDGILDLEHVRSLSMFQHTGKKLLDQLGKFRLLRVLDLEGFKGALTKDHMGYICRLYLLSFLSLKGIEVEEVPSEIGKLEHLQTLDVRQTSVRRLPDTVTKLYKLERLQISYNGDANLMWKLPLELKKMKMLREVGFSVLGNYLQVAQDVGELDHLQEMVVYVADITFDDNVLTEFANSLSKLYSLRRLIIGDVGYGKTLNFLDQLPSPPQLLRHLMIAGGIDRLPSWIMSLTYLVQFNMSWGKVAGDQLFDVLCELPSLETVCIHNYCYEGNVLVARTRHRFPELITLRIASGSKLPNVIRFENGTMPKLENLLVNFTDNDEKKIIGIRHLTNLKEVQLWGNESNLALHRALKHLKSENKRRLFESSSQFQIVVKYE >ONIVA10G21630.1 pep chromosome:AWHD00000000:10:21150645:21151337:1 gene:ONIVA10G21630 transcript:ONIVA10G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQLRRGGGRGGRWRRRKSSNWGLPSSATVEAPADSVESAETVRHGDSVESVETHTRQFLGLSAPSPPPPPQGKRWSSSSHGGSGAGSGVIVGVFPDHLSFSDAGMPPPLAKWKGCCEPATSMAPWMLTVAASTMDRSIRMTVCLGNNLYFDGESLYQPNDSPNTFYPLVYAGASGKPFAEFCGNGSLDGFDVRGKIG >ONIVA10G21620.1 pep chromosome:AWHD00000000:10:21136436:21143674:-1 gene:ONIVA10G21620 transcript:ONIVA10G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLKKGVEFGVASLQRCHSLSCQMAESTQIDERKAGNRCSKEKSRRPKCCHPAEMPVIPEQAMEFLSRTWSPSSSDLFQILSPSSLGTSPVNRQEDEVIGDEDVEVHGDTVRFDGGRSQVFNQTWLNMGHVRAILRGYLMDSIPIAGSRRRKRRDELRLHTAQAHAAVSVAQLAAAIAGVVSACELRSSSGGAGADRKLSTVLASAAALVATVCAESAESAGANRSRVTSAVKAGLDSRSPAELLTLTATAATCLRGAAVLKLRADVSRGISSSTSNSMMMMSTNTASIQKGTILRVCLPCGRLRLRTVAVFPECGTVALRLGKKRLHGAFTTYQHYEVLAVSGGGEAVVDCRKFFPVTLSTAAGTVQLLLDNQMHCKVWKASIESMLSASKANRLAMSRTPDPMEASNSPNKQEASQTGKTGEEGNWNISLGVEARRMRAATASPAATLARLLRVESSPHSRRAGKEEEEDGLVEPWWGGAAGLIAERVLANDVADYIRFRAVCRPWRLCSVDPRCSQSGAMDGRFLPRRWMMLDKAAPQAVGCFRFHHQNMTLLNLFVCFADE >ONIVA10G21620.2 pep chromosome:AWHD00000000:10:21129791:21143674:-1 gene:ONIVA10G21620 transcript:ONIVA10G21620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLKKGVEFGVASLQRCHSLSCQMAESTQIDERKAGNRCSKEKSRRPKCCHPAEMPVIPEQAMEFLSRTWSPSSSDLFQILSPSSLGTSPVNRQEDEVIGDEDVEVHGDTVRFDGGRSQVFNQTWLNMGHVRAILRGYLMDSIPIAGSRRRKRRDELRLHTAQAHAAVSVAQLAAAIAGVVSACELRSSSGGAGADRKLSTVLASAAALVATVCAESAESAGANRSRVTSAVKAGLDSRSPAELLTLTATAATCLRGAAVLKLRADVSRGISSSTSNSMMMMSTNTASIQKGTILRVCLPCGRLRLRTVAVFPECGTVALRLGKKRLHGAFTTYQHYEVLAVSGGGEAVVDCRKFFPVTLSTAAGTVQLLLDNQMHCKVWKASIESMLSASKANRLAMSRTPDPMEASNSPNKQEASQTGKTGEEGNWNISLGVEVGAEDARRHGLAGGDIGPPSARRVEPPQSRDARVPHRHRWRHLRDPLLKWRRRSVRGRRRWRMDWSNLGGEGPAGLIAERVLANDVADYIRFRAVCRLWRLRSVDPLSRALDCRFLPRRWIMLDKAAPPRCRRFLNLSTGECIRTDLPELESHTLVALAPEGLLLLLHQRTLLLRLLNPLTRHLADLPPVTALLTPEQLRSWHSDGGLEDDPLLARGVGLASATTVALFLCRPKLIAVAKPGDECWAVVVADKNRPYIDSALPFAGRFYCAIGGSVMVLDSSPSDQIMEGGRGWSPPLSRASPCIPPGCQELFTLWTMVGSLMLVYRKIRQSNVDDESRQGAAKYEMKYDVYRVDFDAGDLIPVKGLGGRAVFLGLCRSVTLLPAEAFPSIAADTLYLGFDCREKTEMNEIDGYNVADGSSEPCHLDSIFREMSQQDGYSVADGSSEPCHLDCIFLQMLQQPYSFVNCLSHCIQGIGDHLA >ONIVA10G21620.3 pep chromosome:AWHD00000000:10:21131103:21136354:-1 gene:ONIVA10G21620 transcript:ONIVA10G21620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWLVPAIITRLAREFSSIIHRQGDSGPYTDSSSGGFRLYNLAERPQIDVRKAVIQCKEESRCSKCCHPADMPIIPEQAMEFLSRTWSPSSADFFQTLRTSSEHRQPEKAIKDKEGNEEKDEERHLSTVNFSGGKSQFFNQTWQLNAGYMKAILRGHFLSAVSVTGRQRKKRKEELRLHAAQARAAVSVAQLAAAIAGIVSVCELRPDNLKYVEAADSKKMGTVLASAAALVATVCAEAAELAGTNRSGVTSAVKTGVESCSSAELLTLTATAATCLRGAAALKLRADVRGIGSNNNSVGTSTTSIHKDTTLRVRLPCGSVRLRKVAVFPQCDRVVLRLGKKHLHGAFSTYKNYEVLDVSSNGGDVVVDGMVLFPLVLRTAAGVVQLLLDSQMHCKVWKNAIEGILSDQNLVAVAASFDGRITASWAYPDIGLDGPKWVGLATWPIRRPPADSDSKSPTPTGGGGGGEPSSPSPSVAASP >ONIVA10G21610.1 pep chromosome:AWHD00000000:10:21129600:21142450:1 gene:ONIVA10G21610 transcript:ONIVA10G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQLLRCEQRGDRREVGEVAGEGVEQAEEEGALVEQQQEALRGERDQGVALELREIRTDALAGGEVEEAAAAGGRRLVEHDPPPGEEAAVQGAGEGIHRAQPPQPAHGAEADVVGDVVGEDALGDEAGRPLPAKVEECHILVMEAEAADGLGRRLVKHHPPPGEEAAVHGAALRAAGIHRAQPPRPAHGAEADVVGDVVGEDALGDQPGGPSPPSKCWSNDAGQLEAWSKWMLITRLLS >ONIVA10G21610.2 pep chromosome:AWHD00000000:10:21129600:21142450:1 gene:ONIVA10G21610 transcript:ONIVA10G21610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQLLRCEQRGDRREVGEVAGEGVEQAEEEGALVEQQQEALRGERDQGVALELREIRTDALAGGEVEEAAAAGGRRLVEHDPPPGEEAAVQGAGEGIHRAQPPQPAHGAEADVVGDVVGEDALGDEAGRPLPAKVEECHILVMEAEAADGLGRRLVKHHPPPGEEAAVHGAALRAAGIHRAQPPRPAHGAEADVVGDVVGEDALGDQPGGPSPPRFDQSILLLLLPRTLRRHHRWGTRLAAVAAGGSGTGGLEQMDVDNQIAKLMVELSRIKIVKLGMPPELPLQDSNPHHGIDCNCVRTNGIREQNKQQQIVLTTQVCWYVVNAPCRRFFPSLRATVPHSGKTATVLSRNLPLLVLLLIPLLTSALSFSTAAPLRPGKSIQEAQHHSITVAVRVSSSAGERLSRPAFTAEVTRDLLAPADSADSAQTVATSAAAEASTVLSFLSAPAPPELLLSSQADTTPAIAAASWATETAAWACAVCSRSSSRLFLLRLPAMGMLSIKYPLRIALTCPMFSCSFSVETKSFRQFTSLCQIRQSMMALS >ONIVA10G21610.3 pep chromosome:AWHD00000000:10:21129600:21142450:1 gene:ONIVA10G21610 transcript:ONIVA10G21610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQLLRCEQRGDRREVGEVAGEGVEQAEEEGALVEQQQEALRGERDQGVALELREIRTDALAGGEVEEAAAAGGRRLVEHDPPPGEEAAVQGAGEGIHRAQPPQPAHGAEADVVGDVVGEDALGDEAGRPLPAKVEECHILVMEAEAADGLGRRLVKHHPPPGEEAAVHGAALRAAGIHRAQPPRPAHGAEADVVGDVVGEDALGDQPGGPSPPRFDQSILLLLLPRTLRRHHRWGTRLAAVAAGGSGTGGLEQMDVDNQIAKLMVELSRIKIVKLGMPPELPLQDSNPHHGIDCNCVRTNGIREQNKQQQIVLTTQVGSGIITDKQNEDQYTSGKQFSWFMQLLVLLLIPLLTSALSFSTAAPLRPGKSIQEAQHHSITVAVRVSSSAGERLSRPAFTAEVTRDLLAPADSADSAQTVATSAAAEASTVLSFLSAPAPPELLLSSQADTTPAIAAASWATETAAWACAVCSRSSSRLFLLRLPAMGMLSIKYPLRIALTCPMFSCSFSVETKSFRQFTSLCQIRQSMMALS >ONIVA10G21610.4 pep chromosome:AWHD00000000:10:21129600:21141463:1 gene:ONIVA10G21610 transcript:ONIVA10G21610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQLLRCEQRGDRREVGEVAGEGVEQAEEEGALVEQQQEALRGERDQGVALELREIRTDALAGGEVEEAAAAGGRRLVEHDPPPGEEAAVQGAGEGIHRAQPPQPAHGAEADVVGDVVGEDALGDEAGRPLPAKVEECHILVMEAEAADGLGRRLVKHHPPPGEEAAVHGAALRAAGIHRAQPPRPAHGAEADVVGDVVGEDALGDQPGGPSPPRFDQSILLLLLPRTLRRHHRWGTRLAAVAAGGSGTGGLEQMDVDNQIAKLMVELSRIKIVKLGMPPELPLQDSNPHHGIDCNCVRTNGIREQNKQQQIVLTTQVGSGIITDKQNEDQYTSGKQFSWFMQAGKP >ONIVA10G21610.5 pep chromosome:AWHD00000000:10:21129600:21141463:1 gene:ONIVA10G21610 transcript:ONIVA10G21610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQLLRCEQRGDRREVGEVAGEGVEQAEEEGALVEQQQEALRGERDQGVALELREIRTDALAGGEVEEAAAAGGRRLVEHDPPPGEEAAVQGAGEGIHRAQPPQPAHGAEADVVGDVVGEDALGDEAGRPLPAKVEECHILVMEAEAADGLGRRLVKHHPPPGEEAAVHGAALRAAGIHRAQPPRPAHGAEADVVGDVVGEDALGDQPGGPSPPRISEGYEMASRIPPELPLQDSNPHHGIDCNCVRTNGIREQNKQQQIVLTTQVGSGIITDKQNEDQYTSGKQFSWFMQAGKP >ONIVA10G21610.6 pep chromosome:AWHD00000000:10:21129600:21141463:1 gene:ONIVA10G21610 transcript:ONIVA10G21610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQLLRCEQRGDRREVGEVAGEGVEQAEEEGALVEQQQEALRGERDQGVALELREIRTDALAGGEVEEAAAAGGRRLVEHDPPPGEEAAVQGAGEGIHRAQPPQPAHGAEADVVGDVVGEDALGDEAGRPLPAKSILLLLLPRTLRRHHRWGTRLAAVAAAGGLEQMDVDNQIAKLMVELSRIKIVKLGMPPELPLQDSNPHHGIDCNCVRTNGIREQNKQQQIVLTTQVGSGIITDKQNEDQYTSGKQFSWFMQAGKP >ONIVA10G21610.7 pep chromosome:AWHD00000000:10:21137780:21142450:1 gene:ONIVA10G21610 transcript:ONIVA10G21610.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVELSRIKIVKLGMPPELPLQDSNPHHGIDCNCVRTNGIREQNKQQQIVLTTQVGSGIITDKQNEDQYTSGKQFSWFMQLLVLLLIPLLTSALSFSTAAPLRPGKSIQEAQHHSITVAVRVSSSAGERLSRPAFTAEVTRDLLAPADSADSAQTVATSAAAEASTVLSFLSAPAPPELLLSSQADTTPAIAAASWATETAAWACAVCSRSSSRLFLLRLPAMGMLSIKYPLRIALTCPMFSCSFSVETKSFRQFTSLCQIRQSMMALS >ONIVA10G21610.8 pep chromosome:AWHD00000000:10:21129800:21130184:1 gene:ONIVA10G21610 transcript:ONIVA10G21610.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYSLDAVGEAVDEAVWLLQHLKKDAVEVAWLAASICNTVTVLLRHLTEDGVEVAWLATPICNIRVSAAMEGKASAGSRVTERHRPKNTARPPNPLTGIKSPASKSTL >ONIVA10G21600.1 pep chromosome:AWHD00000000:10:21123394:21123732:-1 gene:ONIVA10G21600 transcript:ONIVA10G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYPAAWNSPEEFWPERFLASREAMDFQGNNYQLILFITDRRICPDINFAVPVLETALVGLLHPTNELLGGGGGLMWLQRSCSRARRLRSTAHRRHRSGTHPAAAVAAAAT >ONIVA10G21590.1 pep chromosome:AWHD00000000:10:21123379:21127303:1 gene:ONIVA10G21590 transcript:ONIVA10G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKKRSKKRKRTVGPAAAAATFPELPEEIVMEILARLPVKSLLRFKSVCRGWRAIISEPSFIRTQLQCSASRRQQEPSILISPHFRHDRPTKFSTHISFYQWEQGASSLARIMDAKDFPVGHKFRLISHYTHCDGLVLAPTITKLYGAAQVTNQTGFSQACLSGMNHSHLMQHSGTDFSQAWCSGHQPNRPYLFNPATREAITLPDGHGHSHTAGLGLDPGTGRYKVVRSFYRSPSMDPPVSMGMEVLTVGEPGARWRETAVDPPHPITRWRTALAVNGGYLFWYMDRRRYHDDAPRGLLRFSLRDEAFAVTRLPESMDPTLDENVLPDVLHGELCVVQALPDKAGVLIWTMSSSSMDNDDVHLDDGPWELRYCICVNALCHPLGVLPDGGGILLWANRSVHRYDFSARKLAGVVCNLDRIRYQGGRPARWKSVVDFTLMPYTESLVRITAA >ONIVA10G21580.1 pep chromosome:AWHD00000000:10:21117116:21122360:-1 gene:ONIVA10G21580 transcript:ONIVA10G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMENVIVLVNRIQRACTVLGDHGGGDGTASLPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEDGVQEYAEFLHMPKRRFNDFALVRKEIQDETDRLTGKTKQISPVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNIDMIIARRKEQEFFASSPEYSHLSSRMGSEYLAKLLSQHLEAVIRARIPSITSLINKTIDELESEMDHIGRPIASDAGAQLYLVLELCRAFEKIFREHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRYLSLQNVKRVISEADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHYVLKELVRKSIGETQELKRFPTLQAELAAACFHALERFREDGRKTTVRLVDMESAYLTVEFFRKLPQEVDKTGTGNPSTPSVDRYADAHFRRIASNVSSYIGMVSDTLKNTIPKAVVHCQVREAKRSLLNYFYTQVGRKDAKQLAQLLDEDPALMERRQQCFKRLELYKSARDEIDAVSWSR >ONIVA10G21570.1 pep chromosome:AWHD00000000:10:21114643:21115371:-1 gene:ONIVA10G21570 transcript:ONIVA10G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGDGGAGSALSRAEQARKEAAAAAAAQDMLKLDAAWMRKPAASQSRKGARAASMVPEQSSSGLAARVPEHRSSGYGAASPPPSSFTDCSCFF >ONIVA10G21570.2 pep chromosome:AWHD00000000:10:21114643:21115371:-1 gene:ONIVA10G21570 transcript:ONIVA10G21570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGDGGAGSALSRAEQARKEAAAAAAAQDMLKLDAAWMRKPAASQSRKDMLKLDAAWMRKPAASQSRKGAVAPMRKAQGGARAASMVPEQSSSGLAARVPEHRSSGYGAASPPPSSFTDCSCFF >ONIVA10G21560.1 pep chromosome:AWHD00000000:10:21110254:21111132:-1 gene:ONIVA10G21560 transcript:ONIVA10G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKLHPYANAVGVCAPCLRDRLLDLAAQRDAAADAAEADDHHHSSSSRASSSLFARSVSPYAAARRSDACAYASSSSSSAAAAHHHHHHPNLLFFRTPQVGPARAAGGGDEPEPRGKKVARRRSFLAAIFGGGGRGRHARGDDDVVRGGGVSAKDPPRRSTSWLSSIVRRKRRPEAAATAAESLPPPLDEAPDSPGGSTTSSWWFPSPSPARQHRRRHTGVGASGDGISGFAVCLSPLVRPTSGGGGGRRRCQPPDPSPLGDTHRRNLSAGGAASFGRNTSRKLADMGRFR >ONIVA10G21550.1 pep chromosome:AWHD00000000:10:21101343:21110817:1 gene:ONIVA10G21550 transcript:ONIVA10G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT5G46920) TAIR;Acc:AT5G46920] MPPPPPRRALLAPLLRLRAFSSSSSSLAHHPPLPPPPRRHQFVAADAITGQGGGGNPLDPAQLLRDDPVAITASLWVSSFRAQPTAAAPPPPSLSPFLSRLELWVLAYQKAYADETGSYLPRSSIPASTLSSLLALRNAVLDARFRFGNRLTPFLRSPRAAAAAQDPATLSKRKLRALLTTPGPAPFQDRVVQELLLLLLEPVYEARFSPKSFAFRPGRSPHAAIRTIRRSFAPYLWYIKGDLSPLLHSPDPALVVGALIRDVRDKKVVDLVRSALLTPPVTARPGDEDAAKKKKKRKYQKKKVLPEGEPKPDPYWLQTFFGFAPEEALTQPDWGHCGVLSPLLANVCLDELDKWMEEKINELYRPSKSDVVGGEDGVEQGNTSWPEFVPTSGPDKTRKVDYIRFGGHFLIGVRGPRADAAVLRKQLVEFCDQRFRIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLEFLKGDREPDPQPCFRMFHATQAHTNAQMNKLLLTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYHNLLRMGLVDSIDGLQYTRMSMVPDPDYTPLPSGWRPDHEKILLEYIKLTDQQTLDEQRSCIREEGLITPQDYISMLVWSYKKNAVLLPSFKENDHKGSTEDLGSDTDELGDEEIGNLDHVDSPKVLFPTETSLCSCSCKSQKEKAEKQKKRVGYNFTRRCGD >ONIVA10G21550.2 pep chromosome:AWHD00000000:10:21101937:21110817:1 gene:ONIVA10G21550 transcript:ONIVA10G21550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT5G46920) TAIR;Acc:AT5G46920] MEEKINELYRPSKSDVVGGEDGVEQGNTSWPEFVPTSGPDKTRKVDYIRFGGHFLIGVRGPRADAAVLRKQLVEFCDQRFRIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLEFLKGDREPDPQPCFRMFHATQAHTNAQMNKLLLTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYHNLLRMGLVDSIDGLQYTRMSMVPDPDYTPLPSGWRPDHEKILLEYIKLTDQQTLDEQRSCIREEGLITPQDYISMLVWSYKKNAVLLPSFKENDHKGSTEDLGSDTDELGDEEIGNLDHVDSPKVAEMP >ONIVA10G21540.1 pep chromosome:AWHD00000000:10:21095380:21098420:-1 gene:ONIVA10G21540 transcript:ONIVA10G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVASLSLLPHLLIKPSFRQWFQGSVILQTPYLKTCCIEGVGRYGGIKVYAVLGDDGADYAKNNAWEALFHVDDPGPRVPIAKGKFLDVNQALEVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLAREFKSIGTLRKELAELQEELAKAHNQVHLSETRVSSALDKLAQMETLVNDRLLQDGGSSASTAECPSLAPSTSSASRVVNKKPPRRSLNVSGPVQPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVMNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKMLNVRIRSLPCFEQEGMVWIWPGNDPPKSTIPSLLPPSGFTIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTKQCSTHLHQLHICLPSSRNKTRLLYRMSLDFAPWIKHVPFMHILWSHFAEKVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAIERGVDRLPFSNQSESGS >ONIVA10G21530.1 pep chromosome:AWHD00000000:10:21089504:21090056:-1 gene:ONIVA10G21530 transcript:ONIVA10G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGRPSRSAAGDTRRPTAERRWRRELPCRRLSYIASIRVLLQIHEYNNANPWRWQQLSWRNCGGQKIHSKSSTDALISEQGRAEKTGQDKDQRAVRSTRHVSVPGPRYAAARFPDLEY >ONIVA10G21520.1 pep chromosome:AWHD00000000:10:21086138:21089262:-1 gene:ONIVA10G21520 transcript:ONIVA10G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTVSLLPHLLVKPSSSFSCCFTMGIGRRYGRIKVYAVLGDDEAQHAKNNMRGALFHVDNPGPMAPIAKGNFLDVNQALEVVRFGIQYCDWRARQDLLTIMVLHNKVVEVLKSLAIEFKSNGTLRKELAELQEELAKAHNQVHLSETRASSALDKLAQADNLVNDRLLQDGGSSASIDNYVSLASRTSSASRFVNKKTLRPSLDVSGPVQPYNPNLKNFWYPVVFSGDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVSEGRIQCPFHGWEYSTDGKCEKMPATKLLNVRIRSLPCFEQEGMVWIWPGDGTPESTIPSLQPPSGFTIHAEMVMELPVEHGLLLDNLLDIAHAPFAHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTTGISKPGKLEGKSIKQCSTHLHQLHICLPSSRNKTRMLYQMSLNFAPWLKHIPFMHILWS >ONIVA10G21520.2 pep chromosome:AWHD00000000:10:21086262:21089262:-1 gene:ONIVA10G21520 transcript:ONIVA10G21520.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTVSLLPHLLVKPSSSFSCCFTMGIGRRYGRIKVYAVLGDDEAQHAKNNMRGALFHVDNPGPMAPIAKGNFLDVNQALEVVRFGIQYCDWRARQDLLTIMVLHNKVVEVLKSLAIEFKSNGTLRKELAELQEELAKAHNQVHLSETRASSALDKLAQADNLVNDRLLQDGGSSASIDNYVSLASRTSSASRFVNKKTLRPSLDVSGPVQPYNPNLKNFWYPVVFSGDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVSEGRIQCPFHGWEYSTDGKCEKMPATKLLNVRIRSLPCFEQEGMVWIWPGDGTPESTIPSLQPPSGFTIHAEMVMELPVEHGLLLDNLLDIAHAPFAHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTTGISKPGKLEGKSIKQCSTHLHQLHICLPSSRNKTRMLYQMSLNFAPWLKHIPFMHILWSHFGEKASLKIFRATW >ONIVA10G21520.3 pep chromosome:AWHD00000000:10:21085799:21086249:-1 gene:ONIVA10G21520 transcript:ONIVA10G21520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHVSLQLTTVKFFPLFHTVVYKTSMVILYDLLQVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAIERGANRLPLNNQSVLRSSLRGSSA >ONIVA10G21510.1 pep chromosome:AWHD00000000:10:21084988:21085191:-1 gene:ONIVA10G21510 transcript:ONIVA10G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGLAELERSSQKQSLTSSLRSNSILTSLSSQYLSPPPPHIPAPPPPSPEAAIEGFVLLPLLVGL >ONIVA10G21500.1 pep chromosome:AWHD00000000:10:21084715:21095756:1 gene:ONIVA10G21500 transcript:ONIVA10G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAVGLVRHRGRSSKACVSLLSSPLPQPSPWGVTLMSSDRHGWAARSLLDGLGRAQSDKKSQAHLLGRTYSPTNRGRRTKPSIAASGDGGGGAGICGGGGDRYCELSDVRIELDLREEVAEGGGDKVPFLALGEGNKLILFPFLGFHREAPTPDSSYPWTDITNLTEVNECPLDNWFHVGCEVTENIMRLHIDGDLVAETHLHSLYNEPDYQDDANQINLLGSEDKLEGYVYNMELSCMLGNIQQQFAKNPPFKLSIDYSCSDGIEEGDDGIWNIVGGKASCRRNFILEVILVDAFGEAAKDREIVASLVYADNGALVEKSRDDSEPPLLISCDGIEYPAVSRPLPIIRGRALFKLKISQLSSKCDNKLFRIFFSTLGMKRYPFLEAYSKPIRCISRNRTSRPLGSAKRIGSASMDDIKSINNCEGFGHSGKANGRLQTHDPSSVVCFHPSKFSKIEDDVQKTSSQNKHAKKMVLDKGAQDVMVSDSTASDYDSMDAGSSWSLSDGDDVESFSDAEIFRYCLDGTHERSKFLRAAAPSVNEDDLIKLANQVSLYSGCTHHRNQILISKQLLQEGADIWSIISKNNERALWSSAVPEMKAKFLEIVHPSNRGLSEQDFEVLRGIAGCGDDIGRDEFDKLWSWLYPVAIALSKDKINRLWDFTAHRWIEGLITLQETENALRSSRDRLMKPGTFVLRFPTTRSWPHPDAGSLVVTYVGSDNSIHHRLLSLDVRVDRLPSSMQS >ONIVA10G21500.2 pep chromosome:AWHD00000000:10:21089476:21095756:1 gene:ONIVA10G21500 transcript:ONIVA10G21500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLRRRGERESGTKPSPPPTSRSAAARPPMAAPPGRGGADGYCDLPDVRLELDPGKVRGGGGGFTVCFWLYLSSSARPSSVILHQVAEGGGDKVPFLALGEGNKLILFPFLGFHREAPTPDSSYPWTDITNLTEVNECPLDNWFHVGCEVTENIMRLHIDGDLVAETHLHSLYNEPDYQDDANQINLLGSEDKLEGYVYNMELSCMLGNIQQQFAKNPPFKLSIDYSCSDGIEEGDDGIWNIVGGKASCRRNFILEVILVDAFGEAAKDREIVASLVYADNGALVEKSRDDSEPPLLISCDGIEYPAVSRPLPIIRGRALFKLKISQLSSKCDNKLFRIFFSTLGMKRYPFLEAYSKPIRCISRNRTSRPLGSAKRIGSASMDDIKSINNCEGFGHSGKANGRLQTHDPSSVVCFHPSKFSKIEDDVQKTSSQNKHAKKMVLDKGAQDVMVSDSTASDYDSMDAGSSWSLSDGDDVESFSDAEIFRYCLDGTHERSKFLRAAAPSVNEDDLIKLANQVSLYSGCTHHRNQILISKQLLQEGADIWSIISKNNERALWSSAVPEMKAKFLEIVHPSNRGLSEQDFEVLRGIAGCGDDIGRDEFDKLWSWLYPVAIALSKDKINRLWDFTAHRWIEGLITLQETENALRSSRDRLMKPGTFVLRFPTTRSWPHPDAGSLVVTYVGSDNSIHHRLLSLDVRVDRLPSSMQS >ONIVA10G21500.3 pep chromosome:AWHD00000000:10:21089476:21095756:1 gene:ONIVA10G21500 transcript:ONIVA10G21500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLRRRGERESGTKPSPPPTSRSAAARPPMAAPPGRGGADGYCDLPDVRLELDPGKVRGGGGGFTVCFWLYLSSSARPSSVILHQVAEGGGDKVPFLALGEGNKLILFPFLGFHREAPTPDSSYPWTDITNLTEVNECPLDNWFHVGCEVTENIMRLHIDGDLVAETHLHSLYNEPDYQDDANQINLLGSEDKLEGYVYNMELSCMLGNIQQQFAKNPPFKLSIDYSCSDGIEEGDDGIWNIVGGKASCRRNFILEVILVDAFGEAAKDREIVASLVYADNGALVEKSRDDSEPPLLISCDGIEYPAVSRPLPIIRGRALFKLKISQLSSKCDNKLFRIFFSTLGMKRYPFLEAYSKPIRCISRNRTSRPLGSAKRIGSASMDDIKSINNCEGFGHSGKANGRLQTHDPSSVNKHAKKMVLDKGAQDVMVSDSTASDYDSMDAGSSWSLSDGDDVESFSDAEIFRYCLDGTHERSKFLRAAAPSVNEDDLIKLANQVSLYSGCTHHRNQILISKQLLQEGADIWSIISKNNERALWSSAVPEMKAKFLEIVHPSNRGLSEQDFEVLRGIAGCGDDIGRDEFDKLWSWLYPVAIALSKDKINRLWDFTAHRWIEGLITLQETENALRSSRDRLMKPGTFVLRFPTTRSWPHPDAGSLVVTYVGSDNSIHHRLLSLDVRVDRLPSSMQS >ONIVA10G21500.4 pep chromosome:AWHD00000000:10:21089476:21097200:1 gene:ONIVA10G21500 transcript:ONIVA10G21500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLRRRGERESGTKPSPPPTSRSAAARPPMAAPPGRGGADGYCDLPDVRLELDPGKVRGGGGGFTVCFWLYLSSSARPSSVILHQVAEGGGDKVPFLALGEGNKLILFPFLGFHREAPTPDSSYPWTDITNLTEVNECPLDNWFHVGCEVTENIMRLHIDGDLVAETHLHSLYNEPDYQDDANQINLLGSEDKLEGYVYNMELSCMLGNIQQQFAKNPPFKLSIDYSCSDGIEEGDDGIWNIVGGKASCRRNFILEVILVDAFGEAAKDREIVASLVYADNGALVEKSRDDSEPPLLISCDGIEYPAVSRPLPIIRGRALFKLKISQLSSKCDNKLFRIFFSTLGMKRYPFLEAYSKPIRCISRNRTSRPLGSAKRIGSASMDDIKSINNCEGFGHSGKANGRLQTHDPSSVVCFHPSKFSKIEDDVQKTSSQNKHAKKMVLDKGAQDVMVSDSTASDYDSMDAGSSWSLSDGDDVESFSDAEIFRYCLDGTHERSKFLRAAAPSVNEDDLIKLANQVSLYSGCTHHRNQILISKQLLQEGADIWSIISKNNERALWSSAVPEMKAKFLEIVHPSNRGLSEQDFEVLRGIAGCGDDIGRDEFDKLWSWLYPVAIALSKDKINRLWDFTAHRWIEGLITLQETENALRSSRDRLMKPGTFVLRFPTTRSWPHPDAGSLVVTYVGSDNSIHHRLLSLDVRVDRLPSSMQS >ONIVA10G21500.5 pep chromosome:AWHD00000000:10:21084715:21089272:1 gene:ONIVA10G21500 transcript:ONIVA10G21500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAVGLVRHRGRSSKACVSLLSSPLPQPSPWGVTLMSSDRHGWAARSLLDGLGRAQSDKKSQAHLLGRTYSPTNRGRRTKPSIAASGDGGGGAGICGGGGDRYCELSDVRIELDLREEVRLCFWLLLSSSARPSSAIPSSGFEMPVVDKTMHGGRNSMSMGEGIVDSGVPSPGQIQTMPSCSKHGNDRMRTLSNFVAGIFSHFPSVEYSHPEGSPEKTTGYQKFFRLGLYGCTGPDTSRLGRRNHDGEEVLPRPPIAVLDAESDHLKGLLKEEEGLTSKCGSKDTVVSMEQ >ONIVA10G21490.1 pep chromosome:AWHD00000000:10:21081389:21084846:-1 gene:ONIVA10G21490 transcript:ONIVA10G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:camphor resistance CrcB family protein [Source:Projected from Arabidopsis thaliana (AT2G41705) TAIR;Acc:AT2G41705] MAIGGHKSDPPWRWLRKRRGEEGDACLAAPPAVTHQAHGGDGRHRRRRRVSYLRRLWYERRAGNRMESSSARSNTSERNRVDYARSVSMDSAGHSLGARSASILSRRSSRQGSRGSISLSREMGDSILSSMRHSLQSADQLLGDVDGSVLAQVIDSGDRGLAFENDVDEEEENNVEDHQAVPLPDDTSMRIHGRSSQGTSVVAPVSAMKPKDTNVNGPASSSIKVEPYKLSWMQDYASYLIHLAVFGFLGVFTRYGLQKLFGPSCLALTSDQSPLYLDLPSNMLGSFLMGWFGIIFKADIRHISDHLIVGITTGYMGSLTTFSGWNQKMVGLSSKGHWVYAVAGIVLGMFIVNESITVGTETGERLRGWILKCIIEKSSIGSKCGWEDWRVDTRTKHYALLGVMVILMSLIWILSIVLAIVKVHSLGHGAVLWLGCSVAPPGVWLRWYLARLNGGGIGIGKRRHLKWLPVGTLAANVLAAAIMAALAVTAKAENTRRLTTVLNGIQLGFLGCLSTVSTFAAEVYTMRRSGQIARAFVYAAATFVLSFVLGTLIYSVPVWVEHY >ONIVA10G21490.2 pep chromosome:AWHD00000000:10:21080740:21084846:-1 gene:ONIVA10G21490 transcript:ONIVA10G21490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:camphor resistance CrcB family protein [Source:Projected from Arabidopsis thaliana (AT2G41705) TAIR;Acc:AT2G41705] MAIGGHKSDPPWRWLRKRRGEEGDACLAAPPAVTHQAHGGDGRHRRRRRVSYLRRLWYERRAGNRMESSSARSNTSERNRVDYARSVSMDSAGHSLGARSASILSRRSSRQGSRGSISLSREMGDSILSSMRHSLQSADQLLGDVDGSVLAQVIDSGDRGLAFENDVDEEEENNVEDHQAVPLPDDTSMRIHGRSSQGTSVVAPVSAMKPKDTNVNGPASSSIKVFTRYGLQKLFGPSCLALTSDQSPLYLDLPSNMLGSFLMGWFGIIFKADIRHISDHLIVGITTGYMGSLTTFSGWNQKMVGLSSKGHWVYAVAGIVLGMFIVNESITVGTETGERLRGWILKCIIEKSSIGSKCGWEDWRVDTRTKHYALLGVMVILMSLIWILSIVLAIVKVHSLGHGAVLWLGCSVAPPGVWLRWYLARLNGGGIGIGKRRHLKWLPVGTLAANVLAAAIMAALAVTAKAENTRRLTTVLNGIQLGFLGCLSTVSTFAAEVYTMRRSGQIARAFVYAAATFVLSFVLGTLIYSVPSLSASAFFNE >ONIVA10G21480.1 pep chromosome:AWHD00000000:10:21079124:21080587:1 gene:ONIVA10G21480 transcript:ONIVA10G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAGSPPPPPTSPPYTDILAALHRSIAGGHAAAAVALLPELSRAGLRPPFPLLSSLARLLLLRRATAPCFPSLAGRLLLYVRLAGLKRLVPCSTQLANHLLSLNFLLRRPRDARRLFARMPRPDVCSYNAMLAGYARLALAAPAAEVFAAMPHRDLLSYNATLLALAGGGEMQKAVALYSELRGTSTSLGYSDQTFLALLVGCEKLVDRELARQLHAHLILHGFLSDIRIASSLVDVYTKCVCIADAEDLFNEMPVKSERMWTTLVCGYAEDGQLSTARRLFDQMPKKNILSWNSLMEGYVRHGQEAEALSIFQHLIKEGVHPDQITFSSCFRACAAVCALKCGQQIHGRLLRTGFYPNVMILSSLIDMYSRCGYLADARQVFSLTVQEKKDTLLWNALLGALCHHGHGQEVIGSFVQMIRERWKPDANTFLTVLKACCHCNLVEEGIGFFELMTERYRIVPGEDHYVCLVDLFSRSSSHIKWLN >ONIVA10G21470.1 pep chromosome:AWHD00000000:10:21076366:21078831:1 gene:ONIVA10G21470 transcript:ONIVA10G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVRRVQQRVRKAREEMDRWDDLNSRLLSQFSNAAAIVARLPVLEEVKNYGVLRCVPNIRENLLGKQMESLEIIFVSMRETVEEFNSIARSLHKALRDTNQMVCTKIISHLFADMDEQCKLFLTLYSQMKSVDVLARLLLEALCPGVLDMLTSLCFDVLACPDGQMNQRC >ONIVA10G21450.1 pep chromosome:AWHD00000000:10:21065085:21068811:1 gene:ONIVA10G21450 transcript:ONIVA10G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAAAASPFLLHGAAAASGSRRPLVAAAATGRRAASSLRVAALKYDPSKVAPQSDRVLVRLEQIPEKSVGGVLLPKSAVKFERYLMGEILSVGADVNEVEAGKKVLFSDINAYEVDLGTDEKHCFCRESDLLAVVE >ONIVA10G21440.1 pep chromosome:AWHD00000000:10:21054899:21055963:1 gene:ONIVA10G21440 transcript:ONIVA10G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPVVTDDGGGGHGAKGEESVSGSVAGISPSILIIAVIVVVMLLASVSIHYFIRHLCRRSSASAAASSSAPVLPVVVRPASSAAVGEQVVGKASAERAAEMERLISRLPLFTLASSLAALPKSSRDCAVCQSAFRDDDELRLLPACRHAFHSRCVDPWLRANPSCPLCRASIALPHPPLPDLLRVELGSVSSRRSNPNSAAAVASAPPEGAAVRAYPLPTLPNSEYLVEEELDVVIKQPAAAAAPRTGEPSQHPPPAAQAERGQPSSVTPTASFSSARSQERWSNRWSSRWSSRWSSGRWSSRYDAGTVTAAATAEWWWDMDGGAAPAARRREVEEQGNAFHGFMRWLTGAY >ONIVA10G21430.1 pep chromosome:AWHD00000000:10:21044471:21046773:1 gene:ONIVA10G21430 transcript:ONIVA10G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIRMGMEVFTVGETGAGCGWRETVTDPPYPVSRWLTAFTVNGGYLFWYMDRFRYPNDTLHGGLLWFSLQDQAFGVTLLPHSLDPALDDKVRPDVLHGELCVLHANSDTMTVTIWTTNSPSFDDWEQRYCIYVSRLCHPMGLLGDGGMLLWAKHTIHRYDLWSDELTAVCELGGIRYQGGRPPRWKNLFNFSVMPYTESLVRITAAA >ONIVA10G21420.1 pep chromosome:AWHD00000000:10:21043287:21043812:1 gene:ONIVA10G21420 transcript:ONIVA10G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRILWMSHAERFFMWLGSFCCSELLKVLESHLEPLTNQQLMGICNLQQSSQQAEDALSQGMEALQQTLGDTLASAAATVVVGGVGADNVTNYMGQMAIAMAMLTTLENFLKLRSNINGELNCLLPWRDAMIFLCRLIC >ONIVA10G21410.1 pep chromosome:AWHD00000000:10:21042601:21043074:1 gene:ONIVA10G21410 transcript:ONIVA10G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNGDDNEETAIRARILSHASGGGVAAAGLRLPLPLPLATSTSARRCPAQLASARGLNSPQSPPPFAAVIGLRLPQPVTRLRLSPPPPLATTCRLRSPQPASSASPPLAAVRRLAATAICSSLLRTLVARRPPSPHWRRGCGKEAVDCSPGGGREM >ONIVA10G21400.1 pep chromosome:AWHD00000000:10:21025637:21034284:1 gene:ONIVA10G21400 transcript:ONIVA10G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAPTSIPCELPLALDPSVSARPPDRPVASQSSARPPFPAASAAAAAPAAAPAVRSLVANSSGMDTRFDEDERTTAATRRRQSPSPSFAPSTSKATAVGLTRYGAVKSSGPRRELETGETSRCMEVLLLENPIDATKPSPLHVDEDKQGEVIGGTQQNAPESCVTERARLNLKSRSSAVGQSAGSPLKERILAPSTAMKRATENKYLANLKTDIVEKALKYDRKEKMKEVIHEADDIPEEGFVLEPTGHGNGKQFPTLIRKKKLGGALCDEMGMGKTRQVAAFLRGLLQADVIHNAMIICPVTVIETWRKELNIVGVLDGGVLITTFEAVRDHIHRILEAGGTAWDYIVIDEAHRMKNDRTKLFDSLCRIDCTHRILMTGMLIQNNLTEFYALMNFCCPNLPGESGQFHENFSMPIERARYRGASAQLIKESIEASERLKKLVSPFVLRRTKDMLKNSASKLGTKHELTVWLKISAAQEYLYTNLIMSNVLGDEPGTPLAASQVARSICNHPVMVIGSDFEQRGESEEKKDALTDIIRKGLLAVSNIEDIEVGDYSLSSKEFQQTNRWSLFLITTNVGGVGITLTKATRVIVFDPAKNPSNDTQSVDRAHRLGQDKDVIVYRLVTCGTIEEHTYRQQVIKGEKATAVMKENQSRREITKPTRRVLSMPPEGFGVSKTLIELLAIHGGAFDPSLDESEIRQVRGHESVVGVSNHLHLFSQRESDPPVDAEILKSIRSTFIEIPIQQVGCFVGEKGGNIMKLENISGAKIHRLGVDSYTHMQLYKISGTPHQISKAENLVKEFLQEMDSMVEEEISVPMEKVGLLKNTKFKTKLVSNVGLVIGSGGATIKKYKNLHPRSESGTTGRFVIRGSQNQTKEYSGV >ONIVA10G21400.2 pep chromosome:AWHD00000000:10:21025637:21034284:1 gene:ONIVA10G21400 transcript:ONIVA10G21400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAPTSIPCELPLALDPSVSARPPDRPVASQSSARPPFPAASAAAAAPAAAPAVRSLVANSSGMDTRFDEDERTTAATRRRQSPSPSFAPSTSKATAVGLTRYGAVKSSGPRRELETGETSRCMEVLLLENPIDATKPSPLHVDEDKQGEVIGGTQQNAPESCVTERARLNLKSRSSAVGQSAGSPLKERILAPSTAMKRATENKYLANLKTDIVEKALKYDRKEKMKEVIHEADDIPEEGFVLEPTGHGNGKQFPTLIRKKKLGGALCDEMGMGKTRQVAAFLRGLLQADVIHNAMIICPVTVIETWRKELNIVGVLDGGVLITTFEAVRDHIHRILEAGGTAWDYIVIDEAHRMKNDRTKLFDSLCRIDCTHRILMTGMLIQNNLTEFYALMNFCCPNLPGESGQFHENFSMPIERARYRGASAQLIKESIEASERLKKLVSPFVLRRTKDMLKNSASKLGTKHELTVWLKISAAQEYLYTNLIMSNVLGDEPGTPLAASQVARSICNHPVMVIGSDFEQRGESEEKKDALTDIIRKGLLAVSNIEDIEVGDYSLSSKEFQQTNRWSLFLITTNVGGVGITLTKATRVIVFDPAKNPSNDTQSVDRAHRLGQDKDVIVYRLVTCGTIEEHTYRQQVIKGEKATAVMKENQSRREITKPTRRVLSMPPEGFGVSKTLIELLAIHGGAFDPSLDESEIRQVRGHESVVGVSNHLHLFSQRESDPPVDAEILKSIRSTFIEIPIQQVGCFVGEKGGNIMKLENISGAKIHRLGVDSYTHMQLYKISGTPHQISKAENLVKEFLQEMDSMVEEEISVPMEKVGLVIGSGGATIKKYKNLHPRSESGTTGRFVIRGSQNQTKEYSGV >ONIVA10G21390.1 pep chromosome:AWHD00000000:10:21013544:21020826:1 gene:ONIVA10G21390 transcript:ONIVA10G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone mono-ubiquitination 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) TAIR;Acc:AT1G55250] MDAAALQYENQKLVQQLEAQKSKMRALEGMFKELRDEQCSYDNTLICLNKMWNQLIDDLVLLGVRAGGDLNGLQALDHEEMSEESLESCPSEEIFLFRLLNSRNFRNNDDSSLSKLVEEALALRYSTTVTLMKSLQEAFAVQQARSESLSLALNGQNSSEDVIVALENHNDYLKEVVDNLRQAVSIINRKHEKYLDEIEAFKNNQSRELHEVKCLSGELEESMAELEESRRKLAVLQLQTGGGSLMNTSAPNGVNGSVSTDKSSDKGMGWRDLKDAVEEAKTLAANRLFELHETQEDNLILSKQLEDIQDQLKDENYIVTSKPYTILSDQLHHLNAEIERYRGLVEVLQNEKDQLMQKEEEMLAKAESVDAVQQSITTYKAKIEDLEHEIQKLMAEKNDLEIKAEEALQDSGKKDFKDEIHVMAASLSKEMELLDNQMNRSKDAASEALALREEADYLRTLLAKKIETLDQEKQELQFIVDMLGKECSESRAISEIEESENRARKQAEYLRKCLEEHNLELRVKAANEAETACQQRLSIAEVELEDLRAKVDASERDVMKLKESIRIKEAEVDGHISEIETIGQAYEDMQTQNQHLLQQVADRDDFNIKLVSDSVKMKQAYGSLLAEKNMLQKQLQHVNSSLESSKLKITSGEEQMKTYVAQAMKSSSENRHLAISLERTMLEVSDAEKELKWLRSATGSAEKEYEINQKKIAELKMELERERNERRKLEEEYEEVKNEVSELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQSDVREVKI >ONIVA10G21380.1 pep chromosome:AWHD00000000:10:20994577:20995230:1 gene:ONIVA10G21380 transcript:ONIVA10G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGLVVSGETTTPLLKKSKPRTPESITPSIVTLPRSRAATVSTWRGREEEADAAAGGDGGGGNAPELPLVRGNCKQGGGRKRRGEGRGGMNRGARQTQQQREHEEAVTRCCC >ONIVA10G21370.1 pep chromosome:AWHD00000000:10:20993461:20995160:-1 gene:ONIVA10G21370 transcript:ONIVA10G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQFGEEGGINTSWSISSNSGSPPPRVLSAAASACARPGDACERERDEAVIKRREERRGEERRRGPVRSRCPQLSPTTTAPGVPPHTLGARLDSFLLFLPPFFFFPHLACNCRGPMAVQAHYHHHHHHQQQHQPPPLFLARGVVVSPETTRPAGMEYHYQQHQQPPLFLDFSHGDGDGGNSRKRPREADAAAAMVAAPPPQMLSLQPQAQAQGHKVVSLAQLHNKRPPASTGLRLDFDDGGSEHVSTTTTSSASSLLSDELATQFDRCKNEMARMFQDHTERLRRALGEVRRRHYRSLLGAAEAAAARRMREKEAEASNAARRGAELEERVARLRAEAAAWQAKALADQSTAAALHAQLQQAAAAAAQARGSKSPEDDDNINPNAAAADDAESGFVDPDRVEEVTPPSRPCRTCRARPSSVVLLPCRHLCVCEACEPAVSTAIAAACPTCRGAVTGTVQVFIS >ONIVA10G21360.1 pep chromosome:AWHD00000000:10:20954029:20985590:-1 gene:ONIVA10G21360 transcript:ONIVA10G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAFLLQKYLGNYVRGLSKEALKISVWRVTMSIMRSSDWEVLCRSKVSCQAVLVSAPQQFIQVLFGDCESLWPGSLECGVWLKICKVYAFHGANQPKHVLMVGSDVELTNMQLKPEALNSLKLPVRVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATQVEGCSEDAVQEAKRSRVREMEMKLLESQQQLKSELNSSWLGSFISTVIGNIKLSISNIHIRYEDTESNPGHPFAAGLALSKLSAVTVDDRGKETFATGGDLDRVKKSVELESLALYFDSDSSPWSVDKSWEDLLPSEWSQIFEFRKQDSSNPPSKTHTYILRPISGKAKYTKIQLDEAKKTGQALQNAAVDLDDVTLSLSKDGYRDVLKMADNFSSFNQRLKYAHYRPSLPVKSDPRSWWKYAYKVVVHETKKASGNLSWEQLLKNARLRKTYVSVYASLLKSDMSRLVVDDNEDIKKLDRELDIEVILQWRMLAHKFVEQSAETHQYAQQNKQQSWWSFGWTGSSKDEGDSKSFSDEDWERLNRIIGYKENDDYIPVQQDMKLMQFYFEIRMKHNASKLIIDNSEYLADLSCEDFCCNLKMYPEAKIFDLKLGSYKLLSPYGLLAESASVTDSLIGIFSYKPFDEQLDWSFTAKASPCYITYLKDSIDQIVAFFKSSPTISQTLAIETAAAVQMTLDEVKRTAQQQMSRVLKDQSRFSLNLDIAAPKITVPTKFRPDDIHETKLLLDLGNLILRTEEIWDSRASEEQDMYLNFNLVLSDVSAFLVDGDYHWNERSNEVNLLSVIDKCGIALKLQQIQLESALYPSTRMAVRVPSLGFHFSPARYHRLMEIFKIFQDNDSDKNSSDLAHLWDQADFEGCLRNKQVHQVPMELTSGVQNILALHDSGQILEDTGALIMLFDNEEARRIWQNRLQGAIYRASGSAALSSFPEVAFPSETHSFKGSFQDVSIEKLFVAGILDELKICFSCGYEVELSMKGGNLLIGTILRSLEIEDQYNYPGSPVPRYLARSFINSVQTNEVPTPSRKNSAGPRGTALKKSDSEERFFEASDDFDEFETPMLHERSISDYFSTQNFLPTSLPSLQPPAFSRIPGLLPDIELQSAGFTSGDITFESFVKAQIVIYDQQSPQYNYLDNRVVISVATLTFFCHRPTVIAIMEFMNAINLANVPDADKNKDTTSDPVEHNMVEEPKSDLEAGPVIKRLLAKGKSRTVFHLTSSMAEAQILLMNENGDRLATLSQNNLSTDIKVFTSSFSIEAALGNLKISDDSLSCNHPYFWVCDMRNPGGSSFVEIDFSSYNVDDEDYSGYDYSLSAQLSEVRIVYLNRFVQEIINYFMGLVPKSSDSVVKLKDNVTNSEKWVSKTDMEGSPALKLDVSFSRPIIVMPRETNSSDFLELDVLYIKIQNKFQWIGGDKNEMSAVHLEILTVTVKDINLTIGMNMVCGETIIQDVEGLSFEIHRSLRDLMHQLPAVEASIKVDVLKAALSNREYEIISECALSNFSETPHVVPALDDPRYGTTTAESHVSSSSSSESIQDLSQDAETWITNKISVSINLVELSLHSGSTRDSPMASMQASGAWLLYKSNTREESFLFSTLKGFSVFDDREGTKDELRLAIGKSATVRDTSSADGYDNPNELDSGERRIQKDLGLEPIPSMLIFDAILRKSSSTVSVCVQRPKFLVALDFLLAIVEFFVPSARSLLSNDEDKDLLHMTSPLVFSDQVYYQERSTMSISPQKPLIVDNEKFDYYIYDGKGGKIYLRDREGKILSGPSAERFIHVLCGKGLQFRNVTIVNGEYLDSCISLGSNCWYSASENDHVYLVSENEGLLSTHSEEITEDVVKNISANRSTEFIIEIQSIGPELTFYSTSRSSGENLALSTKVIHARTDAFCRLIMKGDSMEMSGNILGLKMESNGIRVIEPFDMSVKYSNASGKTNLHLLVSEIYMNFSFSILRLFLAVEEEISAFLRMSSKKMSLMPQVLRRLILFSRWNDSPTKGVLALNTNIVRVKRPLSYKLVWRSGPPQTNELQHSEKDLKNKPSNVDRFCSVWLPVAPVGYVALGCVSSTGTAEPPLSSVFCLSASLVSSCGLRDCIPLSGNANMSFWRVDNAFGSFLPGDPAHMRVDGNAYDLRHMLFNDADSSKTSSIGQDSHNDASQIERSALTSGRLFEAVASFKQIWSNNGMSSPKKLSIWRPMLSEGMFYFGDIAVNGYEPPNSAVVLRNSGDDTFLRAPEGYQLVGRIKKHRGTEGVSFWFPQAPPGFVALGCVASKSSPAKEDLHFLRCIRSDMVKGGQFSEESVWDSSGARTSESFSLWTVDNDVGTFLVRSGFRKPPRRLALKLAGPPTSSSSDSIIIDAEIKSFSAVSFDDYGGMMVPLFGISFNSVGFSYHGGPQHLNATVGLSFAARSYNDKYNSWEPFIEPTDGFLRYQYDMNTPGSPGQLRIASTRDLNMNISVSNTNMLSQAYASWNNISLGNELYRMETSSTSERSILDVHERRSYYVIPQNKLGQDIYIRTTEYRSSDVTLLSSGDDRSIKVPASRDLLDSHLKGRSVRLYRLMVTAIIANAEIKVGEGLATGEYMVALRIYSEDCIASGVQQQSARTCAAAGDQSSQNIRKVEWNEMFFFKVESEDNYVLEFVVLDAGGGQPVGIYSTPLKQVVQKLPSASGSNYAKFDLTLGGLTSTKTVEHESVKSSGKIRFAVLISGRASTKQGCRANQARSKAGYIQISPSKEGPWTNMKLNYAVPAACWRFGNCVIASEATVKEGNRYISMRSLVSITNTTDFIVDLRLKGRYSRSSQSDGQGENSNKDDHISVGLLEPGSTAPIPLSGISNPVVLYTLQLRPTNHHELVQYSWSDVQERRSQTEYRNEEILDICVSDLYESENLLFCAQSDGTSSTSQGLWFCLSIEAKEIGKDVHTNPIYDWSIIIRSPLSLSYYLPISAHYVLSASHLDEEDTSCSQGTLNPGEVVRVQNVDPRNPLYLSLVPHGGWTSHEPVPISHPTVAPSKFINLRSSLSERIVQIVLEQSSDKDYLMARAIRIYVPYWISFARLPPINLQLIDISGRKDKRRFLARPRSERSEKILYEINHEELVEGYTIASGLNFKGLGLSASACRHGSGQFGLLKELSPLGDMDGAVDISAYDDDGKCTHILLCSKPSSYQAVPTKVIYVRPYITFTNRAGQDFYIKLSAEDEPKVLHAHDWRVSFMHSEGGTEKLQLRFGYANHCYLKMCGCMVRLVDTDWCQPLDIVKEDTIVIVMRKQDGTQKFIKAEIRGYEEGSRFLIVFRLGPSDGPIRIENRTSSTTISARQSGLGEDSWIQVKPLSTKKYSWDDPYGHTTFDVSIQQGDVTFFQCVDLENPDECSAGFREHHLKLSIVETADVKILKFADYPRRQEGGYRSDLGDHQASPVMQNDTDTGAGLLELIVELGVVGVSLIDHKPRELLYLHLQKLFISYMTGYNSGTTSRFKLIIGHMQLDNQLPLSIMPVALATESMPDSNHPVFKANIAVTDQTWRLNIHEPIIWALVDFYSNLRFVSTNNISTVTEVDPEIRIELVDISEIRLKISLETAPTQRPRGVLGIWSPVLSAVGNALKIQVHLRKVMHRSRYMRKSSIIPAITNRIKRDLIHNPLHLIFSVDFLGVTKSTLSSLSKGFAELSTDGQFLQLRSKQVWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGAIGIAHGLGRAFVGFIVQPLSGAFDFFSLTVDGISASFMRCVDILNNKHVPQRIRDPRAIHRDGIIREYDKVQAAGQCVDLDKMDKKPSKILWDVPWEDVLALELAKAGYQRPSHVIIHLKNFRRSENFVRLIKCNVDEEHEPQALLLCSSIRKMWRSHQADMKVVPLKVPGGQHDVYFAFDEDMREFHSFARPLLSPRGAARNVEERLINDTVNFQNMWSSEQEIRSRCKLLSKQVADDGRVFSIWRPLCPSGYVSIGDIAHVGIHPPHVAAVYKNMGGNFALPLGYDLVWRNCGEDYRNPVSIWFPRPPEGYVALGCVAVSAFEEPPLDSAFCVSERFAEDAVYEEQIVWASSDAYPWGCYVYQVQSKSLQFMAMRRPKEECELKPKKVSESYAQQALETS >ONIVA10G21350.1 pep chromosome:AWHD00000000:10:20945504:20948460:-1 gene:ONIVA10G21350 transcript:ONIVA10G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast beta-amylase [Source:Projected from Arabidopsis thaliana (AT4G17090) TAIR;Acc:AT4G17090] MALTLRSSTSFLSPLDPSSKREDAPPCCVVPMPAPGSGGRLRLARAAPVEHATMEEMASPEAATLLHHGGGGGQGQRRGKGTAVYVMLPLETVGAGGKVARARALAASLAALRSGGVEGVMVDVWWGVVEREGPRRYDWEGYGELVRMVERAGLRLQMVMSFHQCGGNVGDSCNIPLPGWVLEEMKSNPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPIQVYSDYMRSFRDTFCGYLGNTIVEIQVGLGPCGELRYPSYPEANGTWRFPGIGEFQCYDKYMRASLQQAAAAAGHEEWGRGGPHDAGEYKQFPEETGFFRRDGTWCTEYGDFFLGWYSGMLLEHGDRVLAAAEAVFRGTGAALSAKVAGIHWHYRTRSHAAELTAGYYNTRRRDGYAPVAAMLARRGAVLNFTCMEMRDEQQPEHAGCSPEQLVRQVRSAARAARVGLAGENALERYDEAAFAQVVATAASAGLGAFTYLRMNKKLFDGDNWRQFVSFVRAMADGGERAALPSCDTEQSDLYVGFLEKRAAPEAEAAAAAAVV >ONIVA10G21340.1 pep chromosome:AWHD00000000:10:20936811:20947305:1 gene:ONIVA10G21340 transcript:ONIVA10G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHHHLPVVAPAAASPDAAAADPPPSSDPNHLGPPPALRIHIPSSPHHALPSTPHKRPVMTSSSASSTPTRPSPSPSPFAPPRHRRKVAPAAASPAPAAAAAAARHLLRCLHLRLRLLLLLSLPTLYFLSPSPAILPRSLLADFLSAAAFSCALLLLLCLSLPRLPFPLPFPLPLRRPRRSPILWSIGSSPSASASAPTTGHFVQVYSNGDVYEGQFNRGRCTGSGVYYYYMSGRYEGDWIDGKYDGYGVETWARGSRYRGQYRQGLRHGHGVYRFYTGDVYAGEWSNGQSHGYGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYTFRNGETQAGHWQNGVLDTLSTQNIIPGSPIAVNHSKVLNAVQEARRAAERAYDVPRVDDKVNRAVASANKAANAARVAAVKAAQKRMPNNGNDLPLSVLPHGAPCIRCAICGAVTHVAAAAPPPAHGDPARGAAGPGAVAPQHQAPGWGPPPPPAHGRKRAVICGISYKFSRHELKGCINDAKCMRHLLTTRFHFPDDSIIMLTEEQTDPYKIPTKHNIRMAMYWLVQGCQPGDSLVFHYSGHGAQQRNYSGDEVDGMDETLCPLDFETQGMIVDDEINTALVRPLTPGVKLHALIDACHSGTALDLPFLCRMNRSGQYVWEDHRPRSGVWKGTSGGECISFSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGQGTTYGSILTSMRSTIRSTGDSMGSGGGAVTSLITMLLTGGSVSSGGLKQDPQLTANEPFDVYAKPFSL >ONIVA10G21330.1 pep chromosome:AWHD00000000:10:20925351:20935486:1 gene:ONIVA10G21330 transcript:ONIVA10G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWL4] MSGAYRDRGFGGAAAEMDRKRIKDVLEKHLDRSSPSTSRGAAVAKERDRLAAAGGKLPAPLGKAGKVSDGAEEFETDSEDSDVSGSEGEDTSWISWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPKCEDLHYPRSKYQGNIDGAYFGTTFPHLFLMTYPHLKPQKPSQQYVPKVFGFKLHKQS >ONIVA10G21330.2 pep chromosome:AWHD00000000:10:20925355:20935486:1 gene:ONIVA10G21330 transcript:ONIVA10G21330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWL4] MSGAYRDRGFGGAAAEMDRKRIKDVLEKHLDRSSPSTSRGAAVAKERDRLAAAGGKLPAPLGKAGKVSDGAEEFETDSEDSDVSGSEGEDTSWISWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPKCEDLHYPRSKYQGNIDGAYFGTTFPHLFLMTYPHLKPQKPSQQYVPKVFGFKLHKQS >ONIVA10G21330.3 pep chromosome:AWHD00000000:10:20925355:20929480:1 gene:ONIVA10G21330 transcript:ONIVA10G21330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWL4] MGRKSARPARTWARCGSSFPKEVGGKRGATDSGPTYRVGSRSRRRHSPSRLDTPPTPTRRAPNPPAPNPDLLPCSALRSAAAAARAPPGECAGVWWWVCKGGGVGDARSAAAAVEGVCVLGYSGGSGLGGVRVGMGCFQSTARRPRPGYEDPVGLASETAFSVSEVEALFELFKSISGSVIDDGLINKVKQMLIALLGESEMRLSDEIIETILDKTFSDADTNQDGRIDRTEWENFVSRNPSLLKIMTLPYLKDITTTFPSFVFNSEVDDLVT >ONIVA10G21320.1 pep chromosome:AWHD00000000:10:20921707:20924159:-1 gene:ONIVA10G21320 transcript:ONIVA10G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEALKELGAGNFGVARLVRDKRSKELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFKEEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKANVWSCGVTLYVMLVGSYPFEDPGDPRNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFVADPSKRITIPEIKKHTWFLKNLPKEISEREKADYKDTDAAPPTQAVEEIMRIIQEAKVPGDMAAADPALLAELAELKSDDEEEAADEYDTY >ONIVA10G21310.1 pep chromosome:AWHD00000000:10:20916704:20920212:-1 gene:ONIVA10G21310 transcript:ONIVA10G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWL1] MAALTVGHAAIVHATTRLEDARSTGRRRRRRGMITVRVAAAATSGWEPGSWRARPARQIPEYPDAAALEGAERELASFPPLVFAGEARKLEERLGDAAMGRAFLLQGGDCAESFKEFAANNIRDTFRLMLQMAVVLTFGGQMPTIKVGRMAGQFAKPRSNPTETIDGVTLPSYRGDIINSDGFDEKSRAPDPERLIRAYSQSASTLNLLRGFAHGGYADLQRVTQWNLDFLRDSTQGDRYMELSERVHDAIGFMVAAGLTPQHPIMTTAEFWTSHECLHLPYEQALTRVDSISGLYYDCCAHMLWVGERTRQLDGAHVEFLRGISNPLGVKVSDKLEPSELVKLCEILNPHNKPGRLTIITRMGAENTRVKLPHMIRAVRQAGLIVTWCELRAFFDVHEQEGSYPGGIHLEMTGQNVTECIGGSKTVTLDDLSSRYRTHCDPRLNASQSLELAFAIADRLRKKRDRAWNRLVYRAVA >ONIVA10G21300.1 pep chromosome:AWHD00000000:10:20915594:20916004:-1 gene:ONIVA10G21300 transcript:ONIVA10G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWL0] MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHLMPTRYTLDVDLKEVAAGPDALATRDKKVAACKSAKARLEDRFKTGKNRWFFTKLRF >ONIVA10G21290.1 pep chromosome:AWHD00000000:10:20912642:20915565:-1 gene:ONIVA10G21290 transcript:ONIVA10G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYEQALSFTSEDSVFGLYYDCSAHMDTLQSCSGVQKSKKREETGTFRYTLFDASRVLFSAVHDN >ONIVA10G21280.1 pep chromosome:AWHD00000000:10:20911185:20912195:1 gene:ONIVA10G21280 transcript:ONIVA10G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPPPNPDALSSPDLPPLAAPAAAAAAAAAAVSSGGAGGSGRRLPPPCWTHEETLALIEAYRDRWEGLRKGNLRASDWDDVAGAVTARCGRFPTATHKSGVQCRHKIEKLRKRYRAERARAAGRSKGPKWPFFPLLHDLAGGGAPDPSPNPIIKIKSKGPAAAAASPSPASPSPVSSPSSEEDEEEEAAADAGRSRSLHGLISNGGSGSGLRFTIPKASRSKPVAQREQPTAIKVEKSEEDAEAEAMAEVASALRAVGDKFLRMEERRLEISLQIEKERMESEMKRTQTLLDAQQLFVEAFLGKQQQQHHHHKKAKVISAAAAAATAAMDED >ONIVA10G21270.1 pep chromosome:AWHD00000000:10:20905109:20907149:-1 gene:ONIVA10G21270 transcript:ONIVA10G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEAMPAPDPNDARQRFLLELEFIQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIMYPHCLFFLELLQNANFRNAMAHPASKEVAHRQQYFFWKNYRNNRLKHILPRPPPEPTPAPAPAPAPATVPPAAPVPSTVVPPVAAPSSSLPPMSAAGASAMSPMQFAGTPGTNIPKNDMRNVMGGQGDYEDPLPVIQERRLRLSMCFCRRAELFLFGWASGTVEHAGQL >ONIVA10G21260.1 pep chromosome:AWHD00000000:10:20900638:20904324:1 gene:ONIVA10G21260 transcript:ONIVA10G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAAAAAAAEFGDPDSPPAPAAEEAEAAAAVGEEAVPAAEAAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKARVRVLEGPVGATERIVLVSGKEDPALELPPAMDALMRVFKRVSGITDGAAEGTQAATAPGVCAARLLVPGAQAINLIGKQGASIKAIQEGTGATIRVISIDERERPFYVIEDERIVEIQGETEKVLKALQAVSNHLRKFLVDHSVLPLFEKTNATVTQDRSTDAWTDISHPSIVSAQINQPPPVVDEYILPMKRDPLFLEREPLIDHNIHRSGVSLYGRDPALSTLRTSGIHGGGPGGPLLSQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEMKGTSSQVQAAYQLIQDSLAAHRDSVRSSYAGLDPVYRPSYSQYGSSTYPSSSLPSYSSMDGGGYSSSGLGGYGSSYRY >ONIVA10G21250.1 pep chromosome:AWHD00000000:10:20894685:20897000:1 gene:ONIVA10G21250 transcript:ONIVA10G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGEVAEAVPRVVAILSSLLQRVAERNDAAAAAAAVGEEAAAVSAFQGLTKPAISIGGYLERIFRFANCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLMEMNYLEVDFLFGIAFDLNVTPAAFASYCAVLQSEMAYLEQPPAVDLPRLHCCPSDQDDAGCHHKQQQQQQQQQHQLAV >ONIVA10G21240.1 pep chromosome:AWHD00000000:10:20888019:20888657:-1 gene:ONIVA10G21240 transcript:ONIVA10G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWK4] MGAAGAGAGAIRRAACAAADRACAAARGARRALARFAPRPSAFGAAADAEAAAVRAVRNLRTFRFHYAALQWALLLASLAPRHRASMLFLMAASKGLLLYGGLLRVFPNSALLRRLLDRRLVALVFVALVLADLAAAGAIANLLAALAVGVPVIVLHASFRVRDDLEGPSLPSPAAENGEEETAAVVEKKEDGDVEAGPTRRSMAAAPRSPK >ONIVA10G21230.1 pep chromosome:AWHD00000000:10:20884307:20886465:-1 gene:ONIVA10G21230 transcript:ONIVA10G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWK3] MALEQTFIMIKPDGVQRGLIGEVIGRFEKKGFYLKAMKLINVEKSFAEKHYADLSSKPFFGGLVEYIVSGPVVAMVWEGKQVVSTGRKLVGATNPLAAEPGTIRGDFAVDIGRNVIHGSDSVENARKEIALWFPEGIAEWRSNQHPWIYEV >ONIVA10G21220.1 pep chromosome:AWHD00000000:10:20880837:20883588:1 gene:ONIVA10G21220 transcript:ONIVA10G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLASTSSTSPLLLASRLRGGGGCGCGGAPLLHRTRRGFLAPSTTTTQTTRTSFAAMSWLGKLGLGGLGGSPRASAASAALAQGPDEDRPAAGNEFAQFGAGCFWGVELAFQRVPGVTRTEVGYSQGNLHDPTYEDVCTGATYHNEVVRVHYDVSACKFDDLLDVFWARHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKAARESLEKQQKLLNRTIVTEILPAKRFYRAEEYHQQYLAKGGRFGFRQSAEKGCNDPIRCYG >ONIVA10G21210.1 pep chromosome:AWHD00000000:10:20873904:20879537:1 gene:ONIVA10G21210 transcript:ONIVA10G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16970) TAIR;Acc:AT4G16970] MDSEAAGSSEIERAWHLLTVVIRLGRPAAASDVARFATADDVERLCRIPGSPLRLSGGVVAASETAFVAFLRYVGLDVPPPRVSPRAPDDVMRWLRRRVPVTYERKRKASDAGRFVARKRLLAAPDADLPEHELRQSQQLIVQSCAPVATGEVHQEATQELQDRLPSLNIFTAQRSFEVSIGSNVFSDIEISMPSLPSKIDQFIGGNDGSVLVSMASALVPKEVTDMSGCINIFHATVDRESTRIGEPEGSASLCCSRVEDREELEKESTLLTMAVGLAVGKKNGIEQDLNLRPSSPRNCSTKATDDMETFDVISKEAEALQYCSPNAQYPQKILTCGQDSDALVVNAHVAIHENKIEDITFQPPEGTKTEAIVHEMVHETMGSLCQPSSNTKVEHAVLPLQAPTYGCISNENLNIAAENRASTHQNHVEPSTQNEVAVRLSKKEQDRKIMKQRDKGKKKEALPKEDKDQVAAKVQKGHTEPKPLPNFKNFEIEEEEGSGGYGTVYRARRKSDGRLFAIKCPHANAHSHHVYNEQKMLERFGGKNFVIKYECSLRSGDLECFVLEHVEHDRPENLRKEIGLFDLRWYGFCLFKALASLHKQGIVHRDVKPGNFLFSRKLAKGYLIDFNLANDLHQKFFRNSKSETISRGKDTISQPALKSTPVVQAKEPVADSKQLLGSKRKRSNRSPVGSAPKNDNKSRHGIQAADVSGVTSAKDPTSTKTSLDRLKQPMPYKGRKELMNFLHDAMQSPDKNTSTAPVSQRKRVAAPLGNVDQKLFILTPMPLCSGGSAIAGSGMLNSKGNGKHRREGPCVGTKGFRAPEVLFRSFHQGCNVDVWSAGVTLLYFIIGKSPFGGDPEQNIKEIAKLRGSEELWEVAKLHNCESSYPSDLFDAKSLRSVDLREWCAANTRRPEFFKSIPDSLFDLVDKCLSVNPRCRITSEDALMHDFFAPCHDLIRQHRLARRPAPSNNLPCLPQDKSVKANESKRSSSTVPTTVNSVS >ONIVA10G21200.1 pep chromosome:AWHD00000000:10:20870238:20873383:1 gene:ONIVA10G21200 transcript:ONIVA10G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRERRLPPPAYRMENPFSVKVLQVFTGFGVGCGVGIGVGRPIYLSVIPGLNQVMTATRGATDAFSGVTRHVNSALRKSGLKNIEAGIGCGVGIGHGFGIGIALKPQELMSKFTSRLKDTPTLSSAPNMAGSVPSNGQAPNGVSIDLKAKTTKSNFHHTSNETSQVKPAPGLQSQHGMQPDMTGSRTEKVVANFLQSPLFQDETKMDIRDVARNSHGMDNVLELLLKHQRIIDELRDENDKLRQMLIEELKVSPSKLQLDHKNGVKAYNPCSDCFDCRRRSRKTRR >ONIVA10G21190.1 pep chromosome:AWHD00000000:10:20863406:20868045:-1 gene:ONIVA10G21190 transcript:ONIVA10G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G07590) TAIR;Acc:AT5G07590] MEFTEAYKQTGPCCFSPDGRYLAVAVDYRLVVRDVVSLKVVQLFSCVDKISFLDWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACIHVQWPKHASRGVSFTKDGKFAAICTRRDCKDYVNLLSCHSWEIMSVFAVDTVDLAGVEWSPDDSAIVVWDSLLEYKVLIYSPDGRCLFKYLAYESGLGVKTVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFSHAASIRNPTNAAIFKEVDDPWQLDMSELCLSEGFSRNMQGNGAENGTEGGSRVKYAVMDAPITLPSQKPVTDKPNPKQGIGMLSWSSDSHYFFTRNDNMPTALWIWDICRLDLAAVLVQKDPIRAAAWDPNCPRLVFCTESPHLYMWTPSGACCVNVPLPNFRVVDLKWNSDGTCLLLKDRDSFCCAAIVSPLPEEEEADQSDVTSEDE >ONIVA10G21180.1 pep chromosome:AWHD00000000:10:20854245:20862418:-1 gene:ONIVA10G21180 transcript:ONIVA10G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARABIDILLO-2 [Source:Projected from Arabidopsis thaliana (AT3G60350) TAIR;Acc:AT3G60350] MTRRVRRRLCKDGGKGKDVAADEERELVSCSSSSRRRGGLGVAVAARGGGGGGSGSCVVDWRTLPDDTVLQLFGRLNYRDRASMAAACRTWRDLGASPCLWSALDLRAHRCDAEVASSLSSRCGSLRRLRLRGHEAAAAASGLRARGLREVVADGCRGLTDATLAVLAARHEALESLQIGPDPLERISSDALRQVAFCCSRLRRLRLSGLRDADADAIGALARYCPLLEDVAFLDCGSVDEAAIAGILSLRFLSVAGCHNLKWATASTSWAQLPSLVAVDVSRTDVSPSAISRLISHSKTLKLICTLNCKSVEEEQAHNPGAFSNSKGKLVLTITSHIFKSVVSLFPDKVVKENEVFNECNWKGKDNALGDMMSWLEWILSQTLLRIAESNPQGMDDFWLQQGADMLLSLVKSSQEDVQERAATTLATFVVIDDESANVDAARSEAVMRVGGIPMLLDLARCSRESAQSEAAKAIANLSVNAKVAKAVADEGGITILTNLARSMNRLVAEEAAGGLWNLSVGEEHKVVTPWMLNTLIAMSDVLLAAIAAAGGIKALVDLILRWPAGTDGVLERAAGALANLAADDKCSMEVAKAGGVHALVMLARSCKLEGVLEQAARALANLAAHGDNNNNNAAVGQEAGALEALVQLTSSQNEGVRQEAAGALWNLSFDDRNREGIAAAGGVEALVSLAQECLNASEGLQERAAGALWGLSVSEANSMAIGQEGGVAPLLTLAQSDVEDVHETAAGALWNLAFYSGNALRIVEEGGVPILVRLCSSSGSKMARFMSALALAYMFDGRMDEVALVGTSSEGSSKSVNVEGARRMALKHIQTFVLTFSDPQVFTTASTSSASAALSQIADAVFIQEAGHLRCSGAEIARFVAMLRNPASILRACAAFALLQFTIPGGRHAVHHAGLLQKAGAARVLRAAAAATTASIEAKVFARIVLRNLEHHQTGTST >ONIVA10G21170.1 pep chromosome:AWHD00000000:10:20842472:20848602:1 gene:ONIVA10G21170 transcript:ONIVA10G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYALRGAALVGVLLLVVASPALVPVASAVPFIVLHGIGDQCENGGMASFTEMLGEWSGSKGYCIEIGRGAWDSWLMPLQEQADTVCKKVKKMKELRKGYSIVGLSQGNLIGRAVIEYCDGGPPVKNFISIGGPHAGTASVPLCGSGIVCVLIDALIKLEIYSNYVQAHLAPSGYLKIPTDMTDYLKGCKFLPKLNNEIPSERNATYKQRFSSLENLVLIMFEDDAVLIPRETAWFGYYPDGAFSPVQPPQKTKLYTEDWIGLKALEEAGRVKFVSVPGGHLSISRSDTKKYIVPYLKPDGSSRFGIRRILSD >ONIVA10G21160.1 pep chromosome:AWHD00000000:10:20839316:20840876:1 gene:ONIVA10G21160 transcript:ONIVA10G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDD >ONIVA10G21150.1 pep chromosome:AWHD00000000:10:20825106:20828701:-1 gene:ONIVA10G21150 transcript:ONIVA10G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASKVEQEDTVRRCKERRRHMKEAVASRQQLASAHADYLRSLRLTAAALSRFAQGHPSLAVSHHTAPVLLTTAAPALAPTPTPPPPSSTASSSLPPPTPLLPKHQQAPPPPPPTQSHQPPPPVAVRAPRGGPRRLKVPHILSDSSVASPARSSFRKPVVGTPSSSSAWDWENFYPPSPPDSEFFDRRKADLEEANRLRELEEEEKARGYLHPHHLKEEDEVDDDDDEREEEMHCGGWEDDDDHYASTTTSETRSEEGEMGNRSECGFAARSEYGGTAPSEYAAAPLPLPLRRRDERSEAGDSSSTVTAAAEMRMVIRHRTLAEIVTAIEEYFVKAAEAGNGVSELLEASRAQLDRNFRQLKKTVYHSNSLLSSLSSTWTSKPPLAVRYKLDTNALEMESMEGKSHGSTLERLLAWEKKLYQEVKARESVKIEHEKKLSTLQSLEYRGRDSTKLDKTKASINKLQSLIIVTSQAATTTSSAIVRVRDNELAPQLVELCFALLSMWRSMNHFHEIQNEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVSAWHSNFNRLIKYQRDYIRALYGWLKLTLFQVDSNIPQEAYTSLISRELTTFCDEWKQALDRLPDASASEAIKSFVNVVHVIYTKQAEEMKIKKRTETYSKELEKKTNSLRAIEKKYYQSYSMVGLGLPGSGRDGIESHSFDARDPLAEKKTEIAQCRRKVEDEMTRHAKAVEVTRSMTLNNIQTGLPGMFQAIAGFSGTVVEALDVVCRRAGSVR >ONIVA10G21140.1 pep chromosome:AWHD00000000:10:20819621:20820403:-1 gene:ONIVA10G21140 transcript:ONIVA10G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVEDDMVEWYRCGGGGAAAAAVEVEVRELRVELEVERRMRRKAEAVSEVLAVELEEERRRRGAAEAECRRMRGEVGEMRAEVERALEEVDDERRMLRVAELWREERVQMKLADAKAAMEEALREIAAASAATAIADDDNSSGGGGGGDSPTTTSKSSPTSQQISQATTSGGQPQLQHRREVAGGGENPHIARGIKGFVEFPRAVRVRLPREERVDLVSNLECQRAQLRALSRHRNPPAGVGLAAAAAAAAASHNLVL >ONIVA10G21130.1 pep chromosome:AWHD00000000:10:20813011:20821221:1 gene:ONIVA10G21130 transcript:ONIVA10G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSRSLHAKPPRSHGHHARSRSQLPTAISAPNQDFQFQFQLLPKVFQFHMDVGGGGGGGGEGKSSEKKVLAQLEQVRLSIASSEDEEDGDAPPRSSFSGASHPPEPVDEMDTVFVAVDGRDKAAKPVIVWDASPPQSGAASPHSSIDSSGAAATVTSIAPSCTVTSLSAKTSVSSSAASDGSGWSNSTAGAGSAAGGGSGGKPHKGGDPRWKAILAARARDGPLAMGNFRLLRRLGCGDIGTVYLSELSNVAVGGGGGAARAWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVMSSSLGSDPKRGNNAQSCAAQPAACIQPTCFMPKLFGKKPKSSQPRQRYHHQQQQLAAAALPEVVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVFLHELMYGRTPFKGQTNRATLFNVVGQQLRFPDHPPTSNAGRDLIRGLLAKEPQGRLGVKRGAAEIKQHPFFDGVNWALIRCSTPPGVPRAVEPVAVAAAVPATVMSKPPPVDTVEMAIHSNCNSTNSSKRMAGPPEVESGGKYLDFEFF >ONIVA10G21120.1 pep chromosome:AWHD00000000:10:20808100:20809704:1 gene:ONIVA10G21120 transcript:ONIVA10G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVTATPRHARPSPLNPNARAAAAAAAAAPPNAVSTTRTHLANLDRLLVRPPPLPLPLQNKGAPPADDLGDGGGAATPDDRSGRCGLLNALNLSTFLPFVRKPAVDEMSPRSLAHLQRLLTLSPRPSPKGSIAGEWRRYHGEGGWDGLLDPLDQNLRREVLRYGDFVQAAYTAFHSMPSSSSAAASQHSQHRTLVLPDRSYRPTRSLFATSSLSIPAWARRRSAPGWLTQRSSFVGYVAVCDNEGEIQRMGRRDIAIVLRGTATCPEWAENLRAGLVPVDDDDDDDVGSPQNAPKVAKGFLSLYKTAGDHVPSLSDAIVDEVRRLIEVYEGEELSITVVGHSLGASLAVLAADELSACLSADVAEHRRRPPPIAVVSFGGPKTGNRAFADRLQNGRGVNVLRVVNAGDVVTRVPAPAMAREGEGHVHAGAELRLDSRDSPCLRPDAGPACCHDLEAYLHLLDGFAGTGRPFRADASRSVARLLTYQRPNVRGAYVERARVLGFEPATPRTATANGAGGGAEGHYGYLASPT >ONIVA10G21110.1 pep chromosome:AWHD00000000:10:20799056:20801221:-1 gene:ONIVA10G21110 transcript:ONIVA10G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHEEAAERKPSPPVIFRLFGVEVRGGGGGVDEEEYEEEEVEGGLFIKKSSSMPNLTSIDPLPVPADGGKRRASDDSELASGQQKRRRRKVQERKKGVPWTEEEHKKFLEGLRQLGKGDWRGISKNFVTSRTATQVASHAQKYFLRQTNPGKKKRRASLFDVVAECSDDQLPSPQSVGTKPPTQDIIHTDRGDVPILSYPVARGFRGDSVQVDELTEYVKRLKAAEDMSLSMISGLEMASSSISSLELSIAPPHCAIEAAIKDMLVTYACFAC >ONIVA10G21100.1 pep chromosome:AWHD00000000:10:20791808:20793283:-1 gene:ONIVA10G21100 transcript:ONIVA10G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQASLLQSFPFRAAVFAACVLLLPLVPSPQAPAAGGDGGGGGGRGEAFLAKVWELLHLLVVGIAVSYGLFSRRNDAGRRGDEKDAAAQAKADAAGYVSQMIHDSLVFDDGGGDVALDSPGGNRVRSWSAMHHPDEPVVVVATGGAGGGRSHAVEAAQQAPPLSLPVRTLKPQGESSSSAGYGDGGEPWAARPRRISQDTPGGGGGGHETVLPSPIPWRSRSGRFDASAPSPPSPSPKRLSPASSLSKETLAKASEDYSSRRRSPYKSSPPAPPPPPPPFLVHGYHPPAAERRTAAKSFKEELQEQTSHSFTTSEFSRSSSNSSSAKPRISIDSSSSSSSYYPVAKSVRTIRGGRESLQSQSQEQPNVAVAGDAPALLHGSDSDDPYGGYRAYQSIPRFQYERGSSDPILGNVTVSSESSDDDDSDVDGDGELSTRGNSPRRESSPEVDENEVDKKAEEFIARFREQIRLQRIESIKKSAGPRGVKHGK >ONIVA10G21090.1 pep chromosome:AWHD00000000:10:20786082:20789427:-1 gene:ONIVA10G21090 transcript:ONIVA10G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative dual specificity protein phosphatase DSP8 [Source:Projected from Arabidopsis thaliana (AT2G35680) UniProtKB/Swiss-Prot;Acc:Q9ZQP1] MRIEELPGDSGGGGGDGGGGGGGGALLQLRRGAAAAAVEEEGGVVMRVAFDAKRAAVGVGARMLFYPTLVYNVVRNRFEPHFHWWDQVDEHVLLGAVPFPSDVLRLKELGVCGVVTLNESYERLVPRCLYEAHGIENLVLPTRDYLYAPSFENLCRAADFIHRNALCGKLTYVHCKAGRGRSTTVVLCYLVQYKQMTPAEAYEHVRLRRPRVLLASAQRQAVEQFYQLRVKKSGKSICLDSPIMKPPLFLATRNLIAFDEKTFVMVSKSDLEGYDADTLAVNVGSGLWEISLVYRVQFASQAAFAGFSYLWVRCRAPRKNKEALPVPESNNSVGSESCSLEAEQLAKPHPCLLQGVMVNP >ONIVA10G21080.1 pep chromosome:AWHD00000000:10:20777315:20779074:1 gene:ONIVA10G21080 transcript:ONIVA10G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLPPPPRQPPPSVPHLHFHQAQERTHHASVEKWRELRGINGDDGSWEERRAVWRARARAWAWAQPRRRRWCSRRRAAAAAPWCRPAAAAAAVRLERRRSLLLVFLRSSGEVGDDGVPRRHRDAVPTGDRREPCAGGRDDHDDGEGAQLQRGRRGARRVLPQQHALQPQRQARRTICRHRRRRRRQRRRGLRRRIPQEAPPLQGPSRRPRGHLQRAQHPQSQAEGGAGEAAESEAAAGGGVVPEQEGEDEAEADGGGLRAAQALLRDAHRREPPPPPRAPGAPRPQARHRRRRAAPPLRRPRPAAHHPHHVPLLRARRLRSHHHPQQLRRRPRAAGAHPPVAAGGGAEVVGVETPLLIN >ONIVA10G21070.1 pep chromosome:AWHD00000000:10:20762431:20767273:1 gene:ONIVA10G21070 transcript:ONIVA10G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQDKVENNDPTVTVGLAVSSSKSSKYAVQWAVKNFCTNGMVRFVLIHVLQRITTVPTPMGNYIPIDKVRADIASAYEKEVECKARTMLLFYKNMCDEKAKAEVLVVKGEDVAETISNVVSIYEIHKLVVGDSSQGNFIRKSKGTRTSSQICRSVPSFCAVYVVSKGGLSAVYSPGFEGHKSSELFLSSDSSKTEIHSDDKPSLSDATPSRSFRSNLTWENLESLSSADHDRPRSLHEYLTESTSASVGDNNSNSPCASGQTPRPSNVLISDKAPMTSSPLQELMLSEDMDDVNSELEKLRLELRHIKGVCKLVQDESINASQHVTDLAAKRAEEEARLSEVYSRINRVNEQAHQEKEQLNALEAQCRHVRDLARKEALQKQILQLRTSKEADKMQRLEKLLELDGMSYSTFTWEDIESATSSFSEALKIGSGSNGTVYKGNLRQTSVAIKVLTSDDSHRIKHFKQELEVLGKIRHPHLLLLIGACLDRPCLVYEYMENGSLEDRLQLKGGTAPLPWYQRLRVAWEIALALVYLHSSKPKPIIHRDLKPANILLDSNFTSKIGDVGLSTLLPLGDALLTTRTIFKDTDLVGTLFYMDPEYQRTGQVSTKSDTYALGMVLLQLLTGKPPVGLADLVEQAVENGHLVDILDKSAGKWPAQEAHELAQLGLSCLEMRSKHRPDLKCKVLVELERLKKIASAVSDPVQPVISGPPSHFICPILKRIMQDPCIASDGYSYDRVAIEMWLCENDMSPITKSRLPNKDLVPNHALLCAITSWKAEARD >ONIVA10G21060.1 pep chromosome:AWHD00000000:10:20750402:20758370:-1 gene:ONIVA10G21060 transcript:ONIVA10G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPGRRPPAAGSAPQRNPTGGRVMGVFVRRWRLVLRAFAGGEDGGAALGERGDASSGISDLGLWKMDLSDDVYQLLSEVKHMNLMMETVATAHSEYANMEWLPLPAGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMTRRKRRSSLFDMVPDESMDLPPLPGGQEPETQVLNQPALPPPREEEEVDSMESDTSAVAESSSASAIMPDNLQSTYPVIVPAYFSPFLQFSVPFWQNQKDEDGPVQETHEIVKPVPVHSKSPINVDELVGMSKLSIGESNQETVSTSLSLNLVGGQNRQSAFHANPPTRAQA >ONIVA10G21060.2 pep chromosome:AWHD00000000:10:20750400:20758211:-1 gene:ONIVA10G21060 transcript:ONIVA10G21060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFVRRWRLVLRAFAGGEDGGAALGERGDASSGISDLGLWKMDLSDDVYQLLSEVKHMNLMMETVATAHSEYANMEWLPLPAGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMTRRKRRSSLFDMVPDESMDLPPLPGGQEPETQVLNQPALPPPREEEEVDSMESDTSAVAESSSASAIMPDNLQSTYPVIVPAYFSPFLQFSVPFWQNQKDEDGPVQETHEIVKPVPVHSKSPINVDELVGMSKLSIGESNQETVSTSLSLNLVGGQNRQSAFHANPPTRAQA >ONIVA10G21060.3 pep chromosome:AWHD00000000:10:20753662:20758370:-1 gene:ONIVA10G21060 transcript:ONIVA10G21060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPGRRPPAAGSAPQRNPTGGRVMGVFVRRWRLVLRAFAGGEDGGAALGERGDASSGISDLGLWKMDLSDDVYQLLSEAYESDDGNCCNGTFGICQYGMATLACSYE >ONIVA10G21060.4 pep chromosome:AWHD00000000:10:20755433:20758370:-1 gene:ONIVA10G21060 transcript:ONIVA10G21060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPGRRPPAAGSAPQRNPTGGRVMGVFVRRWRLVLRAFAGGEDGGAALGERGDASSGISDLGLWKMDLSDDVYQLLSEAYESDDGNCCNGTFGICQYGMATLACSP >ONIVA10G21060.5 pep chromosome:AWHD00000000:10:20753662:20758370:-1 gene:ONIVA10G21060 transcript:ONIVA10G21060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPGRRPPAAGSAPQRNPTGGRVMDASSGISDLGLWKMDLSDDVYQLLSEAYESDDGNCCNGTFGICQYGMATLACSYE >ONIVA10G21060.6 pep chromosome:AWHD00000000:10:20755433:20758370:-1 gene:ONIVA10G21060 transcript:ONIVA10G21060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPGRRPPAAGSAPQRNPTGGRVMDASSGISDLGLWKMDLSDDVYQLLSEAYESDDGNCCNGTFGICQYGMATLACSP >ONIVA10G21060.7 pep chromosome:AWHD00000000:10:20750402:20753171:-1 gene:ONIVA10G21060 transcript:ONIVA10G21060.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHNGHNSRTCPNRGVKIFGVRLTDGSIRKSASMGNLSLLSSAAGSTSGGASPADGPDAAPTAADGYASDDFVQGSSSATRDRKKGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMTRRKRRSSLFDMVPDESMDLPPLPGGQEPETQVLNQPALPPPREEEEVDSMESDTSAVAESSSASAIMPDNLQSTYPVIVPAYFSPFLQFSVPFWQNQKDEDGPVQETHEIVKPVPVHSKSPINVDELVGMSKLSIGESNQETVSTSLSLNLVGGQNRQSAFHANPPTRAQA >ONIVA10G21050.1 pep chromosome:AWHD00000000:10:20745949:20749705:1 gene:ONIVA10G21050 transcript:ONIVA10G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVESGGGGGGGGGGGYGGRVTAYVVLTCVVAGSGGILFGYDLGISGGVTSMDSFLKRFFPDVYQKKQDTRVSHYCAFDSELLTVFTSSLYIAGLVATLFASSVTRRYGRRTSMLIGGTVFIAGSVFGGAAVNVFMLLINRILLGIGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANVLNYCVVKITAGWGWRISLSMAAVPAAFLTIGAVFLPETPSFIIERDGDTDKARILLQRLRGTTSVQKELDDLVAASNLSRTVQYPFRNIFKRKYRPQLVIALLVPFFNQLTGINVMNFYAPVMFRTIGLKESASLLSSVVNRLCATFANIMAMIVVDRFGRRKLFLVGGIQMILSQLAVGAILAAEFKDYGSMDREYAYLVLITMCVFVAGFAWSWGPLTFLVPTEICPLEIRSAGQSIVVAVVFLMTFVIGQTFLAVLCRIKSGTFFFFAGWICLMTVFVYFFLPETKKLPMEQMEQVWRKHWFWKKIVGEEEEKQAEKTALPSM >ONIVA10G21040.1 pep chromosome:AWHD00000000:10:20743769:20745649:-1 gene:ONIVA10G21040 transcript:ONIVA10G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G17430) TAIR;Acc:AT4G17430] MAHAVDSGQTGGPWGGAALLTDPIRFAGVGGDGGEMDLPASRGRWRKRSARSHAPLLVAVLVLLIPASLLLSSAYSSLLRSILPFSGFAGGGGGGGRRCGRSPELEGERFLWYAPHSGFSNQVGELRNAAVAAALLNRTLVVPPVLDHHAVVLGSCPKFRVADASDLRAAFWDHSMQLLRERRYVSMGDIIDLSPIKATVRTIDFRVFVSLWCGVDMRKTCFSGLCCAVSGGGSLPGDYDRCRSMLSGLGGSENGCVYPVQDDCRTTVWTYQENNDGALDSFQPDEDLKKRKKISYVRRRKDMYKALGPGSEAEDASLLAFGTLFSGPYKGSESYFDIHESPKDRQLQTILEKVEFLPFAPEIIATGKEFARKKIKEPFLCAQLRLLDGQFKNHWKATFSALKEKLKAVALEMKKTQGSGPIHMFMMTDLPPANWSKTYLADIAKDGRYKLHTLKESDELVAQTAERLMAAEHGVRSGFIPKNIANTRKDCDPVQLPEILLYVEESVCSCASLGFVGTAGSTIAGSIETMRKNNVCQL >ONIVA10G21030.1 pep chromosome:AWHD00000000:10:20738521:20743200:1 gene:ONIVA10G21030 transcript:ONIVA10G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKAIRVHKIGGPEVLTWEEVEIGEPSEGEIRIKNKAIGVNYVDIYYRTGLHQEPLPFVPGKEAVGVVSAVGPGVTGIEVGDVVGYADTPMGTYTEEQIIPATLAIPIPPSVDHITAASVLLKGMTTYVLVKQAFKIQAGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTQEKAIQAAEDGCHHVIIYTEEDFVAQVAEITSRKGVHVVYDAVGKDTFKGSMECLMPRGCMISYGQCSGRPDPVPVSDLASKSLILGRPGMRHYTATRDELLHVAGEVFAGVAAGVLRVRVNHVYPLHEAARTHADLEARRTSGSVANEMEVIIILINLMLACHFKL >ONIVA10G21030.2 pep chromosome:AWHD00000000:10:20738456:20743200:1 gene:ONIVA10G21030 transcript:ONIVA10G21030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKAIRVHKIGGPEVLTWEEVEIGEPSEGEIRIKNKAIGVNYVDIYYRTGLHQEPLPFVPGKEAVGVVSAVGPGVTGIEVGDVVGYADTPMGTYTEEQIIPATLAIPIPPSVDHITAASVLLKGMTTYVLVKQAFKIQAGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTQEKAIQAAEDGCHHVIIYTEEDFVAQVAEITSRKGVHVVYDAVGKDTFKGSMECLMPRGCMISYGQCSGRPDPVPVSDLASKSLILGRPGMRHYTATRDELLHVAGEVFAGVAAGVLRVRVNHVYPLHEAARTHADLEARRTSGSVANEMEVIIILINLMLACHFKL >ONIVA10G21020.1 pep chromosome:AWHD00000000:10:20733914:20735032:-1 gene:ONIVA10G21020 transcript:ONIVA10G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAVALMMFHTMERELFWRLVGEHGQQPGPMRWVIALWLWLESVGHHDFVRRVAVLPAPVVLRFVDEALACLARLPRRRGGAGGAERRLAALAAAGDADPALRFLPCTNALLAEPVEGLAYFDAHRDEVMEGVSDVYRNVCRVIFDDGVAAAVAAADDDDDAEAAAFLPRDVLDALDGTPPPPPPPPMYHQYHHHAVHMAPMLPPPPPVAALNPMASPWFPVQQQEQPPPPPPPPQPHQQHGYIPLPEDYRSLFITFSRGYPIRQDDIINFFNSLYGPCVESVMVEKAAAGQLPVYGRVVLRCPSMIPVVLDGQQTAKYMIKGRHLWARIYVPSSKPN >ONIVA10G21010.1 pep chromosome:AWHD00000000:10:20726609:20730620:1 gene:ONIVA10G21010 transcript:ONIVA10G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRSLLRATRPVALAPPPAPPEIFPCPGPWDRAAVEIRLDRATLHQWLAEGGEGSGQEEKVDEKLILFSGNDYMGLSSHPAIRHAAVKAAEEYGMGPRGSALICGYTTYHKMVEESLAELKKKEDCLLCPTGFSANMSVMTALGNISSLLAAGRKPAEDERIAIFSDALNHASIIDGIRLVERQQEVVSFVYKHCDMSHLELLLLFSMDGDFVPLPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFECENEIDISVGTLSKAAGCQGGFIACSTRWKRLIQSRGRSFIFSTALPVPVVASVYAALYVSREERWRRSVIWRHVQYFASLTKLNITSPIISIVVGSEKAALRSGRDMLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKRLVDALTPWLPHKLDIQIFAVASKL >ONIVA10G21000.1 pep chromosome:AWHD00000000:10:20720951:20721811:-1 gene:ONIVA10G21000 transcript:ONIVA10G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKELQETSSSSSSSAASTSSCSSAVTDAWSSPARPNAVAGGKRKKEVVGEADEAAGGGAGEEEEEEAEAAAAGKSSAATKKRKRSSDGKHPVYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTADMAARAHDVAALAIKGRAAHLNFPDLAGVLPRAASASPKDVQAAAALAAAFTTSPSSSPSSPSSADDVAPCVVHADADEQPAAAAKNDDDDGSTTAPVAAAAAADEQQLFDLPDLLFDIQDGPFGFPAMWAPLADVDEVNAELRLEEPLLWDLGVTDA >ONIVA10G20990.1 pep chromosome:AWHD00000000:10:20705168:20713918:1 gene:ONIVA10G20990 transcript:ONIVA10G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPETTEAAALRGAILAASTAGAAGRAVSSVADYLRRHAGDHPRAFFADALPSLLFRVFVASPDLPSFIDLAAGDPALAELLASLLAPSGPLLAAVSAADRHALLRFVFPPERLPDWLRLVLSSATAAASSSSSSSDEVISPLLAGRVDSELHLSVFEYYLFWFAYYPISAATAKATGMAAARAPKIPPSISEQSLKSLGRIESWMSTLGSSAGRNLGQKLESSLYLKLLYSYLKEFVPSGCVPPRNMGGTLLHRTVNDGIDAAESFRRAEFFVHTLIQFWLVGDDFSPLPVQTCRAYGLPLLSLQSHANATLVERPPAPGLGDAVKLFVMYMNRINASVDIDAPNVFEGISSWREACNSPVGYWNPLIQRPLYRFLLRTFLFCPMGVEIKNVAQVFSAWIVYMEPWKAQKDDLDAYDLPPPGCHNVHRVTEGKRQVSEAVYSPEWENFVLSNYLFYSSLVVHFLGFAHKFIHSDVSSVLQMVSKVLEVLASSTELLGLIYSVDATYHHRFFGSASCYLDHVLKYVPSIREQLQDWEYGLSESDADGSFLHERRNFNLRLFSFDEEGAYNLLQLLLLRAESEIQRLPGDAMQSLQTLDLIKSQMKKIFREHIESSQPMNLVERECSQHHERGEVFAPKHPRPWKHSLANVNWMTRPISDSEVAWLASLLIRFSAWLNEIVRLDRDDSDAIPTGPTNIKFDGNELNGVGGPKDAARMVFIGACSLLVLVGQSILHFMRTHSIRINLRILASKKLLTAVMLYALFTVARNALS >ONIVA10G20990.2 pep chromosome:AWHD00000000:10:20705168:20710052:1 gene:ONIVA10G20990 transcript:ONIVA10G20990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPETTEAAALRGAILAASTAGAAGRAVSSVADYLRRHAGDHPRAFFADALPSLLFRVFVASPDLPSFIDLAAGDPALAELLASLLAPSGPLLAAVSAADRHALLRFVFPPERLPDWLRLVLSSATAAASSSSSSSDEVISPLLAGRVDSELHLSVFEYYLFWFAYYPISAATAKATGMAAARAPKIPPSISEQSLKSLGRIESWMSTLGSSAGRNLGQKLESSLYLKLLYSYLKEFVPSGCVPPRNMGGTLLHRTVNDGIDAAESFRRAEFFVHTLIQFWLVGDDFSPLPVQTCRAYGLPLLSLQSHANATLVERPPAPGLGDAVKLFVMYMNRINASVDIDAPNVFEGISSWREACNSPVGYWNPLIQRPLYRFLLRTFLFCPMGVEIKNVAQVFSAWIVYMEPWKAQKDDLDAYDLPPPGCHNVHRVTEGKRQVSEAVYSPEWENFVLSNYLFYSSLVVHFLGFAHKFIHSDVSSVLQMVSKVLEVLASSTELLGLIYSVDATYHHRFFGSASCYLDHVLKYVPSIREQLQDWEYGLSESDADGSFLHERRNFNLRLFSFDEEGAYNLLQLLLLRAESEIQRLPGDAMQSLQTLDLIKSQMKKIFREHIESSQPMNLVERECSQHHERGEVFAPKHPRPWKHSLANVNWMTRPISDSEVAWLASLLIRFSAWLNEIVRLDRDDSDAIPTGPTNIKFDGNELNGVGGPKDAARMVFIGACSLLVLVGQSILHFMRTHSIRINLRILASKKLLTAVMLYALFTVARNALS >ONIVA10G20980.1 pep chromosome:AWHD00000000:10:20701390:20704860:-1 gene:ONIVA10G20980 transcript:ONIVA10G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWG7] MVRQSRVTDGGSHWCRRFGKARARGNFRWASIVSGPWAFVGRDDAATRSPCAFRLGRRISTKPQAMQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEADDPSKRKPYLPPDKQFLVTRNVPCVRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGINSILSYFSAGKKAEEEEDIPDMDTYEDTGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPEVVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAVQADDLLIEDAH >ONIVA10G20980.2 pep chromosome:AWHD00000000:10:20701788:20704860:-1 gene:ONIVA10G20980 transcript:ONIVA10G20980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWG7] MVRQSRVTDGGSHWCRRFGKARARGNFRWASIVSGPWAFVGRDDAATRSPCAFRLGRRISTKPQAMQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEADDPSKRKPYLPPDKQFLVTRNVPCVRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGINSILSYFSAGKKAEEEEDIPDMDTYEDTGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPEVVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEFMASVIPTIEYDYTMDFDLGSTSR >ONIVA10G20980.3 pep chromosome:AWHD00000000:10:20701390:20704550:-1 gene:ONIVA10G20980 transcript:ONIVA10G20980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWG7] MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEADDPSKRKPYLPPDKQFLVTRNVPCVRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGINSILSYFSAGKKAEEEEDIPDMDTYEDTGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPEVVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAVQADDLLIEDAH >ONIVA10G20980.4 pep chromosome:AWHD00000000:10:20701390:20704550:-1 gene:ONIVA10G20980 transcript:ONIVA10G20980.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWG7] MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEADDPSKRKPYLPPDKQFLVTRNVPCVRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGINSILSYFSAGKKAEEEEDIPDMDTYEDTGNDSVKSLKMTTSFVLGHMTYDKYYQTPRVWLTGYDESRMPLKPEVVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAVQADDLLIEDAH >ONIVA10G20980.5 pep chromosome:AWHD00000000:10:20701788:20704550:-1 gene:ONIVA10G20980 transcript:ONIVA10G20980.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWG7] MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVSKCPTWSWEADDPSKRKPYLPPDKQFLVTRNVPCVRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGINSILSYFSAGKKAEEEEDIPDMDTYEDTGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPEVVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEFMASVIPTIEYDYTMDFDLGSTSR >ONIVA10G20970.1 pep chromosome:AWHD00000000:10:20691054:20693344:-1 gene:ONIVA10G20970 transcript:ONIVA10G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASGAACGVCGGGVGECGCLLHQRRGGGGGGGGGGVRCGIAADLNRGFPAIFQGVGVEETAVEGDGGAQPAAGLQEFQFFGHDDHDSVAWLFNDPAPPGGTDHQLHRQTAPMAVGNGAAAAQQRQAFDAYTQYQPGHGLTFDVPLTRGEAAAAVLEASLGLGGAGAGGGNPATSSSTIMSFCGSTFTDAVSSIPKDHAAAAAVVANGGLSGGGGDPAMDREAKVMRYKEKRKRRRYEKQIRYASRKAYAEMRPRVKGRFAKVPDGELDGATLPPPSSAAGGGYEPGRLDLGWFRS >ONIVA10G20960.1 pep chromosome:AWHD00000000:10:20684873:20687973:-1 gene:ONIVA10G20960 transcript:ONIVA10G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEAGLGHPSRYVQLTRDQDAPADEDIRPGELNLPAHFPQLEQRRCCECGQQLPESYEAPADEPWTTGICGCAEDTESCWTGSFFPCVLFGHNVEALREDIPWTTPCTCHAVCVEGGIALAILTVIFPGIDPSTSILIGEGLVFSWWLFATYTGIFRQQLQRKYHLKDSPCDPCLVHCCLHWCANCQEHRERKGRLADNNANRNTIVNPPPMQEMSVVGNHPSITPENGAA >ONIVA10G20950.1 pep chromosome:AWHD00000000:10:20681663:20683150:1 gene:ONIVA10G20950 transcript:ONIVA10G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRNRFATRMLNLHRLVKSNLVAGLLRRRGHRPLDAPPPRIRVLIDGNEATVVDVDRLLLKPSSPSSATALFPPFFLVAVEAGGFVRGLVLLALYPALRLLTAEGARLKAMAMVCFLGLRRGEAARAGRAVLPRYFFREAADVEALMEAAASARKEVITVVAAASRLFPTVMVETFLKEYVGFDAVVGREVSFAGVMDDDDGDDMERFRDVVNKTPRNGAGPRPLIFHDGRLAFTPTPAAALAMYVYLPLAVALSVLRIAIFTLLPRGVSGPAAALAGVRLRVAGAPRPPAAGDAAGGRLYACNHRTLLDAVAVSGALGRPVSSVTYSLGRLSELLSPIPLLPLAREREEDRRRMASLLSRGDVVVCPEGTTCREPYLLRFSPLFAELADEVNPVAVRAAAGMFYGTSTSPSAKCFDSVFFLMNPSPEYGVHFLEPVATAGAGAGSSIEVANRVQRVIAGALGYEATTLTRKAKYLLLAGNEGGVATNRSNNK >ONIVA10G20940.1 pep chromosome:AWHD00000000:10:20676270:20680699:1 gene:ONIVA10G20940 transcript:ONIVA10G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERAWKPREIADTFGIEMDEEEAAAAAIPPPQTPLEPMEYLSRSWSVSASEISKILFNGSKKSFAAKRLPEMTIPENSVVAASIVPSHLQHIDTRRNSISSHHLPIGRWFQHKEASRVKQSSKEKLRAEKAHVHAMVSVARVAAAVAAVTAATTSSDIQTSKMAAAMVSATELLASHCVEIAQHAGARHEQVACAIQSAVGVRSSGDLMTLTAAAATALRGAATMKQRVQREMRSNASVLPYEKGHSWSPDIWCKEGELLKRTRKGDLHKTRVSIYINKRSQVILKLKSKHIGGALSKKNKSVVFGVYNELPTWVEAGKHFTEERCCFGLSTAQGLVEFECENSTSKQRWVDDVKNLLRQVVYHRRCLIDARRIYCCTLKLSGSFVLRDENPHRTKGGGALVPSPIWRSPISAAPSLSESETGGAEEII >ONIVA10G20940.2 pep chromosome:AWHD00000000:10:20676270:20680705:1 gene:ONIVA10G20940 transcript:ONIVA10G20940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERAWKPREIADTFGIEMDEEEAAAAAIPPPQTPLEPMEYLSRSWSVSASEISKILFNGSKKSFAAKRLPEMTIPENSVVAASIVPSHLQHIDTRRNSISSHHLPIGRWFQHKEASRVKQSSKEKLRAEKAHVHAMVSVARVAAAVAAVTAATTSSDIQTSKMAAAMVSATELLASHCVEIAQHAGARHEQVACAIQSAVGVRSSGDLMTLTAAAATALRGAATMKQRVQREMRSNASVLPYEKGHSWSPDIWCKEGELLKRTRKGDLHKTRVSIYINKRSQVILKLKSKHIGGALSKKNKSVVFGVYNELPTWVEAGKHFTEERCCFGLSTAQGLVEFECENSTSKQRWVDDVKNLLRQVAAEEQVENKLGSVKLS >ONIVA10G20930.1 pep chromosome:AWHD00000000:10:20668834:20671376:1 gene:ONIVA10G20930 transcript:ONIVA10G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT1G08845) TAIR;Acc:AT1G08845] MLRNILCQSWRRGAYALQEGNHPGALHACWSRFHSGQMLSSSRSFFGVEDFMDEDNSKPYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRTTCRQVAVAGTNSKDIKAALKSRSDIPACLAVGRFLAERAKEADVYTCTYTPRERDKFEGKIRAVVQSLIDNGINVKVYLD >ONIVA10G20920.1 pep chromosome:AWHD00000000:10:20666484:20679184:-1 gene:ONIVA10G20920 transcript:ONIVA10G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCLNPLAAAAVSVIRSPELLTPTADWMAQATCSWRAPACCAISTQCEANSSVADTMAAAILEVWISELVVAAVTAATAAATRATDTMAYEENRRKNKGWRELLIDYMLQMAGNNGRSDHRVFRYSMGSNGVCGGGIAAAAASSSSISMPKVSAISLGFQALSMAILATRRLEGGRHTQSTRRGVWSRHAEEGRKNKGDTRLTGYEKAPSLLFGSKGRVLPIEPHKITLCQVLRMLTNDNDCHQALTQMSSTLTRSMDKAMQQQIAVREPEHELSYLPAVKSTPTSMKCPRMVAFLKSISPSPPRLAQDVPQHLWSLTGFGAGLGEEGVLALRGRPRRRRRTTAGATGMGQGGGRILKMEEGLGGGSRRRRGSRACATDKRSRGRRRWEGRRPEATKL >ONIVA10G20920.2 pep chromosome:AWHD00000000:10:20666484:20679184:-1 gene:ONIVA10G20920 transcript:ONIVA10G20920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCLNPLAAAAVSVIRSPELLTPTADWMAQATCSWRAPACCAISTQCEANSSVADTMAAAILEVWISELVVAAVTAATAAATRATDTMAYEENRRKNKGWRELLIDYMLQMAGNNGRSDHRVFRYSMGSNGVCGGGIAAAAASSSSISMPKVSAISLGFQALSMAILATRRLEGGRHTQSTRRGVWSRHAEEGRKNKGDTRLTGYEKAPSLLFGSKGRVLPIEPHKITLCQVLRMLTNDNDCHQAIAVREPEHELSYLPAVKSTPTSMKCPRMVAFLKSISPSPPRLAQDVPQHLWSLTGFGAGLGEEGVLALRGRPRRRRRTTAGATGMGQGGGRILKMEEGLGGGSRRRRGSRACATDKRSRGRRRWEGRRPEATKL >ONIVA10G20920.3 pep chromosome:AWHD00000000:10:20666484:20679184:-1 gene:ONIVA10G20920 transcript:ONIVA10G20920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCLNPYEENRRKNKGWRELLIDYMLQMAGNNGRSDHRVFRYSMGSNGVCGGGIAAAAASSSSISMPKVSAISLGFQALSMAILATRRLEGGRHTQSTRRGVWSRHAEEGRKNKGDTRLTGYEKAPSLLFGSKGRVLPIEPHKITLCQVLRMLTNDNDCHQALTQMSSTLTRSMDKAMQQQIAVREPEHELSYLPAVKSTPTSMKCPRMVAFLKSISPSPPRLAQDVPQHLWSLTGFGAGLGEEGVLALRGRPRRRRRTTAGATGMGQGGGRILKMEEGLGGGSRRRRGSRACATDKRSRGRRRWEGRRPEATKL >ONIVA10G20920.4 pep chromosome:AWHD00000000:10:20666484:20679184:-1 gene:ONIVA10G20920 transcript:ONIVA10G20920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCLNPCGKLVMAGNNGRSDHRVFRYSMGSNGVCGGGIAAAAASSSSISMPKVSAISLGFQALSMAILATRRLEGGRHTQSTRRGVWSRHAEEGRKNKGDTRLTGYEKAPSLLFGSKGRVLPIEPHKITLCQVLRMLTNDNDCHQALTQMSSTLTRSMDKAMQQQIAVREPEHELSYLPAVKSTPTSMKCPRMVAFLKSISPSPPRLAQDVPQHLWSLTGFGAGLGEEGVLALRGRPRRRRRTTAGATGMGQGGGRILKMEEGLGGGSRRRRGSRACATDKRSRGRRRWEGRRPEATKL >ONIVA10G20920.5 pep chromosome:AWHD00000000:10:20666484:20679184:-1 gene:ONIVA10G20920 transcript:ONIVA10G20920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCLNPYEENRRKNKGWRELLIDYMLQMAGNNGRSDHRVFRYSMGSNGVCGGGIAAAAASSSSISMPKVSAISLGFQALSMAILATRRLEGGRHTQSTRRGVWSRHAEEGRKNKGDTRLTGYEKAPSLLFGSKGRVLPIEPHKITLCQVLRMLTNDNDCHQAIAVREPEHELSYLPAVKSTPTSMKCPRMVAFLKSISPSPPRLAQDVPQHLWSLTGFGAGLGEEGVLALRGRPRRRRRTTAGATGMGQGGGRILKMEEGLGGGSRRRRGSRACATDKRSRGRRRWEGRRPEATKL >ONIVA10G20920.6 pep chromosome:AWHD00000000:10:20666484:20679184:-1 gene:ONIVA10G20920 transcript:ONIVA10G20920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCLNPCGKLVMAGNNGRSDHRVFRYSMGSNGVCGGGIAAAAASSSSISMPKVSAISLGFQALSMAILATRRLEGGRHTQSTRRGVWSRHAEEGRKNKGDTRLTGYEKAPSLLFGSKGRVLPIEPHKITLCQVLRMLTNDNDCHQAIAVREPEHELSYLPAVKSTPTSMKCPRMVAFLKSISPSPPRLAQDVPQHLWSLTGFGAGLGEEGVLALRGRPRRRRRTTAGATGMGQGGGRILKMEEGLGGGSRRRRGSRACATDKRSRGRRRWEGRRPEATKL >ONIVA10G20910.1 pep chromosome:AWHD00000000:10:20662152:20665844:-1 gene:ONIVA10G20910 transcript:ONIVA10G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF547 [Source:Projected from Arabidopsis thaliana (AT5G47380) TAIR;Acc:AT5G47380] MEGTIRQLQLNLHQERSIRIMLDRAIGRASSTLSPGHMHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQSASGASSGQSSGLSSPAHTKSISSRTRRHPSIISSAFCSSKKLPLQPFQIMTSVSESGRSKSMLKTKIKHESFSSETLDIHPATFPPDPRKLPYSGSSSLTRTLKDHLYQCPSKISEEMVRCMASIYCLLRTECPENPEKVRSPFLSRSSTNVILPRRGNGEDTNLSNTKCTVEVSSISADKNHMPDVSYAITHYRLLVEQLERVDLSMSETNIKLAFWINVYNSLVMHAYLAYGIPNSSLKRMALFHKWFESILSTAMRKKCADEKQLVQLKFGLPDCQPLALFALCTGASSDPMLKVYTAKNISEELERAKREFLQASVVVRKSKKVFLPRLVERYAREAGLAGADELLAWARDNADARATQDAIQRLCVDAGGGRRKAAQAVEWLPYNARFRYAFPRTMVDKPLF >ONIVA10G20900.1 pep chromosome:AWHD00000000:10:20647237:20658501:-1 gene:ONIVA10G20900 transcript:ONIVA10G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGAGDLHSSQNFQLAGEDNNKFPAGLRQFPLPKLDIDDQLQSHLRFDNLIDSEGLFSGQGHGNSWIEVLSTGSSVVDFSSSAAESCSINRTNNVWSEATSTESVEMLLKSVGENEMTGNMDENAHHQISGMDSKTDPSNMPSKTSDSPTGNIIVPAENDKSQSTRSEMAEDPSRIQPQLEHIRPFSVDDKAEQAVGSTLSDKKSNYTLESVSERCIVSGRSSSPKKTSESCPDVGSYFEVVHDDDSLDNLNIQSDGVGSRKLNNEPFSDLAPLQNIYTTSSYHFEQDNQESGVGVTTQGSEICHTNENKDGLHDLQNLSCTSQHLGSSNLTSEVSNEALLSGSSDGLLEAITNPVKMLHRSDDTSKRASATLQSSFLQVEHASEGTKGSIDRSSEPAMKKFGASEEPNSAKSQGEPDLKNSSPHLVIPLPTISGEFIQSPKGKQLAHVAGVSEETKYDRVDDTNHSTSDDSKLAMLEQLQDSVDNLSSGVVEEKTIRGQISAVSGNVVHVVKSGHCEKVAVSTSTTDDKFESPGDIVPDNSSACLPDEKDPSITVNHEVSFKEGVVPALEDDPEKMSSMNHEEPLKEDDKSTLEVGEHNTTSPVSEPLLMGSTGSVNPNIDTICSSGTDAVAETPQCEEQATSSGSLTTNATQDKLGDHPDACPPKVLTTGPLMQPEDHEDLVAPSSVLGASSEKGEQNNGKVPLNGMDDSDKVLSHGGDRTLVTVSSENKNGLEHGTGEGSCTDATCGSPTVISCNESCPEEDGQGSNALLHHKQTEPPKDPKDHTASTNNSHVSKEGSSRNVKPTLTSEETHTAEDKSFSFEVGAPLNITEKAHAPAWSPLPRPEVAQSPEVTTGIPKPGNPSNHGSDESKNLAIVETSKEQLSGRKVVGSAEGSSVSSHIGHITKAKSTPLEQEQQHPTPDVNALGHQPFTDLQHVQLRAQIFVYGALIQGMPPAESYMVSAFGEPACGRKPPWGTLLQAALERYNSQRSSLTGLETPTSSHIGSRVTEKASRSTAVKTAPASKKGGKTVLPAHTAVPLHLPTLNMSPLGSSALSLPRGTHLDFGQAVSPVFPYNSQTRQPTSGVASWFPQSPGGRAAPWLVQPQNLIFDSSMKPPVPASANETAKGASSKNISISQAVSPVAFPPNQAPSTISPLAVIPEEKQKASVSTSKRGATPQKSRKRKKAPASPEQPIIAPLLKTDIASVTPATQHTPGFTLSTHSPSNILASGLVSNTGLVTPVPNYQITCIKDAEQRIFSEQISGAIEQSMGQAKGAGVHAMDAVRHAEGIWGHLSTNSKGKLPAEVEEKLTSAAAAASAAVSVAKAAAEAAKMASEAALQAKMMAEEVLSSTYANSSQKHDAGEFKVSNNLASFSSLTPTSSWKTKDDISKGSIISVAREVARKRVEEAAAAAKRAENLDAILKAAELAAEAVFKAGTIIGMGEPLPFTLSELLEAGPDGYWKSDQVRNKKATKLELPTDFSKSGRKRGGKAKHDHAIQNLEPSSSGKGLQLDVVHSGNVAEDVPTIAPVNGNRNDASPNIIWNGIEKGSAVEVLVHKGESGVAWFSAKVLDINNDSACISYDSHTEETGLRKEWVPLRQEGEKAPQIRLAHPATVSRLKGTRKRRRDTSGNYSWAIGDHVDVLIEDSWREGIISRNRDGDETKLTVQFSGTSDSLVVDAWNLRPSLVWNDGQWIEWSRGKTVDCNKGDSPHEKRQRTKGNDHVPIGGAAAGPSMDTSTNAAAKPEEPKPLALSDRDMVFNIGKRVVESKTDGVAFKRPGLRKEGSRVVGVPKPGKKKKFMEVSKHYDADQADKISEGNASTRPVKHLVPNVPRPREGTSKVDQKGKRIGEMRSRVPKSTKSQDGATNIIPGKGPLSMSAPSTGVFESSHTFIGSTIGSSNNMNLSVEKNSSVHGVGLRSEDSSVSEPHIQAASAAPTSRKNLTTTDRAKRKHVPSMDNSNRTTNKTSEIPGKSADSTEPRRSNRRIQPTSRLLEGLQSSLIVSKVPGEKGPRTNYRSASSRGDSSFSCL >ONIVA10G20890.1 pep chromosome:AWHD00000000:10:20643709:20645705:-1 gene:ONIVA10G20890 transcript:ONIVA10G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFDKLKVEFIDQDESVQVVADTIRSSGEIPERYARPEMEADPVIIDTDGYNLPVIDMSRLINPEFSEEEIAKLGSACEDWGFFQLVNHGVDGELLQRIKDDITEFFRLPLQEKMSVAIPPNGLQGFGHHFVFSKEQKLDWVDLLFLTTRPDHRVLAYKASHIQFMAINLGVDEEALLAAFKPEQPQSVRINHYPPCSQANKVLGLSPHTDGVGMTLLLQVNDVQGLQIRKDGRWFAVKNLPGALVVNVGDVLEILTNGKYKSIEHRAVINPDKERITLAAFQSVPLSSTVGPFQELLMKGEARYKTVDGAEFTKGYFAAKLEGRRYLESLKLGV >ONIVA10G20880.1 pep chromosome:AWHD00000000:10:20642864:20645345:1 gene:ONIVA10G20880 transcript:ONIVA10G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKANWASDSSYLEDHPIACLGFDPAISSHFHVLEYLEGPDGCITAVGIYSSKTGLWNLHESGWNHGVGVSYGGPRGVFLNGFMHFVAVRNEIVAVDMEGKRWKIIPMPDSGGHGAPMIDRTQGHLCALNVDPLDIFNLSLWVLEDYNTDNWILKRTVSTLELFGGKKYEFDRGYQVIAVHPECNLIFFHYGLDNTLLAYEMDPKELRVVRNLGHHTCQPVLPYVPLFSVPLLLAVMKNIAKEGAFTSRTSPTLTTRAPGRFFTANHLPSFLIWSPCTSFTWRSSVMPTPSVCGERPSTLPELCGPVLHWACGEEEQIDPVQLLLLGEDEVVTEPLQAIGWDRHGHLLLQRKSEELCDVILDPLQQLPIHPMVHKLQVLHISEDY >ONIVA10G20880.2 pep chromosome:AWHD00000000:10:20641376:20646153:1 gene:ONIVA10G20880 transcript:ONIVA10G20880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFMISTGSRAGSSRNSSIYYLVALIEALIQEAVFSLSHSAAAQRRGFTGGESARLPRRLLSGGALAPASSSPSDKIMLWSLTSHDRSELVKQSIYSPECGRLCRPELCGPVLHWACGEEEQIDPVQLLLLGEDEVVTEPLQAIGWDRHGHLLLQRKSEELCDVILDPLQQLPIHPMVHKLQVLHISEDY >ONIVA10G20880.3 pep chromosome:AWHD00000000:10:20643143:20646153:1 gene:ONIVA10G20880 transcript:ONIVA10G20880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRWKIIPMPDSGGHGAPMIDRTQGHLCALNVDPLDIFNLSLWVLEDYNTDNWILKRTVSTLELFGGKKYEFDRGYQVIAVHPECNLIFFHYGLDNTLLAYEMDPKELRVVRNLGHHTCQPVLPYVPLFSVPLVHGH >ONIVA10G20870.1 pep chromosome:AWHD00000000:10:20639123:20640686:1 gene:ONIVA10G20870 transcript:ONIVA10G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPYTLSLPLEYSKECLLVDSQIKLCSGDWHQMTAANWPEIHAPFLNWPCDEEQQICPVQLLLLGKEKVVAKPLQVIGWDCHGLLLLQRESEEFRDVSLDLLQQPCIHPMVHKLQKLHISEDHLLNLARASNGVCNNLHTLILVDELHLQFVKDTTGHLS >ONIVA10G20870.2 pep chromosome:AWHD00000000:10:20639825:20640194:1 gene:ONIVA10G20870 transcript:ONIVA10G20870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAANWPEIHAPFLNWPCDEEQQICPVQLLLLGKEKVVAKPLQVIGWDCHGLLLLQRESEEFRDVSLDLLQQPCIHPMVHKLQKLHISEDHLLLLQSN >ONIVA10G20870.3 pep chromosome:AWHD00000000:10:20639825:20640686:1 gene:ONIVA10G20870 transcript:ONIVA10G20870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRLASDDSSKLVNEAFAHLNVEGFVGQKSMLLSSTGRVTRNSKSAQSSFCSLGKKKWWPNPCKSLGGIATAFFSCRGSLKNSVMSALICCSNPASTPWNLARASNGVCNNLHTLILVDELHLQFVKDTTGHLS >ONIVA10G20860.1 pep chromosome:AWHD00000000:10:20638758:20640595:-1 gene:ONIVA10G20860 transcript:ONIVA10G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIFDKLQVEFIDQDESVQVVADTIGSSGEVPERYVRHEIEANIVIIDNANCYSLPVIDMSRLINPDFSEEEIAKLGSACEEWGYFHLVNHGVDAGLLQQIKADITEFFRLPLQEKKAVAIPPNDLQGFGHHFFFPKEQKLDWADLLFLVTRPVEERSMDFWPTKPSTFRDSLDKYSLEIANVSTKLFKLMAINLGVDEEALLGVFKGQTQSVRINHYPHCCQADKVLGFSAHTDGVGLTLLLQVNDVQGLQIRKDGRWFAVKNLPGALVAMSVTFLRVSCFRSHQILTNGKYKSIEHRAVINPDKGRITLAAFHKPPLSCTIGVGPLQELLMKGEARYKTVDVVEFTKGYFTAKLEGRSYLESLKLGV >ONIVA10G20850.1 pep chromosome:AWHD00000000:10:20626760:20627398:1 gene:ONIVA10G20850 transcript:ONIVA10G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWRGEARRGWCSTGRRTPPAEAEQRLQEIEDVVAASSVGGNGGGARKEVATKPGMQRVLAIVLMLQFFQQASDIDSVVLYGPGVLAAAGVTSNTLLLGLNVVFGVAKASSILIAMALTARVRRRPLLLASTGGMTTSLLVLGSVFAAFGGARDDAAVAAVAVAVVVAFACAFSVGIGPFAWVYSSEILPLRQRGQGASVGTAMNRVTWSP >ONIVA10G20840.1 pep chromosome:AWHD00000000:10:20616054:20618768:1 gene:ONIVA10G20840 transcript:ONIVA10G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02330) TAIR;Acc:AT3G02330] MGKCAARQRQWRWPLLHRSPRPTPPPPHGLHPPRRALAEHARMPAEQQQPPPVAPARVTFSRVFQSCTQAGREALAAGRAAHARMVVSGFVPTAFVSNCLLQMYARCAGAACARRVFDAMPRRDTVSWNTMLTAYSHAGDISTAVALFDGMPDPDVVSWNALVSGYCQRGMFQESVDLFVEMARRGVSPDRTTFAVLLKSCSALEELSLGVQVHALAVKTGLEIDVRTGSALVDMYGKCRSLDDALCFFYGMPERNWVSWGAAIAGCVQNEQYVRGLELFIEMQRLGLGVSQPSYASAFRSCAAMSCLNTGRQLHAHAIKNKFSSDRVVGTAIVDVYAKANSLTDARRAFFGLPNHTVETCNAMMVGLVRAGLGVEAMGLFQFMIRSSIRFDVVSLSGVFSACAETKGYFQGQQVHCLAIKSGFDVDICVNNAVLDLYGKCKALMEAYLIFQGMKQKDSVSWNAIIAALEQNGHYDDTILHFNEMLRFGMKPDDFTYGSVLKACAALRSLEYGLMVHDKVIKSGLGSDAFVASTVVDMYCKCGIIDEAQKLHDRIGGQQVVSWNAILSGFSLNKESEEAQKFFSEMLDMGLKPDHFTFATVLDTCANLATIELGKQIHGQIIKQEMLDDEYISSTLVDMYAKCGDMPDSLLVFEKVEKRDFVSWNAMICGYALHGLGVEALRMFERMQKENVVPNHATFVAVLRACSHVGLFDDGCRYFHLMTTHYKLEPQLEHFACMVDILGRSKGPQEAVKFINSMPFQADAVIWKTLLSICKIHQDVEIAELAASNVLLLDPDDSSVYILLSNVYAESGKWADVSRTRRLLKQGRLKKEPGCSWIEVQSEMHGFLVGDKAHPRSGELYEMLNDLIGEMKLSGYEPDSASFVEVDEEGSAPEHDDLLGVVGG >ONIVA10G20830.1 pep chromosome:AWHD00000000:10:20611177:20637817:-1 gene:ONIVA10G20830 transcript:ONIVA10G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRTIGSLPVPNVQALAGTCNGSDEQIPERYIRTEATCEEVISNYHGDMVIPIIDLNKLLSPQSSEEECVKLRSACQYWGFFQLINHGVPEEVIDNFRSNIIEFFSLPLDAKKEYSQLPNSLEGYGQTFVFSEDQKLDWGDMLYLQVHPTDSRDLRFWPTYPASFRQSLDAYSSETKSLSLCLFEFLAKAVGAEPESLLGIFEEQPRGMRMNYYPPCRQADKVIGLSPHIDVVGLTLLLQVNDVQGLQINKDGKWFSVNALNGALIVNIGDTLEILSNGKFRSVEHRAVVHPSRERISAALFYYPCQDLVISPLPDFVKDGKVKYKTISYQDLLTEYFTTELDGRNRLEKMKLEPNLGMSMAGARAIGSLPVPNVQELAKTCNGPDEHIPERYIRPEASSEEVISNYHGEAIPIIDLNKLLSPQSSEECVKLRSACQYWGFFQLINHGVPDEVIANLKRDIVDFFSQPLDAKKEYTQLPNSLEGYGQALVFSEDQKLDWADMLYLQVHPSDSRDLRFWPTSPASFRRSLDAYSSETKSLALCLFEFMAKAVGAKPEALLGIFEEQPRGLRMTYYPPCLQSDKVMGISPHSDVVGLTLLLQVNDVQGLQIKKDGKWLSVDAPNGAFIVNIGDTLEILSNGKFRSVEHRAVINPNKERISASLFHYPCENMVIRPLTEFVKDGKVNYRSISYLDFMTQFFTQQLDGKNRLEMLKRVTLDGENSKGSFDVKMEDSKLGIAMAGARSVGSLPVPNVQALAEICNDPDEHIPERYIRPEASSEEVINNYQGDMAIPIIDLKKLLCPQSSEEECVKLRSACQYWGFFLLINHGVPDEVIANLKRDIVDFFSQPLDTKKEYTQLPNSLEGYGQSFVFSEDQKLDWADMLYLHVHPSDSRDLRFWPTSPASFRQSIDAYSSETKSLALCLFEFMAKAVGAKPESLLDLFEEQPRGLRMAYYPPCRQADKVMGLSPHSDAGGLTLLLEINNVQGLQIKKDGKWFSIDAPNGALIANIGDTLEILSNGKFRSVEHRAVINPNKERISAALFHYPSENMVISPLPEFVKDGKVKYRSISYLDFMKQIFTQQLDGKNRVEVLKLDQHLHGYTTTPTEEEQEREKSPMAHARSTGSLPVANVQALAETCNDPDQQIPERYIRADANADEVISGDDCTAAIPTVDLSKLLDPLSSDEETEKLGSACQQWGFFQLINHGVSEDVIRDARKDIAEFFRLPMETKKAYSQLPSGIEGYGQAFVVSHEQKLDWADMFYLVLRPGESRNMALWPAHPPSFRNSIDRYSSETARVARCLLEFMAKDMGVRPGSLLERFQDQPQGIRMNYYPPCREAGKVVGLSPHTDAACLTLLLQVNDVPGLQIRSPGGRWLAVGAPPDDGAFVVNVGDILEIMSNGKYRSVEHRAVVRPDRERVSAAVFHRPCQDAVVGPLPELVGEGGGDNARYTSMGYLDFMKRYYSAKLDGRNHLDGLRIKLSSSKG >ONIVA10G20830.2 pep chromosome:AWHD00000000:10:20611177:20630497:-1 gene:ONIVA10G20830 transcript:ONIVA10G20830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARTIGSISVPNVQELAATCNGTVEQIPERYIRPEASSDEVIKSNHGDMSIPIIDLDKLISPQSSQEECVKLRSACQYWGFFQLINHGVPDEVIANLKNDLVEFFGQPLDAKKEYSQLPNNLEGYGQAFVVSDNQKLDWADMLYLQVCPTDSRDLRFWPNYPASFRHSIDAYSSETENIGLCLLQFMAKAVGVEPKSLLSVFEGQARGLRMNYYPPCLKADKVLGLSPHTDPGGLTLLLQVNDVQGLQINKDGKWFSVNALNGALIVNIGDTLEILSNGKFRSVEHRAVVHPSRERISAALFYYPCQDLVISPLPDFVKDGKVKYKTISYQDLLTEYFTTELDGRNRLEKMKLEPNLGMSMAGARAIGSLPVPNVQELAKTCNGPDEHIPERYIRPEASSEEVISNYHGEAIPIIDLNKLLSPQSSEECVKLRSACQYWGFFQLINHGVPDEVIANLKRDIVDFFSQPLDAKKEYTQLPNSLEGYGQALVFSEDQKLDWADMLYLQVHPSDSRDLRFWPTSPASFRRSLDAYSSETKSLALCLFEFMAKAVGAKPEALLGIFEEQPRGLRMTYYPPCLQSDKVMGISPHSDVVGLTLLLQVNDVQGLQIKKDGKWLSVDAPNGAFIVNIGDTLEILSNGKFRSVEHRAVINPNKERISASLFHYPCENMVIRPLTEFVKDGKVNYRSISYLDFMTQFFTQQLDGKNRLEMLKRVTLDGENSKGSFDVKMEDSKLGIAMAGARSVGSLPVPNVQALAEICNDPDEHIPERYIRPEASSEEVINNYQGDMAIPIIDLKKLLCPQSSEEECVKLRSACQYWGFFLLINHGVPDEVIANLKRDIVDFFSQPLDTKKEYTQLPNSLEGYGQSFVFSEDQKLDWADMLYLHVHPSDSRDLRFWPTSPASFRQSIDAYSSETKSLALCLFEFMAKAVGAKPESLLDLFEEQPRGLRMAYYPPCRQADKVMGLSPHSDAGGLTLLLEINNVQGLQIKKDGKWFSIDAPNGALIANIGDTLEILSNGKFRSVEHRAVINPNKERISAALFHYPSENMVISPLPEFVKDGKVKYRSISYLDFMKQIFTQQLDGKNRVEVLKLDQHLHGYTTTPTEEEQEREKSPMAHARSTGSLPVANVQALAETCNDPDQQIPERYIRADANADEVISGDDCTAAIPTVDLSKLLDPLSSDEETEKLGSACQQWGFFQLINHGVSEDVIRDARKDIAEFFRLPMETKKAYSQLPSGIEGYGQAFVVSHEQKLDWADMFYLVLRPGESRNMALWPAHPPSFRNSIDRYSSETARVARCLLEFMAKDMGVRPGSLLERFQDQPQGIRMNYYPPCREAGKVVGLSPHTDAACLTLLLQVNDVPGLQIRSPGGRWLAVGAPPDDGAFVVNVGDILEIMSNGKYRSVEHRAVVRPDRERVSAAVFHRPCQDAVVGPLPELVGEGGGDNARYTSMGYLDFMKRYYSAKLDGRNHLDGLRIKLSSSKG >ONIVA10G20820.1 pep chromosome:AWHD00000000:10:20597006:20598582:-1 gene:ONIVA10G20820 transcript:ONIVA10G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQVPNVQELALTCNRPDQQIPDRYIRPEAGTEEVICGQGINTAIPVIDLAKLLNPQSSQEECAKLRSACQHWGFFQLVNHGVPDDVISDVRRDLTEFFKLPLEAKEAYAKPPDKYEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDLRFWPAHPSSFRNSMERYSLETAKVARCLLEFLAMDMGVDPESLLEVFRGQPQNMRVNYYPPCRQTGKVLGLSPHCDATSLTLLLHVNDVQGLQIRKDGKWLTIEALDGAFVVNVGDMLEILSNGRYRSVEHRAVVHPEKERISAAVFHQACRDATVGPLPELVTKDGGRPVYKSMAYEDFMKRFFSAKLDGRANVEGMKI >ONIVA10G20810.1 pep chromosome:AWHD00000000:10:20581200:20582225:-1 gene:ONIVA10G20810 transcript:ONIVA10G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLGLPVVHGHGHGHGGVAWHSFKQLLDAGRGSHVTGLAELKRYLARFGYMAKPGRDTTDAFDEHLEVAVRRYQTRFSLPVTGRLDNATLDQIMSPRCGVGDDDVERPVSVALSPGAQGGVVSRFTFFKGEPRWTRSDPPIVLSYAVSPTATVGYLPPAAVRAVFQRAFARWARTIPVGFVETDDYEAADIKVGFYAGNHGDGVPFDGPLGILGHAFSPKNGRLHLDASEHWAVDFDVDATASAIDLESVATHEIGHVLGLGHSASPRAVMYPSIKPREKKVRLTVDDVEGVQALYGSNPQFSLSSLSELGTSSSSPRRLLAGSARLLCTVLVILVTQL >ONIVA10G20800.1 pep chromosome:AWHD00000000:10:20578089:20584364:1 gene:ONIVA10G20800 transcript:ONIVA10G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVRRHGLQVDGRRRRVDVEVHRPVLRRVEVEPPVLWGEGVAEDAERPVERHAVAVVAGVEAHLDVGRLVVVRLDEAHGDRPRPPRERALEHGTHGGRRQVADGGRRRDGVGQHDRRVRPRPSRLALEEREPADHAALRPRRERDGHGALHIVIPDAAARRHDLIERGVVEPAGDRQAEPRLVPPHGDLEVLVEGVRRVTPRLRHVPEPRQVPLQLREPRDMAPSPRVEQLLERVPRHAAVPVPVPVHHGEPEHHHHRHGGDQARRGSRAAT >ONIVA10G20790.1 pep chromosome:AWHD00000000:10:20575214:20578021:-1 gene:ONIVA10G20790 transcript:ONIVA10G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGYSADFFSVTASLVFSRGFFSRAATPLRTPLTRQGIPAMKQSSGDGRLLLRLWLQLLKIRQCDVYGPDGPTQEVGESEAHTAHAPHGRLVGDTRLGKEIATCVSIHGQKFECI >ONIVA10G20780.1 pep chromosome:AWHD00000000:10:20568424:20570986:1 gene:ONIVA10G20780 transcript:ONIVA10G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWD6] MASEWEMAMGVDLGMGMSTYHNASGGIAAAPMMGHHGGGGGGGGYSAAHHHHHHYYGMPHQAAMGDAMRVDDLLDLSNTPGAHDFFPASAAAAAAGDHGHHHHHHIGGMGEPSGATPSATSSDHQTSMLSFADDFYIPTEDAAELEWLSKFVDDSYSDMPNYQSSAHAAMAAAAASAANNGGGSSAGQDSCLTAAPGRGARSKRSRATAAAAAAWHSLVPRPPSQSSPSSSCSSSDFPSSNKPSGTARPNGSGGGSRGKKSPGPAGAEVGMEAGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLMPEYRPAASPTFVLTQHSNSHRKVMELRRQKELLIIRGSHRDAAAAAAAAAAAAAAGSAAATGRPELMFRDYGVC >ONIVA10G20770.1 pep chromosome:AWHD00000000:10:20541522:20550064:1 gene:ONIVA10G20770 transcript:ONIVA10G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSISQIGRMASKWIDGFLSCRERKCDFSYLTAAAICEASTSDAIGSGSWHGNAGSPCLHIQLLLCHLQLPMAAREDTLELLFASSPPSVTAAVMKECVLDEYSTKHRISINRFLQLKIFVKIAILDSVNMGGGRDILRKERSSKAWLKNSIHPWYLRYIMFQPLKESCIHSGDSNFLDLEWLST >ONIVA10G20770.2 pep chromosome:AWHD00000000:10:20541522:20550064:1 gene:ONIVA10G20770 transcript:ONIVA10G20770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSISQIGRMASKWIDGFLSCRERKCDFSYLTAAAICEASTSDAIGSGSWHGNAGSPCLHIQLLLCHLQLPMAAREDTLELLFASSPPSVTAAVMKECVLDEYSTKHRISINRFLQLKIFVKIAILDSVNMGGGRDILRKERSSKAWLKNSIHPWYLRYIMFQPLKESCIHSGDSNFLDLEWLST >ONIVA10G20760.1 pep chromosome:AWHD00000000:10:20518955:20537726:-1 gene:ONIVA10G20760 transcript:ONIVA10G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVKLSNELNLSAGERVGVGLALSDSGNLGLITKGQKFSIAEIEEICANPAHVLTNDQIHDIVVFLHQTDGLSKHMDSFTNIISLLNVKEMPFYVPAPIKEGNARPTISSRHMELYTGSLDDDFDSLLSEIGKEISMADIITELGYGCTSDIAHCKEILSLFEPLDDMGISKLLGAVVCTRVGLGEAHNTYSTFMSAVGNSQPSDSSQFTAWNIDVLVDSINEIAPRTNWITVVENLDHEGFCIPDEAAFCLLMSIYSRACKDPFPLHAVCGSLWKNTEGQLSFLKHAVAAPTDTFTFKHCSRKMVFPEFANRMQGNQAWYCLDLLEVLCQLAELGYATMVRSMLDYPLIHCPDVLLLGVSHINTPYNLLQYEVLSCVFPMILKDTTYSSLMNSLWHVNPYLTLRGFVDSHSDANCLLRTVEICQDLMILSAVLDSTPFAFSIKLATVAFRQSHSNLEKWLVEKLTAQGETFLEEIMSNTTYETAEGAVQQPQVMILDICRESCPLIIKVLQSHSGQLLSNQLVEELRRVEAVHESRNHGVVGRDAPTSEGGPDDIEAQANIYFHQMFSGQISVDAMVQMLARFKESTNKRELSIFNCMVSNLFEEYKFFPKYPDTQLKLAAVLMGSLIKHQLVAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRLIEWPQYCNHILQISHLRATHAELVAAIERVLAKISSSQNEPNVGSMLSADQHGSSSIGNMEASEASWQLINPTPTQLERSHQQRHQGFLGERSKGSTNIIQAKNILSSGQMPLASSPGDLAVNLKAATTPSSQASPHHSTTVSAPLQPTGFLRSRSSAPSGIRQPSFTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEAKAREFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQAPVVAEVSSGVMPTINHVEPQPEINSTSRATSLPNMLSQYAAPLRLPTNNMVEDDKAALIMPEQVSSHGLSQVSPSQTPSLSSSSFSLSQLMAAIPRADIYFRINEKLSSFGSLQYSKIMDMALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMEADDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRSLIQGITNNTESTEQIMLILVNDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLKRVPDALRPKPTGHLSAAQRRVYEDFITVWHSQSSQNAGGSATATAMAVAPSNSSVPRVYSPNSALTDSSSFSSHFASASQTTELVHEESDRNAHLSSLSSKIGASDTSTQVIGTTNVASVFPPMVPNDLPVGEPTTTNKDLVTSAPLSPTTAVDRMGSVFAEPLNTSDALERYQQVSQKLDTLIAKDGKDAEIQSVIAEVPDILLRCVSRDEAALAIAQKVFRSLYDNASNSNYVTWLLAALVAIRDVCKLVVKELTSWVIYSDEDKKFNIDIIIGLIRSELINLGDYNVHLAKIIDGGRNKAATEFAISLVQTLITQESISIAEVYNVVDALSKLAIRPSSPESLQQLIEIARSFASVKDENIRQSRDKKVLSGRPLVNKEENNANDVAFTDAVGFQEKVAVSFSEWCNICDHPTMGDSAYTHYIVQLQQDGLLKGDDLTDRFYHILTELAVAHSVVSEQVVAPGGISQQPTQQLQISYFSIDSYSKLVALVVKYSSVDIGPSKGSLFNKILSVIVRIIQRDAEEKKVSFNPRPYFRLFINLLSELTTSDLHHDSANFQVLTAFANAFHVLQPLRVPAWSFAWLELVSHRSFMPKLLLCNAQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIPPRIMSDVDGALKSKQMKTQVDEYLKRPDGSFLTDLKQKLLLPQNEANIAGTRYNVPLVNSLVLYVGMQAVQQLQLNKMNASASAQQMNQSQLDVQIETATELFRNLVMNSDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFSEANQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWARSFTRCAPEIEKLFESVARSCGGKGGDDGVGLPDGGH >ONIVA10G20750.1 pep chromosome:AWHD00000000:10:20517360:20517878:1 gene:ONIVA10G20750 transcript:ONIVA10G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAMEAMDKLVQLAESMCQAASLLLGDNDPSDESSPRRPSTFLNAVALGNVVSVCFHWSRRLLTAAAAPAPPPLDLTRSGLVASKLAVPRRPWRASSSPSTSSPRSQRWSRPPSASPHAPTALDAIDEAVGKLKSVLDNGEGDLDEAALRAEELMAPLESHCGGWRRRLQ >ONIVA10G20740.1 pep chromosome:AWHD00000000:10:20511718:20516029:1 gene:ONIVA10G20740 transcript:ONIVA10G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAAAATTASASHQPPSPVRTLAVCGGGAATRRHRIRFVRSHHCASRTPAGLTRRAGMLRGYAMAAAGFLVAEASTSSASVVKVLKDSVAGLELCLGILLLRVTSNISNFILSQVTILNNKLRQKDWNPEWQTQLMINTKISRCMSPAEYTEKATLAHELLWYKKGISARARVVGRSHAPAVRRGDRWRLRRPALFCRDRERRLKKREEMRTLYFFSSHYMWAIYFLFC >ONIVA10G20730.1 pep chromosome:AWHD00000000:10:20501504:20505799:-1 gene:ONIVA10G20730 transcript:ONIVA10G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor UNE10 [Source:Projected from Arabidopsis thaliana (AT4G00050) UniProtKB/Swiss-Prot;Acc:Q8GZ38] MNQCVPSWDLDDPVGGGGIGGGGGGGHRVVSGGGGGFMPVAVPTSDQYNEVAELTWEKGNISSHGLLLNRPAPPKFPPHQQLQAAMGGGGGGGVVGDRETLEAVVGEAAARSSSSSHLAARARPVPAPWLGSVGVVAAADALVPCDADAAEGRSKRPREVVGEDGRRACASQGSAAPGRRGESTLLTLDACCGTAADDVCGFTTTTNNSTSLEDRTEDKGSPETENTSIAGGASDSRGMCDEDEHVKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQVMSRMGSMMMPMGMAMPQLQMSVMAQMAQMAQIGLSMMNMGQAGGYAPMHMHTPPFLPVSWDAAASSSSAAAAADRPPQPTGAATSDAFSAFLASQAAQQNTQQPNGMEAYNRMMAMYQKLNHQQQQQQDQPSNSRQ >ONIVA10G20730.2 pep chromosome:AWHD00000000:10:20501504:20504900:-1 gene:ONIVA10G20730 transcript:ONIVA10G20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor UNE10 [Source:Projected from Arabidopsis thaliana (AT4G00050) UniProtKB/Swiss-Prot;Acc:Q8GZ38] MCDEDEHVVIRGEGAMRSVIYIKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQVMSRMGSMMMPMGMAMPQLQMSVMAQMAQMAQIGLSMMNMGQAGGYAPMHMHTPPFLPVSWDAAASSSSAAAAADRPPQPTGAATSDAFSAFLASQAAQQNTQQPNGMEAYNRMMAMYQKLNHQQQQQQDQPSNSRQ >ONIVA10G20730.3 pep chromosome:AWHD00000000:10:20501504:20504900:-1 gene:ONIVA10G20730 transcript:ONIVA10G20730.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor UNE10 [Source:Projected from Arabidopsis thaliana (AT4G00050) UniProtKB/Swiss-Prot;Acc:Q8GZ38] MCDEDEHVKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQVMSRMGSMMMPMGMAMPQLQMSVMAQMAQMAQIGLSMMNMGQAGGYAPMHMHTPPFLPVSWDAAASSSSAAAAADRPPQPTGAATSDAFSAFLASQAAQQNTQQPNGMEAYNRMMAMYQKLNHQQQQQQDQPSNSRQ >ONIVA10G20720.1 pep chromosome:AWHD00000000:10:20496921:20499618:1 gene:ONIVA10G20720 transcript:ONIVA10G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSSLAAAAVFLSLLAVGHCAAADFNATDADADFAGNGVDFNSSDAAVYWGPWTKARATWYGQPNGAGPDDNGGACGFKHTNQYPFMSMTSCGNQPLFKDGKGCGSCYKIRCTKDQSCSGRSETVIITDMNYYPVAPFHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEYSNPVYFAVLVEYEDGDGDVVQVDLMESKTAHGPPTGRWTPMRESWGSIWRLDTNHRLQAPFSIRIRNESGKTLVANNVIPANWRPNTFYRSFVQYS >ONIVA10G20710.1 pep chromosome:AWHD00000000:10:20486297:20487687:-1 gene:ONIVA10G20710 transcript:ONIVA10G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISKKAAVAALFSFLVVTCVAGARPGNFSASDFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNTNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCVNHPACSGNPETVIITDMNYYPVSKYHFDLSGTAFGAMAKPGQNDQLRHAGIIDIQFKRVPCNFPGLKVTFHVEEGSNPVYFAVLVEYEDGDGDVVQVDLMEANSQSWTPMRESWGSIWRLDSNHRLTAPFSLRITNESGKQLVASQVIPANWAPMAVYRSFVQYSS >ONIVA10G20700.1 pep chromosome:AWHD00000000:10:20471570:20475725:-1 gene:ONIVA10G20700 transcript:ONIVA10G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASAKVVAMLLSVLATYGFAAGVVYTNDWLPAKATWYGQPNGAGPDDNGGACGFKNTNQYPFMSMTSCGNEPLFQDGKGCGACYQIRCTNNPSCSGQPRTVIITDMNYYPVARYHFDLSGTAFGAMARPGLNDQLRHAGIIDIQFRRVPCYHRGLYVNFHVEAGSNPVYLAVLVEFANKDGTVVQLDVMESLPSGKPTRVWTPMRRSWGSIWRLDANHRLQGPFSLRMVSESGQTVIAHQVIPANWRANTNYGSKVQFR >ONIVA10G20700.2 pep chromosome:AWHD00000000:10:20474480:20475725:-1 gene:ONIVA10G20700 transcript:ONIVA10G20700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASAKVVAMLLSVLATYGFAAGVVYTNDWLPAKATWYGQPNGAGPDDNGGACGFKNTNQYPFMSMTSCGNEPLFQDGKGCGACYQIRCTNNPSCSGQPRTVIITDMNYYPVARYHFDLSGTAFGAMARPGLNDQLRHAGIIDIQFRRVPCYHRGLYVNFHVEAGSNPVYLAVLVEFANKDGTVVQLDVMESLPSGKPTRVWTPMRRSWGSIWRLDANHRLQGPFSLRMVSESGQTVIAHQVIPANWRANTNYGSKVQFR >ONIVA10G20700.3 pep chromosome:AWHD00000000:10:20465722:20466825:-1 gene:ONIVA10G20700 transcript:ONIVA10G20700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARMGSKVAAILAILSVLVVHGSCKGHPVNYNVSDASAYGSGWLPARATWYGAPTGAGPDDNGGACGFKNVNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCNKDPSCSGNIETVIITDMNYYPVARYHFDLSGTAFGAMAKPGLNDKLRHSGIIDIQFRRVPCNYPGLKINFHVEEGSNPVYFAVLVEYEDLDGDVVQVDLMESKSAYGGATGVWTAMRESWGSIWRLDSNHRLQAPFSLRIRSDSGKTLVANNVIPANWSPNSNYRSIVQFS >ONIVA10G20700.4 pep chromosome:AWHD00000000:10:20471570:20474249:-1 gene:ONIVA10G20700 transcript:ONIVA10G20700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQGTQPGLNDQLRHAGIIDIQFRRVPCYHRGLYVNFHVEAGSNPVYLAVLVEFANKDGTVVQLDVMESLPSGKPTRVWTPMRRSWGSIWRLDANHRLQGPFSLRMVSESGQTVIAHQVIPANWRANTNYGSKVQFR >ONIVA10G20700.5 pep chromosome:AWHD00000000:10:20465722:20474260:-1 gene:ONIVA10G20700 transcript:ONIVA10G20700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKWNRGRARSIHIRCNKDPSCSGNIETVIITDMNYYPVARYHFDLSGTAFGAMAKPGLNDKLRHSGIIDIQFRRVPCNYPGLKINFHVEEGSNPVYFAVLVEYEDLDGDVVQVDLMESKSAYGGATGVWTAMRESWGSIWRLDSNHRLQAPFSLRIRSDSGKTLVANNVIPANWSPNSNYRSIVQFS >ONIVA10G20690.1 pep chromosome:AWHD00000000:10:20445064:20446143:-1 gene:ONIVA10G20690 transcript:ONIVA10G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHTCKLCFRRFHNGRALGGHMRSHVMAAAAAAAYCPSSPAMSLASTSSTEIEMDEKKEMTKKTEQEKTLTSYVLRENPKRSYKVSAGEFSGGGGGGGGGGESSVVQDGESDTESSPPRGGAGSFFTVSRRRSKRARRRRRAPDPEPASSVSDATTEEDVAMSLVMLSRDSWTRSRSEHETHHRGASSEAEQNNDNVVNVFDEEDEDARDVAGEDHDEELSYGGGEAAAARHRTSRFQCGACRKVFRSYQALGGHRASLKRGKGGGCVPPPRPAPASSAAAPAIHECPFCFRVFDSGQALGGHKRAHMPSGGARPSPSPSPAKCGESSGSIDLNMPATMEDDFELSAVYDAEFASTRQ >ONIVA10G20680.1 pep chromosome:AWHD00000000:10:20437009:20439774:-1 gene:ONIVA10G20680 transcript:ONIVA10G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12244) TAIR;Acc:AT1G12244] MAAAKPVEPLLLLRAGADTAAAAALRRFQLPPQPPRSVRANAIRASPPSNGAGSPDELPAALLPNAARRRDGCGFSLGVDLGEARTGVAVGRGITLPRPLTVLKLRGQKLELMLLDIAQQQEADELIVGLPVSADGSETPQSNKVRSVVGRLAVQAADRGLRVYLQDEHGTTIDALEFMISRGVKRSARDVKSDAYSAMMILERYFSSSGQGAKIVLPKQPQLQSKLLEKSRQDAQIIPPLLVPGKAQWQDWP >ONIVA10G20680.2 pep chromosome:AWHD00000000:10:20438392:20439774:-1 gene:ONIVA10G20680 transcript:ONIVA10G20680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12244) TAIR;Acc:AT1G12244] MAAAKPVEPLLLLRAGADTAAAAALRRFQLPPQPPRSVRANAIRASPPSNGAGSPDELPAALLPNAARRRDGCGFSLGVDLGEARTGVAVGRGITLPRPLTVLKLRGQKLELMLLDIAQQQEADELIVGLPVSADGSETPQSNKVRSVVGRLAVQAADRGLRVYLQDEHGTTIDALEFMISRGVKRSARDVKSDAYSAMMILERYFSSSGQGAKIVLPKQPQLQSKLLEKSRQDAQV >ONIVA10G20670.1 pep chromosome:AWHD00000000:10:20434381:20439585:1 gene:ONIVA10G20670 transcript:ONIVA10G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWPPAAWRRWGVVVVVVAALMAVSATAAVEEELGGRERGVVAAAAQGRWPRHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLARIGADADRVLIASADVPADWVRAMREEDGMRVVLVENMKNPYESNLGGINRRFKLTLNKLYAWTLVDYERVVMIDSDNIFLQKTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKGMLHDLEIGRANSDGADQGFLVGCYPDLLDRPMFHPPENGSKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPILPLGLSWHKQRWDDLGYAAEMPVILMEILMYAVIITITRLAKPGMTKLCYNRRPEKQNAMVQGLIKMSAIVAMLIAYAIPFFIIPRTVHPFMGWSMYLFGALALGVLVSNAFLLPLLAVLTPWLAIIGMFFVMAFPWYHGGIVRVLAIFGYAFCSAPFLWASLVRVMDSLQTMLEREPFFPRLGEPAQETEFSKLF >ONIVA10G20660.1 pep chromosome:AWHD00000000:10:20424433:20426338:-1 gene:ONIVA10G20660 transcript:ONIVA10G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGVGGGAARPLTASRRLLARSASTTASRAGGAGAFVYDGMRPAPLFSSTNFARSLRKAASFGGGGKKQYSADDDGAVAVKAAAPPRRALSSKENTVHELGTAAARGPWEPARRPRRSSSGGSSSPENAGSTRGSAVLRDMMTRRKEEPEKEEAAHRARMLAARLLQWRFANARMEKAMARATAAAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPQLSLLASWDSLAKPHADAVDDLGAVLAAACTALPLADGAQGDMESLHEAMFACVGTVNDIEANADMFFATAGVTSSTLEELSTTIKQEVEGLQEAMKLARIVTSLQVQEVSLRANLIQIQAKQKVDMGASVPAIATSGWCF >ONIVA10G20650.1 pep chromosome:AWHD00000000:10:20422474:20422974:1 gene:ONIVA10G20650 transcript:ONIVA10G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRASASCALFLALNLLLFAITTACPSCGSGGGGHGHYGGGGSGGGGGGYGGGSGGYGGGGSSGGGYGGGGGSSTSGWYGKCPTDALKLGVCANVLDLIKAKAGVPATEPCCPLLNGLVDLEAAVCLCTAIKANVLGINLNLPIHLSLILNFCGKGVPTGFMCS >ONIVA10G20640.1 pep chromosome:AWHD00000000:10:20414371:20417008:-1 gene:ONIVA10G20640 transcript:ONIVA10G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWB4] MSLLPLTTRSHPLPPTVHGTGGAAPMPRTVAVVGAGAAGLVAARELLREGHAVTVFERSARVGGTWAYDPRSDPYPPCLDTAAPGAAAVHGSLYASLRTNLPRELMGFSGFALAGRVFAGDPRTFPGHREVLAFLDAFAVESGVAGRVRLRAEVVRVGPLAGHGERWTVAWRGEGGVEEEEEEVFDAVVVCNGHCTVPLVPKLRGIGNWQGKQMHSHNYRTPEPFQDQIVVVVGLGASGVDIAREISNVAKEVHIASRYTEDRLGKVDTFQNTWLHSEVDCIQDDGQVRFSEGSASIAADTFLYCTGYRYHFPFLDVEGVTVDGNRVGPLYKHVFPPKHAPNLSFVGLPVKTIMFQSFELESRWVARALSGRAELPGEEAMAAAVEEDYRRMDAAGKPKRHTHALMPDWVEYMDWVAAQVGEPPMEARRREIYEKALRCIWSLDDSYRDSWEEEEEEENR >ONIVA10G20640.2 pep chromosome:AWHD00000000:10:20414371:20417008:-1 gene:ONIVA10G20640 transcript:ONIVA10G20640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWB4] MSLLPLTTRSHPLPPTVHGTGGAAPMPRTVAVVGAGAAGLVAARELLREGHAVTVFERSARVGGTWAYDPRSDPYPPCLDTAAPGAAAVHGSLYASLRTNLPRELMGFSGFALAGRVFAGDPRTFPGHREVLAFLDAFAVESGVAGRVRLRAEVVRVGPLAGHGERWTVAWRGEGGVEEEEEEVFDAVVVCNGHCTVPLVPKLRGIGNWQGKQMHSHNYRTPEPFQDQVQDAVSVTVSIVVVVGLGASGVDIAREISNVAKEVHIASRYTEDRLGKVDTFQNTWLHSEVDCIQDDGQVRFSEGSASIAADTFLYCTGYRYHFPFLDVEGVTVDGNRVGPLYKHVFPPKHAPNLSFVGLPVKTIMFQSFELESRWVARALSGRAELPGEEAMAAAVEEDYRRMDAAGKPKRHTHALMPDWVEYMDWVAAQVGEPPMEARRREIYEKALRCIWSLDDSYRDSWEEEEEEENR >ONIVA10G20630.1 pep chromosome:AWHD00000000:10:20396198:20400765:-1 gene:ONIVA10G20630 transcript:ONIVA10G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLPETAAEGKALTDAWDYKGRPAGRAATGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAAAANTVTNFIGTSFMLCLLGGFVADTYLGRYLTIAIFEAVQATGVMILTISTVAPGLRPPACGDPKGASAECVAADGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESDVDGERKKMMRFFNWFYFFVSLGALLAVTVLVYVQDNVGRRWGYGICAAGILAGLAVFLSGTRRYRFKKLVGSPLTQVAAVTAAAWSKRSLPLPSDPDMLYDVDDAAAAGHDVKGKQRMPHSKECRFLDHAAIIDRSAAESPATASKWRLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFAVAQAELMDRRLAGGFLIPAGSLTVFLIASILLTVPFYDRLVVPVARRATANPHGLTPLQRVFVGLSLSIAGMAVAAAVERHRATASASAAAAAPTVFLLMPQFLLVGAGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTCAIGFFFSTLLVTIVHKVTGHGARGGGWLADNLDDGRLDYFYWLLAVISAINLVLFTVAARGYVYKEKRLADAGIELADEETIAVGH >ONIVA10G20620.1 pep chromosome:AWHD00000000:10:20387316:20392929:1 gene:ONIVA10G20620 transcript:ONIVA10G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAREMHPLCCLVADCALGGGGGGGGGEGGGGDCSPTKAAVGGEEEVVAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRRRVAAEPPPEAAAAAAANGVRLIGVPRGGVGDQPIGFVPLKISSFSESKSDDKRFYIITPTKTLQLRTGSAKDRVAWIEALVSARSEYSLNGGVPCDQNEGSFSTEKLRNRLHAEGVGEATIKDCEQIIHSEFSQYHTQMKQRCEDYLNFIGSLPRELEVVNSGDASAIEKPQSELFKHDCSSSGKCSEYSNTESSDDAGKQEVGQLSDEDEFHFYDTRQSFSDTAASPDLKMRCSNSGSGAHKFGELLAIDKTNEYLLSSSKRRSELPVPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSNLLDRAYEYGSRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMVMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLQFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLTFKEQSFLDRNPRQVQGLVTDANGTKVAFLMGKWDESMSCIIGDDASKVNSRNANQSTGATLLWEKNVPPANPTRYNLSSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANSEKLRLETRQRMARKMQESGWKPRWFQRDTEDGTFRYVGGYWEAREQRKWVGCNDIFGNNVSTLCTSASI >ONIVA10G20610.1 pep chromosome:AWHD00000000:10:20380426:20381298:-1 gene:ONIVA10G20610 transcript:ONIVA10G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWVRSLSCRSYGVADAVVAPSPSKKLQSPPVSCAAAKDDVRDSVAFVRHSAKKPRREEERPRREPRPRPKKKKPKQVLAASVAFMPSSPAASSAAAAPVSSAFLTMAELPEGHSSRRVVELIFSSGWGAAAAAAAAPGPTVEAMFRVHSAARAVARFEEARAAARAHGAAARCGADGNEMMRFQCRAAAGPGGVFGAGVATCRLGAADAAVRTFAGSGAAHASAGAGPGRRAMLVCRVIAGRVRSAQHQHGHSRHASDYDSVDMGNGELVVLDSRAVLPCFLIIYKV >ONIVA10G20600.1 pep chromosome:AWHD00000000:10:20365351:20371154:1 gene:ONIVA10G20600 transcript:ONIVA10G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVRSGLGSPDTSSNSSKRKRGSCFAERNMDGRNSIRIKPGVGAREKCGGGGGHRYVIDLEKPATSDDDVEFVSYAGFGNRSQDRCYASAENCSTAGSSQLCVERNASRVSPGSVGSSDTPDCQSPIKPDNSESRHLLIDLNVPQEESLHVFYAPSQITCPTLVNSSSSHPGEFWNGSSNVYKKECGSGVGSSKGSSITVVAPSSAPDSSREVVAAYQFHDPKNLHGNIHARENSQHEHAVDKLCGSSSQYFLPQQRFSVSSCGRNDSSSALQKSGDNHVACQSGQPPLAVHTELQHDTSIVISSGEEKVLFDLNVPAESIDMESTITSNSFRDKLVKNDGSEETVTDHSFSKRNGVHAETSIEERTVGEHHISVSKDGNTTFFQESINNEIDKAQSSDLISVSSKHLIAETPHVDNIVCPELRASPDGASSPQETLIGNCDKMVCIAAETLVSIFSSSACTTDCPGTDSQTAAEDVNDEPQHSLDSYEEIVLNVEEIRDDGESIPVIPPDKDGPSCGIKLRRGRGLRNFLREIMPGLVSLSRHEICDDLHAIGYEPRKTRSRKTFGAQGSSSTRGRPPKHRPTARK >ONIVA10G20600.2 pep chromosome:AWHD00000000:10:20365561:20371154:1 gene:ONIVA10G20600 transcript:ONIVA10G20600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVRSGLGSPDTSSNSSKRKRGSCFAERNMDGRNSIRIKPGVGAREKCGGGGGHRYVIDLEKPATSDDDVEFVSYAGFGNRSQDRCYASAENCSTAGSSQLCVERNASRVSPGSVGSSDTPDCQSPIKPDNSESRHLLIDLNVPQEESLHVFYAPSQITCPTLVNSSSSHPGEFWNGSSNVYKKECGSGVGSSKGSSITVVAPSSAPDSSREVVAAYQFHDPKNLHGNIHARENSQHEHAVDKLCGSSSQYFLPQQRFSVSSCGRNDSSSALQKSGDNHVACQSGQPPLAVHTELQHDTSIVISSGEEKVLFDLNVPAESIDMESTITSNSFRDKLVKNDGSEETVTDHSFSKRNGVHAETSIEERTVGEHHISVSKDGNTTFFQESINNEIDKAQSSDLISVSSKHLIAETPHVDNIVCPELRASPDGASSPQETLIGNCDKMVCIAAETLVSIFSSSACTTDCPGTDSQTAAEDVNDEPQHSLDSYEEIVLNVEEIRDDGESIPVIPPDKDGPSCGIKLRRGRGLRNFLREIMPGLVSLSRHEICDDLHAIGYEPRKTRSRKTFGAQGSSSTRGRPPKHRPTARK >ONIVA10G20590.1 pep chromosome:AWHD00000000:10:20358948:20361384:-1 gene:ONIVA10G20590 transcript:ONIVA10G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IWA7] MKPPQNDKLQYHTPPLPSKSQQKSEAKSRTHRLAMPSPSLRLAVVGAGAAGLVAARELRREGHSPVVFERAVSVGGTWLYDAAPATSDPLAAGAAHSSLYASLRTNLPREVMGFLDFPFASSAAEAGGGGDTRRFPGHDEVLRYLEEFARRFDLYGLVRFGTEVVRVRRDGGGGGGRWAVTSRKIGEKGRREEEEEVYDAIVVCNGHYTEPRVAHIPGVEAWPGKQMHSHNYRVPEPFHDQVVIIIGASASAVDISRDLAGVAKEVHVADRSAPACTCKRQPGYDNMWLHSMIDHAQEDGCVVFQDGSSIKADVIMHCTGYLYDFPFLEDDSAITVDDNCVDPLYKHVFPPEVAPHLSFIGLPWKVIPFPLFELQSKWVAGVLSGRVKLPSREEMMEDVKAFHSKMEARGWPKRYAHNFSDCQFEYDDWLAEQCGHPPIEQWRKLMYAANSENKAARPESYRDEWDDDHLVAEAAEDFKKYL >ONIVA10G20580.1 pep chromosome:AWHD00000000:10:20356298:20357680:1 gene:ONIVA10G20580 transcript:ONIVA10G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT4G22580) TAIR;Acc:AT4G22580] MPNPLFFLLLLAAATAAAGEGGATRKDPCAGRRIHIRRLPPRFNAHLLRHCDAGFPLADPSTPATSSPPCESLVNHGLGPRTHSSSRSWYRTDTRLLEVFFHRRVAERGCLVADPALADAVYLPYYAGLDSLPYVLDPALLDSSAQHGAELAEFLARDRPQILARRHGHDHFLVLAGSAWDYSQPVRAAAAAAAEARLWGTTSLLRLPALGNLTFLTLESRAWPWQEHAIPHPTSFHPASLPRLRAWLARARRARRPALMLFSGGVSRPSRPNIRGSILAECANRTDACVVVDCSGGRCSHDPIRYMRPMLHSRFCLQPPGDTPTRRSTFDAILAGCVPVFFEDAAARRQYGWHLPPERYDEFSVYIPKESVVFGGVKIAETLAAVGEGEVRRMRERALEMAPRVLYRRHGSTAELSETAKDAVDLAVDGALRRIRRRVRALDDGEPERIYSLEDDAVES >ONIVA10G20570.1 pep chromosome:AWHD00000000:10:20354593:20354964:-1 gene:ONIVA10G20570 transcript:ONIVA10G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTDNVVLMGPGTRACSRRCPLPQPPPSASKFLIFMVFCSCSGGLIDWCACADDLCEGDAKNAHLMSLDGVAERLWLFKADLLDYGSVAVAIAGCNDVFHVAYPVLLSAPNPGAHLSLFSAK >ONIVA10G20560.1 pep chromosome:AWHD00000000:10:20342229:20344405:-1 gene:ONIVA10G20560 transcript:ONIVA10G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphate phosphatase A [Source:Projected from Arabidopsis thaliana (AT5G51460) UniProtKB/Swiss-Prot;Acc:O64896] MGWMRCMHVCSDKKTLKLTVLTTTLRRVLLMDLKTSNSPVIADPLPKLALPSAVMTYTTPTSFPSTGLYLNTPKKKPLPGKIEEVRAAGWLDLMLASSPPRKRQTKDFANDVQADELDLLYRNWVVNHPSALTSFEDIVNLARGKRLALFLDYDGTLSPIVDNPENAVMSDEMRSAVKHVASLFPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVRKSDSSGQHVECIRSTDSEGKEVNLFQPASEFLPMISEVYKKLSESIKDIDGARMEDNKFCVSVHYRNVAPHDYGEVHQRVTAVLKNYPCLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLCGKEDVLPIYVGDDKTDEDAFKVLKANSIGFGILVSSVPKDTDAFYSVRDPAEVMEFLKKLASWKEEST >ONIVA10G20550.1 pep chromosome:AWHD00000000:10:20335797:20339576:-1 gene:ONIVA10G20550 transcript:ONIVA10G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT4G31810) TAIR;Acc:AT4G31810] MPTLAAAAAAARRAGGALRYAVLGGVRSLSSLQPSSSSSAAAAASEEVLVEGKASARAAVLNRPGHLNALTTTMGARLNKFYMSWEDNPDIGFVMMKGSGRAFCAGGDVVRLHQLISEGKLDECKDFFKTLYSFIYVLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGEKLNGVDMIALGLATHYSMSDRLNLVDERLATLLTDDPSVIDTSLTHYGDLVYPDKSSIVHRLEVIDKCFSLETVEEIVDAMEIEAARLNEDWSTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSINGISEKFSHEFREGVRARLVEKDLAPKWDPPALEYVSADMVDSYFAPLGEFEPELTLPTESREAFV >ONIVA10G20540.1 pep chromosome:AWHD00000000:10:20332162:20332560:-1 gene:ONIVA10G20540 transcript:ONIVA10G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVSLLVVAMAVACPDCPSPKPPAPRPKPPTPHYGGGSSCPRDALKLHVCANVLGLVKAKVGAVSPYEPCCSLLDGLVDLDAAVCLCTAIKANVLGIKLNLPIDLSLILNNCGKICPSDYQCVH >ONIVA10G20530.1 pep chromosome:AWHD00000000:10:20328614:20328988:-1 gene:ONIVA10G20530 transcript:ONIVA10G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVSLLAVAVAASACGTDCPPAPRPRPSTGSCPRDALKLRVCANVLGLVKAKVGAVAPYEPCCSLLDGLVDLDAAVCLCTAVKANVLGIKLDLPVDLSLILNNCGKICPSDFKCVH >ONIVA10G20520.1 pep chromosome:AWHD00000000:10:20325176:20325577:-1 gene:ONIVA10G20520 transcript:ONIVA10G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVSLLVVAVASACTYCPEPPTPKPKPPAPRPPTPGGGAGSCPRDALKLHVCANVLGLVKAKIGAVAPYEPCCSLLDGLVDLDAAVCLCTAIKANVLGLNLNIPIDLSLILNNCGKICPSDYQCA >ONIVA10G20510.1 pep chromosome:AWHD00000000:10:20318125:20320717:1 gene:ONIVA10G20510 transcript:ONIVA10G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEKVFVALPAEAKSGRSTLSWALGHFRATAIVVTHVHVPPQMIPVMGVKFHASKLNPEQVSLFRMAERDKVDKQLDHYVNQCLRMKMKCEKLVIENENVVDGLVELINLHGVTKLVISAAPDRNYSRKMDKPASRTATEIMQRADPSCKIWFVCKERLICTSGVEVEIAPGHTPFIPDTGHDALQLTLHQEQDDNNESELGFYDEIKEACKAADNLMMRALRESYRRQKADEEVVSSLQKAKEYEELYLEEVKKRKELEAALLRASEEIAQLKQERDLPKNDQNTTMEEQKEVISDNLILEASGQIIKPLQEYLDHDENCVREPETLLIQRKLAASFSPSSVMQSPFDEDCCIPSYFICPILQEVMREPCIASDGFTYETDAIRSWLDGGRRVSPITGQPIVHQQLIPNLSLRSVIQDHARRNQYSFS >ONIVA10G20500.1 pep chromosome:AWHD00000000:10:20314107:20314517:-1 gene:ONIVA10G20500 transcript:ONIVA10G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKVAPLLALSLLLFAVAAVHGCEPYCGHGGPVIPTPPVVVPTPPSYHRHGRCPIDALKLRVCANVLNGLVGVKIGAGPDDCCPLLSGLADLDAAVCLCTAVKANVLGIKLNLAVDLSLILNKCGKICPSDFTC >ONIVA10G20490.1 pep chromosome:AWHD00000000:10:20310877:20311272:-1 gene:ONIVA10G20490 transcript:ONIVA10G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVVAPLLALTLLLFAVAAHGCEPNCSGGGPVIPTPTTPSYDRHGHCPIDALKLRVCANVLNGLVGVKIGAGPNECCSLLQGIADLDAAVCLCTAVKANVLGINLNLPVDLSLILNKCSKIYPSGFTC >ONIVA10G20480.1 pep chromosome:AWHD00000000:10:20307527:20307922:-1 gene:ONIVA10G20480 transcript:ONIVA10G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKVVAPLLAFTLLILAVAARGCEPNCSGGPVIPTPTTPSYDRHGHCPIDALKLRVCANVLNGLVGVKIGAGPNECCSLLQGIADLDAAVCLCTAVKANVLGINLNLPVDLSLILNKCNKIYPSGFTC >ONIVA10G20470.1 pep chromosome:AWHD00000000:10:20307424:20314542:1 gene:ONIVA10G20470 transcript:ONIVA10G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSTGRLRLMPSTLALTAVQRQTAASRSAIPCSSEQHSLGPAPILTPTSPLSTLAHTLSFRASMGQWPWRSASIGQRPWRWYDGGVGTTTGGVGMTGPPWPQ >ONIVA10G20460.1 pep chromosome:AWHD00000000:10:20305270:20305752:1 gene:ONIVA10G20460 transcript:ONIVA10G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEKKAITGSAATAAAPPPAAAQVIAGAAKYVVADEQKKPCAAAAKKKKVRMPDNYVASILTLKRNPRRSPEYMESLSPEEREGEVEDAELGDEFEAFQEEVRRAVENDGCYMVGESYFAETAAIQAAMEEEWAKIDMSRVIFGDWDYDDPESVQYL >ONIVA10G20450.1 pep chromosome:AWHD00000000:10:20298306:20298755:-1 gene:ONIVA10G20450 transcript:ONIVA10G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKVAPVLALSLLLLAVAAHGCEPHCSGGGGGGAPAVVIPTPTVVVPLPSFGGAHGGYGGYGHGRCPIDALKLRVCANVLNGALGVNVGHGPYDCCPLLAGLADADAAVCLCTAVKANVLGVNLNVPVELKLILNKCGKTCPSDFTC >ONIVA10G20440.1 pep chromosome:AWHD00000000:10:20295654:20296142:-1 gene:ONIVA10G20440 transcript:ONIVA10G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHKNSNRNKLAVAAIVALMSLLVFAAAPSEACGGRCNGRACRSRCAKPTPAPARRAAGAKCPFDALKLAACADVLGGGGGGGGLLNLGHLLGNSSPSSSGEQCCGLLAGLADVDAAVCLCTALRANVLGLVGVEPHVQLSVLVNRCSRKLPNGFQCSSN >ONIVA10G20430.1 pep chromosome:AWHD00000000:10:20291527:20295207:1 gene:ONIVA10G20430 transcript:ONIVA10G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTAAGSRVLGGQGAAVSALRHRAGMGLPVGRHIVPDKPLPTNDELVWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLGFFATLGLAATLNDKASKIPYTPKVYPFDNLREELGDRP >ONIVA10G20420.1 pep chromosome:AWHD00000000:10:20275285:20277261:-1 gene:ONIVA10G20420 transcript:ONIVA10G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGGSAREGHAGTLLQHHLIHGVGLAAAGKLVSSSSAAAAAAGAGGFWEPRSVLDHRHSPSPSPPTSASTLSSPLADVAALAGANAKNVSVSPPPPGWGTGGGGGGGEEVVAAKEEWVHQLTPLDMGLGAGEGWDAAGNVLSDAAAAATSGMAPDNTFLRWIIGGGEDASAAMAGVMDPPVLELDHGGGGGAAPAAFGPFAPPPAMEDTKPVVPFAAGHPPPNFLLQHHHHHPQPHAAFFGAHHPSFDAAPPPSKRHHPMAAAPAPKLPPFPAGGFVPALKPKAEAANDEAAAAVEQLAEAAKLAEAGDAFGAREILARLNYRLPAAPTAGTPLLRSAFYFKEALRLALSPTGDAPAPSASTPYDVVVKLGAYKAFSEVSPVLQFAHLTCVQAVLDELGGAGCIHVLDFDIGMGEQWASLMQELAQLRPAAALKVTALVSPASHHPLELQLIHENLSGFAAELGVFFHFTVFNIDTLDPAELLAIATAGDAVAVHLPVGPAHAAATPAVLRLVKRLGAKVVVSVDRGCDRSDLPFAAHLFHSFHSAVYLLESIDAVGTDPDTASKIERYLIHPAIEQCVVARHRAASAMDKAPPPPWRAAFAAAGFAPVQATTFAESQAEALLSKVHVRGFRVEKRAGSLCLYWQRGELVSVSAWRC >ONIVA10G20410.1 pep chromosome:AWHD00000000:10:20261665:20270248:1 gene:ONIVA10G20410 transcript:ONIVA10G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARMAAAVVPLNRRPIRGPAIHSRPRSSPCTSAPGTSGAGMRSCGGAPGRCAAMSGLTSNRWGPGSPASSLCAAAPNLAQLRRSTAHLVTRACATSRTRVVVVTFTTFPSSLKRYSTDPVLVRPDDHARWEQGAEVVAATVVVVLVEYDHAEMYYVGAPSESMDGLAPAAMSEALLVFASGRSIGGAGPGRRPHLRRGESREFAWIYDYEDAPMSAPVW >ONIVA10G20410.2 pep chromosome:AWHD00000000:10:20261665:20262336:1 gene:ONIVA10G20410 transcript:ONIVA10G20410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARMAAAVVPLNRRPIRGPAIHSRPRSSPCTSAPGTSGAGMRSCGGAPGRCAAMSGLTSNRWGPGSPASSLCAAAPNLAQLRRSTAHLVTRACATSRTRVVVVTFTTFPSSLKRYSTDPVLVRPDDHARWEQGAEVVAATVVVVLVEYDHAEMYYVGAPSESVE >ONIVA10G20410.3 pep chromosome:AWHD00000000:10:20263834:20270248:1 gene:ONIVA10G20410 transcript:ONIVA10G20410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKILGRGRTIPIRGRYLCNVKMVAWRGRTMLRDFSKVRVQETEEKQGLGIGYWVDALQQKLHFSIPLVREGDGSIEVVADNNGWSFMGEDVVARSGISRKSRMSLASLLLQCPVLQCGHLPASGDEEETVLRHRQCSNKLGRFKSDDKHAVPAAVCAQNGTVSMAGTDSFVGLDAHCRPACTIAVPCVTGTRTSPAVAVTGPPVSGTRWGCSCYSGKLEECWQENSEAGCCWL >ONIVA10G20400.1 pep chromosome:AWHD00000000:10:20257667:20260352:1 gene:ONIVA10G20400 transcript:ONIVA10G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IW86] MAIASRIQKRVLASFAAAAAAKLPEAAVAAAGGAAEAVEEVASSVQEQVQAQGAQVLEFGDTERLFAGERSTSLVRTLAVLQALSVGPLVDVATAALRSPAVAGSAAGRAAARATAYQHFCAGETAEEAAAAVRRLWRGGMGGILDYGIEDAEDGPACDRNAAGFLAAIDVAAALPPGSASVCIKITALCPVALLEKASDLLRWQQKHPATKLPWKAHGFPVLCVSSPLYLTAAEPPALEAEEERELEMAHGRLLAIGERCAEYDIPLLVDAEYATVQPAIDYFTFAGALAFNGGGRPIVHGTVQAYLRDARDRLEAMARAAQGERVCLALKLVRGAYLAREARLAASLGVPSPVHRSIQDTHDCYNGCAAFLLDRVRRGAAAVTLATHNVESGQLAAARALELGIGGGGDRGLQFAQLMGMADGLSLGLRNAGFQVSKYLPYGPVEQIIPYLIRRAEENRGLLSSSSFDRQLLRKELVRRFKAAMLGRE >ONIVA10G20390.1 pep chromosome:AWHD00000000:10:20255121:20256436:1 gene:ONIVA10G20390 transcript:ONIVA10G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAQAGQAGSLTSAVSGDVDRRRATAVRVAVGTAVGIECVRVGRALHWPRSRPAAAVGWLHRSPSEETQYDRELRDSYPARRIPDSQLDLAFRES >ONIVA10G20380.1 pep chromosome:AWHD00000000:10:20241347:20250363:1 gene:ONIVA10G20380 transcript:ONIVA10G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKVEAKSPRGGGGWQGEASIERAVGASSFNDAPWCTPNPPELRRSGDGGAKKAAAVEDVGVALELAEDGLKELAVSPAVDTLGLMKASSRRFLSGKEGASFLLVSKGTKRLFGYCRELQATGLRFLQVIESSKAEREREAKVERRFWRWMMIGGRRRATSGDWRVEPTWVERRYDFFRLEVDEQHSEFQIVQVASNHQDGDYFFSCLELKIFSTPHWSITPRKRRGETKKKSVLATAPNASCILTPLAQEGELGMTPLRPPFPLLMRASFQHFFYCLSRFASQHPVLSMGNRSRQRVAKEAMQSINEEADSPSREVQPGRHHMLKCPDGKPELHLEQIPNFHCKSLPSSRREAYQDDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGPYLSRQQSRSCKPSSSIDASSKVQQATREFLSLSLRELPDEHSRLGRPRKDCNLLKDCAGDGFLEISLDEDTSKSVHTRQIEGTCSKDARSNCQHSVDVYSDGSKHGEGDLVNKLPKSLSTKVGVFDATCPPESTHGANSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLLVEGKGRVLRKSLLSGISRTEQSLTPNCQQSKEAQVLTVTSSPTHLHAVLKLDPTNDSFGFEFCTKGPEESIYANIWKAGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCSAVNNSISRGSLERNNLMERQNNTRNNSDASTSSLWSREDLHPHLEVAAIVIQVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDESSPSPLLDRLKTGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKAVGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKDEVKQLIESVTTKENKKKKTKRQKEKTPPSIVLDPPSFEPEAGVGIWQETAPFGAVSASITALVVVGLVHQLLTLVALSLILILKNIIGPGNLWIW >ONIVA10G20380.2 pep chromosome:AWHD00000000:10:20241347:20250121:1 gene:ONIVA10G20380 transcript:ONIVA10G20380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRQRVAKEAMQSINEEADSPSREVQPGRHHMLKCPDGKPELHLEQIPNFHCKSLPSSRREAYQDDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGPYLSRQQSRSCKPSSSIDASSKVQQATREFLSLSLRELPDEHSRLGRPRKDCNLLKDCAGDGFLEISLDEDTSKSVHTRQIEGTCSKDARSNCQHSVDVYSDGSKHGEGDLVNKLPKSLSTKVGVFDATCPPESTHGANSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLLVEGKGRVLRKSLLSGISRTEQSLTPNCQQSKEAQVLTVTSSPTHLHAVLKLDPTNDSFGFEFCTKGPEESIYANIWKAGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCSAVNNSISRGSLERNNLMERQNNTRNNSDASTSSLWSREDLHPHLEVAAIVIQVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDESSPSPLLDRLKTGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKAVGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKDEVKQLIESVTTKENKKKKTKRQKEKTPPSIVLDPPFSPMGRV >ONIVA10G20380.3 pep chromosome:AWHD00000000:10:20241347:20250121:1 gene:ONIVA10G20380 transcript:ONIVA10G20380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRQRVAKEAMQSINEEADSPSREVQPGRHHMLKCPDGKPELHLEQIPNFHCKSLPSSRREAYQDDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGPYLSRQQSRSCKPSSSIDASSKVQQATREFLSLSLRELPDEHSRLGRPRKDCNLLKDCAGDGFLEISLDEDTSKSVHTRQIEGTCSKDARSNCQHSVDVYSDGSKHGEGDLVNKLPKSLSTKVGVFDATCPPESTHGANSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLLVEGKGRVLRKSLLSGISRTEQSLTPNCQQSKEAQVLTVTSSPTHLHAVLKLDPTNDSFGFEFCTKGPEESIYANIWKAGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCSAVNNSISRGSLERNNLMERQNNTRNNSDASTSSLWSREDLHPHLEVAAIVIQVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDESSPSPLLDRLKTGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKAVGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKDEVKQLIESVTTKENKKKKTKRQKEKTPPSIVLDPPFSPMGRV >ONIVA10G20380.4 pep chromosome:AWHD00000000:10:20245941:20250363:1 gene:ONIVA10G20380 transcript:ONIVA10G20380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSRQRVAKEAMQSINEEADSPSREVQPGRHHMLKCPDGKPELHLEQIPNFHCKSLPSSRREAYQDDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDSSSQPSTSGPYLSRQQSRSCKPSSSIDASSKVQQATREFLSLSLRELPDEHSRLGRPRKDCNLLKDCAGDGFLEISLDEDTSKSVHTRQIEGTCSKDARSNCQHSVDVYSDGSKHGEGDLVNKLPKSLSTKVGVFDATCPPESTHGANSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLLVEGKGRVLRKSLLSGISRTEQSLTPNCQQSKEAQVLTVTSSPTHLHAVLKLDPTNDSFGFEFCTKGPEESIYANIWKAGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCSAVNNSISRGSLERNNLMERQNNTRNNSDASTSSLWSREDLHPHLEVAAIVIQVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDESSPSPLLDRLKTGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKAVGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKDEVKQLIESVTTKENKKKKTKRQKEKTPPSIVLDPPSFEPEAGVGIWQETAPFGAVSASITALVVVGLVHQLLTLVALSLILILKNIIGPGNLWIW >ONIVA10G20370.1 pep chromosome:AWHD00000000:10:20234191:20238965:-1 gene:ONIVA10G20370 transcript:ONIVA10G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein [Source:Projected from Arabidopsis thaliana (AT5G23870) TAIR;Acc:AT5G23870] MAQRHWPWRLAVFVAAVVTPVAAEERLVVGMTLLQAATSTGAGEEFFFFFSCSIDCIAPPLAEPTANRRRVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPDMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKVLLSGCSAGGLATFFHCDDLKGRLGDAVTVKCLSDAGFFLDVDDITGNNTVEPFFRSLVALQGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVPPSCDPKGQWSHCKSDPGACSTSQIATLQGLRNAMLTALKPFQNEQGVGMFINSCFAHCQSELQETWLAPNSPRLHNKTIAELVGEWYFERGPGIEIDCAYPCDSTCHNIIPSNQEFGRGVSMPNCDQITKISFSLVNKLKDEHCYNNGDSGIHNGGPCMNIPPKFYSISLQMILYLSILHIAEQFIISYDI >ONIVA10G20370.2 pep chromosome:AWHD00000000:10:20234191:20238945:-1 gene:ONIVA10G20370 transcript:ONIVA10G20370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein [Source:Projected from Arabidopsis thaliana (AT5G23870) TAIR;Acc:AT5G23870] MAARRVRRRRRDAGGCRGEAGVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPDMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKVLLSGCSAGGLATFFHCDDLKGRLGDAVTVKCLSDAGFFLDVDDITGNNTVEPFFRSLVALQGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVPPSCDPKGQWSHCKSDPGACSTSQIATLQGLRNAMLTALKPFQNEQGVGMFINSCFAHCQSELQETWLAPNSPRLHNKTIAELVGEWYFERGPGIEIDCAYPCDSTCHNIIPSNQEFGRGVSMPNCDQITKISFSLVNKLKDEHCYNNGDSGIHNGGPCMNIPPKFYSISLQMILYLSILHIAEQFIISYDI >ONIVA10G20370.3 pep chromosome:AWHD00000000:10:20234191:20238965:-1 gene:ONIVA10G20370 transcript:ONIVA10G20370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein [Source:Projected from Arabidopsis thaliana (AT5G23870) TAIR;Acc:AT5G23870] MAQRHWPWRLAVFVAAVVTPVAAEERLVVGMTLLQAATSTGAGEEFFFFFSCSIDCIAPPLAEPTANRRRVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPDMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKVLLSGCSAGGLATFFHCDDLKGRLGDAVTVKCLSDAGFFLDVDDITGNNTVEPFFRSLVALQGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVPPSCDPKGQWSHCKSDPGACSTSQIATLQGLRNAMLTALKPFQNEQGVGMFINSCFAHCQSELQETWLAPNSPRLHNKTIAELVGEWYFERGPGIEIDCAYPCDSTCHNIIPSNQNGDSGIHNGGPCMNIPPKFYSISLQMILYLSILHIAEQFIISYDI >ONIVA10G20370.4 pep chromosome:AWHD00000000:10:20234191:20238965:-1 gene:ONIVA10G20370 transcript:ONIVA10G20370.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein [Source:Projected from Arabidopsis thaliana (AT5G23870) TAIR;Acc:AT5G23870] MAQRHWPWRLAVFVAAVVTPVAAEERLVVGMTLLQAATSTGAGEEFFFFFSCSIDCIAPPLAEPTANRRRVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPDMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKVLLSGCSAGGLATFFHCDDLKGRLGDAVTGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVPPSCDPKGQWSHCKSDPGACSTSQIATLQGLRNAMLTALKPFQNEQGVGMFINSCFAHCQSELQETWLAPNSPRLHNKTIAELVGEWYFERGPGIEIDCAYPCDSTCHNIIPSNQEFGRGVSMPNCDQITKISFSLVNKLKDEHCYNNGDSGIHNGGPCMNIPPKFYSISLQMILYLSILHIAEQFIISYDI >ONIVA10G20370.5 pep chromosome:AWHD00000000:10:20234191:20238945:-1 gene:ONIVA10G20370 transcript:ONIVA10G20370.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein [Source:Projected from Arabidopsis thaliana (AT5G23870) TAIR;Acc:AT5G23870] MAARRVRRRRRDAGGCRGEAGVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPDMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKVLLSGCSAGGLATFFHCDDLKGRLGDAVTVKCLSDAGFFLDVDDITGNNTVEPFFRSLVALQGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVPPSCDPKGQWSHCKSDPGACSTSQIATLQGLRNAMLTALKPFQNEQGVGMFINSCFAHCQSELQETWLAPNSPRLHNKTIAELVGEWYFERGPGIEIDCAYPCDSTCHNIIPSNQNGDSGIHNGGPCMNIPPKFYSISLQMILYLSILHIAEQFIISYDI >ONIVA10G20370.6 pep chromosome:AWHD00000000:10:20234191:20238965:-1 gene:ONIVA10G20370 transcript:ONIVA10G20370.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein [Source:Projected from Arabidopsis thaliana (AT5G23870) TAIR;Acc:AT5G23870] MAQRHWPWRLAVFVAAVVTPVAAEERLVVGMTLLQAATSTGAGEEFFFFFSCSIDCIAPPLAEPTANRRRVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPDMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKVLLSGCSAGGLATFFHCDDLKGRLGDAVTGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVPPSCDPKGQWSHCKSDPGACSTSQIATLQGLRNAMLTALKPFQNEQGVGMFINSCFAHCQSELQETWLAPNSPRLHNKTIAELVGEWYFERGPGIEIDCAYPCDSTCHNIIPSNQNGDSGIHNGGPCMNIPPKFYSISLQMILYLSILHIAEQFIISYDI >ONIVA10G20370.7 pep chromosome:AWHD00000000:10:20234191:20238945:-1 gene:ONIVA10G20370 transcript:ONIVA10G20370.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein [Source:Projected from Arabidopsis thaliana (AT5G23870) TAIR;Acc:AT5G23870] MAARRVRRRRRDAGGCRGEAGVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPDMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKVLLSGCSAGGLATFFHCDDLKGRLGDAVTGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVPPSCDPKGQWSHCKSDPGACSTSQIATLQGLRNAMLTALKPFQNEQGVGMFINSCFAHCQSELQETWLAPNSPRLHNKTIAELVGEWYFERGPGIEIDCAYPCDSTCHNIIPSNQEFGRGVSMPNCDQITKISFSLVNKLKDEHCYNNGDSGIHNGGPCMNIPPKFYSISLQMILYLSILHIAEQFIISYDI >ONIVA10G20360.1 pep chromosome:AWHD00000000:10:20232687:20233826:1 gene:ONIVA10G20360 transcript:ONIVA10G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNPRNPRRRGAILAAVAPFLAFADYLRLRLVDRAWRLYCRRVGHAPPPFPWLMLPERESPPGAGAGAGAGAPRVFYDVPGGRSYGYRVPSRDMHRCVATGHGWVVMVAVDAPRRVMLLNPITGDQRIVAWPFARWNARFHAVLTSSPAAGEAGCFLVVVADRLLAFCRPGADFQGWETLRAPGFRHHAALSDVVAVGATVYLVDERRRLWRADLADENPKVQRRDTGFALPSLELRRHYLVESLGHVLLVLSDERHNRVALYKLNWDARAWLPIAACPGERVLLLGRGCSAAVPPSSAAGRAPGTVLFAHQPSTLPDVDVAARGQAWFWSESRVGAAPGDLLVLKKTVPHRHGEFPADGDSFWFFPAVDPDENAR >ONIVA10G20350.1 pep chromosome:AWHD00000000:10:20224895:20227519:-1 gene:ONIVA10G20350 transcript:ONIVA10G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSVSVSDESSEANGGGCCSSSTTPSMDAVNLSRTFSDVSSFSEEHGGSGSSVDHSGPFEPPSAAAVSRLIGRRSPAAAASALSLSRLSMKPRADVLDRRSTDDELELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYANAATVFGSCHKLEPLPAGKKTMWRREMDCLLSVCDYIVEFYPSSQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLIEILESFHKAEFWYADAGTRSFGSATSSSTMSSSSFRRSTHRNEDRWWLPVPCVPDGGISGKASKELQQKRDCATQIHKAAVAINTGVLGDMEVPDSFMAILPKSGKASVGDGVYRAMLGAEKFSPEYLLDCLDMSSEHEALAMADRVEAAMYVWRRKAGASHGRSRWGAVKELVADDEEQDKNVMLAGRAESLLLCLKHRFPGLSQTTLDTSKIQFNKDIGQAILESYSRVLESLAFNIVSWIDDVLFADKTVRKQ >ONIVA10G20340.1 pep chromosome:AWHD00000000:10:20221744:20224443:1 gene:ONIVA10G20340 transcript:ONIVA10G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPSETQHGLDLKFPPLPDDDKPQLMEVEVELPTAHSVNLSSSPSSRTITSCGNLDEGFHGHVNFIAKGDCKNSEELFFAEVVTMAPEFIIQEVFQVFPLTNNTAISVEMSLSIQKMEHCMRVAMLLRVIIMSSMHYLLCLLFSS >ONIVA10G20340.2 pep chromosome:AWHD00000000:10:20222007:20224443:1 gene:ONIVA10G20340 transcript:ONIVA10G20340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPLTYHPLSFLLPPSKRWIRTSCVSCTSSSIPLHPYKHHNPPLPDDDKPQLMEVEVELPTAHSVNLSSSPSSRTITSCGNLDEGFHGHVNFIAKGDCKNSEELFFAEVVTMAPEFIIQEVFQVFPLTNNTAISVEMSLSIQKMEHCMRVAMLLRVIIMSSMHYLLCLLFSS >ONIVA10G20340.3 pep chromosome:AWHD00000000:10:20222041:20224443:1 gene:ONIVA10G20340 transcript:ONIVA10G20340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPSETQHGLDLKFPPLPDDDKPQLMEVEVELPTAHSVNLSSSPSSRTITSCGNLDEGFHGHVNFIAKGDCKNSEELFFAEVVTMAPEFIIQEVFQVFPLTNNTAISVEMSLSIQKMEHCMRVAMLLRVIIMSSMHYLLCLLFSS >ONIVA10G20340.4 pep chromosome:AWHD00000000:10:20222200:20224443:1 gene:ONIVA10G20340 transcript:ONIVA10G20340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTPPKPPAARRTPPLPDDDKPQLMEVEVELPTAHSVNLSSSPSSRTITSCGNLDEGFHGHVNFIAKGDCKNSEELFFAEVVTMAPEFIIQEVFQVFPLTNNTAISVEMSLSIQKMEHCMRVAMLLRVIIMSSMHYLLCLLFSS >ONIVA10G20330.1 pep chromosome:AWHD00000000:10:20206526:20220587:1 gene:ONIVA10G20330 transcript:ONIVA10G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTGAGHNAGFGGGVPGRGVVAGGREKGRLEPSSFHGANYPANLRRQPQVAPYKLKLGAPDFYPQTLSCAEETLTKEYVQSGYKDTVEGIEEAREIVLSQIPYLSKPDIATKCKEALKKRFRAINESRAQKRKAGQVYGVPLSGSLLTKPGMYPEQMHSNEDTRRKWIEALVQPNRRLWSLAEQVPRSFRRKSLFNYLIRYNVPLLRASWLVKVTYLNQVQTSSNNVSSAAPDSLRSQHWTKDVIEYLQLLLDELCSKNGFFGLPSSQEQSLPCLVAGDSPIKLKTGASPASADVEEPSLHFKWSYMIRIVQCHLMEQLLVPSLLIEWVFNQLQERDSTEVLELLLPIVLSLVDTITLSQTYIHMLVEILIQRLSDASPGSLSVKNNPKRSSITSALVELLQYLILAVPDTFVSLDCFPLPSVVAPDVYGKGALLKIAGGGKIASSRRQNASRHLSCGYAICSVQRRASDLSLVANPNLQVRGAANVVQALDKALVTGNLTAAYTSVFNYLSDTLMEETWIKEVSPCLRSSLMWMGAVELSLVCSVFFICEWATCTFRDCRTSQCQNVKFSGSKDFSQVYMAVSLLKDKMNEINNLSSSKSSSQLAMKDHLKSGTLNHSSIKVTAMETASGFRDSTGSIDENNKKDIFSSPGPLHDIIVCWLDQHEISDASGFKSVDVFMTELIRSGIFYPQTYVRQLIVSGITIWNDSLFDLEKKTRHYKILKHLPGFCLFNILEEAKIAEDQVLYEIVSTYSSERRLVLSELSSGLATDANVEGRVPLSSCLQKQPDLLMDSTDDNHGRVAVQVEEVKLMISGREETKKTKKGQTDLVDSEEDVGHAKTGCKDSSRTKRQKLDKNVFPFQGSPLVQSDEEDFWWVRKEQKQELFTVETIHQSIEQTSGGKTTVVQKTQNIAQLAAARIDGSQGASTSHVCDNNLSCPHHKPGTNSDILKDADHMSMLTLAEVGKSLKRLRLLERRSISIWLLKSIKQLIEGDEVKHSKANNSISASTVQHSGKIASGWRFGEDELLSVLYIMDTCCDLLSSVRLLIWLLSKIYIGRTTSGQVGRGVMHPKHKENQVFQVAEAFLFSSLLRYENILIAMDLLPEVLSVSMNRTVHKSGERQSTSVAFAYARYFLRKYRDVTSVARWERNFRSTSDKRLLAELDSGKSITGDSIISGISSDCIRQNGGANPDGDHSLVASAVSAIVDNAGHAIAKHLDISGGNNPGVTSINSLNLIQHILDIHINSLALLRETLGDRFSRIFEISLAVEASSAVTASFAPPKAHRSQQSSETHDESGNHANEVPSNPSKCFNVKAVKVSAAVSALVVGAIIYGVVSLERMMVVLRLKEGLDILQFLRISKASTNGVTHSIGNFKIDSSTEVLVHWFKILIGNCKTVYNGVIAEILGDSYVLAFSRLQRTLPLGIVLPPAYSIFAMVLWRPYLYDTSTSNHEDIQLYQSLLGAISDITRHQPFRDVCFRNMHLFYDLLAADVGDSEFAAIVELRSPDECLKALSPLRARVFLNALLDCEIPVTMRDDGTYALEPGCAEASTKNDVKFPERLIEILNVLQPAKFHWQWVELRLLLDEQSLIEKPKNVPYVKALRSLSPNAENFTLSEREKGLTEVILSRLLVRPDAAPLYSELIHLLGKLQESFVMGIKWFLQGQDVLLGNNSVRQQLVNLTQRKGFPMKTQFWKPWGWSKLVGYANANKSSKRKLEVTSIEEREVDGLIDSRKSSNRKSLNVGTSPEGHGSTQKYFTQEALAELVLPSIDRSSSEFRFVFAGDLIKHMGVISEHIKAAVWNGINKLNSSNPSGNEGLSKPNGRKGIFSGSPNIRKHSPVPNDSTTPSASALRSSIWLRLQFIIRLLPVIIADSNMRQTLASSLLSLVGTRVVYEDADSLEPYMDDVLLDCPSESLFDRLLCVLQALLGNSQPSWLKTKPSSKPDVKFLRDLSAIDKEVTKSLQCALDRMELPATIRRRIQVAMPILPTSRLSSIICGPPLLSSAALSPFQCSTSTAGPHQQFPLNWIPTNLSSRCKAALPSQDPNMEIDPWTLLEDGTSCPNTNSGSNSANGVTGDHANLKACSFLKDSVRVRRTDLTYIGSLDEDS >ONIVA10G20330.2 pep chromosome:AWHD00000000:10:20206383:20220587:1 gene:ONIVA10G20330 transcript:ONIVA10G20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTGAGHNAGFGGGVPGRGVVAGGREKGRLEPSSFHGANYPANLRRQPQVAPYKLKCDKEPLNARLGAPDFYPQTLSCAEETLTKEYVQSGYKDTVEGIEEAREIVLSQIPYLSKPDIATKCKEALKKRFRAINESRAQKRKAGQVYGVPLSGSLLTKPGMYPEQMHSNEDTRRKWIEALVQPNRRLWSLAEQVPRSFRRKSLFNYLIRYNVPLLRASWLVKVTYLNQVQTSSNNVSSAAPDSLRSQHWTKDVIEYLQLLLDELCSKNGFFGLPSSQEQSLPCLVAGDSPIKLKTGASPASADVEEPSLHFKWSYMIRIVQCHLMEQLLVPSLLIEWVFNQLQERDSTEVLELLLPIVLSLVDTITLSQTYIHMLVEILIQRLSDASPGSLSVKNNPKRSSITSALVELLQYLILAVPDTFVSLDCFPLPSVVAPDVYGKGALLKIAGGGKIASSRRQNASRHLSCGYAICSVQRRASDLSLVANPNLQVRGAANVVQALDKALVTGNLTAAYTSVFNYLSDTLMEETWIKEVSPCLRSSLMWMGAVELSLVCSVFFICEWATCTFRDCRTSQCQNVKFSGSKDFSQVYMAVSLLKDKMNEINNLSSSKSSSQLAMKDHLKSGTLNHSSIKVTAMETASGFRDSTGSIDENNKKDIFSSPGPLHDIIVCWLDQHEISDASGFKSVDVFMTELIRSGIFYPQTYVRQLIVSGITIWNDSLFDLEKKTRHYKILKHLPGFCLFNILEEAKIAEDQVLYEIVSTYSSERRLVLSELSSGLATDANVEGRVPLSSCLQKQPDLLMDSTDDNHGRVAVQVEEVKLMISGLLNLGYSTLLAESGREETKKTKKGQTDLVDSEEDVGHAKTGCKDSSRTKRQKLDKNVFPFQGSPLVQSDEEDFWWVRKEQKQELFTVETIHQSIEQTSGGKTTVVQKTQNIAQLAAARIDGSQGASTSHVCDNNLSCPHHKPGTNSDILKDADHMSMLTLAEVGKSLKRLRLLERRSISIWLLKSIKQLIEGDEVKHSKANNSISASTVQHSGKIASGWRFGEDELLSVLYIMDTCCDLLSSVRLLIWLLSKIYIGRTTSGQVGRGVMHPKHKENQVFQVAEAFLFSSLLRYENILIAMDLLPEVLSVSMNRTVHKSGERQSTSVAFAYARYFLRKYRDVTSVARWERNFRSTSDKRLLAELDSGKSITGDSIISGISSGEELDGHVHKKLNGKAGVLPSMKEIVQRQTDEFLRNLRENNATAPKNPSFSETEDSYQTAHDIVLGLADCIRQNGGANPDGDHSLVASAVSAIVDNAGHAIAKHLDISGGNNPGVTSINSLNLIQHILDIHINSLALLRETLGDRFSRIFEISLAVEASSAVTASFAPPKAHRSQQSSETHDESGNHANEVPSNPSKCFNVKAVKVSAAVSALVVGAIIYGVVSLERMMVVLRLKEGLDILQFLRISKASTNGVTHSIGNFKIDSSTEVLVHWFKILIGNCKTVYNGVIAEILGDSYVLAFSRLQRTLPLGIVLPPAYSIFAMVLWRPYLYDTSTSNHEDIQLYQSLLGAISDITRHQPFRDVCFRNMHLFYDLLAADVGDSEFAAIVELRSPDECLKALSPLRARVFLNALLDCEIPVTMRDDGTYALEPGCAEASTKNDVKFPERLIEILNVLQPAKFHWQWVELRLLLDEQSLIEKPKNVPYVKALRSLSPNAENFTLSEREKGLTEVILSRLLVRPDAAPLYSELIHLLGKLQESFVMGIKWFLQGQDVLLGNNSVRQQLVNLTQRKGFPMKTQFWKPWGWSKLVGYANANKSSKRKLEVTSIEEREVDGLIDSRKSSNRKSLNVGTSPEGHGSTQKYFTQEALAELVLPSIDRSSSEFRFVFAGDLIKHMGVISEHIKAAVWNGINKLNSSNPSGNEGLSKPNGRKGIFSGSPNIRKHSPVPNDSTTPSASALRSSIWLRLQFIIRLLPVIIADSNMRQTLASSLLSLVGTRVVYEDADSLEPYMDDVLLDCPSESLFDRLLCVLQALLGNSQPSWLKTKPSSKPDVKFLRDLSAIDKEVTKSLQCALDRMELPATIRRRIQVAMPILPTSRLSSIICGPPLLSSAALSPFQCSTSTAGPHQQFPLNWIPTNLSSRCKAALPSQDPNMEIDPWTLLEDGTSCPNTNSGSNSANGVTGDHANLKACSFLKDSVRVRRTDLTYIGSLDEDS >ONIVA10G20330.3 pep chromosome:AWHD00000000:10:20206526:20220587:1 gene:ONIVA10G20330 transcript:ONIVA10G20330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTGAGHNAGFGGGVPGRGVVAGGREKGRLEPSSFHGANYPANLRRQPQVAPYKLKLGAPDFYPQTLSCAEETLTKEYVQSGYKDTVEGIEEAREIVLSQIPYLSKPDIATKCKEALKKRFRAINESRAQKRKAGQVYGVPLSGSLLTKPGMYPEQMHSNEDTRRKWIEALVQPNRRLWSLAEQVPRSFRRKSLFNYLIRYNVPLLRASWLVKVTYLNQVQTSSNNVSSAAPDSLRSQHWTKDVIEYLQLLLDELCSKNGFFGLPSSQEQSLPCLVAGDSPIKLKTGASPASADVEEPSLHFKWSYMIRIVQCHLMEQLLVPSLLIEWVFNQLQERDSTEVLELLLPIVLSLVDTITLSQTYIHMLVEILIQRLSDASPGSLSVKNNPKRSSITSALVELLQYLILAVPDTFVSLDCFPLPSVVAPDVYGKGALLKIAGGGKIASSRRQNASRHLSCGYAICSVQRRASDLSLVANPNLQVRGAANVVQALDKALVTGNLTAAYTSVFNYLSDTLMEETWIKEVSPCLRSSLMWMGAVELSLVCSVFFICEWATCTFRDCRTSQCQNVKFSGSKDFSQVYMAVSLLKDKMNEINNLSSSKSSSQLAMKDHLKSGTLNHSSIKVTAMETASGFRDSTGSIDENNKKDIFSSPGPLHDIIVCWLDQHEISDASGFKSVDVFMTELIRSGIFYPQTYVRQLIVSGITIWNDSLFDLEKKTRHYKILKHLPGFCLFNILEEAKIAEDQVLYEIVSTYSSERRLVLSELSSGLATDANVEGRVPLSSCLQKQPDLLMDSTDDNHGRVAVQVEEVKLMISGLLNLGYSTLLAESGREETKKTKKGQTDLVDSEEDVGHAKTGCKDSSRTKRQKLDKNVFPFQGSPLVQSDEEDFWWVRKEQKQELFTVETIHQSIEQTSGGKTTVVQKTQNIAQLAAARIDGSQGASTSHVCDNNLSCPHHKPGTNSDILKDADHMSMLTLAEVGKSLKRLRLLERRSISIWLLKSIKQLIEGDEVKHSKANNSISASTVQHSGKIASGWRFGEDELLSVLYIMDTCCDLLSSVRLLIWLLSKIYIGRTTSGQVGRGVMHPKHKENQVFQVAEAFLFSSLLRYENILIAMDLLPEVLSVSMNRTVHKSGERQSTSVAFAYARYFLRKYRDVTSVARWERNFRSTSDKRLLAELDSGKSITGDSIISGISSGEELDGHVHKKLNGKAGVLPSMKEIVQRQTDEFLRNLRENNATAPKNPSFSETEDSYQTAHDIVLGLADCIRQNGGANPDGDHSLVASAVSAIVDNAGHAIAKHLDISGGNNPGVTSINSLNLIQHILDIHINSLALLRETLGDRFSRIFEISLAVEASSAVTASFAPPKAHRSQQSSETHDESGNHANEVPSNPSKCFNVKAVKVSAAVSALVVGAIIYGVVSLERMMVVLRLKEGLDILQFLRISKASTNGVTHSIGNFKIDSSTEVLVHWFKILIGNCKTVYNGVIAEILGDSYVLAFSRLQRTLPLGIVLPPAYSIFAMVLWRPYLYDTSTSNHEDIQLYQSLLGAISDITRHQPFRDVCFRNMHLFYDLLAADVGDSEFAAIVELRSPDECLKALSPLRARVFLNALLDCEIPVTMRDDGTYALEPGCAEASTKNDVKFPERLIEILNVLQPAKFHWQWVELRLLLDEQSLIEKPKNVPYVKALRSLSPNAENFTLSEREKGLTEVILSRLLVRPDAAPLYSELIHLLGKLQESFVMGIKWFLQGQDVLLGNNSVRQQLVNLTQRKGFPMKTQFWKPWGWSKLVGYANANKSSKRKLEVTSIEEREVDGLIDSRKSSNRKSLNVGTSPEGHGSTQKYFTQEALAELVLPSIDRSSSEFRFVFAGDLIKHMGVISEHIKAAVWNGINKLNSSNPSGNEGLSKPNGRKGIFSGSPNIRKHSPVPNDSTTPSASALRSSIWLRLQFIIRLLPVIIADSNMRQTLASSLLSLVGTRVVYEDADSLEPYMDDVLLDCPSESLFDRLLCVLQALLGNSQPSWLKTKPSSKPDVKFLRDLSAIDKEVTKSLQCALDRMELPATIRRRIQVAMPILPTSRLSSIICGPPLLSSAALSPFQCSTSTAGPHQQFPLNWIPTNLSSRCKAALPSQDPNMEIDPWTLLEDGTSCPNTNSGSNSANGVTGDHANLKACSFLKDSVRVRRTDLTYIGSLDEDS >ONIVA10G20330.4 pep chromosome:AWHD00000000:10:20206383:20211445:1 gene:ONIVA10G20330 transcript:ONIVA10G20330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTGAGHNAGFGGGVPGRGVVAGGREKGRLEPSSFHGANYPANLRRQPQVAPYKLKCDKEPLNARLGAPDFYPQTLSCAEETLTKEYVQSGYKDTVEGIEEAREIVLSQIPYLSKPDIATKCKEALKKRFRAINESRAQKRKAGQVYGVPLSGSLLTKPGMYPEQMHSNEDTRRKWIEVNQISLSFSSSFPVM >ONIVA10G20320.1 pep chromosome:AWHD00000000:10:20200157:20204211:-1 gene:ONIVA10G20320 transcript:ONIVA10G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVRGSCSSRRPPRRGVRSSLQLQTSRLALFVDKRIGESCHLHRMNQEPIQDLAVLSSHCFAQDNHLLASLLGWFFYINSFYKTNFWLFRMIQALGTLRIH >ONIVA10G20310.1 pep chromosome:AWHD00000000:10:20197931:20203250:1 gene:ONIVA10G20310 transcript:ONIVA10G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSHKRSTEQEENNVGCVWGLMRMLYFRRDAKFLLDTKQVSRRHTFRELADGRHSVRNSSDFVETDDDDDNKEECASQKRTVKKLMEDELGKVNLLKKIPSNEIQRGLPDLGYDVSLDGGSEHTNKPVAALNQHTDIFASYLSGSVYSQGSKSLNHSEEYDLESVLANFLGEIYRCHGECPHGDCKNKSELCPSLKSLIHNKLNDLNNPHATHGNEQSPESKGEGLLGENSRSNSRAAQFKEFKDAVEILSSNNELFLKLLQKPNSHILDNIRKYQNSRLTTKLEPDKSLGRSSILEEKRGSNHELATKAQGKETKHVFFWRKDRSDRKQKPERTNRPQPVSKIVILKPNQGRRIDETETTSSRYLHQQPCTSQAPEFSGRESSKFSIKKVRRRFKIVTGDSKREKNAIPAENLPGDSHQLKDSVVEDKDPRHLTEGSLPDKAASNFKNGIKPSASSKQKQQNDSQSEISDHTTGASIFYEKAKKHLADMLKNTSQSASYPTAQVSKSLEGMLSQPHYNVSPPRSDHRGKCHNAFSPEEPEVCLVKAVDVEEPAQERSQLHDNSESNAYSTSVAVDDQVAVLEECGIKEDTQEGIIYATDEVDTVPVEGVGKLDCSKTICNIQCIPAEQYTDSPLPEILEGTEGKEPVQMFMSSPESMVENLEQQDPKTPEPKSSPKLPDGCPEQSNEKKEQPSPVSVLDSFDEDDSSPECKTMKKYELHEVSCGTLYFPDNESGVKVFWEDKNARLDYIMLVLELSELCAEQNLEVWYLEDELISPCMFEELQNQGDRIDDMKILFDCICEALTEIQERYFRLSSWLSFVKHDIRTPPVGEKLISEVDKYVDGYLKCSFPSTLEQIIKRDLEVQAWMDIRSKTEGIVVEIWEFVLDELIDEAVFDLWI >ONIVA10G20300.1 pep chromosome:AWHD00000000:10:20196963:20198983:-1 gene:ONIVA10G20300 transcript:ONIVA10G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKISNRTYIDGKWQISLEKPKQKNCALFSSIREMAGHGNLPSKDTETALA >ONIVA10G20290.1 pep chromosome:AWHD00000000:10:20167852:20188283:1 gene:ONIVA10G20290 transcript:ONIVA10G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPQPPSDGNPDDGALARPRRQLSTASAADSLAVFALAKLIDDRATHQADPQLALALAFAICARFQERSITFDSSSYHKLGDDSGAFTTKNDDDFRYIYASILVRFWVKSSVLTPAELEIMELKERSLFTERRKVYGHFNFLVKDSDGTHTLFFAEVDLNCKEEKDVYLCCPLEENDNGHCFGCREWDVALQHPTSADYRGGHKDIRQVFVFRQQLAPSVGSLAKVNAAEEKIDKKEGGSAEPAELEIMELKEYTRFREHGKVYGHYNFVVKDSDGTLTLFFAEVDINCKEEKDVFLCCPLEANDNGQCFGSQRWELELKHPTCAKYLGGHSDVCYLPVGGSYVFPQIPSDGNPDDDAEGALATRPHRRPSTVSSAATSLAVFAVAKLIDRVTSTALVDPRLTLALALALAFCQRIQERSVTVYVTLDSSCRRKHCDFSEGDFAGLQIEDDPTPRLLSRYISDTGLYVTLMATYKSGVFPSSYEILFPEQQEEACKMVEIALHAYAKQKDMPPAKLEIMKVKERSLFEECGKVFVHFNFLVINDSDGTRTLFFAEVDFLNCKEEKDVYLCCPLEENDNVRIYRSSQTGKRFCSQYFLVGLSRAQSSLSRAQRENVPAHFSAPSLLSGEAFSAPSLLGGYTFFLGGGTLFLGGGVLFIGGSSLFPFARHGAWCPDDGRRYAVSAKPQHSGHWLAGDDAIYTDGGAGVGGRSEDEFNKLRDAFVAASKRHMRPLTLPKEMEVLKGKRDVKIAKIALHAYAKQNNIPAAELEFVELKGSSFFHEGRKEYRHYNFLVKCSDGTTTLFFAETCPCCKEDSGIYLCCPLEENDNGKCFGCQKCGVELRHPTFADYFGGHRDICIIFSDIDDEEQAILMDLNSVPGSDSDTSIPKIDDDSGGEYVGLQPLDNRDSTRSSPYKNDQELADAFIAACERYAKPFAEKWRLPEDLEKRKRQDECKMLKIPLRVYAKQKNMPPAELEIMELKEYTLFDEHGKVYAHYNFVVKDSDGTLTLFFAEVIVLGAKVGSWNLGIPLVLNIWVATVMSAFHT >ONIVA10G20280.1 pep chromosome:AWHD00000000:10:20161768:20167019:1 gene:ONIVA10G20280 transcript:ONIVA10G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IW59] MEYGAATRGALLAAAPLAGARRSRLPLSPPPSPPSIQIQNRLYSMSSLPLKARGVRRCEASLASDYTKASEVADLDWENLGFGIVQTDYMYITKCGQDGNFSEGEMIPFGPIALNPSSGVLNYGQGLFEGLKAYRTTDDSILLFRPEENALRMRIGAERMCMPAPSVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDKFHRATPGGTGSVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAVKGTILPGITRKSIIDVALSKGFQVEERLVSVDELLEADEVFCTGTAVVVVEYAGNKGVGVVSQQLYTSLTSLQMGQAEDWLGWTVQLS >ONIVA10G20270.1 pep chromosome:AWHD00000000:10:20137731:20138522:-1 gene:ONIVA10G20270 transcript:ONIVA10G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRQHGSSGELDVFGATRYFAGVATAARPIAVVVVREPEDMIIQVKTTTTTSSDKKTTEEEGHHHAGQLDVVGVAKTTHRSKLAAFLGSLVSPESTSFRKKPPPAASSETTTYNYNDDDNLPKMQVPSSSSTSSGRASIDVAAAAATVHGGGGGRHDDDDLGVDAMWEDRRLQGVRVVRCGRCDEERWVVRCGACCAWEEEEEEHHHGHEKKAILAAAATSTRYGSHQVLAGDREVVGDGACSDRESDSSSDLFELDLEIT >ONIVA10G20260.1 pep chromosome:AWHD00000000:10:20125079:20129477:1 gene:ONIVA10G20260 transcript:ONIVA10G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13680) TAIR;Acc:AT1G13680] MGGTFTAAPALALVLLVALSVAATANVGESCSTAADCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQKGKDWPLVSDMVASNQRLLVFTSIRSKQATEGIAYQWNYMVENNYGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANFLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSRGSGVICSS >ONIVA10G20260.2 pep chromosome:AWHD00000000:10:20125079:20129477:1 gene:ONIVA10G20260 transcript:ONIVA10G20260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13680) TAIR;Acc:AT1G13680] MGGTFTAAPALALVLLVALSVAATANVGESCSTAADCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQKGKDWPLVSDMVASNQRLLVFTSIRSKQATEGIAYQWNYMVENNCKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANFLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSRGSGVICSS >ONIVA10G20260.3 pep chromosome:AWHD00000000:10:20125035:20129477:1 gene:ONIVA10G20260 transcript:ONIVA10G20260.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13680) TAIR;Acc:AT1G13680] MARKDLFVGRGKKMGGTFTAAPALALVLLVALSVAATANVGESCSTAADCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQKDGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANFLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSRGSGVICSS >ONIVA10G20260.4 pep chromosome:AWHD00000000:10:20125035:20128222:1 gene:ONIVA10G20260 transcript:ONIVA10G20260.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13680) TAIR;Acc:AT1G13680] MARKDLFVGRGKKMGGTFTAAPALALVLLVALSVAATANVGESCSTAADCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQKGKDWPLVSDMVASNQRLLVFTSIRSKQATEGIAYQWNYMVENNYGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANFLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSRFSLKTTL >ONIVA10G20260.5 pep chromosome:AWHD00000000:10:20125035:20128222:1 gene:ONIVA10G20260 transcript:ONIVA10G20260.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13680) TAIR;Acc:AT1G13680] MARKDLFVGRGKKMGGTFTAAPALALVLLVALSVAATANVGESCSTAADCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQKGKDWPLVSDMVASNQRLLVFTSIRSKQATEGIAYQWNYMVENNCKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANFLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSRFSLKTTL >ONIVA10G20260.6 pep chromosome:AWHD00000000:10:20125079:20128222:1 gene:ONIVA10G20260 transcript:ONIVA10G20260.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13680) TAIR;Acc:AT1G13680] MGGTFTAAPALALVLLVALSVAATANVGESCSTAADCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQKGKDWPLVSDMVASNQRLLVFTSIRSKQATEGIAYQWNYMVENNYGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANFLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSRFSLKTTL >ONIVA10G20260.7 pep chromosome:AWHD00000000:10:20125079:20128222:1 gene:ONIVA10G20260 transcript:ONIVA10G20260.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13680) TAIR;Acc:AT1G13680] MGGTFTAAPALALVLLVALSVAATANVGESCSTAADCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQKGKDWPLVSDMVASNQRLLVFTSIRSKQATEGIAYQWNYMVENNCKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANFLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKACSRFSLKTTL >ONIVA10G20250.1 pep chromosome:AWHD00000000:10:20118695:20121847:-1 gene:ONIVA10G20250 transcript:ONIVA10G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocase inner membrane subunit 17-1 [Source:Projected from Arabidopsis thaliana (AT1G20350) TAIR;Acc:AT1G20350] MTTSEREPCPDRILDDVGGAFAMGAVGGTAFHFLRGAYNSPNGHRLSGGSQAVRMSVPRTGGNFAAWGGLFSAFDCAMVHARQKEDPWNSILAGAATGAVLSLRQGPRATATSALVGASLLALVEGAGVLLTRTMATLPQEDHAYPFPVVPPHEEEVSAHESSPIAWVRGFFGRKEEKPAAAGGDSKSDVLESFETPSPPIPSFDYKDI >ONIVA10G20240.1 pep chromosome:AWHD00000000:10:20116811:20117886:1 gene:ONIVA10G20240 transcript:ONIVA10G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGLGRALEARRIAGVREEPRLREQDSATWIGRRCGGNVIVDSALVDMYLKCSSPEDARRAPYGPPSSPGTASTGVAEALALFDQMTRVDGLRPNDVTFLAVLLACVHAWLVDQGLRHFSSMSSDYGLTLRSEHYTAAVDMLARVGRLRDAYEPAGLPGALGGPGRAARRWQEARRRESGRARRAALLPAAAGERRQVCRPGEHIYMPRARCSVASAHDAMRSLGIMKDPAWSACTALAAAVTEQSVPPVEMWTVTQFEGLANLSNGGALVMQTSAGGRYSLLVHHSHSVEELREFGLTDDMIEDQKFTRTLSSSVLLNRRK >ONIVA10G20230.1 pep chromosome:AWHD00000000:10:20112914:20115049:-1 gene:ONIVA10G20230 transcript:ONIVA10G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHAPSAQIPFPDHIVHEAGTGFALGSPFHFFKGLRGSPRGHRLAGAAGRFAAFCVPECGMAYARGGKEDSWNFIFAGAATSGFLRLRQGALTAGREALWSGLFFAFMEVASLAMHRALDDLPPADGRRGLAARAPVGRPRHLPASPGFPGQPIVVKEVAVADDADDSGFSDGWFAEERHASQAQLSLLRAPIMASPSPPRPDERDTDLPLPRPPWKPYPAFVLDDAGGGFLIGGGVGSAYHAARGLLGSPSGRRLAGAARAVRANAPRISATWGARCGLYGAFKCALSLPRATDGDPVVSVLAAAAAGAAHCLRRGPIAVGRGALVGAASMAIIERADAALENLRSWVHYQRRLLPEEDIDGGGGSDPKPDDELPIGFLGVPPKPVVIFII >ONIVA10G20220.1 pep chromosome:AWHD00000000:10:20107734:20108153:-1 gene:ONIVA10G20220 transcript:ONIVA10G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEWGAPYPDCVLDNAGAGFVGGAAAGTLAHLFTGLRDSPCGRHLAGAAQAVRDGAPRVATRWAARLAVYSAACHALSWATDRHDDPLVSVAAGAATGAVARFRHGPLAVGRAALVGAATLAAVELMIRDSVEEHDDD >ONIVA10G20210.1 pep chromosome:AWHD00000000:10:20102354:20103963:-1 gene:ONIVA10G20210 transcript:ONIVA10G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRCSLLALLLAVTCSVAVAYDPLDPKGNITIKWDVISWTPDGYVAMVTMSNYQMYRQILAPGWTVGWSWAKKEVIWSIVGAQATEQGDCSKFKGGIPHSCKRTPAIVDLLPGVPYNQQIANCCKAGVVSAYGQDPAGSVSAFQVSVGLAGTTNKTVKLPTNFTLAGPGPGYTCGPATIVPSTVYLTPDRRRRTQALMTWTVTCTYSQQLASRYPTCCVSFSSFYNSTIVPCARCACGCGHDGYRGNGGGGKNARAGDGRSRRNSGHGGGGHSGGTECIMGDSKRALSAGVNTPRKDGAPLLQCTSHMCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLNNVTEVFSFQYKPLLPYGNINDTGMFYGLKFYNDLLMEAGPFGNVQSEVLMRKDYNTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNSAPIGPPRSVAAAASAILVVLLLVA >ONIVA10G20200.1 pep chromosome:AWHD00000000:10:20098226:20104184:1 gene:ONIVA10G20200 transcript:ONIVA10G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLGAAAIALLAGVSSLLLMVPFAEAYDPLDPNGNITIKWDITQWTPDGYVAVVTIYNFQKYRHIQAPGWSLGWAWAKKEIIWSMAGGQATEQGDCSAFKANIPHCCKRDPRVVDLVPGAPYNMQFGNCCKGGVLTSWVQDPLNAVASFQITVGHSGTSNKTVKAPKNFTLKAPGPGYSCGLAQEVKPPTRFISLDGRRTTQAHVTWNVTCTYSQFVAQRAPTCCVSLSSFYNETIVNCPKCACGCQNKKPGSCVEGNSPYLASVVNGPGKGSLTPLVQCTPHMCPIRVHWHVKLNYRDYWRVKVTITNWNYRMNYSQWNLVVQHPNFENVSTVFSFNYKSLNPYGVINDTAMMWGVKYYNDLLMVAGPDGNVQSELLFRKDRSTFTFDKGWAFPRRIYFNGESCVMPSPDLYPWLPPSSTPRFRTVFLLMSFLVCGTLAFLHNHLVLDKNCGKC >ONIVA10G20190.1 pep chromosome:AWHD00000000:10:20092401:20094590:1 gene:ONIVA10G20190 transcript:ONIVA10G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDDRVINLAGGRLEEENLLGTKTREMDKINIPSRTEESKKRRPDVFQRAFPPAGRAGARGTAAAPPPAAKPHGARRGHLRLRAACAVRRREDIN >ONIVA10G20180.1 pep chromosome:AWHD00000000:10:20076472:20079074:-1 gene:ONIVA10G20180 transcript:ONIVA10G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDDLGLVLRHRRPRHRVRQPGLGEDPRARRRHFPRRPRCARRRPHSLGTTISWPQQRSIYGENARDGTPTNPCAPGSVPGGSSSGSAVAVAANLANPGVVLRAMLAKKEELAAAGNIGSDPYKRRRIGADIGHGGVGTGGDMAEMAQSRGNPDLKGAMGSRGTRRQSHWRSWRRASGREGGAAVEGGGCAHSEIIEQTEPGNMLKNGGFEEGPYIFPNTSWGGTNWGVLVPPMDEDDHTPLSPWTILSTTKSVKYIDAAHYAVPGGARAVELVSGMETAMVQEVSTVPGRSYRLEFSVGDAGDGCSGSLTVQARAAVCRGEDRCRDHRHGSPLRRRPHGHRRGVGRGGGHGQPHRRRHHPSPPQQVGVPPRRRRRRLPRRLHRLRLLLQRRPFRHPVLQGPVAGVVGEADTEVAAAQEVAVEVAGHPVVASSRRMARQGEAGHTVDPAAEEEEDAGHRAAAGSGRGGGGAEEDADDHHARRPPRARKEK >ONIVA10G20170.1 pep chromosome:AWHD00000000:10:20070668:20075744:1 gene:ONIVA10G20170 transcript:ONIVA10G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWNNSWHSSSTENRTMEREIVASYEPKKNNEIRMFESSDEMATDLAEYISQVSEISIKERGYFAIALSGGPLISFMRKLCEAPYNKTLDWSKWYIFWADERAVAKNHVDSYYKSTKEDFLSKVPILNGHVYSINDNVTVEDAATDYEFVIRQLVKIRTVGVSESNDCPKFDLILLSIGSDGHVASLFPNHPALELKDDWVTYITDSPVPPPERITFTLPVINSASNIAVVATGEDKAKAVYFAISDGAEGPDAPSIPARMVQPTDGKLVWFLDKASASFLEAKTKNDGYEHPKY >ONIVA10G20170.2 pep chromosome:AWHD00000000:10:20070663:20072840:1 gene:ONIVA10G20170 transcript:ONIVA10G20170.2 gene_biotype:protein_coding transcript_biotype:protein_coding KKKKEKKRTLENIRSIDNQRKQGERERRGLIITHESEGSVDRDKDQLRTRTYVLRCISLQLDPPEFTQFFNPSHPADARRLCSALLLGVWRDREKGEGYSFWRKNNGSKSLPLGGFGIKIASGAAAARAKNQGV >ONIVA10G20170.3 pep chromosome:AWHD00000000:10:20071378:20075744:1 gene:ONIVA10G20170 transcript:ONIVA10G20170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWNNSWHSSSTENRTMEREIVASYEPKKNNEIRMFESSDEMATDLAEYISQVSEISIKERGYFAIALSGGPLISFMRKLCEAPYNKTLDWSKWYIFWADERAVAKNHVDSYYKSTKEDFLSKVPILNGHVYSINDNVTVEDAATDYEFVIRQLVKIRTVGVSESNDCPKFDLILLSIGSDGHVASLFPNHPALELKDDWVTYITDSPVPPPERITFTLPVINSASNIAVVATGEDKAKAVYFAISDGAEGPDAPSIPARMVQPTDGKLVWFLDKASASFLEAKTKNDGYEHPKY >ONIVA10G20170.4 pep chromosome:AWHD00000000:10:20070663:20073240:1 gene:ONIVA10G20170 transcript:ONIVA10G20170.4 gene_biotype:protein_coding transcript_biotype:protein_coding KKKKEKKRTLENIRSIDNQRKQGERERRGLIITHESEGSVDRDKDQLRTRTYVLRCISLQLDPPEFTQFFNPSHPADARRLCSALLLGVWRDREKGEGYSFWRKNNGSKSLPLGGFGIKIALE >ONIVA10G20160.1 pep chromosome:AWHD00000000:10:20055399:20056222:1 gene:ONIVA10G20160 transcript:ONIVA10G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTQGTRWYLGIRPWYQGIKPDTYGVSEGTIKKRRRGGESAATAEDGVKEARRGEGRVPVGTWYLVPLVPTRYRPIPDVRYLRYRVVSNLGTKVSSLILVGYQMVLSKKSRRCGGGRAATADDGVEEARRDEGRGPSQRAREVSRRRLTAIPVAGRRGLPVSIPEAQKWQAAAGMTVTPRPRARTRGAPRRTPDRRGQRARAAQQGEASSRATPPRVGRPRRRSAVVVLLGRRKRRR >ONIVA10G20150.1 pep chromosome:AWHD00000000:10:20043543:20045873:-1 gene:ONIVA10G20150 transcript:ONIVA10G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIWKDFVNEYVAKRHIPCMSGPHVDELMWGLKVTKLIIEKAGVVFECDRCVDDHHDSLRGAAEHIKKISCIDTQSWDLLKLAAAFKVICCPKEKVELGEHVTITFCECVRILMENSHSTKAEFSSKIFLQTSNFSITLKFFYTHKLPTFLSHRSNFNQTFNFGVN >ONIVA10G20130.1 pep chromosome:AWHD00000000:10:20025279:20025740:-1 gene:ONIVA10G20130 transcript:ONIVA10G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIAACSASAQFDVLGQSYRQYQLQSPLLLQQQVLSPYNEFVRQQYGIAASPLLQSATFQLRNNQVWQQLRLVAQQSHYQDIKIVQAIAQQLQLQQFDDLYFDRNLAQAQAQALLALNLPSRYCIYPRYYSAPSSITTLGSVLY >ONIVA10G20110.1 pep chromosome:AWHD00000000:10:20000362:20013270:-1 gene:ONIVA10G20110 transcript:ONIVA10G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIAASSASAQFDVLGQSMASMDDVDDEDFSPHQATTKESMNSKDDVKVQPSSRQTANKGNYYMAT >ONIVA10G20100.1 pep chromosome:AWHD00000000:10:19998398:20000353:-1 gene:ONIVA10G20100 transcript:ONIVA10G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFQFICDGCQRVESSMEEYKSKKVEKGQGEKRKVGEGWAAAAAKLAPLPSHLLNPNLQTRLANIAPSTWTAPDKVHRSIVSKV >ONIVA10G20090.1 pep chromosome:AWHD00000000:10:19992502:19997967:-1 gene:ONIVA10G20090 transcript:ONIVA10G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGGLSLVRRAVEAARRTPRWQKRLLVLTAGVGSLTYACQDNQVLQINDGTGKKRVVIVGTGWAGASFLRNIDTSLYDVHVVSPRNYFTFTPLLPSVTCGTVEARSIVEPIRNIVRKRGGAFRFWEAECYKIDPTNKKIHCRSDSDGNSEFVVDYDYLVVSVGARPNTFNTPGVVENCHFLKEVEDAQKIRKSVLKCFERASLPNLTEEERKKNLHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYANISVIEAGDHILTMFDKRITQFAEDKFKRTGIDLKTNFKVVKVSDKAITMTNSATGEIAVPYGMAVWSTGIGTRPLIMDFMKQVGQANRRVLATDEWLRVHGCDDVYALGDCATITQRKVMEDIASIFRVADKDNSGSLTVKKIKNVLGDIYERYPQVELYLKTNQMKDFHDLLKDSDGNAIKESKELNIEEFKKALARVDSQVKMLPATAQVASQEGAYLANCFNKMKYCEENPEGPLRIRGTGRHRFKPFRYRHLGQFAPLGGEQTAAQLPGDWIHVGHSTQWLWYSVYASKQFSWRTRMLVVSDWGRRFIYGRDSSSL >ONIVA10G20080.1 pep chromosome:AWHD00000000:10:19981808:19985716:-1 gene:ONIVA10G20080 transcript:ONIVA10G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERVVARGRGRRGAGKEVVATAPGRDWRWLTTVEVRERVRRGGDPLMPGLGSGAGKGQQGLSGLAARGRGRQGTDPVPTSLGGGTSARPKISFQMSYGRTSLPSQRVTTTHKFGLRLGKLGAVGRRKTLDGLTAAESKLLQDRLFKLASYEKVGINEPQKPGEIYSYDKRVPYIHAKSNLPVSHAVMVIGDGRHREPMASAANDREHVMIQNSEGKRFGIDGLGRVDKLSFRGLYQIILPD >ONIVA10G20070.1 pep chromosome:AWHD00000000:10:19972209:19976105:-1 gene:ONIVA10G20070 transcript:ONIVA10G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGDGGGGGPATGARAGRVRVPDRRRPALRESRFSVLPSLEDDSAEECGVGDHPELEEYMDDLVRPGSAAFPCDRKWRQGRAEFARRHGHTVAGARTLIRQSVLYSDRPKRSQGRRGRVKPQTESLAEKDASEVSNSELGATTTDMPKFKTLSLKDSPSALDSADRAMVSTGSMDSDLPDSATTELPLVEEALSPRSESIRKINLYLAEHTFDDLREGFAAMLNGFRDPPEDAAQPNAELPEASKPCPRELDAEPFQDLESLSPAAEDVARHNMSTEEIVQNGKRWMSEEVMLAFEKYIEGRDEFRDVVYRLDELQHQCFSVDAYQKVFHHYNFTVKMKKPTLEDWLLTCYFAEVKQIYGKKSYLCRPLKPRDNGYCHGCVNQGMVALKHPDNDEVEFEVGYFNTGCPFIYLRDDDSDDHEWVPLGESIDEIFDSVFG >ONIVA10G20060.1 pep chromosome:AWHD00000000:10:19928748:19931096:-1 gene:ONIVA10G20060 transcript:ONIVA10G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGTLISIYPEDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEYPPDMQCKDKFLIQSTKVAASTDMDEIPPNTFNKEVDKVIEEMKLKVVYTVPSGSSDDSGITSLGSRSFKLGSDDLTMLKNASIEEIQTIQRLKDERDTTLQQNQQMQRELDVIRRRRSRKSDAGFSLTFAAFAGLIGVLIGLLMSLIFPPPQAAA >ONIVA10G20050.1 pep chromosome:AWHD00000000:10:19924480:19927777:-1 gene:ONIVA10G20050 transcript:ONIVA10G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acid-amino acid ligases;ligases;ATP binding;ATP binding;ligases [Source:Projected from Arabidopsis thaliana (AT1G63680) TAIR;Acc:AT1G63680] MATAPLAFHLPFPFPSASRPPPRLLPPSRRPPAARLAATRRFRPPTADDEPPEAAEDSSHGLNRYDQLTRHVERARRRQQAEQPEITPDHPLFSSPPSSGEAGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPSAPAPSQPEEEDGLADELSPEEVIDLDEIRRLQGLSVVSLADEEDEEANGGGGGVDYGDDGVPLDDDGEVFDVADEVGLEGARVRYPAFRVTLAELLDESKLVPVAVTGDQDVALAGVQRDASLVAAGDLYVCVGEEGLAGLTEADKRGAVAVVADQTVDIEGTLACRALVIVDDITAALRMLPACLYRRPSKDMAVIGVAGTDGVTTTAHLVRAMYEAMGVRTGMVGVLGAYAFGNNKLDAQPDASGDPIAVQRLMATMLYNGAEAALLEATTDGMPSSDVDSEIDYDIAVLTNVRHAGDEAGMTYEEYMNSMASLFSRMVDPERHRKVVNIDDPSAPFFAAQGGQDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILASVAVGVAVSAPLEDIVKGIEEVDAIPGRCELIDEEQAFGVIVDHARTPESLSRLLDGVRELGPRRIVTVIGCCGERERGKRPVMTKVAAEKSDVVMLTSDNPANEDPLDILDDMLAGVGWTMEEYLKHGTNDYYPPLPNGHRIFLHDIRRVAVRAAVAMGEQGDVVEFFDDREECREALQYVDQLHRAGIDTSEFPWRLPESH >ONIVA10G20040.1 pep chromosome:AWHD00000000:10:19915448:19923546:-1 gene:ONIVA10G20040 transcript:ONIVA10G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATRAAAAVEKVFVALPAEKGKTTLSWALGHFRGSGAKLVVTHVHVPPQTIPVMGVQFHVSNVSPEQVSLFRRTERERVNKLLDEYVHQCWKMKVKCEKLVIEKEDVVAGLLELIASHGITNLVIAAAADKHYSRKMDKPKSKIATEIMQRADASCQIWDKKVETTQSVTPLSQLFKIDTPPSPDIGQTILQPSAEQEQNDNEMELGFSDELNDARVAAENLMERALSESLRRQRADEQVVSSLQKVKQFEELYLEEVKRRKELEGALVKANLELTRLKQEMDIPRNHQSTILGDRQEVITDKFILRQQTVDMKSDFGATGQLIKPQQEYLQLHPDHDNGVRQPETLLHRRSLTAFSPASTVPSQFDKDSIPSHFICPISQEIMREPCIAADGFTYEAEAIINWFDEGHEVSPMTKQPLVHRDLIPNFALRSLGFCSLSRGMESGGVDGERPLLREAGDLGGENGGAGGVPEEGDAKGDVMAAAAAQGSDGGEGVEAVDGDGSNEEEEVVQTPDVKQTPGAAAAAAWREAAWEEADGEEFVDVLEGDVDEKREDGSALENGEDLVASRSLAVEGDEEGYGSCADIGIEEDAEGDASRERLEEEAMTESIREYVTEAVLADHIQEQPDKSKSESEHVKVAQHKSQIAKSREEGPKQSGGEPSVVVEELNGSSSSDDESKATSAPPARSISGAAGRSNGPSLPSRPAGLGASTSLSQPSARPVLYRLGLAEQLRRNTGQGVFSFDRAQDMAERLEAAGNEPLDFSCTILVLGKTGVGKSATINSIFDDVRLETNAFDTSTRKVQEVVGAVEGIKVKVIDTPGLSCSSSDQHHNQKILNSVKRLISRNPPDIVLYFDRLDMQTRDYGDVPLLQTITRVFGASIWFNAIVVLTHAASAPPDGLNGIPLSYEMFVTQRSHVVQQAIRQAAGDVRLMNPVSLVENHSACRTNRAGQRVLPNGHVWKPQLLLLCFASKVLAEANALLKLQDNPAGKPRMRIPPLPFLLSSLLQSRAPLKLPEEQFGDDDDIEDDLADDSDSDDGSDYDDLPPFKRLTKAQLAKLNHAQRKAYLEELDYREKLFYKKQLKEERMRCKIMKKMAAEASARTDDFSNSNLEDDGSAPTNVAVPMPDMVLPSSFDSDHPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSVSGQLSKDKKDCSLQMEVASSLKHGEGKTTSLGLDLQSVGKDMAYTLRGESRFKNFRRNNTAAGISATLLGDSVSAGVKVEDKLVVNKQLRVLVSGGAMSGRGDVAYGGRLEATLKDKDYPIGRMLSTIALSVVDWHGDLAVGCNIQSQIPAGRASNLIGHANLSNKGTGQVGIRLNSSEHLEIALIALVPIYQNIKKLLQS >ONIVA10G20040.2 pep chromosome:AWHD00000000:10:19920968:19923546:-1 gene:ONIVA10G20040 transcript:ONIVA10G20040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATRAAAAVEKVFVALPAEKGKTTLSWALGHFRGSGAKLVVTHVHVPPQTIPVMGVQFHVSNVSPEQVSLFRRTERERVNKLLDEYVHQCWKMKVKCEKLVIEKEDVVAGLLELIASHGITNLVIAAAADKHYSRKMDKPKSKIATEIMQRADASCQIWDKKVETTQSVTPLSQLFKIDTPPSPDIGQTILQPSAEQEQNDNEMELGFSDELNDARVAAENLMERALSESLRRQRADEQVVSSLQKVKQFEELYLEEVKRRKELEGALVKANLELTRLKQEMDIPRNHQSTILGDRQEVITDKFILRQQTVDMKSDFGATGQLIKPQQEYLQLHPDHDNGVRQPETLLHRRSLTAFSPASTVPSQFDKDSIPSHFICPISQEIMREPCIAADGFTYEAEAIINWFDEGHEVSPMTKQPLVHRDLIPNFALRSVIQDYTRRKQYSFS >ONIVA10G20040.3 pep chromosome:AWHD00000000:10:19920968:19923546:-1 gene:ONIVA10G20040 transcript:ONIVA10G20040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATRAAAAVEKVFVALPAEKGKTTLSWALGHFRGSGAKLVVTHVHVPPQTIPVMGVQFHVSNVSPEQVKCEKLVIEKEDVVAGLLELIASHGITNLVIAAAADKHYSRKMDKPKSKIATEIMQRADASCQIWDKKVETTQSVTPLSQLFKIDTPPSPDIGQTILQPSAEQEQNDNEMELGFSDELNDARVAAENLMERALSESLRRQRADEQVVSSLQKVKQFEELYLEEVKRRKELEGALVKANLELTRLKQEMDIPRNHQSTILGDRQEVITDKFILRQQTVDMKSDFGATGQLIKPQQEYLQLHPDHDNGVRQPETLLHRRSLTAFSPASTVPSQFDKDSIPSHFICPISQEIMREPCIAADGFTYEAEAIINWFDEGHEVSPMTKQPLVHRDLIPNFALRSVIQDYTRRKQYSFS >ONIVA10G20040.4 pep chromosome:AWHD00000000:10:19920968:19923546:-1 gene:ONIVA10G20040 transcript:ONIVA10G20040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATRAAAAVEKVFVALPAEKGKTTLSWALGHFRGSGAKLVVTHVHVPPQTIPVMGVQFHVSNVSPEQVKCEKLVIEKEDVVAGLLELIASHGITNLVIAAAADKHYSRISDSVLHDRDKKVETTQSVTPLSQLFKIDTPPSPDIGQTILQPSAEQEQNDNEMELGFSDELNDARVAAENLMERALSESLRRQRADEQVVSSLQKVKQFEELYLEEVKRRKELEGALVKANLELTRLKQEMDIPRNHQSTILGDRQEVITDKFILRQQTVDMKSDFGATGQLIKPQQEYLQLHPDHDNGVRQPETLLHRRSLTAFSPASTVPSQFDKDSIPSHFICPISQEIMREPCIAADGFTYEAEAIINWFDEGHEVSPMTKQPLVHRDLIPNFALRSVIQDYTRRKQYSFS >ONIVA10G20040.5 pep chromosome:AWHD00000000:10:19915448:19919081:-1 gene:ONIVA10G20040 transcript:ONIVA10G20040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARFCSLSRGMESGGVDGERPLLREAGDLGGENGGAGGVPEEGDAKGDVMAAAAAQGSDGGEGVEAVDGDGSNEEEEVVQTPDVKQTPGAAAAAAWREAAWEEADGEEFVDVLEGDVDEKREDGSALENGEDLVASRSLAVEGDEEGYGSCADIGIEEDAEGDASRERLEEEAMTESIREYVTEAVLADHIQEQPDKSKSESEHVKVAQHKSQIAKSREEGPKQSGGEPSVVVEELNGSSSSDDESKATSAPPARSISGAAGRSNGPSLPSRPAGLGASTSLSQPSARPVLYRLGLAEQLRRNTGQGVFSFDRAQDMAERLEAAGNEPLDFSCTILVLGKTGVGKSATINSIFDDVRLETNAFDTSTRKVQEVVGAVEGIKVKVIDTPGLSCSSSDQHHNQKILNSVKRLISRNPPDIVLYFDRLDMQTRDYGDVPLLQTITRVFGASIWFNAIVVLTHAASAPPDGLNGIPLSYEMFVTQRSHVVQQAIRQAAGDVRLMNPVSLVENHSACRTNRAGQRVLPNGHVWKPQLLLLCFASKVLAEANALLKLQDNPAGKPRMRIPPLPFLLSSLLQSRAPLKLPEEQFGDDDDIEDDLADDSDSDDGSDYDDLPPFKRLTKAQLAKLNHAQRKAYLEELDYREKLFYKKQLKEERMRCKIMKKMAAEASARTDDFSNSNLEDDGSAPTNVAVPMPDMVLPSSFDSDHPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSVSGQLSKDKKDCSLQMEVASSLKHGEGKTTSLGLDLQSVGKDMAYTLRGESRFKNFRRNNTAAGISATLLGDSVSAGVKVEDKLVVNKQLRVLVSGGAMSGRGDVAYGGRLEATLKDKDYPIGRMLSTIALSVVDWHGDLAVGCNIQSQIPAGRASNLIGHANLSNKGTGQVGIRLNSSEHLEIALIALVPIYQNIKKLLQS >ONIVA10G20030.1 pep chromosome:AWHD00000000:10:19909460:19914253:-1 gene:ONIVA10G20030 transcript:ONIVA10G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGDADAWETASARSGVSSSSSGRATAAAAAPAPENKVFVALPAQHKSGRSTLAWALRHVAELAPAAIGGGGEVVVVAAHVHSPAQMIPMSMGGKFHASKLRPEQVSTYRKYEREQVEKNLDEYLEQCTKMKVKCEKIVIENEDIANGITELILLHGVSKLVMGAAADKQYSRKMKLPKSKTALSVTVKANPSCKIWFVCKEHLIYTRDFVAPISPNSQSPDTIRGSISNLAARGGTTNQYANNAVNGYVQRSMSEMVVPASSRVSLQLHSRSSLQESLSRLNMEGTSVDSRDSFRRGSFPSSYRASSTVTEEVLSDSSSSGIPRDGISTLAGCDFPNAALHHEQGDAGSNANLFDKLEEAFAEAEKYRKQAYDESLRRQKTEEELISYHQKARKSEDLFLNEAKQRKEVEETLAKANVEIQLLKEEMDALKHNRDDLSSKLSKVSEQKVTLEQQAVEYGSIIIDLKDTVAASQALIDSMQMEFEQLKHERDNALKHAEELHREKQNMVSSSDLEWSTEFSLLELQQATQNFSDAMKIGEGGFGCVYRGQLRNTTVAIKMLRSQNLQGQSQFQQEVAVLSRVRHPNLVTLVGYCSEASGLVYEFLPNGSLEDHLACESNTSPLTWQIRTRIIGEICSALIFLHSDKPHAVIHGDLKPANILLDANLVSKLGDFGISRLLNRSSTVSTSFYQTTNPRGTFAYMDPEFLTTGELTARSDIYSFGIIILRLVTGKPALGIAREVEVALDKGELELLVDRSAGDWPFVQAEKLMLLGLQCAELSRRKRPDRMNHVWSVVEPLVKSASLPVEPESIGHWVNKNRTPFYFICPISQEVMRDPHIAADGFSYEEEAIKGWLGSGHNTSPMTKSTLEHLQLIPNLALRSAIEEFMQQKQQQIPS >ONIVA10G20020.1 pep chromosome:AWHD00000000:10:19906410:19908475:1 gene:ONIVA10G20020 transcript:ONIVA10G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTTNIVLAVAVVAALVGGGSCGPPKVPPGPNITTNYNAPWLPARATWYGQSYGSGPADNGGACGIKNVNLPPYNGMISCGNVPIFKDGRGCGSCYEVKCEQPAACSKQPVTVFITDMNYEPISAYHFDFSGKAFGAMACPGKETELRKAGIIDMQFRRVRCKYPGGQKVTFHVEKGSNPNYLAVLVKFVADDGDVIQMDLQEAGLPAWRPMKLSWGAIWRMDTATPLKAPFSIRVTTESGKSLIAKDVIPVNWMPDAIYVSNVQFY >ONIVA10G20010.1 pep chromosome:AWHD00000000:10:19899434:19899916:1 gene:ONIVA10G20010 transcript:ONIVA10G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED32; Has 41 Blast hits to 40 proteins in 14 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 41; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G11760) TAIR;Acc:AT1G11760] MEATVDELSEAYQEFVAAAAAVVEARGQSGGEKNAATDAALEAFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGASSSSSAALAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGGASAAGPGGGGGAAAAASGVAGQHGHGGVDTRFPEDGAQ >ONIVA10G20000.1 pep chromosome:AWHD00000000:10:19885570:19898695:-1 gene:ONIVA10G20000 transcript:ONIVA10G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKDVRKVKAEKEVFFFTKIDEGLLHLIEIYGVTKLVMGAASDRHYKSFTLSVLIDCDKNMTIFVAQKNESTTITNSNKCDAKSTFILQYMVYLQREANMCQAKRLGCMYINEMELRKETEAKLSQEKEESESLKHATMVLQNDLDWLKYQLNEKANRLQDLNQQKHLLEHRISESDSVATYLEESMKVTESRVQSLKLEYSKMKRERDDAVKEARSMRIEKELTNSCAYGAISSEFSLMELEQATQNFSNALNIGQGGFGSVYKGSLRNTTVAIKMLSTDSLHGQSQFHQEVAILSRVRHPNLVTLIGACTEASALVYELLPNGSLEDRLNCVDNTPPLTWQVRIQIITEICSALIFLHKHRPHPVVHGDLKPGNILLDANLQSKLSDFGISRLLLESSVTGSDAHYTSRPMGTPAYMDPEFFATGELTPQSDTYSFGVTIMRLLTGRAPLRLIRTVREALNDDDLQSVLDHSAGDWPLVHVEQLAHIALQCTELSKQRRPDLEHDVWEVIEPMKKEAHSPLSQSFRSICSAIETATPSYFLCPISQVLQVRKVTMRDPQMAADGFTYEADAIRDWLDKGHDRSPAAEANLRKQLEQTLAGEPSSPLHHYNLGVFLWDRAEAAAREEGEEEEEVRRLRAAAAERFLAAAKLDPNDGVPFRFLGHHYALAGDAQRAAKCYQRAATLNPDDAEAGEAVCDLLDLEGKESLEIALCKEAAGKSPRAFWAFRRLGYLQALGLAYHRLGMFTAAVKSYGRAVELDGSKVFALIESGNIQLMLGYFRKGVEQFRSALEMAPQNHSAYFGLASALLAWARQCVMTGAFGWAASLLKLALARCFPWDDGNIKGGMDDGTFRTTVLEWRNTCLLAANGAKFSYQRALHLTPWEANIHNDTAICLDLIYTIEENNSLDPITWELPEKMSLGGLILEPVNKDFWVTLGSVSSNQALKQHSFIRALHLDMSLSEAWAYLGKLPEFQIGLGTIAARSGELLSPQVLMAVRQAVQRAPHYPESHNINGLVSEVRSDFQSAIASYRQAKFALDMMRNSKTDCRCHIADISVNLARSLCKIYALSLWKLGRHDEALSVSRNLAENLSSMKQESATAALGFICTLTYNISGKDSAAAVIHKLPGQLNYSTQLKFIISALDALQPNKRFQLPQLSMPPRLTSYEVMSEVHSNIALGNAIGGESDKFLRVYGGLSYLKKVLHMYPDCSLVRNQLGSLLLSSEDWMASHKAVRVTSLSRGYTSNRGLRSPHQIQACAAVSCYATCTSYPKFSFPTCEDQYLSGYNAICRLQRWVHLEPWNQDARRLLVLTLFQKAREEKYPKHICTILKRLILQVLSSGSNSQDNKVVQYGNYLLLLVASEVSLQSGDHGNCIAQATEALGVTSSSVDSFFAHLQLCRAYVMQGNLLNSRSEYMKCLQNRTDTEIGWVILKQLASICSLEGTPDEIEIHLRGCVERKGSNASKWMSLFYLACAQCSVWNEDFASAEKAIAQACAEGDPDSCVLFLNGAICMDIAWRFAAPQFIARAASSLRKAQQKSLASLPIVSLLLAQAEGSLGSKAKWEKNLRLEWFSWPPELRPAELYFQMHLLATQSSAATSQQNQLVETMQTPEKWLLRAIHLNPSCSRYWTALMQLVYV >ONIVA10G19990.1 pep chromosome:AWHD00000000:10:19883899:19884732:-1 gene:ONIVA10G19990 transcript:ONIVA10G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTCGGGITLPSTGAPLPPLHPTPTSPTARPHHHYYLFSIKQLNSFGAAAMLAFSTTVPLSDIAFALLVIPYLVVLSVLAFPQRPGKPNPGAPVFLGRGRFLLRAHDALGFLVGAALPALYILDGLRSGDTAGVAAASPHAFLLAAQIFTEGLAAAWPGRFSLPVRAAVVVMYGARRMFAASEWLRQEMEKRDQFGGGVGGAPAVARRRVVAGRVLAVANLAYWGINLFAFLLPFYLPKALNRYYCGSDSKEDDATAAAGAIDADDAKKKDS >ONIVA10G19980.1 pep chromosome:AWHD00000000:10:19878081:19883022:-1 gene:ONIVA10G19980 transcript:ONIVA10G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVMLLEMVKPLKSPIGIGTLGINLERLHRLQLLLQTAASVTRNIFLRRYQKFLLPHRVHDCDLLGRAHVELDVVADILLSRKPAPIGLVPVCSAVSASQVANPISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLAAVVTGASSGIGLETTRVLALRGVRVVLAVRNVAAGHKAREAIRAEIHGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTGVEGRIINVSSSGHILTYPEGICFDSVKDLSRFSTYIAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANAVVNTIGRIVCKTVEQGAATTCYVALHPQVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSAAKLISEKTRARRLKKARHTSRRFPPFGSTTRTVSGLSFPAMPMATTKGARDGREGDVGTWKSTAPSTARRSGGRRRRRAAVPGSGFRAYDPPDPLCCLSVPARNEIPLRQR >ONIVA10G19980.2 pep chromosome:AWHD00000000:10:19877551:19883022:-1 gene:ONIVA10G19980 transcript:ONIVA10G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVMLLEMVKPLKSPIGIGTLGINLERLHRLQLLLQTAASVTRNIFLRRYQKFLLPHRVHDCDLLGRAHVELDVVADILLSRKPAPIGLVPVCSAVSASQVANPISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLAAVVTGASSGIGLETTRVLALRGVRVVLAVRNVAAGHKAREAIRAEIHGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTGVEGRIINVSSSGHILTYPEGICFDSVKDLSRFSTYIAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANAVVNTIGRIVCKTVEQGAATTCYVALHPQVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSAAKLISEKTRARRLKKARHTSRRFPPFGSTTRTVSGLSFPAMPMATTKGARDGREGDVGTWKSTAPSTARRSGGRRRRRAAVPGSGFRAYDPPDPLCCLSVPARVTS >ONIVA10G19970.1 pep chromosome:AWHD00000000:10:19874904:19877402:-1 gene:ONIVA10G19970 transcript:ONIVA10G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWFNRKGPSGFSGASTAEEVTAGVDARGLVAVITGASSGIGLETARVMALRGVRVVMAVRNVAAGHRASEAIRAEIPGAGIHVLEMDLSSMDSVRRFATEFEALNLPLNILINNAGIMTRNCTRSIDGLELQFATNHIGHFLLTNLLLENMKRTSSETGVEGRIVNVSSSAHFVTYPKGICFDKVKEPSRFISLIAYGQSKLANILHSTELSRVLKNNRETVEYEQEDGVNISANAVHPGVVTTNLFRHRTIINALVKSIGRFVHKTVEQVWNILCVSNLMVLLYRVLQRHVMWHYILNSPG >ONIVA10G19960.1 pep chromosome:AWHD00000000:10:19862637:19874610:-1 gene:ONIVA10G19960 transcript:ONIVA10G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLWLWPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVLALRGVHVVMAVRNVAAGRNASEAIRAEILGAIVHVLEMDLSSMDSVRSNNAGIKAWGCTRSVDGLELHFATNYIGHFLLTNLLMENMKSTSSESGVEGRIVNVSFSGIFAYPQSKLASILHSTELARILKGEGDGVNISANAINPGVVETKMLTHNNIANALVFPIGRIGAATTCYVALHPQVKGISGKYFSNCNLDSPSSHDSNAELAKKLWEFSSKH >ONIVA10G19950.1 pep chromosome:AWHD00000000:10:19856430:19859082:1 gene:ONIVA10G19950 transcript:ONIVA10G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVRPGWEYLSPRGVASLPHSHRHHHLPLQDASCIVVREREAAMALASLPKVVMGSVAFGVFWMLAVFPSVPFLPIGRTAGALLGAVLMIVFHVISADDAYASIDLPILGLLFATMVVGGYLKNAGMFRHLGRLLAWRSQGGRDLMCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKISFISFLLGILPAMLAGMGINMLMLLCMYWKELDGGACSPDEVAAGKQMEAIEEGRRTALNNNKKDDGDAATPASPEDDDGGDAESMMSENISTKHRWFLQCSEHRRKLFLKSFAYVVTVGMLVAYMLGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNVMAPYSKINHVTGVTVLSVIILLLSNLASNVPTVLLMGDEVAAAAATISPAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRATRNAYDLTFWNHVIFGLPSTLVVTAIGIPLIGKINI >ONIVA10G19940.1 pep chromosome:AWHD00000000:10:19846482:19847210:-1 gene:ONIVA10G19940 transcript:ONIVA10G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRRGIAEGGHATRAAVVRCIVAAILAAIVVAGLVALVFWLVVRPKPIEYTVTSAAVRHLNVTPRDRGPGCSGPTVNATFYLNVTPRDRRPGCSGPTVNATFYLALAIDNPNRRVSMRYEDSVALRVLYGGSELELAAGYVVPGFHQPHRNETTLPVRAVARSAPFPVPVPDLVAWELEHDLAAGELSVDVEVTTGVRFIVGGVASRYYQVNVTCSPVNIGLSPSAARSFNSVPCDVEIS >ONIVA10G19920.1 pep chromosome:AWHD00000000:10:19826948:19838886:-1 gene:ONIVA10G19920 transcript:ONIVA10G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELDRRGSSDRLRERVKFRFSGFRAVQVPVVSDRLMLSIVAVDTGKTIAKSTKAAALSGACQWPDSILESIWFSQDQVSEEFQECQCRFVVSMGSTNSGILGEVFLNLTNYLSSLESTAISLPLKRCDSGTILQLNIQCLGAKSKTSRTNDDTECTSDGFDSMLNRTTHSLSGNDLGGSYQDEAGNRDASLSASRSYSGDSTTDRTNMPPNDNLNDELNTQRHNFASPDAIHVSADHVDEASRSNNSSFSSQTPSRNMLQGNNAQPSASDLSQLSSGVSHASKDVLENAEETIDELRGEAKMWQRKTRKLKQGLETLKKVSTDKSKQRSEQDLEKMWQRKTRKLKQGLETLKKECADKSKQQSELELELSISISERDSLRQEIEELKRSLEEVTACQTISRSPRSGDAIELQKEVEDDVQFLKESNASLATQLNKAQEANIELVSILQELEETIEVQRAEISNLSHTSDLIHHEVSPNNLLIQEDVEWARKVSLKEDEILMLREKIDRMLHVENPNGEGSGAIYLELEKENDFLKVKIQELEKDCSELTDENLELIYKLKEVSEVAKGEDPSVPNSEEVSSEGDLSDRLTSKVKYLETKCADLELKLISFRSESSELEEKLQKSQEELKDRILELSDLRDKLSGFHATEMEEGDIDSAKSYKLKSEKLDENDNKTELDALRSTVLLKEQEIESLQHSKKEMESFISEIMNEKNKLEELLEESLKECSIIAACLDEMREELLLLTSSIDSHVSTNNVLETKITELESCKVNLELHISKLEHENVELSEFISGLESQLTYLANEKERLSEVQEDSEALRRSNAKLQATVDHVVEECKSLQTLTADLKKQKLEVHGYASHLEQELEQSKRKTMDFCKTLESLEAKLSSLQEDISLKEQSLLSELENIFQEHKEHEERIDRVHLLLNKIEKEKTVELSNLEREVISLTAQLSSTEEERESSTLDTIREVSILRADKAKLEANLEDVNAQMIHYESQLEDLRESKTKIKDLVDSLNASKQNEEMLTTDVDNMRRSIEAARSNEDNLRKTLCELELKSKSSDYEKQQIIEEISVLKIQVHKIAGLQDEVLTLQSSLDEAKFEKGKLEGLIQSLSEECEELKAQKGMLTDKVSCMQDTLNAANEGKQIEISAQTKLVMLGDEPPVKETSDVLEAELKSELSIIRGANSEYQQKIYSLQKENEDLTRRNQLMEKELDLKTSQNKDENTNKQVSLQDEVLMLQSSLDEAKFENGKLEGLLQSLSEECEELKAQKGMLTDKVSCMQDTLNAANEGKQIEISAQTKLVMLGDEPPVKETSDVLEAELKSELSIIRGANSEYQQKIHSLQKENEDLTRRNQLMEKELDLKTSQNKDENTNKQGNDANENGDSPVNEVPELQSKIQLLETRLAEALEENKLYRGQLKSPIPEGKSASKDGKENDDDKISQLESELKDMQERLLNVSLQYAEVEAQREELVMELKTANAKKGRDGRDGDRCRLALLGIVGKKAMILAPFCHQRRRLLSQFQCATPKLQTELT >ONIVA10G19920.2 pep chromosome:AWHD00000000:10:19829631:19838886:-1 gene:ONIVA10G19920 transcript:ONIVA10G19920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELDRRGSSDRLRERVKFRFSGFRAVQVPVVSDRLMLSIVAVDTGKTIAKSTKAAALSGACQWPDSILESIWFSQDQVSEEFQECQCRFVVSMGSTNSGILGEVFLNLTNYLSSLESTAISLPLKRCDSGTILQLNIQCLGAKSKTSRTNDDTECTSDGFDSMLNRTTHSLSGNDLGGSYQDEAGNRDASLSASRSYSGDSTTDRTNMPPNDNLNDELNTQRHNFASPDAIHVSADHVDEASRSNNSSFSSQTPSRNMLQGNNAQPSASDLSQLSSGVSHASKDVLENAEETIDELRGEAKMWQRKTRKLKQGLETLKKVSTDKSKQRSEQDLEKMWQRKTRKLKQGLETLKKECADKSKQQSELELELSISISERDSLRQEIEELKRSLEEVTACQTISRSPRSGDAIELQKEVEDDVQFLKESNASLATQLNKAQEANIELVSILQELEETIEVQRAEISNLSHTSDLIHHEVSPNNLLIQEDVEWARKVSLKEDEILMLREKIDRMLHVENPNGEGSGAIYLELEKENDFLKVKIQELEKDCSELTDENLELIYKLKEVSEVAKGEDPSVPNSEEVSSEGDLSDRLTSKVKYLETKCADLELKLISFRSESSELEEKLQKSQEELKDRILELSDLRDKLSGFHATEMEEGDIDSAKSYKLKSEKLDENDNKTELDALRSTVLLKEQEIESLQHSKKEMESFISEIMNEKNKLEELLEESLKECSIIAACLDEMREELLLLTSSIDSHVSTNNVLETKITELESCKVNLELHISKLEHENVELSEFISGLESQLTYLANEKERLSEVQEDSEALRRSNAKLQATVDHVVEECKSLQTLTADLKKQKLEVHGYASHLEQELEQSKRKTMDFCKTLESLEAKLSSLQEDISLKEQSLLSELENIFQEHKEHEERIDRVHLLLNKIEKEKTVELSNLEREVISLTAQLSSTEEERESSTLDTIREVSILRADKAKLEANLEDVNAQMIHYESQLEDLRESKTKIKDLVDSLNASKQNEEMLTTDVDNMRRSIEAARSNEDNLRKTLCELELKSKSSDYEKQQIIEEISVLKIQVHKIAGLQDEVLTLQSSLDEAKFEKGKLEGLIQSLSEECEELKAQKGMLTDKVSCMQDTLNAANEGKQIEISAQTKLVMLGDEPPVKETSDVLEAELKSELSIIRGANSEYQQKIYSLQKENEDLTRRNQLMEKELDLKTSQNKDENTNKQVSLQDEVLMLQSSLDEAKFENGKLEGLLQSLSEECEELKAQKGMLTDKVSCMQDTLNAANEGKQIEISAQTKLVMLGDEPPVKETSDVLEAELKSELSIIRGANSEYQQKIHSLQKENEDLTRRNQLMEKELDLKTSQNKDENTNKQGNDANENGDSPVNEVPELQSKIQLLETRLAEALEENKLYRGQLKSPIPEGKSASKDGKENDDDKISQLESELKDMQERLLNVSLQYAEVEAQREELVMELKTANAKKGRKNEINLAATNCKKLNNCKQVQN >ONIVA10G19920.3 pep chromosome:AWHD00000000:10:19829631:19838886:-1 gene:ONIVA10G19920 transcript:ONIVA10G19920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELDRRGSSDRLRERVKFRFSGFRAVQVPVVSDRLMLSIVAVDTGKTIAKSTKAAALSGACQWPDSILESIWFSQDQVSEEFQECQCRFVVSMGSTNSGILGEVFLNLTNYLSSLESTAISLPLKRCDSGTILQLNIQCLGAKSKTSRTNDDTECTSDGFDSMLNRTTHSLSGNDLGGSYQDEAGNRDASLSASRSYSGDSTTDRTNMPPNDNLNDELNTQRHNFASPDAIHVSADHVDEASRSNNSSFSSQTPSRNMLQGNNAQPSASDLSQLSSGVSHASKDVLENAEETIDELRGEAKMWQRKTRKLKQGLETLKKVSTDKSKQRSEQDLEKMWQRKTRKLKQGLETLKKECADKSKQQSELELELSISISERDSLRQEIEELKRSLEEVTACQTISRSPRSGDAIELQKEVEDDVQFLKESNASLATQLNKAQEANIELVSILQELEETIEVQRAEISNLSHTSDLIHHEVSPNNLLIQEDVEWARKVSLKEDEILMLREKIDRMLHVENPNGEGSGAIYLELEKENDFLKVKIQELEKDCSELTDENLELIYKLKEVSEVAKGEDPSVPNSEEVSSEGDLSDRLTSKVKYLETKCADLELKLISFRSESSELEEKLQKSQEELKDRILELSDLRDKLSGFHATEMEEGDIDSAKSYKLKSEKLDENDNKTELDALRSTVLLKEQEIESLQHSKKEMESFISEIMNEKNKLEELLEESLKECSIIAACLDEMREELLLLTSSIDSHVSTNNVLETKITELESCKVNLELHISKLEHENVELSEFISGLESQLTYLANEKERLSEVQEDSEALRRSNAKLQATVDHVVEECKSLQTLTADLKKQKLEVHGYASHLEQELEQSKRKTMDFCKTLESLEAKLSSLQEDISLKEQSLLSELENIFQEHKEHEERIDRVHLLLNKIEKEKTVELSNLEREVISLTAQLSSTEEERESSTLDTIREVSILRADKAKLEANLEDVNAQMIHYESQLEDLRESKTKIKDLVDSLNASKQNEEMLTTDVDNMRRSIEAARSNEDNLRKTLCELELKSKSSDYEKQQIIEEISVLKIQVHKIAGLQDEVLTLQSSLDEAKFEKGKLEGLIQSLSEECEELKAQKGMLTDKVSCMQDTLNAANEGKQIEISAQTKLVMLGDEPPVKETSDVLEAELKSELSIIRGANSEYQQKIYSLQKENEDLTRRNQLMEKELDLKTSQNKDENTNKQGNDANENGDSPVNEVPELQSKIQLLETRLAEALEENKLYRGQLKSPIPEGKSASKDGKENDDDKISQLESELKDMQERLLNVSLQYAEVEAQREELVMELKTANAKKGRKNEINLAATNCKKLNNCKQVQN >ONIVA10G19910.1 pep chromosome:AWHD00000000:10:19826219:19826905:1 gene:ONIVA10G19910 transcript:ONIVA10G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPRARRLAAADVQPATPAVGPASGGRPQFNLSSGAATAVVFVSIVLCFILLCTYCRCARQRAIAGARSRVMREIRERVPGVLLLRPAAAAAALPVLPYSAAAAAGGAKKGPLVEDCPVCLEAFAGDDGVKVVPACGHVFHAACIDQWLAVRNSCPVCRCAVVCYYADRARDTAVVVDDDDDDQEVVLERVVAMIEAIREEQREEEAAARRAPASGGGGGEGLMTS >ONIVA10G19900.1 pep chromosome:AWHD00000000:10:19815623:19821189:-1 gene:ONIVA10G19900 transcript:ONIVA10G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRRLQVGPTPHCNPFPSASSLNLFLPRLFPQIGRIPNQPNPSPRRPPRWPPPRGCGGDGRLRPLHASPTEERCASASGGGGGDRIMALLLSVDGRISSTACGDLLGGAFSLLPLLEWGRAVSSSSATTPFPGTCYARKGLVAEVSEFLFGSGEYGELRILALKKEQACN >ONIVA10G19890.1 pep chromosome:AWHD00000000:10:19814225:19814431:-1 gene:ONIVA10G19890 transcript:ONIVA10G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVADDGLRAAAASVVARIRLRQVRDWSGAGATGDGDGGGARAWRRGHLERPLSEGMAARAQQQRAG >ONIVA10G19880.1 pep chromosome:AWHD00000000:10:19813526:19814213:-1 gene:ONIVA10G19880 transcript:ONIVA10G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSRGGEGASRARWHEGMCAAEDTERTEEEVEERENAATLAATEPPALAANFSSLREAAAGTAGGKSKRKKGAVSRRTWSGRGPVSEEEELQSLLRIMARGEREK >ONIVA10G19870.1 pep chromosome:AWHD00000000:10:19807731:19813028:1 gene:ONIVA10G19870 transcript:ONIVA10G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53130) TAIR;Acc:AT3G53130] MAAAAATAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPPITTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLQGRLPRYEDLKELKYLMRCINESMRLYPHPPVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNGLYMNVSLRKVDREPDFALSGSR >ONIVA10G19870.2 pep chromosome:AWHD00000000:10:19807731:19813028:1 gene:ONIVA10G19870 transcript:ONIVA10G19870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53130) TAIR;Acc:AT3G53130] MAAAAATAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPPITTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNGLYMNVSLRKVDREPDFALSGSR >ONIVA10G19870.3 pep chromosome:AWHD00000000:10:19807731:19813028:1 gene:ONIVA10G19870 transcript:ONIVA10G19870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53130) TAIR;Acc:AT3G53130] MAAAAATAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPPITTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLQGRLPRYEDLKELKYLMRCINESMRLYPHPPVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNGLYMNVSLRKVDREPDFALSGSR >ONIVA10G19870.4 pep chromosome:AWHD00000000:10:19807731:19813028:1 gene:ONIVA10G19870 transcript:ONIVA10G19870.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53130) TAIR;Acc:AT3G53130] MAAAAATAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPPITTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNGLYMNVSLRKVDREPDFALSGSR >ONIVA10G19870.5 pep chromosome:AWHD00000000:10:19807731:19813028:1 gene:ONIVA10G19870 transcript:ONIVA10G19870.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53130) TAIR;Acc:AT3G53130] MAAAAATAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPPITTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLQGRLPRYEDLKELKYLMRCINESMRLYPHPPVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNVVNIGVQVDEARKHGYNSFIVYGYTLYAYISPRIWSAMPVL >ONIVA10G19870.6 pep chromosome:AWHD00000000:10:19807731:19813028:1 gene:ONIVA10G19870 transcript:ONIVA10G19870.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53130) TAIR;Acc:AT3G53130] MAAAAATAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPPITTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNVVNIGVQVDEARKHGYNSFIVYGYTLYAYISPRIWSAMPVL >ONIVA10G19860.1 pep chromosome:AWHD00000000:10:19806377:19806991:-1 gene:ONIVA10G19860 transcript:ONIVA10G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRHGGGKPVASSDLATLRSSAVCRNVSPRAPWCAEKENSGQRDAAARTTTPKPALCAEKESYRLGRELPLDRELDVALCLGPNLSAKKNSVET >ONIVA10G19850.1 pep chromosome:AWHD00000000:10:19788352:19797795:1 gene:ONIVA10G19850 transcript:ONIVA10G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF2451, C-terminal (InterPro:IPR019514), Vacuolar protein sorting-associated protein 54 (InterPro:IPR019515); Has 316 Blast hits to 252 proteins in 92 species: Archae - 0; Bacteria - 2; Metazo /.../0; Fungi - 2; Plants - 68; Viruses - 0; Other Eukaryotes - 44 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G27900) TAIR;Acc:AT2G27900] MRGDAPAASSSSSASSPPSLFGGGDGGGGGGGELFGSAPGPLVFLPLLLIQGGGMDLSRVGEKLLSSVRSARSLGLLPPTPPPPASRPEVPARAAAAAAAARAIAGLPPHEKISLPSNSEDLVSIYGSNPQGHAVDELEEVFFQEEFDPIKYILQSIPAEGDSSYFDKQSTLRLAQLDKIAERLSHHVMGHHEEMVKGMQLVMELEQDLKVANVICMNGRRHVSSSKNEVSRDLVVNVKSKKKQALLDVLPILTELRNAQDMQMELESFVEKENYFQVIDAYALMGDIGGMAEKMQSFFLQEVLSQTHYVLKEMLEEEVGNNTQRNRFTYSDLCAQVPEPKLRPCLLRTFQSLFSLMCSYYTIMSFCPGVKSIESEGENSLTGRNNTSQSADESLGDSGRGHAAEMSDRTSSSDVSNPDTSTSGTDSPFYQLRTDAAKLVAHAFERGRRNLWQLATSRLSVLLSSSVVFSTSTYQFLKNYEDLTIFILAGEAFCGFEASEFRQKLKGICLNYSVAFHRQNIYALKMVLERESWTIMSAEASRIISLAGLTGDGAALISPTSRISTLPIHGSTMADTGKEKNGFAAWTKIENPFFYKVENGTTESPKSNMMFNSVDNSSAHGSTNNGNGNKAPLDEENEDLLADFIDEDSQLPSRLAKTKIVKGNSSHWKDGDISSQTGSSLSLLRMMDKYARLMQKLEIVNVELFKGICQLFGIFYHYIYETFGNQDRGQSGKSLPDHQSFRLRVALSKITQDSDQWIKPQSISYSPSSPVSMDVMPTAPPSSMFTSYGLKERCAAAETISLVARVLNRSRAHLHSVLSQSNTSILEEFFGTMVDSVPDLAEHIHRTSARMLLHINGYPDKIANAKWEVKELGMEHNGYVDLLLGEFKHYKTRLDHGGISKEVLINGLLHIVSANVRPKLQIVDTFIKAYYLPETEYVHWARSHPEYSKSQVVGLVNLVATMKGWKRKTRLETIERIEAGP >ONIVA10G19840.1 pep chromosome:AWHD00000000:10:19779287:19786545:1 gene:ONIVA10G19840 transcript:ONIVA10G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04480) TAIR;Acc:AT5G04480] MWRRGSHSDAAYHLPTAAAAGGGGWAASSSAGGGGAASRRRRRPGLSCRPSHLFFALLVALFTASLLVVWQLLPIGDGGKAEEGVEGLPRPGGGGGGGVMRFSASSVALREFHGESRLEAARSERRWWPGLAPVRLALFVGTMNINAQSLMVATLAKSLKNLGYEVEVLAFADGKANDILENICHVNVVSPPSLKYIDWSKYNAVLLSSLEGKMVVSILMQEPFQFLPVVWLIHEDALGQFLRNPELHQSIPNHIEDWRTHFNACTYVVFPDSYLPLLHSALDTGNFLVISGSPVDILATKRYSSSHTQESARNQYGSKEDDVVVLVVGSYLFFDELPWDFATVLRASAPHIMDMAKTKNLGVQFIFFCGNDTDAYNSAFQELASHMGFPLGSVKHFSLTHDIRNLLVFADIVLYGSSRQEPVFPPLLLRSMASEIPIIVPNLTVITKYITDGTHGFLFNADDPSTMVSAFAQILGEKRLSARAFSVALEGKLHSKNMLAYDCITAHVMLLESVLHYPSYAKLPSPVSKLQERTWLWDLFETKAALENSSSDDDSQLLTRIIDNLVDESHESNQTTYSDSNDTSLYNYPSLSDWNDLNEVEIFEDIERREIEEASFFPLPFPIDERVERPLLSWDEVYKNARKSERLKPEGNERDEGELERTGQPVCIYEIYSGEGAWPFLHHGSLYRGITLSKGGRRPRSDDVDAVTHLSVLDNPYYSDRLCEFGAMFAVANRIDAVHKLPWIGFQSWQAAGRKVSLSEKAEETLEETMAGENNEDVIYYWAPMDMDQTSNFWSMCDWLNAGRCRTLFEDAFRTMYGLSDGITALPPMPNDGDYWSTLHSWVMPTPSFLKFIMFSRMFVDYLHSLNVNGTDPASCLLGASQLEKRHCYCRILEVLVNVWAYHSGKKMAYLNPVTGDIREQHPLDDRNEMWVKFFNFTLLKSMDEDLAEEADDGMHAGDDQWLWPLTGQVFWPGIADREREEKYIKKLDKKLKNKVKLLERQKSGYKQKPLGQ >ONIVA10G19830.1 pep chromosome:AWHD00000000:10:19776594:19777980:1 gene:ONIVA10G19830 transcript:ONIVA10G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein [Source:Projected from Arabidopsis thaliana (AT3G09925) TAIR;Acc:AT3G09925] MAWRRTLLALVAVAAAAAAATRADAWHNYGAAKFTVTGSVLCQDCTKSWNAYAYNAKPIPGSMVGITCLDKETGRTVYHGIDKTDDKGMFNIEVPYTVGSAHLHPSACLVRLASSGDHGCAVFTNFNGGKTGERPCRPSHVYPGRVTYSAGPFYFTLSQCDVKDGATY >ONIVA10G19820.1 pep chromosome:AWHD00000000:10:19774181:19775225:-1 gene:ONIVA10G19820 transcript:ONIVA10G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKKLVQFGKGFFERKEESTSTDMPLGTAIHNIEITRGRGGQLARAAGAVAKLIAKEGKSATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKPTTPWGYPALGRRTRKRKKYSDSFILRRQKVNMKEEKETIVTWSRASSILPAMVGHTIAIHNGKEHIPIYITNPMVANWGNSYQLGISRVMKVQERILNLVVN >ONIVA10G19810.1 pep chromosome:AWHD00000000:10:19773022:19774083:1 gene:ONIVA10G19810 transcript:ONIVA10G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II reaction center protein A [Source:Projected from Arabidopsis thaliana (ATCG00020) TAIR;Acc:ATCG00020] MTAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLVAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVITTLADIINRANLGMEVMHERNAHNFPLDLAALEVPSLNR >ONIVA10G19800.1 pep chromosome:AWHD00000000:10:19769236:19770045:1 gene:ONIVA10G19800 transcript:ONIVA10G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane protein G1P-related 1 [Source:Projected from Arabidopsis thaliana (AT3G51790) TAIR;Acc:AT3G51790] MASSRLLSSRRLLPALLHTPSPVPIPRAAAAAAAAGEVGGTPVASFLRRPARFFSSAARRGPARPRATDIGARARQLQSRRLWTYALTFGCAAGFVVTVLATFQDQLVFYLTPTDALARYATDRSKSRVRLGGLVLEGSVAHPSASSSEIEFVVTDLITDVLVRYEGALPDLFREGHSVVVEGFLKPFTDDLRRDTAGRKVSDKARDCECFFSATEVLAKHDEKYMPKEVGEALERNKKKLEEEAAAAAAASQESATAAVALDGAKSSS >ONIVA10G19790.1 pep chromosome:AWHD00000000:10:19765760:19768393:1 gene:ONIVA10G19790 transcript:ONIVA10G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFLISAPFSRRRGERKAEAGRMARSVSYVSAAKLLAMARGNPRVAIIDVRDEERSYQAHIGGSHHFSSRSFAARLPELARATGDKDTVVFHCALSKVRGPSCAKMFSDYLSETKEESGTKNIMVLERGFNGWELSGQPVCRCTDAPCKGTCSPEEPEL >ONIVA10G19790.2 pep chromosome:AWHD00000000:10:19765919:19768393:1 gene:ONIVA10G19790 transcript:ONIVA10G19790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFLISAPFSRRRGERKAEAGRMARSVSYVSAAKLLAMARGNPRVAIIDVRCRALASALRLSPTLRRADLVADVDEIVRFFLCVVGDCRDEERSYQAHIGGSHHFSSRSFAARLPELARATGDKDTVVFHCALSKVRGPSCAKMFSDYLSETKEESGTKNIMVLERGFNGWELSGQPVCRCTDAPCKGTCSPEEPEL >ONIVA10G19780.1 pep chromosome:AWHD00000000:10:19760789:19764994:-1 gene:ONIVA10G19780 transcript:ONIVA10G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGDEEGEQGLRLGGVGDGADGEEEVARGVVAPQVLELSSSSSGEEGGSWEEEESQGSVEVTRGGGGDREARVSESRDSAEVNGGEAESQSLPGCPICMNAWTADGAHRVSCIPCGHVYGRSCLERWLLQCRKKPATCPQCGRRFKLNNIINLYAPEISVPNNDLEKQVLSLREKNESLEKQNQELIQEINEHKRQIILQQNFINESSLKRKKMADQSSHGTTDADSVASLTADDGHSSPCSFVLQNEFFLDGARVMGIDASSQIILTSGRAPGIGAEHVLTKLSMSRQGLQKIHLPSDTKAIRDICILPGGHVVFASLGKKLSLLSMTTESVVLHYDLPAPGWSCSGDQNSPNHIYAGLQNGMLLTFDIRQTVAPLHSMMGLSTHPVHTIHSVVDGGGSRKVISASSIGPCIWDVDGSRNRPDLLNGMENHGVCISLACNPPSSDLLVASFRPKVELSDDGTSQAGKSQSPTPSASGKLGCHALIRRTSNTSFARDQICRGNVSGLRMSKSAIIPCTGRSNQQHLFAYGDESLRGVRTWRLPSLQTFADLRPHRQPILDLRFAESSSTGERYLGCLSEDRLQVFRVR >ONIVA10G19780.2 pep chromosome:AWHD00000000:10:19760789:19764994:-1 gene:ONIVA10G19780 transcript:ONIVA10G19780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGDEEGEQGLRLGGVGDGADGEEEVARGVVAPQVLELSSSSSGEEGGSWEEEESQGSVEVTRGGGGDREARVSESRDSAEVNGGEAESQSLPGCPICMNAWTADGAHRVSCIPCGHVYGRSCLERWLLQCRKKPATCPQCGRRFKLNNIINLYAPEISVPNNDLEKQVLSLREKNESLEKQNQELIQEINEHKRQIILQQNFINESSLKRKKMADQSSHGTTDADSVASLTADDGHSSPCSFVLQNEFFLDGARVMGIDASSQIILTSGRAPGIGAEHVLTKLSMSRQGLQKIHLPSDTKAIRDICILPGGHVVFASLGKKLSLLSMTTESVVLHYDLPAPGWSCSGDQNNGGGSRKVISASSIGPCIWDVDGSRNRPDLLNGMENHGVCISLACNPPSSDLLVASFRPKVELSDDGTSQAGKSQSPTPSASGKLGCHALIRRTSNTSFARDQICRGNVSGLRMSKSAIIPCTGRSNQQHLFAYGDESLRGVRTWRLPSLQTFADLRPHRQPILDLRFAESSSTGERYLGCLSEDRLQVFRVR >ONIVA10G19770.1 pep chromosome:AWHD00000000:10:19757861:19761767:1 gene:ONIVA10G19770 transcript:ONIVA10G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IVY8] MARPGSGNIPGSACIPLLILLLLLLLLLHPSEAQPSPGYYPSKMFRSMAFYEGYSTLWGPQHQTLSQDQKSLTLWMDRSSGSGFKSTRSYRNGYFGASIRVQPGYTAGVNTAFYLSNTEQYPGHHDEIDMELLGTVPGEPYTLQTNVYVRGSGDGNIVGREMRFHLWFDPTAGFHHYAILWNPDQILFLVDDVPIRRYEKKVEGTFPEREMWAYGSIWDASDWATDGGRYRADYRYQPFVSRFADLKVGGCATAAPPACSPVPASSGGGSAALSPQQEAAMAWAQRNAMVYYYCQDYSRDHTFYPEC >ONIVA10G19760.1 pep chromosome:AWHD00000000:10:19753988:19757680:1 gene:ONIVA10G19760 transcript:ONIVA10G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGCSNGEAAAPAATWTPPYCTVVAADMSDFCYLSCPRCERALPDHADACAACTGRGGGGGPVPARVYRLRASVATHDRVVPVVLFDRAARVLVGCPADELARFFAAHAGAARAAEEALEGEVCRVAMRAFAKGAAERFRAVSVVPLRDGFRPLIDTLRELYCTADPTPATSPPPRLELRAAQRCKRHEADQSHSDAPRTLCTVQHPLINRSIQHRRLCGALNLMIDPPVLLVTMKTMCQRETMQNCISMLDQFSSAFKNGGNILFSLYASSVQFHPNLPVFVST >ONIVA10G19750.1 pep chromosome:AWHD00000000:10:19749592:19752110:-1 gene:ONIVA10G19750 transcript:ONIVA10G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT1G75560) TAIR;Acc:AT1G75560] MSSRSPPPKDRRIRTERTSYRDAPYRRDSRRGPSRFPNDLCNNCKRPGHFARDCPNVALCHACGLPGHIAAECSSKDLCWNCKEPGHMANSCPNEGICRNCGKSGHIARECSAPPMLPGEMRLCSNCYKPGHLAAECTNEKACNNCRKSGHLARNCPNEPVCNLCNVSGHLARECPKSDAINERGGPPPFRGGAPPPFRGGYSDVVCRACNQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSGRLMDRFPPRRF >ONIVA10G19750.2 pep chromosome:AWHD00000000:10:19749590:19750955:-1 gene:ONIVA10G19750 transcript:ONIVA10G19750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT1G75560) TAIR;Acc:AT1G75560] MICATIVSVQDILLEIVQMWLFAMHVGFQAECSSKDLCWNCKEPGHMANSCPNEGICRNCGKSGHIARECSAPPMLPGEMRLCSNCYKPGHLAAECTNEKACNNCRKSGHLARNCPNEPVCNLCNVSGHLARECPKSDAINERGGPPPFRGGAPPPFRGGYSDVVCRACNQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSGRLMDRFPPRRF >ONIVA10G19740.1 pep chromosome:AWHD00000000:10:19746058:19749171:1 gene:ONIVA10G19740 transcript:ONIVA10G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRAMTAAESLWFRYSAGIPDYVLFWHNILFLFVVFTLAPLPVALLELRAPAAVGPFKLQPKVRLSREEFFRCYRDVMRLFFLVIGPLQLVSYPTVKMVGIHTGLPLPSLGEMAAQLLVYFLVEDYLNYWIHRLLHGEWGYEKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFVGPALAPGHMITFWLWIVLRQMEAIETHSGFDFPFNLTKYIPFYGGAEYHDYHHYVGRQSQSNFASVFTYCDYLYGTDKGYRYHKAYQAKMKALGQTEGEKADSNGLSYAKLD >ONIVA10G19730.1 pep chromosome:AWHD00000000:10:19739536:19741982:1 gene:ONIVA10G19730 transcript:ONIVA10G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATPVLLLLRRSATILLPRSRSPRASACVLAPQRRRGRRWRLLRSSPPPEGVPGELMEEEEDSKFVPLNAEDPMYGPPALLLIGFEEGETNKVQEFLRELDGEFLKIAKSMPRICIFSGLTGEEMMMFINSYPETGLEPAAFAALVPNSADKILGEVIEEIMGDHEMLERISNEENLM >ONIVA10G19720.1 pep chromosome:AWHD00000000:10:19736216:19739262:-1 gene:ONIVA10G19720 transcript:ONIVA10G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSGGRKLPFFASNASTSSSTKRTRSARRLPSLTRPRASSSPSPASPSPPPPSASHPAPPSPPLAVSPAGAGKVGKKKAGARLWMRLDRWGVSETLHLDKGSIIRRAGLPPRDLRILGPVFSDSSSILAREKAMVINLEFIRAIVTADEILLLDPLTIDVIPFVEQLTHHLPLKNLVCGNGQPGGDDHGEKHDDSPGDQVPRLNEATGAEHELPFEFQVLELALETVCSSFDVNVSGLERRATPVLEELTKNVSTRNLDRVRTLKSDLTRLLAHVQKVRDEIEHLLDDNEDMAHLYLTRKQLQNQQVEALISSAASNSIVPGGTSLSRLNNSFRRSVSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIAVNTFIAGAFAMNIQSKLYSIDDGSFFWPFVGGTSSGCFMICIVLLWYARWKKLLGDPIILRQQYVFFAER >ONIVA10G19710.1 pep chromosome:AWHD00000000:10:19730385:19735495:1 gene:ONIVA10G19710 transcript:ONIVA10G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAMEYLRSCWGPASSPAGRPRKGSDAAGRQDGLLWYKDAGQLVAGEFSMAVVQANNLLEDHSQVESGPLSTTDPNLQGTLVGVYDGHGGPETARYINDHLFNHLRGFASEHKCMSADVIRKAFRATEEGFFSVVSSQWSMRPQLAAVGSCCLVGVICAGNLYIANLGDSRAVLGRLVKGTGEVLAMQLSAEHNASFEEVRRELQAAHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKKPEFNREPLHSKFRLQETFRRPLLSSEPAIVVHQLQTTDQFIIFASDGLWEHISNQEAVDLVQHNPRNGIARRLVKAAMQQAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFFDSNAITTANWSRPSVSLRGGGVTLPANSLAPFSVPT >ONIVA10G19710.2 pep chromosome:AWHD00000000:10:19730389:19735495:1 gene:ONIVA10G19710 transcript:ONIVA10G19710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAMEYLRSCWGPASSPAGRPRKGSDAAGRQDGLLWYKDAGQLVAGEFSMAVVQANNLLEDHSQVESGPLSTTDPNLQGTLVGVYDGHGGPETARYINDHLFNHLRGFASEHKCMSADVIRKAFRATEEGFFSVVSSQWSMRPQLAAVGSCCLVGVICAGNLYIANLGDSRAVLGRLVKGTGEVLAMQLSAEHNASFEEVRRELQAAHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKKPEFNREPLHSKFRLQETFRRPLLSSEPAIVVHQLQTTDQFIIFASDGLWEHISNQEAVDLVQHNPRNGIARRLVKAAMQQAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFFDSNAITTANWSRPSVSLRGGGVTLPANSLAPFSVPT >ONIVA10G19700.1 pep chromosome:AWHD00000000:10:19714697:19719913:1 gene:ONIVA10G19700 transcript:ONIVA10G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPSSSSSSPVAPEVVQWAGGADGFGSAAIGFACRVLCAVATCVFAAVGSVVGAVTGSAIGLATESGMLRGAGIGAISGAVFSIEVAESSRDLWHSSDSAVWCLVYMVDIISSLLSGRLVREKVGPAVQSAVQSQISAISSPFAETSDLFETGGTKGLPADTLRRLPAIKITGDNAVDSAGEPICCSVCLQDFRVGEMARRLPSCRHVFHVPCIDCWLVRHGSCPLCRRDI >ONIVA10G19690.1 pep chromosome:AWHD00000000:10:19696813:19700087:1 gene:ONIVA10G19690 transcript:ONIVA10G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPWKHPTTARHGAADLCLREVGLLLPRRFARRAAASEDLVMRLQVHRKLNRHTGCVNTVGFNADGDTLISGSDDQMVMLWDWDTGAIKLQFPSGHSNNVFQARFMPYTNDQTIVTCAADGEVNGHVIMCYCKQVRLAKIGDGGDVPTTLLGDHDGRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRTNTATKLFQCRNSLSKSGHSSNIHLNAITIDPRNPNLLAVGGSNCYARVYDIRKYKWDGSSDYPSDCYCPPHLIGNRFVGITGLAFSHQSELLISYNNENIYLFPKNGGLGPDPKSSVKIEANKGSKSTIVSSGEDVDRPAPLMYAGHRNCETVKGVTFIGPNHEYVASGSDCGRLFIWRKKDGKFLRAMEGDECVVNCIEPHPHTMTIASSGIDNDVKIWTPSATERAPVVNIEELKPRKRRTKLWHFSLPEELIFHVLASRRRRQAAGDDDSSEDLEDSTGLLNLVLRAAERDVLSDEDEESSEDSGDCSLN >ONIVA10G19690.2 pep chromosome:AWHD00000000:10:19696813:19700087:1 gene:ONIVA10G19690 transcript:ONIVA10G19690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPWKHPTTARHGAADLCLREVGLLLPRRFARRAAASEDLVMRLQVHRKLNRHTGCVNTVGFNADGDTLISGSDDQMVMLWDWDTGAIKLQFPSGHSNNVFQARFMPYTNDQTIVTCAADGEVRLAKIGDGGDVPTTLLGDHDGRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRTNTATKLFQCRNSLSKSGHSSNIHLNAITIDPRNPNLLAVGGSNCYARVYDIRKYKWDGSSDYPSDCYCPPHLIGNRFVGITGLAFSHQSELLISYNNENIYLFPKNGGLGPDPKSSVKIEANKGSKSTIVSSGEDVDRPAPLMYAGHRNCETVKGVTFIGPNHEYVASGSDCGRLFIWRKKDGKFLRAMEGDECVVNCIEPHPHTMTIASSGIDNDVKIWTPSATERAPVVNIEELKPRKRRTKLWHFSLPEELIFHVLASRRRRQAAGDDDSSEDLEDSTGLLNLVLRAAERDVLSDEDEESSEDSGDCSLN >ONIVA10G19680.1 pep chromosome:AWHD00000000:10:19688367:19690397:-1 gene:ONIVA10G19680 transcript:ONIVA10G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMDDDGSSSLLEELMAPLRRGTPTTTPEDLWLQAYPMMMSPMCGDGVVLGDLLVGGGNARNTLASPPPPPPSFPLPVPLTTTTPCPPLHEVSFEFDSIDCLGEVCNPYKRSGGAVRATAAAQVMVAAMDPRREAASSAVAVAAVEEEERCKARRGAGGGGDSGELAPMFVFGGGGGAAASVRPRSCRPPQPGAPSKNLMAERRRRKRLNDRLSMLRSVVPRISKMDRTSILGDTIGYVKELMDRIKNLQVEAATGDSSSSSTENLSMLKLNTLKPPPRENGSTRIEMACAAIPELLPSTLAALEALGVEIEQCVISCFDDFAMQASCLQDDKKREMTRDTEEIKQTLFRSAGYGDGCLI >ONIVA10G19670.1 pep chromosome:AWHD00000000:10:19684815:19685848:1 gene:ONIVA10G19670 transcript:ONIVA10G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADGGGGSDGHGVRAAPSCLLLDVLLVEADSFPFTGGGQRRSGRTFCWWRQTPSPCWWRAMAQRWFAEAVAGVGGSGDSGRDCGSGGDIGGGEGGGCRAKAQSWKPSLGSFESRRTAARFSVASLLEDVVFGIP >ONIVA10G19660.1 pep chromosome:AWHD00000000:10:19675174:19676345:-1 gene:ONIVA10G19660 transcript:ONIVA10G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVKVFGSPSSAEVARVLACLFEKDVEFQLIRVDSFRGSKRMPQYLKLQPHGEALTFEDGNVTLVESRKIIRHIADKYKNQGNPDLIGMGALERSSIEQWLQTEAQSFDVPSADVVYSLAYLPAATTQPNKGAAAADGGRCEEEKNDDGGRDRQYSSQRQGGAGAGGGRDGQMAAAHRQKVEEMKQLFEKSSKELSKVLDIYEQRLEEAEYLAGDKFTLADLSHLPNADRLAADPRTLRMLQSRRNVSRWWADVSGRESWKQVKSLNRPPSAEAPF >ONIVA10G19650.1 pep chromosome:AWHD00000000:10:19673462:19675173:-1 gene:ONIVA10G19650 transcript:ONIVA10G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATVLFRPPAAAAAAGERRRRRRRGLLCFMIRAAYTFSNVVVVVVNNRTRQNLRWASHYGGRPRLEWAARHLASAIWAVGRVFWAMVRSPLDSPADSTTPSAAAGVLHSVSGEVPPSPKTRPY >ONIVA10G19640.1 pep chromosome:AWHD00000000:10:19672061:19673059:1 gene:ONIVA10G19640 transcript:ONIVA10G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHVAAPNRPMDRPESTAASTSEESESASASHRAIWLDSVSISVRIPIPPRVATAAAATGFLAKPPPPLAPLVMSSSSSSSSQGGGRRRPMSRALIEQHRPWDVVDNMALIIIDQTYAAALGIPGRREMGDGSVEVSSAVDADDPDSPVLTINASATRCCVAFDTPRRDAVPYKKFTSPKRKTRPDHRLARTIVSVSPATLYLSHRSDCAGGDSDSKDYWSCAEVAPDVAAGGALAILDTIMLRLEAAIHLEENILVNAMEFNCGTSSVLEVVAETRNALEEMRREMDLPEMMQRRLHKRRHVVVGDAAAADHDDESAEKVFKKFRTMRCR >ONIVA10G19630.1 pep chromosome:AWHD00000000:10:19668462:19671395:-1 gene:ONIVA10G19630 transcript:ONIVA10G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAGTREAEGAEAAPAAAVGWTVRLLPVVSSPNSTLSSLSGKRGAPSAAAAAVGGSDDGDSGDGSRKKLRLSKDQAAVLEDTFNKHNTLNPKQKAALARQLNLKPRQVEV >ONIVA10G19620.1 pep chromosome:AWHD00000000:10:19665190:19667983:1 gene:ONIVA10G19620 transcript:ONIVA10G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVHEILGSGVGAKKTASSKNVCFVGVLHAIDAILSSNRITLSLPKISCAWTSEQQLAEITANKPSARIDRRACAARHGVVVVVAARRREGGAGGARRRRAVQPRGVLAGADAARVLVRRPRVGAAARAPRAGGERARRGGAAPGAGGPGLRRRRRVAVHQLRRRVGAQGERLVRGRRGLGAHRRPPPRRRPHRRRRPRRRRRRHRVTEGEPGQGGGAADRRGGGRQVRPDRRRRRRRRRRHLLHRRVAQAQPRGVHGGRARGAPPRAADELRPVDAADHRARPRPLLRQRRRRLAGPGLPRLLRDRHEEVLEIPHQRRQGRHRRQVHRRPAGLPRQHPLRRRGPLLDRHLRREDAAVGRADEVAVREEAGVHGGQVRRGGAPQPEERRRHERDARRRARVDVQRPGTRPHHRLAQGRRLPLLRLADQTVPQQDRPRQIAS >ONIVA10G19610.1 pep chromosome:AWHD00000000:10:19661190:19663145:-1 gene:ONIVA10G19610 transcript:ONIVA10G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0E0IVW9] MSITFAAPSSSSVVSGVASVHFWAPTFKWCLTIANVADSAKPPEEISYAQQVAMSCNGLIWARYSMVITPKNWNLFSVSSALACTCVYQISRKIRKDYFSEDGQATLSSLKA >ONIVA10G19600.1 pep chromosome:AWHD00000000:10:19658578:19661345:1 gene:ONIVA10G19600 transcript:ONIVA10G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTTRRFVQLAACAVAVLLAVAASGAAAQGVGSVITEAVFNSMLPNRDNSQCPARGFYTYDAFIAAANSFPAFGTSGGSAELIRRELAAFFGQTSHETTGGTRGSSDQFQWGYCFKEEINKATSPPYYGRGPIQLTGQSNYQAAGNALGLDLVGNPDLVSTDAVVSFKTAIWFWMTAQGNKPSCHDVILGRWTPSAADTAAGRVPGYGVITNIINGGIECGVGQNDANVDRIGYYKRYCDMLGTGYGSNLDCYNQRNFAS >ONIVA10G19590.1 pep chromosome:AWHD00000000:10:19645206:19647716:-1 gene:ONIVA10G19590 transcript:ONIVA10G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G01030) TAIR;Acc:AT4G01030] MAKAQAQLVSLSFPPPAPPSARPRHAPPLLNAAALRTGVPPYSAGVLVSLLRDCADLHGDDTDHRVARRLAPQLHSLAVRTGLSRDPRVTCALVDLLARLGRGPSCARLLHEAAEDGAKDAVLWNKHVAMLAEAEEWDEAIAVFREMQARGVPADGYTCARVLHACGRAGALREGRAVHAYALKLALDAHPLVPGFLAGMYAENADVAAATRVLDAMGAGSVVPWNAVVACCARLGLVDDALELAARMSRSGPEPNVATWNTVLSGCSRHGRDREALGVVASMLKQGLRPDATTVSSLLKSVANTGLLRHGMEIHCFFLRNQLEPDVYTGTALVDMYAKCGRLDCAQKVFDALEHRNLTTWNSLVAGYANAGRFDIALELVELMKKNRLDPDITTWNGLITGYSMNGQSSQAVLLLRQIKAAGVTPNVVSWTSLISGSCHNGEYEDSFYFCHEMQKDGVQPSLVTMSVLLRACAGLALQKKGKELHCFALRRAYDCDMVVSTALIDMYSKGGSLVSAKVIFESIQQKNLVLCNAMLTGLAVHGQGREAIELFHDMWNSGLKPDSITFTALLTACRSMGLVTEGWEYFDGMETKYGVKPTTENYACMVDLLARCGYLDEAMDFIERSPIDPGASHWGALLTGCSIHGNLALAEVAARNLFRLEPYNSANYLLMMNLYEYERMYDEAESLKYAMKARGVDSRPGWSWIQIEQGIHVFEVDGKPHPETAEIYEELIRLVFQIKKAGYVPDTSCIAYNVQEEEKEKLLLGHTEKLAITYGLIRSDASRAPVRVMKNTRMCNDCHEVAKHISSLCDRQIILRDAVRFHHFVDGKCSCNDYW >ONIVA10G19580.1 pep chromosome:AWHD00000000:10:19642025:19647035:1 gene:ONIVA10G19580 transcript:ONIVA10G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLRLSLAAAAAAAALAVAVALLLPPLAAAQGETTCPADVPRRGAWMSVASFGGVGDGRALNTAAFARAVARIERRRARGGALLYVPAGVWLTGPFNLTSHMTLFLARGAVIRATQDTSSWPLIDPLPSYGRGRELPGGRYMSLIHGDGLQDVFITGENGTIDGQGSVWWDMWRKRTLPFTRPHLLEVISSTDVIISNVVFQDSPFWNIHPVYCSNVVITNVTVLAPHDSPNTDGIDPDSSSNVCIEDSYISTGDDLISIKSGWDEYGIAFGRPSSGITIRRITGSGPFAGFAVGSETSGGVENVHVEHLNFFGMGVGIHVKTNSGRGGFIRNITVSEVTLNGARYGLRIAGDVGGHPDASYDPSKLPVVDGVTIKNVWGQNIRQAGLVRGIRDSVFSRICLSNVKLYGGDSVGPWKCRAVSGGALDVQPSPCAELTSTSEMSFCTN >ONIVA10G19570.1 pep chromosome:AWHD00000000:10:19635229:19641321:1 gene:ONIVA10G19570 transcript:ONIVA10G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGAVKDQESSANPASASAALSEASAAASEVTAAAAAGAGAGAAEEGAAVSGRPPRPPHDKRLGVRHPLKHRRFRAGGKVMVEPGDPPSAQEVADEEASEVEQEAAPVEREPPQEEGGDVEVSSAPAEMEVVEGDAMEVSPEPAVAVGESELEGRPGEEEEVSSPVVPQGERKQETAAAAPVPAVEEKKHKDQENKHKEREREKERERVDEVGYMSGGWKSEDGFLSCGYSSFRGKRASMEDFYDIKSSKIDDKQISLFGIFDGHGGSRAAEYLKEHLFENLMKHPEFMTNTKLAISETYKKTDSEFLDSESHTHRDDGSTASTAVLVGNHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRLLKQFVVADPEIQEQEIDDELEFLILASDGLWDVVPNEDAVSLVKIEEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKMDGDSSPTSDKS >ONIVA10G19570.2 pep chromosome:AWHD00000000:10:19635229:19641321:1 gene:ONIVA10G19570 transcript:ONIVA10G19570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGAVKDQESSANPASASAALSEASAAASEVTAAAAAGAGAGAAEEGAAVSGRPPRPPHDKRLGVRHPLKHRRFRAGGKVMVEPGDPPSAQEVADEEASEVEQEAAPVEREPPQEEGGDVEVSSAPAEMEVVEGDAMEVSPEPAVAVGESELEGRPGEEEEVSSPVVPQGERKQETAAAAPVPAVEEKKHKDQENKHKEREREKERERVDEVGYMSGGWKSEDGFLSCGYSSFRGKRASMEDFYDIKSSKIDDKQISLFGIFDGHGGSRAAEYLKEHLFENLMKHPEFMTNTKLAISETYKKTDSEFLDSESHTHRDDGSTASTAVLVGNHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRLLKQFVVADPEIQEQEIDDELEFLILASDGLWDVVPNEDAVSLVKIEEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKMDGDSSPTSDKS >ONIVA10G19560.1 pep chromosome:AWHD00000000:10:19628869:19632757:-1 gene:ONIVA10G19560 transcript:ONIVA10G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) TAIR;Acc:AT4G20130] MATPAASPLLLPLPLPLPASTFPPRRAVPCARRLVLRPPRAGRPRLRDPPPAAPPPAVEEVGEEEEDDDAPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGVEFLEGPDGMAVYASRDVDPLRRARVIMEIPLELMLTITQKRPWMFFPDIIPLGHPIFDIIESTDPETDWDLRLACLLLYAFDVEDNFWQLYGDFLPSVDECTSLLLAPKDDLMELEDQDLATKMLKNQKRAIDFWQKHWHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGRAVKKGDEMTIDYMSGVNSSFMERYGFSSPKNPWELINFSSDAKIHLDSFLSVFNIAGLHDELYYNAALTSGENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDKILF >ONIVA10G19560.2 pep chromosome:AWHD00000000:10:19628869:19632757:-1 gene:ONIVA10G19560 transcript:ONIVA10G19560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) TAIR;Acc:AT4G20130] MATPAASPLLLPLPLPLPASTFPPRRAVPCARRLVLRPPRAGRPRLRDPPPAAPPPAVEEVGEEEEDDDAPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGVEFLEGPDGMAVYASRDVDPLRRARVIMEIPLELMLTITQKRPWMFFPDIIPLGHPIFDIIESTDPETDWDLRLACLLLYAFDVEDNFWQLYGDFLPSVDECTSLLLAPKHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGRAVKKGDEMTIDYMSGVNSSFMERYGFSSPKNPWELINFSSDAKIHLDSFLSVFNIAGLHDELYYNAALTSGENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDKILF >ONIVA10G19560.3 pep chromosome:AWHD00000000:10:19628869:19630929:-1 gene:ONIVA10G19560 transcript:ONIVA10G19560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) TAIR;Acc:AT4G20130] MGNVQHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGRAVKKGDEMTIDYMSGVNSSFMERYGFSSPKNPWELINFSSDAKIHLDSFLSVFNIAGLHDELYYNAALTSGENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDKILF >ONIVA10G19560.4 pep chromosome:AWHD00000000:10:19631008:19632757:-1 gene:ONIVA10G19560 transcript:ONIVA10G19560.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) TAIR;Acc:AT4G20130] MATPAASPLLLPLPLPLPASTFPPRRAVPCARRLVLRPPRAGRPRLRDPPPAAPPPAVEEVGEEEEDDDAPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGVEFLEGPDGMAVYASRDVDPLRRARVIMEIPLELMLTITQKRPWMFFPDIIPLGHPIFDIIESTDPETDWDLRLACLLLYAFDVEDNFWQLYGRSNGTRRPRSCHENVKEPEESN >ONIVA10G19550.1 pep chromosome:AWHD00000000:10:19622252:19626808:1 gene:ONIVA10G19550 transcript:ONIVA10G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADRLSIRAAALALDDAAAGRDKPDTKRDVFADLGSPVSPLRLRPGGAAATPSSSSSSAGSAKSPALCNAGAGVGRGGGGGRGSHSGELVAEGNPPRPPGHRRSGSGPLIFSGGSSSAGSGGGGGGCGGGSTASSPLTNALPTGNICPSGRVASAAPAPPRRARPDVLGSGTGHYGHGSIMRGGGGMTPPRSSIDASPYHGSYSRSPAPQGSSGGLQEVTRAGNEWYKKGHYGEALRHYDQAVALCPDSAACRSNRAAALIGLGRLAEALRECEEAIRRDPASGRAHSRLAALCLRFGMVERAREHFMLAGQVNQSDPAEFQRLQEVERHLGRCMDARKTGDWKSALREADAAIANGADSSQLLLALRSEALLRLNKLEEADSTITSLSKLDIASLSSMSTKLSGMVADSYVHVVEAQVNMAFGRFDIAVTMAEKARVIDPGNTEVGRITNNIRLVAQARGQGNELFKAGKFAEASLAYGEGLKYEPSNPVLYCNRAACWSKLGRWMKAVEDCNEALKVHPGYTKALLRRAASYAKLERWADCVRDYEVLRKELPNDTEVAEALFHAQVALKTARGEEVANMKFGGEVETITSIEQLRDAIHSPGVSVVYFMATMNQQCQQITPSVDSLCSECPSVNFLKVNVDESPMVARAENVRIVPSFKIYKDGARMKEMICPSLHILRYSVKHYAVSSS >ONIVA10G19540.1 pep chromosome:AWHD00000000:10:19604081:19613367:1 gene:ONIVA10G19540 transcript:ONIVA10G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHCARVVPSMTSEYGAGSSSRIKFSYYKYCAALELVLQQNRFREHNRLIHHREFILPRSSLKQEAYKHLVHDMDEHCSHHSSCLQPSTRKRDVKKLVQEHSPCQPSTLQADAYTTGLSMKEIERRRKIGAANKGKVPWTKGRKLSKEHKELIKRRTTEALRDPKVRKKMLGHRQLHRQASKDKIGAALRKIWERRMVAVKARQEVLRIWSNSIAEAAKYGDYCQDKLDWDSYDRIKSEMISMFLWNKERERIMKKLEKAEAKIVAKKLQAAERSKLQTRGIKKLQHQKLVLRKSDAQPTRVVVSTRPKLKERLTKTSGPSRPTPPTPQPTLPLEAHPPASATGRAQREAERRRAVGRGCRPPLETAGEPPDSPEGTCATDWMKSKENGSIQKAGKVDHSQRGRPNWVLVAGGVLLSTLSVRLGCKLKQMFDAKKQNSMPKVKRRPGACDLHSNLYRFNDQTSCYCCMSAVADGVEIRQAPGSPLPKSTDLSPLLLVEIPGPESSKENSGVMWTSSPDRLEDPRKPFQYSNSSGSPCFSESGSDIYNKREVIQKLRQQLKRRDEMIAEMQAQIVDLKNSLVVQVTQTTNLQSQLDAASRELFESEREIQHLRKIIADHCVAEALSHDKPLQAGHWQSDATNGHANGYADSSVDDPDLHYIGIEKRKGEVEKVEMLKREVCDLKEVIEGKDFLIQSYKEQKLELCGKIRDLQEKLSAQIPNIL >ONIVA10G19540.2 pep chromosome:AWHD00000000:10:19604081:19613367:1 gene:ONIVA10G19540 transcript:ONIVA10G19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHCARVVPSMTSEYGAGSSSRIKFSYYKYCAALELVLQQNRFREHNRLIHHREFILPRSSLKQEAYKHLVHDMDEHCSHHSSCLQPSTRKRDVKKLVQEHSPCQPSTLQADAYTTGLSMKEIERRRKIGAANKGKVPWTKGRKLSKEHKELIKRRTTEALRDPKVRKKMLGHRQLHRQASKDKIGAALRKIWERRMVAVKARQEVLRIWSNSIAEAAKYGDYCQDKLDWDSYDRIKSEMISMFLWNKERERIMKKLEKAEAKIVAKKLQAAERSKLQTRGIKKLQHQKLVLRKSDAQPTRVVVSTRPKLKERLTKSKENGSIQKAGKVDHSQRGRPNWVLVAGGVLLSTLSVRLGCKLKQMFDAKKQNSMPKVKRRPGACDLHSNLYRFNDQTSCYCCMSAVADGVEIRQAPGSPLPKSTDLSPLLLVEIPGPESSKENSGVMWTSSPDRLEDPRKPFQYSNSSGSPCFSESGSDIYNKREVIQKLRQQLKRRDEMIAEMQAQIVDLKNSLVVQVTQTTNLQSQLDAASRELFESEREIQHLRKIIADHCVAEALSHDKPLQAGHWQSDATNGHANGYADSSVDDPDLHYIGIEKRKGEVEKVEMLKREVCDLKEVIEGKDFLIQSYKEQKLELCGKIRDLQEKLSAQIPNIL >ONIVA10G19540.3 pep chromosome:AWHD00000000:10:19604157:19609435:1 gene:ONIVA10G19540 transcript:ONIVA10G19540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLKLKPPSMATRKRLAGAALVCLSLFLLSRALLFSQDDPEPVKRPDEASSISLPPDRIAIIAAAPAPSPATAAASDGSPAPAQDEVRCDLFDGSWVYDPAGYPLYDAGECPFLSDQVTCRRNGRPDSGYEHWRWQPRRCAAALRLRGGEMLEQCRDKRVVLVGDSLNRNMWESLACILYAAAPDRSRATVDDASADHKIFQALDYNCTVEFYWSPFLVDLDDQTRVLKLDRLPATTYRRLAAADVLVFNTGHWWTHTGKFRAWDHLERNGKKVEMGAEEAFNRALRTWTRWLDRNVDSHKTMVFFRSISPEHKNKNWCYNETAPMARAEEYVEAFPRGMVSIVERNVRRARTAVGYLDITRLSELRRDAHPSVFTVRGGKLLTPEQRRQPGSYADCSHWCLPGLPDTWNLLLFASWTSLRS >ONIVA10G19530.1 pep chromosome:AWHD00000000:10:19601233:19603290:-1 gene:ONIVA10G19530 transcript:ONIVA10G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWFKLGGSAVRAMGALRGSPPAMASVFTGGWLLGSSISSWQTLKVAEQQIDALAREQEEYLNKFEAKWVEELNRLKLEMMNELEESEERLNREIDVLKMMARIAMEEKEMRMAMEEEAASPGPLQGESGDLGDV >ONIVA10G19520.1 pep chromosome:AWHD00000000:10:19597345:19598552:-1 gene:ONIVA10G19520 transcript:ONIVA10G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNQERPKCSCVQSSCTQLYCRCFRSRYFCSDNCNCSGCYNIKYYEDAIEEISDMIQMKNPNAFDPRIIVSVQDATAADPQSSTSAISDPKNTSDAMPGNEQRKHAKGCSCRKSKCSKLYCECFKNSVGCTAKCKCQECSNSFGVKNSESSNKPDPDDKSATDGLTHEETTTENITLPGETWNSDPNKRPRYF >ONIVA10G19510.1 pep chromosome:AWHD00000000:10:19590625:19598382:1 gene:ONIVA10G19510 transcript:ONIVA10G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGRGDQRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATQPVTAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAASLYSAACYAHGKFASGIPYPITLSAVISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGRADEVVSYRNAERLGHYTIPEEMDDVGKWLSSRLGLDRSR >ONIVA10G19510.2 pep chromosome:AWHD00000000:10:19590625:19598382:1 gene:ONIVA10G19510 transcript:ONIVA10G19510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGRGDQRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATQPVTAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAASLYSAACYAHGKFASGIPYPITLSAVISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGRADEVVSYRNAERLGHYTIPEEMDDVGKWLSSRLGLDRSR >ONIVA10G19510.3 pep chromosome:AWHD00000000:10:19591369:19598382:1 gene:ONIVA10G19510 transcript:ONIVA10G19510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGRGDQRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATQPVTAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAASLYSAACYAHGKFASGIPYPITLSAVISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGRADEVVSYRNAERLGHYTIPEEMDDVGKWLSSRLGLDRSR >ONIVA10G19500.1 pep chromosome:AWHD00000000:10:19587011:19590589:1 gene:ONIVA10G19500 transcript:ONIVA10G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: RuvA domain 2-like (InterPro:IPR010994); Has 29 Blast hits to 29 proteins in 9 species: Archae - 0; Bacteria - 2; Metazoa - 0; Fungi - 0; Plants - 27; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G12790) TAIR;Acc:AT1G12790] MSQNHEFSTDSGALRNSVCTLEFFHMESPMLDQYRKPEAKKQQAAFSLSYTEHIFGYSIWGFGHRPAGRGVCAMSTSWRDKQQPSLINFIAAFLAANSYRLNFLSISPDFIFNNGELSVAFIFETNWDCQNEGAVFSRYGMKLGFPTFVPVTDPEMGFEKIVKIAHALGVCKQQDIISRLKNEREQAVQCTDSFLCVLTSIPGIDNHDANALAQAIGSIEAIAKASKKFILENTDLSTDKAETIVRFFRDPQYYLSPKIN >ONIVA10G19490.1 pep chromosome:AWHD00000000:10:19579678:19583668:-1 gene:ONIVA10G19490 transcript:ONIVA10G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLLLLALAVACCAVAEPPQQERSALRAFLAGTPHERALAWNASTPACAWVGVTCDAANATVVALRLPGVGLIGRVPQGTLGALRGLRVLSLRSNRLFGDVPGDLFSLPDLRSLFLQGNLFSGSVPPDVAKLTALQHLALSHNNLTGAIPFALNGLANLRSLRLDGNHFSGSLPSLTLPLLEDFNVSYNQLNGSIPASLARFPPESFAGNLQLCGKPLSRPCEPFFPSPAGAPTPTDGRGSGGGSVPVSEKKKKKLSGAAVAAIAVGGGAAALLALVLLVVCTAASRRRAANGEVGKTAAARGLTPPSTASGELGEVTSSTSKEIALAAAAATVERSRLVFVGKGAAYSFDLEELLRASAEVLGKGSVGTSYKAVLEEGATVVVKRLKEVAASRREFSAHLDSLGKVDHRNLLPVRGYYFSKDEKLLVCDYLPAGSLSATLHGSRGTGRRTMDWDARMRAALSAARGVAHLHAAHSLAHGNLKSSNLLLRPDPDATALSDYCLHQLFAPLSARPNAGGYRAPELVDARRPTFKSDVYSLGVLFLELLTGKSPGNASVDGDGAVDLPRWVQSVVREEWTAEVFDVELVRLGGSAEEEMVALLQVAMACVATAPDARPDTADVVKMIEEIGSGHGRTTTEESEDRSRGTPPAGTTP >ONIVA10G19480.1 pep chromosome:AWHD00000000:10:19578350:19579015:1 gene:ONIVA10G19480 transcript:ONIVA10G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHSEYAIVTSGGGGDVACLGGGGAEAIGGAAGGEGGGGSRGGHAAAEAVVVDGAVEVQWLAPLPHQDRPLPDGLAAAAVLLGRRGPPATVAAAVEEEEAAAGAREAAAAQGDEAAQPAHDVVVVVVVPVVTSVGDGHGWNLLLLAAAAVVIHEEMKISARMPPRPRDERFHRFSWSWRWRNKSKGRGPLARAPQRPNLQKPNSLRPTRPQAAASPFWPG >ONIVA10G19470.1 pep chromosome:AWHD00000000:10:19575870:19577958:1 gene:ONIVA10G19470 transcript:ONIVA10G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0IVU7] MVMNPDAVRNVVGIIGNLISFGLFLSPLPTFVTIVKKKDVEEFVPDPYLATFLNCALWVFYGLPFIHPNSILVVTINGTGLLIEIAYLAIYFAYAPKPKRCRMLGVLTVELVFLAAVAAGVLLGAHTYDKRSLIVGTLCVFFGTLMYAAPLTIMKQVIATKSVEYMPFTLSLVSFINGICWTIYAFIRFDIFITIPNGMGTLLGAAQLILYFCYYDGSTAKNKGALELPKDGDSSAV >ONIVA10G19460.1 pep chromosome:AWHD00000000:10:19572162:19573246:-1 gene:ONIVA10G19460 transcript:ONIVA10G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNDETTIRARILSYASGGGVLQPAETVLQPPSYTCRFRRPTPAASATASAHGSPRPQLAIAAASACLSRRPPLVTALRIRSPQPASFASPHLAAVRRRSLQLVASHARGLPSAVAASKERVWGGGEAVKSMSQFPRTCSISEEA >ONIVA10G19450.1 pep chromosome:AWHD00000000:10:19569347:19571494:1 gene:ONIVA10G19450 transcript:ONIVA10G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTARFVQLAACAAAALLAVAASGAAAQGVGSVITQAVFNSMLPNRDNSQCPARGFYTYDAFIAAANSFPAFGTSGGSAELIRRELAAFFGQTSHETTGGTRGSSDQFQWGYCFKEEINKATSPPYYGRGPIQLTGQSNYQAAGNALGLDLVGNPDLVSTDAVVSFKTAIWFWMTAQGNKPSCHDVILGRWTPSAADTAAGRVPGYGVITNIINGGIECGVGQNDANVDRIGYYKRYCDMLGAGYGSNLDCYNQRNFAS >ONIVA10G19440.1 pep chromosome:AWHD00000000:10:19563375:19568612:1 gene:ONIVA10G19440 transcript:ONIVA10G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLRVGSHAPEKPPRRARPPPPPPQPHHPRRPSFTLNAHQAAASSSAASAVPAPAFAEFSLAELREATGGFAAANIVSESGEKAPNLVYRGRLQGAGGGGRAIAVKKFGKLAWPDPKQFAEEARGVGKLRHRRMANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENKAIEWAMRLRVAYNIAEALEYCSNEERPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTLESVVFSFGTILIDLLSGKRIPPTLALDMIRSRSIQAIMETNLEGKYSIEEATTLVDLASKCLQYEPRDRPDIKKLVSILQPLQTKSEVPSYVMLGVPKPEEVPKAPPAPQHPLSPMGEACSRMDLTAIHQILVSTHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKNFKQAIDCYTQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDSLDMLNEASQLEEKRQKSIKGP >ONIVA10G19430.1 pep chromosome:AWHD00000000:10:19553488:19554423:1 gene:ONIVA10G19430 transcript:ONIVA10G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGFRRTLSTLTSPKAVAPSFLLDCARPKKLSYARVRSTSLPVRLHPLVAGLHDAARALLKWADAPAQTGPAWVADGADRAGKVLAGLADLLHHPQAQDALRRPWTEQLLDDLLLLADLHGCFRESLVALRQLLAETHAALRRRDGSRLAAALRAQRRSAREVSRLASSARDLSHRAAPGDDADEATLADAFAAAASSVAAAAAAVFAGVSSASAESAASAAPSPRTPTPYSPARTPASPMWLVTDLLRRRRTVSFEDYCNEEEEERKAAMARVRGLEECVAAAESGCEEVYRALVNARVSLLNLLTPTF >ONIVA10G19420.1 pep chromosome:AWHD00000000:10:19545322:19545987:-1 gene:ONIVA10G19420 transcript:ONIVA10G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSHVISFARFCWVVARLSAKPPRSSYLTKNSLAIDVEFGEAEAKSKTHISIPNRVVPIEAVEMVTDLSSGMHSRVYVRFTGTSCISSAV >ONIVA10G19410.1 pep chromosome:AWHD00000000:10:19542346:19544569:1 gene:ONIVA10G19410 transcript:ONIVA10G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRCCSSMASASVVLFFVVVGMSASMVSGCDRCVRRSKAGFRDSSIALNAGSCGYGSLAASFNGGHLAAASPALFRGGVGCGACFQVRCKDGKLCSTAGAKVVVTDEARSTNRTDLVLSAAAYAAMARPGMAAQLRTRRAVDVEYKRVPCEYAAGRNLSIRVEEKSRPPRELSIRFLYQGGQTDIVAVDVATVGSSNWKFMTRDYGPAWSTAQAPAGPLQFRVVVTGGYDGKWVWADGEVLPRRWTAGRVYDAGVQIADVAQEGCYPCDTQEWK >ONIVA10G19400.1 pep chromosome:AWHD00000000:10:19532832:19537274:-1 gene:ONIVA10G19400 transcript:ONIVA10G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGEAGGGDGGESSPAAAAAVAVAGAAALHIRCANGSKFTVRADLDATVGAFKEVVAGSCDVPAAQQRLIYKGRILKDEQTLESYGVETDHTIHMVRGAGPPAGSAAPAAASPQASAAPSSGPTDGLGSLFPGLGGTGTAGTRPSGLFGSGFPELDQMQQQLSQNPNLMREIMNMPMMQNLMNNPDLIRNMIMNNPQMRDIIDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTAPNPFSALLGNQGSNQPRDPATNAPNTGSESTTGTPAPNTNPLPNPWSSNAGGAQGATRAGSTGNARTGATGGLGGLGSADLSSLFGGLAGNTGTGATGGLGGLGSADLGSLLGGSPDSSSLSQILQNPVMMQMMQNIMSDPQSMNQLLNFNPNTRNLMESNTQLREMFQNPEFIRQLTSPETMQQLLSFQQTLLSQLGQNQPRQDGSQGGNATGMRGNVSLDTLMGMLSGLGAGGGIGVPNTSNVPPEELYATQLTQLREMGFIDTAENIQALVATAGNVNAAVERLLGNLGQ >ONIVA10G19390.1 pep chromosome:AWHD00000000:10:19531399:19532084:1 gene:ONIVA10G19390 transcript:ONIVA10G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDDKCGCAVPCPGGTGCRYGDLVALGILATVKPTEQFKCASSARSGGGDHTTCSCGDHCGCNPCRCGRESQPTGRENRRAGCSCGDSCTCASCGSTTTTAPAATT >ONIVA10G19380.1 pep chromosome:AWHD00000000:10:19528101:19529478:1 gene:ONIVA10G19380 transcript:ONIVA10G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAWTNLPGEIMELIADKAGDALTGRALSRSVCRSWRAAVPETPRLLLPAAAARGAGDEYALVFPLSRGWSVVVDVRDTSCRLSHLATGATAPLPRLNAVRATAGSRVVHLGFHLARGDDWHRPMRRRWEVRMNPAWKRSFRLPDTEHAGTGDEQFRIKIKFLWYSMFLESDLQFSHLLRFAVHVPAAASTDGMLIMMYHPLDNPIDDDKHVYNLVEFAYLDGKVFAMDRGGTTAVIDAATLEVLDLVDAPPGTRNVSNKLLGTANGDDTVMSLDYLHLVAPPSKLLVVRVRVNKSSSEPEGFDVFELGRQDRRDGEGKLAWREVAGDDVGGNYDLFLDDHHATFGGGGGGAGGDSGSRIYYVHDGKEAYCYSKRHGELECVYSSPEGSEEQCSTMPSWFVP >ONIVA10G19370.1 pep chromosome:AWHD00000000:10:19525166:19525411:1 gene:ONIVA10G19370 transcript:ONIVA10G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-related protein 3 [Source:Projected from Arabidopsis thaliana (AT1G11980) TAIR;Acc:AT1G11980] MSMITVKVKTLTGKEVEVSIEATETVARIKEQVEAAEGIPPPQQTLIYGGRQLADDMTAEMCDLRHGSELHLVLALRGGLL >ONIVA10G19360.1 pep chromosome:AWHD00000000:10:19515505:19517479:1 gene:ONIVA10G19360 transcript:ONIVA10G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVQLWSDWKIQLLMLLSFTLQMLLFFTGGLRRCSTKALVRFCLWIAYLGADMVALYALGYLSRHQDVIIGGSTLREVHPLSFLWAPFLLMHLGGQDTITAFAIEDNNLWLRHLLNLGVQVALTLYVFWKSVDRHNVHILIPGIFLFVAGIIKYGEREHWHSCSEDKASFPKVDGDEVDYFGLVSFVLHSVPGIRELFAGHTLHQMEQDHREVLTSKINEVHLPKLLEIELCLMYDDLYTKALVLRTRRGILLRCVSQVSLVVAFIIFQVISNTKRYSGADVTVTYVLFAGSFLLEVCGVFTFIMSPWTWAWSKAKKCNGVNHISEFLFSSNSGWPKERPLWSNSMGQYNFLSYLGCDESRLSKLVKKVIRKMGSLVGAGEEAGTSLWMSKLLDTKYVTVDKEIMQCVIHLIYNYSPFGPASTDDQRWPNLEVHLSNYSLHEVLASVCRKLSNYMLYLLVRHPEMLPVSGTAEPTLKFFLGSITYRNDHYKNRTLKRARDRLQIQEPADMGIKTLEEIRDMWAMLLIYSAGKSKANMHAAQLSKGGELLTFAWLLMVHLQLGDVGEQFEFLFGSVPGPESKEDNRDLQWRSQDLTTPRAQIEGTIHDNSYAEVQSTDKKNCKTEITEQNQA >ONIVA10G19350.1 pep chromosome:AWHD00000000:10:19500447:19508143:-1 gene:ONIVA10G19350 transcript:ONIVA10G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IVT5] MKVQTSSPCLLLLLGSLALVTLTLCGPAASARPETGSLDASATAAMELQELDRVMSLPGQPAYSPEFRQYSGYVTTDEYLGKALFYWFLEATDKPDEKPLVLWLNGGPGCSSIGFGQAQELGPFLVKKDVAELELNPYAWNQVANLLFLDSPAGVGFSYTNTSFGKDPPGDNSTAYGSYTFLIRWFQRFPQHKMKEFYIAGESYAGHYVPQLANVIVDQNKIAPKENYINLKGIMIGNAYMDGDTDLLGIVDSAWHHALISDKLYSDFQKFCNFSLVDLSKECNAAIDQFNALYSIIDIYSLYTPRCELGYPNFNSSFAAQIGRTSSRFDFLKIPMGYDPCSQTNSINRAWNDSDMTVLPIVKKLTQSGLRIWIYSGDTDARIPTTSTRYTLKKLGLPIKEDWSPWFHHKQVGGWSVVFDGLTFVTARGAGHMVPSIMPEQALELFKYFLANQNLPSKPF >ONIVA10G19340.1 pep chromosome:AWHD00000000:10:19490527:19495048:-1 gene:ONIVA10G19340 transcript:ONIVA10G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRGTMVRRERIEGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQHKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDDLGIQQMDDQEQTFAGGMQIWTDMQLQDHHHEPNEMETRRAEASTQTGFLRSQGICERDVSSGLPVPAAYYSYYTPMAHGAPPAAADGAGHDDPPRLLGLVVMATTTRRGSREEHKATPPPENGAIRHGRKARRTTAAAEEEERDGDGDELSLSLTLDSGLSCRSSGGAGAYCCSEGSSSNWLISSPSSTTSLVAGGCSRRSTPAMLSSVVSLDLSL >ONIVA10G19330.1 pep chromosome:AWHD00000000:10:19483913:19488745:1 gene:ONIVA10G19330 transcript:ONIVA10G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPGSSSGGSTPVGGKPPRHHLTSIRHCASSARIAAATAEFDLGAGTLSLISPTDIRPGFLPVFRSGSCADIGTKSYMEDEHVCVDNLIEHLGVRTPVIPAPGAFYGVFDGHGGTDAACFVRKNLLRFIIEDGHFPSSIEKAIRSAFVRADHAIADSHSLDRNSGTTALTALIFGRTLLVANAGDCRAVLGKRGRAVELSRDHKPSCRSEKIRIENLGGTVFDGYLNGQLSVARAIGDWHVKGSKGSISPLTAEPEFQEVRLTEEDEFLIIGCDGLWDVMTSQCAVTMVRKELMTHNDPERCSQELVQEALRRNSCDNLTVLVVCFSSDPPPQIEVPRFRVRRSISMEGLHMLKGALDSNA >ONIVA10G19320.1 pep chromosome:AWHD00000000:10:19472618:19477054:-1 gene:ONIVA10G19320 transcript:ONIVA10G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IVT2] MSGSGGGGGGGAGGDGARALDQTPTWAVAAVCAVIVAASILLEGLLHHLGQWFSKRRKKALFDALEKVKSELMTLGFISLLLSVTGRYISRICIPVGAADTMLPCSLRRSSSEQEVPGGGHGRRHLSGDPTNFKCPKGMVSLVSADGLHQLHIFVFFLAVFHVAFSAITMSLGRAKTRIWKEWEKETCSLTYEFSYDPSKFRLTHQTSFVRQHASCWSKSTILLYFVSFFRQFFRSVRRTDYLTLRHGFIAAHLSPGTRFNFRKYIKRSLEDDFKTVVGISAPLWASALAIMLFNVHGWHNLFWFSTIPLVVTLAVGTKLQAIIAMMAVEIKERHTVIQGMPVVKLSDEHFWFGKPRLVLHLIHFASFQNAFEITYFFWIWYEFGLRSCFHDNFELIIARVCLGVVVQFMCSYITLPLYALVSQMGSQMKRTIFDEQTAKALKKWHKAAVVKKKQQKGSSHEPGSETPGTETTTTTATATEESQRERDAAAMPVRHLHRYKTIAHVGATGTLSDSDCSDTDTPFASPTRLLIPPTKQRSLDAGRAEVRVDVDSTPTPTPPERHDSFSFPRLPAHNLQQK >ONIVA10G19310.1 pep chromosome:AWHD00000000:10:19467931:19471901:1 gene:ONIVA10G19310 transcript:ONIVA10G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDANAGRKSSTHLAQSPELHASLESHELSDREIPKDASVHQQAIDEPQPLYNIPITRGEGTLITELRKVMEELRVSYYADTDEETREQLKQFWDDEFNAISSGETTIERDLKWMRKEVIEAFETYSEANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRPDSDLESSTNCPDSDLESSTNLESCISRHYFAEVKLMDGKKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNAYSGLSFDDDEFDAISEETTKEKGIEWMREEVIEAFETYSDANVVDYELEDLSRQCLIFYDCGQPYHHYNFTMKSKRPNSDLESSTNVESCISRHYFAEVKLMDGEKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDPI >ONIVA10G19310.2 pep chromosome:AWHD00000000:10:19467931:19471901:1 gene:ONIVA10G19310 transcript:ONIVA10G19310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDANAGRKSSTHLAQSPELHASLESHELSDREIPKDASVHQQAIDEPQPLYNIPITRGEGTLITELRKVMEELRVSYYADTDEETREQLKQFWDDEFNAISSGETTIERDLKWMRKEVIEAFETYSEANVVDYELEDLSRQCLIFDDCGHCHGCRNSGIDLKHPSNGGYEEGNAYSGLSFDDDEFDAISEETTKEKGIEWMREEVIEAFETYSDANVVDYELEDLSRQCLIFYDCGQPYHHYNFTMKSKRPNSDLESSTNVESCISRHYFAEVKLMDGEKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDPI >ONIVA10G19310.3 pep chromosome:AWHD00000000:10:19467931:19471901:1 gene:ONIVA10G19310 transcript:ONIVA10G19310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDANAGRKSSTHLAQSPELHASLESHELYNIPITRGEGTLITELRKVMEELRVSYYADTDEETREQLKQFWDDEFNAISSGETTIERDLKWMRKEVIEAFETYSEANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRPDSDLESSTNCPDSDLESSTNLESCISRHYFAEVKLMDGKKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNAYSGLSFDDDEFDAISEETTKEKGIEWMREEVIEAFETYSDANVVDYELEDLSRQCLIFYDCGQPYHHYNFTMKSKRPNSDLESSTNVESCISRHYFAEVKLMDGEKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDPI >ONIVA10G19310.4 pep chromosome:AWHD00000000:10:19467931:19471901:1 gene:ONIVA10G19310 transcript:ONIVA10G19310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDANAGRKSSTHLAQSPELHASLESHELSDREIPKDASVHQQAIDEPQPLYNIPITRGEGTLITELRKVMEELRVSYYADTDEETREQLKQFWDDEFNAISSGETTIERDLKWMRKEVIEAFETYSEANVVDYELEDLSRQCLIFYDCGQPYHHYNFTMKSKRPNSDLESSTNVESCISRHYFAEVKLMDGEKHYFCCPLESFDNGHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDPI >ONIVA10G19310.5 pep chromosome:AWHD00000000:10:19467931:19471901:1 gene:ONIVA10G19310 transcript:ONIVA10G19310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDANAGRKSSTHLAQSPELHASLESHELSDREIPKDASVHQQAIDEPQPLYNIPITRGEGTLITELRKVMEELRVSYYADTDEETREQLKQFWDDEFNAISSGETTIERDLKWMRKEVIEAFETYSEANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRPDSDLESSTNCPDSDLESSHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDPI >ONIVA10G19310.6 pep chromosome:AWHD00000000:10:19467931:19471901:1 gene:ONIVA10G19310 transcript:ONIVA10G19310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDANAGRKSSTHLAQSPELHASLESHELYNIPITRGEGTLITELRKVMEELRVSYYADTDEETREQLKQFWDDEFNAISSGETTIERDLKWMRKEVIEAFETYSEANVVDYELEDLSRQCLIFDDCGQPYHHYNFTMKSKRPDSDLESSTNCPDSDLESSHCHGCRNSGIDLKHPSNGGYEEGNADSGFSFDPI >ONIVA10G19300.1 pep chromosome:AWHD00000000:10:19455772:19462589:1 gene:ONIVA10G19300 transcript:ONIVA10G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWSWTTCIESNFENPQESAASSYAKSLLGPLVEETVAAVQSALQKLCFAPQFKIIDILPAAGANISFLDIDLQEVITHNTERGDIFLLCTELPRDSSQLCNDEAVLAMATCSNLYDTFQRSFNVKIHQKVKRQKFNHALFLCNILMNIQICESLNAVIRYDCPIINDLLTPPSMVVANASLTCCNEKLADVLIWKLPKQFIQLHGVNIVEASMLYLEPMEQAKQKLFSLQWRHFSVSEKVIVCVPNAQSFSAMCSDFVEMAESHMDEELGICLGDILVLSNETGLEDNVQQLTIITRVKEVLPLMIWHDIMKALREPLAAFKEKYAASAKKHDCQPSAKKLLSSLVFEKARFLLICLATLRKHFPKNMFSEQVSKRIKSLIKCVSKLENLLKDKDLKEYDDKGKQFLCLPKIYAKQRITVLLLWMTFSLDLTCRSTKIAFGSGITSLAMQNLSAGSIETLIVDNADQIRDYDLILPLTLRDVKNIVLTGDPSKVYKISSYACTYKIGKDTECLQETIDHLKIGDISIGSFKVSENLRFFKPGEVYIYNPEKPVLTPTANQPSAHCVLVIGGGGCPSDSTAEPVLACNMQFMIQNSYGKGFGELGIGRVRGDSFSTMHRISL >ONIVA10G19300.2 pep chromosome:AWHD00000000:10:19455772:19462589:1 gene:ONIVA10G19300 transcript:ONIVA10G19300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWSWTTCIESNFENPQESAASSYAKSLLGPLVEETVAAVQSALQKLCFAPQFKIIDILPAAGANISFLDIDLQEVITHNTERGDIFLLCTELPRDSSQLCNDEAVLAMATCSNLYDTFQRSFNVKIHQKVKRQKFNHALFLCNILMNIQICESLNAVIRYDCPIINDLLTPPSMVVANASLTCCNEKLADVLIWKLPKQFIQLHGVNIVEASMLYLEPMEQAKQKLFSLQWRHFSVSEKVIVCVPNAQSFSAMCSDFVEMAESHMDEELGICLGDILVLSNETGLEDNVQQLTIITRVKEVLPLMIWHDIMKALREPLAAFKEKYAASAKKHDCQPSAKKLLSSLVFEKARFLLICLATLRKHFPKNMFSEQVSKRIKSLIKCVSKLENLLKDKDLKEYDDKGKQFLCLPKIYAKQRITVLLLWMTFSLDLTCRSTKIAFGSGITSLAMQNLSAGSIETLIVDNADQIRDYDLILPLTLRDVKNIVLTGDPSKVYKISSYACTYKIGKDTECLQETIDHLKIGDISIGSFKVSENLRFFKPGEVYIYNPEKPVLTPTANQPSAHCVLVIGGGGCPSDSTAEPVLACNMQFMIQNSYGKGFGELGIGRVRGDSFSTMHRISL >ONIVA10G19300.3 pep chromosome:AWHD00000000:10:19455781:19462589:1 gene:ONIVA10G19300 transcript:ONIVA10G19300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWSWTTCIESNFENPQESAASSYAKSLLGPLVEETVAAVQSALQKLCFAPQFKIIDILPAAGANISFLDIDLQEVITHNTERGDIFLLCTELPRDSSQLCNDEAVLAMATCSNLYDTFQRSFNVKIHQKVKRQKFNHALFLCNILMNIQICESLNAVIRYDCPIINDLLTPPSMVVANASLTCCNEKLADVLMSQKITLDEVQLEAAKAIYSAARCKHSRSIHVISGAHGTGKTKIVFSAVASLFSK >ONIVA10G19300.4 pep chromosome:AWHD00000000:10:19455781:19462589:1 gene:ONIVA10G19300 transcript:ONIVA10G19300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWSWTTCIESNFENPQESAASSYAKSLLGPLVEETVAAVQSALQKLCFAPQFKIIDILPAAGANISFLDIDLQEVITHNTERGDIFLLCTELPRDSSQLCNDEAVLAMATCSNLYDTFQRSFNVKIHQKVKRQKFNHALFLCNILMNIQICESLNAVIRYDCPIINDLLTPPSMVVANASLTCCNEKLADVLMSQKITLDEVQLEAAKAIYSAARCKHSRSIHVISGAHGTGKTKIVFSAVASLFSK >ONIVA10G19290.1 pep chromosome:AWHD00000000:10:19447053:19451843:-1 gene:ONIVA10G19290 transcript:ONIVA10G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRQVVVVAVTEPPTGGSRRPGAGGSSGSGSGPGWSRGTSPRWPVLTKGVGAAAIFTVNDLCSQEWISCLMDTAARKMYIALQPPISIVCVCMVVRSSDRNPKPQERNGCSCPRVLLPGIRRNRLRPCRAVLSQVACASGVVLPAVPRQHLLVHLLIVVHSLAFPWTYELRSSCTQHGTTVPKPNRVPRSTSLIHRCPRFTVIALKSLLPSTSSHSPPVITAYRGSSMSYPGLHLHPRSVGRLEPLQQGEPLSNHVEEEMKIFNIS >ONIVA10G19290.2 pep chromosome:AWHD00000000:10:19447053:19451843:-1 gene:ONIVA10G19290 transcript:ONIVA10G19290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRQVVVVAVTEPPTGGSRRPGAGGSSGSGSGPGWSRGTSPRWPVLTKGVGAAAIFTVNDLCSQEWISCLMDTAARKMYIALQPPISIVCVCMVVRSCPRVLLPGIRRNRLRPCRAVLSQVACASGVVLPAVPRQHLLVHLLIVVHSLAFPWTYELRSSCTQHGTTVPKPNRVPRSTSLIHRCPRFTVIALKSLLPSTSSHSPPVITAYRGSSMSYPGLHLHPRSVGRLEPLQQGEPLSNHVEEEMKIFNIS >ONIVA10G19290.3 pep chromosome:AWHD00000000:10:19447053:19451843:-1 gene:ONIVA10G19290 transcript:ONIVA10G19290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRQVVVVAVTEPPTGGSRRPGAGGSSGSGSGPGWSRGTSPRWPVLTKGVGAAAIFTVNDLCSQEWISCLMDTAARKMYIALQPPISIVCVCMVVRSSDRNPKPQERNGCSCPRVLLPGIRRNRLRPCRAVLSQVACASGVVLPAVPRQHLLVHLLIVVHSLAFPWTYELRSSCTQHGCPRFTVIALKSLLPSTSSHSPPVITAYRGSSMSYPGLHLHPRSVGRLEPLQQGEPLSNHVEEEMKIFNIS >ONIVA10G19290.4 pep chromosome:AWHD00000000:10:19447053:19451843:-1 gene:ONIVA10G19290 transcript:ONIVA10G19290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRQVVVVAVTEPPTGGSRRPGAGGSSGSGSGPGWSRGTSPRWPVLTKGVGAAAIFTVNDLCSQEWISCLMDTAARKMYIALQPPISIVCVCMVVRSSDRNPKPQERNGCSCPRVLLPGIRRNRLRPCRAVLSQVACASGVVLPAVPRQHLLVHLLIVVHSLAFPWCPRFTVIALKSLLPSTSSHSPPVITAYRGSSMSYPGLHLHPRSVGRLEPLQQGEPLSNHVEEEMKIFNIS >ONIVA10G19290.5 pep chromosome:AWHD00000000:10:19447053:19448672:-1 gene:ONIVA10G19290 transcript:ONIVA10G19290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKVRWEDWKNGCSCPRVLLPGIRRNRLRPCRAVLSQVACASGVVLPAVPRQHLLVHLLIVVHSLAFPWTYELRSSCTQHGTTVPKPNRVPRSTSLIHRCPRFTVIALKSLLPSTSSHSPPVITAYRGSSMSYPGLHLHPRSVGRLEPLQQGEPLSNHVEEEMKIFNIS >ONIVA10G19290.6 pep chromosome:AWHD00000000:10:19447053:19448672:-1 gene:ONIVA10G19290 transcript:ONIVA10G19290.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKVRWEDWKNGCSCPRVLLPGIRRNRLRPCRAVLSQVACASGVVLPAVPRQHLLVHLLIVVHSLAFPWTTVPKPNRVPRSTSLIHRCPRFTVIALKSLLPSTSSHSPPVITAYRGSSMSYPGLHLHPRSVGRLEPLQQGEPLSNHVEEEMKIFNIS >ONIVA10G19290.7 pep chromosome:AWHD00000000:10:19447053:19448672:-1 gene:ONIVA10G19290 transcript:ONIVA10G19290.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKVRWEDWKNGCSCPRVLLPGIRRNRLRPCRAVLSQVACASGVVLPAVPRQHLLVHLLIVVHSLAFPWCPRFTVIALKSLLPSTSSHSPPVITAYRGSSMSYPGLHLHPRSVGRLEPLQQGEPLSNHVEEEMKIFNIS >ONIVA10G19290.8 pep chromosome:AWHD00000000:10:19449093:19451843:-1 gene:ONIVA10G19290 transcript:ONIVA10G19290.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRQVVVVAVTEPPTGGSRRPGAGGSSGSGSGPGWSRGTSPRWPVLTKGVGAAAIFTVNDLCSQEWISCLMDTAARKMYIALQPPISIVCVCMVVRSSDRNPKPQERVPRR >ONIVA10G19280.1 pep chromosome:AWHD00000000:10:19443214:19443978:1 gene:ONIVA10G19280 transcript:ONIVA10G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELREATEQLRLSLYANTDEETRAQMKQFWDEEFNAISEEATIERGLEWMRAELKLWMERDTIFAVPYNLMTTVIVTDAKTLELILSILQTVATRRVTLTLDSLSIQFNLMDENSEICTKLSSA >ONIVA10G19280.2 pep chromosome:AWHD00000000:10:19442371:19443214:1 gene:ONIVA10G19280 transcript:ONIVA10G19280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAGRRKQRHGREGGTDERDRGQRGRRKLRPALKRFPKTLLCITSKLMSHHHPTTPLGGVKAH >ONIVA10G19270.1 pep chromosome:AWHD00000000:10:19419164:19422719:1 gene:ONIVA10G19270 transcript:ONIVA10G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVWVAEEETMRRAWRRARVRAEAEAAAEEERRRRGSSRKTGRCIRLQRNSIPFRKCHARRSNMRQYAY >ONIVA10G19270.2 pep chromosome:AWHD00000000:10:19419164:19424921:1 gene:ONIVA10G19270 transcript:ONIVA10G19270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAVTAPLPLSLTYGPGGHWAHMSVTMALCSRLQRNSIPFRKCHARRSNMRVSDIICGSALLHDPAREVTNQVRLAQIHFPALWHGTLAPILLSKSTYFARRPFYDASATCVANLSC >ONIVA10G19260.1 pep chromosome:AWHD00000000:10:19416620:19419297:-1 gene:ONIVA10G19260 transcript:ONIVA10G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25060) TAIR;Acc:AT3G25060] MHLPVFLDDPRRLLSSSAAASASARTLARLHALLIVSSSATHTLISSLAAAYARAGDLAAAESTLTATAASSSIAAWNAIIAAHSRRGSPASALRVFRALPPAARPDSTTFTLALSACARLGDLRGGESVRDRAFDAGYKDDVFVCSSLLHLYARWGAMGDAVKVFDRMPRRDRVTWSTMVAGFVSAGQPLDAIQMYRRMREDGVKGDEVVMIGVIQACTAARNVRMGASVHGHLLRHGMRMDVVTATSLVDMYAKNGLLDVACRVFGLMVHRNDVSWSAMISGFAQNGQSDEALRLFRNMQASGIQPDSGALVSALLACSNIGFLKLGRSVHGFIVRRFDFNCILGTAAIDMYSKCGSLASAQMLFNMISDRDLILWNAMIACCGAHGRGQDALTLFQEMNETGMRPDHATFASLLSALSHSGLVEEGKLWFGCMVNHFKITPAEKHYVCLVDLLARSGLVEEASDLLTSMKAEPTVAIWVALLSGCLNNKKLELGESIADNILELQPDDVGVLALVSNLYAATKKWDKVRQVRKLMKDSGRKKMPGCSSIEIRGTRHAFLMEDQSHPQREEIVSKVAKLDLEMRKMGYIPRTEFVYHDLEEEVKEQQLSYHSEKLAIAFGLLNTGPGTRLVIIKNLRVCGDCHDAIKYISKIADREIVVRDAKRFHHFKDGVCSCRDYWLPRTSMARGCVLLYVYPTDPPRRGPSEEVQPRKAATSVRPSRGTRRRGSGAVPVAFAIPGRGRHLSRRKRPGRWRQRLLLPTRWGARVAVIGTNLAGGSGGGGGGGGPDRSMITQDRCTAPAPCGSSIQHGQFATLHR >ONIVA10G19260.2 pep chromosome:AWHD00000000:10:19416137:19417104:-1 gene:ONIVA10G19260 transcript:ONIVA10G19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25060) TAIR;Acc:AT3G25060] MARGCVLLYVYPTDPPRRGPSEEVQPRKAATSVRPSRGTRRRGSGAVPVAFAIPGRGRHLSRRKRPGRWRQRLLLPTRWGARVAVIGTNLAGGSGGGGGGGGPDRSMITQDRCTAPAPCGSSIQHGQFATLHREEAKRFLRR >ONIVA10G19250.1 pep chromosome:AWHD00000000:10:19415533:19417932:1 gene:ONIVA10G19250 transcript:ONIVA10G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAAPTWGRCSAAVLGDHPTGEKSKCPRPQTTPTNATCAQSPTLSRSVWATTTTTTTTSPREIRTDHGDARAPAGRKQKPLPPPPGSFSPRQMTPAAGNGEGDGHRTTTTPPGPP >ONIVA10G19240.1 pep chromosome:AWHD00000000:10:19413427:19414203:-1 gene:ONIVA10G19240 transcript:ONIVA10G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPTPTRRLLQSVGGGSNPNRIPGIPPADPPSGGVSSDVVVILAALLCALICVVGLAAVARCARNRRNSGGAGAGAGSASPSHSPAHAGHFGGGGSGGGGVTTTTTTTTAATTAAKGLKKKALKALPKLAYADAVAAAAAARGTVVGEEEEGKVEVLAECAICLSEFGEREEVRVMPQCGHGFHVACVDTWLRSNSSCPSCRRPIVLDDPSPPKRCRKCEAIVLEAVVAASSSSSSAATATGSGGGGGGGGGRFLP >ONIVA10G19230.1 pep chromosome:AWHD00000000:10:19406261:19411101:1 gene:ONIVA10G19230 transcript:ONIVA10G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVAMSLIGATIITTFSGAVADSFGRRPMLIASAVLYFVSGLVMLWAPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMPQPDWRIMLGVLSIPSLIYFALTIFYLPESPRWLVSKGRMAEAKRVLQGLRGREDVSGEMALLVEGLGVGKDTKIEEYIIGPDDELADEGLAPDPEKIKLYGPEEGLSWVARPVHGQSALGSALGLISRHGSMVSQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEQQQAKGDWDAESQREGEDYGSDHGGDDIEDSLQSPLISRQATSVEGKEIAAPHGSIMGAVGRSSSLMQGGEAVSSMGIGGGWQLAWKWTEREGADGEKEGGFQRIYLHEEGVTGDRRGSILSLPGGDVPPGGEFVQAAALVSQPALYSKELMEQRLAGPAMVHPSQAVAKGPKWADLFEPGVKHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVGVLLANIGLSSSSASILISGLTTLLMLPSIGIAMRLMDMSGRRFLLLATIPILIVALAILILVNILDVGTMVHASLSTVSVILYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILAFLFVFMKVPETKGMPLEVITEFFSVGAKQAKED >ONIVA10G19220.1 pep chromosome:AWHD00000000:10:19397587:19399267:-1 gene:ONIVA10G19220 transcript:ONIVA10G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWLSAAGDEEMRCRVAPAAAGCSRRKDELQARKMDSNVEREHGHYQQQESKFVSMVIGPWIALKCPRHNYATATFAMALPGAKTKRRLSGLV >ONIVA10G19210.1 pep chromosome:AWHD00000000:10:19394218:19396722:1 gene:ONIVA10G19210 transcript:ONIVA10G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGEAMASSGLDLGEYLDRPDAIHRRAASVAIVRSGGGDGPRIVDGGRDDRRARSSRRLSLSSWRRPAGGETSVESRRYGFTAAAAAAAEVGGKTRAAAIWEWKPVRVLSRIGKRRCGCLLSVEVAGVRGVPASMDGLRLAVTVRKAETKDGAVQTMPATVRGGCADFDETLFVRCNIYFAGGAGTGKPLKLEPRRFVVSVVPAEAPGVRLGAHAVDVSSLVLDSLQKSSEGRRVRWFDTAVTLSGKATGGELLLKLGFQLMDDAGLCLYTQAATEKVDVVDDVSPARARAHNKNSFSVARTSGPKLSASDAAISPSMRAYKQLIDRLSVDEHGDPVTSLIPRKLADDELSGDVGLPEYEVVDKGVETVKEVVHYHAHRDVLKELDSIAEQIEAIEALMTNGGKKSPPSPKKVDQKQCLDADEEMVTVEFLRKLEVVDDKGRKLKQPMTPRSESEKKAAAAAPPVVPDLGPGLGTAVQTRDGGFLVSMNPFDLPLEKGDAPPKLAMQVSRPFVLPSSMAATGFDVLQKMAAAGGADEVRDKVARLGGMDNLTGKTPEQVGFEGIAEAVIGGRRTEGASSSAARSVRLVRKLAAAVSDGRSERVATGIWTAADDPETLEEVIAFSLQKLEAMAVDALMIQAEMADEDAPFEVAPAAGDATTVFDALVSPDEWSESRGSDGRVTVVAAIQLRDPSRRYEAVGAPMVAVVQSARLLGAAGNSGGRFKVRSLHVGGVQLRCPVGGGAGGGRASWGAERQKLTAMQWALAHGPARAAGRRARTPTTTTTPSSQARQRPDVVWSLSSRVLAGMWLKTVRNPDVRVGATAAGGGGGGN >ONIVA10G19200.1 pep chromosome:AWHD00000000:10:19384626:19390752:1 gene:ONIVA10G19200 transcript:ONIVA10G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCQNGTYGNNYQNSNRFQNDRFASRYVDGNDTEDCYSGSSRASLAGALRQGLNLKSPVLGYKTPNVRELYTLGRELGQGQFGKTYLCTEISTGCQYACKTILKSNLRCVSDIEDVRREIQIMHHLSGQKNIVTIKDTYEDEQAVHIVMELCAGGELFSKIQKRGHYSERKAAELIKIIVGIIETCHSHGVMHRDLKPENFLLLDADDEFSVKAIDFGLSVFFRPGQVFREVVGSPYYIAPEVLEKRYGPEADIWTAGVILYVLLTGVPPFWAEHPWICDNGVATDRALDPSVLPRLKQFSAMNRLKKLSLQIIAERLSEEEIVGLREMFKAMDTKNRSVVTFGELKGLKRYSSVFKDTEINDLMEAADDTTSTINWEEFIAAAVSLNKIEREKHLMAAFTYFDKDGSGFITVDKLQKACMERNMEDTFLEEMILEVDQNNDGQIDYAEFVTMMQSNNFGLGWQMVESSLNVALREAPQVY >ONIVA10G19200.2 pep chromosome:AWHD00000000:10:19386053:19390752:1 gene:ONIVA10G19200 transcript:ONIVA10G19200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCQNGTYGNNYQNSNRFQNDRFASRYVDGNDTEDCYSGSSRASLAGALRQGLNLKSPVLGYKTPNVRELYTLGRELGQGQFGKTYLCTEISTGCQYACKTILKSNLRCVSDIEDVRREIQIMHHLSGQKNIVTIKDTYEDEQAVHIVMELCAGGELFSKIQKRGHYSERKAAELIKIIVGIIETCHSHGVMHRDLKPENFLLLDADDEFSVKAIDFGLSVFFRPGQVFREVVGSPYYIAPEVLEKRYGPEADIWTAGVILYVLLTGVPPFWAEHPWICDNGVATDRALDPSVLPRLKQFSAMNRLKKLSLQIIAERLSEEEIVGLREMFKAMDTKNRSVVTFGELKGLKRYSSVFKDTEINDLMEAADDTTSTINWEEFIAAAVSLNKIEREKHLMAAFTYFDKDGSGFITVDKLQKACMERNMEDTFLEEMILEVDQNNDGQIDYAEFVTMMQSNNFGLGWQMVESSLNVALREAPQVY >ONIVA10G19190.1 pep chromosome:AWHD00000000:10:19377586:19377897:-1 gene:ONIVA10G19190 transcript:ONIVA10G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVF8] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONIVA10G19180.1 pep chromosome:AWHD00000000:10:19374992:19376047:-1 gene:ONIVA10G19180 transcript:ONIVA10G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAQAGVVAACVVLFVPMGLAGWHLSRNKVLFFSGALFVSLAVGVHLSPYLPSLPHLLLAASFHPHPISSSSSSSAASSSCVPLLHRVSWADAGGESGVGRAWAWPPSLASTCGLARLSRDDASLLLNGSWVMVAGDSQARLLVLALLRLLLDPAAAAAAEPELFRRHSDYRATVPARGISVDFVWAPFESNLTRLLHEDLRLAPRTPDVLVLGSGLWHMLHVTDAARYGDALASVVDAAKSLRSPLPVPPPHMFWLGLPLLVNHMLNTDAKKVHMNDTILQAYDLEVEQRGLLQRDGGPFLLLDVGKLSRGCGQQCTADGMHYDGDVYDAVLHIMLNALVIESQQRI >ONIVA10G19170.1 pep chromosome:AWHD00000000:10:19356488:19367930:1 gene:ONIVA10G19170 transcript:ONIVA10G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQAVHAANNTLAPMQLLVLCLISVTTCAAAHGLQRGLDQQGMRGRILADATAAPPGGAVVPLHRSGARYVANFTIGTPPQAVSGIVDLSGELVWTQCAACRSSGCFKQELPVFDPSASNTYRAEQCGSPLCKSIPTRNCSGDGECGYEAPSMFGDTFGIASTDAIAIGNAEGRLAFGCVVASDGSIDGAMDGPSGFVGLGRTPWSLVGQSNVTAFSYCLAPHGPGKKSALFLGASAKLAGAGKSNPPTPLLGQHASNTSDDGSDPYYTVQLEGIKAGDVAVAAASSGGGAITVLQLETFRPLSYLPDAAYQALEKVVTAALGSPSMANPPEPFDLCFQNTAVSGVPDLVFTFQGGATLTAPPSKYLLGDGNGNGTVCLSILSSTRLDSADDGVSILGSLLQENDMFDKDTLHSETPMHWCMDAQTKNFHKSRITLPNSRASKDSDLISAVLDNQNPLNHGQTKAPLSTIIVARGSTFVARRNFPFKKTRKKNMLVATLVLVMCSAACSLARAHGGGLRRGVERANMRGRLLADAAVAGGGGGVVPIYWSQPLYMANLTIGTPPQPASAIIHLAGEFVWTQCSPCRRCFKQDLPLFNRSASSTYRPEPCGTALCESVPASTCSGDGVCNYEVETMFGDTSGIGGTDTFAIGTATASLAFGCAMDSDIKQLLGASGVVGLGRTPWSLVGQMNATAFSYCLAPHGAAGKKSALLLGASAKLAGGKSAATTPLVNTSDDSSDYMIHLEGIKFGDVIIEPPPNGSVVLVDTIFGVSFLVDAAFHAIKKAVTVAVGAAPMATPTKPFDLCFPKAAAAAGANSSLPLPDVVLTFQGAAALTVPPSKYMYDAGNGTVCLAMMSSAMLNLTTELSILGRLHQENIHFLFDLDKETLSFEPADCSSLS >ONIVA10G19170.2 pep chromosome:AWHD00000000:10:19352932:19356480:1 gene:ONIVA10G19170 transcript:ONIVA10G19170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASCWKLCFSTLLLMCLIPLTRAHELRRGLELADDATTARPGGVTVPVHFSQAFYVVNRTIGTPPQLVSAIIDIGGELVWTQSLPPLLQAGPALFDTNASSTFRPEPCGAAVCESIPTRSCAGDGGGACGYEASTSFGRTVGRIGTDAVAIGTAATARLAFGCAVASEMDTMWGSSGSVGLGRTNLSLAAQMNATAFSYCLAPPDTGKSSALFLGASAKLAGAGKGAGTTPFVKTSTPPNSGLSRSYLLRLEAIRAGNATIAMPQSGNTIMVSTATPVTALVDSVYRDLRKAVADAVGAAPVPPPVQNYDLCFPKASASGGAPDLVLAFQGGAEMTVPVSSYLFDAGNDTACVAILGSPALGGVSILGSLQQVHIHLLFDLDKETLSFEPADCSALS >ONIVA10G19170.3 pep chromosome:AWHD00000000:10:19367934:19371536:1 gene:ONIVA10G19170 transcript:ONIVA10G19170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSLRKKFVCLAITMGRPVATLFVLCFISVTACSLSEQATRGRLLAGVDATPPAAGGAVAVPIYLSSQGLYVANFTIGTPPQPVSAVVDLTGELVWTQCTPCQPCFEQDLPLFDPTKSSTFRGLPCGSHLCESIPESSRNCTSDVCIYEAPTKAGDTGGMAGTDTFAIGAAKETLGFGCVVMTDKRLKTIGGPSGIVGLGRTPWSLVTQMNVTAFSYCLAGKSSGALFLGATAKQLAGGKNSSTPFVIKTSAGSSDNGSNPYYMVKLAGIEAGGAPLQAASSSGSTVLLNTVSPASYLADGAYKALKKALTAAVGVQPVASPPKPYDLCFPKAVAGDAPELVFTFDGGAALTVPPANYLLASGNGTVCLTIGSSASLNLTVSCQCK >ONIVA10G19160.1 pep chromosome:AWHD00000000:10:19351674:19352924:1 gene:ONIVA10G19160 transcript:ONIVA10G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVTTVLVLCLIYVTTRMAVFRGHDLRRGLEQAMRSRLLADATPAGGSAVPIHWSRHLYNVANFTIGTPPQPASAIIDVAGELVWTQCSRCSRCFKQDLPLFIPNASSTFRPEPCGTDACKSTPTSNCSGDVCTYESTTNIRLDRHTTLGIVGTETFAIGTATASLAFGCVVASDIDTMDGTSGFIGLGRTPLSLVAQMKLTKFSYCLSPRGTGKSSRLFLGSSAKLAGGESTTTAPFIKTSPDDDSHHYYLLSLDAIRAGNTTIATAQSGGILVMHTVSPFSLLVDSAYRAFKKAVTEAVGGAAAPPMATPPQPFDLCFKKAAGFSRATAPDLVFTFQGGGAALTVPPAKYLIDVGEEKDTACAAILSMARLNRTGLEGVSVLGSLQQENVHFLYDLKKETLSFEPADCSSLP >ONIVA10G19150.1 pep chromosome:AWHD00000000:10:19348801:19350057:1 gene:ONIVA10G19150 transcript:ONIVA10G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVTTLLVLCLIYVTTCSLSTRTAAFRAHDLRRGLEQAMRGRLLADATPAGGSAVPIHWSRHLYNVANFTIGTPPQPASAIIDVAGELVWTQCSMCSRCFKQDLPLFVPNASSTFRPEPCGTDACKSIPTSNCSSNMCTYEGTINSKLGGHTLGIVATDTFAIGTATASLGFGCVVASGIDTMGGPSGLIGLGRAPSSLVSQMNITKFSYCLTPHDSGKNSRLLLGSSAKLAGGGNSTTTPFVKTSPGDDMSQYYPIQLDGIKAGDAAIALPPSGNTVLVQTLAPMSFLVDSAYQALKKEVTKAVGAAPTATPLQPFDLCFPKAGLSNASAPDLVFTFQQGAAALTVPPPKYLIDVGEEKGTVCMAILSTSWLNTTALDENLNILGSLQQENTHFLLDLEKKTLSFEPADCSSLIS >ONIVA10G19140.1 pep chromosome:AWHD00000000:10:19338692:19339933:1 gene:ONIVA10G19140 transcript:ONIVA10G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVTTLLVLCLIPPTMCSLAAAHDDLRRGLEQATRGRLLADATPAGGAAVVPIRWSPPYYVANFTIGTPPQPASAIVDVAGELVWTQCSACRRCFKQDLPVFVPNASSTFKPEPCGTAVCESIPTRSCSGDVCSYKGPPTQLGGNTSGFAATDTFAIGTATVRLAFGCVVASDIDTMDGPSGFIGLGRTPWSLVAQMKLTRFSYCLSPRNTGKSSRLFLGSSAKLAGSESTSTAPFIKTSPDDDGSNYYLLSLDAIRAGNTTIATAQSGGILVMHTVSPFSLLVDSAYKAFKKAVTEAVGGAAAPPMATPPQPFDLCFKKAAGFSRATAPDLVFTFQGAAALTVPPAKYLIDVGEEKDTACSAILSMAWLNRTGLEGVSVLGSLQQEDVHFLYDLKKETLSFEPADCSSLP >ONIVA10G19130.1 pep chromosome:AWHD00000000:10:19334708:19335856:1 gene:ONIVA10G19130 transcript:ONIVA10G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLLADGGGAVVPLHWSRELYNVASFTIGTPPQPASAFIDVGGLLVWTQCSQCSSSSCFNQELPPFDPTKSSTYRPEPCGTALCEFFPASIRNCSGDVCAYEASTQLFEHTSGKIGTDAVAIGTATAASVAFGCVMASDIKLMDGGPSGFVGLARTPLSLVAQMNVTAFSHCLAPHDGGGGKNSRLFLGAAAKLAGGGKNAAMTTPFVKSSPDDIKSLYYLINLEGIKAGDEAIITVPQSGRTVLLQTFSPVSFLVDGVYQDLKKAVTAAVGGPTATPPEQFQSIFDFCFKRGGVSGAPDVVLTFQGAAALTVPPTNYLLDVGDDTVCVAIASSARLNSTEVAGMSILGGLQQQNVHFLYDLEKETLSFEAADCSSLSPN >ONIVA10G19120.1 pep chromosome:AWHD00000000:10:19330431:19331582:1 gene:ONIVA10G19120 transcript:ONIVA10G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVATLLVLCFISVTARAAAFRVHGRLLADAATEGGAVVPIHWTQAMNYVANFTIGTPPQPASAVIDLAGELVWTQCKQCSRCFEQDTPLFDPTASNTYRAEPCGTPLCESIPSDXASTNAGDTGGKVGTDTFAVGTTKASLAFGCVVASDIDTMGGPSGIVGLGRTPWSLVTQTGVAAFSYCLAPHDAGKNSALFLGSSAKLAGGGKAASTPFVNISGNGNDLSNYYKVQLEGLKAGDAMIPLPPSGSTVLLDTFSPISFLVDGAYQAVKKAVTVAVGAPPMATPVEPFDLCFPKSGASGAAPDLVFTFRGGAAMTVAASNYLLDYKNGTVCLAMLSSARLNSTTELSLLGSLQQENIHFLFDLDKETLSFEPADCTKLS >ONIVA10G19110.1 pep chromosome:AWHD00000000:10:19317088:19318281:1 gene:ONIVA10G19110 transcript:ONIVA10G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLIVTLLVLCAAATTCASALRRHDPRRAMRGRLLADGGGAVVPFHWSPELYNVANFTIGTPPQAASAFIDLTGELVWTQCSQCIHCFKQDLPVFVPNASSTFKPEPCGTDVCKSIPTPKCASDVCAYDGVTGLGGHTVGIVATDTFAIGTAAPASLGFGCVVASDIDTMGGPSGFIGLGRTPWSLVAQMKLTRFSYCLAPHDTGKNSRLFLGASAKLAGGGAWTPFVKTSPNDGMSQYYPIELEEIKAGDATITMPRGRTTVLVQTAVVRVSLLVDSVYQEFKKAVMASVGAAPTATPVGAPFEVCFPKAGVSGAPDLVFTFQAGAALTVPPANYLFDVGNDTVCLSVMSIALLNITALDGLNILGSFQQENVHLLFDLDKDMLSFEPADCSSLS >ONIVA10G19100.1 pep chromosome:AWHD00000000:10:19305084:19305479:-1 gene:ONIVA10G19100 transcript:ONIVA10G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVAPGSGPRLPPPPPAAGGGGFGLDGLCTVAGSGWQCADPRLVVGSVAPAAGCGLNGCGLRCSINVTLPSNIFPPLSSLLFEALYNYDVSLATEPVLLLALTSLALIHHLGVARASPLSGSLLGLLLA >ONIVA10G19090.1 pep chromosome:AWHD00000000:10:19286979:19289140:-1 gene:ONIVA10G19090 transcript:ONIVA10G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVTVPSASVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIRVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYVFTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAKTAVSHGLWLNIPDYDAPTQMVKPRERNSRYVDAVMTVPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHLSLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQNATIPKECDTVQKCYLSLAEQVREKLGKIDPYFVKLADAMVTWIEAWDELNPSTAAVENGKAK >ONIVA10G19080.1 pep chromosome:AWHD00000000:10:19278462:19285743:1 gene:ONIVA10G19080 transcript:ONIVA10G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G02300) TAIR;Acc:AT3G02300] MDMDDMLGGLRVAGVPTKSAIYLWGYNHSGQTARKGKECHLRIPKSLPPKLFKWQDGKNLRWIDVACGRGHTAAVASDGSLYTWGANDFGQLGDGTEESAKEPQKVKALETEFVKSVSCGAHCTAAVAEPRENNGTISRSRLWVWGQNQGSDYPRLFWGALAPNTVIRQVSCGAVHVMALSEDGLLQAWGYNEYGQLGRGCTSEGLQGARVLNAYARFLDEAPELVKIVRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDKELIPRRVVALDRTVIRDVSCGGVHSCAVTEDGALYAWGGGHVGQLGLGPQSGFFSCALNGSDMLLRNIPVLVIPSGVQLVTCGHSHTLVSMKDSRIYGWGYNSYGQAANEKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNMSNALLIEDVASRTGGDALARLCEKLREHLVEQGECELLENQMIEEVEAKA >ONIVA10G19080.2 pep chromosome:AWHD00000000:10:19278462:19285743:1 gene:ONIVA10G19080 transcript:ONIVA10G19080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G02300) TAIR;Acc:AT3G02300] MDMDDMLGGLRVAGVPTKSAIYLWGYNHSGQTARKGKECHLRIPKSLPPKLFKWQDGKNLRWIDVACGRGHTAAVASDGSLYTWGANDFGQLGDGTEESAKEPQKVKALETEFVKSVSCGAHCTAAVAEPRENNGTISRSRLWVWGQNQGSDYPRLFWGALAPNTVIRQVSCGAVHVMALSEDGLLQAWDILGDWEAWDSLGIALFNKELIPRRVVALDRTVIRDVSCGGVHSCAVTEDGALYAWGGGHVGQLGLGPQSGFFSCALNGSDMLLRNIPVLVIPSGVQLVTCGHSHTLVSMKDSRIYGWGYNSYGQAANEKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNMSNALLIEDVASRTGGDALARLCEKLREHLVEQGECELLENQMIEEVEAKA >ONIVA10G19080.3 pep chromosome:AWHD00000000:10:19278364:19285743:1 gene:ONIVA10G19080 transcript:ONIVA10G19080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G02300) TAIR;Acc:AT3G02300] MDMDDMLGGLRVAGVPTKSAIYLWGYNHSGQTARKGKECHLRIPKSLPPKLFKWQDGKNLRWIDVACGRGHTAAVASDGSLYTWGANDFGQLGDGTEESAKEPQKVKALETEFVKSVSCGAHCTAAVAEPRENNGTISRSRLWVWGQNQGSDYPRLFWGALAPNTVIRQVSCGAVHVMALSEDGLLQAWGYNEYGQLGRGCTSEGLQGARVLNAYARFLDEAPELVKIVRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDKELIPRRVVALDRTVIRDVSCGGVHSCAVTEDGALYAWGGGHVGQLGLGPQSGFFSCALNGSDMLLRNIPVLVIPSGVQLVTCGHSHTLVSMKDSRIYGWGYNSYGQAANEKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNMSNALLIEDVASRTGGDALARLCEKLREHLVEQGECELLENQMIEEVEAKA >ONIVA10G19080.4 pep chromosome:AWHD00000000:10:19278364:19285743:1 gene:ONIVA10G19080 transcript:ONIVA10G19080.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G02300) TAIR;Acc:AT3G02300] MDMDDMLGGLRVAGVPTKSAIYLWGYNHSGQTARKGKECHLRIPKSLPPKLFKWQDGKNLRWIDVACGRGHTAAVASDGSLYTWGANDFGQLGDGTEESAKEPQKVKALETEFVKSVSCGAHCTAAVAEPRENNGTISRSRLWVWGQNQGSDYPRLFWGALAPNTVIRQVSCGAVHVMALSEDGLLQAWDILGDWEAWDSLGIALFNKELIPRRVVALDRTVIRDVSCGGVHSCAVTEDGALYAWGGGHVGQLGLGPQSGFFSCALNGSDMLLRNIPVLVIPSGVQLVTCGHSHTLVSMKDSRIYGWGYNSYGQAANEKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNMSNALLIEDVASRTGGDALARLCEKLREHLVEQGECELLENQMIEEVEAKA >ONIVA10G19070.1 pep chromosome:AWHD00000000:10:19274889:19276538:-1 gene:ONIVA10G19070 transcript:ONIVA10G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEMQMDLRTLHRYGGDGDDGGHGDGEDEDDVLDLDHIELCSFMEQIKSAVQLVRAALQEKVMRMTTNTRGGEDDDGGGDEAFPSGSGHLKSVLESLDPQLKQCALCLAVFPSGKAIKKRLLIHWWIGEGIVRSAAAGKACFQDLLSRGLLQPAMLRPHCNTAHYCRVHPSVRDLLVAAARSFSYFAFDRDGEPTDECLPGTTRRVTLCRTRGSSRHGGGGGEYVTVYNLSQRYVEMDEAWLGEQRGMGTLQLGRWQTSPEHHVEMVRPGGVLGAAAAAACRNLRYLSLRGISLVESLPESIGDLRDLVVLDLRACHNLETLPASMASLGKLEYLDASECYLLDQMPHGVCKLHRLQVLKGFVVASAAGGKKIPPCRLADLAALPLLRKLSVSTGRQLPVAPDDELPRLHGCAALESLSVRWGAAAAHAGGGGRMDLSLLPRLAKLDLRRVPAEELQEVVHPARGGGLRKLCVRGGRLRAFGDDVTWDVVETLRVRFLERLDCEWRQLRSTFGKLRFVDKRRCPKLSSWRCDAQGIWRREEDDGGDRN >ONIVA10G19060.1 pep chromosome:AWHD00000000:10:19252274:19260109:-1 gene:ONIVA10G19060 transcript:ONIVA10G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFRGGFRPEKQRTKAASGGDDDDNDGGGQLTAILNSLVQKASDKFLRGFMGVLTIAREYSTLCESLQMLRQAAESAGRTGQNNKDMTLRFSMAELRLLTFKVEHLLEELRWEAHHNKALVDGHRNRMMRNMYIPLVLPRSMKRKLKMITGQLNALGAEINGFINHVPLVMQNNIVGRVHEKQKIKQKLFCLDRYKHEGLKVLCVVGIEGVGKTALVQLIFDEVNVKEYFSLCIWVNVSRQFDAMRITKRIIEVATCEPLETQMDHKEEKELQSYLQNILHERRFLLVLDDVCDENTNGWEELRTSLASGASGSTVIVTTRELCVARTLEAPASGIIELGPMSDDEIWSIMRQRMLCGLDDKPELIQVGQSLVQKCHGIPLAAVTLGDLLRKKGTSNEWSSVIEAANEWLALAESDMLTTTAGIASVALQMSYEHLQPDTKRCFAFCALFPEAFEVDGDMLIQLWMANDMVWYDTEGMGAWMLDRLQSRSFLQDVSQPYNGVTIYKMHPLVHGIATSAAGKEIRILHQGHQLTEVMPELHHLSVVGSGLDVDMILPIAQGIHTLLSQGEGCRISVSNPDFWKSNSLRALDLHGLLSASVPFSCQDMKHLRYLDLSRSWITSLPEDFFMIYNLQTLRLSDCFYLKQLPENMRFMENLRHIYIDGCFRLENMPSNMGQLQNLQTLTTYIVGNGDGYGIEEIKSMDLGGRLEIYNLKNVRDKSKAEAANLSLKTRMSNMLLCWGMFRDDEVNAYNAEEVMEALRTPMCVQTLKVWRYPGSILPIWWPGQTLANLVKLTIKDCARCKRLPPVQYFPSLEVLHLEGMDSLTLFCDNVSMNNIEVSYYRFFWRLKSLILCDMLSLEKWQEDEVIEVFTIPVLEEMKLINCPKLVTLPNVPMLRCFIVEGQNKQQLYSLAPSSSKSKGPSCRSTYQRSFFVYGVYSEEARTQNGANENPMERT >ONIVA10G19060.2 pep chromosome:AWHD00000000:10:19252326:19260109:-1 gene:ONIVA10G19060 transcript:ONIVA10G19060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFRGGFRPEKQRTKAASGGDDDDNDGGGQLTAILNSLVQKASDKFLRGFMGVLTIAREYSTLCESLQMLRQAAESAGRTAKLLCVWSVQ >ONIVA10G19050.1 pep chromosome:AWHD00000000:10:19246682:19250774:-1 gene:ONIVA10G19050 transcript:ONIVA10G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38900) TAIR;Acc:AT5G38900] MNQIKSNPQIKVYQNSVAEVSLPSSSWSRLLAQAASPPHNWTRIYMASNAGKKLIQIDVSSDTVCPWCFVGKKNLEKAMEQNKDKFDFEVRWHPFFLNPNAPKEGIKKSDYYRMKFGPIQFEHATARMTEIFRGLGMEYDMSGLTGNTMDSHRLITLAGHQGYDKQSALVEELFQSYFCHGKFIGDRQVLLDAARKVGIEGAEELLQDSNKGVDEVKEELNKYSSGISGVPHFVINGKFQLSGGQPPNAFTRAFDVAAKDGAQ >ONIVA10G19040.1 pep chromosome:AWHD00000000:10:19242211:19243572:-1 gene:ONIVA10G19040 transcript:ONIVA10G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HBS0] MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >ONIVA10G19030.1 pep chromosome:AWHD00000000:10:19237455:19240638:1 gene:ONIVA10G19030 transcript:ONIVA10G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLCCLADDGRPVCCFCLPWPIFNAAHNHNHNSGSIARPRADTRVAPDQGRISLTAPTQHDSMDTFRCPPRPLPWDDPRFSHHTEHHPLVGGHDKASTTFHKSGSLGESKNADSISNSKAVKDDGPSTAVKDDGSSTAVKDDGSSVKHHSDGLHIGKEQVHDLFDFEDDCPICLEEYDYENPKMTLQCNHNFHLCCIYEWMERSQACPVCSKVMLFHEDS >ONIVA10G19020.1 pep chromosome:AWHD00000000:10:19212709:19214262:-1 gene:ONIVA10G19020 transcript:ONIVA10G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLPAFCRGGRTVAVAAATTTTRVRKRVQRVAAAYHAASKLPPPPAVATKASLGGGCADAPCAGGWCHSYGDGAAAPAVAGAGGGGGGGKKDGGGRRVMVVADGRAEAAGALQWALSQAVRRNDAVLLLAVVRPAANASSDGGGGGGESSCVNISRTRCYQQLDAMRSMCESARPEVKVEVCVMEAAGRERAPAVVEAARRHGASLLVLGQRRRRRAAVARWLQLALWPAVAAAKSKYWRRRGARRSTTTVEYCIEHAPCVALGVRRRSSGGYLVSSKRHKDFWLLA >ONIVA10G19010.1 pep chromosome:AWHD00000000:10:19200330:19206717:-1 gene:ONIVA10G19010 transcript:ONIVA10G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nop14-like protein (InterPr /.../07276); Has 69842 Blast hits to 35213 proteins in 1572 species: Archae - 363; Bacteria - 20593; Metazoa - 20851; Fungi - 8010; Plants - 2912; Viruses - 517; Other Eukaryotes - 16596 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G69070) TAIR;Acc:AT1G69070] MAKTKPMAAAAAAAAADKKKGKGKKKKQGKNGPAAVAMKARGAAAAAASGSNNPFEAIWSRRKFDVLGKKRKGEERRIGRARSEAIHKRENTLLKEFEQSAKSSVFQDRRIGERDETLPEFDKAILRQQREHMAKLKRESKYNLSDDEEDEVDVHLPHSLSGKDDFDEEVPLDDYSDEEGHMILSKNRIPLQSGDVPSETGLPEGTNVHKSKKEVMSEIILKSKFYKAQKAKEREEDEHLVDKLDSDFAMLAQTQAMLSLTRSARMDANKYNSSTVQKDSFGLTAKEIFNKTFIVGCGEIHIDVFFIDVMMQKPDAYDKLVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEKERQKRMLGTAESSDEDDDDDEEGDRSMKLDSSKPISGDDLGDSFSTDEPARKEKGWVDEIYEREGKKIGEDAEGSDNEESDDDGDDDDDDAEDGDDDAEDEDEEEDLSDNDFGNMSARDWEQSDDDEVTVEEDEMEGLKQKEQKISGKVVKKDLQNSKKESNAESQVKDDNLPFVIEAPSNLKDLCSLLDGWSETEIIEIISRIRTCNSIRLAAENRKKMQVFYGVLLQYFAVLATQSPVRFKLIDTLVKPLIEMSGETPYFAAICARQRLIHTRTRLCEDIKVSGKSCWPSLKTLLLLRLWSLIFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAVGSFLCSMVLVATKESKKFCPEAVVFLQSLLVTSLGGNLGSDLRKKINDQFLELKTMKPWLHIHEKVHEVNPANILEVICMDSDAPYFSSDNFKASVLLSVAECLRGFVIIHEELCSFPEIFLPISSLMQEIMDKSDLPGLLQYIFHEVIELIKNRSDEVHASRQPLQMRKQKPEPIRQLNPKFEENYIKGLDYDPDRERAQMKKMKKRLKSEKKGAMRELRKDNYFLSAVKEKERIKQEQERAEKYGKAMAFLQEQEHAFKSGQLGKGRKRRR >ONIVA10G19010.2 pep chromosome:AWHD00000000:10:19200330:19206606:-1 gene:ONIVA10G19010 transcript:ONIVA10G19010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nop14-like protein (InterPr /.../07276); Has 69842 Blast hits to 35213 proteins in 1572 species: Archae - 363; Bacteria - 20593; Metazoa - 20851; Fungi - 8010; Plants - 2912; Viruses - 517; Other Eukaryotes - 16596 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G69070) TAIR;Acc:AT1G69070] MKARGAAAAAASGSNNPFEAIWSRRKFDVLGKKRKGEERRIGRARSEAIHKRENTLLKEFEQSAKSSVFQDRRIGERDETLPEFDKAILRQQREHMAKLKRESKYNLSDDEEDEVDVHLPHSLSGKDDFDEEVPLDDYSDEEGHMILSKNRIPLQSGDVPSETGLPEGTNVHKSKKEVMSEIILKSKFYKAQKAKEREEDEHLVDKLDSDFAMLAQTQAMLSLTRSARMDANKYNSSTVQKDSFGLTAKEIFNKQKPDAYDKLVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEKERQKRMLGTAESSDEDDDDDEEGDRSMKLDSSKPISGDDLGDSFSTDEPARKEKGWVDEIYEREGKKIGEDAEGSDNEESDDDGDDDDDDAEDGDDDAEDEDEEEDLSDNDFGNMSARDWEQSDDDEVTVEEDEMEGLKQKEQKISGKVVKKDLQNSKKESNAESQVKDDNLPFVIEAPSNLKDLCSLLDGWSETEIIEIISRIRTCNSIRLAAENRKKMQVFYGVLLQYFAVLATQSPVRFKLIDTLVKPLIEMSGETPYFAAICARQRLIHTRTRLCEDIKVSGKSCWPSLKTLLLLRLWSLIFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAVGSFLCSMVLVATKESKKFCPEAVVFLQSLLVTSLGGNLGSDLRKKINDQFLELKTMKPWLHIHEKVHEVNPANILEVICMDSDAPYFSSDNFKASVLLSVAECLRGFVIIHEELCSFPEIFLPISSLMQEIMDKSDLPGLLQYIFHEVIELIKNRSDEVHASRQPLQMRKQKPEPIRQLNPKFEENYIKGLDYDPDRERAQMKKMKKRLKSEKKGAMRELRKDNYFLSAVKEKERIKQEQERAEKYGKAMAFLQEQEHAFKSGQLGKGRKRRR >ONIVA10G19010.3 pep chromosome:AWHD00000000:10:19200330:19206717:-1 gene:ONIVA10G19010 transcript:ONIVA10G19010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nop14-like protein (InterPr /.../07276); Has 69842 Blast hits to 35213 proteins in 1572 species: Archae - 363; Bacteria - 20593; Metazoa - 20851; Fungi - 8010; Plants - 2912; Viruses - 517; Other Eukaryotes - 16596 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G69070) TAIR;Acc:AT1G69070] MAKTKPMAAAAAAAAADKKKGKGKKKKQGKNGPAAVAMKARGAAAAAASGSNNPFEAIWSRRKFDVLGKKRKGEERRIGRARSEAIHKRENTLLKEFEQSAKSSVFQDRRIGERDETLPEFDKAILRQQREHMAKLKRESKYNLSDDEEDEVDVHLPHSLSGKDDFDEEVPLDDYSDEEGHMILSKNRIPLQSGDVPSETGLPEGTNVHKSKKEVMSEIILKSKFYKAQKAKEREEDEHLVDKLDSDFAMLAQTQAMLSLTRSARMDANKYNSSTVQKDSFGLTAKEIFNKQKPDAYDKLVKEMVMDQRARPSDRTKTPEEIAQEEKERLEKLEKERQKRMLGTAESSDEDDDDDEEGDRSMKLDSSKPISGDDLGDSFSTDEPARKEKGWVDEIYEREGKKIGEDAEGSDNEESDDDGDDDDDDAEDGDDDAEDEDEEEDLSDNDFGNMSARDWEQSDDDEVTVEEDEMEGLKQKEQKISGKVVKKDLQNSKKESNAESQVKDDNLPFVIEAPSNLKDLCSLLDGWSETEIIEIISRIRTCNSIRLAAENRKKMQVFYGVLLQYFAVLATQSPVRFKLIDTLVKPLIEMSGETPYFAAICARQRLIHTRTRLCEDIKVSGKSCWPSLKTLLLLRLWSLIFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAVGSFLCSMVLVATKESKKFCPEAVVFLQSLLVTSLGGNLGSDLRKKINDQFLELKTMKPWLHIHEKVHEVNPANILEVICMDSDAPYFSSDNFKASVLLSVAECLRGFVIIHEELCSFPEIFLPISSLMQEIMDKSDLPGLLQYIFHEVIELIKNRSDEVHASRQPLQMRKQKPEPIRQLNPKFEENYIKGLDYDPDRERAQMKKMKKRLKSEKKGAMRELRKDNYFLSAVKEKERIKQEQERAEKYGKAMAFLQEQEHAFKSGQLGKGRKRRR >ONIVA10G19000.1 pep chromosome:AWHD00000000:10:19195668:19198845:1 gene:ONIVA10G19000 transcript:ONIVA10G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDEGYGPTWDSDDEYDNFIRKMNPPRIEIDNDSCNDATIVRVDSANEYGILLEVIQVLIDLNLVISKAYITSDGGWVMDVFNITDKEGQKLKDKATIARIEDYICKSLGADSRYIPSRRRSVDVAASSDHNVIELTGTDRPGLLSEVSAVLASLKCNVVSAEIWTHNTRAAAVMRVTDEGTGSAVTDADRLERIRDRLSYLLRGGNLSRGTAMAVSTGTCSTHTERRLHQMMLDDGDHEQLHRHPPNQSQRPNVTVSNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLHYVVFHANIDAKDNQAYQEFYVRHVNGSPMHTEADRLRVIQCLEAAIERRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVSTRGRMAVNTFYVRDSTGGTVDQKTIDSIRQAIGQNIQVKGQPEPSEPQKKESPTWFLFANLFRPRSLYSFGMFMR >ONIVA10G18990.1 pep chromosome:AWHD00000000:10:19183493:19184019:-1 gene:ONIVA10G18990 transcript:ONIVA10G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGWSGGVRAEGRRTEREGKGLPVRRPWKVFPKIAEQINKMAVTNSNKIATKSTPPLHGPEDAAGGWGGGRNGAAPSSVRRVGQRQRRRGDVDAASSLRRHRRLEVDEQVSPERGPSPHLLILFLPPQREEGGAPRLTAVLFSRTPREKEREEGGGLPLASGTSAAEV >ONIVA10G18980.1 pep chromosome:AWHD00000000:10:19180427:19181638:1 gene:ONIVA10G18980 transcript:ONIVA10G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIRGRGADGGVSPASKRKLGGRGGGNGLILIDLHKYTIEIVIRKRDTEVRNGKARYRHCIALPMRESVTVSRVEWARRFELAVSLVGYAISTRYRVFIE >ONIVA10G18970.1 pep chromosome:AWHD00000000:10:19178845:19179348:1 gene:ONIVA10G18970 transcript:ONIVA10G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVAGGCVLRHPRLTSKVVAGGCVLCCPRPTSEVVAGATSFATTPTPGLLLVVASSAAMRHAGLVAGGHVLRCPCIASRRSSSPEAASSAIHSHATPGAHRRRPRQSSSLEAASSAIHSHAPELVVGGCILRRPQPCHAGSSSLETASPTIHTPCYAGARAAVML >ONIVA10G18960.1 pep chromosome:AWHD00000000:10:19174166:19178788:1 gene:ONIVA10G18960 transcript:ONIVA10G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQNLHRHPEFCVIPATACSREPGLNRIVIRSNMGSDDNKRFDDFHVITNGPGSDAYSNEASINLDSYIAPSTSFCSKIYNPQLYVEKGNGTTDWCQTSGGDYPEKSSINSDITLSYIDKILMQEDIDDRGNEDTALQAMEEPFYELLGEKYPAFPQQQPLCVCDHLQNLSANTDKSNGHACNTWSVTRMTNISSSMNSNGNFQGFQFPWSLSSITRETEQFTHHSNRMVVGLKVDGLSISEKPSQDNCSLQIDAHYMRKHPLFEVHDRKSYPCIEDLDLLEGRSNKQYAIYYDEPIQDEMFDNVLLCSDHKPLDEGVSLSRAMTNNSSKSSQIGQGKTSARRKTTGKRIQKRDVVDLRTLLINCAQAVSVSNHSLASDILKIIRHHASPTGDDSQRLALCLAYCLDVRLTGTGSQIYHKFITKRRNVKDILKVFHVCLSTCPFLRASHYFSNRTIVDVSKGKPQVHIIDFGICFGFQWPSLFEELAKIEDGPPKLRITGIELPESGFRPYARSNNIGLRLADYAKTFNIPFEYQHISSNKWEALSPEDFNIEKDEVLIVNCIYRMKDLGDETISINSARSRVLNTIRMMKPKVFVQGVLNGSYGVPFFLTRFKEVMYHYNSLFDMLDKNIPRDNETRMIIERDIYQYIMLNVIACEGPERIERPESYKKWKVRNLKAGLVQLPLNPAIVRETQDMSSDQASIRLCLVCYGNIYDSYHVSGDTREVSCDTYQVSDDSYHVSGDTREISCDTYQVSDDFYHVSGDTYEISDDTYRISGDFY >ONIVA10G18950.1 pep chromosome:AWHD00000000:10:19168053:19170817:-1 gene:ONIVA10G18950 transcript:ONIVA10G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAVALHGGAGDIPRSLPPDRREPRLATLRRCLDLATAALRSGRAALDVVELVVRELENCPHYNAGVGSVLTADGTVEMEAAVMDGNTLRCGAVSGLSTVVNAVSLARLVMEKTPHIYLAFDGAEAFAREQGVETKDPSHFITEANKERLRQAKEANRVQVDYTQPIPKDDNSRVGTVGCVAIDSAGNLATATSTGGLVNKMAGRIGDTPVIGAGTYANALCAVSATGVGEAIIRHTVARDVAALLEHAPPAGLSLGDAAARVVAGVPPGKVGLVAVSAAGEVAMAYNTTGMFRACATEGGRHEVAIWPDDADGAN >ONIVA10G18940.1 pep chromosome:AWHD00000000:10:19164452:19167517:1 gene:ONIVA10G18940 transcript:ONIVA10G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGAVGGRAAFQWWRRTRRRAVAAEDVDDGGCGGLEGRGGSRTDVNLAVPAPARCSTRQQGKGGCGAVGGGRVTRVGGGGGDAARFLGNDDGSGGRRRLQAIRSSGGVGKSGAQPRERSGADGRAGGERRAAPRRRIGRCHGKPISEKRRPSVKLEDLPEDVLCIIVSKLPLKEVSRTSVLSSSWRYICSICRPKLSFSGFCGFYDDPHEREQYSQKFAEKVNAVLRKYDGKLIEEFDVKIEFDAVLSDHLNNWLTFAMLSRTKNLAFDLEPATSYSWGDCHTFPFHLLDRESISRLQRIQLSFLSFKPPPDFRGFPNLRKLDLNLVHLNREDLEVMLHGCHNLEWLRLVRCYLNGKLELCNPLSRLAHLAVIQCGATMLANFKYHGDFVSIAINPASKLENAYIWFFKATFEDALSALLNGILSIQNLTLHIYWLRIEAQMPSNTCIFPHLRHLQLLMNIQLEYANKVPNVVSSLMRAAPFLQKLEVHFGGNDKIWFADQGPGMPQLTRCEYSCLKNVHITGYKGARCQIEFLLHIVENAPTLEALTVDTTQVLYEDYYGARIGNKFSECVAVVARDFLRRKLPTKVKLHVM >ONIVA10G18930.1 pep chromosome:AWHD00000000:10:19159358:19159711:1 gene:ONIVA10G18930 transcript:ONIVA10G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAGACVGTSCYVSGLIPGRYHANLIPGTCEVSSIMAGCEVSGIMVGCKVSSIIAGCEVSGIMAGYEVSSTTRPLSSYPSLLLSLPLDLILVSYYYCNFTARD >ONIVA10G18920.1 pep chromosome:AWHD00000000:10:19153038:19155986:-1 gene:ONIVA10G18920 transcript:ONIVA10G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRRRGEEFAVAELQVLRTASGRVKARLCVLRSAISSSKDEDEAEDGDHGGGGRRPWDIMELPIVYDGDEERCDIFYWTTDAVIAFQDHLCWVDYDRGMLLCDVLRTSPRVAFLRFPLDSSIIPTGRRSRRNFSQVYRGVSVTTAATGGAALKFADVSRHDGKLLGSLEQGRGGYTITCHTLRSTLGAAAIDDEWSWSKDFAIASDEILRSFEGAPELEPREVLLFPTVSMDTPSVMHFLTCDYDRMIRKMSVVTIDIASKIVLSVVPYVNGEEDLSGEDADMEEEEPGMSVLN >ONIVA10G18910.1 pep chromosome:AWHD00000000:10:19150288:19150821:1 gene:ONIVA10G18910 transcript:ONIVA10G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIMTGGVDCIAAANQWGQQDLHEGGRRQRRFRSPPRGALPTLAMVRRRWWQRGTGRHGGTGNDAGTSQRWRRRRWQQGTGRRGERVTTRAPPSAGDGDGECSPHASRRRRARRWLDREPESSLHQRRFDGGGGGRGLDGVGNGAWGATETSMSAVGDGGDVGGGGGARCSDGADA >ONIVA10G18900.1 pep chromosome:AWHD00000000:10:19147195:19149423:-1 gene:ONIVA10G18900 transcript:ONIVA10G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53700) TAIR;Acc:AT3G53700] MPRVCAAPRAPPPPCPCHVGVGPLRPRWRASRHGPLRAAGQDQLLTALREQPDPDAALRMLNAALARDDFAPGPEVYEEIIRKLGAVGALDLMKVLVAEMRREGHQVKLGVVHSFLDSYEGQQLFDDAVDLILNQLQPLFGIQADTVVYNHLLNVLVEGSKMKLLESVYSEMGARGIKPDVVTFNTLMKALCRAHQVRTAVLMLEEMSSRGVAPDETTFTTLMQGFVEEGSIEAALRVKARMLEMGCSATKVTVNVLINGYCKLGRVEDALGYIQQEIADGFEPDQITYNTFVNGLCQNDHVGHALKVMDVMVQEGHDPDVFTYNIVVNCLCKNGQLEEAKGILNQMVDRGCLPDITTFNTLIAALCTGNRLEEALDLARQVTVKGVSPDVYTFNILINALCKVGDPHLALRLFEEMKNSGCTPDEVTYNTLIDNLCSLGKLGKALDLLKDMESTGCPRSTITYNTIIDGLCKKMRIEEAEEVFDQMDLQGISRNAITFNTLIDGLCKDKKIDDAFELINQMISEGLQPNNITYNSILTHYCKQGDIKKAADILETMTANGFEVDVVTYGTLINGLCKAGRTQVALKVLRGMRIKGMRPTPKAYNPVLQSLFRRNNIRDALSLFREMAEVGEPPDALTYKIVFRGLCRGGGPIKEAFDFMLEMVDKGFIPEFSSFRMLAEGLLNLGMDDYFIRAIEIIMEKVDLRESDVSAIRGYLKIRKFYDALATFGRFLEINNPQWSYR >ONIVA10G18890.1 pep chromosome:AWHD00000000:10:19130504:19137223:-1 gene:ONIVA10G18890 transcript:ONIVA10G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAVANGGAADDVAAPDKDNDIKEKAGKGNEVAVENKNAEEQNKGGSENGTKGPSDGDVEMAEAETAKESDVKQLDTEDAKKDGAQDADANEEKNTKDTEGEDVKMAEAEAEAGNADVKDTAEKEDKDENTNTEKQELKDAKESDSEKQEELKEQDKSGSEKQEELKEQDKSGLAEYEEKKAEEESGAEKQGEEEAEEKGSADNDNEEGDKNANISDEHLKKDGGKDGNKDEAEEEEVSEADKKVEENKEETPKNKKARSARDRSQGKDKKQDGSKSREAKSLLNTASPYGTDRPQRERKTVERLVEVIEKEPNRNFVVEKGRGTPLKDIPSVAHRISRKKPADLKFLHNVLFGRKGKTVDFKGHILQFSGFVWHESDEKQRAKAKEKLDKCVKDMLLELCWILAIPTPKANIRKGSNSRKRKRGSTPKSSSKKFDDDDDITPSKKRNKALEYDTDEDEDDADPMKSDSEEDDYDSEKEKAKKKSSDAKDTASKKKAATGSSHKTGTPRTVSKSSSKTPSSKVSKEKESPEDSAKVFSRKKKSVTPKRPSSSEKEIKDKKSSGKKVTKGKGESAEVGLPSKDELRKTITEILKKVDFNTATFSDILKKLEDRYKIDLTPRKSAIKIMIQEELTRLSKEDDDEDEDEDENEDAEKKKPQHQAKEVVA >ONIVA10G18880.1 pep chromosome:AWHD00000000:10:19127897:19128115:-1 gene:ONIVA10G18880 transcript:ONIVA10G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRGAKRRRRRLQWRRPLWRVARPEQIARRTDADAAPGESTALRARSYGCIRVFLVLSLLLLAVEIAAYL >ONIVA10G18870.1 pep chromosome:AWHD00000000:10:19124334:19125086:1 gene:ONIVA10G18870 transcript:ONIVA10G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQFHDLKHCNPGSDARITRSSGVSQVAFVLAAIALISLVSPSSVEYTVFSSTLPAPLRALGSFVMSKKALFVLSNAIFLFLAADYYRCFFSLSPSTSDFTACGHTGVGDKQEQHHHQVGVEPSSATESCVPDHSEAPYRDNDDASEDCSHGERMDGEGSRRNIVRTPDDEMPSGEQKAHGDIAMPSQPEFFRLDEDDVILESAVVKGPSCGTTGQELDKLGIDELNKKFEEFIKSRRTKWEKEEASLS >ONIVA10G18860.1 pep chromosome:AWHD00000000:10:19107604:19111773:-1 gene:ONIVA10G18860 transcript:ONIVA10G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSSEESDVSDSDIGVHEEKTYNQLRAGKVKVKHGNNTFRCPFCPGKKKQDYSSKDLLQHASGVGAASKHKAKVKATHLALARYLKVDLAGSLESSPQLATVEHQPPGSEEKYVWPWMGILVNLPTELKGKGFVGESEERLRAQFSRFKPLQVTILWNSTDNADYAIVKFAENWNGMKDALAFEKHFNVERYGKTDWNKRNCRRDDLYGWVARSDDYNSLEPMGKYLRKNGELKGVCDLEHEGQRKMGRHVGYYARQIEEKNKYLEELTLMNNQNGLKLERMMEEKDQLFEEHNRNIIELQQHACKDSKRIIDENLKLYEELQAKIHEIDRRCKELNYLATNTNIYRGKLVAEKEKNAKENELLNLANLKQKEADEELLRLVEKHKQEKEEALRKQVELELELDSRQKLELEKEQLRGKIEVMKMMGSEEDGTLKELDELRTKLEEKDDDMESMDSLNQALIIKNQRTIDELKEAKKELINGLEKMAGVRSIIGVKRMGELDQKTFYNACKNKMPNDKLKLALVCSKWEDEITKPEWHPFKVIETAGQIKEIIKEDDGKLQALRAQYGDEACNVVVKALVEMNEYNPSGRYPVPELWNFKQNRSAPMPEAASYLLKQWKTHKKRNT >ONIVA10G18850.1 pep chromosome:AWHD00000000:10:19090560:19090886:-1 gene:ONIVA10G18850 transcript:ONIVA10G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNKGSLLAGFATFLQRIRGGGGGEDYQLPINHKHPDHKADILMYGDMVEAAYNYKAFAADEKEVYYGGGGGGYLYLATTNLYATIDAVPAPYRSRRLWPTSPSAP >ONIVA10G18840.1 pep chromosome:AWHD00000000:10:19083499:19088682:-1 gene:ONIVA10G18840 transcript:ONIVA10G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IVK7] MRPRRRKEVVGFRGLGPHKTPLPIFHSFHLFRHHAAAAARAAQGKKKKKKKKKKKKKRKASCRMQAVRTLVLRHLRLACRRAPPSAAACGGARPAVYGVVGVAPGHRGMAASAGQEGGPPRDFSEGAVRARVVELVKKFDRIDADKVTETADFQRDLSLDSLDRVELVMAFEQEFSVEIPDDKADKLSCCADVAKYIISESQSNKSNAGSS >ONIVA10G18830.1 pep chromosome:AWHD00000000:10:19078994:19080032:-1 gene:ONIVA10G18830 transcript:ONIVA10G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVKLIGAFGSPFVHRAEVALRLKGVAYEFIHEDLNNKSDLLLAKNPIHKKVPVLLHGDRAVCESLVIVEYIDEAFNGPPLLPADPYHRAMARFWAHFIDHKAIFSCHSFKSYVSTRPSWLALWLEGEEQKGFLKETKENLALLEAQLGGKRFFAGDSIGYLDIAAGGLAHWVGVLEEVTGVSLVAGDDGDDEYRALRRWTNEYTANDAVKLCLPNRERIAAFFTPKDKYKIMARAMLRQQ >ONIVA10G18820.1 pep chromosome:AWHD00000000:10:19069181:19069966:-1 gene:ONIVA10G18820 transcript:ONIVA10G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVALSSLLAVGGAAAFAVQPSKKTGSGLFRNRVITVRRPRISANLGGDGEVKPAGNKKKFITREEEPEQYWQAAGERKGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >ONIVA10G18810.1 pep chromosome:AWHD00000000:10:19066370:19070016:1 gene:ONIVA10G18810 transcript:ONIVA10G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAKKSCDCESAAAVESELQAEAANPEREGKGRGRSTEASHLRGAPWPPLTLSRRKQSRHRRMLPRPPPLPPPKKKKTSGEKEEKIYLGPHGAPPSQAKQQEINIVGRKQRFRNKLKEADNKFTGNAQENKVETLRELMGARTHSKGVPKSSPRDWLDPHCHESEFDRKPH >ONIVA10G18800.1 pep chromosome:AWHD00000000:10:19041439:19043563:1 gene:ONIVA10G18800 transcript:ONIVA10G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTLPLLGAAVVLAAFLLFFLVKNNRCCWSPAAERRLRLPPGPWRLPLVGSLHHVLLSRHGDLPHRALRELAGRYGALMLLRFGAVPTLVVSSAEAAREVLKTHDACFASRHMTPTLAVFTRGGRDILFSPYGDLWRQLRRICVLELFSARRVQSLRHVREDEAARLVRAVAEECAIGGGGGAVVPIGDMMSRMVNDSVVRSAIGGRCARRDEFLRELEVSVRLTGGFNLADLYPSSSLARWLSGALRETEQCNRRVRAIMDDIIRERAAGKDDGDGEDDLLGVLLRLQKNGGVQCPLTTDMIATVIMLVRNPKVMDKAQSEVRKLFEGQDNLTEDDMSRLSYLHLVIRETLRLHAPAPFLLPRECREQCNVMGYDITEGTRVLVNAWAIARDTRYWEDPEIFKPERFNANLVDFKGNDFEYIPFGSGRRVCPGITLGLTSMELVLASLLYYFDWELPGGKRCEEIDMSEAFGITVRRKSKLVLHATPRVPCLH >ONIVA10G18790.1 pep chromosome:AWHD00000000:10:19028933:19033175:-1 gene:ONIVA10G18790 transcript:ONIVA10G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAACKKTNLISPRRSPRLKNIHVIYGEHSETNYPTLGPVKTEVIDLEEIASPSSPEFNDDSVGDEDFQNVSLKDLRAQCKAKNRRALKADSERPDFKNQRQCGKRNLEDEVPKEEVDLDEPIIAFRQKRQKTSPTKSNRTMGKPISLNAVKLQDTTLRREETEPIKLPPLEVTSHDSMSTAENMERSAADVKHSTIAAGNTEEIVGENILYAEMENTPLSTGAVISGRSPDIFCEIKTEDEDIYSEQVGVSSPGKDSFQDSFAELHREPIEYDGCQQHSGVIPQPIELKDVSDDSCELANSIKAYCLDDIILQNKTNDSLSSLDITDEMSNCHKTSGNITNLDEDKSSVVNDYLVCSVNMSCEDHIDIDEYWYPRDLHGSTLESTKTIESSTDQCNAEVGSPSVVIQSDLCGSAESNFTSLAEVVQMKADGQFDSLVQHSVGTKDVLPIDVGHATNDCTFAFNKTLDSVKAANFTTQDGRLESIVYDALNNHAQRKSTETETPVGVSGAAIISSPFVSEGTDREPTGSKAPHGGQLLLPCVTEWLSKDTDQLKVTVDDDICKTNSDQGSREQFGLQPQLLQSCSDLDKVCVTSESSSPEETQEMPAGSLDSTAASLDTDGQSEKLQPFIDEGALEEHAPKKLLSKRKIMSPTSQEKLCSALTGIDLCGVQRLKRKILLEDCGKTRRPNGRSSLSPTSKGILKGTESPSPQKTTCTCMKAASVILDAEKAVEFSQRQMHDIENIASKLMRSLNHMRSIVDGNLLSESHSLLPTFNTAEIRAASEDALEVERTTRKWLTIMNKDCNRFCKILRLAGKKAVSHSEVPRKRKKITFADETGGKLCHVKMFTDGQNSLLSECHSE >ONIVA10G18780.1 pep chromosome:AWHD00000000:10:19013689:19020676:-1 gene:ONIVA10G18780 transcript:ONIVA10G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGGGDWGREADPAEPSSSHAFDGGSDGDDDDGGGGGGGWHQAAMKAGAGKGSSSSSSSGDSLWQWRSQGLSEVVLSWSVDQILNKDLLRDKVAKIPETFSSMEQYMTSFFGPLLEEVRGDMCSSMEDISKAPYASVLSVNAMRKGKGSYEIKLDKWRGVSHGCAIEGYKPKAADLLLISETRPANQSDILKQSKSCVIVWVGKVQGNKMTVKASRRMETGVHGDERQQMGMNRYDKLYAEGFDKSWEMLDQEAVAPESSNSFMHENGRKEHSKVRKCFEKCSDLQEQNEMGTCGNSSKRWSFCATYLTNMITYDRVWVVLRRGLTMDSKIVLSMFGKKKNATGHCKYCGSETHDKIKDYLCNFKLNDSQLDAVASCISASECCHNSSVGLIWGPPGTGKTTTVSVMLHMLLMKEQRILACAPTNMAVLQVASRLIELIQDFSSSHCYSFGDIVLFGNKDRLHIGKELSKVYLDDRVHKLLRYFKREDGWKARVDSVMKFLMNCISRYQMSLDIQQASSDGCNLTFKKYFTSKFSTLVKELATCIDTFFDHLPTDSLGRNLDRMMFAKSLLDKLQQLLCADDVSDELLFTIFKPSDEPIDSFDSHDQTDDATVDLHDHDISLDDPLEIKSLCIKTLMDLSKMRLPCEDNESSIRDLCLKRAKLVFCTASSSFELFRLQNVMPISILVIDEAAQLKECEALVPLLLPGIEHILLIGDENQLSSLVKSKIAKDADFGRSLYERLCTMGYRKHLLEVQYRMHPGINKFPNANFYDNRISDGPSVQQEDYMKSYLPGPIYGAYSFIHIENDMEMLDELGQSSKNMVEVAVATNIVERLAKECSEKRQRTSLGVISPYTAQVIALQERLGKQFKNHEFLSVTVKSIDGFQGGEEDIILISTVRSNKNGKVGFLSDAGRINVALTRAKYCLWILGNGATLLASNSIWAELVHDSKRRGCFFNALDDKNLAEIIMHATKEGEQRNQREQRSAHNANRWSSGSSRHDIIAAGNSRPMRWSHFPGSGNTRRSNGHDSRLNVCHTKEDMHRTHFQQRKSYSGDYSQVAPPNQYWHNGNRPSRGSYGYLEVFREHPNHHSGQDSRTRSYHETMCSTPQTGNGRFPYSGSIQREKSQRQTSILGERQPLGGDGNKGFQDGTSGYPCRRNSSQIRPNTYEAGAPELQSMNKHREFSSYPQQAPYRTFGGQGRGRPTYHGRGRGGWYERTNNHWMEEPHQVQNATCNMPVTMQQGMKRNWCEAEASDSPQQVNAKIRSESADRPHLHDEHGGYGTASHQLPAIKPEDVSEQQCEMKTDSYKAEASESPNDSTRVRPESVEQPYCQAQGDSSGAASQEPVIPEQRGMSSDLCEAVCHQSNTSGSPNRGSTEVTLEGAEQPHCQAQPDGSGVASNEAPVPEQRWTEGDLGEAAEPGQGNAENKAESAEADS >ONIVA10G18780.2 pep chromosome:AWHD00000000:10:19013689:19020676:-1 gene:ONIVA10G18780 transcript:ONIVA10G18780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGGGDWGREADPAEPSSSHAFDGGSDGDDDDGGGGGGGWHQAAMKAGAGKGSSSSSSSGDSLWQWRSQGLSEVVLSWSVDQILNKDLLRDKVAKIPETFSSMEQYMTSFFGPLLEEVRGDMCSSMEDISKAPYASVLSVNAMRKGKGSYEIKLDKWRGVSHGCAIEGYKPKAADLLLISETRPANQSDILKQSKSCVIVWVGKVQGNKMTVKASRRMETGVHGDERQQMGMNRYDKLYAEGFDKSWEMLDQEAVAPESSNSFMHENGRKEHSKVRKCFEKCSDLQEQNEMGTCGNSSKRWSFCATYLTNMITYDRVWVVLRRGLTMDSKIVLSMFGKKKNEQRILACAPTNMAVLQVASRLIELIQDFSSSHCYSFGDIVLFGNKDRLHIGKELSKVYLDDRVHKLLRYFKREDGWKARVDSVMKFLMNCISRYQMSLDIQQASSDGCNLTFKKYFTSKFSTLVKELATCIDTFFDHLPTDSLGRNLDRMMFAKSLLDKLQQLLCADDVSDELLFTIFKPSDEPIDSFDSHDQTDDATVDLHDHDISLDDPLEIKSLCIKTLMDLSKMRLPCEDNESSIRDLCLKRAKLVFCTASSSFELFRLQNVMPISILVIDEAAQLKECEALVPLLLPGIEHILLIGDENQLSSLVKSKIAKDADFGRSLYERLCTMGYRKHLLEVQYRMHPGINKFPNANFYDNRISDGPSVQQEDYMKSYLPGPIYGAYSFIHIENDMEMLDELGQSSKNMVEVAVATNIVERLAKECSEKRQRTSLGVISPYTAQVIALQERLGKQFKNHEFLSVTVKSIDGFQGGEEDIILISTVRSNKNGKVGFLSDAGRINVALTRAKYCLWILGNGATLLASNSIWAELVHDSKRRGCFFNALDDKNLAEIIMHATKEGEQRNQREQRSAHNANRWSSGSSRHDIIAAGNSRPMRWSHFPGSGNTRRSNGHDSRLNVCHTKEDMHRTHFQQRKSYSGDYSQVAPPNQYWHNGNRPSRGSYGYLEVFREHPNHHSGQDSRTRSYHETMCSTPQTGNGRFPYSGSIQREKSQRQTSILGERQPLGGDGNKGFQDGTSGYPCRRNSSQIRPNTYEAGAPELQSMNKHREFSSYPQQAPYRTFGGQGRGRPTYHGRGRGGWYERTNNHWMEEPHQVQNATCNMPVTMQQGMKRNWCEAEASDSPQQVNAKIRSESADRPHLHDEHGGYGTASHQLPAIKPEDVSEQQCEMKTDSYKAEASESPNDSTRVRPESVEQPYCQAQGDSSGAASQEPVIPEQRGMSSDLCEAVCHQSNTSGSPNRGSTEVTLEGAEQPHCQAQPDGSGVASNEAPVPEQRWTEGDLGEAAEPGQGNAENKAESAEADS >ONIVA10G18770.1 pep chromosome:AWHD00000000:10:19009238:19015960:1 gene:ONIVA10G18770 transcript:ONIVA10G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLEVAADAGSSAAAAAANGAVDWWRDVNESPVWQDRIFHVLAALYGFVSAIALVQLIRIECRVPEYGWTTQKVFHFLNFLVNGVRSIVFVLRRNVQLIHPEILQHVLLDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINAVIYAIQIILWMVLWWKPVRVMIILSKMFFAGVSLFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLIRCVMMCLNAFDKAADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >ONIVA10G18770.2 pep chromosome:AWHD00000000:10:19009238:19013965:1 gene:ONIVA10G18770 transcript:ONIVA10G18770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLEVAADAGSSAAAAAANGAVDWWRDVNESPVWQDRIFHVLAALYGFVSAIALVQLIRIECRVPEYGWTTQKVFHFLNFLVNGVRSIVFVLRRNVQLIHPEILQHVLLDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINAVIYAIQIILWMVLWWKPVRVMIILSKMFFAGVSLFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLIRCVMMCLNAFDKAADLDVLNHPILNFFYYLLVEIVPSALVLLLHPSPLPSIAVQEQALHLKQLPNRQAAPGNEVVLHLRVLLQYCPCLVNLTCCSGGILLRTSHLTSLSAQG >ONIVA10G18760.1 pep chromosome:AWHD00000000:10:19007820:19008491:1 gene:ONIVA10G18760 transcript:ONIVA10G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVSDVFLSFFCCCYYPPGGHRGVGAHNDIALRRRRGGAGRSSSRPPVSLQTVELKVRMCCEGCERVVRSALANLRGVDSVEVDVAMEKVRVTGYVDRGRVLREVRRSGTKAEFWPSGGTPRRFTSEKEYFRDGEAYRGSYNYHRRGYGDGDRHGRMREPARGADAVSNMFNDDDVSAACAIM >ONIVA10G18750.1 pep chromosome:AWHD00000000:10:19002558:19007250:-1 gene:ONIVA10G18750 transcript:ONIVA10G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHGDRHRGSPPPYPAAAAHSSLSPSAAPFTVDCPRPAADPRVRNPNPPGLDLPTAPSLYATAAAGDWGSSSWMEPPASYMAPSTAAATPPPPAYKGEAPETAPYGIFPGTCQIGNFMVTRPLRSESSQLTSAKGPGTWLGSSEVLPSGVGPSVFSPQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYDKYMTQLSSCSTNVPPPVMWTPPANSSEVVEQMFPVMNKNTGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTTDKHHGKWSSSASNAGDHLLNSLGADHRAARCFGNGRPIQESSEMKYDRGSFNSKVSPSEVGYVQSREFSSELPEVNNPTVDSPCWKGAPIAYPPSFGIMKNTDNPHSVNGVGGYQIEQSPEWSLKYSELFSKHQEVSASESVKSDALKTFKLPETRKNTEDNKEVLPVCIGVHNGIGNNASYFPEEQNSRRQKCYDSTGDCKNMIAANQQENLSVSKAKLLGEDSSNHIGSITEESINKGPSPLGSAPRALVENLSESLHVNVCSQAAGAEECTQAQICAKGGQQPRYYSDSGGSMLKTSSESRSKSRAELLKHMHDLSAMLLSTCNSGPLQGYEEELLQLVIQNLRDASSCISKVQNMSCSRNNLWMAMPEHSLVENDSELKTSISQAVAKLPEDKTLDDIDVSQLSIYKNLWVEAEASACKLKYELQLTRAKLAAMENHNNTQVPVDLSKGNKIFISTIPNSKPQNSTAYPANLQCQGADSCDGQPPAVNRSIIDGVDAEVIERLKFLQSNLKDCRAFCQNNCEEQEEASKKPCAIEDAVMARLRVLNSCPDNIASLKQENNNHHQLDTSTNRADNIDDAVMSRLRILKSRPDNESSKHEPDASTGTNDLIDNAVMSRLRILKCRDDNINSLDDAIKQHVEACTDQPNWDEDGVVAKIQAPNGDTASTADGFQNILHSNNFVRHSEGKDSVSGLDSPGDATCSDEDNGCKAPSDEVNDKTAVQSKVHRYDIFPPKWEHMLKENFFHPGK >ONIVA10G18750.2 pep chromosome:AWHD00000000:10:19002558:19007250:-1 gene:ONIVA10G18750 transcript:ONIVA10G18750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHGDRHRGSPPPYPAAAAHSSLSPSAAPFTVDCPRPAADPRVRNPNPPGLDLPTAPSLYATAAAGDWGSSSWMEPPASYMAPSTAAATPPPPAYKGEAPETAPYGIFPGTCQIGNFMVTRPLRSESSQLTSAKGPGTWLGSSEVLPSGVGPSVFSPQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYDKYMTQLSSCSTNVPPPVMWTPPANSSEVVEQMFPVMNKNTGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTTDKHHGKWSSSASNAGDHLLNSLGADHRAARCFGNGRPIQESSEMKYDRGSFNSKVSPSEVGYVQSREFSSELPEVNNPTVDSPCWKGAPIAYPPSFGIMKNTDNPHSVNGVGGYQIEQSPEWSLKYSELFSKHQEVSASESVKSDALKTFKLPETRKNTEDNKEVLPVCIGVHNGIGNNASYFPEEQNSRRQKCYDSTGDCKNMIAANQQENLSVSKAKLLGEDSSNHIGSITEESINKGPSPLGSAPRALVENLSESLHVNVCSQAAGAEECTQAQICAKGGQQPRYYSDSGGSMLKTSSESRSKSRAELLKHMHDLSAMLLSTCNSGPLQGYEEELLQLVIQNLRDASSCISKVQNMSCSRNNLWMAMPEHSLVENDSELKTSISQAVAKLPEDKTLDDIDVSQLSIYKNLWVEAEASACKLKYELQLTRAKLAAMENHNNTQVPVDLSKGNKIFISTIPNSKPQNSTAYPANLQCQGADSCDGQPPAVNRSIIDGVDAEVIERLKFLQSNLKDCRAFCQNNCEEQEEASKKPCAIEDAVMARLRVLNSCPDNIASLKQENNNHHQLDTSTNRADNIDDAVMSRLRILKSRPDNESSKHEPDASTGTNDLIDNAVMSRLRILKCRDDNINSLDDAIKQHVEACTDQPNWDEDGVVAKIQAPNGDTASTADGFQNILHSNNFVRHSEGKDSVSGLDSPGDATCSDEDNGCKAPSDEVNDKTAVQSKGSFPMNIGWPLSTMDSHICTVGSQETPLISSSVHRYDIFPPKWEHMLKENFFHPGK >ONIVA10G18750.3 pep chromosome:AWHD00000000:10:19002556:19007250:-1 gene:ONIVA10G18750 transcript:ONIVA10G18750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHGDRHRGSPPPYPAAAAHSSLSPSAAPFTVDCPRPAADPRVRNPNPPGLDLPTAPSLYATAAAGDWGSSSWMEPPASYMAPSTAAATPPPPAYKGEAPETAPYGIFPGTCQIGNFMVTRPLRSESSQLTSAKGPGTWLGSSEVLPSGVGPSVFSPQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYDKYMTQLSSCSTNVPPPVMWTPPANSSEVVEQMFPVMNKNTGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTTDKHHGKWSSSASNAGDHLLNSLGADHRAARCFGNGRPIQESSEMKYDRGSFNSKVSPSEVGYVQSREFSSELPEVNNPTVDSPCWKGAPIAYPPSFGIMKNTDNPHSVNGVGGYQIEQSPEWSLKYSELFSKHQEVSASESVKSDALKTFKLPETRKNTEDNKEVLPVCIGVHNGIGNNASYFPEEQNSRRQKCYDSTGDCKNMIAANQQENLSVSKAKLLGEDSSNHIGSITEESINKGPSPLGSAPRALVENLSESLHVNVCSQAAGAEECTQAQICAKGGQQPRYYSDSGGSMLKTSSESRSKSRAELLKHMHDLSAMLLSTCNSGPLQGYEEELLQLVIQNLRDASSCISKVQNMSCSRNNLWMAMPEHSLVENDSELKTSISQAVAKLPEDKTLDDIDVSQLSIYKNLWVEAEASACKLKYELQLTRAKLAAMENHNNTQVPVDLSKGNKIFISTIPNSKPQNSTAYPANLQCQGADSCDGQPPAVNRSIIDGVDAEVIERLKFLQSNLKDCRAFCQNNCEEQEEASKKPCAIEDAVMARLRVLNSCPDNIASLKQENNNHHQLDTSTNRADNIDDAVMSRLRILKSRPDNVNPLGQESSKHEPDAATGTNNFIDNAVMSRLRILKSRPDNANSLGQESSKHEPDASTGTNDLIDNAVMSRLRILKCRDDNINSLDDAIKQHVEACTDQPNWDEDGVVAKIQAPNGDTASTADGFQNILHSNNFVRHSEGKDSVSGLDSPGDATCSDEDNGCKAPSDEVNDKTAVQSKGSFPMNIGWPLSTMDSHICTVGSQETPLISSSVHRYDIFPPKWEHMLKENFFHPGK >ONIVA10G18750.4 pep chromosome:AWHD00000000:10:19002558:19007250:-1 gene:ONIVA10G18750 transcript:ONIVA10G18750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHGDRHRGSPPPYPAAAAHSSLSPSAAPFTVDCPRPAADPRVRNPNPPGLDLPTAPSLYATAAAGDWGSSSWMEPPASYMAPSTAAATPPPPAYKGEAPETAPYGIFPGTCQIGNFMVTRPLRSESSQLTSAKGPGTWLGSSEVLPSGVGPSVFSPQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYDKYMTQLSSCSTNVPPPVMWTPPANSSEVVEQMFPVMNKNTGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTTDKHHGKWSSSASNAGDHLLNSLGADHRAARCFGNGRPIQESSEMKYDRGSFNSKVSPSEVGYVQSREFSSELPEVNNPTVDSPCWKGAPIAYPPSFGIMKNTDNPHSVNGVGGYQIEQSPEWSLKYSELFSKHQEVSASESVKSDALKTFKLPETRKNTEDNKEVLPVCIGVHNGIGNNASYFPEEQNSRRQKCYDSTGDCKNMIAANQQENLSVSKAKLLGEDSSNHIGSITEESINKGPSPLGSAPRALVENLSESLHVNVCSQAAGAEECTQAQICAKGGQQPRYYSDSGGSMLKTSSESRSKSRAELLKHMHDLSAMLLSTCNSGPLQGYEEELLQLVIQNLRDASSCISKVQNMSCSRNNLWMAMPEHSLVENDSELKTSISQAVAKLPEDKTLDDIDVSQLSIYKNLWVEAEASACKLKYELQLTRAKLAAMENHNNTQVPVDLSKGNKIFISTIPNSKPQNSTAYPANLQCQGADSCDGQPPAVNRSIIDGVDAEVIERLKFLQSNLKDCRAFCQNNCEEQEEASKKPCAIEDAVMARLRVLNSCPDNIASLKQENNNHHQLDTSTNRADNIDDAVMSRLRILKSRPDNVNPLGQESSKHEPDAATGTNNFIDNAVMSRLRILKSRPDNANSLGQESSKHEPDASTGTNDLIDNAVMSRLRILKCRDDNINSLDDAIKQHVEACTDQPNWDEDGVVAKIQAPNGDTASTADGFQNILHSNNFVRHSEGKDSVSGLDSPGDATCSDEDNGCKAPSDEVNDKTAVQSKGSFPMNIGWPLSTMDSHICTVGSQETPLISSSVHRYDIFPPKWEHMLKENFFHPGK >ONIVA10G18750.5 pep chromosome:AWHD00000000:10:19003786:19007250:-1 gene:ONIVA10G18750 transcript:ONIVA10G18750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHGDRHRGSPPPYPAAAAHSSLSPSAAPFTVDCPRPAADPRVRNPNPPGLDLPTAPSLYATAAAGDWGSSSWMEPPASYMAPSTAAATPPPPAYKGEAPETAPYGIFPGTCQIGNFMVTRPLRSESSQLTSAKGPGTWLGSSEVLPSGVGPSVFSPQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYDKYMTQLSSCSTNVPPPVMWTPPANSSEVVEQMFPVMNKNTGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTTDKHHGKWSSSASNAGDHLLNSLGADHRAARCFGNGRPIQESSEMKYDRGSFNSKVSPSEVGYVQSREFSSELPEVNNPTVDSPCWKGAPIAYPPSFGIMKNTDNPHSVNGVGGYQIEQSPEWSLKYSELFSKHQEVSASESVKSDALKTFKLPETRKNTEDNKEVLPVCIGVHNGIGNNASYFPEEQNSRRQKCYDSTGDCKNMIAANQQENLSVSKAKLLGEDSSNHIGSITEESINKGPSPLGSAPRALVENLSESLHVNVCSQAAGAEECTQAQICAKGGQQPRYYSDSGGSMLKTSSESRSKSRAELLKHMHDLSAMLLSTCNSGPLQGYEEELLQLVIQNLRDASSCISKVQNMSCSRNNLWMAMPEHSLVENDSELKTSISQAVAKLPEDKTLDDIDVSQLSIYKNLWVEAEASACKLKYELQLTRAKLAAMENHNNTQGAQTL >ONIVA10G18750.6 pep chromosome:AWHD00000000:10:19002558:19003769:-1 gene:ONIVA10G18750 transcript:ONIVA10G18750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNLLVCPTNRCKSFINAVPVDLSKGNKIFISTIPNSKPQNSTAYPANLQCQGADSCDGQPPAVNRSIIDGVDAEVIERLKFLQSNLKDCRAFCQNNCEEQEEASKKPCAIEDAVMARLRVLNSCPDNIASLKQENNNHHQLDTSTNRADNIDDAVMSRLRILKSRPDNVNPLGQESSKHEPDAATGTNNFIDNAVMSRLRILKSRPDNANSLGQESSKHEPDASTGTNDLIDNAVMSRLRILKCRDDNINSLDDAIKQHVEACTDQPNWDEDGVVAKIQAPNGDTASTADGFQNILHSNNFVRHSEGKDSVSGLDSPGDATCSDEDNGCKAPSDEVNDKTAVQSKVHRYDIFPPKWEHMLKENFFHPGK >ONIVA10G18740.1 pep chromosome:AWHD00000000:10:18990783:18991721:-1 gene:ONIVA10G18740 transcript:ONIVA10G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTPISPPTRVAGGEEDSERGAAAWAVVEKEHMFEKVVTPSDVGKLNRLVIPKQHAERYFPLDAAAGAGGGGGGGGGGGGGKGLVLSFEDRTGKAWRFRYSYWNSSQSYVMTKGWSRFVKEKRLGAGDTVSFGRGLGDAARGRLFIDFRRRRQDAGSFMFPPTAAPPSHSHHHHQRHHPPLPSVPLCPWRDYTTAYGGGYGYGYGGGSTPASSRHVLFLRPQVPAAVVLKSVPVHVAAASAVQEAATTTRPKRVRLFGVNLDCPAAMDDDDDIAGAASRTAALSLLQLPSPSSSTSSSTAGKKMCSLDLGL >ONIVA10G18710.1 pep chromosome:AWHD00000000:10:18966664:18968725:1 gene:ONIVA10G18710 transcript:ONIVA10G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVATTTPAAAAATTAVAAEVKPAKRSVGLGLPALPPLLPGLASHGQPRVASFCKRLARNVVAMAAGEAPAAPLAANAEITEFINALKQEWDRIEDKYAVTTLAVAASLGMWSAGGVVSAIDRLPIVPGLMEAWFAYRNLLFKPDREAFFAKVREVYEDIISG >ONIVA10G18700.1 pep chromosome:AWHD00000000:10:18959011:18965450:1 gene:ONIVA10G18700 transcript:ONIVA10G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEQQHQLLSTAVHDTMPGKYVRPESQRPRLDLVVSDARIPVVDLASPDRAAVVSAVGDACRTHGFFQVVNHGIDAALIASVMEVGREFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLHQFVPDWPSNPPSFNCTLANIFKREIIGTYCTEVRELGFRLYEAISESLGLEGGYMRETLGEQEQHMAVNYYPQCPEPELTYGLPAHTDPNALTILLMDDQVAGLQVLNDGKWIAVNPQPGALVINIGDQIQALSNGKYRSVWHRAVVNSDRERMSVASFLCPCNSVELGPAKKLITDDSPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRT >ONIVA10G18690.1 pep chromosome:AWHD00000000:10:18956503:18958340:1 gene:ONIVA10G18690 transcript:ONIVA10G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERAASVGAEELIGASGRVTAVTCGGETGRGRVTVVAEARWLPDLRGVAPNTLVINIGDQLQWNPSI >ONIVA10G18680.1 pep chromosome:AWHD00000000:10:18935699:18946327:1 gene:ONIVA10G18680 transcript:ONIVA10G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRTELKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASAPSLQKTIDRYKAYTKDHVNNKTIQQDIQQVKDDTLGLAKKLEALDESRRKILGENLEGCSIEELRGLEMKLEKSLHNIRLKKTELLERQIAKLKEKERTLLKDNENLRGKHRNLEAAALVANHMTTTTAPAAWPRDVPMTSSTAGAADAMDVETDLYIGLPGTERSSNRSETGNGIKFPVSTNTNGNKELTKL >ONIVA10G18680.2 pep chromosome:AWHD00000000:10:18935699:18946857:1 gene:ONIVA10G18680 transcript:ONIVA10G18680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRTELKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASAPSLQKTIDRYKAYTKDHVNNKTIQQDIQQVKDDTLGLAKKLEALDESRRKILGENLEGCSIEELRGLEMKLEKSLHNIRLKKTELLERQIAKLKEKERTLLKDNENLRGKHRNLEAAALVANHMTTTTAPAAWPRDVPMTSSTAGAADAMDVETDLYIGLPGTERSSNRSETG >ONIVA10G18670.1 pep chromosome:AWHD00000000:10:18922029:18923053:1 gene:ONIVA10G18670 transcript:ONIVA10G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPRLPPCSLPPRPAATIRESPRFQPPTTLPSRLTSLPPSMSTASSAPLAGSAARQQGSFCTTPLHGAPAASGRCSESQTSTLSGKERTRTLTEIEGEEA >ONIVA10G18660.1 pep chromosome:AWHD00000000:10:18921049:18923925:-1 gene:ONIVA10G18660 transcript:ONIVA10G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETMADGSANLMIWHCTIPGKQGTDWEGGYYPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHIFIQDKPEYKRRVRVQAKQYPALL >ONIVA10G18650.1 pep chromosome:AWHD00000000:10:18919032:18920255:1 gene:ONIVA10G18650 transcript:ONIVA10G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTTATTAILAAVIISLAGAATTVDAKFRAMQWTPAHATFYGDETASETMGGACGYGNLYASGYGTDTAALSTTLFKDGYGCGTCYQMRCVGTASCYRGSPAITVTATNLCPPNWAEDPDRGGGGWCNPPRAHFDLSKPAFMRMADWRAGIVPVMYRRVPCARAGGLRFALQGNPYWLLAYVMNVAGAGDVGDMWVKAGGGGGWVRMSHNWGASYQAFAQLGGQALSFKVTSYTTGQTILAAGVTPASWCFGLTYQARVNFS >ONIVA10G18640.1 pep chromosome:AWHD00000000:10:18915507:18918269:1 gene:ONIVA10G18640 transcript:ONIVA10G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAYLDKAQLRQSYRNVWHTDLTNAITADFTCCCLSLWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGESNCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQFACICSLVACIVGSEELSEASQLISCISNMVYWTVCSCMQTQHKVEMDKRDGKFGPMTVPPMQQMSRIDQPVPPYVGYAPQAQPAYYR >ONIVA10G18640.2 pep chromosome:AWHD00000000:10:18915507:18918317:1 gene:ONIVA10G18640 transcript:ONIVA10G18640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAYLDKAQLRQSYRNVWHTDLTNAITADFTCCCLSLWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGESNCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQFACICSLVACIVGSEELSEASQLISCISNMVYWTVCSCMQTQHKVEMDKRDGKFGPMTVPPMQQMSRIDQPVPPYVGYAPQAQPAYYR >ONIVA10G18630.1 pep chromosome:AWHD00000000:10:18910424:18911599:-1 gene:ONIVA10G18630 transcript:ONIVA10G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRLLLLPVALAMAQKHGGGGERVWARPWRWAKTAFFVVAMITSLLLVCAPPVLVVILDLALPPALLSARLRGGGGGDDASFVAAVVAQARAFDFRSSLVDLLAVSAARALLILGAYMACGGGGAAYLWVVATSAAGSVSYVLAKAAAAVLPRRGVAPAPEGKGPEPMLLLSVALAAAHLAVAYRTSCRERRRLLVYRIDVEAVRLKGGHQTPKGLKQFSV >ONIVA10G18620.1 pep chromosome:AWHD00000000:10:18897761:18898617:1 gene:ONIVA10G18620 transcript:ONIVA10G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAARGEGHDDGYFPILEVRILDEAWLRGGLWWRRGIRGEQGLAMAMAQQPGNVNVAGFLQALRRLRNRVIMMLWMRMLLRQLVVRWWLRVHFRRFMWVWHLRVLRARVRLFLWRIRHDHLVYILDTIMVLAYVVFKINASLIGYIEFVKLNCSSASLVGDE >ONIVA10G18610.1 pep chromosome:AWHD00000000:10:18888987:18893980:-1 gene:ONIVA10G18610 transcript:ONIVA10G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSYQVPCDFYHVSRDTREVSCETCQRSVTVSDDFNHVSRDTHEVSDDFYHVSHDTCEVSDDTYQVSDITGHPVWEEHPDTRGRPLQPSPVDEDGDGGGRGQVRDGGGGGWARQWRQGTGAAAVGDGDGGE >ONIVA10G18600.1 pep chromosome:AWHD00000000:10:18886463:18887772:1 gene:ONIVA10G18600 transcript:ONIVA10G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALVGEGDGGGRRWSGGEGVDTGGWGMEAAVAGSTYQNTVEAGSSGGCWVGVGRRRGRIRAAVNIVVVVVAATAAMATRSCPPKPAAAAPEGGDRQICRRPSRRRLPSRRIWRRGGRHRLPSLSRASRSGGGKALPPSTPLHLHAVRRHHASLPAPPLSPLPDLTRWRRRPCQAGAVPEKEAPEYWLSVLQYLYMKLVCVPSCRAVHI >ONIVA10G18590.1 pep chromosome:AWHD00000000:10:18876209:18880278:-1 gene:ONIVA10G18590 transcript:ONIVA10G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGYADYFAAEVDGAGATELYGLQQHQQGVGVAEMFGVRGLMPAAHAHEQSKGVGALVVGGGGVDDGGATTLPTVHFGGLGELHHHQHRQSQAPLSLSLHRPEAAATSLLMQQQQQHLHHQPSPPAGAASTWQLQQGAWHLRGSRFLLPTQQLLQEFCSLPVKSTTSPSSASKATKPPQEEAASGGGSSSWTAPTQIQSMDAAELQRLKGKLYTMLEEVDRRYRRYCEQMRALAASFEAVAGERAAAAYTRLASRTISRHFRSLRDGVVAQLQAVRKQLGEKDTAVPGMTKGETPRLRVLDQCLRQHKAYQAGMLESHPWRPQRGLPERAVSILRAWLFEHFLHPRMFAGFVTMLKLSPRYPSDVDKHILARQTGLSRSQVANWFINARVRLWKPMVEEMYAEEMKDEEGSGQSTQASNPQNPNPSSYTSEVRGGGGGGVEDRGEQKPSRAQLLHDAGSLASVVSIGHGGAGRTMVDHHHHQSLNFGMMDQLDFDAYEAAGGGQGFGAGGGVSLTLGLQQQHADPHDGVNVAFAAAAAPPNSSGVAAEYLFMGGGEHQQQLPQTAQFGAVMEGDAASHYRGLSATAAGFHLLHDLAG >ONIVA10G18580.1 pep chromosome:AWHD00000000:10:18870863:18872665:-1 gene:ONIVA10G18580 transcript:ONIVA10G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGAGEKPPASNGVHGSGKARFTLLYGLLLYVVMPVLFLYMLVAAASPFYNPRCSPESNAAMARFVVAMPNASSVNGSSPSSSSPPPTPVRPMRSADEAPTGLRHIAFGIGASSALWKSRKEYIKLWWRPGRMRGFVWMDRPVEEFYSKSSRTGLPPIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSQYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISRALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPTTPSRAGALRKLFDGPVRLDSAAVAQQSVCYDREHHWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNWYKRADYTAYSFNTRPVARQPCQKPRVYYMRDSRMDRRRNVTVTEYDRHRGKQPDCRWRIPDPAALVDHIVVLKKPDPDLWKRSPRRNCCQVVSSPTKAGKNRTMTIEVGVCREGEFAKL >ONIVA10G18570.1 pep chromosome:AWHD00000000:10:18863679:18869466:-1 gene:ONIVA10G18570 transcript:ONIVA10G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVLLLFLVVGLMPVSNGQTTAFSPRFSVYLACGAGGNVVVTSDSPQRTFVPDDGELSGKSARFSNPDASPPSPLYAAARAGTSGFSYRLSYAADAAPDGNTTLVLRLHFFPFASQSGDLLSARFSVSAMGRYVLLPPSFSPPRAGVVREFLLPSDGSGEFDVAFTPESGGLAFVNAIELFPAPQELLWKFPLTAVNTDVSPSHQALETLYRLNVGGPTVTPTGDTMWRTWLPDDSYLSLATVSAVASIQGPIIFDRAQGYTQMVAPDAVYKSQRTTNSTTSNVTWTFAVDGNSSYVVRLHFCAFEELSSVIGEGVDFNVYLMQAMGTRELKAKDYATLSSPTQAFYMDYVAVVPTAGENLTVSIGRAASSDSKKAILNGLEIMKLRAVDMTPASSSGKTSKVVVVAVTAAVLGAAVLAGVALCVLLVRRRQRRATLPVPEEEEKESVGTPWSPFTPDGEGSFGSAVVTPRRMNMKLHIPLAEIMVATGDFDDANILGVGGFGNVYRGVLRDGTRVAVKRAKRASRQGFPEFQTEILVLSSIRHRHLVSLIGYCNERSEMILVYELMAHGTLRSHLYGSDAAAPPPPPLSWKQRLEICIGAAKGLHYLHTGHSDNIIHRDVKSTNILLGDGFVAKVADFGLSRVGPSTGQTHVSTAVKGSFGYLDPEYFKTRQLTDRSDVYSFGVVLFEVLCARPAIDQSLPPDEINLAEWAIQWSRRGRFDKIVDPAVAGDASTNSLRKFAETAGRCLADYGEQRPSMGDVVWNLEYCLQLQESQPSTETALDLDDSGAHLPRDIVVARRVAPLAPDASADAAGDDMSWSETASFTATGNVFSQIMSRDDRSRAPRTSYLLGLMDQKGFDGDRSESRLYVGNLDFRVSESDIIKMFSPFGKIIAEDFLWHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKMNGRLVCGRPVVVHLASEKCFVDSGNSHRAMKDKKLAGGSGSKSVQTDRAAKIAAIKHKLKSLEDEGCSTKRPRFKSDDLPGSGKQSDKKC >ONIVA10G18560.1 pep chromosome:AWHD00000000:10:18859192:18860102:-1 gene:ONIVA10G18560 transcript:ONIVA10G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPSRSDSFSHGWLRRKARPAAAAASFERLVGGEGDAVDVDGDLGHSFNGSVVSFIDMDPAELFSMRWTSLTAAAEEEEDHDDDDFDFGMPCVAGAQCSSPLLVGAGRALSDGHHHHLLLPCEPGVVVARDRTASYADAPSPSPSLPLRVLSPRSAKGSLAAASSSASPARRSTSSSYASAAEYWCHGNADTAVRDAILYCKKSIGQDM >ONIVA10G18550.1 pep chromosome:AWHD00000000:10:18852540:18854824:-1 gene:ONIVA10G18550 transcript:ONIVA10G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSGSCPQGTVYHWFVLFAVWLCGSQHVLSQKTPLEPKDKFLLSDPPIGLFDPIEISPSVLPHNANPVEPLSPMYPNYTSYDPVLTGKCHVNFSALSYMMDKTASDCSIPLAPLVADVICCPQVNSLMNIFQATYGAGNNTLVLNQASANACFSDVMSILASKGANTNIPELCTLRPSNLTDASCPVKDISTFEKIVNVSKLLDACSDVDPLKECCRPVCQPAIVEAAVHISSGGANMFGSSSISGSDAGINVVSDCKGVVHSWLSMKLSSEESNTAFRVLSGCKVNKVCPLEFDDPSSVVKACGKASSSTPSCCGALHSYIATRQKQIFVTNLQAINCATMFGSMLQKAGVSNDIYELCDIDLKDFSLQGKVTFQV >ONIVA10G18540.1 pep chromosome:AWHD00000000:10:18840524:18850147:1 gene:ONIVA10G18540 transcript:ONIVA10G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVYGEEEWSFGFCENGSGVFSCPIGKNPMYTYRECIVLGETECSIATVNRILRELSREWPGHSYDLLSRNCNHFCDVLCERLAVPKLPGWVNRFANAGDTAVVVAENTAVKFRQAKTEIVNASRVAYRFMAGLASKNQNPQPESPSNQSRNGPTFQGTWFKNIISNGAKPSSSESTSSHDTGTGGDESSLQNQKPSEQSTRL >ONIVA10G18530.1 pep chromosome:AWHD00000000:10:18836266:18838278:1 gene:ONIVA10G18530 transcript:ONIVA10G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASIAALRHLAAVLSILAHCLLLSSADVDFIYNGFRNAANLSLDGSATVLRGGALQLTNDSNNIMGHAFFDSPVQMVSDAAVVSFSTAFVFDIVTNGSVGGHGLAFVVAASKVLPGATAEQYLGLLGKSNMGDPSNHVFAVEFDTVQANGLLNETNDNHVGVDLNSLVSNVSEPAAYFTDGGGGKRNLTLESAQPIQAWVDYDGSAKILNVTIAPVASTVPTRPRRPLISHAVDLLPIFKQEMYVGFSSSTGKLASSHYVLAWSFRTGGGAARPIDLSRLPSVPKKPAPPPSASVVVKIVALTCAATVTVIVAAIGVALWLRRRAALADTLEEWELDHPHRLPYRELYMATKGFKNSELLGAGGFGEVYRGVLRRSGDVVAVKRISSNGRQGMREFVAEVASLGRMRHRNLVELRGWCKRGHDLLLVYEFMPNGSLDALLFGGAPATALTWEQRVRILRGVASGLVYLHEEWEQVVVHRDVKASNVLLGADASAARLGDFGLARLYEHGGDPATTRVVGTLGYMAPELTVTGKATTATDVFAYGALLLEAACGRRPIDPATGVNLLRWVREHGARGELVHAVDERLDGRYDKEEARLVLWLGLACSQARPEARPSMRQVCQYLDGEEDVPEEAALVFSDVDSIDFGSLTSLTWSSCATMSVGSLNGGR >ONIVA10G18520.1 pep chromosome:AWHD00000000:10:18828142:18832297:1 gene:ONIVA10G18520 transcript:ONIVA10G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IVG7] MDSSSGGAGGGGGAQIKGMGTHGGRYVLYNVYGNFFEVSSKYAPPIRPIGRGAYGIVCAAVNSENGEEVAIKKIGNAFDNHIDAKRTLREIKLLRHMDHENIIAIKDIIRPPRRDNFNDVYIVSELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTTETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITELIGSPDDSSLGFLRSDNARRYMKQLPQYPRQDFRLRFRNMSAGAVDLLEKMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEEHIKELIWRESLAFNPDPPY >ONIVA10G18520.2 pep chromosome:AWHD00000000:10:18828142:18832297:1 gene:ONIVA10G18520 transcript:ONIVA10G18520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IVG7] MDSSSGGAGGGGGAQIKGMGTHGGRYVLYNVYGNFFEVSSKYAPPIRPIGRGAYGIVCAAVNSENGEEVAIKKIGNAFDNHIDAKRTLREIKLLRHMDHENIIAIKDIIRPPRRDNFNDVYIVSELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTTETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITELIGSPDDSSLGFLRSDNARRYMKQLPQYPRQDFRLRFRNMSAGAVDLLEKMLVFDPSRRITEQFINAGI >ONIVA10G18510.1 pep chromosome:AWHD00000000:10:18816809:18819238:1 gene:ONIVA10G18510 transcript:ONIVA10G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLVVITPAVLLGRTARVSPSAVPRLRPIVAGRRAVAAPTRAVLGDGAGVGGEEDAVVAVVEEDAVARRAARKRSERRTYLVAAVMSSLGFTSMAAAAVYYRFAWQMEAGGGDVPATEMVGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIANAAPAISLLAYGLLNRGLLPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIENVPYFRRVAAAHQIHHTDKFEGVPYGLFLGPKELEEVGGTEELEKEIKKRIKRKEAMDAIR >ONIVA10G18500.1 pep chromosome:AWHD00000000:10:18809404:18810414:1 gene:ONIVA10G18500 transcript:ONIVA10G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G52810) TAIR;Acc:AT5G52810] MAAPPPPANQFVYIDAAALHSVLPFPSLISHLGAGLPAFAAGIHCPHRVSFPLPTAPSASLLLMPSWSAHPSLPYLALKAVTSFPANSPRLPSVHAAVSLFDSASGVPLASLDGSALTLLRTAAVSALAASLLASPTRPPSTLALAGAGALAPYLAEAHLSALPSISRILIWNRTKAKSAALAARLRDAHPGVAVEEADSMDEAVSAADVVSCATGSQEPIVRGELLKHGAHLDLVGSFTPAMRECDDEALRRGRVFIDFEAAMQEAGELVGALQRGVLRREDVAGTLAELAAGSVAGRRCDDEITVFKSVGTAVVDLLAAQLAYETYIATTTKKT >ONIVA10G18490.1 pep chromosome:AWHD00000000:10:18803780:18806452:-1 gene:ONIVA10G18490 transcript:ONIVA10G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVKPPPPPPSRPVVAKSPPRRQPHPPPPPPPPPLPRHALHRQHEREGEATKSVWSVGFINARLSQRTPVLGLRLWVLVAAAAAAAVVLALLIVVCLCRRCRRRRCSRLAPAPPHHGRSNRSLKQQQSMASDKDIEEAARWPPPPSFQPPIEVIKAEQTAPLIMVEAARTSGETATSSGGSTRGWSTESGGSDAAEPEASRRGWGRRYTRRELEEATNRFAAENVLGEGGYGVVYKGILRDNTAVAIKNLHNNRGQAEKDFKVEVATIGRVRHKNLVSLLGYCSEGACRLLVYEYMENSNLDKWLHHGDDEISPLTWDMRMHILLGTARGLAYLHEGLEPKIVHRDVKSSNILLDRHWNARVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYARTGMLNERSDVYSFGVLIMEIISGRTPVDYTRPAPEVNLVEWLKRMVAERRVEEVVDPRLPETPPPKVLKRAVLAALRCVDPDGGQRPTMGHVVHMLEDDLKFRDELQLARDLSPHASDSYEYEL >ONIVA10G18480.1 pep chromosome:AWHD00000000:10:18802116:18802781:-1 gene:ONIVA10G18480 transcript:ONIVA10G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLHQTPLQPPQTTTRPHPWTHTSTTNLSPPHVALPQRRRAPLRRLQAAGSGGAIGSSAVTDTESTTTAKSPSKKKSRKEKQRMRRQEKEQEQRQMVLEALDVKSGGEVDEDDDELPQPVFDRILRRIMFMVGVPMASGVGLLNLYGALERGRGVAVPSWLPLLTILVAFGTSALGIAFGTLSASWDPEKEGSLLGLEEARANWPVLWEEEIEKAKKKK >ONIVA10G18470.1 pep chromosome:AWHD00000000:10:18797443:18803522:1 gene:ONIVA10G18470 transcript:ONIVA10G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGPAHSPPPAAAAVAVAGGGGGEPLVVTLNCLEDPSMEQEVLAGAAAVEHAPLSALSSGRVEAAAAVLLTSLAFLPRAAQRRLRPWQLILCLGSPDRAADAAVAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHASSYSAPPAGWLGSVQPLCRGMRRCRGLVLGIVGVNAAARCLATRSLAFSMSVLYFDPLHEANGKTKRPSILFPSATRRMDTLNDLLTASDLVSLHCALTNDTTHILNAERLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWIEIREKALAILQSFFYDGVVPNNALSDDEEEITEAGCEDDQLAKQAKEQVCDGGQQTDESQLTLECDKRRAISHSEEPQASGQSQNRENVVPRSEGRRSRSGKKGKKRPARRKSQQKRDELLSTLEGGSNYSSRMDDDTVMSGKDQVLSSSSRFASPEDCKTKLRSSAEFPMEIISENKLTAGLSIKPLERLKDGFVVALRTRDNSGFHVARERVAGVGWYLDVVSKATKRDPAAQFLITFRNKDTMGLRSFVAGGKLLQVNKTMELVFASYSFDVWESWTLEGSLLDCCKLVNRKIPSVVLEVYIEILAAVSEEDGVTRWLD >ONIVA10G18470.2 pep chromosome:AWHD00000000:10:18797443:18803522:1 gene:ONIVA10G18470 transcript:ONIVA10G18470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGPAHSPPPAAAAVAVAGGGGGEPLVVTLNCLEDPSMEQEVLAGAAAVEHAPLSALSSGRVEAAAAVLLTSLAFLPRAAQRRLRPWQLILCLGSPDRAADAAVAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHASSYSAPPAGWLGSVQPLCRGMRRCRGLVLGIVGVNAAARCLATRSLAFSMSVLYFDPLHEANGKTKRPSILFPSATRRMDTLNDLLTASDLVSLHCALTNDTTHILNAERLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWIEIREKALAILQSFFYDGVVPNNALSDDEEEITEAGCEDDQLAKQAKEQVCDGGQQTDESQLTLECDKRRAISHSEEPQASGQSQNRENVVPRSEGRRSRSGKKGKKRPARRKSQQKRDELLSTLEGGSNYSSRMDDDTVMSGKDQVLSSSSRFASPEDCKTKLRSSAEFPMEIISENKLTAGLSIKPLERLKDGFVVALRTRDNSGFHVARERVAGVGWYLDVVSKATKRDPAAQFLITFRNKDTMGLRSFVAGGKLLQVNKTMELVFASYSFDVWESWTLEGSLLDCCKLVNRKIPSVVLEVYIEILAAVSEEDGVTRWLD >ONIVA10G18460.1 pep chromosome:AWHD00000000:10:18793623:18793817:1 gene:ONIVA10G18460 transcript:ONIVA10G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVIRHLPVPITTATVGPSTSLPSIPPQIQNPNPTGSNVDRHCHRHIIDLHDHQFLSNSSPDP >ONIVA10G18450.1 pep chromosome:AWHD00000000:10:18791116:18794260:-1 gene:ONIVA10G18450 transcript:ONIVA10G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLGLARIMSMKRRRRRRIQADGSSALDMGRKDSRSRSGSAKRRGPHLTLDRLPQIIALLPMQDAARAACASLELLQSWRHYPELKFSAKTLAMDGQHNCIQGQMDSYIKRIDIALQNCTGGWVKRFRFELQFFHELSAHHINHWLDAAIPGIEELTLELPRDDKMKYKFPCKLLFEKGCSIQSLCLYSCAFHPDQGACSFRNLKRVYFSLVHITTEELWIFLYNSLSLEHLELGFCHKIACLKIPCTLQLHNFLRVRRCNMLQIILPTVAPNVETLFLMSANEAGIFYPLIFQPHGRFLHLKYLELVIVGPRNYGFGYQYTYLVTFLKASPVLETFILHVEESAKEPYPLVINPKYLKNHLLPEHCHQSIKHVTVTGFCHTQELVELIFYILENATSLQCLTLDNRIRGFEKDLVACITQDAGTCDYQEWWKNFGAKETILHFFRRESYPKSYWDAYCSYVAIRKYIIGRVPSSVELKIYQLCLLMKLYPVFQR >ONIVA10G18450.2 pep chromosome:AWHD00000000:10:18791116:18794260:-1 gene:ONIVA10G18450 transcript:ONIVA10G18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLGLARIMSMKRRRRRRIQADGSSALDMGRKDSRSRSGSAKRRGPHLTLDRLPQIIALLPMQDAARAACASLELLQSWRHYPELKFSAKTLAMDGQHNCIQGQMDSYIKRIDIALQNCTGGWVKRFRFELQFFHELSAHHINHWLDAAIPGIEELTLELPRDDKMKYKFPCKLLFEKGCSIQSLCLYSCAFHPDQGACSFRNLKRVYFSLVHITTEELWIFLYNSLSLEHLELGFCHKIACLKIPCTLQLHNFLRVRRCNMLQIIVSDAPNLSTFHYEGPLIQLSLGDSLQLKHVNISIYPWFNLFEYARKQLPTVAPNVETLFLMSANEAGIFYPLIFQPHGRFLHLKYLELVIVGPRNYGFGYQYTYLVTFLKASPVLETFILHVEESAKEPYPLVINPKYLKNHLLPEHCHQSIKHVTVTGFCHTQELVELIFYILENATSLQCLTLDNRIRGFEKDLVACITQDAGTCDYQEWWKNFGAKETILHFFRRESYPKSYWDAYCSYVAIRKYIIGRVPSSVELKIYQLCLLMKLYPVFQR >ONIVA10G18450.3 pep chromosome:AWHD00000000:10:18791116:18794734:-1 gene:ONIVA10G18450 transcript:ONIVA10G18450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPHLGFGEAGVIRSSTALGNLSVSLRITTKMKTGPHGDAGFGEGVIIALLPMQDAARAACASLELLQSWRHYPELKFSAKTLAMDGQHNCIQGQMDSYIKRIDIALQNCTGGWVKRFRFELQFFHELSAHHINHWLDAAIPGIEELTLELPRDDKMKYKFPCKLLFEKGCSIQSLCLYSCAFHPDQGACSFRNLKRVYFSLVHITTEELWIFLYNSLSLEHLELGFCHKIACLKIPCTLQLHNFLRVRRCNMLQIIVSDAPNLSTFHYEGPLIQLSLGDSLQLKHVNISIYPWFNLFEYARKQLPTVAPNVETLFLMSANEAGIFYPLIFQPHGRFLHLKYLELVIVGPRNYGFGYQYTYLVTFLKASPVLETFILHVEESAKEPYPLVINPKYLKNHLLPEHCHQSIKHVTVTGFCHTQELVELIFYILENATSLQCLTLDNRIRGFEKDLVACITQDAGTCDYQEWWKNFGAKETILHFFRRESYPKSYWDAYCSYVAIRKYIIGRVPSSVELKIYQLCLLMKLYPVFQR >ONIVA10G18440.1 pep chromosome:AWHD00000000:10:18786310:18787101:1 gene:ONIVA10G18440 transcript:ONIVA10G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMTLRRGGAGAGIRIKKKARGFMCGGCGGSKAVSVSDGSDKQSPMATPPPNTSSTTTTTTTGSAGNKTTAAAGSSSFSPSYNYDYVDTDADTSVGSTPSVAALLRQLGELERSVRSLQGAVAEGRGAKNDGRGGGRRHRRTVSDGGGGGSGRVEESVAVVKESADPLFDFRRSMLQMIVEKEIVGGAELRELLHRFLSLNSPHHHHVILRAFAEIWEEVFAGYERTPDFLVSSRHRRPTKKKLPASYAAADDDDDDSWNAA >ONIVA10G18430.1 pep chromosome:AWHD00000000:10:18773931:18774870:-1 gene:ONIVA10G18430 transcript:ONIVA10G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLNVHDKAEKQKAMKAVSALIGIDELSMDMASQKMTVIGMVDPVNVVSKLRKSWAATIESVGPAKEPEKKEEKKDGGGDGKKDGGGDGKKEGEAGDKKDGDAAKKDGDKDGEAKKEDGDKKPAAPTEQQLFAELMNQYYHRPAAYGYNPYMSVPPHYVVQSMEENPNSCAIC >ONIVA10G18420.1 pep chromosome:AWHD00000000:10:18764473:18767656:-1 gene:ONIVA10G18420 transcript:ONIVA10G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSALASAGRAANEAVSFVVFMVLDVVEVLLCVVYKVADYMLEGVWRPCYCSSSSTAAGAAASGKIVVSERGGSKVVSMLSSTKLHLEDISDTLYTRPSVLAGAASATTRSPSSRRGGGGVRAPPATTVTVHSAIVQMLRGKIGADGDGKQHKPYPSPRWSDCHCTNCNPADAGRLFVHVEAPPGGAATEEDVLFIHGFISSSGFWTETVLPNVSPEARARRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIERHGVKSFHIVAHSLGSILALALAVKYPAAVRSLTLVAPPYFPVPRGEVGTQYVLRTVAPRRVWPPIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDKCLEIVRDQLTCDVTIYHGRDDELLPVQCSYAVKAKIPRARVKVVDGKDHVTIVVRRQKELAMELEEIWNRKR >ONIVA10G18410.1 pep chromosome:AWHD00000000:10:18751980:18762227:1 gene:ONIVA10G18410 transcript:ONIVA10G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1-like 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) TAIR;Acc:AT4G16310] MPDIRSAPGRGKSDEDERRPIGSLFKLKRKRRAPGSAEAKGDSNPSVESEAPDGVVPGEMDDTLAIIKRKLRKPKKGKEGGDAVVVGSGAEGELLVEEEDVQGGVNVGDGVAEDKSNLEGVKVEVDEVIGGELKDSGGLGLEDSLSTLFKRSGRKSRQVSVKEEEGVEVAGSHGEEILEKGSGLVSDRVAKGTKRRRRRTKEEMKNAAAKSESATAHEGSPNRKVGTSLPRKAKAEAKVKISNSNRRSKKSDEKPKASDDVLCHRSLGETIEQDAETRTVLDDGSRNSSDGASHRIEVSACLSNQPCLKPCSGELAEEVSLSAANAATDGVSNEHTYSETLLKERNDDAGCSHGKPPTLAIKSIPGKKPTEMPKKPVRQKDQLLSTDVDNKCVVGSGDTKDVNIENQPAFGIPESHVTGKGLHPHKMATSVKELDVVDVVAPTDFEDMENASKSKRVTRSSRKRKHGDMAYEGDVDWETLMQEQGLFSNLSAALVDHPLKSKDKIKISEVLDNGDGSGVAAVRAGLKAKAVTPIEKIKFKDILKRRGGLQEYLECRNMILSRWCKDVKHILDLAECGVSDVCLDDESPRQTLIRDVYLFLDQNGYINAGIASDKVKTDHESPPEDVEVSKLNESHERKSVSIQDCIVTEAVQDKKAVVKQTDCVLTEASNEESSSAAIHCDAQDLLPPLKSEELIFKEKNQGVLTEGRDESALPSNSDIHSKSDLDGFILKVEGGSLHQAEAADIEHSENKHEASDRVESGGYGKKIIIVGAGPAGLTAARHLQRQGFSVTVLEARNRIGGRVYTDRVSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLNSACPLYDVVTGDKVPDDLDTDLESEYNGLLDEMAQLFAQNGESAVGLSLEDGLEYALRKNRVTRSEQDDQLRNVSSAGAVDISESASTEKEIAHCGKEDKTDVLSPLERRVMNWHFAHLEYGCAAMLKSVSLPYWNQDDVYGGFGGAHCMIKGGYDTVLESLAKGLDVQLNHVVTEVLYGSEELGASGNSRKFVKISTSNGNEFVGDAVLITVPLGCLKAQTIKFSPSLPDWKLSSIDRLGFGLLNKIVLEFPEVFWDDNVDYFGATAEQTDLRGQCFMFWNLKKTVGAPVLIALLVGKAAIDGQSISSDDHVKNAIVVLRKLFKDASVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVSDCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVHSGKDYVAEVEALQTYQMQSDSERNEVKDMSNKLDACELSTALCKTSSDASYPLFSKETLLQEMFFSAKTTSGRLHLAKELLKLPPDVLKSFAGSKDGLSTLNSWILDSLGKNATQLLRHCVRLLLLVSTDLLAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSVWVEVFRKEKASNGGLKLLRRMPSTESSKPRSKDLLSGKPIVRAPNQVSFNPKVASKNARSAGNHSPHTAIKKPENKAAKLEAMTATRSDGSSLRSQKQHHALEPKVDNGLAMSEEEAAAFAAAEAARAAAIAAAQAYASVEAEINAPRELPKIPSFHTFAMRDHYLDESDTRKKVLSDNLVRLECISEIDSRNDKAKNPSVDHANCADVDSSKMTGDNCTQRSYSNENACLINIRDHSTDSGAVDSRFTRAWVDTDTIFIDGVKDPLAIERWQQQAMEADKEFYSRIRIPDEEDSSSQKQTCRSSASQVAESKPASDGQSRGVEHLRQGLINFISTVLMPLYRNKKVDREGYKGIMRKAVTKIIETCTEGEKMMTVHEFLDSKRKNKASLALQSKSPMKGTARDIIDQISTFVFVIVAIIIAAVNDYPKFPTAWQGTDYPNSMARISLL >ONIVA10G18410.2 pep chromosome:AWHD00000000:10:18751980:18760900:1 gene:ONIVA10G18410 transcript:ONIVA10G18410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1-like 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) TAIR;Acc:AT4G16310] MPDIRSAPGRGKSDEDERRPIGSLFKLKRKRRAPGSAEAKGDSNPSVESEAPDGVVPGEMDDTLAIIKRKLRKPKKGKEGGDAVVVGSGAEGELLVEEEDVQGGVNVGDGVAEDKSNLEGVKVEVDEVIGGELKDSGGLGLEDSLSTLFKRSGRKSRQVSVKEEEGVEVAGSHGEEILEKGSGLVSDRVAKGTKRRRRRTKEEMKNAAAKSESATAHEGSPNRKVGTSLPRKAKAEAKVKISNSNRRSKKSDEKPKASDDVLCHRSLGETIEQDAETRTVLDDGSRNSSDGASHRIEVSACLSNQPCLKPCSGELAEEVSLSAANAATDGVSNEHTYSETLLKERNDDAGCSHGKPPTLAIKSIPGKKPTEMPKKPVRQKDQLLSTDVDNKCVVGSGDTKDVNIENQPAFGIPESHVTGKGLHPHKMATSVKELDVVDVVAPTDFEDMENASKSKRVTRSSRKRKHGDMAYEGDVDWETLMQEQGLFSNLSAALVDHPLKSKDKIKISEVLDNGDGSGVAAVRAGLKAKAVTPIEKIKFKDILKRRGGLQEYLECRNMILSRWCKDVKHILDLAECGVSDVCLDDESPRQTLIRDVYLFLDQNGYINAGIASDKVKTDHESPPEDVEVSKLNESHERKSVSIQDCIVTEAVQDKKAVVKQTDCVLTEASNEESSSAAIHCDAQDLLPPLKSEELIFKEKNQGVLTEGRDESALPSNSDIHSKSDLDGFILKVEGGSLHQAEAADIEHSENKHEASDRVESGGYGKKIIIVGAGPAGLTAARHLQRQGFSVTVLEARNRIGGRVYTDRVSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLNSACPLYDVVTGDKVPDDLDTDLESEYNGLLDEMAQLFAQNGESAVGLSLEDGLEYALRKNRVTRSEQDDQLRNVSSAGAVDISESASTEKEIAHCGKEDKTDVLSPLERRVMNWHFAHLEYGCAAMLKSVSLPYWNQDDVYGGFGGAHCMIKGGYDTVLESLAKGLDVQLNHVVTEVLYGSEELGASGNSRKFVKISTSNGNEFVGDAVLITVPLGCLKAQTIKFSPSLPDWKLSSIDRLGFGLLNKIVLEFPEVFWDDNVDYFGATAEQTDLRGQCFMFWNLKKTVGAPVLIALLVGKAAIDGQSISSDDHVKNAIVVLRKLFKDASVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVSDCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVHSGKDYVAEVEALQTYQMQSDSERNEVKDMSNKLDACELSTALCKTSSDASYPLFSKETLLQEMFFSAKTTSGRLHLAKELLKLPPDVLKSFAGSKDGLSTLNSWILDSLGKNATQLLRHCVRLLLLVSTDLLAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSVWVEVFRKEKASNGGLKLLRRMPSTESSKPRSKDLLSGKPIVRAPNQVSFNPKVASKNARSAGNHSPHTAIKKPENKAAKLEAMTATRSDGSSLRSQKQHHALEPKVDNGLAMSEEEAAAFAAAEAARAAAIAAAQAYASVEAEINAPRELPKIPSFHTFAMRDHYLDESDTRKKVLSDNLVRLECISEIDSRNDKAKNPSVDHANCADVDSSKMTGDNCTQRSYSNENACLINIRDHSTDSGAVDSRFTRAWVDTDTIFIDGVKDPLAIERWQQQAMEADKEFYSRIRIPDEEDSSSQKQTCRSSASQVAESKPASDGQSRGVEHLRQGLINFISTVLMPLYRNKKVDREGYKGIMRKAVTKIIETCTEGEKMMTVHEFLDSKRKNKIQTFVDKLVERHCHMNRPPNS >ONIVA10G18400.1 pep chromosome:AWHD00000000:10:18743483:18745639:1 gene:ONIVA10G18400 transcript:ONIVA10G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDVDLYRIEPWDLQGYEEQSEWYFFSYKDRKYPTGTRTNRATMTGFWKATGRDKAVRERSRLIGMRKTLVFYKGRAPNGHKTDWIEEGWVVCRAFKKRTMQPPRSSIGAWEASYSYHDPAVFVGGGEHFKQEAAAELDGVAAAAGANAFLRYSTRLAELPQLESPPLPSQGSQAASAVVDGEEDNADSSRRPGGGGGAAAAVTTDWRAFDKFVASQLSPEEQHTCRATDDDDMAALLLLDGGGQEDDAGRWLGSAGLLSAVAADATTDCGLGTSCVPGDIN >ONIVA10G18390.1 pep chromosome:AWHD00000000:10:18724525:18726772:-1 gene:ONIVA10G18390 transcript:ONIVA10G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSANELMMHRHVQAAPYAAAPQQQGGKQRAPGLPPTPPPPPAAASSHSSHGDVCMDDTARGGLLPPRKAHRRSRSDVPFGYFQPLPPPSPKMEAGGGWALPGCGGAGDVDLLNAYMSLEGMGGADGLNNSDGDSRGSSMRTNGADSSENESEDYVGADSQALLWGGAGGGEAAGKKRRNNAAGETAARHARSLSMDSLMGKLSFAANGEPAKFSLEFGSGEFTPAEMKRIMADEKLAEMALADPKRVKRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSSGMATQNNELKFRLQSMEQQAQLRDALNEALTAEVQRLKLAANEVGDTSSSSNLAHQIQLRCQNQMLDLHKQQQQQVEQIPFYQLEQPEQQNGTARNHESK >ONIVA10G18380.1 pep chromosome:AWHD00000000:10:18715456:18717864:-1 gene:ONIVA10G18380 transcript:ONIVA10G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPRAAAAAVLPVLLPLLLLLLNWAAAPVGAATAAETRALLEFKAAVTADPGAVLANWTLGGDPCRDFGGVSCYPASGAVQRLRLHGEGLEGVLSPSLARLPALESVSLFGNRLSGVIPASFVGLAATLHKLNLSGNALSGEIPAFLGTFPMLRLLDLSYNAFSGEIPATLFGECPRLRYVSLAHNALTGRVPPGIGNCVRLAGFDFSYNNLDGELPDKLCAPPEMSYISVRSNSLSGAIDGKLDGCRSLDLFDVGSNSFSGAAPFGLLALVNITYFNVSSNNFAGEIPSIPTCGDRFAYLDASRNKLTGSVPETMANCRNLMLLNLGANGQGLTGGIPAALSQLKNLNFLDLSENALTGVIPPELGDLSNLAHFNVSFNNLTGSIPSSPLLQQFGPTAFMGNPFLCGPPLDHACPGRNARRLGVPVIVAIVIAAAILVGICIVSAMNIKAYKNKRRREQQQHDDEEEILVSDSAAIVSPGSTAITGKLVLFRKNSSASRYEDWEAGTKAVLDRNCLVGVGSVGAVYRASFESGASIAVKKLETLGRITSQEEFEREMGRLRGLTHPNLVTFHGYYWSPSTQLLLSEFVDNGSTLYDHLHGSRRRAGPASTGGDGGGLPWERRFRIAVATARALAYLHHDCKPQVLHLNIKSRNILLDNEHEAKLSDFGLSKLLPEPSNLPGYVAPELASSSMSSRHGGDKCDVFSFGVVLLEMVTGRKPVSSRHGRQGTVLVVVLRDYVREMVESGTVSGCFDLSMRRFVEAELVQVLKLGLVCTSESPSRRPSMAEVVQFLESIRGSS >ONIVA10G18370.1 pep chromosome:AWHD00000000:10:18711388:18712298:1 gene:ONIVA10G18370 transcript:ONIVA10G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSLLLTSFFREEHHNLDSSNCKRTLTSHLGLGHDREFATNKRSLLIGPYRMATKMKRALYHLFHPQLFQHRERKAVEGAAGEVEARREEVDTEDDATGEVEAGLAEADAEDGAARGIEDEGEKKGHWNGHARGN >ONIVA10G18360.1 pep chromosome:AWHD00000000:10:18710298:18711005:1 gene:ONIVA10G18360 transcript:ONIVA10G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGELKLLGMWTSAFVLRVRFVLNLKSLPYEFVEENLGDKSDLLLASNPVNKTVPVLLHAGRPVNESQVILQYIDEAWPDRPPAVLPSDPYERAVARFWAAYVDDKVRLAWLGILFRSETEEERAAAVAQADAALETLEGALRECSGGKPFFGGDGVGLVDVVLGGYLGWFTAIKKLIGRRMIDPARTPALAAWEDLFRATDAARGVLPDDADKMLEFRQTALALGASKKITL >ONIVA10G18350.1 pep chromosome:AWHD00000000:10:18708676:18709094:-1 gene:ONIVA10G18350 transcript:ONIVA10G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRDPAGGSDLEDPEESKQEFCETPYACRFFMWEGQYEQFLADGHVGLGYQTGYEQFNVEALSSMGIEGLPLKGCAALGRMLVYLAVVQALLLLLILVVVISK >ONIVA10G18340.1 pep chromosome:AWHD00000000:10:18707313:18707858:-1 gene:ONIVA10G18340 transcript:ONIVA10G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASTSPPVKPSTRWPRCSLFWRRRHGPPVWRRRGVVVVVPWRLHVERPVLQPVSRPPPAAAAATRAAAAAGATAAFVLPLAGDGAQGGEAEAAAIAAAASLSGGGGEAEGGREAGGRGCSVKAVVSPLPSSADVKRPPAAESMPEPARAEEEAAAMQLVNRPGNMHRLIDRWSVLSTTET >ONIVA10G18330.1 pep chromosome:AWHD00000000:10:18686170:18696010:1 gene:ONIVA10G18330 transcript:ONIVA10G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLESLRCSAQELILRPEMAGGDELNLLGTWPSSFVTRVQIAVGLKGLSFEYAEEDLNNKSELLLSSNPVHKKVPVLIHDGKPISESQIILQYIDEAFNFNGAFLLSADLYERAVARFWAAYIDDKFVASWVPMIMGKTEEEKAEAMKKTIVAVEILEGAPKDTSKGKPFFGGDTVGLVDIMLGALNSWMKATEVLTGAKIFDPSKTPLLATWAERFNELDATKEVLPDVDGVVEYAKMWQAEHQPALIKHPSRQQNTIQTIRVSSPPSLSFTARTEDMAGGDELKLLGAFPSAYVTRVELALGFKGLSYEYVKEDLANKSELLLSSNPVHKKIPVLIHNGKPISESQVILEYIDEAFTGASLLSGDPYERAVARFWVAYIDDKFITSWYPMILGKTREEKEEGLKQTFAAVNTLERALKDSSKGKPFFGGDTVGLVDITLGSLIAWMKATEVLTGAKIFDPAKTPLLAAWTERFAELDTTKKVLPDVAGYVEYVNKRRQTQAATAAVAAARAISALETVEAGAFRDRSSEGKTTNAAAAPFFGGDSIGFVDVVLGSYLGWFRVIEKMIGVRIMDAARTPRLAAWAERFEAADAVRGVLPDDVDKVINFLQACSTRDLAMAGSGELKLLGVWSSPYAIRVRVVLNLKSLPYEYVEENLGDKSDLLLASNPVHKSVPVLLHAGRPVNESQVIVQYIDEVWPGGAGGRPSVMPSDPYERAVARFWAAYVDDKVRPAWLAILFGSKTEEERAAAVAQAVAALETLEGAFGECSKGKPFFGGDGVGFVDVVLGGYLGWFTAIDKLIGRRLIDPARTPALAAWEERFRATDAAKGVVPDDADKLLEFRQTLLRWSASKAK >ONIVA10G18330.2 pep chromosome:AWHD00000000:10:18685058:18686741:1 gene:ONIVA10G18330 transcript:ONIVA10G18330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPYVLRVKLALSLKGLSYDYVEEDLMNKSDLLLSSNPVNKKVPVLIHNGKPICESQIILQYLDEAFPGAGATLLPADPHERAVARFWAAFNDDTLLAASQAASWGKTEEERAEGEKKVVEALERMEVGLRECSKGKPFFGGDTVGYLDVVLGGFLAWVRATDVMRGVKRFDPATTPLLAAWAERFVELDAAKAVMPDMDKMIEFGKVLQARAAATN >ONIVA10G18320.1 pep chromosome:AWHD00000000:10:18679977:18682664:-1 gene:ONIVA10G18320 transcript:ONIVA10G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVHRFFLVDVVVLFAAAAAIPASAEAAASSSQTDALLAWKASLDDAASLSDWTRAAPVCTWRGVACDAAGSVASLRLRSLRLRGGIDALDFAALPALTELDLNDNYLVGAIPASISRLRSLASLDLGSNWFDGSIPPQFGDLSGLVDLRLYNNNLVGAIPHQLSRLPKIAHVDLGANYLTGLDFRKFSPMPTMTFLSLFLNSLNGSFPEFVIRSGNLTFLDLSHNNFSGPIPDMLPEKLPNLMYLNLSFNAFSGQIPASIGRLTKLQDLRIDSNNLTGGVPVFLGSMSQLKVLDLGFNPLGGSIPPVLGQLQMLQQLSIMNAELVSTLPPELGNLKNLTVMELSMNQLSGGLLPEFAGMQAMREFSISTNNLTGEIPPALFTRWPELISFQVQNNLFTGKIPPELGKAGKLIVLFMFGNGLSGSIPAELGGLTSLEDLDLSDNDLTGGIPSELGHLSHLTFLKLSHNSISGPIPGNMGNNFNLQGVDHSSGNSSNSSSGSDFCQLLSLKILYLSNNRFTGKLPDCWWNLQNLQFIDLSNNAFSGEIPTVQTNYNCSLESVHLADNGFTGVFPSALEMCKALITLDIGNNRFFGGIPPWIGKGLLSLKFLSLKSNNFTGEIPSELSNLSQLQLLDISNNGLTGLIPKSFGNLTSMKNPNTLSAQETLEWSSYINWLLYSDGIDTIWKGQEQFFEKTIELLTGINLSGNSLSQCIPDELTTLQGLLFLNLSRNHLSCGIPKNIGNMKNLEFLDLSLNELSGAIPPSLADISTLDILNLSNNHLSGRIPTGNQLQTLSDPSIYHNNSGLCGFPLNISCTNSSLASDETFCRKCEDQYLSYCVMAGVVFGFWVWFGLFFFSGTLRYSVFGFVDGMQRKVMQKVYCINQFLSRGTTA >ONIVA10G18310.1 pep chromosome:AWHD00000000:10:18677146:18677496:-1 gene:ONIVA10G18310 transcript:ONIVA10G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPATAAAARTRQDHSAVARGGGAAGGRATSPAKPLTVPYLARRLPHQLHRRGALHFLCLFPRVFDLHNPLPLSLSVIAPAAELLAIATSPAAAAAGSGERRGDDDGEGYTSGAI >ONIVA10G18300.1 pep chromosome:AWHD00000000:10:18673182:18674449:1 gene:ONIVA10G18300 transcript:ONIVA10G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPYVLRVKIALSLKGLSYEYVEEDFKNKSELLLSSNPVHKKVPVLIHNGKPICESQVILQYLDEAFPDAGATLLPADPHERAVARFWAAFCDDTIAKASQQASSGKTEEEKAEGEKKVVEALEKMEVGLSECSKGKPFFGGDTVGYVDVVLGGFLAWVRAGDAMKGVKRFDPATTPLLAAWAERFVELDVAKAAMPEVDKLIELAMARMAGAAAAAAAATN >ONIVA10G18280.1 pep chromosome:AWHD00000000:10:18661423:18662809:1 gene:ONIVA10G18280 transcript:ONIVA10G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQHCRSADCSHRNGLYIYVLASEFLPKEESIEQKRSQKLQSLRDVCEEHSIAMAGGGDELKLLGMWASPFALRAKLALSFKGLSYDYVEEDFKNKSDLLLSSNPVHKKVPVLIHNGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRAVACFWAAYIDEKLFSAWILVFRSKTEEEKAEAVKQTFAVVEKLEGALSECSKGKPFFGGDTVGYVDVVLGGFVAWVHAIEEVFGLNQFDAAKTPLLAAWLERFDELDAVKEVMPDIGRLVELAKMRQAQAAAAAAAVAAAAAGEAN >ONIVA10G18270.1 pep chromosome:AWHD00000000:10:18649633:18650942:1 gene:ONIVA10G18270 transcript:ONIVA10G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPFALRAKLALSFKGLSYDYVEEDLKNKSELLLSSNPVHKKVPVLIHNGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRALFGAWIPVFRGKTEEEKAEGVKQTFAVAEKLEGALSECCKGKPFFGGDTVGYVDVVLGGFVAWVHAIEEVFGLNQFDAAKTPLLAAWLERFDELDAAKEAMPDIGRLVELEKMRQAQAQAAVY >ONIVA10G18260.1 pep chromosome:AWHD00000000:10:18647282:18659361:-1 gene:ONIVA10G18260 transcript:ONIVA10G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAPRKIHVSRYKLFVVSSIVSFFFIFSSRSFVPLPTGGGSQGAGWRKQGVERRWCWAERRWDDPSSDGTGRSGSRTASSSLVLASTQPLHSSSKEVEVGGTGAGDGGSSRRHRSGGVGRQGHRCRGRGTTASPPPHLIVAAPNQRGQAPVVTVGWDADLVRTRHPYFSHLSGELLQRGSKKAGARLRRSRGIWAGKGTIIGVKVTAASPPLHPSPASQSKAEDGELQQPPHCTKKKQIAKMSSTNSSGDPAAVRVVGGWASPFVNRVVVALKLKGVEHEMLQETVGKKSELLLRSNPVHKKIPVLLHHGKPIAESLIIVEYIDEVWPASNGAPSILPRDPYGRAVERFWAKYIDDKIPPGIRVLRGSVEEDKDKAAGEMSTALQHLEEAFVKCSQGKQYFGGDNIGYLDIALGSFLGWIRAVEKIAGVELLNETKVPNLAAWADRFCAHPAVVDVVPDADRLVEFTVQHAALLRAVNVPNCAQTSIYRRLCLCLPHLLELDQPADVRHGLLGGVELLLFLGHIE >ONIVA10G18260.2 pep chromosome:AWHD00000000:10:18647284:18657784:-1 gene:ONIVA10G18260 transcript:ONIVA10G18260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNSSGDPAAVRVVGGWASPFVNRVVVALKLKGVEHEMLQETVGKKSELLLRSNPVHKKIPVLLHHGKPIAESLIIVEYIDEVWPASNGAPSILPRDPYGRAVERFWAKYIDDKIPPGIRVLRGSVEEDKDKAAGEMSTALQHLEEAFVKCSQGKQYFGGDNIGYLDIALGSFLGWIRAVEKIAGVELLNETKVPNLAAWADRFCAHPAVVDVVPDADRLVEFTVQHAALLRAVNVPNCAQTSIYRRLCLCLPHLLELDQPADVRHGLLGGVELLLFLGHIE >ONIVA10G18260.3 pep chromosome:AWHD00000000:10:18642937:18647281:-1 gene:ONIVA10G18260 transcript:ONIVA10G18260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSGSAEPAAAVRVLGSWTSPFVMRVVVALKLKGVEEYELLQETRGKKSELLLRSNPVHKKIPVLLHHGKPLAESLIIVEYIDEVWPASNGGAPAILPRDPHGRAVERFWARYVDDKILPGLRVLRGSVAGDKDQTAGEMSTTLQRLEEAFVKCSQGKEYFGGDSIGYLDIALGSFLGWIKAVEKIAGVELLNETKLPILAVWADRFCAHPAVVDVVPDADKLVEFTVQYGSVLNTVNAQSLNRSPTQVRVLQKAPKFSPPCEFLLIFKPFFFKKNLFLNLNLLAAPYAVDVLVLSCHVSLNNVANNFTFENKFFLRKIKNALQHKRSGQTRHPGVMCSQGKRYFGGGDIGFLNAVLWSHLGHWPFKESIGEDPRRQRPRRSQVGPVADPCCEQRHA >ONIVA10G18260.4 pep chromosome:AWHD00000000:10:18642937:18644805:-1 gene:ONIVA10G18260 transcript:ONIVA10G18260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNNSSGEPPPAVRVLGGWASPFTNRVVVALKLKGVEHEMLQETVGKKSELLLRSNPVHKKFPVLLHHSKPLPESLVIVEYIDEVWPASNGGAPAILPRDPHGRAVERFWARYVDDKILPGLRVLRGSVAGDKDQTAGEMSTTLQRLEEAFVKCSQGKEYFGGDSIGYLDIALGSFLGWIKAVEKIAGVELLNETKLPILAVWADRFCAHPAVVDVVPDADKLVEFTVQYGSVLNTVNAQSLNRSPTQVRVLQKAPKFSPPCEFLLIFKPFFFKKNLFLNLNLLAAPYAVDVLVLSCHVSLNNVANNFTFENKFFLRKIKNALQHKRSGQTRHPGVMCSQGKRYFGGGDIGFLNAVLWSHLGHWPFKESIGEDPRRQRPRRSQVGPVADPCCEQRHA >ONIVA10G18260.5 pep chromosome:AWHD00000000:10:18644863:18647281:-1 gene:ONIVA10G18260 transcript:ONIVA10G18260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSGSAEPAAAVRVLGSWTSPFVMRVVVALKLKGVEEYELLQETRGKKSELLLRSNPVHKKIPVLLHHGKPLAESLIIVEYIDEVWPASDGALAILPRDPYCRAVERFWAQYIDDKFPRGTRVLRGTVAGDKDGVVVEMSTALKHLEEAFVKCSQGKQYFGGDNIGYLDIALGSFLGWIKAVEKFAGVELLDEAKVPNLAAWADRFCAHPAVVDAMPDADKLVEFAVKHAASMKALDAPNLLTEPRRALKQ >ONIVA10G18250.1 pep chromosome:AWHD00000000:10:18642906:18643416:1 gene:ONIVA10G18250 transcript:ONIVA10G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding METELDTSLSASGMTLLTAWVSYRANLASSRPLTPGIFSDRLLERPMTKGGENFGAFWSTRTWVGDLLRL >ONIVA10G18240.1 pep chromosome:AWHD00000000:10:18638674:18642225:-1 gene:ONIVA10G18240 transcript:ONIVA10G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQAYRAHDELPYMGMDGDSSYSPALAAVAGAVALVAFCSYYLAVTRATGDGEARRRRRRHPPVVGTVFHQLYHVRRLHDYYTALCREHTTFRLLATPGRRNIYTCDPAVVEHILRTNFPSYGKGPLNSEILNDLFGEGIFAVDGEKWKTQRKIASYDFTTRALRDFSSDVFKRNAAKLAGVVSNHAASNQSMDFKGLLTRATMDSIFTIAFGQDLNTLDGSGEGRRFAKAFDDAGEYLLLRYLNPFWKLARLLNVGAEATLKERIKVVDEFVYKLIRARSDELSNTMAQDHRSRDDLLSRFIQATTSDSGTVDYKYLRDIVLNIVIAAKDSTSGSLAWFLYMACKRPEVQEKIFDEVMEATNAGDSASIDEFLTSLTDQALNKMHYLHAALTETLRLYPSVPLENKQCFSDDVLPNGFSVSKGDGVFYMPYAMGRMEFLWGKDAEAFRPERWLDEHGVFQQESPFKFTAFQAGPRICIGKDFAYRQMKIFAAVLIRFFVFKLRDKKDNVSYRTAITLAIDQDLHLTATAR >ONIVA10G18230.1 pep chromosome:AWHD00000000:10:18622768:18627331:-1 gene:ONIVA10G18230 transcript:ONIVA10G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDGGVNSSSNSPAAAVGLVLVVAICTYLAVVATRKQKRRRRRRPPVVGTAFHQLYHVRRVHDYHTALSREHMTFRLLVPAGREQIYTCDPAVVEHILRTNFANYGKGSFNHGNMSDLFGDGIFAVDGDKWKQQRKIASYDFTTRALRDFSGDVFKRNAAKLAGVVSSHAASNQSMDFQGFLMRATMDSIFTIAFGQDLNTLDGSGEGRRFAAAFDDASEFTMLRYLNPFWKLSRLLNVGAEAMLKERIKVVDGFVYKLIRDRSDELSNTKAHDTDSRQDILTRFIQATTSDSGTVDYKYLRDIILNIVIAGKDTTAGSLAWFLYMMCKHPEVQEKICHEAMEATNAGEAASIDEFSQSLTDEALNKMHYLHAALTETLRLYPAVPLDNKQCFSDDVLPNGFNVSKGDIVFYIPYAMGRMESLWGKDAESFRPERWLDENGVFQQESPFKFTAFQAGPRICLGKDFAYRQMKIFAAVLLRFFVLKLRDEKEIISYRTMITLSVDQGLHLTAMAR >ONIVA10G18220.1 pep chromosome:AWHD00000000:10:18610401:18611325:-1 gene:ONIVA10G18220 transcript:ONIVA10G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSRRSGGVDGRARWRSGGVGGRPRLRRGGVSGRERRASAAADGDASALSSSTAPGECGGRLDCGGTGATPPATLFEVTLGKGGGGGGGAGDLDYYDVSLVDGYSLPIVAVPQAGGGCATTGCTADLNRSCPKELQVDGGGGTVACRSACEAFGEEEYCCSGAYATPATCRPTAYAYDDGMSTFTCNAAAYTIAYTSTFTCNAATYTIAYKTASPAAWRPCRRTPSGRCSRQSGACFISMETETSIYKWMTTTQHSAGPARVQNEQQNTPY >ONIVA10G18210.1 pep chromosome:AWHD00000000:10:18601092:18603370:-1 gene:ONIVA10G18210 transcript:ONIVA10G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRARWVALLVGLAAAALVATVGASEGDADPLYRACVDECEKTGSLRETSVRHCQVPTDDHPADKSWYAHEPLYLQWKEWNCKSECRYHCMMERESEREQLGLGSVKYHGKWPMKRASVFQEPISAALSALSLLVQFNGWLSFFLLLSYKLPLMPETQMTYYEYTGLWHIYGLLAMNAWFWRAIYHSCDTVWTEKLYYSSFAAFIGYSLILAILRTLNLKDEASRVMVAAPILAFTTTHILYLNFYELDKGLNTKVCTAASLAQFLLWAVWAVMTKHPSCFKILFVIIGNVFSIVLETYDIPPRWGYVDGRVFCVAISIPLTYLWWKFAKEDAEMRTSAIIKKTR >ONIVA10G18200.1 pep chromosome:AWHD00000000:10:18596739:18600607:1 gene:ONIVA10G18200 transcript:ONIVA10G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSKPLRLKDLLELDCESCSAAGFRCYPRRLCVAGGAAEAAAPPMRHRLVADRSSSAMRRPKLSSLSKSLSRRLRGGFWRRREEEDEEAAAAAAAPPATASSTAPAVASCCSSSSDSETSESSNSTGGRKSRSHSDYSEISSASSDDSLHAAGEPSTTGADHEVMKRGSKEEDEEEEADDKEQLSPVGVMDFPFDEDDDDAAAVDEDERVAAGACSFSFSDSLSQLQRRKMQLQPKIRRLGSMAELSGVDLEARFAASESDRLAGIVPVQHQCITDDVAAAPPRHDDHRNDGVSQKDPDDDEDSLLDLLADTVSVGVVDDVTERLLLDFFVEAKCSSRNIELHAPTSLLRERRRRENGETMRLAKAWLEGTGTPWTLNDVLYHGEDVMAEMERSRRWMHAGEEEREAGVVVAAMAMDELLHELVSDLIALPK >ONIVA10G18190.1 pep chromosome:AWHD00000000:10:18590559:18591140:1 gene:ONIVA10G18190 transcript:ONIVA10G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRAAAAQQQRQGRRQSAAACGIRRARAETRHPVYRGVRFRAGKWVSEIRELRKPSRIWLGTYATPEMAAAAYDAAALALRGRGAALNFPDAARSRPAPASVSADDVRAAATAAAAAMAHQEEDDDSRRQLEDGGGGGGVVDEDDVLEMPRLMVSMAEGLMISPPPVMLGLQADGGGIMDEGGGVVRLWDHS >ONIVA10G18180.1 pep chromosome:AWHD00000000:10:18589113:18590175:-1 gene:ONIVA10G18180 transcript:ONIVA10G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHIGGDLTCRKAAFALVRDELGVKLNPSILFAFWNLGEKDVLELIPQIVYYSARMSKARSSFYERSASYILQASLKVESYLDDGNTFGVVVKLYMHEFAIS >ONIVA10G18170.1 pep chromosome:AWHD00000000:10:18579385:18580611:-1 gene:ONIVA10G18170 transcript:ONIVA10G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSSSLHLHKHLLLPKTNPSSSYSRPPPPSFVAAAAKINGVNGHSSKKSPNGKAQINGDGKKGVNGSGRKKAAAQHINGNDRIHLSVSTGGAGGQDGFGLRVAFQGAPGAYSEFAAKTALPGCDTVPCRAFADALAAVDGGAVDRAILPVESTMEGTALRNYDLLLRHDLVVVQEINLFVHYCLLAMPGVRAAEVRRVISHPMALAHCGRALARLGVDREPVEDTAGAVEMLRSNRMLDTAAIASPRAADLYGLDVLAHGLQDESWNVTRFLLLSKPPSPVTLPMDADAKTSMVVAHRGGSMMVVLKVLSAFSSRNINLTKLEVINNNDGGGGGGGAAAGHPVMILDTSARGAPTLRAFPHVLYVDCEGASHDPRVLDAIKEIERFAVFVRVLGCYAADSNVYDLQ >ONIVA10G18160.1 pep chromosome:AWHD00000000:10:18575511:18575864:1 gene:ONIVA10G18160 transcript:ONIVA10G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELACGIDTIKVESVWHRVPTNLASSQIPPSPLVLADGGGAASYRLPASQEARSEDPLGVRGRRGRRPPSRRRGSAPLAAWASAPYPWPGLRERERESREGGRERGEEKGKVDVAR >ONIVA10G18150.1 pep chromosome:AWHD00000000:10:18574116:18575479:1 gene:ONIVA10G18150 transcript:ONIVA10G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPALGRPRRRARPGSAAAMALAAAGNGLSSLSLSLRRLRRSSAVEMRREREQQPQCEEVAGGTAAEPHPPLGRRLFLADVVERLDAVCAEELEHADPSEQRLGRLDSARDNMGSVGEIDCE >ONIVA10G18140.1 pep chromosome:AWHD00000000:10:18546402:18547994:1 gene:ONIVA10G18140 transcript:ONIVA10G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IVC0] MCEGLVDRQVLPPCGCNGGGGDVVVVVVPKTSAAAAVSKGGEAASILRLSLPMIMTGLILYIRPMISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLAMGMEPVCGQAVGAGNLPLVGATMQRMVLLLLAVSVPVAVLWAWMESLLLLCGQDAAIAAAAQRYILFCLPDLLFLSLLHPLRIYLRVQSINLPLTACAALAVAAHLPINHLLVSVLGLGIEGVALASAWANLNLVIFLLAFVYVSGVHRDTGGFSLPRKMFKDVDGWVRLVRLAAESCASVCLEWWWYEIMILLCGLLANPRATVASMGILIQTTSLLYIFPSSLSFGVSTRVSNELGANRPSAARAAARAGLALSAVQGLASLAFAVAVRGAWARMFTPDADILALTASVLPILGLCELGNCPQTTGCGVLRGSARPRDGAHINLGAFYGVGTPVAVGLAFWAGMDFRGLWLGLLAAQAACVAVMLAVIQRTDWDVQAKLAQVLAGGKASDGGGHGVNEAGGDDAVAHVKVAAPHGDEDSSLLITVST >ONIVA10G18130.1 pep chromosome:AWHD00000000:10:18523674:18538202:1 gene:ONIVA10G18130 transcript:ONIVA10G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEILDLPVVDLASSDLAAAAKSVRKACVEYGFFYVVNHGAEGLVEKVFAESSKFFEQPLGEKMALLRNRNYLGYTPLGADKLDASSKFKGDLNENFCIGPIRKEENFPCWKETMKLYHETALATGKRILSLIALSLNLDAEFFDCPVAFLRLLHYPGEANESDDGNYGASAHSDYGVLTLVATDGTPGLQICREKDKCPQLWEDVHHIEGALIVNIGDLLQRWTNCVFRSTLHRVVAVGKERYSVAFFLHTNPDLVVQCLESCCSEACPPRGEKTPGRGMSVKSGTRTLVGTERATGSCHLRYARSNKQQTLPGGRGSGKPEMVAAAGDRLDLPVVDLASSDLRSSAESIRKACVEYGFFYVVNHGIEEGLLEKVFAESRRFFEQPLEEKMALLRNSSHLGYTPPYTEKLDASSKFRGDLSEKFKIGPIGDEGFQNDANQWPSEERLPCWKETMKLYRATALATGKRILSLVALSLNLDAEFFDCPLAFLRLLHYPGEINESDDGNYGASAHSDYGILTLLATDGIPGLQICKEKDRHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDFVVSTYKKWRLFGRALELNIQKGKTCYMTPKMGHVCFLLCKPSYRGDADADGGGGSSSKPPLRQKRNPAMAGGGGGGNRLDLPVVDLASSDPRAAAESIRKACVESGFFYVVNHGVEEGLLKRLFAESSKFFELPMEEKMALRRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLQNDANQWPSEERLPSWRETIKMYHASALATGKRILSLIALSLNLDAEFFENIGAFICPSAFLRLLHYPGEVDDSDYGNYGASAHSDYGMITLLATDGTPGLQICKEKDRNPQLWEDVHHIDGSTVHRVVAVGKERYSAAFFLDPNPDLVVQCLKSCCSESCPPRFLPIKSGDYLKERLSVTYK >ONIVA10G18130.2 pep chromosome:AWHD00000000:10:18523674:18537737:1 gene:ONIVA10G18130 transcript:ONIVA10G18130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEILDLPVVDLASSDLAAAAKSVRKACVEYGFFYVVNHGAEGLVEKVFAESSKFFEQPLGEKMALLRNRNYLGYTPLGADKLDASSKFKGDLNENFCIGPIRKEENFPCWKETMKLYHETALATGKRILSLIALSLNLDAEFFDCPVAFLRLLHYPGEANESDDGNYGASAHSDYGVLTLVATDGTPGLQICREKDKCPQLWEDVHHIEGALIVNIGDLLQRWTNCVFRSTLHRVVAVGKERYSVAFFLHTNPDLVVQCLESCCSEACPPRGEKTPGRGMSVKSGTRTLVGTERATGSCHLRYARSNKQQTLPGGRGSGKPEMVAAAGDRLDLPVVDLASSDLRSSAESIRKACVEYGFFYVVNHGIEEGLLEKVFAESRRFFEQPLEEKMALLRNSSHLGYTPPYTEKLDASSKFRGDLSEKFKIGPIGDEGFQNDANQWPSEERLPCWKETMKLYRATALATGKRILSLVALSLNLDAEFFDCPLAFLRLLHYPGEINESDDGNYGASAHSDYGILTLLATDGIPGLQICKEKDRHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDFVVSTYKKWRLFGRALELNIQKGKTCYMTPKMGHVCFLLCKPSYRGDADADGGGGSSSKPPLRQKRNPAMAGGGGGGNRLDLPVVDLASSDPRAAAESIRKACVESGFFYVVNHGVEEGLLKRLFAESSKFFELPMEEKMALRRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLQNDANQWPSEERLPSWRETIKMYHASALATGKRILSLIALSLNLDAEFFENIGAFICPSAFLRLLHYPGEVDDSDYGNYGASAHSDYGMITLLATDGTPGLQICKEKDRNPQLWEDVHHIDGSTVHRVVAVGKERYSTTSQ >ONIVA10G18120.1 pep chromosome:AWHD00000000:10:18520310:18520617:-1 gene:ONIVA10G18120 transcript:ONIVA10G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVELGVWLIPLTLFFFPCRRIVLLLKQLQGFHRSMTRPRRRASADMLSRFSGLNDNMSETQMAFGHGAGLLLMLIQLVL >ONIVA10G18110.1 pep chromosome:AWHD00000000:10:18504695:18514018:1 gene:ONIVA10G18110 transcript:ONIVA10G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHCCPAAGDPPAPAGTAEELLERARSLVPAALDAARAATGFGGRWKAIAARLERVPPCLSDLSSHPCFSKNSLCRELLQSVAATLAEAAELGARCREPPRAGKLQMQSDLDALAGKLDLNLRDCALLIKTGVLSDATVPPVAPAAEAAAQTDVRELLARLQIGHAEAKHRAVDGLLDALREDEKSVLSALGRGNVAALVQLLTATAPKIREKAATVLCLLAESGSCECLLVSEGALPPLIRLVESGSLVGREKAVITLQRLSMSPDIARAIVGHSGVRPLIDICQTGDSISQSAAAGALKNLSAVPEVRQALAEEGIVRVMVNLLDCGVVLGCKEYAAECLQSLTSSNDGLRRAVVSEGGLRSLLAYLDGPLPQESAVGALRNLVSSAISPDSLVSLGVLPRLVHVLREGSVGAQQAAAAAICRVSSSSEMKRLVGEHGCMPLLVRLLEAKSNGAREVAAQAVASLMSCPANARDIKKDEKSVPNLVQLLEPSPQNTAKKYAISCLLTLSASKRCKKLMISHGAIGYLKKLSEMDVAGAKKLLEKLERGKLRNLFSRK >ONIVA10G18110.2 pep chromosome:AWHD00000000:10:18504788:18514018:1 gene:ONIVA10G18110 transcript:ONIVA10G18110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVSLIAAAAAAAAAGGAAILLGALAIGVASRRGRAAAAGGGGEGEERIGDHEVRCCSFDRGMSLHCCPAAGDPPAPAGTAEELLERARSLVPAALDAARAATGFGGRWKAIAARLERVPPCLSDLSSHPCFSKNSLCRELLQSVAATLAEAAELGARCREPPRAGKLQMQSDLDALAGKLDLNLRDCALLIKTGVLSDATVPPVAPAAEAAAQTDVRELLARLQIGHAEAKHRAVDGLLDALREDEKSVLSALGRGNVAALVQLLTATAPKIREKAATVLCLLAESGSCECLLVSEGALPPLIRLVESGSLVGREKAVITLQRLSMSPDIARAIVGHSGVRPLIDICQTGDSISQSAAAGALKNLSAVPEVRQALAEEGIVRVMVNLLDCGVVLGCKEYAAECLQSLTSSNDGLRRAVVSEGGLRSLLAYLDGPLPQESAVGALRNLVSSAISPDSLVSLGVLPRLVHVLREGSVGAQQAAAAAICRVSSSSEMKRLVGEHGCMPLLVRLLEAKSNGAREVAAQAVASLMSCPANARDIKKDEKSVPNLVQLLEPSPQNTAKKYAISCLLTLSASKRCKKLMISHGAIGYLKKLSEMDVAGAKKLLEKLERGKLRNLFSRK >ONIVA10G18110.3 pep chromosome:AWHD00000000:10:18504788:18508760:1 gene:ONIVA10G18110 transcript:ONIVA10G18110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVSLIAAAAAAAAAGGAAILLGALAIGVASRRGRAAAAGGGGEGEERIGDLSASFLAELRVSTNLLHTPNTADHEVRCCSFDRGMSLHCCPAAGDPPAPAGTAEELLERARSLVPAALDAARAATGFGGRWKAIAARLERVPPCLSDLSSHPCFSKNSLCRELLQSVAATLAEAAELGARCREPPRAGKLQMQSDLDALAGKLDLNLRDCALLIKTGVLSDATVPPVAPAAEAAAQTDVRELLARLQIGHAEAKHRAVDGLLDALREDEKSVLSALGRGNVAALVQLLTATAPKIREKAATVLCLLAESGSCECLLVSEGALPPLIRLVESGSLVGREKAVITLQRLSMSPDIARAIVGHSGVRPLIDICQTGDSISQSAAAGALKNLSAVPEVRQALAEEGIVRVMVNLLDCGVVLGCKEYAAECLQSLTSSNDGLRRAVVSEGGLRSLLAYLDGPLPQESAVGALRNLVSSAISPDSLVSLGVLPRLVHVLREGSVGAQQAAAAAICRVSSSSEMKRLVGEHGCMPLLVRLLEAKSNGAREVAAQAVASLMSCPANARDIKKDEKSVPNLVQLLEPSPQNTAKKYAISCLLTLSASKRCKKLMISHGAIGYLKKLSEMDVAGAKKLLEKLERGKLRNLFSRK >ONIVA10G18100.1 pep chromosome:AWHD00000000:10:18498072:18504149:1 gene:ONIVA10G18100 transcript:ONIVA10G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2930) [Source:Projected from Arabidopsis thaliana (AT5G52110) TAIR;Acc:AT5G52110] MPLLARAPPPPPPLRHRGRLLPRFTSSSSPRRRRRPRAARVRASGSDPPPQQQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLVGTLVFLLHLQLWSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDSLSAAQKEDMAWASYVLLRNTNTTSVLCIRGYWDPPEDISKYAMIEWFKSQMQEAGIVDLREDLYFPTFSDTQLGKLLPQGILSVLAQPVLNNPDPTNSEIKAEGFILLASNSSYAYSEKHRVWIRTVANKFQCT >ONIVA10G18100.2 pep chromosome:AWHD00000000:10:18498072:18501821:1 gene:ONIVA10G18100 transcript:ONIVA10G18100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2930) [Source:Projected from Arabidopsis thaliana (AT5G52110) TAIR;Acc:AT5G52110] MPLLARAPPPPPPLRHRGRLLPRFTSSSSPRRRRRPRAARVRASGSDPPPQQQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLVGTLVFLLHLQLWSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDSLSAAQKEDMAWASYVLLRNTNTTSVLCIRGYWDPPEDISKYAMIEWFKSQMQEAGIVDLREDLYFPTFSDTQLGKLLPQGILSVLAQPVLNNPDPTNSEIKAEGFILLASNSSYAYSEKHRVWIRTVANKFQCT >ONIVA10G18090.1 pep chromosome:AWHD00000000:10:18493559:18496269:1 gene:ONIVA10G18090 transcript:ONIVA10G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSGLFGLGGDEGETSAAVGGAAAAGLGDLPELCAAEVLLRLDAPEICRLARLNHAFRGAAGADFVWEAKLPENYRYLMSFVEGGGGGDDGRQLRRRRWRPAGKKEIYARLARPVPFDGGSKEFWLEKSKGRVCMALSSKSLVITGIDDRRYWQHIPTAESRFHSVAYLQQIWWFEVVGEIDFSFPVGTYSLYFRIHLGKFYKRFGRRVCSTEHVHGWDKKPVRFQLSTSDGQHSLSQCSLGEPGSWVLYHAGDFVVSKPDQTIKLKFSMAQIDCTHTKGGLCVDSAFIYPKGFQQERMVRSQKCRC >ONIVA10G18080.1 pep chromosome:AWHD00000000:10:18472420:18472584:1 gene:ONIVA10G18080 transcript:ONIVA10G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVKVTHDSAAAIHAVWLKPPEAERRRIRTPKARRWRIRPPEANDSGSSSP >ONIVA10G18070.1 pep chromosome:AWHD00000000:10:18439010:18439632:-1 gene:ONIVA10G18070 transcript:ONIVA10G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDAFKGLQVAIKCKNSDGEYESKAVGDLDGDGAFSVPLAADDLHGAADCFAQLHSATSSTPCPGQEPSKIVPLSSTTDNGGDKDNTFVVVPGKRMHSSTSPAECTSAFLYDYFHKHPFFDYFQKKPQGPEPKPDPKPTPLPANGGGGGAGNGGGGAQGNSGGAAPSPSSPPVYH >ONIVA10G18060.1 pep chromosome:AWHD00000000:10:18426667:18430601:-1 gene:ONIVA10G18060 transcript:ONIVA10G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARALLFAATVCAALVMAVTAAADGEAAAIVVGQAKCGECTRKNMKAQDAFKAMAAVARALLFAAIVCTALVMAVTAAADGEAAAIVVGLAKCGDCSSKNMKGQDAFKGLQVAIKCRNGDGEYESKAVGDLDGDGAFSVPLAADDLHGAADCFAQLHSATSSTPCPGQEPSKIVPLSSTTDNGGDKANTFVAVAGKRMHSSTSPAECTSAFLYDYFHKHPFFDYFHKKPQGPEPKPDPKPTPLPANGGGGGAGNGGGGAQGNSGGAAPSPSSPPVYH >ONIVA10G18060.2 pep chromosome:AWHD00000000:10:18426667:18430601:-1 gene:ONIVA10G18060 transcript:ONIVA10G18060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARALLFAATVCAALVMAVTAAADGEAAAIVVGQAKCGECTRKNMKAQDAFKGLQVAIKCRNGDGEYESKAVGDLDGDGAFSVPLAADDLHGAADCFAQLHSATSSTPCPGQEPSKIVPLSSTTDNGGDKANTFVAVAGKRMHSSTSPAECTSAFLYDYFHKHPFFDYFHKKPQGPEPKPDPKPTPLPANGGGGGAGNGGGGAQGNSGGAAPSPSSPPVYH >ONIVA10G18050.1 pep chromosome:AWHD00000000:10:18418566:18419327:-1 gene:ONIVA10G18050 transcript:ONIVA10G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVARALLFAAIVMAVTAAADGEAAAIVVGQAKCGECTRKNMKAQDAFKGLQVAIKCKNSDGVYESKAIGELDGDGAFSVPLAADDLHGAADCFAQLHSAASSTPCPGQEPSKIVPLSSTTDNGVDKANTFVAVAGKRMYSSTSPAECTSAFLYDYFHKHPFFDYFHKKPQGPEPKPDPKPTPLPANGGGGGAGNGDGGAEGNSGGAAPSPSSPPVYH >ONIVA10G18040.1 pep chromosome:AWHD00000000:10:18402058:18410508:-1 gene:ONIVA10G18040 transcript:ONIVA10G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGLVNAALPDDLLAEVFRRVAAAGGKADLDSCALVCRRWRGVERASRRAARVPVDGPDGDAVVRCVADRFPGLADVFLDHGLYIAAGASAAAAERSRAQGWDNENPKLDEQHMQCSTLSEDTQKENGSDGVNPTSFTDAGLLHLIEGCKGLEKLTLNWFLHISEKGLVGIANRCRNLQSLVLSGGYVQNHGLITLAEGCNLSELKLCGVQELTDEGLVEFVKIRSKSLVSLDISFCNGCITYRSLYAIGTYCHNLEVLSVESKHVNENKGIISVAKGCQYLKSLKMVWLGVGDEALEAIGSSCSALENLSLDNLNKCSDRSLFSIANGCKQLKSLIIKSSVKFTDRSIERVSQNCKMLQHMEINMCHIMESAALEHIGQRCINLLGLTLNSLWIDNNAFLGFGRCCFLLKSVCLANCCKISDEAISHIAQGCKNLRELSIISCPQIGDEALLSVGENCKELRDLTLHGLGRLNDTGLATKIGDTTLAKVGEGFRKLKHLMMLRCDAISDVGLEDIARGCLQLEACGVFRCSQVTPAGVAALAGGSSRLQRIIVEKCKVPEEATGKCRMINDPILISYY >ONIVA10G18030.1 pep chromosome:AWHD00000000:10:18398552:18400110:-1 gene:ONIVA10G18030 transcript:ONIVA10G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASWLLLHGAALMILMAAAAAGLKVAIKCKNGSSEEYESKAVGELDGAGAFAVPLAADLRGADCVAQLHSAATDAPCPGQEPSKIEPLSSEGTGTFVAVAGKTLLPSSTSSSPECSSVAICFPCHRRHHMFHRKPMPEYQPPPSPVYGTPAPGCSCSPPSSTPPGYGQPAPECPPADPGYGQPAPECPPPPTPAPECGQPEPEYPPPTPAYGTPAPECPPSTPEYGTPATACPPPTAPGYGSPSPFWPPVSPAYGTPSPTPIYRPPGSH >ONIVA10G18020.1 pep chromosome:AWHD00000000:10:18391782:18393530:-1 gene:ONIVA10G18020 transcript:ONIVA10G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGFLARRPEPCAAAADPDDGDARRGGWRAAFFLIVVGFLERIGFFGVQGNLMLYLTGPMAMSTAAAATAANTWGGTVLVLTLAGGLAADSSGLGRYRAVLVASALYLLSLGMLTVSSSSMAAQPATSPSSSIVVFYAALYLLALAQGFHTPCAEAFGADQFEREGDDDDDRGGGDARRRASRSSYFNWYHFSISWGYVISTTLLCYVDENVGWTVGFAACWATMVLYLAVFLLGTGTYRRAERPVIDGAAAARRAWTARFFFFFFSRNRKDAAEQLIETQEDEVVVVDGHGDGGKGFFLVKLLPIWLNSIVFAVVISQVSTLFTKQSSTMDRRIGGGGGGGLVLPSAGLQCLVSFTYIAVLPVYDRMVVPLARRVTGGGGGGITMLQRIGAGMATACLAMVVAALVEERRLRVARDAGLVDRPDATVPMGVWWLVPQHVLVGVAEVLAVIGLEEFFYDQVAGELHSVGLAVSQGVMGVGSYASGALVAAIDWATAARSGGGGESWFADDLNRAHLDYFYWLLAALAALEVAVFVYLAQRYDYKNKSKP >ONIVA10G18010.1 pep chromosome:AWHD00000000:10:18384539:18391734:-1 gene:ONIVA10G18010 transcript:ONIVA10G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGATLQSLTVLDMESGGILPPSETCAGSGSPDGRGGWRAARFLIAVGFLERIGFNGVQGNLVMYLTGPMAMSTAAAAAGANAWGGTVLVLTLAADSRLGRYRAIVAAGVLHLLSLGMLTISSVMQPNHPHPASCHDAAAACSPSPTPPPSLARLVFFHAALYLLALAQGFHNPCSEAFGADQFAASDPGARASRSSYFNWYQFFNSFGYGISNTALSYVEDSVSWTVGFAQPVDGALLARLAKTSSSAARAWTARVFRRKDTSCTERLLAREEVGEKGFLAKLLPIWVTSIVFAIVSAQEVTLFIKQGSTMDRRIGARGGLVVPPAALQSIVSVIFLTFVPVYDRALVPLARRFTGHPAGITTLQRVGVGMAMSCLAMAVAALVEARRLRVARDAGLVDRPDATVPMGVWWLVPQHVLVGVAEVLAVIGLEEFFYDQVAGELHSVGLAVSQGVMGVGSYASGALVAAIDWATAARSGGGGESWFADDLNRAHLDYFYWLLAALAALEVAVFVYLAQRYDYKNKSKP >ONIVA10G18010.2 pep chromosome:AWHD00000000:10:18384539:18391734:-1 gene:ONIVA10G18010 transcript:ONIVA10G18010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGATLQSLTVLDMESGGILPPSETCAGSGSPDGRGGWRAARFLIGNAVADLSPYSRASLRRAQVDRFFASPEPVGFLERIGFNGVQGNLVMYLTGPMAMSTAAAAAGANAWGGTVLVLTLAADSRLGRYRAIVAAGVLHLLSLGMLTISSVMQPNHPHPASCHDAAAACSPSPTPPPSLARLVFFHAALYLLALAQGFHNPCSEAFGADQFAASDPGARASRSSYFNWYQFFNSFGYGISNTALSYVEDSVSWTVGFAQPVDGALLARLAKTSSSAARAWTARVFRRKDTSCTERLLAREEVGEKGFLAKLLPIWVTSIVFAIVSAQEVTLFIKQGSTMDRRIGARGGLVVPPAALQSIVSVIFLTFVPVYDRALVPLARRFTGHPAGITTLQRVGVGMAMSCLAMAVAALVEARRLRVARDAGLVDRPDATVPMGVWWLVPQHVLVGVAEVLAVIGLEEFFYDQVAGELHSVGLAVSQGVMGVGSYASGALVAAIDWATAARSGGGGESWFADDLNRAHLDYFYWLLAALAALEVAVFVYLAQRYDYKNKSKP >ONIVA10G18000.1 pep chromosome:AWHD00000000:10:18367572:18368678:-1 gene:ONIVA10G18000 transcript:ONIVA10G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPRALVLGVCAAVLLVNVLAVAADGDAAAAASMVVGLAKCADCTRKNMKAEAVFKGVRVAIKCKNSNGEYETKATGEVGKSGAFAVPLAADLLGDDGELRQQCFAQLHSAASNQPCPGQEPSWIVNAAADKKKTFVAVAGDTHFPSSECASAFLCDPFHKKDFFFHYKNPSPPAPAAYHKPPPSYTHPAPPVYSYPTPAYSHPTPVYKQPLPTPSPPIYHPPAEEKKVAMQDDAEADPELFKKLLPLIKKNPFLKFPKLPPVEVEAKP >ONIVA10G17990.1 pep chromosome:AWHD00000000:10:18357290:18359272:-1 gene:ONIVA10G17990 transcript:ONIVA10G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKAMLARPIQLADEVAKQCGAARCFRAECGELKARADKLAALLRQAARADLYDRPAARIMAGAQQALLKASSLAARCASGHPRLRRLFTLSPAAGFPRTVALLDTALEDVAWLLRISSPRSGGGGGGGGGGGDDDEDGDDGDLRGLPNIAQNEPILFLIWDHVARLHTGGLAARADSAANLASLARDSQHFAKLIIEEDGVPPLLRLLKEGTDDGQEAAARALGLLGCDDESIDKLVQAGVCSVFAAALKDPPMRVQAAVADAIGTLADRSATCQELFAQNNAVRYLVGHLASGTIQEHSRYSVGSSSSKNSAVAPQHMTSLHSVVLAKTLSMRHGGDRGTSSSTDEPPRVSNEQETKRNQMQSVVQSAMAAKTKTNGSLVPPFRPQLGTSGSSGRGAVREVEDPETKARLKAMAARALWKLARGHLGVCKSITDSRALLCFAVLLEKGDGGMGTSVQYFSAMAIMEISRVAEHSLALRQSAFKPSSPAAKAVVDQLLHIVSKGDYDDLLLPCITALGCLARTFTASENRVIAPLVELLDEREPPVIKEAVLALTKFACTENHLHVNHCKAIVDSGGARHLVQLVYLGDEVQIEALILLCFIALHVPESEELAQAGVLAVLLWASKQAHMIQDMRVDALLPDAKGRLELFQSRASR >ONIVA10G17980.1 pep chromosome:AWHD00000000:10:18354892:18359359:1 gene:ONIVA10G17980 transcript:ONIVA10G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAACTRMGGSLSAAAKTEHTPAWTSLSMLSSSQPSKPSARAAASWPSSVPSLSSLSSGGTPSSSMMSLAKCWLSRAREARLAAESARAASPPVWRRATWSQMRNSMGSFCAMLGSPLRSPSSPSSSSSPPPPPPPPPPPERGEEMRSSHATSSSAVSRRATVRGNPAAGLSVKSRLRRGWPLAQRAAREEALRSACCAPAMMRAAGRSYRSARAACRSSAASLSARALSSPHSARKHRAAPHCLATSSASWIGRASIAFTPSPIPKEKPNDSPPAPPPGTRIPSRIASPRG >ONIVA10G17970.1 pep chromosome:AWHD00000000:10:18324264:18329990:-1 gene:ONIVA10G17970 transcript:ONIVA10G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGGGYADEKGPGAATMQALGLQQQHGGGGEVEEESSEMGEKTAARTRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMASGILFQLFYGLLGSWTAYLISILYLEYRTRKERDKVDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIGCASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYIAVASLIHGQVEGVAHSGPTSIVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLLATVYVLTLTLPSASAAYWAFGDALLTHSNALALLPRTPWRDAAVVLMLIHQFITFGFACTPLYFVWEKLVGLHGCPSLCKRAAARLPVVLPIWFLAIIFPFFGPINSAVGSLLVSFTRPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVHQVDTFGLFAKCYQCPPHPAAAALSPPGAIAPAPASMLPPFNSTAAGIFAAPVPSPAPAPAPMHFVLGHHHHHRHHRHGL >ONIVA10G17960.1 pep chromosome:AWHD00000000:10:18317457:18319680:1 gene:ONIVA10G17960 transcript:ONIVA10G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKEPTDGHAVDPEKSSESSVGVCSKELSANPSPTRNISLPNPPPGQSAIPIHQANPCGLLPAGGKDGAGVYKDDGARGRHASCADLLYRDGLLDLEAAPARAAAGAPLLLLHPLVVTAAVAAGGGQIRRPTPADPPSSPLPSLVAAVARVPTLAAEPAARLPPMPFPHGGVGPAACAGLPYVFPRRRVASPSSPPQLPNRSPLNSRPLLSTRNTMTVAAEVDASPDGEERRRQACNLTTIYRFLPSFSFFFLSFFRGEGGNFGGGGGRRGGWRQRWRCPMRSGSGSDGGGYDKAGMDSGKYVQYTPDQVEALERVYAECPKPSFSRRQQSPTSSPSRRSRSGSQNRSLQMFDKKRMKE >ONIVA10G17950.1 pep chromosome:AWHD00000000:10:18303684:18306238:1 gene:ONIVA10G17950 transcript:ONIVA10G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGMSSRSSSLLAMVFVAVAVLARAQGERAATFTITNNCAYTVWPGLLSSAGSAPLSTTGFALAPGASQAVPAPSGWSGRMWGRTLCAADGAGAKFSCATGDCGSGDVQCNGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNLPMLVTPSATSGSGKCAATGCVAELNGACPADLRVASASSASGPAVACRSACEAFGSAEYCCSGAYGNPNTCRPSAYSEFFKAACPRAYSYAYDDSTSTFTCAAGATDYAITFCPAAPTSVKSSGQNPQAAGLQQLNDTMVYFGGGGGSPQSSGATTTSAYSSIAAAVFSVAAVALAALL >ONIVA10G17940.1 pep chromosome:AWHD00000000:10:18290040:18290520:1 gene:ONIVA10G17940 transcript:ONIVA10G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKEELWLIC >ONIVA10G17930.1 pep chromosome:AWHD00000000:10:18269662:18271315:-1 gene:ONIVA10G17930 transcript:ONIVA10G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGWLPRSATAVLVLFLVLWRDWGAEAATFTFVNRCTDTVWPGVLSNAGSARLATTGFELPPGVARAVPAPAGWSGRMWARTGCAVVQDGGAGGGRMVCATGDCGSGGAECNGAGAAPPATLAEFTLDGSGGLDFYDVSLVDGYNLPVLVEPSSSGGGGGGGGSLTSAATCAAAGCAADLNAMCPAELRAGGGAACRSACDAFGRPEFCCSGAFANPSTCRPTAYSQVFKSACPRSYSYAFDDPTSTFTCSGGPDYTLTFCPASSPSGSQKSTTATPTPAAMMPGTGTPTTPTTATAMPGATMPGTATATTMPGTTFTDAVPDTSMPMPMGGDAGGGGEEGVVLSGSETWIANMATGELTAAASLSRPSPAAALALFLVHALRLLVLR >ONIVA10G17920.1 pep chromosome:AWHD00000000:10:18252338:18254987:-1 gene:ONIVA10G17920 transcript:ONIVA10G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMLPSPQRACPGAPPPSLPPAEDLLFEILLRLPPDPDCLHRAALVCRRWRRLIHGPAFLPRFRAFHRTSPVLGFYHNSRSLGPSFVALAAPAGPSLVFGDGDWSLLGCRHGRVLLRSGPGWLQLLVWDPVTGHRSSVRLGRLAGHVRACNAAVLGDQDTRRHGSFRVAFVFTGEGRASACLYSSETAAWGRLITAGTARCGDVGKKPSALAGDALYWALDDGRRRHPRARHGQGDPRRGRAAAARRSGSLWARESGGADGVASTSSWVLLKSIDLDVFAPMPLPCAGGRVILVPPVRLLGVDEGGISAFIWTIEGIFMLHLEDEMLMKMVAASRVVDFVYPYSSVYVAGGGGEGEDAGAVHGSGRL >ONIVA10G17910.1 pep chromosome:AWHD00000000:10:18245719:18246380:1 gene:ONIVA10G17910 transcript:ONIVA10G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRMTWMQSNAQVGLRDLHFLDKTSGRQEGGIEVCRSLLGRDEPQRPPPKESSGKCIGESAASLLSLAFGLTV >ONIVA10G17900.1 pep chromosome:AWHD00000000:10:18232119:18237772:-1 gene:ONIVA10G17900 transcript:ONIVA10G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGRIWEVGGKREDEETGRIERRRSLRLLSRNARSTCIQIFDLTRVLEAFVLLASMGLQKTVKFWIVRDAFRRHSVLIRIAVPGLILQQELAWTPSFNHWNLEQAPKLGPHM >ONIVA10G17900.2 pep chromosome:AWHD00000000:10:18232119:18236320:-1 gene:ONIVA10G17900 transcript:ONIVA10G17900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHLLVECYCAAAGLNGIAGSWDTDTKPSNWGNGNDPCGDKWIGIICTGNRKTVKFWIVRDAFRRHSVLIRIAVPGLILQQELAWTPSFNHWNLEQAPKLGPHM >ONIVA10G17890.1 pep chromosome:AWHD00000000:10:18221596:18221926:-1 gene:ONIVA10G17890 transcript:ONIVA10G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIADEKAHPGHGLGGSGEGSSGDGALLRRRVASAAGLLQRWNLWKVEQESLMECAGTRDRAIAHQGSGSMVRAAAAVFWPTRRTSKRRRCLSVFRLRTRVKWIQ >ONIVA10G17880.1 pep chromosome:AWHD00000000:10:18210861:18211191:-1 gene:ONIVA10G17880 transcript:ONIVA10G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIADEKAHPGHGLGGSGEGSSGDGVLLRRRVASAAGLLQRWNLWKVEGESLMECAGTRDRAIAHQGSGSMVRAAAXVFWPTRRTSKRRRCPSVFRLRTRVKWIQ >ONIVA10G17870.1 pep chromosome:AWHD00000000:10:18112239:18124909:-1 gene:ONIVA10G17870 transcript:ONIVA10G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMAGGTGGAGGGGGRALDCRSFWKAGAFESASAPSREFHDALVAGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEICNGATFIKVDKSVNLKDNSTMLVFQDDGGGMDPEGVRRCMSLGFSTKKSKKTIGQYGNGFKTSTMRLGADAIVFTRANRGSNVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGHIVPLVYGSQGDWDSSLKIILEWSPFSSKEELLQQFKDIVSHGTKVAIYNLWMNDDGLLELDFEDDDEARCFYDILLRDQDKASGGVTKAQKEIVQQHISHRLRFSLRAYTSILYLKKFENFQIILRGKPVEQIRIADELKFKKLVTYKPQVAHDSQVVSVKVDVGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLEAKLRQIILDYWKEKCHLIGYQPVDPQLRSQYKAALKDSGNPGPKSQQKASTSRRTGGHTSNLLPETYDDTEVIGLTANGSGSRVRSFGQAQENSMEPVGLDENSVDIGSEGALDPYIMEKLSEENIGLFTRREELRQRDTQLRQTVGELEQQLAETKKKCAQLADDLRIRKSQQQQQPPYI >ONIVA10G17870.2 pep chromosome:AWHD00000000:10:18112239:18124909:-1 gene:ONIVA10G17870 transcript:ONIVA10G17870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMAGGTGGAGGGGGRALDCRSFWKAGAFESASAPSREFHDALVAGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEICNGATFIKVDKSVNLKDNSTMLVFQDDGGGMDPEGVRRCMSLGFSTKKSKKTIGQYGNGFKTSTMRLGADAIVFTRANRGSNVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGHIVPLVYGSQGDWDSSLKIILEWSPFSSKEELLQQFKDIVSHGTKDILLRDQDKASGGVTKAQKEIVQQHISHRLRFSLRAYTSILYLKKFENFQIILRGKPVEQIRIADELKFKKLVTYKPQVAHDSQVVSVKVDVGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLEAKLRQIILDYWKEKCHLIGYQPVDPQLRSQYKAALKDSGNPGPKSQQKASTSRRTGGHTSNLLPETYDDTEVIGLTANGSGSRVRSFGQAQENSMEPVGLDENSVDIGSEGALDPYIMEKLSEENIGLFTRREELRQRDTQLRQTVGELEQQLAETKKKCAQLADDLRIRKSQQQQQPPYI >ONIVA10G17860.1 pep chromosome:AWHD00000000:10:18102809:18111035:1 gene:ONIVA10G17860 transcript:ONIVA10G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARAGATAAGGLRSGGDVRRRRNMVAIVAATTTTGAGPAVPKEGSLERPAWSGETPLSRLVGGLIAFKPLYSLMKLASREVIIRTAEKSNVPWREMTKQVLESDVYEVFDRIRDLNLVYPDYYLNPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDAGSIEEANQIVRGNWLNAIEEHHLKYSGNCQINDILDIGCSVGVSTRYLAEKFPSAKTVGLDLSPYFLAVAAHKEEKLSRQNPIRWVHANGEATGLPSNSFDLVSLAYVCHECPARAITGLVNEAFRLLRPGGTIALTDNSELSPVLFTLMKSTEPFLDEYYMLDLEETMRQAGFVNVHSILTDPRHRTVTATVPF >ONIVA10G17860.2 pep chromosome:AWHD00000000:10:18107378:18111035:1 gene:ONIVA10G17860 transcript:ONIVA10G17860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARAGATAAGGLRSGGDVRRRRNMVAIVAATTTTGAGPAVPKEGSLERPAWSGETPLSRLVGGLIAFKPLYSLMKLASREVIIRTAEKSNVPWREMTKQVLESDVYEVFDRIRDLNLVYPDYYLNPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDAGSIEEANQIVRGNWLNAIEEHHLKYSGNCQINDILDIGCSVGVSTRYLAEKFPSAKTVGLDLSPYFLAVAAHKEEKLSRQNPIRWVHANGEATGLPSNSFDLVSLAYVCHECPARAITGLVNEAFRLLRPGGTIALTDNSELSPVLFTLMKSTEPFLDEYYMLDLEETMRQAGFVNVHSILTDPRHRTVTATVPF >ONIVA10G17850.1 pep chromosome:AWHD00000000:10:18092332:18101095:-1 gene:ONIVA10G17850 transcript:ONIVA10G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34830) TAIR;Acc:AT4G34830] MDASSSSSSTPHALTLLTSSPRFLHLPLCSPSPRRRHAGGGALLLRPPHRRRAEPGGVRHARRAPSCLLGGGGSAGAAVALGGSAVAAAAALLAAALQLVWLRWRGGDSPEEEEVSHEHDKRIVNNKALGTSTDLLDDSSYSSRRTHENVFSEPSVSGRMTVDITDCQTGVFSGVDTVNNTTQVGSVISTYRSLDTFEGVYGPANTSSIEKVQDILPQSRSVSDGQHQSRHFANRRAQLGGLPHQFLSLSKQNEQTKNGQGPPDNKTDSEGANLLGCHQSDQGDYVDFTSLSSFKRINEHPLNFIPEAHASNLFEPRKASEFTNSYAGGSYLRAGRLVPVACLRDGPVSKQKKAMNDHDDAKLIGWSISNLLSKENPENSTSANRAGLRGTKDVPDYLRRYNSLLIDGRLKDSVDLLESMEQKGLLDMNKIHHASFLNACKKQRAVPEAVRFCKLINNPKMSTFNMLLSVCANSQDFDGALQVMVLLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYSALIDGCAKAGQVAKAFGAYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMTAEASESKGSKPILPDHVTVGALMKTCIQAGQADRAREVYKMLQEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVQPDEMFLSALVDVAGHARRADAAFEIMKDARAKGYQVGTIAYSSLMGACCNAKDWKKALQLFEEIKSIKLMPTVSMMNALITALCDGDQVLKSFEVLSEMKRLGVCPNMITYSVLFVACERNAEAQLGLDLFEQLKIDSIDLNPTIVGCLTGLCLQMFDNDLSLGNIVVTFNLGKPQIENKWTSSAIKVYREAISTGLLPSSDVLSQVLGCLRFPHDNTLTNTFIENMGISCDIPHHPNVNSLLEGFGEYDIRAFSILEEAASLGAVESISMKDTRILVDARKSKIYTAEVSVLTTLRSLKHRLAAGARLPNVTILLPTEKKQVGLDEREKTLKLAGRVGQAVGSLLRRLGIKYHGEESHGKMRINGLTLRRWFNPKLTSTSSTGTPADLLPLPSRLAKGIADQQRNIRNLSLE >ONIVA10G17840.1 pep chromosome:AWHD00000000:10:18089048:18093973:1 gene:ONIVA10G17840 transcript:ONIVA10G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEQAPAYPLPPSAPRPTFLHSFLAHDFSCTCCPVIFCFLCARSFCRSCCQGHSSKHHPGRRPSIVEVTQFRRDWVVSAEDVDGVGYNWNGIQRVKNHGKKVLYIRRLLVKPQHNMPLTCKCGDRMQCRASFCCIGCRLNNVLSGQRRDVVAVLVATNFSEARLANQFCTICRKSFSSSCCTDHMGCHHPGIEDENNEHVIGIERHPVNGYILTPRHGALADVIFDHIQTLDLEGQLLIAIHRYSHGIIQGTMCPCSRIIALGFLYCSLECKDNHFWN >ONIVA10G17830.1 pep chromosome:AWHD00000000:10:18061152:18062579:1 gene:ONIVA10G17830 transcript:ONIVA10G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRRGRAAHSADAAPPCHHKGWLSVVSHSRRHGGTRKERRGGGGEGTLSLLPDDVLGAILASGFLTAVDVVRCAASCRRWRRVVGTRSAYISRGLPPLGGYLSGLAVGIFTAPIARARRRTPQFVPTAAGALLLGDRRISLVDGIQVDGELLEHARPVASRNGRLVLQLRPTGADLALCVCNPMTGELTMLPPLSGDDKTRRGWFAYGCALLTGDDFDTQWPPYASFRLLLLYNHGASTTVLRCYSSSSGRWGKEVDITGVASISGEKMRQIGPAAVRRGGAAFWPLDDGALGVRLDVERPDAMDVHLLPYTSPHYWPEKRLLGVTAADNRLFFVSFGIWEGCLSGAVSYFDIDGDDIGTGRENSDRDGEVLYPMFDIKMRRRHDQSTLKLRWFCEKSGLVLFTLGEGSGYPGTFALDVRSPAVEKAVNGYSVSWRDVHLESPAMVKVADGHSWSSFVGYEMDMATYLAALAA >ONIVA10G17820.1 pep chromosome:AWHD00000000:10:18044230:18047188:-1 gene:ONIVA10G17820 transcript:ONIVA10G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRSARARARPPLAMPLAVLLLFACSSGVAAAAAQGIERIKDDPVGKLKVYVYELPPKYNKNIVAKDSRCLSHMFATEIFMHRFLLSSAIRTSNPDEADWFYTPVYTTCDLTPWGHPLTTKSPRMMRSAIKFISKYWPYWNRTEGADHFFVVPHDFAACFYFQEAKAIERGILPVLRRATLVQTFGQKNHACLKDGSITVPPYTPAHKIRAHLVPPETPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPMFDISTDHPQTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFSDAIPWEEIAVFVAEDDVPQLDTILTSIPTEVILRKQAMLAEPSMKQTMLFPQPAEPGDGFHQVMNALARKLPHGRDVFLKPGQKVLNWTEGTREDLKPW >ONIVA10G17810.1 pep chromosome:AWHD00000000:10:18038111:18038344:-1 gene:ONIVA10G17810 transcript:ONIVA10G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGRGGLWALLPSLNTVHTGSTPPTTSLLAAPVHACTVPPLTLLHLQLCLAPPPPARSIAARLGYCPAASTALPA >ONIVA10G17790.1 pep chromosome:AWHD00000000:10:18024637:18033799:-1 gene:ONIVA10G17790 transcript:ONIVA10G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVQLLLPVAQGANPCIALVTAGMAVLATACWLVIRLVFVKGNTDRRPCEADGMRMVPGSRGLPIIGETLEFLALSPSLQIPAFFQRRLDRFDSTIKTPMNLMIFKVTAKKLISYDSSTSDGKMWKQYDAFTQGLFAFPLCIPGTAFYKCMQMLKEILDERKNTEEHHESVDFFDVIEEVKAENPDQMTENAALDLLFLLLFASFETTSSGITAMLIFLTDNPEALQELIEEHNNIRKRKADKNSEITWEEYKSMKFTSHVIHEALRLASIAPVMFREAIEDVHIKGFAIPKGSKIMICPYTVHLNPVVYKDPNTFNPWRWKDFMAFGGGLRLCVGAHFAKLQMAVFLHCLVTSPVGKQSKEEEWPFLLDYDFLKVFISNFSQKPEVST >ONIVA10G17790.2 pep chromosome:AWHD00000000:10:18024637:18033799:-1 gene:ONIVA10G17790 transcript:ONIVA10G17790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVQLLLPVAQGANPCIALVTAGMAVLATACWLVIRLVFVKGNTDRRPCEADGMRMVPGSRGLPIIGETLEFLALSPSLQIPAFFQRRLDSYDSSTSDGKMWKQYDAFTQGLFAFPLCIPGTAFYKCMQMLKEILDERKNTEEHHESVDFFDVIEEVKAENPDQMTENAALDLLFLLLFASFETTSSGITAMLIFLTDNPEALQELIEEHNNIRKRKADKNSEITWEEYKSMKFTSHVIHEALRLASIAPVMFREAIEDVHIKGFAIPKGSKIMICPYTVHLNPVVYKDPNTFNPWRWKDFMAFGGGLRLCVGAHFAKLQMAVFLHCLVTSPVGKQSKEEEWPFLLDYDFLKVFISNFSQKPEVST >ONIVA10G17780.1 pep chromosome:AWHD00000000:10:18006864:18013825:-1 gene:ONIVA10G17780 transcript:ONIVA10G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLSFVLLGIAQVKRSFALSPVLSYGHLITPYPEVMTRRLEKRFLLDHGTNPSPSNASLPSTSTLARCPKRCGKLSFDYPFGIGQGCFRHVDFSLTCDMATQPPKLFLNNGSTEVLGDIGVDGLNIYFIHFNLIPITSTHVIPINSGGDVYNFSWKNPGDSFTFARQGMTFVVTSCDLDVYMVDQEKSTPILLGTIACPSKEIAEMVYRQDSEGPGWYTIDSLPVRTVQLQFVRHKTGNTQKYFNLTMLWDRINITVKANLAWNIVDQTRCLNNMEDDRKNHACISNHSSCVSSQYIDVGYACRCNDGHVGNPYIMDGCKLDDGYNPRPQKHNCSRQCGTIVVPFPFGLEEGCAAREVFQLSCVGKPNSVLQYNDFIGVQYINVSEGIVGIKYNSSFVEILFNMFSQFRFSSQGVVSNIFGKGPNLYVDPTESASVQWAVANLTCQQAQQNTSTYACVSTNSSCTRVISTMQGYVGYRCTCLPGYDGNPYIPDGCKDIDECLQTPRICKELCHNTEGNYSCTMCPDHTEYDVIRMQCIPRRNQSLLLGIIIGLTIGFGVLILGTIVIAIIRRWKRDVHKKMRRKYFQKNQGLLLEQLISSDENASEKTKIFSIEELKKATNNFDSTRILGRGGHGTIYKGILSNQHVVAIKKAKVIKEGEINDFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFELLHHDSSNTFPLSWGDRLRIAAEAAGALCYLHSAASISIFHRDVKSSNILLDANYTAKVSDFGASRSVPVDQSHVVTNVQGTFGYLDPEYYQTGQLNEKSDVYSFGVVLLELLLRMQPIFTTMSGMKQNLCTYFLSEIKTRPIIDLVDRPVLEEANEENIRQVASLAEMCIKLKGEERPTMRQVEITLQLLRTERMTPSHVSPDRNQEIESLLTQGAIDQVMHALVNVDRANVASQRSQTSCYSLEKEFLSSASLPR >ONIVA10G17760.1 pep chromosome:AWHD00000000:10:17977197:17978783:1 gene:ONIVA10G17760 transcript:ONIVA10G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IV74] MAAASAAKELHFLLVPLVAQGHIIPMVDLARLLAGRGARVTVVTTPVNAARNRAVVEGARRGGLAVELAEIAFTGPEFGLPEGVQNMDQLVDIAMYLAFFKAVWNMEAALEAYVRALPRRPDCVVADACNPWTAAVCEHLAIPRLVLHCPSVYFLLAIHCLAKHGVYDRVADQLEPFEVPGFPVRAVVNTATCRGFFQWPGAEKLARDVVDGEATADGLLLNTFRDVEGVFVDAYASALGLRAWAIGPTCAARLDDADSSASRGNRAVVDAARIVSWLDARPPASVLYVSFGSLTHLRATQAIELARGLEESGWPFVWAIKEATAAAVSEWLDGEGYEERVSDRGLLVRGWAPQVTILSHPAAGGFLTHCGWNATLEAISHGVPALTWPNFSDQFSSEQLLVDVLRVGVRSGVTVPPMFLPAEAEGVQLTSDGVVKAVTELMDGGDEGTARRARAKELAAKARAAMEEGGSSHADLTDVIGYVSELSAKKRQERDAGETAQQPPPSPAELGDISGDKVEADPALSVQS >ONIVA10G17750.1 pep chromosome:AWHD00000000:10:17963382:17964930:1 gene:ONIVA10G17750 transcript:ONIVA10G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKKMQSEREYLEKLICSHVDGRHKASGFRFDCPPSFFFLKTSISSFPTSKANQSPLRINAMDESDEQSNGGGGGGHRGYEWKLPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLADPGELRSLAGDASCDAGQGVARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDTLHAHIDARLLRLGMLASAVGSVVGCVFLMVSMVMVVQIRLGTLGCATNRAAAKAAAGLVGLVTTALAVYVGTVFYTFTH >ONIVA10G17740.1 pep chromosome:AWHD00000000:10:17953003:17953389:1 gene:ONIVA10G17740 transcript:ONIVA10G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSAALLAIVITMSLLSVEVANGCGDTSCSNPSPPPPPAVPTPTGGTCPINVLNLAVCANVLSLNVPSSQCCTLLQGLADLDAALCLCTALKANILGVINVDALVDVTLILNSCNRTCPPGFTCPL >ONIVA10G17730.1 pep chromosome:AWHD00000000:10:17948265:17949086:1 gene:ONIVA10G17730 transcript:ONIVA10G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTESSASIASGGLAAPAPPTNPRNAIPAANPAANPISGAAHSAPANAPPPATECPVPPPPPLPRWSEVDEERILEILCDHLRRHDDLPQGVDLLMAVYGRLTRTDYSLAELEGLVTVLRRRFEENDAVLCSGSGGPAPGHQLRLYTLSLEVWGAAPTAVAPPKPAAAATPTTAPAKNAPGTSYPARQAGRPRQAAAPPAKKVRYEEMRVQYPRLAAKVDEMARKALEGVSDMTAWSLELRLQNQQLAGGSPAARTDDRAKELTGLISTLI >ONIVA10G17720.1 pep chromosome:AWHD00000000:10:17937528:17942833:-1 gene:ONIVA10G17720 transcript:ONIVA10G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IV70] MMGDFLSRVLLLAFGYAYPAYECYKTVELNKPEIEKLIFWCQYWILVALLTVLERFGDFAISWLPLYSEAKLMFFIYLWCPRTKGTSYVYETFFRPYISQYENDIDCSILDLRVRAGDMLVVYWQKVAIIGQTTFFNILKYASAQSPAHSSRSRSTQQQSYPQKQQQAQPQQPKQSLPQQQQKQSLPQQQQQQMPHEKPTTLRRAASAAARTAGIMQQSEDTKIAYSNPKTRRLLPTKSAPTASTRSTVAATKPVEDLKSSGMKLATEEAPSPSSNVAMPGSEPSALPLPKSAEDDMSIDEVDIPIEDMDEPVATPEETPMEEAIRVTRGRLRKRIAAVSTADGGAAN >ONIVA10G17710.1 pep chromosome:AWHD00000000:10:17934989:17935750:-1 gene:ONIVA10G17710 transcript:ONIVA10G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQKSGIESIHLDEELIHTHPQIIDKYLPSLEAHLVSVEFAVRKAIAEWGRSATDITHLIFSTYFGCRALSMDLQLVTLLGLHPSVSRIILSTHGCSGSGRALQLAKEIAENIYTYRQPSIKALLDFS >ONIVA10G17700.1 pep chromosome:AWHD00000000:10:17934121:17934416:-1 gene:ONIVA10G17700 transcript:ONIVA10G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRGRYRMLQLICSNSCAIACVSIVPWPLGAHIDQLVNLSKTYPQEHLEEVATKESLTTIFSTEFSLSISHCVKPCTTMNRDPTAFYLLLNQ >ONIVA10G17690.1 pep chromosome:AWHD00000000:10:17930215:17931648:-1 gene:ONIVA10G17690 transcript:ONIVA10G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATAAVVDNRRCTRHAEGPATVLAIGTANPANICPQDEFTDYYFGLTKSEHLTELKDKMKRICQKSGIEKRYIHLDEELIRAHPEIIDKNLPSLKLVPKLAESAARKAITEWGRPATDITHLIFSTYSGWRAPSADLQLATLLGLRPTVSRTILSLHGCSGGSRALQLAKEIAENNHGARVLVALSELTLVCFSTPDESKIVGHGLFGDGAGAIIVGADPLADGKRPLFEMVAASQTMIPGTEHALGLQATDKGIDFHLSIQVPTVIKDNIHQCLLDTFQSVGNTDPNWNDLFWAVHPGGRAILDNIEGKLQLEPGKLAASRHVLSEYGNMSGAMIVFVLDELRRRREKEEGVQQQPEWGVMLAFGPGITVEAMVLRNPFSTGIN >ONIVA10G17680.1 pep chromosome:AWHD00000000:10:17902241:17905117:-1 gene:ONIVA10G17680 transcript:ONIVA10G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAGAARRCGRATHVDLLTVVLAAMLCWASYTLSIWHNSRGAADSSVLGLVVGATVCGDADEELDFEARHAADDAGLSVSSGPANSRVRRALSSSGPAPAAAGTTVSRYRAPFPWPASRGVVWAGNSARGAKAAAAAANKWARVDGDMLRFTDAAAVRAYAYVVLRLVAAPVRAAVDVGAMHGGSWAAELMSRGVVTVSVAAPWGASDGAALVELALERGVPAVLAAAGGAPSRRLPFPAGAFDMAHCGRCLVPWHLHGGRFLMEIDRVLRPGGYWVHSGAPANGTHERAAIEAAAASMCWRSVADQNGVTVWQKPVGHVGCDAGENSPRFCAGQNKKFKWDSDVEPCITPIQEGAAPPREASAAEALRRDSETWTRRVARYKAVATQLGQKGRLRNLLDMNARRGGFAAALADDPVWVMSVVPATGGGDTDTDTLPAIYDRGLIGAYHDWCEPLPTPALSYDLLHADSLFTMYRDRCDMEDILLEMDRILRPGRAVIIRDDIAILARIKNFFTDRMRWDCQIFDGEDGSDDREKILFAAKTCCNDEDRDQEQ >ONIVA10G17670.1 pep chromosome:AWHD00000000:10:17898436:17900809:1 gene:ONIVA10G17670 transcript:ONIVA10G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IV65] MAAAAARYDVEKGGRKREGEEERCGSPAAVAQYPQREGEREWVPWLVPAILVANVVVFAVAMYVNNCPSHASRGGACVAGFLRRFSFQPLSENPLLGPSSATLQKMGALVWDKVVHEHQGWRLVTCIWLHAGVVHLLANMLSLVLIGLRLEQQFGYMRIGIIYLVSGIGGSVLSSLFIRNSISVGASGALFGLLGAMLSELFTNWTIYTNKAAALVTLLIVIAINLAIGILPHVDNFAHIGGFLTGFLLGFIFLMRPHYGWMQRYVLPSSVKYTSKKYLAYQWILLAVASVLAVIGFAVGLSMLFRGVNANERCHWCHYLSCIPTSRWTCGN >ONIVA10G17660.1 pep chromosome:AWHD00000000:10:17888731:17892693:-1 gene:ONIVA10G17660 transcript:ONIVA10G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMMRRLKSIASGRSSVSDPGGDSGTKRPKFDQDGAGDIVIEPHLTDDKPMRVDQESSSSHRDAEASTSTSKNPGRTEEAGADILPKEMNEMTISDDKVDGHNDKESEGVIVNANGTETGQIIVTSIGGQNGKPKQVSYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMQLLDHPNVVQLKHHFFSTTERGEVYLNLVLEYVSETVYRVAKYYNRMNQRVPILHVKLYAYQMCRALAYIHRVVGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLIGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKTCLSNGRSLPPLFDFSAAELEGLPVELVHRIIPEHMRK >ONIVA10G17650.1 pep chromosome:AWHD00000000:10:17877958:17881736:-1 gene:ONIVA10G17650 transcript:ONIVA10G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 ortholog 3 in Arabidopsis thaliana [Source:Projected from Arabidopsis thaliana (AT5G07270) TAIR;Acc:AT5G07270] MGNSLGCSASGERLVSAARDGDAVEARMLLELSPALARYSTFGGLNSPLHFAAAKGHLDIVTLLLEKGADVNVRNYCGQTALMHACRHGHWEVVQMLLLFRCNVTRADYLSGRTALHFAAHDGLVRCVRLLLADFVPSAPLEDGASSTVDGGECQTNSGSSPCSSLGLKFNESARLRYINKPADGGVTALHMAALNGAGSTPLHYAAGGGNAECCQLLLSKGASKLTLNCNGWLPIDVARMFGRRFLEPLLSPNSNSSIPAYQPSNYLALPLMSILNIAREFGLLHTVASVDDSDLCAVCLERSCSVAAEGCCHEFCIKCALYLCSTSNTRVEFTGPPGSIPCPLCRNGIMSFTKLPSTPTEGLKSSSALTFCNPCMLNTRSVDSPATISKAEIRRNRVAAVSSELVCPLTCSPFPSSALPTCRCSDDDPCDAIETQDGSEVQSPQPSHCASMEMDKREQQDLDRTSCSGMFWSRRSCHREEQCNAEINA >ONIVA10G17640.1 pep chromosome:AWHD00000000:10:17873785:17878057:1 gene:ONIVA10G17640 transcript:ONIVA10G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTGNNGSPPVALLVLMAAAMVAGWFVNAVRPPPPTPCGAEGGPPVTAARVRVRDGRFLAYAESGVRREAARFKVVYSHGFSGGRMDSPRASQALLEELGVYMVAFDRAGYGESDPDPRRSLRSAAMDIQDLADALQLGPKFHLICSSLGCHAAWASFKYIPHRLAGAAMMAPVINYRWPGLPRGLARQLYRRQPVGDQWSLRVAYYAPWLLHWWMNQTWLPTSTVISGSGSFPNALDEKNRLMALSTGLFQKKARMATQQGVQDSFYRDMAVMFGRWPEFEPAELEEPPFPVHLFQGDEDGVVPVQLQRHICRRLGWISYHELAGVGHFLSAVPGLGDRIVTTLLPAAA >ONIVA10G17640.2 pep chromosome:AWHD00000000:10:17868038:17873914:1 gene:ONIVA10G17640 transcript:ONIVA10G17640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLLWASTMSGGEKTRGMGAMGTLVGQLGELLSRAVMPPPPRVCGAPGGPPVTAPRVRLSDGRHLAYEESGVPKEAARYKIVFSHGFTGSRLDSLRASPEVAEELGVYMVAFDRAGYGESDPNPNRTVKSAALDMAELADALGLGDKFYVVGVSLGSHAVWGALRYIPERIAGAAMMAPVVNYWWPGFPAEDAAAAYGRQSYGDQWALRVSHHAPAILHWWMDQSWLPTSTVVDNTTFLPNKRDADIRRTLTADGTLQKKKEMATQQGINESYYRDMTVMFGKWEFDPMALPEPPCPVHIWQGDEDGLVPVALQRHVAGKLGWVSYHELPGTGHFLSAVPGLGDTIMYM >ONIVA10G17630.1 pep chromosome:AWHD00000000:10:17865938:17866672:1 gene:ONIVA10G17630 transcript:ONIVA10G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREWGRDEGRNGGGGGAALLGYSSSAASWLYRRAAAPARAYCGAERGPPPVTAARVRLRDGRHLAYHESGVAREAARVRVVFSHGFTGSRLDGLGASQTGGY >ONIVA10G17620.1 pep chromosome:AWHD00000000:10:17858635:17863685:1 gene:ONIVA10G17620 transcript:ONIVA10G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARRSLASRLSHHLTRRLHPATAPHLLASHSDDDPSPPPELPPFPHSPSPRHPRAGQALDRHLLPFSLHHLAGPRRRGFSSAAGEVDAAAGVLADAAAAAEAVPAPFPGEVAAAAADSFFPVAALQHVIDYIHTFTGLNWWACIALATVLIRSATVPLLVNQLKATQKLNAISPEMEAIKEEMNAMDPKSAKEGKAKMTALFQKHGVSPFTPLKGLLIQGPIFMSFFFAIRNMIDKVPSMKGGGSLWFTDLTTPDPLYILPVLTALIFLVTVELNLQEGMEGNPMARKMKNFSRGMAVLTVPFTMSFAKGIFCYWITSNLFTLTYGFVIRRPAVRKFCNLPALEAQSASAKKQMFNLFGGSKALTTAESPVAITGGPQSSLEQPDAAALGYRVKNPEKKAKSRGKSRRRR >ONIVA10G17610.1 pep chromosome:AWHD00000000:10:17853678:17854946:-1 gene:ONIVA10G17610 transcript:ONIVA10G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAVLPWGGGGSPAWIEVPEKSKSAFWELKRRKVHRYVIFKIDDRREEIVVEKTGAPGESYDDFTASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSPSVSRIRAKTIYAVSRNQFRHELDGVHFEIQATDPDDMDLEVLRGRANRT >ONIVA10G17600.1 pep chromosome:AWHD00000000:10:17847258:17851537:-1 gene:ONIVA10G17600 transcript:ONIVA10G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trehalase 1 [Source:Projected from Arabidopsis thaliana (AT4G24040) TAIR;Acc:AT4G24040] MAPTAAVAGGGVEAEALLGLLQRVQSEALRAFGPNDFDPKLYVDLPLAADASVAAALASLPRAAPSRGEMEAYISRYFALAGSDLVAAADPPDFERDPPGFLPRVERAEARAWALEVHALWKDLTRRVAPAVAARPDRHTLLPLPGRVVVPGSRFREVYYWDSYWVVRGLLVSKMYETAKDIVLNLVYLVEKYGFVLNGARSYYTNRSQPPLLSSMVLDIYMATGDMAFVRRVFPSLLKEHSFWMSEVHNVAVMDNHGRVHNLSRYQAMWNKPRPESATIDEEFASKLSTAAKEKFYHQVASTAETGWDFSSRWMRDSTDMTTLTTSCIIPVDLNTFILKMEQDIAFFAKLIGESTTSEIFSEASKARHNAIDSVLWNADMEQWLDYWLPTDGNCQGVYQWKSISQNRAIFASNFVPLWLNAQHSGLEQFVDEAKSVRVMRSLQKSGLLQPAGIATSLSNTGQQWDFPNGWAPLQHLIVEGLWRSGSGEARELAEDIATRWVRTNYDAYKATGAMHEKYDVVTCGKSGGGGEYKPQTGFGWSNGVILSFLDEFGWPQDKKIDC >ONIVA10G17590.1 pep chromosome:AWHD00000000:10:17840382:17843913:1 gene:ONIVA10G17590 transcript:ONIVA10G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAGDEAAAAAGGRRPTASERRRMYRDLAQSLRCGLRDASAGFSFLRLRGLRALLRALRSAAAADADARLFRHSQALRDLQVVPVLFEHSLRRAQGDAVVTVGQVLGISPAVKLSNPATDSEVALALRVLEGCCLLCRDCAAAAHRYDAVKVLLNILMTRGTLEQKACLDTLLALMVDSSENLMDFKEHEGLDKIGDLVKDTQRDDNVRLKCAEFLLLFSRSASEKGDATFVSCMQEDLKNIVGENCASFMCSKIFFSSTLDSEVTEPELNIHAKHVLDLLDGRQYATVPQQGVVSP >ONIVA10G17590.2 pep chromosome:AWHD00000000:10:17840382:17843913:1 gene:ONIVA10G17590 transcript:ONIVA10G17590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAGDEAAAAAGGRRPTASERRRMYRDLAQSLRCGLRDASAGFSFLRLRGLRALLRALRSAAAADADARLFRHSQALRDLQVVPVLFEHSLRRAQGDAVVTVGQVLGISPAVKLSNPATDSEVALALRVLEGCCLLCRDCAAAAHRYDAVKVLLNILMTRGTLEQKACLDTLLALMVDSSENLMDFKEHEGLDKIGDLVKDTQRDDNVRLKCAEFLLLFSRSASEKGDATFVSCMQEDLKNIVGENCASFMCSKIFFSSTLDSEVTEPELNIHAKHHNINVFKRQLLG >ONIVA10G17590.3 pep chromosome:AWHD00000000:10:17840382:17843500:1 gene:ONIVA10G17590 transcript:ONIVA10G17590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAGDEAAAAAGGRRPTASERRRMYRDLAQSLRCGLRDASAGFSFLRLRGLRALLRALRSAAAADADARLFRHSQALRDLQVVPVLFEHSLRRAQGDAVVTVGQVLGISPAVKLSNPATDSEVALALRVLEGCCLLCRDCAAAAHRYDAVKVLLNILMTRGTLEQKACLDTLLALMVDSSENLMDFKEHEGLDKIGDLVKDTQRDDNVRLKCAEFLLLFSRSASEKGDATFVSCMQEDLKNIVGENCASFMCSKIFFSSTLDSEVTEPELNIHAKHVLDLLDGRQYATVPQQGVVSP >ONIVA10G17580.1 pep chromosome:AWHD00000000:10:17833268:17837146:-1 gene:ONIVA10G17580 transcript:ONIVA10G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT5G63830) TAIR;Acc:AT5G63830] MEREVVVSEDAAASSSSSSSSAAAASFSLAETRVICRVCQKQFAQYTCPRCNARYCSLPCYKGHSVQCTESFMRENVMDELKQMQPEDESKKKMLDILKRFHLEEEDMDSEGEDESILSEELIQKVMSGDEIKLEDLSDDEIKRFRQALASGELSKMIEPWTPWWKKPSARSISLSPDGSQLIRQVSVEDTDTSDPMADPESSISEIPEGPESALPSLKQLTRAEPSPLLAVHLVDILYSYCFTLRLHNGDWRSDPFGASTVALSVSKVMGEDAKPETVSEALTACIEETCSPAYRHTGGFRFAIALVDDIISLLMLGGNALVCALCDFRRLIHIGERMLKAEKLGKAERSRSTQKLRAADRKLYFMTCWVHEQPNEAWSSLARLVEVQKASLEELDCGSQFQRAGRKNDAQSKVLIEEI >ONIVA10G17570.1 pep chromosome:AWHD00000000:10:17827977:17831958:-1 gene:ONIVA10G17570 transcript:ONIVA10G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G10790) TAIR;Acc:AT4G10790] MAETVDDKVSYFQAVTGISDHDLCTEILAAHNWDLQLAVSSITANPSSPDPAPSAPPPPLAPREADLVAPHLPPPPQQQQQQPGIAWKLVTLPFYVVSGGVGLIAGSIRLGAWVAGGVLSRSLSILGLAQGGGGGGDRLLELPPSAAEAADFVAEFEREFGAGRGPHFVAEGFADALQRAQREYKLLFVYLHSPDHPDTPAFCGGCLCAEPVAAFIDENFVAWGGSIRRTEGFKMSNSLNASRFPFCAVVMASTNQRIVLLRQIEGPKSPEEMITTLQGAVEECSASLVAARIDAEERLNNQRLREEQDAAYRAALEADQARERQRREEQEKREREAAEAERKRKEEEEAQERAAQEAAEKEAALARRRQEKAMALGAEPEKGPDVTRVLIRFPTGERKERRFNSSTTITSLYDYVDSLDCLKAEKYSLVSNFPRVTYGPEKHSQTLEEAGLHPQASLFIEIEQ >ONIVA10G17560.1 pep chromosome:AWHD00000000:10:17826238:17827341:1 gene:ONIVA10G17560 transcript:ONIVA10G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGWSSLPADLLREVSGRLYSDADHLHIHQVCTHWRAATLPVSACRPWVVAGRALQRGLVPIGDYSLRLPGGGAERMDAGLRRAPPGLRHCCGASRGWLALTDDARSPTRLALWEPLSGAEIPLPCLSPITRVSLSGDPLASPGWVAMVSQLKGREGQKTLVCRRGDAAWTVLFERGTSEIDTVVFHRGKVYYIDILRNIVVCDLDAKCTQVFHACTPVSMLCSCDKFHPERGVHLVACDGEVLLVVVRWGGHPSLAEIYRPEWKGNHQLGLGERVMDLGDHSLFVGRGDTFSLSAKEFPAIKRNCVYYADKNYKQQHWISVFHLEQPDVVEEIPYPEELKEDMINWMPHSWFCPRRPLFTQERA >ONIVA10G17550.1 pep chromosome:AWHD00000000:10:17822536:17823101:-1 gene:ONIVA10G17550 transcript:ONIVA10G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTMHGSPFTRHEAPKKNILERNNEEIKVAIAYKLETIIHVIVFYLYDKYNVYLGITAPSKSNLQFIEHQRMRHRRECRCHMVVVKVVLAVAFIDIVVFVVGGLGGSSPPADGQAAAPADGQARGFIYIDNNSDDDSDGHSDSDGGRAANYTRGDGRNGRANSWITAPRNEER >ONIVA10G17540.1 pep chromosome:AWHD00000000:10:17820312:17821562:-1 gene:ONIVA10G17540 transcript:ONIVA10G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEDWASLEQGLLHDIFLRLDDDANAARFRAVCSQWRAAAAGAGVVFVPRPWLAVYAPEQRRHAWLRPSRRRRRGRRRFKRVDDGEVSLRPERGGSPAPAAVSLVSVSRGWVAMKHGESHLVRAVSGDEVPLPPCGSRYELSEVPLPPCGSRYELRYELSKVILPDDPLAAAASGEWTAFAFMSHHQQILWPHTRRVAFCHAGDDEWTFLDKAIQAQRYRGLEFFRGRAYVLLSNLTVAVGDVVSRMLIATSVGLSGAYRWRHDELLEATCLVRCGDDLLFVQVSRQVVPRRSCFPLLAGGRHRLRRLRFTSRVYKLEFAADGSGVPVGFTKVESIGEYALFVSRRSHAFALPASGAASPASSRIASTTWSDYAARSSSAHRGRLAVSSPCHWTIFLSPGHSGFHRIGFALAGQ >ONIVA10G17530.1 pep chromosome:AWHD00000000:10:17818221:17819438:1 gene:ONIVA10G17530 transcript:ONIVA10G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSLPADLLLAVFARLPRDADRARFRAVCAGWGAAAASWRPRPWLVGSRTDRFGRGAAMSSFWLSRRSRGGGCLVPFAAAVPAGLEFLSSSSHGYLALSDPMATPKAVALVNPVTGRRIRLPPIGFFKRWHDVATVVLSADPDTADEWAAVAVGFPTNCLAYYSSAAGAWTPLGFSAAGYAGVEHFRGRFYVAFKSQLCVCDVEATVPAVIPLEQLIDDDDGGGENVDTGRRVVETHLVECDGELLLVSVHDNLERNPEDTTIFGDDDDHDGSSSSSSDSCDGRVVEVLRVEWVAGGAVRLVRQEDLRSRALFLGRNHAFALSPEEFPSCRANCVYLVDQQGHLDGRVRVFDMNADERWEPEEAAVVARNYARRDETIFPPDDGRRDAQSAGWARRGWFFPKY >ONIVA10G17520.1 pep chromosome:AWHD00000000:10:17811644:17812963:1 gene:ONIVA10G17520 transcript:ONIVA10G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQLASPRPRRVRRAGGGGSDWASLPADLMSSVLRRLAGDRERARFGAVCKGWGAAAARLPSRPWLVGSRADDWTGLSGATMSSFWLSLGQRGLVPFAVDVPAGSEYLSSSRGYLALSNPTGNPRVITLFNPVTGRRIPLPPIGFFKKWHDVATIVLSADPDTAEAWSAVAVGFPANCLAYYSSATNDWKPIRFNYSSGYAGVEHFRGRFYVAFKSEISVLEVDVTTPAAIKIEIAHDDDDEDADVFDIDLNLDHETSNSDDDDDDDDDCADTLAGLGDEDYPLKCLVETHLVDCGGELLVVSMHDEVAYKKPSPESAVGRKPRSHDDERWVDVHRVEWLESGAARLVRMEDLGGYALFVGRNHAFALSPEEFPACQPNCIYSVEQQGHPDGLVRVVNFNDDTTEWACPDEDIFPDDDMRGSPTAGWARRGWVFPKYS >ONIVA10G17510.1 pep chromosome:AWHD00000000:10:17809019:17809606:-1 gene:ONIVA10G17510 transcript:ONIVA10G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLFPSGSNSSGDASGPGRRKYNPYHDLSTPYSYQTLYDLPTSPEFLFQEESAAQRRSWGENLTYYTGVGYLSGAVAGAALGLRDAAAGSEPGDTAKIRANRVLNSCGGGGRRLGNRLGVIGLMYAGMESAMVAARDRDDWVNSVAAGLGTGALFRAANGPRSAAVAGAIGGVLAGAAMAGKQLAKRYVPAI >ONIVA10G17500.1 pep chromosome:AWHD00000000:10:17804902:17809621:1 gene:ONIVA10G17500 transcript:ONIVA10G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGGLAAVVAGLELGKSGKDRVATTPAFNAFKNNYILVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLVAIIAGLFANLLADNLGFGPVAPFDAAACFLAIGMAIILSSWSENYGDTSDNKDLIAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAFTLFLPVVTNFLVPPSSVKGGGISFGGCLQLLGFCIFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCAIFLFMAAILQRRLMVVSDLHRSTKAVEMTGEDEPLNP >ONIVA10G17490.1 pep chromosome:AWHD00000000:10:17795234:17796841:-1 gene:ONIVA10G17490 transcript:ONIVA10G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPREGGGGGGGGGGVVGLVAYAALAVVALRVVLSYKSVAHAVRRMWRWADEWAQAYQYYEVPRFGGGGGEGVENPLFRKAAAYVAALPSLEDADAACVLSSACKTNDFSLQLGPGHTAHDAFLGARLAWTNAGPAGDGGGGRERLVLRVRRHDRTRVLRPYLQHVESVADEMELRRRELRLYANTGGDGAPSPKWTSAPFTHPATLETVAMDPELKARVRADLESFLKGRAYYHRLGRAWRRSYLLYGPSGTGKSTFAAAMARFLGYDVYDIDMSRGGCDDLRALLLETTPRSLILVEDLDRYLRGGGDGETSAARTSRMLSFMDGLSSCCGEERVMVFTMSGDKDGVDPAILRPGRLDVHIHFTMCDFEGFKTLASNYLGLKDHKLYPQVEEGFHAAGARLSPAELGEIMLANRGSPSRALRTVINALQHVAPAPAPPQQQPRASSASRPPPRLTARWSGHLDEASAADASAANQSPGGGGGGGFGKDAPMREFKKLYGLIKIRSRKDGGVVPVDDTASANGRGSDVSADKDR >ONIVA10G17480.1 pep chromosome:AWHD00000000:10:17784288:17787297:-1 gene:ONIVA10G17480 transcript:ONIVA10G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] MDGQWEEPQHAGRRRRQPEPGKNLIKIPSYQEVFGSGASSSAAPPSYNPAPTTAASSSSSSSSFSQAFSFLKSTEFYSPPPPPPQTTTPSLRYHLLHPDYLYYRIRELQKNFKLRVILCHIDVEDVVKPLHEVTRTSLLHDCTLLCGWSLEECGRYLETIKVYENKSADSIREHMDNDYLSRLTHALTSIRHVNKTDVVTLGSSFGSLSQVMNASMEELARCPGIGERKVKRLHDTFHEPFKRVSSRPNIVVPDTPDREKASGQPSSTNDGAQDAVEKPDASVNKKSSNVRSALTEAFAKYSEKIRNQNRDSTNVAGEDQTRRAISLLESDVIEGAALA >ONIVA10G17470.1 pep chromosome:AWHD00000000:10:17773975:17784835:1 gene:ONIVA10G17470 transcript:ONIVA10G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSVKRTSSSGAAAAFTANPRDYQLMEEVGYGAHAVVYRALFVPRNDVVAVKCLDLDQLNNNIDEIQREAQIMSLIEHPNVIRAYCSFVVEHSLWVVMPFMTEGSCLHLMKIAYPDGFEEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILVDNAGIVKLGDFGVSACMFDRGDRQRSRNTMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTMKGILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSMSEYQRGVSAWNFDVEDLKAQASLIRDDEPPEIKEDDDTARTIEVEKDSFSRNHLGKSSSTIENFFSGRTSTTAANSDGKGDFSFEAFDFGENNVDTKIMPNGYENARSENSSSPSTSKQDPESKYWRSTSGQKQQTSGTPAVHSGGVNSSTTEKGHGVERDATVQLASDKLRTETRRATNLSGPLSLPTRYVDSLGDKSKRNVVEIKGRFSVTSENVDLAKVQEVPLSSLSRKSPQASPLKKSASVGDWLVNTKPMSNSHHVKELCNSSVSSSILIPHLENLVKQTMFQQVGSVNSEKERSLLVKISELQSRMITLTDELIAAKLKHVQLQQELNALYCREEIEDIRDDDNEET >ONIVA10G17460.1 pep chromosome:AWHD00000000:10:17764361:17765504:-1 gene:ONIVA10G17460 transcript:ONIVA10G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGWQWLLPELLEEIAGRLVTEADHVHVHQVCAHWRASTALPSATRRPWLVAVIRPWRRMSPVGAYSVWHLDGVGHVDLRGASPPAGVPRCCGMPRGWLALTDDDELPTRAAGALGSHLRSGGRAPAAVFLSGDPLSSPPPQQWMALASQQNVPRADSQKTGRVVVPHDAAEAELLHLQDRERRLPRREADLRRLAAAPRRLRPQLHRRRDDAAGAAGVQVQWLPSFAEVYKPPAAEWTAPAERWQLEFGEKVTDLGGYSVFLGRGEGFAVHAQDFPLIRGNCVYYARNDILYSLMSCREFHVLRNIMRGKELAAAGPILGCVSRGPSSLPQGVVSSDEDYFLNNSIASTKKGKLSFTNFSPLR >ONIVA10G17450.1 pep chromosome:AWHD00000000:10:17757390:17760758:1 gene:ONIVA10G17450 transcript:ONIVA10G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSKGPMDSGWGELPACAVVRITAASGLADKDVNHMVFLCHHWANVLLEELTMASAK >ONIVA10G17440.1 pep chromosome:AWHD00000000:10:17757176:17757502:-1 gene:ONIVA10G17440 transcript:ONIVA10G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTSLSASPLAAVILTTAQAGSSPQPESIGPLEKRFINGDGRIRAKDFSEGGAVNSQSARLALAHERHVGDVVGGDSTGCCYRRHGPIRELTLAGVLGALALGGEFH >ONIVA10G17430.1 pep chromosome:AWHD00000000:10:17750947:17756730:1 gene:ONIVA10G17430 transcript:ONIVA10G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPAQVRTARGGAADGVEVGVEEEEEPPRSATVKQEEANAVLGAEGSRPFAMRELKEDHEVAAGSGVKAASGERNGVGSADAQGSSYSQESMQQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYISAIERNHDDPDAYYNWALVLQESADNVDPNSSSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPAREKQTIIKTAISKVDAISITVRESFLMIVSSINYWAVDAPLLMSWQFRAAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGKPGVSASEFYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLIAPPENSAIAPHKEWERSQFVLNHEELQQVSASDQPPSQSPGHVDSGRKLFRIVVADIVSVSACADLTLPPGAGLRIDTIHGPRFLVADNWETIDSWLDAIRLVYTIFARGRSDVLAGIITG >ONIVA10G17430.2 pep chromosome:AWHD00000000:10:17750947:17756730:1 gene:ONIVA10G17430 transcript:ONIVA10G17430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPAQVRTARGGAADGVEVGVEEEEEPPRSATVKQEEANAVLGAEGSRPFAMRELKEDHEVAAGSGVKAASGERNGVGSADAQGSSYSQESMQQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYISAIERNHDDPDAYYNWALVLQESADNVDPNSSSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPAREKQTIIKTAISKYGLAEDTMRSGKPGVSASEFYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLIAPPENSAIAPHKEWERSQFVLNHEELQQVSASDQPPSQSPGHVDSGRKLFRIVVADIVSVSACADLTLPPGAGLRIDTIHGPRFLVADNWETIDSWLDAIRLVYTIFARGRSDVLAGIITG >ONIVA10G17420.1 pep chromosome:AWHD00000000:10:17748297:17750669:-1 gene:ONIVA10G17420 transcript:ONIVA10G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQVNGGLGSGCAAPQIPRGFRRRRESGACPDRVTPPHSAPLPPRPRAPRRLPLPPTTSQRCRAWAWAADMAGEKKVFGFEEVAGHNVTKDCWLIIAGKVYDVTSFMDEHPGGDEVLLAVTGKDATNDFEDIGHSESAREMMEKYLIGEIDASTIPVKRTHVTPQQAPGNPDKGDDMLIKILQFLVPILILGLAFAIRQYTKSE >ONIVA10G17410.1 pep chromosome:AWHD00000000:10:17744294:17747995:1 gene:ONIVA10G17410 transcript:ONIVA10G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G41940) TAIR;Acc:AT5G41940] MWAWGLVERAAAGLLGPLGGAHGGGRWNTAVAVGVTAAAGLVLVVIVVSSRRGGLKSPWWRRRRKAALKPHEWVSLFTPEGKFKDGGVKLLKKVRSGGIEPSIRAQVWPFLLGVYSLGSSESERDAVKAQNRKGYLLLRNHCLRKSVYINEENKQSNEAAGAKHVECVSSEKGEDTVNPAGSEEVPDKSSVEEHLVGDDTVGPVVSEEVAEKSFVEDHLVSEEENPSANPGEEVQDDTSKTSPEKLTDGNHSSSSSSSEEESESSGLTHVETSHMVVASVQQSLTEDEQESIPRYSNTGGNMENDSELSKAARPVKSVRTIEDFETWQRIIRLDAVRANDEWVSYSPSQAVVSREKAIESAKAVCLKDYEHLEPHRIRHAARLVPILEAYAIYDPEIGYCQGMSDLLAPLLAVLEDDNEAFWCFAGFMRKARHNFRLDEVGIRRQLNMVARIIKYKDFHLYRHLEMLQAEDCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAANRAGIAKSSLGKLRLGAPPTDDLLLYAIAASVLQKRKLIIESYSSMDEIIRECNSMAGQLDIWKLLDDAHDLVTTLHGRIE >ONIVA10G17400.1 pep chromosome:AWHD00000000:10:17742098:17742661:-1 gene:ONIVA10G17400 transcript:ONIVA10G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT4G24130) TAIR;Acc:AT4G24130] MASVTTTVTTGTAAAAAAAEVGKEREGAEVVSGAVECFKQSMELMRALGFPEGMMPLRGLEECGLVRETGFVWMRQKAPYEHYFRGTGTRVRYDVEVTAFVEEGRMKRMTGVRSKQLMLWVPIVEMSLDGADRVYFKSNVGIGRSFPASAFADETAAAAAFLKEADAAAAAAEKEAAATATAVTANE >ONIVA10G17390.1 pep chromosome:AWHD00000000:10:17733730:17733960:1 gene:ONIVA10G17390 transcript:ONIVA10G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGRGSERLQDGEDLDVRRQRRGGLDGRRPRCATAAARRPGCASMCDGSGMAASMRDGSGSGGLSFPPFCYEFC >ONIVA10G17380.1 pep chromosome:AWHD00000000:10:17724561:17725130:-1 gene:ONIVA10G17380 transcript:ONIVA10G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQEGALMLLGPTMNAKVAFELSERLPHLPLRMQEHSRRAAEYASRMRRLGLRVAYPGLPDHPHHARLLAIANPGYGAGGMLCVDMGTEDRANRLMHHLQNTTRFGLMAVSLGYYETLMSCSGSSTSSEMPPEDRARAGISPGLVRMSVGYNGTLEQRWAQFERALSLMQQQQQQHPDRDAAAKYCKV >ONIVA10G17370.1 pep chromosome:AWHD00000000:10:17720746:17725604:1 gene:ONIVA10G17370 transcript:ONIVA10G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G53580) TAIR;Acc:AT5G53580] MAVPVTTRAAPAMPFAPQPRTAGGGLLRRPSPPAAALRIAPPLFWPWQKVKVGPLSVSPMGFGTWAWGNQLLWGYQESMDTELQECFNLALKNGINLFDTADSYGTGRLNGQSERLLGKFIREYEGPMKSPDDVIIATKFAAYPWRLTSGQFVSACKSSLERLQLDRLGIGQLHWSTANYAPLQERALWDGLVEMYEKGLVRAVGVSNYGPKQLLKIHSHLSSRGVPLSSAQVQFSLLSMGDEQMELKTVCDSLGIRLIAYSPLGLGMLTGKYTTSNLPSGPRSVLFRQILPGLESLLTCLKRIAERKGKTMSQVAINWCICKGTIPIPGVKTVRHVEDNLGALGWRLSPAEVSELEAAAMESPKRMVQNIFQTA >ONIVA10G17360.1 pep chromosome:AWHD00000000:10:17697268:17698622:-1 gene:ONIVA10G17360 transcript:ONIVA10G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHEAPYMATSTKGIEGTGGPGARDGEEGGVGADVDVEQDGVVAGHGSSSSSDGGGRWRRRRRRTTMPQRGSQQPREQQQQPPPSPARRCRGCRRRKHALATASPRRGRRRRPHSLAATAAPASTPLPPPARPRRRPPSPRPPPPPSPARPRCDCRPRAHALTAARPPSPQRPPLPSPRLPPEPRTLLPERSPSLHVNKYFIKRNFYFSLYIFNPKPWDPSNGVKSEGKRWVRVQKDRAKMQTLKSRGKTAVEVQSEGMDTIIPKRIHATEKKDP >ONIVA10G17350.1 pep chromosome:AWHD00000000:10:17694880:17695294:-1 gene:ONIVA10G17350 transcript:ONIVA10G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRCSSRRSRLSLQLPPPCLLIVLVAAAAMVAAAATGARAAWVDYPSGVPCGETIPVEQCDPGDAAANSACMDVCHYGGCRRGGECVSLGFGRGRGCHCKC >ONIVA10G17340.1 pep chromosome:AWHD00000000:10:17685489:17695435:1 gene:ONIVA10G17340 transcript:ONIVA10G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKSDHHEQNLTSPRGLIHKVLRRTSSRRSPTAADHNPSPVFLETSNSKFLKQKDIDDAIKDPEKAGTHDNRIEDEKSDLLGYEICSGKLTLDNKAKSASGEQSGSGSSSNCFDARLTTEALVWGSNILKLEDIVSVSYHSGLRHFTVHACPLEKRSSGLSCFMKPRKIQKDLRFLSTSPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELIPFDNMFDPCVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNVAVSVPIGIIPAGSDNSLVWTVLGVKDPISAAMSIVRGGFTPIDVFAVEWIQSGTIHFGTTVSYFGFVSDVLELSERYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPISDGGGGEHKIVEGQDKVDASDLYDDVVRRSRVECLPRASSLSSIDSIMSTGIMSGGDLEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVIHPQAHVSSTPNWRRSKSKSRTEKAWPGSGATNDANSSRGTTTHDKEDASSTISDPGPVWDSGPKWDDEPKWDNQPNWEPETPIDLPGPLDDIELGLTKELVPSLDERWTVRKGRYLGVLVCNHSCKTVQSLSSQVIAPKAEYDDNCLDLLLVGGSGRLRLLKFLVLLQFGKHISLPNVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKNPVQ >ONIVA10G17330.1 pep chromosome:AWHD00000000:10:17684445:17684858:1 gene:ONIVA10G17330 transcript:ONIVA10G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMTSPHHLTDRGPVSPRSPFCCYRTSPFSRKLLGTLWRMAEWIMKAQADKLTPLPLLLPVPTSGRSGTRTDEFHIAKTVQSSATSSTMGGPSSLPITSLTGHTILPSSHLSRPIASSDTYPTSPSSFLGSGKSTS >ONIVA10G17320.1 pep chromosome:AWHD00000000:10:17677796:17680119:-1 gene:ONIVA10G17320 transcript:ONIVA10G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT1G33250) TAIR;Acc:AT1G33250] MRKPHHAAQPPPPPPLPLPLPPRNCPLATAVLALLSVLLATALWVLLVLSPASHARGPAAGLSDAADAEAFAVAGGGGGGGGEGAEAPLSLGHIVFGIAGSTHLWPRRREYVRMWWDPAAMRGHVWLDAGAPAAPGPSASGEGSLLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGGGGARWVVLVDDDTVVSADNLVAVLGKYDWREMVYVGAPSESHSANTYFSHSMAFGGGGVALSLPLATALARTLDVCIERYPKLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGILAAHPIAPFISIHHLELVDPIYPGLNSLESLELFTKAMKTEPMSFLQRSICYDKRQKYTFAVSLGYVVQVYPYVLLPRELERSERTYIAYNRMSQRTEFDFDTKDIQKSLCKKPILFFLKDVWKDGNITRGSYIRASVRDDLKNKVFCFRSPPLPDIDEIQVSASPLSKRWHLVCSNIPNNISYLVSTFTLANCRTTDIGTKKVMQCA >ONIVA10G17310.1 pep chromosome:AWHD00000000:10:17650933:17655711:-1 gene:ONIVA10G17310 transcript:ONIVA10G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYYLEREGLTHNMPMHGHFYTPLPLNHWHADTTNAADMTRGPMRMWDPHVSGVLMRVEMVSGNTRGGGGGGGGSSMQQQQPGGGGGGVQQFGAVAPEMSPFSPAGGGGGGRISMAEAASPISSRPPPAQQQFDELGVGGGGGGGGGFDAEALAAAAVGEEGASGGAVGNRWPRQETLALLKIRSDMDAAFRDATLKGPLWEEVSRKLAEEGYRRSAKKCKEKFENVHKYYKRTKESRAGRNDGKTYRFFTQLEALHGTAAGVVAAPSPVTSLAPPPATAVGVSGGVRAPAEPPPAVVMGNVMSFSTSNTEEYSDEEDSDDEGTEDMGGGGGDERGKRKRLSEGGAAAGGGGGGGGGGGSGKMMRFFEGLVKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMARLAREQEILAQERAMAASRDAAVVSFIQKITGQTIPMPPIIAAPAITVMPPPAPSQPPQPPPPPSHPTPITSVAPAPPPPPPPAAAAAASQPSPQATKSPLPATPQTQSSMDIVMTAAEAHDAGYDGSGGGGGQPSSSRWPKAEVHALIQLRSNLDNRYQEAGPKGPLWEEISAGMRRLGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKAALNSSSSAAAAAAPALPPPEHAEPAVTVAAPISQTPPPPPPQPVTTTKNGNGTSSTNGANGEGGGGGSGGMQMQASNGSVVAGNKFFTGAAAKKPEDIMKEMMEQRPQQPAAANNAFNRTDGGGGGGGVDSDNMDEDDEDDYDDDDDDDDDDVDGNKMQYEIQFQHQHHHQQPPQHRHQQSVVRPNAAASAAAGGNPPGTAAPATAAAATTTTGSFLAMVQ >ONIVA10G17310.2 pep chromosome:AWHD00000000:10:17650933:17655711:-1 gene:ONIVA10G17310 transcript:ONIVA10G17310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYYLEREGLTHNMPMHGHFYTPLPLNHWHADTTNAADMTRGPMRMWDPHVSGVLMRVEMVSSPDVLIIPSPPFPSGNTRGGGGGGGGSSMQQQQPGGGGGGVQQFGAVAPEMSPFSPAGGGGGGRISMAEAASPISSRPPPAQQQFDELGVGGGGGGGGGFDAEALAAAAVGEEGASGGAVGNRWPRQETLALLKIRSDMDAAFRDATLKGPLWEEVSRKLAEEGYRRSAKKCKEKFENVHKYYKRTKESRAGRNDGKTYRFFTQLEALHGTAAGVVAAPSPVTSLAPPPATAVGVSGGVRAPAEPPPAVVMGNVMSFSTSNTEEYSDEEDSDDEGTEDMGGGGGDERGKRKRLSEGGAAAGGGGGGGGGGGSGKMMRFFEGLVKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMARLAREQEILAQERAMAASRDAAVVSFIQKITGQTIPMPPIIAAPAITVMPPPAPSQPPQPPPPPSHPTPITSVAPAPPPPPPPAAAAAASQPSPQATKSPLPATPQTQSSMDIVMTAAEAHDAGYDGSGGGGGQPSSSRWPKAEVHALIQLRSNLDNRYQEAGPKGPLWEEISAGMRRLGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKAALNSSSSAAAAAAPALPPPEHAEPAVTVAAPISQTPPPPPPQPVTTTKNGNGTSSTNGANGEGGGGGSGGMQMQASNGSVVAGNKFFTGAAAKKPEDIMKEMMEQRPQQPAAANNAFNRTDGGGGGGGVDSDNMDEDDEDDYDDDDDDDDDDVDGNKMQYEIQFQHQHHHQQPPQHRHQQSVVRPNAAASAAAGGNPPGTAAPATAAAATTTTGSFLAMVQ >ONIVA10G17300.1 pep chromosome:AWHD00000000:10:17637150:17637962:-1 gene:ONIVA10G17300 transcript:ONIVA10G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSPSPSAEEPFRVFVGYDPREDEAYEVCRRSLLRHASIPVDVRPIRQPDLRAAGLYWRERGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLYLADIAGLLACLPSSDPDHRLAVACVKHEYAPAEATKMDGAIQTVYPRKNWSSMVLYNCGHPKNVAALTPDAVSTQTGAFLHRFAWLDDDEIGEIPFAWNFLVGHNKVDPADPSTQPKAIHYTSGGPWFERYRNCDFAELWIKEADELKADKEKQKQQQIVMANGEKEKDEEGN >ONIVA10G17290.1 pep chromosome:AWHD00000000:10:17634832:17635505:1 gene:ONIVA10G17290 transcript:ONIVA10G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRVFAPSISAATRLEAGGRRRHPPAAEKTIGLRRRYLSFAPQSSAATRSSSAVRMPQLHSSRFQGLRNSSNSSDSNHSQYSAYSQDMCTCITVGSKQQTQRFSTQLLTTDTNCCWFQASEFRETRYFAFRSQVHNMLRKALINGINCSAIHPA >ONIVA10G17280.1 pep chromosome:AWHD00000000:10:17629033:17634712:1 gene:ONIVA10G17280 transcript:ONIVA10G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron-transfer flavoprotein:ubiquinone oxidoreductase [Source:Projected from Arabidopsis thaliana (AT2G43400) TAIR;Acc:AT2G43400] MQRVLRAAAAGIGHASGHRAPRWGAAAAAAARWLSGGREVMSYDVVVVGAGPAGLAAAIRLKQLCRDADTDLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDTPIRVPVSSDKFWLLTKNKAWTLPSPFDNKGNYVISLSQMVRWMASKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGSKRETFQPGVELRGDYLENAGRMTLLAEGCRGSLSEKIIRNHKLRESGQGQHQTYALGIKEVWEIEEGKHKPGSVIHTVGWPLESKTYGGSFMYHLDDRQLAIGLVVALNYQNPFMSPYDEFQKFKQHPAVRTILDGGTVLQYGARTLNEGGFQSIPNPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFKTLVEGSSMELYWENLKKSWIWEELYRARNYRPAFEYGFIPGIALSALERYVFKGKSPFTLKHGIPDHEATDMASLHSPIQYPKPDGQISFDVPTSLYRSSTNHEHDQPPHLRLRDPTVPERVNLPLYAGPESRYCPARVYEYVTDEKGDQKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >ONIVA10G17270.1 pep chromosome:AWHD00000000:10:17620259:17621682:-1 gene:ONIVA10G17270 transcript:ONIVA10G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGFTSAVVGEGGFSTVYLARVAGALAAVKVHRSSERLHRVFRQELDALLRVRHPHIVRLLAFCEQQEEGVLVLEFAANGNLHERLHGGGKAAGTMPWARRASVALQVARALEYLHDRCEPAVVHGDVKASNVLLDAAMSAKLCDFGSARMGFSAAVRPRSSAHTMLGSPGYVDPHYIRSGMVTKKSDVYSFGVLLLELLTGMEAFCAAEGRLLTAVLAPRLRPASGAACDARMLVDERLGSAYDAGEASAVASLAASCVGENPSLRPSMADVVRALEQGAHGSISAVARRSDGHGKL >ONIVA10G17260.1 pep chromosome:AWHD00000000:10:17615245:17617696:1 gene:ONIVA10G17260 transcript:ONIVA10G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IV19] MALRLWASSAANALKISCSGATRAAPAYSISRYFSTVLDGLKYSSSHEWVKNDGSVATIGITDHAQGHLGEVVFVELPEAGAKVSQGGAFGNVESVKATSDINSPISGEVVEVNDKLSETPGLINSSPYEDGWMIKVKPSSPSELDALLDPAKYTKHCEEEDAH >ONIVA10G17250.1 pep chromosome:AWHD00000000:10:17606478:17608025:1 gene:ONIVA10G17250 transcript:ONIVA10G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLYLILGAILAAAVVPFILFRGNGGKRGRRLPPGPPAVPLLGSVVLLTKALTDVEPELLLQRLIARYGPIVSLRMGTRVSVFVADRRLAHTALVEGGAALADRPGVPASRLLGENDNIITRAGYGPVWRLLRRNLVSETLHPSRARLFAPARYWVHRVIVDKLAASGQAPHDVVDTLQYAMFCLLVNMCFGERLDEATVRAVEDAQRDLLIYITSQMAVFAYFPAITKHLFRGRLEKIYALRRRQRELFMPLINARREYKKHGGGEKTTNKETTLEHSYVDTLLDIKLPEDGNRALTDDEIIKLCSEFLNAGTDTTSTALQWIMAELVKNPSIQSKLHDEIKAKTGDDQPEVTEEDVHGMPYLRAVVLEGLRKHPPGHFVLPHRAAEDVEVGGYLIPKGATVNFMVAEIGRDEREWAKPMEFIPERFLPGGDGEGVDVTGSKGIRMMPFGVGRRICAGLSFAMHHLEYFVANMVREFEWKEVAGDEVEFAEKREFTTVMAKPLRARLVPRRTT >ONIVA10G17240.1 pep chromosome:AWHD00000000:10:17594739:17598521:-1 gene:ONIVA10G17240 transcript:ONIVA10G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWQLFVPAIVILLPLLRLILFRRGDDGRRGRLPPGPPAVPLLGSTVWLTNSLYDAEPVVQRLMSRHGPVVSLRFGSQLLVFVADRRLAHAALVESGASLADRPSQAASARLVGEGDTMNSRASYGPVWRLLRRNLVADTLHPSRVHLFAPVRARVRRLLVDRLREEHGEAEAAAPRSVVETFQYAMSFLLVLMCFGEQLDEDAEELFVPLINSRREYKKNGGEPKKETTTFTHSYVDNLLDINLPEDGNRALTDDELVMLCSEFLVAGTDSTSAALQWIMAELVKNPSIQSKLYEEIKSKTGGGGGGGGHEVSEEDVHDMPYLKAVVLEGLRKHPPAHMLLPHKAAEDMDVGGYLIPKGTIVNFMVAEMGRDEKEWEKPMEFMPERFLRPCGDGERVDITGNKGIRMMPFGVGRRICPGLGIAMLHLEYFVANMLREFEWKEVAGDEVDFAEKREFNTVMAKPLRVRLVPRST >ONIVA10G17230.1 pep chromosome:AWHD00000000:10:17590453:17592021:1 gene:ONIVA10G17230 transcript:ONIVA10G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWHVTVAAILVLIPFLRLILSRRGGRGGGKRGRLPPGPPAVPLLGSTVWLTNSLADAEPLLRRLIARHGPVVSLRVASRLLVFVADRRLAHAALVEKGASLADRPAMASTRLLGESDNLISRAGYGPVWRLLRRNLVAETLHPSRVRLFAPARAWVRRVLVEKLRDENGDAAAPRAVVETFQYAMFCLLVLMCFGERLDEDAVRAISVAQRDALLYLSSKMPVFAFFPAVTKHLFRGRLQKAHALRRRQMELFVPLINARREYKKRQGGADGEPKKETTFEHSYVDTLLDIKLPDDGNRPLTDDEMVNLCSEFLNAGTDTTSTALQWIMAELVKNPSIQAKLHDEIKSKTGGGGGGQREVSEEDIHDMPYLKAVVLEGLRKHPPGHMVLPHRAAEDMEIGGYLIPKGATVNFMVAEMGRDEKEWEKPMEFMPERFLAGGDGEGVDVTGSREIRMMPFGVGRRICAGLGVAMLHVEYFVANMVSEFEWKEVAGDEVDFAEKIEFTTVMAKPLRARLVPRRA >ONIVA10G17220.1 pep chromosome:AWHD00000000:10:17587817:17589355:-1 gene:ONIVA10G17220 transcript:ONIVA10G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLVILAGVLLLPLLFLLRNAASSRRRRLPPGPPAVPLFGNLLWLRHSAADVEPLLLTLFKKYGPVVTLRIGSRLSIFVADRHLAHAALIAAGAKLADRPQAATSTLLGVSDNIITRANYGAMWRLLRRNLVSQTLQQSRVDQFAPARVWVRRVLMEKLRGSGEAAPNVMEAFQYTMFCLLVLMCFGERLDEPAVRDIEVAERAWLLYISRRMSVFFFFPWITKHLFRGRLEAAHALRRRQKELFVPLIEARREYKRLASQGLPPARETAFQHSYVDTLLDVKIPEEGNRALTDDEIVTLCSEFLNAGTDTTSTGLQWIMAELVKNPAVQEKLYAEINATCGGDDELLERNVRDKDNKMPYLNAVVKEGLRKHPPGHFVLPHKAAEDMDVGGYLIPKGATVNFMVAEIGRDEREWENPMQFMPERFLDGGHGAGVDMHGTKGIKMMPFGVGRRICAGLNIAMLHLEYFVGSMVMEFEWKEVEGLEVEFAEKREFTTVMAKPLRPRLVPRRS >ONIVA10G17210.1 pep chromosome:AWHD00000000:10:17582492:17584168:1 gene:ONIVA10G17210 transcript:ONIVA10G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLAILAVAGVLLLPLLVFLRDVTSRRRRRLPPGPPAVPLFGNLLWLRHSAADVEPLLLKLFDKYGPVVTLRIGWRLSIFVADRHLAHAALVGAGAKLAERPRTATSMLLGVRENIITRSNYGAMWRLLRRNLVSHMLQPSRVDQFAPARVWARRVLMGKLRETSGGGGGDAPNVTEAFQHAVFCLLVLMCFGERLDEPAVRAVEDAERAWLLYISKKTSVFFFLPWITKHLFRGRLDAWHALLRRQKELFVPLIEARREYKKLASQGLPPARETTFQHSYVDTLLDVKIPEEGNRALTDDEIVTLCSEFPNAGTDTTSTGLQWIMAELVKNPAVQEKLYAEIKATCGGDGELLERSVRDKDNKMPYLNAVVMEGLRKHPPSHTLLPHKAAEDMDVGGFLIPKGATVNFMVVKEGLRKHPPGHIVLPHKAAEDMDVGGFLIPKGATVNFMVAEIGRDEREWEKPMEFIPERFLAGGDGEGVDVTGSREIRMMPFGAGRRICAGLNVGVMHLEYFVGSMVMEFEWKEVAGDEVEFAEKLEFTTAMAKPLRPRLVPRRS >ONIVA10G17200.1 pep chromosome:AWHD00000000:10:17580128:17580624:1 gene:ONIVA10G17200 transcript:ONIVA10G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWRIPTARAARRWRASAAAVGCAPAERRDWARWRRDPARTTTVVVPSPAAASWERERSASMRAAGWRRGMRERMVAPSLELEEIILSMPRGPREVRAAAVTARPAAMLRLRTSSRRLLSVSVLRDCAGEAATAAAMAAAKVLVN >ONIVA10G17190.1 pep chromosome:AWHD00000000:10:17572464:17580594:-1 gene:ONIVA10G17190 transcript:ONIVA10G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit delta [Source:UniProtKB/TrEMBL;Acc:A0A0E0IV12] MAAAVAASPAQSRKTETYTDNKRRDDVRSLNIAAGRAVTAAARTSLGPRGMDKMISSSSSGGGDQAAHEAIIITNDGATILSRMPLLQPAARMLADLSRSQDAAAGDGTTTVVVLAGSLLHRAQSLLSAGAHPTAAADALHLLAARAVGILHGMAIPKLGGTVDDTELIRGLVLDKKASHVAGGPTRIGDAKIAVITFQVSPPKTDIEHSVVVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDSVNDLSLHYLAKAKIMVVKDVERDEIEFITKTLNCMPIASIEHLRVDKLGHAHLVEEISVGDGNNNKIVKITGIKNMGRTATVLVRGSNQMVIDEAQRSLHDAFCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELRGMESYCVREFAEALEVIPYTLSENAGLDPISIVTELRNRHAKGEKNAGINVRKGRITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVR >ONIVA10G17180.1 pep chromosome:AWHD00000000:10:17558960:17561798:-1 gene:ONIVA10G17180 transcript:ONIVA10G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREPTRSDTTGPWRAIRRRRRGSRSAGECHSQSRLPRTGTASGPGGTRQPPPRPPPFPSLRSERRTKNGKRKATRSRSHGDASMIAAREG >ONIVA10G17180.2 pep chromosome:AWHD00000000:10:17558967:17561798:-1 gene:ONIVA10G17180 transcript:ONIVA10G17180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERREPTRSDTTGPWRAIRRRRRGSRSAGECHSQSRLPRTGTASGPGGTRQPPPRPPPFPSLRSERRTKNGKRKATRSRSHDCCS >ONIVA10G17170.1 pep chromosome:AWHD00000000:10:17553139:17553459:-1 gene:ONIVA10G17170 transcript:ONIVA10G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDDELDPVIVAGANRAFTDNGRKLGVGAGVIINHHRLSSRLPPMANLILVIVAGADRFSTADRLSSLELPSVWSSTIGVYTPGCHQWRTCPWSSSWRRSFLCR >ONIVA10G17160.1 pep chromosome:AWHD00000000:10:17543765:17571199:1 gene:ONIVA10G17160 transcript:ONIVA10G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDATQWLLLLVVFLVAFLFTLLAKHGAVKRKHGVRVPPGPLAVPVLGSLVWLTHSSSANLEPLLRRLIARHGPVVSLRVGSRLSIFVADRRVAHAALVGCGAALADRPPDVTHSLLGESRNTITRSGYGPVWRLLRRNLVVETTHPSRVRLFAPARSWVRRVLVDKLADAGAHPASPPRVLEVFRYAMFSLLVLMCFGERLDEAAVRAIGAAQHDFLLYLGRKTSVFMFYPAITKHLFRGRVHLGLAVRRRQKELFMPLINSRRERKKQIQQSGDPAASSEKKDDNTTFNHSYVDTLLTIRLQDVDGDGDRALTDDEMVSLCSEFLSAGTDTTATALQWIMAELVKNPSIQSKLHDEIKSKTSDGDDHDEITEDDARNNLPYLKAVILEGLRKHPPMHLLLPHKAAEDIEVGGYLIPKGATVNFMVAEMGRDEKEWEKPTEFIPERFMAGDGDGEGVDVTGSREIRMMPFGAGRRICAALSVAMLHLEYFVANMVKEFEWKEVAGDEVDFAERLEFTTIMAKPLRSKRQEDAMATDTTWLLLLVALVLPLVVLLARRRRSGGGSRRIPPGPLAVPVLGSLLWLRHSSANLEPLLQRLIARYGPVVSLRVGSRLSIFVADRRVAHAALVERGAALADRPDVTRSLLGETGNTITRSSYGPVWRVLRRNLVAETLHPSRVRLFAPARSWVRRVLVDKLADGARPESEPPRPRVVVETFRYAMFCLLVLMCFGERLDEATVRAIGAAQRDWLLYVARKTSVFAFYPAVTKHIFRRRLQMGLALRRQQKELFVPLIDARRARKNHIQQSGSPPVPEKETTFEHSYVDTLLDISLPDTDGDRALTDDELVMLCSEFLNAGTDTTATALQWIMAELVKNPSIQSKLHDEIKSKTSDDEITEEDTHDMPYLKAVILEGLRKHPPGHFALPHKAAEDMEVGGYLIPKGATVNFMVAEMGRDEREWEKPMEFIPERFLAGGDGEGVDVTGSREVRMMPFGIGRRICAGLGVAMLHLEYFVANLVKEFEWKEVAGDEVDLTEKNEFTTVMAKPLRAQLVKRAYDGKGGGRGGGCRVPPGPLAVPVLGNLLWLWHSPADLEPLLRRLIARHGPVVSLRVGSRLSIFVADRRRGAALADRPEVTRALLGENGNTITRASYGPTWRLLRRGLVSGTLHPSTTRARVFAPARSWARRVLVGKLAAASGQAPHGVMDTLQYAMFCLLVVMCFGERLDEADVRAIATAQHDWIVYFATKMRVFAFCSTITKHLFRGRIKMALALRRRQKELFVPLINARRERKTRTQPTLPENGTTFEHSYVDTLLDLRLPEDGNRALTDKEMVSLCSEFLDAGTDTMSTALQWIMAELVKNPSIQSKLYEEIKATVSDDHDEITEEDTKKMPYLKAVILEGLRKHPLGHFVLAHKAAEDIEVGGYLIPKGATVNFMVAEMGRDEREWENPMQFMPERFLPGGDGEGVDMTGSKRIRMMPFGVGRRMCAGLNTAMLHLEYFVANMVRAFEWKEVAGDEVDFAEKAELTTVMAKPLRAQLRKRPATVPENDTTFEHSYVDTLLDLRLPEDGDRALTDKAMNPSIQAKLYDEIKVTVGDDHEGVSEEDTQKMPYLKAVILEGLRKHPPGHFALPHKAAEDMDVGGYLIPKGATVNLMVAEMGRDEREWENPMQFIPERFFAGGDGEGVDITGSKRIRMMPFGVGRRMCAGINTAMLHLEYFVANMVREFEWKAVAGDEVDFAEKFEFTTVMAKPLRAQLWLMLLLAFLVALFILLSLRGGGERKCGGRGRVPPGPLAVPVLGNLLWLSHSSADLEPLLRRLVARYGPVVSLRVGSHLSIFVADRRVAHAALVARGAALADRPEVTRALLGENGNTITRGNYGPTWRLLRRNLVAETLHPSRARAAFAPARSWARRALVDGLVGGGAVLADAFRHAMFCLLVLMCFGEWLDEAAVRAIGDAQHGWLLHYATKMKVFAFCPAVTKHIFRGRIQTSLALRRRQKELFMPLISARRERKNQLVERAVPEKETTTFEHSYADTLLDIKLPEDGGDRALTDDEMVRLCSEFLDAGTDTMSTTLQWIMAELVKNPTIQSKLHDEIKSKTSDDHDEITEDDTHKMPYLKAVILEGLRKHPPGHFALPHKAAEDMEVGGYLIPKGATVNFMVAEMGRDEREWENPMQFMPERFLPGGDGEGVDVTGSKGIRMMPFGVGRRICAGLNTAMLHLEYFVANMVWEFEWREIAGEEVDFAEKLEFTTVMAKPLRAQLVRRRMN >ONIVA10G17160.2 pep chromosome:AWHD00000000:10:17543765:17571199:1 gene:ONIVA10G17160 transcript:ONIVA10G17160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDATQWLLLLVVFLVAFLFTLLAKHGAVKRKHGVRVPPGPLAVPVLGSLVWLTHSSSANLEPLLRRLIARHGPVVSLRVGSRLSIFVADRRVAHAALVGCGAALADRPPDVTHSLLGESRNTITRSGYGPVWRLLRRNLVVETTHPSRVRLFAPARSWVRRVLVDKLADAGAHPASPPRVLEVFRYAMFSLLVLMCFGERLDEAAVRAIGAAQHDFLLYLGRKTSVFMFYPAITKHLFRGRVHLGLAVRRRQKELFMPLINSRRERKKQIQQSGDPAASSEKKDDNTTFNHSYVDTLLTIRLQDVDGDGDRALTDDEMVSLCSEFLSAGTDTTATALQWIMAELVKNPSIQSKLHDEIKSKTSDGDDHDEITEDDARNNLPYLKAVILEGLRKHPPMHLLLPHKAAEDIEVGGYLIPKGATVNFMVAEMGRDEREWEKPMEFIPERFLAGGDGEGVDVTGSREVRMMPFGIGRRICAGLGVAMLHLEYFVANLVKEFEWKEVAGDEVDLTEKNEFTTVMAKPLRAQLVKRAYDGKGGGRGGGCRVPPGPLAVPVLGNLLWLWHSPADLEPLLRRLIARHGPVVSLRVGSRLSIFVADRRRGAALADRPEVTRALLGENGNTITRASYGPTWRLLRRGLVSGTLHPSTTRARVFAPARSWARRVLVGKLAAASGQAPHGVMDTLQYAMFCLLVVMCFGERLDEADVRAIATAQHDWIVYFATKMRVFAFCSTITKHLFRGRIKMALALRRRQKELFVPLINARRERKTRTQPTLPENGTTFEHSYVDTLLDLRLPEDGNRALTDKEMVSLCSEFLDAGTDTMSTALQWIMAELVKNPSIQSKLYEEIKATVSDDHDEITEEDTKKMPYLKAVILEGLRKHPLGHFVLAHKAAEDIEVGGYLIPKGATVNFMVAEMGRDEREWENPMQFMPERFLPGGDGEGVDMTGSKRIRMMPFGVGRRMCAGLNTAMLHLEYFVANMVRAFEWKEVAGDEVDFAEKAELTTVMAKPLRAQLRKRPATVPENDTTFEHSTATALSPTKRWYAYALSSLYSGADTMSTTLQWIMAELVKNPSIQAKLYDEIKVTVGDDHEGVSEEDTQKMPYLKAVILEGLRKHPPGHFALPHKAAEDMDVGGYLIPKGATVNLMVAEMGRDEREWENPMQFIPERFFAGGDGEGVDITGSKRIRMMPFGVGRRMCAGINTAMLHLEYFVANMVREFEWKAVAGDEVDFAEKFEFTTVMAKPLRAQLWLMLLLAFLVALFILLSLRGGGERKCGGRGRVPPGPLAVPVLGNLLWLSHSSADLEPLLRRLVARYGPVVSLRVGSHLSIFVADRRVAHAALVARGAALADRPEVTRALLGENGNTITRGNYGPTWRLLRRNLVAETLHPSRARAAFAPARSWARRALVDGLVGGGAVLADAFRHAMFCLLVLMCFGEWLDEAAVRAIGDAQHGWLLHYATKMKVFAFCPAVTKHIFRGRIQTSLALRRRQKELFMPLISARRERKNQLVERAVPEKETTTFEHSYADTLLDIKLPEDGGDRALTDDEMVRLCSEFLDAGTDTMSTTLQWIMAELVKNPTIQSKLHDEIKSKTSDDHDEITEDDTHKMPYLKAVILEGLRKHPPGHFALPHKAAEDMEVGGYLIPKGATVNFMVAEMGRDEREWENPMQFMPERFLPGGDGEGVDVTGSKGIRMMPFGVGRRICAGLNTAMLHLEYFVANMVWEFEWREIAGEEVDFAEKLEFTTVMAKPLRAQLVRRRMN >ONIVA10G17150.1 pep chromosome:AWHD00000000:10:17543654:17544540:-1 gene:ONIVA10G17150 transcript:ONIVA10G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSFCRRRTARPRWTRPRKRCLEEIVLRGADGAHRGLVEPLAEAHEHEEAEHGVPEHLQHARRRRRVRARVRELVDEHAANPGARGREEADARRVRRLDDEVAAEEAPHGAVAGARDGVPALAEQRVRDVRGAIGERGAAPHQGGVRDAAVGHEDGEAGAHAQRHDGAVPRDQSPQERLQVRRGGVRQPHEASEHGHGERARRDADAVLPLNGAMLREEGEEERDEEDDEEQEPLCCIDGHGDGVVSSLAFRKLIISSASSVVVKDGLASLPCGSSL >ONIVA10G17140.1 pep chromosome:AWHD00000000:10:17540568:17543393:1 gene:ONIVA10G17140 transcript:ONIVA10G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEMSRAHGSPRSFFPVGNPFRVMFPGGAHLSRKLQELLASYEDALALSLRKLKPEAASDVLTLSWMRLAVDCLSELHTNIANLITDLELPVSDWDDKWVDIYLNSSVKLLDICIALSSELSRLDQGQLLLQYALHVLGSESGVPSQEQLKRAEPSLREWMELVGVRCPRLVSCSATLQELAGNLSLMKVKNSVKGKVLMRALYGIESVTVFVCSIFVAVLSGSPKPLVELHVPEKFGWSQAFNDLHTAVSEELTRQLAGGSVAAVKELEEVEACAKRLHVLASTSQLEEEAANLANAVSHTEEEVMSDSIVQEGDHHCGLKLADDTTRECEVVISESIAEEGTHEAEMKKDISYEKGVAMVERISYEEHQDSNVKQANGSSDESALVVPERTSVQESKEELLNCISSMSKSAEGLRHGLDSLSKRVGDFFQIVLTGRDALLCNLRISDAASKVAEVSS >ONIVA10G17130.1 pep chromosome:AWHD00000000:10:17519446:17527181:-1 gene:ONIVA10G17130 transcript:ONIVA10G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRILSRGRRTPAASSSSVTAFATASDSQRLAGKVAVITGGASGIGRATAEEFVRNGAKVILADVQDDLGHAVAAELGADAASYARCDVTDEAQVAAAVDLAVAQHGRLDVVFNNAGIPGDLMPTPVSALDLADFDRVMAVNTRAVVAGVKHAARVMVPRRRGSIICTASTAGVIGGVAVPHYSVSKAAVLGLVRAVAGEMARSGVRVNAISPNYIWTPMAAVAFARWYPSRSADDHRRIVENDINEMDGVTLEAEDVARAAVFLASDEAKYVNGHNLVVDGGYTVGKVPNMPLINMMLRAAQLLLRETNRALGAATSPAGFVSGFSTASNSAQRLAGKVAVITGGASGIGKATAKEFIENGAKVIMADVQDDLGHSAAAELGPDASYTRCDVSDEAQVAAAVDLAVKRHGHLDILYNNAGVMGAMPQDDMASVDLANFDRMMAINARAALVGIKHAARVMSPRRSGVILCTASDTGVMPMANIALYSVSKATTIAIVRAAAEPLSRHGLRVNAISPHGTRTAMMMHVVSQMYPGVSKDDLEKMADAAMDAGAVMEPEYVARAALYLASDEAKYVNGHNLVVDGGFTSHKGSDTRLN >ONIVA10G17120.1 pep chromosome:AWHD00000000:10:17517224:17518214:-1 gene:ONIVA10G17120 transcript:ONIVA10G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSIQRLAGKVAVITGGASGIGKVTAKEFIKNGAKVIIADVQDELGRSAAAELGPDASYTRCDVTDEAQVAAAVDLAVRLHGHLDILYNNAGIIGAMPQDDMASVDLANFDRMMAINARAALVGIKHAARVMAPRRSGVILCTASDAGVMPIPNIAMYSVSKATTIAIVRAAAEPLSRHGLRVNAISPTGTRTPMMMHIISQMTPGAGEDDLERMADAAISAGVAIEPEYVARAAVYLASDEAKYVNGHNLVVDGGFTTHKGDDNRMN >ONIVA10G17110.1 pep chromosome:AWHD00000000:10:17515677:17516440:-1 gene:ONIVA10G17110 transcript:ONIVA10G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKCTLCKDARPALWLVSSSSSCRRAKEPPPATPEQDNEQHRREARGWTASAHAVSGDDEQFARLQQLARENQRLRHVAAGTTSGYTASAAAREDERLRQEVRVVAEIWCTRWPGTTSSFGARTAGCSVVASRMAANR >ONIVA10G17100.1 pep chromosome:AWHD00000000:10:17508067:17508930:-1 gene:ONIVA10G17100 transcript:ONIVA10G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSPESLIAGGFSTAASSHQRLAGKVAVITGAASGIGKATAAEFIRNGAKVIITDVNDDLGHAAAAELGPDATYARCNVADEAQVAAAVDLAVARHGRLDVMHNNAAIPGRFPQDDMASVDLADFDAMMAVNARASLAGIKHAARVMAPRRAGVILCTASAVGVLPLPAVATHSITKATIIAIVRAAAEPLARHGLRVNAISPGAVRTPVLQGKVSVMSASSPTMSDELKQMIDVDVNDMMMGPEEVAMAAVYLASDEARYVTGHNLVVDGGYTVHKGADTPAAR >ONIVA10G17090.1 pep chromosome:AWHD00000000:10:17503468:17504305:-1 gene:ONIVA10G17090 transcript:ONIVA10G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRKPAGELARSSSPRWIMPASPRALAATVGLELDKVAVRSSTEKKAAAVMAWLGLPSRRPTPLPEPSLHRRRREGHHRGEPCLTDGQIRRFRFPLVVGTGSTPRRLATGVMDVEFRLVASIRGATPRWRRGAREKGGDSTGGSSSNSSSEEDERDEGEDIDAMPIVRAFDSISVSDDPKHVGVGKPDVDVPNDPMSVSGPSWLARCS >ONIVA10G17080.1 pep chromosome:AWHD00000000:10:17503027:17503318:-1 gene:ONIVA10G17080 transcript:ONIVA10G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRKEDVAQRVPTVAAAAVPGDMMAKVLTKAREAVLSLTSENRVSGGKGKGKREGGEEEEGEGRADGERVKPAAVDSNSGGLDRCVGA >ONIVA10G17070.1 pep chromosome:AWHD00000000:10:17501034:17501933:-1 gene:ONIVA10G17070 transcript:ONIVA10G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWCHNRETKRVFGTTSTWTAGLVVNGFSTASSSHQRLAGKVAVITGGASGIGKATATEFIKNGAKVIIADIQDDLGHSVAAELGPDAAYTRCDVADEAQVAAAVGLAVARHGRLDVFHNNAGIAGALPQDDMAAVDLGDFDRVMAVNARSTLAAVKHAARAMAPRCSGCVLCTSSGAGVIPVPAVPVYSVSKATVIAIVRAAAEPMARHGLRVNAISPGATRTPLLLRQIPLLSEMSPSLSDGLKTTVEKEVGEGGAVVLLAPEDIARAAVYLASDEARYVNGHNLVVDAGYTVHKGA >ONIVA10G17060.1 pep chromosome:AWHD00000000:10:17490956:17491988:-1 gene:ONIVA10G17060 transcript:ONIVA10G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVLRVKRSSGLLHQFSTAANSQRLAGKVAVITGAASGIGKASAKEFIGNGAKVILADVQDDLGRAVAAELGPGATYTRCDVTDEAQVAAAVDLAVARHGALDVFYSNAGVLGSIAPAPLASLDLGEFDRVMAVNARAAVAAAKHAARAMVPRRSGCVLFTGSVSGVVGGTGPTSYGVSKAAVLGVVRAVAGELARHGVRANAVSPCGVATPLSMVQVLEAYPGMSFEELKNAMAASMEQMEAGPLIDPEDVARAAVFLASDEARYINGHNLVVDGGFTVGKLLKIPKE >ONIVA10G17050.1 pep chromosome:AWHD00000000:10:17463301:17467338:-1 gene:ONIVA10G17050 transcript:ONIVA10G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTMFMKTKLNCMWSAARSSRIASKARRPDGSFMPILLPCTPPEFCTSNMTKGTFKKIREELMRGYALTKDDVQINGAAHSQTNRVLGRTTTTPAFFSSFSTASSSSQKLAGKVAVITGGASGIGKATAAEFIKNGAKVIIADVQDDLGRSVAAELGRDAAYTRCDVADEAQVAAAVDLAVERHGHLDVLFNNAGVSGTVRRQDDLASMDLAGFDRVMAVNARAVVAGIKHAARVMAPRRSGCVLCTASAAGVLSVPSIPVYAISKATAIAIVRATAEPLARHGVRVNSISPGGVRTPLLRHTFAEMLGTSSEEELKQIMAAAAVYLASDEAKYVTGHNLVVDGGHSAYKAADMRMR >ONIVA10G17050.2 pep chromosome:AWHD00000000:10:17465388:17467338:-1 gene:ONIVA10G17050 transcript:ONIVA10G17050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTMFMKTKLNCMWSAARSSRIASKARRPDGSFMPILLPCTPPEFCTSNMTKGTFKKIREELMRGYALTKADHL >ONIVA10G17050.3 pep chromosome:AWHD00000000:10:17463301:17464334:-1 gene:ONIVA10G17050 transcript:ONIVA10G17050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMFRSTAQLILRETNRVLGRTTTTPAFFSSFSTASSSSQKLAGKVAVITGGASGIGKATAAEFIKNGAKVIIADVQDDLGRSVAAELGRDAAYTRCDVADEAQVAAAVDLAVERHGHLDVLFNNAGVSGTVRRQDDLASMDLAGFDRVMAVNARAVVAGIKHAARVMAPRRSGCVLCTASAAGVLSVPSIPVYAISKATAIAIVRATAEPLARHGVRVNSISPGGVRTPLLRHTFAEMLGTSSEEELKQIMAAAAVYLASDEAKYVTGHNLVVDGGHSAYKAADMRMR >ONIVA10G17040.1 pep chromosome:AWHD00000000:10:17457376:17459333:1 gene:ONIVA10G17040 transcript:ONIVA10G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAMLTTKHVPEDVGLRNVAAAEEEGGQGQMHRGEEKQHKPVLKKVKEKVKKIKNTIAGGGGGGHGGNNGGERASGSSSSSEEGEDDVAAQRMGDVDQRGYQEDVEEDKPVAMESDPEVHGAPMYDSARIPSVQEVEGDGGAPRVRLGDLGGPVVEDPAAPRSTTRVPREGEDIGTTPVVRAFESMSVSDDPKHVGAAGKPDADVQNDPMPVSDAATAGEEWKDATPDSAAAGATPGATYTDKIKSAAAGTTEYGKKLATTVYEKVAGVGTVVVGKVQQVTQSAGTATPGVAGGAAGSQSQDDVDASTTLASGEPATGGQQDKGVTVTGYIADKLRPGDEDRALSEAISGAVQRRKDDVAQRVPAVAPAAPGDTIAKVREAPAQVLAKARDAVTSLTGGTRVSDTVQPTTTTEADGAEVEAAPVIRGEEIGETQRQPNVSMT >ONIVA10G17040.2 pep chromosome:AWHD00000000:10:17457376:17459395:1 gene:ONIVA10G17040 transcript:ONIVA10G17040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAMLTTKHVPEDVGLRNVAAAEEEGGQGQMHRGEEKQHKPVLKKVKEKVKKIKNTIAGGGGGGHGGNNGGERASGSSSSSEEGEDDVAAQRMGDVDQRGYQEDVEEDKPVAMESDPEVHGAPMYDSARIPSVQEVEGDGGAPRVRLGDLGGPVVEDPAAPRSTTRVPREGEDIGTTPVVRAFESMSVSDDPKHVGAAGKPDADVQNDPMPVSDAATAGEEWKDATPDSAAAGATPGATYTDKIKSAAAGTTEYGKKLATTVYEKVAGVGTVVVGKVQQVTQSAGTATPGVAGGAAGSQSQDDVDASTTLASGEPATGGQQDKGVTVTGYIADKLRPGDEDRALSEAISGAVQRRKDDVAQRVPAVAPAAPGDTIAKVREAPAQVLAKARDAVTSLTGGTRVSDTVQPTTTTEADGAEVEAAPVIRGEEIGETQRQPNVSMT >ONIVA10G17030.1 pep chromosome:AWHD00000000:10:17442208:17442492:-1 gene:ONIVA10G17030 transcript:ONIVA10G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWAAAMEMLLLTAAATAVVVVAAQCNPEQLSACVSPIFYGTAPSESCCSNLRAQQKEGCLCQYAKDPTYASYVNNTNARKTIAACGIPIPSC >ONIVA10G17020.1 pep chromosome:AWHD00000000:10:17432227:17432523:-1 gene:ONIVA10G17020 transcript:ONIVA10G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAAEATVMVVLAVAVAVVAAQAPPPVQCDPGKLSACAVPIFFGTAPSKSCCSNLRAQEKDGCFCQYARDPMYASYINSTNARNTIAACGIAFPSC >ONIVA10G17010.1 pep chromosome:AWHD00000000:10:17425092:17425634:1 gene:ONIVA10G17010 transcript:ONIVA10G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAAAMEMLLLVCDPGLLSPCAAPIFFGTAPSASCCSSLKAQQGCFCQYAKDPTYASYINSTNARKMIAACGIPFPNCS >ONIVA10G17000.1 pep chromosome:AWHD00000000:10:17408570:17414648:1 gene:ONIVA10G17000 transcript:ONIVA10G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRGGGGGGGKAKAVFKLQFHATQVPEVGWEAMMVVVTPRDAGRPTARTESAQVADGACQWPAPVYEATKLPSSGKDKIYQFLVYDTGSTKAALLGEATLNLAEYADAFKPWIVTLPLSGSPGAQLHVTIQRVVGGGGGGGGGCGDDASENGGDVSPVVARTPPRKTLQSQLSRCEDEEAEKARAAAAAADAMSPMQDGLVINKPPGMRFSARRNMRGPVDHLHNSNSFDAISVSGSDGSSGRFTPKNNASMHSTFLQEGTNTLSPLRNTLTSSGDWSGSSAPDASTDGSTSNSGEAGLREAEDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEVGSLRDERDALRRECEALRGMKKTIHDANGSGKRLSSGEDPWSQIEELKQELGHEKNLNGDLHLQLQKMQESNSELLLAVKDLDEMLEQKNKEISLLHEETLEDPQEAEYELALSNVHNAGHKIDISETSSVQEKEDELMLDALAKTTDGVATSELQNKIVELSNEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVESLENELQEQSKRLEADIQEVMRAKVEQEQRAIKAEESLRKARWNNATTAERLQEEFKMLSSQVSSAFSANEQLLMQARKEAAELQLQKGQLEELLQKAQEDLGSIQEQHRVKVQQLLTLVDFKSKETDRLVMELKSKSDEFQNQKRCNEAKLSVLSEEIDQLKAKIENLSNERDNLFEENEQKDKELAANCQKDMFLQDRDAEIALLNKELASIKDQVQTYLEEINTLKSSKNEKEEMIEKLQSEIRSLKFEYDNLKILMSTNDSEKHNLASQVLKLRRALESREDVKQNGVKSDEDNHHATSKRIKHDDGTTGSCNVLPSTNRHNANGDCNGHDRRDAAHDQSVKELEILKERNTALEEELKELHGRYSEISLKFAEVEGERQQLVMTVRALKNSLRQAAG >ONIVA10G17000.2 pep chromosome:AWHD00000000:10:17409416:17416031:1 gene:ONIVA10G17000 transcript:ONIVA10G17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRGGGGGGGKAKAVPEVGWEAMMVVVTPRDAGRPTARTESAQVADGACQWPAPVYEATKLPSSGKDKIYQFLVYDTGSTKAALLGEATLNLAEYADAFKPWIVTLPLSGSPGAQLHVTIQRVVGGGGGGGGGCGDDASENGGDVSPVVARTPPRKTLQSQLSRCEDEEAEKARAAAAAADAMSPMQDGLVINKPPGMRFSARRNMRGPVDHLHNSNSFDAISVSGSDGSSGRFTPKNNASMHSTFLQEGTNTLSPLRNTLTSSGDWSGSSAPDASTDGSTSNSGEAGLREAEDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEVGSLRDERDALRRECEALRGMKKTIHDANGSGKRLSSGEDPWSQIEELKQELGHEKNLNGDLHLQLQKMQESNSELLLAVKDLDEMLEQKNKEISLLHEETLEDPQEAEYELALSNVHNAGHKIDISETSSVQEKEDELMLDALAKTTDGVATSELQNKIVELSNEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVESLENELQEQSKRLEADIQEVMRAKVEQEQRAIKAEESLRKARWNNATTAERLQEEFKMLSSQVSSAFSANEQLLMQARKEAAELQLQKGQLEELLQKAQEDLGSIQEQHRVKVQQLLTLVDFKSKETDRLVMELKSKSDEFQNQKRCNEAKLSVLSEEIDQLKAKIENLSNERDNLFEENEQKDKELAANCQKDMFLQDRDAEIALLNKELASIKDQVQTYLEEINTLKSSKNEKEEMIEKLQSEIRSLKFEYDNLKILMSTNDSEKHNLASQVLKLRRALESREDVKQNGVKSDEDNHHATSKRIKHDDGTTGSCNVLPSTNRHNANGDCNGHDRRDAAHDQSVKELEILKERNTALEEELKELHGRYSEISLKFAEVEGERQQLVMTVRALKNSLRQLDELYEMRTISRCSAEATGRI >ONIVA10G17000.3 pep chromosome:AWHD00000000:10:17409416:17416031:1 gene:ONIVA10G17000 transcript:ONIVA10G17000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRGGGGGGGKAKAVPEVGWEAMMVVVTPRDAGRPTARTESAQVADGACQWPAPVYEATKLPSSGKDKIYQFLVYDTVRSLSSPPPPTATDTAITHPPASRLPVMCSTICLTRRTMQGSTKAALLGEATLNLAEYADAFKPWIVTLPLSGSPGAQLHVTIQRVVGGGGGGGGGCGDDASENGGDVSPVVARTPPRKTLQSQLSRCEDEEAEKARAAAAAADAMSPMQDGLVINKPPGMRFSARRNMRGPVDHLHNSNSFDAISVSGSDGSSGRFTPKNNASMHSTFLQEGTNTLSPLRNTLTSSGDWSGSSAPDASTDGSTSNSGEAGLREAEDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEVGSLRDERDALRRECEALRGMKKTIHDANGSGKRLSSGEDPWSQIEELKQELGHEKNLNGDLHLQLQKMQESNSELLLAVKDLDEMLEQKNKEISLLHEETLEDPQEAEYELALSNVHNAGHKIDISETSSVQEKEDELMLDALAKTTDGVATSELQNKIVELSNEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVESLENELQEQSKRLEADIQEVMRAKVEQEQRAIKAEESLRKARWNNATTAERLQEEFKMLSSQVSSAFSANEQLLMQARKEAAELQLQKGQLEELLQKAQEDLGSIQEQHRVKVQQLLTLVDFKSKETDRLVMELKSKSDEFQNQKRCNEAKLSVLSEEIDQLKAKIENLSNERDNLFEENEQKDKELAANCQKDMFLQDRDAEIALLNKELASIKDQVQTYLEEINTLKSSKNEKEEMIEKLQSEIRSLKFEYDNLKILMSTNDSEKHNLASQVLKLRRALESREDVKQNGVKSDEDNHHATSKRIKHDDGTTGSCNVLPSTNRHNANGDCNGHDRRDAAHDQSVKELEILKERNTALEEELKELHGRYSEISLKFAEVEGERQQLVMTVRALKNSLRQLDELYEMRTISRCSAEATGRI >ONIVA10G16990.1 pep chromosome:AWHD00000000:10:17393775:17396321:1 gene:ONIVA10G16990 transcript:ONIVA10G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKAGGSCFTLATRLALANLDFNILLGDEIKLDDPDGPDPDRQPLGRCLCILLQSSSRLQSKVRLKSCIH >ONIVA10G16980.1 pep chromosome:AWHD00000000:10:17388727:17390921:-1 gene:ONIVA10G16980 transcript:ONIVA10G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPAKAAHALAAAAAGMVLLWCVHFRGGLALSSPTNKGLIFNVHPVLMLIGFIILGSEAIMGYKIWPWGHDTNKMVHLLLHAIALLLGSVGIYAAFKFHNESGIANLYSLHSWVGLGTICLYGVQWIFGFVTFFFPGASPSLRRAALPWHVRSGLLVYILALLAAELGFLEKLTFLEAGGLGRYSSEALLVNFTAVLVILLGSAVVMYVTSPMHNEHSHGYSAVRKP >ONIVA10G16970.1 pep chromosome:AWHD00000000:10:17387967:17388236:1 gene:ONIVA10G16970 transcript:ONIVA10G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYISEEYVAKRRAEKRAAAAAAAARMAGGDGEDGKARGGGGGGDSRRTRWTAAWADGSEKGKGSPGHVVGAVASGREDDVILSYFSA >ONIVA10G16960.1 pep chromosome:AWHD00000000:10:17384604:17385547:1 gene:ONIVA10G16960 transcript:ONIVA10G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRAMAGSVGRRTGDGVAERRTMAGSVGRRTGDVPLKDDDALAPGEGDRGAANIGGLYELYATLPPYGGGGGGSRGPFSSYAVAGRAVLVDAVLRVVGELVELLHPLQDEVVHGAIVGLGLHAVEGVHGGQVVAQLGDLAADTAELLVFLGQQLTNIAYEVLSRVLHASISLAWLAGS >ONIVA10G16950.1 pep chromosome:AWHD00000000:10:17378089:17379464:-1 gene:ONIVA10G16950 transcript:ONIVA10G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAPPAPAPEPTKDIAEERAAVPAPEESKAMTVVDDAEKAAATGGSHERDALLTTVATEKRISLIKAWEENEKAKADNKAAKKLADIASWENSKVAEIEAEIKKYQEYLERKKAEQVEKLMNGVAKVHRAAEEKRAATEARRGEEVVKAEEAAAKYRAKGEPPKKLLFG >ONIVA10G16940.1 pep chromosome:AWHD00000000:10:17371443:17376455:-1 gene:ONIVA10G16940 transcript:ONIVA10G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPRARYPPGYTSGGGGGGGGGGGGGGRGNGGGGFGGGGGGGGGNHGYYGRGPQPQPQQQHYHHQAQQLHQHQQQQQHAQRNSSSQQQQWLRRDQATAAAASGEVAARTAAQLEAVDSSSEDWKAQLNLPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTRKGICVLKDCSMLVMDEADKLLAPEFQPSIEQLIHFLPANRQLLMFSATFPVTVKDFKEKYLPRPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLVLFGALMTHLSLYSISEEVFSVGTDTNHFNCGLAFLAA >ONIVA10G16930.1 pep chromosome:AWHD00000000:10:17365642:17367216:-1 gene:ONIVA10G16930 transcript:ONIVA10G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVLDDPCHGSWRSNFPHLAVTLTCGCLVSGNAVRGFGGNHGVHSACVYYHKYRNWPFCLWGELNDTEKWTHLRSCLVKSDDCNGLSRRYKVPPLPHIFLLVTTDVLTIKSKVSPFPSISAMSILPVLFYSLQTLKQYKLADLTPMESGCCRPPAECGYPAVNASYFDLSYHPVSTNVDCKLYKNARSVLCYDCDSCK >ONIVA10G16920.1 pep chromosome:AWHD00000000:10:17362363:17363393:1 gene:ONIVA10G16920 transcript:ONIVA10G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHSLPSSIRRALPVAAHGLLELGTRTSSSWHRCAVAVTKRCILHTFRSPSPQLSTAARR >ONIVA10G16910.1 pep chromosome:AWHD00000000:10:17353449:17359175:1 gene:ONIVA10G16910 transcript:ONIVA10G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 2 [Source:Projected from Arabidopsis thaliana (AT4G00570) TAIR;Acc:AT4G00570] MSPPSVGPPPDNASTGRSRVLRARARVILTTHRSSPPLRSPPPRQGRGGGYPHRRCPHRGDPSKSPRTPGGDRLRLRPSTRHRHRHRHCGPSIPGRRAMWRHAARRSSAQIRRSGVMSSSSSPPAPAAAAGAAVPGPCIVHKRGTDILHDPWFNKDTAFPMTERDRLGLRGLLPPRVMSFEQQYDRFINSFRSLEHNTRGEPDTIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNTKLLEDKLYLGLRQPRLEGEEYLSVVDEFMEAVHARWPKAVVQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLSDFTKQKIVVVGAGSAGIGVLNMAKQAMLRMPGINRSGEGHNQFWVLDKDGLITKSRKGLDPAVARYARGFGPEEVQDLHEGASLVEVVRKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAIFAMSNPTTKAECTPEDVFKYVGDNAVFASGSPFSNVTLGNGRQGYANQANNMYLFPGIGLGALLSGARHITDGMLQSAAECLASYITDDEIRKGILFPSISSIRHITARVGAAVVRAAVDEDLAEGRCDVDARDLKSMTEADTVDFVARKMWYPIYSPLVNDK >ONIVA10G16910.2 pep chromosome:AWHD00000000:10:17353449:17359175:1 gene:ONIVA10G16910 transcript:ONIVA10G16910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 2 [Source:Projected from Arabidopsis thaliana (AT4G00570) TAIR;Acc:AT4G00570] MSPPSVGPPPDNASTGRSRVLRARARVILTTHRSSPPLRSPPPRQGRGGGYPHRRCPHRGDPSKSPRTPGGDRLRLRPSTRHRHRHRHCGPSIPGRRAMWRHAARRSSAQIRRSGVMSSSSSPPAPAAAAGAAVPGPCIVHKRGTDILHDPWFNKDTAFPMTERDRLGLRGLLPPRVMSFEQQYDRFSESTPSTSPNFRPRDHLISAALSNVACDALAACCVAVNSFRSLEHNTRGEPDTIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNTKLLEDKLYLGLRQPRLEGEEYLSVVDEFMEAVHARWPKAVVQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLSDFTKQKIVVVGAGSAGIGVLNMAKQAMLRMPGINRSGEGHNQFWVLDKDGLITKSRKGLDPAVARYARGFGPEEVQDLHEGASLVEVVRKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAIFAMSNPTTKAECTPEDVFKYVGDNAVFASGSPFSNVTLGALLSGARHITDGMLQSAAECLASYITDDEIRKGILFPSISSIRHITARVGAAVVRAAVDEDLAEGRCDVDARDLKSMTEADTVDFVARKMWYPIYSPLVNDK >ONIVA10G16900.1 pep chromosome:AWHD00000000:10:17350148:17351298:1 gene:ONIVA10G16900 transcript:ONIVA10G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGRGVDAGDPHIEGLGNWAASKHDRANGEHLVSRAKSQDVVGVDYLHHIKAVAGDAGGTALVAESGIRKLISFDAE >ONIVA10G16890.1 pep chromosome:AWHD00000000:10:17348700:17350127:1 gene:ONIVA10G16890 transcript:ONIVA10G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTAAALKFTVRRRPAELVAPAGPTPRELKKLSDIDDQDGLRFHIPVIQFYRRSAAMGGRDPAPVIRAAVARALVSYYPFAGRLRELEGRKLAVDCTGEGVLFIEADADVRLEHFGGALQPPFPCLEELVFDVPGSSEVLGSPLLLFQVTRLACGGFILAVRLHHTMADAQGLVQFLGAVAEMARGGAAAAPSVAPVWGREMLEARSPPRPAFAHREYDEVPDTKGTIIPLDDMAHRSFFFGAREVAAVRSHLAPGIRERATTFEVLTGCLWRCRTAALAPDDDEVMRMICIVNARGGGKSGGGAGMIPEGYYGNAFAFPVAVATAGELRARPLGYAVELVRAAKGEVSVEYMRSVADLMVQRGRPHFTVVRAYLVSDVTKAGFGDLDFGWGKPAYGGPAKGGVGAIPGVASFLIPFKNAKGEDGIVVPMCLPGPAMDKFVEEMGKLMKPAAAATAATRQQPADMFAMIKSAL >ONIVA10G16880.1 pep chromosome:AWHD00000000:10:17334176:17341776:-1 gene:ONIVA10G16880 transcript:ONIVA10G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLAHLRRRSSSLLLLHHNHHHHRGGGSAPPHPLLRPPPPPQSHPFQLLTPRAAMASVAQPGVAAGSAEYEEVLGCISSLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVGHFGRKVYKDKTGGDIPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRASELGVPLQVVQPLDPQQLDDQPLGLHGEHQYMNAGLAVALVNTWLQRQGHFNILHKKHSVTLPDQFIEGLSSACLQGRAQIVPDPEVLSKDSSSLIFYLDGAHSPESMEICAKWFSCVTRKDEQQPGPLDQLHIGTNSRKILLFNCMSVRDPQRLLPCLLATCAQNGLQFDHALFVPNQSQYNKLGSHASPPSERVQIDLSWQLSLQRVWEGLLHSNKGLNGSNSSTASSVFESLPLAIKWLRETAQQNQSTSYQAAATFKIDADTFAFVHFDQVLVTGSLHLVGDDKCNACDKTVHFIDLLTADSIPYHKSCFRCSHCKGTLSMCSYSSMDGVLYCKTHFEQLFKETGTFKKNFPSGTKANSEQAKIPSKLSSVFCGTQDKCTACKKTVYPLEKMTMEGECYHRTCFKCAHGGCLLTNASYASHNGILYCQNHFWQLFKKSGSYDNLLKPASAAAENTVESEVAVAEPAKEDPETEEAAKEEEEASPEQVAEAVVEDQEHS >ONIVA10G16880.2 pep chromosome:AWHD00000000:10:17334176:17341776:-1 gene:ONIVA10G16880 transcript:ONIVA10G16880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLAHLRRRSSSLLLLHHNHHHHRGGGSAPPHPLLRPPPPPQSHPFQLLTPRAAMASVAQPGVAAGSAEYEEVLGCISSLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVGHFGRKVYKDKTGGDIPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRASELGVPLQVVQPLDPQQLDDQPLGLHGEHQYMNAGLAVALVNTWLQRQGHFNILHKKHSVTLPDQFIEGLSSACLQGRAQIVPDPEVLSKDSSSLIFYLDGAHSPESMEICAKWFSCVTRKDEQQPGPLDQLHIGTNSRKILLFNCMSVRDPQRLLPCLLATCAQNGLQFDHALFVPNQSQYNKLGSHASPPSERVQIDLSWQLSLQRVWEGLLHSNKGLNGSNSSTASSVFESLPLAIKWLRETAQQNQSTSYQDKCNACDKTVHFIDLLTADSIPYHKSCFRCSHCKGTLSMCSYSSMDGVLYCKTHFEQLFKETGTFKKNFPSGTKANSEQAKIPSKLSSVFCGTQDKCTACKKTVYPLEKMTMEGECYHRTCFKCAHGGCLLTNASYASHNGILYCQNHFWQLFKKSGSYDNLLKPASAAAENTVESEVAVAEPAKEDPETEEAAKEEEEASPEQVAEAVVEDQEHS >ONIVA10G16880.3 pep chromosome:AWHD00000000:10:17334176:17341451:-1 gene:ONIVA10G16880 transcript:ONIVA10G16880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVGHFGRKVYKDKTGGDIPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRASELGVPLQVVQPLDPQQLDDQPLGLHGEHQYMNAGLAVALVNTWLQRQGHFNILHKKHSVTLPDQFIEGLSSACLQGRAQIVPDPEVLSKDSSSLIFYLDGAHSPESMEICAKWFSCVTRKDEQQPGPLDQLHIGTNSRKILLFNCMSVRDPQRLLPCLLATCAQNGLQFDHALFVPNQSQYNKLGSHASPPSERVQIDLSWQLSLQRVWEGLLHSNKGLNGSNSSTASSVFESLPLAIKWLRETAQQNQSTSYQDKCNACDKTVHFIDLLTADSIPYHKSCFRCSHCKGTLSMCSYSSMDGVLYCKTHFEQLFKETGTFKKNFPSGTKANSEQAKIPSKLSSVFCGTQDKCTACKKTVYPLEKMTMEGECYHRTCFKCAHGGCLLTNASYASHNGILYCQNHFWQLFKKSGSYDNLLKPASAAAENTVESEVAVAEPAKEDPETEEAAKEEEEASPEQVAEAVVEDQEHS >ONIVA10G16880.4 pep chromosome:AWHD00000000:10:17335627:17341776:-1 gene:ONIVA10G16880 transcript:ONIVA10G16880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLAHLRRRSSSLLLLHHNHHHHRGGGSAPPHPLLRPPPPPQSHPFQLLTPRAAMASVAQPGVAAGSAEYEEVLGCISSLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVGHFGRKVYKDKTGGDIPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRASELGVPLQVVQPLDPQQLDDQPLGLHGEHQYMNAGLAVALVNTWLQRQGHFNILHKKHSVTLPDQFIEGLSSACLQGRAQIVPDPEVLSKDSSSLIFYLDGAHSPESMEICAKWFSCVTRKDEQQPGPLDQLHIGTNSRKILLFNCMSVRDPQRLLPCLLATCAQNGLQFDHALFVPNQSQYNKLGSHASPPSERVQIDLSWQLSLQRVWEGLLHSNKGLNGSNSSTASSVFESLPLAIKWLRETAQQNQSTSYQAAATFKIDADTFAFVHFDQVLVTGSLHLVGDVLRLLKELRAKDIILILSGGLC >ONIVA10G16880.5 pep chromosome:AWHD00000000:10:17335741:17341776:-1 gene:ONIVA10G16880 transcript:ONIVA10G16880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLAHLRRRSSSLLLLHHNHHHHRGGGSAPPHPLLRPPPPPQSHPFQLLTPRAAMASVAQPGVAAGSAEYEEVLGCISSLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVGHFGRKVYKDKTGGDIPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRASELGVPLQVVQPLDPQQLDDQPLGLHGEHQYMNAGLAVALVNTWLQRQGHFNILHKKHSVTLPDQFIEGLSSACLQGRAQIVPDPEVLSKDSSSLIFYLDGAHSPESMEICAKWFSCVTRKDEQQPGPLDQLHIGTNSRKILLFNCMSVRDPQRLLPCLLATCAQNGLQFDHALFVPNQSQYNKLGSHASPPSERVQIDLSWQLSLQRVWEGLLHSNKGLNGSNSSTASSVFESLPLAIKWLRETAQQNQSTSYQVSRIAY >ONIVA10G16880.6 pep chromosome:AWHD00000000:10:17335741:17341776:-1 gene:ONIVA10G16880 transcript:ONIVA10G16880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLAHLRRRSSSLLLLHHNHHHHRGGGSAPPHPLLRPPPPPQSHPFQLLTPRAAMASVAQPGVAAGSAEYEEVLGCISSLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVGHFGRKVYKDKTGGDIPMPAYFRFLALLAFKIFSDEQVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRASELGVPLQVVQPLDPQQLDDQPLGLHGEHQYMNAGLAVALVNTWLQRQGHFNILHKKHSVTLPDQFIEGLSSACLQGRAQIVPDPEVLSKDSSSLIFYLDGAHSPESMEICAKWFSCVTRKDEQQPGPLDQLHIGTNSRKILLFNCMSVRDPQRLLPCLLATCAQNGLQFDHALFVPNQSQYNKLGSHASPPSERVQIDLSWQLSLQRVWEGLLHSNKGLNGSNSSTASSVFESLPLAIKWLRETAQQNQSTSYQVSRIAY >ONIVA10G16880.7 pep chromosome:AWHD00000000:10:17334178:17335567:-1 gene:ONIVA10G16880 transcript:ONIVA10G16880.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSGTQDKCNACDKTVHFIDLLTADSIPYHKSCFRCSHCKGTLSMCSYSSMDGVLYCKTHFEQLFKETGTFKKNFPSGTKANSEQAKIPSKLSSVFCGTQDKCTACKKTVYPLEKMTMEGECYHRTCFKCAHGGCLLTNASYASHNGILYCQNHFWQLFKKSGSYDNLLKPASAAAENTVESEVAVAEPAKEDPETEEAAKEEEEASPEQVAEAVVEDQEHS >ONIVA10G16870.1 pep chromosome:AWHD00000000:10:17325517:17326811:-1 gene:ONIVA10G16870 transcript:ONIVA10G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48880) TAIR;Acc:AT3G48880] MGENKWMGKRWEDMDTDVLVKIFKELNLVELSPVSQVCRLWRLACSDPLIWSTLDFGLLKSNYIQTRASPYIWVDDRSDKRLSRILRVAMSISYGNVSCLIFHYNLYMKDEHLHYISERSPHLKRLVMPAWNRITKFGICQAIQRWEELESLTMPTIGHPPYIMEEIARSCKNFAELKVMGSFDDLFASAIATHLPKLKVLSLRCSKVTMSALLWLLDNMANLEVLNISHCLLFEIVANGRRQVIHELDDQTLEKASRLREFHHCQSRSCLACQRMMADEGIMRWYRYEDWFWRRDEVSSLDLQDYGKLFDAECEALTAVE >ONIVA10G16860.1 pep chromosome:AWHD00000000:10:17321295:17323502:-1 gene:ONIVA10G16860 transcript:ONIVA10G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAELWETLKQAIVAYTGLSPAAFFTAVAAAAALYHVVSGIFAGPPPPPPRPRDEPEAEPLPPPVQLGEVSEEELRQYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMGKYVKVGTVKKTVPVEDGAPSTSPETTETAAAAEPEKAPATEEKPREVSSEEVKEKEDAVAAAAPDEGAKESES >ONIVA10G16850.1 pep chromosome:AWHD00000000:10:17318986:17320019:-1 gene:ONIVA10G16850 transcript:ONIVA10G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGYAARRANGDAFLASLGVDPGELAGLELPATVDVMHERFEFLHSLERVKFLHSLGLSARRDYGGDISLRQLRLISPPLLRRPRAAPPPAAHDSSPAARGSFPRRSCPSLRASRPCRQQWRRPPLSLPSSRRPRWLTLRLVPAVTSLAAAPFPPGLARVYRCRVRRRRWRQRLTLRLVPVAPSPPSLAPVHRRRFNI >ONIVA10G16840.1 pep chromosome:AWHD00000000:10:17314564:17318189:-1 gene:ONIVA10G16840 transcript:ONIVA10G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSAACARPAVVVFASPAAARRRAASSVYLPGRPLRGGGVVRCSAGPVSGGMISKKVAELWAAARSASPVAVIAAVAGAAVVYKVGSSLLAPPPPPARPREEPSEEAPPPPEPVQVGEITAEELLQYDGSDPEKPLLMAIKGQIYDVSQSRLFYGPGGPYALFAGKDASRALAKMSFEPQDLTDDISGLSLLELSALQDWEYKFSSKYVKVGTIKKVLVEQGGDSTADAIEEAAVDGEDSILTAKMSNQLLYEEEMEVT >ONIVA10G16840.2 pep chromosome:AWHD00000000:10:17314564:17318189:-1 gene:ONIVA10G16840 transcript:ONIVA10G16840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSAACARPAVVVFASPAAARRRAASSVYLPGRPLRGGGVVRCSAGPVSGGMISKKGKICSSSSRVGIFFAWKNLTVAVAVAVAELWAAARSASPVAVIAAVAGAAVVYKVGSSLLAPPPPPARPREEPSEEAPPPPEPVQVGEITAEELLQYDGSDPEKPLLMAIKGQIYDVSQSRLFYGPGGPYALFAGKDASRALAKMSFEPQDLTDDISGLSLLELSALQDWEYKFSSKYVKVGTIKKVLVEQGGDSTADAIEEAAVDGEDSILTAKMSNQLLYEEEMEVT >ONIVA10G16840.3 pep chromosome:AWHD00000000:10:17316100:17318189:-1 gene:ONIVA10G16840 transcript:ONIVA10G16840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSAACARPAVVVFASPAAARRRAASSVYLPGRPLRGGGVVRCSAGPVSGGMISKKGKICSSSSRVGIFFAWKNLTVAVAVAVAELWAAARSASPVAVIAAVAGAAVVYKVGSSLLAPPPPPARPREEPSEEAPPPPEPVQVGEITAEELLQYDGSDPEKPLLMAIKGQIYDVSQSRLFYGPGGPYALFAGKDASRALAKMSFEPQDLTDDISGLSLLELSALQDWEYKFSSKYVKVGTIKKVLVEQGGDSTADAIEEAAVDGEDSILTAKMSNQLLYEEEMEVGSDDP >ONIVA10G16830.1 pep chromosome:AWHD00000000:10:17308808:17311511:-1 gene:ONIVA10G16830 transcript:ONIVA10G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IUW0] MASTTSATAAGGAFAAAKTRAGSSAAGGGACARVAAGGRRRSGVVVRCDAGVEAQAQAQAVAKAASVAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAISELTSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRCETNISSGAVSVSSAAVELALMKLPKSECLSARMLLIGAGKMGKLVVKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLTEMYEAAAEADVVFTSTASETPLFTKEHAEALPAISDAMGGVRLFVDISVPRNVSACVSEVGHARVYNVDDLKEVVEANKEDRLRKAMEAQTIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDALTKKMRRSIEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAIIEQKIKAKVEKSQN >ONIVA10G16820.1 pep chromosome:AWHD00000000:10:17303721:17303987:-1 gene:ONIVA10G16820 transcript:ONIVA10G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLPPSIRRGMLVTFFLACFFLAELAGFSHGRRVMEDKEDDHSEASSEEQLYELPRTRGRPFVSAPSPAYEASDRPVPQGSNPLHN >ONIVA10G16810.1 pep chromosome:AWHD00000000:10:17301551:17301820:1 gene:ONIVA10G16810 transcript:ONIVA10G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGGGGGGILSYEKLEGYAIWVGASVASAFFASMESCSCIHVHTADDEGDDYDPEEAKDRPLMLSRPQALPEYYYDRSASSASFAKM >ONIVA10G16800.1 pep chromosome:AWHD00000000:10:17297590:17299937:-1 gene:ONIVA10G16800 transcript:ONIVA10G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G53490) TAIR;Acc:AT5G53490] MASTSSCLASPATAAAAPRLRVRVTPRAGRVVACSAGGGGGPEAAGLFAGERKAVGGLACGVLAAWAVASSSSPVIAASQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKTNLKGKSLAAALMSDSKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFEKADLQGAIFRNTVLSGSTFDDAKMQDVVFEDTIIGYIDLQKLCTNTSISADSRLELGCR >ONIVA10G16790.1 pep chromosome:AWHD00000000:10:17295435:17298809:1 gene:ONIVA10G16790 transcript:ONIVA10G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRGRFRRCRRWPRCRRRCGRSRRRGSWRRCGAGWCRGRPGRARLRRWSRRWRPCRWASTGAAAGGAAEAARGAGDAMAWVIRKVHLESPDLAVGLLGLVASCLGTVMEAEMDRIKRKNVEPSASAAAAASNAAPDNDGGDTDQIEDADAEMPELVELDMETELWSRIGIMHSDDDTPVFVDDEDGLQEIIDIARVHRRKAAYERIIATAADVNSLILSNYAQLLYQFDKDLDRAEDYFKQAVAAEPVDGEAMRRYALFMWHARGDLAGAEDMFTRAIDEEPQSSQHRSSYAWFLWMTGGVETCLIDSGNDTE >ONIVA10G16780.1 pep chromosome:AWHD00000000:10:17292777:17293521:-1 gene:ONIVA10G16780 transcript:ONIVA10G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHVSDTELENLVPFTAGLGNGPTPNTVSVFRSTAPFARSPESGHLAAAAAAAASLRLGMSRRVPALSQPRATGAPRSEYATEARGGRAGGSGAEDARHVFDELLRRGRGASIYGLNRALTAVARDSPAAAVACYNRMARSGAKKVVAPDLCTHNILISCCCRAGRLDVLGFRVNAITINTLLKGLCAEKRTNDALDIVLRRMAELGCIPNVFSYSILLKGLCDEERSQ >ONIVA10G16770.1 pep chromosome:AWHD00000000:10:17291391:17292755:-1 gene:ONIVA10G16770 transcript:ONIVA10G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGRCPPDVVSYNTIIDGLFKEGDVDKAYITYHEMLDRRVPPDAVTYNSIIAALSKAQAMDRATEVLTVMVMPNCFTYNSIMHGYCSSGQSEKAIGIFRKMCSDGIEPDVVTYNSLMDYLCKNGKCTEARKIFDSMVKRGLKPDITTYGTLLHGYASKGALVEMHDLLALMVQNGMQLDHHVFNILICAYTKQEKVDEVVLVFSKMRQQGLTPNAVNYRTVIDGLCKLGRLDDAMLNFEQMIDKGLTPNVVVYTSLIHALCTYDKWEKAEELIFEILDQGINPNIVFFNTILDSLCKEGRVIESKKLFDLLGHIGVNPDVITYSTLIDGYCLAGKMDGAMKLLTGMVSVGLKPDSVTYSTLINGYCKISRMDDALALFKEMESNGVNPDIITYNIILHGLFRTRRTAAAKELYARITESGTQLELSTYNIILMDFAKTNSLMMHFGCFRTYV >ONIVA10G16760.1 pep chromosome:AWHD00000000:10:17288305:17291190:-1 gene:ONIVA10G16760 transcript:ONIVA10G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGCTADSLTLNCIVRKLLHKREVSKAEVYLSKIDKHNFHLEASTVESLVLLVSNRECDQYMKFLPEKYRPVLKSRAGWCKLKEERKTFCYYRVFSIEVVLSSVGDLV >ONIVA10G16750.1 pep chromosome:AWHD00000000:10:17279127:17283175:1 gene:ONIVA10G16750 transcript:ONIVA10G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALMRRAARAPPAACGLRRFMQEQTAFRPAAVPVVPPERRGFIPLADRIRDLGAAFPRINLDGLVPPAPPQTHPTVAREERASASAMAGLTVEEARKVLRATQMEAARARLRASGAGTVPYAEFLRLCCDAAGAESGASVARALDESGSVIVLGKTVFLRPEMLACHERNTRWFLVVKAIEKAIPIPRAQPIALDGPAREELKAMEAQKVEIDRTAALQVRRELWLGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYTFFLRTKKEPSFEGFFESRFAAKQKRLMHARDFDLRRYDELRRACGLPVVRTPTSPCRPSSSSSSSSTQESHCHSYCHCQ >ONIVA10G16740.1 pep chromosome:AWHD00000000:10:17264468:17266327:-1 gene:ONIVA10G16740 transcript:ONIVA10G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETREAAADATAAHGGCGWPAGIAAGMASSAMAQPATSMTSAWSVAGGAGVARQGQVLSEQLRCHTPEVGNAYRIHQAVDSLPQGVLAETLVLGGRGSAVVGLPRRHPCRQVEGRDVDPFGHSLTGDHLRQKWWWRGQHWSCRLGIGFSSFLLGVHPRVGVGKEDQTEMTETETETKLTETEKFESALSTNRI >ONIVA10G16730.1 pep chromosome:AWHD00000000:10:17263858:17264225:-1 gene:ONIVA10G16730 transcript:ONIVA10G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSHSSASRRPFLYLPWAIASAPPLPTPALPAAAARSAGDWRRRVGSRRQRVRMTALRGTALAGAAAAGWALPGIPLLWWLASSSYPHPGGWDLELPVVGRMVEIDGTQVMARSI >ONIVA10G16720.1 pep chromosome:AWHD00000000:10:17243769:17243975:1 gene:ONIVA10G16720 transcript:ONIVA10G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPAGIARAAEDDGSYTGGGGQWERRRRRRPVGAMTMATSNPCARGDDNGGFVPCQPRLPRQPSAI >ONIVA10G16710.1 pep chromosome:AWHD00000000:10:17241404:17241930:-1 gene:ONIVA10G16710 transcript:ONIVA10G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLTSVHRQLRPPESCPHPARLPPSLTSSAQTSSSSPGRRHRMSALVKPGQPQDPTPSLIAGVIKGEIPHFVHAI >ONIVA10G16700.1 pep chromosome:AWHD00000000:10:17240452:17240772:-1 gene:ONIVA10G16700 transcript:ONIVA10G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IUU7] MESSRGKPGLNGSGGGAAAFDYSSRRGYYTGAGAALPPLAAGSRAPPVDPCCVALRVFVLLGTLASAVVMAADRQSTTVQIAAGEELAPPLRVPVTAKWTYSSAFV >ONIVA10G16690.1 pep chromosome:AWHD00000000:10:17236901:17237209:-1 gene:ONIVA10G16690 transcript:ONIVA10G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IUU6] MVFAFSAAALAAVRRRSAVVPVMVGDLVAMALLFSAVGAAAQFGLLGERGNAHVRWAKVCDVYGPFCERAMAAVVVALIAAFADLVLLMLTILTIHKASSYY >ONIVA10G16680.1 pep chromosome:AWHD00000000:10:17230886:17235414:-1 gene:ONIVA10G16680 transcript:ONIVA10G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVEGGGGAKGGRRGGGEEGEGEGEGSFGGRLRREGGWRWRRWPDADLRRARRTARRRRTVGLATAGGAVKVMAAASQWTTFLVPLRPARVDLLPGNAGCRPSWLDLPALVYDGTKFCNGVVLGTIGVGAILCLLPQPTSTCLQGTCACTVYLSLRSYCEGGDISLQASVKVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKN >ONIVA10G16680.2 pep chromosome:AWHD00000000:10:17230886:17235414:-1 gene:ONIVA10G16680 transcript:ONIVA10G16680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVEGGGGAKGGRRGGGEEGEGEGEGSFGGRLRREGGWRWRRWPDADLRRARRTARRRRTVGLATAGGAVKVMAAASQWTTFLVPLRPARVDLLPGNAGCRPSWLDLPALVYDGTKFCNGVVLGTIGVGAILCLLPQPTSTCSLQASVKVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKN >ONIVA10G16680.3 pep chromosome:AWHD00000000:10:17230886:17234681:-1 gene:ONIVA10G16680 transcript:ONIVA10G16680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSDEWSREGNGRRQPVDHLLGPPTTCARRSTTGERRMQAVVAGSTSAGVRRHEVLQWRRAWDNRSWCDFVPFATTNLNMVNFDALLACANQQMSLMVSPNNPCIIEDKDDLLAGYLCVHCASVKVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKN >ONIVA10G16680.4 pep chromosome:AWHD00000000:10:17230886:17234681:-1 gene:ONIVA10G16680 transcript:ONIVA10G16680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSDEWSREGNGRRQPVDHLLGPPTTCARRSTTGERRMQAVVAGSTSAGVRRHEVLQWRRAWDNRSWCDFVPFATTNLNMVNFDALLACANQQMSLMLAGYLCVHCASVKVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKN >ONIVA10G16680.5 pep chromosome:AWHD00000000:10:17230886:17234486:-1 gene:ONIVA10G16680 transcript:ONIVA10G16680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQWTTFLVPLRPARVDLLPGNAGCRPSWLDLPALVYDGTKFCNGVVLGTIGVGAILCLLPQPTSTCLQGTCACTVYLSLRSYCEGGDISLQASVKVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKN >ONIVA10G16680.6 pep chromosome:AWHD00000000:10:17230886:17234486:-1 gene:ONIVA10G16680 transcript:ONIVA10G16680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQWTTFLVPLRPARVDLLPGNAGCRPSWLDLPALVYDGTKFCNGVVLGTIGVGAILCLLPQPTSTCSLQASVKVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKN >ONIVA10G16670.1 pep chromosome:AWHD00000000:10:17230060:17231422:1 gene:ONIVA10G16670 transcript:ONIVA10G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyethylthiazole kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G24030) TAIR;Acc:AT3G24030] MDEERTAAWWGRRAWELLSAVRARAPLVHCVTNLVSMDIAANALLAAGASPAMVHSLREVPEFTPRCDAVCVNVGTLSEGWLPSMRAAASAGRPWVLDPVAAAASEFRMEACLSLLALRPAVVRGNASEILALASRSLAASSSSSTTTFKGADSTHDSGDALQDAKALARSTGAVIAVSGAVDYVTDGERVVGVSNGVAMMQKITATGCAATALIAAFLAVVEEPSDAMAAAACALAVFGLAGEIGMESGAKGPASLRMHLIDALYCLDVQTVTSRVKISLHS >ONIVA10G16660.1 pep chromosome:AWHD00000000:10:17226156:17226551:-1 gene:ONIVA10G16660 transcript:ONIVA10G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLIYCVAARRARSMLPEPTSGEGEQLELVPSLDLPAKLAADLVTISHFVLPSLPSFPILCRSPRGSPTDLVPRSYAAIIVAVSCSMPLTSRRSRRCPIELGFLRAICAAMERHLGVVAGVGEREGWWWP >ONIVA10G16650.1 pep chromosome:AWHD00000000:10:17212860:17224668:-1 gene:ONIVA10G16650 transcript:ONIVA10G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) TAIR;Acc:AT3G05040] MAADPAVASAVAAISAVMDWRSSPDARSAAFAYLESVKTGDVRALANTSFLLVRKDQSSEVRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLIPEVVGPHEEWALKSQTAALVAEVVRREGVALWNTLLPSIVSLSNNGPIEAELVAMILRWLPEDITVHNEDLEGDRRRALLRGLTESLPQILPLLYSLLEKHFVAALSAHTNQQMELAKQHVGTITAVLNAANAYAEWAPVTDLAKYGLIHGKRPLDVAIVEYDAAMSNIFQLLMNIAQDFLVRSKMQPNVIDDNEYEFAMCICETMVALGSSNMQCILADVARTLHFLQQMLEYYQHYKITLHFQSLLFWLVVLREPSKAKSVARVSSDTPAAGNSASTGGGSTEREKKGVSVLITDEMYSTILDVTFKRMLKKSTSASSGLLELWSEELEGKSDFCNYRAKLLDLIKVIASQRPGITATSIVQRINIVFGDANEATKSSQDLDAMEGAQLGLEAVVSAIFDGSSDYSKIDQDTKFQIHRIFEGLLQQLLSLKWSQPNLAVIHGHYLDSLGPFLRHYPDAVACIVNKLFEILTSLPITIQDPSNNFRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPINKMWTQVEWQNAYLSDPSGLTHMFADSQFMWSIYHNITLFEKALKRGGSKKSAAAPQALATTVVTANLHPMCSHLPWILPPLLRLLRCIHMLWAEPFSQSLAGEVKAAKSMTVAEQTSLLGETNKLTKGQVASADGLLDVQREGESKENTIRNWLRGIRDSGYNVIGLSASLGDPFFRCIEGSSITAALMENVQAMEFRHLRQLIHLQALDFSWSSLLREGRAKVPDNFGNLSGSDLKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPSLEQLGPANRINSSLKDLELFVSSSITGFLMLNVSTAVPALRITVEVFSWTDSEAVTKIIPFCGALIHLAVATNRAELSQFVAKDLFSSILHGLSVELNSITSSELVGLCREIYIYLSDREPAPRQVLLSLPHMKQEDLLAFDESLSKTASPKDQKLLMRSLLLLASGNKLRALVGQKATNLETGALLHAMDLVLRKMTTLD >ONIVA10G16650.2 pep chromosome:AWHD00000000:10:17212860:17224668:-1 gene:ONIVA10G16650 transcript:ONIVA10G16650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) TAIR;Acc:AT3G05040] MAADPAVASAVAAISAVMDWRSSPDARSAAFAYLESVKTGDVRALANTSFLLVRKDQSSEVRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLIPEVVGPHEEWALKSQTAALVAEVVRREGVALWNTLLPSIVSLSNNGPIEAELVAMILRWLPEDITVHNEDLEGDRRRALLRGLTESLPQILPLLYSLLEKHFVAALSAHTNQQMELAKQHVGTITAVLNAANAYAEWAPVTDLAKYGLIHGKRPLDVAIVEYDAAMSNIFQLLMNIAQDFLVRSKMQPNVIDDNEYEFAMCICETMVALGSSNMQCILADVARTLHFLQQMLEYYQHYKITLHFQSLLFWLVVLREPSKAKSVARVSSDTPAAGNSASTGGGSTEREKKGVSVLITDEMYSTILDVTFKRMLKKSTSASSGLLELWSEELEGKSDFCNYRAKLLDLIKVIASQRPGITATSIVQRINIVFGDANEATKSSQDLDAMEGAQLGLEAVVSAIFDGSSDYSKIDQDTKFQIHRIFEGLLQQLLSLKWSQPNLAVIHGHYLDSLGPFLRHYPDAVACIVNKLFEILTSLPITIQDPSNNFRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPINKMWTQVEWQNAYLSDPSGLTHMFADSQFMWSIYHNITLFEKALKRGGSKKSAAAPQALATTVVTANLHPMCSHLPWILPPLLRLLRCIHMLWAEPFSQSLAGEVKAAKSMTVAEQTSLLGETNKLTKGQVASADGLLDVQREGESKENTIRNWLRGIRDSGYNVIGLSASLGDPFFRCIEGSSITAALMENVQAMEFRHLRQLIHLQALDFSWSSLLREGRAKVPDNFGNLSGSDLKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPSLEQLGPANRINSSLKDLELFVSSSITGFLMLNVSTAVPALRITVEVLLSLPHMKQEDLLAFDESLSKTASPKDQKLLMRSLLLLASGNKLRALVGQKATNLETGALLHAMDLVLRKMTTLD >ONIVA10G16640.1 pep chromosome:AWHD00000000:10:17206359:17209437:-1 gene:ONIVA10G16640 transcript:ONIVA10G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSSISAQPAPGCQSHCGDMEIPYPFGIGTECAIEPGFVIYCNKTADGSMKPFLINVEVLNISLLHGQTRALNALSTYCYNDVTKSMESSRWSLDFSTWPYRFSNLHNKFVVIGCNTLSYIYNGEYTTACASVCAKAPTNDSCDGVGCCQNNIAKGLNSYNVTFFTVYNDSSNLQSNPCSYAALVETDMFRFKTEYVTTMKFNETYNGQQPVVLDWAIGKVGCKEANMTSYACRSKHSECVDSINGPGYLCNCTLGYHGNPYITDGCIDVNECEQNQSPCPKGATCRNTEGWYHCSCPVGRKLAKETNTCNPDISLIIGVSIGSIVLVIIIFFVRIIFERRKLTDVKKKYIQEHGGLLLFEKMKSDQGLAFKVFTQAELEQATNKFEKSQILGHGGHGTVYKGITKDNITVAIKKCALIDDRHKKEFGKEMLILSQINHKNIVKLMGCCLEVDVPMLVYEFIPNGTLFDLIHGKNRTLHIPFSSLLRIVNEAAEGLAFLHSYANPPILHGDVKTSNILLDENYMAKVSDFGASILAPNDEAQFVTMVQGTCGYLDPEYLQTCQLTEKSDVYSFGVVILEILTGQMPLKLEGSELQKSLSSSFLLAMKENNLEAMLDSQIKGHESMELLSGLAELAKQCLDMCSENRPSMKDVAEEISRLRKLSKHPWIQRDSETEGYLSGPSTSNFEIEQSTEYTRKDEQMPINPSTSYFIR >ONIVA10G16630.1 pep chromosome:AWHD00000000:10:17195136:17199842:1 gene:ONIVA10G16630 transcript:ONIVA10G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAMLLATMRLLIMCLTTYVVILGTSGAPPSAEELAHCPKTCGDVNISYPYGVGNGCFRPGGDFELTCDDTTKPPKLFLGNTTEIHDIYADGYVNASIIFNIDTTPGVLGTYNRSWEAPGRSLYIEEGRIKLLVIGCGIEVNMFHANSDESLGYCSSTCHGIAVMQKEAVGMSCSGIGCCTITFHTTISAFRFSVAQTEAAQQLPSLFANATIKAFLADVDAYVGPSDQYNFSITDLLARNIDASTIGTSTYYSLSTVIADHPSCATARLDAKSYACGHYSCSDVPNQGYRCKCPNRMSDSNNPYVLDGCKEEYEPTQNRQDCSRSCGNMTIPFPFGLQEECSANRKFLLNCTSKQAFIGGSFTQYQVTNISLDQGLLFVNFSQHEEAYSDLVEITRDDDLGGGVEFWIDEFNDFDVSQHYGIWKWFVTNVTCEKAKKSSDYACISANGECTGVTHGHVHLGYRCKCSTGYEGNPYILTSVRYPMIARECATTNQEDTVALVALMAHLLIQQEGNALPPSNIILSWRGIQKKIRRAYFHKNKGLLLEQLISCDDSVAYKTKIFCLDELEKATNNFDSTRILGSGGHGTVYKGILSDQRVVAIKKSKIVEQSEIDQFVNEVAMLSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHGNLQSKCLLTWWNRIRIALEAASALAYLHCAASVPIFHRDVKSANILLDDNFTTKVSDFGASRSVSIDETHVVTIVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILIELLTRKRPIFLNSIGEKQNLCHHFLQRQQNNTTTEIVDAQVLEEADQWEIDEIASLAEICLRLRGEERPTMKEVELRLQLLRSKVAKKKNRVEVSRENEIDPLLLYTPSSSSVNPRDFNSASHDDATRCYTMEQELVSWTNLPR >ONIVA10G16630.2 pep chromosome:AWHD00000000:10:17195136:17199842:1 gene:ONIVA10G16630 transcript:ONIVA10G16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAMLLATMRLLIMCLTTYVVILGTSGAPPSAEELAHCPKTCGDVNISYPYGVGNGCFRPGGDFELTCDDTTKPPKLFLGNTTEIHDIYADGYVNASIIFNIDTTPGVLGTYNRSWEAPGRSLYIEEGRIKLLVIGCGIEVNMFHANSDESLGYCSSTCHGIAVMQKEAVGMSCSGIGCCTITFHTTISAFRFSVAQTEAAQQLPSLFANATIKAFLADVDAYVGPSDQYNFSITDLLARNIDASTIGTSTYYSLSTVIADHPSCATARLDAKSYACGHYSCSDVPNQGYRCKCPNRMSDSNNPYVLDGCKEEYEPTQNRQDCSRSCGNMTIPFPFGLQEECSANRKFLLNCTSKQAFIGGSFTQYQVTNISLDQGLLFVNFSQHEEAYSDLVEITRDDDLGGGVEFWIDEFNDFDVSQHYGIWKWFVTNVTCEKAKKSSDYACISANDIDECSIPNDCKGMCHNQSGGYSCTSCPHGTSFDPAGRKCTSTKQHNIILGISQFNRFLFNQITVKWKRGIQKKIRRAYFHKNKGLLLEQLISCDDSVAYKTKIFCLDELEKATNNFDSTRILGSGGHGTVYKGILSDQRVVAIKKSKIVEQSEIDQFVNEVAMLSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHGNLQSKCLLTWWNRIRIALEAASALAYLHCAASVPIFHRDVKSANILLDDNFTTKVSDFGASRSVSIDETHVVTIVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILIELLTRKRPIFLNSIGEKQNLCHHFLQRQQNNTTTEIVDAQVLEEADQWEIDEIASLAEICLRLRGEERPTMKEVELRLQLLRSKVAKKKNRVEVSRENEIDPLLLYTPSSSSVNPRDFNSASHDDATRCYTMEQELVSWTNLPR >ONIVA10G16630.3 pep chromosome:AWHD00000000:10:17195136:17199842:1 gene:ONIVA10G16630 transcript:ONIVA10G16630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAMLLATMRLLIMCLTTYVVILGTSGAPPSAEELAHCPKTCGDVNISYPYGVGNGCFRPGGDFELTCDDTTKPPKLFLGNTTEIHDIYADGYVNASIIFNIDTTPGVLGTYNRSWEAPGRSLYIEEGRIKLLVIGCGIEVNMFHANSDESLGYCSSTCHGIAVMQKEAVGMSCSGIGCCTITFHTTISAFRFSVAQTEAAQQLPSLFANATIKAFLADVDAYVGPSDQYNFSITDLLARNIDASTIGTSTYYSLSTVIADHPSCATARLDAKSYACGHYSCSDVPNQGYRCKCPNRMSDSNNPYVLDGCKEEYEPTQNRQDCSRSCGNMTIPFPFGLQEECSANRKFLLNCTSKQAFIGGSFTQYQVTNISLDQGLLFVNFSQHEEAYSDLVEITRDDDLGGGVEFWIDEFNDFDVSQHYGIWKWFVTNVTCEKAKKSSDYACISANGECTGVTHGHVHLGYRCKCSTGYEGNPECATTNQEDTVALVALMAHLLIQQEGNALPPSNIILSWRGIQKKIRRAYFHKNKGLLLEQLISCDDSVAYKTKIFCLDELEKATNNFDSTRILGSGGHGTVYKGILSDQRVVAIKKSKIVEQSEIDQFVNEVAMLSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHGNLQSKCLLTWWNRIRIALEAASALAYLHCAASVPIFHRDVKSANILLDDNFTTKVSDFGASRSVSIDETHVVTIVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILIELLTRKRPIFLNSIGEKQNLCHHFLQRQQNNTTTEIVDAQVLEEADQWEIDEIASLAEICLRLRGEERPTMKEVELRLQLLRSKVAKKKNRVEVSRENEIDPLLLYTPSSSSVNPRDFNSASHDDATRCYTMEQELVSWTNLPR >ONIVA10G16620.1 pep chromosome:AWHD00000000:10:17168103:17176053:1 gene:ONIVA10G16620 transcript:ONIVA10G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAIYATSHHASCDDVSLTIRWPSGGPPPSAEDLAHCPKTCGEPNISYPFGIRKGCFRPGGGFELNMRRHHHLYPPKLFLGNTTEIHDTLSEGGHVNASVIFNIATSTTPHRR >ONIVA10G16610.1 pep chromosome:AWHD00000000:10:17162632:17167421:1 gene:ONIVA10G16610 transcript:ONIVA10G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSVHGDEQQSAATHCVAATPSTYSLAEGAPDLALSSGGTAARELRSSVGCKSKERKNLGDA >ONIVA10G16600.1 pep chromosome:AWHD00000000:10:17156489:17160677:1 gene:ONIVA10G16600 transcript:ONIVA10G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVLMLLAAAMAASGAFRPASGSPPSPGDVARCPKSCGAVDFFYPFGIGPGCFRPGFELICNTTTTPPMLFLGNTTTNMNIVKQDPYSVIASIVFNIATTPGPVRRTYNMSWQSPGRNLNIDPEMIRSLVVVGCGVEAYMFDDGPDHGGIIGYCASVCADAVTMRREADGRGCSGLGCCSISSREPFKENPSGPSTGANATSITAFLENMYRPYHFSMGDLLYETTNNNSAIGASSTIYLSVVVADQPNCQRARLDPKLYACGVHDCRDDETGGYICTCTNEFDVRGNPYLIGGCTEEYNPNQDKLTCSRSCGNTSIPFPFGLETGCSANKKFLLNCTSNQALIGTSPAEYQVTNISVGEGLLFVSKPLDLQDADTKMYAVHRNRDDWLTIRFIYDLADFDFSQQYGVWKWCEMAKKKDPYACVSAHSECSTVTHGQIFIGYRCSCSAGYGGNPYVRDGCRGATAGLTCGIGVLVVILGSAAFVRRWKIHIQKKTRRAYFKKNKGLLLEQLVSSDGSVSHSTKIFSLDELEKATNKFDSTRVVGRGGHSTVYKGILSDQRVIAIKKSQIIHQSEIDQFVNEVAILSQVNYRNVVKLFGCCLESEVPLLVYEFISNGALYDVLHSDLSVECLLSWDDRVRIAFEAASALAYLHSAASIPIFHRDIKSANTLLNDNFSAKVSDFGASRSIPIDETHVVTNIQETFGYLDPEYYSTGILTEKSDVYSFGVILVELLTRKKHVFLNCFGEKQNLCHYFLDMLRDKTAIEIVDCQVVAEASQIEIYEMASLAEICLRPRREDRPTMKGVEMKLQVLRAMIKSQPNAQPYNNDVETLLPSRSNLTYQTEHPNPSYHCEVTRCYTMEQELTSWADLPR >ONIVA10G16590.1 pep chromosome:AWHD00000000:10:17151260:17151589:-1 gene:ONIVA10G16590 transcript:ONIVA10G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQMEDMSQPENSDIAKMLKYTEARFAQYRKDTNRKLVLHKKRIFELEDGPWKLKGEPSSKEDDYRRCEAYSSCQASLHWNYFSHGRSIPVKMSQLRSPKFKGRMEKF >ONIVA10G16580.1 pep chromosome:AWHD00000000:10:17098811:17106387:1 gene:ONIVA10G16580 transcript:ONIVA10G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMTERLHLHLSPGDVANRLELITKVYGLDRAVEYFDSMPDQLKQQQCYGSLLKCYAEANCVEKAEELFEKMRGMGMASSYAYNVMMRLYLQNGQVERVHSMHQAMEESGIVPDVSTTHTLVAVLRKKKTLVAAIGVLLKMNDMVGAEKAYEEWESKHVYHDSRLINLLVDAYCKEGLMDKAEALVDQFIKKGRTPFGNTCYKLAGGYFKVGQASKAADLTKKALASASNEWIPDLTNVLMSLNYFAEQKNVEAAEEMMSLLQRLVTPTRDIYHGLLKTYVNAGKPVSDLLRRMKKDGMEADEETEKILAGEWCLAEERPIAKPEIQSIIKYLCRRRRFSQALQLSMWMTERLHLHLSPGDVAYRLELITKVHGLDRAVEYFDSMPDQLKQQQCYGSLLKCYAEAKCVEKAEELFEKMRGMGMASSYAYNVMMRLYLQDGQVERVHSMHRTMEESGIVADVFTTDTLVAAYVVAEDIEAIEKVLEKADSCNDLMTWHSYATIGKVLMQSGMEERALQAFQESEKKIAKKSNRVAYGFLLTMYADLGMNSEVDRIWDVYKSKVPASACNSMYMCRISVLLKMNDIVGAEKAYEEWESKHVYHDSRLINLLLTAYCKEGLMEKAEALVDQFVKKGRTPFGNTWYKLAGGYFKVGQASKAADLTKKALASGSNEWTPDLTNVLMSLNYFAEQKNVEAAEEMASLLQRLITPTRDIYHGLLKTYVNAGKQVSDLLDRMKKDGMEADEETEKILAGEVH >ONIVA10G16580.2 pep chromosome:AWHD00000000:10:17098811:17111395:1 gene:ONIVA10G16580 transcript:ONIVA10G16580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMTERLHLHLSPGDVANRLELITKVYGLDRAVEYFDSMPDQLKQQQCYGSLLKCYAEANCVEKAEELFEKMRGMGMASSYAYNVMMRLYLQNGQVERVHSMYKAMEEGGIVPDIFTIDNLVSAYADVEDVEAIEKVLEKASCNNLMSWHSFAIVGKVFMKAGMQERALQAFQESEKRITARKDGRVAYGFLLTMYADLQMDSEVDRIWDVYRSKVPASACNTMYMCRISVLLKMNDIVGAEKAYEEWESKHVYHDSRLINILLTAYCKEGLMEKAEALVDQFIKKGRTPFSNTWYKLAGGYFKVGQASKAADLTKKALASASNEWKPDLANVLMSINYFAEQKNVEAAEEMASLLQRLVPLTRDVYHGLLKTYVNAGEPASDLLDRMKKDGIEADEETDKILAGEPFRLTYDRDI >ONIVA10G16580.3 pep chromosome:AWHD00000000:10:17106183:17109724:1 gene:ONIVA10G16580 transcript:ONIVA10G16580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPFFTHHETLISGHSLLPPPKTLASAAARSPAATTMLLHRRGLLLHLRGGLLRRRRGLSVSAEETVSPSPPPPPPPPPPRLQGEDSLFRRVAGADPRIPLAPVLEQWWLAEERPVSKPELQSLVKYLRRRRRFSQALELSMWMTERRHLHLSPGDVAYRLELISKVHGLDKAVEYFDAVPNQLRELQCYGSLLRCYTEAERVEKAEELFENMRGMGMANSYAYNAMMNLYSQIGQVERVHSMYKAMEEGGIVPDIFTIDNLVSAYADVEDVEAIEKVLEKASCNNLMSWHSFAIVGKVFMKAGMQERALQAFQESEKRITARKDGRVAYGFLLTMYADLQMDSEVDRIWDVYRSKVPASACNTMYMCRISVLLKMNDIVGAEKAYEEWESKHVYHDSRLINILLTAYCKEGLMEKAEALVDQFIKKGRTPFSNTWYKLAGGYFKVGQASKAADLTKKALASASNEWKPDLANVLMSINYFAEQKNVEAAEEMASLLQRLVPLTRDVYHGLLKTYVNAGEPASDLLDRMKKDGIEADEETDKILAGEVH >ONIVA10G16570.1 pep chromosome:AWHD00000000:10:17076374:17084391:1 gene:ONIVA10G16570 transcript:ONIVA10G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVRKNGPVDIREIAAKATLREVRQNGHTYVELRRVGKRVIFFCTICLTECFSDTVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSQNTRELALVPANDTEVTSRLRDDSSSRNGAKGTRRGANAHGNGRTASVSEDHVLSNQSGTDGPLVIPSVLLKDVVSDLPVHLLGYGNIAYRLWEASKGSKKISKIWCAWVGQDGSHGLDECDTYEQSDFAIVNFSYTIELGRKWSSDDQDLPISAGSFFVIDDAGHRGKRRKKSFSDQEASSEESNEQSSSAHDNSQAIITGSPTGTSHNLQVGLLSTKSMRRELRKQKRLAAEKACDICGRPMLLEKDVATLLNCKTGNLACSSRNSSGYSAATSNDFVRLILQAFHLFHTSCLVHWTILCQYEMLADKIASKGKSNRGRKAKNAPKKITSILCPECQGTGIHVEGDELEKPTISLSEMFRYKLKAIEAHKAWMKSPEVLENCSTGLHFPAEQIENSEEQEVIPLKSVAFYAADG >ONIVA10G16570.2 pep chromosome:AWHD00000000:10:17076289:17084391:1 gene:ONIVA10G16570 transcript:ONIVA10G16570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVRKNGPVDIREIAAKATLREVRQNGHTYVELRRVGKRVIFFCTICLTECFSDTVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSQNTRELALVPANDTEVTSRLRDDSSSRNGAKGTRRGANAHGNGRTASVSEDHVLSNQSGTDGPLVIPSVLLKDVVSDLPVHLLGYGNIAYRLWEASKGSKKISKIWCAWVGQDGSHGLDECDTYEQSDFAIVNFSYTIELGRKWSSDDQDLPISAGSFFVIDDAGHRGKRRKKSFSDQEASSEESNEQSSSAHDNSQAIITGSPTGTSHNLQVGLLSTKSMRRELRKQKRLAAEKACDICGRPMLLEKDVATLLNCKTGNLACSSRNSSGAFHLFHTSCLVHWTILCQYEMLADKIASKGKSNRGRKAKNAPKKITSILCPECQGTGIHVEGDELEKPTISLSEMFRYKLKAIEAHKAWMKSPEVLENCSTGLHFPAEQIENSEEQEVIPLKSVAFYAADG >ONIVA10G16570.3 pep chromosome:AWHD00000000:10:17076289:17084391:1 gene:ONIVA10G16570 transcript:ONIVA10G16570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKELVVRKNGPVDIREIAAKATLREVRQNGHTYVELRRVGKRVIFFCTICLTECFSDTVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSQNTRELALVPANDTEVTSRLRDDSSSRNGAKGTRRGANAHGNGRTASVSEDHVLSNQSGTDGPLVIPSVLLKDVVSDLPVHLLGYGNIAYRLWEASKGSKKISKIWCAWVGQDGSHGLDECDTYEQSDFAIVNFSYTIELGRKWSSDDQDLPISAGSFFVIDDAGHRGKRRKKSFSDQEASSEESNEQSSSAHDNSQAIITGSPTGTSHNLQVGLLSTKSMRRELRKQKRLAAEKACDICGRPMLLEKDVATLLNCKTGNLACSSRNSSGAFHLFHTSCLVHWTILCQYEMLADKIASKGKSNRGRKAKNAPKKITSILCPECQGTGIHVEGDELEKPTISLSEMFRYKLKAIEAHKAWMKSPEVLENCSTGLHFPAEQIENSEEQEVIPLKSVAFYAADG >ONIVA10G16560.1 pep chromosome:AWHD00000000:10:17067924:17071475:1 gene:ONIVA10G16560 transcript:ONIVA10G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S18 [Source:Projected from Arabidopsis thaliana (AT1G07210) TAIR;Acc:AT1G07210] MLSGLDDGLENRRGGSDWGWRGGFRNRGNSSSILAELGTGFDSLEDGLDEKLDEASRTFHVTEEYEDDDYDYRPDVTFRRGSTYNVKDLDLTRPAAAKNPPRPQFQTTTEEVLKKADFRNVRFLANFLTEAGIIIKRSQTCISAKAQRKVAREIKTARALGLLPFTTMGQRPFIYGRSVEVNLSEEEYGYDFVDRRAGEPDENADDAVPDEDVAPGVENA >ONIVA10G16550.1 pep chromosome:AWHD00000000:10:17066323:17066936:-1 gene:ONIVA10G16550 transcript:ONIVA10G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03650) TAIR;Acc:AT1G03650] MAAAATAARILELDPAHPRAGRVIDDIVRMEKRIFPKHESLARTFHDELKRRNTALIYSAAAATIPTTGDAAAASDEEEEVVGYAMYTCATSLCASITKLAVKESRRRQGHGEALLMAAVEGCRRRRVQRVSLHVDPARAAAVALYRKAGFQVDATVVGYYAPRRDAYRMYMDL >ONIVA10G16540.1 pep chromosome:AWHD00000000:10:17062444:17067111:1 gene:ONIVA10G16540 transcript:ONIVA10G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAYKDSLKVLEADIQHANTLASEFPREYDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILVYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDTEDKKQKAVCMERYRRRDEDERNILSEIDAEREEECGICMEMNSKVVLPNCTHNLCLRCYQDWNSRSQSCPFCRDNLKKTDPGDLWIYVEDQDVVDMETVSRENLRRLFMYINKLPLIVPDVIFSIYDSHIK >ONIVA10G16530.1 pep chromosome:AWHD00000000:10:17054707:17057458:-1 gene:ONIVA10G16530 transcript:ONIVA10G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKWSKEEDDLIKNHMEKYGIGRSWQALSDALGLQRCGRSCRSRWLNYLRPGLKHGDFSPAEERIICKMYSKKGSSWSAIAAQLPGRTDLAVKNYWNSTLKKRFPAAAAARSTAAARRRHRPAASATTSSDDDDDVDVDDATPPGLALVVYSDGSTAAAAAAGELAPYSISSPAATADAAEEEEPIAAVPISTCILALPPPPPPPPPPPSDATGGEVSIPCFPFSPLPFIEPDLPELTWTTDLDDITATFDAAACRYPKRPHPITPDSPRLPKLPAIAGFDDVQSFFICLRYNTSPFLLARATAAPPAAAADRCLAGRRCLYSTTPPPPLSPLHWRCSRRDPELGADVSALLLRGRLGARQLFDELPHRVRWRRGYLRAAFAASVSNLNARDGHRGVKAEAMKSSAQ >ONIVA10G16520.1 pep chromosome:AWHD00000000:10:17051573:17052361:1 gene:ONIVA10G16520 transcript:ONIVA10G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGAGKVTPPTVHTYGILIGCCCRAGRLDLGFAALGNVVKKGFRVEAITINPLLKGLCADKRTNDAIDIVLCRMIELGCIPMGLCDENRSQEALELLHMIMADDGGGCRVCPVRPAKIRRPGSAAKALKYKLNRMSKNRTVLLVYISLSWLS >ONIVA10G16510.1 pep chromosome:AWHD00000000:10:17047452:17050884:1 gene:ONIVA10G16510 transcript:ONIVA10G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGKEEAAAAAGALYQLHLVKAATARAFVPRELRLVEAFGYTLGGMFLARYDDSPAGKFDELVVIAGIVWNPPTSCGWAARVLVNSAEACRHGRKEVGLPSHVATFSQTEADALRNKPLVKSNSFLSLLGMRSTVSNQGNDLEIEISETKGSCTRHLCNISVPLTVSTGSHKHKWMGPAIRMSLPSFSGQIEDHPDLLKYSCQVECRVRPVRPAKIWRPRITEPQECPDGKISSKGSEVLAEPDAQKHTVMVLLSKPILALEFNSLEMHVDAPKIVIPHSKKKEVRYSST >ONIVA10G16500.1 pep chromosome:AWHD00000000:10:17041121:17042963:1 gene:ONIVA10G16500 transcript:ONIVA10G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTARTDAPVQPRSSAVAVEAAPPPPPAADPFAIPSRAALPQVSTFPCPSVRPDMARRVAARARARAGGVPRSEGTIQDRARVGSGGAEDALDVFDELLRRGIGAPIRSLNGALADVARDNPAAAVSRFNRMARAGASMVTPTVHTYGILIGCCCSAGRLDLGFAALGHVVKKGFRVEPIIFNPLLKGLCADKRTDDAMDIVLRGMTELGCVPNVFSHTIILKGLCHENRSQEALELLHMMADDGGGCLPNVVSYSTVIDGLLKGGDPDKAYATYHEMLDRRILPNVVIYSSIIAALCKGQAMDKAMEVHDRMVKNGVTPNCFTYTSLVHGFCSSGQLTEAIKFLEKMCSNGVEPNVVTYSSFMDYLCKNGRCTEARKIFDSMVKRGLKPDITTYSSLLHGYAIEGALVEMHGLFDLMVQSDMQPDHYVFNTLIYASAKQGKVDEAMLVFSKMRQQGLKPNCVTYNTLINGYCKITRMENALALFQEMVSNGVSPNFITYNIMLQGLFRTGRTATAKEFYVQIIKSGKKDLIEQGLLEELDDLFLSMEDNDCSTVSTPAC >ONIVA10G16500.2 pep chromosome:AWHD00000000:10:17040485:17043685:1 gene:ONIVA10G16500 transcript:ONIVA10G16500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLLERTHPCSRARRPSPSRPPLRRRQQLIRSPFLRALVSTFPCPSVRPDMARRVAARARARAGGVPRSEGTIQDRARVGSGGAEDALDVFDELLRRGIGAPIRSLNGALADVARDNPAAAVSRFNRMARAGASMVTPTVHTYGILIGCCCSAGRLDLGFAALGHVVKKGFRVEPIIFNPLLKGLCADKRTDDAMDIVLRGMTELGCVPNVFSHTIILKGLCHENRSQEALELLHMMADDGGGCLPNVVSYSTVIDGLLKGGDPDKAYATYHEMLDRRILPNVVIYSSIIAALCKGQAMDKAMEVHDRMVKNGVTPNCFTYTSLVHGFCSSGQLTEAIKFLEKMCSNGVEPNVVTYSSFMDYLCKNGRCTEARKIFDSMVKRGLKPDITTYSSLLHGYAIEGALVEMHGLFDLMVQSDMQPDHYVFNTLIYASAKQGKVDEAMLVFSKMRQQGLKPNCVTYNTLINGYCKITRMENALALFQEMVSNGVSPNFITYNIMLQGLFRTGRTATAKEFYVQIIKSGKKDLIEQGLLEELDDLFLSMEDNDCSTVSTPAC >ONIVA10G16500.3 pep chromosome:AWHD00000000:10:17037852:17040525:1 gene:ONIVA10G16500 transcript:ONIVA10G16500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLLANEVSDLCIGKPAVRSLPLSAAAGDLAAALRRGPQQAAGGAAACVAVVGPGRAVAGRLGLADVLCFLCAAPGALAHPTAALSKPASALLPKDGAGEVRRVDPRASVLEALDAVLSGAQVLAVPLRSGGRRKQLGGGGGGGGGGDYCWLTQEDLVRYFLNSISLFSHVAGRSVSSLGLVRADDLLTVRPHEAALSAVPLLRRAIATETAVAVVDDGGHLVGEISPALLASCDETAAAAIATLSVADLMAYVDYFGAPPEHILRAVKAGLKSKGLDAMLELVENEAVSSFAFSSSSTSSSSDDEAHGRAARLRRPSSGSYGRRSTEEPVVCSPASSLVAVMMQALAHRASYLWVLDEDDDCRLAGIVTFADVLTVFREQLQ >ONIVA10G16490.1 pep chromosome:AWHD00000000:10:17019770:17021767:-1 gene:ONIVA10G16490 transcript:ONIVA10G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:end binding protein 1C [Source:Projected from Arabidopsis thaliana (AT5G67270) TAIR;Acc:AT5G67270] MAASNIGMMDGAYFVGRNEILAWINTTLQLGLSKVEEAASGAVACQLMDAAHPGAVPMHKVNFDAKTEYEMIQNYKVLQDVFNKLKITKHIEVNKLTKGRPLDNLEFMQWMKRYCDSVNGGFMNSYNASERRESSKGGKETNRRTSVPSQAPAKSSSATHKAQASSHGAKKANGHAPNAPPSRSAKPSPANSAGPAYDEQITELKLLVDSLEKERDFYFSKLRDVEILCQSPEVEHLPIVNAIHKVLYAAEDDPSMVAQAQAMISQQQQSEQPMLSPILEASEERPAKQEAHKRKSISDLELEEFGMASSSRQRLSDISDVQLCGSPLTSFT >ONIVA10G16480.1 pep chromosome:AWHD00000000:10:17013973:17020451:1 gene:ONIVA10G16480 transcript:ONIVA10G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYTGAQPPYPAGAHQPPPPAQALLQQNHSLAFRVMRLSRPSLQPDQAAALRFDPRDVFLPEDALTGPDPSASSAADAAAFLQGLLHPLDSPATTVPGDFTFRDRFLLRDPVDALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVAIKAEIQTERQRILLLDTSKAPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFTVSNPLSVRTKVRTIKDTTYLEACIENHTKSNLYMDQVDFEPSQQWAATRLEADEHPSTVKSIIGDLCKQPILIRAGGGIYNYLYQLRPSSGESGQTKAEGSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAVKVPPVIFLERPFMVNLCLTNQSDKTVGPFEVFLAPSVLDEEKYVLVNGLQKLVLPLVEAFESINFDLSMVATQVGVQKISGITLYAVQEKKLYEPLSDIEIFVDAE >ONIVA10G16470.1 pep chromosome:AWHD00000000:10:17001670:17011470:1 gene:ONIVA10G16470 transcript:ONIVA10G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sensitive to freezing 6 [Source:Projected from Arabidopsis thaliana (AT4G04920) TAIR;Acc:AT4G04920] MTSSSAPNPSPLVPTPTPSPPTANAAAAAAGAVPVSSPPPPPKDQQQEGQGGGGGVGDGGGVEEVGVGGGEAMEVDGGAGGGGGGVGDVEGGGGGGGAGGGGGGGGQQASPATVFRIRLKQPPSSLRHKMRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPTKGPTNLVRDASSWQCEHEWRQDLSVVTKWLSGISPYRWLPANSSTSSNLKTFEEKFLTQQPQSSAGWPSILCVCSVFSSGSVQLHWSQWPSQNSAQPRWFSTSKGLLGAGPSGIMAADAIITETGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSLPPSLNPPLWAGFAPLASYLFSLQDYLVSEGAQTKQQAQVDNETTEVASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSVQPVVLHPIFGSPANFGGQPPTQTVWSTRVNKSIPPSEDLKNPQSYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFEQVDSYHVNVGSAIAPPAFSSSGCCLASVWHDTLKDRTILKIIRVLPPAILNAQTKVSSAVWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIALLDADFHCLPTIQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIGPDKMTVDPALLLSIQGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGSGNSRNMVTSPTNSSPSPSTNQGNQGGVASTTGSSQMQEWVQGAIAKISNNTDGAANAAPNPISGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRIPANAQKSSDSSMQKQHLMNSKTEDNTLAVRSGLGAAKLEDGTTSRGQMVGAKGAEENPVGNKSARIGSGNAGQGYTSDEVKVLFLILVDLCKRTATLQHPLPSSQVGSSNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDLGPLDNMRQLKINGSTNRHLSDMEEDGDSSFGIQNLWPRKRRLSERDAAFGLKTSVGLGSFLGVMGSRRDVITAVWKTGLEGEWYKCIRCLRQTCAFAQPGALAPNTSNELEAWWISRWTHACPMCGGTWVKVV >ONIVA10G16470.2 pep chromosome:AWHD00000000:10:17001670:17011470:1 gene:ONIVA10G16470 transcript:ONIVA10G16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sensitive to freezing 6 [Source:Projected from Arabidopsis thaliana (AT4G04920) TAIR;Acc:AT4G04920] MAADAIITETGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSLPPSLNPPLWAGFAPLASYLFSLQDYLVSEGAQTKQQAQVDNETTEVASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSVQPVVLHPIFGSPANFGGQPPTQTVWSTRVNKSIPPSEDLKNPQSYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFEQVDSYHVNVGSAIAPPAFSSSGCCLASVWHDTLKDRTILKIIRVLPPAILNAQTKVSSAVWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIALLDADFHCLPTIQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIGPDKMTVDPALLLSIQGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGSGNSRNMVTSPTNSSPSPSTNQGNQGGVASTTGSSQMQEWVQGAIAKISNNTDGAANAAPNPISGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRIPANAQKSSDSSMQKQHLMNSKTEDNTLAVRSGLGAAKLEDGTTSRGQMVGAKGAEENPVGNKSARIGSGNAGQGYTSDEVKVLFLILVDLCKRTATLQHPLPSSQVGSSNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDLGPLDNMRQLKINGSTNRHLSDMEEDGDSSFGIQNLWPRKRRLSERDAAFGLKTSVGLGSFLGVMGSRRDVITAVWKTGLEGEWYKCIRCLRQTCAFAQPGALAPNTSNELEAWWISRWTHACPMCGGTWVKVV >ONIVA10G16460.1 pep chromosome:AWHD00000000:10:16996895:17000014:-1 gene:ONIVA10G16460 transcript:ONIVA10G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVRAPDGRTHHVDLDPSTATLADLTASASRVCGGVPPEQLRLYLAHRRLLPAEPSPLLSSLRVSASSSLLLHLPLLGGMTGPTTTPAAPPPPPPPSAQPPARPARYDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAAAAPAVGRGRGKPPGDDDGDDDGGDEEKGYDENQKFDEFEGNDAGLFSNADYDDDDREADAVWESIDQRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLVDLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKLQVARQLIQRGCEECPTNEDVWVEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDQAKKVLNKAREKLPKEPAIWITAAKLEEANGNTQSVIKVIERSIKTLQREGLDIDREAWLKEAEAAERAGSVLTCQAIVKSTIGIGVDEEDRKRTWVADAEECKKRGSIETARAIYAHALSVFVSKKSIWLKAAQLEKSHGTKESLYNLLRKAVTYNPRAEVLWLMSAKEKWLAGDVPAARAILQEAYASLPNSEEIWLAAFKLEFENNEPERARILLSKARERGGTERVWMKSAIVERELGNVDEERKLLEEGLKLFPSFFKLWLMLGQMEDRLGHGSKAKEVYENALKHCASCIPLWLSLANLEEKINGLSKSRAVLTMARKKNPATPELWLAAVRAELRHGNKKEADALLAKALQECPTSGILWAAAIEMVPRPQRKAKSSDAIKRCDHDPHVIAAVAKLFWHDRKVDKARSWLNRAVTLAPDIGDFWALYYKFELQHGNADTQKDVLQRCVAAEPKHGERWQAITKAVENSHLSIEALLKKAVLALGQEENPNAADP >ONIVA10G16450.1 pep chromosome:AWHD00000000:10:16982200:16994310:1 gene:ONIVA10G16450 transcript:ONIVA10G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIEHLHLPIRGLNLHIAQVGKGEISLYELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSGQPPEQEEATWDDLVADVLAILDALAVPGAFLVGKDFGAMPAYDFALRHPARTRGVACLGVPFSPAPASFDAMPEGFYVLRWREAGRAEADFGRHDVRRVVRTIYILFSGADIPVAKEGQEIMDLADASTPLPPWLTEADLDVYASLYENSGFRFPLQMPYRAVHRRPSRKDARFEVPVLMVIGEKDYAFKFPGFEAAVRGGAMERFAPELKIEFLPEGSHFAQEQLPEQVNRLLLGFFTEHPVQYSSGFASPTSHSHHRHVAPLLSALLRRGASSSRPEAMATAEQRQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLIEDLLAILDALAVPKAFLVGKDFGAMPAYDFALRHPNRTCGVMCLGIPLGTAGLSFDTLPEGFYILRWAQPGRAEADFGKYDVKRVVRTIYILFSRSEIPIAKENQEIMDLTDLSTPLPEWFSEEDLNVYSSLYENSGFRYPLQMPYRSLHQRKPIGDAKFQVPVFIVMGEKDYVFKFPGIESAMKDGTMEKHAPNIKITYIPEGGHFVQEQFPDYVNELLLGFLKDHPVVVLLVLLAIRPEAMATAEQQQQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAAAEYDDLIEDLLAILDALAVPKAFLVAKDFGALVAYDFALCHPNRTCGVMGLGIPFGNDASSINTLPEGLYIFRWAQPGRAEADFGRYNIKRVVRTIYILFSKSEIPMAKEDQEIMDLADLSTPLPEWFTEEDLDVYSSLYEKSGFRYPLQMPYRSLHKRKPIGDAKFQVPVFVVMGEKDYVYKFPGVESAMKDGTMERHAPDMKITYIPEGSHFVQEQFPDYVNELLLAFLKDHPVDK >ONIVA10G16450.2 pep chromosome:AWHD00000000:10:16982200:16990777:1 gene:ONIVA10G16450 transcript:ONIVA10G16450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIEHLHLPIRGLNLHIAQVGKGEISLYELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSGQPPEQEEATWDDLVADVLAILDALAVPGAFLVGKDFGAMPAYDFALRHPARTRGVACLGVPFSPAPASFDAMPEGFYVLRWREAGRAEADFGRHDVRRVVRTIYILFSGADIPVAKEGQEIMDLADASTPLPPWLTEADLDVYASLYENSGFRFPLQMPYRAVHRRPSRKDARFEVPVLMVIGEKDYAFKFPGFEAAVRGGAMERFAPELKIEFLPEGSHFAQEQLPEQVNRLLLGFFTEHPVQYSSGFASPTSHSHHRHVAPLLSALLRRGASSSRPEAMATAEQRQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLIEDLLAILDALAVPKAFLVGKDFGAMPAYDFALRHPNRTCGVMCLGIPLGTAGLSFDTLPEGFYILRWAQPGRAEADFGKYDVKRVVRTIYILFSRSEIPIAKENQEIMDLTDLSTPLPEWFSEEDLNVYSSLYENSGFRYPLQMPYRSLHQRKPIGDAKFQVPVFIVMGEKDYVFKFPGIESAMKDGTMEKHAPNIKITYIPEGGHFVQEQFPDYVNELLLGFLKDHPVVVLLVLLAIRPEAMATAEQQQQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAEYDDLVEDLLAILDALAVPKAFLVGKDFGAMPAYSFALCHPNRTCGVMCLGIPFGVNSSSLNTLPEGFYILRWAQPGRAEADFGKYDIRRVVRTIYILFSRNEIPIAKEDQEIMDLADLSTPLPEWFSEEDLDVYSSLYEKSGFRYPLQMPYRSMHQNKPIGDAKFQVPVFVVMGEKDYVFKIPGIESVMKDGSMEKHAPDLKITYIPEGSHFVQEQFPEFVNELLLSFLKDHPMAV >ONIVA10G16450.3 pep chromosome:AWHD00000000:10:16982200:16994310:1 gene:ONIVA10G16450 transcript:ONIVA10G16450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIEHLHLPIRGLNLHIAQVGKGEISLYELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSGQPPEQEEATWDDLVADVLAILDALAVPGAFLVGKDFGAMPAYDFALRHPARTRGVACLGVPFSPAPASFDAMPEGFYVLRWREAGRAEADFGRHDVRRVVRTIYILFSGADIPVAKEGQEIMDLADASTPLPPWLTEADLDVYASLYENSGFRFPLQMPYRAVHRRPSRKDARFEVPVLMVIGEKDYAFKFPGFEAAVRGGAMERFAPELKIEFLPEGSHFAQEQLPEQVNRLLLGFFTEHPVQYSSGFASPTSHSHHRHVAPLLSALLRRGASSSRPEAMATAEQRQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLIEDLLAILDALAVPKAFLVGKDFGAMPAYDFALRHPNRTCGVMCLGIPLGTAGLSFDTLPEGFYILRWAQPGRAEADFGKYDVKRVVRTIYILFSRSEIPIAKENQEIMDLTDLSTPLPEWFSEEDLNVYSSLYENSGFRYPLQMPYRSLHQRKPIGDAKFQVPVFIVMGEKDYVFKFPGIESAMKDGTMEKHAPNIKITYIPEGGHFVQEQFPDYVNELLLGFLKDHPVVVLLVLLAIRPEAMATAEQQQQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAEYDDLVEDLLAILDALAVPKAFLVGKDFGAMPAYSFALCHPNRTCGVMCLGIPFGVNSSSLNTLPEGFYILRWAQPGRAEADFGKYDIRRVVRTIYILFSRNEIPIAKEDQEIMDLADLSTPLPEWFSEEDLDVYSSLYEKSGFRYPLQMPYRSLHKRKPIGDAKFQVPVFVVMGEKDYVYKFPGVESAMKDGTMERHAPDMKITYIPEGSHFVQEQFPDYVNELLLAFLKDHPVDK >ONIVA10G16450.4 pep chromosome:AWHD00000000:10:16982200:16990777:1 gene:ONIVA10G16450 transcript:ONIVA10G16450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIEHLHLPIHELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSGQPPEQEEATWDDLVADVLAILDALAVPGAFLVGKDFGAMPAYDFALRHPARTRGVACLGVPFSPAPASFDAMPEGFYVLRWREAGRAEADFGRHDVRRVVRTIYILFSGADIPVAKEGQEIMDLADASTPLPPWLTEADLDVYASLYENSGFRFPLQMPYRAVHRRPSRKDARFEVPVLMVIGEKDYAFKFPGFEAAVRGGAMERFAPELKIEFLPEGSHFAQEQLPEQVNRLLLGFFTEHPVQYSSGFASPTSHSHHRHVAPLLSALLRRGASSSRPEAMATAEQRQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLIEDLLAILDALAVPKAFLVGKDFGAMPAYDFALRHPNRTCGVMCLGIPLGTAGLSFDTLPEGFYILRWAQPGRAEADFGKYDVKRVVRTIYILFSRSEIPIAKENQEIMDLTDLSTPLPEWFSEEDLNVYSSLYENSGFRYPLQMPYRSLHQRKPIGDAKFQVPVFIVMGEKDYVFKFPGIESAMKDGTMEKHAPNIKITYIPEGGHFVQEQFPDYVNELLLGFLKDHPVVVLLVLLAIRPEAMATAEQQQQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAEYDDLVEDLLAILDALAVPKAFLVGKDFGAMPAYSFALCHPNRTCGVMCLGIPFGVNSSSLNTLPEGFYILRWAQPGRAEADFGKYDIRRVVRTIYILFSRNEIPIAKEDQEIMDLADLSTPLPEWFSEEDLDVYSSLYEKSGFRYPLQMPYRSMHQNKPIGDAKFQVPVFVVMGEKDYVFKIPGIESVMKDGSMEKHAPDLKITYIPEGSHFVQEQFPEFVNELLLSFLKDHPMAV >ONIVA10G16450.5 pep chromosome:AWHD00000000:10:16982200:16994310:1 gene:ONIVA10G16450 transcript:ONIVA10G16450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIEHLHLPIRGLNLHIAQVGKGEISLYELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSGQPPEQEEATWDDLVADVLAILDALAVPGAFLVGKDFGAMPAYDFALRHPARTRGVACLGVPFSPAPASFDAMPEGFYVLRWREAGRAEADFGRHDVRRVVRTIYILFSGADIPVAKEGQEIMDLADASTPLPPWLTEADLDVYASLYENSGFRFPLQMPYRAVHRRPSRKDARFEVPVLMVIGEKDYAFKFPGFEAAVRGGAMERFAPELKIEFLPEGSHFAQEQLPEQVNRLLLGFFTEHPVQYSSGFASPTSHSHHRHVAPLLSALLRRGASSSRPEAMATAEQRQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLIEDLLAILDALAVPKQPGRAEADFGKYDVKRVVRTIYILFSRSEIPIAKENQEIMDLTDLSTPLPEWFSEEDLNVYSSLYENSGFRYPLQMPYRSLHQRKPIGDAKFQVPVFIVMGEKDYVFKFPGIESAMKDGTMEKHAPNIKITYIPEGGHFVQEQFPDYVNELLLGFLKDHPVRDRVVVHGELDTMAAATEQPQQITEIEHAHLPVRGLSLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAEYDDLIEDLLAILDALAVPKAFLVAKDFGALVAYDFALCHPNRTCGVMGLGIPFGNDASSINTLPEGLYIFRWAQPGRAEADFGRYNIKRVVRTIYILFSKSEIPMAKEDQEIMDLADLSTPLPEWFTEEDLDVYSSLYEKSGFRYPLQMPYRSLHKRKPIGDAKFQVPVFVVMGEKDYVYKFPGVESAMKDGTMERHAPDMKITYIPEGSHFVQEQFPDYVNELLLAFLKDHPVDK >ONIVA10G16450.6 pep chromosome:AWHD00000000:10:16982200:16990695:1 gene:ONIVA10G16450 transcript:ONIVA10G16450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIEHLHLPIRGLNLHIAQVGKGEISLYELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSGQPPEQEEATWDDLVADVLAILDALAVPGAFLVGKDFGAMPAYDFALRHPARTRGVACLGVPFSPAPASFDAMPEGFYVLRWREAGRAEADFGRHDVRRVVRTIYILFSGADIPVAKEGQEIMDLADASTPLPPWLTEADLDVYASLYENSGFRFPLQMPYRAVHRRPSRKDARFEVPVLMVIGEKDYAFKFPGFEAAVRGGAMERFAPELKIEFLPEGSHFAQEQLPEQVNRLLLGFFTEHPVQYSSGFASPTSHSHHRHVAPLLSALLRRGASSSRPEAMATAEQRQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLIEDLLAILDALAVPKAFLVGKDFGAMPAYDFALRHPNRTCGVMCLGIPLGTAGLSFDTLPEGFYILRWAQPGRAEADFGKYDVKRVVRTIYILFSRSEIPIAKENQEIMDLTDLSTPLPEWFSEEDLNVYSSLYENSGFRYPLQMPYRSLHQRKPIGDAKFQVPVFIVMGEKDYVFKFPGIESAMKDGTMEKHAPNIKITYIPEGGHFVQEQFPDYVNELLLGFLKDHPVVI >ONIVA10G16450.7 pep chromosome:AWHD00000000:10:16982200:16990777:1 gene:ONIVA10G16450 transcript:ONIVA10G16450.7 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIEHLHLPIRGLNLHIAQVGKGEISLYELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSGQPPEQEEATWDDLVADVLAILDALAVPGAFLVGKDFGAMPAYDFALRHPARTRGVACLGVPFSPAPASFDAMPEGFYVLRWREAGRAEADFGRHDVRRVVRTIYILFSGADIPVAKEGQEIMDLADASTPLPPWLTEADLDVYASLYENSGFRFPLQMPYRAVHRRPSRKDARFEVPVLMVIGEKDYAFKFPGFEAAVRGGAMERFAPELKIEFLPEGSHFAQEQLPEQVNRLLLGFFTEHPVQYSSGFASPTSHSHHRHVAPLLSALLRRGASSSRPEAMATAEQRQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLIEDLLAILDALAVPKAFLVGKDFGAMPAYSFALCHPNRTCGVMCLGIPFGVNSSSLNTLPEGFYILRWAQPGRAEADFGKYDIRRVVRTIYILFSRNEIPIAKEDQEIMDLADLSTPLPEWFSEEDLDVYSSLYEKSGFRYPLQMPYRSMHQNKPIGDAKFQVPVFVVMGEKDYVFKIPGIESVMKDGSMEKHAPDLKITYIPEGSHFVQEQFPEFVNELLLSFLKDHPMAV >ONIVA10G16450.8 pep chromosome:AWHD00000000:10:16990512:16994310:1 gene:ONIVA10G16450 transcript:ONIVA10G16450.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVTFSNAMLPRERDRVVVHGELDTMAAATEQPQQITEIEHAHLPVRGLSLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAEYDDLIEDLLAILDALAVPKAFLVAKDFGALVAYDFALCHPNRTCGVMGLGIPFGNDASSINTLPEGLYIFRWAQPGRAEADFGRYNIKRVVRTIYILFSKSEIPMAKEDQEIMDLADLSTPLPEWFTEEDLDVYSSLYEKSGFRYPLQMPYRSLHKRKPIGDAKFQVPVFVVMGEKDYVYKFPGVESAMKDGTMERHAPDMKITYIPEGSHFVQEQFPDYVNELLLAFLKDHPVDK >ONIVA10G16440.1 pep chromosome:AWHD00000000:10:16977061:16978636:-1 gene:ONIVA10G16440 transcript:ONIVA10G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRIEHSYLPIRGLKLHIAHIGKGEAATLLFVHGFPEVWYSWRHQMIAAAAAGFRAIALDFPGYGLSEPPADLTQASWQGLMNDLLAILDSLSIPKVFLVAKDFGVKPAYDLALCHPDRVCGIVSLGVPPLVESLSFSGLPEGFYIHRWREPGRAEADFGRFDTRRILRTIYILFSRSEIPVAKQGQEIMDLADESTPMPQWFTEEDLSAYTDLYEKSGLMTAIQIPYRTKAAKAEGANPRFEMPMFVIMGQKDYILKFPALKEYMSSEKLKEIAPDYGITYIPEGSHFVQEQFPDLVNQLVIDFVSKHA >ONIVA10G16430.1 pep chromosome:AWHD00000000:10:16974112:16977342:1 gene:ONIVA10G16430 transcript:ONIVA10G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGGGNKKGWRDSPSPPLETPPRGELPSTSSSSSSRATKRHRVAGMADRYFPNDLPDFVAEAPDGGRGLLSLPYSSLSERLLRAALRIKDKVVEETWARARRQVTDYTLYTGALGTALLLFKSFQVTGNRADLALAGDIVKECDAASRGLPFLTFICGRAGVCALGAVIAKHCNDQLLLTHYLSSFDEIIVTEKVPNELLYGRAGYLWACLFLNTHLGEKTIPHEHITSVAKDIIDEGRKLAKKGNCPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKLDEKDDVKNTLLYMIRNRYPTGNYPSSEGSESDRLVHWCHGAPGVALTLAKAYQVFHDEHFKQTAAEAAEVVWNRGLLKRVGICHGVSGNAYVFLSLYRLTGNVEYLYRAKAFACFLLEKADQLIADGAMHGGDHPFSLFEGRAGMAYLLLDMVSPSESKFPAYEL >ONIVA10G16420.1 pep chromosome:AWHD00000000:10:16968650:16972834:1 gene:ONIVA10G16420 transcript:ONIVA10G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRAAGRGGRPLLGGGGGKRGGGGGGGGGGGGKSSSYTTTVILAALLLASVALLLLVALGALSLPSGGGVGVGHAGIGLPRPRPRFRRSAAFESGLEMRGGEKGEPWTEVLSWEPRAFLYHNFLSKEECEYLISLAKPHMKKSTVVDASTGGSKDSRVRTSSGMFLGRGQDKIIRTIEKRISDYTFIPVENGEGLQVLHYEVGQKYEPHFDYFHDEFNTKNGGQRIATLLISDVEEGGETIFPSSKANSSSSPFYNELSECAKKGLAVKPKMGDALLFWSMRPDGSLDATSLHGGCPVIKGNKWSSTKWMRVHELLTNSNLSMLLLKERKEKRSFLAHVEDFNFSIEKHYNFF >ONIVA10G16410.1 pep chromosome:AWHD00000000:10:16963513:16966564:1 gene:ONIVA10G16410 transcript:ONIVA10G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVGGCCAVLLAAALLFSSPATTYAYDSLDPNGNITIKWDVMQWTPDGYAAVVTLSNYQQFRHIQPPGWQLGWTWQQKEVIWSMYGAQAIEQGDCSMSKEGSNVPHSCKKHPTVVDLLPGTPIDLQIANCCKAGSLSAFSQDPANSAASFQIIVGHSGNSNETVRVPKNFSLMAPGRMHLICNPSFTALADGPGSLFSSALHTCAQ >ONIVA10G16400.1 pep chromosome:AWHD00000000:10:16946669:16951891:1 gene:ONIVA10G16400 transcript:ONIVA10G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVILGRFSLIKYLIVTETSDTVSRVGGNFKETLREMGGLDSIFDVMVDFHSTLENLIKDTSTSALDRNEGTSLQSAALLLKCLKILENAIFLSDDNKTHLLNMSRKLNPKRSLLSFVGVIINTIELLSALSILQNSSVVSSSTYPKSSKVSQQSYSDVMGGTSFNDGKSKNSKKKKTFCRTRHVIVAYLQNQKFLILLYLLVVMLVCHRRHSIVLHLYQAMGHQVVH >ONIVA10G16390.1 pep chromosome:AWHD00000000:10:16935355:16939264:1 gene:ONIVA10G16390 transcript:ONIVA10G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G30580) TAIR;Acc:AT4G30580] MGTLLRPRPLAHAAGAGDATPSTAHAVVVSGGRGRGVECQPHRVRRRPGPQVAVATASWRRRRETVVRSDFAAGGAATMGDSPQALSVSSTPTWKVSMEYIDVVSRVRGVCFYAVTAVAAIFLFVAMVVVHPLVLLFDRYRRRAQHYIAKIWATLTISMFYKLDVEGMENLPPNSSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVKKGASVFFFPEGTRSKDGKLGAFKRGAFSVATKTGAPVIPITLLGTGKLMPSGMEGILNSGSVKLIIHHPIEGNDAEKLCSEARKVIADTLILNGYGVH >ONIVA10G16390.2 pep chromosome:AWHD00000000:10:16935355:16939322:1 gene:ONIVA10G16390 transcript:ONIVA10G16390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G30580) TAIR;Acc:AT4G30580] MGTLLRPRPLAHAAGAGDATPSTAHAVVVSGGRGRGVECQPHRVRRRPGPQVAVATASWRRRRETVVRSDFAAGGAATMGDSPQALSVSSTPTWKVSMEYIDVVSRVRGVCFYAVTAVAAIFLFVAMVVVHPLVLLFDRYRRRAQHYIAKIWATLTISMFYKLDVEGMENLPPNSSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVKKGASVFFFPEGTRSKDGKLGAFKRGAFSVATKTGAPVIPITLLGTGKLMPSGMEGILNSGSVKLIIHHPIEGNDAEKLCSEARKVIADTLILNGYGVH >ONIVA10G16380.1 pep chromosome:AWHD00000000:10:16924878:16933872:1 gene:ONIVA10G16380 transcript:ONIVA10G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRSRSFSDGGGGERGGGGGFSSSQDAFEFDGEEEDDLVLLGSSSQSSHPPAPSQESSSMWDFDEDPPPRRRRGRGGGGDYAEPATAAAAAAAATSLMEAEEYGEMMESVDEANFALDGLRATAPRRVRRASFLALLGICASAPRRRVLRAQGSVHQRTLLFFFLLVCAVQENHLLDSESCVHFLLKLLNPPVNLVDSKAPSIGSKLLGISKVQMLNGSNKDSDCISEEILSKVEEILLSCQEIKSLDKDDKKTTRPELCPKWLALLTMEKACLSAVSVEETSDTVSRVGGNFKETLRELGGLDSIFDVMMDCHSTLENLIKDTSTSALDLNEGTSLQSAALLLKCLKILENATFLSDDNKTHLLNMSRKLYPKRSSLSFVGVIISIIELLSALSILQNSSVVSSSTYPKSSKVSQQSCSADVMGGTSFNDGKRKNSKKKNLLSNQTRHSCLSSKSEVSHITISSGSDAGLSQKAFNCSPSISSNGASSGSLGERHSNGGALKLNIKKDRGNANPIRGSSGWISIRAHSSDGNSREMAKRRRLSENVITDSGGGDDPFAFDDVDQEPSNWELLGPKKKSPQKHQDKSGNGVLVASHEPDQPEDLNQSGTTSLFSAKDESSLLEDCLLASVKVLMNLANDNPSGCELIASCGGLNTMASLIMKHFPSFCFVVDNNYNTRDVNLDHELSSSQNSKAHQVKIKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLSSARVPVDLSQNPQSEETQRDVIALLCSVFLASQGASEASGTISPDDEESLMQGAREAEMMIVEAYAALLLAFLSTESMKVRGAISSCLPNNSLKILVPALEKFVSFHLQLNMITEETHSAVTEVIEKCKLS >ONIVA10G16370.1 pep chromosome:AWHD00000000:10:16918323:16922393:1 gene:ONIVA10G16370 transcript:ONIVA10G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATTTSFLPSALSARKEGAVKDSAFLGVRLGDGLKLETSALGLRTKASAAVSSPTVTPASPSGKQTLRKGTAVITGASSGLGLATAKALAETGRWHVVMGCRDFLKASRAAKAAGMEKGSYTIVHLDLASLDSVRQFVANVRRLEMPVDVVVCNAAVYQPTAKQPSFTADGFEMSVGVNHLGHFLLARELLADLTSSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLASGLDGVSSSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHGETGVTFASLYPGCIATTGLFREHVPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNNNSASFENQLSEEASDPEKAKKVWELSEKLVGLADHDQ >ONIVA10G16360.1 pep chromosome:AWHD00000000:10:16877201:16915188:-1 gene:ONIVA10G16360 transcript:ONIVA10G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAASRAVGSEGSIQGRGGRAGGNGAEDARHVFDELLRRGKGATIYGLNRALDDVARHSPAAAVSRYNRMARAGANEVTPNLYTYSVLIGCCCRAGRLDNIGS >ONIVA10G16350.1 pep chromosome:AWHD00000000:10:16862720:16864783:-1 gene:ONIVA10G16350 transcript:ONIVA10G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAADFRVAHAEEPKMATGYPVPTDVRLYLTRTSYECAAYGGPGGWNELTQFLSIVQHSSFAAQGQHWWCLMTASVLTPN >ONIVA10G16340.1 pep chromosome:AWHD00000000:10:16857714:16860984:-1 gene:ONIVA10G16340 transcript:ONIVA10G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEIEGIGKLNSPEKKKEKEKRRRKHKPDEVKEEMVVDAIPKEEENLKSPEKGSPKKKEKRRHKHKHKPKPDGAQAVLKEEEESNSKSKAPAEGEKKRKKKKLVTVKLSDELMGMGYLRTKEVMPYLARETPRPLPIDPGVAQHMFVDQELRQEIAAQVHENREFDAFVLYQYRTKGYAEIQQEVTDDDDDDDETKTTNGLPAYAGPGGWNGLGQVTQQQQEGCGTLEQAITAHWSSIGLTGSVAVTARDLWAVNLLSSELKVQHHSSEFRVHNISLLRFARIGRDEISCKPVHLRCRQMRCIAEVCCESCSNKAAFLPLSYKEIYSPEDKSVAKAFTVES >ONIVA10G16330.1 pep chromosome:AWHD00000000:10:16855638:16856495:-1 gene:ONIVA10G16330 transcript:ONIVA10G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPACQTDHVTTSYPPHQLTSSLLPSPWAVAGEEQPGRRDRTVRRVRSPVQRSTPQSGVAMASLVPVEVTPLDEVGGGEHGVASWRAPLKSPRSTRSAHASGEGRRWWDHRGRAKGELEGNGGGEVEEEDAAPTKLPSGAREERKTETATAGGKWGWRRRRGSWPADALSPPPPPLSFRPLLIREAVPNEPPLRPSSPVPAPWQPEFFGEGITVNGKAWPFLIVHRRRYRLRILNAINARYFNVSLSNSFPIHVFGSDASYLSALDTVTNLLSLAEIFDVIIDFS >ONIVA10G16320.1 pep chromosome:AWHD00000000:10:16854113:16854876:-1 gene:ONIVA10G16320 transcript:ONIVA10G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRRQWASWQTFHPVHPMEDLMPGGVRLPDPDEQPPDPIYAFLEVFEELYGDQFPPHVLLYDSGDPNGGDDMEESDDDVDGGGESLSAIVYGGGRLHPSRRPSVPPPEERPRGWMPCPAAMAMPTPRPPNKGWREKGRPDGWQRFYLDRGAGRHAASEAEPNRELWIAFLLDRGAAKSTSWWRSFERRFERCAAADPYYAAGDTPCAMVTSFTFCFQ >ONIVA10G16310.1 pep chromosome:AWHD00000000:10:16846156:16846386:-1 gene:ONIVA10G16310 transcript:ONIVA10G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSPWYDYYYSSSSSSSSSPARSKRAQAQLVVVVSAAGKKLPWPSPRRRGSHVVAVPGLFFLFRFVAPLLPDPA >ONIVA10G16300.1 pep chromosome:AWHD00000000:10:16844427:16846076:-1 gene:ONIVA10G16300 transcript:ONIVA10G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDDDLGVQGQGYAAAANGGIGGGGVEAVNAAAAPRQGGRHAGHPPLPRPPPRQCPRCGSANTKFCYYNNYSRTQPRYLCKACRRHWTEGGTLRDVPVGGGRKNSKRAAGGGKAGATASTAASAHVVAPAAAPPTSSSFPDLLRQMLMAPATAGGGGGYSIDLTAWQQMAAFAAPPQAATGDVGGAVGAASTAAPDANCGGGGVQYWNGTNGD >ONIVA10G16290.1 pep chromosome:AWHD00000000:10:16840790:16844468:1 gene:ONIVA10G16290 transcript:ONIVA10G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTRVLAVILVVDVVAFGLAIAAEQSRPSARVETDARQEWTYCVYRPDAATGLGAAALALLLIGQAVAAVSSRCFCCGAALRPGGARACALILFLSSWLTFLIAESCLLAGLVQSAYHTRYRKVFFENPPDCETVRRGTFGAGAAFSLITCVLTGAYYFYFSKSRVSYARREATIGMSPYS >ONIVA10G16280.1 pep chromosome:AWHD00000000:10:16827697:16839177:-1 gene:ONIVA10G16280 transcript:ONIVA10G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase A2 [Source:Projected from Arabidopsis thaliana (AT1G29940) TAIR;Acc:AT1G29940] MAAGKSSSASKEDQYAALRELYRPHIDSFDYFIDEGLDKMLQSIRPVEITKGHLFPPKRDGRLDAPLYPQQCRQARTTYHGEFKVDTFIQCNDGPAVRQTFNFGYLPIMLMSKLCHLRGADSEKLIFHGEEATEMGGYFICGGMERLVRILILQKRNYPMGLIRGSFVNRGAGYTDKAVIIRCVQDDQSSVTIKLYYLLNGSARLGFWLGGREFLLPVGIVLKALIDTSDREIFTSLTCCYSDHYERGKGVVSTQLIGERAQIILDEVRDLSLFTRTECLLHLGKYFRSVMEGFEKDDFETVAEAVLKDYIFVHLQNNHDKFNLLIFMLQKLYAIVDQTASPDKADALQYQEVLLPGHLITVFLKDRLQDWLRKSKRLIVEEATKNKSFDLNDSQEVRKFLSKTSAYVGKAIQSMIKVGKVNSQSGLDLPQRDGMTIHAERLNFHRYISHFRSWGFLCPVHTPDGEPCGLLNHMTSTCRISSFYNSEGATKDFQKIKMSLIARLVGAGMAQLLPRIERTGPPEVLHVHVDGCIVGSIASAKIEEVIPEDLEVGYVPLSHGGAYPGLYLFTNPARFLRPVRSLLGLSNGGPNIELIGPFEQAFMEIRCPDGGDGGRNKLFPATHEEIHPTAILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCGQALKFRTDVKAFHLQTPQTPIVRTATYSKYCMDEFPSGTNAIVAVLSYTGYDMEDAMILNKSAVDRGMFRGHIFQTECIDLSAKSRDNVTEFFCKSNLSRDTTAAIESDGLPRIGENIFPNEQYYSVCNNLTGTVRPIKLKGSEPAAIDYVAVNGTNFKDRLQKANIRLRRVRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESIAAKAGSLKGKFIDATPFASSVKERSNSIVDELGPMLASYGFNYHGTEILYSGVFGTEMKCEIFLGPVYYQRLRHMVSDKFQVRTTGRIDQITRQPIGGRKYGGGIRFGEMERDALLAHGASYLLHDRLHSCSDYHIADVCSICGSLLTATVIKSESQKKAKRDMLGLPTVKPPKNFACQACKTSKGMETVAMPYVFRYLASELAAMNIKLELRLSNRTEHPTTTSEES >ONIVA10G16270.1 pep chromosome:AWHD00000000:10:16816429:16826841:-1 gene:ONIVA10G16270 transcript:ONIVA10G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDEYVDLPVSDEEEWEDGESEEDEEKVGSRKKAKVHAKQLKRLQEKDPEFYKYLEECDKELLEFDDDDFDDNEGSAEKHSSVPKEEPKEIVKPITMQMVDSWCQGAEDGKIGSIRSILEAFRKACHYGEESGNNSAPKFSVMSGSVLDKVMHFVLKNMDRILRELLDAPSFGGKKETVSELMITKQWKRHGRLMRLYLVNALHMITELTDEQMVAFTVHRVRASAVFLAAFPALLRKYVKALLHTWSRGRGAMPLVSFLFLRDLCIQLGSECLDTSLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVRELYNVDPQSAYQHAFVFIRQLAVILRGALTERGPKLTSFVVPISFCKTSKDKKQKESIKPTKKRMEKSYQKVYDWQYIFCLELWTSVVCGCSSEEDLRPLAYPLTQIIHGVACLVPSARYFPVRLRCVKMLNRIAEATGTFIPVSSLLLDMLEMKELGGKPDAVGKAVNLFSVKQVDKKTVKTRAFQEACIFSAVDELAKHLAQWSYSIAFFEMSFLTLVRLQNFCKTVKADRFRREIKDLIHQIKASAEFVSSKRAGIGFSPNDPAVDSFLQVEKEAKSSPLSKYVATLHQRSQDRMDSLDDTSVIVGAESSTFSRRLSEAQKRQDEQDDGEDTIAFSKNLLTEKKKTKTPKEKSKKRARNHDDVATEEDIVEDLILSSDEEDEDEDKNMESDEDDGSMPVEDDSDDDFVDPDSQWKKQKKEKSKKRNKRQPSKKAPPAPAAAAAAAAAGMARRVTTLTRARGGGVPSAQGGTTQDLGRAGGSGTEGARHVLDELPLRGWGASIYSFNRTLTNVARDSPAAAVSLFNRMARAGADEVTPDLCTYGILIGCCCRAGRLDLGFAALGNVIKKGFRVEAITFTPLLKGLCADKRTSDAMDIVLRRMTELGCIPNVFSCTILLKGLCDENRSQEALELLHMMADDRGGGSPPDVVSYTTVINGFFKEGDSDKAYSTYHEMLGRRISPNVVTYSSIIAALCKAQAMDKAMEVLNTMVKNGVMPDCMTYNSILHGYCSSGQPKEAIGFLKKMRSDGVEPNVVTYRSLMNYLCKNGRCTKARKIFDSMTKRGLEPDIATYRTLLQGYATKGALVEMHALLDLMDPEFYKYLEK >ONIVA10G16270.2 pep chromosome:AWHD00000000:10:16816429:16826841:-1 gene:ONIVA10G16270 transcript:ONIVA10G16270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDEYVDLPVSDEEEWEDGESEEDEEKVGSRKKAKVHAKQLKRLQEKDPEFYKYLEECDKELLEFDDDDFDDNEGSAEKHSSVPKEEPKEIVKPITMQMVDSWCQGAEDGKIGSIRSILEAFRKACHYGEESGNNSAPKFSVMSGSVLDKVMHFVLKNMDRILRELLDAPSFGGKKETVSELMITKQWKRHGRLMRLYLVNALHMITELTDEQMVAFTVHRVRASAVFLAAFPALLRKYVKALLHTWSRGRGAMPLVSFLFLRDLCIQLGSECLDTSLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVRELYNVDPQSAYQHAFVFIRQLAVILRGALTERGPKTSKDKKQKESIKPTKKRMEKSYQKVYDWQYIFCLELWTSVVCGCSSEEDLRPLAYPLTQIIHGVACLVPSARYFPVRLRCVKMLNRIAEATGTFIPVSSLLLDMLEMKELGGKPDAVGKAVNLFSVKQVDKKTVKTRAFQEACIFSAVDELAKHLAQWSYSIAFFEMSFLTLVRLQNFCKTVKADRFRREIKDLIHQIKASAEFVSSKRAGIGFSPNDPAVDSFLQVEKEAKSSPLSKYVATLHQRSQDRMDSLDDTSVIVGAESSTFSRRLSEAQKRQDEQDDGEDTIAFSKNLLTEKKKTKTPKEKSKKRARNHDDVATEEDIVEDLILSSDEEDEDEDKNMESDEDDGSMPVEDDSDDDFVDPDSQWKKQKKEKSKKRNKRQPSKKAPPAPAAAAAAAAAGMARRVTTLTRARGGGVPSAQGGTTQDLGRAGGSGTEGARHVLDELPLRGWGASIYSFNRTLTNVARDSPAAAVSLFNRMARAGADEVTPDLCTYGILIGCCCRAGRLDLGFAALGNVIKKGFRVEAITFTPLLKGLCADKRTSDAMDIVLRRMTELGCIPNVFSCTILLKGLCDENRSQEALELLHMMADDRGGGSPPDVVSYTTVINGFFKEGDSDKAYSTYHEMLGRRISPNVVTYSSIIAALCKAQAMDKAMEVLNTMVKNGVMPDCMTYNSILHGYCSSGQPKEAIGFLKKMRSDGVEPNVVTYRSLMNYLCKNGRCTKARKIFDSMTKRGLEPDIATYRTLLQGYATKGALVEMHALLDLMDPEFYKYLEK >ONIVA10G16270.3 pep chromosome:AWHD00000000:10:16816429:16826841:-1 gene:ONIVA10G16270 transcript:ONIVA10G16270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDEYVDLPVSDEEEWEDGESEEDEEKVGSRKKAKVHAKQLKRLQEKDPEFYKYLEECDKELLEFDDDDFDDNEGSAEKHSSVPKEEPKEIVKPITMQMVDSWCQGAEDGKIGSIRSILEAFRKACHYGEESGNNSAPKFSVMSGSVLDKVMHFVLKNMDRILRELLDAPSFGGKKETVSELMITKQWKRHGRLMRLYLVNALHMITELTDEQMVAFTVHRVRASAVFLAAFPALLRKYVKALLHTWSRGRGAMPLVSFLFLRDLCIQLGSECLDTSLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVRELYNVDPQSAYQHAFVFIRQLAVILRGALTERGPKTSKDKKQKESIKPTKKRMEKSYQKVYDWQYIFCLELWTSVVCGCSSEEDLRPLAYPLTQIIHGVACLVPSARYFPVRLRCVKMLNRIAEATGTFIPVSSLLLDMLEMKELGGKPDAVGKAVNLFSVKQVDKKTVKTRAFQEACIFSAIKASAEFVSSKRAGIGFSPNDPAVDSFLQVEKEAKSSPLSKYVATLHQRSQDRMDSLDDTSVIVGAESSTFSRRLSEAQKRQDEQDDGEDTIAFSKNLLTEKKKTKTPKEKSKKRARNHDDVATEEDIVEDLILSSDEEDEDEDKNMESDEDDGSMPVEDDSDDDFVDPDSQWKKQKKEKSKKRNKRQPSKKAPPAPAAAAAAAAAGMARRVTTLTRARGGGVPSAQGGTTQDLGRAGGSGTEGARHVLDELPLRGWGASIYSFNRTLTNVARDSPAAAVSLFNRMARAGADEVTPDLCTYGILIGCCCRAGRLDLGFAALGNVIKKGFRVEAITFTPLLKGLCADKRTSDAMDIVLRRMTELGCIPNVFSCTILLKGLCDENRSQEALELLHMMADDRGGGSPPDVVSYTTVINGFFKEGDSDKAYSTYHEMLGRRISPNVVTYSSIIAALCKAQAMDKAMEVLNTMVKNGVMPDCMTYNSILHGYCSSGQPKEAIGFLKKMRSDGVEPNVVTYRSLMNYLCKNGRCTKARKIFDSMTKRGLEPDIATYRTLLQGYATKGALVEMHALLDLMDPEFYKYLEK >ONIVA10G16260.1 pep chromosome:AWHD00000000:10:16801302:16806956:1 gene:ONIVA10G16260 transcript:ONIVA10G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDRSRRHKSNTSRKRPHFNSDDGKRKRLNSRHDDGTISSEPIETIYRILCPVKKIGSVLGRGGDIVKALRDTTKAKIRVADSIPGADERVIIIFNYSSQTEEAAQNISTDGFEDMKPHCFAQDALLKIHDKIAADEDLHAGIVHEKSENVDDVIARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSENLPQCALKSDELVQISGSSSLVRKALYEISTRLHQHPRKDNPPLEEIIDASTQRKHQAPPQLPHANPMLPHLHVDHSPQIPLLDPYRNRPLQYHSAEAEEFSIKILCASEHIGQVIGKSGGNVRQVEQQTGACVQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHHLTTRLVVPSNKVGCIIGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRLRTRTLRDGSSSNNPTPFAPFDGPPVDILPNREFMLYGRSANSPPYGGPPNDPPYGRPAIDPPYGRPAIDPPYRRPANDTSYGGLNNDGPRDPYTTYPVEYFSKREYPSGNSKVTPSASYDRYAATTRLPNRELPSSISPGADYMSRRSYLDQVPTDRYSSRGTLQLGLSRAGNSNVQQLGITRAGNSNAYDYTEAAEQIHGREDYRRLSGLTGYPGGSVEFRIPNSYLESVIGAGGVNLAEIRQV >ONIVA10G16260.2 pep chromosome:AWHD00000000:10:16801302:16807965:1 gene:ONIVA10G16260 transcript:ONIVA10G16260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDRSRRHKSNTSRKRPHFNSDDGKRKRLNSRHDDGTISSEPIETIYRILCPVKKIGSVLGRGGDIVKALRDTTKAKIRVADSIPGADERVIIIFNYSSQTEEAAQNISTDGFEDMKPHCFAQDALLKIHDKIAADEDLHAGIVHEKSENVDDVIARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSENLPQCALKSDELVQISGSSSLVRKALYEISTRLHQHPRKDNPPLEEIIDASTQRKHQAPPQLPHANPMLPHLHVDHSPQIPLLDPYRNRPLQYHSAEAEEFSIKILCASEHIGQVIGKSGGNVRQVEQQTGACVQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHHLTTRLVVPSNKVGCIIGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRLRTRTLRDGSSSNNPTPFAPFDGPPVDILPNREFMLYGRSANSPPYGGPPNDPPYGRPAIDPPYGRPAIDPPYGRPAIDPPYRRPANDTSYGGLNNDGPRDPYTTYPVEYFSKREYPSGNSKVTPSASYDRYAATTRLPNRELPSSISPGADYMSRRSYLDQVPTDRYSSRGTLQLGLSRAGNSNVQQLGITRAGNSNAYDYTEAAEQIHGREDYRRLSGLTGYPGGSVEFRIPNSYLESVIGAGGVNLAEIRQEYKLNSDNNHQQ >ONIVA10G16260.3 pep chromosome:AWHD00000000:10:16801302:16806956:1 gene:ONIVA10G16260 transcript:ONIVA10G16260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDRSRRHKSNTSRKRPHFNSDDGKRKRLNSRHDDGTISSEPIETIYRILCPVKKIGSVLGRGGDIVKALRDTTKAKIRVADSIPGADERVIIIFNYSSQTEEAAQNISTDGFEDMKPHCFAQDALLKIHDKIAADEDLHAGIVHEKSENVDDVIARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSENLPQCALKSDELVQISGSSSLVRKALYEISTRLHQHPRKDNPPLEEIIDASTQRKHQAPPQLPHANPMLPHLHVDHSPQIPLLDPYRNRPLQYHSAEAEEFSIKILCASEHIGQVIGKSGGNVRQVEQQTGACVQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHHLTTRLVVPSNKVGCIIGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRLRTRTLRDGSSSNNPTPFAPFDGPPVDILPNREFMLYGRSANSPPYGGPPNDPPYGRPAIDPPYGRPAIDPPYRRPANDTSYGGLNNDGPRDPYTTYPVEYFSKREYPSGNSKVTPSASYDRYAATTRLPNRELPSSISPGADYMSRRSYLDQVPTDRYSSRGTLQLGLSRAGNSNVQQLGITRAGNSNAYDYTEVHFQCVSLPLLCKWPSPDMFPLET >ONIVA10G16260.4 pep chromosome:AWHD00000000:10:16801302:16806956:1 gene:ONIVA10G16260 transcript:ONIVA10G16260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDRSRRHKSNTSRKRPHFNSDDGKRKRLNSRHDDGTISSEPIETIYRILCPVKKIGSVLGRGGDIVKALRDTTKAKIRVADSIPGADERVIIIFNYSSQTEEAAQNISTDGFEDMKPHCFAQDALLKIHDKIAADEDLHAGIVHEKSENVDDVIARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSENLPQCALKSDELVQISGSSSLVRKALYEISTRLHQHPRKDNPPLEEIIDASTQRKHQAPPQLPHANPMLPHLHVDHSPQIPLLDPYRNRPLQYHSAEAEEFSIKILCASEHIGQVIGKSGGNVRQVEQQTGACVQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHHLTTRLVVPSNKVGCIIGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRLRTRTLRDGSSSNNPTPFAPFDGPPVDILPNREFMLYGRSANSPPYGGPPNDPPYGRPAIDPPYGRPAIDPPYGRPAIDPPYRRPANDTSYGGLNNDGPRDPYTTYPVEYFSKREYPSGNSKVTPSASYDRYAATTRLPNRELPSSISPGADYMSRRSYLDQVPTDRYSSRGTLQLGLSRAGNSNVQQLGITRAGNSNAYDYTEAAEQIHGREDYRRLSGLTGYPGGSVEFRIPNSYLESVIGAGGVNLAEIRQV >ONIVA10G16260.5 pep chromosome:AWHD00000000:10:16801302:16806957:1 gene:ONIVA10G16260 transcript:ONIVA10G16260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDRSRRHKSNTSRKRPHFNSDDGKRKRLNSRHDDGTISSEPIETIYRILCPVKKIGSVLGRGGDIVKALRDTTKAKIRVADSIPGADERVIIIFNYSSQTEEAAQNISTDGFEDMKPHCFAQDALLKIHDKIAADEDLHAGIVHEKSENVDDVIARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSENLPQCALKSDELVQISGSSSLVRKALYEISTRLHQHPRKDNPPLEEIIDASTQRKHQAPPQLPHANPMLPHLHVDHSPQIPLLDPYRNRPLQYHSAEAEEFSIKILCASEHIGQVIGKSGGNVRQVEQQTGACVQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHHLTTRLVVPSNKVGCIIGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVIYLAIPTPEIHILPL >ONIVA10G16260.6 pep chromosome:AWHD00000000:10:16803475:16806956:1 gene:ONIVA10G16260 transcript:ONIVA10G16260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDFSLCRQRLLMDHDRSRRHKSNTSRKRPHFNSDDGKRKRLNSRHDDGTISSEPIETIYRILCPVKKIGSVLGRGGDIVKALRDTTKAKIRVADSIPGADERVIIIFNYSSQTEEAAQNISTDGFEDMKPHCFAQDALLKIHDKIAADEDLHAGIVHEKSENVDDVIARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSENLPQCALKSDELVQISGSSSLVRKALYEISTRLHQHPRKDNPPLEEIIDASTQRKHQAPPQLPHANPMLPHLHVDHSPQIPLLDPYRNRPLQYHSAEAEEFSIKILCASEHIGQVIGKSGGNVRQVEQQTGACVQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHHLTTRLVVPSNKVGCIIGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRLRTRTLRDGSSSNNPTPFAPFDGPPVDILPNREFMLYGRSANSPPYGGPPNDPPYGRPAIDPPYGRPAIDPPYGRPAIDPPYRRPANDTSYGGLNNDGPRDPYTTYPVEYFSKREYPSGNSKVTPSASYDRYAATTRLPNRELPSSISPGADYMSRRSYLDQVPTDRYSSRGTLQLGLSRAGNSNVQQLGITRAGNSNAYDYTEAAEQIHGREDYRRLSGLTGYPGGSVEFRIPNSYLESVIGAGGVNLAEIRQV >ONIVA10G16250.1 pep chromosome:AWHD00000000:10:16795371:16799971:1 gene:ONIVA10G16250 transcript:ONIVA10G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPPPSPSPSSSSDKLVAGAAQSAPLVPLQEPDVSSLGESFDTTSSQDLITASHNIIDDGSSSTCPSRIDKPVADATLSTSLQDVALSLLDEIFDDNPRTAPDNTTTDGYLQSASSSVGSSILVRSFVNCYQLFYIRIDPRGSCWTYPDVGGPFQRVDEADDAIKCFLDELQHGARCTQSGEFSRVDRMIHDCKHYLYGPPKRDPSSPSSKTTYDEKQYLVQAILDQYNDDNKLFGNHAYELEDLVSRQLFCENCMWYCHFNFTAKQKGADDSSGKLFFAEVTHVQRRMAWKVSCFCKIDTEVNGGHCYGCRNKGTPPMKHPQNTNAYAGGHLDVERYRLVLTSSSEDEESEDESEEELGLFEVDDVESEEEEEEEEEEEEEEEEETNMWLRRDTFDKFLDTMLNELQAEGD >ONIVA10G16250.2 pep chromosome:AWHD00000000:10:16795371:16799971:1 gene:ONIVA10G16250 transcript:ONIVA10G16250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPPPSPSPSSSSDKLVAGAAQSAPLVPLQEPDVSSLGESFDTTSSQDLITASHNIIDDGSSSTCPSRIDKPVADATLSTSLQDVALSLLDEIFDDNPRTAPDNTTTDGYLQSASSSVGSSILVRSFVNCYQLFYIRIDPRGSCWTYPDVGGPFQRVDEADDAIKCFLDELQHGARCTQSGEFSRVDRMIHDCKHYLYGPPKRDPSSPSSKTTYDEKQYLVQAILDQYNDDNKLFGGADDSSGKLFFAEVTHVQRRMAWKVSCFCKIDTEVNGGHCYGCRNKGTPPMKHPQNTNAYAGGHLDVERYRLVLTSSSEDEESEDESEEELGLFEVDDVESEEEEEEEEEEEEEEEEETNMWLRRDTFDKFLDTMLNELQAEGD >ONIVA10G16240.1 pep chromosome:AWHD00000000:10:16786740:16793593:-1 gene:ONIVA10G16240 transcript:ONIVA10G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0IUM0] MATKRAYKLQEFVAHASDVNCVKFGRKTSRILITGGEDQKVNLWAIGKPSSILSLSGLTSPVESVSFDSSEAMIGAGASSGTIKIWDVDEAKVVRTFTGHRSSCASLDFHPFGEFFASGSSDTNMKIWDMRKKGCIHTYKGHTRRIDVLRFTPDGRWIVSGGSDNSVKIWDLTAGKLLHDFRNHEGPINCLDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPENSREYFVPASVVRSMTFNKDGKSLFCGLHESLKVLSWEPIICHDVVDVGWSTLGDLIVHEGKLLGCSYNQSCAGIWVVDLMKIEPYAVSIAEAHLNESVNRSIQADNSISSVLGRLSVSRSPAKEASSDTLLKLSMSASKEVPVPASSAVTKKLPKEPITSNIRLTRSDSLPVVSPRVRLNPKFSDDQKRQTDYAVPITTPRIRSKVDLSIGARAFHRNSVPSVAPTNRSRSKISAYSSEGSSFIPVVVPRHIPKVDSGPNLSKVLTTDLTIVEPQDIERGGLAVDCGEDDKLVCVIDSRSSNMGVQNGRRRKAGDIITHKETPETALTVNMDRDFRRKAPETESMQQDIFHSEPISSKCKYIKETSGAGDINLSGSAITESVKSNEGGDWYNASSFVKPNLTVGRNPETSYINRRTMFGLRHSTDSSEKHAVEHGPSNLSASYERNQYAPTLHNLRRRSSVAREQSASAGDEDDIADLMENHQEFIHAVKSRLTKLEVTADIISVLMENDNSITLDICTCVLPLASSVLEKSSYDRHLKVALEMILKLVKSFGSTISSAVSSTPPVGVDIEAEQRLNRCNLCFQELIKVHSVLFALTRRQGEVGRSAQELSLFLQDIFQLTSR >ONIVA10G16230.1 pep chromosome:AWHD00000000:10:16782853:16786884:1 gene:ONIVA10G16230 transcript:ONIVA10G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKIGSSDSGAAASGAAAGAGGGVGGGGVGGAGAGAGGGPPKSASAAAGGAPESVTVACPDHLVIADLAVAKSLGAVTTSAVAAARTIGRRSRRPLGERVHICCRCEFPIALYGRLIPCEHAFCLACARSDSSCYLCDERIQKIQTVKMMEGIFICAAPMCLKSFLKRSEFDSHIPEVHANLLHNTPEREERNEPDAPNISRASGGDQRQSQMPEMSTARAPPRTGVSPSSSSHVQDRDDRSRYHHSRDQTPQRPPMLSRPPSFHGRHSYPPGDTPSENNPPQGFDRPYNWAHENAPGATPVRQESEHGSQDKQQMMPNAPFMFPPMPHQPNFMMPMNMNQPLMSNTSFNYPLQQDGNPQFFSAPFQMQLPDVGLDQGSASGVQPTPPGPLSFPEGLQRPWGMGLMGNPFQSMPLGQGMPEGAGEPQGGGGMVFLQGGFGVMPDGSMNSGIPGRDLSGQGDRGVLAQMPMPMQMQMSLPPPPPTQPPSAGQQTFSRT >ONIVA10G16220.1 pep chromosome:AWHD00000000:10:16777339:16779969:-1 gene:ONIVA10G16220 transcript:ONIVA10G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMLKSTARSSHLDRLTSIWNTFSRMYSPGILGSSSSWLSNTRPFRSFWTPNSA >ONIVA10G16210.1 pep chromosome:AWHD00000000:10:16773191:16779738:1 gene:ONIVA10G16210 transcript:ONIVA10G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGGGGGVAAAAVAAAGMVKAELEDVGIKAAGGAVAALSPLSETLWREKAAAEFLGDVSARLAWRDLTVTVVLGGGGGGGGGGTTQDVLQGLTGHAEPGTITALMGPSGSGKSTLLDALAGRLAANAFLDGTVLLNGRKANLSFGAAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPMEEKRALVEGTIVEMGLQDCADTVVGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGLASEACQFFAQAGFPCPPLRNPSDHFLRCINADFDKVKATLKGSMKRRFERSDDPLDRIMTSEAIGRLITYYKNSQYYFAAQQKVNEMARVKGTVLDAGGSQASFWMQAFTLTKRSFINMSRDFGYYWLRLIIYIVVTVCIGTIYLNVGTRYSSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLAFVISNTISAMPFLILITFISGTMCYFMVRLHPGFTHYLFFVLCLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLKGLVFDNQDDELPKIPGEYILENVFQIDVSRSKWLDLAVLFSMIFIYRLLFFAMIKVSEDVTPWVRGYVARRRVQGKGARGRGADLSAARSPSLRAYVVDAADDLPPA >ONIVA10G16200.1 pep chromosome:AWHD00000000:10:16761945:16765763:1 gene:ONIVA10G16200 transcript:ONIVA10G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRPLRLRGSRRTCMGWSTALGGGAAAPAPKVVVTMLFLSSFLVSLSPRDPSMGVPRDGDLMKGVCGLNLKSCSGFMLKTTPKVGCPSLRVRASVSSPQKLYSSKTTQVKSGEEVQIAVLGTSGYTGAEIVRLLANHPQFHIKVMTADRKASEQFGSVFPHLITQDLPNLVAIKDADFSNVDAEIIKGLPKQLKIVDLSADFRLRDINEYAEWYGHAHRAPELQQEAVYGLTEVLRDEIRNARLVANPGCYPTSIQLPLVPLIKAQLIKLSNIIIDAKSGVRRGAKEANLYTEIAEGIHAYGIKGHRHAPEIEQGLSEAAKSKVTISFTPNLICMKRGMQSTMFVEMAPGVTAGDLYQHLKSTYEGEEFVKLLHGSTVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVINNLVKGASGQAVQNLNLMMGLPENRGLQYQPLFP >ONIVA10G16190.1 pep chromosome:AWHD00000000:10:16743515:16746829:-1 gene:ONIVA10G16190 transcript:ONIVA10G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRWVEEYGRSSSPSSNLQCFLDCTTPAVDTHLLPKANGRFSSDSWHHAEMDSVEYFNLADLWEQYYEWSAYGAGTTVQLYGGERVVQYYVPYLSGIQLYTNKVQTASRSFGEDNGMDYWSDDEDNEKMSRSWSSTSEDSLFNCDAISGNRKRHGHMYFEFFEVCSPYGRIPLIDKVYELSQSYPGLTSLRSVDLSPASWMSVAWYPIYHIPYQRNVKDLSACFLTYHTISSSFQDYALESMANGKRNDETEKKVSKTHLAPFGLAAHKLQGSLWTNPRTGDRDRMVSLFGAADSWLKQLGVQHHDYNYFITHPM >ONIVA10G16180.1 pep chromosome:AWHD00000000:10:16739762:16742963:1 gene:ONIVA10G16180 transcript:ONIVA10G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nodulin MtN21 /EamA-like transporter family protein [Source:Projected from Arabidopsis thaliana (AT3G02690) TAIR;Acc:AT3G02690] MWPAAAASLHVLVLPPVPPPGCRTRRAAPVLARRGRGVRHAARRDTPPNPVDAVDCVGTGADVECYVDDRPGAEVGPIRREATPPPSPQDAVDCVGTGTDVECFVDGPGAGAGVGAEPLLARVSEEEEDGVSASAAALVGREWWEWASLVSPFFFWGTAMVAMKGVIPKTGPFFVAALRLLPAGALLVAFAAARGRRQPSGWAAWVAVAAFGLVDAACFQGFLAEGLQKTSAGLGSVIIDSQPLTVAVLAALLFGESIGAIGVGGLVLGVVGLLLLEVPALSVEGNDTAIWGSGEWWMFLSAQSMAVGTIMVRWVSKYSDPIMATGWHMIIGGLPLLVISVLNHDPALNGHLQELTLNDVLALLYTSIFGSAISYGVYFYNATRGSLTTLSSLTFLTPMFASIFGFLYLGETFSPVQLGGALLTLVAIYMVNYKSIVGEK >ONIVA10G16170.1 pep chromosome:AWHD00000000:10:16736246:16739271:1 gene:ONIVA10G16170 transcript:ONIVA10G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear RNA activating complex (SNAPc), subunit SNAP43 protein [Source:Projected from Arabidopsis thaliana (AT3G53270) TAIR;Acc:AT3G53270] MDLSPFKLDIDELLADYTEANCTAFADFKGLWMAKKFSYIYEGRPKTNSGAFMQSLFLHCIGHMTSQSCMPQRLAGIYCLYCLYESQPYKPHFKIYLSLEELKKLKDFVVEAKQNGMAVVPSLVKRMLDKGMILFGFINLLGDSGVKQVNELTASQNKRVKFACDKLFMNTQIDSYMHMDLGSELELDKIKKSSLDYAKAKELAFAEASNIIDVEDARHIVQNDKLLGDRVDEIVKEWDAQKEAFYEKTGVRRDELAVVEHDEPGVLPHENDEFDEIRQLLLE >ONIVA10G16170.2 pep chromosome:AWHD00000000:10:16736567:16739268:1 gene:ONIVA10G16170 transcript:ONIVA10G16170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear RNA activating complex (SNAPc), subunit SNAP43 protein [Source:Projected from Arabidopsis thaliana (AT3G53270) TAIR;Acc:AT3G53270] MDLSPFKLDIDELLADYTEANCTAFADFKGLWMAKKFSYIYEGRPKTNSGAFMQSLFLHCIGHMTSQSCMPQRLAGIYCLYCLYESQPYKPHFKIYLSLEELKKLKDFVVEAKQNGMAVVPSLVKRMLDKGMILFGFINLLGDSGVKQVNELTASQNKRVKFACDKLFMNTQIDSYMHMDLGSELELDKIKKSSLDYAKAKELAFAEASNIIDVEDARHIVQNDKLLGDRVDEIVKEWDAQKEAFYEKTGVRRDELAVVEHDEPGVLPHENDEFDEIRQLLLE >ONIVA10G16170.3 pep chromosome:AWHD00000000:10:16736246:16739271:1 gene:ONIVA10G16170 transcript:ONIVA10G16170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear RNA activating complex (SNAPc), subunit SNAP43 protein [Source:Projected from Arabidopsis thaliana (AT3G53270) TAIR;Acc:AT3G53270] MDLSPFKLDIDELLADYTEANCTAFADFKGLWMAKKFSYIYEGRPKTNSGAFMQSLFLHCIGHMTSQSCMPQRLAGIYCLYCLYESQPYKPHFKIYLSLEELKKLKDFVVEAKQNGMAVVPSLVKRMLDKGMILFGFINLLGDSGVKQVNELTASQNKRVKFACDKLFMNTQIDSYMHMDLGSELELDKIKKSSLDYAKAKELAFAEASNIIDVEDARHIVQNDKLLGDRVDEIVKEWDAQKEAFYEKTGVRRDELAVVEHDEPGVLPHENDEFDEIRQLLLE >ONIVA10G16170.4 pep chromosome:AWHD00000000:10:16736655:16739271:1 gene:ONIVA10G16170 transcript:ONIVA10G16170.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear RNA activating complex (SNAPc), subunit SNAP43 protein [Source:Projected from Arabidopsis thaliana (AT3G53270) TAIR;Acc:AT3G53270] MDLSPFKLDIDELLADYTEANCTAFADFKGLWMAKKFSYIYEGRPKTNSGAFMQSLFLHCIGHMTSQSCMPQRLAGIYCLYCLYESQPYKPHFKIYLSLEELKKLKDFVVEAKQNGMAVVPSLVKRMLDKGMILFGFINLLGDSGVKQVNELTASQNKRVKFACDKLFMNTQIDSYMHMDLGSELELDKIKKSSLDYAKAKELAFAEASNIIDVEDARHIVQNDKLLGDRVDEIVKEWDAQKEAFYEKTGVRRDELAVVEHDEPGVLPHENDEFDEIRQLLLE >ONIVA10G16160.1 pep chromosome:AWHD00000000:10:16733437:16733931:1 gene:ONIVA10G16160 transcript:ONIVA10G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDEIQAGIPKLNSTTPPRRMDHPRRRRRRRRRRRRHKHKHKPDEVKEEMVVDALPKEEEEEERGKRRKKKRKKLVTVKLSDELMGYLRTKEVMPYLARETPRPLPIDPSIAQHMFVGQELRQEIAAQVHENREFDAFVLYQYRTKGYAEIQQEVTDDDDDDG >ONIVA10G16140.1 pep chromosome:AWHD00000000:10:16717587:16725670:1 gene:ONIVA10G16140 transcript:ONIVA10G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-galactosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G08370) TAIR;Acc:AT5G08370] MARASSSSSPPSPRLLLLLLVAVAATLLPEAAALGNFTAESRGARWRSRRARRRAFENGLGRTPQMGWNSWNHFYCGINEQIIRETADALVNTGLAKLGYQYVNIDDCWAEYSRDSQGNFVPNRQTFPSGIKALADYVHAKGLKLGIYSDAGSQTCSNKMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRSVMERYTRMSNAMKTYGKNIFFSLCEWGKENPATWAGRMGNSWRTTGDIADNWGSMTSRADENDQWAAYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKNILSNSEVIAVNQGKPSQFHMLRFSHTSWIFHHTHNVWAGPLSNNRKAVVLWNRQSYQATITAHWSNIGLAGSVAVTARDLWAHSSFAAQGQISASVAPHDCKMIQEEGYQNETTSPTANILKQHRLTNGPVELQMDPITTSDDHDDDDELIIDRRGWMNWRTPEKRAKRMLEEEQEELEDEARWRNKLKEEA >ONIVA10G16140.2 pep chromosome:AWHD00000000:10:16717587:16725670:1 gene:ONIVA10G16140 transcript:ONIVA10G16140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-galactosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G08370) TAIR;Acc:AT5G08370] MARASSSSSPPSPRLLLLLLVAVAATLLPEAAALGNFTAESRGARWRSRRARRRAFENGLGRTPQMGWNSWNHFYCGINEQIIRETADALVNTGLAKLGYQYVNIDDCWAEYSRDSQGNFVPNRQTFPSGIKALADYVHAKGLKLGIYSDAGSQTCSNKMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRSVMERYTRMSNAMKTYGKNIFFSLCEWGKENPATWAGRMGNSWRTTGDIADNWGSMTSRADENDQWAAYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKNILSNSEVWAGPLSNNRKAVVLWNRQSYQATITAHWSNIGLAGSVAVTARDLWAHSSFAAQGQISASVAPHDCKMYSAKKSSTGYQNETTSPTANILKQHRLTNGPVELQMDPITTSDDHDDDDELIIDRRGWMNWRTPEKRAKRMLEEEQEELEDEARWRNKLKEEA >ONIVA10G16140.3 pep chromosome:AWHD00000000:10:16717587:16725670:1 gene:ONIVA10G16140 transcript:ONIVA10G16140.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-galactosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G08370) TAIR;Acc:AT5G08370] MARASSSSSPPSPRLLLLLLVAVAATLLPEAAALGNFTAESRGARWRSRRARRRAFENGLGRTPQMGWNSWNHFYCGINEQIIRETADALVNTGLAKLGYQYVNIDDCWAEYSRDSQGNFVPNRQTFPSGIKALADYVHAKGLKLGIYSDAGSQTCSNKMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRSVMERYTRMSNAMKTYGKNIFFSLCEWGKENPATWAGRMGNSWRTTGDIADNWGSMTSRADENDQWAAYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKNILSNSEVWAGPLSNNRKAVVLWNRQSYQATITAHWSNIGLAGSVAVTARDLWAHSSFAAQGQISASVAPHDCKMIQEEGYQNETTSPTANILKQHRLTNGPVELQMDPITTSDDHDDDDELIIDRRGWMNWRTPEKRAKRMLEEEQEELEDEARWRNKLKEEA >ONIVA10G16130.1 pep chromosome:AWHD00000000:10:16708938:16709489:-1 gene:ONIVA10G16130 transcript:ONIVA10G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLRLLSPDLAWPRPEAAGVVAQRERRRLRGFEWGIAGESPAVPLAGLTTAASFGVVPLLGGVVIALTPFFSLPFSG >ONIVA10G16120.1 pep chromosome:AWHD00000000:10:16698468:16706208:-1 gene:ONIVA10G16120 transcript:ONIVA10G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASRFVLIHDFVSYAIFFTLTPAPLISFPNSLSGDKVRAARIGELRTRAGMARRAASRALRSEGSIQGRGGRAGGGGGGAEDARHVFDELLRRGRGASIYGLNRALADVARHSPAAAVSRYNRMARAGAGKVTPTVHTYAILIGCCCRAGRLDLGFAALGNVVKKGFRVEAITFTPLLKGLCADKRTSDAMDIVLRRMTELGCIPDVFSYNILLKGLCDENRSQEALELLHMMADDRGGGSPPDVVSYNTVLNGFFKEGDSDKAYSTYHEMLDRGILPDVVTYSSIIAALCKAQAMDKAMEVLTTMVKNGVMPDCMTYTSIMHGYCSSGQPKEAIGFLKKMRSDGVEPDVVTYNSLMDYLCKNGRCTEARKIFDSMTKRGLKPDITTYGTLLQGYATKGALVEMHALLDLMVRNGIHPDHYVFSILICAYAKQGKVEEAMLVFSKMRQQGLNPDTVTYGTVIGILCKSGRVEDAMLYFEQMIDEGLSPGNIVYNSLIHGLCTCNKWERAEELILEMLDRGICLNTIFFNSIIDSHCKEGRVIESEKLFDLMVRIGVKPDIITYSKMDEATKLLASMVSVGMKPDCVTYSTLINGYCKISRMKDALVLFREMESSGVSPDIITYNIILQGLFQTRRTAAAKELYVGITKSGTQLELSTYNIILHGLCKNKLTDDALRMFQNLCLMDLKLEARTFNIMIDALLKVGRNDEAKDLFVAFSSNGLVPNYWTYRLMAENIIGQGLLEELDQLFLSMEDNGCTVDSGMLNFIVRELLQRGEITRAGTYLSMIDEKHFSLEASTASLFIDLLSGGKYQEYYSPIRGGIFSVCVNSETGACGQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHQLTTRLVVPSNKVGCILGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQAAEQIHGREDYRRLSGIQVALSNCGFQIVNWSLSLVLVISGARVKLHEAHPGSSESIVEIQGIPDQVKAAQSLLQGFIGASSNSRQAPQSSRMAHYF >ONIVA10G16120.2 pep chromosome:AWHD00000000:10:16700945:16706208:-1 gene:ONIVA10G16120 transcript:ONIVA10G16120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASRFVLIHDFVSYAIFFTLTPAPLISFPNSLSGDKVRAARIGELRTRAGMARRAASRALRSEGSIQGRGGRAGGGGGGAEDARHVFDELLRRGRGASIYGLNRALADVARHSPAAAVSRYNRMARAGAGKVTPTVHTYAILIGCCCRAGRLDLGFAALGNVVKKGFRVEAITFTPLLKGLCADKRTSDAMDIVLRRMTELGCIPDVFSYNILLKGLCDENRSQEALELLHMMADDRGGGSPPDVVSYNTVLNGFFKEGDSDKAYSTYHEMLDRGILPDVVTYSSIIAALCKAQAMDKAMEVLTTMVKNGVMPDCMTYTSIMHGYCSSGQPKEAIGFLKKMRSDGVEPDVVTYNSLMDYLCKNGRCTEARKIFDSMTKRGLKPDITTYGTLLQGYATKGALVEMHALLDLMVRNGIHPDHYVFSILICAYAKQGKVEEAMLVFSKMRQQGLNPDTVTYGTVIGILCKSGRVEDAMLYFEQMIDEGLSPGNIVYNSLIHGLCTCNKWERAEELILEMLDRGICLNTIFFNSIIDSHCKEGRVIESEKLFDLMVRIGVKPDIITYSKMDEATKLLASMVSVGMKPDCVTYSTLINGYCKISRMKDALVLFREMESSGVSPDIITYNIILQGLFQTRRTAAAKELYVGITKSGTQLELSTYNIILHGLCKNKLTDDALRMFQNLCLMDLKLEARTFNIMIDALLKVGRNDEAKDLFVAFSSNGLVPNYWTYRLMAENIIGQGLLEELDQLFLSMEDNGCTVDSGMLNFIVRELLQRGEITRAGTYLSMIDEKHFSLEASTASLFIDLLSGGKYQEYYSPIRGGIFSVCVNSETGACGQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHQLTTRLVVPSNKVGCILGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIERGALTEIASRL >ONIVA10G16120.3 pep chromosome:AWHD00000000:10:16698468:16700928:-1 gene:ONIVA10G16120 transcript:ONIVA10G16120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPIIRHLLPLLMVLLLISCLTRNSCYMDDLLIVPHMEGLLMIHRMEDLPLIHHMEDQYPQYGRPANDPPYRRPYFSKREYPSGSSKVAPSASYERYAATTRLPNRELPSSISPGADYMSCRSYLDQVPTDRYSNRVTLQLGLSRAGNSNVQQLGITRAGNSNAYDYTEAAEQIHGREDYRRLSGIQVALSNCGFQIVNWSLSLVLVISGARVKLHEAHPGSSESIVEIQGIPDQVKAAQSLLQGFIGASSNSRQAPQSSRMAHYF >ONIVA10G16120.4 pep chromosome:AWHD00000000:10:16698468:16700452:-1 gene:ONIVA10G16120 transcript:ONIVA10G16120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPHASAAYQPYLEYFSKREYPSGSSKVAPSASYERYAATTRLPNRELPSSISPGADYMSCRSYLDQVPTDRYSNRVTLQLGLSRAGNSNVQQLGITRAGNSNAYDYTEAAEQIHGREDYRRLSGIQVALSNCGFQIVNWSLSLVLVISGARVKLHEAHPGSSESIVEIQGIPDQVKAAQSLLQGFIGASSNSRQAPQSSRMAHYF >ONIVA10G16110.1 pep chromosome:AWHD00000000:10:16693110:16694658:1 gene:ONIVA10G16110 transcript:ONIVA10G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGADEVTPNLYTYSVLIGCCCRAGRLDNVIKKGFRVEAITFTPLLKGLCADKRTSDAMDIVLCRMTQLGCIPNVFSCTILLKGLCDENRSQEALELLQMMPDDGGDCPPDVVLYNTVINGFFKEGDPDKAYATYHEMFDQGILPDVVTYSSIIAALCKAQAMDKAMEVLNTMVKNGVMPNCRTYNSIVHGYCSSGQLTEAIGFLKMMCSDGVEPDVVTCNLLMDYLCKNRRCTEARKIFNSMTKCGLKPDITTYCTLLQGYATKGALVEMHDLLDLMVWNGIQPNHHVFNILICAYAKQEKVDEAMLVFSKMRQQGLSPNAVNYRTIIDVLCKLGRVYDAVLTLKQMINEGLTPDIIVYTPLIHGRYM >ONIVA10G16100.1 pep chromosome:AWHD00000000:10:16664382:16668114:-1 gene:ONIVA10G16100 transcript:ONIVA10G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGETLRAELSSRTPPFGLRLWIVIGISIWVVIFFILGFMCLWSIYRRKPKKSFDKIPVSQIPDVSKEIAVDEVREHAVVENFRVQESHAISVQEKHYEKDSGKMLAHLVRSKSSDADNLSQCSSVYQCDRAGSSYSGDEGSSGNARRHFSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGVVYRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKVVLGIAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKMLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGTRRAEEVVDPDMEVKPTIRALKRALLVALRCVDPDSEKRPTMGHVVRMLEAEDVPSREVDRRSRRGNTANADTESKTSSSEFEISGDRRDSGPSARRLLDYQYEPLSLF >ONIVA10G16090.1 pep chromosome:AWHD00000000:10:16650691:16659722:-1 gene:ONIVA10G16090 transcript:ONIVA10G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAAKLPLLFLLLVGATATTSTANHAPAPAAGSCVPREREALLASKRGITGDPAGRLASWKRGNHDCCRWRGVRCSDNLIGHVFGLHPQNNFSWYNEATALVGHISTSLLALEQLEHLDLSNNNLVGPAGRFPGISYWPRVMNMNAYLRALYLSHCSLTSASQSILQLNFTRLKELDLSHNNFNQPLASCWFWNLTSLKYLDLSGNNIVGSLPAAVSKFTSLDTLDLSENQLFGSVPYEISMLTSLTDINLRVNNLTGEITEKHLAGLKSLKNIDLSSNHYLKIVVGPEWQPPFKLEVAIFESCQLGPKFPSWLQWMVDIKILDIWNTGITDQLPH >ONIVA10G16080.1 pep chromosome:AWHD00000000:10:16640074:16648202:-1 gene:ONIVA10G16080 transcript:ONIVA10G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSIRVLRLSLCHLQSANHSLAHYNLTKLEKLDLSFNYFDHPYASCWFWNSTSLKYLDLSSNNLYDQLPNALGHMASLRVINFSENAHMGIMEPELMTNLCSLEILNLDGSLSYGNMTELLDSLSNCSNKLSELNLADNNISGTLPPGIFQQFADLVTLDASNNQLTGSLPVEIGMLSDLNQLDLSRNNLAGDITEEHFANLRSLKYIDLSSNDPLNIVVDPTWIAPFRLERASFPACMMGPQFPTWLQWSVDIWLLEISNTGIKDKLPDWFWTTFSKLEELDMSNNQISGVLPTNMETMALSYLYLGSNQISGPLPPLPRKIVKLDVSNNSLSGSLPSEFGAQNQQMDTLILSFNNLSGHIPESFCRMEQLAALDLANNHFEGELPQCFGMTGMVVVLLQNNRFSGSFPVFLERSTELQLVDLSRNNFSGKLPAWIGDKKELVLLLLSHNVFSGIIPINITNLSNLRQLNLAGNSLSGNIPWRLSNLEAMKEDNYIFNLDIPNDSSYNNLSVFTKRTELFYGPNIFSAVNIDLSSNYLVGQIPEEIASLALLKNLNLSRNYLSGKIPQKIGSLWSLESLDLSRNKLSGEIPPSLSNLSYLSDLDLSHNNLSGRIPSGSQLDTLYFEHPDMYSSNDGLFGFPLQRNYSEGIAPKQGYHDHSKTRQVAEPMFFYLGLVSGFVVGLWVVFCTILFKKTWRIAYFSLFDKACDKIYVFTVVTWARVSQKTNPFTRSHHASQVITAVLPHDRSIAHQCHGKPCTGSSRRQLRALLAFKRGITGDPAGRLASWKEDDHDCCRWRGVSPLEFNAVALVGRITSSLLSLEHLEHLDLSNNNLTGPDGRFPVFVASLRNLQYLDLSGLGFTGMVPYQLGNLSKLEFLDLSGTGMQSADISWLTRLQWLKYLYLSSVNLSAISDWAHVVNKIPSLTVLSLSGCSLTRVDHSLKHVNLTRLEKLHLSGNDFSHPLSSCWFWILKTLIYLDLESTGLYGRFPNAITNMTSLQVLDFSRNNNAGILEPILLRNLCNLESLNLQLGLLSGNMTELLESLSHCSPNKLRKLYLSNNNITGTLPAQSMGQFTSLANIGFSFNQLTGHVPPEIGKLASLTHLDLSENKLTGTITDEHFGGLVSLTYIDLSYKQTQDCYRP >ONIVA10G16080.2 pep chromosome:AWHD00000000:10:16648205:16650654:-1 gene:ONIVA10G16080 transcript:ONIVA10G16080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKIIGSLPTNMETMSLESLYLGSNQITGVIPTLPPNLTWLEIQNNMVSRIVASKTFGAPNLGYMDLSSNNIKGPIPGSICELQYLRFLNLANNYLVGEFPHCIGMTEVNYLFLNNNSLSGRVPSFLKGCKQLMHLDLSQSKFHGRLPSWIGDFPAVRSLILNNNVLSGHIPINITNLTNLQDLDLSQNKFHGRLPNWIGDLPKVQPCAPHEIMNPTGKPLLLLLGLLLLATTTLSRAIHTVPVPKLGSGATAVACVPRERDALLAFKRGITSDHLGLLTSWRQDDHDCCRWRGITCSNLTGHVLKLHLSGIYTNEHTGMEGLVGQISPQLLYLDHLEHLDLSINYLEGPSGQIPEFIGSMKSLRYLKLSGIPFSGTVPPQLGNLSKLQHLDLSDLEGKEVRLVIGLMP >ONIVA10G16070.1 pep chromosome:AWHD00000000:10:16633813:16635022:-1 gene:ONIVA10G16070 transcript:ONIVA10G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHGTVHEEKKEEEEEEEGEKETRKTAQLNGCGSGSVSCVIAKRPTPASLREAAPAHTTKTPRATPSADSRPALAPCGAFCLRLGPFRRTTSRHRGSRPADSTVLPLAPDSSAGHAGLKSQAPPATRGFKYTVVAKDPAHLDRSASWASASASAVC >ONIVA10G16060.1 pep chromosome:AWHD00000000:10:16620709:16622733:-1 gene:ONIVA10G16060 transcript:ONIVA10G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTQKFLLFLLVGVAATLSHATNSPVPQWPASCTPREREALLAFKRGITGDPAGRLTSWKRGSHDCCQWRGVRCSNLTGHVLELHLRNNFPRYDEATALVGHISTSLISLEHLEHLDLSNNNLVGPAGRFPRFVSSLRNLIYINFSGMPLTGMVPPQLGNITKLQYLDLSHGIGMYSTDIQWLTNIPALRYLGLSNVNLSRVSDWPRVVNMNSYLIVLDLSGCSLTSASQSFSQLNLTRLEKLDLSYNNFNQPLASCWFWNLTSLTYLDLIMNILPGQFPDSLGDMKALQVFRFSSNGHSIIMPNLLRNLCNLEILDLGGLSSCNITELLDSLMHCLTKRIRKLYLWDNNITGTLPTGVGKFTSLDTLDLSDNQLTGSVPYEISMLTSLAKINLSLNNLTGEITKEHLAGLKSLKSIDLYYNPYLKIVLGDEWLPPFRLEVARFGSCQLGPMFPSWLQWMVDIKVLDIYNTGINDQLPHWFWTVFSRATELVMSSNKISGSLPTNMETMSLEHLLLGSNQITGVIPILPPNLIWLEIQNNMLSGSVASKTFGAPQLGFMDLSSNNITGPIPGSICELLNLDYLNLANNHLEGEFPRCIGFKNLELFHLNNNSLSGKVPSFLKGCKRLKYLDLSQNKFHGRLPSWIGDLPNVERIILNNNLLSGHIPTSITITE >ONIVA10G16050.1 pep chromosome:AWHD00000000:10:16612463:16613053:-1 gene:ONIVA10G16050 transcript:ONIVA10G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLPLNRNSAHWYVAVLDGVNEKIQILDSLHMDRTSYDAEKTLTTTV >ONIVA10G16040.1 pep chromosome:AWHD00000000:10:16606273:16609275:1 gene:ONIVA10G16040 transcript:ONIVA10G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASMIKYGENVLEAKKNPDWICPVVSLGYKSVAHYLIATQRASPSGSADSNKVAATKPEASSESEVTDKAPAAKEDLEDAEMSGQAIQKVEADHQVNNPPDDSDNDDSTSETVVTSDSQDCQVNLDIGCATPSKPTGPKKRKWIERSPDCVASRLRSRSNKS >ONIVA10G16030.1 pep chromosome:AWHD00000000:10:16602783:16605639:-1 gene:ONIVA10G16030 transcript:ONIVA10G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAGGMSPLLRKLGDLLMAELSLDKRTRKGIESLRRELPVMLAALHMVGEVPPEQLDPVVKAWAHQVRELSYDMEDAVDAYMVRVEEEGHKPANLKNRVKKFVRKTSRLFTKGKDLRQIVTSIDDAQDLAMQLDELHQRYGLDLHGANAAAGASSIDPRLMSMYKDVRELVGISGRTKELIDMLFAPDGSEHRLKTVSIVGFGGLGKTTLAKAVYDKIVRSHFDCGAFVSIGRNPDVNKVFKDVLYGLDKQKYDNIHNTARDQKHLIDEVRYFLENKRYFIIIDDIWNEKMWEIINCAFPENNRGNRVITTTRIISVSEKCCASHLGIYKMKPLSSNDSETLFYKRIFNDGKGCPQHLLEVTKGILKKCGGVPLAIITIASLLANKQVRTEEQWSTVLKSIGRGITEGGTVDDMRKILSFSYHDLPPHLKSCLLYMSVFPEDDNIRRDRLIWTWIAQGFVQQKEAEENSLFELGERCFNELINRSMVQLVDFDYYSEHFCRLHDMVLELICSLSREENFVSTLDDVGQSTCLQSKVRRLSLQVQDCRIEHTSPLATIDLSYVRSFTGLSTSINLIPSLSRFHVLRVLDLEGCDFSEGGNPSLRHISKLFHLRYLGLRGTHVGELPKGLGKLRFLQIFDLEDADIKELPSDVTQLGQLRCLYVDFMTRLPKGMGHLKEAQVLSGIDIGKCPDLVRELRNVTGLRELHIKWDVSAHIESKKTLQGILVESLSSLNKIHTLTILSTSRQSLDVMGECWVAPPHLRRFELYGDAYFSRMPEWIKRDPLLLTELSVLRIGFDGLLLQAEDMRILGMLPALAILSLFAPSKMLLKQEAMPMVERLWLYTSVRKMIDDGSYDPGLGLVNLLSLEHADVMLMRDNAKVQEVEQVEAALMDAIRDHPNHFTLKLW >ONIVA10G16020.1 pep chromosome:AWHD00000000:10:16594131:16594753:1 gene:ONIVA10G16020 transcript:ONIVA10G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRRRLRRRHCFRPRCRSICFRSMLKRHLAHGERGGRREDVYDSETVTTATATSIFGSVAGSGSYRGRSGQTWCLGAAPTPACISAALSSCSL >ONIVA10G16010.1 pep chromosome:AWHD00000000:10:16588368:16592214:1 gene:ONIVA10G16010 transcript:ONIVA10G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRGAAANAPGRRGRPPKAAEEAARSPVLSSPVVAAADAAEGYERERAERIRENMERMQKLGILDLATRFNQSAGGLAGSGSGRGRGRRKAPVTPGSVGVGRMIKPASPSPARRSLRLKNVEPVSYCEIRTKKDKDDNEGGNSVLIEAGSKEEVYTEEDEKLLGPCVEPWTLFVDGYGKDGKRIYDQVRGQTCHQCRQKTLGHHTSCCKCQIVQGQFCGDCLYMRYGENVLEAKKNPDWICPVCRGICNCSICRTKKGWFPTGAAYRKVVSLGYKSVAHYLIATQRASPAGSADSNKVAATKSEASSESDQAPVAEDQEDAEMSGKAIQKVEADHEVNNPPDDSDNDDSRSESVVTSDSQDCQVNLDIGCGTPSKPTGPKKRKWIESPDCVASRLRSRSNKS >ONIVA10G16000.1 pep chromosome:AWHD00000000:10:16583153:16583596:-1 gene:ONIVA10G16000 transcript:ONIVA10G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAGGDGKEAINEQIIANTYGNMRSEMTQLYTKITELEMEVSEHSLVIGAIEPLDHSRRCYRMVGGVLVERTIREVLPAVHRNKEGLEEVIARMHEALEKKKKEITEFELKYKIRIRKADSNADEEEGSKKEGSAQGVLVGPAGQ >ONIVA10G15990.1 pep chromosome:AWHD00000000:10:16574874:16578537:1 gene:ONIVA10G15990 transcript:ONIVA10G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMMQLLLGLALCMWAGLAVPAKFLSVLPHSKENRLMETLQMNVNVLDNRHITVLLVLPPNNEEQMDFVILKVEKRDMMISQRKKA >ONIVA10G15980.1 pep chromosome:AWHD00000000:10:16573243:16582275:-1 gene:ONIVA10G15980 transcript:ONIVA10G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGKPNPGGGGGVVAKGRKRKYLPHGKPVRKGAYPLRPGVQGFFLTCDGGRERQATREALSLLDSFYEDLVDGKGSDGKPKSIPDKPLNKKITFADSDSSDDEEEDHSGEVTDDTNNADKGETTPSEQQKEVSDTPVVPSKDNEEQTDNADESKLKKQRVQDPPISEQTEPKEPTDKPTESTDKPKGSNDKPIDDLIDEDLKELGDRKKRLFATLDSGCNGCIFIQMHKRDGDPGPVEIVQNMMSSAASTRKHMSRFILRFLPTEVTCYASEEEITKAISPLVEKYFPKESSSVYKFAVLYEARSNTGIDRMKIINAVAKSVPQPHKVDLSSPDRTIIVQIAKNSSYVIFSTARSAANGQKQTNGLRGGRGGVGDGGLGVWRGGVDGVRQIRREPKVGAALRDGGRVAGARLRQIRHESKTICMIGVVERYKELAKFNLRQLTSPPEK >ONIVA10G15980.2 pep chromosome:AWHD00000000:10:16573241:16582275:-1 gene:ONIVA10G15980 transcript:ONIVA10G15980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGKPNPGGGGGVVAKGRKRKYLPHGKPVRKGAYPLRPGVQGFFLTCDGGRERQATREALSLLDSFYEDLVDGKGSDGKPKSIPDKPLNKKITFADSDSSDDEEEDHSGEVTDDTNNADKGETTPSEQQKEVSDTPVVPSKDNEEQTDNADESKLKKQRVQDPPISEQTEPKEPTDKPTESTDKPKGSNDKPIDDLIDEDLKELGDRKKRLFATLDSGCNGCIFIQMHKRDGDPGPVEIVQNMMSSAASTRKHMSRFILRFLPTEVTCYASEEEITKAISPLVEKYFPKESSSVYKFAVLYEARSNTGIDRMKIINAVAKSVPQPHKVDLSSPDRTIIVQIAKTICMIGVVERYKELAKFNLRQLTSPPEK >ONIVA10G15970.1 pep chromosome:AWHD00000000:10:16564188:16568457:-1 gene:ONIVA10G15970 transcript:ONIVA10G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRREIGELRDRMDRTLALPDLADEELLRSLVKRQILASSLSAGNDEGNIDLIAEARSKEISNFLEMLNTSGNERSSKIHEASHKEWKVKQDTDQLRVMYREGPEGTPFHTLLAEGFADGPIDVCTCVSWESSLYKKWFPQYNLPTFKVAQSGCLKKVRVGEEISLVSWIFSLMDVVTNICIHYLEERVKVPWPVSEREALLHYFELEYLKEDLVIVIMKTISDTDNINIETHGFSRDGIPEAGDTVRIDVVGGFVLQRITKEKSFFRAIANMDIKLDFVPPWLINFISRQLIGSGHKLYQKAVSTVANCDEDYKKALREPLYVRIREHRGSTDIANATPVEERATEALPDNPTLQNVLAVTNITSNSEIVEEESEQKALFKLDHHAIGPSNPPAEQEQHVENKPYISPEVEQALNILDKAIAIIRGNNAGSASVVQKFIGYDVTLDGSTPDSRNSHNIPNEHPATLPPRDSRETQHTYSLSNENVNHREKDALDSDSQRYTTASTVTKTMSMTRRSTTRVHGEESLDTNGLHQNGFHKDKESKRTRKRKTNSWLCCLTPSTTG >ONIVA10G15960.1 pep chromosome:AWHD00000000:10:16556937:16560586:1 gene:ONIVA10G15960 transcript:ONIVA10G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATALLCSSLLLLSCFYAQVSPGSSSSSRSTAMADEPALLSFKSMLLSDGFLASWNASSHYCSWPGIVCGGRHPERVVALQMSSFNLSGRISPSLGNLSLLRELELGDNQFTGDIPPEIGQLTRLRMLNLSSNYLQGSIPASIGECAELMSIDLGNNQLQGEIPAELGALKNLVRLGLHENALSGEIPRSLADLQSLGALSLFKNRLHGEIPPGLGNLTNLYHLLLAHNMLSGAIPSSLGMLSGLSWLELGFNNLTGLIPSSIWNVSSLTELNLQQNMLHGTMPPDVFNSLPHLQHLYINDNQFHGNIPVSIGNVSALSRIQIGFNSFGGIIPPEVGRLRNLTSLEAEHTFLEAKDQKGWGFISALTNCSKLQALFLGNNRFEGVLPVSISNLSVYLEYLYLDFNAISGSLPEEIGNLVRLEALLLHNNSFTGILPSSLGRLKNLQVLYIDNNKISGSIPLAIGNLTELNYFRLDVNAFTGRIPSALGNLTNLVELGLSSNNFTGSIPVEIFKIHTLSLTLDISNNNLEGSIPQEIGGLKNLVQFYADSNKLSGEIPSTLGECQLLQNISLQNNFLSGSVPSLLSQLKGLQILDLSNNNLSGQIPTFLSNLTMLSYLNLSFNDFSGEVPTFGVFSNLSAISIHGNGKLCGGIPDLHLPRCSSQSPHRRQKLLVIPIVVSLAVTLLLLLLLYKLLYWRKNIKTNIPSTTSMEGHPLISHSQLVRATDNFSATNLLGSGSFGSVYKGEINNQAGESKDIAVKVLKLQTPGALKSFIAECEALRNLRHRNLVKIITACSSIDNSGNDFKAIVFEFMPNGSLDGWLHPDNNDHTEQRYLNILERVSILLDVAYALDYLHCHGPAPVIHCDIKSSNVLLDSDMVARVGDFGLARILDQQNSVFQPSTNSILFRGTIGYAAPEYGAGNTVSTQGDIYSYGILVLETVTGKRPSDSKFTQGLSLCESVSLGLHGKVMDIVDNKLCLGIDQHDPETTDDFSSKQKIDCLISLLRLGLSCSQEMPSSRLSTGDIIKELHAIKESLLLEIEDTEK >ONIVA10G15950.1 pep chromosome:AWHD00000000:10:16554981:16556481:1 gene:ONIVA10G15950 transcript:ONIVA10G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWPPSGMERGARRPEALAAGISKGDGQRGRNLSHLSSSSRSAQEQGGKTGSSAAAHVAIQAHRKSSRERQPNTKYSTHFVPF >ONIVA10G15940.1 pep chromosome:AWHD00000000:10:16527923:16540617:1 gene:ONIVA10G15940 transcript:ONIVA10G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCTKLMTLHLGNNQLQEIPQSLAELPSLELLSLSHNKLSGEVPSALSNLTNLLNIRFSNNMLSGVIPSSLGMLPNLYELSLGFNNLSGPIPTSIWNISSLRVLSVQGNMLSGTIPANAFETLPHLEELYMDHNHLHGKIPVSLGNSSNMSMIILGANLFNGIVPQEIGRLRKLEQLVLTQTLVGAKEQKDWEFITALANCSQLQVLVLRMCEFGGVLPNSLSSLSTSLKYLSLSYNNISGSIPKDIGNLFNLQVLDLSWNSFTGTLPSSLGRLKNLHYFNVYNNDLGGPIPSTIGNLTGLITLYLMSNTFSGRLTNSLANLTKLTELDLSSNNFIGPIPSGLFNITTLSIALELSYNKFEGSIPQEIGNLVNLVKFNAESNKLSGEIPSTLGQCQNLQDLTLQNNMLNGNIPEQLSQLKSLQTLDFSRNNLSGEIPKFIENFTMLSYLNLSFNMFTGEVPINYCRPLIAIHTFCLAQEDPNRNPFNYIHARPSSGSGSFGSVYKGELDAQIGESPYYVAVKVLKLQTSGVFKSFAAECNALRNLRHRNLVKIITACSSIDNSGNDFKAIVFDFMPNGSLEGWLHPDKDDQIDHKYLNLLERVGILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDAEMVAHLGDFGLAKILVEGNSLLQQSTSSMGFRGTIGYAPPEYGAGNTVSTLGDIYSYGILVLEMVTGKRPIDNKSIQGLNLREYVELGLHGKMMDVVDTQLFLGLENEFHTADDSSCKGRIDCLVSLLRLGLYCSQEMPSNRMSTGDIIKELIAIKQSLHSPASCWCAYVPMLWLRLLLLLLLLRNGATKATDELALLSIKSMLSSPSSSPLASWNSTSSIHHCSWPGVVCSRRHPGRVAALRMASFNLSGAISPFLANLSFLRELDLAGNQLAGEIPPDIGRLGRLETVNLAANALQGTLPLSLGNCTNLMVLNLTSNQLQGEIPSTIGARMVNLYMLDLRQNGFSGEIPLSLAELPSMEFLFLYSNKLSGEIPTALSNLSGLMHLDLDTNMLSGAIPSSLGKLSSLIWLNLANNNLSGTIPSSIWNISSSLWGLNIQQNNLVGVVPTDAFTALPELRTISMDNNRFHGRLPTSLVNVSHVRMLQLGFNFFSGTVPSELGMLKNLEQFLLFATLLEAKEPRDWEFITALTNCSRLKILELGASKFGGVLPDSLSNLSTSLQTLSLQYNTISGRIPKDIGNLIGLQSLTLDDNSFIGTLPSSLGRLQNLNLLSVPKNKISGSVPLAIGNLTKLSSLELQANAFSGEIPSTVANLTKLSALNLARNNFTGAIPRRLFNILSLSKILDISHNNLEGSIPQEIGNLINLEEFHAQSNILSGEIPPSLGECQLLQNVYLQNNFLNGTISSALGQLKGLESLDLSNNKLSGQIPRFLGNISMLSYLNLSFNNFSGEVPDFGVFANITAFLIQGNDKLCGGIPTLHLRPCSSGLPEKKHKFLVIFIVTISAVAILGILLLLYKYLNRRKKNNTKNSSETSMQAHPSISFSQLAKATEGFSATNLLGSGTFGSVYKGKIDGQTDESAEYIAVKVLKLQTPGAHKSFVAECEALKNLRHRNLVKVITACSSIDTRGYDFKAIVFDFMPNGSLEDWLHPKPVDQTEMKYLGLVQRVTILLDVAYALDYLHCRGPAPVVHCDIKSSNVLLDSDMVAHVGDFGLAKILAEGSSSLQHSTSSMGFRGTIGYAAPEYGAGNIVSTNGDIYSYGILVLETVTGKRPTDNRFRQGLSLREYVEQALHGETMDIVDSQLTLELENECETLQDSSYKRKIDCLISLLRLGVSCSHELPLSRMRTTDIVNELHAMRESLLREYRIEDGSYVNVTLE >ONIVA10G15940.2 pep chromosome:AWHD00000000:10:16527923:16540617:1 gene:ONIVA10G15940 transcript:ONIVA10G15940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCTKLMTLHLGNNQLQEIPQSLAELPSLELLSLSHNKLSGEVPSALSNLTNLLNIRFSNNMLSGVIPSSLGMLPNLYELSLGFNNLSGPIPTSIWNISSLRVLSVQGNMLSGTIPANAFETLPHLEELYMDHNHLHDPNRNPFNYIHARPSSGFLFPVGSGSFGSVYKGELDAQIGESPYYVAVKVLKLQTSGVFKSFAAECNALRNLRHRNLVKIITACSSIDNSGNDFKAIVFDFMPNGSLEGWLHPDKDDQIDHKYLNLLERVGILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDAEMVAHLGDFGLAKILVEGNSLLQQSTSSMGFRGTIGYAPPEYGAGNTVSTLGDIYSYGILVLEMVTGKRPIDNKSIQGLNLREYVELGLHGKMMDVVDTQLFLGLENEFHTADDSSCKGRIDCLVSLLRLGLYCSQEMPSNRMSTGDIIKELIAIKQSLHSPASCWCAYVPMLWLRLLLLLLLLRNGATKATDELALLSIKSMLSSPSSSPLASWNSTSSIHHCSWPGVVCSRRHPGRVAALRMASFNLSGAISPFLANLSFLRELDLAGNQLAGEIPPDIGRLGRLETVNLAANALQGTLPLSLGNCTNLMVLNLTSNQLQGEIPSTIGARMVNLYMLDLRQNGFSGEIPLSLAELPSMEFLFLYSNKLSGEIPTALSNLSGLMHLDLDTNMLSGAIPSSLGKLSSLIWLNLANNNLSGTIPSSIWNISSSLWGLNIQQNNLVGVVPTDAFTALPELRTISMDNNRFHGRLPTSLVNVSHVRMLQLGFNFFSGTVPSELGMLKNLEQFLLFATLLEAKEPRDWEFITALTNCSRLKILELGASKFGGVLPDSLSNLSTSLQTLSLQYNTISGRIPKDIGNLIGLQSLTLDDNSFIGTLPSSLGRLQNLNLLSVPKNKISGSVPLAIGNLTKLSSLELQANAFSGEIPSTVANLTKLSALNLARNNFTGAIPRRLFNILSLSKILDISHNNLEGSIPQEIGNLINLEEFHAQSNILSGEIPPSLGECQLLQNVYLQNNFLNGTISSALGQLKGLESLDLSNNKLSGQIPRFLGNISMLSYLNLSFNNFSGEVPDFGVFANITAFLIQGNDKLCGGIPTLHLRPCSSGLPEKKHKFLVIFIVTISAVAILGILLLLYKYLNRRKKNNTKNSSETSMQAHPSISFSQLAKATEGFSATNLLGSGTFGSVYKGKIDGQTDESAEYIAVKVLKLQTPGAHKSFVAECEALKNLRHRNLVKVITACSSIDTRGYDFKAIVFDFMPNGSLEDWLHPKPVDQTEMKYLGLVQRVTILLDVAYALDYLHCRGPAPVVHCDIKSSNVLLDSDMVAHVGDFGLAKILAEGSSSLQHSTSSMGFRGTIGYAAPEYGAGNIVSTNGDIYSYGILVLETVTGKRPTDNRFRQGLSLREYVEQALHGETMDIVDSQLTLELENECETLQDSSYKRKIDCLISLLRLGVSCSHELPLSRMRTTDIVNELHAMRESLLREYRIEDGSYVNVTLE >ONIVA10G15940.3 pep chromosome:AWHD00000000:10:16529292:16540617:1 gene:ONIVA10G15940 transcript:ONIVA10G15940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCTKLMTLHLGNNQLQGEIPAEIGSSLKNLINLYLTRNRLSGEIPQSLAELPSLELLGFNNLSGPIPTSIWNISSLRVLSVQGNMLSGTIPANAFETLPHLEELYMDHNHLHGKIPVSLGNSSNMSMIILGANLFNGIVPQEIGRLRKLEQLVLTQTLVGAKEQKDWEFITALANCSQLQVLVLRMCEFGGVLPNSLSSLSTSLKYLSLSYNNISGSIPKDIGNLFNLQVLDLSWNSFTGTLPSSLGRLKNLHYFNVYNNDLGGPIPSTIGNLTGLITLYLMSNTFSGRLTNSLANLTKLTELDLSSNNFIGPIPSGLFNITTLSIALELSYNKFEGSIPQEIGNLVNLVKFNAESNKLSGEIPSTLGQCQNLQDLTLQNNMLNGNIPEQLSQLKSLQTLDFSRNNLSGEIPKFIENFTMLSYLNLSFNMFTGEVPINYCRPLIAIHTFCLAQEDPNRNPFNYIHARPSSGSGSFGSVYKGELDAQIGESPYYVAVKVLKLQTSGVFKSFAAECNALRNLRHRNLVKIITACSSIDNSGNDFKAIVFDFMPNGSLEGWLHPDKDDQIDHKYLNLLERVGILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDAEMVAHLGDFGLAKILVEGNSLLQQSTSSMGFRGTIGYAPPEYGAGNTVSTLGDIYSYGILVLEMVTGKRPIDNKSIQGLNLREYVELGLHGKMMDVVDTQLFLGLENEFHTADDSSCKGRIDCLVSLLRLGLYCSQEMPSNRMSTGDIIKELIAIKQSLHSPASCWCAYVPMLWLRLLLLLLLLRNGATKATDELALLSIKSMLSSPSSSPLASWNSTSSIHHCSWPGVVCSRRHPGRVAALRMASFNLSGAISPFLANLSFLRELDLAGNQLAGEIPPDIGRLGRLETVNLAANALQGTLPLSLGNCTNLMVLNLTSNQLQGEIPSTIGARMVNLYMLDLRQNGFSGEIPLSLAELPSMEFLFLYSNKLSGEIPTALSNLSGLMHLDLDTNMLSGAIPSSLGKLSSLIWLNLANNNLSGTIPSSIWNISSSLWGLNIQQNNLVGVVPTDAFTALPELRTISMDNNRFHGRLPTSLVNVSHVRMLQLGFNFFSGTVPSELGMLKNLEQFLLFATLLEAKEPRDWEFITALTNCSRLKILELGASKFGGVLPDSLSNLSTSLQTLSLQYNTISGRIPKDIGNLIGLQSLTLDDNSFIGTLPSSLGRLQNLNLLSVPKNKISGSVPLAIGNLTKLSSLELQANAFSGEIPSTVANLTKLSALNLARNNFTGAIPRRLFNILSLSKILDISHNNLEGSIPQEIGNLINLEEFHAQSNILSGEIPPSLGECQLLQNVYLQNNFLNGTISSALGQLKGLESLDLSNNKLSGQIPRFLGNISMLSYLNLSFNNFSGEVPDFGVFANITAFLIQGNDKLCGGIPTLHLRPCSSGLPEKKHKFLVIFIVTISAVAILGILLLLYKYLNRRKKNNTKNSSETSMQAHPSISFSQLAKATEGFSATNLLGSGTFGSVYKGKIDGQTDESAEYIAVKVLKLQTPGAHKSFVAECEALKNLRHRNLVKVITACSSIDTRGYDFKAIVFDFMPNGSLEDWLHPKPVDQTEMKYLGLVQRVTILLDVAYALDYLHCRGPAPVVHCDIKSSNVLLDSDMVAHVGDFGLAKILAEGSSSLQHSTSSMGFRGTIGYAAPEYGAGNIVSTNGDIYSYGILVLETVTGKRPTDNRFRQGLSLREYVEQALHGETMDIVDSQLTLELENECETLQDSSYKRKIDCLISLLRLGVSCSHELPLSRMRTTDIVNELHAMRESLLREYRIEDGSYVNVTLE >ONIVA10G15940.4 pep chromosome:AWHD00000000:10:16529292:16540617:1 gene:ONIVA10G15940 transcript:ONIVA10G15940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCTKLMTLHLGNNQLQGEIPAEIGSSLKNLINLYLTRNRLSGEIPQSLAELPSLELLSLSHNKLSGEVPSALSNLTNLLNIRFSNNMLSGVIPSSLGMLPNLYELSLGFNNLSGPIPTSIWNISSLRVLSVQGNMLSGTIPANAFETLPHLEELYMDHNHLHGKIPVSLGNSSNMSMIILGANLFNGIVPQEIGRLRKLEQLVLTQTLVGAKEQKDWEFITALANCSQLQVLVLRMCEFGGVLPNSLSSLSTSLKYLSLSYNNISGSIPKDIGNLFNLQVLDLSWNSFTGTLPSSLGRLKNLHYFNVYNNDLGGPIPSTIGNLTGLITLYLMSNTFSGRLTNSLANLTKLTELDLSSNNFIGPIPSGLFNITTLSIALELSYNKFEGSIPQEIGNLVNLVKFNAESNKLSGEIPSTLGQCQNLQDLTLQNNMLNGNIPEQLSQLKSLQTLDFSRNNLSGEIPKFIENFTMLSYLNLSFNMFTGEVPINYCRPLIAIHTFCLAQEDPNRNPFNYIHARPSSGSGSFGSVYKGELDAQIGESPYYVAVKVLKLQTSGVFKSFAAECNALRNLRHRNLVKIITACSSIDNSGNDFKAIVFDFMPNGSLEGWLHPDKDDQIDHKYLNLLERVGILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDAEMVAHLGDFGLAKILVEGNSLLQQSTSSMGFRGTIGYAPPEYGAGNTVSTLGDIYSYGILVLEMVTGKRPIDNKSIQGLNLREYVELGLHGKMMDVVDTQLFLGLENEFHTADDSSCKGRIDCLVSLLRLGLYCSQEMPSNRMSTGDIIKELIAIKQSLHSPASCWCAYVPMLWLRLLLLLLLLRNGATKATDELALLSIKSMLSSPSSSPLASWNSTSSIHHCSWPGVVCSRRHPGRVAALRMASFNLSGAISPFLANLSFLRELDLAGNQLAGEIPPDIGRLGRLETVNLAANALQGTLPLSLGNCTNLMVLNLTSNQLQGEIPSTIGARMVNLYMLDLRQNGFSGEIPLSLAELPSMEFLFLYSNKLSGEIPTALSNLSGLMHLDLDTNMLSGAIPSSLGKLSSLIWLNLANNNLSGTIPSSIWNISSSLWGLNIQQNNLVGVVPTDAFTALPELRTISMDNNRFHGRLPTSLVNVSHVRMLQLGFNFFSGTVPSELGMLKNLEQFLLFATLLEAKEPRDWEFITALTNCSRLKILELGASKFGGVLPDSLSNLSTSLQTLSLQYNTISGRIPKDIGNLIGLQSLTLDDNSFIGTLPSSLGRLQNLNLLSVPKNKISGSVPLAIGNLTKLSSLELQANAFSGEIPSTVANLTKLSALNLARNNFTGAIPRRLFNILSLSKILDISHNNLEGSIPQEIGNLINLEEFHAQSNILSGEIPPSLGECQLLQNVYLQNNFLNGTISSALGQLKGLESLDLSNNKLSGQIPRFLGNISMLSYLNLSFNNFSGEVPDFGVFANITAFLIQGNDKLCGGIPTLHLRPCSSGLPEKKHKFLVIFIVTISAVAILGILLLLYKYLNRRKKNNTKNSSETSMQAHPSISFSQLAKATEGFSATNLLGSGTFGSVYKGKIDGQTDESAEYIAVKVLKLQTPGAHKSFVAECEALKNLRHRNLVKVITACSSIDTRGYDFKAIVFDFMPNGSLEDWLHPKPVDQTEMKYLGLVQRVTILLDVAYALDYLHCRGPAPVVHCDIKSSNVLLDSDMVAHVGDFGLAKILAEGSSSLQHSTSSMGFRGTIGYAAPEYGAGNIVSTNGDIYSYGILVLETVTGKRPTDNRFRQGLSLREYVEQALHGETMDIVDSQLTLELENECETLQDSSYKRKIDCLISLLRLGVSCSHELPLSRMRTTDIVNELHAMRESLLREYRIEDGSYVNVTLE >ONIVA10G15930.1 pep chromosome:AWHD00000000:10:16504722:16508238:1 gene:ONIVA10G15930 transcript:ONIVA10G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLTLSLLLVSSSLLLSPASSSGEDAADELALVSFKSYLLSGDGGSPSSSLSSWNASGHHCSWPGVVCNRRSNRVVALRLPSSNLSGLISPFLGNLSFLRELYLGGNRLSGEIPPELGRLRRLRWLNLSGNSLEGSIPAAAIAACTNLTGMDLTGNQLRGTIPSQIGAAMKNLVYLYLGKNNLTGAIPRSLATLPRIKHLFLDENTLSGMIPPDLGNLTTLERLNLYGNKLLSGDIPPSLGQLLNLREIDLGLNGLTGAIPASIWNISTLTVFSVQFNMLSGTIPPDVFNTLPHLTEILMGNNQFHGNIPASLANASDLSLIQLNNNFLSGVVPGEIGRLRNLSHLILFSNLVGTEQPNDWGFITALTNCSQLIDLDLTDNKLRGALPGSFSNLSVSLSSIRLGSNKITGSIPEDIGNLIGLQVINLSNNSFTGSLPSSLGRLKNVFRIFLYENNISGSIPSAIGNLTELSFLSLHINALSGSIPNTLGNLTKLLQLTLSYNNFTGQLPNGLFIQALSTVFDVAYNNLEGSIPQELGHLKNLAEFHAESNRLSGEIPSTIGECQLLRYFSLKNNLLTGSIPSALGELKGLEILDLSSNNLSGQIPKSLGDITMLHLLNLSFNSFVGVVPTVGVFANASGISIQGNAKLCGGIPDLHLPPCYQLLQNKKHKFPVVPVVVSLVAALAILSSLCILLTRHERSKKGTHLTPSVQGHPFVTYSQLVKATDGFSPTNLLGSGSFGSVYKGELGSQGGESTSLVAVKVLKLENPKALKSFAAECEALRNMKHRNLLKIVTICSSIDNKGNDFKAIVYDLMPNGSLEDWLHPKTNDQAEQKHLDLHQRVTILLDVACALDYLHHHGPEPVVHCDIKSSNVLLDADMVAHVGDFGLARILIEGSSLMQQSTSSMGIRGTIGYAAPEYGVGNTASTHGDIYSYGILVLETVTGKRPTDSTFRTAWSLRQYVEPGLHGRLTDVIDRKLVFDSENCLQAQDVSPCSRTSECLVSLLRLGLSLLSGIAIE >ONIVA10G15920.1 pep chromosome:AWHD00000000:10:16500007:16501106:-1 gene:ONIVA10G15920 transcript:ONIVA10G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTRGVTNTPPPPSVRASKRVETGFSPIAPTGGEGAARQHPQEGNIGAGNTVSTQGDIYSYDILVLETVTGKRPSDKKFTQGLSLCESVRVGLHGKVMDIVDNKLCLGIDQHDPETTDDFSSKQKIDCLISLLRLGLSCSQEMPSSRLSTGEIIKELHAIKESLLLEIKDTEK >ONIVA10G15910.1 pep chromosome:AWHD00000000:10:16493951:16497219:-1 gene:ONIVA10G15910 transcript:ONIVA10G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMMFFSSFFFSLLLSIHSYAMIISSPGRSSSSNGAGDELALLSFKSTLPSALQLASWNTSGHYCSWPGVACGSRHLQRVVSLRLGSFNLSGHVSPFLGNLSFLRELDLGDNQLVGQIPPELGRLVRLQVLNLSYNQLQGEIPAEIGISLKNLVYLNLGQNSLSGEIPPSLSELPLMEQLTLYYNRLSGEIPPALSNLTNLRVLHLDFNMLSGAIPSSFGLLPSLSRLTLGGNNLSGTIPDSFWNISSLTSYSVQQNMLNGTMPPDAFSNLPNLQSMFMDHNFFHGPIPRSIANASELWIVQLGSNSFSGIVPPEIGRLTNLQRLVLLETLLEAKEPKDWEFFTALTNCSQLQFLELGVSRFGGILPDSVSNLSTSLIQLNVNDNTISGSIPDDISNLKNLQYFDLSKNSFTGSLPSSLGNLTELNYMNLESNSFSGRIPSTLGNLGMLELLVLSSNNFAGQVPVELFNSTAVSVDLSYNNLEGSIPQEISNLKGLIEFYAQWNKLSGEIPSTIGECQLLQNLHLQNNILNGTIPSSLGQLQGLENLDLSNNNLSGEIPKLLGNLSMLYYLNLSFNNFVGQVPTFGVFANATAISIQGNDMLCGGTPHMHLPPCSSQLPKNKHTLVVIPIVLSLVATVVALALLYIMLRIRCKKSRTETSSTTSMQGHPLISYSQLVKATDGFSSTNLLGSGAFGSVYRGELDGQSSESANLVAVKVLKLQNPGALKSFTAECEALRNLRHRNLVKIVTACSSIDTRGNDFRAIVFEFMPNGSLEGWLHPDANEETEQRNLNILERVTILLDVAYALDYLHCHGPAPVVHCDIKSSNVLLDADMVAHVGDFGLARILVEGNSFLQESSSSIGFRGTIGYAAPEYGAGNTSSTHGDIYSYGMLVLETVTGERPTDSKFMHGLREYVELGMHDGVADIVDTRLSLGLHTDTAADGSSHKGRTDFLVSLLRLGLSCSQEMPSNRMSTGDIIKELSAIRQSLL >ONIVA10G15900.1 pep chromosome:AWHD00000000:10:16490009:16491375:1 gene:ONIVA10G15900 transcript:ONIVA10G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTHPPPTESAGSTAAAATADPASVMLERWGCLRGSTPANVVADDNTAAESRTSRGQPLRVALARASPPAISFICFDRGDDGYVIAAHGDSVLFRMSWNDYFVYMAAAGKPPSLTLLPVCDIPMNERCWVSKDRFKDSFRTTGRVFDQQDTGILRLRGGEEAPPLVAQLQIAHEAPFDTAELCVLRPGHGHGEWELKTAVPIVHHDGGGERRHGLEMWQETNVAVPVGDRFMCWANYDLATFLICDMAAADLDNPKLLYVPLPLIPWEYFRNIVATGADGGDDIVRFVSIDNRCCCGAPVIRSLCDRSSSAFMVTIWRLALRNAGGGDGGGEPMAWVKEAVLDCEELWAMLAPCDGLPRRVYVVCPFVSSENPDVVWFVACDCDDDEDGKNWTVEIDVRRKTLISVVPLPPHPHSHRCICYGKPLPAKLYGC >ONIVA10G15890.1 pep chromosome:AWHD00000000:10:16477004:16480531:1 gene:ONIVA10G15890 transcript:ONIVA10G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAIVDAIPLFFPQLKIQRRKDVFFAQSKLLASTKTFASLIAAKSIPSCSTASQDYPFPSSAPAASTIKPFLTSTAEQVIDSITIGDI >ONIVA10G15880.1 pep chromosome:AWHD00000000:10:16436706:16455286:1 gene:ONIVA10G15880 transcript:ONIVA10G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67420) TAIR;Acc:AT1G67420] MVDPAPWRRRPAAGGGGGGAAEAALVLLALAALYGAMSLVAYRVIHMRHVAPLGADAPLGDFSEGRVLHHLRRLSVDIPGRQEGSPGLEAAARYIKGQLEELAARAGPEYRIEVEESLVSGSFSMRFLRHRVTLTYRNHKNIVMRISSNVSEDQDLAFLVNGHFDSPLGSPGAADCASMLELSRLIIDSGWVPSQPVIFLFNGAEELFLLGSHGFIKTHKWNNTIGAFINIEASGSGGAVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTVENLLPGSIQARGENLFNLVKAFTNSPMLLKENKRSNEAAMPIKDDLRAIFFDYLTWFMSSSSHFPACTTIPDVSKHYLDVMVSDCSGFNERFAHPYLAFLMFVPTSLAGLFLPRIVWGLSEQAHFWGAFGLYSLITLFLIEKMGMMGSLPKPYGYFVADVIVGSVVGLVVGWCFGPVTPIASRWLAKTSILHGLLQVTVVGLAISSQLFPYSTGAPKRVVLQHTFVTDANSIVESHYGFSVVDANSLEFLFNNAPEAAKWLKDNSLLSFEEKYHSDRSSWLALYPVNFLFSGSLKFPSENEEIRKHYQHFPQMVIQKTSSNNGHRRMHLELSLGSLSEIWTSVLNITGPLSNWSFSDMTLPDPQSFSGGPPSYICRLSGESHENWSFWLEVVISVP >ONIVA10G15880.2 pep chromosome:AWHD00000000:10:16436706:16455286:1 gene:ONIVA10G15880 transcript:ONIVA10G15880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67420) TAIR;Acc:AT1G67420] MVDPAPWRRRPAAGGGGGGAAEAALVLLALAALYGAMSLVAYRVIHMRHVAPLGADAPLGDFSEGRVLHHLRRLSVDIPGRQEGSPGLEAAARYIKGQLEELAARAGPEYRIEVEESLVSGSFSMRFLRHRDLAFLVNGHFDSPLGSPGAADCASMLELSRLIIDSGWVPSQPVIFLFNGAEELFLLGSHGFIKTHKWNNTIGAFINIEASGSGGAVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTVENLLPGSIQARGENLFNLVKAFTNSPMLLKENKRSNEAAMPIKDDLRAIFFDYLTWFMSSSSHFPACTTIPDVSKHYLDVMVSDCSGFNERFAHPYLAFLMFVPTSLAGLFLPRIVWGLSEQAHFWGAFGLYSLITLFLIEKMGMMGSLPKPYGYFVADVIVGSVVGLVVGWCFGPVTPIASRWLAKTSILHGLLQVTVVGLAISSQLFPYSTGAPKRVVLQHTFVTDANSIVESHYGFSVVDANSLEFLFNNAPEAAKWLKDNSLLSFEEKYHSDRSSWLALYPVNFLFSGSLKFPSENEEIRKHYQHFPQMVIQKTSSNNGHRRMHLELSLGSLSEIWTSVLNITGPLSNWSFSDMTLPDPQSFSGGPPSYICRLSGESHENWSFWLEVVISVP >ONIVA10G15880.3 pep chromosome:AWHD00000000:10:16436706:16454531:1 gene:ONIVA10G15880 transcript:ONIVA10G15880.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67420) TAIR;Acc:AT1G67420] MVDPAPWRRRPAAGGGGGGAAEAALVLLALAALYGAMSLVAYRVIHMRHVAPLGADAPLGDFSEGRVLHHLRRLSVDIPGRQEGSPGLEAAARYIKGQLEELAARAGPEYRIEVEESLVSGSFSMRFLRHRVTLTYRNHKNIVMRISSNVSEDQDLAFLVNGHFDSPLGSPGAADCASMLELSRLIIDSGWVPSQPVIFLFNGAEELFLLGSHGFIKTHKWNNTIGAFINIEASGSGGAVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTVENLLPGSIQARGENLFNLVKAFTNSPMLLKENKRSNEAAMPIKDDLRAIFFDYLTWFMSSSSHFPACTTIPDVSKHYLDVMVSDCSGFNERFAHPYLAFLMFVPTSLAGLFLPRIVWGLSEQAHFWGAFGLYSLITLFLIEKMGMMGSLPKPYGYFVADVIVGSVVGLVVGWCFGPVTPIASRWLAKTSILHGLLQVTVVGLAISSQLFPYSTGAPKRVVLQHTFVTDANSIVESHYGFSVVDANSLEFLFNNAPEAAKWLKDNSLLSFEEKYHSDRSSWLALYPVNFLFSGSLKFPSENEEIRKHYQHFPQMVIQKTSSNNGHRRMHLELSLGSLSEIWTSVLNITGPLSNWSFSDMTLPDPQSFSGGPPSYICRLSGESHENWSFWLEVVISVP >ONIVA10G15860.1 pep chromosome:AWHD00000000:10:16397006:16402159:-1 gene:ONIVA10G15860 transcript:ONIVA10G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPSSSPLFGTPSTTPAFGAPSSTPAFGTPSTTPAFGTPSSTPAFGAPSSTPSFGTPSTAPAFGTPSSTPAFGAPSSTPAFGAPSSTPAFGTPSSTPAFGVAPSPSPSPFGFQQQMTPSPSPFGFAGGGGGQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTEPSQRVKPVAASDIMWAEAMGKLEGMDSSDRERLWPQLVQGFKDLSYRLKLQDGVLVSDSDRLSMTRDNVKKLQRHFQADTYPWIQRLKQQELVIERRLLRIMRIVEALENRGYRIPLTKEEADLYERLAVIAKQLKGPTGDLHKRVYNLLSTSRLLASAGGTAGPIYIPSSAKVDEQSVAELLEALQQQTEAVAKLGNVMKRDTRDLEIILSEDTDMAEDSVGRRALKM >ONIVA10G15850.1 pep chromosome:AWHD00000000:10:16380290:16380697:-1 gene:ONIVA10G15850 transcript:ONIVA10G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYTTKIFALFALIALSASATTAITTMQYFPATLAMGTTDPYRQYMMQTLGMGSSTAMFISQPMAPLQQQCCMQLQGMMPQCNCDTSYQMMQSMQQVICAGLGQQQMMMNMAMQMPYMCNMAPVNFQLSSCGCC >ONIVA10G15840.1 pep chromosome:AWHD00000000:10:16377907:16378950:-1 gene:ONIVA10G15840 transcript:ONIVA10G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGGGGGGRRVTIRSISCRGVKAFVPFQKPPLYAAVSLAGRREKTSGDPDGGENPDWDAAVFAFDLPAAGDGMLQFEVKAQVPLLGSKLVGKVSVPLADLAVAGGDGAAAAPRHVSYQVCAPDGKANGKLSFTFAVTGGGAYQQPQVDHPTPISSSCCAPPPTSTTTTTSGAPYPPPAMASYPPLPSLSATPSASLYPPPPPSSYPPPPPPPPPPPHVTQSFAPNSSYPPPPPPSQYIAGYPPPPPSNFYPPPPAGYPAPSFPSPTSTYPPPPPPESASSQYPPPLPRSAPCCDRSVDRALPSYMSPRPPPPGAPCYPPPAAWLPDQEAAGAPYSLYPQPGTRYL >ONIVA10G15830.1 pep chromosome:AWHD00000000:10:16376452:16377727:-1 gene:ONIVA10G15830 transcript:ONIVA10G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAREADGWRASTAAALCGSGAAAGGERSAADEFVVVPPAGSCVAATHTVCHYEAAVRATPVLVVLLPFVGSEQKAKQVGDSGRAATGERDSGAAGKRRARPQAANWWRVEGGDAAVQGIVTPSQESELVIAKTGDVIASTYYMRAWERDAGAEADVLLEELWNVKAKLELAETKAAAAGALAEKARDAYKRDREDMRCTVRRAPPLPAPRPG >ONIVA10G15820.1 pep chromosome:AWHD00000000:10:16372745:16374134:1 gene:ONIVA10G15820 transcript:ONIVA10G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTMQGVDCLPRVPHSWSMVGKRLTGDKVAVRTGFVPERIGDQSASNTETILIWFRLVTTMFKMLPVRLKVETLVSASLEKRQPASWKSEQESLARVCVQCYDSDRRACSPSVPAPHMAMVVDDAPSAPATTTHHQWPRCSYKLRPCCAIV >ONIVA10G15810.1 pep chromosome:AWHD00000000:10:16368346:16369188:1 gene:ONIVA10G15810 transcript:ONIVA10G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWRSLVALHSSAPSSCFPQPPAPSPCPSPPREEEEEEEEEKRETAAVRLVGSDGKVRTYRRPVTARELMQQHPRHLVCRSDALLIGEKIPAVAPGEVLEPGHAYFLLPAHLFHSVLSFVSLASSLLLLLSASSASAAKKQQQRPFELLRTASGTLQIKFSDDFLLAADDKVADLAAAATNPPVLRGDKKLEKEYEELVGYSKARRWSPKLDTIQEVVAAAAGDTATAAATATTTRRSSKGRGLPFLGRLGSRRRREAAAATTTTTLVCGNGGAVACSG >ONIVA10G15800.1 pep chromosome:AWHD00000000:10:16337313:16343057:1 gene:ONIVA10G15800 transcript:ONIVA10G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPLHLHLLLLFFSAWLMLLLLLQGVSSLQFRRDDFPDGFAFGAGTAAYQYEGAAAEDGRTPSIWDTYTHSEMYMINYDKLYYAAHKNAENSAASGRHPEDGTGDVASDGYHKYKEDVKLMTEIGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNNMINELVKAGIQIQVVLYHSDLPQSLQDEYGGWINPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNVMAQGCYDTGTLPPNHCSYPFGSNCTGGNSTVEPYLFIHHNLLAHASAVRLYREKYQVAQKGIVGINMYSLWFYPLTDSAEDIGATERAKQFMYGWILHPLVFGDYPETIKKVVGSRLPFFSNHESELVTNAFDFVGLNHYSSVYTSNNNNVVKAPLQDLTADIATLFRATKNDTPTPEVITDSIVSAENYKTYGNTVDPQGLENALEYIRENYGNLTIYIQENGSGAPDGTLDDVERINYLQKYIAATLKAIRNGANVKGYSMWSFIDIYEIFGGYNSWHYGLVAVDFGSTERRRQPRRSASWYSDFLKNNAPIRVEMAPLSLQPLMLNSE >ONIVA10G15790.1 pep chromosome:AWHD00000000:10:16328622:16335978:1 gene:ONIVA10G15790 transcript:ONIVA10G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIQGCTPFKRWPLVSRCNRIETHMQLRTKTFDRVKDKKIHLFGCRIILLTDQNDTIEASELLEEGKIASEKRKKKKKQLLLCGCASGEDAMEIRRPLHPLLLFSPWLLLLLLLVVQGVSSLQFTREDFPDGFTFGAGTAAFQIMDKPVTMSKEDVMLMNETGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNSMINELVKAGIQIHAVLYHIDLPQSLQDEYGGWVSPKVVDDFAAYADVCFREFGDRVAHWTTSIEPNVMAQSGYDDGYLPPNRCSYPFGRSNCTLGNSTVEPYLFIHHTLLAHASAVRLYREKYQAAQKGVVGMNIYSMWFYPLTESAEDIAATERVKDFMYGWILHPLVFGDYPETMKKAAGSRLPLFSDYESELVTNAFDFIGLNHYTSNYTVKWFCLLLLRQFLPGTSLDPRGLELALEYLQEKYGNLLFYIQENGSGSNATLDDVGRIDCLTQYIAATLRSIRNGANVKGYCVWSFMDQYEMFGDYKAHFGIVAVDFGSEELTRQPRRSARWYSDFLKNSAVIKVDDGPVSTAFHAQL >ONIVA10G15780.1 pep chromosome:AWHD00000000:10:16319042:16327944:1 gene:ONIVA10G15780 transcript:ONIVA10G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGLTEAGRMDDALKVYGRMPDKEIKPNTTTFETLVNALCKEGDLDKARELVSDMAKGGVTPPPEFRDSVIDIFKNAGRQEEIEKAFEEKPVPLPPQPRPEYRPHSSPQRLPGFASNQTRGSYMPHQGQPTYGSQPLHPAVGGSQAIQPQGMPLRPQQPVFGGPQVDKSEFGGRPLQHGPSAPDPRQPGFVSMTQPPNVSTPNTWQHSGVHATQGTHHGMGSPHPWQQSVGIGQVQQTDYSSGPPMQPGFGRPQPPQPMHSAPQTQQPGYGTSHPWHTRFHAPQVQQPSYGGPQSSQHAVGSTQPPQGQFGTPQAPPPSPGFRYQNRPEYGQAVDQHNRFGSPQGEPRFHTQPQQQGFDAQAPGNHALQGQNSFNARRGQVGFGNQGAPPEYGASPSQPSHGAAWNQRGYGLSEDQEDVNQQVVPHAYARVR >ONIVA10G15780.2 pep chromosome:AWHD00000000:10:16318984:16327944:1 gene:ONIVA10G15780 transcript:ONIVA10G15780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGRHDDAVSLFDFFFRRSNIVPNVVSYNTLILAHCEAARVDSALQVYREMLDKAPFSPSAVTYRHLTKGLVAAGRIQDALDLLREMLNRGQGADSLVYNNLIAGYIEEDNWDKAFELFEELQGRCLVYDGVVHTSFMEGYWKKGMDKEAMENYQSLLDKKFRMTPATCNVLLETLFKHDKDKEANDLWETMIDNHTPPSFIGINSESYNVMVNQCFKKCKFHEAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLEEAEKLFEEMETKSVLPDVYTYTYLVDSCFKVGRVDDTIQYFYKMADGREHGPKFNIGFFNRMFEGLTEAGRMDDALKVYGRMPDKEIKPNTTTFETLVNALCKEGDLDKARELVSDMAKGGVTPPPEFRDSVIDIFKNAGRQEEIEKAFEEKPVPLPPQPRPEYRPHSSPQRLPGFASNQTRGSYMPHQGQPTYGSQPLHPAVGGSQAIQPQGMPLRPQQPVFGGPQVDKSEFGGRPLQHGPSAPDPRQPGFVSMTQPPNVSTPNTWQHSGVHATQGTHHGMGSPHPWQQSVGIGQVQQTDYSSGPPMQPGFGRPQPPQPMHSAPQTQQPGYGTSHPWHTRFHAPQVQQPSYGGPQSSQHAVGSTQPPQGQFGTPQAPPPSPGFRYQNRPEYGQAVDQHNRFGSPQGEPRFHTQPQQQGFDAQAPGNHALQGQNSFNARRGQVGFGNQGAPPEYGASPSQPSHGAAWNQRGYGLSEDQEDVNQQVVPHAYARDYHFGEVRRRDPGVTVPSGGLDAVWLLQYNAGHAH >ONIVA10G15780.3 pep chromosome:AWHD00000000:10:16323097:16327944:1 gene:ONIVA10G15780 transcript:ONIVA10G15780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKEIKPNTTTFETLVNALCKEGDLDKARELVSDMAKGGVTPPPEFRDSVIDIFKNAGRQEEIEKAFEEKPVPLPPQPRPEYRPHSSPQRLPGFASNQTRGSYMPHQGQPTYGSQPLHPAVGGSQAIQPQGMPLRPQQPVFGGPQVDKSEFGGRPLQHGPSAPDPRQPGFVSMTQPPNVSTPNTWQHSGVHATQGTHHGMGSPHPWQQSVGIGQVQQTDYSSGPPMQPGFGRPQPPQPMHSAPQTQQPGYGTSHPWHTRFHAPQVQQPSYGGPQSSQHAVGSTQPPQGQFGTPQAPPPSPGFRYQNRPEYGQAVDQHNRFGSPQGEPRFHTQPQQQGFDAQAPGNHALQGQNSFNARRGQVGFGNQGAPPEYGASPSQPSHGAAWNQRGYGLSEDQEDVNQQVVPHAYARDYHFGEVRRRDPGVTVPSGGLDAVWLLQYNAGHAH >ONIVA10G15770.1 pep chromosome:AWHD00000000:10:16317353:16318226:1 gene:ONIVA10G15770 transcript:ONIVA10G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRWWLATVEGQPGCGSMRRTQRWPRVAGRWEEVAGARRERRAASHRWPQPSAEAAGAATEEKGGCRRRCFDTRWLFPGYNWLRPRPR >ONIVA10G15760.1 pep chromosome:AWHD00000000:10:16310917:16315790:-1 gene:ONIVA10G15760 transcript:ONIVA10G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARCPGDHRGGGASPTTTAAAAAAAHSEQPEPRNLPPLSRPTEVALASLRLVASPILNKLLTDASTHLGVDMASELRELETSIMPQFELLIEAADKGNHRAKLDKWIRELKEALYNAEDLLDEHEYNILKRKAKNGGEDPDPEHASSIGSILKKPMRVGSSSLSNLRPKNIKLVRQLKELKAILAKARGFREMLGLPAGTSAEGCCTGHTEMVVVTPATSTPPPKVFGRDADRDCIVDLLTQHKTSAEASRFVVAIVGPGGMGKSTLAQYVYNDKRIQEHFDVTMWVCISRKLDVDRHTREIIESAAKRECPRVGNLDVLQYNLTEILQKKEKVLLVLDDIWFDKSQDVEKWDLLLAPILCSHKGATKVLVTSRSKTLPPALFSEDVIDLENMKDTEFQALFKHHAFSGATIQDVQLRGRLEELAEKIAERLGRSPLAAKVVGSHLKRTMNIEDWKGALALKIDNLSEPRRALLWSYQKLDPCLQRCFLYCSLFPKGYKYGIEELVHLWVAEGFVDGRDMNKTMEDTGMDYFKEMVSGSFFQPFSEGYYYIMHDLLHDLAESLSREDCFRLEDGKVKEIPCTVRHLSVHVESIIQHKLSVCNLQHLRTLICIDPLVDVGSNLFGQVVLNLKKLRVLYLSFYNTRKLPESIGQLKHLRYLNIKQTLISELPKSLCDLYHLELLYLHPKSRLPDKLCNLCKLRHLQMYSDGLELSRIPDIGRLTLLQRIDSFHVLKQKGHELRQLRNMNEIGGYLSLRNLENVIGKDEALESKLYQKSRLEGLTLEWNDANNMNPENCLHVEILEGLVPPPQLEHLSIRGYKSTTYPSWLLEGSQLENLESFALYNCSALERLPSNTKLFRRCRELSLKNLPNMKELSFLPAGLTTLSIRRCPLLLFVTNDELEYHDHSEHITRTEQLVAQFALVGVMGPFLDALSSDHSSMKQLAALMDSDISKNLQTIERALEREDEVVMAKDVIKAWMRCHEQRMRLIYARRIGLPLVPPSGLSDLSLKSCTITDTALSICLGGLASLRCLSLSKIMSLTTLPSEEVLKKLTKLDCLIIDACLFLGSLGGLRAATSLSHLRLNSCPALELAHGAEFMPASLKRLAISCCVLAPDLFCGHWPHLKDIFIHDCRSSVSLFVGDLSSLKEFTLYHLPDLCVLEGLSSLQLHSVCLVDIPKLTAECVSKFRVQDLLHVSSSAVLNNIISAEDLPSSLQRISIVDCPNISSLPDLPSSLQHIYIRDCPLLKESCRVPDGESWPKIAHIRWKRID >ONIVA10G15750.1 pep chromosome:AWHD00000000:10:16303899:16308749:1 gene:ONIVA10G15750 transcript:ONIVA10G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGMGGNGNGADDKARDVTDQSKALGGNSCEDRALPSAVRVTVSGDPVGTFGSFGNMADYNVHLHQPDEGDDHGDSTECSSSFGPSCSASSDDDDDDTKSEMDGMEVDSPFLGPTRTGADRASSAPRMVRRRQVTAEWRKIVGPIMWRCQWLELHMKNLLSQVAKYDRELAIINHEKDLQLEMVKADGPKSEPGKLYPQSHERIIMKRRKRKRDEDTVDTSLYLKRHPALSYYENKNSGVQTDGPLVNGGFDSSVVEDIESTDDALVENDRVFEQYSLREILLTVDDVQSRILSLQGRLSNARSKYKKLSQCLDRKQVKVPQKIQNQMTCCKKDGRRSHQKTKCMHTLLQKDDLDRSLAVVPPVFGRSTDCVLEYMKKNDAQEDAVQSDLNGITIEMFCGKDNFLTNAHVGELYKESADDVLIDNQAAKEGYQLFEKVKPEEHSELVMPPSKVQKASADIVDYEQVQETAPVAKQIISGDKRGQKPNKKHGLPVLAKKIKTEKDPGNMKNEKTVLVAVDPRRSTRVRKPKTY >ONIVA10G15740.1 pep chromosome:AWHD00000000:10:16299599:16300054:1 gene:ONIVA10G15740 transcript:ONIVA10G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLSSPLTSSIKLWTRPSRARREPLPLAAGALEPANTAPTRHHYSRAYHCGLGEEDKNKGEDVGGVERPEWIRMERIRRENEGGVDKNEGVGRIFKVEGCRLDFLQADLLIGPNEKIGVHLQKCISVYGSFKELDAKTQVDFCRCDQL >ONIVA10G15730.1 pep chromosome:AWHD00000000:10:16294579:16295667:1 gene:ONIVA10G15730 transcript:ONIVA10G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT4G02440) TAIR;Acc:AT4G02440] MSADEDAASRGGGGGWKRKAVAEGGSPSPLSVLADDVLLQILGRLEGDPRDWARASCASPRLAALLRAACLPPRLTRALPAELLPPPSPDGAPRAWAALHKLSVCCPGLLRAGVLLEPSDDFGLELDIGPDLSVPAPSPSSSSLDHASTATSAPAPPPDSSSSSAAAATTWSLYDDLYLDTAYDCSPSEAAQISNTAATPAPAAAAEERDDAAARRGVASGSRRRARRWLGTVGAHLASGSWTLSREQGNKLLASRFRGDRLYICDWPGCVHAEERRKYMVFRGVFHDFPRSQVRRALRDTRRPTVAVDCAFCGCTEAWDLYAAFCLRSFYGYHDDGEPVVRAYVCENGHVAGAWTERPLYS >ONIVA10G15720.1 pep chromosome:AWHD00000000:10:16291245:16292245:1 gene:ONIVA10G15720 transcript:ONIVA10G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKTIFNIKSGDRRNDYVFNNIPVSFDKWKDSFKTEFGLHMHMAKEVDKPLWQSWIDSIL >ONIVA10G15710.1 pep chromosome:AWHD00000000:10:16285795:16287043:1 gene:ONIVA10G15710 transcript:ONIVA10G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPISPKITHSWQFQKAKKNKSCTRYSAPKIPHLLALNATVHRIPSTSPSSRHGSVAGGQFPSTGHRQSLTITRDPPSLSAASPSKATGPRHCPIRRPPSPHRQVIAPLISRCQLASTPHRPSFRALATHFFFRG >ONIVA10G15700.1 pep chromosome:AWHD00000000:10:16275781:16276873:-1 gene:ONIVA10G15700 transcript:ONIVA10G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTPAAAADLRCCLLEEGRPPPVAAARNPTPPWLLAIRVSRVDFLSLMWLLPQWFCIIAAVAMVAVFAAEFFPRCESKGDVALCAIALAGALLMGPILGLAMTACAADDDEASARIPSRYTRSEENMGRAAIMAVALLGLYVIYLAAVRGGDSGRFLDAACYVMMGLGLIVGHSVTWIEE >ONIVA10G15690.1 pep chromosome:AWHD00000000:10:16259117:16264841:-1 gene:ONIVA10G15690 transcript:ONIVA10G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDMEQQLLLPTDMEQQLFPTDGISFCITGASFRRSCLNFSNGGWLSLALFAMVGAICFYTGNLIDRCMCADRCVRSYPDIGYLAFGAYGWTTIGLVIRAHLVSGADGVWAGVPDKGFHMAGNNLLNLSGLPTTLTLYFVCFAGHGVFPTVYSSMKSKKDFPKVLLILSVLCSLNYAVTVVLRYLIYGEDVQSQVTQNLPTGKLYTRIAILTTLITQLANYTLVIQPITTAIEEKLSATTDVENNWLTRVLTSIAVVISTVVLACTVPFFGYLMLFIGSSLNVIVAVLVPCLSYLKIYMSRGGVGCFERTMIVGILVIGVCVNVAGTYTSLHQIIGTF >ONIVA10G15680.1 pep chromosome:AWHD00000000:10:16244344:16246686:1 gene:ONIVA10G15680 transcript:ONIVA10G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGAVGVAALGALGAAVAGGLTAEKGVAKDGLTAADHLGEDFYEVLLQQEHFKSTTVRSIVESYRWSPSVSITLDTSMLTVLPLLPKYRQLTVMEFMKTIGSTVLDSGNGLVKPPLTCSPDASLGSVIDSIASRITHRIYTSWTVTSRLLVS >ONIVA10G15670.1 pep chromosome:AWHD00000000:10:16235912:16243012:1 gene:ONIVA10G15670 transcript:ONIVA10G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAQDAIYGRDEYDFDGHRLRVELAHGGRGPSFDRSSSYSSAGRRGAAKRTDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREGGATIGIVDYTNYEDMKQAIRKLDDSEFRNAFSRAYIRVCFSVSLTCERKI >ONIVA10G15670.2 pep chromosome:AWHD00000000:10:16235912:16243012:1 gene:ONIVA10G15670 transcript:ONIVA10G15670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAQDAIYGRDEYDFDGHRLRVELAHGGRGPSFDRSSSYSSAGRRGAAKRTDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREGGATIGIVDYTNYEDMKQAIRKLDDSEFRNAFSRAYIRVCFSVSLTCERKI >ONIVA10G15660.1 pep chromosome:AWHD00000000:10:16232721:16233824:-1 gene:ONIVA10G15660 transcript:ONIVA10G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ, N-terminal with domain of unknown function (DUF1977) [Source:Projected from Arabidopsis thaliana (AT5G49060) TAIR;Acc:AT5G49060] MDGNKDEALRSVKLAETALASGDRQRAEKFLRIAQRLDPSLPIDDMLGTPKKYDTLDGAVRQYRARSGEVGESQNLRKESVGPSNVDKGYTEENVRVVRNITKNKDYYAILGVERSCSVEEIRKAYRKLSLKVHPDKNKAPGAEDAFKLVSKAFKCLSNDQSRRTYDQTGAIEDHEFNYQYFNVMRQRTTRRQRQARSSFYGYEEDLDPDEIFRSFFYGTHDNMFQSRNAYRARGTVRQQQQQRREHPVQGGSGINLTMLVHLAGVLFFILFAFIPARHPEYSLKRTSYFSISKVTEKHGVEYFVSKQEFDQQFPRGSSSRDNLEQYVFKDYKSMLGRFCHVELQRRQWAKDYPTPHCDKLRSLSVA >ONIVA10G15650.1 pep chromosome:AWHD00000000:10:16206778:16207317:-1 gene:ONIVA10G15650 transcript:ONIVA10G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADASSFIWDTFEQPGDGGDRKPVDAVTLTVEDIDGVAFTSGDGIHLSARYVGGYSATGDVRAEVTGVLYHEATHVWQWDGRGGADGGLIEGIADFVRLRAGYAPPHWVQPGQGDRWDQGYDVTARFLDYCDSPAVVQGFVAQLNGKMKDGYSDDFFVQISGKTVDQLWQDYKAKYGG >ONIVA10G15640.1 pep chromosome:AWHD00000000:10:16204427:16205128:-1 gene:ONIVA10G15640 transcript:ONIVA10G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFHMATLAALMAAAAAAATAAGAVTFDATNTASSTAGGQRFDREVGVDYAKQVLADASSFIWDAFEQPGDGGDRKPVDAVTLTVEDIDGVAFTSGDAIHLSARYVGGYSSSSGDVRTEVTGVLYHEATHVWQWGLQDYAAHSWVYEGIADFVRLRAGYVAAGWVQPGQGNSWEDSYSVTARFFDYCDSVKPGFVADLNAKLKDGYNVDYFVQITGKTVQQLWQDYKAKYGN >ONIVA10G15630.1 pep chromosome:AWHD00000000:10:16192134:16195859:1 gene:ONIVA10G15630 transcript:ONIVA10G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IUE2] MAAAAAVLLAVACAAAAMIAGGECGRVVHVGEEHRRSMLANGLATAPPMGWNSWNHFACDGNGEDVIRETADALVSTGLAAAGYKYVNIDDCWAEPQRDSKGNLVANKKTFPHGIKALADYVHSKGLKLGIYSDAGFKTCAKVQPGSLGHEEQDAKTFASWGVDYLKYDNCNNGDLKPLERYPEMSKALMMAGRPIYFSLCEWGDMHPAKWGAAYGNSWRTTNDIADTWESMVSRADENEVWADYARPGGWNDPDMLEVGNGGMTNDEYIVHFSLWAISKAPLIIGCDVRHMSQETYDILANKEVIAVNQDALGIQGKKVRMEGSSEVWAAPLSGYRTAVLLLNRHAAEAAAITAHWDDVGLPAGTAVEARDLWRHATVAGAGGELTEKITLDVAPHSCRMLLLKPLISSRALRASSP >ONIVA10G15630.2 pep chromosome:AWHD00000000:10:16192134:16196094:1 gene:ONIVA10G15630 transcript:ONIVA10G15630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IUE2] MAAAAAVLLAVACAAAAMIAGGECGRVVHVGEEHRRSMLANGLATAPPMGWNSWNHFACDGNGEDVIRETADALVSTGLAAAGYKYVNIDDCWAEPQRDSKGNLVANKKTFPHGIKALADYVHSKGLKLGIYSDAGFKTCAKVQPGSLGHEEQDAKTFASWGVDYLKYDNCNNGDLKPLERYPEMSKALMMAGRPIYFSLCEWGDMHPAKWGAAYGNSWRTTNDIADTWESMVSRADENEVWADYARPGGWNDPDMLEVGNGGMTNDEYIVHFSLWAISKAPLIIGCDVRHMSQETYDILANKEVIAVNQDALGIQGKKVRMEGSSEVWAAPLSGYRTAVLLLNRHAAEAAAITAHWDDVGLPAGTAVEARDLWRHATVAGAGGELTEKITLDVAPHSCRMLLLKPLISSRAN >ONIVA10G15620.1 pep chromosome:AWHD00000000:10:16187832:16190606:-1 gene:ONIVA10G15620 transcript:ONIVA10G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHLPVSPSSSHHLLDAAPTPASPAHAQHRRRRRRRMCGGGRGRGGGAHQVRCCAAAAPQPRVATGAGLRGAAATTRVFVVSDLHTDYRENMDWVLRLPVGGGGGGGDGVGIDALVVAGDVAETRDNFARTMAALRERFGAVFYVPGNHDLWLRRENGRYMDSLEKLTALLDACSELGVDTGPRIIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLEMACKDFHACQWPPDLANEDEALALYFDKLNDRNQDAIEEVKKSSKQILTFSHFVPRQELCPEKRMLYYPNLPKVIGSDFLERRLRTIHDNTKHGAACHVFGHTHFCWDSVVDEIRYVQAPLAYPRERKRRMNGGDGWLPFCIYRDGFNPEIYPALWSDYYNKNRREPENTQLAPWVAKYFSKYY >ONIVA10G15610.1 pep chromosome:AWHD00000000:10:16185428:16186922:1 gene:ONIVA10G15610 transcript:ONIVA10G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAARPGRRFTVGRSEDATHPDTIRAAISEFLATAIFVFAAEGSILSLGKLYQDMSTPGGLVAVSLAHALALAVAVAVAVNISGGHVNPAITFGALLGGRLSLIRALFYWLAQLLGAVVATLLLRLTTGGMRPPGFALASGVGDWHAVLLEATMTFGLMYAYYATVIDPKRGHVGTIAPLAVGFLLGANMLAGGPFDGAGMNPARVFGPALVGWRWRHHWVYWLGPFVGAGLAGLLYEYLVIPSADAAPHGGAHQPLAPEDY >ONIVA10G15600.1 pep chromosome:AWHD00000000:10:16181339:16184974:1 gene:ONIVA10G15600 transcript:ONIVA10G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTRCETTWVWVVMVVVVILAAAMAAVVEGVGSPEPELEALRDERGGLVALRDALRSGRDLHSNWTGPPCHGGRSRWYGVACDGDGRVVGVQLDGAQLTGALPAGALAGVARLETLSLRDNAIHGALPRLDALARLRVVDLSSNRFSGPIPRGYAAALGELTRLELQDNLINGTLPAFEQDGLAVFNVSYNFLQGEVPDTRALRRFPATAFAHNLRLCGEVVRTECRREGSPFDAAPAGGGGSGSDGGDRVFGERDAAAPPARWRKPIRFRIARWSVVVIALIAALVPFAAVLIFLHHSKKSRVVRLGGGRAAAAATAGDIKDKAAEQAGKKVSSGSGNGSRSTTESGKGAADQLQFFRPEKATFSLDELFRSTAEMLGKGRLGITYSVALHAGGGGPVVVVVKRLRNMGHVPRKDFAHTMQLLGKLRHENVVEVVACYFSKDEKLVVYDHVPGRSLFHLLHENRGEGRTPLPWPARLAIAKGVARGLAYLHQTLPLFHRPPHGDLKSSNVLVVFPGPGGRGGGGGDAAPVAKLTDHGFHPLLPHHAHRLAAAKCPEFARGRRRLSSRADVFCLGLVLLEVVTGKVPVDEDGDLAEWARLALSHEWSTDILDVEIVADRGRHGDMLRLTEVALLCAAVDPERRPKAHDVVRMIDDIAAGSAAAAGDGEATAGRELALR >ONIVA10G15590.1 pep chromosome:AWHD00000000:10:16172654:16177651:-1 gene:ONIVA10G15590 transcript:ONIVA10G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLASAKPSPRAAAAAAAAAAASSSLLSLRGRRRRVGVVCAAVSSGEEVFGGRRELAGVQPLVEALPPVARAVVELAVVAAAAAAGYVVGLRAGGTRTTALESSEVEAIASKYGVSTEDATFKAELCDLYGRFVFSLIHPGYEDLEGTEVETIIKFKKALGLNDIDAANVHMEIAKRIDRNAGVGQQQAFEKLIFVTNLVFRDAYEYLLPWKRLFGVHESQIDDVMRESAKSLYASLLKSIGRGLDIGTLIEVRRSQLAYKLSDEIAAEMFREHAKKLVEENISTALNNLNNRTQVVEEVKSILSFNGMLTVLSNFPGEERFVRGLGPITLGGDSDHEKRVEDLKMLYSAYAMEVLSDGHLNDDKLAALNQLRNIFGLAKYEAEAIITDVKARVFQTY >ONIVA10G15590.2 pep chromosome:AWHD00000000:10:16172654:16177651:-1 gene:ONIVA10G15590 transcript:ONIVA10G15590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLASAKPSPRAAAAAAAAAAASSSLLSLRGRRRRVGVVCAAVSSGEEVFGGRRELAGVQPLVEALPPVARAVVELAVVAAAAAAGYVVGLRAGGTRTTAVAGAAALGAVSVAGAAAVNSAVPGVAAAGLHNYVAGSDDPTKLESSEVEAIASKYGVSTEDATFKAELCDLYGRFVFSLIHPGYEDLEGTEVETIIKFKKALGLNDIDAANVHMEIAKRIDRNAGVGQQQAFEKLIFVTNLVFRDAYEYLLPWKRLFGVHESQIDDVMRESAKSLYASLLKSIGRGLDIGTLIEVRRSQLAYKLSDEIAAEMFREHAKKLVEENISTALNNLNNRTQVVEEVKSILSFNGMLTVLSNFPGEERFVRGLGPITLGGDSDHEKRVEDLKMLYSAYAMEVLSDGHLNDDKLAALNQLRNIFGLAKYEAEAIITDVKARVFQTY >ONIVA10G15590.3 pep chromosome:AWHD00000000:10:16172654:16177651:-1 gene:ONIVA10G15590 transcript:ONIVA10G15590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLASAKPSPRAAAAAAAAAAASSSLLSLRGRRRRVGVVCAAVSSGEEVFGGRRELAGVQPLVEALPPVARAVVELAVVAAAAAAGYVVGLRAGGTRTTAVAGAAALGAVSVAGAAAVNSAVPGVAAAGLHNYVAGSDDPTKLESSEVEAIASKYGVSTEDATFKAELCDLYGRFVFSLIHPGYEDLEGTEVETIIKFKKALGLNDIDAANVHMEIAKRIDRNAGVGQQQAFEKLIFVTNLVFRDAYEYLLPWKRLFGVHESQIDDVMRESAKSLYASLLKSIGRGLDIGTLIEVRRSQLAYKLSDEIAAEMFREHAKKLVEENISTALNNLNNRTQVVEEVKSILSFNGMLTVLSNFPGEERFVRGLGPITLGRVVKYFEIHVLMRWPFSNAGSCALLCTGGDSDHEKRVEDLKMLYSAYAMEVLSDGHLNDDKLAALNQLRNIFGLAKYEAEAIITDVKARVFQTY >ONIVA10G15580.1 pep chromosome:AWHD00000000:10:16167628:16170192:-1 gene:ONIVA10G15580 transcript:ONIVA10G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMKSRPEFREWTLRPLPSGDGDGGGAAVAPRCTTNSTATAFVLSTGGFTGNPFHDYTDVLIPAFITAHRFGGEVQFLVSSYKSWWMNKYIQIFQQMSRHDVVDVDADGDEVRCYRSAVVGPEFHRELGVDPTKTPSGYSVLDFRKMLRGAFGLDRATATPSGDRWDIRRRPRLLIISRRAARGRAFMNERAMADMAASLGFDVRVGEPDASTDTSKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVLVQVVPYGKLEWLARNTFAEPSSAMEIHYLEYAVQLDETTLSEQYPADHPVLRDPMAIHKQGWEALKTTYLDKQNVRPHLGRLKNTFLQALKLLPHDKETMN >ONIVA10G15570.1 pep chromosome:AWHD00000000:10:16166792:16167392:-1 gene:ONIVA10G15570 transcript:ONIVA10G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIETMYDTNRQHVDVKAAHGLKNLNREGVMAIIFSKLTKLLLRGSLFLIFSILLLTLNQVYFCIARSKESCIRRMQWSPLTLLLSELFADIKEEPAAAETETETETSSATSEINQ >ONIVA10G15560.1 pep chromosome:AWHD00000000:10:16151844:16163133:-1 gene:ONIVA10G15560 transcript:ONIVA10G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALASAKPSPSPAPAPLLFAPLKPLPLLRFPPRRPRASSSSVGARLRAGRRGLRLLCRAAVGAGEEVFGPRRELAGVQPLVEALPPAARTAAELAVAAAAVAAGYGIGLRAGGGSRAVAVAGAAVLGAASVAGAAAVNSVVPEVAAVGLHNYVAGCDDPTKLESGEVEALATKTQPSKQSSVICMPVLPPGDEDLKGDEVQTIIKFKNALGLDDVDAANMHMEIGRRIYRERLETRDRDADMEQRRAFQKLIYVSNLVFGDASTFLLPWKRLFGVTDSQIDIAMRENAKILYASQLKSIGRGLDIGTLIEVRRAQLAYKLSDEIAAEMFKEHAKKLVQENISSALDIVKSRTKAANSPTQVIEDVKSILAFNSLLITLSKHPDQDRFIRGLGPISLGGESDHDRRADDLKLLYRAYATEVLSDGHLDDEKLAPLNQLRNIFGLGKREAESIMSDVKAQVYRKRLAKSFNSELAAAPSKAAFLQNICEELQFDPELASKMHEDIYRQKLQQFVADGELNKDEVEALMAFQVRLCIPQETVDAVHSEICGKLFEKVVVEAISSVDGYDTNRRQAVKKAAQGLNLKNESVMAIFSKVVRKLFLNYIQRAKAAGNRIETAKELKKMISFNTVVVSELLADIKGEPTTAEAQTSDATSEPEVSESEGDDEYEWEQLETLRKTRPDKELREKLAKSSQKEITLKDDIPLRDRAELYETYLVFCVTGETTNVSFGTAISTKKDNSEYLMLKQLGDILGLTRKEAQNVHIKMAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTEYAQKIIKNITTTKLSSAIEASISRGQIGIQQVRGLKEANFQLDSLIAEPLRENIYKKSVEEIFSSGTGEFDEEEVYVKIPADLIINAEKAKSIVQDIAKIRLDNALVQAVALLRQKKKDEVVASLNDLLACDAAVPASKPLSWPTSGELDDLYGIYMKSIPKPEKLSRLQYLLGISDEKAGQIRDAASAGTLSVATEEEDELPHTTRESKLLFCTREPNKLKPAGRTAQQEAQTCSCAPRPVPSFQPDFFSSCSSNKIT >ONIVA10G15560.2 pep chromosome:AWHD00000000:10:16154285:16163133:-1 gene:ONIVA10G15560 transcript:ONIVA10G15560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELALASAKPSPSPAPAPLLFAPLKPLPLLRFPPRRPRASSSSVGARLRAGRRGLRLLCRAAVGAGEEVFGPRRELAGVQPLVEALPPAARTAAELAVAAAAVAAGYGIGLRAGGGSRAVAVAGAAVLGAASVAGAAAVNSVVPEVAAVGLHNYVAGCDDPTKLESGEVEALATKTQPSKQSSVICMPVLPPGDEDLKGDEVQTIIKFKNALGLDDVDAANMHMEIGRRIYRERLETRDRDADMEQRRAFQKLIYVSNLVFGDASTFLLPWKRLFGVTDSQIDIAMRENAKILYASQLKSIGRGLDIGTLIEVRRAQLAYKLSDEIAAEMFKEHAKKLVQENISSALDIVKSRTKAANSPTQVIEDVKSILAFNSLLITLSKHPDQDRFIRGLGPISLGGESDHDRRADDLKLLYRAYATEVLSDGHLDDEKLAPLNQLRNIFGLGKREAESIMSDVKAQVYRKRLAKSFNSELAAAPSKAAFLQNICEELQFDPELASKMHEDIYRQKLQQFVADGELNKDEVEALMAFQVRLCIPQETVDAVHSEICGKLFEKVVVEAISSVDGYDTNRRQAVKKAAQGLNLKNESVMAIFSKVVRKLFLNYIQRAKAAGNRIETAKELKKMISFNTVVVSELLADIKGEPTTAEAQTSDATSEPEVSESEGDDEYEWEQLETLRKTRPDKELREKLAKSSQKEITLKDDIPLRDRAELYETYLVFCVTGETTNVSFGTAISTKKDNSEYLMLKQLGDILGLTRKEAQNVHIKMAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTEYAQKIIKNITTTKLSSAIEASISRGQIGIQQVRGLKEANFQLDSLIAEPLRENIYKKSVEEIFSSGTGEFDEEEVYVKIPADLIINAEKAKSIVQDIAKIRLDNALVQAVALLRQKKKDEVVASLNDLLACDAAVPASKPLSWPTSGELDDLYGIYMKSIPKPEKLSRLQYLLGISDEKAGQIRDAASAGTLSVATEEEDELVF >ONIVA10G15550.1 pep chromosome:AWHD00000000:10:16151480:16153744:1 gene:ONIVA10G15550 transcript:ONIVA10G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGSSNVGFQLLKKSGWKEGTGLGAQEQGRLEPVETRVKNNKRGLGSKEPKLKPKVDEDGEKDPKKPKLEAPKKKAKLTAKRIRKMQEEEKRLQEREFEMAFFREFWPDNV >ONIVA10G15530.1 pep chromosome:AWHD00000000:10:16148606:16148821:1 gene:ONIVA10G15530 transcript:ONIVA10G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTTLAVMGRRNMEKEADTLHLVDGATCKGGRTPATLLAVQREEGGGHRRRQSRPTRKGGPNEAPLVEQP >ONIVA10G15510.1 pep chromosome:AWHD00000000:10:16135727:16136065:-1 gene:ONIVA10G15510 transcript:ONIVA10G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAAGRRRCGHRRPVLATGIFAGRLGSGATNRSTLTASGRGQRALHVCAVELAVQPRVGRVEDVAPATARRPCVSQTHCTRWIASSPPFMTTTAGRRPQETLYRKTPKLS >ONIVA10G15500.1 pep chromosome:AWHD00000000:10:16134455:16135997:1 gene:ONIVA10G15500 transcript:ONIVA10G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWKVLLMVIALICALHTTSGRPPVVGYPAPRPNGSSGTRCYEGCNPPGRDGNRDP >ONIVA10G15500.2 pep chromosome:AWHD00000000:10:16134455:16135750:1 gene:ONIVA10G15500 transcript:ONIVA10G15500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWKVLLMVIALICALHTTSGRPPVVGYPAPRPNGSSGTRCYEGCNPPGRDGNRDP >ONIVA10G15500.3 pep chromosome:AWHD00000000:10:16134455:16135900:1 gene:ONIVA10G15500 transcript:ONIVA10G15500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRSEKNNKNRRTHMLATHETIIIEGPCGPTRHPHSSLLSLYPLSLLPSSSSTPPLGDWSPAVEVLGGGQEHHDSFGVFLYKVSCGRRPAVVVMNGGEDAIHLVQWVWDTHGRRAVAGATSSTRPTRG >ONIVA10G15490.1 pep chromosome:AWHD00000000:10:16117986:16121487:1 gene:ONIVA10G15490 transcript:ONIVA10G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAAPPPPARRATAGTPPARHHQRCHRTRNSHRQSCSHLKQHDPLSPVAVRQCPIPQVNLDSHQERFSSGRVFSPCIGLLWQPGIPPGSQPIPWEKGLRGKLSRGKVPDLRRSGIFPLSIRRCFSLAQPESLLSRANNSGCRRASFSDETRHRRDSSSGEKDKPFYSFPSSPPPRLPISLYGLSACIAGTDAWHRGSRGNDDEATCRQRGHTAPSRRGQGGSKAARLTGRGGAEAQIRLLLLTARHPPAVARLGLCVPL >ONIVA10G15480.1 pep chromosome:AWHD00000000:10:16115883:16117684:1 gene:ONIVA10G15480 transcript:ONIVA10G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHVVAAILLAVAASSSLPPAAAVTYEVSNEAASTAGGQRFDREYGAGYAKQVLAAASSFTWSIFSQPSAADRRPVDAVVLAVRDVDGIASTSGNTITLGAGYVAGVTGNDFKTQVTGVLYHEVVHVWQWGLQDYGAHSWVYEGIADFVRLRAGYPAAGWVQPGQGNSWEDSYSVTARFFDYCDSVKPGFVADLNAKLKNGYNVDYFVQITGKTVQQLWQDYKAKYGN >ONIVA10G15470.1 pep chromosome:AWHD00000000:10:16113073:16113765:-1 gene:ONIVA10G15470 transcript:ONIVA10G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSHVAALAALMAAVAATAGAVTFDATNTASNTAGGQRFDREVGVDYAKQMLADASSFIWDTFEQPGDGGDRKPVDAVTLTVEDIDGVAFTSGDGIHLSARYVGGYSATGDVRAEVTGVLYHEATHVWQWDGRGGADGGLIEGIADFVRLRAGYAPPHWVQPGQGDRWDQGYDVTARFLDYCDSPAVVQGFVAQLNGKMKDGYSDDFFVQISGKTVDQLWQDYKAKYGG >ONIVA10G15460.1 pep chromosome:AWHD00000000:10:16110722:16111423:-1 gene:ONIVA10G15460 transcript:ONIVA10G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFHMATLAALMAAAAAAATAAGAVTFDATNTASSTAGGQRFDREVGVDYAKQVLADASSFIWDAFEQPGDGGDRKPVDAVTLTVEDIDGVAFTSGDAIHLSARYVGGYSSSSGDVRTEVTGVLYHEATHVWQWGLQDYAAHSWVYEGIADFVRLRAGYVAAGWVQPGQGNSWEDSYSVTARFFDYCDSVKPGFVADLNAKLKDGYNVDYFVQITGKTVQQLWQDYKAKYGN >ONIVA10G15450.1 pep chromosome:AWHD00000000:10:16100746:16104190:-1 gene:ONIVA10G15450 transcript:ONIVA10G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVKVLVVLALLQLMSLHAVVHGGDNGGVSAVATGKHEPKPKQGGGGGDGGCHISGFLHGKAGKCNRAHGSDCCVAGRRYPQFRCSPPVSSARPTPATLTLNSFARGGDGGGRSSCDGRFHPDTAMVVALSSGWLRLDGARRCNRMIRVAAGNGRSALARVVDECDSVNGCDAEHNFEPPCPNDVVDGSPAVWKALGLDEGVGEFKVTCCHASGYVYLHGNETRCPKGNHDCCVAGEHYPRFRTTPAILTLKVFDHGEDDGGVPTSCDMRFHRNTELVVALSSGCHRRIRVFAVAGAASGRSSVVGRVVDDCDSVNGCREEDGFAPPCRNNAVGGSPVVWEKLGLNASVGEFEVVWSCLKNAKERFTTYR >ONIVA10G15440.1 pep chromosome:AWHD00000000:10:16099880:16100485:-1 gene:ONIVA10G15440 transcript:ONIVA10G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAVAAMAMIFLLAALSTTHLASSLRPGAGAIAGGACRPSGYLPGKSGNCEKSNDPDCCEDGKAYPQYRCSPPVTAATGAVLTLNSFEKGKDGGGPSECDNAYHSDGELVVALSTGWFAGMARCGHRVRITASGGSGRSVVAKVVDECDSVHGCDGEHNYEPPCDNNIVDASPAVWDALGLDKSVGMEHITWSDDGDE >ONIVA10G15430.1 pep chromosome:AWHD00000000:10:16097488:16098129:1 gene:ONIVA10G15430 transcript:ONIVA10G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMTMSRRRLSHALLLVLAILPNLAALAVAAGGGSGGGGFFPHRSLLQSSSCQPSGAITGTSGDCNADNGSECCQDGVQYTTYACSPPVAAGGTGTAALLTLNSFADGGDGGSAPSCTGRFYDDGQLVVALSTGWFDGRSRCEKDVVIRASGGASVTAMVVDECDSQRGCDSDHNFEPPCRNNIVDGSPAVWDALGLNKDDGEAQITWSDA >ONIVA10G15420.1 pep chromosome:AWHD00000000:10:16089805:16094281:1 gene:ONIVA10G15420 transcript:ONIVA10G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGKNTQLDLETEGSSSPAATQKMKVKMEDSESKIESPTLEKSESRRNGVVISSLARNLLAERYKDRFAAQLLGDEDEDETDDEDDSSSTSPDGSSPSVSESIDISGTSPKDKSNDLLEKHNNLLNLFNRMVSSIRLLHLRKKMTTFKNIATQVEILTKREFLYTHLAQMKHLFPEAIQIKKILLHDEKSLCMYADMEITILMETVESSSPDQSLSMAICEAFHSKLLSFLDAHHKDIDIPEAMLPEPFNSRDKLHLKAPLDGHSAEPLLQSSNRNELLNASHFPCSFQKLMSQKIIADGADRTKLLSDPAELSMLSADDTEGPKRSSNKQDQHASIPFKTDISSTPNRHLISSCQGSTPKQGTLLHSPLMAETPAMQTPRRPLPTQIEKLETTSGQTSEARSASSARRSLKMFSPSKIQECSSDHDGAILTLEHEVTAGKCLFPDETHNFTNSLEENNPAICFADVDKTKEVDPAESQEKIASLQLTFDIVCDISRSTKNSLITKQELFHNILANNLEIEETGEIEEQLHILEDLSPDWISKKVIPGGDILYSIKEIADQKSVRARLVEVI >ONIVA10G15410.1 pep chromosome:AWHD00000000:10:16087128:16089619:-1 gene:ONIVA10G15410 transcript:ONIVA10G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVSDELGLARARCRELHDRVAASPSLQRHPALRSLVRLVAAEQRFLDSSRPDQGAPLSTNLPHLAALHLLLAAHPAVRCPSRLAPLPGVDFACAFRSRAAWVLLSARNPSRLAWAAAAPDGIRARVAAALGAARGAPPATRPEKLLLVFARGVGADPERGLAEEFGAVEIDLLVDFVGEADHDSEEEGWVSVSFHPNEDMRSFRAFEIDVVECGDEMLSSPLPPPPPPLPEMEVKEGSLGGEFSVFVGKMNMGSREMVNLDTTALVAIVSGISNGGVGKLMSIPEAETRARFKCNYKFVMDQAHSELQSPILVELGNAVDGKKCIICETVNSEFKEIVTMCGGPEEQTRSSQLLKRLIVVPDSPSARMMDLPTTRKLAMKNKVVFGTGDHWRAPTLTANMGFVRAVSQSGMPLLTIEHRPRALIGL >ONIVA10G15400.1 pep chromosome:AWHD00000000:10:16084534:16087652:1 gene:ONIVA10G15400 transcript:ONIVA10G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKGMPLCETALTNPILAVKVGARQWSPVPKTTLFFMASFLVVGRSIIRAEGLSGTTLQVQNQAVNGAVTGCVIKTEFKSIHRGQFIRNVIKLMFAGYKTPYRTCGVRCVLHDQE >ONIVA10G15390.1 pep chromosome:AWHD00000000:10:16081908:16082708:1 gene:ONIVA10G15390 transcript:ONIVA10G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQRMRIHPVDLEAGGRHRPTAPLVPGGSFRSDKGDPAAQPQQPPSHGHLPPPPPRRVAPPVPPLPPPKRRRGCCCRLICCVLVTVVLLAVLAAAAVGALYLVFDPKAPRYSVDRLSVSEFQVDPTLTATARFDVTVTATNPNARIGIYYEAGSSLSVWYDAHRLARGALPAFYQGHRNTTVLAVVMAGQAQLGGAVMSALQDAQRTGAVPLVFRADVPVRVELGSLRLWKVTSRVRCDLVVDSLGVNNPVNIKASNCKFSLKL >ONIVA10G15380.1 pep chromosome:AWHD00000000:10:16077847:16078449:1 gene:ONIVA10G15380 transcript:ONIVA10G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAMAAMAMIFLLAALSTTHLASSLRPGAGAIAGGACRPSGYLPGKSGNCEKSNDPDCCEDGKAYPQYRCSPPVTAATGAVLTLNSFEKGKDGGGPSECDNAYHSDGELVVALSTGWFAGTARCGHRVRITASGGGGRSVVAKVVDECDSVHGCDGEHNYEAPCGNNIVDASPAVWDALGLDKNVGMEHITWSDTDE >ONIVA10G15370.1 pep chromosome:AWHD00000000:10:16061457:16065584:-1 gene:ONIVA10G15370 transcript:ONIVA10G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVFFSLEPSLLPVAAAAAPAAGDGGRRRGRRRADGRFRPSPAIHPGRPELASHLMLPNELDIQGFMERLDGLTNDVQEMLLHQRRRQRSSTANGGGGDGGARERMATVDHLKRLCIDHYFQDEVDGAMDAHLEELAHGGDLLDATLAKDIRGLLSLQDISHMNIGAEASLYKAKEFTSRNLQSAIDYLEPGLARYVRQSLEHPYHVSLMQYKARHHLSYLQTLPTRCTAMEELALADFKLNKLLHQMEMQEIKRWWMNLGLAQEIPVARDQVQKWYVWIMTAFQGASFSRYRIELTKIASFVYIMDDIFDLVSTQEERSCFTQAIKMWDFAAADSLPSCMRSCYRAIYTVTNDIADMVEREHGVNPINHLKKAWAVLFDGLMTEAKWLTYSHVPSSEDYLRNGVITSGVPLMFLHLLFMLGHDAAELIDNIPPVISCPAKIFRLWDDIGNAKEGLDGSYKELYLRENPGLAASEAEEHMRGLITREWEKLNRESFFSGKAFPASFTQAALNAARMVGVMHGHDGEQRLPVLEDYLRMLLF >ONIVA10G15360.1 pep chromosome:AWHD00000000:10:16060406:16065095:1 gene:ONIVA10G15360 transcript:ONIVA10G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELSPHRVSPSPRRRRRLARCCPRGGGDVGELVPAAEAPIDGRSCRIRGVWTLEIAVVAGELLVQMPRKTASCKSSRTGSLCSPSWPCMTPTILAALSAACVKLAGKAFPEKKLSRLSFSHSLVIKPRMCSSASLAASPGFSLRSAPLQLVQLEVCKSKLFHCSAPGGQGLQVAEVVPGLVLHQADMVGMFQ >ONIVA10G15360.2 pep chromosome:AWHD00000000:10:16060406:16064934:1 gene:ONIVA10G15360 transcript:ONIVA10G15360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELSPHRVSPSPRRRRRLARCCPRGGGDVGELVPAAEAPIDGRSCRIRGVWTLEIAVVAGELLVQMPRKTASCKSSRTGSLCSPSWPCMTPTILAALSAACVKLAGKAFPEKKLSRLSFSHSLVIKPRMCSSASLAASPGFSLRSAPLQLVQLEVCKSKLFHCSAPGGQGLQVAEVVPGLVLHQADMVGMFQ >ONIVA10G15360.3 pep chromosome:AWHD00000000:10:16060406:16065095:1 gene:ONIVA10G15360 transcript:ONIVA10G15360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELSPHRVSPSPRRRRRLARCCPRGGGDVGELVPAAEAPIDGRSCRIRGVWTLEIAVVAGELLVQMPRKTASCKSSRTGSLCSPSWPCMTPTILAALSAACVKLAGKAFPEKKLSRLSFSHSLVIKPRMCSSASLAASPGFSLSSLFSLKSARASSSIAVHLVGKVCR >ONIVA10G15360.4 pep chromosome:AWHD00000000:10:16060406:16065095:1 gene:ONIVA10G15360 transcript:ONIVA10G15360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELSPHRVSPSPRRRRRLARCCPRGGGDVGELVPAAEAPIDGRSCRIRGVWTLEIAVVAGALLLCADKIKDVIHNVDKGGDLCELNPQLVQLEVCKSKLFHCSAPGGQGLQVAEVVPGLVLHQADMVGMFQ >ONIVA10G15360.5 pep chromosome:AWHD00000000:10:16060406:16064934:1 gene:ONIVA10G15360 transcript:ONIVA10G15360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELSPHRVSPSPRRRRRLARCCPRGGGDVGELVPAAEAPIDGRSCRIRGVWTLEIAVVAGELLVQMPRKTASCKSSRTGSLCSPSWPCMTPTILAALSAACVKLAGKAFPEKKLSRLSFSHSLVIKPRMCSSASLAASPGFSLSSLFSLKSARASSSIAVHLVGKVCR >ONIVA10G15360.6 pep chromosome:AWHD00000000:10:16060406:16064934:1 gene:ONIVA10G15360 transcript:ONIVA10G15360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELSPHRVSPSPRRRRRLARCCPRGGGDVGELVPAAEAPIDGRSCRIRGVWTLEIAVVAGALLLCADKIKDVIHNVDKGGDLCELNPQLVQLEVCKSKLFHCSAPGGQGLQVAEVVPGLVLHQADMVGMFQ >ONIVA10G15360.7 pep chromosome:AWHD00000000:10:16060406:16065095:1 gene:ONIVA10G15360 transcript:ONIVA10G15360.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCFSHQPEREGGIEQVAAMGELLEVGIHGAVDLVLEVVVDAEALQVVDGGHPLPGAAVAAAAVRRTTPLTPPLMQQHLLHVVRQAIQALHEALQMHAFPKHKRLESQNSQSRFSTNFNHF >ONIVA10G15350.1 pep chromosome:AWHD00000000:10:16056310:16056888:-1 gene:ONIVA10G15350 transcript:ONIVA10G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTARRWRMMMAWWRARSDASHSNSTKRRRRGGGAAATTFGEIRYGSSTEVVLCSDDGMAAIESSAPRMSRLSGQHETLAWQLQGDWWTSFVAFV >ONIVA10G15340.1 pep chromosome:AWHD00000000:10:16047308:16055648:-1 gene:ONIVA10G15340 transcript:ONIVA10G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGKVAKIAVLVVALALALLEMPRCAVMVAALSTEWFAGGRRCHRKVRITGGGGAVEATVVDECDSRRGCKDDVVDSSPAIVAVVVAVLLAILEMPSCAVARRHHHDHHDKPGHHDGGFPAVMTVNGFEKGEDGGGPAACDGHYHSDGELIVALSTEWFAGGRRCHKWFAGTPSEHGHRGGGGGRRAVEATVVDECDSRRGCKDDVVDSSPAVWRALGLDTDSGEVRVTCLMANAKQLALFAMLVLLLASCAAARRHGKPDPCDGGGGGVDSHLPPGMRRCSSPAVSEDGTPAVMTVNGFEEGEDGGGPAACDGRYHSDRSLVAALSTGWFAGGRRCHRGIRITSRQNGRSVVATVVDECDSRHGGCKDDIVDTSAAVWSALGLDTNVGEVPVTWSDA >ONIVA10G15330.1 pep chromosome:AWHD00000000:10:16039616:16046068:-1 gene:ONIVA10G15330 transcript:ONIVA10G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IUA3] MFLLRATATATSTAASAAAASLLLRCHHPLSPPPRTLALALPARPPPALLLPSRFRLSSSASSSSRRRATGAASASAGAGAGKGKGKGGKSSGGKAAAAAAAGGAAMSASAGGGGGGGGKRTVADVLMGNARDAARKAKKGAAPSPKKLKTQPQPAAEADGGAVAKPEEKPHSPVKPKRSSSPTKSNSPGEGKRSESVAAGAKPEAKEKPSPKKPKTLGAKSDTKSSAEGVEKEKRRSPSPTKAKALASESQDIKKPSSPKKTKALDAPKSEEKDTTLELKKKGSEFNPMAAAYWSPEEPVPFLFLARALDLISNESGRIVITEILSNVFRTVIATTPEDLLATVYLSANRIAPPHEGTELGIGDASIIRALAEAYGRREEHVKKNLKELGDLGLVAKASRLSQKMMYKPKPLTISHVLAKFRTIAKESGKDSQDKKRNHIKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGQAAVYSEKHSPPSKIQSPFEEAAKIIKQVYSVLPIYDKIVPAILEVGVWKLPEICSFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCLEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKKKILPFQILSTRARKGVTISDIKVSVCTFGFDILYINGKPLLQEQLKVRREHLYNSFEEVPGVFQLATSITSNDLEEIQKFLDTAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEQNEEYQTICNIGTGFSEQQLEERSVSLRTKAIPKPKVAYYRFADTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRVRDDKNPEQATTSEQVRDS >ONIVA10G15330.2 pep chromosome:AWHD00000000:10:16039654:16046068:-1 gene:ONIVA10G15330 transcript:ONIVA10G15330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IUA3] MFLLRATATATSTAASAAAASLLLRCHHPLSPPPRTLALALPARPPPALLLPSRFRLSSSASSSSRRRATGAASASAGAGAGKGKGKGGKSSGGKAAAAAAAGGAAMSASAGGGGGGGGKRTVADVLMGNARDAARKAKKGAAPSPKKLKTQPQPAAEADGGAVAKPEEKPHSPVKPKRSSSPTKSNSPGEGKRSESVAAGAKPEAKEKPSPKKPKTLGAKSDTKSSAEGVEKEKRRSPSPTKAKALASESQDIKKPSSPKKTKALDAPKSEEKDTTLELKKKGSEFNPMAAAYWSPEEPVPFLFLARALDLISNESGRIVITEILSNVFRTVIATTPEDLLATVYLSANRIAPPHEGTELGIGDASIIRALAEAYGRREEHVKKNLKELGDLGLVAKASRLSQKMMYKPKPLTISHVLAKFRTIAKESGKDSQDKKRNHIKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGQAAVYSEKHSPPSKIQSPFEEAAKIIKQVYSVLPIYDKIVPAILEVGVWKLPEICSFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCLEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKKKILPFQILSTRARKGVTISDIKVSVCTFGFDILYINGKPLLQEQLKVRREHLYNSFEEVPGVFQLATSITSNDLEEIQKFLDTAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEQNEEYQTICNIGTGFSEQQLEERSVSLRTKAIPKPKVAYYRFADTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRVRDDKNPEQATTSEQATNKSSCPGFRFVLASTF >ONIVA10G15320.1 pep chromosome:AWHD00000000:10:16034577:16040349:1 gene:ONIVA10G15320 transcript:ONIVA10G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGGADGGNGHLPRPRRARRGGGGGGGMGSPPLGPPPPPCTDYDMAYFKAYSHIGVHEEMLKDHVRTNTYRNAIMHHQDLISGKVVLDVGCGTGVLSIFCAFAGAARVYAVDASDIALQAMEIVRENELSDKVIVLHGRIEDVEIEEKVDVIISEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYLAPITNSHRYQDSVYFWQDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPSVVAQVDCYTIQAPELETITATFNYTSMLQAPLHGFAFWFDVEFNGPVRQRSKKQANQCLDGNTQDASPSNKKKKADAPIVLSTAPEDAPTHWQQTLLYLFEPIELKKDQNIEGSVTISQSQQHARFLNICLKYFTRDQWYVKESVMK >ONIVA10G15310.1 pep chromosome:AWHD00000000:10:16031651:16032619:-1 gene:ONIVA10G15310 transcript:ONIVA10G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSQEHVIGIPLASFAYAEEKIERKTSRSSLVHKKGKKNSIIYRMSKLSQKTDSYVQGFKEHITLGPKISDTLKGKLSLGAKVLQAGSIDKVFRQYFQVDKDEKLLKAFQCYLSTTAGPIAGMLFISTEKIAFHSDRPLDLTSPKGGITRVPYKVLIPAKRIKSAAVRENLYNPDEKYIDVVTVDGFDFWFMGFISHTKSFEYLQRVISEFR >ONIVA10G15300.1 pep chromosome:AWHD00000000:10:16027016:16029112:1 gene:ONIVA10G15300 transcript:ONIVA10G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYWGQRLWRPPAPAAATTVHLASLLQSCGRAGDLRRGRLLHARLVLSGAAAASTFLANHLITMYSHCADLASALRLFAAMPRRNAVSWTTLVSGLSQNLMHADALAAFAAMRRAGVAPTRFALSSAARAAAALGAPLPGAQLHCVGVRLGFDTELFVASNLADMYSKCGLLSEACRVFDQMPQKDAVAWTAMIDGYAKNGSLEAAVLSFRDMKREGLVGADQHVFCSVLSASGGLKDGWLSKSIHCCVTKAGFELEVAVRNALIDMYAKSMDVESASRVLKIDPGGWNVVSGTSMIDGYIETDCVEEALVIYVELRRQGVEPNEFTFSSMIKGCAMQALLEQGAQLHAQVIKTDLIRDSFVGSTLVDMYGKCGLISLSMQLFNEIEYRTDIAWNAVINVFAQHGHGREAIQAFDRMIYSGIRPNHIAFVSLLTACSHAGLVDEGLKYFYSMKEAHGIEPKEEHYSCIIDTYGRAGRLDEAYKFISEMPIKPNAYGWCSLLGACRMRGSKELGEVAAQNLMKLEPGNTGIHVSLSGIYASLGQWEDVKAVRKLMRDSRIKKLPGFSWVDSNKKTHVFGSEDWSHPQQKDIYEKLEELTTRIKEEGYIPDTSFLPCNLEDIAKERILRYHSERIAVAFALISMPATKPIIVKKNLRICIDCHTAFKFICKVERRDIIVRDNSRFHHFVNGRCSCGDYW >ONIVA10G15290.1 pep chromosome:AWHD00000000:10:16017521:16026392:1 gene:ONIVA10G15290 transcript:ONIVA10G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSYLSEPSVLYNLQYRYTQDLIYTKAGPVLVAVNPFKKVPLYGNEYIDAYRNKTKDSPHVYAIADSALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGGGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTFLLEKSRVVQCAVGERSYHIFYQLCAGAPASLRDKLNMKKADEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQDNVFTMVSAVLWLGDVSFTVIDNENHVEIVVDEAAETVARLLGCSIEDLNLALSKRHMKVNNENIVQKLTLSQAIDTRDALAKSLYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQNCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLNNNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQFLAKCKSSLPQMFASKMLSQSDNPLPVPYRNSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHGILRVQSCFRGHQARRHARERIRGVLALQSFIRGENARKMYSSLARKHRAAIILQRNLKCWLARRYFVNIRKASVVIQSGIRGCLVRRCAGNVDLLNVLREFESKKEVEGDQILIKASFLAELQRRILKAEATVREKDEENEMLQQRLQQYENRWSEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWESNGVHIGSASQLVPRTVGREMNASISVISRLAEEFEQRSQVFADDAKFLVEVKSGQADASLNPDMELRRLKQNFDSWKKDFGSRIRETKVILNKLGSGNESSPNSVKRKWWGRLNTSKFS >ONIVA10G15290.2 pep chromosome:AWHD00000000:10:16017246:16026392:1 gene:ONIVA10G15290 transcript:ONIVA10G15290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVRSVRKSAALRPRGPGKLQPARSMPLDYRYSAAGGRKAANGVGARAAVAPEEEEEEGVEVGVVGFEGDADSPYSSQAATTEEVEEEGDGERGGGDAEVDSAAAATGARATAAAAAATTPRRQSPAGAGSPSQRDARWGDTSSYGARKKHRVFCQLPNSDWALCTVITTSGDDSVLKLPEGKVLRLKTESLEAANPEILDGVDDLMQLSYLSEPSVLYNLQYRYTQDLIYTKAGPVLVAVNPFKKVPLYGNEYIDAYRNKTKDSPHVYAIADSALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGGGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTFGERSYHIFYQLCAGAPASLRDKLNMKKADEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQDNVFTMVSAVLWLGDVSFTVIDNENHVEIVVDEAAETVARLLGCSIEDLNLALSKRHMKVNNENIVQKLTLSQAIDTRDALAKSLYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQNCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLNNNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQFLAKCKSSLPQMFASKMLSQSDNPLPVPYRNSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHVIRGENARKMYSSLARKHRAAIILQRNLKCWLARRYFVNIRKASVVIQSGIRGCLVRRCAGNVDLLNVLREFESKKEVEGDQILIKASFLAELQRRILKAEATVREKDEENEMLQQRLQQYENRWSEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWESNGVHIGSASQLVPRTVGREMNASISVISRLAEEFEQRSQVFADDAKFLVEVKSGQADASLNPDMELRRLKQNFDSWKKDFGSRIRETKVILNKLGSGNESSPNSVKRKWWGRLNTSKFS >ONIVA10G15290.3 pep chromosome:AWHD00000000:10:16017246:16024613:1 gene:ONIVA10G15290 transcript:ONIVA10G15290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVRSVRKSAALRPRGPGKLQPARSMPLDYRYSAAGGRKAANGVGARAAVAPEEEEEEGVEVGVVGFEGDADSPYSSQAATTEEVEEEGDGERGGGDAEVDSAAAATGARATAAAAAATTPRRQSPAGAGSPSQRDARWGDTSSYGARKKHRVFCQLPNSDWALCTVITTSGDDSVLKLPEGKVLRLKTESLEAANPEILDGVDDLMQLSYLSEPSVLYNLQYRYTQDLIYTKAGPVLVAVNPFKKVPLYGNEYIDAYRNKTKDSPHVYAIADSALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGGGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTFGERSYHIFYQLCAGAPASLRDKLNMKKADEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQDNVFTMVSAVLWLGDVSFTVIDNENHVEIVVDEAAETVARLLGCSIEDLNLALSKRHMKVNNENIVQKLTLSQAIDTRDALAKSLYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQNCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLNNNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQFLAKCKSSLPQMFASKMLSQSDNPLPVPYRNSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHGFKAVSEGIKPVAMQGNE >ONIVA10G15290.4 pep chromosome:AWHD00000000:10:16024615:16026392:1 gene:ONIVA10G15290 transcript:ONIVA10G15290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLARKHRAAIILQRNLKCWLARRYFVNIRKASVVIQSGIRGCLVRRCAGNVDLLNVLREFESKKEVEGDQILIKASFLAELQRRILKAEATVREKDEENEMLQQRLQQYENRWSEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWESNGVHIGSASQLVPRTVGREMNASISVISRLAEEFEQRSQVFADDAKFLVEVKSGQADASLNPDMELRRLKQNFDSWKKDFGSRIRETKVILNKLGSGNESSPNSVKRKWWGRLNTSKFS >ONIVA10G15280.1 pep chromosome:AWHD00000000:10:16010237:16010936:1 gene:ONIVA10G15280 transcript:ONIVA10G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLAAYAAPFGSRGPTCSCLRPNPSDLQKVRPTRIPIDVDRAHMSGRCGLSNRFEWGYRSPYDFTVIASRVDGWMGSWACQVHSRWGHELLMDTSHMEEWPCLINKVSHMIMLTQS >ONIVA10G15270.1 pep chromosome:AWHD00000000:10:15991086:15992543:1 gene:ONIVA10G15270 transcript:ONIVA10G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFLLTAAAAAAAIVVVTSAAASYDSPASLPAGVLSSPSFLWIAANVIVVWLVSSYRGHTAAGDGDGVGESGGGGVDVGLYTSSSGHGHDHDHDDMFAVAAVAADLVVVAAAPVVTTTVAKKKPSREARAAKRSTTDRPRARKAAASAAGGETTPARPGDCSPRDVSKALAGVVLQTPRGAAAAVGAALMDTVDVKRPIIVEEEWLTWDLAATAAEATAKPDVKKSVVEEEWPDWAFFVDDDAKNPLVGEEEWSAWVLACTEEAKPAVVEKKPVVADEPWPSSWTIAATDVKMAVKKPVVVEDPWRPPPSSWTIEAPADGDKWAITLATDFSTPKPDVVAGAGAGDDDDDVSMDSMWQTILQSGRARPVTVPKSETWATTTDEQQPRRRERAAAAAVARREIRKSATATNMTPPPSPPHVRAPPAPARRPWRTRDVLPAMPNDELMRRAESLIRRHHEQLRLQRQESEQRQALELQRRRPLIRV >ONIVA10G15260.1 pep chromosome:AWHD00000000:10:15970211:15980948:1 gene:ONIVA10G15260 transcript:ONIVA10G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVHGQRAEDSFGGFFSFDEDAASEDMSLDGLDEELEEHKDYDVLISILANGEKQRDMATLVEGNLGHAEQDLIQDYVEDSDNLVLLHDQIHDCDIILSQIGSLLTGFQVHIGSINSELRSLQERSLDISVRLKNRKLVETKLAKFVEEIVAPPSLVTVIIDGEINDGYAKSLEILSKKLRFSQVDPMINASNSLKDIKPELERLLQKALCKVSDYLTELFFSMRKPGTNIQILQQNMTVISQFPLHFLFIYYRSKLLLKWFQVLSAHFQVYIEALEKLKLDIGVSSDLTGYDTNIIDLISRGREHLRNHRFMFSLGERASILKMMWKNYLLSGPFKVINGHLDHTLRNYHDAVCLMLMICITRKHQVLIYLWPRFKTVFDMYLQSLYRCDTKLLWVDGSHPHHIVRCYVEFTASLIQLNAECGDGQLDMNLERLRLAVDNLLGRLAENFANPKTQHLFLLNNYDMTISVLKEAGDEANKLQSYFEEKLESNMISFADELLMEHFKDLIKFVRSRVSEDLILYTECPSIADVEPIVKNFAVTWKTALELMHNEVVTSCSNLLAGMEILKAAMVQLLNDYNRLSECVKIIPGGSTLNRNLVSITSISYEMRKYSITL >ONIVA10G15260.2 pep chromosome:AWHD00000000:10:15970211:15984815:1 gene:ONIVA10G15260 transcript:ONIVA10G15260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVHGQRAEDSFGGFFSFDEDAASEDMSLDGLDEELEEHKDYDVLISILANGEKQRDMATLVEGNLGHAEQDLIQDYVEDSDNLVLLHDQIHDCDIILSQIGSLLTGFQVHIGSINSELRSLQERSLDISVRLKNRKLVETKLAKFVEEIVAPPSLVTVIIDGEINDGYAKSLEILSKKLRFSQVDPMINASNSLKDIKPELERLLQKALCKVSDYLTELFFSMRKPGTNIQILQQNMTVISQFPLHFLFIYYRSKLLLKWFQVLSAHFQVYIEALEKLKLDIGVSSDLTGYDTNIIDLISRGREHLRNHRFMFSLGERASILKMMWKNYLLSGPFKVINGHLDHTLRNYHDAVCLMLMICITRKHQVLIYLWPRFKTVFDMYLQSLYRCDTKLLWVDGSHPHHIVRCYVEFTASLIQLNAECGDGQLDMNLERLRLAVDNLLGRLAENFANPKTQHLFLLNNYDMTISVLKEAGDEANKLQSYFEEKLESNMISFADELLMEHFKDLIKFVRSRVSEDLILYTECPSIADVEPIVKNFAVTWKTALELMHNEVVTSCSNLLAGMEILKAAMVQLLNDYNRLSECVKIIPGGSTLNRNLVSITSISYEMRKYSITL >ONIVA10G15250.1 pep chromosome:AWHD00000000:10:15965048:15968117:1 gene:ONIVA10G15250 transcript:ONIVA10G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prefoldin 5 [Source:Projected from Arabidopsis thaliana (AT5G23290) TAIR;Acc:AT5G23290] MASPPRIEVEKLSVEQLKALKEQTDLEMLVPLTASLYVPGTLDDSEKVLVDVGTGYFIEKTMTEGKEYCERKINLLKSNFDELVEMATKKKNIADEMGRLLQAKLRQSSPSPSS >ONIVA10G15240.1 pep chromosome:AWHD00000000:10:15960967:15964806:1 gene:ONIVA10G15240 transcript:ONIVA10G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKRRRSHSPVEHLEGNNKEIEISGRKDDLRDLENDSSNARSGRGHEYVRHSDRHSSGAPRDSRRHDDYRRYHDKRGDDNDRGHRISRSERESRSDTYYDRTKRDGTSDRSRGGWRNDDKSLRREHRSKNQDKQEPSREYPRYDGEHDKYSDGKKQGHTSRRYPEEKESKYKETAKQEEALNKRTGKEIEKMSSVAEPEVGTREKRSLFSSVGPDFENAQLNDNADTSGKKPSLDCSNGVVLDNPTSGFTVNSVDAAKVAAMKAAELVNKNLVGFGVGAGRLSTDQKKKLLWGNKKSNPPESSAHWDSNLFPDRERQEKFNKLMGVKSSSSSSAQESKVDGKDGSSSDAKKQEELDTDLEKHYIAGLRRRDGRTVGLGL >ONIVA10G15230.1 pep chromosome:AWHD00000000:10:15954773:15958084:-1 gene:ONIVA10G15230 transcript:ONIVA10G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPDAVKEEDEGNMDSFQSGQTIIGQDDFMAIFRNDKPLCELLMKIGQRTSGSSGAKPAAPPPIVHLHGLIPDELCKIDHRPALSDFLSAQQQQQQPPPPAQHGACVKRPAIGPPPGFAGVRQPPQKQQQLPPPPRRRAQQQPASAAAHHRNPNRHHLSGVAAPPAAAANALSIMRKAAAAGGGCAAVYCGVCNVKCMTRFNLREHEAGRKHRDKVASNAGEKNVRCQLCDVLLASELNVAQHYAGKQHLHRLRLSRGRRGGGGGGNGATGAGAA >ONIVA10G15220.1 pep chromosome:AWHD00000000:10:15953122:15953697:1 gene:ONIVA10G15220 transcript:ONIVA10G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNWPFPISLTMVHMVFCASLTVVLVRVLRVVAEPTSPPMTPSLYAASVVPIGVLYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYCLAVAFHTDSFHHASMLNMLGISAGIAVAAYGEARFDTFGVMLQLVAVTAEATWLVLIQILLTGATPPPAPAPAQADRNVEMGLLGAHRREQRVAAGDEAAPGS >ONIVA10G15210.1 pep chromosome:AWHD00000000:10:15941096:15944797:-1 gene:ONIVA10G15210 transcript:ONIVA10G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATMDAVMPGAAGAHNATAAAAAGRRGGGIVAGMMALPEVQTVELLVAVSIFVAIHSLRQRRSQGLPSWPLVGMLPSLLLGLRGDMYEWLTGVLASRGGTFTFHGPWLTNLHCVVTSDPRNLEHMLKTKFGSFPKGPYFRDTVRDLLGDGIFGADDEVWRRQRKAASLEFHSAEFRALTASSLVELVHRRLLRVLGDAEEAGDAVDLQDVLLRLTFDNVCMIAFGVDPGCLRPGLPEIPFAKAFEDATEATIVRFVTPTAVWRAMRALGVGHERVLQRSLAGVDRFAYDVIRQRKEEVAGGGGGGGGGRSDLLTIFTKMRDADTGAAAYSDKFLRDICVNFILAGRDTSSVALAWFFWLLNKNPAVEAKILEEIDDIVAARRSSPPAPAVAANGADEDDLVFHPEEVKKMEYLHAALSEALRLYPSVPVDHKEVVEDEVFPDGTVLKKGTKVIYAMYTMGRMESIWGEDCREYKPERWLRDGRFMGESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILRRYHVRVVDGHPVAPKMALTMYMKHGLKVKLTKRDKSKL >ONIVA10G15200.1 pep chromosome:AWHD00000000:10:15937000:15944972:1 gene:ONIVA10G15200 transcript:ONIVA10G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRAAEELAEREMAGRDASHDAAHALRVRDLALSLAAELGLSSSPDRLLIVEIAALLHDIGDYKYTKDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGAIGKYCLTEVSLSALLKQSFLQQLGCSKCCTGYAKSLPCTILFFPLLPLDSDGINLSSIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKKRAEKRHKFMEDFVAEFYEEWSGRA >ONIVA10G15200.2 pep chromosome:AWHD00000000:10:15937000:15944972:1 gene:ONIVA10G15200 transcript:ONIVA10G15200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRAAEELAEREMAGRDASHDAAHALRVRDLALSLAAELGLSSSPDRLLIVEIAALLHDIGDYKYTKDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKKRAEKRHKFMEDFVAEFYEEWSGRA >ONIVA10G15200.3 pep chromosome:AWHD00000000:10:15937000:15944972:1 gene:ONIVA10G15200 transcript:ONIVA10G15200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRAAEELAEREMAGRDASHDAAHALRVRDLALSLAAELGLSSSPDRLLIVEIAALLHDIEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGAIGKYCLTEVSLSALLKQSFLQQLGCSKCCTGYAKSLPCTILFFPLLPLDSDGINLSSIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKKRAEKRHKFMEDFVAEFYEEWSGRA >ONIVA10G15200.4 pep chromosome:AWHD00000000:10:15937543:15942124:1 gene:ONIVA10G15200 transcript:ONIVA10G15200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIARNAAAAAAAVFFLFCLLAFPSASNSNTLGAPAADWGWICAGRRRPLVGNGERLGLHASSSTAGCGPVRSGAMALDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGAIGKYCLTEVSLSALLKQSFLQQLGCSKCCTGYAKSLPCTILFFPLLPLDSDGINLSSIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTERKGTSSWRTLWLNSMKSGVAELEVDQPGYGLLGSAGSSTSVTLNLNIYSLALQ >ONIVA10G15200.5 pep chromosome:AWHD00000000:10:15937543:15944972:1 gene:ONIVA10G15200 transcript:ONIVA10G15200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIARNAAAAAAAVFFLFCLLAFPSASNSNTLGAPAADWGWICAGRRRPLVGNGERLGLHASSSTAGCGPVRSGAMALDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGAIGKYCLTEVSLSALLKQSFLQQLGCSKCCTGYAKSLPCTILFFPLLPLDSDGINLSSIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKKRAEKRHKFMEDFVAEFYEEWSGRA >ONIVA10G15200.6 pep chromosome:AWHD00000000:10:15937543:15944972:1 gene:ONIVA10G15200 transcript:ONIVA10G15200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIARNAAAAAAAVFFLFCLLAFPSASNSNTLGAPAADWGWICAGRRRPLVGNGERLGLHASSSTAGCGPVRSGAMALDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTERKGTSSWRTLWLNSMKSGVAELEVDQPGYGLLLHLEPMLHVHGERHLGRHWVAVDDADVVTAEDGGRGKLHLVVGEVLAKAQTRAAVEGGELVGRFAHEAAVSEPPLRLVLPAVLPPDALHPAHGVHGIDHLCPLLQHRPVREHLVLHNLTTGHEKC >ONIVA10G15200.7 pep chromosome:AWHD00000000:10:15937543:15944972:1 gene:ONIVA10G15200 transcript:ONIVA10G15200.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIARNAAAAAAAVFFLFCLLAFPSASNSNTLGAPAADWGWICAGRRRPLVGNGERLGLHASSSTAGCGPVRSGAMALDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGAIGKYCLTEVSLSALLKQSFLQQLGCSKCCTGYAKSLPCTILFFPLLPLDSDGINLSSIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKKRAEKRHKFMEDFVAEFYEEWSGRA >ONIVA10G15200.8 pep chromosome:AWHD00000000:10:15937000:15937883:1 gene:ONIVA10G15200 transcript:ONIVA10G15200.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIARNAAAAAAAVFFLFCLLAFPSASNSNTLGAPAADWGWICAGRRRPLVGNGERLGLHASSSTAGCGPVRSGAMALFCCCHCRPLLSAPSQFFLPLLVGPLCLGLGLLADF >ONIVA10G15190.1 pep chromosome:AWHD00000000:10:15932785:15933763:-1 gene:ONIVA10G15190 transcript:ONIVA10G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEPGGGGGGRRRRWFAVLLCFGGDGNDKDEAAGRPPRRRKRTVPVDGAGDDDGAVSSAGSAEREMRRGERRPRRRRGGCGFLLPLSSCVPGLLKRNVRRNSNSSNDVHGGQRQQHEPTAPPLPRPAELPPPPPPPRRRHDVVAPATTRGAGAGAIADAETAESKGDIVVVASSRERASSCGHGEFGPAMGVCVVAAVSMAGLLGGRLWAVVCVCAWLAAVYGLQLNRRRAKPPPPPAADGGGEEVVGDVNSKDYKKLVVLKGLLQRDRR >ONIVA10G15180.1 pep chromosome:AWHD00000000:10:15926036:15928301:-1 gene:ONIVA10G15180 transcript:ONIVA10G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADEDRHGGRRRGDNEYGGGGGGGQEEGGAGGCCSCLPPFCGVWGSRTKPRRRRRRFRFRLRLIRLSWFFSWPWRKNSGNKKKKTTATATKEAKGMKKRMLLLLSSSSSSAASPPSPAKKALAAAASVSAAAAGSLLLPKVGSFAADGGKKQRKSGSKSLPQQTVTGGGGGGGGGDGDAAAPAKETAPPAWQPCPRPAPGELAGVKRAPSRRHGSFRREPGGGGGGGGGLWTMATTLGVIVFFGRVTAVAFLCSCLYAARFVRAQAAGAAAAKGKGGGGSGRFGEPAAEERPAVVEVCTEEHKKKVVMEGLLDRGGKRLSSRFL >ONIVA10G15170.1 pep chromosome:AWHD00000000:10:15910747:15923326:1 gene:ONIVA10G15170 transcript:ONIVA10G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 3 [Source:Projected from Arabidopsis thaliana (AT3G43920) TAIR;Acc:AT3G43920] MTGPHVGLSPHISATAAAALHRANQLSSASSHRRLTHFAFSHSPPPRRRFIPTSSCASPPPNPPNAAAAAMADDEAAVLPPPPPLPPPCRPHRQLRPRGYQVEVFEAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRIVVFLAPTVHLVHQQFEVIREYTDLDVMMCSGASRVGEWGADHWKEEVGRNEIVVMTPQILLDALRHAFLTMSAVSLLIFDECHRACGSHPYARIMKEFYFGSQWRPDVFGMTASPIYIVEDRNELESFSPPATIVNKYYDAYMVDFDNLKSKLQILSDEFDSLLVGLQESPSNKFKDTDNILETSRKSLSRYHGKILYSLNDLGPIITSESNRGNSSTRSSNEVLCLIFVDRIMTAKAVEREYIKRCSESSSSEIYFGFVPSWKDVTEEGVDVPNCSCVIRFDLPRTVCSYVQSRGRARRNNSEFILMIERGNLQQQEHIFRMIQTGYYVKNCALYRHPNALSYDLSIQGMYTYQVQSTGATITADCCVNLIRKYCEKLPKDRYFMPKPSFEVTIEDGLFKCTLTLPRNAAFQSIVGPLSSSSNLSKQLVSLEACKKLHQLGELNDHLVPLTEEPMDTDFTTADEKCISGPGTTKRKELHGTTCVLALSGTWIHDSENITLNTYRIDFLCDQEGENYAGFVLLMEPELDDDVAPSKMDLFLIPNKMVYTTVTPRGKVQLNKKQLGKGKLFQEFFFNGIFGRLFHGSRKSGAQRDFIFKKGHEIQWNTESMYLLLPLRDSSYIQDDLSIHWEAIESCAGAVEQLWSSYQGDENVIPVNCIPQKRSGGQEEIIHLANKSLHCSSIKDSVVLSLHTGRIYTVLDLILDTTAEDSFDEMCKGKASPFTSFLDYYHQKYGIIIQHPEQPLLLLKQSHNAHNLLFSKLKYLDGSTGKPLLMEKEQIHARVPPELLIHLDVTTDILKSFYLLPSVIHRLQSLMLASQLRREIGYNQHIPVTLILEAITTLRCCETFSLERLELLGDSVLKYVVGCDLFLRYPMKHEGQLSDMRSKAVCNATLHKHGIWRSLQGYVRDNAFDPRRWVAPGQISLRPFPCNCGIETAFVPSHRRYIRDDPSFVVGKPCDRGHRWMCSKTISDCVEALVGAYYVGGGIAAALWVMRWFGIDIKCDMKLLQEVKFNASHLCSLSKINDIEELEAKLKYNFSVKGLLLEAITHPSLQELGVDYCYQRLEFLGDSVLDLLLTRHLYATHTDVDPGELTDLRSALVSNENFAQAVVRNNIHSHLQHGSGILLEQITEYVRSNLECQGKESEFLQHTTCKVPKVLGDIMESIAGAVFLDTDFNVDMVWEIFEPLLSPLITPDKLALPPYRELLELCSHIGCFLNSKCTSKGEVIIEMSLQLRDELLVAQGHDRNKKRAKAKAASRILADLKQQGLSIKQCLSKAKQLDIVTSDLQFDLTSSGTQLSYSDLNDYHILEGLSSVKKEVVLPLKMEKGGPRSALFKLCKILQWPMPEFEFVEQRFRTPIVMDGATTTNFNSFVSTITLHIPDATTITFQGERRTEKKSAQDSASLMMLHKLQELKICICKT >ONIVA10G15170.2 pep chromosome:AWHD00000000:10:15910747:15923326:1 gene:ONIVA10G15170 transcript:ONIVA10G15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 3 [Source:Projected from Arabidopsis thaliana (AT3G43920) TAIR;Acc:AT3G43920] MTGPHVGLSPHISATAAAALHRANQLSSASSHRRLTHFAFSHSPPPRRRFIPTSSCASPPPNPPNAAAAAMADDEAAVLPPPPPLPPPCRPHRQLRPRGYQVEVFEAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRIVVFLAPTVHLVHQQFEVIREYTDLDVMMCSGASRVGEWGADHWKEEVGRNEIVVMTPQILLDALRHAFLTMSAVSLLIFDECHRACGSHPYARIMKEFYFGSQWRPDVFGMTASPIYIVEDRNELESFSPPATIVNKYYDAYMVDFDNLKSKLQILSDEFDSLLVGLQESPSNKFKDTDNILETSRKSLSRYHGKILYSLNDLGPIITSELKPSKGSTSKDALSPAVQRFTLDLFRAGKVNLLFTTDVTEEGVDVPNCSCVIRFDLPRTVCSYVQSRGRARRNNSEFILMIERGNLQQQEHIFRMIQTGYYVKNCALYRHPNALSYDLSIQGMYTYQVQSTGATITADCCVNLIRKYCEKLPKDRYFMPKPSFEVTIEDGLFKCTLTLPRNAAFQSIVGPLSSSSNLSKQLVSLEACKKLHQLGELNDHLVPLTEEPMDTDFTTADEKCISGPGTTKRKELHGTTCVLALSGTWIHDSENITLNTYRIDFLCDQEGENYAGFVLLMEPELDDDVAPSKMDLFLIPNKMVYTTVTPRGKVQLNKKQLGKGKLFQEFFFNGIFGRLFHGSRKSGAQRDFIFKKGHEIQWNTESMYLLLPLRDSSYIQDDLSIHWEAIESCAGAVEQLWSSYQGDENVIPVNCIPQKRSGGQEEIIHLANKSLHCSSIKDSVVLSLHTGRIYTVLDLILDTTAEDSFDEMCKGKASPFTSFLDYYHQKYGIIIQHPEQPLLLLKQSHNAHNLLFSKLKYLDGSTGKPLLMEKEQIHARVPPELLIHLDVTTDILKSFYLLPSVIHRLQSLMLASQLRREIGYNQHIPVTLILEAITTLRCCETFSLERLELLGDSVLKYVVGCDLFLRYPMKHEGQLSDMRSKAVCNATLHKHGIWRSLQGYVRDNAFDPRRWVAPGQISLRPFPCNCGIETAFVPSHRRYIRDDPSFVVGKPCDRGHRWMCSKTISDCVEALVGAYYVGGGIAAALWVMRWFGIDIKCDMKLLQEVKFNASHLCSLSKINDIEELEAKLKYNFSVKGLLLEAITHPSLQELGVDYCYQRLEFLGDSVLDLLLTRHLYATHTDVDPGELTDLRSALVSNENFAQAVVRNNIHSHLQHGSGILLEQITEYVRSNLECQGKESEFLQHTTCKVPKVLGDIMESIAGAVFLDTDFNVDMVWEIFEPLLSPLITPDKLALPPYRELLELCSHIGCFLNSKCTSKGEVIIEMSLQLRDELLVAQGHDRNKKRAKAKAASRILADLKQQGLSIKQCLSKAKQLDIVTSDLQFDLTSSGTQLSYSDLNDYHILEGLSSVKKEVVLPLKMEKGGPRSALFKLCKILQWPMPEFEFVEQRFRTPIVMDGATTTNFNSFVSTITLHIPDATTITFQGERRTEKKSAQDSASLMMLHKLQELKICICKT >ONIVA10G15170.3 pep chromosome:AWHD00000000:10:15907734:15910847:1 gene:ONIVA10G15170 transcript:ONIVA10G15170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 3 [Source:Projected from Arabidopsis thaliana (AT3G43920) TAIR;Acc:AT3G43920] MSRPHLPKDDHAFANGFLFDGVARRPGEIEEAAAFAAAADVAITIAAEQSGSPSSSTAPPMSSCGQYMLHRVGKLDTLAGIAIKYGVEVADIKRLNGLSTDLQMFAHKTLRIPLPGRHPPSTYQQNGSYEGDDRECTPPRRLHDDILDSVLRTPKHKASPAMSLLQGYYGLTPPPKKDTTHEGTEMAVYRKGKSVFLDDDPWFGEPPDSDPFPFQHRKTRSLAIGSSLLNGETEENGDSEKLIRRRQKADGELLPREENGSSAVLARAGKGLALRPKSGSRQDLNKSQQNLIALAEPSFGDGLHAVRKSSSTPEFQEPESNSSSTSSSIWSTSKWTLKPDAFTLPLPLPLFDNIPKPIAAWRNKAARD >ONIVA10G15160.1 pep chromosome:AWHD00000000:10:15886495:15895880:1 gene:ONIVA10G15160 transcript:ONIVA10G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3506) [Source:Projected from Arabidopsis thaliana (AT4G33630) TAIR;Acc:AT4G33630] MAAAVSTAPRAPLPAGAVSSSCCSSSSSSASMSRRWDPSPNPSSGSGSRLFLAARRGERLRVRRLAGAAPAPAPRRRVSSVVRCGGGGGGARSPDDADAGSGERRRGWDALFHDAFQGAVRRWSEYVGSHWPLAPAGKDAGLGKRVESRREEQVRGEVEEEEGKWSWERWKQHFALIEESERLVDELQLQLRTAVYREDFRSAHKLKLAIAATSKNDTVGRAISDLNSAIEEERYMDATYIRDHAGAGLLGWWSGISGNLSDPYGLIIRISAEHGRYVAKSYDTRQLNSDGPGFPIFEIYFAEANGGYNLQAVHLKPDDSDSQQLSNTLREKLGMDSINISSSSFGAKHEDHNEGVNMDDQNSDDSDISAGPAGFKNLPSDSTPVPRVKILKVVPMENVNQDYIIKIFDQMSDEDDENDNPEDEIESSEDIGDGDNVEEAEAASAEDNVDESGDESDIEALISIDFITEDDKDFMSLSSTKAFERMPARLERRDRFSFSFYTEQYSKRQDVEKVQGISKEKVGLRTAQQDDDDLQFDRVKLVGSNRKLSVLQLGIKQHNNKVQQKLYGVTHFSRIQIPVSSDPLTGLYMTASGFDSEILSLQRKFGQWREDDSSEEHRDLQFYEYVEAVKLTGDNLVPAGQVVFRAKVGKHYQLPHKGIIPRELGVVARYKGERRIADPGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNFHLFEFFRRLKLPD >ONIVA10G15150.1 pep chromosome:AWHD00000000:10:15876791:15883421:-1 gene:ONIVA10G15150 transcript:ONIVA10G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLLTAALPLLLSLLAASQLGASATAAAASSGAAASGRAEWQVLTRANFSSQIRLHPHILLVITMPWYGESRSLMAEIERLVAADEQELGHLKLMAVYRNSEKLLTDVLGATEGIKFIYYQRSLPFKYEGKLRAREILSSVHYIMSLKHAEAPFVVLHTKEDVEAFVESTDKAVVLSEFCGWFSKLAHGGSNRTEGTSSKNHTENVDISGKALTGESDGPLELVIEDEELIFGGGVQLAGFPWKGGFTLANGSMSDQNGITTDENRKLCTAEKLQQFESFYAKLTALSRDYFLPPEKVRFGLITERSSLPSSEFINEGNSETWFLSVHYLGCTNCSIVAKEGDDLRSLLQSYHNLNINEMDIDASGIATFPASRSSAILFIDRLSDSSKVRDESKLSLKLLREYVQKNYPSHFSTGGLTSGKSRMSSKAVPSLVSTGRSAHTERTRLNDWASKLMEIGEKMSVMVVNDGESISYRSDSQGSTDNPLYDILTKLIHKTRPAHRSKKTKISFVAKDVAIKKLSDDSEVQVVESLSIRDSQLERNEGSCASSDGGNDDYTEDSVHENRATEAEYINDRQAPIKLEKGPANYCDNNEKHLESSDTEVEEEHKTKASDVSLDLQEDISVDVHSSNAPKNFCKINKEDLDCSDTKMEEQEHKTEASDTSADLQEEVSTDVHSSNEVGHILHKHKDEETVREALDILEPDGTNVNFNQQKSGSANQQDAVFSVLGQESRRIEDAIYEDNLFILDEGSEESDSKYPVHAALSSSSSLVGDNTYYTEQETPSIPDEHFAGSLFFSDGGYRLLQTLTGGSRMPSLVIIDPIQQKHYVFPDEIEFTYPSLANFLDHFMNRSLSPYYRSALSVISSKELLRPPFINRDFHEADSIPQLTTSNFCMLVFGFEGCVSKSELPFSNTENIASAWKKDVLVLFSNSWCGFCQRTELVVREVYRSFKNFLSSNSQFLQAQDLQIEEKEEESTMKGFPAIYLIDCTSNECHHLLKSVGKEERYPTLLFFPAENKSAISYERGISVSNLFEFLESHASNSPHLLEYKGFLWKKKTVVQGDAPQAIQFDNSDKSSNDVGSHSPSHSERNEARVLTGSVLTATSKLGSAVPFDNSQVLIVSADSREGFHGLIINKRLSWDTFKNLDGSMEPIKHAPLFYGGPVVVQGYYLVSLSRVAFDGYLQVIPGVYYGNVAATAQVTRRIKSGEQSAENLWFFLGFSNWEYSQLFDELSEGAWQVSEEPIEHLVWPEN >ONIVA10G15140.1 pep chromosome:AWHD00000000:10:15862423:15874944:-1 gene:ONIVA10G15140 transcript:ONIVA10G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATAASDATNPEAAEVPSAAPASELEGPFDSGVVAAATTPAVVDSAVEGDAPAAPAPTSGSGPAAPSMPANPASPATPGPPRPQFAGSPAYASPPAPAFSYNVLPRPSPRPQVGSGAAQQQLASPPAMMAPSVAAAALQPPVPGQYFGNRPSFSYNVVSHANAGLPTGQQFQLDTGTNHAVQVSRFVPPSSLQPPAPMNLARPSSAFPGAGAMPPNPPGSIRLPFPGPPRPSINTFVASPQQAQPQASQLPSNSGSSDVSTSRSDTRSVPEASPQTMQLSTGPPSTSTAGSPSITVQMPTNPSLPTRPEVFGAVGASVPGQPSTILSAPPSLLGRPMTPSASPFPQTSQSPTAFQQPGQQQLYPSYPSAHGVQPQPLWGYPPQPTGFQQPPFQSYPSGLLGPLGRPMVGSSSVTAYLPSIQPPGVSTTDRDSKELSSANPGSEQPTQQGSQNSDQLEDKRTTAIQDSDSWSAHKTEAGVVYYYNALTGESTYQKPPGYKGEPEKVAAQPVPVSWDKLAGTDWSIVTTSDGKKYYYDNKLKVSSWQLPPEVAELIKNAESGPLKGSSTSLQDAGTIGNKEEISIDIDTPADAGASSVPSPLATPSSASELNGSKTTDAAPMGHQVSISGEKSKDNSGDGNMSDSSSNSDDEEHGPSEEECTRQFKVEMLKERGVLPFSKWEKELPKIVFDPRFKAIPSHSRRRSTFEQYVRTRADEERKEKRAAQRAAVEAYKQLLEEASEDINSNKDYKEFKRKWGTDPRFEALDRKERDALFNEKVKSIEEKVQSVRNAVIAEFKSMLRESKDITSTSRWTKVKENFRSDARYKAMKHEEREVAFNEYIAELKSAEKEAEQAAKAKLDEQAKLKEREREMRKRKEREEQEMERVKLKIRRKEAVSSYQALLVEIIKDPKASWTESKPRLEKDPQGRAVNPDLGKGDAEKLFRDHVKDLYERCVRDFRALLSEVITPEIAARTTDEGKTAINSWTEAKGLLRSDPRYNKLASKDRESIWRRYADDMKTKLKQSDMKEKSDTDGKQRSSYTYLLAVRGEADPARIHSLVATALSRPDDYPRLHGSRPLFSLAASRLARLRRPDLAASLLRALLDSAPASPGLLARAISLFPGPDDALRAFSDSAPAARSDVSLSALLSALFRAGRVDDVKSTLACAETSFGVAPGRASHNVLLHALVKNSELAAARKLLGEMAKKLKHRPAPDIVSYNTVLAGYSAQGDEEGFEKLLKEISAKKLELNVVTYNCRIQWFAKKGETFKGEELLDAMESKDVAPNYLTYNALVQGYCKEGNVGSAMRVFKRMKVMKRREGRSDLGVSAHSQTYVVLFRSLVEKERLDDALWICKSCFAMKAAPPFEAVKGLVEGLVKGGKSAEAKDVVAKMNLLVKGDAKVAWEKIAGELSLEGTPSSNP >ONIVA10G15140.2 pep chromosome:AWHD00000000:10:15862423:15874944:-1 gene:ONIVA10G15140 transcript:ONIVA10G15140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATAASDATNPEAAEVPSAAPASELEGPFDSGVVAAATTPAVVDSAVEGDAPAAPAPTSGSGPAAPSMPANPASPATPGPPRPQFAGSPAYASPPAPAFSYNVLPRPSPRPQVGSGAAQQQLASPPAMMAPSVAAAALQPPVPGQYFGNRPSFSYNVVSHANAGLPTGQQFQLDTGTNHAVQVSRFVPPSSLQPPAPMNLARPSSAFPGAGAMPPNPPGSIRLPFPGPPRPSINTFVASPQQAQPQASQLPSNSGSSDVSTSRSDTRSVPEASPQTMQLSTGPPSTSTAGSPSITVQMPTNPSLPTRPEVFGAVGASVPGQPSTILSAPPSLLGRPMTPSASPFPQTSQSPTAFQQPGQQQLYPSYPSAHGVQPQPLWGYPPQPTGFQQPPFQSYPSGLLGPLGRPMVGSSSVTAYLPSIQPPGVSTTDRDSKELSSANPGSEQPTQQGSQNSDQLEDKRTTAIQDSDSWSAHKTEAGVVYYYNALTGESTYQKPPGYKGEPEKVAAQPVPVSWDKLAGTDWSIVTTSDGKKYYYDNKLKVSSWQLPPEVAELIKNAESGPLKGSSTSLQDAGTIGNKEEISIDIDTPAVQTGGRDSLPLRQTVAPASSSALDLIKKKLQDAGASSVPSPLATPSSASELNGSKTTDAAPMGHQVSISGEKSKDNSGDGNMSDSSSNSDDEEHGPSEEECTRQFKVEMLKERGVLPFSKWEKELPKIVFDPRFKAIPSHSRRRSTFEQYVRTRADEERKEKRAAQRAAVEAYKQLLEEASEDINSNKDYKEFKRKWGTDPRFEALDRKERDALFNEKVKSIEEKVQSVRNAVIAEFKSMLRESKDITSTSRWTKVKENFRSDARYKAMKHEEREVAFNEYIAELKSAEKEAEQAAKAKLDEQAKLKEREREMRKRKEREEQEMERVKLKIRRKEAVSSYQALLVEIIKDPKASWTESKPRLEKDPQGRAVNPDLGKGDAEKLFRDHVKDLYERCVRDFRALLSEVITPEIAARTTDEGKTAINSWTEAKGLLRSDPRYNKLASKDRESIWRRYADDMKTKLKQSDMKEKSDTDGKQRSSYTYLLAVRGEADPARIHSLVATALSRPDDYPRLHGSRPLFSLAASRLARLRRPDLAASLLRALLDSAPASPGLLARAISLFPGPDDALRAFSDSAPAARSDVSLSALLSALFRAGRVDDVKSTLACAETSFGVAPGRASHNVLLHALVKNSELAAARKLLGEMAKKLKHRPAPDIVSYNTVLAGYSAQGDEEGFEKLLKEISAKKLELNVVTYNCRIQWFAKKGETFKGEELLDAMESKDVAPNYLTYNALVQGYCKEGNVGSAMRVFKRMKVMKRREGRSDLGVSAHSQTYVVLFRSLVEKERLDDALWICKSCFAMKAAPPFEAVKGLVEGLVKGGKSAEAKDVVAKMNLLVKGDAKVAWEKIAGELSLEGTPSSNP >ONIVA10G15140.3 pep chromosome:AWHD00000000:10:15862423:15874944:-1 gene:ONIVA10G15140 transcript:ONIVA10G15140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATAASDATNPEAAEVPSAAPASELEGPFDSGVVAAATTPAVVDSAVEGDAPAAPAPTSGSGPAAPSMPANPASPATPGPPRPQFAGSPAYASPPAPAFSYNVLPRPSPRPQVGSGAAQQQLASPPAMMAPSVAAAALQPPVPGQYFGNRPSFSYNVVSHANAGLPTGQQFQLDTGTNHAVQVSRFVPPSSLQPPAPMNLARPSSAFPGAGAMPPNPPGSIRLPFPGPPRPSINTFVASPQQAQPQASQLPSNSGSSDVSTSRSDTRSVPEASPQTMQLSTGPPSTSTAGSPSITVQMPTNPSLPTRPEVFGAVGASVPGQPSTILSAPPSLLGRPMTPSASPFPQTSQSPTAFQQPGQQQLYPSYPSAHGVQPQPLWGYPPQPTGFQQPPFQSYPSGLLGPLGRPMVGSSSVTAYLPSIQPPGVSTTDRDSKELSSANPGSEQPTQQGSQNSDQLEDKRTTAIQDSDSWSAHKTEAGVVYYYNALTGESTYQKPPGYKGEPEKVAAQPVPVSWDKLAGTDWSIVTTSDGKKYYYDNKLKVSSWQLPPEVAELIKNAESGPLKGSSTSLQDAGTIGNKEEISIDIDTPAVQTGGRDSLPLRQTVAPASSSALDLIKKKLQDAGASSVPSPLATPSSASELNGSKTTDAAPMGHQVSISGEKSKDNSGDGNMSDSSSNSDDEEHGPSEEECTRQFKVEMLKERGVLPFSKWEKELPKIVFDPRFKAIPSHSRRRSTFEQYVRTRADEERKEKRAAQRAAVEAYKQLLEEASEDINSNKDYKEFKRKWGTDPRFEALDRKERDALFNEKVKSIEEKVQSVRNAVIAEFKSMLRESKDITSTSRWTKVKENFRSDARYKAMKHEEREVAFNEYIAELKSAEKEAEQAAKAKLDEQIYYVITQAKLKEREREMRKRKEREEQEMERVKLKIRRKEAVSSYQALLVEIIKDPKASWTESKPRLEKDPQGRAVNPDLGKGDAEKLFRDHVKDLYERCVRDFRALLSEVITPEIAARTTDEGKTAINSWTEAKGLLRSDPRYNKLASKDRESIWRRYADDMKTKLKQSDMKEKSDTDGKQRSSYTYLLAVRGEADPARIHSLVATALSRPDDYPRLHGSRPLFSLAASRLARLRRPDLAASLLRALLDSAPASPGLLARAISLFPGPDDALRAFSDSAPAARSDVSLSALLSALFRAGRVDDVKSTLACAETSFGVAPGRASHNVLLHALVKNSELAAARKLLGEMAKKLKHRPAPDIVSYNTVLAGYSAQGDEEGFEKLLKEISAKKLELNVVTYNCRIQWFAKKGETFKGEELLDAMESKDVAPNYLTYNALVQGYCKEGNVGSAMRVFKRMKVMKRREGRSDLGVSAHSQTYVVLFRSLVEKERLDDALWICKSCFAMKAAPPFEAVKGLVEGLVKGGKSAEAKDVVAKMNLLVKGDAKVAWEKIAGELSLEGTPSSNP >ONIVA10G15130.1 pep chromosome:AWHD00000000:10:15859454:15862024:1 gene:ONIVA10G15130 transcript:ONIVA10G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPAILNPNNLQELQNLPLLKHTTKHAAIALSHSEITGLEYLLTTEAKGYRVAKMADLGFGDARSGNGSRSQCSRGKAMLLALGKGLPEQVLPQEKVVETYLQDTICDDPATRAKLERLFPPMHKIVGKTTTVRTRYTVMSKELLDEHPELRTEGTPTLTPRLDICNAAVLELGATAARAALGEWGRPAADITHLVYISSSELRLPGGDLFLATRLGLHPNTVRTSLLFLGCSGGAAALRTAKDIAENNPGSRVLVVAAETTVLGFRPPSPDRPYDLVGAALFGDGASAAIIGAGPIAAEESPFLELQFSTQEFLPGTDKVIDGKITEEGINFKLGRDLPEKIESRIEGFCRTLMDRVGIKEFNDVFWAVHPGGPAILNRLEVCLELQPEKLKISRKALMNYGNVSSNTVFYVLEYLRDELKKGMIREEWGLILAFGPGITFEGMLVRGIN >ONIVA10G15120.1 pep chromosome:AWHD00000000:10:15855348:15857523:-1 gene:ONIVA10G15120 transcript:ONIVA10G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSAASRRVAPSPIQQLSHLAQRAGAVNLAEGFPDFPAPAHVKAAAAAAIAADLNQYRHVQGICDALAETMKRDHGLRVDPLTDFAVCCGQSEAFAAAIFAIIDQGDEVLLFDPAFETYQTCIELARGVPVYVPLDPPSWTLNEDKILKSFTNRTKAVVLNSPHNPTGKVFSREELLIIAQACQKMDCFAITDEVYEYITYDENKHISLASLPGMQERTIITSSLSKTYSVTGWRIGWACAPANIASAIRNIHVKLTDSAPAPFQEAALVALTSPPDFYSSLKQDYTARRDFILQVLTDFGFRISFKPQGSIFVFVELPRSWQLSDMDFVTNLIKNAGVAAVPGRGFFHSSTDDPSYHHRYVRFAFCKSNDTLNAAAEKMRKLAVSHDARLLRPTDDGEAGQTASATSP >ONIVA10G15110.1 pep chromosome:AWHD00000000:10:15852289:15854541:1 gene:ONIVA10G15110 transcript:ONIVA10G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPEDVLLEIFSRLPARSAARLRAMSRSWRAELSSPSFVDLHLRRANTTAPPKLFCCPCDDKLMLADQWCLYDLQLGGGPGRELVRGGEFGDVLPAPLTKPLRGLVLVMCYGRNGVYVCNPSTGGEALALPDTELPSKATFRPSLGPGPPYYRNVAYGLGYCSAAKEFKVVRMFSEGHYEETATRCEVFVLDSPAYWRPAAGKPPPACIVENTGVFLDGSVHFLCSDGGGMVSFNVADESFGSLPAPPPLAAAVYGVADWRIRERMTELDGCLCVCQYACGSDGHGPCRLWLLRRHGGGDETAARWEKLCCIDPIPWPSRSIVPLCMYGEKILMRTGRSVVFAVDAAACSGGAPEILFRPDEHEATAGEFEDTQLPALGLYEESLVPVGRTVEEIVFSSPSTRAWSDVLKWLPARTVSELSVVCKAWRAMVTTDRFIRSHAVHANMAARRPRIRFVMDPVGGVPADIDRHTDEIHEPDISPKPFVCSQPCHGLNVGSFSDVLDFVCNPIMDYHEELPLIESDDDYDDDGDDIFYGRIALGYDEEVGDHVVVRLAYTENNPETRSYKLQCRMRYVKRREWSPQPIPPPPRPVASATPVYANGKIYWLVDPALGPASATTTTPPTSACELVALDCRNAVARHHYDVVRGPPMPPCGRVSVLRLHGALCVACSDRDANDIDVWAMQQGAGTAAGDAVWSMVYRIELAGYSPEYTSEKTTVVGVDPTSGRILLNTEQSLGYYDPKTGELETI >ONIVA10G15100.1 pep chromosome:AWHD00000000:10:15843755:15845498:1 gene:ONIVA10G15100 transcript:ONIVA10G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGSASKTGVFVRSFVQEPSSFGEEDAKPSKHDAREGTTEEDGDNANPGVKQVEVGDVSLGVVLAHSIDAEAAEGEGEGEGDGVQQHVPSWSGCPPTLSSSPSPFSSAGRTLPLLLFLAARGLAFLYPFVPISYVVELCLDRSRVNPLRLRTEKGEVGATQQLGVLLLFLAARGLTFLYQFVPISYVVELYLDRSCVNSLRLRTEKGGVGATQQLGVHHGWRL >ONIVA10G15090.1 pep chromosome:AWHD00000000:10:15840882:15843001:-1 gene:ONIVA10G15090 transcript:ONIVA10G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT3G22450) TAIR;Acc:AT3G22450] MSLRQLLLQARRFASRPPPPLSNMLVLCRGISDRGHKALPSAPPRHSTGQIQMPQTFHCSNANPLGNRFQIDVVDSDLWPASFDLSMDHAPKTGCPDDFQEHEDGEVHDSEDEIDDMRHRKKLFYKLDRGSKEFEENNVSLRHRRKREKGNVKNPKESKKVDPDESASVKLPKLKTKYTVREEDVVEAKRDRVPTFNQMTDPYHHPFCLDIHVTKGSVRACFVHRVSSRVVTVAHSISKDMKFDVGSRKGMKACAAVGALLAKRAIEDDIHNAIYTPRKGDRIEGKIEVVLRAIIDNGVEVKVKLKQRKPTKIHGIECTGYAAFSISLMAKLFIRMTPTTRNAQKS >ONIVA10G15080.1 pep chromosome:AWHD00000000:10:15836414:15838364:-1 gene:ONIVA10G15080 transcript:ONIVA10G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09820) TAIR;Acc:AT1G09820] MPLATLLGHLAAGRFGRVQALTGAATAAAAHRLLHLLLRTAPPPPLPDLVSLARWSRAHFRAPLPLRLHGLLLARLASKGLYPLLRSELHVLAAARLHSPASILRALPSPSASASASTPLIADMLVLALARASQPLRAYDAFLLAGESHPRHRPSTSSVNALLAGLVGAKRVDLAEKAFRSALRRRVSPDIYTFNTVISGLCRIGQLRKAGDVAKDIKAWGLAPSVATYNSLIDGYCKKGGAGNMYHVDMLLKEMVEAGISPTAVTFGVLINGYCKNSNTAAAVRVFEEMKQQGIAASVVTYNSLISGLCSEGKVEEGVKLMEEMEDLGLSPNEITFGCVLKGFCKKGMMADANDWIDGMTERNVEPDVVIYTILIDGYRRLGKMEDAMAVKEAMAKKGISPNVTTYNCLITGFSRSGDWRSASGLLDEMKEKGIEADVVTYNVLIGALCCKGEVRKAVKLLDEMSEVGLEPNHLTYNTIIQGFCDKGNIKSAYEIRTRMEKCRKRANVVTYNVFIKYFCQIGKMDEANDLLNEMLDKCLVPNGITYETIKEGMMEKGYTPDIRGCTESMTFIKQAFAIGSK >ONIVA10G15070.1 pep chromosome:AWHD00000000:10:15833206:15835718:-1 gene:ONIVA10G15070 transcript:ONIVA10G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEHKKAVGSVWLPDDVFFSILSYLPAKPVARFRSVSHSWRDMLSSAPFVQLHLRRANRPGQLKDGGPAKKLMRHGFSGGFSRITKPLHGLVLLFLFHSYGRGFFVLNPCTNALLTLPDTKYPLNNNRHLGTNLSGKYRLPGYMYWLYGLGYCSATGEYKVVRLFSLPYDPEAAATFCEVFVLDAPAYWRPTAQQPPAPADHNVKVMVTDPPVFLNGLFYFLCRDGLDVITLDVGDETFGSPPPLPVANMALDRNSKLTLTELDGCLCSYYREACSMRSYVLWLLRDGDHEAARWEQLCRVDTEAWPDPPWSIAPLTMLDGSGDGEKKIIFKTDKCVVLGVDLNGSGTSATPPEILLNPEDAVATAAETHLSPETTTATCRFRSEYPPELSLFEESLVPLGRTLEEIVFSSPATRAWSEVLKLLPARTVSDLSLVCREWRAMVTTNRFIRSHAVHSNLIATHPRIKLVVDTPRDYLDASGFADLDDLIISGNRPRMCTSTSFICSPPCHGLNLGTFRRTNYLFNPCTGYQVELCPPDYDYDDRVFDGVMALGYDAATGAHLAVHLDCWNFEMRAYELRCRTQLVGGHEVWKPAESPPRAADMEVPGAYANGKIYWVVDRKFGPQPSSTAAAACELLVFDMEARKFEVIQGPPCRLPRITLLELHGALCVACSDPATDAIDMWMVKDDGAWSVEYRLEIGELSPEYSLETTSPMAIDPVDGRILLNTGTSLGYYDPKTRALETIYSVDIRHDNEGLRYRFCPVICQESLVCPLPGKY >ONIVA10G15060.1 pep chromosome:AWHD00000000:10:15831454:15831645:-1 gene:ONIVA10G15060 transcript:ONIVA10G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWAMLRDDDVCRCPLLAGHAAMGYDAAASCSSAAKTTTKGERQDRRRQGRWRTTCRQPTLT >ONIVA10G15050.1 pep chromosome:AWHD00000000:10:15831247:15831435:-1 gene:ONIVA10G15050 transcript:ONIVA10G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACELVALDMETREFEVIVQGPPCRHGEGKMTVLELHGALCVACSDMVNCDAVTALGRSSTV >ONIVA10G15040.1 pep chromosome:AWHD00000000:10:15828533:15830995:1 gene:ONIVA10G15040 transcript:ONIVA10G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLALLLLAVASVSLLAASPAAAARPCHTFFVSFAANPNPIVGDGEVGDHRGAALATATVITVFRVRRLGPHLAHVHGHGHAHPNLHHLHSIPANVQIRRPELPEHPRPAAHAADSFQERAKDILVVVVGILFGVGCGALTAASMYLVWSVLAGAGAAAPSPYDELYGDEDEEASDSESPKKVGYVIIPGVEAHDGGG >ONIVA10G15030.1 pep chromosome:AWHD00000000:10:15813108:15818043:1 gene:ONIVA10G15030 transcript:ONIVA10G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMVCTRVNLLILILSLCSPYKFIQSPMDFGPLNLLPTTTTASSDFGRILFHSPSAVLKPQAPRDISLLLSFLSASPLGKVTVAARGAGHSIHGQAQALDGIVVEMSSLPSEIEFYRRGEGDVSYADVGGGIMWIELLEQSLKLGLAPRSWTDYLYLTIGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVTCSPTKDAELFNAVLGGLGQFGIITRARILLQEAPQKVKWVRAFYDDFATFTKDQELLVSMPVLVDYVEGFIVLNEQSLHSSSIAFPTNVDFNPDFGTKNNPKIYYCIEFAVHDYQNKNINVEQVVEVISRQMSHITSHLYSVEVSYFDFLNRVRMEEMSLRNSGLWEVHHPWLNMFVPRAGISDFRDLLMDSISPDNFEGLILIYPLLRHKWDTNTSVVLPDSGSTDQVMYAVGILRSANPDDGCSHHCLQELLLRHRRLAGAAASGLGAKQYLAHHPTPAGWRRHFGRRWERFADRKARFDPRCILGPGQGIFPRDSSSSNGAFASYS >ONIVA10G15020.1 pep chromosome:AWHD00000000:10:15800686:15805443:1 gene:ONIVA10G15020 transcript:ONIVA10G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSATLLNLGCSQYNATPTAAFLAALNSTFGELRANLSSGGGGEGGGFATAAEPRVAAPAFAMAQCRPYVTRGDCVACFDAAATRLRAACGAANGGRAILDGCVVRYESAAFFDQATLPGNTQVCNGSAVAGGGFADAARGMVGDLVAAVPRAPGLAAAAAGAGVYAAAQCVVTVGEGGCAQCLEVAARNIDGCPPNSDGRAVDAGCFMRYSDKPFFPANATVDLAPYLRSGKSDRKGAIIGGILGGVAFLFLLDLLAFLWTWRSRKLLKPRRGDILGATELQGPTSFYYQDLKVATNNFCEESKLGEGGFGDVFKGLLKNGKTVAVKRLTVMETSRAKADFESEVKLISNVHHRNLVRLLGCSSKGSECLLVYEYMANGSLDKFLFGDKRGTLNWKQRFNIIVGMARGLGYLHQEFHVCIIHRDIKSSNVLLDDEFQPKIADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQISEKVDTYSFGVVVLEIISGRKLNDARLDPDSQYLLEWAWKLYENNNLIELVDKSLDPKEYNPEEVKKIIQIALLCTQSAVASRPTMSEVVVLLLTKNSSEFQPTRPTFIDAISRVRGETSSSSSSSASKATISITQYSAR >ONIVA10G15020.2 pep chromosome:AWHD00000000:10:15800686:15805443:1 gene:ONIVA10G15020 transcript:ONIVA10G15020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSATLLNLGCSQYNATPTAAFLAALNSTFGELRANLSSGGGGEGGGFATAAEPRVAAPAFAMAQCRPYVTRGDCVACFDAAATRLRAACGAANGGRAILDGCVVRYESAAFFDQATLPGNTQVCNGSAVAGGGFADAARGMVGDLVAAVPRAPGLAAAAAGAGVYAAAQCVVTVGEGGCAQCLEVAARNIDGCPPNSDGRAVDAGCFMRKLLKPRRGDILGATELQGPTSFYYQDLKVATNNFCEESKLGEGGFGDVFKGLLKNGKTVAVKRLTVMETSRAKADFESEVKLISNVHHRNLVRLLGCSSKGSECLLVYEYMANGSLDKFLFGDKRGTLNWKQRFNIIVGMARGLGYLHQEFHVCIIHRDIKSSNVLLDDEFQPKIADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQISEKVDTYSFGVVVLEIISGRKLNDARLDPDSQYLLEWAWKLYENNNLIELVDKSLDPKEYNPEEVKKIIQIALLCTQSAVASRPTMSEVVVLLLTKNSSEFQPTRPTFIDAISRVRGETSSSSSSSASKATISITQYSAR >ONIVA10G15010.1 pep chromosome:AWHD00000000:10:15796356:15800573:1 gene:ONIVA10G15010 transcript:ONIVA10G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGETAAREEGVPQATASLLRNELSSGIEVQAQERVGRVGPKKGDYLVKQIVQQRWSRRLDAVWSKTSGDRREAGEPTGAGDLRSEGVARGGARWRDLRQGTVLPAMRGQSSEVRGRGAYWAAGG >ONIVA10G15000.1 pep chromosome:AWHD00000000:10:15789835:15790362:-1 gene:ONIVA10G15000 transcript:ONIVA10G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQARS >ONIVA10G14990.1 pep chromosome:AWHD00000000:10:15787852:15789815:-1 gene:ONIVA10G14990 transcript:ONIVA10G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACTVSQDNGRRCLPAWMLKPCSNDEVSKTRYRSEPVLESNKQPADLDQIKPAKRKRGEQVKIVDEEDADELGALQPCQGWKKVRRKRLDVVKDDNNGENAKITNKNARKVSRRSAPKNSGKRKLDNVEPEVSSSESIDDDIELTVEDLLSIAEEYVKADRLKQHEVKTTKTARYNENRCSPSISTEADIGGSIINARSMMGLPDTTRNARSMKGLPDTTMNAQSMKGLPDTAETNTAPSEPSRYEINKQQVQQCTPSFTATCDVAQDMLNIFFGPLLSKCSGYEKKPEVVQDANHATEKKDLSCDVQRQGEHATEKKHLSCDVQRQ >ONIVA10G14980.1 pep chromosome:AWHD00000000:10:15783760:15786422:-1 gene:ONIVA10G14980 transcript:ONIVA10G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0IU52] MTDRTARIRQGQLPPSSPILFLSPSLLRPLPLSSPPTAPPPPPPPRLPLLPTAKMNVDKLKKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRVGVNTIPGIEEVNIFKDDVVIQFLNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKQAPGASGEEAGASAGAAQGDDDDVPELVPGETFEEAAEEKKES >ONIVA10G14970.1 pep chromosome:AWHD00000000:10:15774411:15779274:-1 gene:ONIVA10G14970 transcript:ONIVA10G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutaredoxin-related [Source:Projected from Arabidopsis thaliana (AT3G11920) TAIR;Acc:AT3G11920] MGEETLVAMPLAPPHHHHHHAHLPALPHLAAPPPPPPPPAETELTEQREEEVPVDDVVEASADVPRREEGLVVDGGEDVYYARRMLQGVVLRPPPHLPQPEAPPGLTRALSAPAPDGYVEEEEEQRPVERSASVNSAASAVVVDVASIGRFFRDRRDVLSSAITRRISSLKEASSSPPPPVGMDTYGVQEIHLPNVKVTVRLKDAIEADAEEDDAVGGGGDDGYSFSGSHIKGRVSFFSRSGCRDCAAVRAFFRQSALPYVEINLDVFPEREAEFASRAGASARVPQIFLNEKLLGGLVVLNSLRNSGEFERRVRDLAGRRCPDTAPRVPVYGFDNDPGKEGGDREDAMVGIVRVLRHRLPIQDRIVRLKLVKNCFSGADMVDGIVNHLECSRKKAVEIGRELARKHFIHHVFRENDFEDGSQNLYRFLEHDPAIPKYYNFIRGATNDGEPKLAAAIGQRMTKIMVAILEAYASDDRRHLDYSRIAASEEFRRYANMVQELQRVDMSALPAEERLPFFLNLHNAMAIHAVVRVGQPGAIDRRSSFSNFQYVVGGHPYSLATIRNGILRSNRRQPYTIAKPFGSSDKRLELVQGKVNPLVHFGLCDATRSSPIVRFFSTQGVEPELRHAAREFFLNGGVEIDLESRTVHLTRIIKWYSVDFGQDRETLKWILNYLDPTKAGLLTHLLNDEPLSCAFCLIGKMVNGKKSIEHRGNQHVELVARFESEDVYSIVSMSKWVHSIDEKGNMFVRIKCISHLLFLLSVYQIIPKSHTTDLVFKSNGQSSLSNLPPPVQLGRECTFLTPLLASLFLRCT >ONIVA10G14960.1 pep chromosome:AWHD00000000:10:15768139:15770141:-1 gene:ONIVA10G14960 transcript:ONIVA10G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVVAGPSEYLAITGWGIDDVKLAKKAWVFAGQKCSRFDISPVNYEFNVEAMSSEKLAFNLPAVFTIGPKITPAPALEVDGASNQRRVLMPESEEALLLYAKLIAPHDHASNHVKQLVKGVIEGETRVLAASMTMEEIFQGTKKFKQEVFDQVQLDLNKFGLYIYNANVKQLVDEPGHEYFSYLGKKTQQEAANKAKVDVAEERMKGEVGAKEREGLTRQNAAKVDAETKVVSVRQQGIGLREEAKVKAEVQVYENEREAEIAAAQAGLAMKKAGWEKQSKVAQVEAVKAVAIREAELQMEVERKNALRLTEKLKAEQLSKATVQYETQVQESNAALYNRQKAADATLYEQVKSAEARKAQADAMFFEQKLAEDARLYAKQKEAEALAMVGKAKVEYVTSMLQALGGDYGALRDYLMIDGGMYQEMARVNASAVSGMQPKISIWSGADGAAGEAGAGAMQQVAGVYKMLPPLLSTVHEQTGMQPPAWMGSLPKDGAN >ONIVA10G14940.1 pep chromosome:AWHD00000000:10:15761562:15761894:-1 gene:ONIVA10G14940 transcript:ONIVA10G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVWGRRRGIVGDERRRGRTTLTARVLEPGAEVDWRRRSDGGLVTVKRRSEEERKIWRGGSARDGGAVAGWRRRAEWREEERGRESRWWRRSEQGRGKWSEQSARVY >ONIVA10G14930.1 pep chromosome:AWHD00000000:10:15757552:15760778:-1 gene:ONIVA10G14930 transcript:ONIVA10G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVVAGASEYLAITGWGIDDVKLVKKAWVFAGQKCLKFDATPVSYDIDVQAMSSEKLPFRLPAAYTIGPSPKIKRNPVVDGPAPPADTQRRLEDCDEEASNPFFFFFFFFFVYLCSWNKQLLLYAKLIAASQIRSPNHVIDLVKGVIEGETRVLASSMTMEEIFQGTKKFKQQVFDQVQLALNELGLYIYSANVKQLVDDPDSPGNDYFSFLGQKRQAEVEGKAKVAEAEARMKGEIGAKEREGLTLQNAAKVDAETKVLSARQQGVGCREEIKVKADVEVYENEREADIAAARAALAVKKAGLDKQSKVAEVEAVKAVVVREAELQLEVQDSNAALYDRQMAADATLFEQVKSAEARKAQAGAKFFEQKLAEDARLYARQREAEALAGVGRAKADLVASMLRELGGDHGALRDSLMIDGGVYEEVARVNASAMSGIQPKISIRSGAGGANAGASSAGAVQQVAAADVYDMLPPFLQSSGGFNKLPL >ONIVA10G14920.1 pep chromosome:AWHD00000000:10:15744155:15746668:-1 gene:ONIVA10G14920 transcript:ONIVA10G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFMVVLMAIVGCTLYINGFVQVFFPWVRQIGFQKYSLSIIARFLLNFAFFQFVPLVSATISQSQANSGGNNDGVLRNESELLAALLWLILVELIRKKVQGMLLPTDGSSFSRGIGRLTLMDVSYEVSHLVWVGYLIFANLARQTRTETFELRYYLLGLTLIYIFTVLWSLCLAKLVLSLLNRRLASCSLHTARNPLVVAAYMQKLMEKQTATSPPAITLSTCKFVVMGEDRLVLHYNKVNNDDDNNNNKRKRRMRRRDNGVLEPVTIHGYGYGVARRVGGDQNEHKHVHLLLTDPDEYLRLTEHDCVEKGRLITVEDVMNMHEQHANLFKGRRRQLLEDLCISFSLFKMFRRRFEHYPMVEVGSAMARGVILDGVLKLEGCEPVGKAQKLCSKFTLNRVQGQIQRGFQVLQLELDLLVHYYQQAAAPVVMSQPILFVVNFVSSLFLLCLLLGTVVYILFISSQGEPVYCQIIVWTTTGNGPISNVSFYITVLLVLTVIAIETHEFWTVHVFSSWNIVRMVCTYHRAAHRPWLRWLCFLVIRVRFLTFSVGKSEMVIYQMSIFDAASPLQKLYATVRAADVALPAIATGRIIDALRSDAVVSRTTGIVSLPDIDGLDFRTMTTTEIILACHLATELLDNEHDDHPPPAADENDDDQQQQKKKKKEKKEDDRKIASVLSRYCMFLVAQIPELLPDDETWVSDRYGDTASALHLASRRVVCPTSRRRKKAIAVAVRSSRWEELFDDDPAARRGARLFHRLRRRGPAFDKAWDELARFWVHLVVYLAPSNDVQGHAKALASWGSGDLLTCLWTLCTHAGITRQPSEQAAELTVDDSNV >ONIVA10G14910.1 pep chromosome:AWHD00000000:10:15742805:15743167:1 gene:ONIVA10G14910 transcript:ONIVA10G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRSEDGGWRERRGRMERKKRKIEHVATPSNRKYPLFLHLAPRSGRRGGGREGGRRHGGRWGGGLTFVRHASGEESASRHRPPLVAVRLSLPGAGSAPPRLGAVRARCAEDRGGREIV >ONIVA10G14900.1 pep chromosome:AWHD00000000:10:15729024:15730055:-1 gene:ONIVA10G14900 transcript:ONIVA10G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGARTGYASATQGGGDGAHDGGTAPRQRQANGKTERRRSTTLRDDNGKEGKEGKEEMLTLRQPSSGTPRSRVRRPIRPLAQLRPSRSRPRRSKWRDGSLCTGLRSAVAELERGGGEEMERSRAAAVFGGVCWLPVYGRQCEGKRGAALNVDIATVPGSWFGWGGKTDFG >ONIVA10G14890.1 pep chromosome:AWHD00000000:10:15710761:15713130:-1 gene:ONIVA10G14890 transcript:ONIVA10G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTFMVVLMALTGSTIYITGFLRLSFRRLPTGGGLFLYRYDLTRIVRFVLNFAFFQFVPLLSSAFSQSENRSKTELLLILLWMLLAEIIRKKVQGMLLPTDGSSFNRGIGRFTLMDYAYDTSHLVWIGYLICSKLPDDKSLSITFAVLWFLCFAKLVLSAVNRSFASYSFHTARNPLVIAGYMTKVMDKYNRDGDGRSAVPANDMSSCKFVVMGEDALVVLDNKEKKPKPVTTPGYGYGVGRRVVVAGGGHQHQATYCDENEQKHFHLCIAEPDDYSNKEWPLVTVKTIWEMREKHKHIFHGKRGDFLEDLCLSFSLFKMLRRRFEHYPMVEVGSDMARAMMLDGLLKLNFSSPGSNSSHDQLQRPFQVLLMELELLKNYYQQAAAPVVMSQPILFCINFLSSIIFLDFFIVAVVDILIVNKDAAPLYCRIMGWGRTPVSSPSLILSLTMLLVLTVILIEAHDFWTSFVFSDWNIVRMLCSYDRPSRRWLQKIYSVVIYIRYCLLSSSKNKMTIYQVSILDACGPIDKHFARTSQVTLPASATAQIIQALCSCDIINRSTGAINLPAGIDSNQMTTTEAILAWHLATELLETTTMDNEKKQCRRPPAGGGGGDNRQIASVLSKYCVHLVVHLPELLPDEETWVSSCYEETRSCLDLASRRCGCRACPPSRRCRKKMIAAKIAPSSATGVELFHRLREKPADEAWDELARLWVHLVIYLAPSNDVQGHAKALASWGADLITCLWALCTHAGITRQPPPEQHDVEVQDQQQIDVVVVHRQPTHDDNSINI >ONIVA10G14880.1 pep chromosome:AWHD00000000:10:15706039:15709110:1 gene:ONIVA10G14880 transcript:ONIVA10G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAYRIASASEYLAITGYGIADVKLAKKAWVAPGQRCTRFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRADDDDCLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFQGTKSFKQAVFENVQLELNQFGLIIYNANVKQLVDVAGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKERDGMTRQNAAKVDAETKVYTVKRQGEGAKEEARVKAEVKVFENEREAEVAEANADLAMKKAGWQRQAMVAEVEAAKAVAIREAELQVEVERTNASRQTEKLKAEHLSKAVVDYEMKLYNRQKAAEALLYEQEKQAEARRASADAAFFARRREAEAELYAKQKEAEGLVAMGDAQSAYLSAMLGALGGSYAALRDYLMVSSGVYQDMARINADAIKGLEPKISVWSNGAGGTGGEVGEGGGAMKEVAGVYKMLPPLLTTVHEQTGMLPPAWMGTLTGGAPSSTS >ONIVA10G14870.1 pep chromosome:AWHD00000000:10:15687382:15693629:-1 gene:ONIVA10G14870 transcript:ONIVA10G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATTAAAAGSEPGPGPGSADVGGEQPGPQAEEVVVAAAAAAAAEAGAGAVTIVISQPEEAAEPKGAAAASPPPVEAGAKVAAAAVKEAELARTDSFDEQCRVCQQKTEEPLVDLGCRCRGDLSKAHRTCISVWFRTRGSNKCEICQQVAVNIPPPETQASGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRSMPRLPMDGSMAPSGYHPVRLWPAVLCLGWVSSETVKFGKFSQELERLLGFALGSVSMGGFVLHQRRAIYRSLADADAAAAPSPFSSYQPSETRSRTSSTELAHVWNKGVDETLGRLVAYLSSRGWIAIQSCGKPPSVGYE >ONIVA10G14860.1 pep chromosome:AWHD00000000:10:15683414:15686645:-1 gene:ONIVA10G14860 transcript:ONIVA10G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGEKPAAAAEVRVLGSWASPFVMRVMVALRLKGVEYELLQETMGKKSELLLASNPVHKKIPVLLHRGKPISESLVIVQYVDEVWPPPASILPRDDPYAAAIHRFWDSFHSCFDAVSSKDSDIERNRPRRQGRSVRRNDNCSAVFRGGIRRVQQREVGDDSIGYLDIALGSHLGWIRAVERIAGVELLGGAKVPNLAAWADRFCGHPAVVDVMPDVDILLEFTAKLI >ONIVA10G14850.1 pep chromosome:AWHD00000000:10:15682242:15682712:1 gene:ONIVA10G14850 transcript:ONIVA10G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAMVFLVFAPCLAQAEERPTAAHPHGLPFESPLALSPDAYEFFHPSERARRGHAAGAAPALSPRAAPRGQLRESAASVARADQEEGGVAPARKVRRGWARAGTVAGVVAGAAAVAAVAALAVAYAVARRRVGVAAHGDAEACARAAPKTSA >ONIVA10G14840.1 pep chromosome:AWHD00000000:10:15678469:15680122:1 gene:ONIVA10G14840 transcript:ONIVA10G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQEEQHAGGGRDYAEPAPQPFLGSSELRRWSLYRAAIAEFVAMLLFLYVTVATVIGHKRRQDEGGDGEACGGVGLLGVAWAFGGTIFLLVYCTAGVSGGHVNPAVTLGLLVARKVTLLRAALYVAAQCLGAVCGAGLVRALNSAHFARHGGGANVVGDGYSKGAGLAAEVAGTFVLVYTVFSATDAKRSARDSHIPVLAPLPIGFAVFVVHLATIPITGTGINPARSFGAAVWIFWVGPLVGSVIATLYHEHVLRASTLKALGSFKGARQ >ONIVA10G14830.1 pep chromosome:AWHD00000000:10:15639321:15640805:1 gene:ONIVA10G14830 transcript:ONIVA10G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASRIVRQTLGVAGCPGGGMSQTPSSCGIDRVVKQYKKEKQLDNNQRRAKMMQCRLKEAKETSTWLQDAGKYNT >ONIVA10G14820.1 pep chromosome:AWHD00000000:10:15630152:15630736:-1 gene:ONIVA10G14820 transcript:ONIVA10G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGGGGGMSMVAAHRLFAPPPQQHGAEAVELDEAEVIWGTSSASSSPVEAYLRGGGAPPTHVAVASSKGGKGKRGGGGGAGAREGGGGGGGGAVAAASLPVNIPDWSKILGTEYGGRGSAGAAAARWPSDERGGDEECRGGLGWVPPHELLLCRERAAASFSVREGAGRTLKGRDLRRVRNAIWEKTGFQD >ONIVA10G14810.1 pep chromosome:AWHD00000000:10:15603202:15608601:-1 gene:ONIVA10G14810 transcript:ONIVA10G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dsRNA-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT2G28380) TAIR;Acc:AT2G28380] MYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKAAVNFNGEQFESPGFFTTLRQAEHAAAEVALAALARRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGITFTGDPAKNKKQAEKNAASAAWSSLRQLVRQEASSSNEPESNDEQEQIRIARALLNYRLKEKMAMANNPHASPFPKKFPMQPERRTAFPQSSHSSYSKILPLFRPKSNSRSRPESPAASDAASQTPFRPTESPNPRSRFPAAEAAPYVPVGHFRMPCHSMAPPVTVRTSIPVFSAPPLPPPGARTQQLPPLMSHPPPIRMASPVRIRPAPPLFTPSAVQGPKPMMPVQIKDVQHQQIKETRSPVMPVQVKDAQNQLLKGSLSPVIPVQIKDVQSQPPKEALSPAIPVQIKDVQLQPRNEPVSIGKGVVPLPAIRPPVKVEAPAEVKEASQPVAGSSVVQCKADTSPDSLPKTQLKTANADNADAKDDHLPVDAEEVEDIIRHLELK >ONIVA10G14800.1 pep chromosome:AWHD00000000:10:15585548:15592673:1 gene:ONIVA10G14800 transcript:ONIVA10G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRSGSGSDGGGGGYDKAGMDSGKYVRYTPEQVEALERVYAECPKPSSSRRQQLLRDCPILANIEPKQIKVWFQNRRCRDKQRKEASRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLGNDTSCESNVTTPQNPLRDASNPSGLLTIAEETLTEFLSKATGTAVDWVPMPGMKVDCQLLRFTLPASNDPGPDSFGIVAVSHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIIACNAKKVRNTSTSANAFVTPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASSLKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTHDDGLMSRDIHLLQLCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDMKTDGTPAGRTLDLASSLEVGSTAQPTGDASMDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSIVSSVQRVSMAISPSRSGLNAGQKIISGFPEAPTLARWICQSYQFHLGVELLRQADDAGEALLKMLWDYEDAILCCSFKEKPVFTFANEMGLNMLETSLVALQDLSLDKIFDEAGRKALYNEIPKLMEQGYVYLPGGVCLSGMGRHVSFEQAVAWKVLGEDNNVHCLAFCFVNWSFV >ONIVA10G14800.2 pep chromosome:AWHD00000000:10:15585475:15592673:1 gene:ONIVA10G14800 transcript:ONIVA10G14800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRSGSGSDGGGGGYDKAGMDSGKYVRYTPEQVEALERVYAECPKPSSSRRQQLLRDCPILANIEPKQIKVWFQNRRCRDKQRKEASRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLGNDTSCESNVTTPQNPLRDASNPSGLLTIAEETLTEFLSKATGTAVDWVPMPGMKVDCQLLRFTLPASNDPGPDSFGIVAVSHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIIACNAKKVRNTSTSANAFVTPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASSLKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTHDDGLMSRDIHLLQLCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDMKTDGTPAGRTLDLASSLEVGSTAQPTGDASMDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSIVSSVQRVSMAISPSRSGLNAGQKIISGFPEAPTLARWICQSYQFHLGVELLRQADDAGEALLKMLWDYEDAILCCSFKEKPVFTFANEMGLNMLETSLVALQDLSLDKIFDEAGRKALYNEIPKLMEQGYVYLPGGVCLSGMGRHVSFEQAVAWKVLGEDNNVHCLAFCFVNWSFV >ONIVA10G14790.1 pep chromosome:AWHD00000000:10:15580699:15581007:-1 gene:ONIVA10G14790 transcript:ONIVA10G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSSGGGNILPQWSRRVVKIPDLSCLGGGGRGGFGGGRRWCLACERAHAGGGGGVCAVLGVAAVVVVLHRAPTVCCAPACAAPGAASASVGWGLVGSSPG >ONIVA10G14780.1 pep chromosome:AWHD00000000:10:15548416:15553202:-1 gene:ONIVA10G14780 transcript:ONIVA10G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT4G38370) TAIR;Acc:AT4G38370] MEDSGSSSPPAPPPSFRNRYWILRHGRSVPNERGIIVSSLENGTKPEFGLAPQGVEQARLAGESLRKELEELGVPLDSVQIRYSPFSRTMETAREVARMLGVPFDSPSCIPAVELRERYFGPSHELLSHEKYAEVWAVDEVDPLMAPDGGESVADVATRFSQFLSAAEMELHGSAILIVSHGDPLQIFQAVLKETKENPSSLDEVSDLKKRGMITLSVLSQHRQFALLTGELRRLV >ONIVA10G14780.2 pep chromosome:AWHD00000000:10:15550018:15553202:-1 gene:ONIVA10G14780 transcript:ONIVA10G14780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT4G38370) TAIR;Acc:AT4G38370] MEDSGSSSPPAPPPSFRNRYWILRHGRSVPNERGIIVSSLENGTKPEFGLAPQGVEQARLAGESLRKELEELGVPLDSVQIRYSPFSRTMETAREVARMLGVPFDSPSCIPAVELRERYFGPSHELLSHEKKYGQ >ONIVA10G14780.3 pep chromosome:AWHD00000000:10:15548416:15550000:-1 gene:ONIVA10G14780 transcript:ONIVA10G14780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT4G38370) TAIR;Acc:AT4G38370] MAPDGGESVADVATRFSQFLSAAEMELHGSAILIVSHGDPLQIFQAVLKETKENPSSLDEVSDLKKRGMITLSVLSQHRQFALLTGELRRLV >ONIVA10G14770.1 pep chromosome:AWHD00000000:10:15545602:15546832:-1 gene:ONIVA10G14770 transcript:ONIVA10G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHTSAASSPSPSTTGALFFLARSIRSPSAASRPRDAVELPPLSTPRGARRHRSSPPSPLGRRPTMSSHSSICVSSGAVVQSARYQRSDRWHRPG >ONIVA10G14760.1 pep chromosome:AWHD00000000:10:15544342:15544708:1 gene:ONIVA10G14760 transcript:ONIVA10G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSARVAAPSLSSAVARRSGSVVVDGGSASPSSPPSPSSETTASWDRHARGRELEAAFFFSGSNIALLPSRAYRVHCIGDALPFSRVITAERRLACSVGQEEKSEREMRKGDEGEERGG >ONIVA10G14750.1 pep chromosome:AWHD00000000:10:15518551:15521476:-1 gene:ONIVA10G14750 transcript:ONIVA10G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMLEKASNRSDISGFGHSGGGGGGGLFTGILVMEVLGRQPCKMEQELGWEGFHPSSAHQLFDGMPSQPGLSKEDQRISKPVPINSTMNKEEKWLDEALDRILEKFEQMEAKRRQEDKLNQIFQKLEEIEACRSKASEETIAAIRATTAILKAASSPIPMAPPPPVPTNCLMECHNNNITLVAVNSSHIGEVLTPMVAIELGDVEDKDPVPYIVNKDSRDKDDSLLNLDTSNVIPMIAASSTSLLVYAETDSMMFAKCLKLGLNVNTSTVQTGVVFPLFLDELDIITAPRESMLVMVQRLGYVFFLKMLAHDGCSMKCARDDKLLMEPSKKNPWPPPWLGGVVRVCELRHAPWTELNSCWATGHLMPPWPPPIRPWPPSQQASFRFVPFQARNSECGNSVTALSTIAWNKWKKIRSTACDSCFEQEMKDGQNTEESEYELTVKVYQYSPGDGSAIEKLFIDGLCSKKNSELCASAKYANYWLVRCLEDTEDKCPIHRMLLVVVVTWKVDAYAILRGAAIKIEQHLPCDIFHTRQASTEQVIKSYVSNSKEIQELQMPWDPGGEKLSQFMLSACGQAEIQEKGMVRDSSGPYDGLVLTQALAQASPNGEATKQRQQQCSRRHRTDQTSAASATAAAWFG >ONIVA10G14740.1 pep chromosome:AWHD00000000:10:15507496:15512852:-1 gene:ONIVA10G14740 transcript:ONIVA10G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IU26] MEKKRVVIVGAGVSGLAACKQLLERGCRPVVFEADTGVGGVWAWTLEGTALQTPRPMYQYSDFPWPETVTEVFPDHRQVMDYLDAYARHFGVLDCVRFGHRVAGMEYVGVGKEDVAAWDEWAGNGDAFGSGSGEWRLEVADGEGHIETHTADFVILCVGKYSGVPNIPTFPAGKGPEAFDGQVIHSMDYSKMGTKNCKEMIKGKRVTIVGYLKSALDIAAECAEVNGTEIPCTMVVRTKHWIIPDYYAWGVPISKLYLNRFSELIIHKPGEGLLLSILATLLTPLRWIFSKFAESYYSIPMKKYGMVPDHSLFEALITCLIAITPKDHYKRLEEGSIVLKKSKTFSFCNEGVLVEGESSPIKSDIVIFGTGFRGGQKIKEMFTSEYFQSIAVGSASITIPLYREIIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPSISVMQNDVQEWEKFMKRYSRAYFRRSCIGFLHIWYNDKLCRDMGCNLRRKNGFWADLFDVHGPGDYIDLHPKKE >ONIVA10G14730.1 pep chromosome:AWHD00000000:10:15494704:15503302:-1 gene:ONIVA10G14730 transcript:ONIVA10G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IU25] MAIRFGIGNGSPLASMEKKRVVIVGAGVSGLAACKQLLERGCRPVVFEADTGLGGVWARTPECTALQTPRPMYQYSDFPWPETVTEVFPDHRQVMDYLGAYARRFGVLDCIRFGHRVAGMEYVGVGEEDVAAWDEWAGNGDAFGSGSGEWRLEVVDGEGHIETHKADFVILCIGRFSGVPNIPTFPPGKGPEAFDGQVIHSMDYSKMGTKNSKEMIKGKRVTIVGYLKSALDIAAECAEVNGTEIPCTMVVRTKHWIIPDYFAWGVHISKLYLNRFSELLIHKPSEGLLLSILATLLTPLRWIFSKFAESYYSIPMKKYGMVPDHSLFEALITCLVAITPKDHYKRLEEGSIVLKKSKTFSFCKEGVLVEGQSLPIKSDIVIFGTGFRGDQKIKEMFTSEYFQSIAVGSASTTVPLYREIIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPSISVMQNDVLEWEKFMKRYSRGYFRRSCIGILHIWYNDQLCRDMGCNPRRKNGFWADLFDVYGPSDYIDLFPKKE >ONIVA10G14720.1 pep chromosome:AWHD00000000:10:15490460:15492620:-1 gene:ONIVA10G14720 transcript:ONIVA10G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 3 [Source:Projected from Arabidopsis thaliana (AT4G35000) TAIR;Acc:AT4G35000] MSAAPVVDAEYMAEVERARRDLRALIASKSCAPIMLRLAWHDAGTYDKATKTGGPNGSIRFPQEYSHAANAGIKIAIDLLEPMKQRHPKITYADLYQLAGVVAVEVTGGPTIGYVPGRRDSSDSPEEGRLPDAKKGAAHLREVFYRMGLSDKDIVALSGGHTLGKARPERSGFDGAWTKDPLKFDNSYFIELLKENSEGLLKLPTDKALVEDPTFRRYVELYAKDEDAFFRDYAESHKKLSELGFTPPRSAFIYKSCQKPKSLLMQTAAGVAVAAAVVAWAYLCESNKRLG >ONIVA10G14710.1 pep chromosome:AWHD00000000:10:15468288:15484765:-1 gene:ONIVA10G14710 transcript:ONIVA10G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) TAIR;Acc:AT3G54860] MAQIPNLDNAPLNLAALREQSQKDLLNILKSIRGKKCVVIDPKLAGTLSLILQTSLLKEYGAELRLLSAEPLQTECAKILYLIRSELKFMKLIASQIKNDEPKGLQREFFLYFVPRRTVACEKILEEEKVHQKLTLGEYPLYLVPLDEDVICFELDHSLQECLIEGDTSSVWHVAKAIHKLEFAFGVIPNVRAKGVASTKAAELLNSMQQEDPVNMDDMGTPEINTVILLDREVDLVTPMCSQLTYEGLLDEMLQINNGSVEVDATIMGAQQDGKKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVKRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEEMIHKQEPIENVLRLLVLLSLTNAGLPKKNFDYLRREILHSYGFEHMPLLYNLEKAGLFKRQESRSNWIGITRALQLIVDVNDTAKRSIEELLKLLPGPHLDLKRGSSAINSSLDVHQGLGLQQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIITNSKEVMI >ONIVA10G14700.1 pep chromosome:AWHD00000000:10:15444999:15450482:1 gene:ONIVA10G14700 transcript:ONIVA10G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0IU22] MKEVGEVEEVRCLDPQLWHACAGGMVQMPAPRSRVYYFAQGHAEHADGGGGAAAAAAELGPRALPPLVLCRVEGVQFLADRDSDEVYAKIRLAPVAPGEAEFREPDELCPLGAAGDAAEPSPEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTRHGELCVGIRRAKRMACGGMECMSGWNAPGYGGGGFSAFLKEEESKLMKGHGGGGYMKGKGKVRMADVVEAASLASSGQPFEVAYYPRASTPEFVVKAASVQAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSVQVADPNRWPNSPWRLLQVTWDEPDLLQNVKCVSPWLVELVSSIPPIHLGPFSSPRKKLRVPPHPDFPFEGHLLNPIFHGNPLGPSNSPLCCYPDTAPAGIQGARHAQFGLPLTDHQLNKLHLGLLHSGSFNRLDAITPPSRISKGFVVSSAPAHDNISCLLSIGTPQVAGKSDDRKTTPHIMLFGKAIFTEQQITSSGSTETLSPGVTGNSSPNGNAHKTGNASDGSGSSICIGFSSQGHEASDLGLEAGHCKVFMESEDVGRTIDLSVFGSYEELYGRLADMFGIEKEEIINHLHFHDAAGVVKHPGEVPFSDFMKAARRLTIIAGDRERIERPLIECLVEQA >ONIVA10G14690.1 pep chromosome:AWHD00000000:10:15424932:15433970:1 gene:ONIVA10G14690 transcript:ONIVA10G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGVAAEVVVEDAAAAAAAVPAAAVAGTGAGGGEEGRMRMEGWLYLIRSNRLGLQYSRKRYFVLEDAALRCFKAPPPPSSSSSSSKREDPVRSAIIDSCIRVTDNGRESVHRSVFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRSNRMHSIDWTVFSSVHNDPMASDVIAPSPWTIFGCKNGLRLFTEAKDGGSEGKYWDDHPAIMAVGVVDANSEAVFQTVMSLGQSRSEWDFCLQEGRVVEHIDGHTDIIHKKLRDGWGMRKRDLLLRRYWRREDDGTYDAVLREAMSVHVLKVMWRICNIASQSRKTIGCEAYACYRLEILEVLSVYRICKTHHYTHARKTLREFFRAKNGNCACIEFSSGELTRDMRLQQGENERIKIEMLSASENNRLEDPTEGSLGGSNRHLSSAGSFIQLNDAVDEFFDVPDDSEYDQREAMWPSDESTHSVDQRHAKLSTAAVFVKKLHDLAVQKRGYVDLQGAADFDNGPFCYGYTLPKDSNCTMPSTWAMTDPTTFLIRGESYLQDRLKIKANNTLMQMVGADWIKSDKREDDLAGRPGGLVQVPGSSTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >ONIVA10G14690.2 pep chromosome:AWHD00000000:10:15424932:15433970:1 gene:ONIVA10G14690 transcript:ONIVA10G14690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGVAAEVVVEDAAAAAAAVPAAAVAGTGAGGGEEGRMRMEGWLYLIRSNRLGLQYSRKRYFVLEDAALRCFKAPPPPSSSSSSSKREDPVRSAIIDSCIRVTDNGRESVHRSVFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRSNRMHSIDWTVFSSVHNDPMASDVIAPSPWTIFGCKNGLRLFTEAKDGGSEGKYWDDHPAIMAVGVVDANSEAVFQTVMSLGQSRSEGMRKRDLLLRRYWRREDDGTYDAVLREAMSVHVLKVMWRICNIASQSRKTIGCEAYACYRLEILEVLSVYRICKTHHYTHARKTLREFFRAKNGNCACIEFSSGELTRDMRLQQGENERIKIEMLSASENNRLEDPTEGSLGGSNRHLSSAGSFIQLNDAVDEFFDVPDDSEYDQREAMWPSDESTHSVDQRHAKLSTAAVFVKKLHDLAVQKRGYVDLQGAADFDNGPFCYGYTLPKDSNCTMPSTWAMTDPTTFLIRGESYLQDRLKIKANNTLMQMVGADWIKSDKREDDLAGRPGGLVQVPGSSTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >ONIVA10G14690.3 pep chromosome:AWHD00000000:10:15424932:15433970:1 gene:ONIVA10G14690 transcript:ONIVA10G14690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGVAAEVVVEDAAAAAAAVPAAAVAGTGAGGGEEGRMRMEGWLYLIRSNRLGLQYSRKRYFVLEDAALRCFKAPPPPSSSSSSSKREDPVRSAIIDSCIRVTDNGRESVHRSVFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRSNRMHSIDWTVFSSVHNDPMASDVIAPSPWTIFGCKNGLRLFTEAKDGGSEGKYWDDHPAIMAVGVVDANSEAVFQTVMSLGQSRSEWDFCLQEGRVVEHIDGHTDIIHKKLRDGWGMRKRDLLLRRYWRREDDGTYALREFFRAKNGNCACIEFSSGELTRDMRLQQGENERIKIEMLSASENNRLEDPTEGSLGGSNRHLSSAGSFIQLNDAVDEFFDVPDDSEYDQREAMWPSDESTHSVDQRHAKLSTAAVFVKKLHDLAVQKRGYVDLQGAADFDNGPFCYGYTLPKDSNCTMPSTWAMTDPTTFLIRGESYLQDRLKIKANNTLMQMVGADWIKSDKREDDLAGRPGGLVQVPGSSTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >ONIVA10G14680.1 pep chromosome:AWHD00000000:10:15405125:15412448:1 gene:ONIVA10G14680 transcript:ONIVA10G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATDGAASRLGVAGALGMSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFCTLYVAQRLRFFEAKPIDAQTVISFGLLNGISIGLLNLCLGFNSVGFYQASTIHFADPNHCILMTKLAIIPFTMLLETIFLSKKFSRSIKISLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVSQILTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKLLTNRDVFAFTYTFQVVVRTTFLVIGTTSPVTYQVLGHLKTCLILSFGYVLLRDPFTFRNVAGILVAIFGMGLYSFFSVSESRDKKLADGPSPPLPISSSQMGEMKDSEPLLGGGGGAAAKSSPWNEVKGLQSFDEVPRTAKSAFSRP >ONIVA10G14670.1 pep chromosome:AWHD00000000:10:15400127:15403307:1 gene:ONIVA10G14670 transcript:ONIVA10G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Transporter, Pam16 [Source:Projected from Arabidopsis thaliana (AT3G59280) TAIR;Acc:AT3G59280] MAGKLIANLIVMGSGIIGRAMLQAYRKALDNANKTGVAHETINNIRRASKTMTEQEARQILGVSEQSTWEEIAQRYDNLFERNATSGSFYLQSKVHRAKECLENVYQKNKQDGTPP >ONIVA10G14660.1 pep chromosome:AWHD00000000:10:15394130:15399135:1 gene:ONIVA10G14660 transcript:ONIVA10G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IU16] MRQSRFKRICVFCGSSQGKKRSYHDAAIELGNELVARSIDLVYGGGSIGLMGLVSQAVFDGGRHVIGVIPKTLMTPEISGETVGEVRPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINTSARRIIVMAPTAEELMDKLEEYVPYHDRVASKLNWEMGHLGY >ONIVA10G14650.1 pep chromosome:AWHD00000000:10:15373427:15379417:1 gene:ONIVA10G14650 transcript:ONIVA10G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13630) TAIR;Acc:AT1G13630] MPFRPRLPLPFLLLLLPHLRRRRSSPRPPVPAWRPLSYYPSAAAAAAEVTESEEDAAAVGRDTRAPPSIGGIARGAPRVGCNGGGAADDEEVERKARAVARIKLCHELLRERRWRAMRAALAQLVTEQGYIVFPEALSIDHYHIKNRSGSAAALCDILWNRFRECDSNGCVWDALANSYARAQMVHDALYVLSKMSSLNMQISVFTYDSLLHGLRMTDVALELFEEMESCGVSPSEYSHSIIINGLCKQDKVGEALSFLQEARKEGKFKPLGMTFNILMSALCNWGFVQSAKSFLCLMLKYGLVPDRYTFSTLIHGLCKVGSMEEALDLFERVTKEGMELEIVTYNSLINGYRLLGLTKEIPKIIQMMRGQGVEPDLVTYTILIAGHCESGDVEEGMKVRKDVLDQGLQLNIVTYSVLLNALFKKGMFCEIDNLLGEIYNIGLDMDVIAYSILIHGYCKLGEIEKALQVCNAMCSSQRVMPTSLNHFSILLGLCKKGLLVEARWYLENVARKYQPTDVVFYNVVIDGYAKLGDIVNAVRLYDQITVAGMHPTIVTCNSLLYGYCKIGDLQLAESYFRAIQLSGLLPTAVTYTTLMDALSEAGEVNTMLSLFDEMVAKRIKANAVTYSVVVKGLCKQLRFDEAINVLKDMDSKGINADPITYNTLIQGFCESENVQMAFHIHDIMLCRGLVPTPVTYNLLINVLCLKGKVIQAEILLESLRENGIKLRKFAYTTLIKAQCAKGMPINAVLLVGKLLDAGFEASIEDFSAAINRLCKRQFAKEAFMFVPIMLSVGIYPDTQIYCVLGRALQKNSELVYLPILNALAVKTGI >ONIVA10G14650.2 pep chromosome:AWHD00000000:10:15373427:15379417:1 gene:ONIVA10G14650 transcript:ONIVA10G14650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13630) TAIR;Acc:AT1G13630] MPFRPRLPLPFLLLLLPHLRRRRSSPRPPVPAWRPLSYYPSAAAAAAEVTESEEDAAAVGRDTRAPPSIGGIARGAPRVGCNGGGAADDEEVERKARAVARIKLCHELLRERRWRAMRAALAQLVTEQGYIVFPEALSIDHYHIKNRSGSAAALCDILWNRFRECDSNGCVWDALANSYARAQMVHDALYVLSKMSSLNMQISVFTYDSLLHGLRMTDVALELFEEMESCGVSPSEYSHSIIINGLCKQDKVGEALSFLQEARKEGKFKPLGMTFNILMSALCNWGFVQSAKSFLCLMLKYGLVPDRYTFSTLIHGLCKVGSMEEALDLFERVTKEGMELEIVTYNSLINGYRLLGLTKEIPKIIQMMRGQGVEPDLVTYTILIAGHCESGDVEEGMKVRKDVLDQGLQLNIVTYSVLLNALFKKGMFCEIDNLLGEIYNIGLDMDVIAYSILIHGYCKLGEIEKALQVCNAMCSSQRVMPTSLNHFSILLGLCKKGLLVEARWYLENVARKYQPTDVVFYNVVIDGYAKLGDIVNAVRLYDQITVAGMHPTIVTCNSLLYGYCKIGDLQLAESYFRAIQLSGLLPTAVTYTTLMDALSEAGEVNTMLSLFDEMVAKRIKANAVTYSVVVKGLCKQLRFDEAINVLKDMDSKGINADPITYNTLIQGFCESENVQMAFHIHDIMLCRGLVPTPVTYNLLINVLCLKGKVIQAEILLESLRENGIKLRKFAYTTLIKAQCAKGMPINAVLLVGKLLDAGFEASIEDFSAAINRLCKRQFAKEAFMFVPIMLSVGIYPDTQIYCVLGRALQKNSELVYLPILNALAVKTGI >ONIVA10G14650.3 pep chromosome:AWHD00000000:10:15373427:15379937:1 gene:ONIVA10G14650 transcript:ONIVA10G14650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13630) TAIR;Acc:AT1G13630] MPFRPRLPLPFLLLLLPHLRRRRSSPRPPVPAWRPLSYYPSAAAAAAEVTESEEDAAAVGRDTRAPPSIGGIARGAPRVGCNGGGAADDEEVERKARAVARIKLCHELLRERRWRAMRAALAQLVTEQGYIVFPEALSIDHYHIKNRSGSAAALCDILWNRFRECDSNGCVWDALANSYARAQMVHDALYVLSKMSSLNMQISVFTYDSLLHGLRMTDVALELFEEMESCGVSPSEYSHSIIINGLCKQDKVGEALSFLQEARKEGKFKPLGMTFNILMSALCNWGFVQSAKSFLCLMLKYGLVPDRYTFSTLIHGLCKVGSMEEALDLFERVTKEGMELEIVTYNSLINGYRLLGLTKEIPKIIQMMRGQGVEPDLVTYTILIAGHCESGDVEEGMKVRKDVLDQGLQLNIVTYSVLLNALFKKGMFCEIDNLLGEIYNIGLDMDVIAYSILIHGYCKLGEIEKALQVCNAMCSSQRVMPTSLNHFSILLGLCKKGLLVEARWYLENVARKYQPTDVVFYNVVIDGYAKLGDIVNAVRLYDQITVAGMHPTIVTCNSLLYGYCKIGDLQLAESYFRAIQLSGLLPTAVTYTTLMDALSEAGEVNTMLSLFDEMVAKRIKANAVTYSVVVKGLCKQLRFDEAINVLKDMDSKGINADPITYNTLIQGFCESENVQMAFHIHDIMLCRGLVPTPVTYNLLINVLCLKGKVIQAEILLESLRENGIKLRKFAYTTLIKAQCAKGMPINAVLLVGKLLDAGFEASIEDFSAAINRLCKRQFAKEAFMFVPIMLSVGIYPDTQIYCVLGRALQKNSELVYLPILNALAVKTGI >ONIVA10G14640.1 pep chromosome:AWHD00000000:10:15360890:15367177:1 gene:ONIVA10G14640 transcript:ONIVA10G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNSPVVVVVPDPVVVGVGLHHPHPAAALISRRVAMARDYAGAAAVLRPPWLLDLLPFLIVLLIAAHVLALGYWIYRLATDGSRQPARSKKH >ONIVA10G14640.2 pep chromosome:AWHD00000000:10:15360890:15362184:1 gene:ONIVA10G14640 transcript:ONIVA10G14640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNSPVVVVVPDPVVVGVGLHHPHPAAALISRRVAMARDYAGAAAVLRPPWLLDLLPFLIVLLIAAHVLALGYWIYRLATDGSRQPARSKKH >ONIVA10G14630.1 pep chromosome:AWHD00000000:10:15359049:15360360:-1 gene:ONIVA10G14630 transcript:ONIVA10G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRIYNDGAAAATPHGTGYGGHLADTAAGGAFFSRSASSALTSAAATSPTQPFARRPDDSPPRWSPSSAGPPSSQPSENTATCTNDEPRHGDGAPDAAMTTKTSGGGGGAGGRGGRHSVASRPRAPTAPSSSGSPPPAPAVVKTLLAGERHLCDELLASDEELGHEWFADVARRCLLQLIGFADAVAMSTPATEKLYRMLGMYEALTAVEPDIESLFTGDVRDLFSSEVTGVVAQLGNTIRHTMTIDQFVNVIHGESSRRPVHGGKIHPMTRYVLNYCGLLAECRTTLDMVLADNNNTNDDHHDGGGASSSGRCMRELLTHLLRKLDEKSRLYDHTGLQNIFLMNNLYCIVQKMMEEEEEIDPQWAPLFFRE >ONIVA10G14620.1 pep chromosome:AWHD00000000:10:15353602:15353955:-1 gene:ONIVA10G14620 transcript:ONIVA10G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVDDVDFSPHQATPKESMNFKDDAKVQPSSGQTPNKGNYYMGHANAIMMMFQYIRDGCRHVESSMEDFKSKKVEEGRDEKRKVGEGYDPRWSSPIEITMKICNDDVSDEATEKEP >ONIVA10G14610.1 pep chromosome:AWHD00000000:10:15338834:15352349:-1 gene:ONIVA10G14610 transcript:ONIVA10G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPWLGFFVFPGSFAISTSYNFDVKNTHSRNICLISLEDQLKSSEYLLDDTCVLGVEILQIDVCRSLKKKNVKVQKKFLFLQKKFVSVQNLFLQKKDFTKGDYTWTMNNFPELDLKPSVLSPAFEIGRRKWFIRMYPRGDEYSTNSLSMYLFPQSWDKLLPEPGMMIELTLSILNQNNAQLHKVSGRFVFASKNGWGWSNFIALNKLKDLVGSSCIVKADITIIGSSSESQIVYMLRLIYWRRDLKRELEERTMGNVAGRAAGAFFFVQIRLDTSNTLVILTCTSIPRSPKMLLLEKNVNQLSNGRFTIRILCIRGLKEDIVVDTTFELSIYNHSRRTHHGTRASYKFHYPKYYSEYTYLIPLSKLQDGSDFLADDTCVFGLDILRARKFKPTRNAKGVTIQHVFLQTKGFMQGNYTWNIEDSKLDLKSIICSPKFDIGEHKWYLRVDPYGDYRNRDYVSIYLCLDDNSNMPPIESAIMAEFTISILNQKNGKHSQQKARTVFSWKGIAWGWHKFIRRDQMKNTNAGFVVGSSWTVQAEVTVIGSSSHA >ONIVA10G14600.1 pep chromosome:AWHD00000000:10:15333071:15334387:-1 gene:ONIVA10G14600 transcript:ONIVA10G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAADPAPPTPPPPPPPSLDLRTGGRILRRAAAHSLRPRSLPPLLLAALLLVLFRLALVAGTLRLASFADHDPALRSLLSRLSPPFTSSSSSPPSLSDDDFLVGTLDPASSAPSRRRNASYYEFLFTPSSSFSSPKPYPLSLPHRLPSTAIPFTFVVRNETSPPKPAAGRDGGSELRLLDLTRRDAVAIINLLAMLSSVHVLAILGYITVHSVALGTVFASVAGQHLQGRPRRWFHFSGMAFGVRRLTGFAFLRWATRDAIVQMLCLWFFSEVHDQAQLFRLFVVAKLMPFSASVNPWLAATVGGPELDGFFIAWALLDAVVSVLFTVVPWVVVMDRDPRPPGRNAVKEGCYLVSLMATDATLIKCWQTVVCGSMGQLAMVTLGGKVLGGFLHSIGEVYFMVVWLMFYFSARCKEVRLGGRHFGLEDVAAALDGFRQ >ONIVA10G14580.1 pep chromosome:AWHD00000000:10:15307760:15312787:1 gene:ONIVA10G14580 transcript:ONIVA10G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IU06] MGRGAPTWEQRGFSGLPVAARLNNGHPFPPLSQNSPKRAASPLLLPNPYASRTFSKLPLPPPSAPMAKEPMRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPATESLNGLKMELVDAAFPLLKGIVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISEKLNVQVTDVKNAIIWGNHSSTQYPDVNHATVKTPSGEKPVRELVADDEWLNTEFISTVQQRGAAIIKARKQSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYGVPAGLIYSFPVTCSGGEWTIVQGLPIDEFSRKKMDATAQELSEEKTLAYSCLN >ONIVA10G14570.1 pep chromosome:AWHD00000000:10:15300412:15304690:-1 gene:ONIVA10G14570 transcript:ONIVA10G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAPASATAAASLFLRRSLSSSSPRHVFLPHWVAPIVVARPARLSAVPAKRGGGGVVAAASAAAGSAQFGAGDSENPYEILGISPLDGFDQVKMAYKRRRKDAESNKDAEHLFKLERAYDMVMMEQLQNRKNGVAYGSIQVSKDIKYADNQPVVPWGPRYSRSTGKDLRINMAISATFIMYISTMGHADWKPMQFLCFAYFYRILDKLKATESANTPIYNEYGEVEGRGIHMAKRVLRSLGLVLGSILAVSLGYTGLANFSQFLGQYIPSVVYNFQVK >ONIVA10G14560.1 pep chromosome:AWHD00000000:10:15283363:15283989:-1 gene:ONIVA10G14560 transcript:ONIVA10G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVAHAAAPDSPHSDSGGGGGGMATGATSASASASAAGASPSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHAPACPFFGHPAPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPESNPFGARAVRLYLREVREHQARARGVSYEKKKRKKPPHPSSAAAAHDDAANGALHHHHHMPPPPPGAAA >ONIVA10G14550.1 pep chromosome:AWHD00000000:10:15263809:15271177:-1 gene:ONIVA10G14550 transcript:ONIVA10G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAADERRKTVCVTGGSGYIASGLIKFLLEKGYAVNTTVRNPDDEKKTSHLKDLQSLGPLKIFRADLNEEGSFDEAITGCVFVFLVAAPVVVDSENLEEDITETNVRGTLNVMGSCVRARATVKRVVLTSSVAAVLHDGRTTMQGGDDGHMWWWTSRHGKVRSEKEASRVARENGISLVTVLPVIVVGAAPATRGFNSSSLVLSLLAGHEATTEMLKATQDLAGGTTPLVHLRDVCRAQVFLAEKGEAAAAAGGRYLCCGANTTVARLAGFLAGKFPQYNVKTDGFGDVAEEPRMLISSEKLVGEGFEYECKNLDDMFDDAVEYGKALGMLP >ONIVA10G14540.1 pep chromosome:AWHD00000000:10:15248396:15263262:1 gene:ONIVA10G14540 transcript:ONIVA10G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62600) TAIR;Acc:AT5G62600] MEAQATAAVKEALAALYHHPDDATRTAADRWLQQFQHTLDAWQVADSLLHDESSNMETQIFCSQTLRSKVQRDFEELPSEAFRPLQDSLYALLKKFSKGPQKVRTQICIAMAALAVHVPVEDWGGGGIVNWLSDEMNSQQDFIPSFLELLTVLPQECSSHKIAARPERRRQFENDLRSSAEVALSLLTACLGIDQLKEQVLEGFASWLRFCHGFYKNKVQVPVTSELIHFTVSRESNGITEQLPLIQVLIPYVMGLKEQLKDSSKDEEDVKAIARLLADMGDSYVELIAAGSDDAMQIVNALLEVTSHSEFDISSMTFNFWHHLMRNLTDRGSYASYGSEVSINTERNRRLQLFRQPFEILVSLVSFRVEYPELYHTFSEEDQRDFRHSRYAVSDVLLDATDVLGGDPTLKILFTKLIQACGNGQNQKWQPVEAALFCIQAIAKSVSVEENEILPQVMSLLPSFPHQEQLLQTAHCFEFYTVTGIQVSLLVMSYDDDWSSNVLETAVCSLIGAFSKWIEAAPSELLILPPLVDILNKGMSTSEETAAAASVAFKYICEDCRRKFSGSLDGLFQIYQIALSGVGGYKVSSEDSLHLVEALSNVKLPQVVADAVNRYWPTLKSIFDQRAWDTRTMESLCRSCKFAVRTCGRFMGFTIGAMLEEIQTLYQQHNQACFLYLSSEVIKIFGSDPACANYLASLIQALFGHTIQLLRTIQDFTARPDIADDCFLLASRCIRYCPDLFVPTEMFPRLVDCAMAGITIQHREACKSILSFLSDVFDLAKSSEGEKYRELINTVILQRGAVLTRIMVASLTGALPSSRLEEVSYVLVSLSRSFGGNMLSWARECITLIPPQALTDSERSRFLNIISDASSGSSLGSITDRFAEISEVCRRNKTVQDIVQGLGKIRTQLSALLGVFHGSHGWIDYFIGF >ONIVA10G14530.1 pep chromosome:AWHD00000000:10:15239448:15241853:1 gene:ONIVA10G14530 transcript:ONIVA10G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLREMESTLPPGFRFCPSDEELVCFYLRNKVANHRVASGTLVDVDLHAREPWELPEVAKLTAEEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDRIVHEGTTRAVVGMRKTLVFYLGRAPNGQKTTWVMHEFRLETPNSQPKEDWVLCRVFDKKKPSTIEAEGGGSSGSDLFIPGATDGSTDPSSPTTMTPLLGSSPDPTVVDRFDHRSAAVPPLMVLMQGGGDQMISGSGVHCSNNDNSGSSSALLNLTMLQYSFLEHRPTGDDMAVGAHFGTCQGGNNDATMALRMGFEEHGMGEIIEMEPAWRQGGSNCVYRDELYF >ONIVA10G14520.1 pep chromosome:AWHD00000000:10:15201074:15203809:-1 gene:ONIVA10G14520 transcript:ONIVA10G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLPLLLPPSPPRALSPRCSLQPRARHHLLDGEASPRRGAPATGANAAAYAREIGACVRARRWGAACEAFAAMRAAGAAPDRFLLPQVLRACAGAREGRLAAAAHALAAKGGPAFAGDAVVGNALVAMYAALGDVAAARAAFACLPERDVVAWTALVGAYADAGELGEAFELFETMQESGVRPDVISWNTLVSGFARNGDLRAALHLFDEMRLRGVKPGVNSWNCIISGCVQNARYDEALSIFCEMCESESPDAVTVASILPACTGLMALGIGKQLHSYVIRCGIKLNVYIGSSLIGMYSECGEFGYARSVFAAIEEKNATVWNELIRSYINEERMNEAWEAFRLMKENGLQPDTITYNSFIAAYARAGQKEQAYTLLSNMVEIGLKPNVVSMNALISGLHHHGRHADALEAFRYMQVSSDGEAKGWALPGNSIQPNGTTITSVLSLLTDLRLDHLGKEVHCYAFRSGLISNIFVSSKLVDLYGKTGDVGTADKVFRRISNKNVVTWNSILASYRQNRKPEIALKLFHEMIKSNLLPNLVTLQIALLSSGMTMALQHGRELHGYIRKNWPDGYPTALASALIDMYGKCGKIEDARLVFECTDEKDIATWNAIMSAYLLHRIPGEVKKLFKYIEQSGIQPDPVTFIILLSACKQEGSMEEARRYFYSMEDVYGIQPTLKHYTCMVDIMGTAGLLEESLELIQKMQLEPDGCLWSILLKACKLHSNLEIGEKAAKALFELEPNNTSNYMSLSNIYADNGLWESTEALRVAMTEQGLNVERQCSRLYLGTDVHTFEAGDSSHPAFEKILSTWNDLSDRMEQSGYPPQDIEPYSNAEADPLSCQHTERIALCYGLISVRVHDPIRISKNFRMCMECHSSIKFISRDMNREIFVSDGCTYHHFQNGACSCGDMW >ONIVA10G14510.1 pep chromosome:AWHD00000000:10:15195152:15203297:1 gene:ONIVA10G14510 transcript:ONIVA10G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDGGQSKRPILLLCVMVVCLCLLFLYFSGSNGQAGSAALEYGTKFSRSLGWGSDGDGDDGSEESIFGTGDADDVKLKSFPVCDDRHSELIPCLDRNLIYQMRMKLDLNLMEHYERHCPPPERRLNCLIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAHEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYIANIANMLKFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKKMSSLVERMCWKIAEKRNQTVIWVKPLNNDCYRSRAPGTNPPLCKRGDDPDSVWGVQMEACITPYPEQMHKDGGTGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVDNYWRLLKPKIKPDTIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRIVRPSGFIIVRDKDTVIEFIKKYLNALHWEAVTTVDAESSPESEENEMIFIIRKKLWQPGGSQDPNPK >ONIVA10G14510.2 pep chromosome:AWHD00000000:10:15194349:15203297:1 gene:ONIVA10G14510 transcript:ONIVA10G14510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDGGQSKRPILLLCVMVVCLCLLFLYFSGSNGQAGSAALEYGTKFSRSLGWGSDGDGDDGSEESIFGTGDADDVKLKSFPVCDDRHSELIPCLDRNLIYQMRMKLDLNLMEHYERHCPPPERRLNCLIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAHEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYIANIANMLKFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKKMSSLVERMCWKIAEKRNQTVIWVKPLNNDCYRSRAPGTNPPLCKRGDDPDSVWGVQMEACITPYPEQMHKDGGTGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVDNYWRLLKPKIKPDTIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRIVRPSGFIIVRDKDTVIEFIKKYLNALHWEAVTTVDAESSPESEENEMIFIIRKKLWQPGGSQDPNPK >ONIVA10G14510.3 pep chromosome:AWHD00000000:10:15195152:15203297:1 gene:ONIVA10G14510 transcript:ONIVA10G14510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDGGQSKRPILLLCVMVVCLCLLFLYFSGSNGQAGSAALEYGTKFSRSLGWGSDGDGDDGSEESIFGTGDADDVKLKSFPVCDDRHSELIPCLDRNLIYQMRMKLDLNLMEHYERHCPPPERRLNCLIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAHEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYIANIANMLKFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKKMSSLVERMCWKIAEKRNQTVIWVKPLNNDCYRSRAPGTNPPLCKRGDDPDSVWGVQMEACITPYPEQMHKDGGTGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVDNYWRLLKPKIKPDTIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRIVRPSGFIIVRDKDTVIEFIKKYLNALHWEAVTTVDAESSPESEENEMIFIIRKKLWQPGGSQDPNPK >ONIVA10G14500.1 pep chromosome:AWHD00000000:10:15193614:15194574:-1 gene:ONIVA10G14500 transcript:ONIVA10G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding REREGGDAPWVADPVAAGSGGGGRALARLRSRRRAARGRGGGGVRRGRGRATRARAEGLDLREPAKKRERRQGRYTRSVIKIRVPPTRSSV >ONIVA10G14490.1 pep chromosome:AWHD00000000:10:15178333:15193314:1 gene:ONIVA10G14490 transcript:ONIVA10G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGGAPDPQQLQSTMLAIEQACSLIQMHMSPADAEKVISSLHSSPMPYQACRFILETSHMPNARFQAAGAIGDAAIREWGILSDDNKKSLIVYCLNYVMEHASSPEGYVQAKVSAVAARLLKRGWVEFSDQEKAAIFFEIEQCVRGIHGPNRQFATINFLEALVSEFSPGTASAMCLPKEFHEQCQWSLEVKFLKDFYCWAQAAVFNSADRILNVNASVAEEKACSAAFRLMFQILSWSFKHNVEHANSEAKINSGLRSDAINLKKFERSLVKPGSVWSDILISSGHVQWVLNFYTAARQKFSYDTLWVDSPIATSCRQLIVQLCSLTGSVFPNDNADGQIQYLVRILSAVVHWIEPPDVIAASIRSGASESEFVDGCHALLSMASLTTCSLFDNLLKSTRNYGTINLLSALTSEAVKSFLDNQNEEETWGSEALDILLETWNVILGDVDSEKSPMSVDGAIAASSLFKIIVESHLKAAADSAFEDTDDAEYFHVSVSKRDEQLALYAQIARSAADTTIPFLAQLFSERFARLSQRNGENDPTQTLEELYWLLLITSHVLTDSGEGETLLIPEALQVGFPYVVEVAQHPVVALSWNQGKAVIWFLARWVATYLVPLDVSRGQVNRAEIDSVDKHMLQHSRKMLNSFAWENNQGERVLDFVVLISMVALTTYQGEIELQTLTCQKLLATVVRRKHTCTYVVQLDSWRDLTRAFASGRSLFSLTGRLQRSLAETLACAASCIKDPEASVQYLRDLMGPVAGCLVENANRSDLKSVAQQADVVYMVCCLLERLRGAARATQPRTQKVLFEMGHTVMNSLLTLLESAVIYMILKFVVDFVDGQAVFLDAKETSVLVGFLSDSSIEGSQDIAEVIYVGVDIVTPLISLDLLKYPKLSRDYFALISHLLEVYPEKVANLNKVAFARIIGSLEFGLRNQARAFSDSDIVDRCLTAINALASYHFKERLGAYGIRRIKWQTSGKHIKSLFEAASAIASVRRFQRLLQELVEKQQNPTVKSRLGMAFHNLTSSNNLSNSLDRPNRQRFRKNLRTFLGDVSGFMQIK >ONIVA10G14490.2 pep chromosome:AWHD00000000:10:15178333:15193314:1 gene:ONIVA10G14490 transcript:ONIVA10G14490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGGAPDPQQLQSTMLAIEQACSLIQMHMSPADAEKVISSLHSSPMPYQACRFILETSHMPNARFQAAGAIGDAAIREWGILSDDNKKSLIVYCLNYVMEHASSPEGYVQAKVSAVAARLLKRGWVEFSDQEKAAIFFEIEQCVRGIHGPNRQFATINFLEALVSEFSPGTASAMCLPKEFHEQCQWSLEVKFLKDFYCWAQAAVFNSADRILNVNASVAEEKACSAAFRLMFQILSWSFKHNVEHANSEAKINSGLRSDAINLKKFERSLVKPGSVWSDILISSGHVQWVLNFYTAARQKFSYDTLWVDSPIATSCRQLIVQLCSLTGSVFPNDNADGQIQYLVRILSAVVHWIEPPDVIAASIRSGASESEFVDGCHALLSMASLTTCSLFDNLLKSTRNYGTINLLSALTSEAVKSFLDNQNEEETWGSEALDILLETWNVILGDVDSEKSPMSVDGAIAASSLFKIIVESHLKAAADSAFEDTDDAEYFHVSVSKRDEQLALYAQIARSAADTTIPFLAQLFSERFARLSQRNGENDPTQTLEELYWLLLITSHVLTDSGEGETLLIPEALQVGFPYVVEVAQHPVVALSWNQGKAVIWFLARWVATYLVPLDVSRGQVNRAEIDSVDKHMLQHSRKMLNSFAWENNQGERVLDFVVLISMVALTTYQGEIELQTLTCQKLLATVVRRKHTCTYVVQLDSWRDLTRAFASGRSLFSLTGRLQRSLAETLACAASCIKDPEASVQYLRDLMGPVAGCLVENANRSDLKSVAQQADVVYMVCCLLERLRGAARATQPRTQKVLFEMGHTVMNSLLTLLESAVIYMILKFVVDFVDGQAVFLDAKETSVLVGFLSDSSIEGSQDIAEVIYVGVDIVTPLISLDLLKYPKLSRDYFALISHLLEVYPEKDSDIVDRCLTAINALASYHFKERLGAYGIRRIKWQTSGKHIKSLFEAASAIASVRRFQRLLQELVEKQQNPTVKSRLGMAFHNLTSSNNLSNSLDRPNRQRFRKNLRTFLGDVSGFMQIK >ONIVA10G14480.1 pep chromosome:AWHD00000000:10:15174654:15176447:-1 gene:ONIVA10G14480 transcript:ONIVA10G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08610) TAIR;Acc:AT1G08610] MARSGDVSRCHRCGLWSNGSAPITVSASITMRSSWVTAHAVYLDYPTRTEQKNGGNLVVMRPDRRRPRDDFFKGEGGGGSLVVVQPDRDRRPQDDFGRAAADSEKDVSPIHAKPRKPLDQNPEGMDVAGFSKHGGKCYADNLRRYCNSGKLIQACCVIDEMVLHGQIPETKCCVRIIRGLVKTGKANKARHVLEVMVLSGGVPDTISCNMLIAQLCRGGQLSSALQVLEDMRFSGCSPSGITFNTLIRCMFNQRMYDRAISFWKEQLRLGWPPYEMTSTLLVDLVCKNCGPQKALEVLDELSLEGCQPDIVTYNALISASCKAGRLNDAKFILTRLAAEGLEPNGTTYCILLHALCNMRRWDEVCDLLEDMNQVNRDPDVTTYNIFINYFCKYGHLDQAIDVLERMVINNCSPDIVTCNTLLNAISKKGMVEEALGIARCIRENGYKLVLITYNTLIDALAKKAEVKKAMVLFDEMLSDGISPDDITYGSLVMGFCKKDMADEALDLLNQMLTLGFEVKTTTFVMVIQALCRDGKVEAAAEITKVMLSKNSIPGNSLCSSIVTKVAKSGWNKEAQMLHQKLVECEILKEDAEVILSS >ONIVA10G14470.1 pep chromosome:AWHD00000000:10:15166409:15172064:1 gene:ONIVA10G14470 transcript:ONIVA10G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62930) TAIR;Acc:AT5G62930] MVKRETEGGSTVRWFLPFLLQKNKFLPAQLNTRCSIPISHASSSAAAAEEGDSIDHDDTRILPLVHIRRPLSRLPRPLRRRRHVAKLLYKARLSVTHSLISSSFFFFGAGESQEMVRPRMVLFGDSITEQSFRPGGWGAALADTYSRKADVVVRGYGGYNTRWALFLLHQIFPLVGIAPPLATTVFFGANDAALLGRTGERQHVPVAEYKENLKKIDCSKSMLIVLITPPPIDEDGRERFARSLYGEEARKLPERTNEMAGVYASQCIELAREMNIHCIDIWSKMQETAGWQKLYLSDGLHLTPEGNAVVHKEVVQTLRSVGLKAEEMPYDFPHHSRIDGSCPEKAFQ >ONIVA10G14460.1 pep chromosome:AWHD00000000:10:15155381:15164097:1 gene:ONIVA10G14460 transcript:ONIVA10G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARRDAATLDPELLQLPELSPGALRENPALAEALYSQWLALPETSKLVNSLIEDAKAGATLNVTGSSASTNAASSGSLPSMFPAGSAPPLSPRSTSGSPRVMRRGSGAGPSSLGSPLKVVSEPVREVIPQFYFKYGRPAPKDLKEQCLSRIDHLFFAGEGLQIQEFRSVTKDICKLPSFFSSVLFKKIDAAGSGTVTRLFKFYTLLHGEAISVLILFYLCGSLYLELNLLYLEVRDAFVDYWINDNKITMDTASQIFEILRKPDYNYLTQEDFKPVLKELLATHPGLEFLQGTPEFQERYAETVIYRIFYSINRAGNGHLTLRELKRGNLIAAMQQLDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRIFSQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTTTEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENECYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF >ONIVA10G14450.1 pep chromosome:AWHD00000000:10:15151545:15152819:-1 gene:ONIVA10G14450 transcript:ONIVA10G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAASLSLFRTPQAHHLAELRVDGADQSPVAFSDPCAVGRKRRCLFPPFSPRKRMLLELPPFASTPTAPAPGSASPGALSSGGDRSTRTGGSGGGGGGGSSFSAMAERVLAPPSVSSGSGAAFAFAFLAASPKQQQPLTPMGSTASCGGAGSGFLPPDPSSSLTPMGSKSNGIGASAFLASPRPATRSANDGGGFAFFRSPEPERTAGDTTRSGAPFSAPPNLVSGPAGSPASAAAKEPSQIIGDGGLVAPPYTISSSPARKSRSSTLWSRRLAHAAAEGRTSPQPPRDEQLQITLPPPPQKVTKTVLAPATGEPSRGATLSSSAATTCCTFLTSPAKATNQVRIVCTDLLFLSPLFLNLDCSTCRRSLAHLQEREARASSRMGGGEGATTAAAVACAGGEVVVSVTCSCGAREEFCFDHRH >ONIVA10G14440.1 pep chromosome:AWHD00000000:10:15146594:15149556:-1 gene:ONIVA10G14440 transcript:ONIVA10G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting-associated protein 2.3 [Source:Projected from Arabidopsis thaliana (AT1G03950) TAIR;Acc:AT1G03950] MNPFAKKPTPREAIRSSKRELTNATRGIERDIGTLQLEEKRLVAEIKRTAKTGNEAATRILARQLIRLRQQISNLQGSRAQIRGIATHTQAMHANTSVAAGMQSASKAMGALNKQMDPAKQMKVMQEFQKQSAQMDMTNEMMSDSIDNILDDDQAEEETEDLANQVLDEIGVDIASQLSSAPKGRITGKKVQADESSELDELEKRLAALKNP >ONIVA10G14430.1 pep chromosome:AWHD00000000:10:15143920:15145260:1 gene:ONIVA10G14430 transcript:ONIVA10G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAAAPGFGAALVSRWIGGVRPGSSVGHFYGSSLMGGQKSKAMVVAVARAWPSSVRRRPCKHGRAQRRVVSATML >ONIVA10G14420.1 pep chromosome:AWHD00000000:10:15138817:15143859:1 gene:ONIVA10G14420 transcript:ONIVA10G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWENKNLTGTARYASVNTHLGIEQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPAEVLCKSYPSEFTSYFHYCRSLRFEDKPDYSYLKKLFRDVFTREGYQLDYIFDWTTSKNPQMGSTNKLIQQQSGRMIGIGPSVDRADKTSVGQEIRDRFTGAVEAFARRNPGSGRLGDNSRHKSLADSFGSSTEAVVDSERTRTISRNRSSAKMPTTAAAATPSSRGTSSKGDGGEQNRAGRWVSSGSGSNRPSPAAAQRHHHHHHHHHSAAADDRSPPAAKGGTAIRCFERLSIGGERRK >ONIVA10G14410.1 pep chromosome:AWHD00000000:10:15132133:15135985:1 gene:ONIVA10G14410 transcript:ONIVA10G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFTKLQTREKSIGKKKELPPNGKEGTDEAPSSATKQRVAAAKQYIEKHYKEQMKNLQDRKERRCSLEKKLADANVSEEEQHNIVKQFEKKETEYMRMQRHKMSVDDFDLLTMIGKGAFGEVRVCREKNTGNVYAMKKLRKSEMLRRGQVEHVKAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDRHGHLRLSDFGLCKPLDYSNFPDLNEKDVTSTKPQSTNGDGRQQSMPKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLMTDAKDLISKLLCNVDQRLGTKGAEEIKEHSWFSGVEWDKLYEIEAAYQPQVTDELDTQNFEKFEESSDNIQCSAKAGPWRKMLSSKDLNFVGYTYKNFELVNDPEVLGMAELKKKEKAKRPSAKSLFGIPSRALAILHPTQRSNQHQHQHQHQLKTTKEVPGVQSLTCQEA >ONIVA10G14410.2 pep chromosome:AWHD00000000:10:15132133:15135985:1 gene:ONIVA10G14410 transcript:ONIVA10G14410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFTKLQTREKSIGKKKELPPNGKEGTDEAPSSATKQRVAAAKQYIEKHYKEQMKNLQDRKERRCSLEKKLADANVSEEEQHNIVKQFEKKETEYMRMQRHKMSVDDFDLLTMIGKGAFGEVRVCREKNTGNVYAMKKLRKSEMLRRGQVEHVKAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDRHGHLRLSDFGLCKPLDYSNFPDLNEKDVTSTKPQSTNGDGRQQSMPKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLMTDAKDLISKLLCNVDQRLGTKGAEEIKEHSWFSGVEWDKLYEIEAAYQPQVTDELDTQNFEKFEESSDNIQCSAKAGPWRKMLSSKDLNFVGYTYKNFELVNDPEVLGMAELKKKEKAKRPSAKSLFDSSPDAEEQPAPAPAPAPAENDEGSTRSTEPDMPRSLSAPST >ONIVA10G14400.1 pep chromosome:AWHD00000000:10:15126118:15129407:1 gene:ONIVA10G14400 transcript:ONIVA10G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 4 [Source:Projected from Arabidopsis thaliana (AT1G03900) TAIR;Acc:AT1G03900] MAGVGVEEEEAFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDRIWSGRLRVVSCGDRCEIRLEDPASGDLFAACFVLPGQREAAVETVLDSSRYFVLRIEDGRGKHAFVGLGFGERNEAFDFNVALSDHEKYVKREHEKEAADGGAGGGEENDDGQIDIHPAVNRRLKEGETIRINVKNKPSTGSGMLSSAGLSGGASAKPKASMLLAPPPGSAGKLRSPLPPPPNDPAAARMNSGSNAGIRAPKEPAKRNSDAFSDLSAMKQNLPSSTESAQTKSTGAGWAAF >ONIVA10G14390.1 pep chromosome:AWHD00000000:10:15122184:15124956:1 gene:ONIVA10G14390 transcript:ONIVA10G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRPAMDSHNEQPPPPKSLKIYVKMMKTFTLNVNGTETVDQIKSKLSAIEGIDKSKQEMFFAGMHLKNEDKLADYNIMTNSSVDLYVTDAIQISVRIPSVGKTTKLNMRKSNSIADIKAEIEQEEGILMNEQILMYAGQQLEDNQLLSQCDLRNDQTFHVLVCPNDKLHVFINVRGEKTIGLEAKRWYTVADVKLMIENLEGLPACSQILTRMQSGVGVALTDGRMLQDQHVKNNDTLLLQQNVQFFVKSWEGKTLTMVLKTSDTGKQIKDRIAEKLRIKESLYYLCHKGRVLLTEDTLLDHEVESNSTVYIRLRNSAVVKPNAKKR >ONIVA10G14380.1 pep chromosome:AWHD00000000:10:15119935:15120656:1 gene:ONIVA10G14380 transcript:ONIVA10G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMPPLLISFFAMQLWERMARTSWESLTLTAAGVAATGEDLGDAATILLPGANDIPDRRRDVDIVRGGEAVEEERQRVGVHGEAAEEWHGRSCLGEDAANDEVIEDGDGGLVREVETEGSLLRETAALRAQAEGVVEEGRRGWGDEGGDEMVCVWTRLQRRGGVGWRRALVRGGRRHCARAHMSAALRRKE >ONIVA10G14370.1 pep chromosome:AWHD00000000:10:15073702:15073992:1 gene:ONIVA10G14370 transcript:ONIVA10G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAASQLYATACYGVSMAVVSFLQPVNDGDYDGRPAPEAQATHANLEPDGISIRPGEGGWVSGGNQTTCKLIPGLSQAMQFFLKESTKKTLRLQ >ONIVA10G14360.1 pep chromosome:AWHD00000000:10:15065512:15065718:1 gene:ONIVA10G14360 transcript:ONIVA10G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCEIRDCIGYAIELGMATSEDDRGDGAYGGCSQRRQRQKYAIFGERSRAAALQERGGGAEEILRQR >ONIVA10G14350.1 pep chromosome:AWHD00000000:10:15053712:15055420:1 gene:ONIVA10G14350 transcript:ONIVA10G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMETAAPERTERRSGRRGSPRTAPMLPSTQVSAARISSHSPAGIAFCAWYCWHASVDTVNPGGTDSPMLAISAKSFMVLLPSLRPLPKWNTLCPTASAAPSR >ONIVA10G14340.1 pep chromosome:AWHD00000000:10:15047589:15068214:-1 gene:ONIVA10G14340 transcript:ONIVA10G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyruvate orthophosphate dikinase [Source:Projected from Arabidopsis thaliana (AT4G15530) TAIR;Acc:AT4G15530] MRHGQSSDIEIFSPGGEEVRRRWREVVLRSQVRACQLRAYDALPAFLKHNEFIIDYYRSEWPIKQALLSAFVVHNETIFQTIKQKEANLREDQSEPSSSMAPAAHRDGAAEAVGQRVFHFGKGRSDGNKTMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACQQYQAQKAMPAGLWDEILAALTWVEGNMGAVLGDPRRPLLLSVRSGAAVSMPGMMDTVLNLGLNDHVVAGLAHRSGERFAYDSYRRFLDMFGNVVMDIPHSLFEEKIEAMKAALGLRNDTELTARDLKELVAQYKNVYVEAKGEEFPSDPKKQLHLSVLAVFNSWDSARAKKYRSINQITGLKGTAVNVQCMVFGNMGDTSGTGVLFTRNPSTGERKLYGEFLVNAQGEDVVAGIRTPQDLDTMKDCMPEPYAELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDRRSAIKMVEPRHLDQLLHPQFESQSSYGDKVIATGLPASPGAAVGQIVFTADDAEAWHAQGKSVILVRTETSPEDVGGMNAAAGILTARGGMTSHAAVVARGWGKCCVAGCSGIRVNDAEKVVLVADKVLCEGEWLSLNGSTGEVILGKLPLSPPALSGDLGEFMSWVDEVKKLKVKANADTPADALTARNNGAEGIGLCRTEHMFFSSDERIKAMRQMIMAETIEHRQIALDRLLPYQRLDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDMVRLLSSGNVYTQEEILTRIEKLSEVNPMLGFRGCRLGISYPELTAMQARAIFEAAISMTEQGVKVFPEIMVPLIGTPQELAQQVDVIREVAEKVFANAETTISYKIGSMIEVPRAALIADEIAALAEFFSFGTNDLTQMTFGYSRDDVGKFLPTYLSKGILQNDPFEVFDQKGVGELVKVAVERGRKARPDLEVGICGEHGGEPSSVAFFAKVGLNYVSCSPFRVPIARLAAAQVML >ONIVA10G14340.2 pep chromosome:AWHD00000000:10:15047589:15065473:-1 gene:ONIVA10G14340 transcript:ONIVA10G14340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyruvate orthophosphate dikinase [Source:Projected from Arabidopsis thaliana (AT4G15530) TAIR;Acc:AT4G15530] MQPSTSAPRSPSLATPAPAVVAAVTVDVFAPWWRRRALTPPATDDATGNTAAVAGNVAAAGTARFLETLIERADCRCGTSTLCSTTTLQSTDQECPFIEKLCSKSNSHLNAINYQLKYWKFTNSPVDVRRPQSVATTLSPPAPMRHGQSSDIEIFSPGGEEVRRRWREVVLRSQVRACQLRAYDALPAFLKHNEFIIDYYRSEWPIKQALLSAFVVHNETIFQTIKQKEANLREDQSEPSSSMAPAAHRDGAAEAVGQRVFHFGKGRSDGNKTMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACQQYQAQKAMPAGLWDEILAALTWVEGNMGAVLGDPRRPLLLSVRSGAAVSMPGMMDTVLNLGLNDHVVAGLAHRSGERFAYDSYRRFLDMFGNVVMDIPHSLFEEKIEAMKAALGLRNDTELTARDLKELVAQYKNVYVEAKGEEFPSDPKKQLHLSVLAVFNSWDSARAKKYRSINQITGLKGTAVNVQCMVFGNMGDTSGTGVLFTRNPSTGERKLYGEFLVNAQGEDVVAGIRTPQDLDTMKDCMPEPYAELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDRRSAIKMVEPRHLDQLLHPQFESQSSYGDKVIATGLPASPGAAVGQIVFTADDAEAWHAQGKSVILVRTETSPEDVGGMNAAAGILTARGGMTSHAAVVARGWGKCCVAGCSGIRVNDAEKVVLVADKVLCEGEWLSLNGSTGEVILGKLPLSPPALSGDLGEFMSWVDEVKKLKVKANADTPADALTARNNGAEGIGLCRTEHMFFSSDERIKAMRQMIMAETIEHRQIALDRLLPYQRLDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDMVRLLSSGNVYTQEEILTRIEKLSEVNPMLGFRGCRLGISYPELTAMQARAIFEAAISMTEQGVKVFPEIMVPLIGTPQELAQQVDVIREVAEKVFANAETTISYKIGSMIEVPRAALIADEIAALAEFFSFGTNDLTQMTFGYSRDDVGKFLPTYLSKGILQNDPFEVFDQKGVGELVKVAVERGRKARPDLEVGICGEHGGEPSSVAFFAKVGLNYVSCSPFRVPIARLAAAQVML >ONIVA10G14330.1 pep chromosome:AWHD00000000:10:15041525:15043249:1 gene:ONIVA10G14330 transcript:ONIVA10G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGTASFKHVVDDDDPAARGTGGGSPRQPRRKHGGGGGGKINPYAERGLDKFSTVLSELEARRDKILRRVGSGGGLVMVRFVQSNGALEPIIVKLPDEQRRPKDDAAAKKPRPSSPSTAAAQQQGAAAARATRSPPPAPAASRASSFSWGRMRRPACYWPAVMVLMLVCLAVFGRVFAICCTSIWWYLAPTLLSNGGAGGEDAARRPLGSPRKSPPPASGKKLAGRRGTREVGSSPRGHTKKGT >ONIVA10G14320.1 pep chromosome:AWHD00000000:10:15023018:15037234:1 gene:ONIVA10G14320 transcript:ONIVA10G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT2G14680) TAIR;Acc:AT2G14680] MELDSDDAGAFLRPYLFPGAATWQLAQVTLAPSSSQGGEVRRTLTVGSTDGDGFPERDELRKDIEQLCMQQQAGPGYVSVATRMLSQRTAALEQDIENLQKKLGGCLRENQNLQEELAEAYRIKSQLAELHGAELSKVTFFLETIMQNKELEKQVRFFQSSVAQAFAERDNSLMKCEKAKEREEAILQKFAKFEERTREYQSSIDDQKRSNDALQMELMRLKEQTQSSLKVIQKFYDVRCRDSECSVNITLEEKCSVLLDDSADNWSFSSDGGTSTSKYIASLEEEKDSLRAKIAKLQNNLRMGLEIEQHLQRNARVLEKRQALYDEFMRNGLSTLQKLHIQQRDDIMKILEEESSQLSTVVNEIQDKLSKIRINPETNENPVGEMQCCDSSCKDVHVTTDVSPGISPKGDIPSDCATFGESDVLVQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVELAKLKEEYLMLKGNSTPKDGHGAVDNMKIIPAHDRQGMFKTIMNRTSLRHWIKKENTNIGHECSDENVHTVRKHHSEDLARVKAENAALLESVATMEHLTSSVHRLHIVLMKAFEDVKSAHSLESTYEALNSLITEANLMKTALGVALPVSWLGDSSDAITSDALYDPSESPKSSKSEKQDPLSSAGMEMVELLILAADILKDSFILNNK >ONIVA10G14320.2 pep chromosome:AWHD00000000:10:15023018:15037234:1 gene:ONIVA10G14320 transcript:ONIVA10G14320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT2G14680) TAIR;Acc:AT2G14680] MELDSDDAGAFLRPYLFPGAATWQLAQVTLAPSSSQGGEVRRTLTVGSTDGDGFPERDELRKDIEQLCMQQQAGPGYVSVATRMLSQRTAALEQDIENLQKKLGGCLRENQNLQEELAEAYRIKSQLAELHGAELSKVTFFLETIMQNKELEKQVRFFQSSVAQAFAERDNSLMKCEKAKEREEAILQKFAKFEERTREYQSSIDDQKRSNDALQMELMRLKEQTQSSLKVIQKFYDVRCRDSECSVNITLEEKCSVLLDDSADNWSFSSDGGTSTSKYIASLEEEKDSLRAKIAKLQNNLRMGLEIEQHLQRNARVLEKRQALYDEFMRNGLSTLQKLHIQQRDDIMKILEEESSQLSTVVNEIQDKLSKIRINPETNENPVGEMQCCDSSCKDVHVTTDVSPGISPKGDIPSDCATFGESDVLVQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVELAKLKEEYLMLKGNSTPKDGHGAVDNMKIIPAHDRQGMFKTIMNRTSLRHWIKKENTNIGHECSDENVHTVRKHHSEDLARVKAENAALLESVATMEHLTSSVHRLHIVLMKAFEDVKSAHSLESTYEALNSLITEANLMKTALGVALPVSWLGDSSDAITSDALYDPSESPKSSKSEKQDPLSSAGMEMVELLILAADILKDSFILNNK >ONIVA10G14310.1 pep chromosome:AWHD00000000:10:15011349:15012771:1 gene:ONIVA10G14310 transcript:ONIVA10G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVTIAPDLELLFRPVWVSSLKTNNSIEFATRLFGRFFLCIALKIPLDSQELKWTKKATLKLPKATFHEASHSLGWTCGRGFGQVDMIHNGGTRESSDRLALLRPSKCLTARMDQYPTGQ >ONIVA10G14300.1 pep chromosome:AWHD00000000:10:15000711:15004011:-1 gene:ONIVA10G14300 transcript:ONIVA10G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGPTQHILQRMEDNMMCPIKDQETMLVVAMDMNRQQDTGYRIEIQCLSMALQVSHVIVKHSNCLNHPTPQDNEMLHISGANAGTPRPPIRRGAWGGGVGWWLRLTAYIDVPGKVGTMSTS >ONIVA10G14300.2 pep chromosome:AWHD00000000:10:15001552:15004011:-1 gene:ONIVA10G14300 transcript:ONIVA10G14300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGPTQHILQRMEDNMMCPIKDQETMLVVAMDMNRQQDTGYRIEIQCLSMALQVSHVIVKHSNCLNHPTPQDNEMLHISGANAGTPRPPIRRGAWGGGVGWWLRLTGMHILEHMKDTNMTLAQFP >ONIVA10G14300.3 pep chromosome:AWHD00000000:10:15000711:15004011:-1 gene:ONIVA10G14300 transcript:ONIVA10G14300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGPTQHILQGYRIEIQCLSMALQVSHVIVKHSNCLNHPTPQDNEMLHISGANAGTPRPPIRRGAWGGGVGWWLRLTAYIDVPGKVGTMSTS >ONIVA10G14290.1 pep chromosome:AWHD00000000:10:14978594:14985237:-1 gene:ONIVA10G14290 transcript:ONIVA10G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLAAARFAGEASPAPAAAGAGTGTWRARCAGRVVAMPMRRQCARGVVVRCAGAGAGRSFGGASCGGSWGGFGRPGPTSVATGGAPSSSSSPVRSSPGPTSTAFSRSNFLDISLLHGLGIKFVLVPGTHVQIDKLLSERGKKAKYAGRYRITDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVNGRWHEICDNVASGNFLGAKRRGVVGGIDYGFTGEVKKIDVSRIKERLDRDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVNRFMSIEEADMLIRTRAKQSEIAANYVEVVGEEDISYARNLPIKEEKELGLIGRDFVDGYTASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCNGGVQRVHIIDGTVGGSLLLELFTRDGVGTMIARDMYEGTRMAREEDLSGIRKIIRPLEESGVLVRRTDKELLEALKSFIVVERDGSIIACAALFPFLEDKSGEVAAIAVSEECRGQGQGDKLLDYVEKKALSLGLEKIFLLTTRTADWFVRRGFKECSIESLPAQRRKRIDLSRGSKYYIKRLRAAEIGQMAKPHQWVQCEQHGSFAASGFLSSHVEMPCVGQT >ONIVA10G14290.2 pep chromosome:AWHD00000000:10:14978833:14985237:-1 gene:ONIVA10G14290 transcript:ONIVA10G14290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLAAARFAGEASPAPAAAGAGTGTWRARCAGRVVAMPMRRQCARGVVVRCAGAGAGRSFGGASCGGSWGGFGRPGPTSVATGGAPSSSSSPVRSSPGPTSTAFSRSNFLDISLLHGLGIKFVLVPGTHVQIDKLLSERGKKAKYAGRYRITDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVNGRWHEICDNVASGNFLGAKRRGVVGGIDYGFTGEVKKIDVSRIKERLDRDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVNRFMSIEEADMLIRTRAKQSEIAANYVEVVGEEDISYARNLPIKEEKELGLIGRDFVDGYTASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCNGGVQRVHIIDGTVGGSLLLELFTRDGVGTMIARDMYEGTRMAREEDLSGIRKIIRPLEESGVLVRRTDKELLEALKSFIVVERDGSIIACAALFPFLEDKSGEVAAIAVSEECRGQGQGDKLLDYVEKKALSLGLEKIFLLTTRTADWFVRRGFKECSIESLPAQRRKRIDLSRGSKYYIKRLRAAEIGQMAVNNFAVR >ONIVA10G14290.3 pep chromosome:AWHD00000000:10:14978833:14985237:-1 gene:ONIVA10G14290 transcript:ONIVA10G14290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLAAARFAGEASPAPAAAGAGTGTWRARCAGRVVAMPMRRQCARGVVVRCAGAGAGRSFGGASCGGSWGGFGRPGPTSVATGGAPSSSSSPDISLLHGLGIKFVLVPGTHVQIDKLLSERGKKAKYAGRYRITDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVNGRWHEICDNVASGNFLGAKRRGVVGGIDYGFTGEVKKIDVSRIKERLDRDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVNRFMSIEEADMLIRTRAKQSEIAANYVEVVGEEDISYARNLPIKEEKELGLIGRDFVDGYTASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCNGGVQRVHIIDGTVGGSLLLELFTRDGVGTMIARDMYEGTRMAREEDLSGIRKIIRPLEESGVLVRRTDKELLEALKSFIVVERDGSIIACAALFPFLEDKSGEVAAIAVSEECRGQGQGDKLLDYVEKKALSLGLEKIFLLTTRTADWFVRRGFKECSIESLPAQRRKRIDLSRGSKYYIKRLRAAEIGQMAVNNFAVR >ONIVA10G14280.1 pep chromosome:AWHD00000000:10:14976650:14978890:1 gene:ONIVA10G14280 transcript:ONIVA10G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGAPPPQGYPGKDAYPPPGYPPAGYPPPAQGYPPQGYPPQQGYPPQQGYPPPYAQPPPPQQQQHHSSGPSFMEGWYVLLLLLSHLLLAIIPDSSGFGFLVEISSSSAMLAGGGVLGGWWGARRRRVRQASWLGCTLLLLPVGGLLLIKSVRVTKQSRSDTNPGIDVELLFL >ONIVA10G14280.2 pep chromosome:AWHD00000000:10:14976650:14978890:1 gene:ONIVA10G14280 transcript:ONIVA10G14280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGAPPPQGYPGKDAYPPPGYPPAGYPPPAQGYPPQGYPPQQGYPPQQGYPPPYAQPPPPQQQQHHSSGPSFMEGCLAALCCCCLLEACF >ONIVA10G14270.1 pep chromosome:AWHD00000000:10:14976325:14976618:-1 gene:ONIVA10G14270 transcript:ONIVA10G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATICENIPNPQPNAAGAKRNRGLGTQDRCPERWRTLEKRNRNGPAHWPCGTAVVCGTNRAKFGPAYWTCGTDTVPHIQPAGRATVPRHPSPPPKVP >ONIVA10G14260.1 pep chromosome:AWHD00000000:10:14972955:14973410:-1 gene:ONIVA10G14260 transcript:ONIVA10G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYDIAVEAYSPQRVMRQFGLYQEVPVPLGETVPPKIHLQNRKADASVRRNIFAKMTP >ONIVA10G14240.1 pep chromosome:AWHD00000000:10:14942840:14945209:1 gene:ONIVA10G14240 transcript:ONIVA10G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTNLMINLLVLLALASLPSPAPALCYIHAGEAGSVVRTPNGTPPPPADAYRTYIVLVDPPPHGAATDDDGHRRWHESFLPGGRRMDDGADQARIIRSYTEVFEGFAARLTAAELAGVVSKKPGFVRAFPGRRTLRLMTTHTPEFLGLTRGAGFWRDVAGYGKGVVVGLLDTGVHAAHPSFDDRGVPPPPARWRGSCAVAATRRCNNKLVGVKSFVDGGGGGGDDDVGHGTHTASTAAGNFVAGGASDRGLGAGTAAGIAPGAHVAMYKVCNGSGCDDDAVLAGFDEAMKDGVDVLSVSLGRWSSPPFDEDPIAIAAFSAVARGITVVCAAGNGGPEPSTVSNDAPWLLTVAAGSVDRSFSTTVLLGNGELVDGQALAQQPNSSTSYYPLLFSEKQPKCNELAGIVGDGVAGHLVVCQSDPVEDESVVSAMMATGAGGVVLINTESEGYTTVLEDYGPGMVQVTVAGGHNITEYARSSSSSAGGCKPNATVVFDNTLLSVHPAPTVASFSSRGPSKVAPGVLKPDVLAPGLNILAAWPPHLQHGGGGGGGGLFKVISGTSMATPHASGVAALVKSRHPDWSPAAIKSTILTTSDAVDGAGNPILDEHHERATAFLTGAGHINPARAADPGLVYDIAVADYAGYICALLGDAGLGTIVRNESLSCGKLDKNKIPEAQLNYPTITVPLPRSSSSAAPPPFTVNRTVTNVGPARSTYTMKLEIPRSLTMRVSPEKLVFSGVGEKKGFSVTVSGGGGGGEVVEGSLSWVSGKHVVRSPIVAVPQPYLKLGS >ONIVA10G14230.1 pep chromosome:AWHD00000000:10:14921938:14932029:1 gene:ONIVA10G14230 transcript:ONIVA10G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNDATEQDHVMLRRQGRVLVDPILKPLKKVCVVHMSLGGPIAQAMLDNDEAIMMKPSKESKKRGAGKKQEEEENNTISIEDEMCDANNKKGKKMLTGENALMCHQCQRNDKGRVIWCKSCNNKRFCEPCMKRWYPGLSEVDFAAKCPYCRKNCNCKACLRMIGVEKPPEKKISEENQRRYAFRIVDLLLPWLKELQQEQMKEKELEGRLQGVSMDEVNDRCKTSIVDFHRSCKACSYDLCLGCCWELRKGEIPGGEEAKSVQWEERGQKYVFGNISKDEKKRVSSKRHMETPSTETCNDMAVAGDPNNPWLLWKANSDGSIPCPPKEIGGCGASSLVLRCLLPEIMLSELEHRANKVIKREAFDKAINETSDQCPCFYHTSKIRTNATREAANRKGSSDNYLYCPDANDIQEDDLSHFQMHWSKGEPVIVSDALRLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVKAVDCLDWNEVEINIHMFFMGYMRGRRHPMTFWPEMLKLKDWPPSSMFDQRLPRHGAEFITALPFPEYTDPRYGPLNLAVRLPAGVLKPDLGPKTYIAYGCYEELGRGDSVTKLHCDMSDAVNILMHTAEVSYDTEQLDKIAKIKMKMREQDLHELFGVSESGAKGKADDEASKISCNMENKHTSNQSTKGLDINALPPDDSGSDIGDKPSFCQSEVESELTQCSKHNHEVNSSVKMHAGAHCTSDNQGYIDRSGFKRKDSDCSDQQKTGGALWDIFRREDSEKLQDYLRKHASEFRHIHCNPVKNVSHPIHDQTFYLTVEHKRKLKEEHGVEPWTFEQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECVKLTGEFRRLPSDHRAKEDKLEIKKIALNALKEVVNFLDPLPKGSKNRDEVVEVTKPKRKYGNRKGDLKSGEDQPIDESIEERKPKKRGRSKR >ONIVA10G14230.2 pep chromosome:AWHD00000000:10:14916319:14932029:1 gene:ONIVA10G14230 transcript:ONIVA10G14230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVRYTNYHNPPDFAPTSCSDDRFDFRAHWTQRRRLIKLGAFPFDPPEVPYSKSKPNKKKIPSPLPSHARLNTSFRPSLAACVHGLSTRMEMEEAVDGKHPKRGRGRPRGRRGRGRGRGRGGRSLASPAAGPGDQGPRRRRGVVPAAGGRALRERRPAPGAYRESGADNDDDGGGDDEHDEQNDDGAEKSDNQVVDSLNEPNRSNTGKKRGRPKKVKAEQEDSNQLSNGKHLGENNGNDEAIMMKPSKESKKRGAGKKQEEEENNTISIEDEMCDANNKKGKKMLTGENALMCHQCQRNDKGRVIWCKSCNNKRFCEPCMKRWYPGLSEVDFAAKCPYCRKNCNCKACLRMIGVEKPPEKKISEENQRRYAFRIVDLLLPWLKELQQEQMKEKELEGRLQGVSMDEVNDRCKTSIVDFHRSCKACSYDLCLGCCWELRKGEIPGGEEAKSVQWEERGQKYVFGNISKDEKKRVSSKRHMETPSTETCNDMAVAGDPNNPWLLWKANSDGSIPCPPKEIGGCGASSLVLRCLLPEIMLSELEHRANKVIKREAFDKAINETSDQCPCFYHTSKIRTNATREAANRKGSSDNYLYCPDANDIQEDDLSHFQMHWSKGEPVIVSDALRLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVKAVDCLDWNEVEINIHMFFMGYMRGRRHPMTFWPEMLKLKDWPPSSMFDQRLPRHGAEFITALPFPEYTDPRYGPLNLAVRLPAGVLKPDLGPKTYIAYGCYEELGRGDSVTKLHCDMSDAVNILMHTAEVSYDTEQLDKIAKIKMKMREQDLHELFGVSESGAKGKADDEASKISCNMENKHTSNQSTKGLDINALPPDDSGSDIGDKPSFCQSEVESELTQCSKHNHEVNSSVKMHAGAHCTSDNQGYIDRSGFKRKDSDCSDQQKTGGALWDIFRREDSEKLQDYLRKHASEFRHIHCNPVKNVSHPIHDQTFYLTVEHKRKLKEEHGVEPWTFEQKLGDAVFIPAGCPHQSCIKVALDFVSPENVGECVKLTGEFRRLPSDHRAKEDKLEIKKIALNALKEVVNFLDPLPKGSKNRDEVVEVTKPKRKYGNRKGDLKSGEDQPIDESIEERKPKKRGRSKR >ONIVA10G14230.3 pep chromosome:AWHD00000000:10:14916319:14932029:1 gene:ONIVA10G14230 transcript:ONIVA10G14230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVRYTNYHNPPDFAPTSCSDDRFDFRAHWTQRRRLIKLGAFPFDPPEVPYSKSKPNKKKIPSPLPSHARLNTSFRPSLAACVHGLSTRMEMEEAVDGKHPKRGRGRPRGRRGRGRGRGRGGRSLASPAAGPGDQGPRRRRGVVPAAGGRALRERRPAPGAYRESGADNDDDGGGDDEHDEQNDDGAEKSDNQVVDSLNEPNRSNTGKKRGRPKKVKAEQEDSNQLSNGKHLGENNGNDEAIMMKPSKESKKRGAGKKQEEEENNTISIEDEMCDANNKKGKKMLTGENALMCHQCQRNDKGRVIWCKSCNNKRFCEPCMKRWYPGLSEVDFAAKCPYCRKNCNCKACLRMIGVEKEQMKEKELEGRLQGVSMDEVNDRCKTSIVDFHRSCKACSYDLCLGCCWELRKGEIPGGEEAKSVQWEERGQKYVFGNISKDEKKRVSSKRHMETPSTETCNDMAVAGDPNNPWLLWKANSDGSIPCPPKEIGGCGASSLVLRCLLPEIMLSELEHRANKVIKREAFDKAINETSDQCPCFYHTSKIRTNATREAANRKGSSDNYLYCPDANDIQEDDLSHFQMHWSKGEPVIVSDALRLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVKAVDCLDWNEVEINIHMFFMGYMRGRRHPMTFWPEMLKLKDWPPSSMFDQRLPRHGAEFITALPFPEYTDPRYGPLNLAVRLPAGVLKPDLGPKTYIAYGCYEELGRGDSVTKLHCDMSDAVNILMHTAEVSYDTEQLDKIAKIKMKMREQDLHELFGVSESGAKGKADDEASKISCNMENKHTSNQSTKGLDINALPPDDSGSDIGDKPSFCQSEVESELTQCSKHNHEVNSSVKMHAGAHCTSDNQGYIDRSGFKRKDSDCSDQQKTGGALWDIFRREDSEKLQDYLRKHASEFRHIHCNPVKNVSHPIHDQTFYLTVEHKRKLKEEHGVEPWTFEQKLGDAVFIPAGCPHQSCIKVALDFVSPENVGECVKLTGEFRRLPSDHRAKEDKLEIKKIALNALKEVVNFLDPLPKGSKNRDEVVEVTKPKRKYGNRKGDLKSGEDQPIDESIEERKPKKRGRSKR >ONIVA10G14230.4 pep chromosome:AWHD00000000:10:14921938:14932029:1 gene:ONIVA10G14230 transcript:ONIVA10G14230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNDATEQDHVMLRRQNDEAIMMKPSKESKKRGAGKKQEEEENNTISIEDEMCDANNKKGKKMLTGENALMCHQCQRNDKGRVIWCKSCNNKRFCEPCMKRWYPGLSEVDFAAKCPYCRKNCNCKACLRMIGVEKPPEKKISEENQRRYAFRIVDLLLPWLKELQQEQMKEKELEGRLQGVSMDEVNDRCKTSIVDFHRSCKACSYDLCLGCCWELRKGEIPGGEEAKSVQWEERGQKYVFGNISKDEKKRVSSKRHMETPSTETCNDMAVAGDPNNPWLLWKANSDGSIPCPPKEIGGCGASSLVLRCLLPEIMLSELEHRANKVIKREAFDKAINETSDQCPCFYHTSKIRTNATREAANRKGSSDNYLYCPDANDIQEDDLSHFQMHWSKGEPVIVSDALRLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVKAVDCLDWNEVEINIHMFFMGYMRGRRHPMTFWPEMLKLKDWPPSSMFDQRLPRHGAEFITALPFPEYTDPRYGPLNLAVRLPAGVLKPDLGPKTYIAYGCYEELGRGDSVTKLHCDMSDAVNILMHTAEVSYDTEQLDKIAKIKMKMREQDLHELFGVSESGAKGKADDEASKISCNMENKHTSNQSTKGLDINALPPDDSGSDIGDKPSFCQSEVESELTQCSKHNHEVNSSVKMHAGAHCTSDNQGYIDRSGFKRKDSDCSDQQKTGGALWDIFRREDSEKLQDYLRKHASEFRHIHCNPVKNVSHPIHDQTFYLTVEHKRKLKEEHGVEPWTFEQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECVKLTGEFRRLPSDHRAKEDKLEIKKIALNALKEVVNFLDPLPKGSKNRDEVVEVTKPKRKYGNRKGDLKSGEDQPIDESIEERKPKKRGRSKR >ONIVA10G14230.5 pep chromosome:AWHD00000000:10:14916319:14921388:1 gene:ONIVA10G14230 transcript:ONIVA10G14230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVRYTNYHNPPDFAPTSCSDDRFDFRAHWTQRRRLIKLGAFPFDPPEVPYSKSKPNKKKIPSPLPSHARLNTSFRPSLAACVHGLSTRMEMEEAVDGKHPKRGRGRPRGRRGRGRGRGRGGRSLASPAAGPGDQGPRRRRGVVPAAGGRALRERRPAPGAYRESGADNDDDGGGDDEHDEQNDDGAEKSDNQVVDSLNEPNRSNTGKKRGRPKKVKAEQEDSNQLSNGKHLGENNGVLLVLMLRNAIIVISWRLLEHFGFPLLFLLISR >ONIVA10G14220.1 pep chromosome:AWHD00000000:10:14905958:14911230:1 gene:ONIVA10G14220 transcript:ONIVA10G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHRRLVLCFLILAAAAAATTAASISTPAELAVASHPLSPLRLPPAAPFAGGGEGGGGGGGPFCTRVHIRGRPSRLRDPSRFFHALRVRANATRPSGLELCFHRNATVGPCKCAASQCHKMAKSGLWVQAISPYDTRVLDFRMPSDPSRSIIVSTEEEFLLHRVVFLLLGMVLMAVAHTLSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFVYSSLLGVFLLVSVILAGAWFGYWGVRKLVLTEEGSVDAGVAYFVEWAILIISAVMILQSSLDYLFAFSALLFCTAIKAVSRIEGKSRVLRCLSRAFSNIVPTGYEGFGEEYSSMNGSHQDGFSKLHGEYMRSTPKRNSLRTGSRKTLSQDLATDSYYSTFHTNPERKKFSEEEYAAFTREETHKAMKQLVSSPDFNRWALANVDRISVTPPQRTPQNSMSQQRKRLFGLF >ONIVA10G14220.2 pep chromosome:AWHD00000000:10:14905958:14911230:1 gene:ONIVA10G14220 transcript:ONIVA10G14220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHRRLVLCFLILAAAAAATTAASISTPAELAVASHPLSPLRLPPAAPFAGGGEGGGGGGGPFCTRVHIRGRPSRLRDPSRFFHALRVRANATRPSGLELCFHRNATVGPCKCAASQCHKMAKSGLWVQAISPYDTRVLDFRMPSDPSRSIIVSTEEEFLLHRVVFLLLGMVLMAVAHTLSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFVYSSLLGVFLLVSVILAGAWFGYWGVRKLVLTEEGSVDAGVAYFVEWAILIISAVMILQSSLDYLFAFSALLFCTAIKAVSRIEGKSRVLRCLSRAFSNIVPTGYEGFGEEYSSMNGSHQDGFSKLHGSRKTLSQDLATDSYYSTFHTNPERKKFSEEEYAAFTREETHKAMKQLVSSPDFNRWALANVDRISVTPPQRTPQNSMSQQRKRLFGLF >ONIVA10G14210.1 pep chromosome:AWHD00000000:10:14875507:14888512:1 gene:ONIVA10G14210 transcript:ONIVA10G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLTSLSASSCENKKERKKNSAPHVTHPTPLPNTPRAPRPQRTTTTTSASLSLSLPDPNLGERDVLIHPSHPLELIDRPDAAAGAMGSLTRAEEEETAAAEEWSGEAVVYVNGVRRVLPDGLAHLTLLQYLRDIGLPGTKLGCGEGGCGACTVMVSCYDQTTKKTQHFAINACLAPLYSVEGMHIITVEGIGNRQRGLHPIQERLAMAHGSQCGFCTPGFVMSMYALLRSSEQPPTEEQIEDSLAGNLCRCTGYRPIIDAFRVFSKRDDLLYNNSSLKNADGRPICPSTGKPCSCGDQKDINGSESSLLTPTKSYSPCSYNEIDGNAYSEKELIFPPELQLRKVTSLKLNGFNGIRWYRPLKLKQVLHLKACYPNAKLIIGNSEVGVETKFKNAQYKVLISVTHVPELHTLKVKEDGIHIGSSVRLAQLQNFLRKVILERDSHEISSCEAILRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGATFEIIDVNNNIRTIPAKDFFLGYRKVDLKPDEILLSVILPWTRPFEFVKEFKQAHRREDDIALVNAGMRVYIRKVEGDWIISDVSIIYGGVAAVSHRASKTETFLTGKKWDYGLLDKTFDLLKEDVVLAENAPGGMVEFRSSLTLSFFFKFFLHVTHEMNIKGFWKDGLHATNLSAIQSFTRPVGVGTQCYELVRQGTAVGQPVVHTSAMLQVTGEAEYTDDTPTPPNTLHAALVLSTKAHARILSIDASLAKSSPGFAGLFLSKDVPGANHTGPVIHDEEVFASDVVTCVGQIVGLVVADTRDNAKAAANKVNIEYSELPAILSIEEAVKAGSFHPNSKRCLVKGNVEQCFLSGACDRIIEGKVQVGGQEHFYMEPQSTLVWPVDSGNEIHMISSTQAPQKHQKYVANVLGLPQSRVVCKTKRIGGGFGGKETRSAIFAAAASVAAYCLRQPVKLVLDRDIDMMTTGQRHSFLGKYKVGFTDDGKILALDLDVYNNGGHSHDLSLPVLERAMFHSDNVYDIPNVRVNGQVCFTNFPSNTAFRGFGGPQAMLIAENWIQHMATELKRSPEEIKELNFQSEGSVLHYGQLLQNCTIHSVWDELKVSCNFMEARKAVIDFNNNNRWRKRGIAMVPTKFGISFTTKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFNIPLSSVFISETSTDKVPNATPTAASASSDLYGAAVLDACQQIMARMEPVASRGNHKSFAELVLACYLERIDLSAHGFYITPDVGFDWVSGKGTPFYYFTYGAAFAEVEIDTLTGDFHTRTVDIVMDLGCSINPAIDIGQIEGGFIQGLGWAALEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVSNPKVIHSSKAVGEPPFFLGSAVLFAIKDAISAARAEEGHFDWFPLDSPATPERIRMACVDSITKKFASVYYRPNLSV >ONIVA10G14200.1 pep chromosome:AWHD00000000:10:14872958:14873662:1 gene:ONIVA10G14200 transcript:ONIVA10G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATWCGADEDGVGIEPGRGPGRDNGHAVDQHGEKSGARGGTASPGWGRRAAARQEQAREKRGGEAARHHRHGGEEPQRGGSRLGRRAAAKRHGITGMGRRATVRREQVGEKSGGGQQRVGGARCDWRLIGEKSHGGARSLAKTGGSRGRPEECGAAGARQGGVVMEHVLSPLLSSSYRRLPPSTPPSKMLATPAAGYDGSRRPPLPISTLATRGPPSLRSSPCFPFALPSCS >ONIVA10G14190.1 pep chromosome:AWHD00000000:10:14866716:14867039:1 gene:ONIVA10G14190 transcript:ONIVA10G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRWLGGVGKWVCEHQGSVAVGLEAAGNGGTTCVHRVVEAAQEKRTGGKGSRSHQRSPVAILQGKGKEGGLLVSLGRRARRRRAPRLEKVVSDGDVFEELKSAA >ONIVA10G14180.1 pep chromosome:AWHD00000000:10:14857459:14858418:-1 gene:ONIVA10G14180 transcript:ONIVA10G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAMAIAIGLGRGRRGGGSARRAKAVVRRGGGRVAGTSGGGREAARRGGRILGRSGGEEDRLLLHGRRTKGQRHRSGKGRSGAGVGLAGVGWGWAGTASGGAGGERRATVESASGGGGVDLDGGQRGHRYLALHLLLLPFVLLFLLPHLLVDGFGPARDERGGGGSLRRSGGGGVSARRSGGDGEVEKPNQTHPSHRGLKSPTKKTAFVLLLSLLFLVHIAISHALFSPIDSDDSDDSGTLA >ONIVA10G14170.1 pep chromosome:AWHD00000000:10:14839851:14840222:1 gene:ONIVA10G14170 transcript:ONIVA10G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0ITV0] MARIPLLLALLLAVSAAAAAQVGGNRGHGPLVGGWSPITDVGDPHIQELGGWAVERHASLSSDGLRFRRVTSGEQQVVSGMNYRLVVSASDPAGATASYVAVVYEQSWTNTRQLTSFKPAAAH >ONIVA10G14160.1 pep chromosome:AWHD00000000:10:14829820:14833264:1 gene:ONIVA10G14160 transcript:ONIVA10G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26580) TAIR;Acc:AT3G26580] MAAGLLLGDATALRGDLTVRGPQLRLCNWAPRPRWRPPGAGAADSCCLLFRARARRRGHGHVARFAASASGAGGEEAGEPSEDEAQREWEAEMARRLKEAEEMEELERTAEELQSQAAAEAPDESEEEKRERVRRELQKVAKEQAERRATAKQMFDLGQRAYGRGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESTHPMISIRRQAAELRYISEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIKEQEDKKRKMVAASSQVEPSPNIFAIYLQR >ONIVA10G14150.1 pep chromosome:AWHD00000000:10:14825167:14825931:1 gene:ONIVA10G14150 transcript:ONIVA10G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSRLALCLAVVAACAAGGAVADWSPATATFYGGSDGSGTMGGACGYGNLYDQGYGVDNAALSQALFNDGASCGQCYLIVCDTSRAPQWCKAGTAVTVTATNLCPPNWALPSDGGGWCNPPRPHFDMSQPAWEQIGVYQAGIVPVLYQRVRCWRQGGVRFTVAGLNYFELVLITNVAGSGSVASAWIKGTNTGWIQMSRNWGANWQSLAGLAGQALSFAVTTTGGQYLQFQDVAPAWWQFGQTFSTYQQFDY >ONIVA10G14140.1 pep chromosome:AWHD00000000:10:14813621:14822531:-1 gene:ONIVA10G14140 transcript:ONIVA10G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRKLFARKAMDGLSCISERVYVFNSCLSTEPLVDDDDDEEVARNDHLISTVIQLKSCHPHGASLMLLNLFAAGGGEEASSLLPVDALRRHGVAAVAEYPCSHRHGPSLPLATARALLATCVDWLITDGQRNVLLMRCDRGARPALALAMASLLVYMEEEPAPPELVTTTTLAAVYGRAPVALLLAAGSALNPRPSHLRYLQYVARLRGMTTRHGPPPPSPLLVLDCLILRPVPDFDGNGGCRPVVRVHGRRDAAADYDGDRADDASPKILAVVKVNIECQVRGDVVIECGHVGENTDEEEEEAMFRIMFNTCFLESNMMVLTLDDIDLPWNCRRERFQEDFKIEVFFLEVDVSDNDSHTAEMLGDSHDGNAELFYDFDDISIDSGSSSKYHEQHDEDGESKSSETGGCSSDEKGSNGSGNEVRFLPEADVTKDSLKEETGNRQEESSNAVQPTLVTSKDPNADTASDLQESRMAKGTSQEACIQEGADSSVRTEVDHNRMAGIGALKPQPKRRTWQNLSKQSAIPIVNKKKAKKPDIGPSDVKKPSKGKMLLKQTLQKGILIATSSCKSSTVQANTGPVPRKKHANAIRSNHGTGQATKTPTHSKTKLENSSHQQTGDTATQKDDAIENTTETEPATSIIQTRPPSPPRKRLNPEGSKDSLRRPIETAMKSPTPTSGNSSTGGAAKQEATTASTEAHSAKVVLKKSLSSPAISRSLTTTSSSSPKRRSNVTSHPSSVLHCVFFLTTSTSISFATKITFGQLQGQQSSISYSASDAAGHINAFKDASPCIIRRVNLHEWAFSVAENIYDYKEPSHWHERQQQKLVTSITKIGKTTAITTRDTYYSYHSASKANKI >ONIVA10G14130.1 pep chromosome:AWHD00000000:10:14804494:14806810:-1 gene:ONIVA10G14130 transcript:ONIVA10G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLHCKNIEFSHDLNFSSWPQTDENGFGNVLIGWAKVNVNRSFSTSDGAAGIGVVIRDHDGSSVLSSWHAVLQYPVQRKWKLALACQIRMSLWSILFNQIASDDASADINTGKSILMGRSNCIEPGLSTLTARGANYERALGHQSRVTNHDRWRETWGFGLLDFLSTTQDN >ONIVA10G14120.1 pep chromosome:AWHD00000000:10:14789373:14802927:-1 gene:ONIVA10G14120 transcript:ONIVA10G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVIAQGYRVVDEERHAVNYRSSVWGDYFIRNPILPHNYRKSLEWMTERCDDLIVETREMFVDILNPFAKMKLIDALQRLGVSYHFKEEIDNSLESLVSVKFANDDFHAISLQFRLLRQQRRYMPCDAFKEFIDKQGNLNGTLCSDTRALLALYEAAHLGTPNEEILREAQVETTNQLKRIVDCIEKPLSNKVRHALETPSFRRMKRLEARLYIPLYEEDKEECNEMILELAKLDFYLLQRLHREEVKEICEWYHGLESPRELFYARHRPAEAYFWALGVYYEPEYSKPRKLLAKFIATITPYDDTFDNYGLWKELQPFADVMQRWDEKGAEQLGRCYKEYAQFMFGTMNEIEGALPKGTPRKNVNVIKDIITEVCKGYVTEIDWRDSKYIPPLKEHLQITLVTCFYWAINCTAFVVFQESVTEEVMIWMSGFPQIVKDSCIVSRLMDDIVAHAFETERNNVATAVTCYMKEYDSTKEEAIKALWNDVENAWKDMNEEYLKLTSIPSSLLIQSVSIMNNRVSKLASDDPMKHVGPTGDDRQEANAAAAVPFDALTIAAVLLGASSISVKTRTLYHHRGQERCSQNSPTTCLLCRKPLESAGEAKGLPATNLLNHLHGQTPSPHFPKGSTTIVWKQTSQKRRGAPRRGRRPSWPKEELKVEDRSTKDVVVFYVTNEKLKGKRS >ONIVA10G14120.2 pep chromosome:AWHD00000000:10:14789373:14802927:-1 gene:ONIVA10G14120 transcript:ONIVA10G14120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVIAQGYRVVDEERHAVNYRSSVWGDYFIRNPILPHNYRKSLEWMTERCDDLIVETREMFVDILNPFAKMKLIDALQRLGVSYHFKEEIDNSLESLVSVKFANDDFHAISLQFRLLRQQRRYMPCDAFKEFIDKQGNLNGTLCSDTRALLALYEAAHLGTPNEEILREAQVETTNQLKRIVDCIEKPLSNKVRHALETPSFRRMKRLEARLYIPLYEEDKEECNEMILELAKLDFYLLQRLHREEVKEICEWYHGLESPRELFYARHRPAEAYFWALGVYYEPEYSKPRKLLAKFIATITPYDDTFDNYGLWKELQPFADVMQRWDEKGAEQLGRCYKEYAQFMFGTMNEIEGALPKGTPRKNVNVIKDIFETERNNVATAVTCYMKEYDSTKEEAIKALWNDVENAWKDMNEEYLKLTSIPSSLLIQSVSIMNNRVSKLASDDPMKHVGPTGDDRQEANAAAAVPFDALTIAAVLLGASSISVKTRTLYHHRGQERCSQNSPTTCLLCRKPLESAGEAKGLPATNLLNHLHGQTPSPHFPKGSTTIVWKQTSQKRRGAPRRGRRPSWPKEELKVEDRSTKDVVVFYVTNEKLKGKRS >ONIVA10G14110.1 pep chromosome:AWHD00000000:10:14774789:14782770:1 gene:ONIVA10G14110 transcript:ONIVA10G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G15400) TAIR;Acc:AT5G15400] MYQYTNSAVYRRLPPNPRGEATPRDQPRHAIPPPLSSPADARTPQLQVEVEATTLAPASPPAMASPSPAARPQRTPDEVEDIITRKILLVSLTPPSTPNPAVAYLELTAAELLSESRPLLALRDASERLLIDRLSLPDQPAGSPSPFAYLVSSFRRAADEARKISTIRDAALRARLAASIAHLRGLILSYARIVAGNPDTFPSPHNAPHPAAELLVFLLAEAADPLDPTPAPGAPPPPGFLDEFFANADYETVEPAMGELYGRLRQSVEKVSALGDFQKPLRVLRRLVGIPNCAKALVNHPRWIPKNQIMLIGEGRIMEISSVLGAFFHVSAIPDREFASKPDIGQHCFSEASSRRPADLMSSFTTIKSVMNNLYDGLKDVLLALLKNMDTREKVLEFIAEVINKNAGRSRMQVDPLKSASSGMFVNLSAVMLRLCEPFLDRMESKKDKIDVNYLFCNDRIDFKNLTAINASSEEVSSWIENRGYEHAEDSASGEARFVESQEATSSGNNSTVSLSSKGGSLVNCSKKENFSFICECFFMTARVLNLGLMKALSDFKHIAQDLARCQDDLDSNRAMRDQGGGSAQLDQDIKRLEKIVEILSQDKLCYEAQIIRDGAFLQRALSFYRLMILWSVDLVGGFKMPLPSQCPKEFACIPEHFLDDAMDLLVLTSRIPKALESFALDDFLNFIIMFMAGTSYIKNPYLRAKMVEVLNCWMPQRSGLSSTASLFEGHQLCLDYLVKNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRRIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANVVEWESRPPQEREERLRVFHQWENVVRFDMKLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHITRGDKEGIFPAAISKDGRSYNEQLFASAANILWKIGGDPQIIQEFMQLASKSKTAASEAMDAEAMLGDIPDEFLDPIQYTLMKDPVILPSSRVTIDRPVIVRHLLSDSTDPFNRSHLTQDMLIPDTELKSRIEEFIRSQRSKKRTAADSEMGEPDGAADMAD >ONIVA10G14100.1 pep chromosome:AWHD00000000:10:14772852:14773488:-1 gene:ONIVA10G14100 transcript:ONIVA10G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAAATVVVAEDGSGSGGYFLLECGVLIWDVNFVGILDFDLGLQLSDFVIHAAFQPECLKPILSYKGMGWLISV >ONIVA10G14090.1 pep chromosome:AWHD00000000:10:14756139:14758247:1 gene:ONIVA10G14090 transcript:ONIVA10G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKFPIVFSVVCLFLLCNGSLAQLLSQSTSQWQSSRRGSPRECRFDRLQAFEPIRTVRSQAGTTEFFDVSNELFQCTGVSVVRRVIEPRGLLLPHYSNGATLVYVIQGRGITGPTFPGCPETYQQQFQQSEQDQQLEGQSQSHKFRDEHQKIHRFQQGDVVALPAGVAHWCYNDGDAPIVAIYVTDIYNSANQLDPRHRDFFLAGNNKIGQQLYRYEARDNSKNVFGGFSVELLSEALGISSGVARQLQCQNDQRGEIVRVEHGLSLLQPYASLQEQQQEQVQPRDYGQTQYQQKQLQGSCSNGLDETFCTMRVRQNIDNPNLADTYNPRAGRITYLNGQKFPILNLVQMSAVKVNLYQNALLSPFWNINAHSVVYITQGRARVQVVNNNGKTVFDGELRRGQLLIIPQHHVVIKKAQREGCSYIALKTNPDSMVSHMAGKNSIFRALPDDVVANAYRISREEARRLKHNRGDELGVFTPSHAYKSYQDISVSA >ONIVA10G14080.1 pep chromosome:AWHD00000000:10:14748262:14748700:-1 gene:ONIVA10G14080 transcript:ONIVA10G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRGGGGLGPAVRDSARRQRPRACADAFSQDGGCRVEGQPAPALRRSTTSDRDSSMQSPVRSPATAATAMDAVDSVVDSLREFAKDSICLVKHCHKPDRKEFTKVVACMLQKYQTH >ONIVA10G14070.1 pep chromosome:AWHD00000000:10:14740020:14740823:-1 gene:ONIVA10G14070 transcript:ONIVA10G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASSAWGSGLGKKNTPNCTPSNGDCSDDEASSCTSREEGLECPICWESFNIVENVPYVLWCGHTMCKNCILGLQWAIIKVPTVPIQLPFFVSCPWCNLLSLRIIYKGNLAFPRKNYFLLWMVEGMNGERARSRSAIHSEQQTTWLSSSSRASGNEGYSNPIRRPLPPPVETQSPSVNHANHGVPILNAERVQASLRKSLSFLVHLTAKFPLVFIFLLIVLYAIPASAAVLLLYILITVLFALPSFLILYFAYPSLDWLVREIFA >ONIVA10G14060.1 pep chromosome:AWHD00000000:10:14732031:14732774:-1 gene:ONIVA10G14060 transcript:ONIVA10G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQYRCTHSASCLCLKGHISEDALFLVFRHMNWNPRMIALFSCVSKWFDDIAKRVLWKEFCHARAPRMMQDLHSGGSHIVDGNWKALGKLLIHCAGCTKGGLFGNIHVPGHFVFRTRFSRTCGKSILPPQCRTDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFASSKVKKMLIEKQAKFHPKEVCPYCKAKLWNLLQANMIPRSASIRLDAYDDSVEYYICLNGHILGLCTLMPVSDSEDAKE >ONIVA10G14050.1 pep chromosome:AWHD00000000:10:14721322:14728542:1 gene:ONIVA10G14050 transcript:ONIVA10G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:casein lytic proteinase B3 [Source:Projected from Arabidopsis thaliana (AT5G15450) TAIR;Acc:AT5G15450] MAAAPPLAAGLRPAMAAAQAPVVAAAWGVGARRGAALSSSARCRALRLSRGGGGGRDGWVPPPVVGRRPPRTLSVRCAASNGRITQQEFTEMAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTRLLDATEKFIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLVLGFAEDKRFGRQLFKDFQITVQSLKTAIESIRGKQNVIDQDPEGKYEALDKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVAAALLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVIKLEMERLSLTNDTDKASRDRLSRIEAELSLLKEKQKDLTEQWEREKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTEKELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEEELHKRVVGQDPAVKAVSEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAAFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSIILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRKVSFTNSIIIMTSNVGSQFILNMDEEGGSTDSAYENIKKRVMDTARSVFRPEFMNRIDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPGAVEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSILVDTQVTVPSNGQLPQQKLVFHKMSEESAPAAAEDEKFLPAV >ONIVA10G14040.1 pep chromosome:AWHD00000000:10:14712320:14716161:1 gene:ONIVA10G14040 transcript:ONIVA10G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ITT5] MSGGVQEQFEIKFRLPDGTDIGPKRYPAASTVATLKESIVAQWPKADKEKGPRTVNDLKLINAGKILENNKTLSECKSPICDFSGLTTMHVVVRAPTSDKQSNKIVAKKPKDFRCGCSIM >ONIVA10G14040.2 pep chromosome:AWHD00000000:10:14712498:14716161:1 gene:ONIVA10G14040 transcript:ONIVA10G14040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ITT5] MSGGVQEQFEIKFRLPDGTDIGPKRYPAASTVATLKESIVAQWPKADKEKGPRTVNDLKLINAGKILENNKTLSECKSPICDFSGLTTMHVVVRAPTSDKQSNKIVAKKPKDFRCGCSIM >ONIVA10G14030.1 pep chromosome:AWHD00000000:10:14692791:14707426:-1 gene:ONIVA10G14030 transcript:ONIVA10G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFYCLLLLFHFFAFLSSIRTAGDVTAIAAVGSEEEEEHAVAITASGPSSPSTPLPVAMGGAMAGFGFLFPNNLRLLRGFPAVQELHANKGSGGGGVAVWGSSIPWWEWDNPAAPSALRAALCRLYAEAYCGSFCSRRIHGADAVEEEKEKKKKLRVLRYDADAAVGGDDDDELQQCRLRCVAVVDLPCHALGRGGVHTAYYSGTIEYFSTDEGVDLTRSSIASIAPIDLSTMERATGGFSKRNIIGEGGFAIVYKGKLPRNHVLARDLQYKKKIAVKRLKPSALSTKGLHDFTREVELMSRVRHGNLSQLLAYCIEGDERILVYEYMPKKSVNYLHEGSGEIVIHRDLKPSNVLLDDEFTPKIADFGTTKPLVADGTGTQTIVFSPGYAAPEYIRGDVTLKCDVYSFGVVLLEIISGQKNTLRPSLLSKAWKLWDEHRIMDLVDPSMVRRCSGAEGLQSHVRRCIQIGLLCVQDSPCDRPTMSQVLAMLTGDDSSWLNKPKPPAMFDDHHRH >ONIVA10G14020.1 pep chromosome:AWHD00000000:10:14661263:14664321:1 gene:ONIVA10G14020 transcript:ONIVA10G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLLRRALQRLLPHRTRSFCSSRLSSSSSSPGEVKSIRRFDEFEAMAQREIVEDVEKVRKLKSEDRNYLNRLLTSWGVPNGEFRDKLMWGGNVAAIFIASSAVGTLSAKIDGSA >ONIVA10G14010.1 pep chromosome:AWHD00000000:10:14660317:14661013:-1 gene:ONIVA10G14010 transcript:ONIVA10G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSLLAGRMVAAARRAFRSWPLHTITTTTTTTDAATAIATKEQHKVVSRLDVMEDISFPYMTREMAAVLRRSRVASVEHNNFNIRQLANPYHGPADGDILYDRAWINNLALLFTLSTLFGAFSTLAKLKQTRTVDQCVQTQTS >ONIVA10G14000.1 pep chromosome:AWHD00000000:10:14653677:14654434:1 gene:ONIVA10G14000 transcript:ONIVA10G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGGGSAPISSKRSCLFVDITNVINAKLTNKHAAVIQSEINVPKDRENYQQINKNSTSKIQRASTIGFGNENNASVIRHVRLPCTDKAELSYGTPNMHEDVTNLSTAELKRKRARERYASLTKELKEDGNKKRRDSRKRRKDESIGFYKLTHLLLSKFGLFEHNTLLLLN >ONIVA10G14000.2 pep chromosome:AWHD00000000:10:14653677:14654434:1 gene:ONIVA10G14000 transcript:ONIVA10G14000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGGGSAPISSKRSCLFVDITNVINAKLTNKHAAVIQSEINVPKDRENYQQINKNSTSKIQRASTIGFDVTNLSTAELKRKRARERYASLTKELKEDGNKKRRDSRKRRKDESIGFYKLTHLLLSKFGLFEHNTLLLLN >ONIVA10G14000.3 pep chromosome:AWHD00000000:10:14653755:14654434:1 gene:ONIVA10G14000 transcript:ONIVA10G14000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFHPSSHGNIHYSIDIFLLPNFTYTLPLGLFVDITNVINAKLTNKHAAVIQSEINVPKDRENYQQINKNSTSKIQRASTIGFGNENNASVIRHVRLPCTDKAELSYGTPNMHEDVTNLSTAELKRKRARERYASLTKELKEDGNKKRRDSRKRRKDESIGFYKLTHLLLSKFGLFEHNTLLLLN >ONIVA10G13990.1 pep chromosome:AWHD00000000:10:14644593:14649035:-1 gene:ONIVA10G13990 transcript:ONIVA10G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAAAAAGRAIRRAAYHSDGAPPPRKLRGPRFSPLNRHNHEVDALLEEIKNTPILVQNVLRSWVIAAAVLSGYCWGYNRVAKRPVAGSEPPTEDEAGQWGEAGEEVGAVAAAGVGGGCDGGEWRASASAAAATWEKGRASPHGRGGAEVVHGGERAGARPPVEEDLPRRRWCMPSAASDDATLGRHLACRLLQVGISDVFAVPGDLNLTLLDHLIAEPGLRVVDCCNELNTGYAANGYAWARGMGTCTVTFTVCGLLLHGRRHRSHWFWNQETGDEAGAAFRNQEHASASPSLPSAVARRSGSVVVGGGRALPSSPSSETTASWDRHG >ONIVA10G13990.2 pep chromosome:AWHD00000000:10:14644593:14649035:-1 gene:ONIVA10G13990 transcript:ONIVA10G13990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAAAAAGRAIRRAAYHSDGAPPPRKLRGPRFSPLNRHNHEVDALLEEIKNTPVSMISDDLIIRTVRQYILVQNVLRSWVIAAAVLSGYCWGYNRVAKRPVAGSEPPTEDEAGQWGEAGEEVGAVAAAGVGGGCDGGEWRASASAAAATWEKGRASPHGRGGAEVVHGGERAGARPPVEEDLPRRRWCMPSAASDDATLGRHLACRLLQVGISDVFAVPGDLNLTLLDHLIAEPGLRVVDCCNELNTGYAANGYAWARGMGTCTVTFTVCGLLLHGRRHRSHWFWNQETGDEAGAAFRNQEHASASPSLPSAVARRSGSVVVGGGRALPSSPSSETTASWDRHG >ONIVA10G13980.1 pep chromosome:AWHD00000000:10:14639360:14640346:1 gene:ONIVA10G13980 transcript:ONIVA10G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIQTAVRLPVVPAPRLFHGGHALKRPRRTHHHRQSSEVTLNPISLSAGAQTAAAATPCGRRETQELATTAGCEERRVAWEPAAAGRGRRRVARELAAAAGCEGRRATREPTAAGRGRRHAAQVEDGAGARQQVFGCC >ONIVA10G13970.1 pep chromosome:AWHD00000000:10:14629626:14633500:1 gene:ONIVA10G13970 transcript:ONIVA10G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGAGDWPFAADEAYADSSAIFAELGWANGLAVVDAVGELLPPLDPPGELATPPPPPLDLPETPAGSSADGAASSCSTDDADGGKPAAASTEAASKSLTPGKKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHSHHTVTFPRAAATAAGFSHIHAMAALAAAPFSAHQQLYSNLQPPPPTMPLAATTPASSSSLLQLPLHCNHELQVVASCGGYPSSSSPPPASVLPVDKGLLDDMVPRAMRHDG >ONIVA10G13960.1 pep chromosome:AWHD00000000:10:14616888:14617118:1 gene:ONIVA10G13960 transcript:ONIVA10G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPREGSTLTGGGKRRRPPFRWEGVLDPSSSAPSPPLPALTLPGKGAATRGGGDGDSVFRTPPATGYGINRRRPPW >ONIVA10G13950.1 pep chromosome:AWHD00000000:10:14613920:14614210:-1 gene:ONIVA10G13950 transcript:ONIVA10G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGAALCGPPCLRRLLPPGRPSAHPPPGLRAGQPPLPAAATRKTWERGRGGGEGRRGEGVRRRTNGGEEKLRIRLRRDRQIDAPRDKHRAGLE >ONIVA10G13940.1 pep chromosome:AWHD00000000:10:14602597:14606670:-1 gene:ONIVA10G13940 transcript:ONIVA10G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ITS2] MLLFLLFLLAAGEAAAAAAATTLTATPAKLTQSDREITIRWSGLPDPDGLDYVGIYSPPTSSDRDFLGYLFLNGSATWRTGAGELTLPRLPNLRAPYQFRLFRWPAREYSYHHIDHDGNPLPHGRHRVAASGEVAFDSPSRPDQVHLSFADGVDEMRVMFVCGDGGRRVVRYGPAKEEGEGWKEVAAEVRTYEQKHMCDSPANSSVGWRDPGFVFDGLMKGLEPGRRYFYKVGSNSSGWSDTYSFISRDNEANETIAFLFGDMGTYIPYNTYVRTQDESLSTVKWILHDIQALGDKPAFISHIGDISYARGYAWVWDHFFNQIEPIAANTPYHVCIGNHEYDWPLQPWKPWWATGIYGTDGGGECGIPYSVKFRMPGNSFVPTGNGAPDTRNLYYSFDSGVVHFVYMSTETNFVQGSDQYNFIKADLEKVNRSRTPFIVFQGHRPMYTSSNEARDFAHRQQMLQNLEPLLVTYKVTLALWGHVHRYERFCPMKNFQCVNMSSSFVYPGAPVHLVIGMGGQDYQPFWQPRKDHPDVPVYPQPERSMYRGGEFGYTKLVATKEKLTLTYIGNHDGQVHDMVEIFSGQVSNNNGVPEVIDDTKLSTGVSTKLKIPVFSLEIVGSVMFALVLGFSLGFLIRRKKEAAQWTPVKNEET >ONIVA10G13930.1 pep chromosome:AWHD00000000:10:14577932:14600932:-1 gene:ONIVA10G13930 transcript:ONIVA10G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ITS1] MSSDRSSKKVCVVGAGMAGLAAARELRREGLDVTVLEQLAGVGGQWLYDAATDAGDPLGMAGVHSSVFASLRINGPRESIGFSDFPFRPTNDAGGDARRYPVHGELLRYIRDFCDAFGLMDAVRLNTTVTRVAMAPPRRDGSLRWAVRSKRHGEAETEEVFDAVVVAIGHYSQPRLPTIDGMDRWRRKQLHSHSYRVPDSFAGEVVVIVGCSVSGAELALELRRVTKEVHLSTKSTEETITSAMSKSVARYENLHLRPQVEHLREDGTVVFDDGSFVVADAVIYCTGYNYSFPFLDTNGKVTVDDNRVGPLYEHVFPPELAPSLSFVGIPGKVVLPVFIEVQARWVAQVLSGRRTLPSPEEMQRAVEEHNRGMEAAGLPKRWTHDMFLDLERCDDYGERICGFPRMEQWKKEIFVSSLSDMVDDIENFRDGYHDSDLVRDALRRHGSLAKTSEEGRDYRREAEGGGGWWRRRAAAAPGPSLPPRSGPRGAWGGQWPAALPSLAPSQIWPNGVGGGRWPRVRLRRRREVGGGRRKGAVRGGVRGGGTNGLVGISACARRRKRRLWAPILSLPSPQTSVPAAQTAPAAPFPDLDGRGEPASSYGKGGAPLALGQQRRTEVESHRPEGDADASGQAADPLGFSQAMVSNHDDGKLPAQWKKVCVVGGGMVGLAAARELRREGLDVTVLEQRGGTPYIRDFCDAFGLMDAVRLNTTVTRVAMAPPRRDGSLRWAVRSRRHGEAETEEVFDAVVVAIGHYSQPRLPTVDGMDRWRRKQLHSHSYRVPDSFAGEVVVIVGCSVSGAELALELRRVAKEVHLSTKSTEETITSAMSKSVARYENLHLRPQVEHLREDGTVVFDDGSFVVADAIIYCTGYNYSFPFLDTNGKVTVDDNRVGPLYEHVFPPELAPSLSFVGIPAKVLLPVFIEVQARWVAQVLSGRRTLPSQEEMQRAVEEHSRGMEAAGLPKRWTYDMFLDLERYDDYGERTCGFPRMEQWKKEIFFSSLSDMVDDMESFRDGYHDSDLVRDGLRRHGWTPVCVIGAGVSGLAAARELRREGLDVTVLEQRGGVGGQWLYDTATDAGDPLDVAGVHSSMYASLRLITPREVMGFSDFPFRPGKDGDSGAGEVDARRFPGHAEFLRYIREFCDVFGLMDAVRLNTAVTRVAMAPPRRDGSLRWAVRSKHHGEAETEEVFDAVVVASGHFCQPRLPTIDGMDRWRRRQLHSHSYRVPDAFHGEVVVIVGCGISGKDIGLELRRVAKEVHLSAKSPEEAMTPAMSKILARYDNLHLHPQIEHLREDGTVVFVDGTCVVADAVVYCTGYTYSYPFLDTDGKVTVDDNRVGPLFDHVFPPALAPSLSFVGIPAMVVVPLFNEVQARWVAQVLSGRRALPSPEEMARAAEEYNRGREAAGVAKRRTHDILDLEYCDDYGERNCGFPRLEAWKKELMWSSYLTMCDNLETFRDDYHDSDLLLQLQAHYCVSLALVDKEKMVSNHDDGKPPAKWKKVCVVGAGGGGRDPRRFPGHREVLLYLKDFCDAFGLMDAVRLSLNTRVLRVAMAPPQCRAPAVAGGERKWVVRSVRVGERDDTGVQEEVFDAVVVATGHYSQPSVPTIKGMEAWRRRQLHSHSYRLPEPFRDEVVVMVGCGDSGKDIALDLISVAKEVHLTAKSTEEATTPAMSKLLAKYANLHLRPRVEHLCEDGTVVFVDGSRVVAGTVMYCTGYVYSFPFLDTDGVVTVDDNRVGPLFEHVFPPALAPSLSFVGVPRKVPAPWFFEAQGKWVAQVLSGRRTLPPVEEMLRAVDEHYRARAAAGVPVKYTHELGGIEPQKYIEFGEKYCGFPRYEDWKREMIVSTISRRDDDDMETFRDRVDDDSDHVRLCLKSWHCSSPARHQASLAVSAADGHPPPPRLAQTAKAIAADALFRFRFRPWLNLSHPDDIKYQAIKFSGRTLAEDIQEILASRGGRGATAWRAPTGAPPACAVTVATTWRPPTRTTYSSCVQVERWRRVDTAVTMMTRRRRVEQGDGSFQS >ONIVA10G13920.1 pep chromosome:AWHD00000000:10:14574274:14578650:1 gene:ONIVA10G13920 transcript:ONIVA10G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTTTVSTRLRRCMRAHDEYVVRVGSHRRRRRRLLATVTAHPGVARRWVHTTLWRHARRLRSGDGITVGMGVQWTPPFRAPAAAARRPCTLQLCVGHRCLVFQLARAGAVPAVLRRFMADARAAFVAHNVRHDCRKLEEHHGLEVARGVELRRLVAGMGNASMERMAEEHLGLVGVWKPRRVGTSRWHARRLTKGQVEYACVDACLSFHLGYVVRVGGRQVVATVTAHAGGAPVGARHAWTPPFRRATIRPGTLQLCAGHRCLVFQLAHADAAVPAALRRFLADERVVFVGYGVRSDCRKLEEQHGLEVARTVELRSLAGMGNTSMQRMAEEHLGWDGVTTKPRKVGTSRWDARRLSKEQVQYACVDAYLSFRLAVHVVAAPEPDATSSTSSSE >ONIVA10G13910.1 pep chromosome:AWHD00000000:10:14573307:14574050:1 gene:ONIVA10G13910 transcript:ONIVA10G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRPGDAPAGDTAAKRARTAARRQKTTVSARLRRSETKHDTYVVRVGASRVVATVTARPAVARRWVFSTRWRHGRRLRSGAGLTVAMGVQWTPPSRALAGGAEPRPGTLQLCVGSRCLVFQVAQGNAVPAALRRFLADGGVAAFVGYGIRSDCRKLAAHHGLHVACTRELRAVTGMGSASMARMAEELLGLAGIKKPAAVGRSRWDAPKLSKKQVKYACVDAFLSHRLGVHVGAAPPSTSSSDSA >ONIVA10G13900.1 pep chromosome:AWHD00000000:10:14571017:14572507:1 gene:ONIVA10G13900 transcript:ONIVA10G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAATRVSTRLRRSTQTHAAYTVHVADRRVIALVTAHPAYARRWVHTTRWLHHRLLRSGRLLVGLGVQWTPLRRPLHRGSPPPPPATLQLCVGHRCLVFHLAHADAIPAALRRFLADPRVTFVGSGASNDRRMLSAYYDLHVASARELRAVAAMGNASMEAMADRFLGYPGIAKPTNVAMSAWHAPYLSIEQVEYACVDAYLAFRLAVHLCPAPARQPVLRAPPPPPPAPRAPVYHHPLPLGPRVAVLAAHAPRPARHAPVRARAAPPVYRAVARAEPAAAQTHWALVAIAVDDDASESEYSSKITDNVRPRVAASDSDIEEEDDDGLSMIHSSSYASDDHVFSSDDFELVGHGLLSSDDEDGYEDFVLGMGALNIDIDDDDDYNGNTGSIGILTVQCYNEHSSIGILTVENYDMAGTEEMFVRNGVATLEELEEDDIVTGAGTVTVDEGGGGYEAFEGNSQAFDDVEEGGYVEDDWYDEDEEELLDYDTSGGFY >ONIVA10G13890.1 pep chromosome:AWHD00000000:10:14564677:14568630:1 gene:ONIVA10G13890 transcript:ONIVA10G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYLRHLLFLPFSSLFSSSAGRRRRRGRAGRWHEAEQGAGAAGVGRRRGANSSRLHRARLPTAAAVLLLSRLARRRPRHVQLHRDAAVLPHRRPSQHRPPLPFLPSVPKTTILHRSSKTIDQTQNKPSKPSTRQNTKKNRSREILQFPRKESWRFLAPPRRRSISNLQGEEKDRLPPRPVDARATHDAHAFPPPVDARASPLTMGARSTAIGGDGGVALPVAPLSSKAAAAPPEYEMPSMKEWLVSRVLALDFLRPRRRARGRRAPLLPRGAPGAARIRPPPPPPPLHRRPVLLPAPPRLLPPQERLRRRRPRPHRLMSMAALHHHHSHQIKAPTPTWLIVKAIPPPRDGAKKLAAAAYSPLLLSPSVWQRAQQASVWQASAVAAGERVAGERGGGGCCWCPVAAQLRWRPPPA >ONIVA10G13880.1 pep chromosome:AWHD00000000:10:14560714:14562702:-1 gene:ONIVA10G13880 transcript:ONIVA10G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:A0A0E0ITR6] MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVDRTDYQLIDISEDGFVSLLTESGNTKDDLRLPTDDTLTNQIKNGFGEEGKDMILTVMSAMGEEQICAVKEIGAKN >ONIVA10G13870.1 pep chromosome:AWHD00000000:10:14559441:14559745:1 gene:ONIVA10G13870 transcript:ONIVA10G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKPSSAQLLINERTSNYKLQAVRKQLLTSDRASIGIKRMGELDPKAFANACNQTLSEDDVVSALL >ONIVA10G13860.1 pep chromosome:AWHD00000000:10:14558493:14558910:1 gene:ONIVA10G13860 transcript:ONIVA10G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEISNLTWRYQILVYKEILCEDDGKLRELKEEHGEEVYRLVATALREMKEYNPSERCPVPELWNYKEKRKATLEEAIQFVVKQWRTHKRKR >ONIVA10G13850.1 pep chromosome:AWHD00000000:10:14547719:14556942:-1 gene:ONIVA10G13850 transcript:ONIVA10G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENARIGANFQPIKLLQAPATNIADENADDKNVRELLDMNPHKNRKQKAIIISDDITLPLAASIPNSSTKKRTRPLQASPTAKKLFTDDGAQEKEATDSLSDTA >ONIVA10G13840.1 pep chromosome:AWHD00000000:10:14546243:14547850:1 gene:ONIVA10G13840 transcript:ONIVA10G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYVEPQVKLDTADRLVREVDRAAERVRRGEAHWVDWATLLCELAENEMREMARWRRTDACRNGAYWQRLIWAQNPDLFAPPPPPAVSKKMQGIAATSKKLNQDDDDDTKSKMTDEMPAMESLNQAPAINDELQAIRKQLIHALEELTSGRASIGIRRMGELDPKAFANACTQTLTKKQLDSALLYSKWEAEISDSSWHPFRVININGKNKLCPSQLFRVHCHAIADAIVMDPEHIWQFNMKYLSVASFS >ONIVA10G13830.1 pep chromosome:AWHD00000000:10:14537720:14538418:-1 gene:ONIVA10G13830 transcript:ONIVA10G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSVLAGRLMVAANRAFRGRPVSTTTKERLELKISSYPWTQNAMDNRLREGCAMAVDNHNRRIMRLANPYSGPADGDILYNWAWSNNISFLIILCSCFGLCHAALHLPHHASNNVSTA >ONIVA10G13820.1 pep chromosome:AWHD00000000:10:14537416:14543496:1 gene:ONIVA10G13820 transcript:ONIVA10G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAWMLSRAVSQRLLRHRSRSFCSSLSSSSSGKVKRIERFNEFEEMVQREIVEDVEKVERAKTEDRDCINRLLTSCGMPKGEFRDKLMWGCNVAAIFVASGAVGSLIAKIKIDGSV >ONIVA10G13810.1 pep chromosome:AWHD00000000:10:14528529:14533365:-1 gene:ONIVA10G13810 transcript:ONIVA10G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPAATAAGRAIRRAAYHSDGGPPPRKLRGPRFSPLNRHNHEILVQNVLRSWVIAAAVISGYCWGYNRVITKRPVAGSEPPTEGEVE >ONIVA10G13800.1 pep chromosome:AWHD00000000:10:14524968:14527342:-1 gene:ONIVA10G13800 transcript:ONIVA10G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRALWYSGVSPKARHMNSGRGRGLDISEPNGPLDSYIRKLMDAGNLEEINRIGKILSKRDMVKQSSESLIFERKKKMGTHNMQDMWKVAGISSFAFLFGTAFISLYAGSLHGEEEEDGILQDKKRLGLEE >ONIVA10G13790.1 pep chromosome:AWHD00000000:10:14518856:14520748:-1 gene:ONIVA10G13790 transcript:ONIVA10G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37380) TAIR;Acc:AT4G37380] MSTAAAAAVQPVLPSSSASTGGQQHGVLTADRVAGLLTGCATLRRTGELHAAAVRAGVDGDRAVGFRLQRAYAASGRLDLAVTLLRLTPDPTTVFYTSAIHAHSSRGLHLAALALLSEMLGRGLIPTSHTLSSSLPACHGLALGRALHAYAFKLALAGDSYVATALLGMYARGGDADAARALFDEMPDPHVVPVTAMLTCYAKMGALDDARELFDGMPSKDFICWNAMIDGYTQHGRPNEALRLFRWMLRSGVDPDEVAIILALSAVAQLGTAESGRWLHSYVKNSRRVQLNARVGTALIDMYCKCGSLEDAVSVFNSIGDKDIVVWNAMINGYAMHGDSRKALEMFSQLRSQGLWPTDITFIGLLNACSHSGLVDEGRQFFQSMEEEYAIVPKIEHYGCMVDLLGRAGLIEEAFHLVHSMTIAPDTVMWVSLLAACRLHKNMALGQQIADYLVAGGLANSGMYILLSNIYAAVGNWEEVARVRSMMKASGIQKEPGCSAIEVGRKVYEFVAGDMSHPRTDEIYAMLEKMNGIVKEQGHVPQTELVLHDLDEVTKEKALAVHSEKLAVAFGLISTAPGETIKIVKNLRACADCHAVLKLISKITGRKIVFRDRNRFHHFVDGSCTCGDYW >ONIVA10G13780.1 pep chromosome:AWHD00000000:10:14510593:14516470:1 gene:ONIVA10G13780 transcript:ONIVA10G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPMGDGEVERAGMETDGGGEDHISALPDDLLCSILLRLGSTPAAGQTSLLSRRWRRLPSKLPRLLFPFPSTPPCVGPGIAANTAPVLRHVDVVCCDSPAGATATWLHLLAPRLAHDGVVYFRNTMSRRRLMAPHRGLGLASPSPTFELPCFATAAKLWLRLEFLNLELPRSGVFAHLTEMFLEHVDFNHRGRGDFGHTFSTPRCPLLRILRIAMCTGIDTMGIYSDSLHHFELEFVPGLMELTLMAPGLRTLELLSCFYYIEEWNCSIHAPDLESLRWGDRFNIGSVLFVGFARLQQLAAFTIPVFGRPDNTIIQEFALLLGRFSAVYRLDLLLSYEIDLDKYEYLMEYITKLPNMNTMSLWLYARGHSVGTSVFYLLSLCPSVKRLQLTLLDGTVANSPCHSNCECDEHPDWNEWEAIVHGLEEAEIRSFRGTEHDFNFVALLFLVSPALKKMTITLDCMADASEESCQKLREIVAGHPGACLEIHQNTSGVFYEFRQSNFTKIPDDHYYDSRNLDDKQYMMDYITILPHAEKLFLRLSSGPHAYGACPDDWKTKHISLDFQEVEVHGIEGVKHELKLFFRGNYFDGQLISG >ONIVA10G13770.1 pep chromosome:AWHD00000000:10:14500343:14510064:1 gene:ONIVA10G13770 transcript:ONIVA10G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37460) TAIR;Acc:AT4G37460] MGSERAELARVCGGRNWSKAIRILDAHLARSPSSIHDLCNRAFCYSQLELHKHVVKDCDRALELDPALLQAYVLKGKALSALGKREEALAVWEQGHEVAVRDTMDLKQLLELEELVSSVKICETMECEDRVVDASPCDTKVVISEDRVVDTSCTATTMADTKTVVCEENIGNSGVISNGAVILANDNKADNNKECSSPTKDTTGTHHTPKKTTKPDKKSKAKGRKEINSQIEDVADSISSGETVAVDQTLFASKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAVEDLTKALEFEPNSPDILHERGIVNFKFKDYNAAVEDLSTCVKRDKKNSSAHTYLGLTLSALGEYKRAEDEHLLGIKYDENFLDSWAHLSQLYLDIGCPEKMLNNVEKVLQIDVSFGKAYHLRGILYHGMGRHRSAIKDLSVALKHESSNIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEIALYTASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRSGRLNKQDFKFTKHQTTLILAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNNAKLVRRRDKLNACQNRGGYCSTSTLSGSPTSSPNEDRISSGISLSWHDVYNIAVKWRQISEPCDPVVWINKLSEEFNSGFGSHTPMLLGQAKIIRYYPYYQSVLEAAKNIMLDLKYVNNAEDRAIFLTDIEKLKKIEVASSCSELYHIVGETYWVSTRCDSIAFQGRRLEGTRITTQNMGKTGFDFAIRTPCTPSRWEEYDEEMSAAWEAICEAYCSDTNPTRDPDTLDAVKAAILRMTYYWYNFMPLSRGSAVVGYVVLLGLFLAANMDVTASIPHGVQVDWEAILSQDPDTFVDKIKPWLYPSIKTSRNLKDYADVSVAFSTTGSVVAALTCVDT >ONIVA10G13760.1 pep chromosome:AWHD00000000:10:14484964:14486695:1 gene:ONIVA10G13760 transcript:ONIVA10G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARWCVGWPAAARGGRDELTWQAELTAHAAGEFSMAAAQANAVMEDQAQVMASPGATLVGVYDGHGGPDASRFLRSRLFPLIHEFAAERGGAVDADVIRKAFLAADEEYLQLLRWSLPNMSRAAASGSCCLLGAISGDTLYVANAGDSRAVLGRRAAAGQTVAERLSTEHNVASEEVRRELAALHPDDGEVVVHARGAWRVKGIIQVARAIGDVYLKTPEFKRDPAVQQLCSAAAAVELARPVVTAEPSIHARKLKAGVDLFVVFASDGLWEHLSDEAAVQLVSKSSTRRGVAARLVQAALGEAARKREVRRGDLRRIERGVRRHFHDDITAVVVFLDLDDDGGRRARRRGRVVDSSSSSCSNTPLDVYSLYYSTA >ONIVA10G13750.1 pep chromosome:AWHD00000000:10:14470372:14471523:-1 gene:ONIVA10G13750 transcript:ONIVA10G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNMEKNKGAKGSQLEANKKAMNIQCKICMQTFICTTSETKCKEHAEAKHPKSDLTACFPHLKK >ONIVA10G13740.1 pep chromosome:AWHD00000000:10:14463759:14471623:1 gene:ONIVA10G13740 transcript:ONIVA10G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDSLNSYLNKYRIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRPRAQ >ONIVA10G13730.1 pep chromosome:AWHD00000000:10:14456845:14459546:-1 gene:ONIVA10G13730 transcript:ONIVA10G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERDTPPPPLRLLLPNPSPSPEKERGEEEGRLGQDGSRGSPPPRPRPSPPAPRHRRALFSAPNVGHFSASNTNHAIQRCREALLEELIWNEVYAKHNEARVRRLINSLVRSLGDVPKQKGFTKTFSQEFGMVVKELEKDMNMSFKPFKVPLRRLILRTLDKYQQQGSDALLKNSLESKVHSSHWGDAHANPNFWTRAFGLSLLLSFFSFEVGQQYETLNGEGELPK >ONIVA10G13720.1 pep chromosome:AWHD00000000:10:14453627:14454470:-1 gene:ONIVA10G13720 transcript:ONIVA10G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLRSLTTLSSRSSATTAGIVSRRLICNAASDHHQKLAKNSGGTKTEVVSATVNSEVQIVSWGRLFGLAVITFFSCKLGGRYANYQYERSLFEGFQKSKQEMSTPQL >ONIVA10G13710.1 pep chromosome:AWHD00000000:10:14450570:14450862:1 gene:ONIVA10G13710 transcript:ONIVA10G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSHGRDLGEDLGEDLGDDEGVGSYMKAVNLLYMYSLLVYLLIVATYGEFGGGFGDDFL >ONIVA10G13700.1 pep chromosome:AWHD00000000:10:14447233:14450350:1 gene:ONIVA10G13700 transcript:ONIVA10G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRCRLAAAASPGRRFLCRRASGDQACNVRHDRALRFVPFCWTSQWIMGILDCPYRRRRR >ONIVA10G13690.1 pep chromosome:AWHD00000000:10:14445745:14446961:-1 gene:ONIVA10G13690 transcript:ONIVA10G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSYYLCLLRSIPGKMMLGRQWKPGCSCWSAMRKLQGAEKEEMLRTMREMEAKLDDEIAANLHAINAHTSSHQHGFFNRKLTAMGVPKGKFREEVVGEINIAAMFCVGFLLASSSTERIMDKYITPQRQSPAQETKN >ONIVA10G13680.1 pep chromosome:AWHD00000000:10:14435032:14436510:1 gene:ONIVA10G13680 transcript:ONIVA10G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRRSAAATGRRLLCHASDHHHHQQVDPLLLKNVENLAKKQLLRDLTKRLAKEKRASSVAPTFRGIASSWLFASCAILLIMDGYQKRFKKDCKNAAAAKNP >ONIVA10G13670.1 pep chromosome:AWHD00000000:10:14432818:14433591:1 gene:ONIVA10G13670 transcript:ONIVA10G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGVVVLDGGGGWSWWLCASMMGNRSSGGGFTLPLWSQRVVKIPDLSYLGGGGGGSSGGCWRCPACVWAHAGGGNYVALGVVAVVVSLRCTCAGGGDGVYAALGVAAVIVSLRRACAGSGDSMCAALGAAAVVVSLRRAPVVCCAPACAAPVAASASVGSSHGWLDDDNLLQVNVFGIFVIGCLLRLDSCGSKLQVTSFLAIVVLTTRHKLIGNLSNAPLLMVGWSMVWPSLLFPSSRNRVWFVIRVELGTPVQF >ONIVA10G13650.1 pep chromosome:AWHD00000000:10:14420382:14423591:-1 gene:ONIVA10G13650 transcript:ONIVA10G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTNNQLLLPIFFLLIIINISSSSSYLVAGGSCIPEERAALLSFNKSITFDPGNNLASWHGDDCCRWRGIRCSNHSGHVLDLRLRNPNPSSSSGQGCADTNALFGQISPSLLSLKHLRHLDLSMNCLVRPNSTLPEFLGFMYSLRYLNLSGIPFAGRIPPQLGKLSRLQYLDLGQNGVSEEMYSTDITWLTNLSQLQYISMINVNLSGIADWPHTLNMIPSLRVVRLSGCELQSANQSLVHFNLTKLEMLDLSSNGFDHPIASCWFWKATNLKYINLQWDGLVGKFPQQLGNMTSLQVLDVSYNNLNKDLVKAGELNNLCSLEILDLTGMEIIGDMTMLIEGLSSQCARKKLLELNLSQNNFTGALPNSIRRFTSLRMLDISFNNLIGSIPPGIGNLTSLVSLDLSDNDISGHLPTEVMHLLSLASLDLSSNRLSGSIPAEIGVLTNLTSLVLRNNTFSGVIREEHFAGLMGKIPDWFWSTFSQATYLDMSQNQISGSLPAHLGDMAFEQLYISSNQFTGPIPPFPRKINVLDISNNSFSGTLPPHLEAPQLQTLLMYSNRIGGNIPQSICELQLLGDLDLSGNLLVGEIPQCSEISYNFLLLSNNMLSGKFPAFLQNCTGLQFLDLAWNKFFGSLPAWIGDFRDLQILRLSHNTFSGSIPAGITNLLSLQYLDLSDNNISGAIPWHLSNLTGMTMKGFQPFSGASMSSGLVTVELSGEIPNKIGTLQSLESLDLSKNKLSGGIPSSLSSLAFLSYLNLSYNNLSGMIPSGRQLGTLSADDPSLMYIGNDGLCGPPLPKNCSRNDTLIHGYLHNDKQEFEPMTFYFGFGIGLVVGIWVVFCVLLFNKTWRIAYFRLFDKLYDRVYVFLVS >ONIVA10G13640.1 pep chromosome:AWHD00000000:10:14414898:14415294:-1 gene:ONIVA10G13640 transcript:ONIVA10G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLDRAAVPVKRVWLGLSARLGLRRTTGLGKLRNEVRTCEYSDVHVMWEMLSSMDAAAPPRHATAAAAGRKRRRPAATAAWSRLVSCCCCAF >ONIVA10G13630.1 pep chromosome:AWHD00000000:10:14409316:14411832:-1 gene:ONIVA10G13630 transcript:ONIVA10G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAAARAVSVAFQDASYCLDGGKAKHVPHAPSPEKKRASFAAGAAAVRAKVCDARWPASAAAANSAPYGFRGGVATRSVAFDEMTPRRASVDVPNPLRAALSSDDTESATSSAGSPDGDADADAKLAARARPSPRSIMASPARFSRDAMGSRSERFADHSTPFMSRTPRFLASPSPKTTPTAPPPPTTTKKKSVKSLFNGLLSSPFTRPSPKQPPPTKPAAISPASPSPARCSATAAASAVPGRLQAQGKAEEEHQLRLLHNRHLQWRLTNAVAGAAISAQELNAEKQLCGAWVSILGMSKSIALKKLELQLLRQNCKVMNILKGQIYLPVQMMAYLEEWSLLENKYANSLSGTVEALNATVLRLPVSDGAVADFQSVKNAVGSAVDVMQTMRNSMSYLLPKLARTNVLVSQLSIITRQEQVLMAQCRELLSTLALMHENSFLPIILLKQVKYSSLQGQMIQLSDLKRAKSVSSSEYPY >ONIVA10G13620.1 pep chromosome:AWHD00000000:10:14404213:14407188:1 gene:ONIVA10G13620 transcript:ONIVA10G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADYMHEEHANHPIREYDAGSMKGYRYLVYWRLDKTSKIEAATSYAARVTDDAQIICIDDIWLRSDKSLSTDLKDACLSFSLFHLLRRRFFGFTCAESAHPKTSDFVFKGLLQLKNGSTGTVDYIRAFKVIEVELAFMYDFFFTKYALLYYSSTSAAVWSLVSAAFTVLTAFLTTKLHWLQGGSTVVVQGGPTVVGDNKADIFITMLLLVSIALLELLQPLLYWTTIWGRVSFVCQYIRQQQPLRHGFSCCMMRVKELLTKIGLRVSSNGSYWQDMLGQYSLLASVSRNKPIKQLGRKRSRFSKCMNLLDYRALNFYPAIASFKKKNPLDKPIKLSPQVKEAVAKSLLQHAAAGHGNLTNGVSSLKSNGAHHLLWACDPDPAARILQNQTPSILIWHIATCCCEKKPPNYQHEEELKNFQVATALSRYCAYLVVAAPQLLPGHHYDTACVLHAVGEESVQFLRERGKKEFDAMALQGYEPPPEGEPTKSIFESGLKLGKQLEEMPEKMRWKVLADFWPEMLLYISPSDNVKEHIQRLAKGGEFITHLWALLSHAGILEQKGQYQDTGIIGIYRSYIMNICL >ONIVA10G13610.1 pep chromosome:AWHD00000000:10:14382269:14384178:-1 gene:ONIVA10G13610 transcript:ONIVA10G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHVERNASSAHHQLRDVWLRIGGTVVRIEVMAMVAIFLTFYAVTFGSCRRWSSRWIIQKVFLAANALSVSLGTYSIGLMQSSLVKSKMYPVWSVSLLALFASIDSINTFGLDYSGQFLKLLYQLFLYFGYVLVISISGNTNNGMVAAAIGMLCSVTLWGGANFNAAIMQGYQYLVDKTYYPSITLEELWKPDNGMDKLGTDADAYKDICLSFSLCHLLQRRFFGFSCAESDRPETRSFVLEGLLQPVASSSSSSQGTGGSRGNYERAFKVIEVELAFMYDYIYSSNAFVHYYEAGACTAWAIASILTTCWQLLPGPCWVIYSALYKLFGLQYIQQVLTDMLGISTASSIQLPIEVKSAVIDALVGILMPRPNHDSVVLSSGSTSLAKNGLQDKFIRQYASTSYHGGRASTIIPESKGNQASIILTWHAATGCYDKDYEQRKKMKATTESPLQHRQYRVVATALSKYCMYLVAYVPQLLPGQQSYTTSVYNDFVRSPSYILQSGTQLKDELCEAVVEDELRWKVLADFWVEMLLYLAPSDNVTAHIEQLAQGGEFITHLWALLFHAGILQGGGGASCSRDSVTNNHLIINYLVFSSLFPYFLCL >ONIVA10G13600.1 pep chromosome:AWHD00000000:10:14380354:14380886:-1 gene:ONIVA10G13600 transcript:ONIVA10G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVVRNHFSQIVFFTRADLEDESIVDIRDGGVIKHRNGTSDIGSKIIITSRSDKIARLGTTPPLLPKEAYWYFFKVCTFGSMDASEHPEIASVAMELAMESERSFMVANVFGRLLRSNTNTLYWRLVLASLREFKKKI >ONIVA10G13590.1 pep chromosome:AWHD00000000:10:14363197:14364918:1 gene:ONIVA10G13590 transcript:ONIVA10G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVACLPEDGGEVVLVREFDGGRDRPGVELVERACEVGPSGGKLCLFTDLLGDPLCRVRHSPAYLMLVAEAVGGPLGTEIVGVVRGCVKTVACGRSQLFSKVAYLLGLRVSPRHRRRGIGRRLVERMEEWFREMGAEYAYVATDRDNEPSVRLFTGACGYAKFRTPSVLVHPVFGHDLAPSRRAAVVRLDAREAELLYRRRLGSVEFFPRDIDAVLSNALSLGTFLAVPRGTRWRGVEGFLASPPASWAVASLWNCKDAFRLEVRGAPRLWRAAARATRAADRAAPWLGIPSIPNLFEPFGLHFVYGLGGGGPAAAGMARALFRHAHNVARRGGARVVATEVGACEPLRAGVPHWPRLGADDLWCIKRLADGYGDGALGDWSKAPPGTSIFVDPREF >ONIVA10G13580.1 pep chromosome:AWHD00000000:10:14337869:14355426:1 gene:ONIVA10G13580 transcript:ONIVA10G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATISAILTELAGRSISFLVSKYLYQQKPAPSDDERLENLQRLLLRFRIIVDEAEERCITNQAMLEQLSILRKEMFRGYYTLDTFRCRAHQGKDHGEVSPSFAISKFSPAKRILFCSDSSSQSLGELQREAERGRRWSGKGSRRRQLETSAAAAARVLPAMAATDSNVLSASFDPGPFFLSHLNMLRKEMYRGYYTLGNFICHGHEKDSAKDHEPYSMHLLIDKCLFGRQMEMEHIMNFLLKEDTPGSENPGVLPIIGPGKVGKTTLIAHACVDERVRNHFSQIVCFSHDDLEDANMESLRDSGAIKHQNHTTGGERILIIIELTREIDEDAWRRLYSASKSCVANGSKIIVSSRSDKIACFGTTQALRLRFFTQEAYWYFFKVRAFGSIDIEEHPKMASIAMEIATELNRYFMSSGIFNELLKANFNTWFWSTVLTRIREFRKLNISLYANFDGPWEVVESAYVRRVNEISSENIVILHDYQTCSVPNMLHRCTNSAQSEAEVPLFSLEDLLFGSVRPQGKFKVLGWRSHLPPYYDYMFSCEGITHSAASDAITMKKTTPKILNPAKHVRFCRGSGQTLQDQLQQVLGSLQVTLEDMREFLMFLNSCPRLCQQPYSMHLLLDRCLFGRQMEMEHIMNFLLKEDIPSAENPGVLPIIAPGKVGKSTLIEHACEDERVRNRFSQIVCFNDDDVGHANMVALRDCGVIKHQNYSIGGDRILIIIELMGDIDEGVWGRLYSASKSSVAVGCKIIVTSRSDKIVSFGTTQALRVNFFTQEAYWYFFKVRTFGSLDAEEHPKLASLAMDMAREMNQCFMGSCIYSVLLKANFNARFWSMALARIREFKLKNNLIYKRTFSVPNMVHCYTNSAQSEGEVPEVSMQDFLFGSVKPQRKIQEVHMETFLSVILSDLASRSISLLINKCSKPTLPSMEEKLQRLLLRVRIIVEEAEGRLITNQAMLQQLNMLRKEMYRAYYTLGNFICHDHEEDNAKDHEVSNYFKPSKLNPAKRIRYLWDGGQTLQDQLQQVLGRLQVTLEDMREFVIFLNYCPRLCRQPYSMHLLIDKCLFGRQMEMEHIMNFLLKEDTPRAENPGVLPIIGPGKVGKTTLIAHACDDERVRNHFSQIVCFSEDNLEDASMETLRDSGVIKHQNNATGGKMILIIIELTRDIDEGVWRRLYSVCKSCVANGSKIIVSSRSNKIACFGTAQALRVKFFTQEAYWYFFKLRTFGSMDAEEHPKLESIAMEIAREWNGCFMSSGIYNELLKANFNTQFWSTVLTRIREFRKLNISLYANFDGPWEVVESAYVRRTCSVPNMLHHCTNSAQSEVEVPQLSFEDFQFGNVRPQGKFKVLGWRSHLPPYHDYMFRCENHSARFSPANMEATISVILTELAGRSISFLVSKYLNQQKPAPSDDERLENLQRLLLRFRIIVDEAEERCITNQAMLEQLSILRKEMFRGYYTLDTFRCRAHQGKDHHGERVLGDLENTIVDATEFIAFLSSCPRLHRQPYSMYLILDQCMFGRQTEMEYLINFLLQPGNHSTLEPGVLPIIGPGRVGKSTLVEHACNDERVRSHFSQIVFFTRADLEDESIVDLRDGGVIKHRNRASGVGRVLVIVELDGDRYSEGLDKNIDRVLLERLYSIYKTRIPHDSKIIVTSRSDKIARLGTTPPLRLQLLSKEAYWYFFKVRTFGSMDASEHPEMASIAMDIAIETEGCFMGANLFSRLLRSNANSHYWSLVLATLREFRKKNQHVWSFMYAADQIKALDQVNEPSEEATELLVILDNYQTSCSHASSHCEAEAEAPKISLVDALFGSVRPQGRFDAVGWKSQIAPYYSYMYSCEIQRPKCLAARKNKMKKNGG >ONIVA10G13580.2 pep chromosome:AWHD00000000:10:14334444:14355426:1 gene:ONIVA10G13580 transcript:ONIVA10G13580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHCLAMAARLYSASELSDPSTDDVRHEVRKKKWSGGAGRICRCRRQRRLGFFRKWRRLTASRQRLLSVLFALDPEGKENKDLNFVLGHTAYQTTYLYMETFLSAILSDLASRSISFLVNKCSKPTTPTVEDRLQQLLLRVRIIVEEAEGRLITNQAMLQQLNMLRKEMYKGGSGQTLQDQLQQVLGSLQVTLEDMREFLMFLNSCPRLCQQPYSMHLLLDRCLFGRQMEMEHIMNFLLKEDIPSAENPGVLPIIAPGKVGKSTLIEHACEDERVRNRFSQIVCFNDDDVGHANMVALRDCGVIKHQNYSIGGDRILIIIELMGDIDEGVWGRLYSASKSSVAVGCKIIVTSRSDKIVSFGTTQALRVNFFTQEAYWYFFKVRTFGSLDAEEHPKLASLAMDMAREMNQCFMGSCIYSVLLKANFNARFWSMALARIREFKLKNNLIYKRTFSVPNMVHCYTNSAQSEGEVPEVSMQDFLFGSVKPQRKIQEVHMETFLSVILSDLASRSISLLINKCSKPTLPSMEEKLQRLLLRVRIIVEEAEGRLITNQAMLQQLNMLRKEMYRAYYTLGNFICHDHEEDNAKDHEVSNYFKPSKLNPAKRIRYLWDGGQTLQDQLQQVLGRLQVTLEDMREFVIFLNYCPRLCRQPYSMHLLIDKCLFGRQMEMEHIMNFLLKEDTPRAENPGVLPIIGPGKVGKTTLIAHACDDERVRNHFSQIVCFSEDNLEDASMETLRDSGVIKHQNNATGGKMILIIIELTRDIDEGVWRRLYSVCKSCVANGSKIIVSSRSNKIACFGTAQALRVKFFTQEAYWYFFKLRTFGSMDAEEHPKLESIAMEIAREWNGCFMSSGIYNELLKANFNTQFWSTVLTRIREFRKLNISLYANFDGPWEVVESAYVRRTCSVPNMLHHCTNSAQSEVEVPQLSFEDFQFGNVRPQGKFKVLGWRSHLPPYHDYMFRCENHSARFSPANMEATISVILTELAGRSISFLVSKYLNQQKPAPSDDERLENLQRLLLRFRIIVDEAEERCITNQAMLEQLSILRKEMFRGYYTLDTFRCRAHQGKDHHGERVLGDLENTIVDATEFIAFLSSCPRLHRQPYSMYLILDQCMFGRQTEMEYLINFLLQPGNHSTLEPGVLPIIGPGRVGKSTLVEHACNDERVRSHFSQIVFFTRADLEDESIVDLRDGGVIKHRNRASGVGRVLVIVELDGDRYSEGLDKNIDRVLLERLYSIYKTRIPHDSKIIVTSRSDKIARLGTTPPLRLQLLSKEAYWYFFKVRTFGSMDASEHPEMASIAMDIAIETEGCFMGANLFSRLLRSNANSHYWSLVLATLREFRKKNQHVWSFMYAADQIKALDQVNEPSEEATELLVILDNYQTSCSHASSHCEAEAEAPKISLVDALFGSVRPQGRFDAVGWKSQIAPYYSYMYSCEIQRPKCLAARKNKMKKNGG >ONIVA10G13580.3 pep chromosome:AWHD00000000:10:14324978:14334479:1 gene:ONIVA10G13580 transcript:ONIVA10G13580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEAIIAAYGEPPYSDKFDVLPIIGPAKVGKSTLVEHVCNDERVHNHFSRIIFLSDSDLSEQKSLLTLRDSGVIRHKHNSSSASSGGERLLVVVELTEDVADDEWRRMYSSSRSCISAGSKIIITSRSEKIAKLGTTQPLCLKFLSREAYWYFFKVLAFGSSDPKDYPEVASVSMAMFNGYFDREMHNTFIGPFIDLNNMASFIQASIYDRDRLSLRKRFRTKESKSQLLPNRGSGDSRVKSKCVVIPKADGTVNYYCEIFEHCRVALAHAEDQKAPKIGIQDILSGRVEPHGKFDLVLWRSHLPPYYSYIYSSEIHEFKSATTVKPASCHDFHSSSIGTQRFTGETACPSKTQALRSFQISQTTKLEITLLHMETFLSAILGDLASRSISFLINKSSKPTALTVEERLQRLLLRARIILEEADERLITNQSMLQQLNILRKEMYRGYYTLDRFRCHVHEADHTKDHEVSNYVIPSKFNPAKRIRFCRVSGKSLQEQLQQVFGSLEVTIEDMGEVVMFLNSCPRLCRQPYSMHLLLDKCLLGRQMEMEHIMNFLLKEDIPGDENTGVLPIIGPWRVGKSTLIEHACADERVRNRFFQIVYFSDDDLEDANMVTLRDSGVIKHQNRGTGEERLLIIIELIRDIDEAAWSRLYSASKRCVAKGSKIIVASRSDKIARFGTTQALKVKYFTQEAYWYFFKVRTFGSIDAEEHPKLASIAMDMAREMNGCFMGSSMYSVLLKANFNVRFWSMALAGIREFKQKNLLRYGANIDCPWHPVEPTYIRMINNVSSEYLVVLGDYQTCSVQDMVDCHTNFPQSEAAVPMVSLQDFLFGSVRPQGKFKVLASRSHLPPHYNYILNCESLVAG >ONIVA10G13580.4 pep chromosome:AWHD00000000:10:14334444:14338265:1 gene:ONIVA10G13580 transcript:ONIVA10G13580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSASKSCAANGSKIIVSSRSDKIACFGTTQALRLRFFTQEAYWYFFKVRAFGSIDTEEHPKMASIAMEIATELNRCFMSSGIYNELLKANFNTRFWSTVLTIIREFRKLNISLYANFDGPWEVVESAYAKRVNGMSSEYILILHDYQTCSVPNMLHRCTNSAQSEAEDPQLSFEDFLFGNVRPQGKFKVLGWRSHLPPYYDYMFSCEVLESQHIVARNKRPRKLCT >ONIVA10G13580.5 pep chromosome:AWHD00000000:10:14334444:14338265:1 gene:ONIVA10G13580 transcript:ONIVA10G13580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKTVPKIMSLQVTLEDMREFVMFLNSCPRLCRQPYSMHLLIDKCLFGRQMEMEHIMNFLLKVDTPGAENPAVLPIIGPGKVGKTTLIAHACDDERVRNHFTQIVCFSQDDLEDANMVTLRDSGSIKHQNHATGGERILIIIELTRDIDEGAWRMLYSASKSCAANGSKIIVSSRSDKIACFGTTQALRLRFFTQEAYWYFFKVRAFGSIDTEEHPKMASIAMEIATELNRCFMSSGIYNELLKANFNTRFWSTVLTIIREFRKLNISLYANFDGPWEVVESAYAKRVNGMSSEYILILHDYQTCSVPNMLHRCTNSAQSEAEDPQLSFEDFLFGNVRPQGKFKVLGWRSHLPPYYDYMFSCEVLESQHIVARNKRPRKLCT >ONIVA10G13580.6 pep chromosome:AWHD00000000:10:14334444:14345282:1 gene:ONIVA10G13580 transcript:ONIVA10G13580.6 gene_biotype:protein_coding transcript_biotype:protein_coding MREFLMFLNSCPRLCQQPYSMHLLLDRCLFGRQMEMEHIMNFLLKEDIPSAENPGVLPIIAPGKVGKSTLIEHACEDERVRNRFSQIVCFNDDDVGHANMVALRDCGVIKHQNYSIGGDRILIIIELMGDIDEGVWGRLYSASKSSVAVGCKIIVTSRSDKIVSFGTTQALRVNFFTQEAYWYFFKVRTFGSLDAEEHPKLASLAMDMAREMNQCFMGSCIYSVLLKANFNARFWSMALARIREFKLKNNLIYKRVSCWWSLGGG >ONIVA10G13570.1 pep chromosome:AWHD00000000:10:14322334:14322726:-1 gene:ONIVA10G13570 transcript:ONIVA10G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADVLWPDHHHHLLRDDDARRRRHQEQQHGGVDDADDSRGGTGTPSAPVGIPVTRAPTTRRISHGSTAPAAAFVPPHELVAARARRCSEERAAFSVCVGNGRTLKGRDLRDVRTAVLRMTGFLET >ONIVA10G13560.1 pep chromosome:AWHD00000000:10:14310609:14311409:-1 gene:ONIVA10G13560 transcript:ONIVA10G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAARKQQLLLLPSMAHDPNSPSSSTSSSSPSSAAAAASSSPSSHRPPPPPPSSSSQPALPPSPRTVVPRTIDTTPFPTTFVQADTASFKQVVQMLTGSDTTPPSQRPPAKSNHHQHHHSGAPCRPKKQAFKLYERRSGVHKNFKMIAPLAMAAAAAAGASSSPRKAAQHQQQEALSPSVLDFPSLALSPVTPLVADPFNRSPASASSSASPEEEAAAIAQKGFFLHPSPRSAEPPRLLPLFPVTSPRVASSSSAAVAVASPSFE >ONIVA10G13550.1 pep chromosome:AWHD00000000:10:14310267:14311527:1 gene:ONIVA10G13550 transcript:ONIVA10G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSGDADDDADAGDRLKGSATSGVTGLSASDGKSSTLGDSASCCWCCAAFLGDDDAPAAAAAAIASGAIILKFLWTPLRRSYSLNACFLGRHGAPLWWCWWWLLLAGGLCDGGVVSEPVSIWTTCLKEAVSAWTKVVGNGVETRGERRSGNRRSVGIRRRREEGRRVVELKCLMRLG >ONIVA10G13540.1 pep chromosome:AWHD00000000:10:14296181:14299078:-1 gene:ONIVA10G13540 transcript:ONIVA10G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEYSWVRRTKFSHSIVKSNSGREQFGAFLDPFDSSTAWRQKGFSGELMIHGHNLQPRAKGAVSKSPRPSIPLAKSPVSQAERKLKAKFSDGELKQRGKISDGSLREASKEKDRKVGHKGEGLSLDISCPILDRTVQDDSSDTLDFSFHSEEQSLRLLRVCSSPAPFYSKDTTTFGDSKIHSTSVKIVGEGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDDSPRFGFPSMRTPGNLKARRASSWPRNYDNGVAKAVALDILERWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQRQKLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLVLWELFSGSIPYEEMTPLQAAFAVVNKKRPEFSQVVQILKNLKEALDRDGTLDKIPSTNCQEPPDQNKKRLSNWIQRLSYSQADFSGPPPPKLL >ONIVA10G13530.1 pep chromosome:AWHD00000000:10:14293390:14295496:1 gene:ONIVA10G13530 transcript:ONIVA10G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEAVVAAGNEMSLSNMVLGFYEEAELQSSPPGDCAAAAGDDDDGSDDEGSGGAAKCRAFWKEQQSQLYEALAKMSSAESRIQADAEEAMRQMRAAAAAAAGGGGCRSCTLRFLAERLRDAGYNSAICRSKWPRSPEIPSGEHSYVDVVAPTRSGKAVRVVVEPSFRGEFEMARGGAGYRALVASLPEAFVGRADRLRGVVRVMCAAAKQCARESGMHMAPWRKQRYMEAKWLATPERVAPPCNAGGAGDAVAVGSPSSPLSPGMTNRQMKPKFRASMLTLDFGGRTAVEVV >ONIVA10G13520.1 pep chromosome:AWHD00000000:10:14278406:14290299:1 gene:ONIVA10G13520 transcript:ONIVA10G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) TAIR;Acc:AT1G15440] MNYRFHNLLGAPYRGGDAVFAGDSSVLLSAVGNRVASTDLSASSSLTLPFESSSNVTRLAVSPSGDFLLSVDDAGRALYANLGRRAVLHRIAFKSAPSAVRFSPDGNLIAVAVGKLVQIWRSPAFRKEFFPFHLLRTFPGFAAGVTAFDWSPDSLFLLASCKDLTARLLPVKKGLGGKPFLFVGHRAAVVGAFFATDKKTGRVTGAYTISKDGAIFTWNLVEGSDDSPPPSPGTPEQEAVQDGEAELDGDEPELDGGSRKRKSFGESEEPDTTPLHFARWELQKKDFFMQSPAKLTACDYHRELDMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKIFVWSMKTGRLLDILSGHQGPVHGLMFSAINAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPFDGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGTYILAGGNSKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKKMTDAGALDLIDDEDSDVEEGIDQQTRGNLGLGLPGSMANRGRPIARTKCVKFAPTGRSFAAATTDGVLLYSVDDSFIFDPTDLDIDVTPEKVEEALEENQQQRALLLSLRLNEDSLIKKCIFSVDPSNVRAICSSTPLKYLQRLIEAFSDLLESCPHLEFILLWSQELCKIHGNYIQQNSRPLLPALKSLQKSITRIHQDLADTCSSNEYMLKYLCSSGTRN >ONIVA10G13520.2 pep chromosome:AWHD00000000:10:14278406:14290299:1 gene:ONIVA10G13520 transcript:ONIVA10G13520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) TAIR;Acc:AT1G15440] MNYRFHNLLGAPYRGGDAVFAGDSSVLLSAVGNRVASTDLSASSSLTLPFESSSNVTRLAVSPSGDFLLSVDDAGRALYANLGRRAVLHRIAFKSAPSAVRFSPDGNLIAVAVGKLVQIWRSPAFRKEFFPFHLLRTFPGFAAGVTAFDWSPDSLFLLASCKDLTARLLPVKKGLGGKPFLFVGHRAAVVGAFFATDKKTGRVTGAYTISKDGAIFTWNLVEGSDDSPPPSPGTPEQEAVQDGEAELDGDEPELDGGSRKRKSFGESEEPDTTPLHFARWELQKKDFFMQSPAKLTACDYHRELDMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKIFVWSMKTGRLLDILSGHQGPVHGLMFSAINAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPFDGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGTYILAGGNSKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKKMTDAGALDLIDDEDSDVEEGIDQQTRGNLGLGLPGSMANRGRPIARTKCVKFAPTGRSFAAATTDGVLLYSVDDSFIFDPTDLDIDVTPEKVEEALEENQQQRALLLSLRLNEDSLIKKCIFSVDPSNVRAICSSTPLKYLQRLIEAFSDLLESCPHLEFILLWSQELCKIHGNYIQQNSRPLLPALKSLQKSITRIHQDLADTCSSNEYMLKYLCSSGTRN >ONIVA10G13520.3 pep chromosome:AWHD00000000:10:14283578:14290299:1 gene:ONIVA10G13520 transcript:ONIVA10G13520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) TAIR;Acc:AT1G15440] MAGRNYHGYGGGGGGGMSMVVASTPGQELALTNCAYVSSADIRRFPNALALVGDAFVFTLRYPFADLVTKSRRQTKVSAGDSITVSSFAPPDDFKLALLTLELEYTKARANRNEECNLICMSLVSQLDAVVLAQQLRRRFLDQVMTSGQRVPFEFCGTNYIFTVNQALLDGQENSTPLDRGFLSSDTYIIFEAAPNSGIKVVNQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHVVNKLGIKHVKGILLYGPPGTGKTLMARQIGKLLNGNEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQKTRGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTNKMKESSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQISMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDDLERCRLRGMVDCGKAHRHLYERGMLLVEQVKVSKGSPLVTCLLEGPAGSGKSALAATVGIDSDFAYVKIISAETMIGFSESSKCAQICKNYIEAVCFVIAIQVFEDAYKSQFGIIILDDIERLLEYVAIGPRFSNIISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESIGMCDVFSVTYHVPKLKKEDATKVLRHLNVFDEADIDAAAEALDDMPIKKLYTLVEMAAQGPSGGSAEAVYGGEEKIDINHFFSILSDIIRY >ONIVA10G13520.4 pep chromosome:AWHD00000000:10:14278406:14284175:1 gene:ONIVA10G13520 transcript:ONIVA10G13520.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) TAIR;Acc:AT1G15440] MNYRFHNLLGAPYRGGDAVFAGDSSVLLSAVGNRVASTDLSASSSLTLPFESSSNVTRLAVSPSGDFLLSVDDAGRALYANLGRRAVLHRIAFKSAPSAVRFSPDGNLIAVAVGKLVQIWRSPAFRKEFFPFHLLRTFPGFAAGVTAFDWSPDSLFLLASCKDLTARLLPVKKGLGGKPFLFVGHRAAVVGAFFATDKKTGRVTGAYTISKDGAIFTWNLVEGSDDSPPPSPGTPEQEAVQDGEAELDGDEPELDGGSRKRKSFGESEEPDTTPLHFARWELQKKDFFMQSPAKLTACDYHRELDMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKIFVWSMKTGRLLDILSGHQGPVHGLMFSAINAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPFDGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGTYILAGGNSKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKKMTDAGALDLIDDEDSDVEEGIDQQTRGNLGLGLPGSMANRGRPIARTKCVKFAPTGRSFAAATTDGVLLYSVDDSFIFDPTDLDIDVTPEKVEEALEENQQQRALLLSLRLNEDSLIKKCIFSVDPSNVRAICSSTPLKYLQRLIEAFSDLLESCPHLEFILLWSQELCKIHGNYIQQNSRPLLPALKSLQKSITRIHQDLADTCSSNEYMLKYLCSSGTRN >ONIVA10G13510.1 pep chromosome:AWHD00000000:10:14270007:14274220:-1 gene:ONIVA10G13510 transcript:ONIVA10G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34020) TAIR;Acc:AT4G34020] MARAAPSLSAAASTAASSSTTPAKKKVLLPIAMGTEEMEAVILAGVLRRAGTDVTLASVEDGLEVEASRGSRIVADKRIAACADQGGMPGSVRLRDSAILQRITVRQAEEKRLYGAICAAPAVVLMPWGLHKRKKVSGELTTSRGPGTTFQFALSFVEQLFGPCTAEDMDNTLLTKVDDNLERSIEVNEIEWSSDHNPHVLIPIANGSEEMEIIMLTDVLRRANVNVVLASVEKSTSIVGSQRMRIVADKCISDASALEYDLIILPLIYSLILVLISLCVSLDIFKGGPAGAERLHKSSVLKKLLKEQKQTGRMYEAGFTPGDILGLKTLGILYSLAWKITAIEIVDQDKTVTAHPSIVNQLTCEVIDRSKVVIDGNLITGMGLGTVIDFSLAIIKKFFGHGRAKGVANGMVFEYPKS >ONIVA10G13500.1 pep chromosome:AWHD00000000:10:14264862:14271739:1 gene:ONIVA10G13500 transcript:ONIVA10G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNFTHKTNEALVAAHEMASEAGHAQLTPLHLAAALAADKGGILRQAISQASGGDAGAPDSFERVVSGALKKLPSQSPPPDSVPASTALIKIIRRAQSAQKKRGDSHLAVDQLLLGLLEDSLISDCLKEAGVSAARVRAELEKLRGGEGRKVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVEHHALEKEKDKASKARLVEVKKELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELQFTLQEAERRMDLARVADLKYGALQEIDVAIAKLESETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGLADRLHQRVVGQAEAVSAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGVALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAAPHKDELAYRVDNRGGLVNAETGQKSDILIQVPNGAATGSDAAQAVKKMRIMEDEDGMDEE >ONIVA10G13490.1 pep chromosome:AWHD00000000:10:14261305:14264350:-1 gene:ONIVA10G13490 transcript:ONIVA10G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YebC-related [Source:Projected from Arabidopsis thaliana (AT2G25830) TAIR;Acc:AT2G25830] MASAARALGALVHKASSLSSSVSALRSAAVLHGRGSAGGSARLFQRHAARRRISTFQPLCMGRRSCKIAGRKDAQNLKKMKRNSKIGKEIVAAIKKGGPSPSSNTTLAAILEKARELDIPKEILERNIKRASEKGQDTYTEKVYEVYGFGGVGMVVEVLTDKITRSIADIRNVVKDCGAKLADPGSVTFRFRQARVVNIKIVTTSENYPVVLSKLQEEGLKFETDNGYELLPLNPIEVDDEAMELNKVLVSKLLELDDVDAVYTDQR >ONIVA10G13480.1 pep chromosome:AWHD00000000:10:14254826:14264405:1 gene:ONIVA10G13480 transcript:ONIVA10G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:staurosporin and temperature sensitive 3-like A [Source:Projected from Arabidopsis thaliana (AT5G19690) TAIR;Acc:AT5G19690] MAEPESSTAAAGGSRLRNACGGVLCAFTLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNFRVTQEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPIHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTLVITVGLTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIISCFLPLSDASSFVVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSEAFDVLTRSVKYQLSKLFDDSPAASGDSSAESSSASTVSTNSAKNETRPEKTETAPKEKPSKKNRKKEKEVAESVPVKPKKEKKLLVLPMEASVLGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGNYRVDAQGTPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSGSKASSTNAAGRKKNPWQ >ONIVA10G13480.2 pep chromosome:AWHD00000000:10:14254826:14262635:1 gene:ONIVA10G13480 transcript:ONIVA10G13480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:staurosporin and temperature sensitive 3-like A [Source:Projected from Arabidopsis thaliana (AT5G19690) TAIR;Acc:AT5G19690] MAEPESSTAAAGGSRLRNACGGVLCAFTLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNFRVTQEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPIHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTLVITVGLTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIISCFLPLSDASSFVVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSEAFDVLTRSVKYQLSKLFDDSPAASGDSSAESSSASTVSTNSAKNETRPEKTETAPKEKPSKKNRKKEKEVAESVPVKPKKEKKLLVLPMEASVLGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGNYRVDAQGTPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSGSKASSTNAAGRKKNPWQ >ONIVA10G13480.3 pep chromosome:AWHD00000000:10:14261381:14264537:1 gene:ONIVA10G13480 transcript:ONIVA10G13480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:staurosporin and temperature sensitive 3-like A [Source:Projected from Arabidopsis thaliana (AT5G19690) TAIR;Acc:AT5G19690] MASTAALLRAETEEDSDEALWTSAPRARAADAMAAERAHQVLDELPASGGAGWRRRRGVSRTRREPGSGGISGGRLGSLHALFPQPLDRPCPGW >ONIVA10G13480.4 pep chromosome:AWHD00000000:10:14261935:14264537:1 gene:ONIVA10G13480 transcript:ONIVA10G13480.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:staurosporin and temperature sensitive 3-like A [Source:Projected from Arabidopsis thaliana (AT5G19690) TAIR;Acc:AT5G19690] MEQSGRTAALLRAETEEDSDEALWTSAPRARAADAMAAERAHQVLDELPASGGAGWRRRRGVSRTRREPGSGGISGGRLGSLHALFPQPLDRPCPGW >ONIVA10G13470.1 pep chromosome:AWHD00000000:10:14252607:14253834:-1 gene:ONIVA10G13470 transcript:ONIVA10G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEVDEPKIMLVGKGSRKSLFSFVPLSKWLQEASNQDTVSRHKDGLLQALPWVESGEHEEKDCLFNPCTGYRMIYWNRHKELLQLQLHPMWKAPISCCEQEGNPFAIDNKNVGLAFSQIFDVIPCPSCIAMWDNESRCHAFVVELQRMLCVVLSDPVADELDIWKWDHGLWTRAYTINLKLWPDFSLATNVVVPLAVDPIDGRVLLNTGRKLGLYNPFNQAIENLYALDQASLMTSKVQRRCPGVRQKCITRCGDVPSKFSSLKLSMAPCDNIASPSSASSRNKELNYVSPKIMPVVTMLYEETLAYYPQVGRARGVDLGSVL >ONIVA10G13460.1 pep chromosome:AWHD00000000:10:14249278:14251691:1 gene:ONIVA10G13460 transcript:ONIVA10G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP35 [Source:UniProtKB/TrEMBL;Acc:A0A0E0ITL4] MAASSSRSPASTSRRGGGARQSPFFRDLASPIPSHRAASRFASSAAGPTAATTPPPPPLFTLDDRAAAVDFSPDAAASELLLPVASSPSPRSAAAAASRSPSWDRSRGRASAPGSPMDGVVEPPRKEVLALPPPPSPGTPAPPPPAAEAQSPVTPAPVSTGMEQEMNGGGEVDREEWITVFGFSLKDTNLVIREFEKCGVILRHHSGPRDGNWIHILYLHSYDARKALQKNGVQLSSGVIIGVKPIDPMHRQQLDERFAGNKQGGFMVSLPPKSLVLKGTGASNQLGALPRPYDPKANTNVIRDANRRATGSVAAPAKSLVTNVMDLIFGI >ONIVA10G13450.1 pep chromosome:AWHD00000000:10:14245233:14248498:1 gene:ONIVA10G13450 transcript:ONIVA10G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II (PTH2) family protein [Source:Projected from Arabidopsis thaliana (AT4G32900) TAIR;Acc:AT4G32900] MAAPPPRRTPNPERGSRRKGEDPWLAASLRPGNFLPGLAIGFLLGLLVDLTSSWRPQSSPPLAPAAPAPRGSKRTGGSSSAGGGIGGEELKMVLVVRKDLKMRAGKIASQCAHAATGLYAELMASNRGLLRQWEQFGQAKIVLTCKNQQEMNRIKETAEHRGIPTFVVADAGRTQVQAGSKTVLAVGPGRKADIDSVTGKLSLL >ONIVA10G13440.1 pep chromosome:AWHD00000000:10:14237757:14239280:-1 gene:ONIVA10G13440 transcript:ONIVA10G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0ITL2] MEVAAADYAGGVRVKKEAGGCGGSGDMFLVDDLLDLPCDEEEEETGLCGAYGGGGAGLGAGVVGGGGDDRAAGNASADSSTVTAVDSCSNSFSGLADGDFSGGLCEPYEQLAELEWVSTYMGEETLPTEDLRKLQLISGIPAAPRAPPALAVSAVQLPAGGAGALPTEAPVPGKARSKRSRVAPCSWSSRLMVLPPPPASPPSPASAVISPSESGTAAPAFPAKKAAKSAKKKDGPSPAPAPNAAAQAAAEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVVELRRQKEMQLLHHHQQPPPHVGAGGGGAAGGLLHVTSPLLFDGPTSSAPLFAGADEFLIHNRISPDYRRQAT >ONIVA10G13430.1 pep chromosome:AWHD00000000:10:14226016:14226468:-1 gene:ONIVA10G13430 transcript:ONIVA10G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAAALQRSNSDGGGGMAAGADQEARSVRCECCGMAEECTPRYIGRVRERFHGKWVCGLCSEAVKERQKREPALTVDGAVDAHAALCERFNSTVRLNPKLSLASSMRDIARKSCQHRATATGADVIPSACSGAGAATMARSTSCALPYV >ONIVA10G13420.1 pep chromosome:AWHD00000000:10:14218226:14219143:-1 gene:ONIVA10G13420 transcript:ONIVA10G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGCQHHQERQAATAGESAKKLCRVVRAVYLVLVKGLGKHQPKLAALGVHLHQMMSSRRHGRGGHDHGLDDLREHPALLTYLSSTMSCRSMDPAAAVHPYPRGRGAHGAGRRRSGGGISSASGGVSGLSSMSCRSMDPSAAVSQYQYRPREVEFSCSSTPLHRRRRAQRRSQLRLQQHGQWHDRSSAAEPYGSAATVSRLFELMDVKEEAAAEAMTTDIDDEDGDVVAWPAVVVPAPRQVRITDSPFPAWEADGDDDEEGRLGVVDRRADEFIMWFHEQLRMQQQQRAAAAAAKERSTYYFVR >ONIVA10G13410.1 pep chromosome:AWHD00000000:10:14200061:14200874:-1 gene:ONIVA10G13410 transcript:ONIVA10G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKFSYIYRVTSCQRAFHGSLFRIKACAKISELVAQSGWCSVLTCRLIQLIGKVVKRKIHITKRFDFYLNKGTSLMSEEEVEAGTGGGGDGEAAEEVGKRVESTAAMGRRWAEEPIAEAGMQVESEVGRRGGFEWSRS >ONIVA10G13400.1 pep chromosome:AWHD00000000:10:14199750:14200567:1 gene:ONIVA10G13400 transcript:ONIVA10G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREVAMNLRSTR >ONIVA10G13390.1 pep chromosome:AWHD00000000:10:14176647:14189234:1 gene:ONIVA10G13390 transcript:ONIVA10G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAQGGHRRRHLKVCIPSCPTMTPDDMAAACLMKCPVRSSIRGLNLQWGNEHQMNMHLNIVQIDCYMYDFFYNSSCT >ONIVA10G13390.2 pep chromosome:AWHD00000000:10:14176647:14188095:1 gene:ONIVA10G13390 transcript:ONIVA10G13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAQGGHRRRHLKVCIPSCPTMTPDDMAAACLMKCPVRSSIRGLNLQWGNEHQMNMHLNIVQIDCYMYDFFYNSSCT >ONIVA10G13390.3 pep chromosome:AWHD00000000:10:14176406:14189234:1 gene:ONIVA10G13390 transcript:ONIVA10G13390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAQGGHRRRHLKVCIPSCPTMTPDDMAAACLMKCPVRSSIRGLNLQWGNEHQMNMHLNIVQIDCYMYDFFYNSSCT >ONIVA10G13390.4 pep chromosome:AWHD00000000:10:14176647:14189234:1 gene:ONIVA10G13390 transcript:ONIVA10G13390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTCQMEVNDSPALHQGTPADAVAASPLTTTAEAEDHRSTSRTNEASNTRLADLLCSCCRPSPLPTSPIYSADAVSSIRNLTYEA >ONIVA10G13390.5 pep chromosome:AWHD00000000:10:14176406:14188095:1 gene:ONIVA10G13390 transcript:ONIVA10G13390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAQGGHRRRHLKVCIPSCPTMTPDDMAAACLMKCPVRSSIRGLNLQWGNEHQMNMHLNIVQIDCYMYDFFYNSSCT >ONIVA10G13390.6 pep chromosome:AWHD00000000:10:14176647:14178893:1 gene:ONIVA10G13390 transcript:ONIVA10G13390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTCQMEVNDSPALHQESEACHLNITPRRLPFTIINNVAHYGPNEVPLSCVIQTTQNMNSSGFIVDNSSKRFLFINGITVLPFVVFINHNIYILLTVCVTSQNDSKIHPLNYA >ONIVA10G13390.7 pep chromosome:AWHD00000000:10:14176504:14189234:1 gene:ONIVA10G13390 transcript:ONIVA10G13390.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTCQMEVNDSPALHQGTPADAVAASPLTTTAEAEDHRSTSRTNEASNTRLADLLCSCCRPSPLPTSPIYSADAVSSIRNLTYEA >ONIVA10G13390.8 pep chromosome:AWHD00000000:10:14176504:14178893:1 gene:ONIVA10G13390 transcript:ONIVA10G13390.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTCQMEVNDSPALHQESEACHLNITPRRLPFTIINNVAHYGPNEVPLSCVIQTTQNMNSSGFIVDNSSKRFLFINGITVLPFVVFINHNIYILLTVCVTSQNDSKIHPLNYA >ONIVA10G13390.9 pep chromosome:AWHD00000000:10:14176406:14178579:1 gene:ONIVA10G13390 transcript:ONIVA10G13390.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAQGGHRRRHLKVCIPSCPTMTPDDMAAACLMKCPVRSSIRGLNLQWGNEHQMNMHLNIVQIDCYMYDFFYNSSCT >ONIVA10G13380.1 pep chromosome:AWHD00000000:10:14168952:14169257:1 gene:ONIVA10G13380 transcript:ONIVA10G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGWPATGSRRRRPRAVVTRPPAGSAARALPSPPGKGGEEPWPPARPPDLPPVRSLRRREGEEPRAARPACARYRLPMSAYCRRAHHRPACGEGGRRRW >ONIVA10G13370.1 pep chromosome:AWHD00000000:10:14119959:14120267:-1 gene:ONIVA10G13370 transcript:ONIVA10G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGEALRTNITTLGPQVLPLSEQLLFLATVVLHRVFLAGVKTYLLDFTPALDHFCIHAGGRGVLDELERSLKLSAWHMEPSWMTHVLLLQAAWHSPAHFG >ONIVA10G13360.1 pep chromosome:AWHD00000000:10:14101005:14102681:1 gene:ONIVA10G13360 transcript:ONIVA10G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYHYQPVVYHHPHNQKPSGKNPGYFVSKHACAWLGCGFLSLALLHLLCCAPAATRQAAFSPLRQYINNTYSFVSTVPGGGKSCNYSEGSWVWAPGHGRRYNATGCNVKESHDCIRNGRPDTGYLYWRWQPAAAGCTLPAFDAGSFLAAVRGKHVAFIGDSMARNQAQSLICLLTAAAADFPHRLVYRGTGAYPDKYNYWRYAFPSHGVTVSFYWTPFLVRAEGKSVDDGLRHNYVHLDEPHGRWAADAGTIDVAVLAAGHWFLNGAVYYNGSGEVVGVHNAPPEFANHTLVGYAWPLRAAFRSAIERLLTSGRPRPRTLVLATFSPSHFEGKPAENPTSWVCTKTEPYREGEKEMAWVVKDTRGIVYDVVDAARARYGDVGSGGGAVRIEVLDVTKLASMRPDGHPGVYMNRDPFANGGVDEQKLVSDCLHFCLPGPVDTFNEILVQLLKRGR >ONIVA10G13350.1 pep chromosome:AWHD00000000:10:14098336:14098593:1 gene:ONIVA10G13350 transcript:ONIVA10G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSRPPDPVGGEAVVEQHRRAARSPPAKFGRGGGGPAMANDEYDALHAAANVRGGTVGCPCALPSYGFCHGGGFSDFRGVRLT >ONIVA10G13340.1 pep chromosome:AWHD00000000:10:14074874:14078663:-1 gene:ONIVA10G13340 transcript:ONIVA10G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQPSQRPRTRARRGQRRPRPPRPPPPPPPPLDSGGSAWIGTCVDSSSRSIFCSKEMSASENQAAEASKGDDEKMDPPKMKIRIRLPPRKRLSNGLLKADMDGPDDRNNAQSSGVPVQTKSTIPRKQLTIPAAQCYASSISTSILWNEGNNNASSQTLPNEPNCDTSSDKLPEEASDSIPSKKLIIKAAQHYTSSISTGGLCNEANNNTSSKTLTNESNCDTSSDKLPEETIDNISSKVLTITAAQHQIDSISTGELCNEANNNTSRKILTITAAQHQIDSISTGELCNEANNNTSSKILPNEPNCDISSDKQPEEANDTIPSKNLMITAGVCMDDVSNYSAKEGLCEDANDTIPSTNLMITAGVWGHDVSNYSAKEGLCEEANDIIPRKNLTIVDGVWEDDVSNYSTKEGLCEEANDTIPSKNLIITAGVWGDNVSNYSAKEGLCEETNDIIPRKNLTITAGVWGDDVSNYSAKEGLCEEANGNIPSNAHPATIKELPAKPLESTPSKNLTTIAILGEEENNNPLRLFHETDINIPSKVVLPKKSKNNQRRNLVTTAVKCEEANNDPLSRRFSEDANRNIPTRNLSDKTKNNAQSNRPTNPDRKNNPQKKLSTTAVHAAPARKNTSEIKMANSEMKPSTSFGQAAEQGINLANLKAIKQYQEFEEKVKRTVYLDYFSHQATESVIRTALNQFGTVREINFVVNYTIPFSIPQSALVIMETEKDAVAVVNMLNEFPFMMSGMPRPVRATRATAEMFNDRPRRPGNKLEFRWVGPSDADYHIVKKLKLMSRRHELDNLALVKHELEEEHFLAKHQEEILNCNQRKLEVMDSIMLTGKFTHLQHIYSVKVDEVFCNKWLV >ONIVA10G13330.1 pep chromosome:AWHD00000000:10:14070816:14074244:1 gene:ONIVA10G13330 transcript:ONIVA10G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAASPGGGLRGTAGEAPRSEKRPRESQGLESESGSDGGSGSDSDGDFVSDLREIVCLLRLIKGGADREGQKMCEQIIASVAADIQTMLEDTKLKFEKQRQNLLKVLSNTSKEQCDSSLSKEFIKFQESYEIFCKEKDVHVQAFRDLFSKVEVEKKALLEQFENHRKEETATLSELDNTFSEKITRAEQSLRKTEVDNTFSAKITHAEQYLRRTQFNNMFSGKKTHEEQSLRRKEFDKTFSEKVTHAEQPLRRMKQDDKSFIILRKSVGSFLEFGSDDDFDLDDD >ONIVA10G13320.1 pep chromosome:AWHD00000000:10:14055226:14057100:-1 gene:ONIVA10G13320 transcript:ONIVA10G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYQAVLDPDNQKPSSKNPGYFLSKHTCAWLASGFVLLALLHLLCCAPAGTRPAAAFSPLLQYINNTYSFVSTVPGVGKSCNYSDGKWVWAPGHVLRYNATRCNVKATHDCIRNGRPDTGYLDWRWQPAAAGCTLPAFDAGSFLAAVRGKHVAFIGDSMARNQAQSLICLLTAAFPYRLQYRATGDPGKYNLWRYAFPSHAVTVSYYWAPFLVRAEGKSVDDSVPHNYVHLDEPGERWSADAATIDVAVLAAGHWLMNGAIYYNGSEVFGVHNAPEEFANRTKVGYAWPLRLAYRTAMERLVGASRGTPRDMVLVTFSPSHFEGRPVQSPTACTRMEPYREGEKELEWVFREIRDVVYDVAAEARRDGGGGETTVRIEVLDVTKLASMRPDGHPGVYMNRDPFANGVDENMFSDCLHFCLPGPVDTFNEILVQLLKKRR >ONIVA10G13310.1 pep chromosome:AWHD00000000:10:14052105:14052383:1 gene:ONIVA10G13310 transcript:ONIVA10G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYVRLVLTASLWILFALAVGGATLHADESGGAGKLLSVVTVVFGWSGQPDYIDAQPAAPEEEWSMVVAAGWPVNAILVQNFGPPVRHYLG >ONIVA10G13300.1 pep chromosome:AWHD00000000:10:14039338:14040140:1 gene:ONIVA10G13300 transcript:ONIVA10G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVARPISHQISPSANDLPHPFTSPESTQVIGRGLRNQYRTPGPSQRPPPRRASSPVAGRRAGRLQQHGREAWRPRQPAGAALVQGGRLDNGARWLRPRGIEQAAPRQPIRQESGQRLGRSQPWSDLAGFLGRAGDLGGSG >ONIVA10G13290.1 pep chromosome:AWHD00000000:10:14024631:14037198:-1 gene:ONIVA10G13290 transcript:ONIVA10G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQRVAAEAVEGISVHDIATSTSGGETEGGRGLPRRLAARSRGGSGQTRRLWQLRRRGCCLRRALRRKAPTGTNMRKPQAMKIFLFALLFQGKKESQLVSKTMPRLKISDPLSHLGSESSMVILQLVGELIDNILDFESKAPDLLYEDASGIVGVLELLSALKEGLHALQFLLHIMYLSEEACKGRCFLAEQ >ONIVA10G13280.1 pep chromosome:AWHD00000000:10:14024553:14027386:1 gene:ONIVA10G13280 transcript:ONIVA10G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIVSMLIGKLGCVLVKEATSAGMSLLCQEASALAGLFREIHDVKEELESMQTFLQGTEQFKDTNNATGIFIKKIRSLAFEIEDIVDEFTYKLEDNHGGFTAKMGKRIRNLKSWHRLTHKLQKIKQNLEDAHRRNARYSPRGMDNSAEGSGSHANSDHQYVSSTREDGLVGIKEHSQLLVRWLTNDFEQGSKIVSVWGMGGVGKTTLVSHVYNAIKIEFDVSAWVTVSNRYQAVDLLKNIATDLGISVEIVNTGMRNLVDLIYNYLQGKKYILVLDDVWGVDVWFNIMGAFPENSISRFVITSRIQEVASLATGNCVLELKPLQPNHSWVLFCKEAFWKNENKTCPAELHSLAQKFVEKCNGLPIAIACPGRLLSGKQTYTGWENIYRELEYQLTNNVILDVNIILRVSLEDLPHDLKNCFLHCAIFPEDYMIKRRRVMRHWITAGFIKVKEHKTLEEVAEGYLNELVNRSLLQVVKRNVVGRVKCCRIHDIVRLLVLTKANEECFCQIYDVSRAFSVEDTRRISIQSVNIEQFIPSGTTRLRALYVFESNIKIIMLRPILKSSIFLSTLDLQGAQFKMLPPEVFNLFNLRYLGLRHTHIEVLPEAIGRLRNLEVLDAVNTKLVYLPNNVVKLQKLRYLYACTFGDGKSVQPFGGVKLPIGIRYLTGLRALQCAKATSEALTEFGALTELRTFGVTDVKNKHSVSLCDAITKMIHLIHLEITALDEMEVMHLERLHLPVTLSSLGIEGQIEKSSLPVVFSCWSQLNNITRLKLAFSKLDENSFCSLLTLGGLYHLSLLKAYNGERLHFSARSFPKLRYLGIWDAPNLNKVEVEEGAMQNLVELKFISCPEFKVLPHGIEHLTALQKLCLEETSEVLVEKLLKKREPNEKNEDLEKISHIKNVTVRLIQKGKKNV >ONIVA10G13270.1 pep chromosome:AWHD00000000:10:14013430:14013627:-1 gene:ONIVA10G13270 transcript:ONIVA10G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIGGGAEEIGEEGVSSELAGEITAGGGSAGRPRKTGGVSCRCRAHERRGSAARSESGVAMEGG >ONIVA10G13260.1 pep chromosome:AWHD00000000:10:13981726:13997484:-1 gene:ONIVA10G13260 transcript:ONIVA10G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPLLRGGARRGRKYAHGMHPAQMEALRAMCGALIPSLPVDADGGEGGRRPGDKDLERFYLASAADSSIPDEVAELLVTRCIWEAVALTWVVLWALSTRAGTLLLCGRDSVAAVDGGGFPFVSVRRFADMPAARREAALRRWSGARWLFFPLRIAFAIAKILCHYVFYSMVRTSPLPLPPPPPPLDRLTNQNHHAAARHHIPPVVEPPPPSPLPPRQSRSDEPWAGSGELGGERGRRRGGDAGGGRADAAHVLNPELVVDSEPAPSVNENSENPYWKAIGYRVEEPRRDRAESMPSPSPSPASRRPLDNGVVETRALTDTTLLRSLAAKGLAVRPGASDEHHTVRCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTKEDYSSIEGPSMERLFERGGVFCTSNVTTMIFTGATVGGGSAVNWSASIRTPAGVMQEWSREHGLAVFASPGYARAMDAVCERLGVTDACREEGFQNKVVRRGCDALGLRADAVPRNSSEGHFCGSCNFGCPTGDKKGTDTTWLVDAVERGAVILTGCKAEHFIVESNGGGGGRSKRCVGLVATCMSNGITKKLRVEAKVSISASGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPDNTPEPHIPGKCYEGGIITSMHRVTERTIIETPALGPGAFAALVPWESGRDMKERMRRYARTAHAFALVRDRGAGSVDGEGRVRYAPSRDDAEELRAGLRRALRILVAAGAAEVGTHRSDGARLRCKGARDADVEAFLDEVTVEKGPMHSTTDKWSVLCSAHQMGSCRMGASPRDGAVDVAGESWEADGLYVCDGSLLPTAVGVNPMITIQSIAYCVAKGIADSMAHGKEQRKESSAEMAAAAAEAARGHPLLRGGERRERYTHGLGAAQMEALRAICGAFIPSLPEAAAALAEADDEGRGGGDKDLERFYLASAADAAVPDEVAELMVNRCAWEAVALVTVVLWLLATRAGTLALCGAAACVASSAAGGWLPSVRRFADLPPERREAALRRWSSARWLFPLKITFTVIKIICHFVFYTKVPFGSSESWSRHPGHCARRPLPVTSLFRLADATPASRRRRLAVPGPPLTGCEQRDSTLTVCTLDEKSRNPSWKAIGYAAPAAAAAAVEQRRPASPSRRPLEDGVVETRRMDDNALLRSLVEKGLAVKTGTAAHHTVQCDAVVVGSGCGGGVAAAVLASKGYKVVVVEKGDYFATEDYTSLEGPSMERLYEKGGVFGTSNVTTILFTGATVGGGSAVNWSACIRTPAEIREEWSREHGLPVFASTAYAQAMDAVCDRIRVTSGCEEEGFQNRVLRRGCDALGMRADAVPRNSSEGHFCGSCNLGCPTGDKKGTDTTWLVDAVERGAVILTGCKAEHFILERNAGGRGGRSKRCVGLMATCTSSGITKKLRIEAKVSISACGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPDSTAELPGKCYEGGIITSMHRVTDRTIIETPALGPGAFSAVVPWESGRDMKERMRRYARTAHAFALVRDRGAGAVDGEGRVRFSPHRSDGLRLRCKGVRDADVEAFLDEVTIEKGPMYPGSDKWAIFCSAHQMGSCRMGASPRDGAVDGAGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAYCLSNGIADTLSH >ONIVA10G13260.2 pep chromosome:AWHD00000000:10:13981726:13997484:-1 gene:ONIVA10G13260 transcript:ONIVA10G13260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPLLRGGARRGRKYAHGMHPAQMEALRAMCGALIPSLPVDADGGEGGRRPGDKDLERFYLASAADSSIPDEVAELLVTRCIWEAVALTWVVLWALSTRAGTLLLCGRDSVAAVDGGGFPFVSVRRFADMPAARREAALRRWSGARWLFFPLRIAFAIAKILCHYVFYSMVRTSPLPLPPPPPPLDRLTNQNHHAAARHHIPPVVEPPPPSPLPPRQSRSDEPWAGSGELGGERGRRRGGDAGGGRADAAHVLNPELVVDSEPAPSVNENSENPYWKAIGYRVEEPRRDRAESMPSPSPSPASRRPLDNGVVETRALTDTTLLRSLAAKGLAVRPGASDEHHTVRCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTKEDYSSIEGPSMERLFERGGVFCTSNVTTMIFTGATVGGGSAVNWSASIRTPAGVMQEWSREHGLAVFASPGYARAMDAVCERLGVTDACREEGFQNKVVRRGCDALGLRADAVPRNSSEGHFCGSCNFGCPTGDKKGTDTTWLVDAVERGAVILTGCKAEHFIVESNGGGGGRSKRCVGLVATCMSNGITKKLRVEAKVSISASGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPDNTPEPHIPGKCYEGGIITSMHRVTERTIIETPALGPGAFAALVPWESGRDMKERMRRYARTAHAFALVRDRGAGSVDGEGRVRYAPSRDDAEELRAGLRRALRILVAAGAAEVGTHRSDGARLRCKGARDADVEAFLDEVTVEKGPMHSTTDKWSVLCSAHQMGSCRMGASPRDGAVDVAGESWEADGLYVCDGSLLPTAVGVNPMITIQSIAYCVAKGIADSMAHGKEQRKESSAEMAAAAAEAARGHPLLRGGERRERYTHGLGAAQMEALRAICGAFIPSLPEAAAALAEADDEGRGGGDKDLERFYLASAADAAVPDEVAELMVNRCAWEAVALVTVVLWLLATRAGTLALCGAAACVASSAAGGWLPSVRRFADLPPERREAALRRWSSARWLFPLKITFTVIKIICHFVFYTKLDEKSRNPSWKAIGYAAPAAAAAAVEQRRPASPSRRPLEDGVVETRRMDDNALLRSLVEKGLAVKTGTAAHHTVQCDAVVVGSGCGGGVAAAVLASKGYKVVVVEKGDYFATEDYTSLEGPSMERLYEKGGVFGTSNVTTILFTGATVGGGSAVNWSACIRTPAEIREEWSREHGLPVFASTAYAQAMDAVCDRIRVTSGCEEEGFQNRVLRRGCDALGMRADAVPRNSSEGHFCGSCNLGCPTGDKKGTDTTWLVDAVERGAVILTGCKAEHFILERNAGGRGGRSKRCVGLMATCTSSGITKKLRIEAKVSISACGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPDSTAELPGKCYEGGIITSMHRVTDRTIIETPALGPGAFSAVVPWESGRDMKERMRRYARTAHAFALVRDRGAGAVDGEGRVRFSPHRSDGLRLRCKGVRDADVEAFLDEVTIEKGPMYPGSDKWAIFCSAHQMGSCRMGASPRDGAVDGAGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAYCLSNGIADTLSH >ONIVA10G13250.1 pep chromosome:AWHD00000000:10:13953060:13962188:1 gene:ONIVA10G13250 transcript:ONIVA10G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRATAKLGDGGNNGEWRLEVDGGSGARVRVGEQLPVVFGAREPAAENRLRKVQVFSVDRRRTAKTLRNRGHIDCTRGVSREQRAINRRKKLESSSGYVKSRKRLLKVYVEYQKRLKALDAFEKNQCAIEEERFGEGLCDREVSTIAFEEESFGHIVEPSQQTYQTSASDDLDLSDIVVSLALFDGDKMLFACSGIPVPSRRSREELTSFVTSANLARAFNEHRNRDDNLTVAVRLPDNTTTDGFLGLYDHDIVIVTCLGLEEVSPIDFNGTPACPDGSPLLAAGRAFKSVNLMAMSGSVHSGNTWVPDSQDISKAVLGGPLLGKDNIFLGMNFSIYDDDDRTVKYAFLPMELLHERLKHFGILDPKRLHFRGYSLPKGFMKTIYRLKSFGYPMPPPLVLELNGELLNQFEDCFEYPYVDPAIGCRERVWKHLRKEVVTDISRRVVSLASFNGLVRSFACTGLIINWHGSKALRPVILTSASLISHNEDKIDSNLKIEVFLPPNQRGSGILEFYSLNYNIAIVSLKRNFNTVRPENIFSGTVEMPSEKVVATERDVTLGPLMATIGKVIQHGKNNCKLDCKDLKAGIEGPLIKFDGSFVGMNFYDGSRVTPFLPKDKIVKVLSTVNDLPSESGHNHPMPIDFDDGTKKNSLDYYIQQSTYMGNIILDGRWPVPEPYWYHGSLDVDMHYIPKLIGRVL >ONIVA10G13240.1 pep chromosome:AWHD00000000:10:13946786:13950594:-1 gene:ONIVA10G13240 transcript:ONIVA10G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGFVRCNNTWVPDSQDISKAVLGGPLLGKDKGFIGMNFSICHDHDGTLTYAFLPTKLLRKRLEHFGILNPKHLHFRGYSLPKGVSSIIPSVNGELLNHFEERFGELLAWKGYPYGDPAKSCRNRVWYQLPKEVATNISRRVVSLASFNGFVRFFACIGLIIKWHGSKATRTVILTSASLVNCCNDDKIDSNLTIEVFLPPNQRSCGTLEFYNLNYNIAIVSLKKNFNAVRPEDIFSKTVQEPSEKVVAIGRDTRLGPLMATIGNVKRGKKGCKLDCKNLKLSTCKINKAGIGGPLINFDGSFVGMNFYDGSEATPFLPRHKIVEVLSRISVRERRKTGGLCPSHTGIMVRLMYIGLMYPSVLEGVSSKLMLN >ONIVA10G13230.1 pep chromosome:AWHD00000000:10:13938683:13943325:1 gene:ONIVA10G13230 transcript:ONIVA10G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDVEVSYASRRCRRFKNEGARQPPGSSALLAWWIHTANGVVDRDEIVYDDRPVTARFLQDESSKVVGGFDRREDALTEVGGEFWALGRVGEWKAGSYGLMPITVAIRLVGDSPNGCGQPYIHTTSKVSTQLHGRGVSNSTSY >ONIVA10G13220.1 pep chromosome:AWHD00000000:10:13933427:13937912:-1 gene:ONIVA10G13220 transcript:ONIVA10G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ITI1] MDQKVKEAAPAARGHPALRGPARRERYTHGLGAAQMRALRAMCGALIPALPAEEEDGARGGGDMDVERFYLSTAAESTVPDEVAELTMTRCIWEAGVLVRIILWILATRVGTLALCGRRCVSGEFPYVRRFADMPVERREAALKRWNATRWLVPLKIIFALIKVLCHFVFYTAVDENLENPSWKAIGYSVPAAEEEPRKEEPTEATPTPAPATAPPCRPLDNGVVETKQLDDNALLMSLAEKGLALKTGASSPSAHHHTVLCDAVVVGSGCGGGVAAAVLASAGYKVVVVEKGDYFAARDYSSLEGPTMERLYENGGVFSTANVTTTMFAGATVGGGSAVNWSACIRTPANVLQEWSNDHGLPLFGGQGYVQAMDAVCARLGVTGRCREEGFQNKAVRRGCEALGLRVEAVSRNSSEGHFCGSCNLGCPTGEKRGTDTTWLVDAVGRGAVILTGCKAERFILETNTGGGGGRRKKCVGVVAKCLGNVITKKLRIEAKVSVSACGALMTPPLLRNSGLKNRHIGRNLHLHLVSMAWGYFPDSTAELPGKSYEGGIITSMHRVTDRTIIETPALGPGAFAAVVPWESGRDMKERMRRYARTAHAVVLVRDRAAGTVGGEGRVRFTPSRGDAGELRAGLRRALRILVAAGAAEVGTNRSDGLRLRCKGARDEDVEAFLDEVSVEKGPMHPGSDKWALLASAHQMGSCRMGRSAKHGGVDGGGESWEAEGLYVCDGSLLPTAVGVNPMITIESVAYCVAKGIAESLASRAARSVENRDVSTNPPLACES >ONIVA10G13210.1 pep chromosome:AWHD00000000:10:13930698:13931681:-1 gene:ONIVA10G13210 transcript:ONIVA10G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIGIAETKNARRRSEAASSTAVDAAREEATMAYVEAHGGRKDKLLVSSERPRVRVRADALRCLAVPLSRDGPERQITGVLLDAALDLLRRRQAGRRRRRNGRRVLLGSVEEQDWLEYVSSLPPRTAAAAGHDADMSATGARYLAHDTVLFPVNHQSHFFVAALDVAAGEYRILDSSNYGRRFGPRFYDAAMSKIRGGVARCVAAAGRAGAEADAGGWKLRMVAGLPAQTDESSCGLFAIKCMELWDGEKLERGFTMDDVHELRRKLAGELIFWELNEMQEVKDEIEFMARKMKMMMSSSSPLRNCGDRVKLATLGGAGGVCVCY >ONIVA10G13200.1 pep chromosome:AWHD00000000:10:13921069:13928009:1 gene:ONIVA10G13200 transcript:ONIVA10G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAAQWRSVVKGEVARQLGVEAEARKLGARLEKVGAAVRDAEARVARGSDAAARWLARVRAAAYEADVAVDRCRATARRLTRGREQQLQQHNQALPWLLSTCCDVAEPRRDIAADLKNVSQKLKSIIKEQRQLQLQASVADHTDDHPRKILRHRKSEPTDIDIVGTAMEDDARRLVRRLTQPDSGGVVAIYGPDGIGKTTLAKVVFDSERVKRRFETRSWVHVSRGCVEDGKREAALLSQVVEAVVDGGGATTGAETVAELERMLAALVANRRFLLVLDEVRNGGEWEELVRRLLERGGRGSKVLVTAVTAGVARDMGAGHVHRVNRLGEDDGWALLRVAACVADDGGAALRGVGRRIVGKCGGVPLAIRAVAGVLRTREAIAEEWAVVDASPAWKVKGLPDDAMKPLYLCYDDMPCHLKQCFLYCSLFLSDFAVDRRSLVQQWIAEGFVQIRGDAGVEEVAEEYYDELIGRNLLQPAEADRHGCVERCTMHDTLRSMAQVLSHGENLTGDAQAQRLPSDGDAPFAPRHVSFPRNHLAAIPEEVLKLEGVRTLLLQRNPLTIGSNIFTRLLYLKVLDLTETAMEVIPETLGNLLYLRFLNLSQTRIKALPETICNLWSLKFLLLRECKALHVLPKGIEHLKGLRDLDLKGTVIKDAAFRVGHLRNLTSFRCFTVTSKEARTVQDTAQDRSGWPLDELKNLCQLRTLHVKRLEKATSQSKAAEVALHAKTGLRELELSCSGTVKTLQIPTVVRNIEDIFQELKPPRGLESLKIANYFGTKFPTWLSSTCLPNLLRLNITGCNFCQSFPLLGRLPELRSLCIADSSALKDIDAQLMDTDNSHQVPFPKLEDLHLQGLHNLETWTSIEAGALPSLQALQLESCPKLRCLPDGLRHVTSMTELRIVDMKSLEAVENVAALRELSVWNTPNLKKICNLPSLEDLDICHCPSLETVDNINRLQEVHIFDHELQEMPRWIQAHASKLCSLEFMSTTELLKRCLIDGPDWPVIKDIAQVHGYSNDSSYIYYSKSLKIFEGSASIRESRDAERSVADSDKVDDASIESRNVDRDAEIDDFFSSKLVETGTARSEDNVPDRNMERFMTRSTGRRLHKLEEVPEGDEDEEEEGADPVVLIPDDTTKSDTVLEKLHPVVTHDHNDKAGSKMKRDPPAKTAPGTSVIQSAATMCHKLVREGSQAISITETDQDLSLDSFRSKEHASSKQKVDTSGSVIEKVKEGRYKSANINDRKHIERVHDSANKMSTTSVEKSIPETVKPMKATKNNSKATDYHGTENKSPDSLACSRQTMSQIEKDVSDADKAANHPSSAITENRLHKANEIDASATAIKTEVSKKSAGANICNTLNPADSQTVHATDTAQDLPSSLLHDDPHLPDVGSSTSTSISDNCIQTESSNTSLSANLNNEEPKAKGVTKTTCASGPCKLTATLDCKQQTTNPSDDTDASMKKMASNISEKVVKTLKRPHAETVNITRSSSLPIDPKSHVIDTTAATKKLEATLKNRSSRNAAAGLADDKTPVYNDSHQAPKVYTAIWADTDTDTLKARFLSTMQHYRRMASHRRRRHRKHGSRTKWSIWPVLVAILLIISVAQLLFTFWMYRKLMNQNSKVGKEATSNSDCPQFSSPSFSLLTFALESFSVVDEEVKPISPPPEKEEEEAISPPQPPKREIIRVTTKAIVKEKASAFSSVGSSSSGKSGAGAGALNAAALFRRFNSSAPVPRAEAAGDGDGGVRLEIEDIAAGDRRRETRKRKSPLGGGGGGDDHHSGGSGKRVVVLGDDPKPRPAARTMTTTATTRRQRRGRRHAGPTGRGEEGGDQAAYNHYASGGGWWHGEMEGVDGEEVGWTDDMWEGMGSITLGGLEWH >ONIVA10G13200.2 pep chromosome:AWHD00000000:10:13921069:13928009:1 gene:ONIVA10G13200 transcript:ONIVA10G13200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAAQWRSVVKGEVARQLGVEAEARKLGARLEKVGAAVRDAEARVARGSDAAARWLARVRAAAYEADVAVDRCRATARRLTRGREQQLQQHNQALPWLLSTCCDVAEPRRDIAADLKNVSQKLKSIIKEQRQLQLQASVADHTDDHPRKILRHRKSEPTDIDIVGTAMEDDARRLVRRLTQPDSGGVVAIYGPDGIGKTTLAKVVFDSERVKRRFETRSWVHVSRGCVEDGKREAALLSQVVEAVVDGGGATTGAETVAELERMLAALVANRRFLLVLDEVRNGGEWEELVRRLLERGGRGSKVLVTAVTAGVARDMGAGHVHRVNRLGEDDGWALLRVAACVADDGGAALRGVGRRIVGKCGGVPLAIRAVAGVLRTREAIAEEWAVVDASPAWKVKGLPDDAMKPLYLCYDDMPCHLKQCFLYCSLFLSDFAVDRRSLVQQWIAEGFVQIRGDAGVEEVAEEYYDELIGRNLLQPAEADRHGCVERCTMHDTLRSMAQVLSHGENLTGDAQAQRLPSDGDAPFAPRHVSFPRNHLAAIPEEVLKLEGVRTLLLQRNPLTIGSNIFTRLLYLKVLDLTETAMEVIPETLGNLLYLRFLNLSQTRIKALPETICNLWSLKFLLLRECKALHVLPKGIEHLKGLRDLDLKGTVIKDAAFRVGHLRNLTSFRCFTVTSKEARTVQDTAQDRSGWPLDELKNLCQLRTLHVKRLEKATSQSKAAEVALHAKTGLRELELSCSGTVKTLQIPTVVRNIEDIFQELKPPRGLESLKIANYFGTKFPTWLSSTCLPNLLRLNITGCNFCQSFPLLGRLPELRSLCIADSSALKDIDAQLMDTDNSHQVPFPKLEDLHLQGLHNLETWTSIEAGALPSLQALQLESCPKLRCLPDGLRHVTSMTELRIVDMKSLEAVENVAALRELSVWNTPNLKKICNLPSLEDLDICHCPSLETVDNINRLQEVHIFDHELQEMPRWIQAHASKLCSLEFMSTTELLKRCLIDGPDWPVIKDIAQVHGYSNDSSYIYYSKSLKIFEGSASIRESRDAERSVADSDKVDDASIESRNVDRDAEIDDFFSSKLVETGTARSEDNVPDRNMERFMTRSTGRRLHKLEEVPEGDEDEEEEGADPVVLIPDDTTKSDTVLEKLHPVVTHDHNDKAGSKMKRDPPAKTAPGTSVIQSAATMCHKLVREGSQAISITETDQDLSLDSFRSKEHASSKQKVDTSGSVIEKVKEGRYKSANINDRKHIERVHDSANKMSTTSVEKSIPETVKPMKATKNNSKATDYHGTENKSPDSLACSRQTMSQIEKDVSDADKAANHPSSAITENRLHKANEIDASATAIKTEVSKKSAGANICNTLNPADSQTVHATDTAQDLPSSLLHDDPHLPDVGSSTSTSISDNCIQTESSNTSLSANLNNEEPKAKGVTKTTCASGPCKLTATLDCKQQTTNPSDDTDASMKKMASNISEKVVKTLKRPHAETVNITRSSSLPIDPKSHVIDTTAATKKLEATLKNRSSRNAAAGLADDKTPVYNDSHQAPKVYTAIWADTDTDTLKARFLSTMQHYRRMASHRRRRHRKHGSRTKWSIWPVLVAILLIISVAQLLFTFWIVVDEEVKPISPPPEKEEEEAISPPQPPKREIIRVTTKAIVKEKASAFSSVGSSSSGKSGAGAGALNAAALFRRFNSSAPVPRAEAAGDGDGGVRLEIEDIAAGDRRRETRKRKSPLGGGGGGDDHHSGGSGKRVVVLGDDPKPRPAARTMTTTATTRRQRRGRRHAGPTGRGEEGGDQAAYNHYASGGGWWHGEMEGVDGEEVGWTDDMWEGMGSITLGGLEWH >ONIVA10G13200.3 pep chromosome:AWHD00000000:10:13921069:13928009:1 gene:ONIVA10G13200 transcript:ONIVA10G13200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAAQWRSVVKGEVARQLGVEAEARKLGARLEKVGAAVRDAEARVARGSDAAARWLARVRAAAYEADVAVDRCRATARRLTRGREQQLQQHNQALPWLLSTCCDVAEPRRDIAADLKNVSQKLKSIIKEQRQLQLQASVADHTDDHPRKILRHRKSEPTDIDIVGTAMEDDARRLVRRLTQPDSGGVVAIYGPDGIGKTTLAKVVFDSERVKRRFETRSWVHVSRGCVEDGKREAALLSQVVEAVVDGGGATTGAETVAELERMLAALVANRRFLLVLDEVRNGGEWEELVRRLLERGGRGSKVLVTAVTAGVARDMGAGHVHRVNRLGEDDGWALLRVAACVADDGGAALRGVGRRIVGKCGGVPLAIRAVAGVLRTREAIAEEWAVVDASPAWKVKGLPDDAMKPLYLCYDDMPCHLKQCFLYCSLFLSDFAVDRRSLVQQWIAEGFVQIRGDAGVEEVAEEYYDELIGRNLLQPAEADRHGCVERCTMHDTLRSMAQVLSHGENLTGDAQAQRLPSDGDAPFAPRHVSFPRNHLAAIPEEVLKLEGVRTLLLQRNPLTIGSNIFTRLLYLKVLDLTETAMEVIPETLGNLLYLRFLNLSQTRIKALPETICNLWSLKFLLLRECKALHVLPKGIEHLKGLRDLDLKGTVIKDAAFRVGHLRNLTSFRCFTVTSKEARTVQDTAQDRSGWPLDELKNLCQLRTLHVKRLEKATSQSKAAEVALHAKTGLRELELSCSGTVKTLQIPTVVRNIEDIFQELKPPRGLESLKIANYFGTKFPTWLSSTCLPNLLRLNITGCNFCQSFPLLGRLPELRSLCIADSSALKDIDAQLMDTDNSHQVPFPKLEDLHLQGLHNLETWTSIEAGALPSLQALQLESCPKLRCLPDGLRHVTSMTELRIVDMKSLEAVENVAALRELSVWNTPNLKKICNLPSLEDLDICHCPSLETVDNINRLQEVHIFDHELQEMPRWIQAHASKLCSLEFMSTTELLKRCLIDGPDWPVIKDIAQVHGYSNDSSYIYYSKSLKIFEGSASIRESRDAERSVADSDKVDDASIESRNVDRDAEIDDFFSSKLVETGTARSEDNVPDRNMERFMTRSTGRRLHKLEEVPEGDEDEEEEGADPVVLIPDDTTKSDTVLEKLHPVVTHDHNDKAGSKMKRDPPAKTAPGTSVIQSAATMCHKLVREGSQAISITETDQDLSLDSFRSKEHASSKQKVDTSGSVIEKVKEGRYKSANINDRKHIERVHDSANKMSTTSVEKSIPETVKPMKATKNNSKATDYHGTENKSPDSLACSRQTMSQIEKDVSDADKAANHPSSAITENRLHKANEIDASATAIKTEVSKKSAGANICNTLNPADSQTVHATDTAQDLPSSLLHDDPHLPDVGSSTSTSISDNCIQTESSNTSLSANLNNEEPKAKGVTKTTCASGPCKLTATLDCKQQTTNPSDDTDASMKKMASNISEKVVKTLKRPHAETVNITRSSSLPIDPKSHVIDTTAATKKLEATLKNRSSRNAAAGLADDKTPVYNDSHQAPKVYTAIWADTDTDTLKARFLSTMQHYRRMASHRRRRHRKHGSRTKWSIWPVLVAILLIISVAQLLFTFWMYRKLMNQNSKVGKEATSNSDCPQFSSPSFSLLTFALESFSVVDEEVKPISPPPEKEEEEAISPPQPPKREIIRVTTKAIVKEKASAFSSVGSSSSGKSGAGAGALNAAALFRRFNSSAPVPRAEAAGDGDGGVRLEIEDIAAGDRRRETRKRKSPLGARLASRIPSPPFRLSPLIRDAMSISLAGGGGGGDDHHSGGSGKRVVVLGDDPKPRPAARTMTTTATTRRQRRGRRHAGPTGRGEEGGDQAAYNHYASGGGWWHGEMEGVDGEEVGWTDDMWEGMGSITLGGLEWH >ONIVA10G13200.4 pep chromosome:AWHD00000000:10:13921069:13928009:1 gene:ONIVA10G13200 transcript:ONIVA10G13200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAAQWRSVVKGEVARQLGVEAEARKLGARLEKVGAAVRDAEARVARGSDAAARWLARVRAAAYEADVAVDRCRATARRLTRGREQQLQQHNQALPWLLSTCCDVAEPRRDIAADLKNVSQKLKSIIKEQRQLQLQASVADHTDDHPRKILRHRKSEPTDIDIVGTAMEDDARRLVRRLTQPDSGGVVAIYGPDGIGKTTLAKVVFDSERVKRRFETRSWVHVSRGCVEDGKREAALLSQVVEAVVDGGGATTGAETVAELERMLAALVANRRFLLVLDEVRNGGEWEELVRRLLERGGRGSKVLVTAVTAGVARDMGAGHVHRVNRLGEDDGWALLRVAACVADDGGAALRGVGRRIVGKCGGVPLAIRAVAGVLRTREAIAEEWAVVDASPAWKVKGLPDDAMKPLYLCYDDMPCHLKQCFLYCSLFLSDFAVDRRSLVQQWIAEGFVQIRGDAGVEEVAEEYYDELIGRNLLQPAEADRHGCVERCTMHDTLRSMAQVLSHGENLTGDAQAQRLPSDGDAPFAPRHVSFPRNHLAAIPEEVLKLEGVRTLLLQRNPLTIGSNIFTRLLYLKVLDLTETAMEVIPETLGNLLYLRFLNLSQTRIKALPETICNLWSLKFLLLRECKALHVLPKGIEHLKGLRDLDLKGTVIKDAAFRVGHLRNLTSFRCFTVTSKEARTVQDTAQDRSGWPLDELKNLCQLRTLHVKRLEKATSQSKAAEVALHAKTGLRELELSCSGTVKTLQIPTVVRNIEDIFQELKPPRGLESLKIANYFGTKFPTWLSSTCLPNLLRLNITGCNFCQSFPLLGRLPELRSLCIADSSALKDIDAQLMDTDNSHQVPFPKLEDLHLQGLHNLETWTSIEAGALPSLQALQLESCPKLRCLPDGLRHVTSMTELRIVDMKSLEAVENVAALRELSVWNTPNLKKICNLPSLEDLDICHCPSLETVDNINRLQEVHIFDHELQEMPRWIQAHASKLCSLEFMSTTELLKRCLIDGPDWPVIKDIAQVHGYSNDSSYIYYSKSLKIFEGSASIRESRDAERSVADSDKVDDASIESRNVDRDAEIDDFFSSKLVETGTARSEDNVPDRNMERFMTRSTGRRLHKLEEVPEGDEDEEEEGADPVVLIPDDTTKSDTVLEKLHPVVTHDHNDKAGSKMKRDPPAKTAPGTSVIQSAATMCHKLVREGSQAISITETDQDLSLDSFRSKEHASSKQKVDTSGSVIEKVKEGRYKSANINDRKHIERVHDSANKMSTTSVEKSIPETVKPMKATKNNSKATDYHGTENKSPDSLACSRQTMSQIEKDVSDADKAANHPSSAITENRLHKANEIDASATAIKTEVSKKSAGANICNTLNPADSQTVHATDTAQDLPSSLLHDDPHLPDVGSSTSTSISDNCIQTESSNTSLSANLNNEEPKAKGVTKTTCASGPCKLTATLDCKQQTTNPSDDTDASMKKMASNISEKVVKTLKRPHAETVNITRSSSLPIDPKSHVIDTTAATKKLEATLKNRSSRNAAAGLADDKTPVYNDSHQAPKVYTAIWADTDTDTLKARFLSTMQHYRRMASHRRRRHRKHGSRTKWSIWPVLVAILLIISVAQLLFTFWIVVDEEVKPISPPPEKEEEEAISPPQPPKREIIRVTTKAIVKEKASAFSSVGSSSSGKSGAGAGALNAAALFRRFNSSAPVPRAEAAGDGDGGVRLEIEDIAAGDRRRETRKRKSPLGARLASRIPSPPFRLSPLIRDAMSISLAGGGGGGDDHHSGGSGKRVVVLGDDPKPRPAARTMTTTATTRRQRRGRRHAGPTGRGEEGGDQAAYNHYASGGGWWHGEMEGVDGEEVGWTDDMWEGMGSITLGGLEWH >ONIVA10G13190.1 pep chromosome:AWHD00000000:10:13899003:13906363:-1 gene:ONIVA10G13190 transcript:ONIVA10G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ITH5] MVSGNLFHCRRNSWPAEEYVGRTALQLLDFDGGSPPEQAWRRRLNSHANLLKEFSVTFMEAMRMMSLGLRLWSYVREEASHGRKAPIDPFTKEKCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCETSPVMENQFSIFVSRDWGNKKYSSVLSPGHHEGLKKCNDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPTSVFVYTLVNTGKDRAKVSLLMTWANSIGGFSHHSGGHFNEPFITAKDNPPVTFAIAACETQNVNVTVLPVFGLSGEGHDSAKQMWDRMKQNGHFDRENFEAGTSMPSSSGETLCAAVSASTWVEPHGRCTVVFGLAWSSPKIKFQKGCTYNRRYTEFYGTSERSAVNLVHDALTKYRIWEEEIEKWQNPILKNERLPEWYKFTLFNELYFLVAGGTVWTDGQPPVIDEKPSPGSNQQKSSKRGTRDTKQESVKDNHVKLTAEQVTNGGDLANGEEQSVSKYAAVHGPQMAKATNGLGSQEPIPYLLSKNGPENVGKFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRRMKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVYRDFAATGDMSFGRDVWPAVCAAMDYMNQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGGLWLAALQAAATMAHRLGDRPFAEKYKLKFIQAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYAASSGLPPLFDENKIRSALQKIFEFNVMKVKGGRLGAVNGMTPNGKVDETCMQSREIWTGVTYGVAANMLLHGMEHQGFTTAEGIFIAGWSEEGYGYWFQTPEGWTIDGHYRSLIYMRPLAIWAMQWARSPPKAILDAPKVNLMDRIHLSPQMIRAMNEINVRKIAPDNRCFPSSAFRCEC >ONIVA10G13180.1 pep chromosome:AWHD00000000:10:13895075:13896077:1 gene:ONIVA10G13180 transcript:ONIVA10G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGELDGGGKRGRRCPEAAAAGGELGSGTGCELGGGGRRARQPRQKRGRRRAGRGKLVSKFCCVAFCGDRRRNLVDT >ONIVA10G13180.2 pep chromosome:AWHD00000000:10:13895945:13897039:1 gene:ONIVA10G13180 transcript:ONIVA10G13180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAAIAIGASLPASSGEHHKPTVEEGGTASASRRVVTCSRSRRWRKADPCRRVLLLSTSGRCGRTEEWFCATVHCMATGDRSEVMNRSLQKYDIHFQK >ONIVA10G13170.1 pep chromosome:AWHD00000000:10:13884577:13886175:-1 gene:ONIVA10G13170 transcript:ONIVA10G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALFPEFSLLTPSRNHRSHPFSHPIRIEIEAEGEVMKVVLRSICRLQEVFGMAMELGHIKDDDDNVRPPSCASMEAKVASHLAALVSHTIAACRTAKRLPPPTRFHPGNAAAALA >ONIVA10G13160.1 pep chromosome:AWHD00000000:10:13878733:13883370:1 gene:ONIVA10G13160 transcript:ONIVA10G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ITH1] MEVATMLTLTLLAYSAAMLARLLVARSRRRRCYLLDYVCYKATDDRKLPTDLCGEIIQRNKLLGLEEYKFLLKVIVNSGIGEETYGPRNIIGGVRPADVDLLVVNVSMFSPAPSLSARVVRRYNLREDVKVYNLTGMGCSATLIALDLVNNFLRTHANKVALVMTSESIAPNWYAGNKRSFMLGNCLFRSGGCAYFLTNDPRHRRHAKLRLRHLVRTHTGASDDAYSCALQMEDDAGRPGFHLGKDLPRAAVHAFVKNLRLLAPRVLPLPELLRLAFATFLSSGRRSGGKKTSPSQQPLTIRMKAGVDHFCVHTGGAAVIDGVGKGLTLTEHDLEPSRMTLHRFGNTSASSVWYVLGYMEAKRRLRPGDRVLMLTFGAGFKCNSCVWTVEKPVSDAGVWKDCIDHYPPKELANPFMEKYGFVKDMMNL >ONIVA10G13150.1 pep chromosome:AWHD00000000:10:13859970:13860269:-1 gene:ONIVA10G13150 transcript:ONIVA10G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQQQGRIPARKRKGRDGAAGGSPDAAPGAGSRLLAGYLAHEFLTAGTVLGERLAAGTTPEEGGGGRYEAVAALVHGGGARVPGVVNPAQLAAWVRT >ONIVA10G13140.1 pep chromosome:AWHD00000000:10:13856757:13860317:1 gene:ONIVA10G13140 transcript:ONIVA10G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIRSSCIYTILARGWRGNCQQQSLARLLRQFEMASDHRRHTGVVVYGKEYFFGGGIQKDHPGRTPYGTPVRVEDLGVTHVPREIFEDFLQDISPRYTPATYNLLSNNCNNFTNEAAQFLVGSAIPSYILELPNEVMNSPFGALILPMIQGLETSLRAGVAPQPPQFKPSPVAAVTATQSPPSGSIHVEPKSTASDKTEVDNNGGGIPPAVQPAPVAAETSPAAVAEANMAPPPPVDPLREAKSRVQEEIKREFAAIMATGAVQAGEAAALATRRVMERHGLRRAAVAGGGMQRG >ONIVA10G13140.2 pep chromosome:AWHD00000000:10:13856972:13860317:1 gene:ONIVA10G13140 transcript:ONIVA10G13140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIRSSCIYTILARGWRGNCQQQSLARLLRQFEMASDHRRHTGVVVYGKEYFFGGGIQKDHPGRTPYGTPVRVEDLGVTHVPREIFEDFLQDISPRYTPATYNLLSNNCNNFTNEAAQFLVGSAIPSYILELPNEVMNSPFGALILPMIQGLETSLRAGVAPQPPQFKPSPVAAVTATQSPPSGSIHVEPKSTASDKTEVDNNGGGIPPAVQPAPVAAETSPAAVAEANMAPPPPVDPLREAKSRVQEEIKREFAAIMATGAVQAGEAAALATRRVMERHGLRRAAVAGGGMQRG >ONIVA10G13140.3 pep chromosome:AWHD00000000:10:13857525:13860317:1 gene:ONIVA10G13140 transcript:ONIVA10G13140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVFLCRMAIRSSCIYTILARGWRGNCQQQSLARLLRQFEMASDHRRHTGVVVYGKEYFFGGGIQKDHPGRTPYGTPVRVEDLGVTHVPREIFEDFLQDISPRYTPATYNLLSNNCNNFTNEAAQFLVGSAIPSYILELPNEVMNSPFGALILPMIQGLETSLRAGVAPQPPQFKPSPVAAVTATQSPPSGSIHVEPKSTASDKTEVDNNGGGIPPAVQPAPVAAETSPAAVAEANMAPPPPVDPLREAKSRVQEEIKREFAAIMATGAVQAGEAAALATRRVMERHGLRRAAVAGGGMQRG >ONIVA10G13140.4 pep chromosome:AWHD00000000:10:13858499:13860317:1 gene:ONIVA10G13140 transcript:ONIVA10G13140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHRRHTGVVVYGKEYFFGGGIQKDHPGRTPYGTPVRVEDLGVTHVPREIFEDFLQDISPRYTPATYNLLSNNCNNFTNEAAQFLVGSAIPSYILELPNEVMNSPFGALILPMIQGLETSLRAGVAPQPPQFKPSPVAAVTATQSPPSGSIHVEPKSTASDKTEVDNNGGGIPPAVQPAPVAAETSPAAVAEANMAPPPPVDPLREAKSRVQEEIKREFAAIMATGAVQAGEAAALATRRVMERHGLRRAAVAGGGMQRG >ONIVA10G13130.1 pep chromosome:AWHD00000000:10:13846782:13850315:-1 gene:ONIVA10G13130 transcript:ONIVA10G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETSTKRGGAAMARARRGGRQGGRGRGSGSRGREGRQWPDLGEGGAAAVAGAGSGGSGGSQGREGRKWLSVSAPGDSYHASGDTCEVSDDTYQRVILTRYQVLIPSRYRVIPTRYHAILPCIRYQTVSTMYQAILVRYQMIPIRYQELGFEASSPAAASSPVHAPHRTRTSSPTAASSPVPGPRRSSLPATASSIGSSSPVAASSTPELITGAATITTQDPPPSMPHAGACRRRRLYHHAGSSAVHVTMPHAVACRQPRPLCRSSSPASASSTPELNGGGHVCAANVSLVCAAPTVILAKYHVILIKYHTISTTYRVILARYHVIPIRY >ONIVA10G13120.1 pep chromosome:AWHD00000000:10:13832114:13832539:-1 gene:ONIVA10G13120 transcript:ONIVA10G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPHVIQSPSSSLSLSQGGGRRWAAARKAAAGAVGRERRQVRLEARKPAFVEPPPLGHGDGEDDHRRWHESFLPLSELAGSDDEPRLVHSYTKVVSSFAARLTDGKLDVVSKKHPDRRTRASSITAAAVSTIPSYLRHRD >ONIVA10G13110.1 pep chromosome:AWHD00000000:10:13812497:13815413:-1 gene:ONIVA10G13110 transcript:ONIVA10G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKVVVSGEVVAAAVMELAAAPLGVRTRARSLALQKRQGGEYLELRSRRLEKLPPPPPPPPRRRATAAAATADATATESAEAEVSFGGENVLELEAMERNTRETTPCSLIRDPDTISTPGSTTRRSHSSSHCKVQTPVRHNIIPASAELEAFFAAEEQRQRQAFIDKYNFDPVNDCPLPGRFEWVKLD >ONIVA10G13100.1 pep chromosome:AWHD00000000:10:13805947:13808719:1 gene:ONIVA10G13100 transcript:ONIVA10G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEFRLGRFRGELLSYQKKKKKKKKKKKREQETSMNWTMTGPILKSEYCSASFAVQRLTVLKEDQMDLSFFHAQSKSPACVMIGLSAHCIKALQNLSGQADSAANETINGLFKKDIRCPDWFFPDLVFNGPVPFPVWNSDHLNFFNAREGQRIFQFV >ONIVA10G13090.1 pep chromosome:AWHD00000000:10:13800143:13803719:-1 gene:ONIVA10G13090 transcript:ONIVA10G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKIERGRLRGFVRRMAMECLCSGEQLRAADEIIRSPESAITKDCSASGYSSRNGEIEQYLDNGNIEEAELSLREGVCLNYEEARALLGRLEYQRGHVEAALRVFDGIDIPALVPKMKISIARKVDRRKTRSQWDSPPMPLHAVSLLMEAIYLKSRALHDLGKFKEAAQECRMILDIVEAAVPEGLPAGFGKDCKLNEIICKAVELLPELWKLGGFSLEAISSYRRSLLNNWNLDGETIARIQKEFAIFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRVERDPTIMHHLTFALSISGQLKPLAVQFEELLPGMLDKREWSYNVALCYLAEEDDSTALNLLKRILKSGDDSDNFKELLLASKACTERSAQTEGASYAQRAIANMQGGCEQMAGVADLLLGVNLSNQARCATSDTERASWQCEALEVLENAEKKMHGKDPRAMYSLSLENADQRKLDAAAFYAKKLVKLEAGSELRSWLLLARILSAQKQFADAETIIDAALDQTGKWSQGDLLRTKARIQAAQGQLRNAVETYTKLLAVIQLRTKSLSAGIFLAKGTKDDISLEIETWYDLALLYLRMSQWRDAEVCVSKIRTISPYSALAWHVKGKLYEAKGQPKEALGSYFRALDLDRKHVPSLISTASVLREIGNRPLPSVRCFLTDALQLDRTNHAAWFNLGLLYKEEGGRSAAEAAECFQAAALLEETAPVEPFR >ONIVA10G13080.1 pep chromosome:AWHD00000000:10:13789446:13797887:-1 gene:ONIVA10G13080 transcript:ONIVA10G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G35920) TAIR;Acc:AT2G35920] MLVHSSARRLLVSRGGGSGSGSFFPFAAAANACSRRALAPVSASAMSYRGGGGGRRGGGGGPNSQRGRGRGGGGAGRGGGGGGRGGGGRGEQRWWDPQWRAERLRQMAGEVEKVDENEWWNKIRQLREGSQQELVVKRNFGRDGQNILADMAQRQGLYFNVYNKGKTIVFSKVPLPDYRADLDERHGSTQQEIRMSNETERRVESLLAKAKSNSNDSASTSTLTTRQSRPSTSSSVTESTKDIDKERLSSELRDIQNSRKMMPSARSMQSFRDKLPAFKMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVASRVASERGEELGDTVGYQIRLESKRSAQTRLLFCTTGVLLRRLEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGEAPIMHIPGFTFPVTELFLEDILEKTRYKINSERDNFQGNSRRKRLASVKSDPISDAFEDVDIYKEYGNYSVATRQSLEAWSATELNLSLVEGTIEYICRHEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNRFLVIPLHGSMPTVNQREIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGACYRLYPKVIYDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKALQPPDPLSVNNAIELLKTVGALDDVEELTSLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALVKAFEAWKEARRSGRERSFCWENFLSPMTLQMMDDMRNQFFDLLSDIGFVSKTRGLKAYNYYGKDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAGIHQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSESKTGEGIEMLGGYLHFSAPRRIIELIQRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAVELLHSQNVHH >ONIVA10G13080.2 pep chromosome:AWHD00000000:10:13789446:13797887:-1 gene:ONIVA10G13080 transcript:ONIVA10G13080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G35920) TAIR;Acc:AT2G35920] MLVHSSARRLLVSRGGGSGSGSFFPFAAAANACSRRALAPVSASAMSYRGGGGGRRGGGGGPNSQRGRGRGGGGAGRGGGGGGRGGGGRGEQRWWDPQWRAERLRQMAGEVEKVDENEWWNKIRQLREGSQQELVVKRNFGRDGQNILADMAQRQGLYLSFDAFFRISNLHLEADKFHFHYPVFNLGEDSNVYNKGKTIVFSKVPLPDYRADLDERHGSTQQEIRMSNETERRVESLLAKAKSNSNDSASTSTLTTRQSRPSTSSSVTESTKDIDKERLSSELRDIQNSRKMMPSARSMQSFRDKLPAFKMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVASRVASERGEELGDTVGYQIRLESKRSAQTRLLFCTTGVLLRRLEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGEAPIMHIPGFTFPVTELFLEDILEKTRYKINSERDNFQGNSRRKRLASVKSDPISDAFEDVDIYKEYGNYSVATRQSLEAWSATELNLSLVEGTIEYICRHEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNRFLVIPLHGSMPTVNQREIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGACYRLYPKVIYDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKALQPPDPLSVNNAIELLKTVGALDDVEELTSLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALVKAFEAWKEARRSGRERSFCWENFLSPMTLQMMDDMRNQFFDLLSDIGFVSKTRGLKAYNYYGKDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAGIHQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSESKTGEGIEMLGGYLHFSAPRRIIELIQRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAVELLHSQNVHH >ONIVA10G13070.1 pep chromosome:AWHD00000000:10:13784966:13790603:1 gene:ONIVA10G13070 transcript:ONIVA10G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyanase [Source:Projected from Arabidopsis thaliana (AT3G23490) TAIR;Acc:AT3G23490] MEGGGGERAAGVVRRLMAAKAESRKSFSEIGEEAGLTNVYVAQLLRRQAQLKPETAPALRAAVPGLTDDLVALMMEPPFRSYHPDIVHEPAIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVQGADGKDRVVVTFDGKYLPYSEQRSDHMMSRLTRKTS >ONIVA10G13060.1 pep chromosome:AWHD00000000:10:13770964:13771980:1 gene:ONIVA10G13060 transcript:ONIVA10G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVYTLHTASAAPRAVTIFGILLPSWIPSSSPPQGPSSETSGTAVKENQSLPSDSQASAAPRAATVNGIRLLTANLEPALRLPPSRLDAAPRAAAAAGEAFCPDSQAILPYAVAKDTTSTQSRYLLAKDTPATLRPPPSEGTPPSTPEHLSSRT >ONIVA10G13050.1 pep chromosome:AWHD00000000:10:13757525:13767976:-1 gene:ONIVA10G13050 transcript:ONIVA10G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWKKLGSFKYVLLAPWVAHGWYEVATKGRREVDLGYIAILPSLLLRMLHNQAWITISRLQNARGRRQIVRRGIEFDQVDRERNWDDQIILSGILLYLGALYVPGGQHLPLWRTDGAGLIALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHSSIVTEPITSVIHPFAELVAYELLFSIPLIACALTGTASIIAFEMYLIYIDFMNNMGHCNFELFRTNYSLFMPFYDYIYNTMDKSSDTLYENSLKNNEEEEAVDVVHLTHLTTLHSIYHMRPGFAEFASRPYVSRWYMRMMWPLSWLSMVLTWTYGSSFTVERNVMKKIRMQSWAIPRYSFHYGLDWEKEAINDLIEKAVCEADKNGAKVVSLGLLNQAHTLNKSGEQYLLKYPKLGARIVDGTSLAAAVVVNSIPQGTDQVILAGNVSKVARAVAQALCKKNIKVTMTNKQDYHLLKPEIPETVADNLSFSKTGTAKVWLIGDGLDSAEQFRAQKGTLFIPYSQFPPKMVRKDSCSYSTTPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGILHALEGWNEHECGDKVLDMDKYVKMIQTALRVQVIMTNKQGYHLLKSKMPENVWLIGENLDATEQFRTQKGAHFIPYSQFPPRMIRNNCCTYWTTPAMVVPKTLHNMHSCENWLPRRVMSAWRIAGIVHALEGWSEHECGDMVLDMQKVWSAAIRHWFCPVARV >ONIVA10G13050.2 pep chromosome:AWHD00000000:10:13757525:13765353:-1 gene:ONIVA10G13050 transcript:ONIVA10G13050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHICSLPTIPNVVAAVIHPFAELVAYELLFSIPLIACALTGTASIIAFEMYLIYIDFMNNMGHCNFELFRTNYSLFMPFYDYIYNTMDKSSDTLYENSLKNNEEEEAVDVVHLTHLTTLHSIYHMRPGFAEFASRPYVSRWYMRMMWPLSWLSMVLTWTYGSSFTVERNVMKKIRMQSWAIPRYSFHYGLDWEKEAINDLIEKAVCEADKNGAKVVSLGLLNQAHTLNKSGEQYLLKYPKLGARIVDGTSLAAAVVVNSIPQGTDQVILAGNVSKVARAVAQALCKKNIKVTMTNKQDYHLLKPEIPETVADNLSFSKTGTAKVWLIGDGLDSAEQFRAQKGTLFIPYSQFPPKMVRKDSCSYSTTPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGILHALEGWNEHECGDKVLDMDKYVKMIQTALRVQVIMTNKQGYHLLKSKMPENVWLIGENLDATEQFRTQKGAHFIPYSQFPPRMIRNNCCTYWTTPAMVVPKTLHNMHSCENWLPRRVMSAWRIAGIVHALEGWSEHECGDMVLDMQKVWSAAIRHWFCPVARV >ONIVA10G13050.3 pep chromosome:AWHD00000000:10:13766943:13767976:-1 gene:ONIVA10G13050 transcript:ONIVA10G13050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWKKLGSFKYVLLAPWVAHGWYEVATKGRREVDLGYIAILPSLLLRMLHNQAWITISRLQNARGRRQIVRRGIEFDQVDRERNWDDQIILSGILLYLGALYVPGGQHLPLWRTDGAGLIALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHSSIVTEPITCT >ONIVA10G13040.1 pep chromosome:AWHD00000000:10:13744663:13754075:1 gene:ONIVA10G13040 transcript:ONIVA10G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWETTEEKLRDHFAAYGDVSQAAVMRDKLTGRPRGFGFVVFSDPSSVDAALVDPHTLDGRTVDVKRALSREEQQAAKAANPSAGGRHASGGGGGGGGAGGGGGGGGGDAGGARTKKIFVGGLPSNLTEDEFRQYFQTYGVVTDVVVMYDQNTQRPRGFGFITFDAEDAVDRVLHKTFHDLSGKMVEVKRALPREANPGSGSGGRSMGGGGGGYQSNNGPNSNSGGYDSRGDASRYGQAQQGSGGYPGYGAGGYGAGTVGYGYGHANPGTAYGNYGAGGFGGVPAGYGGHYGNPNAPGSGYQGGPPGANRGPWGGQAPSGYGTGSYGGNAGYAAWNNSSAGGNAPTSQAAGAGTGYGSQGYGYGGYGGDASYGNHGGYGGYGGRGDGAGNPAAGGGSGYGAGYGSGNGGSGYPNAWADPSQGGGFGASVNGVSEGQSNYGSGYGGVQPRVAHCKPGTEIVELHLGSLFGPLLSWSPRVSCLLDVARCARARTVVATAARNGGEMGRHQRSGSLSVTSSATPSSDATELDFAAAADVSCPFGRVDALGPVELRETAYEIFFMSCRSSSGGNTAGAAEVSSPVAGPRGGGGSRVKKALGLKARRLSSSSAAMVAQPMMVRTLSQTSGPASPGRGRRPMTSAEIMRQQMRVTEQSDARLRRTLMRAVVGQVGRRPDTIVLPLELLRQLKPAEFADGEEYHQWQFRQVKLLEAGLILHPSLPLDRLNSAVLRFREVMRATEIRAIDTAKSSDAMRTLTSAVHALAWRSGVGSGGGDACHWADGYPLNVLLYASLLHAIFDHRDCTVVLDEVDELLDLIRKTWPTLGVTRPVHNVCLAWAFFQQYVVTGQVEPELAAAALAVLADVAADARGTRDAVYGKALLGALGAMQEWSEKRLLDYHDSYEKGIGGAPTEGMEILLSISLAAGKIIADPDDAADADDAANFAGDRVDYYIRCSMKNAFTKILESGMGDGDGEPGVVLTQLARDTEELAVVERRSFSPVLRRWHPAPVAVAAVTLHGCYGVVLRQYLGKVTILTEELVRVLQSASRMEKAMAQMTAEDAADCRDDRAKAIVGDMEPYEVDSVVMGLLKVWMDDRFKITMDCLARAKETESWIPKSKDEPFAGSAMEMMKLAKYTVEEFSEIPASAKDEVVQDLVDGLEAIFQEYISFAASCGAKQNYLPPLPPLTRCNQDSGFFKLWRKAVLPSCQAPEGGPRGVGVGGGSHHVPRPSISRGTQRLYVRLNTLEYVLTHLHAIDKSLVAAPSPRFDGARAAAKSAIARVAEVAAFRLVFLDSRHSFYHGLYLRGVADTRIRPALRALKQNLTFLVSVLADRAQPVAVREVMRASFEAFLMVLLAGGGDRSFARGDHAMVEEDFRSLRRAFCTCGEGLVPEEVVAREAEAAERVVELMARPTDALIDARWDAADANTILRVLCHRDDEAASQFLKRTFQLAKRR >ONIVA10G13040.2 pep chromosome:AWHD00000000:10:13744663:13754383:1 gene:ONIVA10G13040 transcript:ONIVA10G13040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWETTEEKLRDHFAAYGDVSQAAVMRDKLTGRPRGFGFVVFSDPSSVDAALVDPHTLDGRTVDVKRALSREEQQAAKAANPSAGGRHASGGGGGGGGAGGGGGGGGGDAGGARTKKIFVGGLPSNLTEDEFRQYFQTYGVVTDVVVMYDQNTQRPRGFGFITFDAEDAVDRVLHKTFHDLSGKMVEVKRALPREANPGSGSGGRSMGGGGGGYQSNNGPNSNSGGYDSRGDASRYGQAQQGSGGYPGYGAGGYGAGTVGYGYGHANPGTAYGNYGAGGFGGVPAGYGGHYGNPNAPGSGYQGGPPGANRGPWGGQAPSGYGTGSYGGNAGYAAWNNSSAGGNAPTSQAAGAGTGYGSQGYGYGGYGGDASYGNHGGYGGYGGRGDGAGNPAAGGGSGYGAGYGSGNGGSGYPNAWADPSQGGGFGASVNGVSEGQSNYGSGYGGVQPRVAHCKPGTEIVELHLGSLFGPLLSWSPRVSCLLDVARCARARTVVATAARNGGEMGRHQRSGSLSVTSSATPSSDATELDFAAAADVSCPFGRVDALGPVELRETAYEIFFMSCRSSSGGNTAGAAEVSSPVAGPRGGGGSRVKKALGLKARRLSSSSAAMVAQPMMVRTLSQTSGPASPGRGRRPMTSAEIMRQQMRVTEQSDARLRRTLMRAVVGQVGRRPDTIVLPLELLRQLKPAEFADGEEYHQWQFRQVKLLEAGLILHPSLPLDRLNSAVLRFREVMRATEIRAIDTAKSSDAMRTLTSAVHALAWRSGVGSGGGDACHWADGYPLNVLLYASLLHAIFDHRDCTVVLDEVDELLDLIRKTWPTLGVTRPVHNVCLAWAFFQQYVVTGQVEPELAAAALAVLADVAADARGTRDAVYGKALLGALGAMQEWSEKRLLDYHDSYEKGIGGAPTEGMEILLSISLAAGKIIADPDDAADADDAANFAGDRVDYYIRCSMKNAFTKILESGMGDGDGEPGVVLTQLARDTEELAVVERRSFSPVLRRWHPAPVAVAAVTLHGCYGVVLRQYLGKVTILTEELVRVLQSASRMEKAMAQMTAEDAADCRDDRAKAIVGDMEPYEVDSVVMGLLKVWMDDRFKITMDCLARAKETESWIPKSKDEPFAGSAMEMMKLAKYTVEEFSEIPASAKDEVVQDLVDGLEAIFQEYISFAASCGAKQNYLPPLPPLTRCNQDSGFFKLWRKAVLPSCQAPEGGPRGVGVGGGSHHVPRPSISRGTQRLYVRLNTLEYVLTHLHAIDKSLVAAPSPRFDGARAAAKSAIARVAEVAAFRLVFLDSRHSFYHGLYLRGVADTRIRPALRALKQNLTFLVSVLADRAQPVAVREVMRASFEAFLMVLLAGGGDRSFARGDHAMVEEDFRSLRRAFCTCGEGLVPEEVVAREAEAAERVVELMARPTDALIDAFGVATSESIVAAVGRGGDDGDGGYGGVTPVPPTSRRWDAADANTILRVLCHRDDEAASQFLKRTFQLAKRR >ONIVA10G13030.1 pep chromosome:AWHD00000000:10:13736293:13743078:1 gene:ONIVA10G13030 transcript:ONIVA10G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERMEAAATGDDDYTQDGTVDLRGNPVLRSKRGGWKACGFVVVYEVFERMAYYGISSNLVLYQMTKLHQGTVSSVNNVTNWVGTIWMTPILGAYIVDAHLGRYRTFMIASLIYLILSLYLYAIFIFIFLIVGCRDDDDVVAFVDIFLLLFVSCPLSMICSRDELADAGGVVPSLKPPKCGAGTPDPSCSEEASSLQLGVFFVALYILAVGTGGTKPNISTIGADQFDDHHPRERRHKLSFFNWWMFSIFFGTLFANTILVYLQDNVGYALPTLGLAVSIAIFTAGTPFYRHKPTSGSSFARMARVIVAAARKLAVTLPDDARELHELDDPEQGGGEEDHAAAVHAVPEDPEQGGGEDEHDVEETKQILKMLPVLAVTFVPAAMMAQVNTLFVKQGTTLDRRVGGGSFEIPPASLQAFVTISMLVSVVLYDRVFMPLMARATGNPRGITLLQRMGVALVIHIAIMGIASATERHRLAVARVHGVADSKGTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSLLLSTVAHVTRRHGGGGGWIQNNLNASRLDHYYAFFAVLNCVNLVFFFLVCRLYVYNAEVSRVVDVGGSGGGEGGEVLRPKEVAMVDTNL >ONIVA10G13020.1 pep chromosome:AWHD00000000:10:13726031:13727764:-1 gene:ONIVA10G13020 transcript:ONIVA10G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQKVYDDSNQFYGYGGAIANIYLSAYCNKLERWVLGYEKFECLFDSMIPNFLPFYESQSRISIFWIRIIRQVIESLSVLHGNGVMHGSLYSPQSYVLDSSSNIKLINIGRYSVAGRSTSHRDITSFFGYLGSQSCLDVSSSKDSHGFEYLYNSKEAMNNTRSCWKDSILGHPILLEPPSKLVAHWDDERIHDEICEHFPGFIAWRTLFEK >ONIVA10G13010.1 pep chromosome:AWHD00000000:10:13710217:13714585:-1 gene:ONIVA10G13010 transcript:ONIVA10G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGDDDHGEMGIWGCRPDEATRGAPTPPMRGFCSWLRSSRAQARRLTRFFCWLGFALRNHCTGCQLGSARLRRGAVRPQARVERFRMHHHPRDALYAGAHILAVFLAGGAKVIF >ONIVA10G13000.1 pep chromosome:AWHD00000000:10:13699860:13706283:1 gene:ONIVA10G13000 transcript:ONIVA10G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSDLCDTFKCFCGWRGRCGPNIPRIAPGTDYLAIPAAAVDDDRPRWSLLVGLTSFKVPWHNLRLHRFRVVASGRVLGDSDDLLERFLDVRPDGAAAEIFASASAHVSSRGDRLHIICKCWRLKTDGSDREYSTIPNDIRLGKKYTSLAMNLADKTLTPLGGDLPVVPGEHSSIAAGGEDWALCVERPFDKRRQRGTTILRMQRLEAAGQRWVVAAEHETIPSTKSISSHCLVLSSSSLTALTAPGLRSHSRATSTTISHSSPGLAYKYSPEQRSLSPPIKVATLFPFMEGEGFGYLVHLVDKVLCAVWFGNDLPCACTTLIVKGDWDSGCFTPRDVEILHSTCRRVEISEGGGTRKGRLGNFGFLQLYVENADQVDPTSIHPTIGQAAYLGIEDSPNILHCCRMFLRDEEDKADVVLVDCKFPVKAHLYVIAETAYGSLIYQVSISDGKFFCHDKVLEPQRNVNSFITKKSRMDDPPSCHFVHQEQFFYVISSASLKRMDLIDVHHNTQRTIETNRPKVFFTAVFLVGRLVIGIGHTLKDVCIMKKKRWKHLDTSGSSLDQTRKIEISGWAVLNSDTFIVADTKAYDCFILNLTMGEWNVVKPRLPYRCGMLCGRSFCVGGFIYTPWKGGIIAFELVEDGNFYYLGEPILFGLWKKKIYGSWRRISLDEKFNRIALISTDSDCIVFSMFHGAPSAPPFRGVKHDVLMTTVLVKTQTTGRGTKQPISAEHIDLCTSFIEHEGWINPTLLLLYKHARA >ONIVA10G13000.2 pep chromosome:AWHD00000000:10:13699860:13703350:1 gene:ONIVA10G13000 transcript:ONIVA10G13000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSDLCDTFKCFCGWRGRCGPNIPRIAPGTDYLAIPAAAVDDDRPRWSLLVGLTSFKVPWHNLRLHRFRVVASGRVLGDSDDLLERFLDVRPDGAAAEIFASASAHVSSRGDRLHIICKCWRLKTDGSDREYSTIPNDIRLGKKYTSLAMNLADKTLTPLGGDLPVVPGEHSSIAAGGEDWALCVERPFDKRRQRGTTILRMQRLEAAGQRWVVAAEHETIPSTKSISSHCLVLSSSSLTALTAPGLRSHSRATSTTISHSSPGLAYKYSPEQRSLSPPIKVATLFPFMEGEGFGYLVHLVDKVLCAVWFGNDLPCACTTLIVKGDWDSGCFTPRDVEILHSTCRRVEISEGGGTRKGRLGNFGFLQLYVENADQVDPTSIHPTIGQAAYLGIEDSPNILHCCRMFLRDEEDKADVVLVDCKFPVKAHLYVIAETAYGSLIYQVSISDGKFFCHDKVLEPQRNVNSFITKKSRMDDPPSCHFVHQEQFFYVISSASLKRMDLIDVHHNTQRTIETNRPKVFFTAVFLVGRLVIGIGHTLKDVCIMKKKRWKHLDTSGSSLDQTRKIEISGWAVLNSDTFIVADTKAYDCFILNLTMGEWNVVKPRLPYRCGMLCGRSFCVGGFIYTPWKGGIIAFELVEDGNFYYLGEPILFGLWKKKIYGSWRRISLDEKFNRIALISTDSDCIVFSMFHGAPSAPPFRGVKHDVLMTTVLVKTQTTGRGTKQPISAEHIDLCTSFIEHEGWINPTLLLLYKHARA >ONIVA10G12990.1 pep chromosome:AWHD00000000:10:13691596:13696691:1 gene:ONIVA10G12990 transcript:ONIVA10G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGRGKKGKKGKRGKKGNGKGKRKKGDDVAVASSDESSSSSSVAESNSGAVAVEGMAAAAVAESSLGAGAEGTGTEEVVDVQVPEWKREEKDILDVLRNRARGRAQWWGVSVFIYKFKYGGVDNQWYNGQTFLNTHIDESFTKDKPQQPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEVSKNSCKFVGLENMSSYVMLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDVDLMEFISLMHEPDTTIDQLLEHPLLLLPQKRELVYRKSWIRDLSNDQEDLIVSIAYNGWKSKIPVDEDVLQFMLKTGYYDDDFNGAFKFSHDTSSHYMARARQLNKATYGAPHLVDSKLKKALPGLVSKIFETIF >ONIVA10G12990.2 pep chromosome:AWHD00000000:10:13691596:13698562:1 gene:ONIVA10G12990 transcript:ONIVA10G12990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGRGKKGKKGKRGKKGNGKGKRKKGDDVAVASSDESSSSSSVAESNSGAVAVEGMAAAAVAESSLGAGAEGTGTEEVVDVQVPEWKREEKDILDVLRNRARGRAQWWGVSVFIYKFKYGGVDNQWYNGQTFLNTHIDESFTKDKPQQPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEVSKNSCKFVGLENMSSYVMLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDVDLMEFISLMHEPDTTIDQLLEHPLLLLPQKRELVYRKSWIRDLSNDQEDLIVSIAYNGWKSKIPVDEDVLQFMLKTGYYDDDFNGAFKFSHDTSSHYMARARQLNKATYGAPHLVDSKLKKALPGLVSKLDDKES >ONIVA10G12990.3 pep chromosome:AWHD00000000:10:13691596:13698562:1 gene:ONIVA10G12990 transcript:ONIVA10G12990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGRGKKGKKGKRGKKGNGKGKRKKGDDVAVASSDESSSSSSVAESNSGAVAVEGMAAAAVAESSLGAGAEGTGTEEVVDVQVPEWKREEKDILDVLRNRARGRAQWWGVSVFIYKFKYGGVDNQWYNGQTFLNTHIDESFTKDKPQQPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEVSKNSCKFVGLENMSSYVMLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDEDLIVSIAYNGWKSKIPVDEDVLQFMLKTGYYDDDFNGAFKFSHDTSSHYMARARQLNKATYGAPHLVDSKLKKALPGLVSKLDDKES >ONIVA10G12990.4 pep chromosome:AWHD00000000:10:13691335:13699276:1 gene:ONIVA10G12990 transcript:ONIVA10G12990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEVSKNSCKFVGLENMSSYVMLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDVDLMEFISLMHEPDTTRT >ONIVA10G12990.5 pep chromosome:AWHD00000000:10:13691335:13695478:1 gene:ONIVA10G12990 transcript:ONIVA10G12990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEVSKNSCKFVGLENMSSYVMLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDVDLMEFISLMHEPDTTIDQLLEHPLLLLPQKRELVYRKSWIRDLSNDQEDLIVSIAYNGWKSKIPVDEDVLQFMLKTGYYDDDFNGAFKFSHDTSSHYMARARQLNKATYGAPHLVDSKLKKALPGLVSKVYALSLNDAWQVSSL >ONIVA10G12990.6 pep chromosome:AWHD00000000:10:13691335:13695478:1 gene:ONIVA10G12990 transcript:ONIVA10G12990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEVSKNSCKFVGLENMSSYVMLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDVDLMEFISLMHEPDTTIDQLLEHPLLLLPQKRELVYRKSWIRDLSNDQEDLIVSIAYNGWKSKIPVDEDVLQFMLKTGYYDDDFNGAFKFSHDTSSHYMARARQLNKVRISN >ONIVA10G12980.1 pep chromosome:AWHD00000000:10:13683466:13683991:1 gene:ONIVA10G12980 transcript:ONIVA10G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPPHSNHAHHRSAPLTGNLHRCHHPRSTGAIGACLADCHRARSLPPSASPPLRRSPEPGETHRAAPSLGRGLRRNPCRQPSGPAPSGDLLQRWQEKVEKGEKEKGNGEREEVGGGAAAGGGCGAGAGEVGNEGKSAGSLFSSWVAS >ONIVA10G12970.1 pep chromosome:AWHD00000000:10:13676881:13683219:1 gene:ONIVA10G12970 transcript:ONIVA10G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAERVEAAGGGDDDYTQDGTVDLHGNPVLRSKRGGWKACGFVVVYEVFERMAYYGISSNLVLYLTTKLHQGTVSSANNVTNWVGTIWMTPILGAYIADAHLGRYRTFMIASLIYLIGMSLLTLAVSVPSLKPPKCGAGTADPGCSEKASSLQLGVFFLALYILAVGTGGTKPNISTIGADQFDDHHPRERRHKLSFFNWWMFSIFFGTLFANTVLVYLQDTLGLAVSIAIFTAGTPFYRHKPTSGSSFARMARVIVAAARKLAVTLPDDARELHELDDPEQGGGEEDHAAAVHAILSKAAVKTNTTSRWSLSTVMQVEETKQILKMLPVLAVTFVPAAMMAQVNTLFVKQGTTLDRRVGGGSFEIPPASLQAFVTISMLVSVVLYDRVFMPLMARATGNPRGITLLQRMGVALVIHIAIMGIASATERHRLAVARVHGVADSKGTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSLLLSTVAHVTRRHGGGGGWIQNNLNASRLDHYYAFFAVLNCVNLVFFFLVCRLYVYNAEVSRVVDVGGSGSGGEVLRPKEVAMTLVESNCACFAGFLSLRRP >ONIVA10G12970.2 pep chromosome:AWHD00000000:10:13676881:13682037:1 gene:ONIVA10G12970 transcript:ONIVA10G12970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAERVEAAGGGDDDYTQDGTVDLHGNPVLRSKRGGWKACGFVVVYEVFERMAYYGISSNLVLYLTTKLHQGTVSSANNVTNWVGTIWMTPILGAYIADAHLGRYRTFMIASLIYLIGMSLLTLAVSVPSLKPPKCGAGTADPGCSEKASSLQLGVFFLALYILAVGTGGTKPNISTIGADQFDDHHPRERRHKLSFFNWWMFSIFFGTLFANTVLVYLQDTLGLAVSIAIFTAGTPFYRHKPTSGSSFARMARVIVAAARKLAVTLPDDARELHELDDPEQGGGEEDHAAAVHAILSKAAVKTNTTSRWSLSTVMQVEETKQILKMLPVLAVTFVPAAMMAQVNTLFVKQGTTLDRRVGGGSFEIPPASLQAFVTISMLVSVVLYDRVFMPLMARATGNPRGITLLQRMGVALVIHIAIMGIASATERHRLAVARVHGVADSKGTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSLLLSTVAHVTRRHGGGGGWIQNNLNASRLDHYYAFFAVLNCVNLVFFFLVCRLYVYNAEVSRVVDVGGSGSGGEVLRPKEVAMVDTNL >ONIVA10G12960.1 pep chromosome:AWHD00000000:10:13637957:13642538:1 gene:ONIVA10G12960 transcript:ONIVA10G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAGAGDDEYTRDGSVDLRGNPVLRSKRGGWKACSFIVVYELFERMAYYGIASNLVIYLTEKLHQGTVEAANNVTNWSGTVFITPLIGAVVADAWLGRYWTFVAGSAVYLMGMLLLTLAVSVPALKPPPCDGGGGGACPRASALQLGVYFGGLYTIALGHGGTKPNISTIGADQFDDFHPPEKLHKLSFFNWWMFTIFLGILFSTTVLVYLQDNVSWTVGYGIPTLGLMVSVAVFLAGTPLYRHKVPQGSPLATMGRVVAAAVWKWRVPLPADSKELHELELEHYTTRRGFRMDATVSMAFLNKAAVKPGEGGGGSVARLPGWTLCTVTQVEETKQIVKLVPLLATMVVPCTLVAQAGTLFVKQGVTLDRRIGKFHVPPASLGAFVTATMLICIVLYDRFLVPAVRRRTKNPRGITLLQRISLGMLLQIVTMVVTSVVESQRLGYARRHGLVSTGGQLPVTIFILLPQFVLLGVADAFLVVGQIEFFYDQAPESMKSLGTAMSLTAYGSGNLLSSAILAAVERVTGGSKGRTPWVTNNLNASRLDYYYAFLATLAAANLLAFVVLSCKYSYRVESTETIDVDVAMDDVAQGGGVARGKSEAAPMA >ONIVA10G12950.1 pep chromosome:AWHD00000000:10:13621388:13634010:-1 gene:ONIVA10G12950 transcript:ONIVA10G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAPLVLRLCLFLCISLSLLLLSSPQRVTSLSLDADEDDHYYHYDGDEDDDNYYHDDDDDVFSGRPARRIYDGDDDENYYRNDEDDFSSRPARRLYDGGAVMPEKYNVLNGNSSNSSSGSAFCSLQFMDLSHNRFSGEIPAVKTSYNCSLESVHLAGNGFTGVFPSALKGCKTLVTLDIGNNNFFGGIPPWIGKGLSSLKILSLRSNNFTGEIPSELSHLSQLQLLDMTNNSLTGSIPTSFGNLTSMKNPKIVSSAGSLDGSTYQDRIDIIWKGQEIIFQKTLQLMTGNSLSECIPDELTNLQGLRFLNLSRNNLSCGIPENIGSLKNLESLDLSSNEISGAIPPSLAGISTLSTLNLSYNHLSGKIPTGNQLQTFTDPSIYSHNSGLCGPPLNISCTNASVASDERDCRTCEDQYFYYCVMAGVVFGFWLWFGMLLSIGTWSFPEFILKSGNVTYLDLSQNTLFGKIPDTLPEKLPNLRYLNLSINAFSGPIPASLGKLTKLQDLRMAANNLTGGVPEFLGSMPQLRILELGDNQLGGPIPPVLGQLQMLQRLDIKNSGLSSTLPSQLGNLKNLIFFELSLNQLSGGLPPEFAGMRAMRYFGIARNILTGDIPPELFTSWPELISFQVQSNSFTGKIPPELGKAKKLQYLYLFSNNFTGSIPAELGELVELSELDLSVNWLTGSIPKSIGRLSQLTRLALFFNELSGTIPPEIGNMTSLQMLNLNSNQLDGELPPTMTLLRNLNYIDLFGNKLSGIIPSDLGRGVRLIDVSLANNSFTGDISEAFSDHPSLTYLDLSYNRFTGNLPENLWSLPALKFLDLSNNGFSGEISSTTSSNIPLETLYLANNDLRGVFPSVIKQCRSLIALDLGSNMFFGHIPIWIGTSVPLLQVQHFSVVSRRTEPSNNRNQDEYGDRVNIFWKGREQIFQRTVDSVVGIDLSSNSLTEDIPEELTYLQGLLFLNLSRNTLSGSIPGRIGSLKLLEYLDLSSNELSGVIPPSISNLLSLSMLNLSNNRLWGEIPTGSQLQTLVDPSIYGNNLGLCGFPLSIACHASTLDEKNEDHEKFDMSLYYSIGRSYAKVAHIKALFRCKSASK >ONIVA10G12950.2 pep chromosome:AWHD00000000:10:13621388:13634490:-1 gene:ONIVA10G12950 transcript:ONIVA10G12950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRRRDAGAGGRRRGGDRSAIIPRASHQGNSLSECIPDELTNLQGLRFLNLSRNNLSCGIPENIGSLKNLESLDLSSNEISGAIPPSLAGISTLSTLNLSYNHLSGKIPTGNQLQTFTDPSIYSHNSGLCGPPLNISCTNASVASDERDCRTCEDQYFYYCVMAGVVFGFWLWFGMLLSIGTWRYAIFSFVDGMQCKVMQKVSSVDKFLSRGNTDHFPEFILKSGNVTYLDLSQNTLFGKIPDTLPEKLPNLRYLNLSINAFSGPIPASLGKLTKLQDLRMAANNLTGGVPEFLGSMPQLRILELGDNQLGGPIPPVLGQLQMLQRLDIKNSGLSSTLPSQLGNLKNLIFFELSLNQLSGGLPPEFAGMRAMRYFGIARNILTGDIPPELFTSWPELISFQVQSNSFTGKIPPELGKAKKLQYLYLFSNNFTGSIPAELGELVELSELDLSVNWLTGSIPKSIGRLSQLTRLALFFNELSGTIPPEIGNMTSLQMLNLNSNQLDGELPPTMTLLRNLNYIDLFGNKLSGIIPSDLGRGVRLIDVSLANNSFTGDISEAFSDHPSLTYLDLSYNRFTGNLPENLWSLPALKFLDLSNNGFSGEISSTTSSNIPLETLYLANNDLRGVFPSVIKQCRSLIALDLGSNMFFGHIPIWIGTSVPLLQVQHFSVVSRRTEPSNNRNQDEYGDRVNIFWKGREQIFQRTVDSVVGIDLSSNSLTEDIPEELTYLQGLLFLNLSRNTLSGSIPGRIGSLKLLEYLDLSSNELSGVIPPSISNLLSLSMLNLSNNRLWGEIPTGSQLQTLVDPSIYGNNLGLCGFPLSIACHASTLDEKNEDHEKFDMSLYYSIGRSYAKVAHIKALFRCKSASK >ONIVA10G12950.3 pep chromosome:AWHD00000000:10:13621388:13634010:-1 gene:ONIVA10G12950 transcript:ONIVA10G12950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAPLVLRLCLFLCISLSLLLLSSPQRVTSLSLDADEDDHYYHYDGDEDDDNYYHDDDDDVFSGRPARRIYDGDDDENYYRNDEDDFSSRPARRLYDGGAVMPEKYNVLNGNSSNSSSGSAFCRLLSLQILDLSNNKLTGKLPDCWWNLQSLQFMDLSHNRFSGEIPAVKTSYNCSLESVHLAGNGFTGVFPSALKGCKTLVTLDIGNNNFFGGIPPWIGKGLSSLKILSLRSNNFTGEIPSELSHLSQLQLLDMTNNSLTGSIPTSFGNLTSMKNPKIVSSAGSLDGSTYQDRIDIIWKGQEIIFQKTLQLMTGIDLSGNSLSECIPDELTNLQGLRFLNLSRNNLSCGIPENIGSLKNLESLDLSSNEISGAIPPSLAGISTLSTLNLSYNHLSGKIPTGNQLQTFTDPSIYSHNSGLCGPPLNISCTNASVASDERDCRTCEDQYFYYCVMAGVVFGFWLWFGMLLSIGTWRYAIFSFVDGMQCKVMQKVSSVDKFLSRGNTDHFPEFILKSGNVTYLDLSQNTLFGKIPDTLPEKLPNLRYLNLSINAFSGPIPASLGKLTKLQDLRMAANNLTGGVPEFLGSMPQLRILELGDNQLGGPIPPVLGQLQMLQRLDIKNSGLSSTLPSQLGNLKNLIFFELSLNQLSGGLPPEFAGMRAMRYFGIARNILTGDIPPELFTSWPELISFQVQSNSFTGKIPPELGKAKKLQYLYLFSNNFTGSIPAELGELVELSELDLSVNWLTGSIPKSIGRLSQLTRLALFFNELSGTIPPEIGNMTSLQMLNLNSNQLDGELPPTMTLLRNLNYIDLFGNKLSGIIPSDLGRGVRLIDVSLANNSFTGDISEAFSDHPSLTYLDLSYNRFTGNLPENLWSLPALKFLDLSNNGFSGEISSTTSSNIPLETLYLANNDLRGVFPSVIKQCRSLIALDLGSNMFFGHIPIWIGTSVPLLQVQHFSVVSRRTEPSNNRNQDEYGDRVNIFWKGREQIFQRTVDSVVGIDLSSNSLTEDIPEELTYLQGLLFLNLSRNTLSGSIPGRIGSLKLLEYLDLSSNELSGVIPPSISNLLSLSMLNLSNNRLWGEIPTGSQLQTLVDPSIYGNNLGLCGFPLSIACHASTLDEKNEDHEKFDMSLYYSIGRSYAKVAHIKALFRCKSASK >ONIVA10G12940.1 pep chromosome:AWHD00000000:10:13606226:13609714:-1 gene:ONIVA10G12940 transcript:ONIVA10G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVHSFFLLLLVATAAIPGSVNAAASSQQTDALLAWKSSLAGPAALSGWTRATPVCTWRGVGCDAAAGGRVTTLRLRGLGLGGGLHTLELDFAAFPALTELDLNGNSFAGDIPAGISQLRSLASLDLGDNGFNGSIPPQLGDLSGLVDLCLYNNNLVGAIPHQLSRLPKIVHFDLGANYLTDQDFAKFSPMPTVTFMSLYDNSINGSFPDFILKSGNITYLDLSQNTLFGLMPDTLPEKLPNLRYLNLSNNEFSGRIPASLRRLTKLQDLLIAANNLTGGVPEFLGSMSQLRILELGDNQLGGAIPPVLGQLQMLQRLKIKNAGLVSTLPPELGNLKNLTFLEISVNHLSGGLPPTFAGMRAMLYFGISTNSLTGEIPPVLFTSWPELISFQVQNNSLTGKIPPELGKASKLNILYLFSNNLTGSIPAELGELENLTELDLSDNSLTGPIPSSLGNLKQLTKLALFFNNLTGVIPPEIGNMTALQSLDVNTNSLHGELPATIKDLRSLQYLAVFDNHMSGAIPADLGKGLALQHVSFTNNSFSGELPRHLCDGFALDHLTANYNNFTGTLPPCLKNCTALVRVRLEENHFTGDISEAFGVHPKLVYLDVSGNKLTGELSSDWGQCTNLTLLRMNGNRISGRIPEAFGSMTSLKDLGLSGNNLTGGIPLDLGHLNLLFNLNLSHNSFSGPIPASLGNNSKLQKIDMSGNMLNGTIPVALGKLGALTFLDLSKNRLSGKIPRELGNLVQLQTLLDLSSNFLSGWIPQAAFCKLLSLHILILSNNQLTGKLPDCLWDLENLQFLDLSNNAFSGEIPAAKASYSCSLTSVHLSSNDFTGVFPSALEGCKKLVNIDIGNNSFFGDIPIWIGKGLPSLKILSLKSNKFSGEIPSELSYLSQLQLLDMTNNGLTGLIPRSFGNLTSMKNPKLISSVELLQWSSNYDRINTIWKGQEQIFEINTFAIEIQLLTGISLSGNSLSQCIPDELMNLQGLQFLNLSRNYLSCSIPGNIGSLKNLESLDLSSNELSGAIPPSLAGISTLSILNISNNHLSGKIPTGNQLQTLTDPSIYSNNSGLCGLPLNNSCTNYSLASDERYCRTCEDQYLSYCVMAGVVFGFWLWFGMLFSIGTLRYAVFCFVDGIQCKVMQKVSCINQFLSRGNTAQYL >ONIVA10G12930.1 pep chromosome:AWHD00000000:10:13604004:13610341:1 gene:ONIVA10G12930 transcript:ONIVA10G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVEIEQKVEMTQIKWNTSCQIVSRQTEIFQACHAPKCFRNATGYAIAVHAQESEVGALSPIRRQLAGELVPGDVQVDQLGVHAEGLRDVAGEVVLLQPDTDQRRAVLQARRQRAGEVVVVRREVVQREAVAEVARQLAGEAVVGEAHVLQGQALAEVRRDGAAHVVVEHGEVLEAPEVLDGGWQLTVQTVGVDVEALQRRHVADLRRDYAGEIVEE >ONIVA10G12930.2 pep chromosome:AWHD00000000:10:13604004:13610341:1 gene:ONIVA10G12930 transcript:ONIVA10G12930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVEIEQKVEMTQIKWNTSCQIVSRQTEIFQACHAPKCFRNATGYAIAVHAQESEVGALSPIRRQLAGELVPGDVQVDQLGVHAEGLRDVAGEVVLLQPDTDQRRAVLQARRQRAGEVVVVRREVVQREAVAEVARQLAGEAVVGEAHVLQGQALAEVRRDGAAHVVVEHGEVLEAPEVLDGGWQLTVQTVGVDVEALQRRHVADLRRDYAGEIVEE >ONIVA10G12920.1 pep chromosome:AWHD00000000:10:13589171:13590538:-1 gene:ONIVA10G12920 transcript:ONIVA10G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPNTGGIPPVRLFPAKLRICNLVMLPNASGMRPDMRLPSMRRSVSFFALPSSGGILPVNELFCTWKDLSSGQLVNNDGGISPVRLFVEMPKSCIARIPANSGGKPPESWFKDSSKKVRFLRFPSCDGRVETRLEFLMSSRCSIWSRPRTGGIAPPSWLSPSSRILNCGIDPRNSGTPPVRLLPAIRRSCSIVNFPSDAGIGPENALMDRLRYLKFGSFSGSVFGICPNRVFCDRSR >ONIVA10G12910.1 pep chromosome:AWHD00000000:10:13588457:13593304:1 gene:ONIVA10G12910 transcript:ONIVA10G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRHAALRLLLLLVVVAAADAATEADALLAWKAGLQDGAAALSGWSRAAPVCAWRGVACDASAGGARVTSLRLRGGGLGGGLDALDFAALPALAELDLNGNNFTGAIPASISRLRSLASLDLGNNGFTDSIPPQLGDLSGLVDLRLYNNNLVGAIPHQLSRLPKIAHFDLGANYLTDQDFAKFSPMPTVTFMSLYLNYINGSFPDFILKSGNVTYLDLSQNTLFGQIPNTLPEKLPNLRYLNLSINAFSGPIPASLGKLTMLQDLRMAGNNLTGGVPEFLGSMPQLRILELGDNQLGGAIPPVLGRLQMLQRLDIKNSSLVSTLPSQLGNLRNLTFFELSLNQLSGGLPPEFAGMRAMQDFGISTNSLTGEIPPSLFTSWPELKSFQVQNNSLTGKIPPELGKAKKLQYLYLFTNHLTGSIPAELGELENLIQLDLSVNSLTGPIPSSLGKLKQLMKLALFFNNLTGVIPPEIGNMTALQSLDVNTNSLHGELPATITDLRSLQYLAVFDNHMSGAIPADLGKGLALQHVSFTNNSFSGELPRHICDGFALDHLTANYNNFTGALPPCLKNCTKLVRVRLEENHFTGDISEAFGVHPSLEYLDVSGSKLTGELSSDWGQCTNLTLLRMDGNRISGRIPEAFGSMTRLQILSLAGNNLTGGIPPVLGELSIFNLNLSHNSFSGPIPGSLSNNSKLQKVDLSGNMLDGTIPVAISMLDALILLDLSKNRLSGEIPSELGNLAQLQILLDLSSNSLSGAIPPNLEKLITLQRLNLSHNELSGSIPAGFSRMSSLESVDFSYNRLTGSIPSGNVFQNASASAYVGNSGLCGDVQGLTPCDISSTGSSSGHHKRVVIATVVSVVGVVLLLAVVTCIILLCRRRPREKKEVESNTNYSYESTIWEKEGKFTFFDIVNATDNFNETFCIGKGGFGSVYRAELSSGQVVAVKRFHVANTGDISDVNKKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYEYLERGSLGKTLYGEEGKKKMDWGMRVKVVQGLAHALAYLHHDCNPAIVHRDITVNNILLESDFEPRLCDFGTAKLLGGASTNWTSVAGSYGYMAPEFAYTMRVTEKCDVYSFGVVALEVMMGKHPGDLLTSLPAISSSEEDDLLLKDILDQRLDAPTGQLAEEVVFIVRIALGCTRANPESRPSMRSVAQEISAHTQAYLSEPFKLITISKLTDCQK >ONIVA10G12900.1 pep chromosome:AWHD00000000:10:13558671:13561864:-1 gene:ONIVA10G12900 transcript:ONIVA10G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYEARAIIRLLINKYYTDENIYRNRPCHPSIEQQGDMAHGAVFRLLSGLPESGRINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWKGYKVVYRRYASLYFCMCIDAEDNELEVLEIIHHFVEVLDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQDSLVEAAKEEASSISNIIAQATK >ONIVA10G12900.2 pep chromosome:AWHD00000000:10:13558671:13560440:-1 gene:ONIVA10G12900 transcript:ONIVA10G12900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVLGLRWMNFLPFAVVGLLQINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWKGYKVVYRRYASLYFCMCIDAEDNELEVLEIIHHFVEVLDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQDSLVEAAKEEASSISNIIAQATK >ONIVA10G12890.1 pep chromosome:AWHD00000000:10:13554986:13555423:-1 gene:ONIVA10G12890 transcript:ONIVA10G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSAQAVGSTLSWSSPWPGQDLAIGGEGLHEEWFAQLAGKTGHRHRQPLPRAHHQAKERQLLPHAPLTHAAELGVTTPPLAAAVPAARSSGHRLHVALTPRQPPPPPRGPCAAATASARPSHRCLRHRAAPLPTRAAQPRSG >ONIVA10G12880.1 pep chromosome:AWHD00000000:10:13522592:13523014:-1 gene:ONIVA10G12880 transcript:ONIVA10G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSAAGGVGGCAAALGGRRQLAGQGQAAARRRGRAAVRPREAGGQRSRAAGNKQVIGPGALVVHAQILMEAKMLQ >ONIVA10G12870.1 pep chromosome:AWHD00000000:10:13514132:13514557:1 gene:ONIVA10G12870 transcript:ONIVA10G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVVLSGSDGGHSVELHDGSESSFAVVHENAEISDATESNYDHVDSKIAAAKDTTFSGEDLDDETDDDIDQCFDEEDGICEENPDGEIFDDDEEIDCEEELDDDDDESLDGDDVECFDAEDIICKENPDDEIMSHLLLT >ONIVA10G12860.1 pep chromosome:AWHD00000000:10:13511400:13512569:1 gene:ONIVA10G12860 transcript:ONIVA10G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVVLSGSDGGDSVELHDGSDVGGSDTESSFAVVQVRGRAADTPAIAVEAVPSQPSPSPPGFFKTVSYGQAFSGIASEHVAASSHAPVLDAAEEDIAEVSPVIVGGEHENAEISDVVESNDDHVDSNIDAATEVTTFSGEEDLDDETDGDIECFDEEDGICEENPDDEIFDDEEESDPEEEDIGSSDLETDSDEYIESTDEESDYEEEDTTDLESDSDEDTESTDEESDYEEEIDDEEIDDESVEEDINLDDELMGFASGLFGDDDTESSTPSQFRQAMQQAAARDQAAEAMVRAADVIDSYMRAAAGGLAAHDVEALSQGATSLRAMAAAPSFAVGVDVSASNAAAATAAAFLPDTLALQDGVVSLGVFYLLFGVVYLLLRICALN >ONIVA10G12850.1 pep chromosome:AWHD00000000:10:13495105:13495991:1 gene:ONIVA10G12850 transcript:ONIVA10G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVVLSDSDGDSVELHDGSESSFAVVHENAEISDAAESNCGHVDSKIAAAKDTTFSGEEDLDDETDDDIECFDEEDGICEENPDDEIFDDEEELDDDDESLDDDDIECYDVEDKICEENPDDEIFDDEEEIDCEEDLDDDDDDCESLDDDDIECFDAEDIIYLETRTMKSKDIICEENPDDEIMSHLLT >ONIVA10G12840.1 pep chromosome:AWHD00000000:10:13482294:13488118:1 gene:ONIVA10G12840 transcript:ONIVA10G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) TAIR;Acc:AT3G60830] MEAVVVDAGSKLLKAGIALPDQSPSLVMPSKMKLEVEDGQMGDGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYSNIGWEIGDEGQILFTEPLFTPKVIGFVVHLNVFIVLRCKPVDLADNWSKLILTHYFTCRNVKLRIFRVICMFPHLLLCSLQALREQLAQLMFEKFNVSGFYDSEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHIASKRFDIGGTDLTNLFAEELKKSNSSVNIDISDVERLKEQYACCAEDQMAFEAIGSSCRPERHTLPDGQVITIEKERYIVGEALFQPHILGLEDYGIVHQLVTSVSNVTPEYHRQLLENTMLCGGTASMTGFEDRFQREANLSTSAICPSLVKPPEYMPENLARYSAWLGGAILAKVVFPQNQHVTKGDYDETGPSIVHKKCF >ONIVA10G12830.1 pep chromosome:AWHD00000000:10:13478845:13482286:-1 gene:ONIVA10G12830 transcript:ONIVA10G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14080) TAIR;Acc:AT5G14080] MAPPPATSRFLLLPPRPAASRGISGQPPPSPHAAAPTSTPQAFHAHLASLAAPSRAAHPATLRSILAALSRARAAGLPLLPATRVLAASALLRHGRLPDALAHFGLVPDSAALPAPLCNSLLAALASSGSLVSARKVFDRMIGRAVELDTVGFGVFVKNVGRRHGLAEVLRLVQAVRHQGDRVDRSVVAVMVADGLCREGRIEDAWQALEDMRFQGWKPDFVAYRVVSEAFREAGRTEEEGRILKQKRKLGVAPRKEDYREFMFALLSSRQIGEAKEIAEAIVLGDFPINDDVLNALICSVSEIDVDAAVMFCKFMIGKERFPSSDMLIHLCESLCTNGKGDDMWEFFRVLLDKGYCTDVRDYHLVVSFLGKAGKVREAYDVLKEVKRKRLEPDISSYNSLMESLCRKNLLRPAKKLWDEMFTSGCSPNLQTYNILITKFAEINESEQAQQLFDRMFQKGVSPDVTTYTSVINMLCQEKKYEQAVEIFTKCVVQDTEVASSVLTVFILALCKQGNFKGALSVLSCIPSNVESVNSHVILLKCLIDVGEVDLAIEHIKSIRSNFSSSFQNIINELMASLSTSASLQPVTRPKCVGKVEEEAEERQESLVQCRELGVFLRPGRPIQERPHPNFVSFFYQQILNFFLFGGFI >ONIVA10G12830.2 pep chromosome:AWHD00000000:10:13479468:13482286:-1 gene:ONIVA10G12830 transcript:ONIVA10G12830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14080) TAIR;Acc:AT5G14080] MAPPPATSRFLLLPPRPAASRGISGQPPPSPHAAAPTSTPQAFHAHLASLAAPSRAAHPATLRSILAALSRARAAGLPLLPATRVLAASALLRHGRLPDALAHFGLVPDSAALPAPLCNSLLAALASSGSLVSARKVFDRMIGRAVELDTVGFGVFVKNVGRRHGLAEVLRLVQAVRHQGDRVDRSVVAVMVADGLCREGRIEDAWQALEDMRFQGWKPDFVAYRVVSEAFREAGRTEEEGRILKQKRKLGVAPRKEDYREFMFALLSSRQIGEAKEIAEAIVLGDFPINDDVLNALICSVSEIDVDAAVMFCKFMIGKERFPSSDMLIHLCESLCTNGKGDDMWEFFRVLLDKGYCTDVRDYHLVVSFLGKAGKVREAYDVLKEVKRKRLEPDISSYNSLMESLCRKNLLRPAKKLWDEMFTSGCSPNLQTYNILITKFAEINESEQAQQLFDRMFQKGVSPDVTTYTSVINMLCQEKKYEQAVEIFTKCVVQDTEVASSVLTVFILALCKQGNFKGALSVLSCIPSNVESVNSHVILLKCLIDVGEVDLAIEHIKSIRSNFSSSFQNIINELMASLSTSASLQPVTRGPSKQFPPSKQKDEK >ONIVA10G12820.1 pep chromosome:AWHD00000000:10:13470307:13475402:1 gene:ONIVA10G12820 transcript:ONIVA10G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSTDNELVELLWHNGGVVAQPQAAQARVVSSSGRGQSASVLTGDDTETAAWFPDTLDDALEKDLYTQLWRSVTGDAFPAAAAAGPSSHHAPPPDLPPPAARPPMRSGIGSSWTGDICSAFCGSNHIPETAAQRCRDAGAALPPERPRRSSTHDGAGTSSSAGSGSNFGASGLPSESASAHKRKGREDSDSRSEDAECEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDEAIEYLKSLQMQVQV >ONIVA10G12810.1 pep chromosome:AWHD00000000:10:13466500:13466985:1 gene:ONIVA10G12810 transcript:ONIVA10G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSFMAMAMAMACVLLAASSSRTCYAARMLADTPATAAAAAAPPAALPVLPAVPALPTTLPPMPAIPAVPQAALPPMPAVPTVPAVVPNAAALPPMPAVPAIPAVPKVSLPPMPAVPAVTLPPMPAGIPAVPAVTLPPMPSIPTVNVPMPFQAPPPSA >ONIVA10G12790.1 pep chromosome:AWHD00000000:10:13464143:13464592:1 gene:ONIVA10G12790 transcript:ONIVA10G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSSLAVAAMVAVVLLLLGATATSTQAARLLDEELPTAAIPAIPGVPGVPAVGPGIPVIPGVPGVPVVGPGIPFVPVIPGVPVIVPIIPGVPMIAGMTTLPVPPFVPPIDPGAGAGFPGVPPASSTTVQEDPQPPMPSVVPPVP >ONIVA10G12780.1 pep chromosome:AWHD00000000:10:13461893:13462498:1 gene:ONIVA10G12780 transcript:ONIVA10G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSSLAVATMVAVVLLLGATTQAARLLDELVPGIPMPTIPGVPAVGPTIPAIPTIPGVPAVGPTIPTIPTVPTIPGVPAVGPTIPTIPTIPGVPAVGPTIPTIPGVPTIPTVPGVPELPVNPGGVVPTIPKVPLPPVNPGAVVPAVPALPVPPIPGAAGGVVPTLPVPPLPAVPGVPLPEVPGVPLPPVPSVVPPVP >ONIVA10G12770.1 pep chromosome:AWHD00000000:10:13445436:13446455:1 gene:ONIVA10G12770 transcript:ONIVA10G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSKLFYPSMAEALEAEAKAKKKKNGANKIRSIGRLPTPSIHYQPHVSATSNPYNFTNVFALFGENKNKILFSDMEGHSSTYNTELHSFMIMPDLNSPKGPNCLAAHITRTAAHARYDFDIRPDVDYDFFAYNPHGEHTDSLYLMDMDQGKPSSFELLAYYPVGEWQWCSLPLPPFFDDPEHKACSNISYAVIDGTRICISSATATYSFDTVALEWSKIGDWVFPFHAKAEYIPELKLWLGLSASSPSDLCALDLSTAAMDSCDVLPMVHHVGLDADFPEGWSLKNRTLVNLGMGRFCITMFFHTADDGPQVIVFTGVDVLPCGDNQQGGQALHRIKH >ONIVA10G12760.1 pep chromosome:AWHD00000000:10:13438758:13442810:-1 gene:ONIVA10G12760 transcript:ONIVA10G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ITB3] MWRENLGDSTERKVRYDKMLEHPESATEKIMSSIMDTIAENLPKQKSGKFDVGAASDKMKEKLFGRQKTIHRVLGGGKPMCDALSVLVAADVLLWRNKKISSSVLALATAIWVFFEWLDYHFLTIVSFALVLGMVVQFVWSNFSSALSGAHTLPVLYEKYEDQVDDFLYNILGLLRDQYQKLDQGVLSKIPKGNMKFKKSERPRKPKRRNAMEKKLSILVCLLLLAVSGHGLRILHDVDGDFGQGFAFGSKAAAADETEPLDPLLDDYENEISHLEFEPVDAGSTPYAAGDADAAAPAPGPAAEAGSAAGSDSMKWWLPPSTIPSFPLFPGMPGLGMPLPGIPFKPIGWGSPAAPGQYAPDPPAGAGADGDADPSAASQVIN >ONIVA10G12750.1 pep chromosome:AWHD00000000:10:13433820:13435367:-1 gene:ONIVA10G12750 transcript:ONIVA10G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44520) TAIR;Acc:AT5G44520] MDAVAGASVSSSSARLRPCPRPRRIGNVAPATVRRRSRRRAVTCAAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADIIEEDTMTAVIGRRKTESGEPSFMVEKGIVKSADKLAFIIGHEKYVKGIEGSIPVLVKSVWRRPSIGTAGPLGGDFPLVTKEGHHVLDVIFTTPIPDLGKVAESLEKIAGVVDHGIVSSIP >ONIVA10G12740.1 pep chromosome:AWHD00000000:10:13431944:13432631:-1 gene:ONIVA10G12740 transcript:ONIVA10G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELVLGRIQAICRLSGHRQLQLFHGEGLRRLSPASYQSFCSSACGQVDTTIKDQYRFDRFSDPQVAHEDRQFIEFLDRMLDAIRNPQSLARIQREKLPKDLKILDDDI >ONIVA10G12730.1 pep chromosome:AWHD00000000:10:13427275:13432602:1 gene:ONIVA10G12730 transcript:ONIVA10G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21300) TAIR;Acc:AT4G21300] MRFPQRRLLIRRVDLTPPPRPCCLRTTTFSTTAAAASPDRRLLAVLRGCVSPSHLSLGLQVHGRAVTAGLHATDTALQTRLVGMYVLARRFRDAVAVFSSLPRGAAACALPWNWLIRGLTMAGDYRSTLLFYLKMWAHPSAPLPDSHTFPYVVKSCAALGAIALGRLVHRTARTLGLDGDMFVGSALIKMYANGGLLWDARQVFDGMAERDCVLWNVMMDGYVKAGSVSSAVELFGDMRASGCEPNFATLACFLSVSATESDLFFGVQLHTLAVKYGLESEVAVANTLVSMYAKCKCLDDGWKLFGLMPRDDLVTWNGMISGCVQNGFVDQALLLFCDMQKSGIRPDSVTLVSLLPALTDLNGFNQGKELHGYIVRNCVHMDGFLVSALVDIYFKCRAVRMAQSVYDSSKAIDVVIGSTMISGYVLNGMSQEAVKMFRYLLEQGIRPNAVAIASVLPACASMAAMKLGQELHSYALKNAYEGRCYVESALMDMYAKCGRLDLSHYIFSKISAKDEVTWNSMISSFAQNGEPEEALNLFREMCMEGVKYSNVTISSVLSACASLPAIYYGKEIHGVVIKGPIRADLFAESALIDMYGKCGNLEWAHRVFESMPEKNEVSWNSIIASYGAYGLVKESVSLLRHMQEEGFKADHVTFLALVSACAHAGQVQEGLRLFRCMTEEYQIAPRMEHFACMVDLYSRAGKLDKAMELIVDMPFKPDAGIWGALLHACRVHRNVELAEIASQELFKLDPHNSGYYVLMSNINAVAGRWDGVSKVRRLMKDTKVQKIPGYSWVDVNNTSHLFVAADKSHPDSEDIYMSLKSLLLELREEGYIPMPDLCCPTHLDSSTQIFWKLLTLYACKALRISDCIQHPLAGERRRSPSPWNSCSWRWPERRQIA >ONIVA10G12730.2 pep chromosome:AWHD00000000:10:13427275:13435743:1 gene:ONIVA10G12730 transcript:ONIVA10G12730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21300) TAIR;Acc:AT4G21300] MRFPQRRLLIRRVDLTPPPRPCCLRTTTFSTTAAAASPDRRLLAVLRGCVSPSHLSLGLQVHGRAVTAGLHATDTALQTRLVGMYVLARRFRDAVAVFSSLPRGAAACALPWNWLIRGLTMAGDYRSTLLFYLKMWAHPSAPLPDSHTFPYVVKSCAALGAIALGRLVHRTARTLGLDGDMFVGSALIKMYANGGLLWDARQVFDGMAERDCVLWNVMMDGYVKAGSVSSAVELFGDMRASGCEPNFATLACFLSVSATESDLFFGVQLHTLAVKYGLESEVAVANTLVSMYAKCKCLDDGWKLFGLMPRDDLVTWNGMISGCVQNGFVDQALLLFCDMQKSGIRPDSVTLVSLLPALTDLNGFNQGKELHGYIVRNCVHMDGFLVSALVDIYFKCRAVRMAQSVYDSSKAIDVVIGSTMISGYVLNGMSQEAVKMFRYLLEQGIRPNAVAIASVLPACASMAAMKLGQELHSYALKNAYEGRCYVESALMDMYAKCGRLDLSHYIFSKISAKDEVTWNSMISSFAQNGEPEEALNLFREMCMEGVKYSNVTISSVLSACASLPAIYYGKEIHGVVIKGPIRADLFAESALIDMYGKCGNLEWAHRVFESMPEKNEVSWNSIIASYGAYGLVKESVSLLRHMQEEGFKADHVTFLALVSACAHAGQVQEGLRLFRCMTEEYQIAPRMEHFACMVDLYSRAGKLDKAMELIVDMPFKPDAGIWGALLHACRVHRNVELAEIASQELFKLDPHNSGYYVLMSNINAVAGRWDGVSKVRRLMKDTKVQKIPGYSWVDVNNTSHLFVAADKSHPDSEDIYMSLKSLLLELREEGYIPMPDLCCPTHLDSSTQIFWKLLTLYACKALRISDCIQHPVQEFNELAIFMSNLRI >ONIVA10G12720.1 pep chromosome:AWHD00000000:10:13423330:13426288:-1 gene:ONIVA10G12720 transcript:ONIVA10G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related [Source:Projected from Arabidopsis thaliana (AT1G56050) TAIR;Acc:AT1G56050] MSRRALGSAFAGFTRTPAMTPTATLPSSCASPARLLRWRRSAGVGARRFASGRNARISMSLRAGIVGLPNVGKSTLFNAIVRNLPSASSEEFRAVMNSFLAGSNSRRKKAILIICAFEEFFSIHGLVLVEDEVENGKAQAANFPFCTINPNVGVVAIPDARLHVLSKLSKSKETIPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVSGKVDPKSDIDVINLELIFSDLDQIEKRLDKLKKSKTKDQQVKVKIPTVLTTQEQAERTGLEKIQTVLMDGKPARSVDLADHEKEAIQHLCLLTMKPVIYVANVTESDLAEPGNNPHVKEVAKLATDLESGMVTISAQVEAELAELPLEERVEYLKSLGVTESGLGNLVKATYDLLGLRTYFTTGDKETKAWTILAGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVAAGSLGVAREKGLLRLEGKDYIVQEGDVMLFRFNV >ONIVA10G12710.1 pep chromosome:AWHD00000000:10:13419612:13421967:-1 gene:ONIVA10G12710 transcript:ONIVA10G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT5G44450) TAIR;Acc:AT5G44450] MDSRGFDSEGREFSSATEMWAHEIGAAADAPVSAAVAEPAPAPAAGSNGVAGEQEAGGGGKREEWYSKAIAYWQGVEASTEGVLGGYGCVNDVDVKGSDAFLRPLLAERFGAARRHLVALDCGSGIGRVTKNFLLRHFNEVDLVEPVSHFLEAARENLTECMEVGEDTHKAANFYCVPLQDFTPDEGRYDVIWIQWCIGQLPDDDFISFFNRAKIGLKPNGFFVLKENIARNGFVLDKEDNSITRSDAYFKELFKKCGLYIHSIKDQSDLPKELFAVKMYALVTEKPKIQKNGKRRRPKNSPRMIRS >ONIVA10G12700.1 pep chromosome:AWHD00000000:10:13414175:13417383:1 gene:ONIVA10G12700 transcript:ONIVA10G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTRTKWFAVPTIWLPVVCWLLVKSIRMGHTIQEVGLMALFGIFIWTLIEYTLHRFLFHIETKTYWANTAHYLLHGCHHKHPMDSLRLVFPPTATAILCVPFWKLVAFFATPTTTPALFGGGLLGYVMYDCTHYYLHHGQPSKEPAKNLKRYHLNHHFRIQNKGFGITSSLWDYVFGTLPPSKTTGKNN >ONIVA10G12690.1 pep chromosome:AWHD00000000:10:13410022:13413379:1 gene:ONIVA10G12690 transcript:ONIVA10G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0ITA5] MREILHIQGGQCGNQIGAKFWEVICDEHGVDATGRYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMAATFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEDEEEEEAEAE >ONIVA10G12680.1 pep chromosome:AWHD00000000:10:13404007:13409624:1 gene:ONIVA10G12680 transcript:ONIVA10G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-5'-monophosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ITA4] MAASSADLANDGFPAPRLFSQGVSYTYDDVIFLPGYIGFPADAMDLSTRLSRRIPLSIPCVASPMDTVSEAAMAAAMASLGAAAVVHCNTEPHLQASIVRAAKSRRLPFVSSVPLFSPASTPSLSDFAGHDYGLVTERGDSLSKLVGVAVAAETSSRQAPLPVSEYMRPAPRSVSASFDFEQAAAFLADEGLDYAPLVSDDGEVIDLITVNDVERIRSYPKLGKPSLGADGKFVVAASIGTREDDKRRLEQLVKAGANAIVVDSSQGNSIYQIDMIKYAKKMYPEVDLIGGNVVTIAQAQNLVASGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASYAKDHNVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSHEAPGTYEYKDGHRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHELLRSETIRLEVRTGAAQVEGGIHGLVSYEKKAF >ONIVA10G12670.1 pep chromosome:AWHD00000000:10:13399275:13401876:-1 gene:ONIVA10G12670 transcript:ONIVA10G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRSHSNASSGMGVAPDIRDTFLELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLASLPENDCRYALYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYSTSKDRIKQELDGFHYEIQATDPTEVDLEVLRERAH >ONIVA10G12660.1 pep chromosome:AWHD00000000:10:13397649:13398037:1 gene:ONIVA10G12660 transcript:ONIVA10G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIFSVHRGRIPVLVGEGEEMKRVVIHMEELHHPYFFVLLELAAMEFGHEQEGVLRIPCSIEQFQAIVELIRSSMLKMLMENWGGREMVIKELPAAFCVFSIVLIFWYQRFSTVIDSYY >ONIVA10G12650.1 pep chromosome:AWHD00000000:10:13394782:13397550:-1 gene:ONIVA10G12650 transcript:ONIVA10G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMISVGDLTVRDAAAATTFLQNPRDPGDKIHLPHLPEDLPSKSTCVLSGKPTIPGCVALLVEPFATVIWYLHVGEEDGEWTRHEYDIGTQPLDPPIDGEDHEKVPICSIAACRGKFYFNGTLSDIGVLEFSPSAAATSTSPVFSSLELAGEFEVVYRAKVFLVEAGEDLYMVMLVYRGFRCDKTGYETRVYRMDFSEQPPRWRTAGDLAVGAFLLSPWYFGATCSARELGLHEDCVYAFVPGDDEVPTCLKMSSVKDGWDDFVDVPAAHRALWILPTDPYIV >ONIVA10G12640.1 pep chromosome:AWHD00000000:10:13392093:13392308:-1 gene:ONIVA10G12640 transcript:ONIVA10G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLVISLPFIFFSILLGFGCYFLGKHKGREEMRTGVGAQVYGTPLPPPGVGAPPPEPFTAKKDGPENLV >ONIVA10G12630.1 pep chromosome:AWHD00000000:10:13375133:13392011:-1 gene:ONIVA10G12630 transcript:ONIVA10G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCISDEKEIEGGRDIAALTSSKSWVTPQGRILVRDTAAATTGVRLPCLALEHAGGGSDKPVLFSISERKVIDGGGDIPGLTNANAWETPQGWILVRAAAAATFLQNPRDSYDTIPLPHLPQDDLPPRRCTCLLSGKPAGGDGGCVVLLVHPFSTVFWHCRVGGDGDGEWAKHEYDIGTQSVDDASLRVEKVPICPVAAARHGAFYFNADAAGNLGVLDLASAAPAFASLDIDAGELGDVDHAHFFLVESQGELYMVSLVYELGGAGMTDCETRVHRLSEHEQPPRRRWRRARDLGGGRAFVMAPWYFAASCGLEADCVYMFYPGEDACVKISSVRERGGEEFVGLPPANRASWILHSTIFGMAPLPVPCLALQQQQHGPESVKTTLFNIFEGQDIACDIDALTNNSSKFWVTPQGWILVHDKTSLSTFMFSPLNPDEKVQMPHLPDDLPRTSSCLLSDKPTLPGCIVLLVEPNANVIWHCGVDGKKWARHEYDIGTQLLDPVSDLHEKVPICPIAACRGKFYFNSESLTDIGVLEFSPTPAFSSLELGGELEAANRAKVFLVGSEEELYMVSLVYGFGCDMIDGETQVHKMDFSEQRWCRADDLGGRAFLLAPGYFGASCSADDRGLEADCVYMFYPGDKACLKISNVKDGGVEFMEVPAARRALWKRGIEVDNTTLTVENASPVVSVPVPCLSMEQRDESADKPVLFSISDKKAIIDGDIPGLTNANAWFTPQGWILLRLSTATFLQNPQDSQDKIHLPHLPEGLSTRCSCQLSGKPSLPGCIVLVVEPIATVIWYCRIGDDEWTRHEYDIGTLPFDPPIDGKDHDDVAICQIAACHGNFYFNGFFDTIGVLEFTPTPVFSSIEIVDPTPGGLGVTGAAHVYLVESEDELYMVCLRIDYEFTIYDMTVHKMDFLSRQWRRADEIGGRTFFLAPLYFGASCSADEYGLEKDSVYASYAVDKCFEVSKVEDDETEVHDLIDAPDSKREMWILPLRPVIAVIDSESVCTYSD >ONIVA10G12620.1 pep chromosome:AWHD00000000:10:13372706:13374760:-1 gene:ONIVA10G12620 transcript:ONIVA10G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTALLYCKPTMPGCVVFLVEPVGTIIYLHVGEEDGEWTRHEYDIGTQPLDPPIDGKDHEKVPICSIAAFQGKFYFNGDFESIGVLEFSPEPTFSSITITDPIIGGLGVVGMANVYLVESLDELYMVCQMYDSDMETIYDVTVYRMDFLKQQWCVAEDIGGHGDSYKKFALVDSPGPETDW >ONIVA10G12610.1 pep chromosome:AWHD00000000:10:13371508:13372757:1 gene:ONIVA10G12610 transcript:ONIVA10G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADALVVAGQAKARHPLSQIAESGTHRLLLKQWLKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASILLLFLSASASTSAACRRSWIPCLVSLLSSLAMLWALRYKADTEAVLERLLAREREDALLLGKCVAELKRKGLEFDLLKEVDALRRAKSLRVEAKGGAGGERPKRWAARDLAVFLLFGAACGVLVLTRGSDVQNYLPWDKDFLLEQKIVMHYYQSVSGPGLSTSANFL >ONIVA10G12600.1 pep chromosome:AWHD00000000:10:13355486:13356241:1 gene:ONIVA10G12600 transcript:ONIVA10G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVAAIRRKILAFLSHQNSQAIRIIRDFRDLMGLRGVCSIRDDPEPGKDISEKDLESDEAIWALYERWCEAYEKERDHAEMTRWFEMFKNNAEYIYSLNSEITLEAEQLILGPYCDGFNEKDKAEFLHDFGHFNGVHEFVE >ONIVA10G12590.1 pep chromosome:AWHD00000000:10:13352292:13353009:-1 gene:ONIVA10G12590 transcript:ONIVA10G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGKTSCKAAVFLAALVVMATAFSSSHAAQGQGNVGEEKMACKPLQGCNTEMCMGYCQTLSYQGGTCKHNDPDMCCCPY >ONIVA10G12580.1 pep chromosome:AWHD00000000:10:13341619:13342329:-1 gene:ONIVA10G12580 transcript:ONIVA10G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit F [Source:Projected from Arabidopsis thaliana (AT1G31330) TAIR;Acc:AT1G31330] MAALAAASTAFAAKPRLARAPSSPAARFSVSCSASGNNGGAGEMAQSLAASAKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKQSIKKLQSSLKKYAPDSAPALAINATIEKTKRRFENYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISGEKKPAMREIIIDVELAVKLLPRGFIWPVAAYRELITGNLVVDDADIGY >ONIVA10G12570.1 pep chromosome:AWHD00000000:10:13333859:13342132:1 gene:ONIVA10G12570 transcript:ONIVA10G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRERPLEVVAPPATPLSPSKRLRRAGLVVMWLRRKPKPVGVEQMAARLIRQMQVQMVKLFMLLMFLVARLGNVERLLLEQPYLIRRLLEEHFGIFQRSLMESIQDTVRKAVHSEASILDLIHMKERQTTLLSGGAYQHPRPNISEGFPQTGGSTRMVKLFFVDVERPEDPLFTGSPVQWQNGANAKVKIFENESQITQGGLSKLQIEILLVHDDFFTERGPANFTKEEFNKQIYMCKGKESVLKTVNLTNGEANLGSFFFTESSHGKRLRLAARVKYQDLAVRVQEATSYSFVVKDRRSKLNKKSNSPSKEEGIHCLKKISLKGKRCNDLAGKYITKVKHLMRCYHRDPAGLQKLTGMKNEDWNTMISHATTSDPGDEIHSYRVEKNTIIFFNDFFALVGMSVDGSYAPYHANNLNQLQQRKMNKWKESAYQKFEELEKLGCLIPDHVMINGQPVPVSPKNDASHSIQANPTCFNHQIALEESGREGPSMQHNGTSYSLTEENILNGLGSGLVQSTILSQNTAVVPGADPRHSGYASTSTADAAGTSCPVTDGVGPWDYPIFSDLYPNVMFQEPSGLIYGHVVEADQAFLPDSHELANADNQFTGGNDDSAPFD >ONIVA10G12570.2 pep chromosome:AWHD00000000:10:13333859:13341524:1 gene:ONIVA10G12570 transcript:ONIVA10G12570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRERPLEVVAPPATPLSPSKRLRRAGLVVMWLRRKPKPVGVEQMAARLIRQMQVQMVKLFMLLMFLVARLGNVERLLLEQPYLIRRLLEEHFGIFQRSLMESIQDTVRKAVHSEASILDLIHMKERQTTLLSGGAYQHPRPNISEGFPQTGGSTRMVKLFFVDVERPEDPLFTGSPVQWQNGANAKVKIFENESQITQGGLSKLQIEILLVHDDFFTERGPANFTKEEFNKQIYMCKGKESVLKTVNLTNGEANLGSFFFTESSHGKRLRLAARVKYQDLAVRVQEATSYSFVVKDRRSKLNKKSNSPSKEEGIHCLKKISLKGKRCNDLAGKYITKVKHLMRCYHRDPAGLQKLTGMKNEDWNTMISHATTSDPGDEIHSYRVEKNTIIFFNDFFALVGMSVDGSYAPYHANNLNQLQQRKMNKWKESAYQKFEELEKLGCLIPDHVMINGQPVPVSPKNDASHSIQANPTCFNHQIALEESGREGPSMQHNGTSYSLTEENILNGLGSGLVQSTILSQNTAVVPGADPRHSGYASTSTADAAGTSCPVTDGVGPWDYPIFSDLYPNVMFQEPSGLIYGHVVEADQAFLPDSHELANADNQFTGGNDDSAPFD >ONIVA10G12560.1 pep chromosome:AWHD00000000:10:13319720:13325985:-1 gene:ONIVA10G12560 transcript:ONIVA10G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAAASAAADADPSLRQGGDAADADEEEEEEEAAPSSAAGVGVGVGVEERCRAMMEVVKKDAVGGKWRVSKLVVEHNHEVEVAPCGEGEGEVAAAVPVMGMEFESVHAAKGFYYGYGERVGFKARTGSNRRSVGNGVMIMQRFLCSRGNYANRRNKANGLDELKEEEVQDGAAGKRKRGANNKRNPNPVKNNSEVIEVESSAEKGVGTAVPNNGQEARKMRGSKRGRTKKDVTEKDEKPVVELEAEKEDEVVAQDGDDVEEQKGEREEEMEEEVQVEVQEKRGRGRPRKADAEGNALQARVLRELGLRASQYTNEERKKIVSKYLSKRQSRPVSARPAKIASRQALAERRKRGDGGRFLSSEGLTQPSERRSKRLEKQNLKKEDKVYRILRRLKFRLGHRILHSNSDLALFRDFLAYHHLGRNQHLLLSSNLIYSNHPFIAVHKSPRPHLRTSSHCSFLAAILNWVTKPRIKASTPFQSGISCDLTKYGKGFLIKVQLPLIAIAFFLKLTSTFRANILWPVVVQYV >ONIVA10G12550.1 pep chromosome:AWHD00000000:10:13317044:13320870:1 gene:ONIVA10G12550 transcript:ONIVA10G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IT90] MDPSPGLQRRPAAAAAAALRGEGAEPPRGQRVLHGDIDPPPRARPAVQKLAIAAIVVLGCLQFLPATHFRDPADPQRNWIPFDRSRNPVDLPDEVGSVNVFSWISCLDLRTLAVLTNSTISSSSDPHNISFNFLIPERGTDKSPYYKLKAVLPDSNVTVASQKKIEDKLNVATPEGNLFWSFPNELSPIIIGTTQFSQKRYVYISADSIVKGKIEDLGRIDLGTYAIAAVEDCTKRIGDYVSIDVLNAVQKTAPKNLVYTEPYDKDACLLDFDVLVVEPRKLRKDLIDSIMVWFRAFSLANPRDQIRLAVTLALYDNYLKLPSNWKRADANSDILNYNGPKNVCSEGGRQLQEEGNGDEWQLYLDQKSLAVLS >ONIVA10G12540.1 pep chromosome:AWHD00000000:10:13313049:13314959:-1 gene:ONIVA10G12540 transcript:ONIVA10G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSTSSSSSWGPSPALVTAVVALLGLGLAAYIVGPQLYWHASEALGRSTGACPACDCDCDARPLLALPEDCSKQFKDVKSRASGEETEKSFTELLIEELKQREEEATQAQQQADVKLLEAKKLASQYQKEADKCSSGMDTCEEAREKSSEALVEQRKLTALWEERARELGWKPGNIKPHQT >ONIVA10G12530.1 pep chromosome:AWHD00000000:10:13311759:13312364:1 gene:ONIVA10G12530 transcript:ONIVA10G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKALGGRARSGEVDDGRRPRRGPRGGGGGRRMEEAERSLSGQEVVGLLVGGVGSEDEPMGAGGVRRPGARRRRRRGEQGGGSGLHSPPASPLRTEAAVVPPPACRAAPHPPARRAALLLPRSALVAAAAAAAAASSLAATSPAAGRPPFPRRSGDLFPHPPGGFATYRWIGMMTWRKGEEGKEVRMMTWHADMWGPCGSH >ONIVA10G12520.1 pep chromosome:AWHD00000000:10:13306672:13309294:-1 gene:ONIVA10G12520 transcript:ONIVA10G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKAEACQTPSGSHQSSILPDAMSSSWKECDASNQNDSEAVCKSIEVEVVGNDDSAINCGNKLTALDSPSFTCRDDINLVESKSLPISTPSEATAEIQTPATTHAPDQEELRNENNTRTCSEHTYEAVSSVEASGSCEKLRLESCQPNISDEDFKYAKNDSLVSVELSISNECSLFQSSEGSVSSCNKRRENSSTESVEKCLKSEPLVHSSRKKVLKGNDSEVEFPSLSQWLKPPNPKVFRDEPLTSDRSHSAKSSEEDRPIIGLVAAHWRDTEPDTFTPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDDKLLSQRKCSSGNTSQLSGLEGEENDTAASTSNYLCVAAIT >ONIVA10G12520.2 pep chromosome:AWHD00000000:10:13306674:13309420:-1 gene:ONIVA10G12520 transcript:ONIVA10G12520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWLLGCFRVAGYSGEGRRERERDQLVSSPSVSPPVDAPKVGERKRPPSKNALSAVFLREDEGSRVEHSTSLGANGITERTKVDQEHKNEVRLLIHRDALVETTNEIIKGPENTDQSQTHLTCLPAISDDLQFMEGLKAEACQTPSGSHQSSILPDAMSSSWKECDASNQNDSEAVCKSIEVEVVGNDDSAINCGNKLTALDSPSFTCRDDINLVESKSLPISTPSEATAEIQTPATTHAPDQEELRNENNTRTCSEHTYEAVSSVEASGSCEKLRLESCQPNISDEDFKYAKNDSLVSVELSISNECSLFQSSEGSVSSCNKRRENSSTESVEKCLKSEPLVHSSRKKVLKGNDSEVEFPSLSQWLKPPNPKVFRDEPLTSDRSHSAKSSEEDRPIIGLVAAHWRDTEPDTFTPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDDKLLSQRKCSSGNTSQLSGLEGEENDTAASTSNYLCVAAIT >ONIVA10G12510.1 pep chromosome:AWHD00000000:10:13301988:13305355:1 gene:ONIVA10G12510 transcript:ONIVA10G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSKEFARDQAAMDQKIKSDVGEVVLAGDEEEDGDVVLPGFRFHPTDEELVTFYLRRKVARKSLSIEIIKEMDIYKHDPWDLPNASTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAAVNSNSGESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAIAAADASPCMQEAEVWTICRIFKRSITYRKQQQQQAWRPPAPAPATVTVKAPPPGDSSSNTGSFESDGGGDEFMNCGLTPAISQQQQHGGRHQMMSTMSCNGGYFFNDGIHHSHSHHKLHSQWGSLQMAPPEPKPEPEQKPLSSPAMTIAFHQNDHGFPAAAADFYKDGYLEEIARMMEVADPSPTGFYDCRY >ONIVA10G12500.1 pep chromosome:AWHD00000000:10:13279498:13285340:-1 gene:ONIVA10G12500 transcript:ONIVA10G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHDAGTPPRRARDHARGVLVLHLLLVSASLRGTTAAPGEAEALLAWKDTLPRSAAAAAGVLGSWATPSPQQPNANPAVAACAWRGVACDASGVVVGVDVAGAGVAGTLDALDLSSLPGLAALNLSLNSLTGSFPSNVSSPLLSLRSIDLSSNNLSGPIPAALPALMPNLEHLNLSSNQFSGEIPASLAKLTKLQSVVLGSNLLHGGVPPVIGNISGLRTLELSGNPLGGAIPTTLGKLRSLEHINVSLAGLESTIPDELSLCANLTVIGLAGNKLTGKLPVALARLTRVREFNVSKNMLSGEVLPDYFTAWTNLEVFQADGNRFTGEIPTAIAMASRLEFLSLATNNLSGAIPPVIGTLANLKLLDLAENKLAGAIPRTIGNLTSLETLRLYTNKLTGRLPDELGDMAALQRLSVSSNMLEGELPAGLARLPRLVGLVAFDNLLSGAIPPEFGRNGQLSIVSMANNRFSGELPRGVCASAPRLRWLGLDDNQFSGTVPACYRNLTNLVRLRMAQNKLAGDVSEILASHPDLYYLDLSGNSFDGELPEHWAQFKSLSFLHLSGNKIAGAIPASYGAMSLQDLDLSSNRLAGEIPPELGSLPLTKLNLRRNALSGRVPATLGNAARMEMLDLSGNALDGGVPVELTKLAEMWYLNLSSNNLSGEVPPLLGKMRSLTTLDLSGNPGLCGHDIAGLNSCSSNTTTGDGHSGKTRLVLAVTLSVAAALLVSMVAVVCEVSRKARRAAVVVEKAETSASGGGGSSTAAAVQASIWSKDTTFSFGDILAATEHFNDAYCIGKGSFGTVYRADLGGGRAVAVKRLDASETGDACWGVSERSFENEVRALTRVRHRNIVKLHGFCAMGGYMYLVYELAERGSLGAVLYGGGGGGGCRFDWPARMRAIRGVAHALAYLHHDCSPPMIHRDVSVNNVLLDPDYEPRVSDFGTASFGVVAMEMLMGKYPGGLISSLQHSPQSLSAEGHDGGGGGGGEEASASASRRLLLKDVVDQRLDAPAGKLAGQVVFAFVVALSCVRTSPDARPTMRAVAQELAARRRPILDRPFEMIKIGDLTNSHR >ONIVA10G12490.1 pep chromosome:AWHD00000000:10:13274159:13278803:1 gene:ONIVA10G12490 transcript:ONIVA10G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase A4 [Source:Projected from Arabidopsis thaliana (AT5G44030) TAIR;Acc:AT5G44030] MDTASVTGGEHKGKEKTCRVCGEEVAAREDGKPFVACAECGFPVCRPCYEYERSEGTQCCPQCNTRYKRHKGCPRVEGDEDDGGDMDDFEEEFQIKSPTKQKPPHEPVNFDVYSENGEQPAQKWRPGGPALSSFTGSVAGKDLEQEREMEGGMEWKDRIDKWKTKQEKRGKLNRDDSDDDDDKNDDEYMLLAEARQPLWRKVPIPSSKINPYRIVIVLRLVVLCFFLKFRITTPAMDAVPLWLASVICELWFALSWILDQLPKWSPVTRETYLDRLALRYERDGEPCRLAPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKFTIEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGSELPRLVYVSREKRPGYNHHKKAGAMNSLVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDKQLGKKLCYVQFPQRFDGIDRHDRYANRNTVFFDVSTAFFSGVRASRRDINMKGLDGIQGPVYVGTGTVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKRGKSHKNKKGGGGGEGGGLDEPRRGLLGFYKKRSKKDKLGGGAASLAGGKKGYRKHQRGFELEEIEEGLEGYDELERSSLMSQKSFEKRFGQSPVFIASTLVEDGGLPQGAAADPAALIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCTPARAAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLNNLASIWFIALFLSIIATGVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKAAADETDAFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVILHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKPKGPVLKPCGVSC >ONIVA10G12490.2 pep chromosome:AWHD00000000:10:13274159:13279085:1 gene:ONIVA10G12490 transcript:ONIVA10G12490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase A4 [Source:Projected from Arabidopsis thaliana (AT5G44030) TAIR;Acc:AT5G44030] MDTASVTGGEHKGKEKTCRVCGEEVAAREDGKPFVACAECGFPVCRPCYEYERSEGTQCCPQCNTRYKRHKGCPRVEGDEDDGGDMDDFEEEFQIKSPTKQKPPHEPVNFDVYSENGEQPAQKWRPGGPALSSFTGSVAGKDLEQEREMEGGMEWKDRIDKWKTKQEKRGKLNRDDSDDDDDKNDDEYMLLAEARQPLWRKVPIPSSKINPYRIVIVLRLVVLCFFLKFRITTPAMDAVPLWLASVICELWFALSWILDQLPKWSPVTRETYLDRLALRYERDGEPCRLAPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKFTIEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGSELPRLVYVSREKRPGYNHHKKAGAMNSLVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDKQLGKKLCYVQFPQRFDGIDRHDRYANRNTVFFDVSTAFFSGVRASRRDINMKGLDGIQGPVYVGTGTVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKRGKSHKNKKGGGGGEGGGLDEPRRGLLGFYKKRSKKDKLGGGAASLAGGKKGYRKHQRGFELEEIEEGLEGYDELERSSLMSQKSFEKRFGQSPVFIASTLVEDGGLPQGAAADPAALIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCTPARAAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLNNLASIWFIALFLSIIATGVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKAAADETDAFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVILHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKPKGPVLKPCGVSC >ONIVA10G12480.1 pep chromosome:AWHD00000000:10:13270378:13273747:1 gene:ONIVA10G12480 transcript:ONIVA10G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic initiation factor 4E protein [Source:Projected from Arabidopsis thaliana (AT5G35620) TAIR;Acc:AT5G35620] MAEVEAAPIAAAETPEVAVAEGAAAAKAPHKLHRQWAFWYDIQSKPKPGAAWGTSLRKAYTFDTVEEFWGLYDQIFRPSKVTVNADFHLFKAGVEPKWEDPECANGGKWTVPCSRKTTLENMWLETLMALIGEQFDESEEICGVVASVRQRGDKLALWTRTASNEAVQVNIGKKWKEIVDYNDKMVYSFHDDAKREKPSRGGRYNV >ONIVA10G12470.1 pep chromosome:AWHD00000000:10:13257856:13262827:1 gene:ONIVA10G12470 transcript:ONIVA10G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin-12 [Source:Projected from Arabidopsis thaliana (AT3G04460) TAIR;Acc:AT3G04460] MLFQVGGQGARPTFFEMSAAQQLPASLRAALSYSLGVFALRRPLLHKVLDYEDEFFALLMAVLESHSLRTTDGSFSESLYGLRRRPVKVSVKRSSSGAESNDKAYDSVLRKRQKVLSVVFLVVLPYFKSKLQSIYNKEREARLQASLWGQGDVRFDEADLVSDQGETSQAQVEATTGEVSNVARIKKNFAALIGVCYPWIHATNEGLSFAYQLLYLLDGTAFYSPALHALGLHVCRATGQELMESSSRVSRIRNRELERLRGPPWLKTMQRVLLNCMYTSLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPLPKVAKDGLPLPPDRTLCPLCCQKRNNPSVLSASGFVFCYSCIFKSVSQHKRCPITLMPATVEQIRRLFHDL >ONIVA10G12460.1 pep chromosome:AWHD00000000:10:13251124:13251616:1 gene:ONIVA10G12460 transcript:ONIVA10G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDGLYGGGGGRRRMATTAKGAGAGRRRSSAAVAAPRPVRQLYWKLRSRLRSSSSKRHGGGGAAARFGYDLQSYSRNFDDGGLDRSSPASYRF >ONIVA10G12450.1 pep chromosome:AWHD00000000:10:13243919:13244188:1 gene:ONIVA10G12450 transcript:ONIVA10G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDGLYGSRRRMATAKGAGAAGRRRSSSAVAAPRPVRQLYWKLRSRLRSSSKRHAGGAAAARFGYDLQSYSRNFDDGVGLVVSGHRF >ONIVA10G12440.1 pep chromosome:AWHD00000000:10:13231656:13233436:-1 gene:ONIVA10G12440 transcript:ONIVA10G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >ONIVA10G12430.1 pep chromosome:AWHD00000000:10:13225636:13228760:1 gene:ONIVA10G12430 transcript:ONIVA10G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRELFSSSNSHSVDVPLPSQYQFRAFLGARSIMLDDVDDEPFADGISSPIAQHIYDFCDDGNGGDLFAAVNAAAAAGSDMFTASSEDASASSSSTATAPIAGCGDTLSPPLPSLDSTLSALLEQDEPPGADGELLLPIDDYAFAAVDETQPPPPPEHQQQQQFTQMPLPVMGGAAAEHPALQAQLSSTATELMQYAGFTDEVFAAALAAGAGAGAGGYMGLDDPLCPQQLHSGAMLPAAAAAAGEAFFSKDAHAAQAAFFAAAGGGGGSMVMSMMGMDEIGEYQRMMECGGALLGATHAADGADMAFGNAAAAELQMGGGGSSPARLPATGTTETTSLEDTSFKTVRLSNEERKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYCEASRSIGSQNHEEYEQIGGVKGEDMLDSDALAHISGMSSYMYNHTVESWI >ONIVA10G12420.1 pep chromosome:AWHD00000000:10:13216220:13217750:-1 gene:ONIVA10G12420 transcript:ONIVA10G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAKVDMGRRLSRITGQGKDGELQMFWTFSKLLLSLIAGEQHDDTPHTAQASGEYGGTATACTSIYKLELPSPARCHDDADAAVAAIEPREAMNVDSKASYLIQIKNPEQPPSSDGRGGDKIKPRGPRSSRDGTDRASSSISRTRIDRARLQKALHLVKPRYQDGDAVQVSAVREGPCTCQHGCTGSVATLRL >ONIVA10G12410.1 pep chromosome:AWHD00000000:10:13207920:13214513:-1 gene:ONIVA10G12410 transcript:ONIVA10G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVPDEPVVSKKSGLLFERRLVERYIEDHGKCPVTKEELTMDDIVAVKTNKVVKPRQLQAASIPGLLGMFQNEWDAIMLSSFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARALLAQAERQIPASMAGAAPTAVVSNGKRAFEDEVGPDGKKIRPGINPVMIDELTECNTMLSAHRKKRQVPPTLASIDAIERYTQISSHPLHKTNKPGILSMDIHPSKDIIATGGIDTNAVLFDRSSGQILCTLTGHSKKITSLKFVPRDELFVTGSADKTVKIWQGSEEGNYNCIHTLKDHTAEVEAVTVHATQKYFVTASKDNTWCFYDIPSGSCLTQVGESSGQEGYTSASFHPDGLILGTGTTEAVVKIWDVKTQSNVAKFEGHVGPVTAMSFSENGYFLATAALDGVKLWDLRKLRNFRTISPYDSDSPTNSVEFDFSGSYLAVGGSDTRVYQVANVKLEWNLVKTLPDLSGTGKVTNVKFGTDAKYIAVGSMDRNLRIFGLPGEDDQMDDAKPSEE >ONIVA10G12400.1 pep chromosome:AWHD00000000:10:13205830:13206297:-1 gene:ONIVA10G12400 transcript:ONIVA10G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial ribosomal protein L11 [Source:Projected from Arabidopsis thaliana (AT4G35490) TAIR;Acc:AT4G35490] MATTLKDAVARKPVLATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASGRPGHTVVSSLSLRHVYEIAKLKQSDPYCKHMSVEALCKSIIGTANSMGIEIVKDL >ONIVA10G12390.1 pep chromosome:AWHD00000000:10:13202478:13204978:1 gene:ONIVA10G12390 transcript:ONIVA10G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRFFSCTGATRPPAPENADITLVSGPSCWYASRTLVSQLLFHHESNRSCLSNPNSGKTSLLFQFAINRATESGRGVVFICRKGRLENSPPFLSQGIDLSHSVLQRIHIKYIEDDEGIRKYFAAFHLLGSFPAAVIIDDFADFFSERSCQQRYGNARARDLAVVRILALCQNAVAHANTKLGVPGSCNLLLSDVHQGDTPRSMFIYKRWISSIYTIQGDGHGSYILKSISSSDCGSREGRAAKYSIALQYLVLEHISNG >ONIVA10G12390.2 pep chromosome:AWHD00000000:10:13202458:13204978:1 gene:ONIVA10G12390 transcript:ONIVA10G12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRFFSCTGATRPPAPENADITLVSGPSCCGKTSLLFQFAINRATESGRGVVFICRKGRLENSPPFLSQGIDLSHSVLQRIHIKYIEDDEGIRKYFAAFHLLGSFPAAVIIDDFADFFSERSCQQRYGNARARDLAVVRILALCQNAVAHANTKLGVPGSCNLLLSDVHQGDTPRSMFIYKRWISSIYTIQGDGHGSYILKSISSSDCGSREGRAAKYSIALQYLVLEHISNG >ONIVA10G12380.1 pep chromosome:AWHD00000000:10:13196868:13199376:-1 gene:ONIVA10G12380 transcript:ONIVA10G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAMDVVDISSDEEGFAAAAAAVATTTKKASVDSLGWIADLLREEDERALSDEFDDLEVMSELSAPPVMAQQKGGKPDCGGEEDDDDCVVLDGDPDDVVAVAGEKGSEGDGSSDELQIVAEKGPVACRDFPHSRHLCSNLPFSTTSHVKYCSMCHCFVCDTPAPCNYWGKGTEIYDHCHATDKEKKWKAMRHTFKSKGLPTSHPEKRQNVVYPITTSFVQQDTQCEISLIQSHMTTYFPNQSHLANVVNQGLTQTRHTSVRVSPSVGRTVSATRTTPATRAGRGMSNAPSIQIPQSRTRFKRVGATSPGIVTLNDNQFGSAAPNNTQLHQPSSPHASQPAQVAPRTLFGTVQKNPPQRSLSAPIALQGQQDQSASSYQAASNRTHGTGPQFSRCISLTAQRTQLLPEPALDVYSKSWQDIIDSLASDLEVPDYNMGAAESQQPDRTISQPLDSITFQGVGLHSEPVVALANLMPCNGQNVANGMIGNNCLAQTTQILPHLNHQPSLVPKESHLNNSVSSTADGLLMEAAHQRDTQGSDSLDLLFDFEFEDWDSAEP >ONIVA10G12380.2 pep chromosome:AWHD00000000:10:13196868:13199415:-1 gene:ONIVA10G12380 transcript:ONIVA10G12380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISCSSPSSRFLGLQAAASLSSPPKSRQNSIFSGGGWWSARSRCAFDGQGVFLVEFLGSRLSSWIRGGRRHPGPGRVSRIRFLLIRSESERMGSAMDVVDISSDEEGFAAAAAAVATTTKKASVDSLGWIADLLREEDERALSDEFDDLEVMSELSAPPVMAQQKGGKPDCGGEEDDDDCVVLDGDPDDVVAVAGEKGSEGDGSSDELQIVAEKGPVACRDFPHSRHLCSNLPFSTTSHVKYCSMCHCFVCDTPAPCNYWGKGTEIYDHCHATDKEKKWKAMRHTFKSKGLPTSHPEKRQNVVYPITTSFVQQDTQCEISLIQSHMTTYFPNQSHLANVVNQGLTQTRHTSVRVSPSVGRTVSATRTTPATRAGRGMSNAPSIQIPQSRTRFKRVGATSPGIVTLNDNQFGSAAPNNTQLHQPSSPHASQPAQVAPRTLFGTVQKNPPQRSLSAPIALQGQQDQSASSYQAASNRTHGTGPQFSRCISLTAQRTQLLPEPALDVYSKSWQDIIDSLASDLEVPDYNMGAAESQQPDRTISQPLDSITFQGVGLHSEPVVALANLMPCNGQNVANGMIGNNCLAQTTQILPHLNHQPSLVPKESHLNNSVSSTADGLLMEAAHQRDTQGSDSLDLLFDFEFEDWDSAEP >ONIVA10G12370.1 pep chromosome:AWHD00000000:10:13195424:13195935:1 gene:ONIVA10G12370 transcript:ONIVA10G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPPPCTVAAGGGIAVERSPPESRLSELGARWGGGPGRMALSYGARQTCYIVRGKVTATATAAASAAEGSPENGRRVEFGAGDIVVFPKGTRCTWHIAAAVDMHYAFDPS >ONIVA10G12360.1 pep chromosome:AWHD00000000:10:13190496:13195018:1 gene:ONIVA10G12360 transcript:ONIVA10G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRCSPAASPPLLPRRRSGSLLSAAPPGRRPLLPAAAGRVVLGCGLVTLDYLATVDAYPRPDDKIRSGELQVSGGGNAGNALTGAARLGLNTRLISKVANDEIGGTVLSELKEARIDISHVIRCNASNHVYIEKVVRFLMVETQHLYMSLLISKRYPPMIPSDLRMSSLSAALQDVSLLYLDGYSDEMALAVAKQADQMKIPILVDAEPERTREELGALLSLASYIVCNGKFPEKWTSIPSIPSALLEILLQYPRACFAVVTLGENGCMMLERGKDGENYETEPVDIENVAESLRLKVDKDDIVPTCVSSKFMRLTARGSGNIFARLLIGTAESIPASELVDTTGCGDAFIGAVLHGRHQM >ONIVA10G12350.1 pep chromosome:AWHD00000000:10:13187682:13189800:-1 gene:ONIVA10G12350 transcript:ONIVA10G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRARTRDLFARPFRKKGYIPLTTYLRTYKIGEHVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEEFRLRKIKNDQLKADAKARGEVISTKRQPQGPKPGFMVEGATLETVTPIPYDVVNDLKGEMNDFV >ONIVA10G12340.1 pep chromosome:AWHD00000000:10:13184886:13187924:1 gene:ONIVA10G12340 transcript:ONIVA10G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IT65] MALNLAQSAAAAACFATAGDARRAASVVAMPSSSSSATTSLRMKRQAACEPVACRAVARHVAAAAASSRRNGVPVFVMMPLDTVSKCGSALNRRKAVAASLAALKSAGVEGIMVDVWWGIVESEGPGRYNFDGYVELMEMARKTGLKVQAVMSFHQCGGNVGDSVNIPLPRWVVEEMEKDNDLAYTDQWGRRNFEYISLGCDAMPVFKGRTPVECYTDFMRAFRDHFASFLGDTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGAFQCNDRYMRSSLKAAAEARGKPEWGHGGPTDAGGYNNWPEDTVFFRGDCGGWSTEYGEFFLSWYSQMLLEHGERVLSGATSVFGDGAGAKISVKVAGIHWHYGTRSHAPELTAGYYNTRHRDGYLPIARMLARHGAVLNFTCVEMRDHEQPQEAQCMPEALVRQVAAAARAAGVGLAGENALPRYDGTAHDQVVAAAADRAAEDRMVAFTYLRMGPDLFHPDNWRRFVAFVRRMSESGSPREAAESAAHGVAQATGSLVHEAAVALRS >ONIVA10G12330.1 pep chromosome:AWHD00000000:10:13179188:13182612:1 gene:ONIVA10G12330 transcript:ONIVA10G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCEMTKLPLVPFSHVQSRGGANGCGDSFPGGNNGLRRRQRCSGGDHDALSTSAKATSDHLAASGCGDVHGHSLFFFAPPSLLPLRHRRGPPLVPGRRRCGGARGAPHRVPLLADGPMLWYQLDDDAAGLRELLAACPSLADEPAPWYSLARGTEPLTPLMVATAYGSVACLDVLLSLPYLVDPNRASASSLSTPLHLAAAGGATSAPTSVSRLLAADTDDDNDEVEERQGEEGEEGEEEAFRSFHERGLEKNINKIKKSIKTAQKWHSIKEPPLWNGIFIRSFYMMAFWRARNLQKLSDNSGEFERGSVDLQMIRFEELLGNKPKFSTLKGGDGMRDPSLMHMGGPHCTSSISDDHEELSSISGVFSMPSSRPYNVPPLHAGASQIHLIKEKQQEQQIRRAQTPILKTLACAETSRETPSFCLIASQGRHPTLSVHSPLRVSDDELTSDNDRPLLQATAA >ONIVA10G12330.2 pep chromosome:AWHD00000000:10:13179188:13182479:1 gene:ONIVA10G12330 transcript:ONIVA10G12330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMCEMTKLPLVPFSHVQSRGGANGCGDSFPGGNNGLRRRQRCSGGDHDALSTSAKATSDHLAASGCGDVHGHSLFFFAPPSLLPLRHRRGPPLVPGRRRCGGARGAPHRVPLLADGPMLWYQLDDDAAGLRELLAACPSLADEPAPWYSLARGTEPLTPLMVATAYGSVACLDVLLSLPYLVDPNRASASSLSTPLHLAAAGGATSAPTSVSRLLAADTDDDNDEVEERQGEEGEEGEEEAFRSFHERGLEKNINKIKKSIKTAQKWHSIKEPPLWNGIFIRSFYMMAFWRARNLQKLSDNSGEFERGSVDLQMIRFEELLGNKPKFSTLKGGDGMRDPSLMHMGGPHCTSSISDDHEELSSISGVFSMPSSRPYNVPPLHAGESPNSNPEDASVRGNIA >ONIVA10G12330.3 pep chromosome:AWHD00000000:10:13182578:13183715:1 gene:ONIVA10G12330 transcript:ONIVA10G12330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIALCYRLQQLKHVSSVRRQPRRRHSPQLAASPPSAAPKRRAITPPPHHHPGLAPLPRPSASPVPGAAAQAERLPRAQTQLSQMSCTSEGGTSIGASPSAGWWRGARGRQPGGSARWWGGGLRRVAAAASYGGVGRRIGGARRRGWRCPAARLVLTVTRLEGSIDLERNISLWF >ONIVA10G12320.1 pep chromosome:AWHD00000000:10:13172798:13174826:-1 gene:ONIVA10G12320 transcript:ONIVA10G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKKRRRLLGDNDDDLALNEGLYMALECEALTAGHPDADRLIRAWLAVSRRRHALVHRLVGLLEDSYGDGGDGDGSSWPWNRAAHRHCPFGVEKRRGIKSLLILVAWEIWKERNSRLFQSIETTPCGIIDRATEEIWLWRAGGAKSIQSLMPDDRGRIRCLYYDYMGIRIVHLSKENFCGCEMEFEKMTCGEDSRNNHDRSFRFDEPINATLIAISMAIAV >ONIVA10G12310.1 pep chromosome:AWHD00000000:10:13162051:13165164:1 gene:ONIVA10G12310 transcript:ONIVA10G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPSPLKFIGELEPEAKALLEAALMEANREREKKILNGTKYSLPSPLPGDDSADDDACSERDIFVFPGLQLPPPRVLLLLLAIHVATATRSPPRRLARGSFMNWIAGKGFTQNSSEMGLFTLH >ONIVA10G12300.1 pep chromosome:AWHD00000000:10:13155723:13160106:-1 gene:ONIVA10G12300 transcript:ONIVA10G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G43920) TAIR;Acc:AT5G43920] MEMHASSVSSHGEARLGGERGLVDREELVRVIAQSLYSLGYRRAAAALEAESGVPLYPAEHDRLLFDVMSGRWDACVAAIRAVAGLGDRERAAAEFLVWRGHFLELLGIGDAGLPRAREVLWRRIAPLGVDRECVHWLARAMVSCEGAVAPDAVVGWRIGLFLDLVDAFPPWFHVPSGRLELLVENAVVKQVSSCVYHNLPDEVTLFEDHKCPEEQIPSKCSQILCGHNNEVWFVRFSNDGNYLASSSSDCTAIIWKVEEDDTLTKKHCLVGHKNPISFVAWSPNDRMLLTCGNGESVKLWNVATGECSLKFSSSVGHIINSCAWFPNSEKIVCASCEPESSPKRIFTCDLEGQELESWVGDRIPKVSDIAVTPDSKHLICVCSNEIWIRELPKGREWRIREQQTISSLSLSGDGQSLIVNLNSQEIHLWRISESSTAPDNKFKGHKQGKFVIRSCFGGSNSLFIASGSEDSQVYIWKRHLETPIKVLYGHSLTVNCVSWNPAKPHMLASASDDRTVRIWLAHKGSHRTRLTA >ONIVA10G12290.1 pep chromosome:AWHD00000000:10:13138735:13154748:-1 gene:ONIVA10G12290 transcript:ONIVA10G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPCGICHGDMRRGGRGGGDAVFTAECSHQFHFHCISGTVARGRIACPLCHARWRELPSFRRGNDAAPPGASASASASAAQPFFRPVEPRVFDDDEPLVRAPRRLGERRHGGGAASVASDGGGGSAVALATHCENSAVVRDACREDFAVLVHARAPTGGGGGAAAEAQRAPLDLVTVLDVSGSMVGNKLALLKQAMGFVIDNLGPGDRLCVISFSSGASRLMRLSRMTDAGKAHAKRAVGSLSARGGTNIGAALRKAAKVLDDRLYRNAVESVILLSDGQDTYTVPPRGGYDRDANYDALVPPSLVRADAGGGGGRAPPVHTFGFGKDHDAAAMHTIAEVTGGTFSFIENEAAIQDGFAQCIGGLLSVAVQELRLDVACVDTGVRVTAVKSGRYKSHIEDDGRAAKVDVGELYADEERSFLLFVVVPRAPAWDDVTHLIEVSCSYRDMETGRTTSVAGDEEAVVLRPSRAESGVAERSVEVDRELVRVEAIDDIALARAAAERGEYAEAAEILRSRQRAVARSAAARAGDAMCAALSGELREMRARVADRRQYELSGRAYVLAGLSSHAQQRATSRQMSGEVAPRRHAHGGGGGSSELPTGITVSYVTPAMLDMLDRSRRGPNCSSLRRTVVPMERETDPCAICLGEIAGGQAIFTAECSHTFHNRCIARNVAHGRRVCPLCNARWSDVPALSSSSAAAAEPDDDDEPPLYADDDPVEPAGEQAAATDGDAAAGLVVKAHCEYPAVARGASRDKFAVLVHAKAAGAAAAAASRAPLDLVTVLDVSGSMAGRKLALVKKAMGFVIDNLGPADRLCVVSFSTEASRRTRLLRMSEVGKATAKRAVESLVDDSATNIGDGLRVAGRVLGDRRHKNAVSSVILLSDGKDSYVVPRRGNGMSYMDLVPPSFASSGGRGRLAPIHTFGFGADHDAAAMNTIAESTGGTFSFVENEAAIQDSFAQCIGGLLSVAVQDARIAVACSSPGVLVREIKSGRYESRVDADGRAASVEVGELYADEERRFLLFINVPIAEATEDATQLIKLSCTYRDTVTGRTIDVAAGEDAVVRRPLEVSAADQEVSMEVERERVRVEATEDIAIARAAAERGDHAEAARTLQLRREAVEASAPGLAGDVMCDELADDLCELEEEVEDAPRYERAGRARMLAGMSSHGLQRASGTTYNSSRRKQCARKERAKERLYATPAMGMMVSKSRDEPPPAARRQQKGPGRGGDEQSEKKKKKKSGEPQDGMPRIKRMWFFDEHQGGQESAVCACANMAVAKWERAKRALATRLCVRAPRVRAAAAEAEGEGEEGEGRGRSVAASPPAHVASSRRLSRCGSRSSTKICAICLGGMCSGNGQALFTAECSHKFHFHCISSSVRHGNTVCPICRAVWKELPFQGPLPAAAAAAADASLLGTARVNPHPLDDRHQHQRMAVVRRLSRGDSVTRQWQLPIFRTLDGGIFDDDEQLDLHPAEDVVGTQDVDSIVADEMAPASVGITTYAAFPAMEESVMVEEFAVLIHLKAPSSPATVTSRAPIDLVTVLDVSWSMAGTKLALLKRAMSFVIQALGPGDRLSVVTFSSSARRLFPLRKMTESGRQRALQRVSSLVADGGTNIADALRKAARVMEDRRERNPVCSIVLLSDGRDTYTVPVPRGGGGGGDQPDYAVLVPSSLLPGGGSARHVQVHAFGFGADHDSPAMHSIAEMSGGTFSFIDAAGSIQDAFAQCIGGLLSVVAQELRLSVECGDDGVLLTSVRSGGYASHVDGDGRGGFVDVGDLYADEERDFLVTVRVPAARGVSALITPSCTYRSTATMETVRVGGDTVTVPRTVDAPVGYDGMSPEVEQELHRVQATEDMAAARAAAERGDFELAAAILDERRGVLESRADDDPQSVALAAELREMQDRVETRQRYEESGRAYMLAGLSSHSWQRATARGDSTELTSVIHTYQTPFMVDMLQRSQTLQPEVVVAMSRSAPLPAPSLSLSPPPPPSQLRRRSVRPAMSFPGRRS >ONIVA10G12290.2 pep chromosome:AWHD00000000:10:13143677:13154748:-1 gene:ONIVA10G12290 transcript:ONIVA10G12290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPCGICHGDMRRGGRGGGDAVFTAECSHQFHFHCISGTVARGRIACPLCHARWRELPSFRRGNDAAPPGASASASASAAQPFFRPVEPRVFDDDEPLVRAPRRLGERRHGGGAASVASDGGGGSAVALATHCENSAVVRDACREDFAVLVHARAPTGGGGGAAAEAQRAPLDLVTVLDVSGSMVGNKLALLKQAMGFVIDNLGPGDRLCVISFSSGASRLMRLSRMTDAGKAHAKRAVGSLSARGGTNIGAALRKAAKVLDDRLYRNAVESVILLSDGQDTYTVPPRGGYDRDANYDALVPPSLVRADAGGGGGRAPPVHTFGFGKDHDAAAMHTIAEVTGGTFSFIENEAAIQDGFAQCIGGLLSVAVQELRLDVACVDTGVRVTAVKSGRYKSHIEDDGRAAKVDVGELYADEERSFLLFVVVPRAPAWDDVTHLIEVSCSYRDMETGRTTSVAGDEEAVVLRPSRAESGVAERSVEVDRELVRVEAIDDIALARAAAERGEYAEAAEILRSRQRAVARSAAARAGDAMCAALSGELREMRARVADRRQYELSGRAYVLAGLSSHAQQRATSRQMSGEVAPRRHAHGGGGGSSELPTGITVSYVTPAMLDMLDRSRRGPNCSSLRRTVVPMERETDPCAICLGEIAGGQAIFTAECSHTFHNRCIARNVAHGRRVCPLCNARWSDVPALSSSSAAAAEPDDDDEPPLYADDDPVEPAGEQAAATDGDAAAGLVVKAHCEYPAVARGASRDKFAVLVHAKAAGAAAAAASRAPLDLVTVLDVSGSMAGRKLALVKKAMGFVIDNLGPADRLCVVSFSTEASRRTRLLRMSEVGKATAKRAVESLVDDSATNIGDGLRVAGRVLGDRRHKNAVSSVILLSDGKDSYVVPRRGNGMSYMDLVPPSFASSGGRGRLAPIHTFGFGADHDAAAMNTIAESTGGTFSFVENEAAIQDSFAQCIGGLLSVAVQDARIAVACSSPGVLVREIKSGRYESRVDADGRAASVEVGELYADEERRFLLFINVPIAEATEDATQLIKLSCTYRDTVTGRTIDVAAGEDAVVRRPLEVSAADQEVSMEVERERVRVEATEDIAIARAAAERGDHAEAARTLQLRREAVEASAPGLAGDVMCDELADDLCELEEEVEDAPRYERAGRARMLAGMSSHGLQRASGTTYNSSRRKQCARKERAKERLYATPAMGMMVSKSRDEPPPAARRQQKGPGRGGDEQSEKKKKKKSGEPQDGMPRIKRMWFFDEHQVKIQTRASQPRIPPSARTDPPIHPSSLSPNKP >ONIVA10G12290.3 pep chromosome:AWHD00000000:10:13138735:13143631:-1 gene:ONIVA10G12290 transcript:ONIVA10G12290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKWERAKRALATRLCVRAPRVRAAAAEAEGEGEEGEGRGRSVAASPPAHVASSRRLSRCGSRSSTKICAICLGGMCSGNGQALFTAECSHKFHFHCISSSVRHGNTVCPICRAVWKELPFQGPLPAAAAAAADASLLGTARVNPHPLDDRHQHQRMAVVRRLSRGDSVTRQWQLPIFRTLDGGIFDDDEQLDLHPAEDVVGTQDVDSIVADEMAPASVGITTYAAFPAMEESVMVEEFAVLIHLKAPSSPATVTSRAPIDLVTVLDVSWSMAGTKLALLKRAMSFVIQALGPGDRLSVVTFSSSARRLFPLRKMTESGRQRALQRVSSLVADGGTNIADALRKAARVMEDRRERNPVCSIVLLSDGRDTYTVPVPRGGGGGGDQPDYAVLVPSSLLPGGGSARHVQVHAFGFGADHDSPAMHSIAEMSGGTFSFIDAAGSIQDAFAQCIGGLLSVVAQELRLSVECGDDGVLLTSVRSGGYASHVDGDGRGGFVDVGDLYADEERDFLVTVRVPAARGVSALITPSCTYRSTATMETVRVGGDTVTVPRTVDAPVGYDGMSPEVEQELHRVQATEDMAAARAAAERGDFELAAAILDERRGVLESRADDDPQSVALAAELREMQDRVETRQRYEESGRAYMLAGLSSHSWQRATARGDSTELTSVIHTYQTPFMVDMLQRSQTLQPEVVVAMSRSAPLPAPSLSLSPPPPPSQLRRRSVRPAMSFPGRRS >ONIVA10G12280.1 pep chromosome:AWHD00000000:10:13131562:13134521:-1 gene:ONIVA10G12280 transcript:ONIVA10G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPIARLISHVILDLDGTLLNTDCVVSQVLKPFLVKNGKKWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFLSMLTPMFNEQWCNIKALPGANRLIKHLKSNGVPAALASNSPRSNIDAKISCHQGWKESFSAIVGGDEVEKGKPSPDIFLEAAKRMNTNPPNCLVIEDSLPGVAAGKAAGMHVIAVPSVPKRTAEFSSADEVINSLLDVKPEKWGLPPFSDWIDDTLPIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSDVLSEHTSGVYFGWAGLSTRGIFKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLVIVGYIRPEANFPSLESLIERIHEDARISDKALNLPLYAKYKDSPYLRNSLKEDNSANGNQSVIDSK >ONIVA10G12270.1 pep chromosome:AWHD00000000:10:13130019:13130573:-1 gene:ONIVA10G12270 transcript:ONIVA10G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEHLGRRNVAGSLLLLNLLMYVFLLGFAGWALNSSIKNAGADVGVGWGEQPWSPYYRQSAWFASRFHLATFAALAGALGVAAKASAAYHGGRSGASWRPQGLAAAASLGTAAWAATALAFGVACREIHDAAAAGPAGAARGWRMRALEGLTVTLAFTQLLYVLLLHAAVAGERCGLACAADA >ONIVA10G12260.1 pep chromosome:AWHD00000000:10:13115668:13122700:-1 gene:ONIVA10G12260 transcript:ONIVA10G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20330) TAIR;Acc:AT2G20330] MADGGEMDEEAMRAFFPMSFGKAPTRAGAGASAHASTLRKPPQNPSAKPSTSSAAAAAAAGDDDDDDDGPMVGPPRPPPQPAGGGEGEDDEEGGGVMIGPPRPPPRSSSRGEGEDADGGMIGPPRPPPVKDDDEEDEDDDDDDDDDGDDSDDEMEDDGERYNRIPLSNEVVLRGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLARPMRIPVTSCAWDHEGKRIVGGIGDGSIQLWTVKTGWGSRPDIHVEKTHTEDITGVKFSTDGQILLSRSMDSTLKIWDLRKMITPLKVFEDLPNHYAETNVAFSPDEQLIFTGTSIEKDGENGGLLCFFDRRKLELVSRVGISPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGFGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPKPAYIKMGKLVAAIGKLLCCVQVDQSTVGIKERFGKYEEVLDPGCHCVPWIIGSRVAGELTLRLRQLDVRCETKTKDNVFVTVVASIQYRAMEDKASDAYYKLSNPKSQIQSYVFDVIRASIPKLELDDAFLQKNEIARAVEEELEKAMLAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLLTQYFDTMKEIGSTSKSSAIFLPHGPGAVADIASQIRDGCLQAHQTK >ONIVA10G12260.2 pep chromosome:AWHD00000000:10:13119401:13122700:-1 gene:ONIVA10G12260 transcript:ONIVA10G12260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20330) TAIR;Acc:AT2G20330] MADGGEMDEEAMRAFFPMSFGKAPTRAGAGASAHASTLRKPPQNPSAKPSTSSAAAAAAAGDDDDDDDGPMVGPPRPPPQPAGGGEGEDDEEGGGVMIGPPRPPPRSSSRGEGEDADGGMIGPPRPPPVKDDDEEDEDDDDDDDDDGDDSDDEMEDDGERYNRIPLSNEVVLRGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLARPMRIPVTSCAWDHEGKRIVGGIGDGSIQLWTVKTGWGSRPDIHVEKTHTEDITGVKFSTDGQILLSRSMDSTLKIWDLRKMITPLKVFEDLPNHYAETNVAFSPDEQLIFTGTSIEKDGENGGLLCFFDRRKLELVSRVGISPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGFGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPKPVFAESDSEGEEKK >ONIVA10G12250.1 pep chromosome:AWHD00000000:10:13114427:13120386:1 gene:ONIVA10G12250 transcript:ONIVA10G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPPPPRPPASSSAAAEARVLPPTMLHAPSPLSSRRASRAAAGGRGGRGRRKGGAPIPAAGAGGGGGGSGVDRLADAVRLIGRDVDPGVAGADILELAMAKGPMFSWLSYWPEEGRIGY >ONIVA10G12240.1 pep chromosome:AWHD00000000:10:13100939:13101394:-1 gene:ONIVA10G12240 transcript:ONIVA10G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPECRRHPALKFGGVSVQEIKFGGAENGSLPPLSLILSPLGAGCHLQWLNPSSSQLPPRQQQLASPPFFLYPPPPSPVISKGRDVEDATVGCPVCGVFMQDADPNLPGFFKNPSRLSDDEMGEDWSPLAAELDGFIGNDEGNDVPSESDL >ONIVA10G12210.1 pep chromosome:AWHD00000000:10:13077245:13077592:-1 gene:ONIVA10G12210 transcript:ONIVA10G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWMASAEDAKAAAVVPARQASNGAVLGGDGGGLPQIRASWPDLEGGRRWWSATAADLRRLATAVGDGGNGGGHGSWRRQGRLPMLTEDSAATRRRTATAKRPLAVAAVRGGGG >ONIVA10G12200.1 pep chromosome:AWHD00000000:10:13060488:13071496:-1 gene:ONIVA10G12200 transcript:ONIVA10G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSSCKDKLAYFRIKELKDILNQLGLPKQGKKQDLIDRVLALLTDEQGQRHHGWGRKNSLTKEAVAKIVDDTYRKMQIQCAPDLATRSHSGSDFSFRPIEEAYDSFQPEAKVRCICSSTMVNDSMIQCEDQRCQVWQHLNCVLIPDKPGESAEVPPVFYCELCRLSRADPFWVTAGNPLLPVKFVSSGVTNDGTSVPQSVEKSFQLSRSDRETVQRQEYDLQVWCMLLNDKVQFRMQWPQYAELHVNGISVRVVTRPGSQLLGINGRDDGPLITTCSREGINKICLSRVDARTFCFGVRIAKRRTVAQVLNLVPKEAEGESFEHALARVRRCLGGGDTAENADSDSDLEVVAESVTVNLRCPNSGSRMRIAGRFKPCIHMGCFDLETFVELNQRSRKWQCPICLKNYSLESLMIDPYFNRITSLLRNCNEDVNEVDVKPDGSWRVKGDAASRELSQWHMPDGTLCNPKEDVKPAMQNGNEQMMEGTSDGQKSLKIGIKRNPNGIWEVSSKADDKKPSVVGNRMQNNSGFRALNNIMHMSNSPTSSYRDGEDPSVNQESNRHVDLSLNNGNNEFDSFSLNFGQACNTDDRPQQQHNATDVIVLSDSDEENDAMVCPPAVYDNTTTANGSGFPFTTNGTGYTERYQEDAGVGTSGLGLLSNNVDDFEMNNWQMHSSYQQPEQGFQFFGNDTDVHNTFVGSHNSFGLAPNDYSLDCNVGVEEASVTPALSVCRNSNEMHGSLVDNPLALVGDDPSLQIFLPSQPSSVPLQEELSERANAPNGVQSDDWISLTLAAGGGGNEEPAPADVNSQPQIPSTETGIEPLTDAASAFLSTNIERRSGADLNPRRIENIFSHPRQPRLV >ONIVA10G12190.1 pep chromosome:AWHD00000000:10:13056248:13057411:1 gene:ONIVA10G12190 transcript:ONIVA10G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAASPGRAEKQLPAPPGLARLLLSKSRRGGGGGRSRRAPATSPMFVSRGRGGAGRAAAAAADGEPSSPKVTCIGQVRMRKGKKGKKAAAASKVAAPEKGRGYCRCLKKAFLCGGLFDFDRRRRPKAPSPEVVERSRRSPWVFSSRDVAVAAAPKQPDPRGEHAEEDEEMEASAGGAFRSLEGEEAERLGINGGGDDKEEDEEEEEEEAELVSSTTTTPPKNALLLMRCRSAPQNRSSPLTSRFPVAASPSPTKDAAAAAEIAAPSPRKSQKASAAAEAQHEERQEEMASVQEQEEVREEEEEDVDDDDDYDEEDMRCSSARPLVLPRCKSEPATTAAAKMAGGTAADATTAGCFWANGGSSGRRRHAPAAAAAAAATPVALAGH >ONIVA10G12180.1 pep chromosome:AWHD00000000:10:13041910:13044213:-1 gene:ONIVA10G12180 transcript:ONIVA10G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPPPPILLLLLLLLAAVAADVSTALRFDYATLTLGSLKLLGDAHLKNGTIRLSRDLPVPNSGAGRALYATPVALRGGFSTQFAFTVATLNADSVGGGLAFVLASDGVTLGDAGPYIGVSAASDVAAVEFDTLMDVQFGDVNGNHVGLDLRSMVSAAVADLDGVGVELTSGRTVNAWIEYSPKSGMEVFVSYSPKRPAEPVLSAPLDLGEYVKGDAFVGFSASTQGSTEMHAVEWWTFSTPTSSSSSPSKPSPRMATPSSPPPEAPVSSAAPPPVSLNPMLPSPPQLPGVSTTTPSPPASTVSMPPTSSVAVASAPANSTAGISNAGSPHPPAHAAVAGAATAGAFVAVSFAGFALWALARRARARRRGTTALAAVADKRDSLASAAALARSPREFTYKELSAATRGFDASRVIGNGAFGTVYKGIIPDTGAMVAVKRCTNASADGAQARSEFLSELSIIAGLRHRNLLRLQGWCHEKGEILLVYDYMRNGSLDKALFDASSPVLPWSHRREILAGVASALAYLHHECERRVIHRDVKSSNVMLDDAYRARLGDFGLARQAEHGESPDATAAAGTMGYLAPEYLLTGRATEATDVFSFGALVLEVACGRRPIGATEGRCNNLVEWVWSLHGAGQVLDAVDARLRGEYDEAEMRRAMLVGLACSSPEPALRPGMRAVVQMLGGEADPPFVPAARPSMSFSANHQLLLSLQDSVSDYNALGLNDLSDDSSSDSLSSSSLTSTLRKGGHDIASFSSAAAGDAAR >ONIVA10G12170.1 pep chromosome:AWHD00000000:10:13033779:13038905:1 gene:ONIVA10G12170 transcript:ONIVA10G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPEGTNVAQECFLSLDKEFLRDDPCCEEAFFTTVNGISSILFDSIFLSGLKHEKKLISAHFINLRVFGSD >ONIVA10G12160.1 pep chromosome:AWHD00000000:10:13032295:13037367:-1 gene:ONIVA10G12160 transcript:ONIVA10G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSCFKPDKKMLSKRMEEMPFTVVKKASSQHGSSLKNSESDKSPRGHSNKKKSSVAAKHTEPPKRIPITAKAERSFTFRELATATNNFHPDCIVGEGGFGRVYKGQLEDGQVVAVKQMERNGFQGNREFLIEVMILGHLNHPNLVNLVGYCSDGDQRLLAYEYMALGSLADHLLDITPDQEPLSWRTRMKIAHGTAKGLEHLHEKMSPPVIYRDLKSPNILLDKDYNPKLSDFGLAKLGPFEGDKHVSTRVMGTFGYCAPEYVRTGMLSTKTDVYSFGVFLLELITGRRAVDTCRPVCEQILAYWAKPMLHDRRRYHELVDPLLRGDYPDKDFNQAAAMAAICIEDEASVRPYMSDIVVALGFLAEVPAGCEERINAEPQNRKDEDPSVTGNTKKDQSTFDRQRAVAEAIEWGSVRQKQMAQIKEKKAQPQGIVAPKTNKLDHFFVAVHKNL >ONIVA10G12160.2 pep chromosome:AWHD00000000:10:13034947:13037367:-1 gene:ONIVA10G12160 transcript:ONIVA10G12160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSCFKPDKKMLSKRMEEMPFTVVKKASSQHGSSLKNSESDKSPRGHSNKKKSSVAAKHTEPPKRIPITAKAERSFTFRELATATNNFHPDCIVGEGGFGRVYKGQLEDGQVVAVKQMERNGFQGNREFLIEVMILGHLNHPNLVNLVGYCSDGDQRLLAYEYMALGSLADHLLDITPDQEPLSWRTRMKIAHGTAKGLEHLHEKMSPPVIYRDLKSPNILLDKDYNPKLSDFGLAKLGPFEGDKHVSTRVMGTFGYCAPEYVRTGMLSTKTDVYSFGVFLLELITGRRAVDTCRPVCEQILAYWAKPMLHDRRRYHELVDPLLRGDYPDKDFNQAAAMAAICIEDEASVRPYMSDIVVALGFLAEVPAGCEERINAEPQNRKDEDPSVTGNTKKDQSTFDRQRAVAEAIEWGSVRQKQMAQIKEKKAQPQGIVAPKTNKL >ONIVA10G12150.1 pep chromosome:AWHD00000000:10:13029972:13032136:-1 gene:ONIVA10G12150 transcript:ONIVA10G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCFKPEKKMKSKGMEAREVTVVKKHGASLKNSESEKLPCVSSDHKQSSEAAANTEPHNGSPVTARTGKKFTFRELATATNNFRSDRLLGEGGFGRVYKGQLENGQLVAVKRLDLSGFQGNKEFLVEVMMLSLLNHPNLVSLVGYCSDGDQRLLVYEYMAHGSLADHLLENTPDQVPLSWHIRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILLDNEYNPKLSDFGLAKLGPVGGKAHISTRVMGTYGYCAPEYIKTRQLTTKTDVYSFGVFLLELITGRRAVDSSRPECDQILVKWAKPMLKNPSRHHELVDPLLRGDYPRGDLNQAVAVAAMCLQEEASVRPYMSDTVVALGFLAEVPSGYKEKINTVPQNKQDKDPSFTGSTKQDQRSFDRQRAVTEAIEWGATRQKQKAQIQEKTSHLQGIVAPTETNRL >ONIVA10G12140.1 pep chromosome:AWHD00000000:10:13024942:13029821:1 gene:ONIVA10G12140 transcript:ONIVA10G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isovaleryl-CoA-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT3G45300) TAIR;Acc:AT3G45300] MAAAQRWLPGILRRGDGLARRLYSSASSLLFDDTQEQFKESVHKFAQETIAPHAAAIDASNHFPKDVNLWKLMGDFNLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGSPAQKLKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDIAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQVCLDVAVPYVRQREQFGRPIGEFQFIQGKLADMYTSLQSSRSFVYSDCAGVILFAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKEE >ONIVA10G12140.2 pep chromosome:AWHD00000000:10:13024942:13029821:1 gene:ONIVA10G12140 transcript:ONIVA10G12140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:isovaleryl-CoA-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT3G45300) TAIR;Acc:AT3G45300] MAAAQRWLPGILRRGDGLARRLYSSASSLLFDDTQEQFKESVHKFAQETIAPHAAAIDASNHFPKDVNLWKLMGDFNLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGSPAQKLKYLPKWGACGGIGDERTQLMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDIAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQVCLDVAVPYVRQREQFGRPIGEFQFIQGKLADMYTSLQSSRSFVYSDCAGVILFAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKEE >ONIVA10G12130.1 pep chromosome:AWHD00000000:10:13021717:13022925:-1 gene:ONIVA10G12130 transcript:ONIVA10G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRFVNLLMENSEKGVYSLRRLNLSRHPLFYSSTEVSAVQDLPALGEEGYVYYPFKGYGESSKQAFEVESIRLPSPTVSLLPTPSSTDKMCKFDCFSVSESKIICTDQAARTFLYDLDEHCVTSMPSLHAPKYSPFSVSVAIQGEKGEGESSSSSSRRLYVMEEVLLPERGTGSSYQFEAFDHRKPGPEYLVKAWHCDPLPPPPFVRDPRYVPSPVSSYAVVGNFICISTKGIGTYCFDTVSCSWSHAGDWMLPFCGKGEYVPELKLWFGVSAKNNYAPCASDLSPVVRAEPPKPGCIWEDLNLPEDWRPCRMSDLVNLGSGRFCIIRFFQPSGNRDYMKDQIDQTIAVFSGVEVLPDDNKAAGNGKGKGKQNAKGLRMIKHKSRKCTFIEQINNVESVL >ONIVA10G12120.1 pep chromosome:AWHD00000000:10:13017069:13024284:1 gene:ONIVA10G12120 transcript:ONIVA10G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDDKLGLVAVAGANYFYPTNLIDHGQTFFSSSRELRERAIGRVCHGLILITCTHGIHLHKFRVFLSSMAAKPEAQMGNDVSCSTKNIVPASSVAQLMFVELFGYRNNLLKMEKGKVPKAHWDAYASKVFCEICRDEVLAGNRPTAALSPLGYKNLEEKFFAQTGRQYDRTKLKNRWDTSKTQRKM >ONIVA10G12110.1 pep chromosome:AWHD00000000:10:13016563:13016856:1 gene:ONIVA10G12110 transcript:ONIVA10G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGREEAVARPCGDGSGGEVAGRQRGGRTVAVEATVTTAVEVEAGKELGAGEGPRREPGDGGGLPVRCTARWFHGHGRLAGEAWQMAAFGWRGQR >ONIVA10G12100.1 pep chromosome:AWHD00000000:10:13015666:13016117:-1 gene:ONIVA10G12100 transcript:ONIVA10G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVWRKLLGRLPCRPCPGSDAEGKTCVLCSTAQAAARQGAAPGDGSGSMNEQAPGQDCISTTSRTSPSRCSPDWRCCLRLRLRRPAMAADQAIIDRKEGLRMIKHKSRRYAELDDGGTRSVKSVL >ONIVA10G12090.1 pep chromosome:AWHD00000000:10:13013486:13014277:-1 gene:ONIVA10G12090 transcript:ONIVA10G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVAAVLAVAAVVMNMMGGTSMGSSSPMVYLPNYFLFFNIFRTNFDSYFRVNTIYIMRRCIIEFTPEQYFCMILNHERFQFSEVLGQLHDKRWSKETMLQEVW >ONIVA10G12080.1 pep chromosome:AWHD00000000:10:13008045:13009289:-1 gene:ONIVA10G12080 transcript:ONIVA10G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRFVNLLVESSGGLYSLRRIDLSRHPLFYPTPAAAAAAGPRDLRVQREEEYEFQWPKLNDDDERRRKKKMKRHEAVETLRQLPSIVSMAPSPPTPAGGFGFDCFPLAESESKVVFADHAGRAFLYDADGNRFTGMPSLHAPKGDSPVAVSIAAQGEEESKLYVMDNTLRPESSGGGGGGSLFQFEVFDHRKPEPTSPPWEKYWHCDPLPPPPFVFDSGGMVESYAVIGHVIVVSVSDVGTYCFDTASRSWSRAGEWALPFAGKAEYVPELKLWFGIAAKGECSPCAADLSPVARGEPPSPGYIWEDLDLPEEWEPSWGSHLVVLGSGRFCIARFFQLARTDDNIMNDHVEDITFPVFTGLEVLPPAPATATGDGGGSGDHRKEGLRMIKHKSRRYAELDDDGIRSVKSVL >ONIVA10G12070.1 pep chromosome:AWHD00000000:10:13004046:13005206:1 gene:ONIVA10G12070 transcript:ONIVA10G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGRVVGGVVLAVGAAGDLGAAAAGGDGEAEGGEAEEEGDEEHHGEESHSAHATWKQAPTKPARETRRTTKPITSSGVCSIDEHVAVDPFAIHSPAPITGIDASSVARFRYPIITLLNL >ONIVA10G12060.1 pep chromosome:AWHD00000000:10:13002945:13005510:-1 gene:ONIVA10G12060 transcript:ONIVA10G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHQDEKLVHGDKKSSLLAPDLITPKQEQEEHLLTNQSKEQELVGFNQEIKDMYPYRFSNVMIGYLNLATLLASIPVIGAGLWMAKGSTATCSSMLQTPLLVIGFVVLLVSLAGFVGACFHVAWALWLYLLAMMLLIAFLLGLTAFGFAVTAGGGGTQVPGRPYREYHTSDYSSWLQKHIQDAKYWRPALACVVGSKACPKIANWSPMDYLQHDLTPIQSGCCKPPTACAYSGGVAVGAQDEDCFRWNNAAGILCYGCESCRAGVMEKVREDWHKISVLNVMVLVVLICICACGCCAFRNARRSVSEYPYGVNRMHKIHPRWDYYWWRWWRDRREQLY >ONIVA10G12050.1 pep chromosome:AWHD00000000:10:12999603:13001757:1 gene:ONIVA10G12050 transcript:ONIVA10G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALRRALPPLSSAAAGVLLRGATRHAAPLPLRPPPLLRLLDPIGLRPFSAAAATASQAPSMGAGLFSGLMDTRFPKRRPGFKSRRKRASLRPKGPYYWVKCKPGEPIPANQPNEGSVQGRKEKKRIKQRKAFIMAEKKKRKAQYSAAVKRKEAERTERKMAAVARERAWTERLADLKRIEEEMKPATA >ONIVA10G12040.1 pep chromosome:AWHD00000000:10:12990302:12998141:1 gene:ONIVA10G12040 transcript:ONIVA10G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAASRRVVGGVEDVGLELVARRTRRRSPRFARPSARAILAVDRGAGGCGSIGVKGLGLRPLYRLTLSQAAAASVFSPLLSSPPHLSPLSPSFSPAPRPPPPPPPPPPPPPSLHADQGASASAHAAADASPTWGALGAAACTSDCLPDSTPRISSAACSTGGGEGKAWTSTSLSDLRRPTSAAAAAADSAGDGSPGIGGGRRRCRVGFFAARMRRPELGIRAGWTTTTTTTTTPWMPPPPPDRRDFLYRDGRRHDGDPLPPPAPTPPRWRDSPYHPPPPPPPLRDHSRPSPRRTPSSVSSDGYYRQGGGSYDRSYPDESLGYTPSRSDRYWLDDDGGGGGYKGFSRYGGGGGGSRRDGRDMRGSYRRSPFRGYGSDFSRNHQEHPPPPLRRSPLRSVAVPMSYDPPGDRVDRGDRDHHHRVTPWRPLRRRESRSDAADAAGAGPVPVGQAAAAAASEKDVSARSSAVAAPQVSEEEAPRKKPRLGWGQGLAKYEKQKVQGPAESAEAVAEGSPTATEQKGITHTPAPAPCVSPVAAPSPAPCASPVAAPSPAPCASPVAAPSPAPPCKSPVPEDKSCELTANTVTESNKNIPGPDVQACNNEVPTKLDQLEGDPIDSLAKVLSELVQHEDSCSGDSKRLSNVSKLLLLKESISKELEKTELEIDSLEGELKSVNVEARNRTLKDPPTAVTYAQNPSPSPVKEQGELTPSPKISMEQDADVKGSELMEVETAQAHNAKAVSSEESVACPGVAPGQVPAAADVIPSDPCGKTGSGIDVDIEQREENPCQENFNAMKADGSSDLATRPCSYREVKYNLMDQIIAANRSEAKKNSQLLFKPVPADRSNLDLLASSYLSSQMKSDVIIKKKHAILKNRQRFKEQILTFKFRVLRHLWKEDVRLLSVRKQRSKSHKRTDQSNRSSQSGSQRQRSSNRSRLAVPAGNLSTFPITEMSGVARKLFSEFQLKRCRNYLKMPALIVDEKEKAGAKFVSKNGLVEDPVSVEKERALINPWIQEEKGIFMEKLATFVSGKKWNPEANAASLDMLGVATEVAAQGLEYVNEVKKNSAKSILRTVCGVDNSTKGSEKDCVGDVSLHERESVAADVLAGICGTLSPEGMGSCITSSADPGQKIGIISRMEHLLTPEADKNFDDDGTLSDQECEVDIVDWNDDEKSSFIEAMNRYGKDFARISSYVKSKSFEQCKVMPMEEGVVQMEPSCPKVEIDACPVSDGEIQVHNPLSDIASRQPEADKSNMPDVVDINVEEGESKAEKDCSILVDHKQLREDINQTSCARIDINCPESTDKLQDIEDVTPVKMHGDDLMATSVEQVAAAHVESRSSLHSEGIVIDVSRIEGCSHESTIGKGGKSTPSVCLPAENGVSKENIIHFSNMDGASSISPAFTSNYQQSKLADPIQSKPKPLTPKDLMPVQFSSSLPDPTSICFEGIAAITTPNFEDHGNRASIASGAKDVNMFPTFKDQSSNRHDALFSNVDGYMQQRRNNHFGTEVCGLSESTGISQSDQFTVSKFQNGRPSSLGLSNGNLGVLSTGRREEAREGLFRPCSVKTSAGNEEQQKRPGDVKLFGQILSHQSSLQSSGSSVHGSKSKPPSPKVDKPASSRLLSNPRERLVYSSRPPIIANSGLEERAMRSFDHMDGRTIQPEPMVMVAKCQRPSAGVPVYSTKNGALSVFAEFQQPSMPPHTSDHKLLENFADLHKRNGIELLSGFQQPGRLGGAGVLVSGVSDPVAALKAQYGSGSKMLSSSNDVDTWKDIGSSDVGFARGVGHAVRWLTRMFVGMSISIYAETSHRSL >ONIVA10G12040.2 pep chromosome:AWHD00000000:10:12990302:12998141:1 gene:ONIVA10G12040 transcript:ONIVA10G12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAASRRVVGGVEDVGLELVARRTRRRSPRFARPSARAILAVDRGAGGCGSIGVKGLGLRPLYRLTLSQAAAASVFSPLLSSPPHLSPLSPSFSPAPRPPPPPPPPPPPPPSLHADQGASASAHAAADASPTWGALGAAACTSDCLPDSTPRISSAACSTGGGEGKAWTSTSLSDLRRPTSAAAAAADSAGDGSPGIGGGRRRCRVGFFAARMRRPELGIRAGWTTTTTTTTTPWMPPPPPDRRDFLYRDGRRHDGDPLPPPAPTPPRWRDSPYHPPPPPPPLRDHSRPSPRRTPSSVSSDGYYRQGGGSYDRSYPDESLGYTPSRSDRYWLDDDGGGGGYKGFSRYGGGGGGSRRDGRDMRGSYRRSPFRGYGSDFSRNHQEHPPPPLRRSPLRSVAVPMSYDPPGDRVDRGDRDHHHRVTPWRPLRRRESRSDAADAAGAGPVPVGQAAAAAASEKDVSARSSAVAAPQVSEEEAPRKKPRLGWGQGLAKYEKQKVQGPAESAEAVAEGSPTATEQKGITHTPAPAPCVSPVAAPSPAPCASPVAAPSPAPCASPVAAPSPAPPCKSPVPEDKSCELTANTVTESNKNIPGPDVQACNNEVPTKLDQLEGDPIDSLAKVLSELVQHEDSCSGDSKRLSNVSKLLLLKESISKELEKTELEIDSLEGELKSVNVEARNRTLKDPPTAVTYAQNPSPSPVKEQGELTPSPKISMEQDADVKGSELMEVETAQAHNAKAVSSEESVACPGVAPGQVPAAADVIPSDPCGKTGSGIDVDIEQREENPCQENFNAMKADGSSDLATRPCSYREVKYNLMDQIIAANRSEAKKNSQLLFKPVPADRSNLDLLASSYLSSQMKSDVIIKKKHAILKNRQRFKEQILTFKFRVLRHLWKEDVRLLSVRKQRSKSHKRTDQSNRSSQSGSQRQRSSNRSRLAVPAGNLSTFPITEMSGVARKLFSEFQLKRCRNYLKMPALIVDEKEKAGAKFVSKNGLVEDPVSVEKERALINPWIQEEKGIFMEKLATFGKDFSKISSFLQHKTTADCIEFYYKHHKSDSFREVKKLLDLRQQQQPASNYLGAVSGKKWNPEANAASLDMLGVATEVAAQGLEYVNEVKKNSAKSILRTVCGVDNSTKGSEKDCVGDVSLHERESVAADVLAGICGTLSPEGMGSCITSSADPGQKIGIISRMEHLLTPEADKNFDDDGTLSDQECEVDIVDWNDDEKSSFIEAMNRYGKDFARISSYVKSKSFEQCKVFFSKARKSLGLDLIHQGAADAGFPTGDANGGRSGTDGACIAEMDSAICSAQSCPKVEIDACPVSDGEIQVHNPLSDIASRQPEADKSNMPDVVDINVEEGESKAEKDCSILVDHKQLREDINQTSCARIDINCPESTDKLQDIEDVTPVKMHGDDLMATSVEQVAAAHVESRSSLHSEGIVIDVSRIEGCSHESTIGKGGKSTPSVCLPAENGVSKENIIHFSNMDGASSISPAFTSNYQQSKLADPIQSKPKPLTPKDLMPVQFSSSLPDPTSICFEGIAAITTPNFEDHGNRASIASGAKDVNMFPTFKDQSSNRHDALFSNVDGYMQQRRNNHFGTEVCGLSESTGISQSDQFTVSKFQNGRPSSLGLSNGNLGVLSTGRREEAREGLFRPCSVKTSAGNEEQQKRPGDVKLFGQILSHQSSLQSSGSSVHGSKSKPPSPKVDKPASSRLLSNPRERLVYSSRPPIIANSGLEERAMRSFDHMDGRTIQPEPMVMVAKCQRPSAGVPVYSTKNGALSVFAEFQQPSMPPHTSDHKLLENFADLHKRNGIELLSGFQQPGRLGGAGVLVSGVSDPVAALKAQYGSGSKMLSSSNDVDTWKDIGSSDVGFARGVGHAVRWLTRMFVGMSISIYAETSHRSL >ONIVA10G12040.3 pep chromosome:AWHD00000000:10:12990302:12998391:1 gene:ONIVA10G12040 transcript:ONIVA10G12040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAASRRVVGGVEDVGLELVARRTRRRSPRFARPSARAILAVDRGAGGCGSIGVKGLGLRPLYRLTLSQAAAASVFSPLLSSPPHLSPLSPSFSPAPRPPPPPPPPPPPPPSLHADQGASASAHAAADASPTWGALGAAACTSDCLPDSTPRISSAACSTGGGEGKAWTSTSLSDLRRPTSAAAAAADSAGDGSPGIGGGRRRCRVGFFAARMRRPELGIRAGWTTTTTTTTTPWMPPPPPDRRDFLYRDGRRHDGDPLPPPAPTPPRWRDSPYHPPPPPPPLRDHSRPSPRRTPSSVSSDGYYRQGGGSYDRSYPDESLGYTPSRSDRYWLDDDGGGGGYKGFSRYGGGGGGSRRDGRDMRGSYRRSPFRGYGSDFSRNHQEHPPPPLRRSPLRSVAVPMSYDPPGDRVDRGDRDHHHRVTPWRPLRRRESRSDAADAAGAGPVPVGQAAAAAASEKDVSARSSAVAAPQVSEEEAPRKKPRLGWGQGLAKYEKQKVQGPAESAEAVAEGSPTATEQKGITHTPAPAPCVSPVAAPSPAPCASPVAAPSPAPCASPVAAPSPAPPCKSPVPEDKSCELTANTVTESNKNIPGPDVQACNNEVPTKLDQLEGDPIDSLAKVLSELVQHEDSCSGDSKRLSNVSKLLLLKESISKELEKTELEIDSLEGELKSVNVEARNRTLKDPPTAVTYAQNPSPSPVKEQGELTPSPKISMEQDADVKGSELMEVETAQAHNAKAVSSEESVACPGVAPGQVPAAADVIPSDPCGKTGSGIDVDIEQREENPCQENFNAMKADGSSDLATRPCSYREVKYNLMDQIIAANRSEAKKNSQLLFKPVPADRSNLDLLASSYLSSQMKSDVIIKKKHAILKNRQRFKEQILTFKFRVLRHLWKEDVRLLSVRKQRSKSHKRTDQSNRSSQSGSQRQRSSNRSRLAVPAGNLSTFPITEMSGVARKLFSEFQLKRCRNYLKMPALIVDEKEKAGAKFVSKNGLVEDPVSVEKERALINPWIQEEKGIFMEKLATFGKDFSKISSFLQHKTTADCIEFYYKHHKSDSFREVKKLLDLRQQQQPASNYLGAVSGKKWNPEANAASLDMLGVATEVAAQGLEYVNEVKKNSAKSILRTVCGVDNSTKGSEKDCVGDVSLHERESVAADVLAGICGTLSPEGMGSCITSSADPGQKIGIISRMEHLLTPEADKNFDDDGTLSDQECEVDIVDWNDDEKSSFIEAMNRYGKDFARISSYVKSKSFEQCKVFFSKARKSLGLDLIHQGAADAGFPTGDANGGRSGTDGACIAEMDSAICSAQSCPKVEIDACPVSDGEIQVHNPLSDIASRQPEADKSNMPDVVDINVEEGESKAEKDCSILVDHKQLREDINQTSCARIDINCPESTDKLQDIEDVTPVKMHGDDLMATSVEQVAAAHVESRSSLHSEGIVIDVSRIEGCSHESTIGKGGKSTPSVCLPAENGVSKENIIHFSNMDGASSISPAFTSNYQQSKLADPIQSKPKPLTPKDLMPVQFSSSLPDPTSICFEGIAAITTPNFEDHGNRASIASGAKDVNMFPTFKDQSSNRHDALFSNVDGYMQQRRNNHFGTEVCGLSESTGISQSDQFTVSKFQNGRPSSLGLSNGNLGVLSTGRREEAREGLFRPCSVKTSAGNEEQQKRPGDVKLFGQILSHQSSLQSSGSSVHGSKSKPPSPKVDKPASSRLLSNPRERLVYSSRPPIIANSGLEERAMRSFDHMDGRTIQPEPMVMVAKCQRPSAGVPVYSTKNGALSVFAEFQQPSMPPHTSDHKLLENFADLHKRNGIELLSGFQQPGRLGGAGVLVSGVSDPVAALKAQYGSGSKMLSSSNDVDTWKDIGSR >ONIVA10G12040.4 pep chromosome:AWHD00000000:10:12990302:12998391:1 gene:ONIVA10G12040 transcript:ONIVA10G12040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAASRRVVGGVEDVGLELVARRTRRRSPRFARPSARAILAVDRGAGGCGSIGVKGLGLRPLYRLTLSQAAAASVFSPLLSSPPHLSPLSPSFSPAPRPPPPPPPPPPPPPSLHADQGASASAHAAADASPTWGALGAAACTSDCLPDSTPRISSAACSTGGGEGKAWTSTSLSDLRRPTSAAAAAADSAGDGSPGIGGGRRRCRAAAGAGDSRGLDDDDDDDHHAVDASAAAGSEGLPVQGWPQARRRPPPTASPHAAEVARLALPPAAAATAPPRYGGGGGGSRRDGRDMRGSYRRSPFRGYGSDFSRNHQEHPPPPLRRSPLRSVAVPMSYDPPGDRVDRGDRDHHHRVTPWRPLRRRESRSDAADAAGAGPVPVGQAAAAAASEKDVSARSSAVAAPQVSEEEAPRKKPRLGWGQGLAKYEKQKVQGPAESAEAVAEGSPTATEQKGITHTPAPAPCVSPVAAPSPAPCASPVAAPSPAPCASPVAAPSPAPPCKSPVPEDKSCELTANTVTESNKNIPGPDVQACNNEVPTKLDQLEGDPIDSLAKVLSELVQHEDSCSGDSKRLSNVSKLLLLKESISKELEKTELEIDSLEGELKSVNVEARNRTLKDPPTAVTYAQNPSPSPVKEQGELTPSPKISMEQDADVKGSELMEVETAQAHNAKAVSSEESVACPGVAPGQVPAAADVIPSDPCGKTGSGIDVDIEQREENPCQENFNAMKADGSSDLATRPCSYREVKYNLMDQIIAANRSEAKKNSQLLFKPVPADRSNLDLLASSYLSSQMKSDVIIKKKHAILKNRQRFKEQILTFKFRVLRHLWKEDVRLLSVRKQRSKSHKRTDQSNRSSQSGSQRQRSSNRSRLAVPAGNLSTFPITEMSGVARKLFSEFQLKRCRNYLKMPALIVDEKEKAGAKFVSKNGLVEDPVSVEKERALINPWIQEEKGIFMEKLATFGKDFSKISSFLQHKTTADCIEFYYKHHKSDSFREVKKLLDLRQQQQPASNYLGAVSGKKWNPEANAASLDMLGVATEVAAQGLEYVNEVKKNSAKSILRTVCGVDNSTKGSEKDCVGDVSLHERESVAADVLAGICGTLSPEGMGSCITSSADPGQKIGIISRMEHLLTPEADKNFDDDGTLSDQECEVDIVDWNDDEKSSFIEAMNRYGKDFARISSYVKSKSFEQCKVFFSKARKSLGLDLIHQGAADAGFPTGDANGGRSGTDGACIAEMDSAICSAQSCPKVEIDACPVSDGEIQVHNPLSDIASRQPEADKSNMPDVVDINVEEGESKAEKDCSILVDHKQLREDINQTSCARIDINCPESTDKLQDIEDVTPVKMHGDDLMATSVEQVAAAHVESRSSLHSEGIVIDVSRIEGCSHESTIGKGGKSTPSVCLPAENGVSKENIIHFSNMDGASSISPAFTSNYQQSKLADPIQSKPKPLTPKDLMPVQFSSSLPDPTSICFEGIAAITTPNFEDHGNRASIASGAKDVNMFPTFKDQSSNRHDALFSNVDGYMQQRRNNHFGTEVCGLSESTGISQSDQFTVSKFQNGRPSSLGLSNGNLGVLSTGRREEAREGLFRPCSVKTSAGNEEQQKRPGDVKLFGQILSHQSSLQSSGSSVHGSKSKPPSPKVDKPASSRLLSNPRERLVYSSRPPIIANSGLEERAMRSFDHMDGRTIQPEPMVMVAKCQRPSAGVPVYSTKNGALSVFAEFQQPSMPPHTSDHKLLENFADLHKRNGIELLSGFQQPGRLGGAGVLVSGVSDPVAALKAQYGSGSKMLSSSNDVDTWKDIGSR >ONIVA10G12030.1 pep chromosome:AWHD00000000:10:12988120:12989555:1 gene:ONIVA10G12030 transcript:ONIVA10G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRWRRRRRPLPCAVELQLHVRPRGLHLDHLQRALQLRHIAQPSPYSFAFLLLSPTCSPPSISVITACATSPRVTAMPSSPNSSTGVVTPTASRSFRNRSIGTPTLTAAAAARPANFL >ONIVA10G12020.1 pep chromosome:AWHD00000000:10:12978880:12979290:-1 gene:ONIVA10G12020 transcript:ONIVA10G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAWRRTSKFFISQRDFARKRKYLCRPMPPYGTLEYRTVTCSMIKVPNPHTVVTHSKDSIASASLLATSPLFLQDDDDDDGENVIGDLVSAEDGSCRCKAHSVQPLRHCVLYIKYLWFPVSSACGVSREDKYAVQ >ONIVA10G12010.1 pep chromosome:AWHD00000000:10:12976248:12976970:-1 gene:ONIVA10G12010 transcript:ONIVA10G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLTTPRRLRYPPPPPATTIPAVSLADELSFRSYPHAPQLTTHTHFIHSGKLGRLMILRGNWAGERVGQDRPRQVSTV >ONIVA10G12000.1 pep chromosome:AWHD00000000:10:12975710:12977749:1 gene:ONIVA10G12000 transcript:ONIVA10G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKPDQPALDLPSSLSTVSYCCGACGYDLKLSSSARDTAGMVVAGGGGGYRRRRGVVRFGAIDDARFGHVDEFRCVDVRARRLFTRRTRLLCRKCGAHVGFAYDDRAARSPPSSSPPPPRYDIKIRALHPIEAPQPSDL >ONIVA10G11990.1 pep chromosome:AWHD00000000:10:12962211:12968580:-1 gene:ONIVA10G11990 transcript:ONIVA10G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:A0A0E0IT22] MTTYRVCCFLRRFRAASNEPSEELGDVFQAYADGGGGVMGEEALRRFLREVQGEAAGGGDDELEATAREVMAFAAEQRLLRKGGAAAAGGGLTVEGFHRWLCSDANAALDPQKRVYQDMGLPLSHYFIYTGHNSYLTGNQLSSGCSEVPIVKALHDGVRVIELDLWPNAAKDAVEVLHGRTLTSPVGLMKCLEAIREYAFVASPYPVILTLEDHLTPDLQSKVAKMIKETFGDMLYVSETENMAEFPSPDELKGKIIVSTKPPKEYLQTKNDADADEAGVWGEEITDDKVAATAMTTEEKCAAAEEAVAAAAVDEEMQEAETDKKTQHGVDNEYRRLIAIPLTRRKHDMDQDLKVDPDMVTRLSLGEKAYEKAIVTHGAHIIRFTQRNLLRIFPRSTRITSSNYNPLMGWRYGVQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNNDPDKLFDPTSKLPVKTRLKVGIAGVEADTRMEQTKVKMDTWIPAWDHEFEFPLSVPELALLRVEVHESDNHQKDDFGGQTCLPVWELRRGIRSVRLCDHRGEPLRSVKLLMRFDFT >ONIVA10G11980.1 pep chromosome:AWHD00000000:10:12953625:12956015:-1 gene:ONIVA10G11980 transcript:ONIVA10G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLLLLLPLLLAPASASTVAIAAGPTACAVAEGNSTVYCASATNSSSSAAVAPFVSFSQVSGGGGAFVCGLQVGGRALFCWPAAAPGQLRRVYNGPGQLSQLAVGGGHVAAYDTAARVIRWWRGGDRFPLWFGGGFASLVSGDDFTCAVETSTSAVRCWGPRGGAVEAGFLNASVSALAAGGSRACGVRRNDGGVLCSGGGVLAPREDLYVDGLAVGDSHACGLLRPNHTAACWSLGGATTTLYYPAVGTAFELLVAGGNLTCGLVSANFSLLCWSRDGLVAAEVNLPPILPGVCVSDNSSCKCGPLPDSGRFCKVSGDVICRRFCDTSPPPPPSPRTPSPPATPSSRRGVSKGWIAFAVVGAVGCFAGLCSIVYCLLFGFCSHKKVHNSVQPNIASNNNGGGGGGAAAAVGSGAPSPYGSPNGSLGRLRRQLSRVMTRQRSGPSSFKDPAEEFTFAQLAAATKDFAAEAKIGEGSFGTVYRGKLPDGREVAIKRGESGPRARKFQEKETAFRSELAFLSRLHHKHLVGFVGYCEESDERLLVYEYMKNGALYDHLHPKPNGSSSPSPSPVATSWKLRIKILLDASRGIDYLHSYAVPPIIHRDIKSSNILLDGSWVARVSDFGLSLMGPETEEVKHLSMKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLEALTGKRAIFKEAEGGSPVSVVDYAVPSIVAGELSKVLDARAPEPNAHEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETAVALCEDSATGGGAAGHGNSSSSASLSITSMELSRMD >ONIVA10G11970.1 pep chromosome:AWHD00000000:10:12946277:12949859:-1 gene:ONIVA10G11970 transcript:ONIVA10G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKVLGVGRGATDEELKRSYRRLAMKHHPDKNRSPHADDSLFKQVSEAYDVLSDPQKRAIYDQFGEEGLKAGAAPPPTTSSSSSHGGGGGFRFSPRSAEEIFSEMFGGAFGGAGPRAPGAGFPGFGGSPRAGETSATKAPAIERQLVCSLEDLYRGATKKMKISRDVLDATGKPTNLEEILTIDIKPGWKKGTKVTFPKKGNEKPNIIPSDLVFIIEERSHARFKRDKDDLIYTHRISLVEALTGCTVQLTTLDGRNLTVPVKSVINPTSEEVVKGEGMPITKEPSKKGDLKIRFQIKFPTNLTSDQKSGIQQLLPKP >ONIVA10G11960.1 pep chromosome:AWHD00000000:10:12934664:12940995:1 gene:ONIVA10G11960 transcript:ONIVA10G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGNGGWPEPVVRVQALSESGAATIPDRYVRPETERPSSSSEANAVANINIPVVDMSSSPGTAAAAVAEACREWGFFQAVNHGVPAALLRRARGVWRGFFQQPMEVKQRYGNSPATYEGYGSRLGVDKGAILDWGDYYFLHVRPPHLLSPHKWPHLPPDLRETTTEYSEEVRRLCERLMAVMAVGLGVEEGRLQEAFGGGEGAGVCVRVNYYPRCPQPDLTLGLSSHSDPGGMTVLLVDDRVKGLQVRHAGAWVTVDPVPDAFIINVGDQIQVVTNALYRSVEHRVVVNAAEERLSIATFYNPRSDLPVAPLPELVSPERPPLYSPMTFDDYRLYIRRNGPRGKSQVDRLAAAAATIPNSTTTTQ >ONIVA10G11950.1 pep chromosome:AWHD00000000:10:12897557:12900374:-1 gene:ONIVA10G11950 transcript:ONIVA10G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHPLIGEFNHRLHHLPPALSDWMRFKGTENPPLQADLYFSIQGVLAVFLTLNRCGGGAADLSGLAKGHLASMDELVQEQRHLVHIRSKMLFSEILRSIGANEARYNCHAVTDGYVGSAEASVYGVRGGAKGHFALGISHGRLKS >ONIVA10G11940.1 pep chromosome:AWHD00000000:10:12894693:12895031:1 gene:ONIVA10G11940 transcript:ONIVA10G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLLKDVTQESHRWRVRVRATRFSEFTTANEPDKILRLDLVLLDEQGDMMDAQIPGRRVDQFKPLLKEGAVYYIKYFEVAEARP >ONIVA10G11930.1 pep chromosome:AWHD00000000:10:12886925:12887955:1 gene:ONIVA10G11930 transcript:ONIVA10G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGDGIGCCSLLHYAESPMTMARRCSSFLLRTVSSLIAALLDWGFSVGVFTWGREGFASLVYCSQRFDNREIMRSASLHPTSSEGPTK >ONIVA10G11920.1 pep chromosome:AWHD00000000:10:12881704:12882231:-1 gene:ONIVA10G11920 transcript:ONIVA10G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVVAVQAEEDEMVRQRQRQRQLVRERGRRIKAAAELGLARSSSGGRQWGRALGRRALLLRKGPATAALSSSTLLLETSAGQEESKQGKAMEGEAEQEEEEEEEVMVDEKVAVLRQLVPGGEAMAVERLLDETADYIAALRAQVGVMRALACLLSGLGSPPEKEISVTPEKPI >ONIVA10G11910.1 pep chromosome:AWHD00000000:10:12877821:12880088:1 gene:ONIVA10G11910 transcript:ONIVA10G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEFVDVERGVVAEGALDDDASPIEEVRLTVPVTDDPSLPVWTFRMWTLGLLSCVLMSFLNQFFSYRTEPLIVTQITVQVASLPLGHILARVLPRRKFKAPALLGGGECSLNPGPFNMKEHVLVSIFANAGCAFGSGSAYAVMIVDIIRAFYGRSISLFPAWLLITTTQVLGYGWAGLMRKYVVEPAQMWWPGTLVQVSLFRALHGKGEEKEENKEGSGGGMSQAKFFLIALACSFLWYAVPGYLFPTLTSVSWVCWIFSKSVTAQQLGSGMKGLGLGAFTLDWTAVSAFLYSPLISPFFATANILAGYVLLMYVVVPVSYWGLDLYNARRFPIFSSHLFTATGSTYDITAIVNDRFEIDMDGYHRMGRINMSTFFALSYGLGFATIAATVTHVALFHGKEIYRRFRASQRDKPDVHTRLMKSYRDVPSWWFYAMLALSMAVSLLLCTVLRSAVQLPWWGLLFACAMAFVFTLPISIITATTNQTPGLNIITEYVIGLMLPGKPIANVCFKAYGYMSMSQAVSFLSDFKLGHYMKIPPKSMFLVQLVGTVVASTVNLVVAYWLLGSIPNICQDALLPADSPWTCPNDRVFFDASVIWGLVGPRRIFGPLGNYGALNWFFLAGAVGPVIVYLLHRAFPSKTWIPMINLPVLIGATSYMPPATAVNYNSWLIIGIIFNFFVFRYRKLWWKRYNYILSAALDAGVAFMAVLLYFSLSMENRSISWWGTAGEHCPLASCPTAKGINLGADSVCPVVL >ONIVA10G11900.1 pep chromosome:AWHD00000000:10:12841209:12842423:1 gene:ONIVA10G11900 transcript:ONIVA10G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPPSPAVARTGFSDLQPDLVGEIHGRLSFLDRLAFVAVSSAASRDALKPEPPWLVLPGETPETATVFSLADRRSATVRASDPAMRGHVIIGSSGGWIVTADERGQMRLANPVTGEQGDLPAITTIPFVNATSPGGHHFIMDMEPFVHIRYRGEHESWPHPHGTFTHTAGDVRLWFYRKVVLSASPRPGDYAAVLLLDSYFGAPAFATAWDGRWRVAPSRDGVEDAIHHKGKFLSVTYTGIVEAWERDGVSGEYTSEAVTTPISCPPQRRKYLAAAPDGRLIIVLKNTNGGGVRKGYFEVQVFDEMTQRWEAAEDMGELVILVGVNSSLCVSTAKHPEINAGCLYYTDDEIGKAWLRREYGYSNSKPNVGVYSLKDGKVMSIPGLGEHLSWPPPAWFTPSFP >ONIVA10G11890.1 pep chromosome:AWHD00000000:10:12828800:12829364:-1 gene:ONIVA10G11890 transcript:ONIVA10G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKHRNLEKFAKTTEKQDTQKKTAHHMAQNRVHSSSMKVGTTIILVTAKYPNKETVAYATYLSSNPRDKVDGVEIGNEFTKVVVNHPLKEDEELVQKING >ONIVA10G11880.1 pep chromosome:AWHD00000000:10:12823691:12841100:1 gene:ONIVA10G11880 transcript:ONIVA10G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKLCLHDHIEWVDILDVNKSMDKNCFNMAVLILTCNPLLLFLDDTIHYMDKQFRASIWPKYFLPGRQSNPI >ONIVA10G11870.1 pep chromosome:AWHD00000000:10:12811627:12811888:1 gene:ONIVA10G11870 transcript:ONIVA10G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPPPDPVGEEVLAATGVEAAATDDEGELLGSSSRLFFIRGTSKVSILNRHQNQHLFSLHISVAF >ONIVA10G11860.1 pep chromosome:AWHD00000000:10:12808650:12808919:-1 gene:ONIVA10G11860 transcript:ONIVA10G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGRVGSFIFPASSPLVVGLLQLISSGLPITMQGGDGEAAPMASPTGLPACLPVRGEPSVPRGDEGRAMRTGRQQVQSLACIDSNNR >ONIVA10G11850.1 pep chromosome:AWHD00000000:10:12807449:12808473:1 gene:ONIVA10G11850 transcript:ONIVA10G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEEDATYGKMQESLMEEARELSILCGVDVALLCAGGPGTGDGDGGGGGVGVGGGRSRLLHQYGGGGAGAARVHGRGDGGRDREAEGAALGLLDDGNAVNGDGGGPDLLHIPIQPGAPDSVVVPVISHAQPIASSTGADFADAPNGFLAMDVGGSLIKSATTRRSARPMGSSSGTPTMANLDQIHYLVGGSAFSIDALESPTLLVRCKTLRIAIQFMLPLRHGRFRANVPSNHRRTETKS >ONIVA10G11840.1 pep chromosome:AWHD00000000:10:12803415:12803676:1 gene:ONIVA10G11840 transcript:ONIVA10G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPPPDPVGEEVLAATGVEAAATDDEGELLGSSSRLFFIRGTSKVSILNRHQNQHLFSLHISVAF >ONIVA10G11830.1 pep chromosome:AWHD00000000:10:12789382:12790676:1 gene:ONIVA10G11830 transcript:ONIVA10G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAKTPMGLIPFHKKRAATFARRKESVMKMAKELSVLCDAQVAVVVGDPGGGAAEKAAWESEEGRSLTHREYLRGELRKQRAKLAKVREEGAFKPWDDALDGIAEETRKLHKYLSDKIEAARARMEAMGLQLGDVDDNGVNGDDGGGLDLQQHVPPSASDAKEFESVPVVHGGQYIGSSSGGGGGGGGGGDIQMQTTPAADGISFAEQYVPPPAVQPEHGIQYNAPMEGYPSQVPGNGLPDLATGSIDVAAAAATTAPARYPPTLDTGRHGSFLVAPRAQPLAFSTAGADFINAPNNFLTTGVSVSDYSVQSSGYGIGNQIDNAKQLLYQMQMQYPVGGTGGAEPSNTQTQSPDLRSFSNVVGDYSYTAAQSSANRLDQMHHPVGGRGSTGGAAADPSDTQSKNRGS >ONIVA10G11820.1 pep chromosome:AWHD00000000:10:12761540:12762430:-1 gene:ONIVA10G11820 transcript:ONIVA10G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLADSAARKLLHGASAQGVAAGMSLGLDGLLQLVADLFASLVHLFVLPFRAMGHAIQWFFAGVVAGLGGAARVLVLPLETLSRWLQAAVAGIASAAHLLVLPFEACWRWLRDAAAAALPYVLAIVAVVCVVALLWLSCTFLCSADALIGPPLAGAAISCGAFLLPAAVRTGQALVYAVCYATRGAGVVLAWVLPRCGRCCVVLVTMKAPGAAGMVISRGAFESLPRLYFLPDTALRWRRRGRRRVLRAARRVGVRCAGRRPLPRVVINKLLSVLVAGNARHDIYVLVLSTASIL >ONIVA10G11810.1 pep chromosome:AWHD00000000:10:12755916:12757586:-1 gene:ONIVA10G11810 transcript:ONIVA10G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATGSDAKSQVAGEGNITTSNKVGEQAISGQIQAYSYLMTFPHRTIIGMDMLMISNNVTSLMPIGQDGLMSLGPALAMTHTSIPMIIPWGNVMR >ONIVA10G11800.1 pep chromosome:AWHD00000000:10:12743568:12744422:1 gene:ONIVA10G11800 transcript:ONIVA10G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIKLPFLAILFALEENLSPRRPRALPYLVLPPPMEVEQVLSITSNSKVDNITNGDNVIIIPSVSTEEKEHINGAAETNHVVMITAASMDRTDGDDKTTMEEESDVGIAIARSASSNGSRQQDKKRGAFGLFRAMFMSFNGSASIKKRAAATMGNQKKAEAAGGGAAAAVARSSSDVASWKNLVDGMRPLRLHGHLEYYPPPSPDRSEGMSMTSSYSSAQDLQELVNVNGHGKEDEEEEKNSPEREDGGCSPNPIDMQAEEFIAKFYEQFRLQKSDSFNNRAD >ONIVA10G11790.1 pep chromosome:AWHD00000000:10:12733526:12736029:-1 gene:ONIVA10G11790 transcript:ONIVA10G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALADSAAARKLLLHGAATDAAVGMSFGLDGLWQLIAGLFSGLVHLLLLPFQAIAHGFQALGHAIQALFAGLGSVAHLLVLPFEMLWRGLQAAVAGIGHGFDGMWHAIQGFFASIVAALAGAAHGLVLPFEAFWRWLQTAAGGTGSGFQGLWQNVLVFFANSLATLAGAAHDLVHPFEAFWKWLRTAAADAAADISFRLDGLWPLVKRLYASLLATLASAAHGLVPLLESLWRGLRPAAAAALPYVLVIAAVLCVVALVWLAWPFLFPAAAVIGLALVGVVGCCALLLLAAAVLTGRALVYAVCFGVVLLFVAAKVVGKVLDRVLPACARCCYDRVTTTATTMSAPDAAGMDISRAAYESLPELYAQILRSAGPVVAAAVFCSHPVAWTCAAPVAALFLFRALDKRLPPSEPCVLPAAAYPDTVTDVSGSRSIGQHVSEDDDMSDSCSIVDPYHGDHLKRYMEYLPSTVKVLVQ >ONIVA10G11780.1 pep chromosome:AWHD00000000:10:12721379:12726852:1 gene:ONIVA10G11780 transcript:ONIVA10G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIHKIASLRRESSLWRRGDDGVYFSRSSTGASSSRFRDEEDDEEALRWAALERLPTRDRVRRGILLQAAEGNGEKVEVDVGRMGARESRALIARLIRAADDDHALFLLKLKDRMDRVGIDYPTIEVRFEKLEVEAEVHVGNRGLPTLLNSIINTVQAIGNALHISPTRKQPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLEDNLKVSGKVTYNGHGMDEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRYDMLTELSRREKAENIKPDQDIDVYMKKNFQAYFSNLKSSNQPRNAALPAFCAGVAHAASRHVSRRWREGGFRGLSFAGAAVSDVVRFGMRLLPSPGLVWRLVAARLMGMWAMAALRRHLCFHLLLLLGHCGCSLVGEGWRRCSPTQLVCSAMLDGAV >ONIVA10G11770.1 pep chromosome:AWHD00000000:10:12712470:12712748:-1 gene:ONIVA10G11770 transcript:ONIVA10G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAADHHGGHHHQTTKKIISQGSDGIGGGGGGGKSRVDLYAGAVAQRALYGPTTTSRCRGAARQRQQAVAGGKDSKQPSRLSKMSGAEGT >ONIVA10G11760.1 pep chromosome:AWHD00000000:10:12701026:12711190:1 gene:ONIVA10G11760 transcript:ONIVA10G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLLLVLTTSWPPAASGQDASSATVSPLNTHCNATAGTNHTAGSAYLSNLRALGGALSRRALATGFASGSYGAAPDEVHGLVLCRGDFTGGNCTDGLASAFRDAAAQFCPGAADATVYYDQYMIRYTNDGRLLSDPGDNEPLWSGKNMNEVTGADAAARFMAKATELMNRTADLAAFGSPPSSSSPSRYATGETWFDEQGVSVVYGLVQCTPDLTGEQCRSCLAGIIAQMPKLFGDASSRPVGGRILGVRCNLRYEKDVFFKETSTTIKLNMPKKLLLQRDLVILEREIVSESDERFSLFKFSKIKDATDNFSRENKLGEGGFGHVYKGRLTTNQDIAVKRLAPNSAQGFKEFKNEIKLIACLQHRNLVRLLGCCIKSKERILVYEYMPNGSLDGLIFGEEEVKPNWHVRRHIIEGIAEGLLYIHDYAHACIVHRDLKPSNILLDHEMNPKISDFGIARICLSSVTESNTTTAIGTFGYIAPEYCSQNVYSTKSDVFSFGILVLEIISGKRAVGSYKLSGRSYELRRYAWQLWKEERCDELVDPSFGEDYQEMDIIRCIQVALLCVQDSAEDRPTMHDVTTMLSNGNRRLLMPAQPGSFNIDIGDPEEL >ONIVA10G11760.2 pep chromosome:AWHD00000000:10:12701026:12711190:1 gene:ONIVA10G11760 transcript:ONIVA10G11760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLLLVLTTSWPPAASGQDASSATVSPLNTHCNATAGTNHTAGSAYLSNLRALGGALSRRALATGFASGSYGAAPDEVHGLVLCRGDFTGGNCTDGLASAFRDAAAQFCPGAADATVYYDQYMIRYTNDGRLLSDPGDNEPLWSGKNMNEVTGADAAARFMAKATELMNRTADLAAFGSPPSSSSPSRYATGETWFDEQGVSVVYGLVQCTPDLTGEQCRSCLAGIIAQMPKLFGDASSRPVGGRILGVRCNLRYEKDVFFKETSTTIKLNMPKKLLLQRDLVILEREIVSESDERFSLFKFSKIKDATDNFSRENKLGEGGFGHVYKGRLTTNQDIAVKRLAPNSAQGFKEFKNEIKLIACLQHRNLVRLLGCCIKSKERILVYEYMPNGSLDGLIFDFGIARICLSSVTESNTTTAIGTFGYIAPEYCSQNVYSTKSDVFSFGILVLEIISGKRAVGSYKLSGRSYELRRYAWQLWKEERCDELVDPSFGEDYQEMDIIRCIQVALLCVQDSAEDRPTMHDVTTMLSNGNRRLLMPAQPGSFNIDIGDPEEL >ONIVA10G11750.1 pep chromosome:AWHD00000000:10:12679521:12681678:1 gene:ONIVA10G11750 transcript:ONIVA10G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLELQTPQQHSSSPVSTASSAISMEGTVLCSANHAPLTPISFLERTALVYPDRLAIVASDGSAGGVARTWRETRARCLRLAAALTGRLGVQRHDVVAVFAQNIPAVCELHFGVPMAGAVICTLNSRLDAAMAAVLLRHSEAKVVFVDRALLGVAQKALVLVAEAGARRPVLVLISELLDDEPSLASDAKIKVTRVDYEYEHLLSAAAAGSSPDFAIRWPADENEPIALNYTSGTTSRPKGVIYSHRGAYLSSLAAVIVNAMAETPVYLWTVPMFHCNGWCLVWGVAAQGGTNVCVRRVTAAAIFDSVARHGVTHMGGAPTVLSMIVNATAEEQRPMALLAPGGRRRVTVMTGGAPPPPQVLFRMEEHGFLVIHSYGLTETYGPATVCTWKPEWDALPAAERAQIKSRQGLHHVGLEAADVKDPATMRSVPADGRTVGEVMLRGNTVMSGYYKDGGATAEALAGGWLRSGDLAVREEDGYIKILDRSKDIIISGGENISTVEVEAVLFGHPAVEEAAVVGRPDEYWGETPCAFVKLRGGGGGATAAEELMAFCRARLPRYMAPRTVVVVEEELPKTATGKVQKVALRERAKAMGSLPAAASSSSRRAPTGTAGSGRSKL >ONIVA10G11740.1 pep chromosome:AWHD00000000:10:12671098:12671476:-1 gene:ONIVA10G11740 transcript:ONIVA10G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLRAYREVLRLVRRLPADARPYYAKYARENFVNYRDLSADDDLAALLRRAYAHSSWVLSKYSIDADAAAGRLKEVCGAEGGA >ONIVA10G11730.1 pep chromosome:AWHD00000000:10:12650679:12668859:1 gene:ONIVA10G11730 transcript:ONIVA10G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHMPEQLERMLLSTGRGRVACLVVDVLALWTVPVAKRVERQPPKPRQRRAGQAAGRPEPRRRRVAGGSSDGGAKRRSGLSGGSAKCGLSGHGPTPAPSHSRQAPFSTFLAARLNAISVRCESPYGNTGPRRWGRCREAAAPCLLASAIGGQESSVVTEYILKILGLDICADTVVGNDMLRGVSGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSIGQTIRILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGAREHVLEFFELMGFRCPQRKGVADFLQEVTSKKDQEQYWYRNDIPYSFMPVKQFADAFRSFHVGQSIQNELSEPFDRSRSHPASLATSKFGVSWMALLKANIDRELLLMKRNSFVYIFKAANLTLTAFLVMTTFLRTKMRHDTTYGTIYMGALYFALDTIMFNGFAELGMTVMKLPVFFKQRDLLFFPAWTYTIPSWILQIPVTFFEVGVYVFTTYYVVGFDPNVSRFFKQYLLLVALNQMSSSLFRFIAGIGRDMVVSQTFGPLSLLAFTALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGRSWNKSFPGQNDTVGISILKSRGIFTEAKWYWIGFGALIGYTLLFNLLYTVALSFLKPLGDSYPSVPEDALKEKRANQTGEILDSCEEKKSRKKEQSQSVNQKHWNNTAESSQIRQGILPFAQLSLSFNDIKYSVDMPEAMTAQGVTEERLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWMRLPSEVDSETRKMFIEEIMELVELTSLRGALVGLPGVNGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIDIFEAFDEVDNSLLSIWIKLFLMKRGGEEIYVGPLGQNSSKLIEYFEGIEGISKIKDGYNPATWMLEVTSTTQEEMLGIDFSEIYKRSELYQRNKELIQDLSTPTPGSTDLHFPTQYSRSFFTQCIACLWKHKLSYWRNPSYTAVRLLFTIIIALLFGTMFWDLGRKTKKEQDLFNAVGSMYAAVLYIGIQNSGCVQPVVVVERTVFYRERAAGMYSGFPYAFGQVAIELPYILVQTLVYGVLVYSMIGFEWTVAKFIWYLFFMYFTLLYFTFFGMMAVGLTPNESIAAIISPAIYNAWNLFSGYLIPRPKIPVWWRWYCWICPVAWTLYGLVASQFGNIQTKLDGKDQTVAQFITEYYGFHHDLLWLVAVVHVVFTVMFAFLFSFAIMKFNFQRR >ONIVA10G11730.2 pep chromosome:AWHD00000000:10:12650679:12668859:1 gene:ONIVA10G11730 transcript:ONIVA10G11730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHMPEQLERMLLSTGRGRVACLVVDVLALWTVPVAKRVERQPPKPRQRRAGQAAGRPEPRRRRVAGGSSDGGAKRRSGLSGGSAKCGLSGHGPTPAPSHSRQAPFSTFLAARLNAISVRCESPYGNTGPRRWGRCREAAAPCLLASAIGGQESSVVTEYILKILGLDICADTVVGNDMLRGVSGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSIGQTIRILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGAREHVLEFFELMGFRCPQRKGVADFLQEVTSKKDQEQYWYRNDIPYSFMPVKQFADAFRSFHVGQSIQNELSEPFDRSRSHPASLATSKFGVSWMALLKANIDRELLLMKRNSFVYIFKAANLTLTAFLVMTTFLRTKMRHDTTYGTIYMGALYFALDTIMFNGFAELGMTVMKLPVFFKQRDLLFFPAWTYTIPSWILQIPVTFFEVGVYVFTTYYVVGFDPNVSRFFKQYLLLVALNQMSSSLFRFIAGIGRDMVVSQTFGPLSLLAFTALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGRSWNKSFPGQNDTVGISILKSRGIFTEAKWYWIGFGALIGYTLLFNLLYTVALSFLKPLGDSYPSVPEDALKEKRANQTGEILDSCEEKKSRKKEQSQSVNQKHWNNTAESSQIRQGILPFAQLSLSFNDIKYSVDMPEAMTAQGVTEERLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWMRLPSEVDSETRKMFIEEIMELVELTSLRGALVGLPGVNGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGQNSSKLIEYFEGIEGISKIKDGYNPATWMLEVTSTTQEEMLGIDFSEIYKRSELYQRNKELIQDLSTPTPGSTDLHFPTQYSRSFFTQCIACLWKHKLSYWRNPSYTAVRLLFTIIIALLFGTMFWDLGRKTKKEQDLFNAVGSMYAAVLYIGIQNSGCVQPVVVVERTVFYRERAAGMYSGFPYAFGQVAIELPYILVQTLVYGVLVYSMIGFEWTVAKFIWYLFFMYFTLLYFTFFGMMAVGLTPNESIAAIISPAIYNAWNLFSGYLIPRPKIPVWWRWYCWICPVAWTLYGLVASQFGNIQTKLDGKDQTVAQFITEYYGFHHDLLWLVAVVHVVFTVMFAFLFSFAIMKFNFQRR >ONIVA10G11720.1 pep chromosome:AWHD00000000:10:12637253:12639161:-1 gene:ONIVA10G11720 transcript:ONIVA10G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ehd1 (Fragment) [Source:UniProtKB/TrEMBL;Acc:I3RV09] MASSGDTNTVMKYVANGAFDFLLKPVRIEELSNIWQHIFRKQMQDHKNNNMVGNLEKPGHPPSILAMARATPATTISTATEASLAPLENEVRDDMVNYNGEITDIRDLGKSRLTWTTQLHRQFIAAVNHLGEDKAVPKKILGIMKVKHLTREQVASHLQKYRMQLKKSIPTTSKHGATLSSTALDKTQDHPSRSQYFNQDGCMEIMDYSLPRDDLSSGSECMLEELNGYSSEGFQDFRWDSDKQEYGPCFWNF >ONIVA10G11710.1 pep chromosome:AWHD00000000:10:12635108:12636572:1 gene:ONIVA10G11710 transcript:ONIVA10G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13450) TAIR;Acc:AT4G13450] MAPFIDLGRGGPTAAPGKPRLVMIIADPGRESTAAMEWALSHAVAEGDAILLVHINMPPNSAGGAGPSRTGSGGSAGSPLTALLGAGAAGDADFMETMSAACKARHPRARVRALRVEPATEGREAKAQTILAESQRRGVELLVIGHRRVSSFLGLRSPSGSSRAHDSTAEFLIEHSKCVCVSVQKKGQNAGYLLNTKTHKNFWLLA >ONIVA10G11700.1 pep chromosome:AWHD00000000:10:12632046:12633748:1 gene:ONIVA10G11700 transcript:ONIVA10G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSMAAMAVVMAVMAAAAASPAEGARAFFVFGDSLVDNGNNNYLLTSARADMPPYGIDHPSHRPTGRFSNGLNIPDIISEHLGAEPTLPYLSPDLRGAKLLVGANFASAGVGILNDTGIQFVNIVRMSRQLQYFAEYQERLRALVGAARARRIVNGALVLITLGGNDFVNNYYLVPFSLRSQQFALPDYVRFLISEYKKILQRLYDMGARRVLVTGTGPLGCAPAERALRGRGGGCAPQVMRAAELFNPQLSRALGEMNARVGRPGAFMAANSFRVHFDFISNPAAFGFATARDACCGQGPNNGLGLCTAMSNLCADRDAYVFWDAYHPTEKANRIIVSQFVRGSLDYVSPLNLSAALEIDAAEEAAAAAARRRRQRAVARLVGDKPHA >ONIVA10G11690.1 pep chromosome:AWHD00000000:10:12624633:12627960:1 gene:ONIVA10G11690 transcript:ONIVA10G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGRSVGEEEPRGKRPAAELRLFDTRTKAAVPFRPRVEGKVAITSAASPRTTTATSATPAPTSPSTYLKYLGYEVNYVRNFTGIDDKIIKRANEAGEDALSLSSRFIDEFHRDMYELQCLPPTHEPRVTKHIEQIIDLITKIMDNGKAYTIEGDVYFSVDNFPDYLSLSGRKVDQNRPGTRVAVDARKRNPADFALWKSAKEGEPSWESPWGRGRPGWHIECSAMSAHYLGNIFDIHGGGKDLIFPHHENELAQSQAAYPESEIISLYHPMALRLFLMRTHYRSDVNHSDTALQFASGRLYYIYQTLHDGEETVSLYGEHKLENSIPADDQKLIEENHSNFLEKMSDDLHTTAALDHLMKLQQKLEHQKKKQSQKQQQQQQKKPEDYIQALVALQNEVTDKLSILGLMPMSSLAEALKQLKDKALKRAGMTAEQLQQMIEQRTLARKNKDFAESDRIRTELSALGIALMDEPTGTLWRPSEPELAEGS >ONIVA10G11680.1 pep chromosome:AWHD00000000:10:12608804:12623221:1 gene:ONIVA10G11680 transcript:ONIVA10G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPSPSTSAPAAPPSSAFPLTAAARFPRAASTSSTRASALIERRRTRRRRPPEGSGGGGDRSAAAGAVEKGLRLAFLEQLAERARAADAAGVADAIYDMVAAGLSPGPRSFHGLVAAHVLAGDAEGAMQSLRRELSSGVRPLHETFVALVRVFAKKGLATRGMEILAAMERYKYDIRKAWLILVEELVNNNYLEDANTVFLKGTEGGLQGTDEIYDLLIEKDCKAGDHSNALTVAYKMEASGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGGEDYMKPDTESYNWVIQAFTRATSYDRAGDVAELLGMMVEDHKRRADELLEALEAMSNDGQTIAPRAMILNRKYRTLVSTWIEPLQEEADVGFEVDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRKLLITLRNEGPGILGDVSEDDVRRVIERLKKLVVGPKKNVVKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEEVDEELDELISRIKLEDGNTEFWKRRFLGETRNYLCEEVNEEEDADLDDDELDDDEDEDDDDDDTTKGEEDEIDEEDVVEQTENQAGDETKDKPSKGPKQHLQMIGVQLLKDLEKTSVSSKKSKRVPEIDDDEDWFPEDPIEAFKVMRETRLFDVSDMYTTADAWGWTWEREIKNKMPRKWSQEWEVDLAIKIMHKVIDLGGTPTIGDCAIILRAAMRAPLPSAFMTILQTTHSLGYKFGSPLYDEVILLCLDLEEIDAAIAVVAEMETNGIKVPDETLDKLNLLAAAAHLPSPPLPSLLLPSPRSLLGSAMYRAAASLASKARQAGSSARQVGSRLALSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSYGSPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFVTNPKTQKCELDDPLILIHDKKVSNLHAVVKVLELALKKQRPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLEKFEPQMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEKEAPAMGGMGGMDY >ONIVA10G11670.1 pep chromosome:AWHD00000000:10:12596044:12607379:1 gene:ONIVA10G11670 transcript:ONIVA10G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHSTSAPAVPASSVFPLVAATRFPCASTSSNTRSFALAEHFHIWELSSRVHRSQGKFVASAHVFAKKGLTTKGMDILTALERQSIKREADIFHPAKKEEAFGTKKCSKLLLQLGALPCCTMAWLSFAQTAQASEGANLNMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKDLQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAYEQKKDLKSALKAFEEVLLFDPNNTVARPRRDDLKQRVGMYKGVPVKSEKR >ONIVA10G11670.2 pep chromosome:AWHD00000000:10:12602905:12607379:1 gene:ONIVA10G11670 transcript:ONIVA10G11670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTSASAAAPASSAFPLAAAARFPRVSSASASSSSRALALAERRRLSEVGGGDRSVAAGCRSFHGLVPAHVLSAGDDDAGGFMQSLRWELSSRVHRSQGKFVASAHVFAKKGLTTKGMDILTALERQSIKREADIFHPAKKEEAFGTKKCSKLLLQLGALPCCTMAWLSFAQTAQASEGANLNMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKDLQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAYEQKKDLKSALKAFEEVLLFDPNNTVARPRRDDLKQRVGMYKGVPVKSEKR >ONIVA10G11660.1 pep chromosome:AWHD00000000:10:12595696:12595971:1 gene:ONIVA10G11660 transcript:ONIVA10G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSTSATTSTPAPASSAFPLAAAAAAARFPRASSSTRALALVERRRLSEAGGGGRSVAAGRRSFHGLVPAHVLSAGDDAEGAVCALFFS >ONIVA10G11650.1 pep chromosome:AWHD00000000:10:12582555:12586753:1 gene:ONIVA10G11650 transcript:ONIVA10G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATGEREPPSTMAEEEDRLCILFKCFCCWRKEDAGFRRIAPGTDYLAIPAAGADDDDRPRWSVVVGLTAVDVPRHNLRLHRFRVAASGRVLGSSDDALEAFRRVAPDDADAEAFSTASADLSNGNGGHRMHLICRAVPLNADGRWICNKTNLTKRYRSFSMDLGGGGGGGAVTPLGDLPTVLVPGGHFAIQAGGENWVLSVERPYPWLDERSTTRLLMRRQEGRRWVVAGEPHEFLHTGFERSESLYGGIFQGRAVIGDGKVLVSLADAAFFVFDCTHCVWTRLSLTHKKLNYIPLSSRSVYVEDHNAVYFLRFDTLFAYKFSPGKNTIEPPIRLDVMCPFGTNGYGSLVHLAGRVMCAVWIGTRSICTCTTQQTARDDHNAYHQWSYVEDVDRVEPFSIPPVLGEATYSEIENSAPNMLECCRMFLEDQWNEDDVVLEKCTTKTKMNLFFISQSGCQSLTYQISISNGKLVCRDKMLEPTCCAETFVSEDALYGSSSSPTWRYIYVGKRLLYCIPSLPESEMQVINLRRKLNHPFKTDRPKVCFSAVFPVGNQLVGLADTLQSVYLLKRGSSVWTHCKTTSRDADLTEKINISGFVVLNRYSFMISDAMTFDCFLLNLDSLEWTIVKSFQSYRRGTLLGRSIYIGGFIYTLFTGGILAFELINNYGSYYLDVPIFLRTWSKLIRDKNTICFASVGEDNSSGSIMFCLAHGYPIYGPSSMPKIKNLHHVKITMMQVTTCETVRGTREPVKPPRYVDVCTNSFVLRGLDACYVFAV >ONIVA10G11640.1 pep chromosome:AWHD00000000:10:12577329:12579197:-1 gene:ONIVA10G11640 transcript:ONIVA10G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHNTAEVPVPVSYGGKVEKTMGGAKQGRGGGGGCCSRLWFMVVLSATVTLLMRHCYDSGLIGHGAAAAGVVRIEPVHRGLYHTRKASPVDRGGGGGGGTSFSGHSPSRPDAGSAKPEPPHDSGVKAPSETEPATVEHTKPPSEPASTGTEPDDGGKPSSASSSSLPAAAHPFARALAAAGDKGDRCGGRYVYVQELPPRFNTDMVKNCATLFPWTDMCTFTANGGFGPQMSGGDGGVFQETGWYNSDQYTVDIIFHDRIRRYECLTDDPSLAAAVYVPFFAGLEVARHLWGFNVTTRDAMALEVVDIITSRPEWRAMGGRDHFFTAGRTTWDFRRLNDGDAGWGSKLFSLPAIKNMTALVVEASPWHLNDAAIPFPTAFHPASDEAVFVWQDKVRRLERPWLFSFAGAARPGSAKSIRSELIAQCRASSVCSLMECADGPSNKCGSAASYMRLFQSSTFCLQPQGDSYTRKSAFDAMLAGCVPVFFHPGTAYVQYTWHLPRNHADYSVYISEDDVRRNASIEERLRRIAPAAVERMRETVISLIPTVVYAQPSSRLDTMKDAFDVAVDAIVDKVTRLRRHIVDGRGEEEKLEMYSWKYPLLREGQKVEDPHEWDPLFAFG >ONIVA10G11630.1 pep chromosome:AWHD00000000:10:12573080:12574852:1 gene:ONIVA10G11630 transcript:ONIVA10G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHNAAEVPVSYGGERDEKTGSKVDKMGGADRRWRRGGGCCSRLWLVLVVFATVTMLLRHRYDSGLGHGAAAVVRIDRGGGGRPSFSGSSPSPPDSGRAKAVTVDHKSATSDSSTGTESDADGGGEPTSASSSLPAAAHPFARALAAAGDKGDRCGGRYVYVQELPPRFNTDMVKNCAALFPWKDMCKFTANGGFGPPMSGGDGGVFQETGWYNSDKYTVDIIFHERMRRYECLTGDPSLAAAVYVPFFAGLEVWRHLWGFNVTARDAMALEVVDIITSRPEWRAMGGRDHFFTAGLITWDFRRLADGDAGWGSKLFSLPAIKNMTALVVEASPWHLNDAAIPFPTAFHPASDEAVFAWQDKVRRLERPWLFSFAGAARPGSAKSIRSELIAQCRASSVCSLMECADGPSNKCGSAASYMRLFQSSTFCLQPQGDSYTRKSAFDAMLAGCIPVFFHPGTAYVQYTWHLPKDHADYSVYISEDDVRRNASIEERLRRITPAAVERMRETVISLIPAVVYAQPSSRLDTMKDAFDVTVDAIVDKVTRLRRDIVDGRGEEEKLEMYSWKYPFLREGQKVEDPHEWDSLFAFA >ONIVA10G11620.1 pep chromosome:AWHD00000000:10:12547707:12558968:-1 gene:ONIVA10G11620 transcript:ONIVA10G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPTAAATSDSDGGGGGGGGLIRPSRICYMAILSAVFWFLVFSLLSGMPGGGDLSSVLFRPSSLSLPLLNSFTFDQNPSPEQQPPPAPAPAEDRCAGRYIYMYDMPARFNEELLRDCRALRPWTAEGMCRYVANGGMGEPMGGDGGGIFSERGWFDTDQFVLDIIFHGRMKRYGCLTGDPAAAAAVFVPFYGSCDLGRHIFHRNASVKDALSEDLVGWLTRRPEWRAMGGRDHFFVAGRTTWDFRRERDEGWEWGSKLLNYPAVQNMTAILVEASPWSRNNLAVPYPTYFHPETAADVAAWQRRVRAAARPWLFSFAGGPRKGNGTIRADIIRQCGASSRCNLFHCHGAAASGCNAPGAVMRVFESSRLTLAAISPEKVRSMREEVIRLIPTVVYADTRSSRVDFWDAFDVAVDAVVGRVARRRRGEPDARSFIYTFIASIYWHLATHTYVRTSAPMAASVVSDKSSGGASLLRPSRVLFLAVLSTAFWSVIFYAHHSAVQGNATMASVLLRPSSFSRPLLTSFRLIGGGLDRCAGRRVYMYELPPRFNAELVRDCRLYSRSMDVCKLVVNDGFGPALPGGGALPERDVYDTDQYMLALIYHARMRRYECLTGDAAAADAVFVPFYAGFDAAMNLMKSDLAARDALPRQLAEWLVRRPEWRAMGGRDHFMVAARPVWDFYRGGDDGWGNALLTYPAIRNTTVLTVEANPWRGIDFGVPFPSHFHPTSDADVLRWQDRMRRRGRRWLWAFAGAPRPGSTKTVRAQIIEQCTASPSCTHFGSSPGHYNSPGRIMELLESAAFCVQPRGDSYTRKSTFDSMLAGCIPVFLHPASAYTQYTWHLPRDYRSYSVFVPHTDVVAGGRNASIEAALRRIPAATVARMREEVIRLIPRITYRDPAASLVTFRDAFDVAVDAVLDRVARRRRAAAEGREYVDVFDGHDSWKHNLLDDGQTQIGPHEFDPYL >ONIVA10G11610.1 pep chromosome:AWHD00000000:10:12543128:12544886:-1 gene:ONIVA10G11610 transcript:ONIVA10G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDGLHDGGGGDLKALLDDAGVDDVAARGGGGGGGEVEEEVERPSNEDAFPAVEKMATAAAAKGLQCRHCGTTETPQWRHGPEGHRTLCNACSVRYRSGKLVPEYRPLRCPTFSPELHSNRHHRVLQLRRRPGPQSAAPSPAAVARCGGEAKEEEEELAWVSNKDAFATVETTMAPSPRVVETPPEHDHRPANIPTTSPEPHSDRPRRVVQLPRRLQEPSASANLAHAVAATARAGRECAHCGTTKTPAWRLGPDSRRKLCNACGNKYQSGQLNSTTFSQNSQEQKKKSKSSACSRERKRSVVAATVVVGGGLRDDAAAIADEHLDGGDLQALLDDVALDDVAARGGGDAGEAKEEEEELEWLSNKDAFPTVETMSPAPPENRTKAPVPPAGWQCRHCGSTETPLWHERDGPAEAEHVRKEETPPNISPATKHRRIVDLLRCSTALNTAATAVERRCTHCGTTKTPAWLSGPDSRGKLCNACGKQYRKGRLVPEYRPLNCPTFSPELHSNAHAHRRRRESPVAIAIAGEK >ONIVA10G11600.1 pep chromosome:AWHD00000000:10:12535822:12541783:1 gene:ONIVA10G11600 transcript:ONIVA10G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSDYYLVRKGEMVAVYKSLNDCQAQICSSNTVILPVDKVSGPAASAYKGNSWSREKEEYLSSRGLSNATYVINAAELREDLFGTLIPCTFQEITVSSSNQSALNHTGVLNNTRYQPGAQSVDLNYDAVGSGQASAEHYSQRINQGYSVRGQAFNRLILHMVCLLHFDGASKGNPGKAGAGAVLMTEDGRVISRLREGLGIVTNNVAEYRGLILGLRYAIRHGFKKIIVYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFVSFEINHIRREWNAEADRQANIAITLSSGVVSEERGDG >ONIVA10G11600.2 pep chromosome:AWHD00000000:10:12535715:12541783:1 gene:ONIVA10G11600 transcript:ONIVA10G11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSDYYLVRKGEMVAVYKSLNDCQAQICSSNTVILPVDKVSGPAASAYKGNSWSREKEEYLSSRGLSNATYVINAAELREDLFGTLIPCTFQEITVSSSNQSALNHTGVLNNTRYQPGAQSVDLNYDAVGSGQASAEHYSQRINQGYSVRGQAFNRLILHMVCLLHFDGASKGNPGKAGAGAVLMTEDGRVISRLREGLGIVTNNVAEYRGLILGLRYAIRHGFKKIIVYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFVSFEINHIRREWNAEADRQANIAITLSSGVVSEERGDG >ONIVA10G11590.1 pep chromosome:AWHD00000000:10:12529594:12532715:-1 gene:ONIVA10G11590 transcript:ONIVA10G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMETRRYTSLSHRKARSMECFPSTQCPIKRGKAIATLLNISEPPLELTRTLLEADADSAFQPDRRGSFPIHIVASAAGRLSAVITLLTMFPGCAGLRDSDGRTFVHVAARKKRYSVVAHACQTPALSGILNKQDNEGNTALHLAVEAGDWWIFACLFVNKQVDLNLPNSSGHTPLELSINTIPTGLYCLLNSRILIQETLIAANATRGISWMDAAGGYIADDHANGGTPTLARAKQFQGFVMANTLAFFCSSLAVLSLVFAGTPTVELPMRYTHYNISIWLSLNAVGSLAIAFAIAVYIMITPVAAKTSPTVIVVILSVLKVCSSATAKT >ONIVA10G11580.1 pep chromosome:AWHD00000000:10:12521562:12529023:1 gene:ONIVA10G11580 transcript:ONIVA10G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVDGASSIHSGEHGMQRRRRRHRWMHRSILRQAPVKAVDDAGLKVSQAEGCLYNGVWSSGTCPFYSSTATFSLNVAPSLTSGLHSTGV >ONIVA10G11570.1 pep chromosome:AWHD00000000:10:12515275:12520815:1 gene:ONIVA10G11570 transcript:ONIVA10G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKAIAVDGDLFLFRIPIDRVGTMSLTHNDYFVYAARPPPRRPTLDLLPNLSHDTLGDREIALLSCGGDGDGGGDGEPCYVVAALRTIPGSTTIHRLHLYRSRPGGEQGRWTSRMVSVDEPPPLMRDVVFPIPETSHRQVHHVTSKAIRLGSGGDGTVGWVDIWRGILLCDVLHESPKLRDVPLPPPAKGNSHRAFQKHHRPVLRRHRRQPRQEVHQVHEGTRSCVLLNVRGYRAARRDATTATSYTSNGHPIEVSFRAALPPVLSDFYVYCPALQLQEPADYPSMVPKAIAVDGDLFLFRIPIDRVGTMSLTHNDYFVYMARSPPHRPRLDLLPNPSHDTLGDKEIAILSCADGGEQYVVTALRTIPGSKTIHRLHLYRSKPNGEQGRWTSQMVSVEGPLMRDLVCPIPETVHRQVHHVTSKVIRLGTGANGMVGWVDIWRGILLCDVLQESPKLHDMPLPLPAKSNSHRAFLNTTDQYCGDVAVSRDKSFIKYVEMEIVTPKIVSATPPGDCDPDPFLEWLRRRECKDLKRTLVHGRWKATTWRMPIPVTSWDDWCRDCAVESAELSTDNPKAYELLCAVSKESLKEDDDDKAMEAATTTTTRLPLGRLDMAYPAMSIDDDVIYVLTKPVMGNGKAAFLTAVDVRRKKVLAVAKLDSAVQENHLDKLKIMGRSQQEEEEEDVESGKSDAVQQ >ONIVA10G11560.1 pep chromosome:AWHD00000000:10:12497150:12506811:1 gene:ONIVA10G11560 transcript:ONIVA10G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCCCVFASQWMHTFAKPRGSGRCREHKAEGCQVMEAGAAALQRRSSSREHIGQINGRCCYLWKMALICIAGC >ONIVA10G11560.2 pep chromosome:AWHD00000000:10:12497150:12506811:1 gene:ONIVA10G11560 transcript:ONIVA10G11560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNGGWSSSTAAEVVLKGTYWTDQWSLLLFMEDGVDMYCGLLEARVKGVFFFLAKCGWNFRNRD >ONIVA10G11550.1 pep chromosome:AWHD00000000:10:12479758:12493430:1 gene:ONIVA10G11550 transcript:ONIVA10G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08600) TAIR;Acc:AT1G08600] MDENRKLSDDMSKKMEPIHSNGEAGEDKTIIIEPESDANKLEVETDARHGICEAHKVHEYGTMENDLHEEASTTDDDSENDSYEYLLRESDNEQTSESDAGEGDNEAPLTDEEVETLITEFLDAESKAAQAQESLEKESLEKIESEVRLELSESLQGNELESAVSTEMKQYKKEWESELDDLETHIAVLLEQLDAAGVELPSLYKSIESQVPNVCETEAWKNRAHWAGYQVPEEANKSIRKADEYLQSCRPVRRKHGRLLEEGASGFLAGKIPVGDDGSAQCHEKSWNAFNELTKSKEYAESSFGSSNWASVYLASTPQEAAALGLQFPGVDEVEEIAEVEGAVSDIKGVDEIELSEVQRRKYRKVPEEDDAKMTKRLQRHLKERRTRHLHKENIGLASSSNGRCELPPKKLKTYENGVSAELAKRTREDDVEFDNKRSKTVIIESDDDMQTDSKPDSAPSENADEIIDLDIFPSQSPKLGDKVRPKPFKCTICTEMLNVPEVHRHPVLDVIICGSCRFLVIEKNRLEDPVSGGYCTWCVKSEQLQSCSSCKLLFCRNCLSKNFGEEGLSEARVAGWQCCCCLPSQLEHLISDCDKALGGVESSDPENDFAELSVIESNGPFSKHKMKKRIRRIMDDEELGEETKLKIAMEKARQEHLKSMQEQSASKLKSNNIGISLEAPSEVSEYVGDGHIVNLAREEDEAPVRIPSSISAKLKPHQVSGIRFMWENVIQSVKKVKSGDKGFGCILAHNMGLGKTFQVITFLYTVMRCIQLGLRTALIVTPVNVLHNWKKEFIKWCPAELKPLRVYMLEDVPRANIQYLLKKWRIKGGVLLIGYSSFRNLSLGRSARDKTVANEITNALQCGPDILVCDEAHIIKNRRADTTQALKQMVDFVREGYLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPEKKVFVVTVKLSQLQRKLYRRFLDVNGFSSSAASEKSFQRSGFFAKYQTLALIWNHPGLLQMAKQKGNLRQEDVESFLMDESSSDDNIENYLPNGEKLRSRNDQLSKKSSDVVNEESNWWENLLDENAYKEADYSGKMVLLLDILSSCSELGDKALVFSQSLSTLDLVEFYLSKLQVNGKEGKYWKQGKDWYRIDGSTPSSERQNLVERFNDPENIRVKCTLISTRAGSLGINLHAANRVILLDGSWNPTHDLQAIYRTKPVYAYRLMAHATMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLHLFEFGDEELLEQSGSTMNGHSKVGTEKPPTPNSSETTEHLPLDRLMVNLLHDHSRWIASYHEHEALLQENEEERLTKEEQDMAWLSYNKLLEVAPRKATHDAERKPSTVPTESSLIQPPKATSRSRQPQQPKITSNNQKKCNNLSHLLTLRSQGTKPGCSTSCKECGQDISWETLNRDGRSR >ONIVA10G11540.1 pep chromosome:AWHD00000000:10:12471199:12475913:1 gene:ONIVA10G11540 transcript:ONIVA10G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAINRQRVLLAHLEPAASPAAAAPAITASACAAGDSAAYHRGACFADDVVIVAAYRTAICKSKRGGFKDTPAEDLLVPVFKALIDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAAFYAGFPDTVPLMTVNRQCSSGLQAVANVASNIKAGLYDIGIAAGLESMTVNQVRLDGQVNPKVELFSQARDCLLPMGLTSENVAKRFGITRMEQDQAAVESHRKAAAAAASGKFKEEIVPVHTKIVDPKTGEEKEIVVSADDGIRPGTSLAVLSKLKPAFSKDGTTTAGNASQVSDGAGAVLLMRRDIAMQKGLPIVGVFRSFAAVGVDPAVMGVGPAVAIPAAVKAAGLQIDDVDLFEINEAFASQYVYCCKKLGLDPAKVNVNGGAMALGHPLGATGARSVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDAVDELTNARCIPTHNRLSKDAM >ONIVA10G11530.1 pep chromosome:AWHD00000000:10:12465331:12467835:-1 gene:ONIVA10G11530 transcript:ONIVA10G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine triphosphate pyrophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G13720) TAIR;Acc:AT4G13720] MEGVLFCWANQSLPPVRRITVPPGGSSGQHRSAASMSGAAARALPKAVTFVTGNAKKLEEVRAILGSSIPFQSLKLDLPELQGEPEDISKEKARMAASQVNGPVLVEDTCLCFNALKGLPGLNNLLLAYEDKSAFAMCIFSLALGPGEEPMTFVGKTAGKIVPARGPADFGWDPVFQPDGFDQTYAEMPKSVKNQISHRGKALALVKEHFAAANYKVQNDGSA >ONIVA10G11520.1 pep chromosome:AWHD00000000:10:12463040:12465090:1 gene:ONIVA10G11520 transcript:ONIVA10G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVPVPQQVSSLALPVVVAEAEAAAAAAAAPGRRGAAGGVSSIPKGAGAAARRKTLCDITNLRPRPAAALEQDGATCAADAGGVAQAQLVKARSPILCLPENSELVRLLEERDKIIELSGTELQKLRLANWQLAQANSQMLAELNLGRDREAKKAMKRNRNLPLPEKAPPASETAQQQQGSDRAAQIKDGDVVNPEPAAASDASHAASTKKLSNASRKRMQRSRSLGPAATTKLAAAPKEKENVQRRKSMRTPVPQPSEHREDLFEIEDLQLAIGGGGGGGDSKAGTSDPPEQAAVAVAAAQFPRRSSLGRPIRRATERVASYKEMPVNIKLRRS >ONIVA10G11510.1 pep chromosome:AWHD00000000:10:12451871:12456878:-1 gene:ONIVA10G11510 transcript:ONIVA10G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ISW9] MAGPDESTRITLLLVAATLLLLPPPLAASLNSSLPDPAAVVADFHSKVATSRRRMQEAASGGGGGGGGCLTGNPIDDCWRCAGTDWRQDRQRLADCGIGFGRNAMGGKGGPVYVVTDPSDGDPVNPAPGTLRYGAIQEGPLWIVFAGDMTIRLNEELLVNSYKTIDGRGANVHVGAGGACITLQYVSNVIIHNIHVHDCVPAGNANVRASPTHYGWRTRSDGDGISLYSARDVWVDHCALSRCADGLIDTIMGSTAITVSNSYFSHHNEVMLLGHSDEYLPDSAMQVTIAFNHFGIQLVQRMPRCRRGYFHIVNNDYTAWEMYAIGGSASPTINSQGNRYIAPADPNAKEVTKRVDTEEGQWAGWNWRTEGDMMVNGAFFVPSGEGLEAIYDKASSTDPKSSALVDQLTAGAGVLGGPRDNGEAAAYAGVNYAGVGTGGGGGGGAGAGGMGYGYLGMVYGSGGNWSCRADLTLQLTSLFLALFALICLHPL >ONIVA10G11500.1 pep chromosome:AWHD00000000:10:12446740:12451936:1 gene:ONIVA10G11500 transcript:ONIVA10G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASGPPTQGLANGAPVPRPFSNGAVPPQIQHVRPPPPPMQQFPPMQMNGQPVWPPQNTQLPPHMPPQMHYRPAVRPPPPNMMPPPPLGMVRPPPPPSSMPAPPMWRPPPPPQQAGGMPPPPMSMPPPPPPPSG >ONIVA10G11490.1 pep chromosome:AWHD00000000:10:12412190:12444284:-1 gene:ONIVA10G11490 transcript:ONIVA10G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPases;nucleotide binding;ATP binding;nucleoside-triphosphatases;transcription factor binding [Source:Projected from Arabidopsis thaliana (AT1G67120) TAIR;Acc:AT1G67120] MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAREGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDDSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVEVAENFRLFATVTTSKNDVSHALEDTFEKANLLVSYQFGGLNLAGGSSECLVQRFSLRDLLKWCKRIRGVDLNFKGLGLSSSCCKLIYFEAVDIFASSLSSPDKRLYVAREIAKLFCVPHGAETISPSDKPIIQALIQKGPFADIRRALEVLEWVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGSSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINNLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDEVITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLDLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQAFIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGDHTREIDICQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTNVWWLEVHLLEEIASTLAKFRIANSTFSLVPSIALKLPCTVSIKLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIYYLQQSEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGINITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQLETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEFLSKASTTEIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNAYKLALECSAVHVQFSVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFEQEEYSKLESILFQQSEHRVKKEDLDTACALLSRSSHGVLASLAGSHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEELAGSSSEEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQFEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLRSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGDVPSLSDMDAEGNAVADTEEKLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLTALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQAKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNFFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILATTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVSMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDIKPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTHALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGEGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGRNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDDVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNYAEMDYNRESESNLANSTDMNSAAAPSVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEINITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >ONIVA10G11490.2 pep chromosome:AWHD00000000:10:12412190:12444284:-1 gene:ONIVA10G11490 transcript:ONIVA10G11490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPases;nucleotide binding;ATP binding;nucleoside-triphosphatases;transcription factor binding [Source:Projected from Arabidopsis thaliana (AT1G67120) TAIR;Acc:AT1G67120] MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAREGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDDSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVIKGFWIVFEDIDKAPSDVQSILLPLLEGSSSFSAVEVAENFRLFATVTTSKNDVSHALEGRLTFSALWRKVMAVDIFASSLSSPDKRLYVAREIAKLFCVPHGAETISPSDKPIIQALIQKGPFADIRRALEVLEWVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGSSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINNLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDEVITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLDLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQAFIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGDHTREIDICQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTNVWWLEVHLLEEIASTLAKFRIANSTFSLVPSIALKLPCTVSIKLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIYYLQQSEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGINITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQLETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEFLSKASTTEIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNAYKLALECSAVHVQFSVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFEQEEYSKLESILFQQSEHRVKKEDLDTACALLSRSSHGVLASLAGSHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEELAGSSSEEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQFEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLRSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGDVPSLSDMDAEGNAVADTEEKLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLTALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQAKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNFFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILATTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVSMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDIKPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTHALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGEGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGRNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDDVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNYAEMDYNRESESNLANSTDMNSAAAPSVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEINITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >ONIVA10G11490.3 pep chromosome:AWHD00000000:10:12412190:12444284:-1 gene:ONIVA10G11490 transcript:ONIVA10G11490.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPases;nucleotide binding;ATP binding;nucleoside-triphosphatases;transcription factor binding [Source:Projected from Arabidopsis thaliana (AT1G67120) TAIR;Acc:AT1G67120] MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAREGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDDSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVEVAENFRLFATVTTSKNDVSHALEGRLTFSALWRKVMAVDIFASSLSSPDKRLYVAREIAKLFCVPHGAETISPSDKPIIQALIQKGPFADIRRALEVLEWVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGSSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINNLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDEVITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLDLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQAFIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGDHTREIDICQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTNVWWLEVHLLEEIASTLAKFRIANSTFSLVPSIALKLPCTVSIKLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIYYLQQSEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGINITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQLETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEFLSKASTTEIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNAYKLALECSAVHVQFSVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFEQEEYSKLESILFQQSEHRVKKEDLDTACALLSRSSHGVLASLAGSHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEELAGSSSEEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQFEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLRSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGDVPSLSDMDAEGNAVADTEEKLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLTALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQAKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNFFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILATTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVSMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDIKPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTHALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGEGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGRNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDDVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNYAEMDYNRESESNLANSTDMNSAAAPSVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEINITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >ONIVA10G11490.4 pep chromosome:AWHD00000000:10:12412190:12444284:-1 gene:ONIVA10G11490 transcript:ONIVA10G11490.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPases;nucleotide binding;ATP binding;nucleoside-triphosphatases;transcription factor binding [Source:Projected from Arabidopsis thaliana (AT1G67120) TAIR;Acc:AT1G67120] MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAREGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDDSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVIKGFWIVFEDIDKAPSDVQSILLPLLEGSSSFSAVEVAENFRLFATVTTSKNDVSHALEDTFEKANLLVSYQFGGLNLAGGSSECLVQRFSLRDLLKWCKRIRGVDLNFKGLGLSSSCCKLIYFEAVDIFASSLSSPDKRLYVAREIAKLFCVPHGAETISPSDKPIIQALIQKGPFADIRRALEVLEWVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGSSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINNLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDEVITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLDLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQAFIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGDHTREIDICQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTKYSIHEIKMLYVNPQCLVVGSASIRRNRVHSCKVQNSQLNILPGTLHSLEAAMHCINQGWLCILVGQHSSGKTSLIRLLAQLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIYYLQQSEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGINITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQLETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEFLSKASTTEVKFCCSVSSDVLCNMHGFHGWVASLPLLNLKSLNLDTMLLQRLSKCAQLDSSESHQIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFEQEEYSKLESILFQQSEHRVKKEDLDTACALLSRSSHGVLASLAGSHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEELAGSSSEEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQFEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLRSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGDVPSLSDMDAEGNAVADTEEKLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLTALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQAKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNFFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILATTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVSMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDIKPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTHALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGEGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGRNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDDVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNYAEMDYNRESESNLANSTDMNSAAAPSVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEINITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >ONIVA10G11490.5 pep chromosome:AWHD00000000:10:12412190:12444284:-1 gene:ONIVA10G11490 transcript:ONIVA10G11490.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPases;nucleotide binding;ATP binding;nucleoside-triphosphatases;transcription factor binding [Source:Projected from Arabidopsis thaliana (AT1G67120) TAIR;Acc:AT1G67120] MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAREGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDDSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVEVAENFRLFATVTTSKNDVSHALEGRLTFSALWRKVMAVDIFASSLSSPDKRLYVAREIAKLFCVPHGAETISPSDKPIIQALIQKGPFADIRRALEVLEWVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGSSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINNLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDEVITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLDLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQAFIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGDHTREIDICQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGQTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTNVWWLEVHLLEEIASTLAKFRIANSTFSLVPSIALKLPCTVSIKLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIYYLQQSEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGINITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQLETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEFLSKASTTEVKFCCSVSSDVLCNMHGFHGWVASLPLLNLKSLNLDTMLLQRLSKCAQLDSSESHQIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFEQEEYSKLESILFQQSEHRVKKEDLDTACALLSRSSHGVLASLAGSHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEELAGSSSEEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQFEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLRSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGDVPSLSDMDAEGNAVADTEEKLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLTALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQAKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNFFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILATTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVSMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDIKPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTHALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGEGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGRNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDDVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNYAEMDYNRESESNLANSTDMNSAAAPSVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEINITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >ONIVA10G11480.1 pep chromosome:AWHD00000000:10:12407028:12410067:-1 gene:ONIVA10G11480 transcript:ONIVA10G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESEQHGCEHYTRGCRIRAPCCGEVFGCRHCHNEAKNSLEIHLNDRHEIPRHEIKKVICSLCDKEQDVQQYCSGCGACMGKYFCEKCNFFDDDVSKNQTGGVDKFFHCDKCGCCYSNVLRDSHHCVEGAMHHNCPVCFEYLFDSTKDISVLHCGHTIHLECLNEMRAHHHFACPVCSRSACDMSDAWKKLDEEVAATPMPEFYQKKMIWILCNDCGATSNVNFHVLAQKCPGCSSYNTRETRGCGRPAAARSTV >ONIVA10G11470.1 pep chromosome:AWHD00000000:10:12404524:12404826:-1 gene:ONIVA10G11470 transcript:ONIVA10G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWRRERKAEMWRKGEESPTAARQSGGGYGEVVALPLAAMETGAGAVAGEGTDWSREVRPQRWVPLERCRRPRPRTPARGWGRPSGEPTTRGRRSVVAS >ONIVA10G11460.1 pep chromosome:AWHD00000000:10:12395697:12399208:-1 gene:ONIVA10G11460 transcript:ONIVA10G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGAGSSGGGGGGHECSFKILLIGDSGVGKSSLLVSFVVAAAAAHLDDDIAPTIGVDFKIKFLTIGGKKLKLTIWDTAGQERFRTITSSYYRGAQGIILVYDVTKRESFTNLAEVWSKEIESHSSNKDCIKMLVGNKIDKEDERTVTREEGLAFAEESGCLFLESSAKTRDNVEKCFEELALKIMDVPSLLEEGSSSVVKRNILKQKQESQAKHGGGCCQ >ONIVA10G11450.1 pep chromosome:AWHD00000000:10:12388709:12397199:1 gene:ONIVA10G11450 transcript:ONIVA10G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate-ammonia ligases;catalytics;glutamate-ammonia ligases [Source:Projected from Arabidopsis thaliana (AT3G53180) TAIR;Acc:AT3G53180] MEMESKYAALRRAAEEAAAVDAHAHNLVADGSAFPFLRCFSEADAADALALAPHTLSFKRSLRDIAALYNCEASLEKVEEFRRAEGLSSISSKCFKAANLSAILIDDGIDFDKMLELEAHKAFAPTVGRILRIEKLAETIINDESFSASSWTLDSFTEIFVTKLKSYPPHIAAYRSGLEIDPNISKTDAEDGLRKELSGQRPLRITNKNLIDYLFTCSLEIAVSYHLPMQIHTGFGDKDLDLRKCNPLHLRAVLEDTRFSKCQIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMTSSIKELLELAPIKKVMFSTDGYAFPETYYLGARRARDVVYRVLSAACEDGDLSIQEAIEAVEDIFRRNALYLYKLNVANGSVGQITAIADNGIPLSEQDVLFVRVVWIDTSGQHRCRVVPAGRFYEIARKKGIGLTFASMGMTSFTDGPADGTNLTGVGEIRLMPDMSTLLRLPWSRREEMVMAEMHIRPGEAWEYCPRNTLRKVTKVLLDEFNVTMMAGFENEFFLRKKVVSGEKELWVPFDNTPYCSTTAFDGASSVLQEVYTSLKAAEIVVEQLHAEAGKGQFEIALKYVLCTLAADKLIYAREIIKSVARKHGLLATFLPKPDLNDIGSGSHVHLSLWEFDQNVFMGSSEYNYYGMSRIGESFLAGVYLHLPSILAFTAPHPNSYNRIQPNTWSGAYQCWGKENREAPLRTACPPGIPLDLVSNFEIKSFDACANPHLGLAAIVAAGIDGLRRSLTLPEPTESNPAGYASNSKLKRMPKDLMESVEALAADKIMHELIGDKLVTAVIAVRKAEIDHYEKNPAAFADLIHRY >ONIVA10G11450.2 pep chromosome:AWHD00000000:10:12388709:12397199:1 gene:ONIVA10G11450 transcript:ONIVA10G11450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate-ammonia ligases;catalytics;glutamate-ammonia ligases [Source:Projected from Arabidopsis thaliana (AT3G53180) TAIR;Acc:AT3G53180] MEMESKYAALRRAAEEAAAVDAHAHNLVADGSAFPFLRCFSEADAADALALAPHTLSFKRSLRDIAALYNCEASLEKVEEFRRAEGLSSISSKCFKAANLSAILIDDGIDFDKMLELEAHKAFAPTVGRILRIEKLAETIINDESFSASSWTLDSFTEIFVTKLKSYPPHIAAYRSGLEIDPNISKTDAEDGLRKELSGQRPLRITNKNLIDYLFTCSLEIAVSYHLPMQIHTGFGDKDLDLRKCNPLHLRAVLEDTRFSKCQIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMTSSIKELLELAPIKKVMFSTDGYAFPETYYLGARRARDVVYRVLSAACEDGDLSIQEAIEAVEDIFRRNALYLYKLNVANGSVGQITAIADNGIPLSEQDVLFVRVVWIDTSGQHRCRVVPAGRFYEIARKKGIGLTFASMGMTSFTDGPADGTNLTGVGEIRLMPDMSTLLRLPWSRREEMVMAEMHIRPGEAWEYCPRNTLRKVTKVLLDEFNVTMMAGFENEFFLRKKVVSGEKELWVPFDNTPYCSTTAFDGASSVLQEVYTSLKAAEIVVEQLHAEAGKGQFEIALKYVLCTLAADKLIYAREIIKSVARKHGLLATFLPKPDLNDIGSGSHVHLSLWEFDQNVFMGSSEYNYYGMSRIGESFLAGVYLHLPSILAFTAPHPNSYNRIQPNTWSGAYQCWGKENREAPLRTACPPGIPLDLVSNFEIKSFDACANPHLGLAAIVAAGIDGLRRSLTLPEPTESNPAGYASNSKLKRMPKDLMESVEALAADKIMHELIGDKLVTAVIAVRKAEIDHYEKNPAAFADLIHRY >ONIVA10G11450.3 pep chromosome:AWHD00000000:10:12388709:12397537:1 gene:ONIVA10G11450 transcript:ONIVA10G11450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate-ammonia ligases;catalytics;glutamate-ammonia ligases [Source:Projected from Arabidopsis thaliana (AT3G53180) TAIR;Acc:AT3G53180] MEMESKYAALRRAAEEAAAVDAHAHNLVADGSAFPFLRCFSEADAADALALAPHTLSFKRSLRDIAALYNCEASLEKVEEFRRAEGLSSISSKCFKAANLSAILIDDGIDFDKMLELEAHKAFAPTVGRILRIEKLAETIINDESFSASSWTLDSFTEIFVTKLKSYPPHIAAYRSGLEIDPNISKTDAEDGLRKELSGQRPLRITNKNLIDYLFTCSLEIAVSYHLPMQIHTGFGDKDLDLRKCNPLHLRAVLEDTRFSKCQIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMTSSIKELLELAPIKKVMFSTDGYAFPETYYLGARRARDVVYRVLSAACEDGDLSIQEAIEAVEDIFRRNALYLYKLNVANGSVGQITAIADNGIPLSEQDVLFVRVVWIDTSGQHRCRVVPAGRFYEIARKKGIGLTFASMGMTSFTDGPADGTNLTGVGEIRLMPDMSTLLRLPWSRREEMVMAEMHIRPGEAWEYCPRNTLRKVTKVLLDEFNVTMMAGFENEFFLRKKVVSGEKELWVPFDNTPYCSTTAFDGASSVLQEVYTSLKAAEIVVEQLHAEAGKGQFEIALKYVLCTLAADKLIYAREIIKSVARKHGLLATFLPKPDLNDIGSGSHVHLSLWEFDQNVFMGSSEYNYYGMSRIGESFLAGVYLHLPSILAFTAPHPNSYNRIQPNTWSGAYQCWGKENREAPLRTACPPGIPLDLVSNFEIKSFDACANPHLGLAAIVAAGIDGLRRSLTLPEPTESNPAGYASNSKLKRMPKDLMESVEALAADKIMHELIGDKLVTAVIAVRKAEIDHYEKNPAAFADLIHRY >ONIVA10G11440.1 pep chromosome:AWHD00000000:10:12377696:12379615:1 gene:ONIVA10G11440 transcript:ONIVA10G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAGALSAARWRGGAGTGTGIGIDLRAALRSGGNLLFALFVAAVLAFTLLAAVHSPDDPLLHPSSHQLTAFLTSATSNSTFLADDSVLRTGEDFAAAIGANSSDAAAAAEATVVAEAVPFIKLEDVATKEESSGAERAVTVDTDASSDAGAAAEENPIVEAVSCDTEAPVDCTGDKDLFNLLMRTAIEKFSDLHFYRFGRPVAVPGSPMECDLAWRFRPAEDTNGRTTYYKDYRRFTLTRDVNTCNLVVGNVGEYHSSTGAKRSGRRKGKKGKKGKREAPVTDFVPAKTQMRLDENAANADTTAASEPELVVGEAVNDNLPVVESESEFSRGKYLIYMGGGERCKSMNHYVWGFLCALGEAQYLNRTLVMDLNVCLNSRYTSSGKDEERDFRLYFDFEHLKQSASVIDQSQFWTDWGKWHKKDRLKNHYTEDIKVTPMQLRDVKDTLIMRKFGNVEPDNFWSRVCEGETEAVIKRPWYLLWKSRRLMEIVSAISSRMDWDFDSVHVVRGEKAQNKQLWPNLDRDTSPDSLLTTLNDKVGAGRHLYIATNEPDKSFFDPMKGKYRTHFLDDFKDLWDENSEWYTETKELSNGNAVEFDGYMRVAVDTEVFLRGKRKLETFSDLTRDCKNGVNTCPASS >ONIVA10G11430.1 pep chromosome:AWHD00000000:10:12376545:12376768:1 gene:ONIVA10G11430 transcript:ONIVA10G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQRHGYWSIYHADLAIYATFVSSSPIIEDGAAAPVDALVEAFLEENEQGGRFVEGEEDVYKLTRWW >ONIVA10G11420.1 pep chromosome:AWHD00000000:10:12375126:12375329:-1 gene:ONIVA10G11420 transcript:ONIVA10G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPPPPPTITVQVKFGGRTIPVEVPAAATAADLKRLLQPLTNVLPRGQRLICKGTRFPLPHPNP >ONIVA10G11410.1 pep chromosome:AWHD00000000:10:12368003:12375018:-1 gene:ONIVA10G11410 transcript:ONIVA10G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Leucine-rich repeat, typical subtype (InterPro:IPR003591), Leucine-rich repeat (InterPro:IPR001611), Ubiquitin (InterPro:IPR000626), Ubiquitin supergroup (InterPro:IPR019955); BEST Arabidopsis thaliana protein match is: L /.../-rich repeat (LRR) family protein (TAIR:AT5G07910.1). [Source:Projected from Arabidopsis thaliana (AT2G30105) TAIR;Acc:AT2G30105] MQVVNGSKVMLMASQGLHQGDGPITKNSSVPAPSTRRASNVKEAQIQKSDTNVSKIRPERWKATGIIALSDSSLKQSVAVVSISFPPLQRLEPGQIQEEEGSTRVSSRLVSSSSAISKEEEGQIQEEEEGKRKEGSTRIPGSGRLEGNVATAGIIFLLFPSFSPALSSSLAPNSLLQDLRCSGSSGQAEATDPEPQTPTTATDGAQGGGATSGGHGLSASAAVPEEVWGCGSSIRVLDVSNNCIEAIPQEIAALRSLQKLILTANDIADGNISWEGLTCVQTLTVLSLSQNRLVTLPSSLGSITHLRELRIANNRLENLPVEIGLLKHLEILIANNNRITSLPSSIGGCESLNEVDLSSNLLAELPEAFGNLQHLKALSVRNNGLTSLPSAFFIKCSQLITLDLHGTEITNDVLRQVDGWEEFDERRRKKHQKQLDFRVGSSVVFDEGADDDYRRL >ONIVA10G11400.1 pep chromosome:AWHD00000000:10:12365810:12366595:1 gene:ONIVA10G11400 transcript:ONIVA10G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAASALPLDGRVALVTGGSRGIGREVCAHLASLGARVVVNYASNSANADAFAADLNSRGAAALPRAVAVRADVSDPAAVRALFDRAEEAFGTPPHIVVACAGLLESKYPSLADTAVEDFDAMLAVNVRGTFLVCREAANRIPAGAGGRVVTFSSSILGTLLPGYAAYTATNGAVEAMTRIMAKEVAAKGVTANVVAPGPVRTELFMAGKDEAFVKKVEERSMGRIAETTDVAPVVAFLVSDAAAWVNGQVIRVNGGFA >ONIVA10G11390.1 pep chromosome:AWHD00000000:10:12364333:12365471:1 gene:ONIVA10G11390 transcript:ONIVA10G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDAMESVIEYAACPAMPLDSGGCIDATYQCVFQEEDGEGHRGINLNKDLPDGHRRGRAQGQHHGHRAPARRRRHLHSEIFR >ONIVA10G11380.1 pep chromosome:AWHD00000000:10:12351847:12361019:1 gene:ONIVA10G11380 transcript:ONIVA10G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSVVYDGWMVRYGRRKIGRSFIHMRYFVLETRLLSYYKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGVVASDGNLAHSSSQQKVSLENGRKSSFSDHESLYSHEEEEEEEDNQRSLMRRTTIGNVQSSNWEIVSIVVLQNRYMIGPVKMIWEYQIREVLIMFSLEDIGALSDAKMASLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSMFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAQIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYLPSFQQHCLLHMLNSVAGLREWFSQSDENLILPRIPVMANMAPPVSSKKGRTTQDNTMQTGLQMDQSRQSTMLDEESDEDEDQIPESEQETSTHGHDAPVKLSVLDEEDSDQIDVSGFSGNLRRDDRDNTRDCWRMSDGNNFRVRSKTFIYDKSKVIPAGKPLMKLVAVDWFKDMKRMDHVARRKGCAVQVAAEKGLFALAVNLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGPNYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELKSAIVPVLGD >ONIVA10G11380.2 pep chromosome:AWHD00000000:10:12352513:12361019:1 gene:ONIVA10G11380 transcript:ONIVA10G11380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYGRRKIGRSFIHMRYFVLETRLLSYYKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGVVASDGNLAHSSSQQKVSLENGRKSSFSDHESLYSHEEEEEEEDNQRSLMRRTTIGNVQSSNWEIVSIVVLQNRYMIGPVKMIWEYQIREVLIMFSLEDIGALSDAKMASLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSMFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAQIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYLPSFQQHCLLHMLNSVAGLREWFSQSDENLILPRIPVMANMAPPVSSKKGRTTQDNTMQTGLQMDQSRQSTMLDEESDEDEDQIPESEQETSTHGHDAPVKLSVLDEEDSDQIDVSGFSGNLRRDDRDNTRDCWRMSDGNNFRVRSKTFIYDKSKVIPAGKPLMKLVAVDWFKDMKRMDHVARRKGCAVQVAAEKGLFALAVNLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGPNYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELKSAIVPVLGD >ONIVA10G11380.3 pep chromosome:AWHD00000000:10:12352125:12361019:1 gene:ONIVA10G11380 transcript:ONIVA10G11380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSVVYDGWMVRYGRRKIGRSFIHMRYFVLETRLLSYYKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGVVASDGNLAHSSSQQKVSLENGRKSSFSDHESLYSHEEEEEEEDNQRSLMRRTTIGNVQSSNWEIVSIVVLQNRYMIGPVKMIWEYQIREVLIMFSLEDIGALSDAKMASLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSMFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAQIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYLPSFQQHCLLHMLNSVAGLREWFSQSDENLILPRIPVMANMAPPVSSKKGRTTQDNTMQTGLQMDQSRQSTMLDEESDEDEDQIPESEQETSTHGHDAPVKLSVLDEEDSDQIDVSGFSGNLRRDDRDNTRDCWRMSDGNNFRVRSKTFIYDKSKVIPAGKPLMKLVAVDWFKDMKRMDHVARRKGCAVQVAAEKGLFALAVNLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGPNYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELKSAIVPVLGD >ONIVA10G11380.4 pep chromosome:AWHD00000000:10:12352513:12361019:1 gene:ONIVA10G11380 transcript:ONIVA10G11380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYGRRKIGRSFIHMRYFVLETRLLSYYKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGVVASDGNLAHSSSQQKVSLENGRKSSFSDHESLYSHEEEEEEEDNQRSLMRRTTIGNGPPESLYDWTRENDLGISNQGSLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFSMFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAQIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYLPSFQQHCLLHMLNSVAGLREWFSQSDENLILPRIPVMANMAPPVSSKKGRTTQDNTMQTGLQMDQSRQSTMLDEESDEDEDQIPESEQETSTHGHDAPVKLSVLDEEDSDQIDVSGFSGNLRRDDRDNTRDCWRMSDGNNFRVRSKTFIYDKSKVIPAGKPLMKLVAVDWFKDMKRMDHVARRKGCAVQVAAEKGLFALAVNLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGPNYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELKSAIVPVLGD >ONIVA10G11380.5 pep chromosome:AWHD00000000:10:12352513:12361019:1 gene:ONIVA10G11380 transcript:ONIVA10G11380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYGRRKIGRSFIHMRYFVLETRLLSYYKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGVVASDGNLAHSSSQQKVSLENGRKSSFSDHESLYSHEEEEEEEDNQRSLMRRTTIGNGPPESLYDWTRENDLGISNQGSLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRYEWDCSFQFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRAQIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYLPSFQQHCLLHMLNSVAGLREWFSQSDENLILPRIPVMANMAPPVSSKKGRTTQDNTMQTGLQMDQSRQSTMLDEESDEDEDQIPESEQETSTHGHDAPVKLSVLDEEDSDQIDVSGFSGNLRRDDRDNTRDCWRMSDGNNFRVRSKTFIYDKSKVIPAGKPLMKLVAVDWFKDMKRMDHVARRKGCAVQVAAEKGLFALAVNLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGPNYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELKSAIVPVLGD >ONIVA10G11370.1 pep chromosome:AWHD00000000:10:12336715:12337293:-1 gene:ONIVA10G11370 transcript:ONIVA10G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKLAALGFVVLLSIGLASAARVERYSRSEGSGTGSGEGGGYVNGGGVGSGSGVGSGSSGGNYGAHASGGGGGEGGGYSQYGGSGSGSGSGSGSGSSEYTQNGGYGYAGSSSAGGSGAGAGAGQAGGYWPSSGHGSGSGTGSGSSSAATYWKGPYANAYGNGNGGGNGQGQYGGSGVGVGSGSGFGDASP >ONIVA10G11360.1 pep chromosome:AWHD00000000:10:12332491:12333066:-1 gene:ONIVA10G11360 transcript:ONIVA10G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFIVLLSIGLASAVRVERYSNAQGSGTGSGEGTGSVNGAGAGLGSGTGSGSSGYNGAHASGGGGGGGGGYSQYGGSGSGSGSGSGSGSSQTNQNGYYGYGGSSSAGGSGAGAGAGQAGGYWPSYGHGSGSGTGSGSSEATNTWYGQYANANAGGNGGGNGQGQYGGSGAGVGSGSGFGDANP >ONIVA10G11350.1 pep chromosome:AWHD00000000:10:12327068:12327643:-1 gene:ONIVA10G11350 transcript:ONIVA10G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFVVLLSIGLANAARVERYSSSQGSGTGGGEGGGSVNGGGAGKGSGAGSGSSNYYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNGYYGYGGSSSAGGAGAGGGAGQAGGYWPSYGHGSGSGTGSGSSEANNYWSGPYANANAGGNGGGNGQGQYGGRGVGAGSGSGYGDANP >ONIVA10G11340.1 pep chromosome:AWHD00000000:10:12322029:12322604:-1 gene:ONIVA10G11340 transcript:ONIVA10G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKLAALGFVVLLSIGLASAARVERYSSSQGSGTGGGEGGGYVNGGGAGKGTGAGSGSSNYYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTYQNGYYGYGGSSSAGGAGAGGGAGQAGGYWPSNGHGSGSGTGYGSSSANNYYGGPYANANAGGNGGGNGQGQYGGRGVGAGVGSGYGDANP >ONIVA10G11330.1 pep chromosome:AWHD00000000:10:12317944:12318231:-1 gene:ONIVA10G11330 transcript:ONIVA10G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASDGATGENGSREHVTAIDADIMMQGCRGGRIHGFAPPRIMSHLHGGKDALPQEAPESAAPQAPPQGDDEWRQPRRYLSRCLPTSMVGNNRSS >ONIVA10G11320.1 pep chromosome:AWHD00000000:10:12301264:12317800:-1 gene:ONIVA10G11320 transcript:ONIVA10G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSHSHANTRRERETLGFVVLLSIGLASAARVERYSSSQGSGTGSGQGGGYVNGGGAGEGIGAGSGSSNRYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNRYYSYGGSSSAGGAGAGGGAGQAGGYWPSNGQGSGSGTGSGSSYADNNYYGGPYANANAGGNGGGNGQGQYGGRGIGAALVRRRWPPPAWKKNGDIGKKEATGQRREREGGMGKKGRYWHCHISAMSDEDQSLLAMAGTKLAALGFVVLLSIGLASAARVERYSSSQGSGTGGGEGGGYVNGGGAGKGVGAGSGSSNSYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNGYYGYGGSSSASGAGVGGGAGQAGGYWPSNGHGSGSGTGYGSSTANNYYYGPYANANAGGNGGGNGQGQYGGSGGGGGAGVGSGTKLVALGFIVLLSIGLANASRVARYSSAQGSGSGSGEGLGSVNGGGSGGGSGTGSGQSGYNGAHASGGGGGGGGGGSQYGGSGSGSGSGSGSGSSQYSSNYYGSGGEYSSAGGSGGGGGGGQGNGNYGSTGQGSGSGTGSGYSDANSNYWRGPSYANANAGGYGNGNGYGQNGGSGGGGGSGSGYGDANP >ONIVA10G11310.1 pep chromosome:AWHD00000000:10:12293891:12294460:1 gene:ONIVA10G11310 transcript:ONIVA10G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLVALGFVVLLSMGLANAARVARYSSSDGNGSGGGGGTAYDNGGAAGSGSGYGVSQGGSNGAHATAGGSGGGGGSSQYGGSAYGSGNGSGSSSSQTSNGEGGYGGESDAGGGGGGGGQGQAEGYGSTGSGSGSGSGSGYSNANNNWYGSSAGAGASGNGGGNGNGENGGNGSGAGGGSGYGNASP >ONIVA10G11300.1 pep chromosome:AWHD00000000:10:12283156:12283734:-1 gene:ONIVA10G11300 transcript:ONIVA10G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVALGFIVLLSIGLANAARVARYSNAEGNGSGQGGGVGYVNGGGSGSGSGAGAAQSGSNGAHATAGGGGGGGGNSQYGGYGAGGGSGVGSSSSQYATGYYSGYGGYSSAGGNGGGGGGGQAGGNWGSSGSGDGSGAGSGSSSANTYYGGPSYANADANGNGNGKGTGTYGGSGGGQGTGSGYGDASP >ONIVA10G11290.1 pep chromosome:AWHD00000000:10:12276790:12279352:-1 gene:ONIVA10G11290 transcript:ONIVA10G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAVTIHQGHKEPRHGFHLVAPPREGWLDSTPKRENNVYHPPLIDPQISPHCSTTGHPPLARGHYCTNTPSSASLPFVAHANLPTPSVTAFRCRWCHPHRATRINDLSRRCQARLLALPLRPTAATGHCQPPPGFLPSPPPPPSSRRRHHLGVPTINGASLCSSSSSALPPPHSRTPPASPLAAARGPTSHAGETGSRREGARSSNGRCPPSCQRFLSPIDSGSQAFARQLQPSVTAAVVAPELIEVVGSDPMTSGKRKALPPPSLRPRRFLRLAQVAVRRRMEGRGGGGAATEVTAPRKRSGGPRTRVLALGFIALMTLGLANAVRVSRLSNSDGTGAGGGGGGGYLNGGGSGFGSGAGSAQSGNPFGSYATAVAGGGSSSTSQDGGSGNGAGGGSASGAGENIDTVSTGYGGSTSAAGNGGGGGGGQARGSYGSYGQGGGGGTGSGSGMADTHLFGPISEAEGNANGNGGGNGTGQNGGNGSGGGGGSGYAKAHP >ONIVA10G11280.1 pep chromosome:AWHD00000000:10:12270919:12271482:-1 gene:ONIVA10G11280 transcript:ONIVA10G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKLASLGFVMLLCIGLANAARVARFSISSATGTGGGEGAGYVNGHGLGSGSGAGSGENSAVQGSHAVAQGKGGGGGGAPYGGAGFGGGSGSGSVFSNLDSAGSSKASGTGGGDGEGQAGGNPGSKGYGAGSGTGSGSSMLHEYFPGFTNARASANGGGTTDTQNGGSGAGNGGGSGYAGLGPRP >ONIVA10G11270.1 pep chromosome:AWHD00000000:10:12266666:12267274:1 gene:ONIVA10G11270 transcript:ONIVA10G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKIVALGIFLVLCINGLSHAARVIRYSSAKGEGEGGGEGSGSVSGAGSGSGGGFGASNTSSSGGYFGTSNAHANAGGGGSGDGGGPLGSVGSGSGSGSGSSSSNSSSVMRAWYGGGEANAGGVGGGSGDGYGGGYSGSSGYGSGFGTGGGSSAVVMDGFYGAHANADGGGDGTGVGHGEAGGYGNGGGSGSGYGNGKYP >ONIVA10G11260.1 pep chromosome:AWHD00000000:10:12263214:12264015:1 gene:ONIVA10G11260 transcript:ONIVA10G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLPIVGRRIWPTRRGSGHRGLSPDRWPLPPPPPPHRQPPDPAIVASPPTAGRFLLRHRTVNRGSRHRDLSPD >ONIVA10G11250.1 pep chromosome:AWHD00000000:10:12259941:12260510:-1 gene:ONIVA10G11250 transcript:ONIVA10G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNKFVALSFIVMLGIGLANASSTSNGNGTGWGEGGGSIDGAGGGSGSGTGSSVSIVNEGGSVHGSGGGGGGGGSGGGRYSGSGHGSGSGRGSSSSQVGPDPYRGSSSAGGTGGGEGGGRADGYYGSSGYGSGSGTGVGSSASMNGDFPGGVFSNTNAFGRGGGNGYSQNGGSGSGKGSGSAYGNGEP >ONIVA10G11240.1 pep chromosome:AWHD00000000:10:12254982:12255516:1 gene:ONIVA10G11240 transcript:ONIVA10G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLYMSGAVWKGFYRSNVIGKVFCGAVWKGFYQSNSGNCTFIGSIMIGKDFIGEILIRKVYVRIPMSCKSKEVNNTTCLQDYKLIDLSHYELKLTGMFLFLCHSPLKYGMPYSFFLRCALNCLF >ONIVA10G11230.1 pep chromosome:AWHD00000000:10:12243967:12244548:-1 gene:ONIVA10G11230 transcript:ONIVA10G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKLVALSFVVLMSIGLANAARVVRYASAEGQGQGGGNGGGYVNGGGVGNGNGYGSSESGSGVTATAGGGGWGGGGSQYNGTGFGSGSGAGSSSGQMAEGYYPGYGGHASAGGGGGGNGGGQAGEVDGSGGYGTGGGNGSGSSVANNGTPNTPPPYANANASGNGNGNGGGQSGGSGSGGGGGSGYGDANP >ONIVA10G11220.1 pep chromosome:AWHD00000000:10:12241042:12241611:-1 gene:ONIVA10G11220 transcript:ONIVA10G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLAALSFVVLMSIGLANAARVSRLANAQGQGSGTGSGGGYVNGGGVGNGNGYGVSQSGSGTHANAGGGGWGGGGSQYNGTGFGSGSGQGSSSGSVSQGGGSASAGGGGGGVAGGQAGGVDGSGGYGTGTGSGTGSAEADGGASPTSSPPYANANATGDGNGNGGGQSGGSGSGGGGGSGYGDANP >ONIVA10G11210.1 pep chromosome:AWHD00000000:10:12234602:12237730:-1 gene:ONIVA10G11210 transcript:ONIVA10G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KRR1 small subunit processome component [Source:UniProtKB/TrEMBL;Acc:A0A0E0ISS9] MASEEGEEANAASSAEEVGRKKPPRHKGKHDKPKPWDDDPNIDHWKIEKFDPSWNEGGMLEEAWPIVKGALKEFGVACELNLVEGSMTVSTTRKTRDPYIIVKAKELIKLLSRSVPAPQAIKILNDEMSCDIIKIGSIIRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGSWKGLKQVRRVVEDCIKNIKHPVYHIKELLIKRELAKNPALANESWDRFLPKFKKKNVKQKKPITKEKKPYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKKWQEKLEKQSEKAEENKRKREAAFVPPKEDTATPYESAKSTSNNDEIADMAKSLKKKAKEFRKSEAQENVRLESYVASNEGSRPKKKHKSSKSK >ONIVA10G11200.1 pep chromosome:AWHD00000000:10:12232662:12233240:-1 gene:ONIVA10G11200 transcript:ONIVA10G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKLAVLSFVLLMSIGLTHAARVARYANAQGTGSGGGNGGGYLNGGGVGSGSGYGVATSGHGAHAHSSGGGGGGGATSYGTGYGGGFGAGSSSSQTSSGYYQGYTGNASAGGGGGGNGGGQGGGTVGSSGYGSGFGTGSGASEGAGGFSSPNPSYANADASANGGGTGGGQNGGNGNGVGGGSGYGDANP >ONIVA10G11190.1 pep chromosome:AWHD00000000:10:12225926:12226734:-1 gene:ONIVA10G11190 transcript:ONIVA10G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTKLVALGLAVLLSIGFAGAARVARYTNSYSGGGGGGGGGGGGGGTNGGWGSGSGAGAGAGYGESGGDSGNTWNYGRGGGAGGGGGGGGGTNGGGGNYATGDGEGGGGGGGGGSNGGSGYGAGAGVGQGSGESGSSIAMAPSPSSGGDYNGGYADAAGGGGGGGGGHGGGPAASPSYGVGAGAGSGAGDAGSDGSSGGGYASGMGGGKGGGGGGGENGGYGSGSGKGSGSGSGGYH >ONIVA10G11180.1 pep chromosome:AWHD00000000:10:12223420:12223977:-1 gene:ONIVA10G11180 transcript:ONIVA10G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKVVLLTFLVLLVIGVAYARPKKYASAGGGGGGGGGGGSSGGGSGWGSGSGSGYGQAGGSGGAYASGGGGGGGSGGGANGGSGYGSGSGSGYGQAGSYGPYGGGYAQGGGGGGGSGGGQNGGSGSGSGSGSGSGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGSGSGSGSGSGSAGYP >ONIVA10G11170.1 pep chromosome:AWHD00000000:10:12220036:12220593:-1 gene:ONIVA10G11170 transcript:ONIVA10G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLVALSFIVLLSVGLANATRVARYASAGGGGGGGGGGGGSNGGSGWGSGSGSGYGQASGDGSYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQGGGGGQGGGGGQNGGSGYGSGSGSGYGQAEGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGYGSGSGSGSGSAYGGHP >ONIVA10G11160.1 pep chromosome:AWHD00000000:10:12217065:12217622:-1 gene:ONIVA10G11160 transcript:ONIVA10G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVALSFVVLLSVGLANASRVARYASAGGGGSGEGGGGGSDGGSGWGSGSGSGYGQAGGDGSYASGGGGGGGGGGGENGGSGYGSGSGSGYGQAGGSGPYGGGYAQGGGGGQGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGYGSGSGSGSGSAYGGHP >ONIVA10G11150.1 pep chromosome:AWHD00000000:10:12211592:12212149:-1 gene:ONIVA10G11150 transcript:ONIVA10G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVALTFVVLVSIGLANAGRVARYASAGGGGGGGGGGGGSNGGSGWRSGFGSGYGQASGGGAYASGGGGGGGGGGGQNGGSGYGSGFGSGYGQAGGYGPHGGGYAQGGGGGQGGGGGQNGGSGSGYGSGSGFGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGYGSGSGSGSGSAYGGHP >ONIVA10G11140.1 pep chromosome:AWHD00000000:10:12203323:12204051:-1 gene:ONIVA10G11140 transcript:ONIVA10G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKLVSLSFVVLLSIGLANAARVARYASAEGGGSGGGGSGGSEGGSGWGSGSGSGYGQASGSSSGAYASGGGGGQGGGGGQNGGSGYGSGSGSGYGQAGGYGPHGGAYAQGGGQGGGGGGGVNGGSGYGSGSGSGYGQAGSYGPGGAYAQGGGQGGGGGGGQNGGSGHGSGSGYGQAGSYGPGGAYAQGGGQGGGGGGGQYGGSGSGSGSGSGQAGGYGPYGGGYAQAGGQGGGGGGGHP >ONIVA10G11130.1 pep chromosome:AWHD00000000:10:12198455:12199147:-1 gene:ONIVA10G11130 transcript:ONIVA10G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKFVALSFVVLLGIGLTNATRVARYVSAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQAGGSGGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGSHGGAYAQGGGQGGGGGGGANGGSGYGSGSGSGYGQAGGYGPHGGAYAQGGGQGGGGGGGYNGGSGYGSGSGSGYGQAGSYGPYGGGYAHAGGQGGGGGGGQSGPGGHGYGSGSGSGSGSAYSGGHP >ONIVA10G11120.1 pep chromosome:AWHD00000000:10:12189334:12190425:-1 gene:ONIVA10G11120 transcript:ONIVA10G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKLTILSFTLLLLSIGASSAARVKRYSNTGVEVGSGSGGGGGGGGGGGGGGSSENGSGWGSGSGSGYGEARAYGSSASGVYASATGGGGGGGSSNGNSWGSGSGSGSGYGEFVASGPSSGEYASGGGKGGGGGGGKNGGYGYGLGSGSGNSEGKKYEPYDGEYSMGSGGGKGGGGGTNGGSGYGAGSGSGYGETIGFGSASFANGGGGGKGGGGGQNDGFGYGSGLGSGYGEAMGHGPYDGNYATGRGAGIGVGGGQNGYGDGFGSGVGFGQFGGEWPQRNEYTEAGGRGDGVGGGYGGPDGFGQGSGSGVGIGEAGSQHGGEYTNSKGDGSGVGGSARGGYGNGSGRGSGYGDSGARQP >ONIVA10G11110.1 pep chromosome:AWHD00000000:10:12182024:12184497:1 gene:ONIVA10G11110 transcript:ONIVA10G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRIEFLVVLIAVLFLLMSFLDMFRRRSRNSAIKNSLLILDAVCDSTLIYTIGLMQNAPFKNDLFPVWALVLVNLRSSVCFISAYGIPDQENRRISEAARVMALLGVAFLNSTFNSELKHPIWALWAMLQFRSFYLVWAYIRATESFLHGWSSPLLAAYMGTSDVVAANGDPITMQGYRYVVSGDQKQKISVKPPMYKFSLTAPERKKRMLVTLDKAWLPSEQQNSAGNDNDPENEGVVSSTDIRTPQMKDMCLSFALYRLLRCRFDDLSLPRDSVVHTRRLVSEIIGRSSTDLAARISEYSERSFRIVRSELAFLNDYFYTRYPVLFWRGFPLIAFILWLGIDLHRIYRPKEGETAHVIHGTNVDIIITWVFMAIMVLKELWKMLAYMLSDWTKVMVLCEYTAGSLQRFPRWFCKLFLWFLCTPRSTIVHRWHKKIDQYEFLQSFIYSPWMWNTLYYVTLGLVRKRSDGSRKTSLNLEKDFLEDKIPSLETNFPSFMSMFKLFPTCSHAILVWHIATSLCEIEIELAQHYNTCLTNSEVLRAVKAAMKCCSSQPYVVKEERIEGALRANYVVASCLSRYCAYLLISEPDLLPDTYLTSTEIFASAVAEASDVLKGSDNMQKTDASWGCHLGRVWADMLVHIAPSWNAAAHKRCLSTGGEFITQIWAILSHCNIQYSNLWPHQESPKDDEASVGCNQAASSAQQQADEGHDGIMSAQSYVSTRDDEAGPSGTKNDAQVASGGAWAMQDDRKAEKANAVQLAIEREEPPTIEATNGRTG >ONIVA10G11100.1 pep chromosome:AWHD00000000:10:12176626:12177531:-1 gene:ONIVA10G11100 transcript:ONIVA10G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTILGTFIQRSSLVTAGIQLVVALTTLLMVVRFGLDALRHRTGSNGVKVWAVLLVTLRYSVKIGRPAGIAMKQTPLFPGWLLWSVNSARIIHGFVSSENTNDSHKESIRLLTDYMRHEHKVVSGSGRLQRPEPSSMKAYRYLVLGEAKKLKGKHEDEQQATDGAGATEAIKRLLLALTEDDKELITLERIWNHQERRSSRVSACLCGLPPGCCDILDEENKDLCLSFALYKLLRRRFFNLPIHEAPSLAHSYMLLRA >ONIVA10G11090.1 pep chromosome:AWHD00000000:10:12176057:12176444:-1 gene:ONIVA10G11090 transcript:ONIVA10G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVLDEVFEERKHLLHWEHQNFPHCGSSVQILDRLRGYESGNIVLDANEQGNTILKKGAVLGRKLTETTGDNSEALWKFLAEFWSGYIVYLAASTRASQHKMYLTTGGELGTSER >ONIVA10G11080.1 pep chromosome:AWHD00000000:10:12150660:12153596:-1 gene:ONIVA10G11080 transcript:ONIVA10G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSSISSAPPPELPYRSALPELISLVRIATDGSSPISSARADLSHFVLQQKYRSSKTDRFLFKDEPHEGLRAGATHSARNVSGSCLCLEIAHRRVTERRRIWGREAADKGGGVASDLKLDCRRGLYKRGWEELERGAGCVALEEANAAKKMGRWRCGGESSGSNGI >ONIVA10G11070.1 pep chromosome:AWHD00000000:10:12135534:12135823:1 gene:ONIVA10G11070 transcript:ONIVA10G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWADQTVATRWVDPAPPPPLRPALREDRLVASNDEDDVNDDAATMELEGSESGGTTIGRGGSATPGPQPSLTANVVMTAVTDYDKDDDGALGS >ONIVA10G11050.1 pep chromosome:AWHD00000000:10:12120263:12120677:-1 gene:ONIVA10G11050 transcript:ONIVA10G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLDQVNGAKEQQPPTPQVNQDNLGNFMTTFMVLIRLMIQQLNQQQQGNDAQAANNDTMNSGLRVLHPNRLSWGKDFDRRG >ONIVA10G11040.1 pep chromosome:AWHD00000000:10:12106828:12110687:1 gene:ONIVA10G11040 transcript:ONIVA10G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPPPLPNNKNPDSELNNEIAEGQPPQPAPAPGHVAVPIPEPRCLLYKVPKKIWKIDEDMHKPTYISIGPYRYGENGLDSRSQVWKEWCKNEVVKKLTQQADEQIAPVPPTVIDPNSIPNLWDNCFRWNDILLYGNQLPFLVVREIYKLLHPGVDPNQKVGKVFADSMLARYTRRKLTNPGNADSVLHLCHKLLAPTPDPSRNGGGDGVVKTGQWRRATEYRNLRVKFKKREISSEGKAQCILDVKVVCCNVVKIPSFDLNPESWRLLRNLMLLENMNKHLGGHVTSYCHFISQLACTGADVSLLREKGIIVHGEASDERAAQKLCNLCDEIIYDPTHDYLKSAWDKLEKHCRHPGWLVWAKMFGYKDWKNPLVWMATLAALALLVCAILQTMYTIKTYQDQAKHRART >ONIVA10G11030.1 pep chromosome:AWHD00000000:10:12086641:12087197:1 gene:ONIVA10G11030 transcript:ONIVA10G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLELDVIGTESIHRVENHSMVNTQCQGPDTSVKDAFLTAATTALHPNPDAKVNLLTLCKAMMGSEALPMMQGGKQLFSDNIHRLVMLLSPGSSPRAHEDPPLPPLSLTGWSLGELS >ONIVA10G11020.1 pep chromosome:AWHD00000000:10:12069897:12083526:1 gene:ONIVA10G11020 transcript:ONIVA10G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASTPKVVLGCVAFTIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFKYLGNMLSWKSRGSKDLLFRVCVVSAVASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVESGITFGQFLLGVFPAMVVGILANTCILLCYFWRYLSADRDQLEGGGGAHGPEVVADEEVTSHRFTPARMSHASSVNDSDCISEPIRRSESMNRADALRSRSYNSEGDIQVAIRSLRASSLSREMVEVSTVCDRRDVVVDGGGGGGPRKITRSTSHQRSVIIEDAPEQHQHPAGLFDGEKDKDDEAIGKRRRWKVIVWKYAVYLTTLGMLAALLLGLNMSWTAITAALILLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYARIDSPKGVVLLAIVILVLSNVASNVPTVLLLGTRVAASAAAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVMSY >ONIVA10G11020.2 pep chromosome:AWHD00000000:10:12076357:12080045:1 gene:ONIVA10G11020 transcript:ONIVA10G11020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHPDPTRPDPSHVCSPRHVFQATRFGQQEPSRRAYHSPSSSAAGRTCCSIDRTPAASAAAAVVIEDQY >ONIVA10G11020.3 pep chromosome:AWHD00000000:10:12069897:12083525:1 gene:ONIVA10G11020 transcript:ONIVA10G11020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASTPKVVLGCVAFTIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFKYLGNMLSWKSRGSKDLLFRVCVVSAVASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVESGITFGQFLLGVFPAMVVGILANTCILLCYFWRYLSADRDQLEGGGGAHGPEVVADEEVTSHRFTPARMSHASSVNDSDCISEPIRRSESMNRADALRSRSYNSEGDIQVAIRSLRASSLSREMVEVSTVCDRRDVVVDGGGGGGPRKITRSTSHQRSVIIEDAPEQHQHPAGLFDGEKDKDDEAIGKRRRWKVIVWKYAVYLTTLGMLAALLLGLNMSWTAITAALILLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYARIDSPKGVVLLAIVILVLSNVASNVPTVLLLGTRVAASAAAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVMSY >ONIVA10G11020.4 pep chromosome:AWHD00000000:10:12080086:12083526:1 gene:ONIVA10G11020 transcript:ONIVA10G11020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVHDLLGAGRVPVGAVHAGGSHGGVPPGRHADGALPRDDTRGGVRRHRPPHPRPPLRHHGRLHLPGARRHVQVPRQHAVVEEPRQQGPPLPRLRRLRRRQRALHQRHHLRRPHRVHPQGGAPEQPPAAAVPPRARVELQHRVGGDAHRQPAEPRHRRRERHHVRPVPPRRVPGDGRRHPRQHLHPPLLLLEVPLRRPRPARGRRRRAWSRGRRRRGGHLAPVHPGEDVPRVVRQRQRLHQRAHPPEREHEPRRRAPQPELQLRGRHPGRHPLAPGVEPVARDGGGVHRLRPPRRRRRRRRRRRAAQDHQVDEPPAERHHRGRAGAAPASGRPVRRREGQGRRGDRQAAAVEGDRVEVRRLPHHPRHARRPPPRPQHVVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYARIDSPKGVVLLAIVILVLSNVASNVPTVLLLGTRVAASAAAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVMSY >ONIVA10G11020.5 pep chromosome:AWHD00000000:10:12069875:12075486:1 gene:ONIVA10G11020 transcript:ONIVA10G11020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQATPSSSTSHFSPPSPLCLRACQPRKAKLSCLALFDDQVAWFDELTIEEKRTANVYNTT >ONIVA10G11020.6 pep chromosome:AWHD00000000:10:12080086:12083526:1 gene:ONIVA10G11020 transcript:ONIVA10G11020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVHDLLGAGRVPVGAVHAGGSHGGVPPGRHADGALPRDDTRGGVRRHRPPHPRPPLRHHGRLHLPGARRHVQVPRQHAVVEEPRQQGPPLPRLRRLRRRQRALHQRHHLRRPHRVHPQGGAPEQPPAAAVPPRARVELQHRVGGDAHRQPAEPRHRRRERHHVRPVPPRRVPGDGRRHPRQHLHPPLLLLEVPLRRPRPARGRRRRAWSRGRRRRGGHLAPVHPGEDVPRVVRQRQRLHQRAHPPEREHEPRRRAPQPELQLRGRHPGRHPLAPGVEPVARDGGGVHRLRPPRRRRRRRRRRRAAQDHQVDEPPAERHHRGRAGAAPASGRPVRRREGQGRRGDRQAAAVEGDRVEVRRLPHHPRHARRPPPRPQHVVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYARIDSPKGVVLLAIVILVLSNVASNVPTGKENFNLVL >ONIVA10G11010.1 pep chromosome:AWHD00000000:10:12049327:12056468:1 gene:ONIVA10G11010 transcript:ONIVA10G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDSSRSRDKRDADDDVDARSSWKEDDEHEDVEDRKNRSGKSTRYIYDDEGDEDDYDVRRESRVSKVPRRSPEERSERRLSDGYKDRDGDSSRRRREDNNDWDSSRRSGSRTSGHDVSRSKSRSSDRTSSDRADTRDSRSSADQSKNRSAREAHDYRNELSERWEDTERRKGSARTDKNDQDRRSIDPRYDSPPARDDRIVDSVDNTRQNTLHYNAKSEESDEKCMDQVEGTNRISDNVETKDMLPYVDKDGHALSRDGRNKEARHHREKDDGDQGHSDSDNERNISMKEKIRVDAHGDYKSYRGRDRNRELEGSKEHWGSRQRHDLKEPNDYDVGAEWRHGQERLDGGNFHGRSGYRKDSRGRYESSKGPSSYGNRYDSSDSIEIRPNRNLDFGRESSVSGRRINMGSLQDLTPGTSDPSEENKRNYGNGEDAQERYYDDVQNMDGKIPSDSQTGRGGAIASNNSGAGPSGSGSIISPTPQQGPKGSRPSRGLRGRPNVRDPQRMGLPVPLMPPPPFGPLGLPPGPMQPIGPNMSHSPGPLGPGVFIPPFPGHLVWPGARGIDVNMLSVPTNLPIPPVAGPSFTSSVAAGPNHSIHLNQTGSGLGSPANAPGTGFNPLSTPNHEILQDKPPAGWTPQWGPAGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQRKDDIVSNSASAPMYYKCDLKDHMLSPEFFGTKFDVILIDPPWEEYVHRAPGITDHIEYWTPDEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWIKTNKKNATPGLRHDSNTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKGLSSSNFHKEAYIKNFMDRDGKIWQGGGGRNPPPDAPHLVVTTPEIESLRPKSPPQKSQQQQSMPPMGSSSSTNRRSVMNSSQIVVTVVGSETMMPSPWSSNPMSGFGMPE >ONIVA10G11000.1 pep chromosome:AWHD00000000:10:12047277:12047999:1 gene:ONIVA10G11000 transcript:ONIVA10G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKFGCSTATGRLVLGRQAGRARRRRGLPRDVARRRGIARPEILLQSYCLVPHSVNTSSALNFGALANVTEPGAARTPLVVGDVDTYYTVVLDSVKVGNKTVASAASSRIIVDSGTTLTFLDPALMGPLVDELSCWITLPPVQSPDGLLQLCYEVAGQEVEAGERITNHIDKHLYSIVESCSDNHSTYVERIVRYRNAKISFVSEQNNLKLSCSLIRRTGFQDILWRRFRCLTSLYIL >ONIVA10G10990.1 pep chromosome:AWHD00000000:10:12036345:12036682:-1 gene:ONIVA10G10990 transcript:ONIVA10G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGARAVVLFAIQLLLLTFAIIGSDLLVRPVAAETAAGGRVDIGVPGSIRGGGSVTTPPSPVVSGPPHGAEVIGRGH >ONIVA10G10980.1 pep chromosome:AWHD00000000:10:12025378:12029900:-1 gene:ONIVA10G10980 transcript:ONIVA10G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAGIWMAHGAGRATTGDLVGEGSGGKKPDGRDPICSTTNTLLSRSSFIASLICMRSAGSSLEHRVPIFRIEVMDAIVIPVMLSGMGVFCSPSSVADSKSWSTTRIEIREAFQTPKLDGDVSCKVVAPETDDLEALTGCQCRRDLPTDGVAAEVEVLELREAPEL >ONIVA10G10980.2 pep chromosome:AWHD00000000:10:12025378:12029900:-1 gene:ONIVA10G10980 transcript:ONIVA10G10980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAGIWMAHGAGRATTGDLVGEGSGGKKPDGRDPICSTTNTLLSRCVRYFSFMCSSSFIASLICMRSAGSSLEHRVPIFRIEVMDAIVIPVMLSGMGVFCSPSSVADSKSWSTTRIEIREAFQTPKLDGDVSCKVVAPETDDLEALTGCQCRRDLPTDGVAAEVEVLELREAPEL >ONIVA10G10980.3 pep chromosome:AWHD00000000:10:12025378:12029900:-1 gene:ONIVA10G10980 transcript:ONIVA10G10980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAGIWMAHGAGRATTGDLVGEGSGGKKIEIREAFQTPKLDGDVSCKVVAPETDDLEALTGCQCRRDLPTDGVAAEVEVLELREAPEL >ONIVA10G10970.1 pep chromosome:AWHD00000000:10:12024997:12029083:1 gene:ONIVA10G10970 transcript:ONIVA10G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALIMELLLFVSFLLPCWANAVPVATLSTPETATNTATDHLALMEFRSMITGDPSQALGSWGNLSIPMCQWSGVTCGMRGARRGRVIALHLPDLNLQGSIASSLGNLTYLRQLDLRANSFHGIIPSELGRLAQLEHLNLSSNSIGGEIPPALASCERLQVVSLRRNNLQGVIPAAFGDLSELQVVDLKHNMLKGSIPQELASLQNLKVLIVGHNNLTGDIPVELWSLKSLSYLDLKANMITGQIPTDIGNLENLSELYLSLNHLTGPVPSSLGDLKKLQILYLDNNQLSGPIPSSLGNLSYLAVIDVQVNGLTGNIPESLGNLNLLSVLSLTSNKLTGQIPGTLGNLYSLTEFYLNGNELEGLIPPSIYNLSSLLFFSVGQNKLSGYLESDVGNKFPKLKRYDVFNNTFHGTIPLSLCNVSTLEMLQLAINSFSGVVPNCLGNLKNLFALLLGENQLEARNDEDWSFISSLTNCTKLQYLTLSKNKLEGVLPKSVANLSTSLGTFGITNNAVSGNIPEGIGNLIGLVTLHLGENLLNGTIPDSLGNLQNLVELDMTLNKLSGLIPTTLGNLTMLNRIILDENMLNGPVPSSLGSCPLEILSLANNQLVGPIPKEIFLISTLSDFVSFQGNKLTGILPPEVGDLINLARLDVSGNRISGPIPTSLGKCSSLQYLSMQENLFEGTIPSSMEQLKGLQVLDLSRNNLSGQIPEFIGRMQGLTDLNISFNNFEGQVPKLGIFLNATAVFIEGNSGLCGGVPQLNLPPCINHTSKKWSHKLVIAISLGSTTLCIVLACSLFIIWKSKDHVRNIRQILSLPNGPRMRVSYADLVNATSGFASENLLGTGSFGSVYRGTIMNDGQEVSVAVKVLRLQQRGASQSFLAECETLRCIRHRNLVKILTVCSSIDSSGVDFKALVFEFMPNGDLDKWLHHHLLEDGNHRVLNLSQRIDIAIDVACALEYLHCHKPVPVVHCDLKPSNILLDNEKVAHLGDFGLARFLHEDDTSLPVISSGWATRRGTVGYAAPEYGQGNEVSTLGDTYSYGILLLEMFTGKRPTDDEFAHDFNLHKYVELALRDQVTRVVDQDLLSATEDGEQKTPMPDSITGITIASITSILKIGTLCSKELPADRMQISDAMKELLHIKEKYRTHLPRIDDQQVKE >ONIVA10G10960.1 pep chromosome:AWHD00000000:10:12014682:12015296:-1 gene:ONIVA10G10960 transcript:ONIVA10G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGARAVVLFAIQLLLLTFAIIGGGLLVRPAAAAAADANVDIGGAGRGSIHGPGYVGGAPPPVATRVSVRGGGGGTIGRGH >ONIVA10G10950.1 pep chromosome:AWHD00000000:10:12006863:12011277:1 gene:ONIVA10G10950 transcript:ONIVA10G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVVSLNSLVLAAIVQLACFLSTASSLVPTAPLHNVSDTDFQALLCLKLHLSDNAGAMASWRNDSSQYCQWPGVTCSKSHTSRVTELNLESFNLHGQMPHCIDTLSSCSNLEIIDLSNNSLDGDIPSSLSECSNLQKIYLFDNRLNGNIPEGLGTLSKLSVLYLSNNHLTGNIPLSLGSNSFLNFVILTNNSLTGGIPPHLANSSSLLLLDLTNNQLGGKIPFALFNSSSLQSIFLGVNKFVGSIPPIKNISSPLVSLSLSQNNLSGSIPSSIENFSFLQQLLLAQNNFQGTIPSSISRMPNLQQLDLTYNNLSGTVPASLYNMSTLTYLGMGKNNLIGEIPYTIGYTLPSIEKLVLQGNKFQGQIPTSLGNATNLKVIDLRDNAFHGIVPSFGNLYNLIELNLGMNQLEAGDWSFLSSLTSCSQLVSLCLDKNNLKGALPSSIARLSKSLEGLLLTGNEISGTIPQEIDYLTNLTVLYMEQNMLTGNLPDSLGNLPNLFVLSLSQNKLTGQIPLSIGNISQLGELYLQENFLSGPIPSSLGHCKNLQALNLSFNSFDGSIPKELVTLSSLSEWLDLSHNQLNGEIPPEIGGSINLDILNISNNLLSGQIPSTLGDCVHLSYLRMEGNLLDGRIPDSFINLRGIVELDLSQNNLSGKIPEFMESFGSMKLLNLSFNDFEGPVLTGGIFQNASEVFIQGNKKLCGIYPLLHLPLCDVKASKGKHTTKILKIVGTIFVCLVLTSCLALILLKKRNKVKQASDPSCKELKKFTYADLVKATNGFALTNLVGSGKYGSVYKGRFEFEENSVAIKVFKLDQLGAPKSFLAECEALRNTRHRNLVRVISACSTCDPTGREFKAIILEYMANGSLGSWLYPKVNKYGLKRPLSLEYRIKVAVDIASALDYLHNYCIPPMAHCDLKPNNILLDDVMGAHLGDFGLAKFLQSSSSSKFNSSTSFAGPRGSIGYIAPEYGYGSKVSIEGDVYSYGIIILEMLTGKRPTDEMFSNGLNIHKYVESTFFSHKISEILDPNVIPNFEEDAENNFNLENHLTTGMLGCIMQLARLGISCSMEAPKDRPAMQDVYAEVIAIKEAFSALRV >ONIVA10G10950.2 pep chromosome:AWHD00000000:10:12006928:12011277:1 gene:ONIVA10G10950 transcript:ONIVA10G10950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVVSLNSLVLAAIVQLACFLSTASSLVPTAPLHNVSDTDFQALLCLKLHLSDNAGAMASWRNDSSQYCQWPGVTCSKSHTSRVTELNLESFNLHGQMPHCIGNLTFLTIVHLPFNRLSGNIPAEFGHLHRLTYLNLTSNGLTGAIPDTLSSCSNLEIIDLSNNSLDGDIPSSLSECSNLQKIYLFDNRLNGNIPEGLGTLSKLSVLYLSNNHLTGNIPLSLGSNSFLNFVILTNNSLTGGIPPHLANSSSLLLLDLTNNQLGGKIPFALFNSSSLQSIFLGVNKFVGSIPPIKNISSPLVSLSLSQNNLSGSIPSSIENFSFLQQLLLAQNNFQGTIPSSISRMPNLQQLDLTYNNLSGTVPASLYNMSTLTYLGMGKNNLIGEIPYTIGYTLPSIEKLVLQGNKFQGQIPTSLGNATNLKVIDLRDNAFHGIVPSFGNLYNLIELNLGMNQLEAGDWSFLSSLTSCSQLVSLCLDKNNLKGALPSSIARLSKSLEGLLLTGNEISGTIPQEIDYLTNLTVLYMEQNMLTGNLPDSLGNLPNLFVLSLSQNKLTGQIPLSIGNISQLGELYLQENFLSGPIPSSLGHCKNLQALNLSFNSFDGSIPKELVTLSSLSEWLDLSHNQLNGEIPPEIGGSINLDILNISNNLLSGQIPSTLGDCVHLSYLRMEGNLLDGRIPDSFINLRGIVELDLSQNNLSGKIPEFMESFGSMKLLNLSFNDFEGPVLTGGIFQNASEVFIQGNKKLCGIYPLLHLPLCDVKASKGKHTTKILKIVGTIFVCLVLTSCLALILLKKRNKVKQASDPSCKELKKFTYADLVKATNGFALTNLVGSGKYGSVYKGRFEFEENSVAIKVFKLDQLGAPKSFLAECEALRNTRHRNLVRVISACSTCDPTGREFKAIILEYMANGSLGSWLYPKVNKYGLKRPLSLEYRIKVAVDIASALDYLHNYCIPPMAHCDLKPNNILLDDVMGAHLGDFGLAKFLQSSSSSKFNSSTSFAGPRGSIGYIAPEYGYGSKVSIEGDVYSYGIIILEMLTGKRPTDEMFSNGLNIHKYVESTFFSHKISEILDPNVIPNFEEDAENNFNLENHLTTGMLGCIMQLARLGISCSMEAPKDRPAMQDVYAEVIAIKEAFSALRV >ONIVA10G10940.1 pep chromosome:AWHD00000000:10:12000324:12001052:-1 gene:ONIVA10G10940 transcript:ONIVA10G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAISGRRPLPQPRLRTIIVLLVVVLLLVPAAAAAGSAGSLGSAPRTPDATPICPKTYPYPSCEGLDRL >ONIVA10G10930.1 pep chromosome:AWHD00000000:10:11979367:11979701:-1 gene:ONIVA10G10930 transcript:ONIVA10G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPTVVLFAIQLLLLTFAIIGSGLLVRPAAAETAAGGRVDIGVPGSISVGGSVTTPPSPVVSGPPHGAEVIGRGH >ONIVA10G10920.1 pep chromosome:AWHD00000000:10:11942072:11948404:-1 gene:ONIVA10G10920 transcript:ONIVA10G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEQLMAPETAVATVTTKLLAQELTKDVQVNSRRKFEKWDIAITTLEPNINTMLIAIVSSKERDYNAYSKDYMSSIVKQSCTNTRSCFRDGDIPSKICNYPMSLQAARNGAP >ONIVA10G10920.2 pep chromosome:AWHD00000000:10:11947597:11948404:-1 gene:ONIVA10G10920 transcript:ONIVA10G10920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEQLMAPETAVATVTTKLLAQELTKDVQVNSRRKFEKWDIAITTLEPNINTMLIAIVSSKERDYNAYSKDYMSSIVKQSCTNTRSCFRDGDIPSKICNYPMSEPFFPHDYLLFQICISIHVAPFHSSVFLTGLFIPVLCLRII >ONIVA10G10910.1 pep chromosome:AWHD00000000:10:11915099:11924518:1 gene:ONIVA10G10910 transcript:ONIVA10G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWWWCSRQLALNSEVGSNNLLLSRTTPTSVSHCPHTAPSIARPRHSSFVPPSPLSQRRRRALGGSSAALPPHQSRPRRLLRHTAATSVVNSGASSAVLLPPPSSEGREENLRSEGEGREERIGGGNGNLSRLAVDFHHPCHPPACTQPLGLNMTVHEQMGKQ >ONIVA10G10910.2 pep chromosome:AWHD00000000:10:11914078:11915880:1 gene:ONIVA10G10910 transcript:ONIVA10G10910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYARAPASTPPYHRRPESPGSSSPSRSPPPPIEAPPSRPRRLLRRAAATSVATPAAPPPHCRHLGRELRRLIRRAAATSVVNPGAYSITS >ONIVA10G10900.1 pep chromosome:AWHD00000000:10:11885412:11889945:1 gene:ONIVA10G10900 transcript:ONIVA10G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPTESIYQGGVWKVRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCEKYAKPEDAGVTPEDKSSDEELSEDEDDSGDDAILGNPDP >ONIVA10G10890.1 pep chromosome:AWHD00000000:10:11869193:11871872:-1 gene:ONIVA10G10890 transcript:ONIVA10G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAIVPGKERDYNAYSKDYMSSILKQSCTNTRSCFRDGDILFVPEDHINDSDQSSTLAGCSACCDHATTSENTEDQESRSWSHANMHHANVVLSFQIRPCCIDQYIQVS >ONIVA10G10880.1 pep chromosome:AWHD00000000:10:11852894:11863685:1 gene:ONIVA10G10880 transcript:ONIVA10G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPTPPPPSAPSSAPPFKSNASSSRPNRAPPEGAPTSPAAATRPPPFAMVPAVSEAVLAVFDVSTTLRSQGYLAGQELPSITLPEDRAPEVFERLLGSFLAQSHGPGVLPPRPMPPLLGDGTPVGLLRLYLAVRACGGFEAVRSWAAAAEMAGLNPAMDVPIMLVYHKYLCPLEASFLRAQKLREEAGSSGGSAKKGKFLAPAARDAEGVEEVLDLKRKRENLVGMLNWVRQVAKKPDRRRSGRNAADNHLSMALMFRRQMFVDDGFSDKPHGCASPEPEATEPESGLTTEDGQYDGWDDQQSAGGSSNWNSRANRSFGLADIPEWTGKPSLPYEDPDMLRFLGEPILTPKNNEVFDDTIGKGRPDKCNCEVPGSTSCVRFHVAEKKTELKREMGSSYYAMKFDEIGQDAALTWQKDEEKKFETIVQQNLPSSKYNFWVKLRAAFRYKGERALVSYYNNVFQPRRRAFQNRVAQHANGVDSDDDSIEPAFLRLRQDGGKSRSRSSASSRNQNQS >ONIVA10G10870.1 pep chromosome:AWHD00000000:10:11834192:11844405:1 gene:ONIVA10G10870 transcript:ONIVA10G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane protein-related [Source:Projected from Arabidopsis thaliana (AT2G46060) TAIR;Acc:AT2G46060] MAAARRAKVAAALGVVVWWWWWWAVAVVGCGAQPVVVGSYGQPRLWLKPYDWSYLRVELPPSFSSVTMDFATDRDIQREHLKDLPRRDLAIICLMNSNPPIPDISDSFLDTLLSNFLVVGSFGSTNNQSDLAQCIPFQKNTTIVLRNDQISPGIWYIGYFNGLGPARTQSKMISRGRARSVSTSITVEGCPTSALWGPYCNQTIEMISCSQSSGYNNSRNLMGLNIDKRKTLNTREHTRRINFLSQWNHLEEKGVGSNSTTYSRMDNSITCAISNGSLCLRQGDMKFYFLDVVDLALQFEITATNFGLAQRSSLICYLRYNAFPRRDLHDYSASTPLLDTTCFSLEWQVTGCLNGKAGTNCSWEAYVLQRVPKRSPSVPFESYYVPSDGKASLEYSHFSLEQFLSNSSFEPFAWTYFFLDIPEGSAGALIHVQIKSDKELNYELYSRYGGLPSNESWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPSDKSNSQTYMSISLQGCHKNCNQKGACHSSIDESGLTFYSFCTCDRDHGGFDCNDELVSPNGHIWQSVFLIASNGAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFIYMATIDETSKRAMHTAVFILTALLAATGATRSANIGIVIAIGSLGLLIGWLLEFSTARRFVCWPWRINLNVPQSWPNLRSLFWNSLDLLNKRFRWFYLLLGFITLAFAGTSWKLESNRSYWVWHSLWHITIYTSSFFFLCSMRINTVNHSPEPSYELTRQNSLPRSEPRET >ONIVA10G10870.2 pep chromosome:AWHD00000000:10:11834192:11844405:1 gene:ONIVA10G10870 transcript:ONIVA10G10870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane protein-related [Source:Projected from Arabidopsis thaliana (AT2G46060) TAIR;Acc:AT2G46060] MAAARRAKVAAALGVVVWWWWWWAVAVVGCGAQPVVVGSYGQPRLWLKPYDWSYLRVELPPSFSSVTMDFATDRDIQREHLKDLPRRDLAIICLMNSNPPIPDISDSFLDTLLSNFLVVGSFGSTNNQSDLAQCIPFQKNTTIVLRNDQISPGIWYIGYFNGLGPARTQSKMISRGRARSVSTSITVEGCPTSALWGPYCNQTIEMISCSQSSGYNNSRNLMGLNIDKRKTLNTREHTRRINFLSQWNHLEEKGVGSNSTTYSRMDNSITCAISNGSLCLRQGDMKFYFLDVVDLALQFEITATNFGLAQRSSLICYLRYNAFPRRDLHDYSGDISSAPLVLKLPNIGRWYFAIETVNITQMNSTASTPLLDTTCFSLEWQVTGCLNGKAGTNCSWEAYVLQRVPKRSPSVPFESYYVPSDGKASLEYSHFSLEQFLSNSSFEPFAWTYFFLDIPEGSAGALIHVQIKSDKELNYELYSRYGGLPSNESWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPSDKSNSQTYMSISLQGCHKNCNQKGACHSSIDESGLTFYSFCTCDRDHGGFDCNDELVSPNGHIWQSVFLIASNGAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFIYMATIDETSKRAMHTAVFILTALLAATGATRSANIGIVIAIGSLGLLIGWLLEFSTARRFVCWPWRINLNVPQSWPNLRSLFWNSLDLLNKRFRWFYLLLGFITLAFAGTSWKLESNRSYWVWHSLWHITIYTSSFFFLCSMRINTVNHSPEPSYELTRQNSLPRSEPRET >ONIVA10G10860.1 pep chromosome:AWHD00000000:10:11823152:11824881:-1 gene:ONIVA10G10860 transcript:ONIVA10G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKCASRLLGSSYSASVAAPPPPVTGAGAAAPGFELLPLALATPPPLPYPGSHESGAGATCFAAAAASGEPCELSRSPWDLIGELDISDPQEEDVVEKYFVHVASRASWLFPTSMPAAVKQKGLAAAGEFSTKIPNKKFAKKTAKKPSPATAKKKEAAAAAVKEEDEPTKKPKLKKEEDDSNSAISAAGGAQVWTCKKNDGKRWHCQRRVRQPNSLCDYHSDQKRGYYNPLYDDPTDETYAPPASPPSSVAPATASSKTSTSSSKARKKKVADSGEGFYYYAGFGPFRTKRHCRSSSSSNNYNMQDQPPPVEQEQHEEEEARSPEIADPHPSAGNKSRSGNGDDATTTADRQTTLASTTTAVAARDDFSSSDDDDDDIAGIAGGDEESSDDAARIGRLRAGINGDARKNKSQQARKRWRKPVKARSLKSLM >ONIVA10G10850.1 pep chromosome:AWHD00000000:10:11818879:11820881:1 gene:ONIVA10G10850 transcript:ONIVA10G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRTPVRAASPSPGPSPPPPPPPAQLKGGRPPVVHADEEEEKKKKKKKKQGSMVVGGDREEEEEEEDDDDDLVLVASTTSERDVTGAAAAGRCSRNDGKRWRCKSAAVPGYVFCDRHIAWSTRKRKPRKRSHSSIVDPPPPKEDPAAAAKAEDDDGGGGDVEEEEESKNHGANLRCNDDDDDEEFHYYGGLQHGGRKRAKSGGGGGPA >ONIVA10G10840.1 pep chromosome:AWHD00000000:10:11809749:11811158:-1 gene:ONIVA10G10840 transcript:ONIVA10G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSPPPPPPASTTAAAAARHHHRRNAPFAPHHRRRRRFAHLTTSAVILGPDGRPIGGGPRDNKLPFTPPPTAPPDQLYQPFHPPPSPLPDKYKDLDLGQRLAVLRDRLGLWHEYAPLISALSREGFTPSSIEEATGISGVEQNRVVVATQVRDSLVADEGGFPAELLRYFDSYGGPELLYELRFLNARQRADAARHAIDRRLEPRGVRELARSMKDFPQRRGDDGWEAFTRDNPGDCLAFARFRQSREAIDAEDSVAELERALEVVDTEPARARVEAELDRARRKAAGEEVDDEDGAANAAAAASRPAVPVVRLMYGEVAEATTVLLLPVVREGDGGEALAHAPRRTRTDADLGMVEVDKGWTRWAVVPGWGPVAEVAGEAVVIELADGRTLPWRSAEAERVLVVANRGRREVVEDGIYVVEREGRLVVEKGRKLAAEGVGEAAAEVLVVVRPPRDEDDMISDDEWD >ONIVA10G10830.1 pep chromosome:AWHD00000000:10:11805383:11811328:1 gene:ONIVA10G10830 transcript:ONIVA10G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMSLYCNKKKQLISPNNPFMNYEMMRIRERFCVFLPRENYALPRRKNPLSKPSVPLVVADHVVLVPGRPDDDEHLGGGLADALRRQLPPLLHHQPPLPLHHVDAVLDHLPPPAVRHHQHPLRLRRPPRQRAPVGELDHHRLAGYLRHGAPPGHHRPPRPPLVHLHHAEVRVRPGPPRSVGERLAAVALAHHREQQHGRRLRHLAVHEPHHGHGGARRGGGGVGGAVLVVHLLPGGLPPRAVELRLHPRPRGLRVHNLQRALQLRHGVLGVDGLTRLAEPREGEAVPGVVPREGLPPVVAAALREVLHGAGELAHPAWLQAAVDGVPRRVGALPRVEEPELVEQLGPAVGVEVAEELGGEAALVGDERVADLGGDDDAVLLDAGDPRGLLDRRRREPFPRQRGDERRVLVPKPEAVPEHSEALAEVEVLVLVGERRRRRMERLVELVGRRGGGRGEGELVVARPAADGPPVGAQDDGGGRQVREAAAAAVVRCEGGVPAVVVARRRRRRGGGGGGGGGGEGEHGGGGGGDKGAGGAYLISALVSRRGGTVLAAKGLAAGSYEFGFEFEFGILSLKLRF >ONIVA10G10820.1 pep chromosome:AWHD00000000:10:11794217:11798921:-1 gene:ONIVA10G10820 transcript:ONIVA10G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFQDSVKALEADIEHANELASEFLRDYDGAVIQMRMAYSAVAHFLVQWTDCKLAGALGLLKIMIYKVYADGTTALPEWEREASIRQFYGVIFPSLLQLPSGITELDDRKQRRLCLQKFRKVEERVSEVDLERELECGICLELNAKIVLPDCAHSLCMRCFEDWNTKSKSCPFCRACLKKVNPSSLWLYTDDRDVVDMDTLTRENIRRLFMFISKLPLVVLHVVDLDIYEYRIK >ONIVA10G10810.1 pep chromosome:AWHD00000000:10:11773261:11773635:-1 gene:ONIVA10G10810 transcript:ONIVA10G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNRSKDTATKYRGVRRRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAYAQRGAAAVLNFPAAAAAGRGGGAGGAASGSSSSSSAQRGRGDKIEFEYLDDKVLDDLLDDEKYRGK >ONIVA10G10800.1 pep chromosome:AWHD00000000:10:11754662:11758786:-1 gene:ONIVA10G10800 transcript:ONIVA10G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWTEPYYNCVFIVSEAESMHHVATRKCQIPDLVPSVPNMMPSRYHDDVSIVPGGNCRRRWEDRWDVGIATRQQRYLQLQQVLNMRKPPSSSSILSLLPSPSLSGKQQQHVVDEQQQQVAGSSTDRAPTPFTVVCTKDSCSAALCPTPKIKSHRRQEIQLAHDDDSHHADDDHDDNEGGAARKQQLLRAGEPAADGLHHGPALERHHSGGSRHKLRRDGGGDGLAAIAGLGIPRRRSWPSPPSLASCCCRRHRWSPAAVVSRREMGKGEEKGWRKRMELTVTAMDGKCDGSGMGPISQSSSGTQQIL >ONIVA10G10790.1 pep chromosome:AWHD00000000:10:11749972:11751597:-1 gene:ONIVA10G10790 transcript:ONIVA10G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEQQQHLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDLAKENPGSLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKSVYGMTLLMMVICSIASGLSFSHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIISSAFRAGFPAPAYQDDRAGSTVRQADYVWRIILMLGAMPALLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVEIQEEQDKLEQMVTRNSSSFGLFSRQFARRHGLHLVGTATTWFLLDIAFYSQNLFQKDIFTSINWIPKAKTMSALEEVFRIARAQTLIALCGTVPGYWFTVFLIDIVGRFAIQLLGFFMMTVFMLGLAVPYHHWTTKGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGSFGFLYAAQDPHKPDAGYKPGIGVRNSLFVLAGCNLLGFICTFLVPESKGKSLEEMSGEAEDDDDEVAAAGGAAVRPQTA >ONIVA10G10770.1 pep chromosome:AWHD00000000:10:11741270:11742850:1 gene:ONIVA10G10770 transcript:ONIVA10G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQLKVLTTLDHARTQWYHFMAIVIAGMGFFTDAYDLFCISLVSKLLGRIYYTDIASDTPGSLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLVLMVVCSVASGLSFGRTAKGVVATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALVVSAGFRNAYPAPSYADGRAASLVPEADYVWRIILMFGTVPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLDTEIQEDADRAEAVAAGGAGNEWGLFSRHFVRRHGVHLVATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPARTMNAVEEVFRIARAQALIALCGTIPGYWFTVAFIDVAGRFAIQLMGFAMMTVFMLGLAAPYHHWTTPGNHTGFVVMYGFTFFFANFGPNATTFIVPAEIYPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQDPHKPEAGYKPGIGIRNALFVLAGTNFLGMLMTLLVPESKGMSLEEVSKENVADDEEATA >ONIVA10G10760.1 pep chromosome:AWHD00000000:10:11735932:11737845:-1 gene:ONIVA10G10760 transcript:ONIVA10G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24000) TAIR;Acc:AT3G24000] MRKPFPSLLLLHRLRRRRDADRRYAAAASLTASVADIPVPAAASTGIIRDTLDRVDARELAATPRLYHSLITACAQRKSLDDARAIHAHLAGSQFAGSVFLHNSLIHLYCKCGGVADARRMFDEMSTRDMCSWTSLIAGYAQNDMPDEALGLLPGMLRGRFKPNGFTFASLLKAAGASASSGIGEQIHALTVKYDWHDDVYVGSALLDMYARCGRMDMAIAVFDQLESKNGVSWNALIAGFARKGDGETTLLMFAEMQRNGFEATHFTYSSVFSAIAGIGALEQGKWVHAHMIKSGERLSAFVGNTILDMYAKSGSMIDARKVFDRVDKKDLVTWNSMLTAFAQYGLGREAVTHFEEMRKCGVHLNQITFLSILTACSHGGLVKEGKQYFDMMKEHNLEPEIDHYVTVVDLLGRAGLLNDALVFIFKMPMKPTAAVWGALLGSCRMHKNAKIGQFAADHVFELDPDDTGPPVLLYNIYASTGQWDAAARVRKMMKATRVKKEPACSWVEIENSVHMFVANDDTHPRSEEIYKKWEEISIQIRKAGYVPNTDYVLLHVDEQERQAKLQYHSEKIALAFALINMPLGATIRIMKNIRICGDCHSAFRYISKVFEREIVVRDTNRFHHFSSGSCSCGDYW >ONIVA10G10750.1 pep chromosome:AWHD00000000:10:11730001:11734929:1 gene:ONIVA10G10750 transcript:ONIVA10G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRSPAAATASSGPVAAAASAAMAAPGVGGVEPAVTLDQVPRWSDPDQRLYAPSSSSAAAAGGVEAGEGGGSEPAASAFLSFSDPLTGDDGGGVSAGGRGGASRFPVDHEINSRIYLWRGHPWNLEVDAVVNSTNESLDESHSSPGLHAAAGSGLAEECSTLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKSKIAGVVFCTVSSSDTEIYKRLLPLYFPRDRQEEEIAVSKLPADVGDENGETVIDERKIRIRPLPAGATDRAATTAPIDLPFDSGLASKRSSFKLDSYLDPSFMSLIKDPDLRRKEQWEKSAQAQKGFNYAKLLGYGDLACPSLSAAEEYSLHSRYLAKANSLNLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLHVVKEFEPLIQKPYSIVYFHSAASLQPQPDLGFMKRLQQILGRKHQRNLHAIYVLHPTLGLRTAILAMQMFVDGEVWKKVVYVDRLVHLFRYVPREQLTIPDFVFQHDLEVNGGRGLIVDPRTKHIYQRPSG >ONIVA10G10740.1 pep chromosome:AWHD00000000:10:11718310:11722405:1 gene:ONIVA10G10740 transcript:ONIVA10G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRGCCGLRTVVSGWELCISWAMEKAAAAACGWRKGLEEGEPEWEAMELEAAAPAIFPADGGGGGGRRSPAAWRIYFNQHMRMTSTICYKGPKMKQTWTNGQSCSPAAEGSITVQTPTYPILNLVDFIPKDGCKRGPRGARKRQTFPSRGARTRRAFPLQQLCFGTTRNKRCRLRKNNDHWTIKEVTNLVQGVSKHGVGRWTELKRDFFSTSIRTSVHLKDKWRNLLKACGIDFTSTAKIRQYSAPRNPKSGSYPEL >ONIVA10G10740.2 pep chromosome:AWHD00000000:10:11718310:11722004:1 gene:ONIVA10G10740 transcript:ONIVA10G10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRGCCGLRTVVSGWELCISWAMEKAAAAACGWRKGLEEGEPEWEAMELEAAAPAIFPADGGGGGGRRSPAAWRIYFNQHMRMTSTICYKGPKMKQTWTNGQSCSPAAEGSITVQTPTYPILNLVDFIPKDGCKRGPRGARKRQTFPSRGARTRRAFPLQQLCFGTTRNKRCRLRKNNDHWTIKEVTNLVQGVSKHGVGRWTELKRDFFSTSIRTSVHLKDKWRNLLKACGIDFTSTAKGNAQKTMLWPLDKRLIEQITQLAYKHPYPRQKY >ONIVA10G10740.3 pep chromosome:AWHD00000000:10:11719113:11722004:1 gene:ONIVA10G10740 transcript:ONIVA10G10740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVAKSLCLDISAVQIWRIYFNQHMRMTSTICYKGPKMKQTWTNGQSCSPAAEGSITVQTPTYPILNLVDFIPKDGCKRGPRGARKRQTFPSRGARTRRAFPLQQLCFGTTRNKRCRLRKNNDHWTIKEVTNLVQGVSKHGVGRWTELKRDFFSTSIRTSVHLKDKWRNLLKACGIDFTSTAKGNAQKTMLWPLDKRLIEQITQLAYKHPYPRQKY >ONIVA10G10740.4 pep chromosome:AWHD00000000:10:11718310:11719080:1 gene:ONIVA10G10740 transcript:ONIVA10G10740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRGAGELCISWAMEKAAAAACGWRKGLEEGEPEWEAMELEAAAPAIFPADGGGGGGRRSPAASTIFLPDLIDGSFLSDDILPDLSQARAPFFCFA >ONIVA10G10730.1 pep chromosome:AWHD00000000:10:11708300:11709563:-1 gene:ONIVA10G10730 transcript:ONIVA10G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGAYTEVARQKAVGRGRKVAAPPPNFPLVKIMPRLLGIDGSGVLVVIFLEASFEGPFPFNISPDDRPLCGGAYDFHKMTTLAGVSEGKRIHLFLALFLSNPGADAG >ONIVA10G10720.1 pep chromosome:AWHD00000000:10:11701841:11706680:1 gene:ONIVA10G10720 transcript:ONIVA10G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLSIGGPAGAASSSHRGGGGGIVLAGGYGGASGFWVEVGCGGRAGMDDMLYLGCEGEIQQLLQGPRDETDIYKCLAGDRDNPNTSKSHTKSSRCGRRCGGKRKPFSLKPLRLETTTIRRHHLRKNNEHWTLKEITELVKGVSKNGVGSWTKLKRDFFSTSIRTAVHLKVKVQKTMMLSLDMELVEQIKHLASKHPYPRRKNY >ONIVA10G10720.2 pep chromosome:AWHD00000000:10:11702366:11706680:1 gene:ONIVA10G10720 transcript:ONIVA10G10720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWWRGVDRVIDKLRQQAFDQLSTKMMDDMLYLGCEGEIQQLLQGPRDETDIYKCLAGDRDNPNTSKSHTKSSRCGRRCGGKRKPFSLKPLRLETTTIRRHHLRKNNEHWTLKEITELVKGVSKNGVGSWTKLKRDFFSTSIRTAVHLKVKVQKTMMLSLDMELVEQIKHLASKHPYPRRKNY >ONIVA10G10720.3 pep chromosome:AWHD00000000:10:11701841:11702507:1 gene:ONIVA10G10720 transcript:ONIVA10G10720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLSIGVDRPELLALHTAAAAAALFLPAAMEEHPASGWRWGVVGEPEWAAAAADGGSSSSQARGHRSLL >ONIVA10G10710.1 pep chromosome:AWHD00000000:10:11688073:11692087:1 gene:ONIVA10G10710 transcript:ONIVA10G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin family protein [Source:Projected from Arabidopsis thaliana (AT2G37020) TAIR;Acc:AT2G37020] MRPAATGTAALRLRAAFLSPPPPPAASAAAASRLLPCRPTASILLLPLRRLCSVPPHAVGAAGTGSSSQPSPVMDAQFESFRAQLDESSTLRDRIRAVVSEVESASRVASAALLLVHQPVPLADVLGKAKAQVEVIKGLYSRLAEILKECPGQYYRYHGDWRSETQAVVSMLAFMHWLETGGLLMHAEAQEKLGLSSGEFGLDVEDYLTGLCFMSNDFPRYVVNRVTAGDYDCPRKVLTFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLVPGESKQEAA >ONIVA10G10710.2 pep chromosome:AWHD00000000:10:11688073:11692418:1 gene:ONIVA10G10710 transcript:ONIVA10G10710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin family protein [Source:Projected from Arabidopsis thaliana (AT2G37020) TAIR;Acc:AT2G37020] MRPAATGTAALRLRAAFLSPPPPPAASAAAASRLLPCRPTASILLLPLRRLCSVPPHAVGAAGTGSSSQPSPVMDAQFESFRAQLDESSTLRDRIRAVVSEVESASRVASAALLLVHQPVPLADVLGKAKAQVEVIKGLYSRLAEILKECPGQYYRYHGDWRSETQAVVSMLAFMHWLETGGLLMHAEAQEKLGLSSGEFGLDVEDYLTGLCFMSNDFPRYVVNRVTAGDYDCPRKVLTFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLVPGESKQEAA >ONIVA10G10700.1 pep chromosome:AWHD00000000:10:11670897:11673742:-1 gene:ONIVA10G10700 transcript:ONIVA10G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDCYGASAGGAAEARAAGAGGRRKRGCVGTALALVALAAAAAVAVLEGTAGGVSYVGDGWLHECAKWDADGGACSCPTSSAPAWKEKEEERVVLADPDVAVRVALGLTVDAPRGRLLIVYAYRIPRFGYSAVAAYELTSWCRLFLTRLDGPGDSTLADDVVVDDEGNAYVTDAKGNKIWKVSPEGEPLSVIKNATFSQRPGWINNLVGLNGIVYHPSGYLLVIHTSGGDLFKVDPKTRSVRVVEVKGSLKAGDGLALLSPARLWSPPACRLVESDDDWETAAVTGRYVGPAHRIGSSATVKDGDVYINHIIGFGLGKKTHVISKAAFAPL >ONIVA10G10690.1 pep chromosome:AWHD00000000:10:11660055:11668164:1 gene:ONIVA10G10690 transcript:ONIVA10G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLLAAAVAAAVFLAALLVPPARCQQQQVAIPPPASTSPPVRLPPSAPPPLVGVPPGVLMARIDAVRDELAAEVQAKYGFCMANVQEDFTQAFSFSNASFVSDCMEETQGQMTGMLCEKVEIEIYVKSLGKKPSTRGITVQQQPKNLTVLRGTIAVKAPQRNVSVFGKTHVILSVVLLLVYNCSDQFIKIRAKILSKSRRKAATIAQESATARGRWKLAKELVLSHELEMSESDQLAASSNEARHATEGNGKRSKNRKKLAHARTERFRRAYSQIGRERVLQPDNDKITLSGVVALAAENRSRRPMFEVVFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKGMSKSDKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYLGPISEVETYFSSLGIKVPERENPPDYYIDILEGITKTKMRGHATPKHLPLLWMLRNGYEVPEYMQKDLEDINNVHELYTVGSMSREQSFGDQSENADSVHQNVREPYSLLDRKTPGVLAQYKYYLGRVTKQRLREATLQAVDYLILCIAGICIGTIAKVKDDTFGVASYGYTIIAVSLLCQLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTLVKPVAFLSTFYFFNNPRSEFKDNYLVFLALVYCVTGIGYTFAIWFELGLAQLCSALIPVVLVLVGTQPNIPNFIKGLCYPKWALEALIIAGAKKYSGVWLITRCGALLKGGYDINNFVLCIVIVMLMGVLFRFIALLSLLKLK >ONIVA10G10680.1 pep chromosome:AWHD00000000:10:11650088:11654103:-1 gene:ONIVA10G10680 transcript:ONIVA10G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRAGEASSSSPGTMSKAMQCFGFAGWEREERRGRSSAVAAAAAAAAATTRSLSARSNSSTSTDRDARRSGSECSLNVSSEISAESFGRYRQLSLPQRASNNLRIFTFQELKSATRGFSRSLVLGEGGFGCVYRGTIRSVLEPRRSVEVAIKQLGRKGLQGHKEWVTEVNVLGVVDHPNLVKLIGYCAEDDERGMQLLLVYEFMPNGSLADHLSSRSPRPASWAMRLRVALDTARGLKYLHEESEIKIIFRDLKPSNILIDENWNAKLSDFGLARLVSQDGSHVSTAVVGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLIEWVKPYSTDSKKLEIIMDPRLEGSYSLKSAAKLASVANKCLVRHARHRPKMSEVLEMVQKIVDSTDLGTPEHPLISKSRELTRDEKKRKGLDLKRRFADIKAGGDQRCQN >ONIVA10G10670.1 pep chromosome:AWHD00000000:10:11648527:11656758:1 gene:ONIVA10G10670 transcript:ONIVA10G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPHSPPLPPYLLRHLDGRALSSPLLDPLIRAASASASAPHHAFSLFLLLLRSALRPSHLTFPFLARAAARLASPRLARAVHAQPLRRGLLPQDLHVSNSLVHMYAACALPGLARRLFDEIPRPNHVSWNALLDGYAKCRDLPAARRVFARMPQRDVVSWSAMIDGCVKCGEHREALAVFEMMEATAARHDGVRANDVTMVSVLGACAHLGDLVRGRKMHRYLEEHGFPLNIRLATSLVDMYAKCGAIVEALEVFHAVPVESTDVLIWNAVIGGLAVHGMSRESLQMFQKMEHAGVVPDEITYLCLLSACVHGGLVDEAWRFFRSLEAQRLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMKPSVSVLGALLNACHIHGWVELGEAVGRQLVHLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVKKVPGFSEIDVGRGLCRFIAQDKTHPGSAEIYALLKLIAMDMKMKEDATVPDYTSNPKSDSFAFQFSSIRMLEGFRSRNIIWKIIASHQCRFFMEILQAARGVERHPEPHRPRRRPRRPRRQVIRQAPVGHELVDEQQLHPPLVVLGAVPDELHQAFAAELLDGDLDAPARLEDAADGAATQPKPPSPSTSERLNPRVALLSSWKVKMRRLLLARCGSDSCRYRPNDSALISDDTFREHSDPDRRASRSVDVLLFDRADSDRVVAAAAAAAAATADDRPRRSSLSQPANPKHCIAFDMVPGEDDDASPALHQRRGRRKKDSGNFTGI >ONIVA10G10670.2 pep chromosome:AWHD00000000:10:11648527:11652924:1 gene:ONIVA10G10670 transcript:ONIVA10G10670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPHSPPLPPYLLRHLDGRALSSPLLDPLIRAASASASAPHHAFSLFLLLLRSALRPSHLTFPFLARAAARLASPRLARAVHAQPLRRGLLPQDLHVSNSLVHMYAACALPGLARRLFDEIPRPNHVSWNALLDGYAKCRDLPAARRVFARMPQRDVVSWSAMIDGCVKCGEHREALAVFEMMEATAARHDGVRANDVTMVSVLGACAHLGDLVRGRKMHRYLEEHGFPLNIRLATSLVDMYAKCGAIVEALEVFHAVPVESTDVLIWNAVIGGLAVHGMSRESLQMFQKMEHAGVVPDEITYLCLLSACVHGGLVDEAWRFFRSLEAQRLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMKPSVSVLGALLNACHIHGWVELGEAVGRQLVHLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVKKVPGFSEIDVGRGLCRFIAQDKTHPGSAEIYALLKLIAMDMKMKEDATVPDYTYSSWRYFKPRAVSSATLSRIAHDAGLGDLDDSSCIPLSSSSAQYPMSFTRFG >ONIVA10G10670.3 pep chromosome:AWHD00000000:10:11648527:11652634:1 gene:ONIVA10G10670 transcript:ONIVA10G10670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPHSPPLPPYLLRHLDGRALSSPLLDPLIRAASASASAPHHAFSLFLLLLRSALRPSHLTFPFLARAAARLASPRLARAVHAQPLRRGLLPQDLHVSNSLVHMYAACALPGLARRLFDEIPRPNHVSWNALLDGYAKCRDLPAARRVFARMPQRDVVSWSAMIDGCVKCGEHREALAVFEMMEATAARHDGVRANDVTMVSVLGACAHLGDLVRGRKMHRYLEEHGFPLNIRLATSLVDMYAKCGAIVEALEVFHAVPVESTDVLIWNAVIGGLAVHGMSRESLQMFQKMEHAGVVPDEITYLCLLSACVHGGLVDEAWRFFRSLEAQRLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMKPSVSVLGALLNACHIHGWVELGEAVGRQLVHLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVKKVPGFSEIDVGRGLCRFIAQDKTHPGSAEIYALLKLIAMDMKMKEDATVPDYTSNPKSDSFAFQFSSIRMLEGFRSRNIIWKIIASHQCR >ONIVA10G10670.4 pep chromosome:AWHD00000000:10:11648527:11650939:1 gene:ONIVA10G10670 transcript:ONIVA10G10670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPHSPPLPPYLLRHLDGRALSSPLLDPLIRAASASASAPHHAFSLFLLLLRSALRPSHLTFPFLARAAARLASPRLARAVHAQPLRRGLLPQDLHVSNSLVHMYAACALPGLARRLFDEIPRPNHVSWNALLDGYAKCRDLPAARRVFARMPQRDVVSWSAMIDGCVKCGEHREALAVFEMMEATAARHDGVRANDVTMVSVLGACAHLGDLVRGRKMHRYLEEHGFPLNIRLATSLVDMYAKCGAIVEALEVFHAVPVESTDVLIWNAVIGGLAVHGMSRESLQMFQKMEHAGVVPDEITYLCLLSACVHGGLVDEAWRFFRSLEAQRLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMKPSVSVLGALLNACHIHGWVELGEAVGRQLVHLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVKKVPGFSEIDVGRGLCRFIAQDKTHPGSAEIYALLKLIAMDMKMKEDATVPDYTYRSFLLLSN >ONIVA10G10660.1 pep chromosome:AWHD00000000:10:11642484:11647135:1 gene:ONIVA10G10660 transcript:ONIVA10G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSSSADPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKIRMNKVVRKNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPTEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQEPIYVLEFLQTVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRSKENPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFERTEAGAGAAADPFASAAAVADDDDLYS >ONIVA10G10650.1 pep chromosome:AWHD00000000:10:11627711:11629229:-1 gene:ONIVA10G10650 transcript:ONIVA10G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQPQDAVAANGNGGGKRPHAVVIPYPLQGHVIPAVHLALRLAARGFAVTFVNTESVHRQITSSGGGHGGGGDDIFAGAGGGAIRYELVSDGFPLGFDRSLNHDQYMEGVLHVLPAHVDELLRRVVGDGDDAAATCLVADTFFVWPATLARKLGVPWDPFPSA >ONIVA10G10640.1 pep chromosome:AWHD00000000:10:11616120:11618160:-1 gene:ONIVA10G10640 transcript:ONIVA10G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ISK4] MGEEVDATAAAAADGGSKPHAVVVTYPLQGHVNPAVHLALRLAARGFAVTFVSTESVHEQTARALGVADPSGYDVFAAARATAAKGGGGGETVAAAMDVRYEVVSDGLPVGFDRSLNHDDFMGSLLHAFGAHVEALLCRVVVDAAATFLVADTFFVWPATLSKKLGIPYVSFWTEPALIFNLYYHINLLTEHGHFRCNEPRKDTITYVPGVEAIEPGELMSYLQDTDTTTVVHRIIFRAFEEARGADYVVCNTVEELEPSTIAALRRERPFYAVGPILPAGFARSAVATSMWAESDCSRWLAAQPPRSVLYVSFGSYAHVTRRELHEIARGVLASGARFLWVMRPDIVSSDDPDPLPDGFAAAAAADGRGVVVPWCCQVEVLAHPAVAAFLTHCGWNSILESAWAGVPMLCFPLLTDQFTNRRLVVREWRAGVAVGDRGAVDAGEVRAKIEGVMRGEEGEVLREQVGKMRATLHAAVAPGGSSRRGFDELVDELKRRCGGGGGRH >ONIVA10G10630.1 pep chromosome:AWHD00000000:10:11606947:11615897:-1 gene:ONIVA10G10630 transcript:ONIVA10G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ISK1] MFARTHVIRPGPSPRRLIPRWLPPPNGTEAEATHLAAPRARDLRHLHGEKRASGSASSSSSTGRDGGGCPPGSVRADGSGAAATKERFSDSSASVRGARKDGLMQKACSVQLLRPSRSRRRESWPQLGGSVPPQSASVVHLEEEDKYSCIDHSTSYLHVQSVRDFPIEKLNAEVVLVRLDSELLCNPLGSCNLSLERTVSTIKYLHKAGAKVLLVTSWTPVLQSVYPVLKSTETFADYMSSLLQVKVVPKLASGAAIFVNDSFSLSHKMLASTVGITRFCHASLAGFHFEEELMQLRKITDTTRRPFIAIIGGSNFLGKAPALNLLASLCDGLFFVGKLSLQIMNGFGIPVPSCFVEKNSTKEVLQLIQTAHNRNIPIYYPTDTWCLNNKNNNHEKLEILDSAELLPGWTPADIGPSTLEKISSLIPLYKKVLWIGPTGFDLTEEFSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLVVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDVASVDKRNLYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNIEQNRWRMVRRMLVEAIADLLQPNGKSDVESVLLGMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVLARGAPMYRTMLRKVSCKITKDETQS >ONIVA10G10630.2 pep chromosome:AWHD00000000:10:11606947:11615897:-1 gene:ONIVA10G10630 transcript:ONIVA10G10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ISK1] MFARTHVIRPGPSPRRLIPRWLPPPNGTEAEATHLAAPRARDLRHLHGEKRASGSASSSSSTGRDGGGCPPGSVRADGSGAAATKERFSDSSASVRGARKDGLMQKACSVQLLRPSRSRRRESWPQLGGSVPPQSASVVHLEEEDKYSCIDHSTSYLHVQSVRDFPIEKLNAEVVLVRLDSELLCNPLGSCNLSLERTVSTIKYLHKAGAKIGGSNFLGKAPALNLNIPIYYPTDTWCLNNKNNNHEKLEILDSAELLPGWTPADIGPSTLEKISSLIPLYKKVLWIGPTGFDLTEEFSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLVVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDVASVDKRNLYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNIEQNRWRMVRRMLVEAIADLLQPNGKSDVESVLLGMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVLARGAPMYRTMLRKVSCKITKDETQS >ONIVA10G10630.3 pep chromosome:AWHD00000000:10:11606947:11615897:-1 gene:ONIVA10G10630 transcript:ONIVA10G10630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ISK1] MFARTHVIRPGPSPRRLIPRWLPPPNGTEAEATHLAAPRARDLRHLHGEKRASGSASSSSSTGRDGGGCPPGSVRADGSGAAATKERFSDSSASVRGARKDGLMQKACSVQLLRPSRSRRRESWPQLGGSVPPQSASVVHLEEEDKYSCIDHSTSYLHVQSVRDFPIEKLNAEVVLVRLDSELLCNPLGSCNLSLERTVSTIKYLHKAGAKVLLVTSWTPVLQSVYPVLKSTETFADYMSSLLQVKVVPVNGVPGLTSFNPERWMQNDIILFENLFNFKGENANCNDFSQKLASGAAIFVNDSFSLSHKMLASTVGITRFCHASLAGFHFEEELMQLRKITDTTRRPFIAIIGGSNFLGKAPALNLLASLCDGLFFVGKLSLQIMNGFGIPVPSCFVEKNSTKEVLQLIQTAHNRNIPIYYPTDTWCLNNKNNNHEKLEILDSAELLPGWTPADIGPSTLEKISSLIPLYKKVLWIGPTGFDLTEEFSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLVVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDVASVDKRNLYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNIEQNRWRMVRRMLVEAIADLLQPNGKSDVESVLLGMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVLARGAPMYRTMLRKVSCKITKDETQS >ONIVA10G10630.4 pep chromosome:AWHD00000000:10:11606947:11615897:-1 gene:ONIVA10G10630 transcript:ONIVA10G10630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ISK1] MFARTHVIRPGPSPRRLIPRWLPPPNGTEAEATHLAAPRARDLRHLHGEKRASGSASSSSSTGRDGGGCPPGSVRADGSGAAATKERFSDSSASVRGARKDGLMQKACSVQLLRPSRSRRRESWPQLGGSVPPQSASVVHLEEEDKYSCIDHSTSYLHVQSVRDFPIEKLNAEVVLVRLDSELLCNPLGSCNLSLERTVSTIKYLHKAGAKVLLVTSWTPVLQSVYPVLKSTETFAEKNSTKEVLQLIQTAHNRNIPIYYPTDTWCLNNKNNNHEKLEILDSAELLPGWTPADIGPSTLEKISSLIPLYKKVLWIGPTGFDLTEEFSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLVVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDVASVDKRNLYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNIEQNRWRMVRRMLVEAIADLLQPNGKSDVESVLLGMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVLARGAPMYRTMLRKVSCKITKDETQS >ONIVA10G10630.5 pep chromosome:AWHD00000000:10:11607088:11615897:-1 gene:ONIVA10G10630 transcript:ONIVA10G10630.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ISK1] MFARTHVIRPGPSPRRLIPRWLPPPNGTEAEATHLAAPRARDLRHLHGEKRASGSASSSSSTGRDGGGCPPGSVRADGSGAAATKERFSDSSASVRGARKDGLMQKACSVQLLRPSRSRRRESWPQLGGSVPPQSASVVHLEEEDKYSCIDHSTSYLHVQSVRDFPIEKLNAEVVLVRLDSELLCNPLGSCNLSLERTVSTIKYLHKAGAKVLLVTSWTPVLQSVYPVLKSTETFADYMSSLLQVKVVPVNGVPGLTSFNPERWMQNDIILFENLFNFKGENANCNDFSQKLASGAAIFVNDSFSLSHKMLASTVGITRFCHASLAGFHFEEELMQLRKITDTTRRPFIAIIGGSNFLGKAPALNLLASLCDGLFFVGKLSLQIMNGFGIPVPSCFVEKNSTKEVLQLIQTAHNRNIPIYYPTDTWCLNNKNNNHEKLEILDSAELLPGWTPADIGPSTLEKISSLIPLYKKVLWIGPTGFDLTEEFSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLVVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDVASVDKRNLYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNIEQNRWRMVRRMLVEAIADLLQPNGKVYLQSDVESVLLGMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVLARGAPMYRTMLRKV >ONIVA10G10620.1 pep chromosome:AWHD00000000:10:11595093:11597216:1 gene:ONIVA10G10620 transcript:ONIVA10G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRDKWRGESERAFFAMVSLPCLVVLLLAAAVGVEAAGGGGGGGVEFVYDGFGGAALALDGMATVTPGGLLLLTNDTDMNKGHAFHPDPVRFVGGGGGRGGGVVASFSTTFVFAIVSEFLDLSTSGFAFLVAPSRDLSAAMPQQYLGMFNASGNGDARNRIFAVEFDTVRNPEFADINNNHVGVDVNSLNSSAAATAGYYDDATAAFQNLSLISRQPMQVWVDYDAAAAEVTVAMAPARRPRPKKPLLSTAVNLSTVVADAAYVGFSSASSIVLCKHYVLSWSFRLGGGGAAPALDYAKLPKLPRIGPKPRSKALTVALPIVTTAIVLTAVAVGFLLLRQRLRYAELREDWEVEFGPHRFSFKDLYDATGGFKDKRLLGAGGFGRVYKGVLPRSRTEVAVKRVSHESRQGMREFIAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHGCDEKTILDWAQRIYIIKGVASGLLYMHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPEMVRSGKATTRSDVFAFGAFLLEVTCGRRPIEEEEEVAGAGAGDDDRFVLVDWVLGHWREGAITDAVDAKLGGEYDAAEAELVLRLGLTCLHPSPAARPSMRQVMQYLDGSAPLPELPPTYVTFNMLAAMDTHQNVFGAWSVRRSSAMSVATVSDIGLSGGR >ONIVA10G10610.1 pep chromosome:AWHD00000000:10:11586756:11594381:1 gene:ONIVA10G10610 transcript:ONIVA10G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDPSMHLKPHSIVSFLVLLLLFHAAAAGGDGDQFRYDGFAGAALDLDGMAVVEPDGKLMLTNVTSQMKGHAFHPAPLRFHHPPPANGTAAAARSFSTAFVFAIAADYVTVSGNGLAFFVAPSKNMSTASPSQFLGLFNSENNGNASNRVFAVELDTILNPEFRDINSNHVGVDVNGLVSVAAEPAGYYDDATGGAFKNLTLFSGAAMQVWVDYDGRAAVVNVTLAPVEVAKPRRPLISVAVDLSPVVNGTAYVGLSSSTGPFHTRHYVLGWSFAMDGPAPPLDYAKLPKMPVVSAKRRSKALDVVIPVAAPLLALAVVAGVSFLVWRRLRYAELREDWEVEFGPHRFAYKDLFVATAGFDGKRLLGVGGFGRVYRGVLPASGTEVAVKIVSHDAKQGMRQFVAEVVSIGRLRHRNVVPLLGYCRRRGELLLVYDYMPNGSLDRWLHDHGAPPLGWAQRLHAVRGVAAGLLYLHEDWEQVVVHRDVKASNVLLDGEMNARLGDFGLARLYDRGADPQTTRVVGTMGYLAPELAHTRRVTPATDVFAFGSFVLEVACGRRPIERGGAMTAAADEDGQLVLADWVLDRWHKGDIAAAADARLCGDYDAKEAALVLKLGLLCSHPVAAARPTMRQVVHFLDGDAPLPEPEPTYRSFTTLAMMQNADGFDSCAVSYPSTATSIDGASSVLSGGR >ONIVA10G10600.1 pep chromosome:AWHD00000000:10:11578936:11579202:-1 gene:ONIVA10G10600 transcript:ONIVA10G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIEMSSNSCSIIRSRWQQPWHMSPLGFDWRGSSSRVEIPPGTVGSAVLDLQYANMIITTERQLLETEAEERWSGTTRRRALQAML >ONIVA10G10590.1 pep chromosome:AWHD00000000:10:11577451:11578412:1 gene:ONIVA10G10590 transcript:ONIVA10G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSMPVLALFILLAAGVAAAAATHPGDAVAMRSLANTTGTAKTLQWGASSPDPCGGTWVGVTCNAEGHVTAINASRGGLTGHLVGAEPQHARVPLRPRPQLQRPPRRPPRSAAAARRPPRPRPQLQLLLRHHRRLLRRLPGARDFNLDDNEMSTLKPWIPSDVTSCPGLRSFSDVDVHFAGGFPNYFGNATLFPELESLSLARNLLWGEIAPEFGKNSKIRFLDVSQQGHDEDARPDDAHLQRNVFKGPLPDATSLANLRVFDASDNDLCGVAKFPAGVTVNVAGNPGVGTPCPS >ONIVA10G10580.1 pep chromosome:AWHD00000000:10:11575087:11576888:-1 gene:ONIVA10G10580 transcript:ONIVA10G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHEPSRPPPSPSTATIRSGGTQAERCVRGWESERTIDLRQQEDGMAKLQYDAQDDGLATVVAALRVYRTDSGVWKWREYYYYAAPSCARNR >ONIVA10G10570.1 pep chromosome:AWHD00000000:10:11545828:11548341:1 gene:ONIVA10G10570 transcript:ONIVA10G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKDKASGKDAGEKKDAAGGGEKAAAAAPGPIVLKVELHCAGCASKVKKAIKRAPGVETVVTDTAGNKVVVTGAADAAELKERIEARTKKAVQIVSAGAGPPPKKDKEEKKDKDKKGGGDDKKADKEKGGGGGDKKAEKEKGGGDKPKEEKKAKEPKEETVTLKIRLHCEGCIDRIKRRIYKIKGVKDVAVDAAKDLVKVTGTMDAAALPGYLKDKLSRQVEVVAPGKKDGAGGGDKKDGGGGDKKDKKEGGGGGGDKKDAGGEKTDKDKSAAASASVAPVPLADAGMFQMPPQYGFNPYHVHPGAAYAAYQPYPYNVHAPQMFSDENPNACSVM >ONIVA10G10560.1 pep chromosome:AWHD00000000:10:11542506:11542886:-1 gene:ONIVA10G10560 transcript:ONIVA10G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGALMMVTFFFDLTWRSNSKHCTSNFPVTITRLAGMSTVTLSTPGTATAGEDSDVEAPLPLPPVPPATAAFSAAASSSFSFTEETDGGGHRRPAAAHVVSDQ >ONIVA10G10550.1 pep chromosome:AWHD00000000:10:11541416:11542899:1 gene:ONIVA10G10550 transcript:ONIVA10G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARFYNDLPVNGRDYDETVHTTCAAAGRRWPPPSVSSVKEKLDDAAAENAAVAGGTGGSGNGASTSESSPAVAVLQIDMDCWGHSKMVRKLVMDYPGVDKVTVDIPARRVMVTGKFDVQCLELLLQVRSKKKVTIISAPALAIAGR >ONIVA10G10540.1 pep chromosome:AWHD00000000:10:11539682:11540279:1 gene:ONIVA10G10540 transcript:ONIVA10G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATVILEMEVHCNGCARKIEKTIKKISGVALATASLGSPGTVVVHGTADAAAIQARLKAKIKRDVAIVSITAGAVEPPQQAPPAAAPPQQAAPPAAPPHQYGGDYRQHGSGNSFRYPPSYFSDENPSGCSIQ >ONIVA10G10530.1 pep chromosome:AWHD00000000:10:11531703:11536410:-1 gene:ONIVA10G10530 transcript:ONIVA10G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAAHSASTSGGGGGSEGSPTGRAAPGMQGGGSAATPAASASASTPASETTVARRLDGLDIQGDDAPSSQPATSKKKKRGPGTRATGPDKGGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPNNNFASPDPDNPNTPQFDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSMSDVEELKTEIIGLKGRIDKKNAYLQELEDQFVGLQNLAQRNEQLYGSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSFVLKALGFSGKEPDDTQARVGNGGECSTTPIYHQSPQVARPNGVRLPTSPPIPGILKGRVKHEH >ONIVA10G10520.1 pep chromosome:AWHD00000000:10:11525545:11529573:-1 gene:ONIVA10G10520 transcript:ONIVA10G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLLLLLALAVSVLVAVVISKLVSYATKPRLNLPPGPWTLPVIGSIHHLVGSHPIHRSMRALAEKHGRDLMQVWLGELPAVVVSSPEAARDVLRSQDLAFADRYVSTTIAAIYLGGRDLAFAPYGERWRQLRKLCTQRLLTAARVRSFRCVREEEVARLVRDLAASAAAGEAVDLTARVAELVNDVVVRCCIGGRRSRYRDEFLDALRTALDQTTWLTVADVFPSSKLARMLGTAPRKALASRKKMERILEQIIQERKQIKERSTGAGAGADDEAAAAGNECFLDVLLRLQKEGDTPIPITNETMMLLLHNMFSAGSETSSTTLNWTMAELIKSPRVMAKVHDEVRQAFQGKNTITDDDVAKLSYLKMVTKESLRMHCPVPLLGPRRCRETCKVMGYDVPKGTIVFVNAWAICRDSKYWKSAEEFKPERFENISIDYNGNNFEFLPFGSGRRICPGITLGMANVEFPLASLLYHFDWKLPNQMEPEEIDMREAPGLVGPKRTSLYLHHVTRVAPSSV >ONIVA10G10510.1 pep chromosome:AWHD00000000:10:11508579:11518671:-1 gene:ONIVA10G10510 transcript:ONIVA10G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLPLALTVLSVSVLIAVVISKLVSYATKPRLNLPPGPWKLPVIGSLHHLVGSHAIHRSMRALAEKHGRHHLMQISLGEVFAVVVSSPEAAEEILRNQDVTFADRFLSTTIGVITFGGNDMAFAPYGERWRQLRKLCTLELLSAARVRSFRRIREEEVARLVRDLAASAAAGEAVNLSGRIAKLINDVVVRCCVGGRSEHRDEFLDALRTALDQTTWLTVADVFPSSKLARMLGTAPRKALASRKKIEHILEQIIQERKRIMDRSSHGGDGDGEAMNTSECFLDVLLRLQKDGNTPIPITNEVIVVLLFDMFSGGSETSSSTLIWTMAELIRKPKVMAKAHVEVRQAFQGKNTITEDDGVNELTYLKMVIKESLRMHCPVPLLGPRKCRETCKVMGYDIPKDTTVFVNAWAICRDPKYWDDAEEFQPERFENKSINYKGSNFEFLPFGSGRRMCAAMNLGIANVELPLASLLYHFDWKLPDGMMPEDVDMQDAPGILVGKRSSLIMCPVTSVAPSNPQLLLLALAVSVVSIVTISKLVYRATNKPRLNLPPGPWTLPVIGSLHHLVMRSPSIHRSMRALAEKHGPLMQVWLGEVPAVVVSSTEAAEEVLKNQDARFADRFITTTLGAITFGGGDLAFAPYGERWRHLKMLCTQQLLTAARVRSFRRIREEEVARLVRDLAASAGGGSEVAVNLSERVARLVNDIMVRCCVGGRSKHRDEFLGALCTALSQTSWLTVADLFPSSRLARMLGTAPRRALASRKKMELILEQIIQEREEMTTDRSGDGEAGPTNECFLDVLLRLQKEGDTPIPITMELIVMLLFDIVSGGTETSTIVLNWTMAELIRTPRVMAKAHAEVRQTFQAKSTITEDDDISGLTYLKMVIKESLRMHCPVPLLGPRRCRETCKVMGYDILKDTTVFVNAWAMCRSSIYWNDAEEFKPERFENKFIDYKGSNFEFVPFGSGRRMCAGMNLGMADVEFPLASLLYHFDWKLPDGMSPEDIDMQEAPGLFGGRRTSLILCPITRVAPSDLQVIV >ONIVA10G10500.1 pep chromosome:AWHD00000000:10:11499344:11507224:1 gene:ONIVA10G10500 transcript:ONIVA10G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEYEYLEKTVEPSAPSTNGSGEKDRAGSRRRSSGGGRDDEERGSKRSRSGEDRDRDRDRHRGGGGGREHRDRDDGKEKERSSRSRGKDGEKDRGKDGEKDRSKEAEKDRSRDRDRDSERDRRRERDSGRERRSSSRPERRRTEEEEMVRELQKERERSDRNRDYRDRDVRRRKDDGAEPEADPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALTGQVLLGQQVMVKPSEAEKNLVQSNVASGGIASGGARKLYVGNLHSNITEDQLRQVFEPFGQVELVQLPFARLEDAKAAQSLNGQLDIAGRVIKVSAVTEQAGLQVGGATTGDLDDDEGGGLALNASSRAMLMRKLDRSGTATSITGGIGIPGVNTSVELPSASVTGAALPTTSLIQPTIPAIGTVPGIQIPGTQSADIGSPTEFLLLKNMFDPAVETDPDFDLDIKDDVQDECSKFGAVNHIFVDKNTAGFVYLHFDSVAAATAAQRALHGRWFAGKMITATFMTAQQYKMKFPN >ONIVA10G10490.1 pep chromosome:AWHD00000000:10:11493102:11494348:1 gene:ONIVA10G10490 transcript:ONIVA10G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIKTDLRSRMSDKWLNDLTSCYIEKEILEGSILKNVLMKQISPPHHDFNPVSATGCTDYISFYLFLEDAVDEPVMGQVTFSLLDQDGKPVLSRTHTTRMFSFSLNSSFGFHKFIRREDMEQSKHLKDECPFSYHQGSTFRQGTTIELAQSLCKQGADVEFMVRSEIFTAHRLVLAARSPAELFGPLKEGTTTDAIQIDGMDAQMFEALLIFIYMDMLPKMDQEDEVAMAQHLLVASDTYGLQRLMLICEDRLCNHINTDSVAIMLVLAEKHHCIRLKEVCFEFLSSSTALVEFMESSDFLYFIRSCPTVLKDLIYNVAAHGK >ONIVA10G10480.1 pep chromosome:AWHD00000000:10:11490960:11491520:-1 gene:ONIVA10G10480 transcript:ONIVA10G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLASGAGDGPAPSSASTAVTTRASHVLKIDGYSSTLSANRYRSLSSFPFNAGGHTFRAMLSFIYTDTWPEIDQENEAGMAQHLLIAVERYGLDRLKLMCEDRLCNGIIDMGSVTTNLVLAENHYCHSLKKACLELLGSWTTLAEFMATDDFRYLTKSCPGILNDLICIVAARERERTIFFLGE >ONIVA10G10470.1 pep chromosome:AWHD00000000:10:11489382:11489618:1 gene:ONIVA10G10470 transcript:ONIVA10G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSMPPSPWPGGGGSGLPAAQRRRIRPPRLGPSAATRARELPLAACASRVRHVVVGVSSAATRQPPLPRPPPPPSV >ONIVA10G10460.1 pep chromosome:AWHD00000000:10:11478462:11479477:-1 gene:ONIVA10G10460 transcript:ONIVA10G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIRFFAVLAAALCVTSASAAAAAAAGGWVSGTATFYGGKDASGTMGGACGYGNLYTQGYGVYNAALSTALFNGGASCGQCYLIMCDASKTPEWCKAGTAVTITATNLCPPNWALANDDGGWCNPPRPHFDMAQPAWETIGIYRAGIVPVLYQQVKCWRQGGVRFTVSGFNYFELVLITNVAGSGSVQAMSVKGSKTGWIPLARNWGANWQCNSALVGQALSFRVTSTGGQTLQINSVVPEWWEFGTTFTSNQQFDY >ONIVA10G10450.1 pep chromosome:AWHD00000000:10:11475481:11477162:1 gene:ONIVA10G10450 transcript:ONIVA10G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMAENLLVLCTILAARMALAAADDWIPATATFYGGNDGSGTMGGACGYGNLYDQGYGLENAALSTALFNDGAACGQCYLIVCDTDKAGRWCKPRGAVTVTATNLCPPNWALPSDGGGWCNPPRRHFDMSQPAWERIGVYRAGIVPVLYRRVRCWRRGGVRFTVGGFDHFELVLVANVAGSGSVAAVSVRGAGTGWLQMSRNWGANWQSLAGLAGQPLSFGVTTTGGQYILFQDVAPAGWKFGQTFSTSKQFDY >ONIVA10G10440.1 pep chromosome:AWHD00000000:10:11471731:11472143:1 gene:ONIVA10G10440 transcript:ONIVA10G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCMAAFRAPTVMSSTACRCTYNRRSTTRSSFIFVEPEPEERPKVGAAAAAHGEAAEEKVVFPMTWTDDDESCPEGTVLVRRTTKRDVLRSNSSLCFGMKQPRVGVPLVSSA >ONIVA10G10430.1 pep chromosome:AWHD00000000:10:11467752:11469562:-1 gene:ONIVA10G10430 transcript:ONIVA10G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSVPSTSSADPSYVTSSRRTTAATPLSTMNAVAALTSITPRSSDRPTTSQSSNHRVVDINYHQDQQNRGGGDSSEHLRLGGGGHRGGRPMPGRDYGSGHRGGYGFHRHRRDGWRGHHGGHGPEHIISFLPPPPHYPPFPPPSFLTSPYFGAFHEPAIGYAPHSEHYGSMIGHAHPRFAFPLPQQKHNASHTVFGASTYGATGSTIDRRRAENNLCSDVYLKGWMNQQGWVPLTLVAGFPRVQALTTDYETVQRSVLSSTEAELQT >ONIVA10G10420.1 pep chromosome:AWHD00000000:10:11465723:11466124:1 gene:ONIVA10G10420 transcript:ONIVA10G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVSHVMALLSSALSGKKAAAEGDGDDDGGQCRCWRDGDGVGGGQAAAAGCCVCISGFRDGEEVRRLPCGHAFHRDCVDRWLALYCRRRTCPLCRLHVGGAVVAAAVAGLDELQLGDDLVIWFSSLFVAGF >ONIVA10G10410.1 pep chromosome:AWHD00000000:10:11461350:11464632:1 gene:ONIVA10G10410 transcript:ONIVA10G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTAASLLRHSGIGGGGGGSGGGIPQALADALVCPLSKKPLRYCEDSGSLVSDAVGVSFPIVDGIPYLVPKDGKLLDHDQDKSEDCGY >ONIVA10G10410.2 pep chromosome:AWHD00000000:10:11461350:11464635:1 gene:ONIVA10G10410 transcript:ONIVA10G10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTAASLLRHSGIGGGGGGSGGGIPQALADALVCPLSKKPLRYCEDSGSLVSDAVGVSFPIVDGIPYLVPKDGKLLDHDQDKSEDCGAKDSSHRH >ONIVA10G10400.1 pep chromosome:AWHD00000000:10:11458285:11460679:-1 gene:ONIVA10G10400 transcript:ONIVA10G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSSLVVAMAVVILHRWCCAAAPAAAAANRTRTPALFVFGDSIVDAGNNNAITTLIRCNFAPYGKDFPGHNATGRFSNGKVPGDILATQMGIKQYLPAYLGAELSDFDLLTGVTFASGGCGFDPLTAELVSVLTMDNQLDLFKEYKEKLRRVAGDARAGEIVSESLYMVVTGTDDLANTYFTTPFRRDYDLDSYIDFVVRCASGFVRKLLGMGARRVNVAGAPPIGCVPSQRTNAGGLDRDCVALYNQAAVVYNARLEKEIERLNVTAAPPGTVLKYIDLYTPLLDMIQRPAAYGFEVTNRGCCGTGVFEVTLTCNRYTADVCRDVDKFLFWDTYHLTERGYNILLSQIITKYGF >ONIVA10G10390.1 pep chromosome:AWHD00000000:10:11456143:11458439:1 gene:ONIVA10G10390 transcript:ONIVA10G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT4G35930) TAIR;Acc:AT4G35930] MGTGSVTVKQKKRVKHTKNKYLKPGALAQIRYSRSTSRDIGKKRILLNVDKDELPQQEVAFENTEPMMSPKRLNFEPFSGTKGQIKIICCLHHDQLNIVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLQHTTPLPTEHWPFMRIDGKDVRISTPRTPKAPKHAPRLARLELLDFKPITAVLFPDTFPSKRLRRSMPPGLPRPVSKAASSTRVLLYEEELCEAVAQNKLL >ONIVA10G10380.1 pep chromosome:AWHD00000000:10:11441322:11442086:-1 gene:ONIVA10G10380 transcript:ONIVA10G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLGEDGRESSDGCRSRANKLAGAAFSLSMRCRTVVTSSPPATHACSRVAAVDALFHGVVGRCRRRRVAPRACAAPIGLERRGVVRAWTTTRSRRVTRGARPKELQFCRPPPGKLRLRCRPHRSAFVSATRHPGSSASASATRCPGSSAFASAARRSGRLRRRCRGPRATHHRGRVPPHRPCAPTAGRPRAPLPGELCLRLCCWPPRDLRLRLCRPPPSSVPPWPVLVRRVRRERDWDRDRRGADRWGPPIF >ONIVA10G10370.1 pep chromosome:AWHD00000000:10:11439033:11440785:-1 gene:ONIVA10G10370 transcript:ONIVA10G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSNPTPLLLPPPPPQEPAPLSPPPPPPLPTPKPIPTVADNFRSLLRSGEALLRFAFRGNSGQLTHRHPPPPRPPPQQQHPHHHNRPAEIMKRLQREKFADMIKHMDGHEQIDRLVALYTSSAKGLHLPELPVRVKVALDAAGALLLVDGDELEQARDRIAKARNTTGLGSRFVFESSTRGGKDTVAAELATGLGAAAAAAAGGRPLELTRLQYCAHVSDLLSMTLVPFGAQCNNFLHGSSLIQSIQSRALSGGPPSYSERHDCGAGVSIKGSRFRASIAELIFGSPGEHGGGGGGGNGDHEVPNRLTTFGKVSYETADDIKLSLSGLWQVRSPASRFSDLGALAVPLGSLKTWRAIAPPPSPPPTTHPPPSPDLMVQVPAPPAPPTPMMGIGSTVAVQGSVAAAAAAATAPSSHTVAVMVDCDMYDTLRAEGWVEMETAAAATPARRRGPVARWGVCVSDCPEHELGWGVRIGGTAERNAHRPHVEGFLSFDLGKGGRVQPGLVIAMDGDKRTPALVLRSSWLM >ONIVA10G10360.1 pep chromosome:AWHD00000000:10:11436342:11437713:1 gene:ONIVA10G10360 transcript:ONIVA10G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAAEDRKGKRPLPPEEADEAAAAPPPAAAEEEGEKLLLVSDDGVEVLASVAAARVSKTLRGMIEDECATGAIPIAGVHSDVLALLVEYCERHAPHYDPEASDRDRYPFPPFPVELPPTASSIKPVTFVDPDADPHGLKAFDKKFLDVDNSTLFEIIMAANYLNIEELLDDACTAVADKMRGKKPEEIRDIFEIENDYTPEQEAEVRRENAWAFED >ONIVA10G10350.1 pep chromosome:AWHD00000000:10:11430937:11433651:-1 gene:ONIVA10G10350 transcript:ONIVA10G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVLLNIGGSRYETTADTLTQRDPGSLLAAALSGAAAHGLPTTEDGAVFVDRDGELFRHVLNWLRDGAVPALADAEYRQLLREAEYYRLPVYEQCLLPPWLCGLIDCISERIEDWDDKIGRSSEAELTRKDVIKCIQADKVRFRGVNLSGLDLSKLDLSEVDFSCGCIEETKFSLANLHKAKFGEVQASRSSFNYANLRECEFVGANLQESTLDRANLQSANLQDLRSAHLQSADLTGANLTGANLEGANLKGAKLSGSNLQGANLQRAYLREVDLRETQLTGAKLGGANLLGAIR >ONIVA10G10340.1 pep chromosome:AWHD00000000:10:11429426:11430560:1 gene:ONIVA10G10340 transcript:ONIVA10G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAAAAAASGSRSRVLSEIDPHSEWIHGDEFDTLVLDVTGFSKDHLKVQVEASGSLRISGERAVNGGGRHWLHFLKRFDLPPGAADDASAIKVQLDKGVLYVQVPGPGAPAAGGGSDGEQQQQQEGEIAGGRRRRAAARRGGHPAWQLAGALSRHRQVVLNVVIAVVLLWLVTFANKPTKNE >ONIVA10G10330.1 pep chromosome:AWHD00000000:10:11424629:11425342:-1 gene:ONIVA10G10330 transcript:ONIVA10G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFHLVAAWLFPCAVCATTTMTTPAAADDGEGGRAAEPPPIGDRLQLGTSSSRQQRSRSASPPCFGARTRRQTAAATNGEAVVANDEPTTAEHHPRKSGRRPAPARNAIATDAPAVSSASYSSPTSSPPSSLRDRLRLGLTASPPRRAHDGSGMSRSPEAEKGGAVGGYLRRISKRLMTTRGATAAADAAAPSATMIPRSSPLVGEGRRKGGSAIATEDEIRAFVIANGSRAIPLV >ONIVA10G10320.1 pep chromosome:AWHD00000000:10:11413889:11423993:1 gene:ONIVA10G10320 transcript:ONIVA10G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0ISG6] MYLDRHPDQSEVVATQDYEAQLSQISEMGQSVAEGTSDDPSASASVDLINIILVAAECAPWSKTGGLGDVAGALPKALARRGHRVMVVVPMYKNYAEPQQLGEPRRYQVAGQDMEVIYYHAYIDGVDFVFIDNPIFHHVENDIYGGDRTDILKRMVLLCKAAIEVPWYVPCGGYCYGDGNLVFLANDWHTALLPVYLKAYYHDNGFMIYARSVLVIHNIAHQGRGPLDDFSYLDLPVDYMDLFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTAEGGWGLHGIINESDWKFQGIVNGIDTTDWNPRCDIHLKSDGYTNYSLETVQAGKQQCKAALQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSIRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEESGLGWTFEKAEANRLIDALGHCLNTYRNYRTSWEGLQKRGMMQDLSWDNAAKLYEEVLLAAKYQW >ONIVA10G10320.2 pep chromosome:AWHD00000000:10:11413747:11424234:1 gene:ONIVA10G10320 transcript:ONIVA10G10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0ISG6] MAAAAVSSLLAPSGSCYSPGCHSCWGPGPGGGRRLPSPRRRPITAAARPTWAVPRRSRLEWGRVEAQNSGARTSCRAALQWLSSTARSHVNVGYGSPLVFPGLTKPGSSRCLCVVGMVGNAGNQVGDDSDDGIKVTNEKLRAVIRKSKEVLEIHRNLLEKISASERKKITSIIEDSSIYNEQDPFGQRDSSFYHLDEVPDDDEFSYDLQMYLDRHPDQSEVVATQDYEAQLSQISEMGQSVAEGTSDDPSASASVDLINIILVAAECAPWSKTGKIIIATYLLIFSVSMKGHCGLGDVAGALPKALARRGHRVMVVVPMYKNYAEPQQLGEPRRYQVAGQDMEVIYYHAYIDGVDFVFIDNPIFHHVENDIYGGDRTDILKRMVLLCKAAIEVPWYVPCGGYCYGDGNLVFLANDWHTALLPVYLKAYYHDNGFMIYARSVLVIHNIAHQGRGPLDDFSYLDLPVDYMDLFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTAEGGWGLHGIINESDWKFQGIVNGIDTTDWNPRCDIHLKSDGYTNYSLETVQAGKQQCKAALQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSIRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEESGLGWTFEKAEANRLIDALGHCLNTYRNYRTSWEGLQKRGMMQDLSWDNAAKLYEEVLLAAKYQW >ONIVA10G10320.3 pep chromosome:AWHD00000000:10:11413747:11424234:1 gene:ONIVA10G10320 transcript:ONIVA10G10320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0ISG6] MAAAAVSSLLAPSGSCYSPGCHSCWGPGPGGGRRLPSPRRRPITAAARPTWAVPRRSRLEWGRVEAQNSGARTSCRAALQWLSSTARSHVNVGYGSPLVFPGLTKPGSSRCLCVVGMVGNAGNQVGDDSDDGIKVTNEKLRAVIRKSKEVLEIHRNLLEKISASERKKITSIIEDSSIYNEQDPFGQRDSSFYHLDEVPDDDEFSYDLQMYLDRHPDQSEVVATQDYEAQLSQISEMGQSVAEGTSDDPSASASVDLINIILVAAECGLGDVAGALPKALARRGHRVMVVVPMYKNYAEPQQLGEPRRYQVAGQDMEVIYYHAYIDGVDFVFIDNPIFHHVENDIYGGDRTDILKRMVLLCKAAIEVPWYVPCGGYCYGDGNLVFLANDWHTALLPVYLKAYYHDNGFMIYARSVLVIHNIAHQGRGPLDDFSYLDLPVDYMDLFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTAEGGWGLHGIINESDWKFQGIVNGIDTTDWNPRCDIHLKSDGYTNYSLETVQAGKQQCKAALQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSIRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEESGLGWTFEKAEANRLIDALGHCLNTYRNYRTSWEGLQKRGMMQDLSWDNAAKLYEEVLLAAKYQW >ONIVA10G10310.1 pep chromosome:AWHD00000000:10:11404237:11405219:1 gene:ONIVA10G10310 transcript:ONIVA10G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVAVDDSRGSHRALSWVLDHLFFPAAATGDGGEEEQVPRPAPELVLVHAIEPLHHVMFPVGPGSAVYGAASMMEAVRAAQAENALNLLVRARLICERRGVAAATVAVEGEPREALCRAAEDAGAGLLVVGSRGLGALKRAFLGSVSDYCAHRASCPIMVVKPPPDAGDEDDGGHCTSN >ONIVA10G10300.1 pep chromosome:AWHD00000000:10:11400109:11401984:1 gene:ONIVA10G10300 transcript:ONIVA10G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGIVLGSKLAVFSMILLWHRHGVDQPRNPWSDGPEFITQCPIRPGGNFTYQVILFEEEGTLWWHAHSDFDRATVHGAIVIHPKHGTTFPFNKPDKEIPIILGEWWNDDVEHVLDEAKRTGGDQGNTYLLRVINTGLTNDMFFAIAGHCLTVVSIDARYTKPLTVDYIMIAPGHYIMIAPGQTMDVLLEANFTLGSNSRYYMATRAFITLPVDTIPFNNSTVTAIPTARPPGPPEFPLLLLAIKDEDAAMAFVTQLRSLGNQDHPVHVPTQVDERMLIDIDVNFLPCDTTNATNKSCKGPQGNRFAASLNNVSFESPAIDVLDAYYYGSGRGPVNAFVNPTGDNGGGPLLTKHGTKVKVVEYGTVVEVVFQDLSSKNHPMHLHGFAFYVVGRGSGTFDERRDPATYNLVNPPFQNTVSVPKSSWAAIRFRADNPGVWFMHCHFDRHVVWGMDTVFIVKDGKTPQAQMLPRPPNMPEC >ONIVA10G10290.1 pep chromosome:AWHD00000000:10:11389549:11390206:-1 gene:ONIVA10G10290 transcript:ONIVA10G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIVSGLLITASAVARPHSSHSLPHGSCATRVPQLQRDRLLYIKQASSQISIVDEEDSEPEWAEYKIKEKHVHSR >ONIVA10G10280.1 pep chromosome:AWHD00000000:10:11378335:11380143:1 gene:ONIVA10G10280 transcript:ONIVA10G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMELPYQYGTARALDGRWMLKTEDGDELYLDLDEEVMMTLNKASLIRFVCPFHVDLLIHDERAISFDWCDSDMRLVLLVNVTVTCLFC >ONIVA10G10270.1 pep chromosome:AWHD00000000:10:11373540:11375092:-1 gene:ONIVA10G10270 transcript:ONIVA10G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVLPLVSKLQEVAQSEGRALVGVGGEINRLRDKLMWLMAFLQEADPQRRAADAGGELMRVLVHQTRDAAFSAEDALDDYAVRVDLSRYPGWSRAAVGFLAGITTQLRVRHRLSSDIAAIHARLEEIVGNKDKYRLEGSAPSSLLTWTASAASSNTP >ONIVA10G10260.1 pep chromosome:AWHD00000000:10:11364475:11369845:1 gene:ONIVA10G10260 transcript:ONIVA10G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIPASFPTFTPIRSLKCSLTDGFEQTLFTRIVLPTPLSPTTYITARRPAELRSIASSSCCWSSRPIIGGLDVPLHFQQDDNLQVTVTLGVGCGGGGARWDDYD >ONIVA10G10250.1 pep chromosome:AWHD00000000:10:11363070:11366082:-1 gene:ONIVA10G10250 transcript:ONIVA10G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGTSKPPIIGRDDQQQELEAMLLSSAGRLAVIYVVGDSGVGKTILVNSVCSKPSVREHFKERIGVKVGKDAGISNILSLMSKELKKENNESDNENPRSKIQRRLGEECYLIIIDGRQMSIADWNAVIHALPKNERGSRVVLITKIKPQFLDHPMNDVHEIKLTCLNQTDCRKLFHMRLHGKEEDEQNQTYLPIYYQRVYDITGGSPLAVILLAGLMHNKEYPHEWDRVLKYLESAKEKRLNRILSLSFEDLHHELKLCFLYFTAFPVSYKVYQNVLVNLWVSEGFVVPRHGKTVQQLGQLYLRQLTTRGLVTEASADGDYDIRHFFLHDSVYLFARSEAHEANFMELHDGDYFPSPDRARRLTLHNSMDRYAALDNKMPKLRSIFAIFEEIPASTAEESVSSPSCFPTCCSCEQHRSPKISRFDLTKLLKRSKFLRVIMIEGLNIGTELPEAIGGMVHLRYLSTRCRSLRRIHPSIGNLKNLQTIDVRQSLVHELPCSFWKITSLRHVFGSELIVPRWTRELKQLNTLKSVRALQDWDGSMLRRMVNLKLLDVTIQEKLKEEKARKLSDNLNNLNNLTTLILKGVDLPISSIFTAPSLQFLKTIELTGTILLTTPSPEIDKMTTSPSDFQLPNLSKLSLSKTCLQQGFIGKLGKLPLLSNLTLKDVSCDGEELVFRPDGFHCLKKLEVNDTSKRVVIEEHALPVLVSLHIIGSSRNYQHSIHPTHKIINKIRHEDINLFQRICTCHQKEITKG >ONIVA10G10240.1 pep chromosome:AWHD00000000:10:11358054:11359045:1 gene:ONIVA10G10240 transcript:ONIVA10G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSFSSFCAGGTIMGVRGRVPLPEREREMAAGDSVCCVGSFEGWLVGVKANKGRYFGDRRRFLMNSFSRDVIRLPLPSGASRSADAYTRSLPIINGSGVLHCTINAAKCVMLFWKVVLSSSPDSGSKCVVAATSMVKDAVKLALWRPGMKSWSVCYGNLHMLSFGKFTTNLLVFEICEDDNGLMVSRVESCVIKLPGVMGSANETWSIVEWRGKLLIVVTYFGEFGHNIIEIRVYEVDCSTNPATFTEMKSLDGDCNFISQLSSKSFRLSHYDVVKDDLIYFMHGRSFDKSVYNMKDGTMTPITADMSEDKI >ONIVA10G10230.1 pep chromosome:AWHD00000000:10:11349747:11350145:-1 gene:ONIVA10G10230 transcript:ONIVA10G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERGERRGGGIGCLSCCFGGGDGDGEGEELGQRAARALRTSSRWVRDRAVELPEMVALAGGRRRKPHLQHQQQQQLAGEFRYDPVSYALNFEEDGDGEAQPFKYMAFSARLPASPPPPPPPTALPVDRGS >ONIVA10G10220.1 pep chromosome:AWHD00000000:10:11348274:11348549:-1 gene:ONIVA10G10220 transcript:ONIVA10G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAAAAVMGMRMAATKECDVVAASLAEFHALPAGVRGLVESGATAVPPSCHRSSSRQATAVRARRHLHCCDRRPSTAAAAAVGWGWWSR >ONIVA10G10210.1 pep chromosome:AWHD00000000:10:11334111:11340894:1 gene:ONIVA10G10210 transcript:ONIVA10G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHGHLPHEMTMQALGADDAAVAAAAAAGGGGEDAVAAAMGGGGEADAARCKAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQGVVAKYSALAAAAAGDDGRELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQNLQSLTGASPGEGTGATMSDGEDDQADSEANMYDPSLDGADNMGFGLPTESERSLMERVRQELKHELKQGYKEKLIDIREEILRKRRAGKLPGDTTSTLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTSVKTKRKSNAGDNNS >ONIVA10G10210.2 pep chromosome:AWHD00000000:10:11334429:11340894:1 gene:ONIVA10G10210 transcript:ONIVA10G10210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHGHLPHEMTMQALGADDAAVAAAAAAGGTTSDSSASPSGAAAAAAAAAAAGVQQWMGGGGGGEDAVAAAMGGGGEADAARCKAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQGVVAKYSALAAAAAGDDGRELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQNLQSLTGASPGEGTGATMSDGEDDQADSEANMYDPSLDGADNMGFGLPTESERSLMERVRQELKHELKQGYKEKLIDIREEILRKRRAGKLPGDTTSTLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTSVKTKRKSNAGDNNS >ONIVA10G10210.3 pep chromosome:AWHD00000000:10:11334429:11340894:1 gene:ONIVA10G10210 transcript:ONIVA10G10210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHGHLPHEMTMQALGADDAAVAAAAAAGGVGAGGAPAWMRYNDGSFLHLQTTSDSSASPSGAAAAAAAAAAAGVQQWMGGGGGGEDAVAAAMGGGGEADAARCKAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQGVVAKYSALAAAAAGDDGRELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQNLQSLTGASPGEGTGATMSDGEDDQADSEANMYDPSLDGADNMGFGLPTESERSLMERVRQELKHELKQGYKEKLIDIREEILRKRRAGKLPGDTTSTLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTSVKTKRKSNAGDNNS >ONIVA10G10200.1 pep chromosome:AWHD00000000:10:11330466:11330735:-1 gene:ONIVA10G10200 transcript:ONIVA10G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGVIPASGPDATRVARSAPGPHLRGPHGVENEAVGRGQQQPMGAGCVGQSRPAADGQVDAGGTNSPQGHSLISGTHSDPGPRVSD >ONIVA10G10180.1 pep chromosome:AWHD00000000:10:11303927:11305114:1 gene:ONIVA10G10180 transcript:ONIVA10G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G35100) TAIR;Acc:AT5G35100] MRLASDECGRLSVKGGVIEYRVKEEEISRLVLVLLRSLRRRQDERRAGRRSPSGKQSSGGEEGILKDKN >ONIVA10G10180.2 pep chromosome:AWHD00000000:10:11301756:11309581:1 gene:ONIVA10G10180 transcript:ONIVA10G10180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G35100) TAIR;Acc:AT5G35100] MVLPSSNTRATSSMAYPVSLSSLHHHPNNHHAFFLPSKTNHDNTHKPIESSRISRRSLIFLPVLPSLLYASSSPALDDANIPSTSAIDTTITDRIFMDFSVCPSYFRSDRTLGAELATCPDSEPLGRVIFGLYGRLLPLTTANFKAACTSAAYRGTLVHKLLQGQFFVAGRQGPRRDRGEVQPPTGLVRNAETIDPKAFELKHARPGTLSLCLGQNDDDDDIKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMNVITSIATIPTYKPAERIRFFNDFAQLIGDERAQTARALWDRPLKTVYISDCGELKVTKPSLSPPSLP >ONIVA10G10180.3 pep chromosome:AWHD00000000:10:11303658:11309581:1 gene:ONIVA10G10180 transcript:ONIVA10G10180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G35100) TAIR;Acc:AT5G35100] MESWRRTGGARPRRRAATARGAARRAGDGVEPAGRARGRGGERRRREAQLGGPATASSRLGGDAFAARHAGSGEAEGGGHTKRNLPSRSRSPPLLAPPPRRAEGRAAISIWQAEQRRGGGDPGMNVITSIATIPTYKPAERIRFFNDFAQLIGDERAQTARALWDRPLKTVYISDCGELKVTKPSLSPPSLP >ONIVA10G10180.4 pep chromosome:AWHD00000000:10:11304219:11309581:1 gene:ONIVA10G10180 transcript:ONIVA10G10180.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G35100) TAIR;Acc:AT5G35100] MVLPSSNTRATSSMAYPVSLSSLHHHPNNHHAFFLPSKTNHDNTHKPIESSRISRRSLIFLPVLPSLLYASSSPALDDANIPSTSAIDTTITDRIFMDFSVCPSYFRSDRTLGAELATCPDSEPLGRVIFGLYGRLLPLTTANFKAACTSAAYRGTLVHKLLQGQFFVAGRQGPRRDRGEVQPPTGLVRNAETIDPKAFELKHARPGTLSLCLGQNDDDDDIKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMNVITSIATIPTYKPAERIRFFNDFAQLIGDERAQTARALWDRPLKTVYISDCGELKVTKPSLSPPSLP >ONIVA10G10170.1 pep chromosome:AWHD00000000:10:11278560:11287207:1 gene:ONIVA10G10170 transcript:ONIVA10G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGELKLMASYVSKLKFILQKDQQQEPHTANLLVLLGSSNQDKRNGLSQGENRMDTCTRKKPRRYQLLTMQQKETLNRAFQSCPNPDRNDLKKLAKELNMTETQIKYWFQNCRTKMKKFKNNEERKLLQKENEELKKENAELRNRMKNSTCRACDLPLFHIDCRHWENPMLNKGNHGVTSNLIPQAVSSLLPSSSGFVASGSNLSSNAVLMPVSAMPSSVLQPAPAVSGANFPILHNLSANANDGYTEKNVLDLANRAMEEFFSLMKENESLLVKKKENGPLWLPHMDILGVESLNYQEYLAKSRTIGQKPVDFKVVVTRDTAIVNGSCVDLVKSLLDANRWRELFPGIVASANTTKIISTGPSNLHDGLLQLMRAELQVMSPEVPVCDVTFLRQSVQFGSGLWCVVDVSIDTILPGESKTAQSSVQTSSTAARRMEVRLLPSGCVIEEMENGYSKVLCFLIDSITEVVMRRKVLYLVKQMTSSFTGLFASMSKATLQDGDDTHFAHQIVGGATGEPAGLLLSATTTIWLPGVNPRRVYDHLRDEQCHGEWRCLLGEQLHQGNALPYGAPLNGETVPEFYRMVNGLHEGHAISLISPREMGGNISNTLLLQEARTDLSGSLIVYARTDVNTVHSIMNSGLNPATVFLVSSGCAILPDCLESFPLHPAATADQAGTSSAAIASRSETGGSFVTVTYQMFFSSQGGAAPASSSIHQGRDALKKATDIFKVVLDTITVA >ONIVA10G10160.1 pep chromosome:AWHD00000000:10:11275876:11276061:-1 gene:ONIVA10G10160 transcript:ONIVA10G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGAHIIAVQPIRRRHVLQGSLVTDGHFAASQDVARVSVATPRPRLASSLSIGRRRASA >ONIVA10G10150.1 pep chromosome:AWHD00000000:10:11275458:11276780:1 gene:ONIVA10G10150 transcript:ONIVA10G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIEAVIATKVVVAPLLGGGRGGRRRCLRGRRGRARQLQQQRSSAATASMGARPGGDGGGWQRGEKGRLAVVGRKQHEEKAAPGDGFACNANGFEENDRHSGGRAMWRASIGWTAAARARVSSSTQWRGGARRAASRGRSSAADGERGCKAWTRSSDAHAGDVLGGGEVTIGHKASLEHVSSADGLDGDNVSPECRHRYKKEAYKDLVNWEFADEERRTSSSRECQGMASVHGGERNAAWHGSTRRGARNEEEQRGTTRWLGGLVRQPCPTRHFAEHVAGGVSACWRRQGRRGSRLGCKIEGELERE >ONIVA10G10150.2 pep chromosome:AWHD00000000:10:11275458:11276912:1 gene:ONIVA10G10150 transcript:ONIVA10G10150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIEAVIATKVVVAPLLGGGRGGRRRCLRGRRGRARQLQQQRSSAATASMGARPGGDGGGWQRGEKGRLAVVGRKQHEEKAAPGDGFACNANGFEENDRHSGGRAMWRASIGWTAAARARVSSSTQWRGGARRAASRGRSSAADGERGCKAWTRSSDAHAGDVLGGGEVTIGHKASLEHVSSADGLDGDNVSPECRHRYKKEAYKDLVNWEFADEERRTSSSRECQGMASVHGGVRATHGEGVPATGAAHGRGLVARCRSAMRRGTARRDAAHAMRRSSAARRGGSGAWCASLARLGILPSTWRAACQPAGGGKEGGGVDLAAR >ONIVA10G10140.1 pep chromosome:AWHD00000000:10:11264533:11266048:-1 gene:ONIVA10G10140 transcript:ONIVA10G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGLRTLGFGIFGATEDADGSWFSIYVQNIHKGIHGSDTGAFDSEGRFVSEKFNEIFTRHAKTVPDGLTSDELDEMLHAYADHTEHKDSSGWLQAATEWRATFEAAKDKDDILRKDTVRAVYDGSFFSKLAKENDD >ONIVA10G10130.1 pep chromosome:AWHD00000000:10:11262180:11262778:-1 gene:ONIVA10G10130 transcript:ONIVA10G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRRRLSPVVVVAAFLFFPLFFGSQPAAAYGKASGAGGMTALQKHAAFFDKDNDGFVSPTETYDGLRALGLGAGFSSLSAALINGVLSLKTRPVPH >ONIVA10G10110.1 pep chromosome:AWHD00000000:10:11252233:11252541:1 gene:ONIVA10G10110 transcript:ONIVA10G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLATTISLQITETNHTRLCHKKSILTVNGRQFPGPTIYARKGDLVIVNVHNNGNKNITIHW >ONIVA10G10100.1 pep chromosome:AWHD00000000:10:11241587:11248060:-1 gene:ONIVA10G10100 transcript:ONIVA10G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADDAPPPWRPSKPAAAIDGSSGPLAAAAARLSGRSRALPSSRDFHFYNNFPSFRSPVGAAAARAGASLAALGAAPFYPKRHPPFPGDDLDDAQDWVVGVIDDLAEQFGASMDEFKAAREEEEATGRRATEEDGFQVVYGKKKKKVMGGGGGEEGVGRGGEAFGGGSGSVKMATRDKSAAPGAKAKVPFHIPTIPRPQDVHRILVDNSSKPFEHSWLEKSDDGSRVVHPLEKIPMEQLVDRDFPESEPIKPPALDDTPFTHVEDLKSLEVLATKLKSANEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRKYLGDYLREIFKDPTKKKVMHGADRDIIWLQRDFGIYVCNLFDTGQASRILQMDRNSLEHLLHHFCGVTANKEYQSADWRLRPLPDEMIKYAREDTHYLLYIYDLMRLRLVKESSDENDLLLEVYKRSKEICLQLYEKELLTHSSYLYIHGLKENEFDARQLSVLANIYKWRDSVARGEDESTGYILPNKTLLEIAKQMPATTGRLKRIVKSKNLYLERHLGHVISTIRSAIANCDAFESIAEQLKKGRLEELAVANMKSNDGDTEMVPADDGNNDDDNVGPSDEHGAVASVENVGAASHCTGNVTSGASSVNVQLENPAETKSLGILSGVSGQDMEVLSNGDRKQVAKATVQVSKRPTAFGALLGKPTSGRRQNLFSGFSSGQNKVDKIKSSVALPFHNFCGGAKSPATSIPLEESVRPEPESIQYSDPACQTEDVIQLGTETDGPQPPENHNEDGQGHLVPDDMEMSRSPPEHSSAGAKQRFQSLIESRNQQQNHKPHQEPEFNHQLKPFDYAEARKNITFGERKAERIKDNAVARAINKDSGDKGRTSNQFGAGENEGNRQNPRGRQAFPPSGNRSATYH >ONIVA10G10090.1 pep chromosome:AWHD00000000:10:11233563:11239615:-1 gene:ONIVA10G10090 transcript:ONIVA10G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSWQDLQASGSAIATRLSLQDLQCLVRRKPACSAADRAESSAAGAPAGGGKGRHLAKALSVPDLIAIGVGSTIGAGIYVLVGTVAREHAGPALTLSFLIAGIAAALSALCYAELSCRFPLAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGHEKLPFFLTQIHVKWFETPLDPCAAILVLIVTALLCLGIKESSFVEGIITIANVIVMLFVICAGGYLAFQNGWSGYNDEQGYFPKGVAGVLSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSIVIVGLVPYYALDPNTPISSAFAKYGMQWAVYIISTGAVFALIASLIGAILPQPRIVMAMARDGLLPPLFSAVDPTTQVPTLSTILSGICAAILALFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPNEIATKVALPGSSESLTSDSGYSEPDEENSEDLLGNVQDIPTANEANKIRRQKAIACIILIFLGVVTIVSSVSFSFFPLFLRSIAGAFGSLLLVSATIALWFIGQDKSSLRQTGGFMCPFVPILPVCCILINVYLLMNLGIHTWIRVSMWLAVGAIIYVFYGRKYSSLTGVAYQRISPA >ONIVA10G10080.1 pep chromosome:AWHD00000000:10:11225023:11228721:1 gene:ONIVA10G10080 transcript:ONIVA10G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03690) TAIR;Acc:AT3G03690] MRSPVGRREVVVSGVFTALLVLSILSLPSLLLTGPAYKSWPFLAAARDDSTTGSGGGAGYYPVSFAYLISASTGDAARAARLLAALYHPANCYLLHLDREAPAEEHRRLAELVSGQPVYARAGNVWIVGRPNLVTYRGPTMLSTTLHAVAMLLRLGRRWDWFVNLSASDYPLVTQDDLMDVFSRLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEADRSELIRPANLTTNRRNLPTAFKLFTGSAWTMMSRQFAEYFTVGYDDNLPRTLLLYYTNFVSSPEFYFQTLACNSRRFRNTTVNHDLHFIRWDSPPKQHPLYLGPRDYRRMLLSAAPFARKFREDDPVLDRIDRDILRRDGAAPGRAFAYGGWCSEGGVRLCSNPQEAGRKGMIKAGAGSRRLRAMLNKMMNARNFRRQQCR >ONIVA10G10070.1 pep chromosome:AWHD00000000:10:11217905:11219692:1 gene:ONIVA10G10070 transcript:ONIVA10G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSATSAAAAPPAARVLIGASVNVAFLLLLAFFFFISPRGGSPPEVVSSSKEVGIRGRRDGGGGGGGGVFRVESYGERCEHVGEEDGLDRLRFPRGYVDYLYLFDCVFGEERRVLGYAVMAAWLAVLFYLLGDTAAVYFCSSLEGLSRLLRLSPAIAGVTLLSLGNSAPDALSTIASFASGGGEGETTAVGLNDVLGSAMLVSSAVLGVIGVRLGARGVAVDRVDFYRDASFLLAALAAVAVVLAAGEVTIWGALAFTSLYVVYVVVVAFTHGRAPSKGHGAEADHTADAFSELCNVAETKFYGDQEPLLPDTAPLLRYYPGDGDGDGGGGGSKKKIRSAFWSVLRALELPLWLPRRLTIPDASKERWSKPAAVTAVTMAPVLLSHLCSRATGITSPLAVLLGVLAGASLGAVAFFTTSPDAPPADHLAAWLAAGFVMSVAWAYAVATELLALLVSAAHVMGVDSAALGLTVLAWGNSLGDLVANLAVASRGGGGGGAQVAVAGCYGGPVFDVLVGLGVSMLLSSWASHPRPVAMPAEAGPFQTLGFAAAGICWAVVVMSRRGMRVDRTLGFGLLAIYLCFLCINISQALGPV >ONIVA10G10060.1 pep chromosome:AWHD00000000:10:11207848:11213892:1 gene:ONIVA10G10060 transcript:ONIVA10G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGNAHACTVCTDEYQSEFLILHSGVASGYSLQGGEPQQQRGEFGRLRFLPRHAGVARRRRRRGGRSPFRLVSPSRPPPLSKTEPMMDTLELASAIPEAGDEAFQIHRLKRSAYAAVLRAFYAQPDLLSGAKEGSLAKLRSEFRIFDTEHREYLMKAISGSQSLSVGLNKASICNIEVTKDSLDLVPMITDAQDTAFQIHCLERSAYASVLRAFFAQSELLSRSQAKLLTELRKKLRISDAELREVLMNVTSNEYIKSLRNCSLANNSGLKDPTFDARAMVPDKLVKDGQSFTSFTNCISLSQESQISPRSMLSVRSVDILRSSHRTKKGPCLYPHAIVPAKKYSGNEYTLSYLKSSPAEQLPVAVSSVQVTGCTLSPIQLKHIQANAGHVPLCIHQDMKASMKRKTEVPEVTGSKSLTVIVSTAGNIEHDFDIMKLDLTANLLSKVEQLFREKPNPDDLETAKAILKEQEKVLLDAVLKLSEVSYVEECFSTNCQPDEFNQHYEYESDDEMPQKTASTNDAETPPKPVSPSDKEAPPQPVPGRGGGATSKGKAKPRDSGRSSGGGSGSGGRLDAADDQPTSASTCTSSSTLPLTSDQAAFSGSFKMLPASSIVLSLHCSSGKWSLAVPM >ONIVA10G10060.2 pep chromosome:AWHD00000000:10:11207848:11213892:1 gene:ONIVA10G10060 transcript:ONIVA10G10060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGNAHACTVCTDEYQSEFLILHSGVASGYSLQGGEPQQQRGEFGRLRFLPRHAGVARRRRRRGGRSPFRLVSPSRPPPLSKTEPMMDTLELASAIPEAGDEAFQIHRLKRSAYAAVLRAFYAQPDLLSGAKEGSLAKLRSEFRIFDTEHREYLMKAISGSQSLSVGLNKASICNIEVTKDSLDLVPMITDAQDTAFQIHCLERSAYASVLRAFFAQSELLSRSQAKLLTELRKKLRISDAELREVLMNVTSNEYIKSLRNCSLANNSGLKDPTFDARAMVPDKLVKDGQSFTSFTNCISLSQESQISPRSMLSVRSVDILRSSHRTKKGPCLYPHAIVPAKKYSGNEYTLSYLKSSPAEQLPVAVSSVQVKRSTDDPLDTKTLPCEVKTGCTLSPIQLKHIQANAGHVPLCIHQDMKASMKRKTEVPEVTGSKSLTVIVSTAGNIEHDFDIMKLDLTANLLSKVEQLFREKPNPDDLETAKAILKEQEKVLLDAVLKLSEVSYVEECFSTNCQPDEFNQHYEYESDDEMPQKTASTNDAETPPKPVSPSDKEAPPQPVPGRGGGATSKGKAKPRDSGRSSGGGSGSGGRLDAADDQPTSASTCTSSSTLPLTSDQAAFSGSFKMLPASSIVLSLHCSSGKWSLAVPM >ONIVA10G10060.3 pep chromosome:AWHD00000000:10:11204656:11207910:1 gene:ONIVA10G10060 transcript:ONIVA10G10060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPASTVAGAPSSSSAPRDAPEAEASASGEAAGGRRRLRLYIVFYSMYGHVEALARRAAAGVAAVDGVEAVLRRVPETLPPGVLEKMQAPAKDPAVPVIAAAADLEEADGVLFGFPTRYGSMAAQMKAFFDSTGSLWEKQKLAGKPAGFFVSTGTQGGGQETTAWTAITQIVHHGMLFVPIGYTFGSGMFKMDEIRGGSPYGAGVFAGDGGRQPSETELALAEHQGKYMASIVKKLAQA >ONIVA10G10060.4 pep chromosome:AWHD00000000:10:11204637:11207910:1 gene:ONIVA10G10060 transcript:ONIVA10G10060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPASTVAGAPSSSSAPRDAPEAEASASGEAAGGRRRLRLYIVFYSMYGHVEALARRAAAGVAAVDGVEAVLRRVPETLPPGVLEKMQAPAKDPAVPVIAAAADLEEADGVLFGFPTRYGSMAAQMKAFFDSTGSLWEKQKLAGKPAGFFVSTGTQGGGQETTAWTAITQIVHHGMLFVPIGYTFGSGMFKMDEIRGGSPYGAGVFAGDGGRQPSETELALAEHQGKYMASIVKKLAQA >ONIVA10G10050.1 pep chromosome:AWHD00000000:10:11197962:11198812:-1 gene:ONIVA10G10050 transcript:ONIVA10G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTATTLAASAGDGSSPPSRSTVTTIAAQAYHVLKINGYSNTLKAGCHYPLSSCPFSAGGHTWHVSYYPNGCRDSNKDCISIFLALEGIVTEDRRTCWQKLHSACSIDTGTRARGFENFIRRDELELSEYLNDDYFAIAAHVIIPKEKPSVVVPPSNMHLHYGDLLVSKEGTDVKFLVGGEMFAAHRLVLAARSPVFKVELFGPTKKGTIDAIQIDNMEARVFKALLGFIYTDIWPEIGPGKDNVAMAQQLFAAADRYGLQR >ONIVA10G10040.1 pep chromosome:AWHD00000000:10:11172237:11174227:1 gene:ONIVA10G10040 transcript:ONIVA10G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGVDEALHPRRGRQRRKGHLAARSMGEEPADGAVIGEAISGEAGVDEVLREGDESRRRRRERGEQRRTEVVFSADAVGGAGPPRCRGVGEQGEEEPDMRARGSELHGTAYIGLISDYLPLRNRGSSRQSRIRSNSASSC >ONIVA10G10030.1 pep chromosome:AWHD00000000:10:11162837:11171146:-1 gene:ONIVA10G10030 transcript:ONIVA10G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPNVVDSDCGGTPPSRSASTIIAARTLHVLTIDGYSDTLKSNVDPSQHLLLSSPFSAGGHTWCIHYCPIGSTEESKDFISIYLVLEDTTADVVSAHVTFSLLDQQGNPVPSHTLTTPLLKFSLQGTLPKGLGYNSFIRRDDLERSGHLKDDCFAIGVHVVVTKEAIPSSITVPPSDMHLYYGDLLLSEERYATDVEFLVGGETFAAHRLVLAARSPVFMVELFGPMKESTTVNKIQIFDMEAQVFRVLLKFIYIDMLPEIDQEDEAAMAQHLLVAADKYGLHRLKMICVEILSNHIDANSVATILVLADKHHCYGLREACFDFLNSSAILSVIVNTSDFQYLIQSCPDILEDISFNIVAPAVSTVVTMQAYHVLKIDGFSGTLQVHRYRSLSSFPFNVGGRSWYICYHPHGKNNISKDFISIYLVLQHDIAEAAMVQATFSLLDQHGKPVPSHSRVTRLLSTSNQDDMANDLGVHVVITKEVPPPPPPIVVVPPSSNMHLHYGDLLSSKRCADVEFLVGGVTFAAHRLVLAVRSPVFVAEHFGPMKEGANINDVVEINDMDAQAFKALLNFIYMDTLLEMDQEEDTTMAQHLLVAADKYGQERLKVICEERLSNHVDADSVATLLVLTDKHNCRRLNKVCIKFFSSPTALAKIIETDEFQYLTQSCPNILEDIISNIVACQLEKAIFSPENEGGKINKVDIRIWPEPWQNSNARCG >ONIVA10G10020.1 pep chromosome:AWHD00000000:10:11155706:11156116:-1 gene:ONIVA10G10020 transcript:ONIVA10G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVINDHWPPFQSPRRDQSKEIKNSQMKVLVAVDDRSTAVAATARWPGCSTTSSSPATGDGGEEEQVPRPDHEAAAPELVLVHAMEPLHHVMFPVGPGQSVSRDGEANARLSQRWGCDCLRVFAQGRRCTARRR >ONIVA10G10010.1 pep chromosome:AWHD00000000:10:11144441:11146143:-1 gene:ONIVA10G10010 transcript:ONIVA10G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGVGADWGRDAARRELVSSSRARLRVLVVEDVEETQVFGHEPVLVRPGLLLFPPISRCRRRRPCPRPLYQPGSEERVVRSADGGDRDRATRRAGVGLVAARRDERSCEVGKVEVEVATRGRDGAGGDGGGGAGKGKAEVVGEWEDESPVFTRAHGRFCKKSAAAPPPPFEASSSRFLATPRTPSSPPLRSTPPPFREMNRIGIGAGASLPVDGRGRGKGRAPRPSSVRFPLKREFAGETTLRIARARVPPLAIEARRVRVTASDPPIEDPLEGVRELFAEVDDPNTTAPPGGNGSDKPEDAAPVEDVVDKTLGARAGAGRHILSDEDAVNFVFEVPCPPAPTRSAVLGMELLAEGSGLGGGGGGSGSGGGGGGVGGGSADDEHRHRLPSFFRQQDRRRGWIHSSSSVKLSGGVMGCSLQHAHVPALEASQATPPSAMATEVLLLGDARAGEEAVDDDEGLVEEPQEAENVGAVAPAAL >ONIVA10G10000.1 pep chromosome:AWHD00000000:10:11138702:11144384:-1 gene:ONIVA10G10000 transcript:ONIVA10G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPRFCLLFRRHRRARIPLFLSASTEPRIPAPSPVVRAGGETSLGFRRAAPWGSAATSFDDGCRSDAGAADPGSWDEQTTNSGTKGIDRMRISSRMRILLLVHMPWFQIRLSKMDKLHLIHKLYQFGSGITNTFTFNAIHEEQQPLLDKFSKKAVGCKPKDAAVRRKTDTNQDSANGVTSTPK >ONIVA10G09980.1 pep chromosome:AWHD00000000:10:11134822:11135889:-1 gene:ONIVA10G09980 transcript:ONIVA10G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSTVTTIAAQAYHVLKINGYSNTLKAGRHHPLSSCPFSAGGHTWHVSYYPHGCRDSNKDCISIFLVLEDIVTEEDVMAKATFSLLDRYGNPVPSYTYHTKLRNFSTSSGRARGFENFIRRDELERSEYLNDDYFAVAAHVIIPKKKPSVVVPPSNMHMHFGDLLVSKEGTDVKFLVGGEMFAAHRLVLAARSPVFKAELFGPTKKGTIDAIQIDNMEARVFKALLEFIYTDIWSEIGHGKDNVAMAQQLLAAADRYGLQRLKFVYEDKLCNHIDTCSVSTMLVLAEKHHCCKLKEACSTFLSFMSPPIVEDLNSSIFGSELEKTVSSSENHGSQINCTDIRIQPWQNTDV >ONIVA10G09970.1 pep chromosome:AWHD00000000:10:11126049:11126502:1 gene:ONIVA10G09970 transcript:ONIVA10G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLVVLSGNEWEWDSYKTRRCSGRLASGHHHQRSRLANDKPSFAVIVAAEPANAPGSLLLGLCLGRLPRQLVSFLQQIDNSSTAIAGVTPKCDARNQILRHYNRVDRDGTASRAEQEALEHRAAAACLHHLHQHAPLRT >ONIVA10G09960.1 pep chromosome:AWHD00000000:10:11107544:11122661:1 gene:ONIVA10G09960 transcript:ONIVA10G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSSTGNNNGGIPSRSSSSSSAIVVSKVSGCHFLNIDGYSHTKEMLSHGHCSRSCTFRVGTHSWYLEYYPNGRSFLHNASDHMAICLVQDDDGDAGDGGAYEQMTARFHLLDHHAGKPVPGHTRGVTSPLLSGKIWECSNLVTRKELEEHVLDGDCLAVRCDITIVTVPRRAAPAPAVVVDVPAAAPDLQSQMGALLLSKEGADVTLQVGGGETTTFAARLSVFRSELFSATATSKAGSGGRVHVVDDGIDARAFEALLRFIYTDAPPELDEEDDDFSSMAWLLVAADRYKVERLKMICENELCKRIDGNNFEATLALAEQHHCSCPWFNLSFSAFVSEGADIPKFRKFRKFRDKGHVDSGHPRSDAAPMTSGKAGSNADAAPMTSGKAGSNAGARMELGIHYSGGEVADDSFTIRCDIVVVREIRTEETTEILPVESFVPVPPSDMDQQFGDLLETEKGADVVFEVGGQTFAAHWCVLAARSPVFRAALYGSMKEGDTAGVVHIEDMEAQVFKLLLRFVYTDSLPEMETEEDVICQHLLVTADRYDLHRLKLICENRLCKYIGVSTVSNILALADQHHCDGLKKACFSFLGSPANLSAVVASDGFKHLSRSCPSLMEELFPAMPPAARHLSPPRSDSTSTIVAGTAAGSHLLKIDGYSLTKGTPNGSFLISSQFTVGGHCWRIRYYPNGFSASSADFISLFLVLDEKVKVQAKSDFQISYTGQVDEPPWLATMKADTFDGAGFRSFGYEKFVRRCDFEKLIRDDSFTIRCDIVVINEIRAEESTEITTTTAIVTVPPSDLNQQLGDLLESEKGADVVFEVGGQTFAAHRCVLAARSPVFKAELYGLMKEGDTAGVVHIEDIEPRVFKVLLRFMYTDSLPEMEEKDVMCQHLLVAADRYNLERLKLICEEKLCRHISVGTVWNILPLADQHHCDGLKKACFDFLGSLANLSAVVASDGFKHLCRSCPSLMEELVVTLALPGIAEAKPASLPFRTMSLAACRGDPPSRSAIVADTATGYHLLSIHGYSRTKGTPTGSPLKSSRFTVAGHRWRIHYYPNADRADSADHISMYLFLDEKSNARSVKALFQIRFADQVKAQPSLALHAVRTFGDSSWSWGYAKFVRREVLEKSKDLRDDSFTIRCDIVVVREFVAEEATEILPAESFVSVPPSEMNRHFGDLLETEKGADVVFEVAGERFAAHRCVLAARSPVFRAELYGLMKEGDTAGVVRIEDMEAQVFKMLLRFVYTDSLPEMEAEEHIMCQHLIVAADRYDLQRLKLICEKKLCKYIGVSTVSNILALADQHHCDGLKKACFSFLGSPANLSAFVADDGLDHLSRSCPSLMKELACS >ONIVA10G09950.1 pep chromosome:AWHD00000000:10:11104125:11105231:1 gene:ONIVA10G09950 transcript:ONIVA10G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTMTADEPTTASAIVAGVKTGHHVLRIDGYSRTKNVVPNGQFITSRSFRAAGHSWHVFYYPNGFDDESIEYISLYLLLEDAATATTATTTTVQFTVTLLDKDGRQVPSQKANSGVFTYSSEIQKYGFTQFISRDELEQSEHLDGDRFALRFDITVLGKFRAEEIAGPVGAPYVAVPPSDMRRHFGDLLASGDGADVEFRVRGAGGEEETVAAHRVVLAARSPVFKAELLAGVPAKDGGGAVIQIDDMDAEVFRSLLHYMYTDSLLPEKGTTREEATMAQNMIVAADRYSMETLKLMCEDRLRKHIGASSVATMLTFADRHHCHGLRAACTEFLSSPTNLKAATATDGFGQLSCPTVLKELMAKALF >ONIVA10G09940.1 pep chromosome:AWHD00000000:10:11095613:11103832:1 gene:ONIVA10G09940 transcript:ONIVA10G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTTAPLSASSAIVAGAVNGHHVLRIDGYSHTKNTVRNGQHVRSCMFRATGRSWIVYYYPNGYNAESAVHGQPARRARPAGLVLPELLVHVQVQRLLRRGVQHVGGERFIRRDQLEQSENVRDDRLAIRFDVAVMDKLRTTEEIAGGGGGAVPPSEMSRQFADLLASGDGADVEFRVGGETVAAHRAVLAARSRVFRAELFGPMKEGVAANGTIQVDDMDAEVFRSLLHFVYTDSLPPETGTPREGAAMAQHLIVAADRLKLICAERLCEHIGVATVATTLELAERHHCHGLKRACMEFLSSPTNLKAAMETDGFEQLSCPAVGQGSSSSINLSLF >ONIVA10G09930.1 pep chromosome:AWHD00000000:10:11091981:11094773:-1 gene:ONIVA10G09930 transcript:ONIVA10G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPRLPLESIPPSHIASPGSACRCRRHIKGSHGGARPTPAPPVASPLALLTARWLAPHTLIGSCNAMREKAHHYIGMVRENSLNILEFVPGRSIQSLLGGLGSKALYGGPWRFARAAWHHREV >ONIVA10G09930.2 pep chromosome:AWHD00000000:10:11091981:11094773:-1 gene:ONIVA10G09930 transcript:ONIVA10G09930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREPRLPLESIPPSHIASPGSACRCRRHIKGSHGGARPTPAPPVASPLALLTARWLAPHTLIGSCNAMREKAHHYIGMVRENSLNILEFVPGRSIQSLLGGLGSKALGQIMLFSNICEQLYGGPWRFARAAWHHREV >ONIVA10G09930.3 pep chromosome:AWHD00000000:10:11092428:11094773:-1 gene:ONIVA10G09930 transcript:ONIVA10G09930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREPRLPLESIPPSHIASPGSACRCRRHIKGSHGGARPTPAPPVASPLALLTARWLAPHTLIGSCNAMREKAHHYIGMVRENSLNILEFVPGRSIQSLLGGLGSKALYGGPWRFARAAWHHRVTKMESCTE >ONIVA10G09930.4 pep chromosome:AWHD00000000:10:11092428:11094773:-1 gene:ONIVA10G09930 transcript:ONIVA10G09930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREPRLPLESIPPSHIASPGSACRCRRHIKGSHGGARPTPAPPVASPLALLTARWLAPHTLIGSCNAMREKAHHYIGMVRENSLNILEFVPGRSIQSLLGGLGSKALGQIMLFSNICEQLYGGPWRFARAAWHHRVTKMESCTE >ONIVA10G09920.1 pep chromosome:AWHD00000000:10:11074619:11075818:-1 gene:ONIVA10G09920 transcript:ONIVA10G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPEPSTSASAIVSGTVTGHHVLTIDGYSRTKAKLPTGRFTASRPFTVGGHSWSIHYYPSGDRSDTAGFISVFLELNPAADADAAAAAAAAGGGSEPVDARVTFSLLDQAGRSVPSHTMATDLHDFAATGFGFGRFIERSYLEQSEHLKNDRFAIRCDVVVFSDELRAEARTADAAALSVAVPPSDLSQHLGGLLAAKELGADVTFLVAGETFTAHRCVLAARSPVFRAELFGPMKESAATAVITVDDIEPDVFRNLLTFMYTDTLPETNPQELEEEEDDDDDDYEDDQAQAAAMVEHLLIAADRYNLERLKLICEDRLCKHIDGESVATILALAEQHSCDGLKEACFQFLSSRSALNSLVATDGIEHLARWCPSVLNQLMSKVAALVPVDFVVRETR >ONIVA10G09910.1 pep chromosome:AWHD00000000:10:11067740:11068656:-1 gene:ONIVA10G09910 transcript:ONIVA10G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAGCGQQHPGCCLPILFLCEVQRQRLNYQLKEACFRFLESKETLNAVMATDGFLHLMQSCPSLVKDLNSVRNAYRDGSVSSGCWTATAGPNDELREGRGELVELEQLPRAPMASRSRCLPLPLFLLLPVDNVVAAGGLLTLASLARRNPSERICSAAVVERSASAVACGGRRLAGDERVPRSLAAIPSPSSSLSHLHPRRLRVG >ONIVA10G09900.1 pep chromosome:AWHD00000000:10:11065695:11066813:-1 gene:ONIVA10G09900 transcript:ONIVA10G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAATGGSELVRPPPPYSSASAIVGGTVTGHHILQIDGYSYTKEKLPNGKFILSRSFKVGDHQWRLRYYPNGQSSDYADCICVYLVLAAAAAGHAKEQPVKARATFSLLDRAGKPVPSYTTDVGMHDFAVGGSGFGYGLYKRDVGHVDDGFAIRCDVTVVMELRTEDRTPPLVEVPPPDLHRHLGGLLESRDGADVTFRVAGEDVRAHRYILAARSPVFKAEFFGQMKESSSSSNTVVNVDDMEAEVFRALLAFIYTDALPETKTKAKQEDELVIAQHLLVAADRYGMERLKLLCEEKVVGFIDRGSVATLMALAEQHHCHGLKGACFRFLESKETLNAVMATDGFLHLMRSCPSLVKDLVFRVADSHFQ >ONIVA10G09890.1 pep chromosome:AWHD00000000:10:11059062:11075883:1 gene:ONIVA10G09890 transcript:ONIVA10G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAATTGSSDGGRPPHYSSASAIVGGTVTGHHILQIDGYSYTKEKLPSGKFIQSRSFKVGDHQWRLSYFPNGKGSDYADYISVYLCLVEGQPVKARATFSLLDRAGQPAPASASYYTRDMPMGRFAVSDIGFGYHQFIKRELLEKSGHVRDDGFAIRCDVTVVTELRTEDRTPPLVEVPPPDLRRHLGGLLESGDGADVTFHVAGEEVRAHRYILAARSPVFKAELFGQMKESSSSNTVVNVDDMALLVFIYTDALPETKTKANQEDELVIAQHLLVAADRYGMERLKLLCEEKLVEYIDRGSAVMLMALAEQHHCHALKEACFRFLESKETLSALPVSCQGAAFQDDGGAGRPPPYSSSSAIVGGTVKGHHILQIEGYSYIKEKLPAGKFIRSRTFKVGGHLWCLLFYPSGGRASPPGFVAVYLKLLVAGGEQPVKARATFGLLDRVGKPVMACKRDAGIHGFTVSETGFGYHEFIGVEVLEKLGYVRHDSFTIRCDVAVVGALRVEDRTAPVVAVEVPPPELRRHLGGLLESMQGADVTVHVAGEEVRAHRSVLAARSPVFKAELFGAMKESVSGGSNAVVEVDDMEADVFRALLAFVYTDELPETETKRQEDELVVMAQHLLVAADRYGMQRLMRLCEEKLCGRVELGSAATHMALAEQHHCRGLKEACLRFIDSTARMDAVMASDGFEHLIKSCPSLVKELIVSRFLNTSGSMSSTVMTAVAALSFIGPKSSALNTGDRAARTHLCAVNVSPATRNVTSAPSSLAARRPPRCWLRSDGGTATERAAASAVRASARSSSEKTTTSHRMANRSSRKTDMKPAVSERSPLG >ONIVA10G09890.2 pep chromosome:AWHD00000000:10:11075742:11081661:1 gene:ONIVA10G09890 transcript:ONIVA10G09890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTWCPVTVPETMAEAEVEGSGVGMVMVVDDGAKEMMAIVGSTVTGHHLLHIDGYSHTKDRLPNGCYMDSRPFTVGGHLWRIGYYPNGDVADASAYMAVYPSIDENVIVAVKAFAKFSLFFNGEPTPPAFVHTTEPFVFSRKGIGYGFSKYAERELMEGSIVDDKFTIRCDVGVSTELRAEDRPPSDFAAVVPPSDLHRHLGDLLDSKHGADVTFQVGGEAFRAHRYVLAARSPVFRAELFGAMREATAAAAASSSDSEAIRVDDMEAPVFSALLRFVYTDALPAPGGADDGQAAGGGSDSEEAAMAQHLLVAADRYDLKRLKLLCEDKLRRHIDAASAASMLALAEQHHCRGLKEACLVFLSSPANLHAAMGSDGFEHLSRSCPGVIKELISKLVPRCD >ONIVA10G09880.1 pep chromosome:AWHD00000000:10:11052261:11054926:-1 gene:ONIVA10G09880 transcript:ONIVA10G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVLIRVGGGSDGESEERKRRVWLVGGDDDFCGGFDLRIGCRSGSFDSEPEIAAVVALASKARHLN >ONIVA10G09870.1 pep chromosome:AWHD00000000:10:11048925:11049242:1 gene:ONIVA10G09870 transcript:ONIVA10G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAAKCECCGLREDCTVEYIAGVKADFGGRWLCGLCSEAVRDEVAKKGGGGGGGGRQLEDAVRDHMSFCGKFCRKNPAFRVADGMRQMLRRRSSDISAPSGAS >ONIVA10G09860.1 pep chromosome:AWHD00000000:10:11044740:11045053:-1 gene:ONIVA10G09860 transcript:ONIVA10G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAQLLLPREPQHAALEDDEVPLAERLPTRADGARQGRSGSPETERRKGRSPRWSDCGCESCRT >ONIVA10G09850.1 pep chromosome:AWHD00000000:10:11043970:11044512:-1 gene:ONIVA10G09850 transcript:ONIVA10G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRGKRRARGVFRLPCATAPPLRRVLLHRPSSSPTAGAAAAPVVLPCRSCSSRGLEERVILRPPGHCLRPRHLTPAWLLCLHPLTRCSRSTPPARPDSAPSPPSPFLPWKPSLVESRDWTQRFFQGLGVGAPLPAPTELHGTYSALVRGVLSSSTVSASASPCISYTLRRSPSPSPPS >ONIVA10G09840.1 pep chromosome:AWHD00000000:10:11021821:11029738:-1 gene:ONIVA10G09840 transcript:ONIVA10G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03100) TAIR;Acc:AT1G03100] MELPHMGDPRRLCGSDDVPHVIGGRTTDADATMDMDTDTSQFLFGGATAEVVTGEEGVITASSACLASLASCRIIAVVIIDFDASISMLRKRAKPSSSSGGSNKQQHEIAFDHGGGGGGAAAISAPKLLVPSSSGEASPEAVLMSPTSTLQTASATSPCSGRTTGAAATAVPFSRRRRHSGGGVGRGPADGDGQCRTHRPWDATRPVGLGLVGALNDDEDDDDGEVDAAPGDAAASSVLTGQIRLRVQTNYYAPCTEFGVKSGGAAVQYAPPPRRRWMSPREMMEEMSEDYTCVIARGANPRTTHIFDNRVVESSGDGEYFPPELWPPSAAGKGDDDGDGDFLRYCHGCSKDLGLGKDIFMYRQMLRVGRICSRSSTRAVSSFLVGPRHLIGHESPHFLGSKCCWTNRTGAIGFLNTKLNIGSYGPCLSTLTETILVQAHDPSLLALEIENAIDQQRFDDAWRAYEKHIHMDGVPRKSVLSKLITGFAETCDAHWLNQSYNVVNHAFEEKSKLLEKEPLIFLSLALARSSLPNLSINVVRKLIKMEAYPPVAAWSAIVAHMCQTATGAFLAADMVMEIGYLFQNNRVDPRKKSNRPLLLMKPNSLTFNIILTASLLFGTTKKAEQLLELMPRIGLKPDVSSLIVMARIYEQNGHRDEIQKLRRHVNEACGLSESELRQFYDCLLSCHLKFGDLDSAVDMILDMLKKGKNTKRSLEAAKAVLEAVENNKIYLPYEKTKPVNSGSSNKSVCTDSQMLNYISFFKDKSFARLELDARELLKLLSDKLQEQVGLVKSEYGILYPTETMYAKLVKAFLEANKISALASFLVKASKEDSPVSVESSFVVQVINACISLGWLEQAHDLLDEMRFSGIRVGSTIYSSLLKAYCKEGHHEDDIAALLKDAQQAGIQLDPSCYEDLIQSRAHHNNTTGALNLFKELKSLNILKAGQNEFEMLVQGCNNNGAALTTKLVEEVRSGHPVNHAIHDWNNVIHFFCKKRLMHDAHKALGKMKALGHTPNAQTFHSLVTGYAAVGGKYVEVTDLWGEMKVLATSSSMNFDQELLDSLLYCFVRGGFFLRAMEVIEMMEKGKMFIDKYKYKSLWLKYHRTLYKGKAPKVQTEAQLKRREAALHFKRWIGLT >ONIVA10G09830.1 pep chromosome:AWHD00000000:10:11019377:11021702:-1 gene:ONIVA10G09830 transcript:ONIVA10G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTVWKPLVVLFAAMNTSDSSGYFPYYGVPVSEVSPYIRLIRASKRAIKRLNAEVDERAEERDEKRGVSLQSA >ONIVA10G09830.2 pep chromosome:AWHD00000000:10:11019379:11021702:-1 gene:ONIVA10G09830 transcript:ONIVA10G09830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTVWKPLVVLFAAMNTSDSSGYFPYYGVPVSEVSPYIRLIRASKRAIKRLNAEVDERAEERDEKRGVSLQSA >ONIVA10G09820.1 pep chromosome:AWHD00000000:10:11009761:11014494:1 gene:ONIVA10G09820 transcript:ONIVA10G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGAKKSKLSWSKSLVLKWFNIRGKSYDFHGDDAAAAFGRRGGGGEDEWRSSSFSRRESCTVKKSRTERASRRSHERSRRSKIDLDAAEATVTLDYRIFVATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLAGTGGGGGGGGGGGMRTPSPAPDPVVEMDDDFEGSSSRQNNPAAFFHRRSFNAGLSRSLRMDGDILGSGGGAQPRLERRYSVNDRVMYGSRPSDYEANCRWGHPSDDGEIDDGGGESPSAVFSPMSYGYGAPPYMEESNGGAAHSRYCLVASKQMVGLFLMVWARREIKSDIRNLKVSCVGRGLMGYLGNKGSISVSMLLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRGEQRGGRVFPGWNEGRIYFPPTYKYSNNSDRYAGDDMNQKEKRRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >ONIVA10G09810.1 pep chromosome:AWHD00000000:10:10999418:11003352:1 gene:ONIVA10G09810 transcript:ONIVA10G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATLARRSMVALGRRALCSGSDLEAAAREVVCSGAGSLDEVGGALDRLGVAVSPAMVARVIDACSERMGSGRRLLRFLSWCRSKDAGGIGDEALDSAIAALARMGDLTAMRIAVADAEKDGRRMSPETFTVVVEALVKLGKEDEAVRLFRGLERQRLLPRRDAGDGGEGVWSSSLAMVQALCMKGHAREAQGVVWHHKSELSVEPMVSIVQRSLLHGWCVHGNAKEARRVLDDIKSSCTPLGLPSFNDYLHCLCHRNLKFNPSALVTEAMDVLSEMRSYGVTPDASSLNILLSCLGRARRVKESYRILYLMREGKAGCSPDWVSYYLVVRVLYLTGRIIRGKRLVDDMLESGVLPTAKFFHGLIGVLCGTEKVDHGLDMFRLMKRCQLVDTHTYDLLIEKLCRNGRFENGKELWDDAKKNGFIGSFGSPENRGIQTVGHVILMDSTQEQQQDFGVLLKQGAEGRVFVSTFVGRKCVIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARKLGVPTPVLYAVDPLLHTLTFEYVDGLSVKDILLGFGSNGINEEQLIDIATQIGNAVGKLHDGGLVHGDLTTSNMIIKNNTNQLVLIDFGLSFISTIPEDKAVDLYVLERALISMHSSCGDVMEKILTAYRKASKQWCATTNKLAQVRQRGRKRTMIG >ONIVA10G09810.2 pep chromosome:AWHD00000000:10:10999115:11003351:1 gene:ONIVA10G09810 transcript:ONIVA10G09810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTQEQQQDFGVLLKQGAEGRVFVSTFVGRKCVIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARKLGVPTPLANYMMEALFTVLIDFGLSFISTIPEDKAVDLYVLERALISMHSSCGDVKSFKAMVRYYEQASSSQATWQKANNDWMKVKLELAGQYSCSTR >ONIVA10G09810.3 pep chromosome:AWHD00000000:10:10999115:11003352:1 gene:ONIVA10G09810 transcript:ONIVA10G09810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTQEQQQDFGVLLKQGAEGRVFVSTFVGRKCVIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARKLGVPTPVLYAVDPLLHTLTFEYVDGLSVKDILLGFGSNGINEEQLIDIATQIGNAVGKLHDGGLVHGDLTTSNMIIKNNTNQLVLIDFGLSFISTIPEDKAVDLYVLERALISMHSSCGDVKSFKAMVRYYEQASSSQATWQKANNDWMKVKLELAGQYSCSTR >ONIVA10G09800.1 pep chromosome:AWHD00000000:10:10995306:10998472:1 gene:ONIVA10G09800 transcript:ONIVA10G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGEYHADMMAEFLRGSGGAAVIDGGLATELEANGADLKDALWSARCLFTCPDLIRKVHLDYLEAGASVLITGSYQATIQGFLSKGFSQEESESFLRRSVELACEARAIYLEKCSNGSDEAKDVTKYRKRPILIAASVGSYGAYLADGSEYSGDYGNEGTLEFLKNFHLRRLQVLAEAGPDVIVFETIPNKIETQAYVELLEECKLRIPAWFGFTSKDGVNVVSGDSLIECASIADSCKEVAAVGINCTPPRFIHELVLSIRKVTSKPILIYPNSGESYDPIRKEWVECSGISNEDFVSYVKKWHEAGASLIGGCCRTSPDTIRGISKALHGV >ONIVA10G09790.1 pep chromosome:AWHD00000000:10:10985203:10986439:-1 gene:ONIVA10G09790 transcript:ONIVA10G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLFYSSCSVFFFSLSCWDLPPPSVVVRQPFFLVASKQALHSAEQEGRVQTVREGEAGWVEEEEEEERRRLGGSKEATAFALLLLGFHLFQGVATCQCIFLPFQLIGELEPNIALLHNSSAEEVRS >ONIVA10G09780.1 pep chromosome:AWHD00000000:10:10977793:10985164:-1 gene:ONIVA10G09780 transcript:ONIVA10G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVGHDARQYSWWWVSHISPKNSKWLQENLNDMDSKVKAMIKLLNEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHKSISEAFPNQMPPMSDESPSSSGQEVEPHTPDLPTFTRLPFDLDDLQKDGVGASPQQFTSKRNGTHPEEASALPNRKGFDVKVRKGLSFGSPEVKGCDAISNEMVNLQQEISRLLAESNSMKQQILSESERANKAENEIQVLKDTVLKLNSDKDTSLLQYNQSTERLSTLESELSKAQDDLKKLTDEMATEVQKLSSAEARNSEIQSELEALDQKVKMQQEELEQKQKELKSFNLTFQEEQDKRLQAESALLSEGKELAQCQEEVQRLTMEIQMANEKLNELKQTKVNLENAVSELKKEVESLTEQNRSSELLIQELRDEINSLTDSRNELQNEIQSLRSTISQLNTEKDAALFQHQQSVERVSDLESQLLKLQPELEEIEQKVQMLMQDLEQKRQEADNAHAQLQDECNRHTQTEADLHRFKNLHSQLEEEVIKLTENLDRSTKGLEELENAKLDLENTSRELKSTILDLNSEKDAVLLQQQQSLAKISDLELQLSKTQLELKNSEQKMQLLELEITQKSENMNSLTLNLKEETEKRAQAETSLMSMESMYSQSQEEVNRLHLEIEKLNFKLNELENLSSELNSTILLLNAEKDATDLKNQQSLVRISDLESELSKLQAQLEKIEGKVQMLEQELRHKKEEVDSLQISIQDEAHKRSEGEAALLAMTNLNSESQEEVNRLTLETEKLKVKLSEVENSNTDLENIVAKHTEDIHVLCEKNVSTELMIKELHHELDALKELNVKLESEMGLHIGEKEALQRDFACQKEEKQNLEGIHHSLAEEMSALKSSSAANQKLIEDLQIMNLKLKEVCAKNEVEKALLSEKVQEVEKLSEEFSLMENSLSDANAEMDSLTEKIKVLEASEGSLKDVISSHVSEKAILTSDLETLGKSYADISEKNSNLDILISDMKAEVENLRTKLKDSEEICQAHLANNSALSDEKNNVFSQLESVTVVMKALESKHADLEDKSSSLAREMNLSYDQVRELQDQLRVKDEEYEAFVNSHQTQVNDFEEQISSLQKKSYYMNELLEQEQENHMSASINVVILENCLADLKDKNVDLFNECQKFAEANHAAEMLISQMKDEARYHQDERKFLLIHTEKLREGISQHMKVLNICKDLGPANIAEDEIILQTVSDEASNIMKLKEQSEDANRLMYTELTVLATVMLQVGLELRDLNLQKRALEKELETRAAEFITLQNNNVQMLEWNEQLKQELQQGCEREEVLKAEILVLQEKLSCSTDSYQTSQNEIVNLTEKNETLCKEYQSLIEKYNALEGENGALLSECMRLEHLSLFLRGHNNEVATALGSLTDEMALLSVGKDELDCEVQELSRRGMMLESENNNLKEYFIYLIEILSAQLALSEFDLNINQSICQELASELESCMAQLSQKDDELLEAEDKVHLLQGKNRELCGVVGSLQVAIEGAKIVKEELEKKITTLTEEGNTKDGEISLLRQANERLQVEADILKDKEDSLISSHELLSKEVEQHEGEFVVLMDDAISSSVNAAVYEEKALELMTENTELKANLSTHVALIASLSDHVNELEENTLSLSKPYSAESKKEDAEVPFMQERNHGPESHPLPEGTPELQRLIARMGALQVAIRNVKDLHDQESTKSAATLAAAHRDIQELKARGGSQIEAREIYSDNEKLNNVEGSKGKQVQMMKDIELDQISTCPPYGTGAALYPLKNGANAGMDDEMLQLWEAAERSCKNQTSKSSSAEHDIEAVEEVKSEYPSSELARGRDLGINKLEVSTSSVEPHEQWSNNVLEKLSSDAQRLQSIQVSIEELKRKMGSPSNGKSPMNSEYNTVSTQLLDTEGCVLEQINYNNKLTKRVENYPALSDSMNAEQEGYPSRRKISGQVQKGSENVGRLELELQKIQYVLLKLEEEHEYRRLKVSDKRTRVLLRDYLYGRKEKRGGAQKKKKRAPFCGCVQSRTET >ONIVA10G09770.1 pep chromosome:AWHD00000000:10:10970970:10974618:-1 gene:ONIVA10G09770 transcript:ONIVA10G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proton gradient regulation 3 [Source:Projected from Arabidopsis thaliana (AT4G31850) TAIR;Acc:AT4G31850] MLEVCCCSGVLGGSPPSSRTAGVSSPGLSASRPSKRRIGRARVQPPPRDERRAAEDVIHALRSADGPAEALERFRSAARRPRVVHTTASCNYMLDLMRDHGRVGDMAEVFDVMQRQIVKANVGTFAAIFGGLGVEGGLRSAPVALPVMKEAGIVLNAYTYNGLVYFLVKSGFDREALEVYKVMMVDGVVPSVRTYSVLMVAFGKRRDVETVLWLLREMEAHGVKPNVYSYTICIRVLGQARRFDEAYRILAEMENEGCKPDVITHTVLIQVLCDAGRISDAKDVFWKMKKSDQKPDRVTYITLLDKFADNGESQSVMEIWNAMKADGYNDNVVAYTAVIDALCQVGRVFEALEMFDEMKQKGIVPEQYSYNSLISGFLKADRFGDALELFKYMDIHGPKPNGYTHVLFINYYGKSGESIKAIQRYELMKSKGIVPDVVAGNAVLFGLAKSGRLGMAKRVFHELKAMGVSPDTITYTMMIKCCSKASKFDEAVKIFYDMIENNCVPDVLVVNSLIDTLYKAGRGDEAWRIFYQLKEMNLEPTDGTYNTLLAGLGREGKVKEVMHLLEEMYHSNYPPNLITYNTILDCLCKNGAVNDALDMLYSMTTKGCIPDLSSYNTVIYGLVKEERYNEAFSIFCQMKKVLIPDYATLCTILPSFVKIGLMKEALHIIKEYFLQPGSKTDRSSCHSLMEGILKKAGTEKSIEFAEIIASSGITLDDFFLCPLIKHLCKQKKALEAHELVKKFKSFGVSLKTGSYNSLICGLVDENLIDIAEGLFAEMKELGCGPDEFTYNLLLDAMGKSMRIEEMLKVQEEMHRKGYESTYVTYNTIISGLVKSRRLEQAIDLYYNLMSQGFSPTPCTYGPLLDGLLKAGRIEDAENLFNEMLEYGCKANCTIYNILLNGHRIAGNTEKVCHLFQDMVDQGINPDIKSYTIIIDTLCKAGQLNDGLTYFRQLLEMGLEPDLITYNLLIDGLGKSKRLEEAVSLFNEMQKKGIVPNLYTYNSLILHLGKAGKAAEAGKMYEELLTKGWKPNVFTYNALIRGYSVSGSTDSAYAAYGRMIVGGCLPNSSTYMQLPNQL >ONIVA10G09760.1 pep chromosome:AWHD00000000:10:10965960:10969108:-1 gene:ONIVA10G09760 transcript:ONIVA10G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNQQQSTLPTTECEGDLFDVARALDLKEIEADNCDKEAARNDLISQAWRWLSKGCLRRAAAGNAQQIADGWRMHDHSVW >ONIVA10G09760.2 pep chromosome:AWHD00000000:10:10965960:10969108:-1 gene:ONIVA10G09760 transcript:ONIVA10G09760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNQQQRAFFPAIYDVALIMRKCSDISTLPTTECEGDLFDVARALDLKEIEADNCDKEAARNDLISQAWRWLSKGCLRRAAAGNAQQIADGWRMHDHSVW >ONIVA10G09750.1 pep chromosome:AWHD00000000:10:10955492:10962497:1 gene:ONIVA10G09750 transcript:ONIVA10G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYQPGRCRRLAADHHRRGILAAGSASCYPYAANPYRMAPAASLLRHIGAGGGAMNTAFTETTTNGGGDASYSIGPSLSLRQHHTGVGGAGNRTTVFAGGDALASNYPYSYAIPVPYSAQGWHRIGAGGGRNTALPNFDVAPYFATADLHHIGGGGDHNTAFFPNMDTLTANAFSFATPGHYSATEFYYNSADGEQNIEEFYYNAVGHEQNIVSPNMDTLTANAFSFATPIHYSAAEFYYNGASGEQNIEEFYYNSASGISSIVK >ONIVA10G09740.1 pep chromosome:AWHD00000000:10:10951855:10954219:-1 gene:ONIVA10G09740 transcript:ONIVA10G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRKDRGDVGVGGESAAQHETVEDAGGEGIMAGAAAAAAKGSRYKLHGKKSKVDNQPQIKEIHDKCGTINTCKTNADTKLHGGKNCIYQEVQNLHCVRFETFILKKFDGTVGVPILRSKRVPPSEEDSDAMES >ONIVA10G09730.1 pep chromosome:AWHD00000000:10:10946600:10946881:-1 gene:ONIVA10G09730 transcript:ONIVA10G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGMRQQGGSAVLVAATSAELVTVKLFAASPLVGSGSAHTISGDVWARRWPDIEGGRRWEEEGDAAASWIRRAPLPLAELVVAMSIAGGK >ONIVA10G09720.1 pep chromosome:AWHD00000000:10:10939643:10946375:1 gene:ONIVA10G09720 transcript:ONIVA10G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSNPMVMPARKAGEGWRQHPTKLFKVFLKKPEESVGMQYSDPEGLHNKRPNKVFQTIWKEKPDGTVKRDIKIQKGSTIRGMVPDTPEANSDFGLPWATYR >ONIVA10G09720.2 pep chromosome:AWHD00000000:10:10939643:10951001:1 gene:ONIVA10G09720 transcript:ONIVA10G09720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSNPMVMPARKAGEGWRQHPTKLFKVFLKKPEESVGMQYSDPEGLHNKRPNKVFQTIWKEKPDGTVKRDIKIQKGSTIRGMVPDTPEANSDFGLPWAS >ONIVA10G09720.3 pep chromosome:AWHD00000000:10:10939635:10946375:1 gene:ONIVA10G09720 transcript:ONIVA10G09720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWMETPIPWPNKVFQTIWKEKPDGTVKRDIKIQKGSTIRGMVPDTPEANSDFGLPWATYR >ONIVA10G09710.1 pep chromosome:AWHD00000000:10:10936765:10938913:-1 gene:ONIVA10G09710 transcript:ONIVA10G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLNHIGAGDNGTLAVVLHHGGASCKKNSSIPQTGTLSHIGAGSGVSSDESMLYDAVIAKPGAGSGDELYGDNGALAVVLHHIGAGHEKNKAYDVIDHTGADGKDSMVNDHVGAGGDQNKVFDNIGALGMPAAQQAPPSTGKVNRYQSSDHLGNNYHHDESSVRQLLHTRGRLCTRPGRILVPGGRGAHLYAAPSYTLAAADQIHNLQLFGVNNFAATGIIDYFGAGADDLGTASSVFDAADDITLAHYLAMATVQSVWQENCMEQFKLVLEALHQPHRNLYIAVDMEFTADAATNMSHRPVTSTSCYQHVRRYVNGGDIVQMGLTFAFVGDVEGEQAPSPPIALEINFDFNVNSPKYHGESIHFLSSQGHDLTQHSKRGVTPEFVCEGLLRHLPFGDGSVTWVAYHGDYDLAFLLRLLQGGDHGSDALLTLSCFFKIVSLSGHQMHRMDARRGLLAGLEEWNMAIKCARHIDDHTGSIYVVKMLPHKLDEEARRIEELFAKFMASYGALGDPNISWVTFHGAHGIARMIRSSDIVTIPWIECKGGLFDVAQALNLKEIEADMEAARVLLTLRCYMRLAERPDFPGTKMAVQGLLKESCCWKCPANC >ONIVA10G09700.1 pep chromosome:AWHD00000000:10:10933069:10933533:-1 gene:ONIVA10G09700 transcript:ONIVA10G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGSIDQKLETFLREVEAADDEMEALCDELSCLLVSAYRLRVWLAPMDRHAPALVSAATTAGMRSRLLGSARCLRARLAPMDRCAPAPASAATTAGLRGRLQDLTAGVLVLRRQISAERRGDAARYYLAVVGEATTEEQLDRLVAAGHDVPD >ONIVA10G09690.1 pep chromosome:AWHD00000000:10:10924470:10926819:1 gene:ONIVA10G09690 transcript:ONIVA10G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHPFAKAANGFVMQADAPDAKLADVQAVADAIHGNTKLANIQAVADVIHGNAKLADVQAVPDAIQGNTKVFDIQAVADAIHGNTMLADIQAVADAKPNIQFVPDAIHGNTKVFDIQAVADAKPDVQAVPGAIHGNTKVADNSVVADAIQGNTKLTDVHPFMEGDCSIKLADAQVRNKAVDGFDATNMSPLVARCLAHHLAKVSVQRVWQGNYPEMFKPVLDAFGQPHRRIYIAFDFEFAADAFTNMHCWPGCTKTNYEYLRRYVNGGDVVQMGLAFVFEDEVDEEPTFTAMALEINFDFTVELHKYNGEAISFLSEQGHDLTEHRDRGVVPHFVYTGLLSHLPFGNSSVTWIAYHGDYDFGFFLRLLQGGCRGSSHLPLELPTFLHQLRLNFPRLYDIRVLGQLVQHGFRGSLTAIADLLGVNRFGRGHHAGVDALLTLSCFFQIVSCLSASGDHQLHRLDSRQGLLAGIAQVNKAIKDARHIGDRTSNIDVIKVQAGNLDEEAQRIQELVPSNFNIIGVEVMHPQLGNRSYAIGAQQNYESMKTYLKDADSFEIVIAFMNSEGMLAYDCVWKFCISSTPRSGYLHPRQFTRLMASCGATSNPNVSWVTFHGAHGIASLISSFSAPQDLPSDSSSNGEHISLVCMIYPDIGILPTTGCKGGLFDVARALDLNFIKDDNPVTRVLLTLRCYMRLADRGDFPDKQSAVQGQLMENCCWNCPAKRMEHA >ONIVA10G09680.1 pep chromosome:AWHD00000000:10:10917397:10921459:-1 gene:ONIVA10G09680 transcript:ONIVA10G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPTQRGHGWSASPSPSLLALSPFSSVPSHGYARKAGEVWRRRISCTVRRAKLTICLEGNQTNKVFQTIWNEKPDGTVQRDIKIQKGSTIRVCRVTIFGWCLIHSKQTQILDNHGQVDDDEVIIDSPLLPYQISHDFLSQFGSSLPDLIDFNDVKPASFLWLFLS >ONIVA10G09680.2 pep chromosome:AWHD00000000:10:10917395:10921459:-1 gene:ONIVA10G09680 transcript:ONIVA10G09680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPTQRGHGWSASPSPSLLALSPFSSVPSHGYARKAGEVWRRRISCTVRRAKLTICLEGNQTNKVFQTIWNEKPDGTVQRDIKIQKGSTIRVCRVTIFGWCLIHSKQTQILDNHGQVDDDEVIIDSPLLPYQISHDFLSQFGSSLPDLIDFNDVKPASFLWLFLS >ONIVA10G09680.3 pep chromosome:AWHD00000000:10:10909751:10917080:-1 gene:ONIVA10G09680 transcript:ONIVA10G09680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPEERGGVRGEREIGGRKKRRNKTGVRVAGGWLAHCPHDENPSFLTFLREREQQVCDEARVAPLLCTLWFVPSSRGTC >ONIVA10G09680.4 pep chromosome:AWHD00000000:10:10917397:10921485:-1 gene:ONIVA10G09680 transcript:ONIVA10G09680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGGEDGWRRRRRRSAAMGGISCTVRRAKLTICLEGNQTNKVFQTIWNEKPDGTVQRDIKIQKGSTIRDLIDFNDVKPASFLWLFLS >ONIVA10G09680.5 pep chromosome:AWHD00000000:10:10909751:10921459:-1 gene:ONIVA10G09680 transcript:ONIVA10G09680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPTQRGHGWSASPSPSLLALSPFSSVPSHGYARKAGEVWRRRPTKSSRPFGMRSLMEQCNEILRSRRAPQSEGWCLIHSKQTQILDNHGQVDDDEVIIDSPLLPYQISHDFLSQFGSSLPDLIDFNDVKPASFLWFENPSFLTFLREREQQVCDEARVAPLLCTLWFVPSSRGTC >ONIVA10G09680.6 pep chromosome:AWHD00000000:10:10909310:10921459:-1 gene:ONIVA10G09680 transcript:ONIVA10G09680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPTQRGHGWSASPSPSLLALSPFSSVPSHGYARKAGEVWRRRISCTVRRAKLTICLEGNQTNKVFQTIWNEKPDGTVQRDIKIQKGSTIRGHHQCVSPARSLANGNQLPSPGRLLGGRRDPQAGDGWLKLLFMSHRLGLCVA >ONIVA10G09680.7 pep chromosome:AWHD00000000:10:10917397:10921459:-1 gene:ONIVA10G09680 transcript:ONIVA10G09680.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPTQRGHGWSASPSPSLLALSPFSSVPSHGYARKAGEVWRRRPTKSSRPFGMRSLMEQCNEILRSRRAPQSEGWCLIHSKQTQILDNHGQVDDDEVIIDSPLLPYQISHDFLSQFGSSLPDLIDFNDVKPASFLWLFLS >ONIVA10G09670.1 pep chromosome:AWHD00000000:10:10906053:10908608:1 gene:ONIVA10G09670 transcript:ONIVA10G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKQMQQRRRREDWAGATSGWEERAPPDPRRWRTPAVRTSWRDGCTAAAIAKVPDIICMARRAKLTIYLKPKEIHDKCSGNANIEIQKGSTIRDTVRETPLEDILVTQ >ONIVA10G09670.2 pep chromosome:AWHD00000000:10:10906053:10908717:1 gene:ONIVA10G09670 transcript:ONIVA10G09670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKQMQQRRRREDWAGATSGWEERAPPDPRRWRTPAVRTSWRDGCTAAAIAKVPDIICMARRAKLTIYLKPKEIHDKCGTINTCKIDTKTKLGGGGDCIYQGLFYNLHNIQSSLPKNDRSAKSSLRPFCNILKKSDGTVGMPILRSRRVPPSEMMVIGDEGDP >ONIVA10G09670.3 pep chromosome:AWHD00000000:10:10906161:10908717:1 gene:ONIVA10G09670 transcript:ONIVA10G09670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAKLTIYLKPKEIHDKCGTINTCKIDTKTKLGGGGDCIYQGLFYNLHNIQSSLPKNDRSAKSSLRPFCNILKKSDGTVGMPILRSRRVPPSEMMVIGDEGDP >ONIVA10G09660.1 pep chromosome:AWHD00000000:10:10906025:10906204:-1 gene:ONIVA10G09660 transcript:ONIVA10G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHPSRHDVLTAGVLHRLGSGGALSSHPDVAPAQSSLLRRCCICLTTIETFGRTHR >ONIVA10G09650.1 pep chromosome:AWHD00000000:10:10898301:10904986:-1 gene:ONIVA10G09650 transcript:ONIVA10G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYQQPGRRRLAADDHHRGRDRGTYSVAANLPVFTETTNNGGGDAIGPDSYGIGPSLSLSLHQHHTGVGGASDQTTVFAGGDTLAANYLYSYATPVAYSAQDWHRIDAGGGQNTVFPNVDHAFGVLAPYFATADLHHIGGGGDHNTVFFPNIDARAMNAFSRAAPNQYSAAEFDYNGVGSEQNYEEFYYNGVSGGEQNIEEFYYNGTSGEQIMVSPNMDNLTTNTFNLATQAHYPAVEFYYNGVDGEQNIEEFYYNGASTEQNMAFPTMDTHAANIFSFDASINHSAEEFRQISAGGELNTVCPNIDTPLAANAFSFVAPVHYSDANADLHLHVVDAGGEQDTVAAIVDVIGIPASPPSPAPASITNATVKSVWRENYTEQFKLVVDALHQPRRHLYIAVDMEFAADATTNIRRRPVTSTGCYHHLREFVNRGDIVQMGLAFVFVGGGEQSSSSSSPPPITLEINFKINIKARKYNKKSIAFLSRQGHDLREHRRRGVSPRRVYEGLLRHLPFGDGRSVTWLAYHSDYDLGFLLRLLQCGGRRRGGGDLPRQLAAFLRRLRENFPAFYDVRVIRQMLEDHGFSGKLTGLAEHLGIRRTGGAAHHAGSDALLTLSCFFKIFRSLSGQQLHQLDARRGLLAGLEEWNMAIKCARHIDDHTRNIKVIEVVAENLDEEARRIGELVASNFSIIGVDVNQLAELMQSCGATNNPDVSWVTFQGSDVIYRLIRSANGGVIPSLISGESYFPSLYDVALIVGGFHGIGTLATTDRKVGIFDVARALKLKAIKADKEAERVLLTLRCFMRLAELIP >ONIVA10G09640.1 pep chromosome:AWHD00000000:10:10891987:10896105:1 gene:ONIVA10G09640 transcript:ONIVA10G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQQQVVDAAAAATTRVPVPPPKPLLRTIGGNLMETFFPDDPFRAVARESGGRRALAALRYVFPFLEWLPSYSLAALWSDVVAGVTIASLAVPQGISYAKLGDLPPIMGLYSSFVPPLVYAVMGSSRELAVGTTAVASLLFAATLGKEAPPGEKPELYAALAFTATFFAGVLQAGLGVLRLGFLVDLLSHAAIVGFMAGAATIVCLQQLKGMLGLAHFTTSTDVVAVVRSVVTQSHQWRWQSIVVGCCFLIFLLFARYISKRKPKWFLLSAMAPLASVIAGSVLVYLIHGDRHGIPVIGYLKKGINPPSARDLLLSSPHTMVALRTGIITGIIGLAEGIAIGRSFAMLKSYNVDGNKEMIAFGAMNIVGSCTSCYLTAGPFSRAAVNHNAGCKTPMSNAVMAVAVMLTLQFLTPLFHYTPLVVLSAIIISAMIGIIDYKAAVRLWKVDKIDFCVCVGTYLGVVFGDIQIGLAIAVGISILRILLFIARPKTTVLGKMPNSTNFRRMDQYTVAKAVPGLLVLRIDSPIYFANSGYLRERIMRWIDHEEDRIKAEGLESLKCVVLDMGAVASIDTSGTKMLEDLKKNLDRSSIQIALANPGSEIMRKLDKSNVLGLIGEEWIFLTVSEACYYAQQNCKIGVGMGVVQCVVDPEHMV >ONIVA10G09630.1 pep chromosome:AWHD00000000:10:10880600:10882729:1 gene:ONIVA10G09630 transcript:ONIVA10G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLAGKKDGGGRRSGERQQHGGGDATPAVEVAAASTREKKRWSFRRSSASASAAAMGKPAAVTAPSTPEPSVSGLASVSERARDVADLEGQSKHAMAVAAVATAAEGDDVSASAVEVVAAVMIQATYRGYLARKALCALRGLVKLQALIRGNLVRKQATATLRRMQALLVAQARLRAQRMRMLEEEEDDDVHGHGHHHHRRSSPHHPRHRRSYVSRARLPSRRRGNFSYVHALQEMDRSGEEQAKIVEVDVGEPAPPRRGRSSCSVAASESRERRMAEYGYYAQCSPAPSSSAFTAAAAASPPRDASYSGHFDDFSPFEPATARSSPYIPPSPAGGGGGGSGGGEFFPNYMANTQSSRAKARSQSAPRQRTEPHLPQPPPPPPHFERQPSRRRGGGVPRSVKMQRSSSHVGVPAAHGYHHHHLYSYGQYPWSVKQLDRSSASLKDSECGSTTSSVLTAATTVGYCRSLVGFDLHRGHY >ONIVA10G09620.1 pep chromosome:AWHD00000000:10:10872781:10875014:-1 gene:ONIVA10G09620 transcript:ONIVA10G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductases [Source:Projected from Arabidopsis thaliana (AT4G20350) TAIR;Acc:AT4G20350] MEDDKTTQESSAAAAPPLRSLADYAVGPIPTLLYVPGFISDAEQSQLLHHIYQAPAPKWKSLKNRRLQNWGGVVHEKGLLPQALPSWLTKITDRICQWTGLFPSAINHVLINEYHPNQGIMPHQDGPAYFPVVAIISLASPVVIDFTPHQRLKGEDFTDPQNAHSGESQATTTESNGSHNLEGANETDPASSSLLLMPCSLLIFKDQAYTDYLHGIQDNELQNLDKVANMLQCPEFKHLNSGDGQGNTDESCSLEQSGVYRRTARRVSLTCRLVLKVHKKLFKM >ONIVA10G09610.1 pep chromosome:AWHD00000000:10:10868932:10871224:-1 gene:ONIVA10G09610 transcript:ONIVA10G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSLAGTVDSACFNTSISLPNSPRAASRSLTVSCVAVNCGPGGQCVKEEGFSYHCACSPGFVNMLNLTELPCIKNCAFGKDCAALGLSPASTPAPAPTPADRESSIEKPISVVSFGITLSLKTIVSWDIYNVMGYMVKS >ONIVA10G09600.1 pep chromosome:AWHD00000000:10:10868033:10868293:1 gene:ONIVA10G09600 transcript:ONIVA10G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWCNYRRSRKRSTMTTRTPLPHVPAVLGDEAGKRVRDPTARKKMSGGRCDRGGEDRVASSLRSLNHRHSSSQSPPPPSPPKEE >ONIVA10G09590.1 pep chromosome:AWHD00000000:10:10863001:10864447:-1 gene:ONIVA10G09590 transcript:ONIVA10G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMPPPLLLVAAAALIAVVTATVAAGAGEGPACDTAHCGRGQCVEQPGPLGLDTFRCDCDAGWSNMFAFLPASPCTIPKCTFDSACFNITFNFPRGFPLTDPCVAINCGSGGECVKEEGLSYHCACSRGFVNMFNLTMFPCIKNCAFGKDCSAQGLSPPGSPPPPPPPSPSSSSPATPGNDSHDSSGHPSSPKGNASTVATSTTSLGYTITRFFT >ONIVA10G09580.1 pep chromosome:AWHD00000000:10:10858946:10860282:-1 gene:ONIVA10G09580 transcript:ONIVA10G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIAFAAQILAFCLLLLLLLLLQLQTTMAGDSSFSGVFDHGSHGVTLVKVDEAPRKCSSAAAAKKTDDDTAPAGGAPPKPLLVAAPCDAGVYPVVVFLHGYLAYNSFYSQLFEHVASHGFVVVGPQLYTMSGPDTTDEINSAAAVINWLAAGGLTSKLPPNVRADATKISISGHSRGGKVAFALALGHANVSLRGGATIAALVAVDPVDGFAAGKQTPPPILTYGGANSLRVPAPVMVIGTGLGGLARAAPLLPACAPPGVSHGEFYGECAAPACHLVARDYGHTDMMDDVTPGARGLATRAVCRSGGARAPMRRFVGGAMVAFVKRWVEGEPELLECVRARPETAPVVLSAVEFRDEAIANHSY >ONIVA10G09570.1 pep chromosome:AWHD00000000:10:10852723:10854791:-1 gene:ONIVA10G09570 transcript:ONIVA10G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G43850) TAIR;Acc:AT5G43850] MAPLVWMLGENGEEKSFENPNELLPLSRLEEIGVLYWHLDPKKSESEEELTKIRRERGYSYFDLIEICPDELENYEEKLKSFYCEHIHADEEIRYCLEGSGYFDARDKDDKWIRIWIKEGDMIILPAGIYHRFIVDSNNYIKLMRLFIGEPVWTAYNRPQEDHPVRQEYVKNVKGDTGFALAAH >ONIVA10G09560.1 pep chromosome:AWHD00000000:10:10847475:10849518:-1 gene:ONIVA10G09560 transcript:ONIVA10G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IS65] MENEFQDGKTEVIEAWYMDDSEEDQRLPHHREPKEFIPVDKLTELGVISWRLNPDNWENCENLKRIREARGYSYVDICDVCPEKLPNYETKIKSFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRIALKKGGMIVLPAGMYHRFTLDTDNYIKAMRLFVGDPVWTPYNRPHDHLPARKEFLAKLLKSEGENQAVEGF >ONIVA10G09550.1 pep chromosome:AWHD00000000:10:10842560:10845049:-1 gene:ONIVA10G09550 transcript:ONIVA10G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAGIVKEEMLESQQQQRQEDGGAAPRPMEGLHEVGPPPFLTKTYDLVEDPATDGVVSWSRAGNSFVVWDPHVFADLLLPRLFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSNAPPSQQQSLTSCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQATKDHVKAMEDRLRAAEQKQVQMMGFLARAMRNPEFFQQLAQQKEKRKELEDAISKKRRRPIDNVPFYDPGETSQTEQLDSPYLFDSGVLNELSEPGIPELENLAVNIQDLGKGKVDEERQNQTNGQAELGDDFWAELLVEDFTGKEEQSELDGKIDGIDELAQQLGYLSSTSPK >ONIVA10G09540.1 pep chromosome:AWHD00000000:10:10835343:10838464:-1 gene:ONIVA10G09540 transcript:ONIVA10G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSDLSSDQEATGSNSHGGGGGDRMVVGSHGAAHVVLSNLFLPPAAAAAATMLLPAAPVMVRPAAMAAAQEPRAKKKRSLPGNPDPEAEVIALSPRALVATNRFVCEVCNKGFQRDQNLQLHRRGHNLPWKLRHRAAAVSAVTTAAPAPRKRVYVCPEPTCVHHDPARALGDLTGIKKHFSRKHGEKRWRCERCGKRYAVHSDWKAHVKNCGTREYRCDCGILFSRKDSLLTHRAFCDALAEESARLLAAANNSSSITTTTCNNSNISSSSNNNNINSISNSNNLLITSSSSSPPLFLPFSTTPAENPNPNQLLFLQQHQAAHHQLLLPQFQQPPSSPPAYFDHLAFGGGGGVITSSSCNDDNSSIAGDVMVAAGGDSVSFGLTSEGSVTMHAGDVGRRRLTRDFLGVDHDAGEVDELELDELPADLSTTAAACQGCNFAAATTVACCATDFTTGSRQYLGRLPPVNETWSHNF >ONIVA10G09530.1 pep chromosome:AWHD00000000:10:10826354:10836859:1 gene:ONIVA10G09530 transcript:ONIVA10G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPIVPLSSAGEFLGKRGISRPKVEGERVHGLTVPLLLSWRLGDQSMEHVQIPRVKLGTQGLEVSKLGFGCMGLTGVYNAPVAEEDGVAVVRRAFEAGALKQLPREKVQVATKFGIAGFDANGMLVKGTPDYVRACCEASLERLAVDYIDLYYQHRIDQSVPIEETMGELKKLVEEGKVKFVGLSEASADTIRRAHAVYPITAVQMEWSLWTRDIEEEIIPLCRELGIGIVPYSPIGRGFFAGRAAVQSIPSESWLTRHPRYNGENLEKNKVFYTRIEELATKYGCSPAQLALSWVLHQGDDVVPIPGTTKVKNLDDNIGAVKVKLSKEDLKEISAAVPAGEVAGSRLIGVLEPYSWRVANTPPPKSLSRTCATPSIMGFEGAAASKQETTIRVA >ONIVA10G09530.2 pep chromosome:AWHD00000000:10:10826354:10836859:1 gene:ONIVA10G09530 transcript:ONIVA10G09530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPIVPLSSAGEFLGKRGISRPKVEGERVHGLTVPLLLSWRLGDQSMEHVQIPRVKLGTQGLEVSKLGFGCMGLTGVYNAPVAEEDGVAVVRRAFEAGALKQLPREKVQVATKFGIAGFDANGMLVKGTPDYVRACCEASLERLAVDYIDLYYQHRIDQSVPIEETMGELKKLVEEGKVKFVGLSEASADTIRRAHAVYPITAVQMEWSLWTRDIEEEIIPLCRELGIGIVPYSPIGRGFFAGRAAVQSIPSESWLTRHPRYNGENLEKNKVFYTRIEELATKYGCSPAQLALSWVLHQGDDVVPIPGTTKVKNLDDNIGAVKVKLSKEDLKEISAAVPAGEVAGSRLIGVLEPYSWRVANTPPPKSLSRTCATPSIMGFEGAAASKQETTIRVA >ONIVA10G09530.3 pep chromosome:AWHD00000000:10:10826354:10835388:1 gene:ONIVA10G09530 transcript:ONIVA10G09530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPIVPLSSAGEFLGKRGISRPKVEGERVHGLTVPLLLSWRLGDQSMEHVQIPRVKLGTQGLEVSKLGFGCMGLTGVYNAPVAEEDGVAVVRRAFEAGALKQLPREKVQVATKFGIAGFDANGMLVKGTPDYVRACCEASLERLAVDYIDLYYQHRIDQSVPIEETMGELKKLVEEGKVKFVGLSEASADTIRRAHAVYPITAVQMEWSLWTRDIEEEIIPLCRELGIGIVPYSPIGRGFFAGRAAVQSIPSESWLTRHPRYNGENLEKNKVFYTRIEELATKYGCSPAQLALSWVLHQGDDVVPIPGTTKVKNLDDNIGAVKVKLSKEDLKEISAAVPAGEVAGSRLIGVLEPYSWRVANTPPPKSLSRTCATPSIMGFEGAAASKQETTIRVA >ONIVA10G09530.4 pep chromosome:AWHD00000000:10:10826354:10833959:1 gene:ONIVA10G09530 transcript:ONIVA10G09530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPIVPLSSAGEFLGKRGISRPKVEGERVHGLTVPLLLSWRLGDQSMEHVQIPRVKLGTQGLEVSKLGFGCMGLTGVYNAPVAEEDGVAVVRRAFEAGALKQLPREKVQVATKFGIAGFDANGMLVKGTPDYVRACCEASLERLAVDYIDLYYQHRIDQSVPIEETMGELKKLVEEGKVKFVGLSEASADTIRRAHAVYPITAVQMEWSLWTRDIEEEIIPLCRELGIGIVPYSPIGRGFFAGRAAVQSIPSESWLTRHPRYNGENLEKNKVFYTRIEELATKYGCSPAQLALSWVLHQGDDVVPIPGTTKVKNLDDNIGAVKVKLSKEDLKEISAAVPAGEVAGSRLIGVLEPYSWRVANTPPPKSLSRTCATPSIMGFEGAAASKQETTIRVA >ONIVA10G09530.5 pep chromosome:AWHD00000000:10:10832847:10835893:1 gene:ONIVA10G09530 transcript:ONIVA10G09530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVSARPGMPTKNICALHSLEVVAPRLVHRRQPAEVLPAAGGEVGGAAGDGGGGGEVATLAGGGGGGQISRQLIKLELVDLAGVVIDAEEVPGEPAPSDVAGVHGHGAFRGKPEADTVTTCSHHDIAGDRAIVVVAAAAGDDATAAAECEVIEVGRRRGGWLLELRKKQLVVSCLVLLQEQELIRVRVFSRSGGEWQEQWW >ONIVA10G09520.1 pep chromosome:AWHD00000000:10:10819257:10824113:-1 gene:ONIVA10G09520 transcript:ONIVA10G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGQSSSLQQTSSSVNIMASSATSGRVLAIMLLMAIIAALMIINSTVAECRVAPDQVGVDPNGHCYFDPSSCRSPGAP >ONIVA10G09520.2 pep chromosome:AWHD00000000:10:10819257:10819579:-1 gene:ONIVA10G09520 transcript:ONIVA10G09520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATSGRVLAIMLLMAIIAALMIINSTVAECRVAPDQVGVDPNGHCYFDPSSCRSPGAP >ONIVA10G09520.3 pep chromosome:AWHD00000000:10:10819255:10819592:-1 gene:ONIVA10G09520 transcript:ONIVA10G09520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATSGRVLAIMLLMAIIAALMIINSTVAECRVAPDQVGVDPNGHCYFDPSSCRSPGAP >ONIVA10G09510.1 pep chromosome:AWHD00000000:10:10811537:10812097:-1 gene:ONIVA10G09510 transcript:ONIVA10G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRRACSWFGSNPVKPLNQPRPNRSAGYTWVGLGYSKTRLNRIKYRKVDEAWDGAIAGVHAVAVREGNVVAVVAFGDGEVDAAKPGGDSAEIGRDERHEPQQEQYQPLASLLPWLGLVDRQWWLRRRRRRNHRAAPRTA >ONIVA10G09500.1 pep chromosome:AWHD00000000:10:10803558:10804848:1 gene:ONIVA10G09500 transcript:ONIVA10G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMGRRRWLPSPSLADLAGGGRREAGGDGEGSPPPPSQIRPEGGLEIGGRTRKDMNGNGSAKEGGK >ONIVA10G09490.1 pep chromosome:AWHD00000000:10:10794660:10795480:-1 gene:ONIVA10G09490 transcript:ONIVA10G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALVGEGDVEDAGGRGDEPQTPTVGDGGGGRPPDPAVGRRGRRQAAPAGGQEAREVAANAGVVGGRSAGEAAAAGSVSPKFVEVGSGGRRRRLTVRRRGRWPRTPAVAGSASSKLIEAGSGDRLLGDGGRRRRPRAPGGRRRAKETATRSWEGGGRPRQPVVVGGSDSRWRVDRATADGGWVEARRREKREERRKREDREEEERREEEIRPVGGWWAS >ONIVA10G09480.1 pep chromosome:AWHD00000000:10:10783499:10786600:-1 gene:ONIVA10G09480 transcript:ONIVA10G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IS51] MECADYFIGSGRRCSPSTSTSREAWRPEKQWRKATNVIRGCHRLLRLGVLSAAAGIMRRNPSYVEIKVHDEGELDVSSGGDGEAPVAFTVAADDESFKGLVKNKREDCFRLLGGGAGVAAVLASGAERGIRGDDADVARRKKAFGSNTYPKPKPKGFFRHVWDALADVFLIVLLVCAAVSLAFGIKEHGIKDGWYDGVSIFLAVFLVAAVSAVSNHSQGKRFDKLARESENIMVSVVRAARRQEVSIFDVVVGDVVVLKIGDVVPADGVFLDGHALQVDESSMTGEPHPVEVDAIKSPFLASGVKVVDGYGKMVVTAVGTDTAWGEMMRTITRENTDPTPLQERLEGLTSSIGKVGIAVAVLVFAVLTARHFTGSTRDEQGNALFDKRNVTFNAVFSGLVGIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVRENALVRRLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGADRPRSAAAVNGGVVRLLCQGAGLNTTGSVYKPDNVSPPEITGSPTEKALLSWAVEELAMDADALKRKCKVVRVEAFNSDKKRSGVMLRDAATGAVTAHWKGAAEMVLARCTVYVGADGAARELGVEQRRKLEQVINDMAAASLRCIAFAYKQVVDGGDSDNAKVDDEGLTLLGFVGLKDPCRPEVKSAIEACTKAGIAVKMVTGDNVLTARAIAKECGIISGNDDDAAGVVIEGHEFRAMSEQEQLAIVDNIRVMARSLPLDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIVILNDNFDTVVTATRWGRCVYNNIQKFIQFQLTVNVAALVINFVSAVTTGRMPLTTVQLLWVNLIMDTMGALALATDTPTKGLMRRPPIGRTAPLISNAMWRNLAAQAAYQVAVLLALQYRGFGGAGAGERANGTMIFNAFVLCQVFNEFNAREIERRNVFAGVHRNRMFLGIVAVTVALQVVMVELLTKFAGTERLGWGQWGACVGIAAVSWPIGWAVKCIPVPERPFHEIITARRRRRRST >ONIVA10G09470.1 pep chromosome:AWHD00000000:10:10779329:10780926:1 gene:ONIVA10G09470 transcript:ONIVA10G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWKFSMTSLLGD >ONIVA10G09460.1 pep chromosome:AWHD00000000:10:10759214:10760231:-1 gene:ONIVA10G09460 transcript:ONIVA10G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAPDPSPAPARSMLKRLFDRQLLRVSPAERIVAVGGGEKDEVEPSSVCLDGMVRSFLEDGSGVGAAVERAGGHGVRRCNCFHGGGSSDDDDDEDDAAASSDVAETIKGLVHCATLRERNLLADVCGHVERHRAGGARRRELLGLVAASLRAAGHDAAVCVSRWDKSPTHPAGEHAYVDVLLPPASDRGARERVLVDVDFRSAFEVARPTKAYRALLQRLPAVFVGKDDRLRLLVAASADAARASLRKRGLHLPPWRKPEYMRAKWLSPYDREPAPPDEASASAAAAEVAGEEAPAAA >ONIVA10G09450.1 pep chromosome:AWHD00000000:10:10740923:10744473:1 gene:ONIVA10G09450 transcript:ONIVA10G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKNGTAYGEYTYAELEREQYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTNSVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIILSFEDRELPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFWIKEQIEKEKTQGVDIAGYGSSKVVSTQAPVQLGSLRAADGKE >ONIVA10G09450.2 pep chromosome:AWHD00000000:10:10741263:10744473:1 gene:ONIVA10G09450 transcript:ONIVA10G09450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKNGTAYGEYTYAELEREQYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTNSVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIILSFEDRELPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFWIKEQIEKEKTQGVDIAGYGSSKVVSTQAPVQLGSLRAADGKE >ONIVA10G09440.1 pep chromosome:AWHD00000000:10:10716554:10716886:1 gene:ONIVA10G09440 transcript:ONIVA10G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVEMLDMGVRVAARFHSHCPHTARMYYKPPQTTADHGGRGDGGVAAGKQVAAGFRFEAVTSSSAGAMAVAAAAAWEDRGFRATAPSGFDFEFDTAQAVVVYDDVAAV >ONIVA10G09430.1 pep chromosome:AWHD00000000:10:10701733:10702777:1 gene:ONIVA10G09430 transcript:ONIVA10G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFTGVRFSDVPINPNLSFNFILSFAIDYTSPAGGATPAPTNGVFSPYWDTANLSPADVAAVKAAHPNVSVMVGLGGDSVQDTAKVFFSPTSVDSWVANAVASVSGIIDAYGLDGVDVDYEHFNDDGGAGVDTFVECIGRLLTELKARHPNITTSIAPFEDAVVQRYYQPLWRRYAGVIDLVNFQFYGYGDNTDVPTYVMFYDEQAANYPGGKVLASFKTGDVAGLLSPEQGIAGAKELQRQGKLPGLFIWSADSSKVSSYGFEYEIKAQEIIANH >ONIVA10G09420.1 pep chromosome:AWHD00000000:10:10700293:10700562:1 gene:ONIVA10G09420 transcript:ONIVA10G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLEMDHVGRNSDDVMAAAPGASSGALVGKVAFPLLQWIKRDGVKRRFARHDVGSLERERFGDGDDSSATASVIFFAIDDENVGDGEA >ONIVA10G09410.1 pep chromosome:AWHD00000000:10:10699739:10700235:1 gene:ONIVA10G09410 transcript:ONIVA10G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLANGDSSADTTTRRNAEDFLAILLKVVSSPEVAGIDASGVASGGGFQSLGAHWNLIAAWRGLGNSGNGKDSPAVVDNVGFTATARLSGGMLREGAWVVSEVPKELHARLISPWLTGERGIGDGTRRPELEKMTAISLVCA >ONIVA10G09400.1 pep chromosome:AWHD00000000:10:10689154:10690014:1 gene:ONIVA10G09400 transcript:ONIVA10G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGYLFREYIGAQFTGVRFSDVPVNPGLSFHFILAFAIDYFMATQSSKPAPANGVFAPYWDTANLSPAAVAAAKAAHPNLSVILALGGDTVQNTGVNATFAPTSSVDAWVRNAADSVSGLIDAYGLDGVDVDYEHFAAGVDTFVECIGRLLTELKARHPNIATSIAPFEHPVVQRYYQPLWRRYAGVIDYVNFQFYGYGANTDVATYVMFYDEQAANYPGSKLLASFKTGNVTGLLSPEQGIAGAKELQRQGKLPGLFIWSADSSMVSSYKFEYETKAQEIVANH >ONIVA10G09390.1 pep chromosome:AWHD00000000:10:10660770:10665473:-1 gene:ONIVA10G09390 transcript:ONIVA10G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IS41] MDRELVRTVKLATKNHAGVLFRRAVRHLPHIVAVTALVAAAPRLSTLLAAAAAGGVGGGSTMRWARALWSDLAGELGPSAPALAVACWAAALAAYTYAASRPRPVYLIDLAGYKAPREHEASRAKTIAHFGRCGRFSGESMAFQKRMLERSGLGEATHFPTSLISLPVDMCLRTAREESHAVIFGVVDEVLRKSGVAAADVGVLIFNSSLLSPTPSFTSLIVNRYGMRPGVVSHNLSGMGCSAGIIAIDLAKRLLQVHENTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRAADRRGRAKYQLIHTVRTHRGAHDQSFGCVTQEEDDAGEVGVSLSKELMVVAGEALKTNITTLGPLVLPISEQLRFLATVVLKRVFRADVKAYLPDFKLALDHFCIHAGGRGVLDELEKSLKLSPWDMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIKRGDRVWQIAFGSGFKCNSAVWRALRTVDAAGLDAGDNPWMKEVDMLPVDVPKVAPIDETSYQIPN >ONIVA10G09380.1 pep chromosome:AWHD00000000:10:10658979:10659902:1 gene:ONIVA10G09380 transcript:ONIVA10G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLIAVVLLPALLAFQAPMATAVNSNLFRDYIGAIFNGVKFTDVPINPKVRFDFILAFIIDYTTETNPPTPTNGKFNIFWQNTVLTPSAVASIKQSNPNVRVAVSMGGATVNDRPVFFNITSVDSWVNNAVESLTGIIQDNNLDGIDIDYEQFQVDPDTFTECVGRLITVLKAKGVIKFASIAPFGNAEVQRHYMALWAKYGAVIDYINFQFYAYGASTTEAQYVDFFNQQIVNYPGGNILASFTTAATTTSVPVETALSACRTLQKEGKLYGIFIWAADHSRSQGFKYETESQALLANATISY >ONIVA10G09370.1 pep chromosome:AWHD00000000:10:10649363:10655395:-1 gene:ONIVA10G09370 transcript:ONIVA10G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone acetyltransferase of the GNAT family 1 [Source:Projected from Arabidopsis thaliana (AT3G54610) TAIR;Acc:AT3G54610] MDGLAAPSPSHSGATSGGGASHRKRKLPPSSLSDATADEDDDTTAPSSPSTSPSSPSRPSSPSSSHSDDDDDDSLHTFTAARLDGAPPSSSGRPPKPESSTVSAAAAAAAAAAAPKPDSASAAAGDGKEDPKGLFTDNIQTSGAYSAREEGLKREEEAGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYTSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWQGYIKDYDGGILMECRIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKKEAGIPRRTMKPEDIQGLREAGWTPDQWGHSKSRSAFSPDYSTYRQQLTNLMRSLLKATDCQNMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLKTMSKRVESEQYYVTLEMFVADMKRMFSNAKTYNSPDTIYYKCASRLESFFSNKVASQLAQASTKN >ONIVA10G09370.2 pep chromosome:AWHD00000000:10:10649363:10655395:-1 gene:ONIVA10G09370 transcript:ONIVA10G09370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone acetyltransferase of the GNAT family 1 [Source:Projected from Arabidopsis thaliana (AT3G54610) TAIR;Acc:AT3G54610] MDGLAAPSPSHSGATSGGGASHRKRKLPPSSLSDATADEDDDTTAPSSPSTSPSSPSRPSSPSSSHSDDDDDDSLHTFTAARLDGAPPSSSGRPPKPESSTVSAAAAAAAAAAAPKPDSASAAAGDGKEDPKGLFTDNIQTSGAYSAREEGLKREEEAGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYTSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWQGYIKDYDGGILMECRIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKGKLGGRLISGGIPNQDQPFLLITNMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLKTMSKRVESEQYYVTLEMFVADMKRMFSNAKTYNSPDTIYYKCASRLESFFSNKVASQLAQASTKN >ONIVA10G09360.1 pep chromosome:AWHD00000000:10:10632766:10650270:1 gene:ONIVA10G09360 transcript:ONIVA10G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acylaminoacyl-peptidase-related [Source:Projected from Arabidopsis thaliana (AT4G14570) TAIR;Acc:AT4G14570] MVVLATGLSLTPRFYSRSSIVVAASLLLSAAPSSSSPRARAAAPASGYSPWRGSISRISSHPTAMATTQASEAATEKGLPLGMDVSMVDEYASQSKLLQEFVKIPTIGNAWIFNSKTENTSRAIVSVGQTDLLANKKRSFLLNSHISKNSSNSVDFQWSPFPIEMSGVSAVIPSPSGRKLLLIRNSEDDSPTKLEVWGPCQLENEIHIAQSVHGSLYVDEWFEGISWNQEETLVAYVAEEPPQPKPEFNDSGYKKAGSSEKDCKSWKGKGDWEETWGETYSKKRIPALFVVNISSGEVRAVKGIPRTLSVGQVIWAPSSSHSLVFVAWSSDNGYQKTPRKLGIKYCFNRPCALYAVPDPFMEEADKPSLNVSKGETAPTTKLTSDLSSAFFPRFSPDGKYLVFISAKSAIDSGTHNATNSMHKIDWPADGKLEGLSVADVDGCFPGLYCSGILRNPWLTDGQTMILSSIWGSKEVILSVNVVSREVSRVSPQDSDYSWNVLALDKDNILAVSSSLITVPQIYYGSEVCQTGKPNQWEWQEIATPFPSPPDKISAILADHKFSILKIPISNSSNKLADGAKLPFEAIFVSWKDSATRPTIVVLHGGPHTVYPSSYSKSLAFLYSQGYNLLVVNYRGSLGFGEEALQSLPGNIGSQDVNDVLTALDFVIKKGLIDASKVAVVGGSHGGFLTTHLIGQVFLDSVDLMFHGLQAPGTFVAAAARNPVCNLSLMVGTTDIPEWCFVEIYGKEGKNCFSEYPSFDDLCQFHQKSPISHISKVSTPTLFLLGAQDLRVPVSNGLQYARTLKEMGVETKIIVFPEDMHGLDKPQSDFESFLNIGVWFKKHMSK >ONIVA10G09360.2 pep chromosome:AWHD00000000:10:10632766:10650270:1 gene:ONIVA10G09360 transcript:ONIVA10G09360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:acylaminoacyl-peptidase-related [Source:Projected from Arabidopsis thaliana (AT4G14570) TAIR;Acc:AT4G14570] MDALASEEYASQSKLLQEFTNAPSIDGAWVFQTNNEDRSTAMYSISQTNLLANNKRKYILFSHIMRNGTNLLDFKWSPFPIQMDGVSAVVPSPSGSKLLVVRNGEKGSPTKLEIVDQSHVEKEIHVAQSVHGALYTDEWFHGISWNQEETLIAYIAEDSPEPKPVFDDTGYRKEGSSEKDCNNWKGQGDWEEDWGETYSKKGRPSLFVLDINSGEVRAAKGISRSLSVGQVVWAPPSSCGRQKYLIFVGWLEHNGFQNTPRKLGIKYCSNRPCSLYSTLCPFEESDVDNAPASDSKLEPASVAINLTPSISSAFFPRFRTLNHARKILHGKSLIIFNSKDGKLLVFLSANRAVDSGAHNATDSLHKINWPSDWKMDQYLEITDVSIYLTSELCQIPIVMCPQDGCFPGLYCSSMLSNPWLSDRCTMILTSAWRSTEVILSIDVLSGKATRISPENSEYSWSALAVDGHNVLAVSSSPIDPPQIKYGHQVSLKDQTCTWVWDEVNNNPLMAANNKVKALLSHHQFSILKIPVTNPSDDLSDGGKLPFEAIFVSCKDSSHKPTILVLHGGPHSVSVSSYSKTSAFLASLGFNLLIVNYRGTPGFGEEALQSLSGKVGSQDVQDCLTALDYVIEGGLIDASKVAVIGISHGGFLTTHLIGQAPDRFMVAAARNPVCNLSLMIGTTDIPDWCYAVACGSEGRQHASESPSPDHLRLFYQKSPIAHISKVKAPLLMLLGGADLRVPISNGLQYARALRERGGEIRIMMFPDDIHEINIPQSDFESFLNIGVWFKKHLSISASDASA >ONIVA10G09350.1 pep chromosome:AWHD00000000:10:10618891:10619376:-1 gene:ONIVA10G09350 transcript:ONIVA10G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLNGRPDGFLRSSAWLTRCKVDASVASVGARAWQGSGVRSPPPSHFHLPILNCSPLPSLPCRRRLHQIPYGHHHSFGVFSDGGLAADLELPRPTPVEKLQPLLHPLPSPSPASSPLPAPPLFSVATTAFSDRQATRSGDHGGVEQLGAAGSASEASQR >ONIVA10G09340.1 pep chromosome:AWHD00000000:10:10613075:10618221:1 gene:ONIVA10G09340 transcript:ONIVA10G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNVYIYGINLRLSTGEKGCTIEDQHAVNQILELEAGGQDQTKNKGATNGGIEQTLLPKNASKAQSRIDAINPFAMTHESNHGPA >ONIVA10G09330.1 pep chromosome:AWHD00000000:10:10612409:10618175:-1 gene:ONIVA10G09330 transcript:ONIVA10G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILDCAFDAFFGSNFLAMVATCISNIVSWEESIAALGPAKCYVCNSGNSVITAVPGGNALPLVGGIKVGYCSERQTRGPLVAKMWWILDYTASLPGLTTMNCPSMSSQPKPQLGKPS >ONIVA10G09320.1 pep chromosome:AWHD00000000:10:10606143:10606817:1 gene:ONIVA10G09320 transcript:ONIVA10G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHHLVTHFSTPTCGKRGIGGTVDVHLGHLRVLGREGNSLGYASGGGTGGKRGDRSGTVVGLVAAPGSAGRRHYRCSPLFPDATATTTGERGERGAALERGEATDGERGSAATAARYPPAPLDSMPEMAAALFLVLARSTATREERVRQGGREEAAAGDGRSRRGERRGATSTEEGWI >ONIVA10G09310.1 pep chromosome:AWHD00000000:10:10602025:10605485:-1 gene:ONIVA10G09310 transcript:ONIVA10G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATLPSSSSSAAAAVQTISRALSFPRRGGGGFLHLRRHPLAAAAAATRGRGPLLRLGPRRPFSASASAGDNGAAAGGDGGGCDYDYDLFTIGAGSGGMRASRVAASLCGARAAVCEMPFATVASDSLGGVGGTCVLRGCVPKKLLVYASKYSHEFEESHGFGWRYGTEPKHDWSTLMTNKNLELQRLVGVQTNMLKNSGVTIIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPDIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSGVHVFIRQKKVLRGFDEEVRDFVANQMSLRGITFHTEETPQAVMKSDDGLLTLTTNKGSINGFSHVMFATGRKPNTKNLGLEEVGVKMDKHGAIVVDEFSRTSVDSIWAVGDVTNRVNLTPVALMEGGALARTIFGNEPTKPDYSAVPSAVFSQPPIGQVGLTEEKAIEKYGDVDVYTSNFRPLRATLSGLPDRVYMKVIVCANTNKVLGVHVCGEDAPEIIQGIAIAVKAGLMKQNFDATIGVHPTTAEELVTMRSPTRKVRRDAVDEAKMKDEATSQK >ONIVA10G09310.2 pep chromosome:AWHD00000000:10:10602025:10605485:-1 gene:ONIVA10G09310 transcript:ONIVA10G09310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATLPSSSSSAAAAVQTISRALSFPRRGGGGFLHLRRHPLAAAAAATRGRGPLLRLGPRRPFSASASAGDNGAAAGGDGGGCDYDYDLFTIGAGSGGMRASRVAASLCGARAAVCEMPFATVASDSLGGVGGTCVLRGCVPKKLLVYASKYSHEFEESHGFGWRYGTEPKHDWSTLMTNKNLELQRLVGVQTNMLKNSGVTIIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPDIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSGVHVFIRQKKVLRGFDEEVRDFVANQMSLRGITFHTEETPQAVMKSDDGLLTLTTNKGSINGFSHNLGLEEVGVKMDKHGAIVVDEFSRTSVDSIWAVGDVTNRVNLTPVALMEGGALARTIFGNEPTKPDYSAVPSAVFSQPPIGQVGLTEEKAIEKYGDVDVYTSNFRPLRATLSGLPDRVYMKVIVCANTNKVLGVHVCGEDAPEIIQGIAIAVKAGLMKQNFDATIGVHPTTAEELVTMRSPTRKVRRDAVDEAKMKDEATSQK >ONIVA10G09300.1 pep chromosome:AWHD00000000:10:10594098:10599095:1 gene:ONIVA10G09300 transcript:ONIVA10G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARGGTPEEEGGGADVEKAAAETGGRGTWRHAAFHVATTIATPAAYAPLPFALASLGWPLGVCSLVTGTLVTWCSSLVVASLWQWNGDKHTSYKLLAKSIFGPWGYWYVSFFQQVASIGNNIAIQIAAGSSLKAVYKHYHTTDDGAMTLQQFIILFGAFELLLSQLPDIHSLRWVNAACTASTIGFAGTAIGVTIYDGHRIDRKEVDYSLQGSAASKIFRAFNALGTIAFSFGDAMLPEIQSSVREPVRMNMYKGVSTAYSIIVMSYWTLAFSGYWAFGTGVQPYILSSLTFPRWTIVMANLFAVIQITGCFQIYCRPTFAQFEQRIQAKDAGYRARMWRLVYTSAYMVVITLISAAMPFFGDFVSVCGAVGFTPLDFVLPALAFLKAGKLPENPGLRHAVKVITSAVAVLFSIVGALACIGAVRAIALDVKTYKFFHDM >ONIVA10G09290.1 pep chromosome:AWHD00000000:10:10581766:10592597:1 gene:ONIVA10G09290 transcript:ONIVA10G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVDGGGKRGTSTAEASSRSSDSLGGVRAIVSAGGGTGGGDNTSSTAPPLPSPFRPLCAAAASRKAHFQQGPFILFSVSFLRSVPRSGHQNPSSSSSPHKTPLSLLRPRRVAVAIAPPPPPPPTPATRNAGMNSYCDKKEVTVAFSKTKRQEEDEVIWRMVEQGATDDEECGGTNCSAGENRDPGWPGTSVFRMLIPATKVGAVIGHSGERLRRLCEETKACVRVIGGHFAAAERAVIIFAKEQPDEPKPPAIDALLRVYECTINDDGLDVRYNNIVVARILTPSEQAASLIGDQGSVINYIKKASKTNIHVIGNFLTLMHLLEPLVPSIDKFDISGLQLSIYTDADGDLPPVALEDDMIIEIWGLPARVHQALELVACHLRKYLVHRSVIPLFDPHVSIPISPVDMPPFHYSDHHEGLLHEASPGYYSLYSEAFQLEHPWTDTSYSRYPMENFTHADIFEYRQEAPVFFGRYRSVTPPHYGHEAEAYLSSPMELCLHNNLNTYGWQATPPIGRSDTVERIRSLISVYGKQAHPHPLRQTYQSTKMEKRPHSGISLYRRDDHPTRVSPSPATELPPSPAVSAYKWQVSPSLKMYPSTNVENLQHCRVSACAPEELPNVVVPSLTSQSPAVTSQVIMKMQVPIFYAEAVIGPTGARIDYIRQASGSSVVIKDLDDSAMSIEITGSAATDVQIAEQLIKNFMAEAAAASPDHSYDFIPSHLPAPRSPEPDIPTTSLTRRASYIGVFLQERWALAAPTLHPFTF >ONIVA10G09290.2 pep chromosome:AWHD00000000:10:10581766:10592597:1 gene:ONIVA10G09290 transcript:ONIVA10G09290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVDGGGKRGTSTAEASSRSSDSLGGVRAIVSAGGGTGGGDNTSSTAPPLPSPFRPLCAAAASRKAHFQQGPFILFSVSFLRSVPRSGHQNPSSSSSPHKTPLSLLRPRRVAVAIAPPPPPPPTPATRNAGMNSYCDKKEVTVAFSKTKRQEEDEVIWRMVEQGATDDEECGGTNCSAGENRDPGWPGTSVFRMLIPATKVGAVIGHSGERLRRLCEETKACVRVIGGHFAAAERAVIIFAKEQPDEPKPPAIDALLRVYECTINDDGLDVRYNNIVVARILTPSEQAASLIGDQGSVINYIKKASKTNIHVIGNFLTLMHLLEPLVPSIDKFDISGLQLSIYTDADGDLPPVALEDDMIIEIWGLPARVHQALELVACHLRKYLVHRSVIPLDHHEGLLHEASPGYYSLYSEAFQLEHPWTDTSYSRYPMENFTHADIFEYRQEAPVFFGRYRSVTPPHYGHEAEAYLSSPMELCLHNNLNTYGWQATPPIGRSDTVERIRSLISVYGKQAHPHPLRQTYQSTKMEKRPHSGISLYRRDDHPTRVSPSPATELPPSPAVSAYKWQVSPSLKMYPSTNVENLQHCRVSACAPEELPNVVVPSLTSQSPAVTSQVIMKMQVPIFYAEAVIGPTGARIDYIRQASGSSVVIKDLDDSAMSIEITGSAATDVQIAEQLIKNFMAEAAAASPDHSYDFIPSHLPAPRSPEPDIPTTSLTRRASYIGVFLQERWALAAPTLHPFTF >ONIVA10G09290.3 pep chromosome:AWHD00000000:10:10581766:10592597:1 gene:ONIVA10G09290 transcript:ONIVA10G09290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVDGGGKRGTSTAEASSRSSDSLGGVRAIVSAGGGTGGGDNTSSTAPPLPSPFRPLCAAAASRKAHFQQGPFILFSVSFLRSVPRSGHQNPSSSSSPHKTPLSLLRPRRVAVAIAPPPPPPPTPATRNAGMNSYCDKKEVTVAFSKTKRQEEDEVIWRMVEQGATDDEECGGTNCSAGENRDPGWPGTSVFRMLIPATKVGAVIGHSGERLRRLCEETKACVRVIGGHFAAAERAVIIFAKEQPDEPKPPAIDALLRVYECTINDDGLDVRYNNIVVARILTPSEQAASLIGDQGSVINYIKKASKTNIHVIDGDLPPVALEDDMIIEIWGLPARVHQALELVACHLRKYLVHRSVIPLFDPHVSIPISPVDMPPFHYSDHHEGLLHEASPGYYSLYSEAFQLEHPWTDTSYSRYPMENFTHADIFEYRQEAPVFFGRYRSVTPPHYGHEAEAYLSSPMELCLHNNLNTYGWQATPPIGRSDTVERIRSLISVYGKQAHPHPLRQTYQSTKMEKRPHSGISLYRRDDHPTRVSPSPATELPPSPAVSAYKWQVSPSLKMYPSTNVENLQHCRVSACAPEELPNVVVPSLTSQSPAVTSQVIMKMQVPIFYAEAVIGPTGARIDYIRQASGSSVVIKDLDDSAMSIEITGSAATDVQIAEQLIKNFMAEAAAASPDHSYDFIPSHLPAPRSPEPDIPTTSLTRRASYIGVFLQERWALAAPTLHPFTF >ONIVA10G09290.4 pep chromosome:AWHD00000000:10:10588891:10592597:1 gene:ONIVA10G09290 transcript:ONIVA10G09290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNHARSQDFWRRFWSMLSYACSELCLIILLHVAAGASYLATRLARIHKLKMPCILCTRMDHALHGKPWFSSDLVCAAHRSEISSLAYCSSHNNLAQCDDLCKRCTVATNDVVDTRRSKSRQLCSCCSEPFTKARNAHRISETASVSGEINREQIPADHSKDKAFVVGIEEVNESDSSPRTYEQSTKNNGASGNAGTAKLAPSGSTVPMRVFVDRNSSVKNGFISRANLSSPRPSQIISAKDSNSTTQQEVKAFLSQMSTVRGIDSSWSDGAPSPGINAQTDESNANGRRPSLERNYSVIEPSDANLADEVEGESSPENLKRLLELNKKSMSALYKELEEERSASAIAASQAMAMINKLHEEKAAMQMEALQYLRMMEEQADHDHEAIQNLHDLLTEREKELLDMDAELENFRRLVQNEQFNGGKHDIAGIMNETDMPFEVLNDLGYTKNTMSGFEDEMAYILESISRLEDKLCVSTNRLASDDAKINQEGLIGGADFGSSPTHGESTSDQQDDGNKSVQNHKDNCSCSHPEDGKTSDANLKDEVSLLHTRLQALEADQEFLKHVLNSLRCSPDGLQCVQEIASHLLELRRIATQR >ONIVA10G09280.1 pep chromosome:AWHD00000000:10:10570255:10573986:-1 gene:ONIVA10G09280 transcript:ONIVA10G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole (Corrin/Porphyrin) Methylases [Source:Projected from Arabidopsis thaliana (AT1G45110) TAIR;Acc:AT1G45110] MASLLRLQALVLNLTAPRRLPFLPLRVTTATAPLAGRLSTAAASGSSPESPASEPDLDSGLYLVATPIGNLEDITLRALRILKCADVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPNILKRLHEGEAVALISDAGTPGISDPGMELARLCATEGIPVIPIPGPSAAIAALSASGLPTDEFTFVGFLPKHARSRKERLEISACQAATQIFYVPPHGIHQFLSDAASSFGDSRSCVIAREITKLHEEFWRGTIGEANEAFATRQPKGEITVLIEGKLISADETPSEDFLEHELRELMTQGHPLSAAVKMVSEATSAKKKDVYALALRRYHSNLLEDDAVLAMEKVFDL >ONIVA10G09270.1 pep chromosome:AWHD00000000:10:10566955:10567362:1 gene:ONIVA10G09270 transcript:ONIVA10G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESIAENRSNGFVFAVVVVVVLAVLTLTCGSTTSPLPCAGSAAVVAAASCVLAARRMLAVLSPWFYVGGGPVIRRGHEWSPPFYMYARACDDDLSGVALTLGAAALLLALALLFLPRRRSVGLKKVDKVEGKSE >ONIVA10G09260.1 pep chromosome:AWHD00000000:10:10547686:10548213:1 gene:ONIVA10G09260 transcript:ONIVA10G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGDDDQRFDLPDDPPPAHPPARPIQGGRVDRRALAAAGLALAAPSTSPRASTSTAGSAAASASTNGRRPSPAATPSSAPRQAAFAAAAPPEATAAAAASPGSPSTCRRTALQLLDLQVPEQWRRDDGEVDVVDLVVSHPAARRVEELRVRVVPCRYRSYSDDDEEKEAR >ONIVA10G09250.1 pep chromosome:AWHD00000000:10:10544808:10546579:1 gene:ONIVA10G09250 transcript:ONIVA10G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEDGEDKNFGKNKHGLPIGFYFAPTDQELLAILEAKRLGRPLSRAHDAFFHDIRILDFHPAELYEKYAKDEEKGYIYFFSKREFPTSSKKRPLRVAEGGAWNSSGAVYKVVKSSKSGGGYDVGHKKTLVFHQRFPGDKEAVKTNWAIQEFTRIIGPQNEVPDLAVYRLYKMRKEGRETPADLAADEAAAAAAMNNRGQQASAAAMALPPPATGLPGVRRMSMADKANMASTSKAYATSQSSSSQLQQDAAAAAAPPNAAGASNWAPRPCNCRECAPAAGQYGYFASMVPRPSLDRKGKGKAPMDCAEQAAGGGGCHAESTSTPAPPKGAEYDGCSVAVEDDDEELLKFLQAMVRGKEVEGDGDHAMADERGPQQGSSPVAAVAASGSAPAGHDGRRGSLQGGHHGSSSPTSLAAAAATGDDVTAAASAGDDVSGSQQEDHPAR >ONIVA10G09240.1 pep chromosome:AWHD00000000:10:10542244:10544401:1 gene:ONIVA10G09240 transcript:ONIVA10G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEGQNKFAGVRFLPKDLELLAILDAKLRGSPLGPVEAIFHDTQILDFHPYKLYEMYAEDEEEEGYIYFFSTMQFRCRKIVERAAQGGRWKVNNCETLEVGGVAVGRKFTMNFYEHIGGDNDLIWTNWGMQEFARIIGPNKELADLALYRLYKKKITRGTGEEKPEDIAAASDGDTESSMNKRRRVEASAAAMALPPPPPSPGLPGTMMFMAADQANVASTSQEWHGQFANGAAAAPSPSGCWPWAPPPTPSAVEPFSFWASASAATPPAAANYHPSPQPQPLPPQGGEYYSRHGAFSVAPVPASACSTPSPEAATSCLLATTSPLPAAVPANREVLTLVAFVLQLEIKKN >ONIVA10G09240.2 pep chromosome:AWHD00000000:10:10542244:10543762:1 gene:ONIVA10G09240 transcript:ONIVA10G09240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEGQNKFAGVRFLPKDLELLAILDAKLRGSPLGPVEAIFHDTQILDFHPYKLYEMYAEDEEEEGYIYFFSTMQFRCRKIVERAAQGGRWKVNNCETLEVGGVAVGRKFTMNFYEHIGGDNDLIWTNWGMQEFARIIGPNKELADLALYRLYKKKITRGTGEEKPEDIAAASDGDTESSMNKRRRVEASAAAMALPPPPPSPGLPGTMMFMAADQANVASTSQEWHGQFANGAAAAPSPSGCWPWAPPPTPSAVEPFSFWASASAATPPAAANYHPSPQPQPLPPQGGEYYSRHGAFSVAPVPASACSTPSPEAATSCLLATTSPLPAAGTEGSDSQQQEPPCELMEF >ONIVA10G09230.1 pep chromosome:AWHD00000000:10:10514780:10537646:1 gene:ONIVA10G09230 transcript:ONIVA10G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEDEENGYIYFFSTIEFKAAKPKQKKWPRRAAQGGRWKAVLGSSQMVEVGGVPVGRKLSMEFYVKGVRTNWGMHEFVRIIGPNIEVADLAVYRLHKLWTNGEEKPGDLAADVAKSTNQSGQASAADYYQTYQNAVSQAYAYAPPYVLQPGWSQGYPYDVAAAPPTAPWPVCWAPPSAPGSYDCCYASTFSRPPPPPPIAASTLDKAPITSTDHGASTNTSAATPAANNKPPPPPVAATATTLGKKGEGKGKAPTTTSTDHAGSTNTSAPPAANYQPPPLQGTQHVFASGVVIGHEDEEGYLVVDEVNTWRNTQQLVLEDDDDDDDGRAAGAGAGCARGDIDPLAVMDGVRRQNPDDTPVPVDIPSCKISELIPSSSPGSSSSSHPQPPDRTSLPSRVEVDAYESSGTLEQGMTSNSRLTKHQERRSSTTWDDATDPLACEGQWGNLEYRVHGNNSFRKAISPTPFHSLHDMERKLTTYVDDIEKSIVLPGYTQGSISVYLPNKLDRFLVAAENLTRILRHPLLAEREDLHDRVRCLHSTTMSALATEFCHLRIWRSAAGPISNESVRCSNDDCSVSSMVSWSSSSSFTRSSTYGFSNDGQPEKILNADKDNLLTHSGAETTLVMQMILKLVIMYRALKDEMPVLLLLFLGQTEHTGSPNAFVELVTQLISALEFMLVMNSRTLTLQGQQQLFLLNNVHFMLEQAKKFNDLGLILGQSWLIQRQEQLTQLITGYMEDSWEPVMSSLFEKKTLVSICSMQKTWKVSDPLIRQKLREAIIQKELLDILDDKLGGAPLDRALDAVFHDTRILDFHPAKLYGMYAEDEENGYIYFFSTIEFKAAKPKQKKWPRRAAQGGRWKAVLGSSQMVEVGGVPVGRKLSMEFYVKGVRTNWGMHEFVRIIGPNIEVADLAVYRLHKLWTNGEEKPGDLAADVAKSTNQSGQASAADYYQTYQNAVSQAYAYAPPYVLQPGWSQGYPYDVAAAPPTAPWPVCWAPPSAPGSYDCCYASTFSRPPPPPPIAASTLDKAPITSTDHGASTNTSAATPAANNKPPPPPVAATATTLGKKGEGKGKAPTTTSTDHAGSTNTSAPPAANYQPPPLQGTQHVFASGVVIGHEDEEGYLVVDEVNTWRNTQQLVLEDDDDDDDGRAAGAGAVTFI >ONIVA10G09230.2 pep chromosome:AWHD00000000:10:10514780:10536950:1 gene:ONIVA10G09230 transcript:ONIVA10G09230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEDEENGYIYFFSTIEFKAAKPKQKKWPRRAAQGGRWKAVLGSSQMVEVGGVPVGRKLSMEFYVKGVRTNWGMHEFVRIIGPNIEVADLAVYRLHKLWTNGEEKPGDLAADVAKSTNQSGQASAADYYQTYQNAVSQAYAYAPPYVLQPGWSQGYPYDVAAAPPTAPWPVCWAPPSAPGSYDCCYASTFSRPPPPPPIAASTLDKAPITSTDHGASTNTSAATPAANNKPPPPPVAATATTLGKKGEGKGKAPTTTSTDHAGSTNTSAPPAANYQPPPLQGTQHVFASGVVIGHEDEEGYLVVDEVNTWRNTQQLVLEDDDDDDDGRAAGAGAGEGGASASGR >ONIVA10G09220.1 pep chromosome:AWHD00000000:10:10513049:10513606:-1 gene:ONIVA10G09220 transcript:ONIVA10G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCASSFPCTSRLSLATERTVLASVARQTCSPKTTSQKRDEGLREAIAPREVNRPGTEGVTTRERYEGVGESRKRVVEEGSVCTSSAVAYPVIGVRRGGEVAVAALPQDRQERGEGVGDVEEEGSRGRKQGVELVGCLLLSMPSSGSMGSGRVCRRSIKIVGREREGSGMPRKKELEEGSEDGPR >ONIVA10G09210.1 pep chromosome:AWHD00000000:10:10511808:10512566:-1 gene:ONIVA10G09210 transcript:ONIVA10G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAARTRRSPPAERFLGMFTSPTPSLPTSPTAAGDELLEGDLLFAPAPSSDPPPPPPPDPSGKPARVQGGHVGLLAALHEGDRRLSGRGGAAAVATAGAAGALLRRKATIAAAEAAASSSAQTQSPPSAARAIPSAPRVRFHLPEQPPAVPYHQSAPVKVPVRPRPPRRSGWDHLAGVPGDGYDDDDDEELLRGDAAMLPPHEMVARASAGGGFGGPVKPSSMLEGVGRTLKGRDLRRVRDAVLRQTGFLD >ONIVA10G09200.1 pep chromosome:AWHD00000000:10:10505639:10509698:-1 gene:ONIVA10G09200 transcript:ONIVA10G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSRRFHGSLRLLAVALLVLAGVASSAAAAGSGRGAFDPSRVVQLSWRPRAFLHKGFLTDAECEHLISLAKDKLEKSMVADNESGKSVMSEVRTSSGMFLEKKQDEVVARIEERIAAWTFLPPDNGESIQILHYQNGEKYEPHYDYFHDKNNQALGGHRIATVLMYLSDVGKGGETIFPEAEGKLLQPKDDTWSDCAKNGYAVKPVKGDALLFFSLHPDATTDSDSLHGSCPVIEGQKWSATKWIHVRSFDISVKQGASTDGCEDENVLCPQWAAVGECAKNPNYMVGTNEAPGFCRKSCNVCAHCRIEWNTYALAYV >ONIVA10G09190.1 pep chromosome:AWHD00000000:10:10501563:10505333:1 gene:ONIVA10G09190 transcript:ONIVA10G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAEAVAAAVAAGVEPFPAVDKCDASGREAHAVAADLEGTLLRSRSAFPYYALVAFECGGVPRLALLLLLAPLAAALRAAVSEAAAVRVLVFAATAGARVREIESAARAVLPRFYAADVHPGAWRVFAACARRRVVLTATPRVMAEPFLVDCLGADAVAGTELATWRGRATGLVDDRRGGVLVGRRKAQALLDMFAGGDVPDVGLGDRRSDYPFMSLCKEGYIVPRSPAVEAVPIDKLPRPVIFHDGRLARRPTPLAALLAVLWFPVGFALACVRIAAGALLPMPWVYYAFWALGVRVVVRGAPPPRAERAAGRRGVLFACSHRTLLDPIFLSAALGRPVAAVTYSLSRLSEFLSPIRTVRLTRDRAADAAMIGELLDEGDLAICPEGTTCREPFLLRFSALFAELTDEVVPVAMESRMGMFHGTTARGWKGMDPFYFFMNPSPAYVVTFLGKLPPEHTCGAGGRSSHEVANYIQRLIAATLSYECTSLTRKDKYRALAGNDGVVDNATGKLPSPATATANNSKDKAC >ONIVA10G09180.1 pep chromosome:AWHD00000000:10:10480456:10484696:1 gene:ONIVA10G09180 transcript:ONIVA10G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSTATAARAKFFSIRLWSRAPRSSSSRVLLLCWSRGLPHTTSSPVAVGAEVVPFRRRHYRTAPXXXXXXXXRVLLQLPLEPCSTLLLACIAAAAGALVFPSPSPEPSPPPPLPLPSKLRSSHPATPLQSTAALNGAELWQAAALWRSAVVTSRRGNRATRSEQQEIRPSLHEGHQTTRGKQQQLAEQAVFVLLRKGVSPKYVVMIPESKATQEPKKFCHEAVVFLESLLLHKKKSVAVDSRITLNLEKISRLLGHFVAATSTVDHMNLCGHTVGPVGPIYISLVIM >ONIVA10G09180.2 pep chromosome:AWHD00000000:10:10480456:10484593:1 gene:ONIVA10G09180 transcript:ONIVA10G09180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSTATAARAKFFSIRLWSRAPRSSSSRVLLLCWSRGLPHTTSSPVAVGAEVVPFRRRHYRTAPXXXXXXXXRVLLQLPLEPCSTLLLACIAAAAGALVFPSPSPEPSPPPPLPLPSKLRSSHPATPLQSTAALNGAELWQAAALWRSAVVTSRRGNRATRSEQQEIRPSLHEGHQTTRGKQQQLAEQAVFVLLRKGVSPKYVVMIPESKLHKKKSVAVDSRITLNLEKISRLLGHFVAATSTVGVCIV >ONIVA10G09180.3 pep chromosome:AWHD00000000:10:10480456:10484593:1 gene:ONIVA10G09180 transcript:ONIVA10G09180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSTATAARAKFFSIRLWSRAPRSSSSRVLLLCWSRGLPHTTSSPVAVGAEVVPFRRRHYRTAPXXXXXXXXRVLLQLPLEPCSTLLLACIAAAAGALVFPSPSPEPSPPPPLPLPSKLRSSHPATPLQSTAALNGAELWQAAALWRSAVVTSRRGNRATRSEQQEIRPSLHEGHQTTRGKQQQLAEQAVFVLLRKGVSPKYVVMIPESKISRLLGHFVAATSTVGVCIV >ONIVA10G09170.1 pep chromosome:AWHD00000000:10:10476646:10477522:-1 gene:ONIVA10G09170 transcript:ONIVA10G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40020) TAIR;Acc:AT5G40020] MDTVNHTHLRPVALVRGVTFRVVNKCPFPVWPAAAPNAGHPVLAGGGFLLPPGQSRRVSAPPTWNGRFWGRTGCNFTSTATNHHGNAAAACLTGDCGGRLACNGTAGAPPATLVEVDLHEDQSKGSSYDVSLVDGYNLPVAVWTKPPTPGAAAADRKCVIPGCAKNVNAVCPPELQVTAAAAVVACKSACVAFGTDAFCCRGAHGTAETCRGSAYSRVFRDACPAYVSYPYDTAAAAARCYAEVYVLTFCPSRWGAGADRVAQA >ONIVA10G09160.1 pep chromosome:AWHD00000000:10:10474194:10475924:1 gene:ONIVA10G09160 transcript:ONIVA10G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRFLLQLFWCFKMLYVLVILEPRGQKLFCIEKIIPHSHLLFIQENILCIFREPYVIHKFNFMSIVRESICLNQN >ONIVA10G09150.1 pep chromosome:AWHD00000000:10:10457818:10463012:-1 gene:ONIVA10G09150 transcript:ONIVA10G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKGPISSCRCSIPIFSNLQIHRRRPPAASRPSTPKFQREPHALFRDATTGRHGTRKRGESESEIEFEFYAQNPSKLPRPAPRLQNLPEASNPPPPTTRKSVLGSRGGGNAGGAQGGGGGGGGGGAGAGEKRRRAAEGRMLSVVRVHLPSEIPIVGCEITPYVLLRLPTGVVSTDDVPEAAPADGYFMRYRIQSDRKVAICSVHPMEQATIQCLGCVKSKIPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSTGSGVLSTTGSGSMSNLGQSPGLNNGPVPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVSVDAEKKVPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFSPELDKHGYQALYKKRTTEVYTGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPSTQRRVALTRLIKDNVALIAVLEAKFSNHGTDNPGKRQLLCVANTHVNVLQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLSVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRRSRIDG >ONIVA10G09150.2 pep chromosome:AWHD00000000:10:10457820:10462436:-1 gene:ONIVA10G09150 transcript:ONIVA10G09150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLLRLPTGVVSTDDVPEAAPADGYFMRYRIQSDRKVAICSVHPMEQATIQCLGCVKSKIPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSTGSGVLSTTGSGSMSNLGQSPGLNNGPVPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVSVDAEKKVPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFSPELDKHGYQALYKKRTTEVYTGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPSTQRRVALTRLIKDNVALIAVLEAKFSNHGTDNPGKRQLLCVANTHVNVLQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLSVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRRSRIDG >ONIVA10G09150.3 pep chromosome:AWHD00000000:10:10458217:10462436:-1 gene:ONIVA10G09150 transcript:ONIVA10G09150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLLRLPTGVVSTDDVPEAAPADGYFMRYRIQSDRKVAICSVHPMEQATIQCLGCVKSKIPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSTGSGVLSTTGSGSMSNLGQSPGLNNGPVPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVSVDAEKKVPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFSPELDKHGYQALYKKRTTEVYTGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPSTQRRVALTRLIKDNVALIAVLEAKFSNHGTDNPGKRQLLCVANTHVNVLQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLSVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >ONIVA10G09140.1 pep chromosome:AWHD00000000:10:10450936:10454088:-1 gene:ONIVA10G09140 transcript:ONIVA10G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIKEMVRVATARLGGEPSPRGGAGNSAFGRGESSRTARLGGGGASLRRQPQPQAPSVRTICCNDREANAPVGYKGNSVSTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQKWETTPWKRLQVGDIVRTANLDGETNLKIRKALEKTWDYKNPEKAFEFKDLA >ONIVA10G09130.1 pep chromosome:AWHD00000000:10:10436814:10448270:-1 gene:ONIVA10G09130 transcript:ONIVA10G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IS03] MGSRIKEMVRVATARLGGEPSPRGGAGNSAFGRGESSRTARLGGGGASLRRQPQPQAPSVRTICCNDREANAPVGYKGNSVSTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQKWETTPWKRLQVGDIVRTANLDGETNLKIRKALEKTWDYKNPEKAFEFKGEIQCEQPNNSLYTFTGNLIVDKQTMPLSPNQGCSLRNTEYIVGVVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGKVEDQFNPKNKFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIAGEIYGTGITEIEKGGAERAGIKIDGDEGKRSGAAVHEKGFNFDDARIMCGAWRNEPNPEACKEFFRCLALCHTVLPEGEETPEKISYQAASPDEAALVAASKNFGFFFYRRTPTTVIVRESHVERMGSIQDVAYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVVYERLADGNNDIKKISREHLEQFGSAGCTAIEDKLQEGVPACIQTLSAAGIKIWVLTGDKMETAINIAYACSLVNNDMKQFIISSETDVIREAEDRGDPVEIARVIKESVKQSLKSYHEEARGSLISTPGQKLALIIDGRCLMYALDPTLRVDLLGLSLICHSVVCCRVSPLQKAQVASLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRYLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIMVGLFDKDVSASLSKKYPKLYQEGIRNTFFKWRVIAVWAFFAFYQSIVFYYFTAAASRYGHGSSGKILGLWDVSTMAFTCVVVTVNLRLLMSCNSITRWHYISVAGSITAWFMFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLLLVPIIALFGDFLYLSIQRWFFPYDYQVIQEMHRDEPHEYSRIQLPETSHLSPEEARSYAISMLPRESSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQRQKTGGS >ONIVA10G09120.1 pep chromosome:AWHD00000000:10:10432608:10433728:-1 gene:ONIVA10G09120 transcript:ONIVA10G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSRFAVVARNFLAVGTKHDGRQLVFKEVHHEECAKQINGKYHTNSTSRQVYHKLKAQWKAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFNVLQTPYDHPMTEDDDFIGDKNGSPDQENNTGSSSSSRRAKGKKSDKGKRVRTDDNVVYEITGATDNMSETMCFTHMTHPNESLFKIINEMTEYPVMVRLELQTYLTTHENIAAMLKGRPLDSIKEYVAQWIIQNYPAAM >ONIVA10G09110.1 pep chromosome:AWHD00000000:10:10430867:10431292:1 gene:ONIVA10G09110 transcript:ONIVA10G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVEEIRVDKETMEMLAALGMADLPGVERQQEVSAPTYSRPPYGGPRRDRV >ONIVA10G09100.1 pep chromosome:AWHD00000000:10:10427132:10430507:-1 gene:ONIVA10G09100 transcript:ONIVA10G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAAALPQLQLQLLSLVSEHRLLRERERAAREELHAASQRWKEAEEGHRRETRELRAEVAARDDALRRLESRDSACSLQWTIQMKDKQIAVISEKLNAHLALFSSVGKEVAAVKQVLGNVKCLVGDKENVVSDLKGKVEKISVLEKDFVEKLRFFEEKINDYQLELRNRARKSLLVKDEIIERLTSEKQAMLMELHNMEIALHKFQDIFDSIGHEVIKRSSPVSNSQDVTEDVNREKLESIPGSQCEPANEHTVIPVFDEAATTPNIEGQSEIDPGRKQHSALPSPEPANANAETADCLHGSEDIDMVKIQNTLLRCLLLCPEVGPYVCSLHLLFTG >ONIVA10G09100.2 pep chromosome:AWHD00000000:10:10427132:10430507:-1 gene:ONIVA10G09100 transcript:ONIVA10G09100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAAALPQLQLQLLSLVSEHRLLRERERAAREELHAASQRWKEAEEGHRRETRELRAEVAARDDALRRLESRDSACSLQWTIQMKDKQIAVISEKLNAHLALFSSVGKEVAAVKQVLGNVKCLVGDKENVVSDLKGKVEKISVLEKDFVEKLRFFEEKINDYQLELRNRARLIYELRERLEAEKLNNKFQPKLEEISISTELKDEIIERLTSEKQAMLMELHNMEIALHKFQDIFDSIGHEVIKRSSPVSNSQDVTEDVNREKLESIPGSQCEPANEHTVIPVFDEAATTPNIEGQSEIDPGRKQHSALPSPEPANANAETADCLHGSEDIDMVKIQNTLLRCLLLCPEVGPYVCSLHLLFTG >ONIVA10G09090.1 pep chromosome:AWHD00000000:10:10419540:10424834:1 gene:ONIVA10G09090 transcript:ONIVA10G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSISYPPDDYLPAMEDNMGRLFIRSLSFDDDMEAAADDSPSTSPMPSPSATLLPAFGSGGKLIIEGSLSFKRREADPVQMETMISIRSPKSDRESCSSKPNATAGASRFALAGDQTPEDSPVIAGVASPKHQAAAVRLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRNSVSFFDIEKPETAISRWSRARMRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYHRWLHCEINQPFFYWLDVGEGKDVNLEEHCPRWKLHKQCIKYLGPKERESYEVIVEDSRLIYKLSRQIVNTTKSRKGSKWIFVLSTCKTLYIGQKQKGTFQHSSFLAGGATSAAGRLIVEDGILKAVWPHSGHYRPTEQNFQEFMNFLKERNVDLTDVMLNPSEGEDDAEFSLKSSHSRQDLTELCEPDMQEHEEQVTQHHGADETKTSSDAPTMTSTETMASTPAIRKSTSANKLQGKRPPRLLISSNNTELPATHCNGRPSPVHKDIDEDSTMFGECLAFCKKNLFAEEGNEEDELVEVPEEMIMNRINCKKATKSYQLGKQLSFQWSTGAGPRIGCVRDYPSELQFRALEEVSLSPRGTRSTRFSSPRPKPLTPNSIPVARFGCSPTAQGDNMGLKPRQRCATWTAF >ONIVA10G09080.1 pep chromosome:AWHD00000000:10:10417722:10417919:-1 gene:ONIVA10G09080 transcript:ONIVA10G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDRIPLPQQNSFSFTSISRKSTWHNGRAGGGGRVAAVAEARELGEGAVVVAGAEGGSDGSGRS >ONIVA10G09070.1 pep chromosome:AWHD00000000:10:10396325:10400674:-1 gene:ONIVA10G09070 transcript:ONIVA10G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLATLAEEPGGGEEAARARRKTGLHAALHRWARARIPLRFLSGGGGGGGGVGGGGGGGHPSPDLRVLLSVLACPLSPVPVLPRHPRNVASSAQYILEQFRATTGCGKIEGAAKSMYAAGRVRMAMAPEPGIGIGIGIGGGGGGGHEGCFVVWQLVPDMWLVEMAVAGHAVAAGCDGRVAWRRTPWLGAHAARGGGARPLRRALQGLDPVTIASVFSAAEHVGEKAVDGEDCFALRLDVAPSVLSAWGDGAAEVIRHGMTGYFSQRSGLLARLDDSQLTRIQTPGAPAMYWETTVSSRLGDYRAADGGAAVVAHAGTSVAHLARFGADVGAARAFTRMEEEWTIDDVAFNVAGLCPESFIAPEEVRSGGGGGSSSSRRYDGGGGAIAKKK >ONIVA10G09060.1 pep chromosome:AWHD00000000:10:10384342:10392453:1 gene:ONIVA10G09060 transcript:ONIVA10G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSKHDSLVQKHVDFGILENNIQDPTATPMYLPMEFLKTITCDFSKEQELGRGGYGVVYKGILSNGKMIAVKKLLEIHILKDDKFQKEVTFLMDLKHPNIIRFIGYCAESRWEVLQVNGKKYVMVEMPRRLLCFEYLHNKSLDKYISAESYGLGWHMRYKIIRGISYGLQYIHEECHIIHLDLKPENILMDDDMGPKIADFGMSRLFGHEQSRIITGSREGTLGYMAPEYLANGLISTKSDIFSLGVIIIEVITGHRNYPRICTRESFQHFIEDVVKNWRDWLERTVGCTSMKTCCQQIYTCMEIGLACVDPDPKKRPSAWDVIQKLNETECKNWSHVGQALQMFTMKSAYLLDDLTIARTGLSTSNTAKQVIAATYVDSMLGARSSTSNTESTAAVSCVPARRSMIEIMAFGVAKILVRGSNMMKSDGAASGERKIGILAFEVANTIVSGSNLMKSLSEESMSHLNEVVLQSEGVRTLISEQYYQLLIIHQADVRLELLYKSREYVILESELACSKQEAVSAMQYLLKRAQYTMELYKEMCVLDKFEQGKPTVIVQKLVDIVLLIYLEINKVFLHTGEDHYVEAVGNLLGETLGSTGLALQYSKVILQINKLTSEEEVRAEMNRMLQWLVPIAESTRLYYNDGASEQAMNRMEDADDVQDFHCNIIRSRSNNSADSRVSKIETLFYANKERADSHILCLVKALHQLVCYERERQLANMRLDIELHRPRAFRTRPS >ONIVA10G09060.2 pep chromosome:AWHD00000000:10:10384533:10392453:1 gene:ONIVA10G09060 transcript:ONIVA10G09060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSKHDSLVQKHVDFGILENNIQDPTATPMYLPMEFLKTITCDFSKEQELGRGGYGVVYKGILSNGKMIAVKKLLEIHILKDDKFQKEVTFLMDLKHPNIIRFIGYCAESRWEVLQVNGKKYVMVEMPRRLLCFEYLHNKSLDKYISAESYGLGWHMRYKIIRGISYGLQYIHEECHIIHLDLKPENILMDDDMGPKIADFGMSRLFGHEQSRIITGSREGTLGYMAPEYLANGLISTKSDIFSLGVIIIEVITGHRNYPRICTRESFQHFIEDVVKNWRDWLERTVGCTSMKTCCQQIYTCMEIGLACVDPDPKKRPSAWDVIQKLNETECKNWSHVGQALQMFTMKSAYLLDDLTIARTGLSTSNTAKQVIAATYVDSMLGARSSTSNTESTAAVSCVPARRSMIEIMAFGVAKILVRGSNMMKSDGAASGERKIGILAFEVANTIVSGSNLMKSLSEESMSHLNEVVLQSEGVRTLISEQYYQLLIIHQADVRLELLYKSREYVILESELACSKQEAVSAMQYLLKRAQYTMELYKEMCVLDKFEQGKPTVIVQKLVDIVLLIYLEINKVFLHTGEDHYVEAVGNLLGETLGSTGLALQYSKVILQINKLTSEEEVRAEMNRMLQWLVPIAESTRLYYNDGASEQAMNRMEDADDVQDFHCNIIRSRSNNSADSRVSKIETLFYANKERADSHILCLVKALHQLVCYERERQLANMRLDIELHRPRAFRTRPS >ONIVA10G09060.3 pep chromosome:AWHD00000000:10:10384533:10392453:1 gene:ONIVA10G09060 transcript:ONIVA10G09060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSKHDSLVQKHVDFGILENNIQDPTATPMYLPMEFLKTITCDFSKEQELGRGGYGVVYKKEVTFLMDLKHPNIIRFIGYCAESRWEVLQVNGKKYVMVEMPRRLLCFEYLHNKSLDKYISAESYGLGWHMRYKIIRGISYGLQYIHEECHIIHLDLKPENILMDDDMGPKIADFGMSRLFGHEQSRIITGSREGTLGYMAPEYLANGLISTKSDIFSLGVIIIEVITGHRNYPRICTRESFQHFIEDVVKNWRDWLERTVGCTSMKTCCQQIYTCMEIGLACVDPDPKKRPSAWDVIQKLNETECKNWSHVGQALQMFTMKSAYLLDDLTIARTGLSTSNTAKQVIAATYVDSMLGARSSTSNTESTAAVSCVPARRSMIEIMAFGVAKILVRGSNMMKSDGAASGERKIGILAFEVANTIVSGSNLMKSLSEESMSHLNEVVLQSEGVRTLISEQYYQLLIIHQADVRLELLYKSREYVILESELACSKQEAVSAMQYLLKRAQYTMELYKEMCVLDKFEQGKPTVIVQKLVDIVLLIYLEINKVFLHTGEDHYVEAVGNLLGETLGSTGLALQYSKVILQINKLTSEEEVRAEMNRMLQWLVPIAESTRLYYNDGASEQAMNRMEDADDVQDFHCNIIRSRSNNSADSRVSKIETLFYANKERADSHILCLVKALHQLVCYERERQLANMRLDIELHRPRAFRTRPS >ONIVA10G09050.1 pep chromosome:AWHD00000000:10:10374307:10376625:-1 gene:ONIVA10G09050 transcript:ONIVA10G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 38 [Source:Projected from Arabidopsis thaliana (AT5G06620) TAIR;Acc:AT5G06620] MFHHLRRRLLCTAAAPPIRVALTESSGRGVFATRPISAGEVLHSAQPLVSHPSPPLIHESGSGGGSSGSCYFCSDACREHAKGFHGVEKKADWSLFDDHCSSRGLKYPYMAKRLACMVISGAVSADCLDILQPARLHQGTLTEMEEEFALLDSTFRKAGFQEEITTFLTKEWYINVLARIRINAFRIELVASSYEDLLSSAVASVSCDAAVGNAVYMLPSFYNHDCDPNTHIVWLASADARLKALRNIEEGEELRICYIDASMDVDARQRILADGFGFECRCQRCLSGD >ONIVA10G09050.2 pep chromosome:AWHD00000000:10:10374307:10376625:-1 gene:ONIVA10G09050 transcript:ONIVA10G09050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 38 [Source:Projected from Arabidopsis thaliana (AT5G06620) TAIR;Acc:AT5G06620] MFHHLRRRLLCTAAAPPIRVALTESSGRGVFATRPISAGEVLHSAQPLVSHPSPPLIHEVCYSCLRRKSGSGGGSSGSCYFCSDACREHAKGFHGVEKKADWSLFDDHCSSRGLKYPYMAKRLACMVISGAVSADCLDILQPARLHQGTLTEMEEEFALLDSTFRKAGFQEEITTFLTKEWYINVLARIRINAFRIELVASSYEDLLSSAVASVSCDAAVGNAVYMLPSFYNHDCDPNTHIVWLASADARLKALRNIEEGEELRICYIDASMDVDARQRILADGFGFECRCQRCLSGD >ONIVA10G09040.1 pep chromosome:AWHD00000000:10:10368390:10373806:1 gene:ONIVA10G09040 transcript:ONIVA10G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IRY9] MEESVGSRGGSGGGGLDAQIEQLMECRPLSETEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIDNLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >ONIVA10G09040.2 pep chromosome:AWHD00000000:10:10368240:10373806:1 gene:ONIVA10G09040 transcript:ONIVA10G09040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IRY9] MEESVGSRGGSGGGGLDAQIEQLMECRPLSETEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIDNLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >ONIVA10G09030.1 pep chromosome:AWHD00000000:10:10357670:10360954:-1 gene:ONIVA10G09030 transcript:ONIVA10G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAARASQSAPPPPGAGVRVRAPLVESVSCYCRLDTGLKTVVDARKFVPGAKMCMQPDVKPNKRKSRGSRKERSRTQAPLLPGLPDDLAIACLIRVPRVEHPNLRIVCKRWNRLLSGNYYYSLRKRNGMAEEWVYVFKRDREGKISWHAFDPLHQLWKSLPPVPAEYSEALGFGCAVLSGCYLYLFGGKDPLRGSMRRVVFYNARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLPSAEVYDPNRNRWACVAEMNNGMVPFIGVVYDGKWFLKGLDSHRQVTSEVYLPSSNLWSTIDDEMVTGWRNPSITFNGKLYSSDCRDGCKLRVYDPNTGTWAKFMDSKHHLGSSRAFEAAALVTLNGKLCIVRNNMSITLVDISDPTMSIETDSARMWETVARKGQHRSFVANLWSTIAGRNLKSHIIHCQVLQV >ONIVA10G09020.1 pep chromosome:AWHD00000000:10:10327811:10331130:1 gene:ONIVA10G09020 transcript:ONIVA10G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATGYTRTCSTTWSTGAERDDGVHGGDVAVDDSDGEEASIGTRCVCQQLEAHQEQHTRHEPPGFDATMSMLYDDQLCGKGLHRPHGCRLRALRRQLRLR >ONIVA10G09020.2 pep chromosome:AWHD00000000:10:10328148:10331130:1 gene:ONIVA10G09020 transcript:ONIVA10G09020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAAMWRWMTPMEKKQASAHDVFVSNWKPTKNNTLATSLPALWQRATSTSWVSAASTPATTATALSRTLSTHPTRSPMTSSNKARTDHPNSPIPRGGNLLGMVAPLAIVLEEGNKRMHQRELKYEELYGKNELAREESW >ONIVA10G09010.1 pep chromosome:AWHD00000000:10:10298044:10299406:-1 gene:ONIVA10G09010 transcript:ONIVA10G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLFSLILLLITVLSLPFPLHASSVDPFSFGATTVRYWNRKIPNNAPHPDFFLSLLSPLPASLSSSLSSPLSISPSICRSARLLCPNSTYFQSLSNTVSIDGCSFGYTCTFEYEHDNFPTLKPGIFFREQELKEGNVVRMPDITNESTTARSFLPRSIADRIPFEAEAVKPLFGLEPNTTLAKAVDETVAQCQSSPSKGETKRCVTSAEDMIDFAVAMLGDDIVVRSTVLPNGSGESIMIGKVKGINSGKITSSVSCHEYLFPYMVYSCHSVPKIRVYEAEILSVQTKEKINSGVAVCHIDTSAWSAGHPAFVALGGKPGQNEVCHWIYNGSMTWVIADKS >ONIVA10G09000.1 pep chromosome:AWHD00000000:10:10249761:10261766:1 gene:ONIVA10G09000 transcript:ONIVA10G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVMAVGEEDDDGDGGGGGGGGCGGGERDGGDGDDPRTLSWQSRGRCRGPRQRWWSSMDSRSRWSQSGTRGASWTRRVVRCSC >ONIVA10G08990.1 pep chromosome:AWHD00000000:10:10242354:10243569:-1 gene:ONIVA10G08990 transcript:ONIVA10G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRITKLMRVKQRLAIDDEILKPLLPSSTPEEEVNINGASAVIVARVDLEEATAGDLGAECERGEIRLRRCRSPLLPFPVSKVWVARIGKHQEMKINHSFGKVHIAVPVNSGIIRVSSLLPALDQGTDVGCPWPIRKALQEPRALGLSRSYEPPTHSVIGTQLLLRNEDAVMFLPALILNL >ONIVA10G08980.1 pep chromosome:AWHD00000000:10:10144610:10148888:1 gene:ONIVA10G08980 transcript:ONIVA10G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMATSAAAAAGEGTSGGGAVPEAGGPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVVVGSYATLVRPGDLGVVSAASVRCNGITRDAVAAAPAFRDVADAVYSVLHGRVWAGHNIVRFDSARIREAFAEIGRPPPEPKGMIDTLPLLTQKFGRRAGDMKMASLANYFGLGRQSHRSLDDVRMNLEVLKYCATVLFLEASLPGVLTVENLVERAITRSQANGAASPEVPKPVARSSPDSSKRQRTISRVDNAIQAGGNQQSIDPATNKEPIELISNIEEMTLGSGIQIDASSSGFSGFLEPDDVSTESIQISVPSSYRLTRKTSIKHKGSPIQLCCAGLRIQFGVSTKFLDSAGRPKLNILVDIPENLSKILEFCDGIAQKSSQDSGSTSEWRPLIKKYGYVNRPTVRLHIPTIVSGEAATYGTDIYQKEASGNIQKLVFSKVDVAELDSWFVRGNTVDAFFSLELYDYEQNAGIRLVAKKLVVQSK >ONIVA10G08970.1 pep chromosome:AWHD00000000:10:10136976:10142275:1 gene:ONIVA10G08970 transcript:ONIVA10G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKTMAYMSMEEGEGSSRAMVEEEPEIAFFDVETSMPWGPRERRTLLEFGSIFLCPRQLVEVAEPFITLVRPSDLGVVTEALERKGITRGALEDAPPFCDVADNIHNVLHGRIWAGHNIISFDSEIIREAFAEIGRSPPEPKGMIDTLPLLTQTFGRRAGNMKMANLADYFNLGPQIHRRIIFQNC >ONIVA10G08960.1 pep chromosome:AWHD00000000:10:10132883:10133161:1 gene:ONIVA10G08960 transcript:ONIVA10G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITDNASPVARDPVRRRATCLHPLSLLPNGSLLIAYTCNDDMNDDMLGPVVFKSGRLT >ONIVA10G08950.1 pep chromosome:AWHD00000000:10:10130945:10131827:-1 gene:ONIVA10G08950 transcript:ONIVA10G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRRGLEHLERRYAFYAAYHSNPANVLVHAVCVWPILLTAMLPLRYAPPLPLLRFYCPLCRQYLPVQLGFPVAVALGAYYALMDRRAGAAAAALCVAGWAAGTLLADAAGLWTFRDAWRPLLTAQAVLWSAQFFSHAFFEKRRPALVDGPVQAVVTAPLFVFIEVLHRLFGYEPTPGFYKRVQARVAAMHNGPPAPAPAPEKKEEEEKENVSKATQEESAEKDS >ONIVA10G08940.1 pep chromosome:AWHD00000000:10:10128568:10130221:-1 gene:ONIVA10G08940 transcript:ONIVA10G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASSGWLRRAAGTVPRIPCGLVTALVPTPPPPAAAVVSEAPALALPSHAAAAMELMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCKCCGRVKLPHFYCCSGERGNPGSESS >ONIVA10G08930.1 pep chromosome:AWHD00000000:10:10119106:10120323:1 gene:ONIVA10G08930 transcript:ONIVA10G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRNGDVTVTYEHQLFGRRRNVAGGGGGFATYLDLVREEGDAGKMPPRRPLPAPPTHGAASRRRTYADGELDVFAAERYFKGAMDGADGYNKVDLASPVMAAAAARPVVAVSRPAPWTTRASVASAGSSGSTANSQAVLLREQRRRDKCCAHVGGILRSCSGKRSVHVGGAAVAATEPAGDPGDELPPATASRIEWYRDLRLDKAGDGVSHGGVVAAGLPPNLNSIGAARVAAIGREEATAATSEYSSSSFRSNFTLLAPVKVTIPASGGDDDDDDVGSESSSDLFEIKSLMIDDCRGYEPSEASVQWSVVTASAADVSVAASGRGGGGGGKGRPAAAVAVRQQQHRRQADRPVGLLAGCVSHRAVDVSAVAAVRRPPPPPGAPATATRRRSDLSRFAHSGHL >ONIVA10G08920.1 pep chromosome:AWHD00000000:10:10118452:10125954:-1 gene:ONIVA10G08920 transcript:ONIVA10G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pectin methylesterase 31 [Source:Projected from Arabidopsis thaliana (AT3G29090) TAIR;Acc:AT3G29090] MAQQQPRRVLRVAPPGRGGARAEAERGEEGEAVFATVQAAVDAVPVGNRVRTVIRLAPGTYREPVYVAKAKNLVTLSGEAGSPEATVITWDNTATRIKHSQSSRVIGTGTFGCGTVIVEGEDFIAENITFENSAPQGSGQAVALRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGNCDFIFGNSIALLEHCHIHCKSAGYITAHSRKSSSETTGYVFLRCIITGNGEAGYMFLGRPWGPFGRVVFAHTFMDRCIKPAGWHNWDRSENERTACFFEYRCSGPGFRPSNRVAWCRQLLDVEVENFLSHSFIDPDLDRPWLIQMMAIKTMKDWFDPTMRIHVLLEVYPGKKGDGMADGVGVLIPAISAIYSVWVKGYGRQRLGNDQNGKPLGSKHSDCDQPAAGRLIPYRANNEAARLTVTC >ONIVA10G08920.2 pep chromosome:AWHD00000000:10:10123175:10125954:-1 gene:ONIVA10G08920 transcript:ONIVA10G08920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pectin methylesterase 31 [Source:Projected from Arabidopsis thaliana (AT3G29090) TAIR;Acc:AT3G29090] MAQQQPRRVLRVAPPGRGGARAEAERGEEGEAVFATVQAAVDAVPVGNRVRTVIRLAPGTYREPVYVAKAKNLVTLSGEAGSPEATVITWDNTATRIKHSQSSRVIGTGTFGCGTVIVEGEDFIAENITFENSAPQGSGQAVALRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGNCDFIFGNSIALLEHCHIHCKSAGYITAHSRKSSSETTGYVFLRCIITGNGEAGYMFLGRPWGPFGRVVFAHTFMDRCIKPAGWHNWDRSENERTACFFEYRCSGPGFRPSNRVAWCRQLLDVEVENFLSHSFIDPDLDRPWLIQMMAIKTMKDWFDPTMRWA >ONIVA10G08920.3 pep chromosome:AWHD00000000:10:10118452:10122253:-1 gene:ONIVA10G08920 transcript:ONIVA10G08920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pectin methylesterase 31 [Source:Projected from Arabidopsis thaliana (AT3G29090) TAIR;Acc:AT3G29090] MADGVGVLIPAISAIYSVWVKGYGRQRLGNDQNGKPLGSKHSDCDQPAAGRLIPYRANNEAARLTVTC >ONIVA10G08910.1 pep chromosome:AWHD00000000:10:10110527:10116965:1 gene:ONIVA10G08910 transcript:ONIVA10G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G14300) TAIR;Acc:AT1G14300] MADELDELLGFLSSPQPNVRGAAAGIVRGLTGDADGLRALSARADRALPALLRLLASAGGELGTGGAAADSLVNLSQDGALSARLVSLGAVVAAMDVVAKRGGEQPGLARSLVMLLANLTQVDSGVAALLQVGDEKMQGLYVAKLVRSFCRSSSESEEEDIFEHVASILVNISKVEAGRRILMEPKRGLLKQIIRQSDSTNQLRKKGVVSTIRNCCFEADTQIQNLLSLAEYIWPALLLPVAGKKIYSEEDRSKMPPELANALSHEREAVENSEIRQQALEAIYMIVLQDEGRRAFWSVNGPRILQVGYEDEEDPKVMEAYELIGSLLVGKGDDEQDQEQGGQKP >ONIVA10G08900.1 pep chromosome:AWHD00000000:10:10103233:10103872:1 gene:ONIVA10G08900 transcript:ONIVA10G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRRRLRRRHCFRPRCRNICFRSMLKRRLAHGERGRRRGDVYESEAVTTATATSIFGSVAGYGSYRGRSGQTWCCLGSSMHLRRFVFLLPLAGQKLHSAVTRN >ONIVA10G08890.1 pep chromosome:AWHD00000000:10:10094955:10096708:-1 gene:ONIVA10G08890 transcript:ONIVA10G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 78, subfamily A, polypeptide 7 [Source:Projected from Arabidopsis thaliana (AT5G09970) TAIR;Acc:AT5G09970] MAMATATASSCVDATWWAYALPALLGADTLCAHPALLAGAVLLAFATAAVLAWAASPGGPAWAHGRGRLGATPIEGPRGLPVFGSIFALSRGLPHRALDAMSRDAAAPRARELMAFSVGETPAVVSSCPATAREVLAHPSFADRPLKRSARELLFARAIGFAPSGEYWRLLRRIASTHLFSPRRVAAHEPGRQADATAMLSAMAAEQSATGAVVLRPHLQAAALNNIMGSVFGRRYDVSSSSGAAADEAEQLKSMVREGFELLGAFNWSDHLPWLAHLYDPNHVARRCAALVPRVQAFVRGVIRDHRLRRDSSSSTAADNADFVDVLLSLEAHENLAEDDMVAVLWEMIFRGTDTTALVTEWCMAEVVRNPAVQARLRAEVDAAVGGDGCPSDGDVARMPYLQAVVKETLRAHPPGPLLSWARLATADVGLANGMVVPAGTTAMVNMWAITHDGEVWADPEAFAPERFIPSEGGADVDVRGGDLRLAPFGAGRRVCPGKNLGLATVTLWVARLVHAFDWSLPDGSPPVSLDEVLKLSLEMKTPLAAAATPRRRRAA >ONIVA10G08880.1 pep chromosome:AWHD00000000:10:10041462:10043840:-1 gene:ONIVA10G08880 transcript:ONIVA10G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPSVRTRSVTSVALPTQGDGGGCRRVPLSPFDAYWVALPPVRRVFLFPSPPPFGDVVRALRDSLEAVLPAFYPFAGALVYSPEEESLSIVVEAGGGVAFVEAETDLDLGRLVDEGEEHDEDALRQLVPDIRRDELPAPVMAAQVTEFVGGGGGVAVGVAMHHAAADGRGLWRFLEMWSAAAAAAVATSVREALPPPLHDRTLVRFDGDGELARLFLRQIAPDLPKIVHAPLRQCPLSRRTFTFAAPAVKLLKQRATAGGGKAPSTFAAMAAHGWVSIARASGLADDGGGPVFAVFLADARALMSPPAPGAYAGNCVAVCAASLEGAELAAGADAHAHARAAAAVGGAVDAARRDPLGDRARWHDKFARIPPGRAMIMAGSPWFPAYAVEFGLGRPAARAELASMNHDGEVVLVAGREAGSVQASVAIAADKMPAFREMFVVGCGWREPDSN >ONIVA10G08870.1 pep chromosome:AWHD00000000:10:10030548:10036079:-1 gene:ONIVA10G08870 transcript:ONIVA10G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G16690) TAIR;Acc:AT5G16690] MAAPPGEAPLTAATNIEPFYVLHKGGAAASSSSSSAPSLPSSGRARRRIDVSGPASPNPKPGKRSRDDDAAEDDDDDELYERLRLDAFHRVWSKIQSTINEVLRGISLKLFDQVLRWVQESFSAVRSIARPSAAEVRQPYPLLTDVICRKIPTAFVLTKNAEFVDDITTFRDLAEYVESNGCHLAKLSATELSEKNGVGCCFRSLLRQLLSDVPDVADIFALASWYSAAENYDQPIVIVIDDLEQCSGDVLGELVMMLSEWVIKIPIFFVMGIATTLDAPRKLLSSEALQRLEPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVAVFLRNYFFKHDGTITSFISALKLACSKHFSVEPLSFLCMGMLEEDRENFWHDKFNALPQELRKYASGLPSCTREKDSTKSGDNMVDGLSELMNIQKDWSSVLLCLYEAGKHGKVQLLDIFCEAVNPDLHTQKAPNLPNEKSGTSRRFIDQVMDTIRYLPVETLFCLLEVWSIHLNGMDKITNKVTELQSTTISTDSVRITKDKWPRRSTNSTGNSTVALNDKVAMLLDDVTRKFLVSVECLPFHEIVCFKNALIGNPRRMVQLDLVKSHKHLKCSCCRKNGIAVSASMHDTSIMCNLAQEYGDVINLHDWYISFDGIINSVHSKIKRKPHTSPSKKKSKPVAAESEAMIQAVTELQITGLLRMPSKRRPDLVQRIAFGL >ONIVA10G08870.2 pep chromosome:AWHD00000000:10:10030548:10036079:-1 gene:ONIVA10G08870 transcript:ONIVA10G08870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G16690) TAIR;Acc:AT5G16690] MAAPPGEAPLTAATNIEPFYVLHKGGAAASSSSSSAPSLPSSGRARRRIDVSGPASPNPKPGKRSRDDDAAEDDDDDELYERLRLDAFHRVWSKIQSTINVQTQFPHIPSIRLLLTRLIPQQLQNLTSAISHLGIDPIFDCSCGQEVLRGISLKLFDQVLRWVQESFSAVRSIARPSAAEVRQPYPLLTDVICRKIPTAFVLTKNAEFVDDITTFRDLAEYVESNGCHLAKLSATELSEKNGVGCCFRSLLRQLLSDVPDVADIFALASWYSAAENYDQPIVIVIDDLEQCSGDVLGELVMMLSEWVIKIPIFFVMGIATTLDAPRKLLSSEALQRLEPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVAVFLRNYFFKHDGTITSFISALKLACSKHFSVEPLSFLCMGMLEEDRENFWHDKFNALPQELRKYASGLPSCTREKDSTKSGDNMVDGLSELMNIQKDWSSVLLCLYEAGKHGKVQLLDIFCEAVNPDLHTQKAPNLPNEKSGTSRRFIDQVMDTIRYLPVETLFCLLEVWSIHLNGMDKITNKVTELQSTTISTDSVRITKDKWPRRSTNSTGNSTVALNDKVAMLLDDVTRKFLVSVECLPFHEIVCFKNALIGNPRRMVQLDLVKSHKHLKCSCCRKNGIAVSASMHDTSIMCNLAQEYGDVINLHDWYISFDGIINSVHSKIKRKPHTSPSKKKSKPVAAESEAMIQAVTELQITGLLRMPSKRRPDLVQRIAFGL >ONIVA10G08860.1 pep chromosome:AWHD00000000:10:10024145:10026924:-1 gene:ONIVA10G08860 transcript:ONIVA10G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLTLADHHEGETDGGLAAADAAAAAAAATGGGREGRVDLAVVVIHGDRGGSVARDAAPGSMAMAVVEAGRRISGKTSVPASSPNRLHATQSNFRGSGSSARSLMISLGLKDHSQVNTSLVVSVITKATDMGGHGQWRGRRLRRTRVMACMSLRWMQTKVAAAVDKGKGDDDGCGGRGRRTRVWTVGRTWASAAEDEDSGMEASNGNGYERGWRDGCEGQGRRPQARMAGWPRATTAVVVDEDNDRGGHGCGCGMNVPCHVEDEDGGTNASNGCGREGRGWRLQARVVGWPRATSVATKDENDRGRW >ONIVA10G08850.1 pep chromosome:AWHD00000000:10:10021508:10021792:-1 gene:ONIVA10G08850 transcript:ONIVA10G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRVEFEDPDMLEDVIEGAGELAAYELAPEDGEELQVLGDEVAADKHAVPVLAVLGHHLLLPLPLPIVVATAVAAAFRWLFCNGGRVSWLEL >ONIVA10G08840.1 pep chromosome:AWHD00000000:10:10021258:10028954:1 gene:ONIVA10G08840 transcript:ONIVA10G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWLPQLEIAAEQPPEGGGNGGGNNNGKWKGKEKVVPKHGKNRHGMLVGCYFVPKDLELFAILRCKLICGQLPSALNNVFEHIRILEFHPALLHGMPASPPPLYLSLSVPFINHQTYIGKEEDGYIYFFNRRQFATKAGNKRRPTQVAKGGTWKASSGSKMVRSKKVGGIDIGQKLTMMFYERRFEGDRKPIKTNWDMHEFTKIIDDSKNQKPGRRTKRIGERCLRGVDEPSTSSALPPPTPPRPLPDMAGPSSATPLLPLQLPDMAGQSSATPLLPLQLLGLAGSSSAMSLPVLQLPGMAGSSSAMPLQLSLPGLAGEMMSMADQANMASTSQASTPSSELLQDWYDEFEITYGAVTPPSPSTISWEAPQSSPMGWWPSPNGGLVQHDGYLRMAADPTWYMLEHLLPTAAIPPEPMTPKKSSPAPPPAVDHHHRLSPPHDAAGSNYNHPKLAGYNGGIQAQHENQHHPQEPQPALLVDGEDGYGAMADSNDTQLGGTEFDTERIAEMDNTVLKYNEVFPDDDEVVAAPMMIDSGAMVQRDVMETTHSTIRALPADGDGGGSGGSNNNNGKLKGKEKVVPEYGKNRHGMPVGFYFVPKDLELFAILMCKLVRGEVPGALNNVFEHIRILEFHPALLRETYIENMEDRCIYFFSRRLFAAKARNKRQPMRVANDGTWKASGGSKTVRSKKVGGIDVGQKLTMVFYERWFEGDQNPVKTNWAMHEYTKIIPGSKNQLEDLAVYRLYKIRRKEDTEPVNAAAAASSTDKPSTPEALPPPTPPPRPLLNMAGSSSATSLLPPQLPGLAGSSSTMPLALPLQLPGLPGSSAAMSLCRAWLER >ONIVA10G08830.1 pep chromosome:AWHD00000000:10:10008766:10009284:1 gene:ONIVA10G08830 transcript:ONIVA10G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWATTRDVEAGALFVLFPRSLSLSGVVGRRLRDGGLLSRGGGWWATAWGWAMARDVEAVAENSRRELEWWALPPSPPLSSPFVALVIMVLAATIVVTVLECTVDGCPMAECTKWDAKGRRMLVSNFFGAIVSELYARRGGGEGGGAGCIWRVRAPTPSGGSHYGSLSTC >ONIVA10G08820.1 pep chromosome:AWHD00000000:10:10007525:10008326:1 gene:ONIVA10G08820 transcript:ONIVA10G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGGNGEKEVRDEDGTWEIGGGDCAVEIGSEGGEHAEDTGGSGEHERKGERDQELCFNVASSNLDLNSITHWRRRAEDGSGKNSYMENSNMFFAVCSHA >ONIVA10G08810.1 pep chromosome:AWHD00000000:10:10004033:10006842:1 gene:ONIVA10G08810 transcript:ONIVA10G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKYKSCHAEKFENNLNKKTFKIMTYNVWIREDIELHRRLGALGDLIQLHNPDFICFQEVTPYIYQLMEKSDWWQEYKCLLSHEMAMRKSHFCMQMSKLPVSESNPIPFSKSIMKRELCVAVVKTGEIHLAVGTSHLESPCPLPPLWDLKYSEKRVAQAKQSLEILGQLRNAIFCGDMNWEDKVDGPFPLPDGWIDAWVELKPGDNGWTYDTKANAMLSANFKQQKRPDRFVCKLSDFKIDDIEMIGKEAIPGVVYYKEKIVRKEFHKLELPVLPSKHFGLVLTITLQDDIL >ONIVA10G08800.1 pep chromosome:AWHD00000000:10:9998367:9998609:-1 gene:ONIVA10G08800 transcript:ONIVA10G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVVEEFEKMTKKLKQQRQQQGRISEEVPAGDAAAASRAGEWGSWAAAAVRTRVAALKEPAAAQGLAAVDGFFSA >ONIVA10G08790.1 pep chromosome:AWHD00000000:10:9988708:9997578:1 gene:ONIVA10G08790 transcript:ONIVA10G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: sperm cell, cultured cell; CONTAINS InterPro DOMAIN/s: Colon cancer-associated Mic1-like (InterPro:IPR009755); Has 30201 Blast hits to 17322 proteins in /.../pecies: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G12010) TAIR;Acc:AT3G12010] MKERNPAVASSALTRILAACASQAKDYGRCIAEKVPEIEQNMCAKEFLALRSCMQTVMQGGLGSPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIVPSTPAGPPNSDPVNDGPVLSVRYSLDLKAIGIQRSNHEVEFINRETGQTCNKKCRADSETILGFFWTDCPTCDVIIIKTSGLDLFAYEPQSNALHLVDSKKINVSWYFYTHESRLILLASGMQCTLFTGYQFSAGGIVKLPKFEMTMTKSEANNKPVLAADDVHTLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYAPISAPLPLLVRGLPSNNKQSAQPPDSQSSAYGGTLYGEGWSFLIPDLVCDVENGLLWKLHLDLEAIAASTSDAPSILEFLQRRKSDPSMVKTLSLAIVRTIILERRPITMVAKAMDVVLDSYSRLMKMGGGLPAVRRTSEQNQQPGVQPSVNPDSASGDGNRPVQSNSEVEHGIANLAEHVDRTLLNTSSDSDDIIDASGASDAPDRKPQVLGQDSRPLASGTSTQHGSHVASVAVSPSEMFESVFVLVEDEMMADPAYLISIIMEFLRSVSRAGLKAPPNLFVMMTTLLARSNRYPEIALFVSNKILEPSKELAMQLMELGRQHSPTRKLGVDMLRERGLHHDYVTALLQDGYHLEALRYARKYKVITVQPVLFLEKAVAINSAQNLAAMLSFFSEFTPTFKTTSDYGRYRHILSEMI >ONIVA10G08790.2 pep chromosome:AWHD00000000:10:9988708:9997578:1 gene:ONIVA10G08790 transcript:ONIVA10G08790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: sperm cell, cultured cell; CONTAINS InterPro DOMAIN/s: Colon cancer-associated Mic1-like (InterPro:IPR009755); Has 30201 Blast hits to 17322 proteins in /.../pecies: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G12010) TAIR;Acc:AT3G12010] MKERNPAVASSALTRILAACASQAKDYGRCIAEKVPEIEQNMCAKEFLALRSCMQTVMQGGLGSPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIVPSTPAGPPNSDPVNDGPVLSVRYSLDLKAIGIQRSNHEVEFINRETGQTCNKKCRADSETILGFFWTDCPTCDVIIIKTSGLDLFAYEPQSNALHLVDSKKINVSWYFYTHESRLILLASGMQCTLFTGYQFSAGGIVKLPKFEMTMTKSEANNKPVLAADDVHTLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYAPISAPLPLLVRGLPSNNKQSAQPPDSQSSAYGGTLYGEGWSFLIPDLVCDVENGLLWKLHLDLEAIAASTSDAPSILEFLQRRKSDPSMVKTLSLAIVRTIILERRPITMVAKAMDVVLDSYSRLMKMGGGLPAVRRTSEQNQQPGVQPSVNPDSASGDGNRPVQSNSEVEHGIANLAEHVDRTLLNTSSDSDDIIDASGASDAPDRKPQVLGQDSRPLASGTSTQHGSHVASVAVSPSEMFESVFVLVEDEMMADPAYLISIIMEFLRSVSRAGLKAPPNLFVMMTTLLARSNRYPEIALFVSNKILEPSKELAMQLMELGRQHSPTRKLGVDMLRERGLHHDYVTALLQDGYHLEALRYARKYKVITVQPVLFLEKAVAINSAQNLAAMLSFFSEFTPTFKTTSDYGRYRHILSEMI >ONIVA10G08790.3 pep chromosome:AWHD00000000:10:9988708:9997578:1 gene:ONIVA10G08790 transcript:ONIVA10G08790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: sperm cell, cultured cell; CONTAINS InterPro DOMAIN/s: Colon cancer-associated Mic1-like (InterPro:IPR009755); Has 30201 Blast hits to 17322 proteins in /.../pecies: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G12010) TAIR;Acc:AT3G12010] MKERNPAVASSALTRILAACASQMQGGLGSPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIVPSTPAGPPNSDPVNDGPVLSVRYSLDLKAIGIQRSNHEVEFINRETGQTCNKKCRADSETILGFFWTDCPTCDVIIIKTSGLDLFAYEPQSNALHLVDSKKINVSWYFYTHESRLILLASGMQCTLFTGYQFSAGGIVKLPKFEMTMTKSEANNKPVLAADDVHTLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYAPISAPLPLLVRGLPSNNKQSAQPPDSQSSAYGGTLYGEGWSFLIPDLVCDVENGLLWKLHLDLEAIAASTSDAPSILEFLQRRKSDPSMVKTLSLAIVRTIILERRPITMVAKAMDVVLDSYSRLMKMGGGLPAVRRTSEQNQQPGVQPSVNPDSASGDGNRPVQSNSEVEHGIANLAEHVDRTLLNTSSDSDDIIDASGASDAPDRKPQVLGQDSRPLASGTSTQHGSHVASVAVSPSEMFESVFVLVEDEMMADPAYLISIIMEFLRSVSRAGLKAPPNLFVMMTTLLARSNRYPEIALFVSNKILEPSKELAMQLMELGRQHSPTRKLGVDMLRERGLHHDYVTALLQDGYHLEALRYARKYKVITVQPVLFLEKAVAINSAQNLAAMLSFFSEFTPTFKTTSDYGRYRHILSEMI >ONIVA10G08790.4 pep chromosome:AWHD00000000:10:9988708:9997578:1 gene:ONIVA10G08790 transcript:ONIVA10G08790.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: sperm cell, cultured cell; CONTAINS InterPro DOMAIN/s: Colon cancer-associated Mic1-like (InterPro:IPR009755); Has 30201 Blast hits to 17322 proteins in /.../pecies: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G12010) TAIR;Acc:AT3G12010] MKERNPAVASSALTRILAACASQMQGGLGSPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIVPSTPAGPPNSDPVNDGPVLSVRYSLDLKAIGIQRSNHEVEFINRETGQTCNKKCRADSETILGFFWTDCPTCDVIIIKTSGLDLFAYEPQSNALHLVDSKKINVSWYFYTHESRLILLASGMQCTLFTGYQFSAGGIVKLPKFEMTMTKSEANNKPVLAADDVHTLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYAPISAPLPLLVRGLPSNNKQSAQPPDSQSSAYGGTLYGEGWSFLIPDLVCDVENGLLWKLHLDLEAIAASTSDAPSILEFLQRRKSDPSMVKTLSLAIVRTIILERRPITMVAKAMDVVLDSYSRLMKMGGGLPAVRRTSEQNQQPGVQPSVNPDSASGDGNRPVQSNSEVEHGIANLAEHVDRTLLNTSSDSDDIIDASGASDAPDRKPQVLGQDSRPLASGTSTQHGSHVASVAVSPSEMFESVFVLVEDEMMADPAYLISIIMEFLRSVSRAGLKAPPNLFVMMTTLLARSNRYPEIALFVSNKILEPSKELAMQLMELGRQHSPTRKLGVDMLRERGLHHDYVTALLQDGYHLEALRYARKYKVITVQPVLFLEKAVAINSAQNLAAMLSFFSEFTPTFKTTSDYGRYRHILSEMI >ONIVA10G08780.1 pep chromosome:AWHD00000000:10:9983225:9987543:-1 gene:ONIVA10G08780 transcript:ONIVA10G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEACLLAHLNSGGEVPDSRSYASSLRVTHDELEAVIKSLSAFRIVDTTDITKETWVLTDEAKGYVAGGSPEAQLVAAIPPEGASKDVLREKLGSVFDIGMKTAAKNKWIGFEKGNKDLVLRKVNTIKDDLQEQLKSLESGEVLSDKVIDDLKRRKLITKEKSIWYALKKGPQFVAKRKKLATDVTQEHLRSGDWKDLEFKDYNFGAQGQPIAIGYVQPLLEVVREEIQNIFLQMGFTEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLEAPAATKQLPEDYLEKVKEVHQRGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSSLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGLQ >ONIVA10G08770.1 pep chromosome:AWHD00000000:10:9969318:9970826:-1 gene:ONIVA10G08770 transcript:ONIVA10G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLDALQPLDPDTFAADSSAVVDFLAGYYRDVDKYPVRAADLEPGRLRRLLPEAAPEFGEPAERILADVRRDVLPGLTHWQSPSFFAYFPMNASAAGFAGEMLSVGLNVVPFMWVASPAAVELEAVVVDWMARLVGLPDRFLFSGSGGCGGGGGGGVLQGSTCEAVVCTLAAARDRALGRIGHEGIVKLVVYASDQTHATFQKGARLVGITPANFRVVPTAAASGYALTGAAVRAAVEGDVARGLVPLYLCATVGTTGIGAVDPVRELGEVARRHGMWLHVDAAYAGSAAICPEYQGYLDGAELADSVSMNPHKWFLTNMDCCCLWVASPAALTAALSTDPEYLKNAGGGKPQAAAGAGAIDYKDWQISLSRRFRAMKLWFVLRRYGAAGMRAHIRRHVAMAEWFERAVSADERFEVVAKRRFSLVCFRLRGGGGGGDAMNRELLAAVNASGRAFMTHFVVEGKFVIRLAVDGAMTEMRHVGDAWELVQRTAEQLLQRR >ONIVA10G08760.1 pep chromosome:AWHD00000000:10:9964486:9964842:1 gene:ONIVA10G08760 transcript:ONIVA10G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0IRV4] MVVTLAAVTALLVAPAVVAATATPPAGYTTAEDVSSDFIKQVGKFAVTVYKLARGVSLYYVSTSQCWSKPAGGGADDYWMVLTATNGAGAAGSYVATIWGIPGSESKTWKLLSFNATS >ONIVA10G08750.1 pep chromosome:AWHD00000000:10:9915076:9921284:-1 gene:ONIVA10G08750 transcript:ONIVA10G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSSRLLALLSARRPPPPLRRLLQIHAHLLAAGLLRDFSSLLAAAYALSTTATATDARTSPPSPLRHALALLSSLPASAYNAAIRALSLSDDGDRHGHGVVRRCLPLYRALLRSGTARPDHLTFPFLLKACARLREWGYGDAALAHVLRLGLDSDVFVVNAATHFLSIRGPMEDARRLFDRSPVRDLVSWNTLIGGYVRRGNPAEALELFWRMVAEDAVVRPDEVTMIAAVSGCGQMRDLELGRRLHGFVDSDGVSCTVRLMNALMDMYIKCGSLEMAKSVFERIEHRTVVSWTTMIVGFAKFGLMDDARKVFDEMPERDVFPWNALMTGYVQCKQCKEALSLFHEMQEASVVPDEITMVNLLTACSQLGALEMGMWVHRYIEKHRLVFSVALGTSLIDMYAKCGNIEKAIHIFKEIPEKNALTWTAMICGLANHGHANEAIEHFRTMIELGQKPDEITFIGVLSACCHAGLVKEGREFFSLMETKYHLERKMKHYSCMIDLLGRAGHLDEAEQLVNTMPMEPDAVVWGAIFFACRMQGNISLGEKAAMKLVEIDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHMDSHAIYDCLHEITLQIKHTADLLSISAAAQQLLGQSTSQWQSSRRGSPRGCRFDRLQAFEPIRSVRSQAGTTEFFDVSNELFQCTGVSVVRRVIEPRGLLLPHYTNGASLVYIIQGRGITGPTFPGCPETYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDINNGANQLDPRQRDFLLAGNKRNPQAYRREVEEWSQNIFSGFSTELLSEAFGISNQVARQLQCQNDQRGEIVRVERGLSLLQPYASLQEQEQGQMQSREHYQEGGYQQSQYGSGCPNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNSQNFPILNLVQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRAQVQVVNNNGKTVFNGELRRGQLLIVPQHYVVVKKAQREGCSYIAFKTNPNSMVSHIAGKSSIFRALPTDVLANAYRISREEAQRLKHNRGDEFGAFTPLQYKSYQDVYNVAESS >ONIVA10G08750.2 pep chromosome:AWHD00000000:10:9915076:9921284:-1 gene:ONIVA10G08750 transcript:ONIVA10G08750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSSRLLALLSARRPPPPLRRLLQIHAHLLAAGLLRDFSSLLAAAYALSTTATATDARTSPPSPLRHALALLSSLPASAYNAAIRALSLSDDGDRHGHGVVRRCLPLYRALLRSGTARPDHLTFPFLLKACARLREWGYGDAALAHVLRLGLDSDVFVVNAATHFLSIRGPMEDARRLFDRSPVRDLVSWNTLIGGYVRRGNPAEALELFWRMVAEDAVVRPDEVTMIAAVSGCGQMRDLELGRRLHGFVDSDGVSCTVRLMNALMDMYIKCGSLEMAKSVFERIEHRTVVSWTTMIVGFAKFGLMDDARKVFDEMPERDVFPWNALMTGYVQCKQCKEALSLFHEMQEASVVPDEITMVNLLTACSQLGALEMGMWVHRYIEKHRLVFSVALGTSLIDMYAKCGNIEKAIHIFKEIPEKNALTWTAMICGLANHGHANEAIEHFRTMIELGQKPDEITFIGVLSACCHAGLVKEGREFFSLMETKYHLERKMKHYSCMIDLLGRAGHLDEAEQLVNTMPMEPDAVVWGAIFFACRMQGNISLGEKAAMKLVEIDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHMDSHAIYDCLHEITLQIKHTADLLSISAAGASYNNMASINRPIVFFTVCLFLLCDGSLAQQLLGQSTSQWQSSRRGSPRGCRFDRLQAFEPIRSVRSQAGTTEFFDVSNELFQCTGVSVVRRVIEPRGLLLPHYTNGASLVYIIQGRGITGPTFPGCPETYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDINNGANQLDPRQRDFLLAGNKRNPQAYRREVEEWSQNIFSGFSTELLSEAFGISNQVARQLQCQNDQRGEIVRVERGLSLLQPYASLQEQEQGQMQSREHYQEGGYQQSQYGSGCPNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNSQNFPILNLVQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRAQVQVVNNNGKTVFNGELRRGQLLIVPQHYVVVKKAQREGCSYIAFKTNPNSMVSHIAGKSSIFRALPTDVLANAYRISREEAQRLKHNRGDEFGAFTPLQYKSYQDVYNVAESS >ONIVA10G08750.3 pep chromosome:AWHD00000000:10:9915076:9921284:-1 gene:ONIVA10G08750 transcript:ONIVA10G08750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSSRLLALLSARRPPPPLRRLLQIHAHLLAAGLLRDFSSLLAAAYALSTTATATDARTSPPSPLRHALALLSSLPASAYNAAIRALSLSDDGDRHGHGVVRRCLPLYRALLRSGTARPDHLTFPFLLKACARLREWGYGDAALAHVLRLGLDSDVFVVNAATHFLSIRGPMEDARRLFDRSPVRDLVSWNTLIGGYVRRGNPAEALELFWRMVAEDAVVRPDEVTMIAAVSGCGQMRDLELGRRLHGFVDSDGVSCTVRLMNALMDMYIKCGSLEMAKSVFERIEHRTVVSWTTMIVGFAKFGLMDDARKVFDEMPERDVFPWNALMTGYVQCKQCKEALSLFHEMQEASVVPDEITMVNLLTACSQLGALEMGMWVHRYIEKHRLVFSVALGTSLIDMYAKCGNIEKAIHIFKEIPEKNALTWTAMICGLANHGHANEAIEHFRTMIELGQKPDEITFIGVLSACCHAGLVKEGREFFSLMETKYHLERKMKHYSCMIDLLGRAGHLDEAEQLVNTMPMEPDAVVWGAIFFACRMQGNISLGEKAAMKLVEIDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHMDSHAIYDCLHEITLQIKHTADLLSISAAGRGITGPTFPGCPETYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDINNGANQLDPRQRDFLLAGNKRNPQAYRREVEEWSQNIFSGFSTELLSEAFGISNQVARQLQCQNDQRGEIVRVERGLSLLQPYASLQEQEQGQMQSREHYQEGGYQQSQYGSGCPNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNSQNFPILNLVQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRAQVQVVNNNGKTVFNGELRRGQLLIVPQHYVVVKKAQREGCSYIAFKTNPNSMVSHIAGKSSIFRALPTDVLANAYRISREEAQRLKHNRGDEFGAFTPLQYKSYQDVYNVAESS >ONIVA10G08740.1 pep chromosome:AWHD00000000:10:9910127:9918208:1 gene:ONIVA10G08740 transcript:ONIVA10G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEAVVPVEGRRNVLVTSALPYVNNVPHLGNIIGCVLSADAFARYCRLRGHNVLYVCGTDEYGTATETKAMEEGCSPREICDKYHAIHKEVYEWFDISFDIFGRTSSPQQTEVCQDIFLKLLDNNWLSENTMQQLYCNSCQRFLADRLVEGYCPTEGCNYDSARGDQCEKCGKLLNSTELVCGSTPCVRDTDHLFLELPLLREKLEKYIDETSLTGSWSQNAIHATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYESGKFSKTKGIGVFGNDAKSTNIPPEASDTLFTWTDLQAKCNNELLNNLGNFINRVLSFIAKPEGTGYGSVVPDSPDVDSHALTQSLAETVGKLIDQYIDAMDKVKIKQGLKIAMAISSEGNAYLQESQFWKLYKQDPASCATVMKTSVGIVYLLACLLEPFMPTFSKDVLQQLNLSPEEHLSFCDEKGEVEKAKRPWDLIPSGHRIGKPAPLFKGLENEAVKGLREKFAGSQAERKLRTQVAAQLEATSI >ONIVA10G08730.1 pep chromosome:AWHD00000000:10:9885843:9887612:1 gene:ONIVA10G08730 transcript:ONIVA10G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSLVTKVSAQRRRRVHRPRRSPTKPERAAAAVALSHEKILLAGVDAAADMFGSLAGCPSSPEYPVVPDMDDRDVDGAVDDAPAAAAGRRASDETLAVHAGEKLGSGAAETDSIATPIVSGTTHWFRDSADLIAFREGRRRSFEYGRYGNPTVKVLEEKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVATADCYSEARAFIRDKLSNMGITSTFVDLDDDMEALECVLDESEVTMFYADSMTNPHLKVVDVTRVAELCHRRGALVCIDSTLASPINQKPLALGADVVLHSATKYIAGHHDVLAGCVSGSEALISRIRAWHHDLGGAISPNAAYMIIRGLKTIALRVEAQNRTALRMARLLEKHPKIERVYYPGLESSPWHGVATRQMAGAGGVVSFDVASDLRGVMRFVDALELPLIATSLGGCESLVQQPAVMSYWGKSEEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALEKI >ONIVA10G08720.1 pep chromosome:AWHD00000000:10:9882508:9884079:1 gene:ONIVA10G08720 transcript:ONIVA10G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWGEGYPDMKSMALGHNTTCILDGDDMVRCWGVRVPEEYRRASFVSIVADGDTVCDVVTTNFSVVCWGNERFQGRHLILNDTMPGATVGHILYLNP >ONIVA10G08710.1 pep chromosome:AWHD00000000:10:9878863:9880908:-1 gene:ONIVA10G08710 transcript:ONIVA10G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSLVTKVSVQRRRRVHRPRRSPTKPERAAAADTFGSLAGCPSSPEYPVVPDLDDCVVDTAVDDAPVVAAEAAGQRASDETLAVHAGEKLGSGAAETDSIATPIVSGTTHWFRDSADLIAFREGWRRSFEYGRYGNPTVKVLEEKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVATADCYSEARAFIRDKLSNMGITVCKVVNTINGSFIKASAWHCLQSDSNNGVRSMDLQSTFVDLDDDXALERAEATLVTSSGMNAIVATLLALVPPGGHVVVTADCYSEARAFIHDKLSKMGITSTFVDLDDDMEALESVLDEDEVTMFYADSMTNPHLKVVDVARVAELCHRRGALVCIDSTLASPINQKPLALGADVVLHSATKYIAGHHDVIAGCVSGSEALISRIRAWHHDLGGAISPNAAYMIIRGLKTLALRVQAQNRTALRMARLLEKHPKIERVYYPGLESSPWHGVATRQMAGAGGVVSFEVASDMRGVMRFVDALELPLIATSLGGCESLVQQPAVMSYWGKSEEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALEKI >ONIVA10G08700.1 pep chromosome:AWHD00000000:10:9867766:9873830:1 gene:ONIVA10G08700 transcript:ONIVA10G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLPRRQRMDRPRRSPAAVQLVAGVDAADDMFGSLAGCPPRPLEYPVVPDLDDYDVDDAPVAGRPAPASDKTLAVHAGEKLGSGAAAAETDSIATPIVGGTTHWFRDSADLIAFREGRRRSFEYGRYGNPTVKVLEEKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVATADCYSEARAFIRDKLSNMGITSTFVDLDDDMEALESVLDEGEVTMFYADSMTNPHLKVVDVTRVAELCHRRGALVCIDSTLASLINQKPLALGADVVLHSATKYIAGHHDVIAGCVSGSEALVSRIRTWHHDLGGAISPNAAYMIIRGLKTLALRVQAQNRTALRMARLLEKHPKIERVYYPGLESSPWHGVATRQMAGAGGVVGLEVASDMRGAMRFVDALELPLIATSLGGCESLCDEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALGKI >ONIVA10G08690.1 pep chromosome:AWHD00000000:10:9852733:9853277:-1 gene:ONIVA10G08690 transcript:ONIVA10G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IRU5] MAASHRAILALILLFSSSAATIAGAQPAAADGGRTTHLHFYMHEFFNGGPNGSTARVAPPARSGGSLFGFVSVVDDALREGADPASRLVGRAQGLAAGTSLADGSVTTMLDFVTVAAFGVALPLPGGAAMERPVVGGTGAFRTARGYTLSRTVKTADPNSQVILEYDVYISH >ONIVA10G08680.1 pep chromosome:AWHD00000000:10:9833172:9833818:-1 gene:ONIVA10G08680 transcript:ONIVA10G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IRU4] MAPSLLAPRLAVPLLLVLAAAAAADGVADASAGAGMTHLHFFFHEVFTAGPNGTTATVAPPARSGDGSSLGFVGVVDDMLREGADPASRLVGRAQGVTAGTSLAAADGAGAITTMLSLAFTEEGPYAGSTLQVFGRAVLGTVMERPVVGGTGKFRMARGHTLSRRVNSSDPDNLLIIEYDAYVTTSPI >ONIVA10G08670.1 pep chromosome:AWHD00000000:10:9823189:9832704:1 gene:ONIVA10G08670 transcript:ONIVA10G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGESTQGNQRKLDGPGKVSTELSLVNLEAKNLHPKPECNQPLEHIPTKGMKCTPLLPLPTEHADDEPIYVNAKQYHAIIRRRQRRKIVGSEDKVAAIRKRILVEARQKQAKLRRRGKGGRFISIEHPLELSMDDQISKNGGSASPSSSTVSENSSNVNGFTGDL >ONIVA10G08660.1 pep chromosome:AWHD00000000:10:9817873:9818865:-1 gene:ONIVA10G08660 transcript:ONIVA10G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGAVDVDDGGAAATMAASGGEEVRAMPAEVSWEMLDKSRFFLLGAALFSGVSAALYPAVVLKTHLQVSPPPAAAASTTAAAILRRHGPRGFYRGFGASLAGTVPARAVYMAALEATKSAVGSAAVRLGVAEPAASAAASAAGGVSAAVAAQVVWTPVDVVSQRLMVQTAAAGPPYRGGADALRRILRADGVRGLYRGFGVSVLTYAPSSAAWWASYATAQRLIWRALGPAHHDSRASVVAVQGASAAAAGGAAALVTMPLDTVKTRLQVMDGGGASLASEARALVREGGWGACYRGLGPRWASMSLSAATMVTAYEFLKRLSTKDTSL >ONIVA10G08650.1 pep chromosome:AWHD00000000:10:9807151:9808164:1 gene:ONIVA10G08650 transcript:ONIVA10G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMEMRWAAPAPAARGRGRARRRAPDQPSFSSTLLDAICDSMDEGGEDGRTRNAASAAAKKRQEAANSYHYYYCYKPSLAASYRAAPALGSTADCPGRGYFSSSEVEYSLRRLRPIRTSAAGGAGDGAAVARKQRHEQPDVEKTAKTKPGSASARACRRPASPGARLASLLNSIFSGKRPSAQRPACSPDYPEPACSTAPPSSSSSYARRPCHAKTLRTPPTTTTTARARPSRSRTVRFLDIDGKVAVAAAVAGCRRIPVMEVEADTDDGGEESSDASSDLFELDSLAAIAPAGGRDGSYGDELPVYGTTGVGIRRDIGRGRPYGHAPCRSWSRAV >ONIVA10G08640.1 pep chromosome:AWHD00000000:10:9806025:9806270:1 gene:ONIVA10G08640 transcript:ONIVA10G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRKSRGRRRGWWGCRRAAPDESLSGSAKAMIVAASTFDMMDDKVASAAEWGRGETDAAEAASELELEMMRSRFYSSGF >ONIVA10G08630.1 pep chromosome:AWHD00000000:10:9791001:9794233:-1 gene:ONIVA10G08630 transcript:ONIVA10G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell elongation protein / DWARF1 / DIMINUTO (DIM) [Source:Projected from Arabidopsis thaliana (AT3G19820) TAIR;Acc:AT3G19820] MADLQEPLVRPKRKKVLVDYLVKFRWILVIFVVLPISALIYFNIYLGDVWSAMKSEKRRQKEHDDNVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDRERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAVEVVLADGRVVRATKDNEYSDLFYGIPWSQGTLGFLVSAEIKLIPIKEYMRLTYTPVKGSLKEIAQGYCDSFAPRDGDPAKVPDFVEGMVYTENEGVMMTGVYASKEEAKKKGNKINCVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGESIRNYYHDNHVIQDMLVPLYKVGDALEFVHKEMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHHHRQGDTSYAQMFTDVGVYYAPGAVLRGEEFNGALAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRQKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >ONIVA10G08620.1 pep chromosome:AWHD00000000:10:9784406:9788565:-1 gene:ONIVA10G08620 transcript:ONIVA10G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIE subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0IRT8] MDLKDSLSKFKQQQERCQSSLASIAASTSKPKHRAQPVNAPSAPARPPQPIKFSNDTERLQHINSVRKSPIGAQIKLVIELLYKTRQAFTAEQINETTYVDIHGNKSVFDSLRNNPKVHYDGRRFSYKSKHDLKGKDQLLVLVRKYPEGLAVVEVKDAYPTVMEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFREMELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQINGVQPKAKPKKKQREITRRTKLTNAHLPELFQNLNT >ONIVA10G08620.2 pep chromosome:AWHD00000000:10:9784408:9787630:-1 gene:ONIVA10G08620 transcript:ONIVA10G08620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIE subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0IRT8] MWEMDLKDSLSKFKQQQERCQSSLASIAASTSKPKHRAQPVNAPSAPARPPQPIKFSNDTERLQHINSVRKSPIGAQIKLVIELLYKTRQAFTAEQINETTYVDIHGNKSVFDSLRNNPKVHYDGRRFSYKSKHDLKGKDQLLVLVRKYPEGLAVVEVKDAYPTVMEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFREMELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQINGVQPKAKPKKKQREITRRTKLTNAHLPELFQNLNT >ONIVA10G08610.1 pep chromosome:AWHD00000000:10:9769505:9769867:-1 gene:ONIVA10G08610 transcript:ONIVA10G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPGAQHGRRRPQSLHGQGRRRPRRGLAGESTVLRARSYGCIRVFLILSLLLLAVKVAAYLQGWHLEEVVLLFAIDGLFAASYAWWMWLRLDYLASPLQFLTNACVVLFMVQSIDHEG >ONIVA10G08600.1 pep chromosome:AWHD00000000:10:9748213:9749693:1 gene:ONIVA10G08600 transcript:ONIVA10G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVREVKRCSREDSICTYLLIIVEVWSGPLVPWFFTPHINEVFHVNHRVPLAASSPELCQKSAGDPSDSHSEQPPEQASAPHQVIKDFRGGEQDEAAQVPWLCADLIVVMLVVLIGDAAPICFFAQMVFYIFTIVVPLPLLNPHQVRSCF >ONIVA10G08590.1 pep chromosome:AWHD00000000:10:9737266:9746027:-1 gene:ONIVA10G08590 transcript:ONIVA10G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT4G33210) TAIR;Acc:AT4G33210] MADRGRMKGVEGGEGGSGAGEGAEGRDGEAREELELALSLGRRGWHLPAARREPPPPPAAMRWTMPPHSWDHDAAGSSRAATHVPPLRCRDIWHGDNDAGGAIEGAEEGDEEDEEGDEDGDRDLQSKRPKVRGFGEESPQHSGVNASFFGLESTHFPGSDEHGHFKLSHCPENELDFGLSLFPNDGVNENPGDGNVGDVEISGGENSEDVEIRMDLSDDLLHLIFSFLGQRDLCKAGASCKQWRSASMHEDFWKCLKFENTRISLQNFVDICHRYQNVTYLNLSGVPHAELLVMEAITCLRHLKTLIMGKGQLGEAFFQLLSECPLLTTLTVSDASLGSGIQEVTVNHDGLRELQILKCRALRISVRCSQLQILSLRRTGMAHVSLNCPQLVELDFQSCHKLSDNAIRQAATACPLLASVDMSSCSCVTDETLREIANSCPNLSVLDASNCPNISFESVRLPMLVDLRLLSCEGITSASMAAIAYSRLLEALQLDNCSLLTSVSLDLPHLKNISLVHLRKFAELTLRSPVLSYIKVSRCSVLHRVSITSNALQKLVLQKQESLSSLSLLCNNLIDVDLSDCESLTNAVCEVFSDGGGCPLLRSLILDNCESLSTVELNSSSMVNLSLAGCRSMTLLKLSCPNLQNVNLDGCDHLERASFCPVGLESLNLGICPKLSDLHIEAPKMSLLELKGCGVLSQASINCPRLTSLDASFCRKLMDDSLSQTAEACPLIENLILSSCVSIDLNGLSSLHCLHKLALLDLSYTFLTNLKPVFDSCPQLKILKLSACKYLSDSSLDALYREGALPMLVELDLSYSSIGQTAIEELLSCCTNLVNMNLNGCTNLHQLVCGSDDCSSGDMPVDVCPPDSAPVRSEEISERSDRLLEVLNCTGCPNIKKVIIPSMTTYLRLSKINLNLSTNLKEVDLTCSNLYTLNLSNCSSLEVLKLDCPRLTNLQLLACTMLQDEELESAISRCSALEILNVHSCPKINVLDFSRLRVVCPSLKRIQSSLIT >ONIVA10G08580.1 pep chromosome:AWHD00000000:10:9732347:9734826:-1 gene:ONIVA10G08580 transcript:ONIVA10G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGRGTKMSLFGLGSKNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPTTCPTMSAGPKFEYRWADGIQIKKPIEVSAPKYVEYLMDWIEVQLDDESIFPQKLGTPFPQNFREVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >ONIVA10G08580.2 pep chromosome:AWHD00000000:10:9732347:9734436:-1 gene:ONIVA10G08580 transcript:ONIVA10G08580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSKNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPTTCPTMSAGPKFEYRWADGIQIKKPIEVSAPKYVEYLMDWIEVQLDDESIFPQKLGTPFPQNFREVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >ONIVA10G08570.1 pep chromosome:AWHD00000000:10:9730330:9731127:1 gene:ONIVA10G08570 transcript:ONIVA10G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKLDKEDREKIGLSYTEPNTDLFLTPGIGARRRHYRAGVGRRAPAAVATAPIRESDVDPTMERWGMETKEVKSSDKVVDLACPEWRGGRDR >ONIVA10G08560.1 pep chromosome:AWHD00000000:10:9726746:9728023:-1 gene:ONIVA10G08560 transcript:ONIVA10G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAASRAYLPDDLVADILTRLPARSVCRFRAVCRSWRALATERQFVLAHAAHDRAAAVPMNHHHRKVHPPFSPSPRRGGGEPTVMLAFVMICRRNEPDRSFYLESREDGACKLLGCWDGMMCIDVRRDSPACRDGIVVVNSISMAYAVVRSPMPDGGEFIAGYAHPDTFAFHLMYCCHNQGKVIFQVIKAGDSQWREIAADRLAISGIDFDKQGISSVALHGGLHWQLRTNSGQWVMLVYDMVTEKFRSIAAPQCATTWVRGLSVLSGRLCSIVIPESMTAEIWVLEDYHEHRSWHCIREIDMAASAERINLENFWDSDLRMFLKVDVEQGIEHEVQEIIIHHGNKIISQPCSVYELRRNEAVYNVRHNVWHKSTMCFNGESIMYKESIVPYQMSFGMKSQFCERKRGALQFSEGQHVYHLPL >ONIVA10G08550.1 pep chromosome:AWHD00000000:10:9724650:9724961:-1 gene:ONIVA10G08550 transcript:ONIVA10G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRCASSPLRAVGCLPRRPGLGGCSASVAGQPGCRRGGQVWCGSRTTGAPASGADLERQRHRRLDGSAKGAGGGGLSSSLPVGIHPVPGSPSAKTGEVAGGW >ONIVA10G08540.1 pep chromosome:AWHD00000000:10:9719054:9720826:-1 gene:ONIVA10G08540 transcript:ONIVA10G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGYIYFFSKRQFATKARNKRRPMRVADGGTWKASGGSKKLEDLAVYRVYKIRRKEDTEPVNAAAAVSSTDEPSTPAALPLPTPPPRPLPDMAGSSSATSLLPLQLPGLAGSSSTMPLALPLQLPGLPRSSSVMSLPGLAGEMTSMGHGETGEHGLDVTGINTVVGAPTRLELTDGTDGDGAMADGDTQLDAAAAAAEFDSERVAEIVSQIMDGEFEFKFDDDTIVSFNEVVAAPMLIDGDGDGDGDGADGGVGDDPFEN >ONIVA10G08530.1 pep chromosome:AWHD00000000:10:9717470:9717884:-1 gene:ONIVA10G08530 transcript:ONIVA10G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVSGCLCQISSVLVCPSFARVGTAGKEKEERVVSGMRVALRFTIDAPSRRLVVVYADRMPWFGYSAVAAHNPGSLPEFAAPASISHAGADRPPSLATARAEHSPSCGLCPRPRERERDRRGQRGGETV >ONIVA10G08520.1 pep chromosome:AWHD00000000:10:9711946:9714099:-1 gene:ONIVA10G08520 transcript:ONIVA10G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPPKGRGGGGNNNGKWKGKEKVVPEYGKNRHGMPVGWYFVPKDLELFAILRCKLVRGQLPGALNNVFEHIRILEFHPALLHETYIGNEEDGYIYFFSKRQFTTKAGNKRRPTRVTKGGTWKASGGSKTVRSKKVGGIDVGQKLTMVFYERRFEGDRNPIKTNWGMHEFTKIIDGTKNQLEDLAVYRLYKIKRKEDEEPSNTAAAASSTDEPSTSSALPPPTPPRPLPDMAGPSSATPLLPLQLPGLAGSSSAMSLPALQLPGMAGSSSAMPLPPLSLPGLAGGMMSMADQAKPLQLPGLATPSSELLQDWYDEFEITYGAVAPPSPSTISWVAPQSSPTGWWPSPNGGPVQHDGYLGMAADPTSYMLENLLPTAAIPPEPMMPPTSSPAPPPAVDHHHRLSPPHDAAGSNYNHPELADYNGGVQAQHEHQYHPQEPQASLVDAEDGYSAMAGGDDAQLGGAELDTERIAEMVNHIMDGEFEFKFEDNTVLKYNEVFPDNDEVVAAPMMIDGGRDGDGADGGDGDDPFDN >ONIVA10G08510.1 pep chromosome:AWHD00000000:10:9706422:9710247:1 gene:ONIVA10G08510 transcript:ONIVA10G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMNSVQPNAQFPVPHKSLSLDINGNKTDIVISKYEDNFMVMVTQIGCMGTILAASHYY >ONIVA10G08500.1 pep chromosome:AWHD00000000:10:9704624:9706286:-1 gene:ONIVA10G08500 transcript:ONIVA10G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEGEGVRLLGGRMSPFTMRARMALALRGVEYELVEEALHPRKSGRLLAANPAYGRIPVLLLPGGRAVCESAVIAQYVDDAWGGAGAGAAILPVDPYERAMHRFWTAYIDDKFWPALDAISLAPTPEARATATASTRAALKLLEEAFAARSNGGAFFSGGGAAASPGLLDVALGCFLPALWACESLNGLRLLDDDATPLLRAWSARLAATPAAMAVMPETEEVVAFTRFLQTKFGVAGSK >ONIVA10G08490.1 pep chromosome:AWHD00000000:10:9699092:9699877:-1 gene:ONIVA10G08490 transcript:ONIVA10G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSSSAPPRLHLAATPACFSSSSGHGVSRLSVTSACSAAPGSLVSMRRQKARSLTVRCEQGAKGGGGGGGGLDVWLSRGAMLGFVAAITVELTTGKGVLQNVGLTSPVPTVALALTGVVGVATAFLIFQSASQD >ONIVA10G08480.1 pep chromosome:AWHD00000000:10:9672218:9695489:-1 gene:ONIVA10G08480 transcript:ONIVA10G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRPGTAVWVEHPDHAWAEAVVASPASSSPSSVTVTLAGGAKAVVDGKKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGFNEIYYRGVQFGELSPHVFAVTDASYRAMVSEDRSQSILVSGESGAGKTETTKLIMRYLTFVGGRSTGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFNKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTYDLEGVNEAEEYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAIKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLLVDNINMSIGQDMESRALIGLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAMKLFQNFKAHPRLEKPKLSKTDFALSHFAGKACPVIYQTELFLEKNRDYVNLEHQNLLCSSKCSFLSRLFALQQDDPSKSSYKFSSIASRFKQQLQALMETLSSTEPHYVRCVKPNSLNYPQKFENGSGVLEAIRISLAGYPTRRTYTEFIDRFGLLLPEHMDERFDEKSLTEKILRQLHLENFQLGRTKVFLRAGQIAVLDSKRTEILEKAARIVQGRFRTFVACKEFHSTKKASVSLQAYCRALVIQSGVRYMLAIQKLLQLKNNKAATIIQALWRMKKLYDFHRQYRHATILIQCCWRQKLAKRAFRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRVAAEESKALEVSKLLKIVESLKCELEAANEEKINGCKEVASMQQQLELSIKDQELLHSNLAQIEELKRENTLLKGKNAEMEQELLKAQKCSHDNMDKLHGVERNYLHLRDNLKNLEDKISNLEDENHLLRQKALSLSPRHSRTMSHPIGSSPCSPKSLIESSPVKIVPLPHNPTELRRSRMNSERHEEYHELLQRCIKDDMGFKKGKPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKALRPPLKAFGQRNSMSHIDAKYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPDVTLQQPISAHWDRIIKFLDSLMDRLHKNFVPSFFIRKLVTQVFSFINVQLFNSLLLRRECCTFSNGEYVKTGLCVLEKWILDATEEHAGAAWDELKYIREAVEFLIIAQKSKRTLEQIKKNICPVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQTTYPLR >ONIVA10G08480.2 pep chromosome:AWHD00000000:10:9672218:9695489:-1 gene:ONIVA10G08480 transcript:ONIVA10G08480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRPGTAVWVEHPDHAWAEAVVASPASSSPSSVTVTLAGGAKAVVDGKKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGFNEIYYRGVQFGELSPHVFAVTDASYRAMVSEDRSQSILVSGESGAGKTETTKLIMRYLTFVGGRSTGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFNKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTYDLEGVNEAEEYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAIKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLLVDNINMSIGQDMESRALIGLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAMKLFQNFKAHPRLEKPKLSKTDFALSHFAGKACPVIYQTELFLEKNRDYVNLEHQNLLCSSKCSFLSRLFALQQDDPSKSSYKFSSIASRFKQQLQALMETLSSTEPHYVRCVKPNSLNYPQKFENGSGVLEAIRISLAGYPTRRTYTEFIDRFGLLLPEHMDERFDEKSLTEKILRQLHLENFQLGRTKVFLRAGQIAVLDSKRTEILEKAARIVQGRFRTFVACKEFHSTKKASVSLQAYCRALVIQSGVRYMLAIQKLLQLKNNKAATIIQALWRMKKLYDFHRQYRHATILIQCCWRQKLAKRAFRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRVAAEESKALEVSKLLKIVESLKCELEAANEEKINGCKEVASMQQQLELSIKDQELLHSNLAQIEELKRENTLLKGKNAEMEQELLKAQKCSHDNMDKLHGVERNYLHLRDNLKNLEDKISNLEDENHLLRQKALSLSPRHSRTMSHPIGSSPCSPKSLIESSPVKIVPLPHNPTELRRSRMNSERHEEYHELLQRCIKDDMGFKKGKPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKALRPPLKAFGQRNSMSHIDAKYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPDVTLQQPISAHWDRIIKFLDSLMDRLHKNFLAPTTGVLHIFKWRICENWALCTGEMDSGCYRRGAAWDELKYIREAVEFLIIAQKSKRTLEQIKKNICPVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQTTYPLR >ONIVA10G08480.3 pep chromosome:AWHD00000000:10:9672218:9695489:-1 gene:ONIVA10G08480 transcript:ONIVA10G08480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRPGTAVWVEHPDHAWAEAVVASPASSSPSSVTVTLAGGAKAVVDGKKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGFNEIYYRGVQFGELSPHVFAVTDASYRAMVSEDRSQSILVSGESGAGKTETTKLIMRYLTFVGGRSTGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFNKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTYDLEGVNEAEEYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAIKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLLVDNINMSIGQDMESRALIGLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAMKLFQNFKAHPRLEKPKLSKTDFALSHFAGKACPVIYQTELFLEKNRDYVNLEHQNLLCSSKCSFLSRLFALQQDDPSKSSYKFSSIASRFKQQLQALMETLSSTEPHYVRCVKPNSLNYPQKFENGSGVLEAIRISLAGYPTRRTYTEFIDRFGLLLPEHMDERTKVFLRAGQIAVLDSKRTEILEKAARIVQGRFRTFVACKEFHSTKKASVSLQAYCRALVIQSGVRYMLAIQKLLQLKNNKAATIIQALWRMKKLYDFHRQYRHATILIQCCWRQKLAKRAFRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRVAAEESKALEVSKLLKIVESLKCELEAANEEKINGCKEVASMQQQLELSIKDQELLHSNLAQIEELKRENTLLKGKNAEMEQELLKAQKCSHDNMDKLHGVERNYLHLRDNLKNLEDKISNLEDENHLLRQKALSLSPRHSRTMSHPIGSSPCSPKSLIESSPVKIVPLPHNPTELRRSRMNSERHEEYHELLQRCIKDDMGFKKGKPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKALRPPLKAFGQRNSMSHIDAKYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPDVTLQQPISAHWDRIIKFLDSLMDRLHKNFVPSFFIRKLVTQVFSFINVQLFNSLLLRRECCTFSNGEYVKTGLCVLEKWILDATEEHAGAAWDELKYIREAVEFLIIAQKSKRTLEQIKKNICPVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQTTYPLR >ONIVA10G08480.4 pep chromosome:AWHD00000000:10:9672218:9695489:-1 gene:ONIVA10G08480 transcript:ONIVA10G08480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRPGTAVWVEHPDHAWAEAVVASPASSSPSSVTVTLAGGAKAVVDGKKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGFNEIYYRGVQFGELSPHVFAVTDASYRAMVSEDRSQSILVSGESGAGKTETTKLIMRYLTFVGGRSTGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFNKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTYDLEGVNEAEEYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAIKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEAWLFALQQDDPSKSSYKFSSIASRFKLSNLHSNNSKRLWKHSAQQNLTTFVGVLEAIRISLAGYPTRRTYTEFIDRFGLLLPEHMDERFDEKSLTEKILRQLHLENFQLGRTKVFLRAGQIAVLDSKRTEILEKAARIVQGRFRTFVACKEFHSTKKASVSLQAYCRALVIQSGVRYMLAIQKLLQLKNNKAATIIQALWRMKKLYDFHRQYRHATILIQCCWRQKLAKRAFRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRVAAEESKALEVSKLLKIVESLKCELEAANEEKINGCKEVASMQQQLELSIKDQELLHSNLAQIEELKRENTLLKGKNAEMEQELLKAQKCSHDNMDKLHGVERNYLHLRDNLKNLEDKISNLEDENHLLRQKALSLSPRHSRTMSHPIGSSPCSPKSLIESSPVKIVPLPHNPTELRRSRMNSERHEEYHELLQRCIKDDMGFKKGKPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKALRPPLKAFGQRNSMSHIDAKYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPDVTLQQPISAHWDRIIKFLDSLMDRLHKNFVPSFFIRKLVTQVFSFINVQLFNSLLLRRECCTFSNGEYVKTGLCVLEKWILDATEEHAGAAWDELKYIREAVEFLIIAQKSKRTLEQIKKNICPVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQTTYPLR >ONIVA10G08470.1 pep chromosome:AWHD00000000:10:9661020:9666913:-1 gene:ONIVA10G08470 transcript:ONIVA10G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTTTSSSPSWSSLFGLGCFTSSHSDGGSAAAKNPGTPLPARPSSCNSNDGVAAAVMPSPEDLSQSLAGSGVEAFTVEELRRATRDFSVSNFVGEGGFGPVYKGYVDERLKPGVRAQAVAVKLLDLEGSQGHKEWLAEVIFLGQLRHHHLVKLIGYCYEDEHRLLVYEFMARGSLEKHLFKKYSASLPWSTRLKIAIGAARGLAFLHEAAKPVIYRDFKTSNILLNSDYEAKLSDFGLAKDGPQEDETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSYGVVLLELLTGRKAVDKKRPLREQNLVEWARPCLHDSRRLNRVIDKSLNGQYSTRAVQKAAAIAYQCLSVSPKSRPRMSAVVEALEPLLAMDDGIVEPFVYMAPPESK >ONIVA10G08460.1 pep chromosome:AWHD00000000:10:9624579:9636305:1 gene:ONIVA10G08460 transcript:ONIVA10G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILDALASYLGEQFAKMVKDEAGMLLGASGEVEKLTGTLSRLKKFLANAERRHITDAKEGEYVHEWVRKLKDAMYDATDIVDDVHLKSEQRRERYASPYPGSCSNSSLLWCLQDPLFAHRIGSRVKELNERMDGLFKQAEADQQADTDRLKSSSTPGNPHSGNPRRTAPGIIHEDIVGDKIEEDKRMLVDWLINHDKKYLVVAIQGVGGIGKTTLAKKIFHDQAIQDTFDVKIWLSVTQDFNEAHLLKTAIAMAKSDKQQIPAVEDMALLEDALVEALRGKMLLLVMDDMWTEKAWDNGLRVPITEACAPGTCVLVTTRNEDVAKVMKAAHTHQVTKLRLDDSWTLLQKQAALSVSEIEIVQECGMKIAEKCDGLPLAIKVIGVVLCKKNATKNAWEEVLRNQIWSKMGLPEELNKAIYLSYEDLSHNLKQCFVYYSLFPKDEIIGIDKIVSMWIAEGFIGKDGYSAQSAGLDYYKELIKRNLLEPQNDYYNEEHCIMHDVVHSFAQHVARDEALVLRDPQNNGILSSSKFRRLSISAEQIEWSNLQNQHCLRTLILFGNIKLKPGDSLRILPSLRTIHDSLCHLKHLRYLELRYTDISALPRNIGRMKFLEHIGVRGCHRLSKLPSSIIKLDNLRHLSIDETKIRAIPRGFSRLLNLDVLWGFPVHGVAQGTAKHYCTLEDVGPLSQLRKLKLKGLENAPSKSAALAELGTKSRLTCLELWCSNDETKDAIVTVEQEQIKELFDLLRPAECLEELTIGGYYGDTVPDWIKMPEAAIFKDLRRLNLQNLVSCIQLPDGLGQLPNLDFFVVDDAPCIKQIGHCLLFEQGQRNMDNKKGSRHVAFPKLHELHLKGMMEWNEWTWEKHVEAMPVLSVLHVKDCNLSHLPPGLPYQARALKRLCVINARNLNSVEGFSSVVKLEVYGDPNLERIVDLPSLQNLTIVNCPKLMLLDGVASVQIMELGDHGMETLPEYLRHLTLRHLKIVCSLNLLRLMSTKHDASRSEWEKISHIMHVEGFASDNGDGILRWYMSYTRDPYNLETNITSR >ONIVA10G08460.2 pep chromosome:AWHD00000000:10:9624579:9636307:1 gene:ONIVA10G08460 transcript:ONIVA10G08460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILDALASYLGEQFAKMVKDEAGMLLGASGEVEKLTGTLSRLKKFLANAERRHITDAKEGEYVHEWVRKLKDAMYDATDIVDDVHLKSEQRRERYASPYPGSCSNSSLLWCLQDPLFAHRIGSRVKELNERMDGLFKQAEADQQADTDRLKSSSTPGNPHSGNPRRTAPGIIHEDIVGDKIEEDKRMLVDWLINHDKKYLVVAIQGVGGIGKTTLAKKIFHDQAIQDTFDVKIWLSVTQDFNEAHLLKTAIAMAKSDKQQIPAVEDMALLEDALVEALRGKMLLLVMDDMWTEKAWDNGLRVPITEACAPGTCVLVTTRNEDVAKVMKAAHTHQVTKLRLDDSWTLLQKQAALSVSEIEIVQECGMKIAEKCDGLPLAIKVIGVVLCKKNATKNAWEEVLRNQIWSKMGLPEELNKAIYLSYEDLSHNLKQCFVYYSLFPKDEIIGIDKIVSMWIAEGFIGKDGYSAQSAGLDYYKELIKRNLLEPQNDYYNEEHCIMHDVVHSFAQHVARDEALVLRDPQNNGILSSSKFRRLSISAEQIEWSNLQNQHCLRTLILFGNIKLKPGDSLRILPSLRTIHDSLCHLKHLRYLELRYTDISALPRNIGRMKFLEHIGVRGCHRLSKLPSSIIKLDNLRHLSIDETKIRAIPRGFSRLLNLDVLWGFPVHGVAQGTAKHYCTLEDVGPLSQLRKLKLKGLENAPSKSAALAELGTKSRLTCLELWCSNDETKDAIVTVEQEQIKELFDLLRPAECLEELTIGGYYGDTVPDWIKMPEAAIFKDLRRLNLQNLVSCIQLPDGLGQLPNLDFFVVDDAPCIKQIGHCLLFEQGQRNMDNKKGSRHVAFPKLHELHLKGMMEWNEWTWEKHVEAMPVLSVLHVKDCNLSHLPPGLPYQARALKRLCVINARNLNSVEGFSSVVKLEVYGDPNLERIVDLPSLQNLTIVNCPKLMLLDGVASVQIMELGDHGMETLPEYLRHLTLRHLKIVCSLNLLRLMSTKHDASRSEWEKISHIMHVEGFASDNGDGILRWYMSYTRDPYNLETNITSR >ONIVA10G08460.3 pep chromosome:AWHD00000000:10:9624406:9636307:1 gene:ONIVA10G08460 transcript:ONIVA10G08460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILDALASYLGEQFAKMVKDEAGMLLGASGEVEKLTGTLSRLKKFLANAERRHITDAKEGEYVHEWVRKLKDAMYDATDIVDDVHLKSEQRRERYASPYPGSCSNSSLLWCLQDPLFAHRIGSRVKELNERMDGLFKQAEADQQADTDRLKSSSTPGNPHSGNPRRTAPGIIHEDIVGDKIEEDKRMLVDWLINHDKKYLVVAIQGVGGIGKTTLAKKIFHDQAIQDTFDVKIWLSVTQDFNEAHLLKTAIAMAKSDKQQIPAVEDMALLEDALVEALRGKMLLLVMDDMWTEKAWDNGLRVPITEACAPGTCVLVTTRNEDVAKVMKAAHTHQVTKLRLDDSWTLLQKQAALSVSEIEIVQECGMKIAEKCDGLPLAIKVIGVVLCKKNATKNAWEEVLRNQIWSKMGLPEELNKAIYLSYEDLSHNLKQCFVYYSLFPKDEIIGIDKIVSMWIAEGFIGKDGYSAQSAGLDYYKELIKRNLLEPQNDYYNEEHCIMHDVVHSFAQHVARDEALVLRDPQNNGILSSSKFRRLSISAEQIEWSNLQNQHCLRTLILFGNIKLKPGDSLRILPSLRTIHDSLCHLKHLRYLELRYTDISALPRNIGRMKFLEHIGVRGCHRLSKLPSSIIKLDNLRHLSIDETKIRAIPRGFSRLLNLDVLWGFPVHGVAQGTAKHYCTLEDVGPLSQLRKLKLKGLENAPSKSAALAELGTKSRLTCLELWCSNDETKDAIVTVEQEQIKELFDLLRPAECLEELTIGGYYGDTVPDWIKMPEAAIFKDLRRLNLQNLVSCIQLPDGLGQLPNLDFFVVDDAPCIKQIGHCLLFEQGQRNMDNKKGSRHVAFPKLHELHLKGMMEWNEWTWEKHVEAMPVLSVLHVKDCNLSHLPPGLPYQARALKRLCVINARNLNSVEGFSSVVKLEVYGDPNLERIVDLPSLQNLTIVNCPKLMLLDGVASVQIMELGDHGMETLPEYLRHLTLRHLKIVCSLNLLRLMSTKHDASRSEWEKISHIMHVEGFASDNGDGILRWYMSYTRDPYNLETNITSR >ONIVA10G08450.1 pep chromosome:AWHD00000000:10:9613516:9617210:1 gene:ONIVA10G08450 transcript:ONIVA10G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLVLVLLLLLAAAAHPIAFADAGAAGNATTTTYIVFMDPARLPAAGHAAHLQSLAIDPDRHLLYSYSAAAHGFAAALLPHHLPLLRASPGVLQVVPDEVFDLHTTRTPEFLGLLSPAYQPAIHGFEAATHDVVIGVLDTGVWPESPSFAGGDLPPPPARWKGVCEAGVDFSPSVCGRKLVGARSFSRGLRAANGGGGGGARGGVGRKGFVSARDRDGHGTHTATTAAGAVVANASLLGYATGTARGMAPGARVAAYKVCWPEGCLGSDILAGIDAAVADGVGVLSLSLGGGSAPYFRDTVAVGAFGAAAAGVFVACSAGNSGPSGATVANSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYAGPSPSPRPAMLPLVYGGGGDNASRLCLSGTLDPAAVRGKIVLCDRGVNARVEKGAVVKAAGGAGMVLANTAASGEELVADSHLLPAVAVGKLAGDKIREYASRRAAGGAGAPMAMLSFGGTVLGVRPSPVVAAFSSRGPNTVVPEILKPDMIGPGVNILAGWSGVAGPTGLVKDGRRTHFNIISGTSMSCPHISGVAALLKAAHPEWSPAAIKSALMTTAYTVDNTNSSLRDAAGGLLAIPFAFGAGHVDPQKALSPGLLYDISTKDYVSFLCSLNYTTPHIQVITKMSNITCPRKFRPGDLNYPSFSVVFKKKSKHVMRFRREVTNVGPAMSVYNVKVSGPASVSVKVTPAKLVFNKVGQKQRYYVIFASTVDASNAKPDFGWISWMSSQHVVRSPIAYTWKI >ONIVA10G08430.1 pep chromosome:AWHD00000000:10:9604201:9607325:-1 gene:ONIVA10G08430 transcript:ONIVA10G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07010) TAIR;Acc:AT1G07010] MAVLPPPVRVAAAAAATTFGLSTSPAAATPAGCRRCGPRGRAAVHVAISASGRASFSRGAAGDGGGGRPAITVAGDPPTFVTAPGRRIVAVGDLHGDLNQTRAALVMAGLLSSESDGHVWTGGQTVLVQVGDILDRGEDEIAILSLLSSLNMQAKSQGGAVFQVNGNHETINVEGDYRYVDPGAFDECIRFMEYLDECDGNWDDAFLNWVNVCERWKEEYPMSPNGDWRPWNFVKKQKGIAARSSLFKRGGPLACELARHPVVLSVNDWIFCHGGLLPHHVEYGIERMNREVSVWMKSSSGDSDDELDIPFIATRGYDSVVWSRLYSQGPTEMTRHSWKLSSVVAERTLKSVGAKGMVVGHTPQTRGVNWYLLFSLG >ONIVA10G08420.1 pep chromosome:AWHD00000000:10:9596322:9605033:1 gene:ONIVA10G08420 transcript:ONIVA10G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSSSCVVTPYRLHELDIFGEGFRLQRNIKSAKCTCLGDYKMRGLTMMRKKAAVAKDPVINT >ONIVA10G08410.1 pep chromosome:AWHD00000000:10:9593262:9595609:1 gene:ONIVA10G08410 transcript:ONIVA10G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHTSMAPAVILRVTALLRFILLVAGAATATATATAARFSRVFSFGDSLTDTGNLVLLPAGRDVPERRLPYGQTFFHRATGRASDGRIAIDFIAEALELPRLKPYLAGEGADGFRHGANFAVGGATARDAGFFQRRGLRSVPVSLATEMGWFKELLPLLASSCPQEQRKITASSLFFVGEMGGNDYLNAIFQNRTLDEAKTFVPGIIDAIRSSLTELVGVGAKTVLVQGMLPIGCEPRVLELFKLKHGRSTGGDGDYDADTGCLTSFNELAEQHNRVLTAALDELRRAHPGTAILYADLYRAVTDIAVSPRRYGFGGEPLFACCGGGGGPYNVRLAARCGDEGTAACGEPSEYVSWDGIHYTEAANRVIARGIVKGRYTVPPISLSVSSSD >ONIVA10G08400.1 pep chromosome:AWHD00000000:10:9568645:9569606:-1 gene:ONIVA10G08400 transcript:ONIVA10G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVCGGERAGGSGGEEGEQASGQAPLLLARAGGEGGAAASGQAAARRGRHGDGFVDRTDCSVCLGEFRDGESLRLLPKCSHAFHVPCIDTWLRSHSNCPLCRYNIAFVTVGMVSSEPEARPPREDMERQPQAGPDNWQPGACARRATERGDRCRQRRPQPRGEGWSGEVGRCKWHS >ONIVA10G08390.1 pep chromosome:AWHD00000000:10:9560913:9581985:1 gene:ONIVA10G08390 transcript:ONIVA10G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPFPRRLLLSLLLGVLVVAIVAAADVESSAGGGGGRRHSPRRTRYSRVFSFGDSLTDTGNAAILPATAGGPFTRPPYGMTFYHHPTGRASDGRLVIDFLVKALGLPEPTPYLAGKTAADFRRGVNFAVGGATALDPAFLKSRGMTSSVPVSLSNETRWFQDVLQLLGASPHEKHTVAASSIFYFGEIGFNDYSFALSAGNGTVDVAASLVPDIIAVIRSAVTAIAPTAKMDDFHNHWLAILPSTCKNCFWPPIKMLFLVVRTQKTISTAVIAAGARTVVVAGMIPIGCEPEMLALFPGGAGNYYDPASGCITRFNDLAELHNRELQRALHELRRAHPGATAVRYADLYGPVAAAVASPKEYGFGSSPLAACCGSGGEPYNFNANFTGFCATPGSTVCADGPSSSVSWDGIHYTEATNKLVARAILTRPYAICIFRPPRTILRLLVAAAVADTGHHVLWLFAHMLRVANSLVVVESAGGGRHPPLPRYSRMFSFGDSLTDTGNAAILPVTAGGSFTRPPYGMTFFHHPAGRASDGRLVIDFLVEALGLPEPTPYLAGKAAAEFRRGANFAVGGATALDPAFLKSRGITSFVPVSLGNETRWFEDVLHLLAGASAHQKRMIAASSVFYFGEIGFNDYSFALSAGNGTVEAAASLVPEIIAVIRSAVAAVIAAGARTVVVAGMIPIGCEPEMLALFRSGAGDYYDPASGCIARFNRLAELHNRELQRALHELRRAHPGAAAAIVRYADLYGPVAAAIASPGEYGTPFVSYNKALISARDASIVVILSLLICVDVDLAGFGSSPLAACCGSGGEPYNFNANFTGFCATPGSTVCADGPSSSVSWDGIHYTEATNKLVARAILTRPMDFPTGRQLQIDRTLAEPVTTGSISMTPFSPHLVAAAAALLGLLATAVAGGGTGAYTRVFSFGDSLTDTGNALHLPSTAGGGGPASRPPYGETFFRRPTGRASDGRLAVDFIVEALRLRHPAPYLAAGGETAAEFRHGVNFAVGGSTALPPEFYEGRGLKPFVPVSLANQTAWFDKVLQILGSSDHGRRKIMASSLFIVGEIGVNDYLVSLVGNLTVGEVETSVVPHIVAAIRSTVNEVIAAGATTVVVPGMIPLGCEPQLLALYQGGGGGGVAGDDYDPESGCMTRLNGLAEHHNRELRRAVAELRGAHPGASVVVAYADLYRAVADIVASPGRHGFGGAPLAACCGAGAGAYNFDMAAFCGAAGSTACADPSAYVSWDGVHFTEAANRHIACAVLEAGALPAVSTAPMTWPAEAEAGPPPTMSSCRGCLCAAAAVHDDKDFVARRPRPQGVHDCTMRTYASSPPPDMPTLDYYPPPSPPVYYTPPSPPHTTVRRRRTEATT >ONIVA10G08380.1 pep chromosome:AWHD00000000:10:9547461:9549816:-1 gene:ONIVA10G08380 transcript:ONIVA10G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIGNAL PEPTIDE PEPTIDASE-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT4G33410) TAIR;Acc:AT4G33410] MERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTAVASAMALFFCLSPYVNCVRSRLGVGDPFVSRCCSKPFTRLQGLLVAICVGTVVAWLVSGHWLLNNLLGISICIAFVSHVRLPNIKICALLLVCLFVYDVFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRSLMGGLAPGSSPGDYMMLGLGDMAIPGMLLALVLSFDHRKIKDMSVSQDMPPSKQRKYVWYALTGYGVGLVTALAAGILSQSPQPALLYLVPSTLGPVMYMSWLRNELWELWEGSRPIINDKAHLLEV >ONIVA10G08380.2 pep chromosome:AWHD00000000:10:9547461:9549396:-1 gene:ONIVA10G08380 transcript:ONIVA10G08380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIGNAL PEPTIDE PEPTIDASE-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT4G33410) TAIR;Acc:AT4G33410] MESLWKLSYLLEPASLALILTAVSVAYASASRALDHGREMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTAVASAMALFFCLSPYVNCVRSRLGVGDPFVSRCCSKPFTRLQGLLVAICVGTVVAWLVSGHWLLNNLLGISICIAFVSHVRLPNIKICALLLVCLFVYDVFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRSLMGGLAPGSSPGDYMMLGLGDMAIPGMLLALVLSFDHRKIKDMSVSQDMPPSKQRKYVWYALTGYGVGLVTALAAGILSQSPQPALLYLVPSTLGPVMYMSWLRNELWELWEGSRPIINDKAHLLEV >ONIVA10G08370.1 pep chromosome:AWHD00000000:10:9540438:9544445:1 gene:ONIVA10G08370 transcript:ONIVA10G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQRLLVALLRVLVAGEHAAGDAMPSPASGGGGAAVNVEARYARVFCFGNSLTDTGNNPLLPATAGGPSTSPPYGMTFFHRPTGRSSDGRLLIDFLGIPTPYLAGKTAADLLAGTNFAVGGATALEPAVLATMGIVSAVPVSLSNETRWFQDALQLLASSINARRRIAETSLFFFGEIGVNDYFLALASNHTVEQAAATLVPDIVGVIRSAVIDAIVAGARTVVVTGMIPLGCEPQLLALFPAGSAADYDPDTGCDARFNKLAEVHNRELTRMLRQLRRAFPAAAVHYADFYRPVTAIIASPAKYGFGDTPLAACCGGGGNPYNFDFAAFCTLRASTLCADPSKYVSWDGIHYTEAVNKFVARSMLRRALIPMPKPNPSLSMPLSSSREHTGQETSRELATL >ONIVA10G08370.2 pep chromosome:AWHD00000000:10:9544308:9545383:1 gene:ONIVA10G08370 transcript:ONIVA10G08370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCGCGQSSRAPWPPSSPALHRRRRRGRGYAVRPSGGGAEAAGGAASTDAGGAAEGERGNRAAEGASAAAGRAVEREQATDRGAERERGGQRVWEGGGGGRRPRNNCFLCLFPFSCRWCLS >ONIVA10G08360.1 pep chromosome:AWHD00000000:10:9527167:9532331:-1 gene:ONIVA10G08360 transcript:ONIVA10G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07300) TAIR;Acc:AT3G07300] MPDVQPLVSEFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQAAALADAVRGVGEQLIAANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTAESDDEYDSGNDDHPTLSAAVLAAHARNALRAPSLQTLLEDIPVSTVLSRSASSAGDSDGKSGDKSSKSRKLKHDVIAAIGDLIDEIDTCYDQISEQAVEHIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYKGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSSCMNFSTQDGTPLLNVVNPTFDYVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLVVRRKSTSS >ONIVA10G08360.2 pep chromosome:AWHD00000000:10:9527167:9532331:-1 gene:ONIVA10G08360 transcript:ONIVA10G08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07300) TAIR;Acc:AT3G07300] MPDVQPLVSEFVLKLKRRRVLHIIKEEDISSTAVGIEGLSVTAESDDEYDSGNDDHPTLSAAVLAAHARNALRAPSLQTLLEDIPVSTVLSRSASSAGDSDGKSGDKSSKSRKLKHDVIAAIGDLIDEIDTCYDQISEQAVEHIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYKGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSSCMNFSTQDGTPLLNVVNPTFDYVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLVVRRKSTSS >ONIVA10G08350.1 pep chromosome:AWHD00000000:10:9524517:9527262:1 gene:ONIVA10G08350 transcript:ONIVA10G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQVEESLPEWRDKFLAYKRLKKLVRLVSSSSGDVGGGGGGGEAEFVRLLDGEVDRINAFFLEQEEEFVIRQRELQETVEKVAGGGGGGRRPAAAEMRRVRKEIVDLHGEMVLLLNYSAVNYTGLAKILKKYDKRTGRLLRLPFIEKVLRQPFFTTELISRLVRDCEATMEAIFTSSVATTAMAGDRRTWKGCSGDAGMAPMADQQGIFRNTVAALATMKELRSGSSTYGRFSLPPMAAPASPESDVLQSIQIADPIPI >ONIVA10G08340.1 pep chromosome:AWHD00000000:10:9516395:9519551:-1 gene:ONIVA10G08340 transcript:ONIVA10G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLPRLVRTPFTPILCTIRWRREGGEKQLCRISSSLVSETQLVPDSVRIGRDYVIINRSSAVQDIPAAGRVLDSQSSRILSLKYIGSHTRSGFLESIWASCHSIFALRPAERLDAYNILSLSTYIFPSSENDAAQQCSRRRSAALPAQDKPNTAMTKRERWAQKEARSLGIGETSQSDENCSSRKDWSKVFLLLYEMLRDVAREIRCANPF >ONIVA10G08340.2 pep chromosome:AWHD00000000:10:9516395:9519551:-1 gene:ONIVA10G08340 transcript:ONIVA10G08340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLPRLVRTPFTPILCTIRWRREGGEKQLCRISSSLVSETQLVPVQDIPAAGRVLDSQSSRILSLKYIGSHTRSGFLESIWASCHSIFALRPAERLDAYNILSLSTYIFPSSENDAAQQCSRRRSAALPAQDKPNTAMTKRERWAQKEARSLGIGETSQSDENCSSRKDWSKVFLLLYEMLRDVAREIRCANPF >ONIVA10G08340.3 pep chromosome:AWHD00000000:10:9517843:9519551:-1 gene:ONIVA10G08340 transcript:ONIVA10G08340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLPRLVRTPFTPILCTIRWRREGGEKQLCRISSSLVSETQLVPDSVRIGRDYVIINRSSAVQDIPAAGRVLDSQSSRILSLKYIGSHTRSGFLESIWASCHSIFALRPAERLDAYNILSLSTYIFPSSENDAAQQCSRRRSAALPAQDKPNTAMTKRERWAQKEARSLGIGETSQSDENCSSRKDWSKVFLLLYEMLREYGTHLVEAA >ONIVA10G08340.4 pep chromosome:AWHD00000000:10:9517843:9519551:-1 gene:ONIVA10G08340 transcript:ONIVA10G08340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLPRLVRTPFTPILCTIRWRREGGEKQLCRISSSLVSETQLVPVQDIPAAGRVLDSQSSRILSLKYIGSHTRSGFLESIWASCHSIFALRPAERLDAYNILSLSTYIFPSSENDAAQQCSRRRSAALPAQDKPNTAMTKRERWAQKEARSLGIGETSQSDENCSSRKDWSKVFLLLYEMLREYGTHLVEAA >ONIVA10G08340.5 pep chromosome:AWHD00000000:10:9518342:9519551:-1 gene:ONIVA10G08340 transcript:ONIVA10G08340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLPRLVRTPFTPILCTIRWRREGGEKQLCRISSSLVSETQLVPVQDIPAAGRVLDSQSSRILSLKYIGSHTRSGFLESIWASCHSIFALRPAERLDAYNILSLSTCQH >ONIVA10G08340.6 pep chromosome:AWHD00000000:10:9516395:9518338:-1 gene:ONIVA10G08340 transcript:ONIVA10G08340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRDLTSPKTMILGIPMNLGMKFAGDWRRSAALPAQDKPNTAMTKRERWAQKEARSLGIGETSQSDENCSSRKDWSKVFLLLYEMLRDVAREIRCANPF >ONIVA10G08330.1 pep chromosome:AWHD00000000:10:9505805:9506530:-1 gene:ONIVA10G08330 transcript:ONIVA10G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSSSRFAVTCGLLSQYMRERQQPQPPVTVLEAVAEEEEEDARTMQLFPPRAAAADGVATPSAGTAPLTIFYDGRMVVVDDVPAEKAAELMRLAGSACSPPPPPPQPAHAAALPEMPIARKASLQRFLQKRKHRITTTSEPYKKAAVASPAPEKSFAVAPVKDEPATWLGL >ONIVA10G08320.1 pep chromosome:AWHD00000000:10:9482743:9483297:-1 gene:ONIVA10G08320 transcript:ONIVA10G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDHHCGGGGRSWRFAVACGVLSRCVKAEAAAAANGRHRHHPTMLLMPGADVEPDVREEAAAAAQLKIMYGGRMLVFDDFFPAGGAVVELVRAAARAGQDVRRAGAARRRVGDSRGLDAGLPVVRKVSLQRFVEKRRRMRFGATAPNLLAIRRQCCRQGRRDQAALTTPRSTEFELPSFSFV >ONIVA10G08310.1 pep chromosome:AWHD00000000:10:9474741:9475451:-1 gene:ONIVA10G08310 transcript:ONIVA10G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADYSAGGRRRFAAACGVLSRCVKAESAAAAGKMLAAMAPSAANYGHPAASASTMLLMPGADVAPDVREEGDEAAAAAAGGGSGSGTSARLTIMYGGRAVVFDDYPAESAAEVMRVAARAATKEQHQEDDAYDAANHGGGGGLAADLAVARKDSGSPQRSFVVEKRRRAARISATAPYSLRRPPLAANAVVKDDDGDAGGRWLALALGAPGSYQEARRGGETSCRPDDADAALH >ONIVA10G08300.1 pep chromosome:AWHD00000000:10:9465220:9465720:-1 gene:ONIVA10G08300 transcript:ONIVA10G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAATSRFAAACGALSQYVRAADNVHRARTAAAAAAVRPLPLMPGADVAGDEREEEGGGAVASSAAAQMTIFYGGRVLVLDECPADRAAALLRLAASSRGVPRDDLASTAAAAGESADLPVARKASLQRFMEKRKGRLAARGQPYRRHDAAAAARGDHLALAL >ONIVA10G08290.1 pep chromosome:AWHD00000000:10:9452738:9457033:-1 gene:ONIVA10G08290 transcript:ONIVA10G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAADDDDHRAALPREEDDGEEEEGSEEEVESDDEEEEEGEGYDWSEEDDPEAASLAGICDPDAGSDDDPTFDPAADGDLEVDAVLRSRMARMSLSSARKDRKGSRMPKMGKEEMDLLAMVDKLMHDGQLEKLKVYECKAYLRMHKLRLSGNKEVLLTRIREQIEKKGAPRGVKGHLCGQRTNAGRIIKESYGTKKQQHTFTIEILWSRGYKPWPPLHPLLIKGRNLYKDKTMRQPWLDEEERNRALQEKHARGYVARKTREVRIKDKENERMRRLNRNKENKSKGQDNMNKKSSQAVFPQHTVTTNTVQKRAEKIIPSLQHGESGNSSQQHLSSKQTPTEQFLHYLPPFPHPQQHNEVLLQKGTSRTSTTQLINHQAPSLQHAVKVETTQQQQQQQPPKSIKPAPIQQSSAYPQQYPKHQHHNQALPRVPPSQEQRAAVSQTSAARQDFTNHQAPPSRQHGGSENMRRQEISSRPIPTPTPQQAVSYTQQQPPNHQYRNEAFWQQGGTSTSRTGFMDRQSNNWGSTDHDKPAFQPFTQKAKTYQHGSNGSGHHQARVDRETHQPLRSRNKDYHWEDQSYHHQQNHHQNYYGHRQMSQDQYHHQQNHHQNYHGRQGINGNQYHDRQNHNQNPQRFRPWKPCFIYQQQGWCPYGENCKFMHDLR >ONIVA10G08280.1 pep chromosome:AWHD00000000:10:9450296:9451783:-1 gene:ONIVA10G08280 transcript:ONIVA10G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAAEAAAAVEELTRLYRELPRRPSAEEVEAAAAAVLASEAEAEGAAARLARAEAAAAEGGVSGELLDLLREARANAVLPAIGLLRRRKEAEIVMEVERRFKVFDGLLARASRVAEAGEERVDSAPAESVEEDVRRTPRGFTGGLDDEMVLCEILVRLPARSVLRCRAVCTAWRRLTSDPAFLRAHHHRQRDLPLIYFRRGGSDRVGAIDLHAAQLRPVVDHTWPPLGYTVIASCDGLLLLSSGRFYICNPATNHWAEIPQLVDADFLGLYPHNPSGEYRVLYGEFHGEEECVYHILTLGSDEPRCITMTMGSETVEQPLAREFLMHARGDRSVLVRGNLHWYLRHRDGGCKIMVFDTASESFQWMRHPAIPGWVSLLEMDSTLVFSAVECTTRIDLWVLQDYERSTWACKHRIELPMAQIRQFPECNLEHLGWSAMVVSVEGDVLVRCLNRIFHCDRKGNVLASFQFDGQLPMNCLHRLKENLLVHPFFQM >ONIVA10G08270.1 pep chromosome:AWHD00000000:10:9439859:9448633:1 gene:ONIVA10G08270 transcript:ONIVA10G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Spt20 family (InterPro:IPR021950); Has 8778 Blast hits to 7244 proteins in 477 species: Archae - 6; Bacteria - 326; Metazoa - 4198; Fungi - 1506; Plants - 923; Viruses - 22; Other Eukaryotes - 1797 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G72390) TAIR;Acc:AT1G72390] MGISFKLSKVGVRVHPAARVAAPAPAAVAAEKAAEKEAKREDGVVERASDANGITISPACSRIILPEHEVSFTFSLYDRGYLIAKSAAMDPCQPSIQDGKTLHPYDKASEKLFSAIESGRLPEDILDEIPSKYYNGSVICEIRDYRKHASNQAPAPSAELGLPVVNKVRLQMTFENVVRDIPRLSDDSWSYRDFMEAEARIVKVLQPALCLDPTPKLDRLCQDPVPHKLNLGIGKKRRLRQNPEVVVTSNNMSHGKKVCIDRVSENMKSDEMGISGVNAVHQGLDNTAIQNMSGGSQTFRPANFSMLSQTGIQQTVNYPAIGNDRGAGTPMNYAGINSSISSPQNLMAYNETTNGLLSVKREMADAPLQDPKRVKTTVSVDDMQQQQQTRHQPAGLGGQEMQWKNQQLQQLDVKGMQYAASVGQRYTHPHVQEPASIYSNQLGMRYGAKQEQMDGMDKSKDTLQAMAPENSVLDQQQPQAPHLSQQAGPRNMQQWQNPRFSGEKDLKKEEMLQRRKIAATSRVSSVPMVQSPVSSKSGEISSSSMSAQFGAAVTSAVMGSQKDKFPANSNPAVVGYPPVASSPSDSMHRMQQPSVAPSKRKSNSVPKTQPPVSGVGSPASVSNMHAVLNASSPSIGTAPMGDQAILERFVKIDAISQRCKLHSKKNKVDNIPQRKPIINASQEKVATVLSNCFHAEDFRDEIKPLCNSMLGGTMNSFKTRILNFVVNNRIYQGPTKPFRIIFKEKHDGTVAMQYGDPEDFDNQNSYECTLILPTKYHADLLAKQLIIRMDREGHTKADDQVALSTPPGNLSALSGILPDNTVNDVKQEGGISHQLNAAAHANMTPGTPLQQHPANRMLPSVNNQALMQQGYMQGANMPPRSQQLDQNLIQQQQQQPPQLQQNAQAQLQQPASLPLNQMQRPQLLPTNPLSQMLGNTGSNLPMASSHMGNKVAPNSVQLQMMQQQQQSRKMMMGLGSPANMGNMVNNVVGLNNIGNVMGMGNVRPMSSPMGNMSGLGNNPNQMSLGMVSSLSAPGIRPGMTHAAIAKMRMGLIQQQRAAGIYPQTSMVGMPGSSSPILPGSANLSMMNQLNRSNINPLQRAMMGPPKMPGSNYPLTPQQQMQLQQQFQQNPLQQQQLQQLQQQQQQQQQQIQQQQQQQQQQQQQQQQQQQIQQQQQQMGSPLQQAAQVGSPAGSQQSLVMSQHQQISPQQMAAMSPQLSSGTMQQVNNNVINHVATPGPPPSPQLSSQTHGSVNSIANSPMEQLQGANKGGPGSM >ONIVA10G08260.1 pep chromosome:AWHD00000000:10:9431463:9433510:-1 gene:ONIVA10G08260 transcript:ONIVA10G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHMDLKTWSCEMFPCLLMVGFASLAHSDFLHCQLTTTSLPDHTLREQNDVTSASSSTSMNEPKFGIIEILPIVLQKGVLRTNCIDSRDRTNRAQIVDGLVGLGRQLKALVQTKGLEIHIEEPLSSTLMLFYEEMGDALALQFTGSAAQNKEFWKQKGQWSAMNKLTRNIQHFGSNAYMDSEKQNSPNIRNSTAVQPSNNLKNLQHI >ONIVA10G08260.2 pep chromosome:AWHD00000000:10:9431842:9433510:-1 gene:ONIVA10G08260 transcript:ONIVA10G08260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHMDLKTWSCEMFPCLLMVGFASLAHSDFLHCQLTTTSLPDHTLREQNDVTSASSSTSMNEPKFGIIEILPIVLQKGVLRTNCIDSRDRTNRAQIVDGLVGLGRQLKALVQTKGLEIHIEEPLSSTLMLFYEEMGDALALQFTGSAAQNKEFWKQKGQWSAMNKLTRNIQHFGSNAYMDSEKQNSPNIEVVDVCILFQILGTFPTPTGKASNLEVLAWLAPAKGK >ONIVA10G08250.1 pep chromosome:AWHD00000000:10:9425875:9427243:1 gene:ONIVA10G08250 transcript:ONIVA10G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTQSNSNQTHLPTPNPSRARAMCGGAILADLIPSPRSGGHTKKNKRRRISDDEDFEAAFEEFDAGDDDSDSDSESEEVDEYDVVVDDDDSEDGVVVLPPPPPPVIPHERHGARRFRGVRKRPWGKWAAEIRDPVRGVRVWLGTFPTAESAARAYDAAARRLRGAKAKPNFPSAPPPSAAAHRRKKRRAHAATRSPSSPPATSEVTAASASASSDVPAPAFASFVGEPGHGGAKSMPTTSHTSQPAPPATVASENVDDPEVFDPYDVHGGLASYFAGGAYESLESLFAHGGDSAAVDQAASDHWPAALWSFADDGSFCF >ONIVA10G08240.1 pep chromosome:AWHD00000000:10:9423093:9423395:-1 gene:ONIVA10G08240 transcript:ONIVA10G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWPRPTFPFSQEQDRAPPPLGQGRDRAQAPHPLPPSQACVPRPQALSLPPNSTSPSSSSSAGELDFMVKMELLEVAGEANLELVLAGGRRGREGWGYA >ONIVA10G08230.1 pep chromosome:AWHD00000000:10:9407508:9414548:1 gene:ONIVA10G08230 transcript:ONIVA10G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHLLIRGKKDGILCPIPSHSLYTDSMVLRGATLVPYYLDESRGWSVNISDLKKQLDGARAKGIAVRGLVVVNPGNPTGQVLVEENQCEIVELCKNECLVLLADEVYQENIYTDQKKFNSFKKVARSIGYGEGDISLVSFHSVSNGYYGECGRRGGYMEVTGFSSEVRGEVYKVASLSACSNISGQILMSLVMNPPKVGDESYPSYRAERDSILSSLSCCAEAMVSTFNSMEGMTCNKAEGGISVFPSVRLPPRAIEAAEAMNTEPDVFYALRLLESTGIVVVPGSVFGQVPGTWHFRCTILPQEEKTRQIISRFNVFHEAFMEEFRS >ONIVA10G08230.2 pep chromosome:AWHD00000000:10:9407543:9414548:1 gene:ONIVA10G08230 transcript:ONIVA10G08230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGSPSSHWLTAAFRIPRSLTSPHLTSLTYIAGSIAPSPAANEMLRAAARALTVSSLNPKVLALADHHLGGLVARRAQSMQQELDANPASHPFSEVLALCNHPHLLDRSEASFMFSSDAITRAREIGIEGLRDAIAAGIASRDGLPSYSEDIFLTDGAAAPVHMMMHLLIRGKKDGILCPIPSHSLYTDSMVLRGATLVPYYLDESRGWSVNISDLKKQLDGARAKGIAVRGLVVVNPGNPTGQVLVEENQCEIVELCKNECLVLLADEVYQENIYTDQKKFNSFKKVARSIGYGEGDISLVSFHSVSNGYYGECGRRGGYMEVTGFSSEVRGEVYKVASLSACSNISGQILMSLVMNPPKVGDESYPSYRAERDSILSSLSCCAEAMVSTFNSMEGMTCNKAEGGISVFPSVRLPPRAIEAAEAMNTEPDVFYALRLLESTGIVVVPGSVFGQVPGTWHFRCTILPQEEKTRQIISRFNVFHEAFMEEFRS >ONIVA10G08220.1 pep chromosome:AWHD00000000:10:9396604:9403221:1 gene:ONIVA10G08220 transcript:ONIVA10G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSVAVDNLNPKVVLNCEYAVRGEIVIHAQRLQQQLQTQPGSLPFDEILYCNIGNPQSLGQKPVTFFREVIALCDHPCLLEKEETKSLFSADAISRATTILASIPGRATGAYSHSQGIKGLRDAIAAGIASRDGYPANADDIFLTDGASPGVHMMMQLLIRNEKDGILCPIPQYPLYSASIALHGGALVPYYLNESTGWGLEISDLKKQLEDSRLKGIDVRALVVINPGNPTGQVLAEENQRDIVKFCKNEGLVLLADEVYQENIYVDNKKFNSFKKIARSMGYNEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKVASVNLCSNITGQILASLVMNPPKAGDASYASYKAEKDGILQSLARRAKALENAFNSLEGITCNKTEGAMYLFPQLSLPQKAIDAAKAANKAPDAFYALRLLEATGIVVVPGSGFGQVPGTWHIRCTILPQEEKIPAIISRFKAFHEGFMAAYRD >ONIVA10G08220.2 pep chromosome:AWHD00000000:10:9396604:9403221:1 gene:ONIVA10G08220 transcript:ONIVA10G08220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSVAVDNLNPKVVLNCEYAVRGEIVIHAQRLQQQLQTQPGSLPFDEILYCNIGNPQSLGQKPVTFFREVIALCDHPCLLEKEETKSLFSADAISRATTILASIPGRATGAYSHSQGIKGLRDAIAAGIASRDGYPANADDIFLTDGASPGVHMMMQLLIRNEKDGILCPIPQYPLYSASIALHGGALVPYYLNESTGWGLEISDLKKQLEDSRLKGIDVRALVVINPGNPTGQVLAEENQRDIVKFCKNEGLVLLADEVYQENIYVDNKKFNSFKKIARSMGYNEDDLPLILASLVMNPPKAGDASYASYKAEKDGILQSLARRAKALENAFNSLEGITCNKTEGAMYLFPQLSLPQKAIDAAKAANKAPDAFYALRLLEATGIVVVPGSGFGQVPGTWHIRCTILPQEEKIPAIISRFKAFHEGFMAAYRD >ONIVA10G08210.1 pep chromosome:AWHD00000000:10:9384580:9384888:1 gene:ONIVA10G08210 transcript:ONIVA10G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLLSALPPGPVGVAAAAPLLSGRRGRPAQGPERGPVDCLSGRRAGGRRAWEGGGGGGRPEARRESGGTTAGRGRGEEIVCQVGPLGHQMGQMGWMGRRP >ONIVA10G08200.1 pep chromosome:AWHD00000000:10:9378966:9383741:-1 gene:ONIVA10G08200 transcript:ONIVA10G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) TAIR;Acc:AT1G72320] MVCFGSKALRRKGDKQRCLPEGDLDDSLPMRRGRKDKSEKPRKGGSSSSNRPSAEKAKHGRKKSGDGKKSKGHGKGRHSDSSIEMNPGHMKNDNTLLPSKASKPVTNVLRKRVDPETAKYFLEISNLFDNKEIDLEERATICANALEETKGKELELSTDGPISHTLQILVEGCELEQLCVFLHNSIESFHIIAVDKFGSHVAEAALKSLATHLEDEASRGIIEDILIRICKVIAGDAANVMSSCYGSHVLRTLLCLCKGVPLQSLQDFHTTKRSAVLAERLGCGSTRSGGSDPKNQGFGFSDIFKSFVREMLQNAKDDIATLETDKNSSLVLQTALKLSAGDDHELNYIISILLGFDEDDTAQKKDCSEQKNEIIALLEDTAYSHLLEVIVEVAPEELRNNMLTGTLKGALFAISSHHCGNYVVQALVASAKTSDQMEQIWDELGSRINELLELGKAGVVASILAACQRLETKRLESSQVLSAALSSNSESSDSIVAHMLFLENYLHQKSSWEWPLGAKMSVLGCLMLQSILQYPHQYIRPYVASLLAMDDNKILQISKDSGGSRVLEAFLCSSATAKRKFKVFAKLQGHYGEIAMNPSGSFLVEKCFTASNFSHKEAIVVELLAVQTELSRTRHGFHLLKKLDVDRYSRRPDQWRASQTSKETTHREFQVEFGLSSKGAGQNIEELLTSRSPAKKHKQKDKTDVVTEDASTNKPDLSHVGKTKRIKSEKTTSEKESRNNKPTNKDSGSSMAFLKNSAKRKSPGFLSDKPSFKKQKHHKPNAGNSSGKMFVRDSAGTPFVRNSGKQKRSIAELADLAGKEKLSASEVRKLLKTEMPGKS >ONIVA10G08190.1 pep chromosome:AWHD00000000:10:9375887:9376953:-1 gene:ONIVA10G08190 transcript:ONIVA10G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSCHGRATHDPQHGLSKITDESTKHQQGNISGSQAAAAAAKSKPWKKRYLTFLSKFQNKMKKHKKPDNIKAHHTRSHKNRSILLSSSQIMEECINLVQVIRHTAADCFAAAATAVAAAVDYEEDDHHQPYMQLDQVNYGVMRREAFGPVYLCCLEQQCDHLIETGKAFLNAFQDA >ONIVA10G08180.1 pep chromosome:AWHD00000000:10:9361410:9366297:-1 gene:ONIVA10G08180 transcript:ONIVA10G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWAWPLVFLVSCCCSWTQRRILVAATTDANDVTVLNALFTSLNSPGQLRGWQVNGGDPCGASWQGITCSGSSVTAIKLPSLGLSGNLAYNMNTMESLVELDMSQNNLGGGQNIQYNLPNKKLERLNLAGNQFAGNVPYSISTMPKLKYLNLNHNQLQGNMTDVFSNLPSLSTLYLQNNQFTGSINVLANLPLDNLNVGNNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPPSRNRKKSPGRHSNGSGSSSSSGGNSGLRAGAIAGIIVALLVIGAVVAFFLIKRKRKGTRQEHVEQRQPFNSYPSNEVKDVKPIPESTKIEVEPLPSPVAVSLKPPPKIERNQSFDDDDDDFSNKPVAKKSNSASVKATVYSVADLQMATDSFNMDNLVGEGTFGRVYRAQFSDGKVLAVKKLNSTVLPSQSSDDFFDLVSNISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDMLHLPDEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTEFNPHVSDAGLASNVPDSEFQASDQGSGYSAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDSARLRTEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMIDGEEGSRRPDDQDQEFV >ONIVA10G08170.1 pep chromosome:AWHD00000000:10:9350787:9352586:1 gene:ONIVA10G08170 transcript:ONIVA10G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGRRRRREGGVGEGGVGVGSDLLDRERSERGEEIDRLMDITMCMHTTPKHTNICINQESCHDVISLSFLFQDYLVNDSSVAVKIVWFLPLWFYRFSCWDCNFAPLLELEHLNISPPCSGTSCSSRNYTGLLEHHLLLLQVGLSAVSLQHLLAAMVYMVRYVIILIGIWICYFFSLARNLLIFLKLMHSFLFPSTLSPWLFFYGCYLLVFLMTTDKSASARNTVVALHLRVTPKGYQTQGTCAIYLRLRLSKDNNSR >ONIVA10G08160.1 pep chromosome:AWHD00000000:10:9347997:9350264:-1 gene:ONIVA10G08160 transcript:ONIVA10G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRLVCFYLHQIVCSAMDNNGGSSVPTSSTRSGPFADITNVIDANLTNNHPAANKNGTNVPKDRENCQHNNLDSTAQFLCACTLQDVTKLSATELKRKRARE >ONIVA10G08150.1 pep chromosome:AWHD00000000:10:9337452:9340182:-1 gene:ONIVA10G08150 transcript:ONIVA10G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHIMSRRMVRPAAEHAGELPDHETVHHLTPLDLQMITVDYVQKGVVLPKPPGGGEHVVEHLVSSFARALARFYPLTGRLAIAETASPGGVPTISISLRCNGEGAEFVHAVAPGVTVADIADSLYVPRVVWSFFPLNGMLGTDAAVESRPVLAAQVTELADGMFVAMSLNHGVADGFTFWHLFRTWSEISRRSDDSADLELSTPPPVFDRWFVDGIPMPIPLPFAKLEDMVRRPVYTPVEECFLHFSAESVRTLKEKANAEMAAAAATATISSLQSVLAHTWRAVCRARRLAPELETRHGLSVGLRARVKEVPQEYMGNTVHTGYSVH >ONIVA10G08140.1 pep chromosome:AWHD00000000:10:9320415:9321455:-1 gene:ONIVA10G08140 transcript:ONIVA10G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63220) TAIR;Acc:AT3G63220] MSTLIDGLPNEVALQCLARVPFLSHPVLQMVCHSWRASVRNGELSKVRNQISATEDLLCVLAFEPENMWQLYDPLRDKWITLPVMPSQIRNIARFGVASVAGKLYVIGGGSDRVDPLTGDHDRIFASNEVWSYDPLCRLWVQRAPMLVARAMFACCALDGNIIVAGGFTNCRKSISKAEIYNPEADTWEPLPDLRQAHSSACSGLVIKGKMHVLHKGLPTVQILEDGNAWAVEDYSWLQGPMAMVRGELYVLSNSCIMKQRGVNFPDKMVSCASEFQSRIGFGMIGLGDNIYLVGGVIGPGPRNQCIKPLSDVDILNVTSERPTWLPGSPMTHCRGSISGCALLRI >ONIVA10G08130.1 pep chromosome:AWHD00000000:10:9311486:9312126:1 gene:ONIVA10G08130 transcript:ONIVA10G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRWKDATQRSQLGVQHFWMAVPLDLHVKRVLENDKRPSESKGAAGCGQKQLLSMVALLWHSKIKL >ONIVA10G08120.1 pep chromosome:AWHD00000000:10:9296324:9297357:-1 gene:ONIVA10G08120 transcript:ONIVA10G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRMDQRAIIHGEKMEEAAKKENQMWRASYGGRFSAKRDHHHHGWWSQSSFAATDGPDRSPLFCTCDTGDIGTRAVLELAGFSNSLFEKSKTESSSNAAKRCFRVFNGKPENVGYKSSGTKEADQKANQERGEYR >ONIVA10G08110.1 pep chromosome:AWHD00000000:10:9292340:9292880:-1 gene:ONIVA10G08110 transcript:ONIVA10G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGMAGGDGGEPASNTGRTVMLRLCRQPSSACASSSAIERMRASEEGTETEAKAEETGPVGVATTVLAVANARDAIKEETREMPGWSRHRRLKLGQASEDKEEASAEVELADKALREAPHVLHDRVSH >ONIVA10G08100.1 pep chromosome:AWHD00000000:10:9289697:9290110:-1 gene:ONIVA10G08100 transcript:ONIVA10G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTKGRGACGAEEGAELVEEFKFGEHGQDHIETRLIWRENGRISKERKSNVESLIWRAIFCQA >ONIVA10G08090.1 pep chromosome:AWHD00000000:10:9287760:9288269:-1 gene:ONIVA10G08090 transcript:ONIVA10G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAVAAWSYKGRSCSVGIELRGRRRRCGDVRAAVATAVWSYEGGDCGVGIELRVRWLRLWCGAARAAALGAACAVFGLAAACGRLGDGRAWSSGRWGVGVAPQMSSPTTMALASASSSSPAWAHHFHRQIWNVVAVRELRRRPTAPLELLRHRSPHEFGDVSSGMT >ONIVA10G08080.1 pep chromosome:AWHD00000000:10:9286509:9286877:1 gene:ONIVA10G08080 transcript:ONIVA10G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMHNTLCGGGDDDDVNENLSFSSSYEEDEASSFCGGAIVHGNLKASNILFTGTMEPCISEYGITTPPSVAAPSSGAAVLRTDVRVYDVLLLELLTGKATAADGAELARWVTAVIREEWTV >ONIVA10G08070.1 pep chromosome:AWHD00000000:10:9273798:9274371:-1 gene:ONIVA10G08070 transcript:ONIVA10G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPHHLYLHLGERRIHLWERLIRRALAISDHRGPATLCAALSSRIEDELRRISFEVSRLGFCLRESDDWKAITKTIGANFIDKQEANFETKFQQEASPA >ONIVA10G08060.1 pep chromosome:AWHD00000000:10:9272676:9273484:-1 gene:ONIVA10G08060 transcript:ONIVA10G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHHWVVSHHGGDDGYCDVDSDNYQRDHKWRGGVGHHQWRDECARPYAYTPLVSSGGNGSGRIPSTRYFKTILMVGKSSVKVTLGISIRTGFTWGSNQSPSVWYFHKTIGEVVRLLGDNDLPGFFEMYATEVSFHLIVTIHEEILDATSYVYETIVVIPLENPTPSVGSWQAATNVGGSA >ONIVA10G08050.1 pep chromosome:AWHD00000000:10:9257422:9261531:-1 gene:ONIVA10G08050 transcript:ONIVA10G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRSSTYIISPTPSIFPFPSLGGVNERREISRLFVSCQDESRLNRGGAAISIWRAEQGTGGDPAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLGQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMETTTDANQNTGATCDKVKSQLSNFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDSYAVPKSMGIFQTITSTKPITTVSVATRIIDNHEAYKCVE >ONIVA10G08050.2 pep chromosome:AWHD00000000:10:9257783:9261531:-1 gene:ONIVA10G08050 transcript:ONIVA10G08050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRSSTYIISPTPSIFPFPSLGGVNERREISRLFVSCQDESRLNRGGAAISIWRAEQGTGGDPAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLGQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMETTTDANQNTGATCDKVKSQLSNFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDSYAVPKSMGIFQTITSTKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >ONIVA10G08040.1 pep chromosome:AWHD00000000:10:9255684:9256853:1 gene:ONIVA10G08040 transcript:ONIVA10G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVAMNMKRKEHAEVANNGLSIFLDPKRLKLQDGEIPDMMEEEKPSAGVQLDPNVPTMALSWMLPTQGQETTHDTMNTAYEMSSSETPPLRADQAATAAPMDVEVQLR >ONIVA10G08030.1 pep chromosome:AWHD00000000:10:9208037:9208756:-1 gene:ONIVA10G08030 transcript:ONIVA10G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPPAAVVQAQPVSEVEAGDPVLVRDFFRLLSLGSEASVPRDGVVCSYMDVSALFLYYRNMSGVLVLVPHVRPMCDAPLMVQQLQKMEMRSDWEIPNLAALKDVATASDALGRMGKMMLQARRVLKTLKNYPDGEEGLEILFYNTLLGEALTRAREAIPAVITSADLLVFDNGEVRLMALSLAHQAMSTVEACLGAVHEGKGLTGREMHRLVKKVLRPLSYLRKVKVIDLPRFNPFDV >ONIVA10G08020.1 pep chromosome:AWHD00000000:10:9188222:9188656:1 gene:ONIVA10G08020 transcript:ONIVA10G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWSGIVSLVIVSSLARFLSGSAHAPPCRTPRYGSRCRRPQSTLVPAGRRDTPAKTTCVMVADEQSVSALLVLVFLCFSPVSLCMVSLRTTAKAGHGGSAWRQRRRELQALGSCLCTLSAASPCREGNGLFYSGDPAVSEIH >ONIVA10G08010.1 pep chromosome:AWHD00000000:10:9151847:9155005:-1 gene:ONIVA10G08010 transcript:ONIVA10G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRDLCSWRPPPPRRRRQPYPRANGRSDPPSCSQPGADTTITNMQLPEVMSTTEQTSTRLGKALS >ONIVA10G08010.2 pep chromosome:AWHD00000000:10:9153842:9155005:-1 gene:ONIVA10G08010 transcript:ONIVA10G08010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRDLCSWRPPPPRRRRQPYPRANGRSDPPSCSQPGADTTITNMQLPERFLGNGGSVAVPSGGRGGAMPAVHGSGAAWRSEEDHGGSTVECGKEVHGDGAVGRVEEVHGGGG >ONIVA10G08000.1 pep chromosome:AWHD00000000:10:9128998:9133502:-1 gene:ONIVA10G08000 transcript:ONIVA10G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVHAPNGKQQEHAECHEERRPSSSSGCRSHGGGEEVRLALLGIHVIQAPLSPLFEHSEILQADRSCGPHQAGFIIKDIWQHIHSRMPMRDAARAACVSHRFLRFWRGYPTVTIDQETLGLSSQRFWITSEDERGEYILTKAQQVLESRLSGSTIVQSLKLDLSTFRKAIVSATVAGGLLDCWLRAFVKPGIVDITVLLPKCDDDDDCYYYYGHDCLPEYTFPCSLLSDDEDKITSLQSLSLSSCGFHPTEGMTTLLGCWKSMSTVCLHRVAITDEELGFFLAGCLVLERLDLSFCNSIGALKIPSALRRLRSLRVRSCRMLRTIESGAPMLATVWYDGWPLLRFWLGGALETTHLEVHATRMGDVIQYAGSKLPSAALNLETLVLSTVYERLKAPVMHDKFQQLKHLVICLGQSTMFCAGYDFLSLASFVDACPALETFILRIAYGIRWYNDQNRGNPDDGASCRGREASKLRNGGIVGNLRKVTITGFCSANSLVELTCHILVVAALSLEHLTLDTSPGYDRKCSSYDRCRKMSTEALREAETALAAARKYVEPKVPDSVNLMVLGPCGRCHLGMEYGS >ONIVA10G08000.2 pep chromosome:AWHD00000000:10:9128998:9133502:-1 gene:ONIVA10G08000 transcript:ONIVA10G08000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVHAPNGKQQEHAECHEERRPSSSSGCRSHGGGEEVRLALLGIHVIQAPLSPLFEHSEILQADRSCGPHQAGFIIKDIWQHIHSRMPMRDAARAACVSHRFLRFWRGYPTVTIDQETLGLSSQRFWITSEDERGEYILTKAQQVLESRLSGSTIVQSLKLDLSTFRKAIVSATVAGGLLDCWLRAFVKPGIVDITVLLPKCDDDDDCYYYYGHDCLPEYTFPCSLLSDDEDKITSLQSLSLSSCGFHPTEGMTTLLGCWKSMSTVCLHRVAITDEELGFFLAGCLVLERLDLSFCNSIGALKIPSALRRLRSLRVRSCRMLRTIESGAPMLATVWYDGWPLLRFWLGGALETTHLEVHATRMGDVIQYAGSKLPSAALNLETLVLSTVYEIAYGIRWYNDQNRGNPDDGASCRGREASKLRNGGIVGNLRKVTITGFCSANSLCSSYDRCRKMSTEALREAETALAAARKYVEPKVPDSVNLMVLGPCGRCHLGMEYGS >ONIVA10G08000.3 pep chromosome:AWHD00000000:10:9128998:9133502:-1 gene:ONIVA10G08000 transcript:ONIVA10G08000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVHAPNGKQQEHAECHEERRPSSSSGCRSHGGGEEVRLALLGIHVIQAPLSPLFEHSEILQADRSCGPHQAGFIIKDIWQHIHSRMPMRDAARAACVSHRFLRFWRGYPTVTIDQETLGLSSQRFWITSEDERGEYILTKAQQVLESRLSGSTIVQSLKLDLSTFRKAIVSATVAGGLLDCWLRAFVKPGIVDITVLLPKCDDDDDCYYYYGHDCLPEYTFPCSLLSDDEDKITSLQSLSLSSCGFHPTEGMTTLLGCWKSMSTVCLHRVAITDEELGFFLAGCLVLERLDLSFCNSIGALKIPSALRRLRSLRVRSCRMLRTIESGAPMLATVWYDGWPLLRFWLGGALETTHLEVHATRMGDVIQYAGSKLPSAALNLETLVLSTVYEIAYGIRWYNDQNRGNPDDGASCRGREASKLRNGGIVGNLRKCSSYDRCRKMSTEALREAETALAAARKYVEPKVPDSVNLMVLGPCGRCHLGMEYGS >ONIVA10G07990.1 pep chromosome:AWHD00000000:10:9040449:9042130:1 gene:ONIVA10G07990 transcript:ONIVA10G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADLLPDDVLEEILRRLAPSPRSLAACRVVCKAWRAAVDTRCPPPRPDLLPLSLAGIFFSGFYFAHEYLPGFFARRGHHHCDRIFPKLDYLDDAPISKLEAIDHCNGLLLMQEYIVNPATRRWVRLPPTPEWSPAGSDLEAVVTDSCREEYLVFDPTVSPHYEVFSIPELVFCRGDDKDNAESVVRQHEWPPSPFVVQVYSSATGRWEKRSLVRRGEADGTIADVHYSSRMASHHLYGVYWRGALHVQMKNNDVIRSKKGVCCASIDDKQHQRLQVWLLHEVLLGGDQMEWMLIHDVSLEQIMADFRWNPEAVKPWIKHNTYRGENKNNEEISEDESPGWDSEDDSIVVYTEDMVRWDMNGYTYILGLTGGETIFRRSADFHNSPGCNKNRR >ONIVA10G07980.1 pep chromosome:AWHD00000000:10:9035272:9035499:-1 gene:ONIVA10G07980 transcript:ONIVA10G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLAAGISAVVPSHRPPSRPVVSFPLRTASSPRPVIAPSSRCTVARPPSYHGLKAAASLAPMSSGVDDLVSFI >ONIVA10G07970.1 pep chromosome:AWHD00000000:10:9032288:9033118:-1 gene:ONIVA10G07970 transcript:ONIVA10G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVLSPPGGPLQRPREKGEVRDAGAADADGTTVPWEKEQGSLVSAAGGGRWSEKPELSKAAQLLGGSGAVLGRQRRHVSVGVVHGRVMQPRHGVVVAGAPPHEILDEEVALVLEQ >ONIVA10G07960.1 pep chromosome:AWHD00000000:10:9010826:9012501:-1 gene:ONIVA10G07960 transcript:ONIVA10G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLSTTHGNKTLKTRGRFSPGNKIGKGTRRRQRRSGGKHKIDDDGGAREVTAARITLSDDKYQVIKSPGDINLNNNPYIYLGRSKNGVYCASIDLKQHQRLQVWQLHELHGGGYHMEWMLIHDVSLDQIMADFRWNPEAVRPWIEHDMYSDDSKNDREISQEESTGWDSEDDSIVYTEDMVGWDMNGHTCILALHPFREIIFLFNKYLDRVWAYHLPNSKFEFLGKLCIGSGEEIGQSFSYTPCWIGDLS >ONIVA10G07950.1 pep chromosome:AWHD00000000:10:8997054:9006802:1 gene:ONIVA10G07950 transcript:ONIVA10G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: CTLH, C-terminal LisH motif (InterPro:IPR006595); BEST Arabidopsis thaliana protein match is: LisH and RanBPM domains containing protein (TAIR:AT1G61150.1); Has 333 Blast hits to 242 proteins in 88 species: Archae - 0; Ba /.../ - 0; Metazoa - 104; Fungi - 47; Plants - 152; Viruses - 0; Other Eukaryotes - 30 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G66810) TAIR;Acc:AT5G66810] MDTPPPPPPPPTQSSPPVNWDALDALVLDFARSDRLLLPPASPSPPSSPSSSSTTTSSSTPSPSSSYRSRLLIRRARTALEEGDVDAALALLRAHAPAALLDHRLLFHLHKQRFVELVRRGTEADREAALDCLRTALAPCALDAYPEAYEEFKHILLVLIYDKDDQSSPVANEWSIKKRFELAGLLSSILRAHLQAYDPILSMTLRYLISIHKLLCSRQGISSPISNLTERLLFDDRDPPAVPQECSLEAPPFDEVDVQALAHAVELTRQGAVDSLKFAKGDLFQAFQNELCRMKLDLPLLDKLIHEYCIYRGIVEGGSHVLPGLQSNNQSNDVNFVDKQEDSTETRIDFEMTNNQNGNCSTSDTSHHDSWSRRLRRVRSSASGQRRRKRWRGRVDDLDYGCETPLDANKHAILCSALDMDEVDMIVKPDLMADTGLPDSRCNQDQKYEVILEMRDLTRKGMASKVVEEINNMDPDFFLKNPILLFQLKQVEFLKLVASGDHGAALKVASTHLGPLAASNQALLKPLKETLVTLIQPCEDVLTKSVSLPVLASSLQIAMSRRLGIEEPQLMKIIRTTLHTHSEWFKLQMCKDRFEHFLKIDSLKEVDPSAGSHNMSKVLTDECANGSSQITTCSSGKVLDEGSSPQESSEVTCDENAILKVMEFLALPRADAIQLLMQYGGNAEAVIQQIFS >ONIVA10G07950.2 pep chromosome:AWHD00000000:10:9002863:9006802:1 gene:ONIVA10G07950 transcript:ONIVA10G07950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: CTLH, C-terminal LisH motif (InterPro:IPR006595); BEST Arabidopsis thaliana protein match is: LisH and RanBPM domains containing protein (TAIR:AT1G61150.1); Has 333 Blast hits to 242 proteins in 88 species: Archae - 0; Ba /.../ - 0; Metazoa - 104; Fungi - 47; Plants - 152; Viruses - 0; Other Eukaryotes - 30 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G66810) TAIR;Acc:AT5G66810] MKLDLPLLDKLIHEYCIYRGIVEGGSHVLPGLQSNNQSNDVNFVDKQEDSTETRIDFEMTNNQNGNCSTSDTSHHDSWSRRLRRVRSSASGQRRRKRWRGRVDDLDYGCETPLDANKHAILCSALDMDEVDMIVKPDLMADTGLPDSRCNQDQKYEVILEMRDLTRKGMASKVVEEINNMDPDFFLKNPILLFQLKQVEFLKLVASGDHGAALKVASTHLGPLAASNQALLKPLKETLVTLIQPCEDVLTKSVSLPVLASSLQIAMSRRLGIEEPQLMKIIRTTLHTHSEWFKLQMCKDRFEHFLKIDSLKEVDPSAGSHNMSKVLTDECANGSSQITTCSSGKVLDEGSSPQESSEVTCDENAILKVMEFLALPRADAIQLLMQYGGNAEAVIQQIFS >ONIVA10G07950.3 pep chromosome:AWHD00000000:10:8997054:8997941:1 gene:ONIVA10G07950 transcript:ONIVA10G07950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: CTLH, C-terminal LisH motif (InterPro:IPR006595); BEST Arabidopsis thaliana protein match is: LisH and RanBPM domains containing protein (TAIR:AT1G61150.1); Has 333 Blast hits to 242 proteins in 88 species: Archae - 0; Ba /.../ - 0; Metazoa - 104; Fungi - 47; Plants - 152; Viruses - 0; Other Eukaryotes - 30 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G66810) TAIR;Acc:AT5G66810] MDTPPPPPPPPTQSSPPVNWDALDALVLDFARSDRLLLPPASPSPPSSPSSSSTTTSSSTPSPSSSYRSRLLIRRARTALEEGDVDAALALLRAHAPAALLDHRLLFHLHKQRFVELVRRGTEADREAALDCLRTALAPCALDAYPCMKVAGLQLLVNQ >ONIVA10G07940.1 pep chromosome:AWHD00000000:10:8989872:8990787:1 gene:ONIVA10G07940 transcript:ONIVA10G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IRJ7] MKMILAGVPSLNNDDHRRRGHGRSDLITVKLLLISTPAHSIGGVDDDDDDVEYVEITCYIDTQPFDYCFEQAYLLLSDNEPRKTVHGNHPVLAPKRKGQDAITAPPRKWLKMHLVGRKPRIDRCTIALRFDTIYLMAFSTNQNQWYSMYSGFPIAHTRLPFDEDYFALAGGTSNLVTVPLGKESALDAIHTLATFDGESANDLKIPLVKLRIMFSEALKLKPVRLAFSRDWNEETHITKQDTGYIGCWPLMSFNLVAWKNSGYVYWKSEKKLENCLVNTPWNASEVGDLLMRPHDEAI >ONIVA10G07930.1 pep chromosome:AWHD00000000:10:8867561:8876065:-1 gene:ONIVA10G07930 transcript:ONIVA10G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVEITRSEVLRPSAAGGGGKRSPLTVFDRAATDWYIPAVFAWDGAAAPSNDEVKGGLAAVLAKYPHLAGRFDVDERGRRCFNLNDAGVRVLEATVAADLADALAHDVAAHVNELYPKADMENADEAVFQVQLTRYACGGLVIGTACNHQVSDGQSMSFFYVAWAAAVRSAGATLPTPFVDRAAIAVPRGPPAPAFDHRNIDLGSKAMAVAVEITRSEVLRPSETLAAGGGGKRSPLTVFDRAAMDWYIPAVFAWDGAAAPSNDEVKGGLAAVLARYPHLAGRFDVDERGRRCFNLNDAGVRVLEATVAADLADALAHDVAAHVNELYPKADMENADEPVFQVQLTRYACGGLVIGTACNHQVSDGQSMSFFYVAWAAAVRSAGATLPTPFVDRAAIAVPRGPPAPAFDHRNIEFKGEHSWTHSYGSLPLERIRNLAVHFPDEFVAGLKSHVGARCSTFQCLLAHAWKKIMAARDLSPEEYTQVRVAVNCRGRASPAVPMDYFGNMVLWAFPRMRVRDLLSSSYAAVVGVIRDAVARVDEPYIQSFVDFGEVAAGDELTPTAAPPGTVFCPDLEVDSWLGFRFHDLDFGRGPPCAFLPPDLPVEGMLIFVPSCAAKGGVEMYMALDDLHYFISHMV >ONIVA10G07930.2 pep chromosome:AWHD00000000:10:8868035:8876065:-1 gene:ONIVA10G07930 transcript:ONIVA10G07930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVEITRSEVLRPSAAGGGGKRSPLTVFDRAATDWYIPAVFAWDGAAAPSNDEVKGGLAAVLAKYPHLAGRFDVDERGRRCFNLNDAGVRVLEATVAADLADALAHDVAAHVNELYPKADMENADEAVFQVQLTRYACGGLVIGTACNHQVSDGQSMSFFYVAWAAAVRSAGATLPTPFVDRAAIAVPRGPPAPAFDHRNIDLGSKAMAVAVEITRSEVLRPSETLAAGGGGKRSPLTVFDRAAMDWYIPAVFAWDGAAAPSNDEVKGGLAAVLARYPHLAGRFDVDERGRRCFNLNDAGVRVLEATVAADLADALAHDVAAHVNELYPKADMENADEPVFQVQLTRYACGGLVIGTACNHQVSDGQSMSFFYVAWAAAVRSAGATLPTPFVDRAAIAVPRGPPAPAFDHRNIEFKGEHSWTHSYGSLPLERIRNLAVHFPDEFVAGLKSHVGARCSTFQCLLAHAWKKIMAARDLSPEEYTQVRVAVNCRGRASPAVPMDYFGNMVLWAFPRMRVRDLLSSSYAAVVGVIRDAVARVDEPYIQSFVDFGEVAAGDELTPTAAPPGTVFCPDLEVDSWLGFRFHDLDFGRGPPCAFLPPDLPVEGMLIFVPSCAAKGGVEMYMALDDLHVDAFRHICYSMD >ONIVA10G07930.3 pep chromosome:AWHD00000000:10:8868035:8876065:-1 gene:ONIVA10G07930 transcript:ONIVA10G07930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVEITRSEVLRPSAAGGGGKRSPLTVFDRAATDWYIPAVFAWDGAAAPSNDEVKGGLAAVLAKYPHLAGRFDVDERGRRCFNLNDAGVRVLEATVAADLADALAHDVAAHVNELYPKADMENADEAVFQVQLTRYACGGLVIGTACNHQVSDGQSMSFFYVAWAAAVRSAGATLPTPFVDRAAIAVPRGPPAPAFDHRNIEFKGEHSWTHSYGSLPLERIRNLAVHFPDEFVAGLKSHVGARCSTFQCLLAHAWKKIMAARDLSPEEYTQVRVAVNCRGRASPAVPMDYFGNMVLWAFPRMRVRDLLSSSYAAVVGVIRDAVARVDEPYIQSFVDFGEVAAGDELTPTAAPPGTVFCPDLEVDSWLGFRFHDLDFGRGPPCAFLPPDLPVEGMLIFVPSCAAKGGVEMYMALDDLHVDAFRHICYSMD >ONIVA10G07920.1 pep chromosome:AWHD00000000:10:8862159:8862610:-1 gene:ONIVA10G07920 transcript:ONIVA10G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYVELYVLCLGQLPRAVPPYADPAPATTAGGGAADPASAWTAGGRGDGSNPDDNCGRWGRRIQCRRQWRTVGVADSVPVMTAGGWGDGSNPGDECGRCGQTIRRRRRLRAFWRWIGRSDAEFIFTCGQYK >ONIVA10G07910.1 pep chromosome:AWHD00000000:10:8854748:8855877:-1 gene:ONIVA10G07910 transcript:ONIVA10G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLYVEQKKFTSPKYNCFIGTIHCYWCQGSICKYLFNKFVLNANDIDVIPFFVLVTSMRDKEDNVNVTGAVILNHGTKRKWYIFLISFSKDE >ONIVA10G07900.1 pep chromosome:AWHD00000000:10:8852016:8853596:-1 gene:ONIVA10G07900 transcript:ONIVA10G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSHCHATNGNNGAIAASDTPVKTQHCARLLDADEFRRQGRLVVDLIADYYAGMGEYPVHPTVSPGFLRHRLPAEPPSRREPDAFAAAMQDVRDVILPGLTHWQSPRHFAHFPASSSTAGALGEALAAGINVVPFTWAASPAATELEMVVVDWLGKALHLPERLLFAGGGGGSILGTTCEAILCALVAARDRKLAAIGEGRIGDLVVYCSDQTHFAFCKAARIAGIRREHCREIPTYRDDAFALSPAALRAAMRRDADAGLVPLFVCATVGTTQTTAVDPVGELCAAAAPHGAWVHVDAAYAGSAMVCPELRGAVAGGVEAVDSFSMNAHKWLLANNDCCVMWVRTPSALVAALGTDQEYILKDAAAETAAADGGEGVVDYKDWGITLTRRFRALKLWLVLRCYGVEGLREHVRSHVGMAAAFEGMVRADARFEVVTPRRFALVCFRLRSPNKKTANELNRRLLEEVNAASSGPYMSSANVGGVYMLRCAVGSTLTEERHVREAWKVVQDRATSILSKMEIIM >ONIVA10G07880.1 pep chromosome:AWHD00000000:10:8832185:8832613:1 gene:ONIVA10G07880 transcript:ONIVA10G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFGNMVLWAFPRMRVRDLLSSSYAAVVGVIRDAVARVDEQYIQSFVDFGEVAAGDELTPTAAPPGTVFCPDLEVDSWLGFRFHDLDFGRGPPCAFLPPDVPVEGLLIFVPSCAAKGGVEMFMALDDVHVEAFRQICYSMD >ONIVA10G07870.1 pep chromosome:AWHD00000000:10:8788806:8804491:-1 gene:ONIVA10G07870 transcript:ONIVA10G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTAKVVEETIRLANIAPMAHRVALRDVEYRGYTIPKGWKVIVWIRSLHVDPAYYDNPLSFNPDRWDKSAELGTYQVFGGGERICAGNMLARLQLTIMLHHLSCGYKWELLNPDAGIVYLPHPRPTDGAVMSFMAVGATDWAWRLGALVAGAVPLLALAVWHCTDAFHRAAFAFRRRGTRRARRLPPGHMGLPFVGETLALLWYFNLARRPDAFIEAKRRRYCYGDGDNDAGIYRTHLFGSPAVLVCSPASNGFVFRSAPPGSFGVGWPDPELVGVSSIVNVHGGRHARLRRFVLGAINSPNSLRSFAEVVQPRVAAALRSWAAKGTITAATEIKKVTFENICRMFVSMEPSPATAKIDEWFAGLVAGFRALQLDIPGTAFHHARKCRRKLNSVFREEVKRRKLKAKLEEHDDVMSGLMRMEDEQGRRLGDDEVVDNIVSLVLGGYESTSSAIMWATYHLAKLPAVLAKLREENLAIAKEKNGASFITLDDISKMKYTAKVVEETIRLANISPMLYRVALRDVEYRGYTIPEGWKVIVWIRSLHVDPKYYDDPLSFNPDRWDKAAKPGTYQVFGGGERICAGNMLARLQLTIMLHHLSCGYKWELLNPDAGVVYLPHPRPTNGAAMSFSKL >ONIVA10G07870.2 pep chromosome:AWHD00000000:10:8788806:8804491:-1 gene:ONIVA10G07870 transcript:ONIVA10G07870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTAKVVEETIRLANIAPMAHRVALRDVEYRGYTIPKGWKVIVWIRSLHVDPAYYDNPLSFNPDRWDVFGGGERICAGNMLARLQLTIMLHHLSCGYKWELLNPDAGVVYLPHPRPTNGAAMSFSKL >ONIVA10G07860.1 pep chromosome:AWHD00000000:10:8778536:8784255:-1 gene:ONIVA10G07860 transcript:ONIVA10G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyridoxin (pyrodoxamine) 5'-phosphate oxidase [Source:Projected from Arabidopsis thaliana (AT5G49970) TAIR;Acc:AT5G49970] MLTVAASALLRNNKKSTAAACFSLAMPFLLSSPTPPPPPPHSSSLPRSPSPRPRLPLPPPRRAALVTAAQDPRWRRAMASLAVSASASASGEEVTHLAQREAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVAEVYKLGEHTRVLVICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTPKPLYSGLCTQLESLTIPFVPVEDLPANLSEEFDIIIDAMFGFSFHGTPRPPFDDLINRLVSLSAIDNSAKRPAIVSVDIPSGWHVEEGDINGGGFKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPIVSKYKLHLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLENQVMPDPFDQFVRWFDEAVTAGLREPNAMALTTADKEGKPSSRMVLLKGVDKQGFVWYTNYGSQKAHDLSENPNAALLFYWNEMNRQVRVEGSVQKVPEEESEKYFHSRPRGSQLGAIVSKQSTIIPGREVLQQAYKELEQKYSDGSVIPKPDYWGGYRLTPKLFEFWQGQQSRLHDRLEKTVGHRSTS >ONIVA10G07860.2 pep chromosome:AWHD00000000:10:8778884:8784255:-1 gene:ONIVA10G07860 transcript:ONIVA10G07860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyridoxin (pyrodoxamine) 5'-phosphate oxidase [Source:Projected from Arabidopsis thaliana (AT5G49970) TAIR;Acc:AT5G49970] MLTVAASALLRNNKKSTAAACFSLAMPFLLSSPTPPPPPPHSSSLPRSPSPRPRLPLPPPRRAALVTAAQDPRWRRAMASLAVSASASASGEEVTHLAQREAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVAEVYKLGEHTRVLVICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTPKPLYSGLCTQLESLTIPFVPVEDLPANLSEEFDIIIDAMFGFSFHGTPRPPFDDLINRLVSLSAIDNSAKRPAIVSVDIPSGWHVEEGDINGGGFKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPIVSKYKLHLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLENQVMPDPFDQFVRWFDEAVTAGLREPNAMALTTADKEGKPSSRMVLLKGVDKQGFVWYTNYGSQKAHDLSENPNAALLFYWNEMNRQVRVEGSVQKVPEEESEKYFHSRPRGSQLGAIVSKQSTIIPGREVLQQAYKELEQKYSDGSVIPKPDYWGGYRLTPKLFEFWQGQQSRLHDRLQYSLREVDRSTVWHIERLSP >ONIVA10G07860.3 pep chromosome:AWHD00000000:10:8778884:8784255:-1 gene:ONIVA10G07860 transcript:ONIVA10G07860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyridoxin (pyrodoxamine) 5'-phosphate oxidase [Source:Projected from Arabidopsis thaliana (AT5G49970) TAIR;Acc:AT5G49970] MLTVAASALLRNNKKSTAAACFSLAMPFLLSSPTPPPPPPHSSSLPRSPSPRPRLPLPPPRRAALVTAAQDPRWRRAMASLAVSASASASGEEVTHLAQREAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVAEVYKLGEHTRVLVICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTPKPLYSGLCTQLESLTIPFVPVEDLPANLSEEFDIIIDAMLVSLSAIDNSAKRPAIVSVDIPSGWHVEEGDINGGGFKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPIVSKYKLHLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLENQVMPDPFDQFVRWFDEAVTAGLREPNAMALTTADKEGKPSSRMVLLKGVDKQGFVWYTNYGSQKAHDLSENPNAALLFYWNEMNRQVRVEGSVQKVPEEESEKYFHSRPRGSQLGAIVSKQSTIIPGREVLQQAYKELEQKYSDGSVIPKPDYWGGYRLTPKLFEFWQGQQSRLHDRLQYSLREVDRSTVWHIERLSP >ONIVA10G07850.1 pep chromosome:AWHD00000000:10:8759727:8764020:-1 gene:ONIVA10G07850 transcript:ONIVA10G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSIDSKATIGVEFQTRTLLIDHKYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEDERAVSTEDAKEFAEKENLFFLETSALQATNVENAFQTVLSEIFKIHSKKNMAADPKANGAAPSLAGKKVVVPGPAHEIPKSKCCSSM >ONIVA10G07840.1 pep chromosome:AWHD00000000:10:8752733:8755141:1 gene:ONIVA10G07840 transcript:ONIVA10G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSCRGSSMAIIHDTSDQQEDNMRSYMDGGGAAAYEEEEEEVEDDDGGGGGGGGGLGEKKRRLAAEQVRALERSFEADNKLDPERKARIARDLRLHPRQVAVWFQNRRARWKTKQIERDFAALRSRHDALRLECDALRRDKDALAAEIADLRDRVDGQMSVKLEAVAADEHQPPPPPPPPPLAYNSKVVDGSTDSDSSAVFNEEASPYSGAAIDHHHHQTPASYDTAGFTSFFAPSTTLTSSLSFPSMFHASSHFDGHQELLVGGGGAGAVADADLGGAGFFAGDEHAGGLSWYGAEGW >ONIVA10G07830.1 pep chromosome:AWHD00000000:10:8740184:8741729:1 gene:ONIVA10G07830 transcript:ONIVA10G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEVALLNLKGTDENGIERKPRLSDCGSEMSEEERKSKPFIQTAMEPNLNGFMKMEGADFYYCGAAGGQRGRGDGGRSGVRAPPLAATQKAHEAAAGEELRAGGGQSDGRSGDRCTCCTGLPEDWATARGAASRQSRRAAGKHVVGEEDGADGEWDGESEDAATVVVHGRKSRASALNCVAGGSEGQQAGARGRGRRRHRARPAIPIFSPRNAQEGRSPLLVNSQELGESGGGGLPRPPDELSAIAEARPAALSLVGIEAEVGAIAGGDRFHIGVGVQRPW >ONIVA10G07820.1 pep chromosome:AWHD00000000:10:8731602:8734418:1 gene:ONIVA10G07820 transcript:ONIVA10G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVRSVASLYCQGLRRTVRLGGGATAGTPAARAPALDQRRPFLSRVDRIEATTGGGGGGAADTGGSPRRHGKEGTAPLFTWARLVVGSVLAAMAPFLQSKWATLLRIQSEVEMVKDAAETAAEVVEEVAAAVEKASAEVAEAEQLPEHGALRRAAALVERASREVAEEAHLAHDIIHKVDEIEEDVKTMIEPIIDNHKHGTRGTIKKH >ONIVA10G07820.2 pep chromosome:AWHD00000000:10:8732008:8734418:1 gene:ONIVA10G07820 transcript:ONIVA10G07820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFLQSKWATLLRIQSEVEMVKDAAETAAEVVEEVAAAVEKASAEVAEAEQLPEHGALRRAAALVERASREVAEEAHLAHDIIHKVDEIEEDVKTMIEPIIDNHKHGTRGTIKKH >ONIVA10G07810.1 pep chromosome:AWHD00000000:10:8725535:8727682:1 gene:ONIVA10G07810 transcript:ONIVA10G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVYSHGGHFGGYGGGLMGGAPAAPWDVFAAAAGGGGAGSWDDPGLFAAEASLDVIQGVDEWEVDQDQHASSSSKVAARPPVKAAAAAGKRKRRRAKAAKNREEIESQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVGGAINYVRELEQLLQTLEARRTIKDHIDGGAGESPSPFAGFFAFPQYSTATSGHGGGGDAHSRIVVKPAETTTTAAGGGAGAAIADIEASMVEGHASVKVQARRRPRQLLKLVAGLHQLGLTTLHLNVTTVAAMAMYSFSLKVEDGCKLGSVEEIASAVHEILERMQEEQAFADAKTSL >ONIVA10G07800.1 pep chromosome:AWHD00000000:10:8716112:8719345:-1 gene:ONIVA10G07800 transcript:ONIVA10G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGVRLLPACENLFSQADLKKSACENTFIFAGGPLKSSVCENIFLQSELLRAKMFLAFQIFGNFLEVEPSFDCCTAGDKPLPQQQWRPTKFGDQPPQPSLGWSPNLNRPRSRPWCRCRPHAREDPRFHYYRTITTSKVAFRFPNDQGFEGSTNGGVFVEQAHHPSASAVLGALERHTTLLLYSNRLIGLLPPSLAGWLLSGCSTSATPR >ONIVA10G07790.1 pep chromosome:AWHD00000000:10:8711082:8711360:-1 gene:ONIVA10G07790 transcript:ONIVA10G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVAKAKEVTTMTLIGGDGVAWQAARKEGTMAGRLSEEVVMGQMWRGMVACPLVHEGGGWRWRKKPSSSSPHGGWWRLRPVTELELTHEGK >ONIVA10G07780.1 pep chromosome:AWHD00000000:10:8704520:8707677:-1 gene:ONIVA10G07780 transcript:ONIVA10G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGQRAKEAVGGAWGCPDPVVPSHIKTGYSAQEFGAAERRQAVTLGWRAKEAAAGGWAVQIRWLVYGVLKSGDASENNTISPEELQIRDELEADIEEDLEREIIDDMCRLTRHLQRLYQQRDLRQLTGSATSYQMPLYHTTTEVLSEINIRINLDGQCKINITKIEQDDDTENQRKTCPNAYQSDKRQGHVKARQTYTVSRRKQQNHPVAPWR >ONIVA10G07770.1 pep chromosome:AWHD00000000:10:8700265:8701266:1 gene:ONIVA10G07770 transcript:ONIVA10G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSHHLLAAAVAAVVVVVMGWSARPCEASLYQPPPPAMAYHDGAVLEGAVPVSVLYYGAFPPHHRAVVADFLMSLSPRGRDHQPHTFGAPGPAPPPTVARWWGTVERYVRKAGRGGGAGVARVVLASQVDDEGCSLGRRLSRAQVERLAARLGVAPGGVAVVLTAADVAVEGFCSSACGAHGSSAPGGAVHVWVGDASAQCPGRCAWPFHAADYGNADAGRHRRAHGHDVALRAPNGDAGVDGVVINLAALMAGAVTNPYGRGYFQGDAAAPVEVAGACPGVYGRGAYPGYPGAVRVDAATGAGYNVVGRNGRRYLVPALVDPDNYSCLIMT >ONIVA10G07760.1 pep chromosome:AWHD00000000:10:8692927:8698820:1 gene:ONIVA10G07760 transcript:ONIVA10G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARGTIGAGGGAAVDLAAEQEYKSSTGEASDSEFGLLLPGERKGKVCQDGSAAASPANLRSLWPSPWRRRRLAPMRCIPGLLAGRRKKRVVADAKKASGDCPKVKPVEFIDSPAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGGGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPATRPAAAACTPAGHHGGGGGYTSDTLEEGPATAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDDDDDHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAINLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSARKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >ONIVA10G07760.2 pep chromosome:AWHD00000000:10:8693014:8698820:1 gene:ONIVA10G07760 transcript:ONIVA10G07760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRCIPGLLAGRRKKRVVADAKKASGDCPKVKPVEFIDSPAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGGGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPATRPAAAACTPAGHHGGGGGYTSDTLEEGPATAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDDDDDHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAINLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSARKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >ONIVA10G07760.3 pep chromosome:AWHD00000000:10:8692927:8698820:1 gene:ONIVA10G07760 transcript:ONIVA10G07760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRCIPGLLAGRRKKRVVADAKKASGDCPKVKPVEFIDSPAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGGGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPATRPAAAACTPAGHHGGGGGYTSDTLEEGPATAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDDDDDHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAINLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSARKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >ONIVA10G07760.4 pep chromosome:AWHD00000000:10:8694344:8698820:1 gene:ONIVA10G07760 transcript:ONIVA10G07760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRREIARDTLLEQLYLKIAGKVCQDGSAAASPANLRSLWPSPWRRRRLAPMRCIPGLLAGRRKKRVVADAKKASGDCPKVKPVEFIDSPAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGGGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPATRPAAAACTPAGHHGGGGGYTSDTLEEGPATAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDDDDDHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAINLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSARKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >ONIVA10G07760.5 pep chromosome:AWHD00000000:10:8693014:8698820:1 gene:ONIVA10G07760 transcript:ONIVA10G07760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRCIPGLLAGRRKKRVVADAKKASGDCPKVKPVEFIDSPAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGGGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPATRPAAAACTPAGHHGGGGGYTSDTLEEGPATAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDDDDDHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAINLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSARKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >ONIVA10G07760.6 pep chromosome:AWHD00000000:10:8693418:8698820:1 gene:ONIVA10G07760 transcript:ONIVA10G07760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRCIPGLLAGRRKKRVVADAKKASGDCPKVKPVEFIDSPAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGGGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPATRPAAAACTPAGHHGGGGGYTSDTLEEGPATAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDDDDDHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAINLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSARKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >ONIVA10G07750.1 pep chromosome:AWHD00000000:10:8674241:8674687:-1 gene:ONIVA10G07750 transcript:ONIVA10G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDEGEPSAAPLFLGGEPSRQRRRRADSRQQRRGSRASPRLRMSVVRGLHRRWSASSPNACLPRQGSHGRRGGRAECRRESDLRLAALRHNHGLQSADAASLPPWPSTDDADDSADLAEPSPAATDSITVGVELATLFLGESRGCS >ONIVA10G07740.1 pep chromosome:AWHD00000000:10:8659791:8664245:1 gene:ONIVA10G07740 transcript:ONIVA10G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPLSAGGGGGGRRRWQNRLSPTLARDRCYTRAFRSAGLRPTAIPLPDGAVVHLWLPPAAPPELHPVLLLHGFGARATWQWAPFLRPLIAAGLAPFVPDLVFFGGSASPAADRSPAYQAACVAAAMAAVLPGAPQAQAQRYAVVGVSYGGFVAYHLAHAFPAAVERLVLVAAGVCLEEADLAAGLFAVEDIAEAASLLLPQRPEDLRRLVGLTFCRPPRFMPSCFIRDYIRVMCTENVKEKTELLHALINGKKLSDLPKINQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELVIVKNAGHAINREKPAELCRLIKNYIADPSVKYRDGHKGSWKSAIKRFAGSSLRKVDSTRPLL >ONIVA10G07730.1 pep chromosome:AWHD00000000:10:8650812:8658681:1 gene:ONIVA10G07730 transcript:ONIVA10G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADARRFAVVPQLDIAQILKEAQQRWLRPAEICEILKNYKSFRIAPEPPNRPQSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRTYWMLEEDFMHIVLVHYLETKGGKSRTRGNNDMHQAAVMDSPLSQLPSQTIDGESSLSGQFSEYEEAESGGTGYHSFTQMQQQQNGIGPVTDASMFSSRVSASSIGNYQGQHAMGHTTNFYSSSQHDSPLVLSDPNLELENNGHESLWNGVMKTDEGTVQMTHLQPPVHPEQGMFTTEGQGVEYLTFDEVYSDGLSLKDIGAAGADVEPFWQLSSATADISATENSVQQNDGSLGAAIGFPFLKTQSSNLSDILKDSFKKSDSFTRWMSKELLDVEDSQIQSSSGAYWNTEEADSIIEASSREPLDQFTVAPMVLQDQLFSIVDFSPSWTYAGSKTKLLVDSYMLMKSRRDASGHACLEKLKFKRRFQQMGLSDVILPRINQAESLSMSPVPTDVYQATITNPSKEMIDLSKKISSLLANNDEWSKLLKLADDNEPLSHDQQDQYAENLIKEKLHVWLLHKVGNGGKGPSVLDDEGLGVLHLAAALGYDWAIRPTVTAGVNINFRDFHGWTALHWAAFCGRERTVVALIALGAAPGALTDPHPNYPAESTPADLASANGHKGISGFLAESSLTSHLQALNLKEANMSEISGLPGIGDITERNASQPAIGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYEGDKGGISDEHTLSLLSMKPSKSGQLDPLHAAASRIQNKYRGWKGRKEFLLFRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKVILRWRRRRAGLRGFRPTEGAIESSSGGTSSNLVTDKPAGDDYDFLQEGRKQTEERLQKALARVKSMVQYPEARDQYQRILNVVSKMQESQTVQEKILDESTEMDEGDFMSEFKELWDDDTPLPGYF >ONIVA10G07730.2 pep chromosome:AWHD00000000:10:8650812:8658681:1 gene:ONIVA10G07730 transcript:ONIVA10G07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADARRFAVVPQLDIAQILKEAQQRWLRPAEICEILKNYKSFRIAPEPPNRPQSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRTYWMLEEDFMHIVLVHYLETKGGKSRTRGNNDMHQAAVMDSPLSQLPSQTIDGESSLSGQFSEYEEAESGGTGYHSFTQMQQQQNGIGPVTDASMFSSRVSASSIGNYQGQHAMGHTTNFYSSSQHDSPLVLSDPNLELENNGHESLWNGVMKTDEGTVQMTHLQPPVHPEQGMFTTEGQGVEYLTFDEVYSDGLSLKDIGAAGADVEPFWQFSSNSYLTEAIAFQLSSATADISATENSVQQNDGSLGAAIGFPFLKTQSSNLSDILKDSFKKSDSFTRWMSKELLDVEDSQIQSSSGAYWNTEEADSIIEASSREPLDQFTVAPMVLQDQLFSIVDFSPSWTYAGSKTKVLVTGRFLHANEVTERCKWSCMFGEVEIQAEISADGTLRCYSPPHKPGRVPFYVTCSNRLACSEVREFEFRPSDSQYMDAPSPLGATNKVYFQIRLDNLLSLGPDVYQATITNPSKEMIDLSKKISSLLANNDEWSKLLKLADDNEPLSHDQQDQYAENLIKEKLHVWLLHKVGNGGKGPSVLDDEGLGVLHLAAALGYDWAIRPTVTAGVNINFRDFHGWTALHWAAFCGRERTVVALIALGAAPGALTDPHPNYPAESTPADLASANGHKGISGFLAESSLTSHLQALNLKEANMSEISGLPGIGDITERNASQPAIGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYEGDKGGISDEHTLSLLSMKPSKSGQLDPLHAAASRIQNKYRGWKGRKEFLLFRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKVILRWRRRRAGLRGFRPTEGAIESSSGGTSSNLVTDKPAGDDYDFLQEGRKQTEERLQKALARVKSMVQYPEARDQYQRILNVVSKMQESQTVQEKILDESTEMDEGDFMSEFKELWDDDTPLPGYF >ONIVA10G07730.3 pep chromosome:AWHD00000000:10:8650812:8658681:1 gene:ONIVA10G07730 transcript:ONIVA10G07730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADARRFAVVPQLDIAQILKEAQQRWLRPAEICEILKNYKSFRIAPEPPNRPQSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRTYWMLEEDFMHIVLVHYLETKGGKSRTRGNNDMHQAAVMDSPLSQLPSQTIDGESSLSGQFSEYEEAESGGTGYHSFTQMQQQQNGIGPVTDASMFSSRVSASSIGNYQGQHAMGHTTNFYSSSQHDSPLVLSDPNLELENNGHESLWNGVMKTDEGTVQMTHLQPPVHPEQGMFTTEGQGVEYLTFDEVYSDGLSLKDIGAAGADVEPFWQLSSATADISATENSVQQNDGSLGAAIGFPFLKTQSSNLSDILKDSFKKSDSFTRWMSKELLDVEDSQIQSSSGAYWNTEEADSIIEASSREPLDQFTVAPMVLQDQLFSIVDFSPSWTYAGSKTKVLVTGRFLHANEVTERCKWSCMFGEVEIQAEISADGTLRCYSPPHKPGRVPFYVTCSNRLACSEVREFEFRPSDSQYMDAPSPLGATNKVYFQIRLDNLLSLGPDVYQATITNPSKEMIDLSKKISSLLANNDEWSKLLKLADDNEPLSHDQQDQYAENLIKEKLHVWLLHKVGNGGKGPSVLDDEGLGVLHLAAALGYDWAIRPTVTAGVNINFRDFHGWTALHWAAFCGRERTVVALIALGAAPGALTDPHPNYPAESTPADLASANGHKGISGFLAESSLTSHLQALNLKEANMSEISGLPGIGDITERNASQPAIGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYEGDKGGISDEHTLSLLSMKPSKSGQLDPLHAAASRIQNKYRGWKGRKEFLLFRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKVILRWRRRRAGLRGFRPTEGAIESSSGGTSSNLVTDKPAGDDYDFLQEGRKQTEERLQKALARVKSMVQYPEARDQYQRILNVVSKMQESQTVQEKILDESTEMDEGDFMSEFKELWDDDTPLPGYF >ONIVA10G07720.1 pep chromosome:AWHD00000000:10:8646919:8649338:-1 gene:ONIVA10G07720 transcript:ONIVA10G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMDSGKPPSGVAINQACPTQCHCHRSGSLHATKVALELIVVYTQLHKHKLGSQNINCHETSLCVVDLWVCGSTFLNRHIT >ONIVA10G07710.1 pep chromosome:AWHD00000000:10:8630126:8633396:-1 gene:ONIVA10G07710 transcript:ONIVA10G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVFCSDNIIQCIVAKVKRDQSSGHT >ONIVA10G07710.2 pep chromosome:AWHD00000000:10:8630126:8633396:-1 gene:ONIVA10G07710 transcript:ONIVA10G07710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVFCSDNIIQCIVAKVKRDQSSGHT >ONIVA10G07700.1 pep chromosome:AWHD00000000:10:8616001:8618739:-1 gene:ONIVA10G07700 transcript:ONIVA10G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIQSGAIWESPDGEVAGKSSDIFPSLDLATSADYLAAVADGLRRGRFAAVDDASPATEAEGNVVKRQQQLLANLAGDGGGGGHGGEAATVAGELERKPLPSRGLLDDLAFASSVPSGGSG >ONIVA10G07690.1 pep chromosome:AWHD00000000:10:8608413:8615711:-1 gene:ONIVA10G07690 transcript:ONIVA10G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFSLQMGRIHYSERAGTGTPATASYSAATDQVGSFFSDEVIFSPSRPRREKDRVHACYEEWASE >ONIVA10G07690.2 pep chromosome:AWHD00000000:10:8608270:8615711:-1 gene:ONIVA10G07690 transcript:ONIVA10G07690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFSLQMGRIHYSERAGTGTPATASYSAATDQVGSFFSDEVIFSPSRPRRERVVINKDDDNNKKMKACTTVPAGSIQAHSMEVINYCNNLINLQATKRIN >ONIVA10G07680.1 pep chromosome:AWHD00000000:10:8607851:8632229:1 gene:ONIVA10G07680 transcript:ONIVA10G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTILKLLPMLYMLLMASTEYAQAFSNETDLDALLAFRAGLSNQSDALASWNATTDFCRWHGVICSIKHKRRVLALNLSSAGLVGYIAPSIGNLTYLRTLDLSYNLLHGEIPPTIGRLSRMKYLDLSNNSLQGEMPSTIGQLPWLSTLYMSNNSLQGGITHGLRNCTRLVSIKLDLNKLNREIPDWLDGLSRIKIMSLGKNNFTGIIPPSLGNLSSLREMYLNDNQLSGPIPESLGRLSKLEMLALQVNHLSGNIPRTIFNLSSLVQIGVEMNELDGTLPSDLGNALPKIQYLILALNHLTGSIPASIANATTMYSIDLSGNNFTGIVPPEIGTLCPNFLLLNGNQLMASRVQDWEFITLLTNCTSLRGVTLQNNRLGGALPNSIGNLSERLQLLDLRFNEISNRIPDGIGNFPKLIKLGLSSNRFTGLIPDNIGRLTMLQFLTLDNNLLSGMMPSSLGNLTQLQHLSVNNNNLDGPLPASLGNLQRLVSATFSNNKLSGPLPGEIFSLSSLSFVLDLSRNQFSSSLPSEVGGLTKLTYLYMHNNKLAGALPDAISSCQSLMELRMDGNSLNSTIPVSISKMRGLELLNLTKNSLTGAIPEELGLMKGLKELYLAHNNLSLQIPETFISMTSLYQLDISFNHLDGQVPTHGVFSNLTGFQFIGNDKLCGGIQELHLPSCQVKSNRRILQIIRKAGILSASVILVCFILVLLVFYLKKRLRPLSSKVEIIASSFMNQMYPRVSYSDLAKATNGFTSNNLVGTGRYGSVYKGRMRFKNSVSDVAVKVFDLEQSGSSKSFVAECKALSKIQHRNLVGVITCCSCPNLNQNDFKALVFEFMPYGSLDRWIHPDIDPSSPVEVLTLMQRLNIALDIGAALDYLHNNCQPAIVHCDLKPSNILLGNGMVAHVGDFGLAKILTDPEGEQLINSKSSVGIMGTIGYVAPEYGEGGQISPYGDVYSFGILLLEMFTGKAPTHDMFSDGLTLQKYAEMAYPELLIDIVDPRMLSVENAWGEINSVITAVTRLALVCSRRRPTDRLCMREVVAEIQTIRASYVEEINKIVSD >ONIVA10G07680.2 pep chromosome:AWHD00000000:10:8607851:8615564:1 gene:ONIVA10G07680 transcript:ONIVA10G07680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTILKLLPMLYMLLMASTEYAQAFSNETDLDALLAFRAGLSNQSDALASWNATTDFCRWHGVICSIKHKRRVLALNLSSAGLVGYIAPSIGNLTYLRTLDLSYNLLHGEIPPTIGRLSRMKYLDLSNNSLQGEMPSTIGQLPWLSTLYMSNNSLQGGITHGLRNCTRLVSIKLDLNKLNREIPDWLDGLSRIKIMSLGKNNFTGIIPPSLGNLSSLREMYLNDNQLSGPIPESLGRLSKLEMLALQVNHLSGNIPRTIFNLSSLVQIGVEMNELDGTLPSDLGNALPKIQYLILALNHLTGSIPASIANATTMYSIDLSGNNFTGIVPPEIGTLCPNFLLLNGNQLMASRVQDWEFITLLTNCTSLRGVTLQNNRLGGALPNSIGNLSERLQLLDLRFNEISNRIPDGIGNFPKLIKLGLSSNRFTGLIPDNIGRLTMLQFLTLDNNLLSGMMPSSLGNLTQLQHLSVNNNNLDGPLPASLGNLQRLVSATFSNNKLSGPLPGEIFSLSSLSFVLDLSRNQFSSSLPSEVGGLTKLTYLYMHNNKLAGALPDAISSCQSLMELRMDGNSLNSTIPVSISKMRGLELLNLTKNSLTGAIPEELGLMKGLKELYLAHNNLSLQIPETFISMTSLYQLDISFNHLDGQVPTHGVFSNLTGFQFIGNDKLCGGIQELHLPSCQVKSNRRILQIIRKAGILSASVILVCFILVLLVFYLKKRLRPLSSKVEIIASSFMNQMYPRVSYSDLAKATNGFTSNNLVGTGRYGSVYKGRMRFKNSVSDVAVKVFDLEQSGSSKSFVAECKALSKIQHRNLVGVITCCSCPNLNQNDFKALVFEFMPYGSLDRWIHPDIDPSSPVEVLTLMQRLNIALDIGAALDYLHNNCQPAIVHCDLKPSNILLGNGMVAHVGDFGLAKILTDPEGEQLINSKSSVGIMGTIGYVAPEYGEGGQISPYGDVYSFGILLLEMFTGKAPTHDMFSDGLTLQKYAEMAYPELLIDIVDPRMLSVENAWGEINSVITAVTRLALVCSRRRPTDRLCMREVVAEIQTIRASYVEEINKIVSD >ONIVA10G07670.1 pep chromosome:AWHD00000000:10:8592655:8593177:1 gene:ONIVA10G07670 transcript:ONIVA10G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFDTVSNAWPNVGDWVLPFCGRIEHVPVDNLSFGFSPHDDGHLRASDLTATPPLLPPHKAAAEEDEETEVRERFAVVTGVEVEASGSIKAVRMASMRNSVSSPGILAKMILKIHKMLKWQSGSGCTLHIDILTKRIFGIAFQ >ONIVA10G07660.1 pep chromosome:AWHD00000000:10:8590009:8591430:-1 gene:ONIVA10G07660 transcript:ONIVA10G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPEFQNVQSRAAAAVAFLGNVKARFRRRPAVYVELCDVLTAYGRDPAAPAAPVLRRTAELLLGHPDLVAEINAVIYPRNRVELVPAADDDDGDHAAPAAAARTRRRSDAQRRRPDDAAVAESSAAAERRAKVSKAEQFLASLKIVGGVELHDRVEHVIHDVNEDKGLDAHQVYARLEEVLAAEHPYLLHGIDEFFPRPKHQPPPHAAADGEPDVGHRPSSSSSKRAAAVDINQNGDATRPSKARATQLRTAAIFDLHINHVDLHVNKNSDAVRPKKKPRAADPQTSKSALDGGGEDDDDDDGAVLPSRAAKKPRAADIKIKRRHPLDDGEESDACWQVTTTDNPHDAARTFRKILEFIAWYSKLVTTMRRAEELERREPHPHGALKDLFPSSDCHEILEELYGGGWRTVQVTHDDDDGGRAGSTTLAAMLVSLSQRENAAVELARRRVDKTRYGEELAAASGSRPRRHRP >ONIVA10G07650.1 pep chromosome:AWHD00000000:10:8587012:8587194:1 gene:ONIVA10G07650 transcript:ONIVA10G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLVLVGPDSVVVLTDNELRTVHMWADDSGGSDLFGFGPSWPDGIIVLIDDIALAAAA >ONIVA10G07640.1 pep chromosome:AWHD00000000:10:8540166:8543433:1 gene:ONIVA10G07640 transcript:ONIVA10G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALKLLLLPYLLLLASASCTQGLPFSNNTDLDALLGFKAGLRHQSDALASWNITRSYCQWSGVICSHRHKQRVLALNLTSTGLHGYISASIGNLTYLRSLDLSCNQLYGEIPLTIGRLSKLSYLDLSNNSFQGEIPWTIGQLPQLSYLYLSNNSLQGEITDELRNCTNLASIKLDLNSLNGKIPDWFGGFPKLNSISLGKNIFTGIIPQSLGNLSALSELFLNENHLTGPIPEALGKISSLERLALQVNHLSGTIPRTLLNLSSLIHIGLQENELHGRLPSDLGNGLPKIQYFIIALNHFTGSIPPSIANATNMRSIDLSSNNFTGIIPPEIGMLCLKYLMLQRNQLKATSVKDWRFVTLLTNCTRLRAVTIQNNRLGGALPNSITNLSAQLELLDIGFNKISGKIPDGINNFLKLIKLGLSNNRFSGPIPDSIGRLETLQYLTLENNLLSGIIPSSLGNLTQLQQLSLDNNSLEGPLPASIGNLQQLIIATFSNNKLRDQLPGEIFNLPSLSYVLDLSRNHFSGSLPSAVGGLTKLTYLYMYSNNFSGLLPNSLSNCQSLMELHLDDNFFNGTIPVSVSKMRGLVLLNLTKNSFFGAIPQDLGLMDGLKELYLSHNNLSAQIPENMENMTSLYWLDISFNNLDGQVPAHGVFANLTGFKFDGNDKLCGGIGELHLPSCPTKPMGHSRSILLVTQKVVIPTAVTIFVCFILAAVAFSIRKKLRPSSMRTTVAPLPDGVYPRVSYYELFQSTNGFNVNNLVGTGRYGSVYKGTMLLKKSETTVAIKVFNLEQSGSSKSFVAECNAISKIRHRNLIGVITCCSCSGLNQNDFKAIVFKFMPHGNLDKWLHPEVHSSDPVKVLTLMQRLSIASDIAAALDYLHNSCRPTIVHCDFKPSNILLGEDMVAHVGDLGLAKILTDPEGEQLINSKSSVGLMGTIGYIAPEYAECGQISPSGDVYSFGIVLLEMFTGKAPTNDMFTDGLTLQKYAEMAYPARLINIVDPHLLSIENTLGEINCVMSSVTRLALVCSRMKPTERLRMRDVADEMQTIMASYVTEIDKVSL >ONIVA10G07630.1 pep chromosome:AWHD00000000:10:8539278:8545548:-1 gene:ONIVA10G07630 transcript:ONIVA10G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHVLPKKNVAGLEITVAMISCCRFPMLAGNGPSKLLLSRESCCSCVKFPSEDGMIPDNRSSSCADRLVIEFGSAPPSLLFCIVTARSLVQFVNNVTNLQSLTLVAFSWFLCSIRYFRQSIPISGGMIPVKLLEERSMERMLVAFAIEGGMLPVKWFKAMMKYWIFGRPLPKSEGNLPCNSFSCRPMWISEERLRSVLGMCQPLKTADLAKGLRDWASEVILIEEKFRQRREVAKYKKNQVRNSESPVD >ONIVA10G07630.2 pep chromosome:AWHD00000000:10:8539278:8545548:-1 gene:ONIVA10G07630 transcript:ONIVA10G07630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHVLPKKNVAGLEITVAMISCCRFPMLAGNGPSKLLLSRESCCSCVKFPSEDGMIPDNRLFSSVKYCSVSSLPMLSGIGPLKRLLERPNLINLRKLLIPSGILPEILLNPISRSSSCADRLVIEFGSAPPSLLFCIVTARSLVQFVNNVTNLQSLTLVAFSWFLCSIRYFRQSIPISGGMIPVKLLEERSMERMLVAFAIEGGMLPVKWFKAMMKYWIFGRPLPKSEGNLPCNSFSCRPMWISEERLRSVLGMCQPLKTADLAKGLRDWASEVILIEEKFRQRREVAKYKKNQVRNSESPVD >ONIVA10G07630.3 pep chromosome:AWHD00000000:10:8539278:8545548:-1 gene:ONIVA10G07630 transcript:ONIVA10G07630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGNGPSKLLLSRESCCSCVKFPSEDGMIPDNRLFSSVKYCSVSSLPMLSGIGPLKRLLERPNLINLRKLLIPSGILPEILLNPISRSSSCADRLVIEFGSAPPSLLFCIVTARSLVQFVNNVTNLQSLTLVAFSWFLCSIRYFRQSIPISGGMIPVKLLEERSMERMLVAFAIEGGMLPVKWFKAMMKYWIFGRPLPKSEGNLPCNSFSCRPMWISEERLRSVLGMCQPLKTADLAKGLRDWASEVILIEEKFRQRREVAKYKKNQVRNSESPVD >ONIVA10G07630.4 pep chromosome:AWHD00000000:10:8540916:8545548:-1 gene:ONIVA10G07630 transcript:ONIVA10G07630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHVLPKKNVAGLEITVAMISCCRFPMLAGNGPSKLLLSRESCCSCVKFPSEDGMIPDNRLFSSVKYCSVSSLPMLSGIGPLKRLLERPNLINLRKLLIPSGILPEILLNPISRSSSCADRLVIEFGSAPPSLLFCIVTARSLVQFVNNVTNLQSLTLVAFSWFLCSIRYFRQSIPISGGMIPVKLLEERSMERMLVAFAIEGGMLPVKWFKAMMKYWIFGRPLPKSEGNLPCNSFSCRPMWISEERLRSVLGMVPDR >ONIVA10G07620.1 pep chromosome:AWHD00000000:10:8536952:8537155:1 gene:ONIVA10G07620 transcript:ONIVA10G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMKGWSSRRRMRFSAAAWPSLPFLESARQSTTFMAYSHEDDEPTHSPSDDVPGADVVDEGEVPRP >ONIVA10G07610.1 pep chromosome:AWHD00000000:10:8536649:8536912:1 gene:ONIVA10G07610 transcript:ONIVA10G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVATSTAEGNSMPYDHQSMPAPCPPPRATSGATYSCVPTNELDRACTGSARDAHHVQTLEREEHLSGVEPGERDWESTTGHALAL >ONIVA10G07600.1 pep chromosome:AWHD00000000:10:8525437:8531787:1 gene:ONIVA10G07600 transcript:ONIVA10G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPPPNVSSSSPSFFRSRLILARPFALISPRFLQPISGNTDLATGALERGHTVVNTQPRVRAAVPVASRRIRLSCCATSFASPSSPKHPKNPSEPREIDHRLLQLRSSASVIDFHSTVVATLGGVLHPACDVIDMHAIERAVHRRDARVHAWRPERFRLQPPPASVQSPLAGEKLRNGISMSCSTFYLLTSSPLEPAFAAGELEIELSHGCRCFLLEWRRIAWDPPVIRLKTNRTIRADHERNADKKKNAKKKEAHLTSALRPPPSPRGHATHPTPPPPRIRVWPPSPPLHAARSRGPRCRRYYAPAASCRLLVRARRFPTPSTSSQSPPVRNRRGTSARAVHRASAPLRAEALQSYQGYFSMKKQSANNIGSKHRLKMRMLI >ONIVA10G07600.2 pep chromosome:AWHD00000000:10:8525263:8528625:1 gene:ONIVA10G07600 transcript:ONIVA10G07600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHADTYLVSVAPFFSSSFDSNSPSCSLSTLNRSTDSQEIKEGEFPLALLPFIFLPAAGMTPSPPPNVSSSSPSFFRSRLILARRSLCSDLAPFLAADLWEHRPRHGGSGARPHRRQHAAAGSRRCSRRLEANPAELLRHQLRLTILSKTPQESLRTVQRGGRSTTVFSNSDLAPPSSISIQPNEPFTAVTHGCMHGVPSASDCSRRLRRSSHHWRGKS >ONIVA10G07600.3 pep chromosome:AWHD00000000:10:8525437:8526674:1 gene:ONIVA10G07600 transcript:ONIVA10G07600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPPPNVSSSSPSFFRSRLILARPFALISPRFLQPISGNTDLATGALERGHTVVNTQPRVRAAVPVASRRIRLSCCATSFASPSSPKHPKNPSEPREIDHRLLQLRSSASVIDFHSTVVATLGGVLHPACDVIDMHAIERAVHRRDARVHAWRPERFRLQPPPASVQSPLAGEKLRNGISMSCSTFYLLTSSPLEPAFAAGELEIELSHGCRCFLLEWRRIAWDPPVIRLKTNRTIRADHERNADKKKNAKKKEAHLTSALRPPPSPRGHATHPTPPPPRIRVWPPSPPLHAARSRGPRCRRYYAPAASCRLLVRARRFPTPSTSSQSPPVRNRRGTSARAVHRASAPLRAESLPPSAGPQSACIRRR >ONIVA10G07600.4 pep chromosome:AWHD00000000:10:8525263:8528625:1 gene:ONIVA10G07600 transcript:ONIVA10G07600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHADTYLVSVAPFFSSSFDSNSPSCSLSTLNRSTDSQEIKEGEFPLALLPFIFLPAAGMTPSPPPNVSSSSPSFFRSRLILARRSLCSDLAPFLAADLWEHRPRHGGSGARPHRRQHAAAGSRRCSRRLEANPAELLRHQLRLTILSKTPQESLRTVQRGGRSTTVFSNSDLAPPSSISIQPNEPFTAVTHGCMHGVPSASDCSRRLRRSSHHWRGKS >ONIVA10G07600.5 pep chromosome:AWHD00000000:10:8526812:8531787:1 gene:ONIVA10G07600 transcript:ONIVA10G07600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSKVQSLDGAWKQDAVLSASEESYEIMRSGMPKALQSYQGYFSMKKQSANNIGSKHRLKMRMLI >ONIVA10G07590.1 pep chromosome:AWHD00000000:10:8482573:8484550:1 gene:ONIVA10G07590 transcript:ONIVA10G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADFKRQRFWRRRIRRCSVTVRARRRGCKPCRRFGGDRVMRGDGEETRAEERCASAAVGLQCRHSQRTRTHPYERTHANPTPMSIFCLHHGKVVIVEHVLATLGIGGMGLLLVLVGPDSVAVLADNEFSAINMGVDDSEPDNEFSAINMGVNDSGGLDIFGFVPAWLDGIIVLIDDIASAAAA >ONIVA10G07580.1 pep chromosome:AWHD00000000:10:8468415:8469381:1 gene:ONIVA10G07580 transcript:ONIVA10G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPHHSVVSPRLPEASRSRAASSPRLASRKLREAPARPEPWRSRSRQATTPASSSRCAAPGRRCSSPRSAIPARRRRGGRRHHGDRAPLPRVVASSGTPASSARSSLRRGARPRCTRRTPGWRFSSSSPWSPSSCSSSGSSRQRRWRRSREGVRSAAPA >ONIVA10G07570.1 pep chromosome:AWHD00000000:10:8464976:8466521:1 gene:ONIVA10G07570 transcript:ONIVA10G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIASSASSSSLTALFDPSSDSPLPSPPGSPPRDVSTTPSFVLSTQYSGDYRADWHQRDVQVQGLDKSWMDPTPTPSIFGVDDLCTIFAANLDCQMVKSRSRVPPREELRRISDERDYNSLRIALKAYISRSSEKTPELRVVSVLERNLIVEHGQGFLHFNFLVQPVDLESSTLKMFFAEVHPDCKGDEDVYVCCPLHVHDNGHCFGCSVRAKFLRHPSSHVFLGGHKDVDFPFTDSSSNDHSNGTEDSLRDEESENSKIETDDKDSNSDTEEETESKDD >ONIVA10G07560.1 pep chromosome:AWHD00000000:10:8450258:8459066:-1 gene:ONIVA10G07560 transcript:ONIVA10G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSRVLPPLGIAMGDSWSATEIIMFLAERKTWDPLPQNILVGVDLTMIDPRDSPGTLWFLNWPDNQLCYDDENNVFRKAKNGYWKCVDACRIQTGTSILGVKLCLEFHEGQTPCGTRSGLMMYEYLIEQNDELNLPQAYKSLCRMFLQEDRKIVEDEHISLNLGVHHNFLGSYSQYLAEIQRQNMVMNSQAVSSDKKSIFIRELDERKSKKCSTKITRSSTRLYRQKIPHIWCLRHSVSRKWFRESMFRVIDKLAKLLESVLLDLATVRQKINKGKKRIWKMEGKLEKAQIQHLTDFNNKYGPSIRQSVETAEHNNCALRCLNNYLELMIEEPRFSSIAYVRLDSQVKKLDKENTSAMDLSSSLHQMLQKLNLRVQDEEVWVSQLGSNLQASFRKIMDTSSEIGKLEDEVLQLKVDIAEFAPGEVTSCAVYEMIKTLQIVELFMNNSTRHLKLIDGINSEMREFGSIFNGKVRCLVRSARYQQTIMEKSTQINLFQIINFLGDIRAKVDKMTQMYGLSEVVPIVSNQFIEGSFNADAYAFESLKEHSTDLCFSWRTRKFHNRLILPPVRQQTSGTCSYYATLSSVESLYKREYASYPTILKDKIGQPDEFLVNLSRAQWEDIVEDFYTRHKVKGSKRLNVCLDKMKNEGVISEESYMNPQAQSTERYKIKQYEELNLEIAMRERDKEEVSIVDKKCIGKKKKRRFRKKMRMKEHIFLTKIKKVIESHIMDGKVLIASFRVTTGYFRLLPHQIYKIPEEDP >ONIVA10G07550.1 pep chromosome:AWHD00000000:10:8445602:8448503:1 gene:ONIVA10G07550 transcript:ONIVA10G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGRTDCAGSILVRFVLRPEPFSDSNSGSGSPSVGHPLKPSRAAAGVRTTHARSSAKSTRRAMASPSAAPNPRIHARASTRGAVKECQGKSKFFLEHQAKWEKEGNKGYDTNAHGWRFAYELTFPEGEIPSDWGYSKPLWDEHAKDEARRRHREAKQRKNEALQRQQRIEQVRTRWREKYAAGKAPRKEQLQKEAMDDMFDWQVLAEKRHTKNVQMALNIINRKHPGRNYELWEISAKSTIVEMELSYCHYNFTAYSPSSGFGFFFAETSDDVKCEDQVHSWCSIETGEIGCCVRCMSYEIYLVHPSSDKFLFGDESLHCCCADH >ONIVA10G07540.1 pep chromosome:AWHD00000000:10:8441000:8443202:1 gene:ONIVA10G07540 transcript:ONIVA10G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAPLPHLLPSFGSPAPTPTTPRHHLAELRIERAHSAVAFSAPCDAGKKRRCLLPPSSPRKKVLLELHPFGSPTPTPSPATAPPLLSSRAGTRGSCPDSDFSFPLAVGGTGGGGGGGGGGNMFAFLEDAPRAPTTPTGSSGSSALSFLVSPAAPETPTGSTASGGFAFVASPKEPTAPPGAFSFFASPKQAAATTGPTANGGFTFLGAPEQPLTPTGSNASGGCGSLSPKELHGGSAIAPLPSPTPASTDSTGSGGFSFFPSPGPAFGTAASPGLAAANQSSPTGGTSPSPPFVFTAWPAHKSGGRSSSNRRSRRNLRVATPRRGTPRPRDEQQPATPRPRKAAKTAAGEASRSSILSGSAGTPCCAFFASPAKAAKQESKNSSSEASRSGATSPEKTITPEREVEVSSAEREAPRPSSPAAAAARTGGELVVRVTCKCGVHKEFSFDHSH >ONIVA10G07530.1 pep chromosome:AWHD00000000:10:8436461:8437753:1 gene:ONIVA10G07530 transcript:ONIVA10G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSLAAAASASAASPSLAKAVDTYRKAVGTAATLTAYTVLARGMARELVPHDLRAAVAWAASLVRARFEPRPAERRTVIIRRRDGGDGDPYGRGHENRVFADAHSYLATKIDPRSMTRFCLSGGASGGERRARSSVVISMVPGDSMTDVFEGVEFTWTSVPGEGGGGGGRSNGGGTAAESDSRELSFDAEHTDTALDRYVPFIRDEVERARRRDRELEISMNEGSSWNGIVHHHPATFDTVAMDPALKKQFDFNKIQEKEKLTLSGLLNFIDGLWSTSGEERVIVFTTNYRERLDPALLRPGRMDKHVYMGHCGWDAFTTLARNYFLVDDHPLFPEIRRLISQAEVTPAEVSEMLLRSEDAGAALAGLAEFLEVKKKKMNQAAV >ONIVA10G07520.1 pep chromosome:AWHD00000000:10:8429698:8433016:-1 gene:ONIVA10G07520 transcript:ONIVA10G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDLLRSPLRWPSHDSGWRREEETLAAGRLPPHLRSALSPLEVRPPPSPSHAASPLRSLPGGYESIPARGDQVGTRKQWQMHWQLGCIFFGGWGKLAPILSVPPPTSTKIKTDLVMVIVLTTKQDFPLPIILVENSGRCKTNEHGEKQSDPFLPIVKWDGKPVGDGKCYLYSS >ONIVA10G07520.2 pep chromosome:AWHD00000000:10:8429698:8433016:-1 gene:ONIVA10G07520 transcript:ONIVA10G07520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRARDLLRSPLRWPSHDSGWRREEETLAAGRLPPHLRSALSPLEVRPPPSPSHAASPLRSLPGGYESIPARGDQVGTRKQWQMVLGLQWQVFSNKIMHWQLGCIFFGGWGKLAPILSVPPPTSTKIKTDLVMVIVLTTKQDFPLPIILVENSGRCKTNEHGEKQSDPFLPIVKWDGKPVGDGKCYLYSS >ONIVA10G07510.1 pep chromosome:AWHD00000000:10:8424689:8425398:1 gene:ONIVA10G07510 transcript:ONIVA10G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDTDTSTSTTSNIHGSMSHASRRARRWRCTCRRSANCKGKSKFVLEHQAMWEKEGRNKGYDTTVHRWRFATVPEGKIPAGWEKSDILPLSKRDFLVADDAPSLQHLGCDGRGLAVLVCLTDDELKVQDKVYYDGLLESRWAEDTGSSYAPRTAAVASSVTGRRHDQRGQRHDGGDEEHSGGKVPQGQDSGKARI >ONIVA10G07500.1 pep chromosome:AWHD00000000:10:8424112:8424336:-1 gene:ONIVA10G07500 transcript:ONIVA10G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRPSSSTEYECGLCQGKKNNVFEENHAMLANKVEAGGAYRHTPNAWRFAYQPPSLQVTGDQPATSTAPQPEK >ONIVA10G07490.1 pep chromosome:AWHD00000000:10:8404641:8404835:-1 gene:ONIVA10G07490 transcript:ONIVA10G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGAQDLNLAFPHHHGHALQSPEFTAFLSLKSSTMCNPGGNLAAANGAGGRGSVGACSRRWSC >ONIVA10G07480.1 pep chromosome:AWHD00000000:10:8404353:8404580:-1 gene:ONIVA10G07480 transcript:ONIVA10G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWGFILASSAFRHRHSSISNKLRLRSVSPRTRTAGAGGSSRVFGACSAGLQESAVGQVVVPVRGPEAGSERHG >ONIVA10G07470.1 pep chromosome:AWHD00000000:10:8394374:8395339:1 gene:ONIVA10G07470 transcript:ONIVA10G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQWRCLAAAASTSGNSKLPPLPMALGGAVEYGQLVHGAAAPVAPFFVDAEQQSLSPATAMVLGAGWYNYNLVTPSQAAQLHHRLRRAVGAAPCSMKRCGGMAAAAAGRLALVGPTPVQAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTYDTAEDAALAYDGAAFRLRGDAARLNFPELRRGGRHHAPPLSASVDAKILQATTTTTADTAAAAAPASTNTTPPPSPRVVKTEPGCCSVSEASTTTTADAADVSSTGSSPSPTSSNQAATATPPPPRPPPPLPETIQQLDFTEAPWDEADGFALRRYPSWEIDWDAILS >ONIVA10G07460.1 pep chromosome:AWHD00000000:10:8388462:8392606:-1 gene:ONIVA10G07460 transcript:ONIVA10G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDDEFNEFVMNELIDPSSSDEEHDLFFGAAQMIIEESNYKKIKNKETHTQLQADLIEHLMEDLEQNGTQDLLIGDLLTDEQTLCLPTIDACWRNHLMAHRSNTRLIQVPFRAMSILIQVPSRR >ONIVA10G07440.1 pep chromosome:AWHD00000000:10:8381492:8384619:1 gene:ONIVA10G07440 transcript:ONIVA10G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPRALSLLLVLLGMALASFPSAASASRDLRPRRAGFVVRGRVWCDTCLAGFETPASTYIAGAKVKVECRSKSTGAKTCSFEGQTDHTGTYNIPVNDEHEHELCESVLVSSPDAKCGKIVAGRERAPVFLTNNNGVTSNVRLANALGFQKDAPLAACAQILKMYEEVDDRA >ONIVA10G07430.1 pep chromosome:AWHD00000000:10:8375670:8375876:-1 gene:ONIVA10G07430 transcript:ONIVA10G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQNKLAEYGDTATEKLERGYLTQLYPSYSTFLPSRVGCREGPQPLHDATFAKRSIGKGERSRPE >ONIVA10G07420.1 pep chromosome:AWHD00000000:10:8364446:8371489:-1 gene:ONIVA10G07420 transcript:ONIVA10G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSSSSPPVPPIRSVNLGGWLVTEGWILPSLFDDIPNNDLLDGTKLQFKSVVHNTYLCAEHGGGDIIVADRTAASGWETFKLWRVDENTFNLKAIDDSAVHFVGVDGNGVVVAMAATPGPSETFVIVRSDSDNSRIRIRASNGKFLQLQFKSVVHNTYLCAEHGGGDIIIADRTAASGWETFKLWRVDENTFNLKAIDDSAVHFVGVDGNGVVVAMAATPGPSETFVIVRSDSDNSRIRIRASNGKFLQYNIGIIVDLHAAPGSQNRLDHSASRDGSLEWGTSAANIAQTVDVIDFLASRYARSSSLLAIELLNEPLAPDVPVDTLAKYYQDAYNAVRKYTLQAYVILSTRMSGDPTEFLSVASSLFGAVIDVHYYNLYNSMFDIYTVEQNINFVRNNRSSDINTVTKQNVPLTFVGEWVAEWYVDNASKEDYQNFAQAQLDLYGKATFGWSYWTFKNVKNHWSMEWMIKNGYISLNNLPPSSPPIRSVNLGGWLVTEGWILPSLFDGIPNNALLDGTTLHIKSVIQDKYLAAEQGGGQTIVANRAVASDWESFTLWRIDETTFNLRVFKKQFMGIDSNGTVIATATTPGLSETFQIVRSDTDKNRVRIRAPNGSFLQAKTANSVTADYGESTNWGNDDPSVFIVDMVGGPQGEYQICNGYGAEKASQVLREHWSTYIVESDFKFISSSGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNVGVIVDLHAAPGSQNHWEHSATRDGSLEWGTTDTSITQTVQIIDFLASRYANSPSLLAIELLNEPWGPDVPLEKLKKYYEDAYNVVRKYTAKAYVIMSNRLAGESNTELLDFASRFPGAVIDVHYYNLFNDDTFKNLNVEQNIEFVKNSRKAEFSNINKQKSPLTFVGEWVAEWKVNGASKEDYQRFAQAQLDVYGRATFGWAYWNFKNVNNHWSLEWMIKNGYISLKI >ONIVA10G07410.1 pep chromosome:AWHD00000000:10:8356572:8360258:-1 gene:ONIVA10G07410 transcript:ONIVA10G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDEDRPLIHHLPPQEQCSKYTCDGTVNIDSKPALKQSTGNWRACFFILGVEFTECICFYGVSKNLVTYLTSVLHESNVNAAQSVSIWIGSCFFTPLIGAFLADTYWGRYWTVVMSILVIILGMIVLTVSASPLFLNASFYNGGISRLTVYLGLYLFALGTGGIKPNIPAFGADQFDGADPVERVTKGSFFNWYYFSINVGSLLSSTVVVWVQDNIGWSVGFAGPMLLLGFGLAMFIAGRRAYRYKKLGGSPLTRVFQVLVAAVRNHRLNLPDDSSLLHELPGVTEGDYRTQHTYQFRFLDKAAILSDKNCAPAAPSSPWRLCTVSQVEELKMLLRTFPVWASLVGFFMVTAQMTSTLIEQGVAMDGRVGRFTVPPASLATFDVVAVLALIPVYDAALVPLARRVTGRDRGVSHMQRIGVGLALSAVAMAYSALVEARRLAMAAAAAGTRMNIAWQVPSFFVLGAGEVFAVIGMLEFCYEQSPASMKSLGTALVQLAVAVANYLNSGMLRVVAAATARGGGAGWIPDKLDEGHLDYFFWMMAALSVLNLLQFLHCSIRFRGNNTLSSS >ONIVA10G07410.2 pep chromosome:AWHD00000000:10:8356572:8359092:-1 gene:ONIVA10G07410 transcript:ONIVA10G07410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDEDRPLIHHLPPQEQCSKYTCDGTVNIDSKPALKQSTGNWRACFFILGVEFTECICFYGVSKNLVTYLTSVLHESNVNAAQSVSIWIGSCFFTPLIGAFLADTYWGRYWTVVMSILVIILGMIVLTVSASPLFLNASFYNGGISRLTVYLGLYLFALGTGGIKPNIPAFGADQFDGADPVERVTKGSFFNWYYFSINVGSLLSSTVVVWVQDNIGWSVGFAGPMLLLGFGLAMFIAGRRAYRYKKLGGSPLTRVFQVLVAAVRNHRLNLPDDSSLLHELPGVTEGDYRTQHTYQFRFLDKAAILSDKNCAPAAPSSPWRLCTVSQVEELKMLLRTFPVWASLVGFFMVTAQMTSTLIEQGVAMDGRVGRFTVPPASLATFDVVAVLALIPVYDAALVPLARRVTGRDRGVSHMQRIGVGLALSAVAMAYSALVEARRLAMAAAAAGTRMNIAWQVPSFFVLGAGEVFAVIGMLEFCYEQSPASMKSLGTALVQLAVAVANYLNSGMLRVVAAATARGGGAGWIPDKLDEGHLDYFFWMMAALSVLNLLQFLHCSIRFRGNNTLSSS >ONIVA10G07400.1 pep chromosome:AWHD00000000:10:8350536:8356022:1 gene:ONIVA10G07400 transcript:ONIVA10G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARVLSPLRTIWNASPGPGDATVATTVTPSPATVTNRLSNTLRSNVSSSILHSLKVSHPEALVRFATRMVPPPCSAHRRSLFGMSSKREGRIQPSVTSQPPRFTARMGGDGAPRFAGRTVLPSETEKRHGEQRRRKRRSSKWRMMKTKIFQLLMTILAQLANEKN >ONIVA10G07390.1 pep chromosome:AWHD00000000:10:8344717:8351120:-1 gene:ONIVA10G07390 transcript:ONIVA10G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFELLLFLLLCSPCLFSVSDGRTVRPAKRGAPSPPIRAVNLGGWLVTEGWILPSLFDDIPNKDLLDGTQLQFKSVTQNMYLCAEQGGGTILVANRTSASGWETFKLWRIDEDTFDLRVFDNLFVTVAGDGVTVVATVASPGPGEAFQIVRNGDKTRARIRAPNGMFLQAKTSDSVTADYDGETNWGDDDPSVFVVTRVGGLQGEYQICNGYGKAKATQVLREHWRTYIVESDFKFISTSGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNLGVIVDLHAAPGSQNPFEHSASRDGSQDWGTTDANIAQTVQVIDFLTHRYASSPSLLAVELLNEPLAPGVTLPALMRYYKDGYNAVRKYTSTAYVVMSNRLSASNTELLGFAAGFPGAVLDVHYYNLFTSSFNGLTVDQNIDYVRTNRSDELSTVTRPNGPLTFVGEWVAEWNVQGASNQDYQRFAQAQLDVYGRATFGWAYWTYKNVNNHWSMQWNIQNGIISLKS >ONIVA10G07380.1 pep chromosome:AWHD00000000:10:8340387:8343898:1 gene:ONIVA10G07380 transcript:ONIVA10G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVLSAVLRTLGPKLYAFLRDGHDLLRRDLERDVHYIRNELAMIAAAIEEHDRRPPLPPHAAGDVRSAWIRGVRDLACDMEDCVDRFAHRATGHGLASMGARAKFAAVIQELRRKSEELSRLRASYAAAGEPSCSVATGSSALTLPASSSEAHTLASDIVGMDGPRDEILELIGETQGQLKVISIVGFGGLGKTLLARQIYESDAVAAQFHPRIWVRAAGKNAEDVLMEILQQLWMPVHHCDASNLVVNLRNCLESKRFFVVIDDMQREYWNSSFRNAFPSDTGLSSIVIVTTAIQSIANACSSRNSHVYVMRTLNEEHSRQLFLKEASWKDYPPGSEAILKKCDGLPLALVTTAQFLQSRCQQQPLGCAKLCDNLGKHLVTEDTLARMKRVLVHHYSSLPGHVIKACLLYLGNFPSGHPVRRKTLIRRWSAEGFVGADHHRSSLDVAIDSFEELINRSIIQPVDVSSNTEVKTCQTHDIDLSLVRSLTIFGKAHKSFLNFSRYKLLRVLDLEECDELEDEHLKKICKLLLLKYLSLGRGITVLPKEIAKLKFLETLDLRRTVIKFLPIQVLELPCLIHLFGVFKLQDADQQMRKLKSFLTEKSKLETLAGFVTDRCQTFPQLMKHMTNLAKVKIWCENTADASSSSNSDVHLSEAIQEFIQRGTDVNDVRSLSLDVGECSQEFLNFSLGDSCYLSSLKLKGNKICRLPPFVTSLAVLTDLCLSSSDRLSSDVLAALSNVRALRYLKLIARHLDRFVIERGDLQSLRRLHIVVVSMTTMSKQQPEIQEGALPNLESFHLLCKDLDGPCGHGGIRIDSLGLGCLREIVLDDGVRETAKEQWKDAARRHPKRPKVVFVGAGDVVDRRRVGAAAAAAPAAGERNSAMAPAAVASVVAAGDVKRPAREESDISAALASLPAKMARLLGAASIHQSSGTQGELSCGGNGASQRHFS >ONIVA10G07380.2 pep chromosome:AWHD00000000:10:8340387:8344315:1 gene:ONIVA10G07380 transcript:ONIVA10G07380.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAVLSAVLRTLGPKLYAFLRDGHDLLRRDLERDVHYIRNELAMIAAAIEEHDRRPPLPPHAAGDVRSAWIRGVRDLACDMEDCVDRFAHRATGHGLASMGARAKFAAVIQELRRKSEELSRLRASYAAAGEPSCSVATGSSALTLPASSSEAHTLASDIVGMDGPRDEILELIGETQGQLKVISIVGFGGLGKTLLARQIYESDAVAAQFHPRIWVRAAGKNAEDVLMEILQQLWMPVHHCDASNLVVNLRNCLESKRFFVVIDDMQREYWNSSFRNAFPSDTGLSSIVIVTTAIQSIANACSSRNSHVYVMRTLNEEHSRQLFLKEASWKDYPPGSEAILKKCDGLPLALVTTAQFLQSRCQQQPLGCAKLCDNLGKHLVTEDTLARMKRVLVHHYSSLPGHVIKACLLYLGNFPSGHPVRRKTLIRRWSAEGFVGADHHRSSLDVAIDSFEELINRSIIQPVDVSSNTEARLPDKIRCVSIQQNSGSKTRVDSDIDLSLVRSLTIFGKAHKSFLNFSRYKLLRVLDLEECDELEDEHLKKICKLLLLKYLSLGRGITVLPKEIAKLKFLETLDLRRTVIKFLPIQVLELPCLIHLFGVFKLQDADQQMRKLKSFLTEKSKLETLAGFVTDRCQTFPQLMKHMTNLAKVKIWCENTADASSSSNSDVHLSEAIQEFIQRGTDVNDVRSLSLDVGECSQEFLNFSLGDSCYLSSLKLKGNKICRLPPFVTSLAVLTDLCLSSSDRLSSDVLAALSNVRALRYLKLIARHLDRFVIERGDLQSLRRLHIVVVSMTTMSKQQPEIQEGALPNLESFHLLCKDLDGPCGHGGIRIDSLGLGCLREIVLDDGVRETAKEQWKDAARRHPKRPKVVFVGAGDVVDRRRVGAAAAAAPAAGERNSAMAPAAVASVVAAGDVKRPAREESDISAALASLPAKMARLLGAASIHQSSGTQGELSCGGNGASQRHFS >ONIVA10G07370.1 pep chromosome:AWHD00000000:10:8331271:8333883:-1 gene:ONIVA10G07370 transcript:ONIVA10G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRALALLPLPAPSRLPRPRAASLARLDAARRRASASLLVRCCANPAGPGGQEDPPQDAVLKAISQVANSKGRVAQTTNVVMGGTVTDDATDEWLVLDKQVNTYPTVRGFTAIGTGGDDFVQAMVVAVESVLEEQIPKAQISHKVSSKGKYVSVKIGPIPVVSSEQVQAVYNAMKKDERMKFFL >ONIVA10G07360.1 pep chromosome:AWHD00000000:10:8319977:8320786:-1 gene:ONIVA10G07360 transcript:ONIVA10G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLCPKPGKGGKIHPSPLGGGDGDPVRAALRLLPAAILALATALRPEDQQVLAYLVTRCLQGAGAAHHPEREHPPARGGGGGRRRRGAHPPAIGCGCFDCYTSFWSRWDCSPSRELIHDAIEAFEDHLAAAESSAPPSSSSSKRRDKGKRRPPPPPSPMPPKVTPAQQQPPQPVEKVHDASPPPSSLFPPLPPPPPPAPEATTTFESDDDDDEKVPEDPSAAAAENASEGEEEEEEEEEERKCGWADVMGMLNLRLWGIWSPAVESAT >ONIVA10G07350.1 pep chromosome:AWHD00000000:10:8309398:8309922:-1 gene:ONIVA10G07350 transcript:ONIVA10G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGESSRSSAATRSLPLPILSPSAGGARRGGEEEREARREPVMAAGRDARWRPKERRRRPWRKPYVQIQYDDDDWGGSYFDPCRLCQLKKERRWRPGRKLPRSAAWNPVAAVGSPSPRISLADGDPVYRKREGRGGTAGEGRGPALALKEIPSPTVVLGSVGYSMGRGMGEIG >ONIVA10G07340.1 pep chromosome:AWHD00000000:10:8302489:8303232:1 gene:ONIVA10G07340 transcript:ONIVA10G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHDHVTFQDDEIDLEAGHTPPPPADHETKLPKRQQQQRKKKKKRGCCCRLACAALVLVAAVAAAAGALYLALDPRLPRYAIESLTVQAFDMDYDDHGGEYGYGDPQLTARASFDAAVRFENPNRAIGISYEEGSSLAVFYGGHRLSEGALPAFYQGHGDAGVVHVAMGDATLEGAGAVADAMQQVVGGGGELPLVFRGEVPVRVKVGPITTGKLTPTIRCDLVLDRLSTEGEIRVKNMSCKIKLW >ONIVA10G07330.1 pep chromosome:AWHD00000000:10:8297704:8301621:1 gene:ONIVA10G07330 transcript:ONIVA10G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLSRLSRRAAGPSLRRLLSATASAPSPAAASPPPPPPSAAAAAAAGADRVRWDYRGQRQLVPLGQWMPKVAVDAYVAPEAVLAGQVTVYDGASVWSGAVLRGDLNKITLGFCANVQERCVLHAAWSAPTGLPADTLVDRYVTVGAYCLLRSCTIEPECIIGQHSILMEGSLVETNSILEAGSVLPPGRRIPTGELWAGNPARFVRKLTNEEIMEIPKLAVAINDLMQSHFSEFLPYSTAYLEVEKLKKSFSIPL >ONIVA10G07320.1 pep chromosome:AWHD00000000:10:8291945:8292154:1 gene:ONIVA10G07320 transcript:ONIVA10G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIATVLFVLLTPGLLFQLPAHGRIVGFGTMHTSGVAVLVHAVIYFALITIFLIAIGVHIYAG >ONIVA10G07310.1 pep chromosome:AWHD00000000:10:8288393:8288605:-1 gene:ONIVA10G07310 transcript:ONIVA10G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDWGPVVVAVILFILLSPGLLFQVPARTRVVEFGNMCTSGVSVLVHAVFFFVLFTVLVVAIGIHVRAG >ONIVA10G07300.1 pep chromosome:AWHD00000000:10:8279668:8279877:-1 gene:ONIVA10G07300 transcript:ONIVA10G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVVVGVVLFVLLSPGLLVELPGTHRHVDFGSFRTNGKAIFVHTLIFFAAFAILTLALHLHIYTG >ONIVA10G07290.1 pep chromosome:AWHD00000000:10:8278914:8280250:1 gene:ONIVA10G07290 transcript:ONIVA10G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRWRARPVTSPTAWTGRARRVRCGATWSEQSSWVVGWWPRRVRQGVARSMASVRCGAVRGKRLCPVLI >ONIVA10G07280.1 pep chromosome:AWHD00000000:10:8273988:8275048:-1 gene:ONIVA10G07280 transcript:ONIVA10G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETAAFVARDIDAATNGGEVAEERRRKVELVQEAIRELLEEKRMRGERQRRRRQGGDGGGEEVRRDHEEEEDDLLSSLLSKVDALQNDAALDQAKPNCSHPNSEISKEVKLGDVAKDLNKIKRQNMITHILLGTVIVMTAVWQFNEVSFLLAVKRKLSNPFKSLGDLIKSSLKGRGKPMIEAPPLPPVGVPDVTRNDLPLLLISNGNGNNDD >ONIVA10G07270.1 pep chromosome:AWHD00000000:10:8270485:8272585:-1 gene:ONIVA10G07270 transcript:ONIVA10G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFEDGDPDSGEVSDLHGIAPAVDDPRLPIDDPREHALVFGDPGLDEPRHGGLTAHNLRAIADRASGLDAIGHDTSATSLSSSSTTQRPPTTLAHDRCTPPHRRSHLAATSPGLSLAVAELRQAAASPGPSLAIAKLRQAVAVLSRCCGGRSTCRLLVVAYYSKEMEVGTWNTKLQLIFLQIEGSMRTKHSAAQHKDLMQLPRYTPEWITPQLCRSVLISSRFPATSALS >ONIVA10G07260.1 pep chromosome:AWHD00000000:10:8266282:8268486:-1 gene:ONIVA10G07260 transcript:ONIVA10G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVELNSRAMMDEALKAKDAAERKFHARDVKGARRSAIKAQNLCPSLDGISQMVSTLEVLLASESKIDGENDWYRILSLSTCADEEEVKKQYRKLALQLHPDKNKSVGAEGAFKLISEAWAVLSDKSRKMQYDQKRKDHPVTNGANGLYTYDKKAHKRARKNAAASAAAAAAAAAAAAEATTRPVGLDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGYPCNGTSSSFSWSTKQQQQNHKHSYSSASRTSGVPGTGHGVYQQENTYETYNNQSFQWNQYSKTNSSAGTNAYSSTASEKPKRKHEESYIYNYSSSGNEFGQERPTSGRGRFSKRRQNINNGYVSVDCNGDNKETVAATAGTTVLADVGRVNGTSVEKFRSAVSGRRANVMREIFQLDTRGLLIEKAKAAIREKLQDLNISATRHIAAKGKAERKNHVDHDVKGNGILPHNPSHKFKICNSKGADVENPATDENNLEQKRVPVSIDVPDPDFYDFDKDRTERTFDNDQVWATYDSEDGMPRLYAMVQKVISRKPFRIRMSFLNSKSNIELSPINWVASGFSKTCGDFRVGRYQIFETVNIFSHRVSWSKGPRGIIKIVPKKGDTWALYRNWSSDWNELTPDDVIYKYEIVEVIDDFTDEQGVTVIPLLKVAGFKAVFHRRTDSDVVRRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVKEVATTEISE >ONIVA10G07250.1 pep chromosome:AWHD00000000:10:8260259:8265286:1 gene:ONIVA10G07250 transcript:ONIVA10G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGEKSGSGGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDGA >ONIVA10G07250.2 pep chromosome:AWHD00000000:10:8260259:8264815:1 gene:ONIVA10G07250 transcript:ONIVA10G07250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGEKSGSGGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNDCGLLHS >ONIVA10G07240.1 pep chromosome:AWHD00000000:10:8258051:8259985:-1 gene:ONIVA10G07240 transcript:ONIVA10G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IRA2] MATTAAAAAATLSAAATAKTGRKNHQRHHVLPARGRVGAAAVRCSAVSPVTPPSPAPPATPLRPWGTAEPRKGADILVEALERCGVSDVFAYPGGASMEIHQALTRSPVITNHLFRHEQGEAFAASGYARASGRVGVCVATSGPGATNLVSALADALLDSVPMVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRVIQEAFFLASSGRPGPVLVDIPKDIQQQMAVPVWDTSMNLPGYIARLPKPPATELLEQVLRLVGESRRPILYVGGGCSASGDELRRFVELTGIPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRAKIVHIDIDPAEIGKNKQPHVSICADVKLALQGLNALLDQSTTKTSSDFSAWHNELDQQKREFPLGYKTFGEEIPPQYAIQVLDELTKGEAIIATGVGQHQMWAAQYYTYKRPRQWLSSAGLGAMGFGLPAAAGASVANPGVTVVDIDGDGSFLMNIQELALIRIENLPVKVMVLNNQHLGMVVQWEDRFYKANRAHTYLGNPECESEIYPDFVTIAKGFNIPAVRVTKKSEVRAAIKKMLETPGPYLLDIIVPHQEHVLPMIPSGGAFKDMILDGDGRTMY >ONIVA10G07230.1 pep chromosome:AWHD00000000:10:8253738:8257265:1 gene:ONIVA10G07230 transcript:ONIVA10G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEQEESSAQRRERLLALRSSAAAAPSPSAAPHPPPPAADAWDLPVRDLMDASATTTAAAPRPPPRFDYYTNPAAAFASSSAASHKRKVAEPPPPGSGNYGSGYPPPHQHHMAPPPIHIPSPLSHDSPGGSPWRSPMQFQAPMSGYRGPPPGAPPPWSPHSGVPPPWNPHSAPPSQGPYPHPPSYGPRNYNPGQGGGRMNYGPRGRPDSPYGRGRGQNNYNNPGSRGRGGRDGGRDGSGTQNYSGWQDGRVRYHKSMTDDPWRDLQPIVGNIMIPRDGSKSWLPESLRAKKDTSDRGQVKPPSGLSLAEYLDLSFNEASNDT >ONIVA10G07230.2 pep chromosome:AWHD00000000:10:8254417:8257265:1 gene:ONIVA10G07230 transcript:ONIVA10G07230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEQEESSAQRRERLLALRSSAAAAPSPSAAPHPPPPAADAWDLPVRDLMDASATTTAAAPRPPPRFDYYTNPAAAFASSSAASHKRKVAEPPPPGSGNYGSGYPPPHQHHMAPPPIHIPSPLSHDSPGGSPWRSPMQFQAPMSGYRGPPPGAPPPWSPHSGVPPPWNPHSAPPSQGPYPHPPSYGPRNYNPGQGGGRMNYGPRGRPDSPYGRGRGQNNYNNPGSRGRGGRDGGRDGSGTQNYSGWQDGRVRYHKSMTDDPWRDLQPIVGNIMIPRDGSKSWLPESLRAKKDTSDRGQVKPPSGLSLAEYLDLSFNEASNDT >ONIVA10G07230.3 pep chromosome:AWHD00000000:10:8253738:8257265:1 gene:ONIVA10G07230 transcript:ONIVA10G07230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAGSGNYGSGYPPPHQHHMAPPPIHIPSPLSHDSPGGSPWRSPMQFQAPMSGYRGPPPGAPPPWSPHSGVPPPWNPHSAPPSQGPYPHPPSYGPRNYNPGQGGGRMNYGPRGRPDSPYGRGRGQNNYNNPGSRGRGGRDGGRDGSGTQNYSGWQDGRVRYHKSMTDDPWRDLQPIVGNIMIPRDGSKSWLPESLRAKKDTSDRGQVKPPSGLSLAEYLDLSFNEASNDT >ONIVA10G07220.1 pep chromosome:AWHD00000000:10:8236200:8239277:-1 gene:ONIVA10G07220 transcript:ONIVA10G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKVKEEAAAEKGKEAAVAEEEEAAAAGEEKKEDAPPPPPPPEEVVMRVFMHCEGCARKVKKILRGFDVRHEPTKTKKKWDAGVEDVVADSKAHKVIVKGKKAAADPMKVVHRVQKKTGRKVELLSPMPPLVEEKKEEEKKEEPEPPKPEEKKEPTVIAVVLKVHMHCDACAQVIRKKILKMKGVQSAEPDMKASQVTVKGVFEESKLTDYVHKRIGKNAAVVKSEPAPPPENAGDANAKDDKKAAEGGEEKDESKEEKKEGDDAGGDEKEKEKEKDDSNAAEVEEDKEKDPSALAAANLYMHYQRFSNPGGYGVPGYAYPYAPQLFSDENPNACVVMRSTL >ONIVA10G07210.1 pep chromosome:AWHD00000000:10:8193189:8193743:1 gene:ONIVA10G07210 transcript:ONIVA10G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNGDSNLSKQREIGVVIVGVERDELQLVFALKSCINIMVLTRGTPWMEKKLTKWRKNRVVLLLQPAASSTYFLPDLPHEPMHVQDIWMDGRLGYLRWDGSQVFGGDLMGRSELGAQLARKKRTDKGERKEEEDKRVSCLSYGPEVGARLGGGMGIGGEPKSVAGGTKSSWAHGTDKGRLET >ONIVA10G07200.1 pep chromosome:AWHD00000000:10:8187797:8215717:-1 gene:ONIVA10G07200 transcript:ONIVA10G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPLAAPICASQGAMGSLLGKMEELLVAPDGSRLPKGVKDRMLLLGGDLGVVAAYLADLSELEDPPPTAKRWMREVRELSYDIEDYIDEFCAAPRPGRRANTTARFVCRIGRVKVARLPKRLKRHQQMGKMVSQFRIYVEEAIERHGRYGLDCCDHRRRYVSFGPMLPSRPYGEEDAQLVIDGRVSEFIERLANDEDQKLKVVSVVGFSGIGKTTLAKLFYNRIGGQFDCRAFVRVSRKPDMKRIFREMFYQVQRKQPPDDYKELMLIDSIREYLQDKRYLIIIDDLWAASAWDLINQAFPEVIQSSRIVIITQVEDVALTCCCYDTEYIFEMKPMNDEHSTMLFLQRLFGSESDCPQQFKEISNKIVQICGGLPLATTNLASLLASHPVILMDLCLYIRDSLSSAFRTNSSIEGTRQVLNLSYNNLPHYLKTCLLYLNMYPEGYKICKDDVVEKWVAEGFIDQIEGRDLKKVAGSYFDELIDRRFLQPSRLNYNNEVSTCTIHDEVRDLIAYKSLEESFVLVLDCYRKDVELSDKVRRLSVHFGDTKYAKIPTNIRTSEVRSLTFFGLCKCMPSLTEFKLLRVLNLQLSGHVGDELLDLSGISELFQLRYLKIACNIRTELPSQMRGLKYLETLQMDTTLTAVPWDIIYLPCLLHLHLPFDTNMMDLIGRMTHPSTLLSLEPSSSNSLPSRGIISNLNNLRDIHLTFCTPPSKYIERNMEVLGSLLGRLGALEVNISWEGLAPPPLLQKFEWLLDNCIFSRVPRWIEKLGNLRILKIAVRELPKNSVDILKGLSALTALSLSMHAIPVERIIFDNVGFSILKYFKFNCSSVLWLKFEAGAMPNLRKLKLGFNVLREDLHGTTPVSIGYLPSVKEISVKIHGVGSDAESVLTYTVSNHPSNPRINEKLVDWTTYCEEGRHMVIKEKDHGFQEEQNEQEYLDKYKQADIRISTSANFLDIKQILEDAINRWLCPSEICEILKNYRSFFLATEPPNKPPSGSLFVFDRKILRYFRNDGHNWRKKKDEMTVKEDHERLKSGSIDVLECYYAHGEENENFQRRMYRMLEEDVKHIILVHYLEIKFSSATGDSSATENTFQQNDGSLAAAIGYPILKTASDILKDSFKEADSFTRWMGKELAEVDYSQIQSSCIEEADSTIEASSREPLDQFNVAPMDLQDHLFSIVSILPRWTYAGSMTKLLVLSYIPNKSRRDSGGHACLEKLKFQRRFQQMGLSNVILPHINLAESLSMLPVPTACSGVREFEFRPTDSQYMDAPRPLGGTNKVYFRMRLDNLLSLGPDEYRAAITNQSSTKMIDLSKKIISLLANNDEWSKVLKLVDDNELFTDNRQDQFAVHLIKRKLHIWLLHKVRAGGKELSVLDDEGLGVIHLAAALGFDWAIRATVAAGVNINFRDVHGWTALHWAAFCGRKRTVVALIALGAAPGALTDPHPNYPVESTPADLASANGHRGISGFLAESSLTSHLQSLNLKETNMSEISGLTGIGDITARCASQPAIGDSLGAVLNAARMYQIFSVQSFQRKQALQYEGLLSMKSSKPGQLDPLHVAASRIQNKYRGWKGRKEFLCIRQRIVKIQAHVRGHQVRKHYQKMIWPVGIWEKIILSGRPRPTGLRGFRPTEGTAKSSSHGTSSKGITDRPTGDDYDFLLEGRKQTEERPQKALARMKHMAQYPEARDHYRGMMTAVPEMQDSQIIKNEDLEELRELGSGTFGTVYHGKWKGSDVAIKRIKKSCFTGQSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPVSCDPEWRRLMEQCWAPDPSQRPAFTEIAGRLRAISPNMITPQRRPDLGLEEGPTWFVALGKKMRKRD >ONIVA10G07200.2 pep chromosome:AWHD00000000:10:8185099:8215717:-1 gene:ONIVA10G07200 transcript:ONIVA10G07200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPLAAPICASQGAMGSLLGKMEELLVAPDGSRLPKGVKDRMLLLGGDLGVVAAYLADLSELEDPPPTAKRWMREVRELSYDIEDYIDEFCAAPRPGRRANTTARFVCRIGRVKVARLPKRLKRHQQMGKMVSQFRIYVEEAIERHGRYGLDCCDHRRRYVSFGPMLPSRPYGEEDAQLVIDGRVSEFIERLANDEDQKLKVVSVVGFSGIGKTTLAKLFYNRIGGQFDCRAFVRVSRKPDMKRIFREMFYQVQRKQPPDDYKELMLIDSIREYLQDKRYLIIIDDLWAASAWDLINQAFPEVIQSSRIVIITQVEDVALTCCCYDTEYIFEMKPMNDEHSTMLFLQRLFGSESDCPQQFKEISNKIVQICGGLPLATTNLASLLASHPVILMDLCLYIRDSLSSAFRTNSSIEGTRQVLNLSYNNLPHYLKTCLLYLNMYPEGYKICKDDVVEKWVAEGFIDQIEGRDLKKVAGSYFDELIDRRFLQPSRLNYNNEVSTCTIHDEVRDLIAYKSLEESFVLVLDCYRKDVELSDKVRRLSVHFGDTKYAKIPTNIRTSEVRSLTFFGLCKCMPSLTEFKLLRVLNLQLSGHVGDELLDLSGISELFQLRYLKIACNIRTELPSQMRGLKYLETLQMDTTLTAVPWDIIYLPCLLHLHLPFDTNMMDLIGRMTHPSTLLSLEPSSSNSLPSRGIISNLNNLRDIHLTFCTPPSKYIERNMEVLGSLLGRLGALEVNISWEGLAPPPLLQKFEWLLDNCIFSRVPRWIEKLGNLRILKIAVRELPKNSVDILKGLSALTALSLSMHAIPVERIIFDNVGFSILKYFKFNCSSVLWLKFEAGAMPNLRKLKLGFNVLREDLHGTTPVSIGYLPSVKEISVKIHGVGSDAESVLTYTVSNHPSNPRINEKLVDWTTYCEEGRHMVIKEKDHGFQEEQNEQEYLDKYKQADIRISTSANFLDIKQILEDAINRWLCPSEICEILKNYRSFFLATEPPNKPPSGSLFVFDRKILRYFRNDGHNWRKKKDEMTVKEDHERLKSGSIDVLECYYAHGEENENFQRRMYRMLEDATGDSSATENTFQQNDGSLAAAIGYPILKTASDILKDSFKEADSFTRWMGKELAEVDYSQIQSSCIEEADSTIEASSREPLDQFNVAPMDLQDHLFSIVSILPRWTYAGSMTKLLVLSYIPNKSRRDSGGHACLEKLKFQRRFQQMGLSNVILPHINLAESLSMLPVPTACSGVREFEFRPTDSQYMDAPRPLGGTNKVYFRMRLDNLLSLGPDEYRAAITNQSSTKMIDLSKKIISLLANNDEWSKVLKLVDDNELFTDNRQDQFAVHLIKRKLHIWLLHKVRAGGKELSVLDDEGLGVIHLAAALGFDWAIRATVAAGVNINFRDVHGWTALHWAAFCGRKRTVVALIALGAAPGALTDPHPNYPVESTPADLASANGHRGISGFLAESSLTSHLQSLNLKETNMSEISGLTGIGDITARCASQPAIGDSLGAVLNAARMYQIFSVQSFQRKQALQYEGLLSMKSSKPGQLDPLHVAASRIQNKYRGWKGRKEFLCIRQRIVKIQAHVRGHQVRKHYQKMIWPVGIWEKIILSGRPRPTGLRGFRPTEGTAKSSSHGTSSKGITDRPTGDDYDFLLEGRKQTEERPQKALARMKHMAQYPEARDHYRGMMTAVPEMQDSQIIKNEDLEELRELGSGTFGTVYHGKWKGSDVAIKRIKKSCFTGQSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPVSCDPEWRRLMEQCWAPDPSQRPAFTEIAGRLHSENSEYVEQDSEVECEFTQQQGKRLCILNL >ONIVA10G07200.3 pep chromosome:AWHD00000000:10:8187797:8215717:-1 gene:ONIVA10G07200 transcript:ONIVA10G07200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPLAAPICASQGAMGSLLGKMEELLVAPDGSRLPKGVKDRMLLLGGDLGVVAAYLADLSELEDPPPTAKRWMREVRELSYDIEDYIDEFCAAPRPGRRANTTARFVCRIGRVKVARLPKRLKRHQQMGKMVSQFRIYVEEAIERHGRYGLDCCDHRRRYVSFGPMLPSRPYGEEDAQLVIDGRVSEFIERLANDEDQKLKVVSVVGFSGIGKTTLAKLFYNRIGGQFDCRAFVRVSRKPDMKRIFREMFYQVQRKQPPDDYKELMLIDSIREYLQDKRYLIIIDDLWAASAWDLINQAFPEVIQSSRIVIITQVEDVALTCCCYDTEYIFEMKPMNDEHSTMLFLQRLFGSESDCPQQFKEISNKIVQICGGLPLATTNLASLLASHPVILMDLCLYIRDSLSSAFRTNSSIEGTRQVLNLSYNNLPHYLKTCLLYLNMYPEGYKICKDDVVEKWVAEGFIDQIEGRDLKKVAGSYFDELIDRRFLQPSRLNYNNEVSTCTIHDEVRDLIAYKSLEESFVLVLDCYRKDVELSDKVRRLSVHFGDTKYAKIPTNIRTSEVRSLTFFGLCKCMPSLTEFKLLRVLNLQLSGHVGDELLDLSGISELFQLRYLKIACNIRTELPSQMRGLKYLETLQMDTTLTAVPWDIIYLPCLLHLHLPFDTNMMDLIGRMTHPSTLLSLEPSSSNSLPSRGIISNLNNLRDIHLTFCTPPSKYIERNMEVLGSLLGRLGALEVNISWEGLAPPPLLQKFEWLLDNCIFSRVPRWIEKLGNLRILKIAVRELPKNSVDILKGLSALTALSLSMHAIPVERIIFDNVGFSILKYFKFNCSSVLWLKFEAGAMPNLRKLKLGFNVLREDLHGTTPVSIGYLPSVKEISVKIHGVGSDAESVLTYTVSNHPSNPRINEKLVDWTTYCEEGRHMVIKEKDHGFQEEQNEQEYLDKYKQADIRYQADTGGCYKPMVVSQNDGHNWRKKKDEMTVKEDHERLKSGSIDVLECYYAHGEENENFQRRMYRMLEEDVKHIILVHYLEIKFSSATGDSSATENTFQQNDGSLAAAIGYPILKTASDILKDSFKEADSFTRWMGKELAEVDYSQIQSSCIEEADSTIEASSREPLDQFNVAPMDLQDHLFSIVSILPRWTYAGSMTKLLVLSYIPNKSRRDSGGHACLEKLKFQRRFQQMGLSNVILPHINLAESLSMLPVPTACSGVREFEFRPTDSQYMDAPRPLGGTNKVYFRMRLDNLLSLGPDEYRAAITNQSSTKMIDLSKKIISLLANNDEWSKVLKLVDDNELFTDNRQDQFAVHLIKRKLHIWLLHKVRAGGKELSVLDDEGLGVIHLAAALGFDWAIRATVAAGVNINFRDVHGWTALHWAAFCGRKRTVVALIALGAAPGALTDPHPNYPVESTPADLASANGHRGISGFLAESSLTSHLQSLNLKETNMSEISGLTGIGDITARCASQPAIGDSLGAVLNAARMYQIFSVQSFQRKQALQYEGLLSMKSSKPGQLDPLHVAASRIQNKYRGWKGRKEFLCIRQRIVKIQAHVRGHQVRKHYQKMIWPVGIWEKIILSGRPRPTGLRGFRPTEGTAKSSSHGTSSKGITDRPTGDDYDFLLEGRKQTEERPQKALARMKHMAQYPEARDHYRGMMTAVPEMQDSQIIKNEDLEELRELGSGTFGTVYHGKWKGSDVAIKRIKKSCFTGQSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPVSCDPEWRRLMEQCWAPDPSQRPAFTEIAGRLRAISPNMITPQRRPDLGLEEGPTWFVALGKKMRKRD >ONIVA10G07200.4 pep chromosome:AWHD00000000:10:8187797:8215717:-1 gene:ONIVA10G07200 transcript:ONIVA10G07200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPLAAPICASQGAMGSLLGKMEELLVAPDGSRLPKGVKDRMLLLGGDLGVVAAYLADLSELEDPPPTAKRWMREVRELSYDIEDYIDEFCAAPRPGRRANTTARFVCRIGRVKVARLPKRLKRHQQMGKMVSQFRIYVEEAIERHGRYGLDCCDHRRRYVSFGPMLPSRPYGEEDAQLVIDGRVSEFIERLANDEDQKLKVVSVVGFSGIGKTTLAKLFYNRIGGQFDCRAFVRVSRKPDMKRIFREMFYQVQRKQPPDDYKELMLIDSIREYLQDKRTNSSIEGTRQVLNLSYNNLPHYLKTCLLYLNMYPEGYKICKDDVVEKWVAEGFIDQIEGRDLKKVAGSYFDELIDRRFLQPSRLNYNNEVSTCTIHDEVRDLIAYKSLEESFVLVLDCYRKDVELSDKVRRLSVHFGDTKYAKIPTNIRTSEVRSLTFFGLCKCMPSLTEFKLLRVLNLQLSGHVGDELLDLSGISELFQLRYLKIACNIRTELPSQMRGLKYLETLQMDTTLTAVPWDIIYLPCLLHLHLPFDTNMMDLIGRMTHPSTLLSLEPSSSNSLPSRGIISNLNNLRDIHLTFCTPPSKYIERNMEVLGSLLGRLGALEVNISWEGLAPPPLLQKFEWLLDNCIFSRVPRWIEKLGNLRILKIAVRELPKNSVDILKGLSALTALSLSMHAIPVERIIFDNVGFSILKYFKFNCSSVLWLKFEAGAMPNLRKLKLGFNVLREDLHGTTPVSIGYLPSVKEISVKIHGVGSDAESVLTYTVSNHPSNPRINEKLVDWTTYCEEGRHMVIKEKDHGFQEEQNEQEYLDKYKQADIRYQADTGGCYKPMVVSQNDGHNWRKKKDEMTVKEDHERLKSGSIDVLECYYAHGEENENFQRRMYRMLEEDVKHIILVHYLEIKFSSATGDSSATENTFQQNDGSLAAAIGYPILKTASDILKDSFKEADSFTRWMGKELAEVDYSQIQSSCIEEADSTIEASSREPLDQFNVAPMDLQDHLFSIVSILPRWTYAGSMTKLLVLSYIPNKSRRDSGGHACLEKLKFQRRFQQMGLSNVILPHINLAESLSMLPVPTACSGVREFEFRPTDSQYMDAPRPLGGTNKVYFRMRLDNLLSLGPDEYRAAITNQSSTKMIDLSKKIISLLANNDEWSKVLKLVDDNELFTDNRQDQFAVHLIKRKLHIWLLHKVRAGGKELSVLDDEGLGVIHLAAALGFDWAIRATVAAGVNINFRDVHGWTALHWAAFCGRKRTVVALIALGAAPGALTDPHPNYPVESTPADLASANGHRGISGFLAESSLTSHLQSLNLKETNMSEISGLTGIGDITARCASQPAIGDSLGAVLNAARMYQIFSVQSFQRKQALQYEGLLSMKSSKPGQLDPLHVAASRIQNKYRGWKGRKEFLCIRQRIVKIQAHVRGHQVRKHYQKMIWPVGIWEKIILSGRPRPTGLRGFRPTEGTAKSSSHGTSSKGITDRPTGDDYDFLLEGRKQTEERPQKALARMKHMAQYPEARDHYRGMMTAVPEMQDSQIIKNEDLEELRELGSGTFGTVYHGKWKGSDVAIKRIKKSCFTGQSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPVSCDPEWRRLMEQCWAPDPSQRPAFTEIAGRLRAISPNMITPQRRPDLGLEEGPTWFVALGKKMRKRD >ONIVA10G07200.5 pep chromosome:AWHD00000000:10:8207484:8215717:-1 gene:ONIVA10G07200 transcript:ONIVA10G07200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPLAAPICASQGAMGSLLGKMEELLVAPDGSRLPKGVKDRMLLLGGDLGVVAAYLADLSELEDPPPTAKRWMREVRELSYDIEDYIDEFCAAPRPGRRANTTARFVCRIGRVKVARLPKRLKRHQQMGKMVSQFRIYVEEAIERHGRYGLDCCDHRRRYVSFGPMLPSRPYGEEDAQLVIDGRVSEFIERLANDEDQKLKVVSVVGFSGIGKTTLAKLFYNRIGGQFDCRAFVRVSRKPDMKRIFREMFYQVQRKQPPDDYKELMLIDSIREYLQDKRYLIIIDDLWAASAWDLINQAFPEVIQSSRIVIITQVEDVALTCCCYDTEYIFEMKPMNDEHSTMLFLQRLFGSESDCPQQFKEISNKIVQICGGLPLATTNLASLLASHPVILMDLCLYIRDSLSSAFRTNSSIEGTRQVLNLSYNNLPHYLKTCLLYLNMYPEGYKICKDDVVEKWVAEGFIDQIEGRDLKKVAGSYFDELIDRRFLQPSRLNYNNEVSTCTIHDEVRDLIAYKSLEESFVLVLDCYRKDVELSDKVRRLSVHFGDTKYAKIPTNIRTSEVRSLTFFGLCKCMPSLTEFKLLRVLNLQLSGHVGDELLDLSGISELFQLRYLKIACNIRTELPSQMRGLKYLETLQMDTTLTAVPWDIIYLPCLLHLHLPFDTNMMDLIGRMTHPSTLLSLEPSSSNSLPSRGIISNLNNLRDIHLTFCTPPSKYIERNMEVLGSLLGRLGALEVNISWEGLAPPPLLQKFEWLLDNCIFSRVPRWIEKLGNLRILKIAVRELPKNSVDILKGLSALTALSLSMHAIPVERIIFDNVGFSILKYFKFNCSSVLWLKFEAGAMPNLRKLKLGFNVLREDLHGTTPVSIGYLPSVKEISVKIHGVGSDAESVLTYTVSNHPSNPRINEKLVDWTTYCEEGRHMVIKEKDHGFQEEQNEQEYLDKYKQADIRISTSANFLDIKQILEDAINRWLCPSEICEILKNYRSFFLATEPPNKPPSGSLFVFDRKILRYFRNDGHNWRKKKDEMTVKEDHERLKSGSIDVLECYYAHGEENENFQRRMYRMLEEDVKHIILVHYLEIKVLVVPILRPLAKQNSKAH >ONIVA10G07190.1 pep chromosome:AWHD00000000:10:8174020:8184703:1 gene:ONIVA10G07190 transcript:ONIVA10G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIRVDHDQFVSLNDDEELVAVATSPNQAGNFQIISNDVDKSQIQIKAPNGKLLQDHWNSFITEDDFRFISSNGLNAVRIPVAWWITKDSGPPPCHPPNYPGYQAVLDRAFAWADKYNLHVIVDLHAAPGSQNGFAHGGSRDGYVAWGDATSIAMTVQVIEALADRYASWKNLLAIELLNEPLAPKVTLDTLKKYYTAGYTAVKEKVQRSDVYVIMSNRLNTQDPTELVEFTSTFDNCVIDVHYYNLYNLNFPSVKENIDFVKTNRSSQFNSLMRANG >ONIVA10G07180.1 pep chromosome:AWHD00000000:10:8153476:8157885:-1 gene:ONIVA10G07180 transcript:ONIVA10G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLAAGLLDLRPCKLAPPPPPPLPVSPSPRHHRRPHSTATACRAAPDLHSSTELADGSIVFRFARPRDDDDEEQQQQRRADAVAPEAAAVVESGLDGDAAAAAEPEARDGGGEGEVTATATGLDAEEAVASGGAEATATSGLEDVGEEASDGSTARDSDTDVDTESSASTAADDDQPAEFAVPPPPAEEVCNKVDWEKDTSEVKNTDRMVPVASSTLVLASGAAILPHPSKLIIASTFYILFFGTPFDNTITAATGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDGCKKFIMENQGAADIKPEQVLSKAADEAHSPGSSTVLVAHFDGQFLNASNIGDSGFLVIRNGEVYQKSKPMVYGFNFPLQIEKGDNPLKLVQNYTIELEDGDVIVTASDGLFDNVYEQEVATMVSKSLQADLKPTEIAEHLAAKAQEVGRSAAGSTPFSDAALAVGYLGFSGGKLDDIAVVVSIVRKSEI >ONIVA10G07170.1 pep chromosome:AWHD00000000:10:8147818:8153836:1 gene:ONIVA10G07170 transcript:ONIVA10G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEGFRVESPRVRYGDGEIESEYRYDTTEVVAPPSPEKGWVVRPKSVTYHFKTTTTVPKLGVMLVGWGGNNGTTLTAGVIANREGISWATKEKVHKANYFGSLTQSSTIRVGSYNGEEIYAPFKSLVPMVNPNDIVFGGWDISSMNLADAMTRARVLDIDLQKQLRHHMESMVPLPGVYNPDFIAANQGSRANNVIKGTKKEQVEQVKKDIREFKEKSKVDKVVVLWTANTERYSNVVAGMNDTMDNLLASLDKDEPEMSPSTLYAIACVMEGVPFINGSPQNTFVPGLIELAIKKNSVIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQTFRSKEISKSGVVDDMVSSNAILYEPGEHPDHVIVIKYIPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEDQVPPGTPVVNALAKQRAMLENILRACVGLAPENNMMLEYK >ONIVA10G07160.1 pep chromosome:AWHD00000000:10:8147136:8147366:1 gene:ONIVA10G07160 transcript:ONIVA10G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAGLVLHPRRSLPLSAPRGRRIWGQRAHCRRIWWCRLLQPWKKERQSLIASRLPVALLFAAPHGRHAYAVRRS >ONIVA10G07150.1 pep chromosome:AWHD00000000:10:8130656:8134951:-1 gene:ONIVA10G07150 transcript:ONIVA10G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRINSLLSTIPQDFTSMSARASNHAYICSDDSQMPYYNNSVPSGGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYRLEERDGVLYLGWKNRLRKCEICNMIV >ONIVA10G07150.2 pep chromosome:AWHD00000000:10:8131587:8134951:-1 gene:ONIVA10G07150 transcript:ONIVA10G07150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRINSLLSTIPQDFTRGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELGQMVSVSGDPLQRLGAYMLEGLVARLSSSGSKIYKSLKCKVPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWMTLIQALAARPGGPPFLRITGIDDSNSAYARGGGLDVVGMRLYKVAQSFGLPFEFNAVPAASHEVYLEHLDIRVGEVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >ONIVA10G07150.3 pep chromosome:AWHD00000000:10:8131587:8134951:-1 gene:ONIVA10G07150 transcript:ONIVA10G07150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRINSLLSTIPQDFTSMSARASNHAYICSDDSQMPYYNNSVPSGGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELGQMVSVSGDPLQRLGAYMLEGLVARLSSSGSKIYKSLKCKVPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWMTLIQALAARPGGPPFLRITGIDDSNSAYARGGGLDVVGMRLYKVAQSFGLPFEFNAVPAASHEVYLEHLDIRVGEVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >ONIVA10G07140.1 pep chromosome:AWHD00000000:10:8122665:8125526:-1 gene:ONIVA10G07140 transcript:ONIVA10G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0IR84] MGLLFVELLPRHGDGGGPASAVLKCRRCRVDAASADAILSRDFRGRFGRAYLFDHVVNISLGPNEDRYLMTGLHTVKDIYCSCCQQILGWRYEKAYEESEKYKEGKFILEKARMWKEAR >ONIVA10G07130.1 pep chromosome:AWHD00000000:10:8114135:8115010:1 gene:ONIVA10G07130 transcript:ONIVA10G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATVAVRSVGSSRPSSSPSPPPPTSPEPAAAAVARAVYRRRRRAPRSRSSTPSRRPAATTSRGE >ONIVA10G07120.1 pep chromosome:AWHD00000000:10:8106242:8110794:-1 gene:ONIVA10G07120 transcript:ONIVA10G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSFSQDSPPISIISAAKVAGVSLSIDPSLAAGSAPVLCFSSGESLRGINPILEYIAQSSPSLHGRDAIESGHVVEWLEYAPTFLLGSEFEVACSFVDGYLMSRTFLVGHVLTIADITVWSNLAGIGQRWESLRKSKKYQNLVRWFNGIDSDYKDKLNEVIAAYVGKRGIGKSPAPNLKEKVNDSKDPSAPEVDLPGAKFGEVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYDAVTYTSDYFPKLMEMAEKLIKQGKAYVDDTPKEQMRSERMDGVESKCRNNTVEENLSLWKEMINGSERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRIGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGMRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFRLTNGPEKSFVRILPRHKKFDGAGKKATTFTNRIWLDYADASAISKGEEVTLMDWGNAIIKEIKMENGVITELIGELHLEGSVKTTKLKVTWLPDIDDLVPLSLVEFDYLISKKKLEEDENFLDNLNPCTRKETLALGDANMRNLLRGEVIQLERKGYYRCDAPFVRSSKPVVLFAIPDGRQQARY >ONIVA10G07110.1 pep chromosome:AWHD00000000:10:8098502:8102489:-1 gene:ONIVA10G07110 transcript:ONIVA10G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G64320) TAIR;Acc:AT5G64320] MAEHHPPRLPPSAAGAAATSWPELLTPFDLSRLRATLASRPLTPRRLGRLLALPLSPATSLLLLTWYASSHPALSSLPLRPLLAGGDPDRALSLLDSLPPGFLPLRESLLLPLLRSLPPGRALHLLDQLPRRFGVQPSFRSYNVVLSVLARADCHADALALYRRMVHRDRVPPTTFTFGVAARALCRLGRADEALALLRGMARHGCVPDAVLYQTVIHALCDQGGVTEAATLLNEMLLMGCAADVNTFDDVVRGMCGLGRVREAARLVDRMMTKGCMPGVMTYGFLLQGLCRVRQADEARAMLGRVPELNVVLFNMVIGGCLAEGKLAEATELYETMGLKGCQPDAHTYSILMHGLCKLGRIGSAVRLLREMEKKGFAPNVVTYTIVLHSFCKNGMWDDTRALLEEMSAKGLTLNSQGYNGMIYALCKDGRMDEAMGLIQEMRSQGCNPDICSYNTIIYHLCNNEQMEEAEHMFENLLEEGVVANGITYNTIIHALLRDGRWQDAVRLAKEMILHGCSLDVVSYNGLIKAMCKDGNVDRSLVLLEEMAEKGIKPNNVSYNILISELCKERRVRDALELSKQMLNQGLAPDIVTYNTLINGLCKMGWMHAALNFLEKLHNENVHPDIITYNILISWHCKVRLLDDAAMLLNRAMAAVCPVGDRRIMQILPDKNFKLYLHTKGDDFQHSLGCVKPSCPAGKDRCERKTGRCWRAARRRPGQGGEQGNVGTLEDENASHQNYSLSRELYCIVLVARYQFIRAKDCDNLMTAYTCGCELSNKCAYP >ONIVA10G07100.1 pep chromosome:AWHD00000000:10:8094411:8094872:1 gene:ONIVA10G07100 transcript:ONIVA10G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEVLKVADSYLDRRRRDGPRGGSATTDVDVRQLQPTATRQPTWRRQRLGRRWQPRRLPRRPPRIWSSGTTSSGSSSAGAASTAISSGAASLGLSLAGAVASAVEVLEAAASSGPKRRRWRPRHSLRRPLCPRLPSAPTDGDAAAHMGNK >ONIVA10G07090.1 pep chromosome:AWHD00000000:10:8085833:8091154:-1 gene:ONIVA10G07090 transcript:ONIVA10G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVAIALVLLVAQYASSLAAAAAGGPRVIIVGAGMSGISAGKRLSDAGISDFVILEATDRIGGRIHKTNFAGVDVEMRANWVEGVTGKEMNPIWTIVNDELKLRTFNSDYDHLANNTYKQNGGLYEEAFVQKIIDRADEVEESGGKLSASLHASGSEDIMPWGPSAAVDMVIDYCKYDYEFAEPPRVVRDITYLPRGVTVKTEDGQIYRADYVMLSVSLGVLQTDLIRFKPQLPAWKIVSIYQFDMSVYTKIFLKFPKRFWPEGPGTEFFLYASGRRGYYPVWQQFEKQYPGSNVLLVTVTDEESRRIEQQSDNQTRAEAVEVLRKMFPGKQVPDATDILVPRWWSNRFFKGTFSNWPIGVNRYEYDQIRAPVGRVYFTGEHTSEHYNGYVHGAYLAGIDSADILIKCAQKKMCKYIVQGKYK >ONIVA10G07080.1 pep chromosome:AWHD00000000:10:8077954:8080264:-1 gene:ONIVA10G07080 transcript:ONIVA10G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVSILCLKFTIPFLLHIMCLIS >ONIVA10G07070.1 pep chromosome:AWHD00000000:10:8055376:8064368:-1 gene:ONIVA10G07070 transcript:ONIVA10G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHAGITDLLILEATDRIGGRIRKTEFAGMNVEMGANWVEGVNMDPADKILKEVNPIWSMVHHKLKLNTSLSNYSHLSSNTYMEDYLYLGKKRGFESVVHYIASKYLKTDARTGAVVDPRLMLNKQWKNMAMYQFDMAVYTKIFLKFPRKFWPDGPGTEFFLYASSRRGYFPVWQHLENEYPGTNILLVTVTDDESRRIEQQPDEKTKAEAREVLRKMFPSEDVPEATDILVPRWWSDRFFRGSFSNWPIGVDRYEYDLIRAPVGRVYFTGEHTSQHYNGYVHGAYLAGQ >ONIVA10G07060.1 pep chromosome:AWHD00000000:10:8026525:8026920:-1 gene:ONIVA10G07060 transcript:ONIVA10G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGERRFFLAECGSRWDPEESRDGGAKERVDSLLSLQISSRIVATTNIQSIDNVCSTADGYVYKIGKLNTEYSKDLFFQSASVEDCSLDMHRGSE >ONIVA10G07050.1 pep chromosome:AWHD00000000:10:8025345:8026439:-1 gene:ONIVA10G07050 transcript:ONIVA10G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTCLCGDAATACLLVYLGMFPGLVKKLPYPWFSDSVVKNFKMFMNRDIFQPINVSNNEGVKTCQLPGMLLEFIMHRGFITIFCDKDEMLLLPDQDDPVVSLSNVIAPLLQISSTNCCESWIWKNVTALWMAISTALESIGGAVTTLPKRIAGLKLLETLDFRRNKVEVVIISVEPGKSNLQILAGFIARSNHGSVQLMCHMKNLRKVNIFCKSVTKALCLVHIKIRSFVEIGTM >ONIVA10G07040.1 pep chromosome:AWHD00000000:10:8012618:8014969:-1 gene:ONIVA10G07040 transcript:ONIVA10G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVVMVNKQGQTRVAQYYEHLSVDERRALEGEIVRKCLARTDHQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPINLMEKT >ONIVA10G07030.1 pep chromosome:AWHD00000000:10:8008296:8010958:1 gene:ONIVA10G07030 transcript:ONIVA10G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRLSTVPSWLPIGSNSLTGSNQPEPSNMIIALPPLGEQTPPPAPTKAKRKTKGKPLSDAAPDSQAMGTRSKKKSTAMSTRSKKKLMD >ONIVA10G07020.1 pep chromosome:AWHD00000000:10:8003293:8003700:1 gene:ONIVA10G07020 transcript:ONIVA10G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYMHAVQFVAPWTPMFKGKTEGIKQILVAVETLEGALKGCSKGKPFFGGDTVGLVDITLGAHILGVRATEVLTGAKIFDAAMTPFLASWAERFGELDAAKKVLPDVNGMVEYIKRRQAQWAAAGAAAAAASKG >ONIVA10G07010.1 pep chromosome:AWHD00000000:10:7986751:7994310:-1 gene:ONIVA10G07010 transcript:ONIVA10G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADCYSILCDDRTVFVFRDAYHNSNTANQVIADRLYANMVSAGAVQGSGNATTRLHPRASRPI >ONIVA10G07000.1 pep chromosome:AWHD00000000:10:7979125:7982308:1 gene:ONIVA10G07000 transcript:ONIVA10G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITRRLSQYLDQNPAAMAEAPPEGPGSGFLVVVDEAAADRSAPPCAAAAACARASRGTTPSPSRRAGSWRSRTGPPLMHPAETGAEVVTGIVGQLLGVDSGGGPGMRTTTETDNVVFIPVVGLPLSSRRYYVVRTDGKHVGDKTTCCFCTCVNDVPPRPFKHDDLYLQVEVQPLPRTRRFSAGAVAADGIPPEYLRRKGWKVSTESSKYDLADDANGVDVPLRRRTPDLGCGGGSTSPSPVVVVGRWYVPFIFVRVDDDERRRVRKDLVRRCMFYRMTLEQSWKQIYSCTRETPATTAPEQVTVMATVRRSEPRLGGTYLPQEEEVGADGVKWFRPAAATTAAVGRRALGLNVVVCERMRWELERGGWVAGDEDEERIERVERCDVEGLQGRQWDKFECFMLVETFVLTRMDGSVALSYQFSHTDKIRTRWE >ONIVA10G06990.1 pep chromosome:AWHD00000000:10:7962861:7973140:1 gene:ONIVA10G06990 transcript:ONIVA10G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHIHHHLTRFTHRTTHQPRCPGSGLGLPGTSWKGREVALAPWPKEKGGRKQKREEEEEEKKKKKKKKKKKKKRSGKGRERKEKGIRENKRVGPDQPVPDAGGGGDKSVLKRCIIGFYTRAIERLPVEEKPALLAAILDGGLCFGPLDPISNIVANAIWHLSTGEDSSEHVEEEVEMRQCLKTMARGSLKALVGFMTSYFRYLPTMEAMHFLRAAEGDLLAAVHLVEAERCTSAFDIGSCTTKTALRCAAGASGHPDPDRLATAMLSLSSKAHKIAHLLSRKGRLTCSDVDHLSYLLLEEGTNDQICTQQLMVLVPPRLPPELADAAATFSPLAPQGVTVKDERTLERCTKSLQCVLVDKIHGFYLEALALLPQHLLCDRYHRSVVMAGHCYGPLDPVSNIILNTIWYDAAFPVPKEQHLDLDMIGRWALVRAERYSVAGLVAGLIAFAGDYNLSELQAIRCLLYANGDFATAMSVLQQALLNQERTMLSDSELCRFMDVMAKRLQLYSVMAIAAQHPSADGLQEFLISERTRAMLPMEYRRFSREDVHSVIESLLHEPPPSLGMPPELVRLSLAAERTIDQFPDATKRFWADMSSFHSKAKAALESYVLENGGPQYVIHVICGANESVADRNGPELSRINWPRSHNKFHYSHINFLASPTGPSAVGVLPTLFFAECVNHNEESDRARKNNCYPVVVPPTNVEKVRCFYCEYKGVSIIHPADGKYHGCDIDFEKMARREHILTNSIESVFNNGLLVSNFRGAVQEDFFYFDHARDHARGPSSCSDG >ONIVA10G06980.1 pep chromosome:AWHD00000000:10:7962164:7962448:1 gene:ONIVA10G06980 transcript:ONIVA10G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRLELYSVMAIAAQHPSADGLLDFLVSERARAMLPRESRRFSREDVRSVIESLLQEPPPSLGMPSELVRLGLVANAPLTSSLVLPSASGLT >ONIVA10G06970.1 pep chromosome:AWHD00000000:10:7959856:7962155:1 gene:ONIVA10G06970 transcript:ONIVA10G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPIIGHSPEANTSSPTSSPLPLPPITIHAPPFTRALHHAASSFPLLSPHRSHRRKGAAVARIGIEPAMGRRQQPEEPDSSNPALQLSASPVDEKPELLPAVLDGGFCFGPLDPVSNIITNAIRHLTTGEDSGADVDEIEMRRGLKTKARGSLKALVGFMTSYFRYLPTLEALNFLCAAEGDLLAAVHLVEAERCMSCTFDIGSCTARTALRRRASPPLAPRKESNDQICTQRQILVLVPPRLPPELAAFSPLASLGVTVKDERTLERCTKSLQSVLVDKIHGFYLEALALLPQHLLRGRYHRSVVMAGHCYGPLDPASNIILNTIWYDAAFPVPKEQHLDLDMIGRWALIRAECCSIAGLVAGLAGDYNLSELQAIRCLLYANGDFATAMSVLQQALLNQELECCLTPSCAA >ONIVA10G06960.1 pep chromosome:AWHD00000000:10:7953387:7954133:1 gene:ONIVA10G06960 transcript:ONIVA10G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGGSPDHELKLLGSTNPSPFVTRVELALALRGLTYDLVAVDLDRKTDLLLAANPVHAKVPVLIHRGRPVCESRVILEYIDDAFPFPGGGGAPLLPPADDPLARAAARFWAAHVDDEFVASWRPAYLGSTEGERAEGMARMAAAVGALEGALAAAEGKPFFGGDAPGLVDVTLGSVIPRTRANEALTGTRVLDAARTPLLAAWAERFGELDAARKVLPAVGDVVEYLETRLRRSNVVIARKQ >ONIVA10G06950.1 pep chromosome:AWHD00000000:10:7947707:7951324:-1 gene:ONIVA10G06950 transcript:ONIVA10G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMFCLVSWFKETYSNPTSSDGVIRGDIQGAAAPPVPVAAMAVRLKGLIWCTLTPKISMGTWLNMKVMPISVREFLLKRCARLVSTQVNNTTAIFSNISFSLSSGISESMTGHHAIRALLAWLCSAHHCHALHFLLSANPFWICLSSNPERTIPFS >ONIVA10G06950.2 pep chromosome:AWHD00000000:10:7947707:7950547:-1 gene:ONIVA10G06950 transcript:ONIVA10G06950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCPVPLSKAPSGCYGCEIEGFNLVHPDTEDFYGHLAQHEGCARLVSTQVNNTTAIFSNISFSLSSGISESMTGHHAIRALLAWLCSAHHCHALHFLLSANPFWICLSSNPERTIPFS >ONIVA10G06950.3 pep chromosome:AWHD00000000:10:7950589:7951324:-1 gene:ONIVA10G06950 transcript:ONIVA10G06950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMFCLVSWFKETYSNPTSRALLLRRCRSLDALVAFLRAYYAPSYLSAEDAMRMIDEHAGIPLCS >ONIVA10G06940.1 pep chromosome:AWHD00000000:10:7945645:7946311:1 gene:ONIVA10G06940 transcript:ONIVA10G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGFSYAQIHVQQDRCRRTNEEKMKKMMAEEEEKSKKGMCEGEEEEKNKFMAADEKSCHSWTSERVHPCSSPASKIGRLIGSKDKNEGQQLERRMMHNRPDHVSGTPPSVSKVLWVEDHRMPSQAH >ONIVA10G06930.1 pep chromosome:AWHD00000000:10:7938497:7943571:1 gene:ONIVA10G06930 transcript:ONIVA10G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGRGRGREWEDDDLGVGGGQQPPHLAAPVVCLARSAGDLAAGAFVGSLVGYGQGLLTNKGMKGSLSSAGSSAKTFAALSGVQSFILCLLRRLRGKDDMINAGVAGCCTGLALSFPGVPQAMFHSCVTFAAFSCIMDGLNKQQAAMAATLASKPSTIKHQEGDVLPPFTLPPLLNASDALASCCQAFLKPKD >ONIVA10G06920.1 pep chromosome:AWHD00000000:10:7926380:7926610:-1 gene:ONIVA10G06920 transcript:ONIVA10G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGLPPSSLAGAGFRPAPSAGAASSGGDRRRRLSPLPACGRAARSGLALGAEVDLGDRATAASSGPSARRRQRS >ONIVA10G06910.1 pep chromosome:AWHD00000000:10:7925516:7936951:1 gene:ONIVA10G06910 transcript:ONIVA10G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSSSACTMKGLMAALAWCFQSSRQSIAGLYLIVWHVAPTLSRLLPHARRERQRRDCGPPGGRERRRRYARREGAATAERTKGVGRAAAGSHGEQERLRLARLARIFGVVCLRQAAAAMEMGFSAWTGAMGSLLRKLDSPPQAGDGGHMKAAYDGIHSFRDDLEESHGFLRELSELEETTTMADKCWMKEVRELSYDVEDFLDEIALAADAVTLIAGKVSELRERAQEASQRRRRTRYGLCHPTSRRSSRRRCSSSSTRRPAPRFGADVDVAAADEIARWATNVGGGEERGLKVAGVVGAGGTGKTALAGEVYRRLGGQFERRAFVRAAEKTDLRRLLRGMLSQLLGRHHKHLQDHACVDTPDLVEYIRESLYHRRYLIIIDDLWATSLWNYLRRAFPEDNNCSRIIITSQVEEIASSCCNYHSGSIFKMRHLTTDNSRKLFSRGVFGSEDGFPGHFREISVDIINRCGGLPLAIVNAASLLASQLAVMDEQEHWNIYNSLASNLRRNSTTEVTGQILNMSYNNLPHYLKTCLLYLNIYPADYTILKDDLVKQWVAEGFVDVIKDQDLEEIAGNYFDELVERRMVRPVDVYYDNKVISCTVHSMVHDFIAHRSIEDNFIIVLDNSQNSIEISDKVRRLSLHFGNARYAKTPENISFSQVRTLGFFGATRCLPSVSEFKILRVLILYALGDKRGPPVVDLHIIGELHKLRYLKVSCDSRIKLPTRISRLQCLETLEIEAKVTALPSDIVHLPRLLHLRLPDETNLPDGFGKYMTTLVTLVAYLDLSGSSIENVKSLVDLINLQDLHLACCNFQSVQLLWETMNIFGSVIESLVNLKSLALAAGFLNGTSSTAHFSSATTRMSWQMCTPPRLLQRIDLSPHVCTFSTLPNWIRELGNLCILKIAVRKLGDGDMDVLGGLSALTVLSLHVSDSPEERIIFREAGFPVLSYLKLRCSVANLAFEERAMPRLRRLVLGFRAAGIAEQQHGRTPVGMEHLLSLEVVTARIDGSCANEADRMAAESALRHVVKARNLFGGIAPVVNIRWVDRQITSTEESKTVGEDQPADNGYPTAKNEVSGRRRQNLDQKLDCLGELLIMGEAAAARSSPNRPKCVFW >ONIVA10G06910.2 pep chromosome:AWHD00000000:10:7925516:7936914:1 gene:ONIVA10G06910 transcript:ONIVA10G06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSSSACTMKGLMAALAWCFQSSRQSIAGLYLIVWHVAPTLSRLLPHARRERQRRDCGPPGGRERRRRYARREGAATAERTKGVGRAAAGSHGEQERLRLARLARIFGVVCLRQAAAAMEMGFSAWTGAMGSLLRKLDSPPQAGDGGHMKAAYDGIHSFRDDLEESHGFLRELSELEETTTMADKCWMKEVRELSYDVEDFLDEIALAADAVTLIAGKVSELRERAQEASQRRRRTRYGLCHPTSRRSSRRRCSSSSTRRPAPRFGADVDVAAADEIARWATNVGGGEERGLKVAGVVGAGGTGKTALAGEVYRRLGGQFERRAFVRAAEKTDLRRLLRGMLSQLLGRHHKHLQDHACVDTPDLVEYIRESLYHRRYLIIIDDLWATSLWNYLRRAFPEDNNCSRIIITSQVEEIASSCCNYHSGSIFKMRHLTTDNSRKLFSRGVFGSEDGFPGHFREISVDIINRCGGLPLAIVNAASLLASQLAVMDEQEHWNIYNSLASNLRRNSTTEVTGQILNMSYNNLPHYLKTCLLYLNIYPADYTILKDDLVKQWVAEGFVDVIKDQDLEEIAGNYFDELVERRMVRPVDVYYDNKVISCTVHSMVHDFIAHRSIEDNFIIVLDNSQNSIEISDKVRRLSLHFGNARYAKTPENISFSQVRTLGFFGATRCLPSVSEFKILRVLILYALGDKRGPPVVDLHIIGELHKLRYLKVSCDSRIKLPTRISRLQCLETLEIEAKVTALPSDIVHLPRLLHLRLPDETNLPDGFGKYMTTLVTLVAYLDLSGSSIENVKSLVDLINLQDLHLACCNFQSVQLLWETMNIFGSVIESLVNLKSLALAAGFLNGTSSTAHFSSATTRMSWQMCTPPRLLQRIDLSPHVCTFSTLPNWIRELGNLCILKIAVRKLGDGDMDVLGGLSALTVLSLHVSDSPEERIIFREAGFPVLSYLKLRCSVANLAFEERAMPRLRRLVLGFRAAGIAEQQHGRTPVGMEHLLSLEVVTARIDGSCANEADRMAAESALRHVVKARNLFGGIAPVVNIRWVDRQITSTEESKTVGEDQPADNGYPTAKNEVSGRSFSEFRPKARLFRGAFDYGRSCSS >ONIVA10G06900.1 pep chromosome:AWHD00000000:10:7910860:7912331:-1 gene:ONIVA10G06900 transcript:ONIVA10G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLVLNLRSATSLSGSGGLIAVHYTFLALNLVLGARVRVFAGKSQVLMASIIHGAFGYGTGKEI >ONIVA10G06900.2 pep chromosome:AWHD00000000:10:7910834:7913065:-1 gene:ONIVA10G06900 transcript:ONIVA10G06900.2 gene_biotype:protein_coding transcript_biotype:protein_coding QLLQQQQQAAAARSPAPVAHHHSLFLAGVTGAASRRVGRPRPPYVFLSAAAAAAAAAAWTRRRGSGGPLSGEFGVGVSGARGKRNLTLLELRYV >ONIVA10G06890.1 pep chromosome:AWHD00000000:10:7900667:7910788:-1 gene:ONIVA10G06890 transcript:ONIVA10G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVSAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKIVVAMGTSRSSILGELDVNLAEFAEALKPVSIALPLRGCEFGTILHLPSDPISIIPLGFHLGKEKNFKFKRTKIPLRTQPYPFALNPISLGAGEQRAAAAAAPHGRREAQELAAAGREGRRAARVEDGAGARQQVFGCCFVFAVAAALRFSLASLCSCLAGGRRSRSGGLHAVGAGGIKEFEQQRETGAKSTQQLVNQRSHDPSEIGVASSDIYSHKANARIKLKETSSGFPLAEDSAGSTEDYENSSHNSDGLFAEKIDSYGGHEVSSFRATMSGDLSLSSQSPTPEKGSLRSKHLSPQGSNEWTYGWSPELSTGHDLAAAHEENNQLRTRLEVAESAFSHLKSEATSLQDFTDKLGTETQGLAQQLGVELMSRNQLSAEVSSLRTECSNLKRELQEMKSAKLLQQKANGEDIMMAAGQGNISSKFGNDILADTSVHDLQTEWLQGLLLLESKLQQTRNNALHGLQAADLDFLLADLGALQRVIENLKQGVQNGQMKENNYLEHLVPPTNAAHQPSLGRDHDSNKKTSGSAGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEERQKQTEIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTTLEAQNKEFERRAVATETALKRVRWNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSIVEDFESSPEEQSAVADLGANKERSLYMSDHESQAFSAENGRPDNLTYKMDGQKSLLRALKMEEIRNRSEFQVRSNTNLQVDYSKLDKLEQTPSTTESEVLETYMANIEWQVFSDVLREAHCTALGTIKLMQERLHMLEIQLRDSNDARDSLVLKLNAALDQAKSVKETEAEYILKCDDFMVKNKILEAKLQDMSAENALLMEKLTESERYVQEHESCESKYKACAEDRKRFEDLLMKESLQTSHLKDELRSVVENFEAMKDELHKQSTLNTDMQTVSALLQEQMNNVCNGIISSSKDIGISGLDEASLLHELQRRNYIAVMASLEFFQKQSCQEVVRLRQEKEAAEEMCEALQSRQDKSELELLDMKQKYQLDFDAMKEKLNFSEEHMEKLEKELQDMTHKFKISSEAQEKYSIINADLTSRLAEMEGQLQHITSENEALVEKLKDIAAIVEEHERTKVTLAESEEENKTLTLSLQSKDEAMMQMENEIRSLQDELRSSDDNLLREKRLMEELQSTLASLTSQLGHKDQALLSFDEHKTELNRLRDQVLDMERANSLMQDALSQSEQIQMDLNCKNISLQSQLSNVEDRLATVMKDTVATETEASYMRNLVEELTGQLDSLRNDHEKLQLKNKDADDLLRVHMSTEAELADRVAALEAAIHSLEIDLARVNEEKEELEELIKSNEEQFVQVGTDKSRDIVESIDSSERVLKYQDDILQLKVLLTNLEEQVDDLRSTKDEVEILNMVLKSKLEEQRTEILSLLQNSGHELANFKEQNKDLTQKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYETKVQELKGQVFVSKKYAEEMLLKLQSALDEVETGRKNEIALAKRIEELSMRISEMELEMQDASVDKRDLSNAYDSIVTELECTKLNFDCCMEEKQKIEDTLQECTEERNRIRVELDLVKKLLENMALTDNPTVPDNSGSCTSGATSIGQILGDAKPGSASSKTTKNTPEVDSGLQQDEDRIQSTNASSTLAAGEDVRRFSEQGEHARSVPSKNLEECEPSLENHSTGKTSIEDISMEHRKLAVDLNHFHQELERLKNENLSPLLPLDINLIDPSLSGLERALSQLDMANEHLRSIFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVESELKDMQGRYSELSVQFAEVEGERQKLEMNLKNRSPMRS >ONIVA10G06890.2 pep chromosome:AWHD00000000:10:7900667:7910788:-1 gene:ONIVA10G06890 transcript:ONIVA10G06890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVSAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKIVVAMGTSRSSILGELDVNLAEFAEALKPVSIALPLRGCEFGTILHQRETGAKSTQQLVNQRSHDPSEIGVASSDIYSHKANARIKLKETSSGFPLAEDSAGSTEDYENSSHNSDGLFAEKIDSYGGHEVSSFRATMSGDLSLSSQSPTPEKGSLRSKHLSPQGSNEWTYGWSPELSTGHDLAAAHEENNQLRTRLEVAESAFSHLKSEATSLQDFTDKLGTETQGLAQQLGVELMSRNQLSAEVSSLRTECSNLKRELQEMKSAKLLQQKANGEDIMMAAGQGNISSKFGNDILADTSVHDLQTEWLQGLLLLESKLQQTRNNALHGLQAADLDFLLADLGALQRVIENLKQGVQNGQMKENNYLEHLVPPTNAAHQPSLGRDHDSNKKTSGSAGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEERQKQTEIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTTLEAQNKEFERRAVATETALKRVRWNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSIVEDFESSPEEQSAVADLGANKERSLYMSDHESQAFSAENGRPDNLTYKMDGQKSLLRALKMEEIRNRSEFQVRSNTNLQVDYSKLDKLEQTPSTTESEVLETYMANIEWQVFSDVLREAHCTALGTIKLMQERLHMLEIQLRDSNDARDSLVLKLNAALDQAKSVKETEAEYILKCDDFMVKNKILEAKLQDMSAENALLMEKLTESERYVQEHESCESKYKACAEDRKRFEDLLMKESLQTSHLKDELRSVVENFEAMKDELHKQSTLNTDMQTVSALLQEQMNNVCNGIISSSKDIGISGLDEASLLHELQRRNYIAVMASLEFFQKQSCQEVVRLRQEKEAAEEMCEALQSRQDKSELELLDMKQKYQLDFDAMKEKLNFSEEHMEKLEKELQDMTHKFKISSEAQEKYSIINADLTSRLAEMEGQLQHITSENEALVEKLKDIAAIVEEHERTKVTLAESEEENKTLTLSLQSKDEAMMQMENEIRSLQDELRSSDDNLLREKRLMEELQSTLASLTSQLGHKDQALLSFDEHKTELNRLRDQVLDMERANSLMQDALSQSEQIQMDLNCKNISLQSQLSNVEDRLATVMKDTVATETEASYMRNLVEELTGQLDSLRNDHEKLQLKNKDADDLLRVHMSTEAELADRVAALEAAIHSLEIDLARVNEEKEELEELIKSNEEQFVQVGTDKSRDIVESIDSSERVLKYQDDILQLKVLLTNLEEQVDDLRSTKDEVEILNMVLKSKLEEQRTEILSLLQNSGHELANFKEQNKDLTQKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYETKVQELKGQVFVSKKYAEEMLLKLQSALDEVETGRKNEIALAKRIEELSMRISEMELEMQDASVDKRDLSNAYDSIVTELECTKLNFDCCMEEKQKIEDTLQECTEERNRIRVELDLVKKLLENMALTDNPTVPDNSGSCTSGATSIGQILGDAKPGSASSKTTKNTPEVDSGLQQDEDRIQSTNASSTLAAGEDVRRFSEQGEHARSVPSKNLEECEPSLENHSTGKTSIEDISMEHRKLAVDLNHFHQELERLKNENLSPLLPLDINLIDPSLSGLERALSQLDMANEHLRSIFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVESELKDMQGRYSELSVQFAEVEGERQKLEMNLKNRSPMRS >ONIVA10G06890.3 pep chromosome:AWHD00000000:10:7908131:7910788:-1 gene:ONIVA10G06890 transcript:ONIVA10G06890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVSAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKIVVAMGTSRSSILGELDVNLAEFAEALKPVSIALPLRGCEFGTILHLPSDPISIIPLGFHLGKEKNFKFKRTKIPLRTQPYPFALNPISLGAGEQRAAAAAAPHGRREAQELAAAGREGRRAARVEDGAGARQQVFGCCFVFAVAAALRFSLASLCSCLAGGRRSREFEQQRETGAKSTQQLVNQRSHDPSEIGVASSDIYSHKANARIKLKETSSGFPLAEDSAGSTEDYENSSHNSDGLFAEKIDSYGGHEL >ONIVA10G06890.4 pep chromosome:AWHD00000000:10:7908131:7910788:-1 gene:ONIVA10G06890 transcript:ONIVA10G06890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVSAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKIVVAMGTSRSSILGELDVNLAEFAEALKPVSIALPLRGCEFGTILHQRETGAKSTQQLVNQRSHDPSEIGVASSDIYSHKANARIKLKETSSGFPLAEDSAGSTEDYENSSHNSDGLFAEKIDSYGGHEL >ONIVA10G06890.5 pep chromosome:AWHD00000000:10:7900667:7908123:-1 gene:ONIVA10G06890 transcript:ONIVA10G06890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDLSLSSQSPTPEKGSLRSKHLSPQGSNEWTYGWSPELSTGHDLAAAHEENNQLRTRLEVAESAFSHLKSEATSLQDFTDKLGTETQGLAQQLGVELMSRNQLSAEVSSLRTECSNLKRELQEMKSAKLLQQKANGEDIMMAAGQGNISSKFGNDILADTSVHDLQTEWLQGLLLLESKLQQTRNNALHGLQAADLDFLLADLGALQRVIENLKQGVQNGQMKENNYLEHLVPPTNAAHQPSLGRDHDSNKKTSGSAGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEERQKQTEIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTTLEAQNKEFERRAVATETALKRVRWNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSIVEDFESSPEEQSAVADLGANKERSLYMSDHESQAFSAENGRPDNLTYKMDGQKSLLRALKMEEIRNRSEFQVRSNTNLQVDYSKLDKLEQTPSTTESEVLETYMANIEWQVFSDVLREAHCTALGTIKLMQERLHMLEIQLRDSNDARDSLVLKLNAALDQAKSVKETEAEYILKCDDFMVKNKILEAKLQDMSAENALLMEKLTESERYVQEHESCESKYKACAEDRKRFEDLLMKESLQTSHLKDELRSVVENFEAMKDELHKQSTLNTDMQTVSALLQEQMNNVCNGIISSSKDIGISGLDEASLLHELQRRNYIAVMASLEFFQKQSCQEVVRLRQEKEAAEEMCEALQSRQDKSELELLDMKQKYQLDFDAMKEKLNFSEEHMEKLEKELQDMTHKFKISSEAQEKYSIINADLTSRLAEMEGQLQHITSENEALVEKLKDIAAIVEEHERTKVTLAESEEENKTLTLSLQSKDEAMMQMENEIRSLQDELRSSDDNLLREKRLMEELQSTLASLTSQLGHKDQALLSFDEHKTELNRLRDQVLDMERANSLMQDALSQSEQIQMDLNCKNISLQSQLSNVEDRLATVMKDTVATETEASYMRNLVEELTGQLDSLRNDHEKLQLKNKDADDLLRVHMSTEAELADRVAALEAAIHSLEIDLARVNEEKEELEELIKSNEEQFVQVGTDKSRDIVESIDSSERVLKYQDDILQLKVLLTNLEEQVDDLRSTKDEVEILNMVLKSKLEEQRTEILSLLQNSGHELANFKEQNKDLTQKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYETKVQELKGQVFVSKKYAEEMLLKLQSALDEVETGRKNEIALAKRIEELSMRISEMELEMQDASVDKRDLSNAYDSIVTELECTKLNFDCCMEEKQKIEDTLQECTEERNRIRVELDLVKKLLENMALTDNPTVPDNSGSCTSGATSIGQILGDAKPGSASSKTTKNTPEVDSGLQQDEDRIQSTNASSTLAAGEDVRRFSEQGEHARSVPSKNLEECEPSLENHSTGKTSIEDISMEHRKLAVDLNHFHQELERLKNENLSPLLPLDINLIDPSLSGLERALSQLDMANEHLRSIFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVESELKDMQGRYSELSVQFAEVEGERQKLEMNLKNRSPMRS >ONIVA10G06880.1 pep chromosome:AWHD00000000:10:7895940:7898640:1 gene:ONIVA10G06880 transcript:ONIVA10G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNYLEKETGAKTDPNQPQLPLIHTTPQLPQHARNPALRLHTSQPARSSSSPALRLHNATASRRHSGEEEVRQGGEVLKMGALLSSPNSKNQPWEHGEASKADSSKKLRMSAPPLSGGYDHPGLIPGLPDEISLQILARMPRMGYLNAKMVSRSWKAAITGVELYRVRKELGVSEEWLYMLTKSDDGKLVWNAFDPVCGQWQRLPLMPGISHGGECKRGIPGLWLGDLLSAGIRVSDVIRGWLGQRDSLDRLPFCGCAIGTVDGCIYVLGGFSRGSAMKCVWRYDPFVNAWQEVSSMSTGRAFCKASLLNNKLYVVGGVSKGKNGLAPLQSAEVFDPRTGIWVEVPDIPFSKAQALPTAFLAELLKPIATGMTSFGGKLYVPQSLYSWPFFVDVGGEIFDPETNSWAEMPVGMGEGWPARQAGTKLSAVIDGDLYALEPSTSSDRGKIKIYDPQEDAWKVAIGQVPVGDFAESECPYLLAGFLGKLNLIIKDVDSKINIMQTDVLKPVELSAPGNGPTCQNQQLSSEQETNLWKVIVSKNLAAAELVSCQVLNI >ONIVA10G06870.1 pep chromosome:AWHD00000000:10:7884101:7889832:1 gene:ONIVA10G06870 transcript:ONIVA10G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGLLPRVVSCNVFISAALGLRRPEIALSFFREMRRCRISPNIYTANMVLRAFCDLGRTADAAEVLDKMPEWGVGRTTVSFNTLIAAYCRDGVDAGPALQLKMKMEQEGLVPDVVTYDTIIHGLCKEGRMGKANQVLSEMRAKGVMPNTVTYNTLIHGYVALGDNAMAGRVHEEMVKNRVKLDIVTYNALILGLCNEGKMKKVEHLLRELDRAKLEPNASTFSALIVGWCKMQNSERALQLLNVMKKSGFHPNYTTYKMVISSFCKNKDLEGAVDVMGDMLGRCIAPDKALLNEFFDGLWKAKKLHLAENLRSLNNGLKFIPDVYYTGDYRNKDELTKVPRPMGGGTGTRNGGGYHLLKTLDDRNFFGPQLEMLWAVAN >ONIVA10G06870.2 pep chromosome:AWHD00000000:10:7884101:7889832:1 gene:ONIVA10G06870 transcript:ONIVA10G06870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGLLPRVVSCNVFISAALGLRRPEIALSFFREMRRCRISPNIYTANMVLRAFCDLGRTADAAEVLDKMPEWGVGRTTVSFNTLIAAYCRDGVDAGPALQLKMKMEQEGLVPDVVTYDTIIHGLCKEGRMGKANQVLSEMRAKGVMPNTVTYNTLIHGYVALGDNAMAGRVHEEMVKNRVKLDIVTYNALILGLCNEGKMKKVEHLLRELDRAKLEPNASTFSALIVGWCKMQNSERALQLLNVMKKSGFHPNYTTYKMVISSFCKNKDLEGAVDVMGDMLGRCIAPDKALLNEFFDGLWKAKKLHLAENLRSLNNGLKFIPDVYYTGDYRNKDELTKVPRPMGGGTGTRNGGGYHLLKTLDDRNFFGPQLEMLWAVAN >ONIVA10G06870.3 pep chromosome:AWHD00000000:10:7884101:7889200:1 gene:ONIVA10G06870 transcript:ONIVA10G06870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGLLPRVVSCNVFISAALGLRRPEIALSFFREMRRCRISPNIYTANMVLRAFCDLGRTADAAEVLDKMPEWGVGRTTVSFNTLIAAYCRDGVDAGPALQLKMKMEQEGLVPDVVTYDTIIHGLCKEGRMGKANQVLSEMRAKGVMPNTVTYNTLIHGYVALGDNAMAGRVHEEMVKNRVKLDIVTYNALILGLCNEGKMKKVEHLLRELDRAKLEPNASTFSALIVGWCKMQNSERALQLLNVMKKSGFHPNYTTYKMVISSFCKNKDLEGAVDVMGDMLGRCIAPDKALLNEFFDGLWKAKKLHLAENLRSLNNGLKFIPDVYYTGDYRNKDEKDK >ONIVA10G06870.4 pep chromosome:AWHD00000000:10:7884101:7889832:1 gene:ONIVA10G06870 transcript:ONIVA10G06870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHGLLPRVVSCNVFISAALGLRRPEIALSFFREMRRCRISPNIYTANMVLRAFCDLGRTADAAEVLDKMPEWGVGRTTVSFNTLIAAYCRDGVDAGPALQLKMKMEQEGLVPDVVTYDTIIHGLCKEGRMGKANQVLSEMRAKGVMPNTVTYNTLIHGYVALGDNAMAGRVHEEMVKNRVKLDIVTYNALILGLCNEGKMKKVEHLLRELDRAKLEPNASTFSALIVGWCKMQNSERALQLLNVMKKSGFHPNYTTYKMVISSFCKNKDLEGAVDVMGDMLGRCIAPDKALLNEFFDGLWKAKKLHLAENLRSLNNGLKFIPDVYYTGDYRNKDELEMLWAVAN >ONIVA10G06860.1 pep chromosome:AWHD00000000:10:7884087:7884921:-1 gene:ONIVA10G06860 transcript:ONIVA10G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEILHRRISRKNDRAISGRLRPSAAEMKTLQDTTRGSRPWARMARNASVARESFFPRAQTEKSTSRAGTAPPSGRAAVRRAVRRTWWRRSGKAWCGMEGSREARRAEERRGRREWRRSAKGSGGGGDGRREARRRKREREAAVVVGGGGAVERWARRAVTKRRSSPASGGRVKCGSGSARGGGGGIGDGDGPEARRGEGSRGRSPSPAARWGGRRATRRRVGNLTKSVGALESTSVITLFLFF >ONIVA10G06850.1 pep chromosome:AWHD00000000:10:7867670:7880227:1 gene:ONIVA10G06850 transcript:ONIVA10G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYQMNGILNGMSNSRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTHVSAVWPGWGHASENPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKIPPESCNSIPEEMYRSACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPSETVKELEQAARRLAKCVHYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVVVGMGVPLYNIPEIRRFYGMEHGGGYDAWRKISAVATKFDLDNAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRRGPRSYTLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQVLYLYFTLLLFVCILFAYIFYKAADLIARLDLDDPSSVRRAEPFHGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNSDFRKNKDFPAKLLRGIIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFSDNIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRTKNKLILRLMEALVYPNPSAYRDQLIRFSGLNNTVYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVGAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVKGSVRMQWHRSGLIALWEFSEEHIKQRNGQDAMSLKQQVEDPEEKRWGVMVVIKSLQYLSSAIDAALKETSHYKAGAGNVSNGNSASSSHGNMLHIALVGINNQMSTLQDSGDEDQAQERINKISKILKDSTVTSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVDKIYYEEDPMLRHVEPPLSTFLELNKVNLDGYNEVKYTPSRDRQWHIYTLIKNKKDQRSNDQRLFLRTIVRQPGVTNGFLSGNVDNEVGRAQASSSYTSSSILRSLMAALEEIELHAHNETVRSSYSHMYLCILRVQQLFDLIPFSRTIDNVGQDEATACTLLKNMALNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGAWRVVVTNVTGHTCTVDIYREVEDSNTHKLFYHSVTPSLGPLHGIALDEPYKPLDAIDLKRYSARKNETTYCYDFPLAFETALKRSWKSTLSVVAEANEHNKSYAKVTELMFADSTGSWGTPLVPVERSPGINDIGIVAWIMKLSTPEFPSGREIIVVSNDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLSATAGARLGVAEEIKACFNVGWSDDESPERGFHYIYLTEQDYSRLSSSVIAHELKLESGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPDRPVTYFPENSCDARAAICGVQDSQGKWMGGMFDRESFVETLEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSNIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLVEIKFRPKELEECMLRLDPELIKLSTRLREMKKENAGLSEMDTTRRSIIARMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRSFFYRRLRRRVTEDALAKEIREAAGEQLSQKSALDYIKKWYLSSNGSDGNSEKWNNDEAFFAWKDDPTNYENQLEELKAERVSKWLSRLAESPDVKALPNGLSIVLNKMNPSKREQVIDGLRQLLG >ONIVA10G06850.2 pep chromosome:AWHD00000000:10:7867670:7880227:1 gene:ONIVA10G06850 transcript:ONIVA10G06850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYQMNGILNGMSNSRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTHVSAVWPGWGHASENPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKIPPESCNSIPEEMYRSACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPSETVKELEQAARRLAKCVHYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVVVGMGVPLYNIPEIRRFYGMEHGGGYDAWRKISAVATKFDLDNAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRRGPRSYTLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQAADLIARLDLDDPSSVRRAEPFHGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNSDFRKNKDFPAKLLRGIIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFSDNIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRTKNKLILRLMEALVYPNPSAYRDQLIRFSGLNNTVYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVGAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVKGSVRMQWHRSGLIALWEFSEEHIKQRNGQDAMSLKQQVEDPEEKRWGVMVVIKSLQYLSSAIDAALKETSHYKAGAGNVSNGNSASSSHGNMLHIALVGINNQMSTLQDSGDEDQAQERINKISKILKDSTVTSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVDKIYYEEDPMLRHVEPPLSTFLELNKVNLDGYNEVKYTPSRDRQWHIYTLIKNKKDQRSNDQRLFLRTIVRQPGVTNGFLSGNVDNEVGRAQASSSYTSSSILRSLMAALEEIELHAHNETVRSSYSHMYLCILRVQQLFDLIPFSRTIDNVGQDEATACTLLKNMALNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGAWRVVVTNVTGHTCTVDIYREVEDSNTHKLFYHSVTPSLGPLHGIALDEPYKPLDAIDLKRYSARKNETTYCYDFPLAFETALKRSWKSTLSVVAEANEHNKSYAKVTELMFADSTGSWGTPLVPVERSPGINDIGIVAWIMKLSTPEFPSGREIIVVSNDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLSATAGARLGVAEEIKACFNVGWSDDESPERGFHYIYLTEQDYSRLSSSVIAHELKLESGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPDRPVTYFPENSCDARAAICGVQDSQGKWMGGMFDRESFVETLEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSNIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLVEIKFRPKELEECMLRLDPELIKLSTRLREMKKENAGLSEMDTTRRSIIARMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRSFFYRRLRRRVTEDALAKEIREAAGEQLSQKSALDYIKKWYLSSNGSDGNSEKWNNDEAFFAWKDDPTNYENQLEELKAERVSKWLSRLAESPDVKALPNGLSIVLNKMNPSKREQVIDGLRQLLG >ONIVA10G06840.1 pep chromosome:AWHD00000000:10:7845901:7850878:1 gene:ONIVA10G06840 transcript:ONIVA10G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G38270) TAIR;Acc:AT4G38270] MAFPSTSSVAYPRSLSPLRRCPGFLALLVAVLLFLSFQLMIHVPSIRLAVSGWLFSDHQTGRKGPGSCPGCHNGMDNADKTIAYTNQDGRIRLFKVTTREFLSSSIWKNPLLPKDTEPVAEIQEIAEEQLLATGSEVSNLSSTETLETRTDPIKLKREVFRRKRKEHRIQELLQVDKEAELHMRNVATNRSRNFSNKVRASYNIWRLQFHHTNTDSTLRLMKDQIIMAKVYATIAHSQKQPDMYALLMKCIKLCQEAIGDAHMDYELDSSALERAKAMGHALSSARDVLYNSDEVSRRLLVMLQSTELNIDSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYYFRDGTIKEYFHDAALKEEEDKAKREDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDRLNFAAMTMWFIRHPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGMVNGAVETCKESFHRFDTYLNFSHPKIAENFDPRACGWAFGMNMFDLKEWKKQNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRNWHVLGLGYDPAVDLAEIENAAVVHYNGNYKPWLDLAVSKYKPYWSKYVDLDNSHIQHCYMSEQ >ONIVA10G06840.2 pep chromosome:AWHD00000000:10:7845901:7850878:1 gene:ONIVA10G06840 transcript:ONIVA10G06840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G38270) TAIR;Acc:AT4G38270] MAFPSTSSVAYPRSLSPLRRCPGFLALLVAVLLFLSFQLMIHVPSIRLAVSGWLFSDHQTGRKGPGSCPGCHNGMDNADKTIAYTNQDGRIRLFKVTTREFLSSSIWKNPLLPKDTEPVAEIQEIAEEQLLATGSEVSNLSSTETLETRTDPIKLKREVFRRKRKEHRIQELLQVDKEAELHMRNVATNRSRNFSNKVRASYNIWRLQFHHTNTDSTLRLMKDQIIMAKVYATIAHSQKQPDMYALLMKCIKLCQEAIGDAHMDYELDSSALERAKAMGHALSSARDVLYNSDEVSRRLLVMLQSTELNIDSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYYFRDGTIKEYFHDAALKEEEDKAKREDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDRLNFAAMTMWFIRHPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGMVNGAVETCKESFHRFDTYLNFSHPKIAENFDPRACGWAFGMNMFDLKEWKKQNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRNWHVLGLGYDPAVDLAEIENAAVVHYNGNYKPWLDLAVSKYKPYWSKYVDLDNSHIQHCYMSEQ >ONIVA10G06840.3 pep chromosome:AWHD00000000:10:7847022:7850878:1 gene:ONIVA10G06840 transcript:ONIVA10G06840.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G38270) TAIR;Acc:AT4G38270] MAFPSTSSVAYPRSLSPLRRCPGFLALLVAVLLFLSFQLMIHVPSIRLAVSGWLFSDHQTGRKGPGSCPGCHNGMDNADKTIAYTNQDGRIRLFKVTTREFLSSSIWKNPLLPKDTEPVAEIQEIAEEQLLATGSEVSNLSSTETLETRTDPIKLKREVFRRKRKEHRIQELLQVDKEAELHMRNVATNRSRNFSNKVRASYNIWRLQFHHTNTDSTLRLMKDQIIMAKVYATIAHSQKQPDMYALLMKCIKLCQEAIGDAHMDYELDSSALERAKAMGHALSSARDVLYNSDEVSRRLLVMLQSTELNIDSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYYFRDGTIKEYFHDAALKEEEDKAKREDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDRLNFAAMTMWFIRHPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGMVNGAVETCKESFHRFDTYLNFSHPKIAENFDPRACGWAFGMNMFDLKEWKKQNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRNWHVLGLGYDPAVDLAEIENAAVVHYNGNYKPWLDLAVSKYKPYWSKYVDLDNSHIQHCYMSEQ >ONIVA10G06830.1 pep chromosome:AWHD00000000:10:7840912:7841349:-1 gene:ONIVA10G06830 transcript:ONIVA10G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRRRRPRMGGEQGWASVDLAASVASHDGSSGDHDRSSFLWRILGRLWCQWRPMTVVVEKEAHPSSPPLHLATAVMVEKAGEEGEVMAGIEDKTLVLDVHVFMVFLMFLFVRSVHVFLDTGDGSCSMHRIDSTRCIELAFLLHF >ONIVA10G06820.1 pep chromosome:AWHD00000000:10:7839447:7839842:1 gene:ONIVA10G06820 transcript:ONIVA10G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGGNCEVNSSIALLQERFRNLQKVREMREGREQLLQTPPSPSTATAIAGATTTGAAASSSGGEQPRWFSHPELVRPSSRPAAAQRATADDDAAAVRQPPAVSVGRAAAMVLQSSGCRSDVEVDTSLHL >ONIVA10G06810.1 pep chromosome:AWHD00000000:10:7786823:7798272:-1 gene:ONIVA10G06810 transcript:ONIVA10G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) TAIR;Acc:AT5G35750] MSRVGECGGGGGCGARRGKAAHAGAGAVAGFLGCLLLVWAMGGCGRGCGGGGGEGVRDRVEEVAAQFNLSMSKLQALASLLSSPERECICKSGTINDDNPAHSMPDMSNCRLKNKPSGGNQNRLDNVIIQDCCANEDNYDKNNHENNLLQNAMQQDIGSPTTLWNNNALSCNHGMIFSLSASLGIVVILVVITIFKRGKQANELCQHEKLLQTPSVKKSRKWSKRALLLGVLVGLCSSVWIFSSMHADVVARRIENLENMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEQKLGWKIKKMETEDQSLVHDYNPEKLQPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTAPFPLLKSNHLGVVLTFTVYKYDLPPDATPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTYPTKMYDSDVIASDDLHISNIDFGDPTRKHVMHCRFKHAPSLPWSAIMISSAVAIIVLLVGYIIYATLNSLEEAEDNYTTMRDLKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIRVHLIEEVKRKMEALDDTSPENIEVTANSKNTMPYNTLSGLEVANNRKTLESFRMFKDSSDAIDSVNLLVTVEDTGIGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMGGEIGFVSKPGVSSTFSFTAIFKENRKDPGDIKRYCPEPTPPDFQGMRALVVDGRCTRAEVTMYHLRRLGIQCDLAATSESALSALLESCNSSVKSSLNMVLVDKEAWGEDSGLAFFRCLIDLRLKGTLKSWQTMPKFFLLAVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISRLQPPHKFDACFMDVQMPEMDGFEATRLVRSVESKINDTIQAGEVSSEIYGNKAHWHVPILAMTADVIQATFEGCMECGMDGYVAKPFEEQQLYSAFASGHSDSGFSDAASAKNPFGDNNVAKQKSYAVNREQKFDWTAIYVSFDKVSHPSKVPL >ONIVA10G06810.2 pep chromosome:AWHD00000000:10:7786823:7798272:-1 gene:ONIVA10G06810 transcript:ONIVA10G06810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) TAIR;Acc:AT5G35750] MSRVGECGGGGGCGARRGKAAHAGAGAVAGFLGCLLLVWAMGGCGRGCGGGGGEGVRDRVEEVAAQFNLSMSKLQALASLLSSPERECICKSGTINDDNPAHSMPDMSNCRLKNKPSGGNQNRLDNVIIQDCCANEDNYDKNNHENNLLQNAMQQDIGSPTTLWNNNALSCNHGMIFSLSASLGIVVILVVITIFKRGKQANELCQHEKLLQTPSVKKSRKWSKRALLLGVLVGLCSSVWIFSSMHADVVARRIENLENMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEQKLGWKIKKMETEDQSLVHDYNPEKLQPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTAPFPLLKSNHLGVVLTFTVYKYDLPPDATPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTYPTKMYDSDVIASDDLHISNIDFGDPTRKHVMHCRFKHAPSLPWSAIMISSAVAIIVLLVGYIIYATLNSLEEAEDNYTTMRDLKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIRVHLIEEVKRKMEALDDTSPENIEVTANSKNTMPYNTLSGLEVANNRKTLESFRMFKDSSDAIDSVNLLVTVEDTGIGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMGGEIGFVSKPGVSSTFSFTAIFKENRKDPGDIKRYCPEPTPPDFQGMRALVVDGRCTRAEVTMYHLRRLGIQCDLAATSESALSALLESCNSSVKSSLNMVLVDKEAWGEDSGLAFFRCLIDLRLKGTLKSWQTMPKFFLLAGSITPADSDCLRLAGYSNSIRKPLRLSTVAACLSKALGVGLTGRRSRDNSLVLRSVLTGKNILVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISRLQPPHKFDACFMDVQMPEMDGFEATRLVRSVESKINDTIQAGEVSSEIYGNKAHWHVPILAMTADVIQATFEGCMECGMDGYVAKPFEEQQLYSAFASGHSDSGFSDAASAKNPFGDNNVAKQKSYAVNREQKFDWTAIYVSFDKVSHPSKVPL >ONIVA10G06810.3 pep chromosome:AWHD00000000:10:7786823:7798272:-1 gene:ONIVA10G06810 transcript:ONIVA10G06810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) TAIR;Acc:AT5G35750] MSRVGECGGGGGCGARRGKAAHAGAGAVAGFLGCLLLVWAMGGCGRGCGGGGGEGVRDRVEEVAAQFNLSMSKLQALASLLSSPERECICKSGTINDDNPAHSMPDMSNCRLKNKPSGGNQNRLDNVIIQDCCANEDNYDKNNHENNLLQNAMQQDIGSPTTLWNLCLCDLYIVINGLNVQQNNALSCNHGMIFSLSASLGIVVILVVITIFKRGKQANELCQHEKLLQTPSVKKSRKWSKRALLLGVLVGLCSSVWIFSSMHADVVARRIENLENMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEQKLGWKIKKMETEDQSLVHDYNPEKLQPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTAPFPLLKSNHLGVVLTFTVYKYDLPPDATPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTYPTKMYDSDVIASDDLHISNIDFGDPTRKHVMHCRFKHAPSLPWSAIMISSAVAIIVLLVGYIIYATLNSLEEAEDNYTTMRDLKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIRVHLIEEVKRKMEALDDTSPENIEVTANSKNTMPYNTLSGLEVANNRKTLESFRMFKDSSDAIDSVNLLVTVEDTGIGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMGGEIGFVSKPGVSSTFSFTAIFKENRKDPGDIKRYCPEPTPPDFQGMRALVVDGRCTRAEVTMYHLRRLGIQCDLAATSESALSALLESCNSSVKSSLNMVLVDKEAWGEDSGLAFFRCLIDLRLKGTLKSWQTMPKFFLLAGSITPADSDCLRLAGYSNSIRKPLRLSTVAACLSKALGVGLTGRRSRDNSLVLRSVLTGKNILVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISRLQPPHKFDACFMDVQMPEMDGFEATRLVRSVESKINDTIQAGEVSSEIYGNKAHWHVPILAMTADVIQATFEGCMECGMDGYVAKPFEEQQLYSAFASGHSDSGFSDAASAKNPFGDNNVAKQKSYAVNREQKFDWTAIYVSFDKVSHPSKVPL >ONIVA10G06810.4 pep chromosome:AWHD00000000:10:7786823:7798272:-1 gene:ONIVA10G06810 transcript:ONIVA10G06810.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) TAIR;Acc:AT5G35750] MSRVGECGGGGGCGARRGKAAHAGAGAVAGFLGCLLLVWAMGGCGRGCGGGGGEGVRDRVEEVAAQFNLSMSKLQALASLLSSPERECICKSGTINDDNPAHSMPDMSNCRLKNKPSGGNQNRLDNVIIQDCCANEDNYDKNNHENNLLQNAMQQDIGSPTTLWNNNALSCNHGMIFSLSASLGIVVILVVITIFKRGKQANELCQHEKLLQTPSVKKSRKWSKRALLLGVLVGLCSSVWIFSSMHADVVARRIENLENMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEQKLGWKIKKMETEDQSLVHDYNPEKLQPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTAPFPLLKSNHLGVVLTFTVYKYDLPPDATPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTYPTKMYDSDVIASDDLHISNIDFGDPTRKHVMHCRFKHAPSLPWSAIMISSAVAIIVLLVGYIIYATLNSLEEAEDNYTTMRDLKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIRVHLIEEVKRKMEALDDTSPENIEVTANSKNTMPYNTLSGLEVANNRKTLESFRMFKDSSDAIDSVNLLVTVEDTGIGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMGGEIGFVSKPGVSSTFSFTAIFKENRKDPGDIKRYCPEPTPPDFQGMRALVVDGRCTRAEVTMYHLRRLGIQCDLAATSESALSALLESCNSSVKSSLNMVLVDKEAWGEDSGLAFFRCLIDLRLKGTLKSWQTMPKFFLLAGSITPADSDCLRLAGYSNSIRKPLRLSTVAACLSKALGVGLTGRRSRDNSLVLRSVLTGKNILVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISRLQPPHKFDACFMDVQMPEMDGFEATRLVRSVESKINDTIQAASAKNPFGDNNVAKQKSYAVNREQKFDWTAIYVSFDKVSHPSKVPL >ONIVA10G06810.5 pep chromosome:AWHD00000000:10:7786823:7798272:-1 gene:ONIVA10G06810 transcript:ONIVA10G06810.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) TAIR;Acc:AT5G35750] MSRVGECGGGGGCGARRGKAAHAGAGAVAGFLGCLLLVWAMGGCGRGCGGGGGEGVRDRVEEVAAQFNLSMSKLQALASLLSSPERECICKSGTINDDNPAHSMPDMSNCRLKNKPSGGNQNRLDNVIIQDCCANEDNYDKNNHENNLLQNAMQQDIGSPTTLWNLCLCDLYIVINGLNVQQNNALSCNHGMIFSLSASLGIVVILVVITIFKRGKQANELCQHEKLLQTPSVKKSRKWSKRALLLGVLVGLCSSVWIFSSMHADVVARRIENLENMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEQKLGWKIKKMETEDQSLVHDYNPEKLQPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTAPFPLLKSNHLGVVLTFTVYKYDLPPDATPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTYPTKMYDSDVIASDDLHISNIDFGDPTRKHVMHCRFKHAPSLPWSAIMISSAVAIIVLLVGYIIYATLNSLEEAEDNYTTMRDLKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIRVHLIEEVKRKMEALDDTSPENIEVTANSKNTMPYNTLSGLEVANNRKTLESFRMFKDSSDAIDSVNLLVTVEDTGIGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMGGEIGFVSKPGVSSTFSFTAIFKENRKDPGDIKRYCPEPTPPDFQGMRALVVDGRCTRAEVTMYHLRRLGIQCDLAATSESALSALLESCNSSVKSSLNMVLVDKEAWGEDSGLAFFRCLIDLRLKGTLKSWQTMPKFFLLAGSITPADSDCLRLAGYSNSIRKPLRLSTVAACLSKALGVGLTGRRSRDNSLVLRSVLTGKNILVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISRLQPPHKFDACFMDVQMPEMDGFEATRLVRSVESKINDTIQAASAKNPFGDNNVAKQKSYAVNREQKFDWTAIYVSFDKVSHPSKVPL >ONIVA10G06800.1 pep chromosome:AWHD00000000:10:7780872:7781375:1 gene:ONIVA10G06800 transcript:ONIVA10G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTMNKLSLALASYLILFLAAAATAATTRCTFEIVVKTDGRRNAGTDARVSLQVRAARGPTLTVANLESWGQMAAGHDYFEKGNLDRFRGAGDCMPSEPCNMVLTSDGSGNKPGWYVSYVMVTQLGQGRLPSMTHRWAVDQWLAIDEAPHMLTAERRGCGIGAAAP >ONIVA10G06790.1 pep chromosome:AWHD00000000:10:7747183:7747662:-1 gene:ONIVA10G06790 transcript:ONIVA10G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASDKLVLSAIVLAVLTVAAAAAGYGGYGDVGEYCRVGKAVSRNPVPSCRNYIARWCAVAGGRLDSGKQPPRQLLEPCCRELAAVPMQCRCDALSVLVRGVVTEEGDRVSGMISQHAAPECDGATIGRMASALTDYGRCNLQHTGFFACRMFGGGMD >ONIVA10G06780.1 pep chromosome:AWHD00000000:10:7738664:7740620:-1 gene:ONIVA10G06780 transcript:ONIVA10G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASDKLVLSAIVLAVLIVAAAAAAGYGGYGDVGEYCRVGKAVTRNPVPSCRNYIARWCAAAGGRLDSGKQPPREFLEPCCRELAAVPMQCRCDALSVLVRGVVTEEGDRVSGMISQHAAPECDGATIGRMASALTDYGRFSVHLT >ONIVA10G06770.1 pep chromosome:AWHD00000000:10:7699566:7700048:-1 gene:ONIVA10G06770 transcript:ONIVA10G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVVFSVLLLAVVSVLAATATMAEYHHQDQVVYTPGPLCQPGMGYPMYPLPRCRALVKRQCVGRGTAAAAEQVRRDCCRQLAAVDDSWCRCEAISHMLGGIYRELGAPDVGHPMSEVFRGCRRGDLERAAASLPAFCNVDIPNGGGGVCYWLARSGY >ONIVA10G06760.1 pep chromosome:AWHD00000000:10:7684328:7684546:1 gene:ONIVA10G06760 transcript:ONIVA10G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAAALPEQMPQPQLWKPGGRALLTSPCPPARRAPQQPPSADGDWGWGLAEVRGDGQMTQMGKFGSHLH >ONIVA10G06750.1 pep chromosome:AWHD00000000:10:7682784:7684329:-1 gene:ONIVA10G06750 transcript:ONIVA10G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMGRRGAQEEQRQRQGGMNGTTAVQACSTQRRQQASDKPTSQASITPSQIFRYVLTSVVIRRPHLKYFLSSATGVAVMHQAPVVDMAETSVPNLGMKPKISERTSNTKATMGTSCCSYNTCEDSTIKCTMTQFEDQFSTIKPDGIQVRYHKEYEI >ONIVA10G06740.1 pep chromosome:AWHD00000000:10:7667839:7675405:1 gene:ONIVA10G06740 transcript:ONIVA10G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAPRSAAPPHLSSSQRQFAVDPASAAPRFLSSPAPPLCLSAVVASPHPYPAQRRPPPNPNRRRSTLSLSRRRRAPPSICRFRHPAFARDAAGAPPRRPSPMPTTRTSCPCAPFSTATPQSDLRNPLHCTTEKVIFFQHISAV >ONIVA10G06740.2 pep chromosome:AWHD00000000:10:7667839:7670988:1 gene:ONIVA10G06740 transcript:ONIVA10G06740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAPRSAAPPHLSSSQRQFAVDPASAAPRFLSSPAPPLCLSAVVASPHPYPAQRRPPPNPNRRRSTLSLSRRRRAPPSICRFRHPAFARDAAGAPPRRPSPMPTTRTSCPCAPFSTATPQSDLRNPLHCTTEKTARTTAIAIHRTTAITRTTAIATTIAAVSACSAVFLGERIERENRANKWALRILVFLLSLTCGPHLVKFYCAHAIS >ONIVA10G06730.1 pep chromosome:AWHD00000000:10:7654861:7656927:-1 gene:ONIVA10G06730 transcript:ONIVA10G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGRRQIVAGSERRRRRRRMGAGDERRPCGADPAQGACRFAWIGLCERLCHRGRGLQGHQHASTSTAIAPAAAVLPPSGKDLKNLDADFVKQATLFDLIVVKPPFYLSTRPSFSKDFKVVAFTSFF >ONIVA10G06710.1 pep chromosome:AWHD00000000:10:7632192:7632683:1 gene:ONIVA10G06710 transcript:ONIVA10G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTMKLSVAFAFFFFLAAITTAFAQCTFEILVKTDGRWYAGTNARVSLQVRAASGPTLTITNLESWGQMAASHDYFEKGNLDRFRGTGDCMPSEPCNMVLTSDGSGNMPGWYVSYVMVTQLGQGSLPSMTHRWAVDQWLAIDEPPHMLTAERKGCGIGAVAP >ONIVA10G06700.1 pep chromosome:AWHD00000000:10:7627397:7628074:1 gene:ONIVA10G06700 transcript:ONIVA10G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGDSGRAWLRRKRGGGGDGVDFFIDNGPVDHYDDLASRIHDPDGSPMPLPLSRSISDGFPSTDWIHVISVQSLAVTLSSRKVLAMAATRPARVLRYRGTTTRRKASLGRDGATCCHLMRRLVADWFLERWTVNTVTVAGMGTSRSFQDKTIPKFLPPPPQMAQKRSSSMVALSRSLPLASTMVASRTWSEARPCASAASGRSRRR >ONIVA10G06690.1 pep chromosome:AWHD00000000:10:7623927:7625828:1 gene:ONIVA10G06690 transcript:ONIVA10G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFEQEAATANVGAGAEGRREQPSSRRGAAPRILFWSVNKHKSDSINLLTHQLMDATVGDKETRRVIGRIYYFFVGSATSCNQQTYKWLRLLGAPHHQVQNQVSHVHVIDSNDALFAC >ONIVA10G06680.1 pep chromosome:AWHD00000000:10:7620462:7621601:1 gene:ONIVA10G06680 transcript:ONIVA10G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCSSVKRSPRKPLPPEACQGKSNYFKEHHAMLENKGKSPYHHAPHAWRFAFCPPIPDSPQAATAIPPVKRKNNKGNTDHHISIIKKALNSYNKANGDLDYEFVGGTRISTIDEFGSTYHHCNFLVFSPTTRTIHLFFAEFDANTQDERGVHAFCPILLRRKEFGICYGCGLVHPRTEDYVAGRSNIWTHYGEEEEDFDSRISVYSFSNMSTYFMFWSVF >ONIVA10G06670.1 pep chromosome:AWHD00000000:10:7616700:7617188:1 gene:ONIVA10G06670 transcript:ONIVA10G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTMKLSIALACFLFLSATTASAQCTFEILVKTDGRRNAGTDARVSLQVRAASGPTLTITNLESWGQMAAGHDYFEKGNLDRFRGTGACMPSEPCNMVLTSDGSGNKPGWYVSYVMVTQLGQGSLPSMTHRWAVDQWLAIDEAPHMLTAERRGCGIGAAAP >ONIVA10G06660.1 pep chromosome:AWHD00000000:10:7592529:7596454:-1 gene:ONIVA10G06660 transcript:ONIVA10G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDACVEDKVHRIFLDFMTKVARYDELVDAEHFRRPKLLTESSAIIEIVKSNYSDRMRSYLEAGCTHHDEIIQNMNRLHAYQEKLNDHINKAKLLLEELQFLEEDVYSAALTASLSSSRNTDDCPDHDNLTNVCSEDEQQPEDWLDGAVSFASVIVLVHNMLKMDYMMQEKIVKAFWVKTSSSEIEGYCQMWDLRPYIDDNVMQLAWQLVP >ONIVA10G06660.2 pep chromosome:AWHD00000000:10:7592529:7596454:-1 gene:ONIVA10G06660 transcript:ONIVA10G06660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDACVEDKVHRIFLDFMTKVARYDELVDAGKKVLLKFHQEVEHFRRPKLLTESSAIIEIVKSNYSDRMRSYLEAGCTHHDEIIQNMNRLHAYQEKLNDHINKAKLLLEELQFLEEDVYSAALTASLSSSRNTDDCPDHDNLTNVCSEDEQQPEDWLDGAVSFASVIVLVHNMLKMDYMMQEKIVKAFWVKTSSSEIEGYCQMWDLRPYIDDNVMQLAWQLVP >ONIVA10G06650.1 pep chromosome:AWHD00000000:10:7586326:7590518:1 gene:ONIVA10G06650 transcript:ONIVA10G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAAIHIAVVAMLVSLTALAIADESDNNQREALLCIKSHLSSPEGGALTTWNNTSLDMCTWRGVTCSSELPKPRLVVALDMEAQGLSGEIPPCISNLSSLTRIHLPNNGLSGGLASAADVAGLRYLNLSFNAIGGAIPKRLGTLRNLSSLDLTNNNIHGEIPPLLGSSSALESVGLADNYLTGGIPLFLANASSLRYLSLKNNSLYGSIPAALFNSSTIREIYLGENNLSGAIPPVTIFPSQITNLDLTTNSLTGGIPPSLGNLSSLTALLAAENQLQGSIPDFSKLSALRYLDLSYNNLSGTVNPSVYNMSSITFLGLANNNLEGIMPPGIGNTLPNIQVLMMSDNHFHGEIPKSLANASNMQFLYLANNSLRGVIPSFGLMTDLRVVMLYSNQLEAGDWAFLSSLKNCSNLQKLHFGENNLRGDMPSSVAKLPKTLTSLALPSNYISGTIPLEIGNLSSISLLYLGNNLLTGSIPHTLGQLNNLVVLSLSQNIFSGEIPQSIGNLNRLTELYLAENQLTGRIPATLSRCQQLLALNLSSNALTGSISGDMFIKLNQLSWLLDLSHNQFINSIPLELGSLINLASLNISHNKLTGRIPSTLGSCVRLESLRVGGNFLEGSIPQSLANLRGTKVLDFSQNNLSGAIPDFFGTFNSLQYLNMSYNNFEGPIPVDGIFADRNKVFVQGNPHLCTNVPMDELTVCSASASKRKNKLIIPMLAAFSSIILLSSILGLYFLIVNVFLKRKWKSNEHMDHTYMELKTLTYSDVSKATNNFSAANIVGSGHFGTVYRGILHTEDTMVAVKVFKLDQCGALDSFMAECKALKNIRHRNLVKVITACSTYDPMGSEFKALVFEYMANGSLESRLHTKFDRCGDLSLGERISIAFDIASALEYLHNQCIPPVVHCDLKPSNVLFNNDDVACVCDFGLARSIRVYSSGTQSISTSMAGPRGSIGYIAPEYGMGSQISTEGDVYSYGIILLEMLTGRHPTNEIFTDGLTLRMYVNASLSQIKDILDPRLIPEMTEQPSNHTLQLHEHKKTGIMDICALQLLKLGLQCSEESPKDRPFIHDIYSEVTSIKEAFFATSI >ONIVA10G06640.1 pep chromosome:AWHD00000000:10:7575375:7576344:-1 gene:ONIVA10G06640 transcript:ONIVA10G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTAALRPAPRIGPTCLRPSQLAPLRRPQMQPQIQGVHHIWVCITPLITTYLECHGKTYQNNSSIEI >ONIVA10G06630.1 pep chromosome:AWHD00000000:10:7572160:7572782:-1 gene:ONIVA10G06630 transcript:ONIVA10G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAGPPPTTRWAARPTPRRARPRDASASALRWGITEKKVRDGDAPHGAISSHGYSVGPIEWWSGVGVGAQRFTEHAQLMAALGSAGRDDNTTRASHAAAAAAAATAVSSMGAGDKQMDLELHL >ONIVA10G06620.1 pep chromosome:AWHD00000000:10:7546673:7548431:-1 gene:ONIVA10G06620 transcript:ONIVA10G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKQNDERKNKYAVGCSIIGSIISVQVLAGILNVCALVGSLTAGRVSDCVGRRLTISLAACIFLAGSVLMGLAPNFATLLAGRCVAGVGVGYALMIAPVYAAEIASADIRGSLTSLPEICISFGILIGYVANYLLAKLPLVYGWRAMLGLGALPSAALALGVLAMPESPRWLVVQGRAEEALSVLRRVCDRPSEADARLAEIKAAAGLADDDGAAAAANAGSGGKGVWRELFLHPTPPVRRIVIAALGIHFFQHLTGIEAVVLYSPRIFKAAGIASRNSVLAATIGVGVTKTAFILTAILLVDRIGRRPLYLSSLAGIIASLACLGMGLTVIERSPPHHSPAWAVVLAIATVFTFVASFSIGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAGVSMTFVSLYKAITIGGAFFLFAGLAVAAATFFYLLCPETQGKPLEEIEEVFSQGWRARRRASAAAVEMPASGGGGGGGAPMA >ONIVA10G06610.1 pep chromosome:AWHD00000000:10:7545237:7545593:1 gene:ONIVA10G06610 transcript:ONIVA10G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPSNLWLRSQMMGHADATAMVDDLAVAILGGRLADGEDGTIGVGGGGDESGATTATTVELTRHNAHLTLRCHSAATDAYYHDQRFAHTRLLDFAELAAINTHPHTPSFNDRQ >ONIVA10G06610.2 pep chromosome:AWHD00000000:10:7544854:7545233:1 gene:ONIVA10G06610 transcript:ONIVA10G06610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGAGRAHGGRGCCGGHHLQHNHEAIELAEVGPCGKRPEALGGGVGSGSERGGGDLWLSAAWRCLPRMKGVEQGKMRSLEWREKGEEVDKEAGVVMVLVAGMMDGSARRRS >ONIVA10G06600.1 pep chromosome:AWHD00000000:10:7530635:7533983:-1 gene:ONIVA10G06600 transcript:ONIVA10G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKIGSIFSRTIMSSKVPGLALLNTSISKFWSDEELVRFLAERKEAHSLPENVFVGMNISLIDPRNSDDPQSPKNGENAIIKSKTGYWKVVGTVRIPTSTVIVGMKVTLDHYEGEAPSGKRTGWVMDEYLIEQNDEANLPQDYKNLCTIFFQGDDILNAGDKQICLNANVPNERKEFYLQYLAELEEQNAAWNNQAVSVNEQDVSSSKGLDGQKTSAADDQSVNHAPSREGYIELNDFLNSDSSASTSEYSSQRTMISEEYFDSDAFLREIRNDHNAADEEHTDSKFSVAAASKSDCVVISPPEQGFVNNLDNHATIAGDSPQKSVKNDKVDEHSRSMTLRHAILIRTGRLNRFMPDLLIEHSQRRLQVLKQQ >ONIVA10G06590.1 pep chromosome:AWHD00000000:10:7528583:7528804:1 gene:ONIVA10G06590 transcript:ONIVA10G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLEADDGGGATGGTDDALIDGSGVDINGVAGTTRWPRRAGSGGGRALTGSSRRPRRRLLRLRWLRLRVER >ONIVA10G06580.1 pep chromosome:AWHD00000000:10:7528468:7528647:-1 gene:ONIVA10G06580 transcript:ONIVA10G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSVPPVAPPPSSASSLKLMAAARNGRQVSRRGSGAGGRAPGASTGALRHGHLDVEG >ONIVA10G06570.1 pep chromosome:AWHD00000000:10:7526995:7528170:-1 gene:ONIVA10G06570 transcript:ONIVA10G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHRDAGDPSLRSLRRRFPPGDSLRHLAREAFHATAPPSALAPSHAVVHLDICSGSGRCRRRSQSTATTLLPVHHLRLPSLSTRKEWRERRAALVRPGSWQHIRISSSLERSRFLINKVSIWHAIVSFGLLSDWIGFLYCNLLCHGKIIIQFTW >ONIVA10G06560.1 pep chromosome:AWHD00000000:10:7521190:7523366:1 gene:ONIVA10G06560 transcript:ONIVA10G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHGIMRPRRLASGRSAAAAEEEGEDGEGEPGSYEAACSADPELGTFDTALRRRASRAITAVASGVEVRSLSLGSLREVTGCLLDMNQEVVRVVLACKRDVWRSPDLFDLVEDYFEGSLHTLDFLAALDKSLHRARDSQLVLHLAVQRHHHEPPAAAAASASELYASTLGELRQFKAAGEPFTDEFFAAFQTVYRQQMSMVGKLRRRKRRLDRRLRSVRVWRRVSGIVFLTAFAALLVCSVVAAAIAAPPVAAALAAAASMPVGSAGKWMDSLLKKYQDALHGHKEVVSAMQVGTFIAIKDLDSIRVLVEHLEVQISSMADSVEFAERDEEAVRFGIDEVKKKLELFMKSVDDLGEQADRNNMRLCHILPEYVFFINPANGNGMSESLFEMMNAFHDICRKDIKFKTSHYYLNVCSTNY >ONIVA10G06550.1 pep chromosome:AWHD00000000:10:7509696:7512311:-1 gene:ONIVA10G06550 transcript:ONIVA10G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFRGVEFAALRLWPCGSFLHADEDGRSVYHGSVRDGDAWLPNAVWAAPNAVWAVEELVAGASHTRYVLLRGAYGRYLGAGAPDARDRDQERCACPLPSCPLPCCSLQAAQRDRDDAEPDDIMWRPIGCSGTDIAGSVVLLQDRSGRYLRGNQGFLARHHGVSVDVNIGNEMTLRWDVVRVRVPTRPERPIVPHLPCWPLLNREIQFVTVDDADNFGFGSVRFTGRSVELLREDLMRRIGYDDFTMCVRAGRHGRLTPLFIDLPHSRETLCIVLIRPNTPVNDRLLTSILKAEDDATKAAAVELQHRQELLWEREEALRVRTETALRRWEGRLQGRERATRVREDASLQRWMEGLGRRELALTQREERVSGMEATHRAATSRDKPSAPLLKKEDNIWEKRQMSLSISLLTPLALLFSVRPLIPAEYDHYILMAFIAIWGLGSLAFQFGLFGSNSGEKSFSRFVFISFTALVLYTLHLEMMEAKGYSAAPLSPLADVSNVTLFPVVLDDQTWTVIFWIYFVLVLSGHLYAWATAYITGSDKDLE >ONIVA10G06540.1 pep chromosome:AWHD00000000:10:7499037:7499654:-1 gene:ONIVA10G06540 transcript:ONIVA10G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWRWRGGAGGGARLAQAVATAVPARRHRHCRPHPHAVVAAPALAAAPTLARMPPSLLQRLPLPLWPPPAATAAPAASAHCPFCSSDEDVEHLFLRYTGVATIWHAFGLDEQQIASLPQLEGVWDIPPPGQPATPRVWHTILLAVMWNIWKRRNNKVFNSVDDPASLVLQRCASDIDLCSHRCKNAESKQQLRNWASYLYVIIS >ONIVA10G06530.1 pep chromosome:AWHD00000000:10:7493946:7495913:-1 gene:ONIVA10G06530 transcript:ONIVA10G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVASVRGLAADDPFGVELMHVLIDDTDIDDNVRRLENTVPDAWATVESCDVAELLLASLDAGVPFSCRVNGSCFAIARERIKQQFTYRDGEITKKRGEILIGRERAHAHTREFPFAYGAELALSSWLGGVTAWRCSSPATRVLLGSRRQVHASSSASATGRSSSTCISPRRPPAELVGFTDFHVRSMRSILSSTASSFLSSLSLSSSSSSSAAAQMGGRQRMKIIQSFQDVPEDYDHWTPGSRRLQNRLYQRHRAAVPPATPPHGVAESRDYRACCYAPPLAAPCTAPPPATVITASRRQCDHSNIGHSYVIP >ONIVA10G06520.1 pep chromosome:AWHD00000000:10:7488846:7493611:-1 gene:ONIVA10G06520 transcript:ONIVA10G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRHFLPPRPRRRPRPRREAPAAEPPTPTYTRDVVRRVDAILRGHPWSAARPLLLSLPGLAWDSHTVARVLKAHPPLHKAFLFFRLAAGAGGGFRHDRFTYTSMLHLLGEAGRVPAMMRLLAEMLRAGVDPDAATFTTVMHWLAHAGDVDAAMRVWEEMRARKGKCRPTLVSYTACVKILFDAGRPAEAREVFQEMVAEGLRPSCKTYTVLIEHLANVGKFEATMEIMDKMQEAGVEPDKALCNILVQKCSRACETSVMTRILQYMKENFIVLRRPIFLEALEALKANEHMINEMTPKNIKVESHILSDIIQASCANCRPSCGLAVLRYSLRIGNELDRSAYGSLLGHYIRNGSFDLVFEIVEILIKSGCNLGTYLSSILIIKLACAGHSSTAVRIFGLLTTDKNVVTYTALMSAYFQDGKVDKALQLFSQMSANGVSACPGTYEVLIHGLQMAGRKQESEHYRRERMEMQWHLQYRNEHSPEDSLLTHNEVWTVHSSAGDN >ONIVA10G06520.2 pep chromosome:AWHD00000000:10:7488846:7493611:-1 gene:ONIVA10G06520 transcript:ONIVA10G06520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRHFLPPRPRRRPRPRREAPAAEPPTPTYTRDVVRRVDAILRGHPWSAARPLLLSLPGLAWDSHTVARVLKAHPPLHKAFLFFRLAAGAGGGFRHDRFTYTSMLHLLGEAGRVPAMMRLLAEMLRAGVDPDAATFTTVMHWLAHAGDVDAAMRVWEEMRARKGKCRPTLVSYTACVKILFDAGRPAEAREVFQEMVAEGLRPSCKTYTVLIEHLANVGKFEATMEIMDKMQEAGVEPDKALCNILVQKCSRACETSVMTRILQYMKENFIVLRRPIFLEALEALKANGESDNLLREVNPHLAFEGIECDPAFTDLGYITVRSTILYLLASRNWSAVEHMINEMTPKNIKVESHILSDIIQASCANCRPSCGLAVLRYSLRIGNELDRSAYGSLLGHYIRNGSFDLVFEIVEILIKSGCNLGTYLSSILIIKLACAGHSSTAVRIFGLLTTDKNVVTYTALMSAYFQDGKVDKALQLFSQMSANGVSACPGTYEVLIHGLQMAGRKQESEHYRRERMEMQWHLQYRNEHSPEDSLLTHNEVWTVHSSAGDN >ONIVA10G06510.1 pep chromosome:AWHD00000000:10:7483737:7488630:1 gene:ONIVA10G06510 transcript:ONIVA10G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLGTTTTASNQLIVATFHRVCGRLRRLHLSQHVARVVPCATQMNSDEEHISLGLHVLYLATGEIAAKNPVQQRTTAQRAKANSQKTQTKTRSTPPSTTPALYPGFVVELLGELWVLVGPISSLEQEGFQDRGNWGPIWCQLGSNLEVEAIDPAGEMIAAVGRGPEGWGEDEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNEDDDKFDCENMQWNSSDLASDDAQSPKPQRSRSRQHRGFQTKSFSRSMSCDSHSKASFSSSSRAHTKVDLSKLEMTALWRYWRHFNLDASPNPSREQLVDAVQRHFVSQVIVGFVQAAKRLKTNVKVA >ONIVA10G06510.2 pep chromosome:AWHD00000000:10:7484378:7488630:1 gene:ONIVA10G06510 transcript:ONIVA10G06510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVGRGPEGWGEDEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNEDDDKFDCENMQWNSSDLASDDAQSPKPQRSRSRQHRGFQTKSFSRSMSCDSHSKASFSSSSRAHTKVDLSKLEMTALWRYWRHFNLDASPNPSREQLVDAVQRHFVSQVIVGFVQAAKRLKTNVKVA >ONIVA10G06500.1 pep chromosome:AWHD00000000:10:7456226:7459027:1 gene:ONIVA10G06500 transcript:ONIVA10G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLAVKKIGVALGNEAINQATSYFKKFVTQLTELQGSMGRIKRELRLMHEFLSRMDVRNRNNQTYEIWVEEVRMSVHRIEDIVDDYLHLVGHKQDTGWGTYLKKGFKRPNVLFSLNRIASSIKDAEANLVHLFQAKERWVWMAGGRATGSKSSSYIIETSRHLANISHSLDEDLVGVDENIRKLHEWLTSDELQREVIALHGMGGLGKTALAANVYRNEREKFECHAWVSISQTYSIKDVLKCLVTELDLKKKIQGNIGDMDTATLQNELKKFLMDQKYLIVLDDVWVPEAVNDLFSIFVSNLKGSRVLVTTRIDGVAHLAFPDKRITLEPLSEKKSWELFCKTAFPRDKNHECPTKLTVLAQQIVSKCEGLPLAIVSIGRLLFVRDKTEEEFRRIQNQLDWELINNPSLEHVRNILYLSYIYLPTHLKSCFLYCSMFPEDYLITRKKLIRWWVAEGFVEERGGNTMEEVAEEYLKELVHRNMLQLIEMNGFGRIKSFRMHDIVRELAIDLCRKEHFGRSYNCENKHGKFLEGKDERHVVIHKLDKHINQAILNECHSLRCLITLDEATPPSPCLLHLVADKCRYMSVLELTGLPIEKVPDAIDDLFNLRHLGLRGSKVKHLPNSIEKLSNLLTLDLNETEIQEVPNGIVKLKKLRHLFVEKMNELYGREFRPRTGVHIHKGLEKLNELQTLQGLEVQDEVSLRRLGELRQMRSIRIWGVKESYCESLCESLQQMEFLSFLSVNASGKEEVLKLDGLNPLPPNLRKLNLRGILAEAGMLLGSPAAGDQNNHSLYSVHLLWSQLIEDPLPSLSRWSSLTDLMLTRAYVGELFVFHQGWFPNLKELVLRDMPDLKRLEIHDGAMTSLQDLTLVNLSGLTEVPFGIELLSTLKNLGFWEITQDFLAALRQCHRIHHMQWWYSVRGETDGAL >ONIVA10G06490.1 pep chromosome:AWHD00000000:10:7452305:7453847:1 gene:ONIVA10G06490 transcript:ONIVA10G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFQQGDWLFGQYSSHPNEIPYLQAPSTGSFRPKLMSGFRPYTTSYGDMSSFGGGSSSVPNELRTSQTDDAPQVTQPTQPEVGEGNDNDPRRSNRERHEPNRLSLSGPRHAARQRKKTTKKRGGTSTTTTDHDDDDEPDLEAETE >ONIVA10G06480.1 pep chromosome:AWHD00000000:10:7437904:7449716:1 gene:ONIVA10G06480 transcript:ONIVA10G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSSSYSGGQFPGVSPLGTRPKRSTTVVPLPVVTRATAGGVRNNLEVVGNAGTLQGMDIDELRVIVRKQLQGVELSPSSYDTAWVAMVPVQGSPQSPCFPQCVEWILQNQQEDGSWGHSAGPSGEVNKDILLSTLACVLALNTWNVGQDHIRRGLSFIGRNFSVAIDGQCAAPVGFNITFSGMLHLAIGMGLKFPVMETDIDSIFRLREVEFERDAGGTASARKAFMAYVSEGLGREQDWDHVMAYQRKNGSLFNSPSTTAASAIHSCNDRALDYLVSLTSKLGGPVPAIHPDKVYSQLCMVDTLEKMGISSDFACDIRDILDMTYSCWMQDEEEIMLDMATCAKAFRLLRMHGYDVSSEGMARFAERSSFDDSIHAYLNDTKPLLELYKSSQLHFLEEDLILENISSWSAKLLKQQLSSNKIMKSLMPEVEYALKYPLYSTVDALEHRGNIERFNVNGFQRPKSGYCGSGADKEILALAVDKFHYNQSVYQQELRYLESWVAEFGLDELKFARVIPLQSLLSALVPLFPAELSDARIAFSQNCMLTTMVDDFFDGGGSMEEMVNFVALIDEWDNHGEIGFCSNNVEIMFNAIYNTTKRNCAKAALVQNRCVMDHIAKQWQVMVRAMKTEAEWAASRHIPATMEEYMSVGEPSFALGPIVPLSAYLLGEELPEEAVRSPEYGQLLRHASAVGRLLNDVMTYEKEVLTWTPNSVLLQALAAARGGGESPTPPSPACAEAARGEVRRAIQASWRDLHRLVFRDDDGSSIVPRACRELFWGTAKVANVFYQEVDGYTPKAMRGMANAVILDPLHLQQ >ONIVA10G06480.2 pep chromosome:AWHD00000000:10:7437904:7449716:1 gene:ONIVA10G06480 transcript:ONIVA10G06480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIGLSFIGRNFSVAIDGQCAAPVGFNITFSGMLHLAIGMGLKFPVMETDIDSIFRLREVEFERDAGGTASARKAFMAYVSEGLGREQDWDHVMAYQRKNGSLFNSPSTTAASAIHSCNDRALDYLVSLTSKLGGPVPAIHPDKVYSQLCMVDTLEKMGISSDFACDIRDILDMTYSCWMQDEEEIMLDMATCAKAFRLLRMHGYDVSSEGMARFAERSSFDDSIHAYLNDTKPLLELYKSSQLHFLEEDLILENISSWSAKLLKQQLSSNKIMKSLMPEVEYALKYPLYSTVDALEHRGNIERFNVNGFQRPKSGYCGSGADKEILALAVDKFHYNQSVYQQELRYLESWVAEFGLDELKFARVIPLQSLLSALVPLFPAELSDARIAFSQNCMLTTMVDDFFDGGGSMEEMVNFVALIDEWDNHGEIGFCSNNVEIMFNAIYNTTKRNCAKAALVQNRCVMDHIAKQWQVMVRAMKTEAEWAASRHIPATMEEYMSVGEPSFALGPIVPLSAYLLGEELPEEAVRSPEYGQLLRHASAVGRLLNDVMTYEKEVLTWTPNSVLLQALAAARGGGESPTPPSPACAEAARGEVRRAIQASWRDLHRLVFRDDDGSSIVPRACRELFWGTAKVANVFYQEVDGYTPKAMRGMANAVILDPLHLQQ >ONIVA10G06480.3 pep chromosome:AWHD00000000:10:7438013:7444636:1 gene:ONIVA10G06480 transcript:ONIVA10G06480.3 gene_biotype:protein_coding transcript_biotype:protein_coding LKLLLSLTVPLIIWYVHLALPLLKATCGSVITSGHPNGRLVGPTPRRATTTLHGSLPLPLPRLSISRAITDAVEKWWRRWERKR >ONIVA10G06470.1 pep chromosome:AWHD00000000:10:7394725:7398517:-1 gene:ONIVA10G06470 transcript:ONIVA10G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLVCMCSLLLMFLISYALQLFGDARRRLPPGPTPLPLIGNLLDIASDLPHRSLARLAGRHGPLMAVRLGTVVAVVASSPSTAREVLQTHNGSLTGRVPPDAWHGVGHAANSVFVLPPRRKWRALRRIGAEHLLSARQLDGRRLLPLLRDAVLDLLRRVSEMSAASGGGAGAPVQVGHAAFAAMMDMQWRAMFSAGLEDDDARVLQDAAREAVALSLKPNLSDFYPALAAVDLQGLRRRFAGRVGTVYHLVDEQIERRMRRRREAAGDDGEARSEDDLLDVLLDMSEHGKDDGKVAIDRDLIRTFLTDIFLATVDTIASTLEWAMAELLQDRETMRKLQEELKKVLGSKTHAEYADMDRLPYLRAVIKETLRLHPVVPIVPNVAEEMVEIHGHVVPRGSTILVNLWAVHRDAEAWPEPNRFLPERFMLRQHGQEAAGRALGTATTEFELIPFSAGRRVCLGLPLATRMLHAMLGSLLHRFEWTLPLEVEENGVDMSENLGLTMTMATPLQAIAKSI >ONIVA10G06460.1 pep chromosome:AWHD00000000:10:7391170:7392491:1 gene:ONIVA10G06460 transcript:ONIVA10G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTAWAACPTCTGAPPDAADISDPQQRHAGPVELPRREQVLGADPPQRAPLAARREDEHGVGGVPDPDAVPRGRFKVSIETRRGNKPQFRRLRLRLLHQGRKMSSFKEERSEGKVPATAIDPTNEKKKRTKMVRYTQDQIQYCFANSVELSDDDEDDFKLTEVLSKECLGRMSQEYLAKLYAMEIAEEKEKANLKKIQDVLRNERENIFNIRDKPEDVLKQYYTKGYAEYEVVVDDDKGDEDNKVHARVAPPGRRRFRNGVAMKKNQSGGGSIIRKIN >ONIVA10G06450.1 pep chromosome:AWHD00000000:10:7385689:7387361:-1 gene:ONIVA10G06450 transcript:ONIVA10G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPPTPAASPAARASVVFPTMADIMSASRAQGLRVRLTTLGPFFRVTAARRGGGSGGEGETEEVELGRAQGVVRPWPGGAVLHLDFMRMSRATLQVPDRPLFGLGVFLGAVAVRHGFDAGCKRAELLAINDTDLYHSKLVRFYSRMGFKTVHEVDGSSMIDLAHMLVWGGAQEWMLTLNSFLSNGARGSDLKTRKKIGVAAFDIILCVQLNGMITDRSIYLFIS >ONIVA10G06440.1 pep chromosome:AWHD00000000:10:7379225:7382599:-1 gene:ONIVA10G06440 transcript:ONIVA10G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVGRSSRGGCRSRCQVIVVARSWLHLCRNPTGSFPAPPRPPRWCHASPSRRQVVVTRSRWLLRRHLHESPLLMAISSLFSSLHESPYLIPLSSSLCFLRALPLCSVLLISSQGYVRKGMQRWSIVHLWARPQQSSLLL >ONIVA10G06430.1 pep chromosome:AWHD00000000:10:7373194:7374294:-1 gene:ONIVA10G06430 transcript:ONIVA10G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRFAAGLLPSAADSSLRRSPLHHPALSPLLPPSPNPNLIRSAAAGTADPRSVARLHSAAAASKTSSPPPPSATTPPQPPSAPTPRCPTPPGPSSTRRRCGHRPRPHRRLAPTAPPHRAARVLPILAAAGPAPPGGGRRWRHRPARRDGGGAKALLN >ONIVA10G06420.1 pep chromosome:AWHD00000000:10:7370402:7373075:-1 gene:ONIVA10G06420 transcript:ONIVA10G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDA1/CD39 nucleoside phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G19180) TAIR;Acc:AT4G19180] MRLSSSLQDLPTFSRIDALERGSSTGSDLVSGRAKPIRTPQRDGAVASFSKEKTPPSSPTNRKKCMRAAGCAIALFLLVFFIYASLRYFHVFLSEGSPEYYVILDCGSTGTRVYVYEWSVNHDDGNTFPIALKPLGNAPKKKSGKLTGRAYQRMETEPGLNKLVHNETGLKMTIEPLLRMAEKLIPRRAHKHTPAFLYATAGVRKLPSADSEWLLDKAWDILKNSSFLCSRDRVKIISGMDEAYYGWIALNHHLNMLGTSSSKMTYGSLDLGGSSLQVTFETDNSIQDETSMSLRIGSISHQLSAYSLSGYGLNDAFDKSVAHLVKKLGGAAGNGKVQVKHPCLQTGYKEDYICSYCHPLKLDGSPSVGGKTTGKENQGMAVELIGMPQWNECSALAKLTVNLSEWSNASSVDCNTKPCALPSTFPQPHGKFYAMSGFYVVFKFFNLTADATLIDVLNRGQEFCEKTWKVAKSSVPPQPFIEQYCFRAPYITSLLREGLQIKDNQVIIDSGSITWTLGVALLEAGQVLSTRIDIQGYRILHREINPNILIVLFLISIVLVICAILCVSNSIPRSFRKSYLPLFRQNSAGSPVLSMGSPFRFHLWSHITSGDARTKTPLSPTVAGSEPHPFSMSHGLGGSSVQLMESSRQSLGVYHSYSVGSLGQMQFSSGMWKPGQTTLQSRRSQSREDLTSSLADLHLPKV >ONIVA10G06410.1 pep chromosome:AWHD00000000:10:7361461:7367279:-1 gene:ONIVA10G06410 transcript:ONIVA10G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNVPFATLCALSFRMSVRALAMRPVFPRSLNSHRERIRPRACAADRWWDTCGLRGRRGCQIGVGERESRGNKREVGERRRAPDHQAGAGLAPPVAVADGSTRVGRQRGGGIYRREGGQIRAGAPTAGRRPRRHRRWRALWRCGCLPRTQIQAAWRKKCGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAICKCARVQLVKNGKKIAAFVPNDALSSSRLRGRDGSGGDHGDATAAAAASREVHRPPQAAAGMDAGGGRAAAAPRQGERLPPLEPGRQEHATPLRKVVPRQVAPPPRPRDVYHRPFTARDDDELLRLHYRLGDRWKEIGRAVYGRTSRVMKHRWRELRRGGFLAAAARKEQAALDMADDTVETSEVEEPADQSLPSLELQRSTLADTLASSFGSCSLATDHVMDPLAGSLALGKYQFFTIINIKDVFAGTLVRHPWLPSLVKENGFRRWSRVTRSMPRRSARLCRDRWCHHLARDVYHRPFTARDDDELLRLHYRLGDRWKKIGHAVYGRTSCVMNHRWRELRRSGFLAAAARTEQTLDMADDTVESEMEESDQSLPTTRKSIIASGRKGSLQAGRPSACKPTTVKIADLRRRRGRPPAKIIFAGGRWLVRSACENRNRPPTKKKNFRLRR >ONIVA10G06410.2 pep chromosome:AWHD00000000:10:7361461:7367279:-1 gene:ONIVA10G06410 transcript:ONIVA10G06410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNVPFATLCALSFRMSVRALAMRPVFPRSLNSHRERIRPRACAADRWWDTCGLRGRRGCQIGVGERESRGNKREVGERRRAPDHQAGAGLAPPVAVADGSTRVGRQRGGGIYRREGGQIRAGAPTAGRRPRRHRRWRALWRCGCLPRTQIQAAWRKKCGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAICKCARVQLVKNGKKIAAFVPNDGCLNFIKENVSLSSSRLRGRDGSGGDHGDATAAAAASREVHRPPQAAAGMDAGGGRAAAAPRQGERLPPLEPGRQEHATPLRKVVPRQVAPPPRPRDVYHRPFTARDDDELLRLHYRLGDRWKEIGRAVYGRTSRVMKHRWRELRRGGFLAAAARKEQAALDMADDTVETSEVEEPADQSLPSLELQRSTLADTLASSFGSCSLATDHVMDPLAGSLALGKYQFFTIINIKDVFAGTLVRHPWLPSLVKENGFRRWSRVTRSMPRRSARLCRDRWCHHLARDVYHRPFTARDDDELLRLHYRLGDRWKKIGHAVYGRTSCVMNHRWRELRRSGFLAAAARTEQTLDMADDTVESEMEESDQSLPTTRKSIIASGRKGSLQAGRPSACKPTTVKIADLRRRRGRPPAKIIFAGGRWLVRSACENRNRPPTKKKNFRLRR >ONIVA10G06400.1 pep chromosome:AWHD00000000:10:7325648:7325989:-1 gene:ONIVA10G06400 transcript:ONIVA10G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVAAMAVVALLLLMALVPEMALADAAGEGRRQQPPRPRPPALLVPFRARSSSPHRRQQPPPALFRGRAANGCMPRGFRVPPSAPSRYANYHTLDAGLCDDHGGGGGRRKP >ONIVA10G06390.1 pep chromosome:AWHD00000000:10:7290951:7291193:1 gene:ONIVA10G06390 transcript:ONIVA10G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPTDVRPDVGTPVDAGSAAEEPAEAGSATGTPVDAARSSRRPIHAGDPADDTLPADDAAPPVIDAFAIGSSLGEATVA >ONIVA10G06380.1 pep chromosome:AWHD00000000:10:7287458:7288603:-1 gene:ONIVA10G06380 transcript:ONIVA10G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTNLSKKLAVALVALAVACAHALAARDLVDAAAMAQRHERWMAKHGRAYADDAEKARRLEVFRDNVAFIESVNAAASQHKFWLEENQFADLTNAEFRATRTGLRPSSSRGNRAPTSFRYANVSTGDLPASVDWRGKGAVNPVKDQGDCGCCWAFSAVAAMEGAVKLATGKLVSLSEQQLVSCDVKGEDQGCEGGLMDDAFDFIIKNGGLAAESDYPYTASDDKCATAGAGAAAATIKGYEDVPANDEAALLKAVANQPVSVAIDGGDRHFQFYKGGVLSGAAGCATELDHAITAVGYGVASDGTKYWLMKNSWGTSWGEDGYVRMERGVADKEGVCGLAMMASYPTA >ONIVA10G06370.1 pep chromosome:AWHD00000000:10:7285572:7286685:1 gene:ONIVA10G06370 transcript:ONIVA10G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAGARRRPRPPRGSSSPAAASSTPELVGAGRVRAAGVSRICAAPTVVCALTSEGGGRGALSRLREVDMERFRWDIPTGYRYNE >ONIVA10G06360.1 pep chromosome:AWHD00000000:10:7272384:7279531:1 gene:ONIVA10G06360 transcript:ONIVA10G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVLPIPMDEATMAEFRQRGQVPEDVESSIGTVLSYIHFAIPDAPVSSHARLSALPPDDDDDGVDRLSLLPDALLRRIVSRLPVKDAARTAALSSRWRDAWRSTPLVLVDADLLPAGVSDADTDAAREEARAVTFAVSRVIAAHPGPFRRLHLTSSFMDQYQGLLASWLQVLAVKGIQELILVNRPFPADLTLPATFFGMATLTRLYLGLWKFPDTAALPRAACFPNLRDLGFSLIGITNHDMDFVLARSPVLETLCLQANTLQRVRVASRSLRCLMMMGFDQDVNVVNAPRLERLIMLYSCGSSMLVKIGRAPSLRAIGYLDLETHVLEIGDTIIKAGTRASPSTMVPSVKILGIIVCFGVRNEAKMLPSFLRCFPNVETLHVESRKTDELTGKLNLKFWQDAGAIECIQSHITMMIFRRFRETRGEINFLKFVLESARMLKKLIIVSPKGTFASTDEANFRLKPLFATKWASKCCSLVVLESDASAGESNWNFERGCDFSLMDPFAIIIRSSRLDISGKLASTPLHSPHHTTPQTLVGSSPSLVPFRPRRRRAATMDTRVPPVPMGRAMAAEFRRRGDDPDDVQGTVARVLSYIHYALPDPPVSAAARLYALAPHDAVDRISALPDALLRRVVSRLPVKDAARTAALSRRWRPLWRSTPLVLVDAHLLSSSSAAPGAPDDSSKKAREAARGVTHAVSRVMGAHPGPFRCVHLTSSCMEEFQGMLANWLQVLAMKGIQELVLVNRPWPLDLGLPATFFGMATLTRLYLGLWKFPATADLPRGVSFPNLRELGLCSVVMDTQDMDFVLARSPVLETLCIKGNLFRMRIRLASRSLRCAQIIGSSYEEIAVVDTPCLERLIVSGTWRHDGASSGSVKIGNAPALRVFGYLDPAANVLVVGKTAIKSATKVSPSNMVPNVKILALEVRFGVRNDAKMIPNVLRCFPNIERLHIKSGKTDETTGKLNFKFWQESGPIECIRSSIQMIVFHDFHGTRSEVNFLKFFFETTRVLKIVVILFDTGSFSSMDEVRSKVEVLRAAKRPTGCSVLVTPSTEPEECNIWSFKRGSDFSRFDPFTDY >ONIVA10G06360.2 pep chromosome:AWHD00000000:10:7278934:7284858:1 gene:ONIVA10G06360 transcript:ONIVA10G06360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCDPRQISLEVPAASSESMAEGAPIIYLDPATVAEARRRGDDPRKLEECTKYLMTHIYGLLPGPPVPIAAARTHAACAAAASDGVDRISALPDAILRNIVSRLPVKDAARTAALSRRWRPLWRSTPLVLVDAHLFPRGRSFGVSASAPTRADTPGIVAAVSRILAAHPGPFRCVHLLCGFMGSYQAQLEHWLRFLAAKGVDDLILVNRPWPFEAALPAAILRISTLTRLYIGMWKFPDIAGLPTNTAFPNLRELGIYAVAMEKEGREVEFIVARSPVLETLNIQGGNTQVLRLRLEHRSLRCVQICSCCVENLAVVDAPCLERLVLYDSLSKDDSCVRVKIVHAPRLRLLGNLETGFHMLEIHDTFVSAGIRSSPSALFTSVKILGLNVNFGVRHDAQMLPNFLKCFPNAESLHVVCAKCSEATSLVSPNFWDDAGPIESIVSHVNVLTFREFKGEANAISFLKYFVQNAQMVKNVAVVLANPQFTSYSIDTLWTAKILKSVRWASKISSMQVYRSDDSEGGLIWSFQRGSDYSIRDPFVY >ONIVA10G06350.1 pep chromosome:AWHD00000000:10:7266774:7267010:1 gene:ONIVA10G06350 transcript:ONIVA10G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSMVLAGSLPGPGVASESATASQSCASNQLKGHMFTPPSSSLVSREPVTTEAGRAGDENEADMDDENDNNTYFEYL >ONIVA10G06340.1 pep chromosome:AWHD00000000:10:7261180:7262407:1 gene:ONIVA10G06340 transcript:ONIVA10G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMINNKNIFYKFKNAPNLEKVILANCKVLLFFFMFILLLCFWSILSLPSCVVFQKIPDNSRKSKIMARLILHGPSKRESVIMFESQDLKLIMITYKDDDISDSIELLLYSRRKLENNTTILTKH >ONIVA10G06330.1 pep chromosome:AWHD00000000:10:7260008:7260568:-1 gene:ONIVA10G06330 transcript:ONIVA10G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPMVNLEHHGPAPEATDDPSSLVSRHIYVGLSYDVTVVWEMQLESVEAVRGVVGDEQVGGEILQLICPAQPARRGVCNRGPQLVAIDDDARHGAPQVAPPPGQRVRLHGLPRREEGHMIVWTRQSGNALRRSPSSSAFGWSSSSPVLVLSSQDRSQKMVELGCPGRRDATGMQSYAIRSNCM >ONIVA10G06320.1 pep chromosome:AWHD00000000:10:7249199:7249749:-1 gene:ONIVA10G06320 transcript:ONIVA10G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLAAAAVELPPLADVSAFSTTTSVARLALRDTTQTTTTMDTSSAAATESITASTSLGQLRHLPTVAPPPPHRSGQPPASIWSKDMTFSFGDILAAIEHFNDAYCIGKGSFGTVYRANLDGGRVVAVKRLDASETGDACCGS >ONIVA10G06310.1 pep chromosome:AWHD00000000:10:7244637:7245751:1 gene:ONIVA10G06310 transcript:ONIVA10G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKALLFAILSCLCLCSAVLAAREQSDHAAMVARHERWMEQYGRVYKDATEKARRFEIFKANVAFIKSFNAGNHKFWLGVNQFADLTNYEFRATKTNKGFIPSTVRVPTTFRYENVSIDTLPATVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESKYPYTAADGKCNGGSNSAATIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIVAIGYGKDGDGTQYWLLKNSWGTTWGENGFLRMEKDISDKRGMCGLAMEPSYPTA >ONIVA10G06300.1 pep chromosome:AWHD00000000:10:7224083:7224361:-1 gene:ONIVA10G06300 transcript:ONIVA10G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGEKGLYDGIFRMLLLSMDEVLIVGFGWKGHAVGDIPGVRLKVVKVSVVSLFALFKENKKQRS >ONIVA10G06290.1 pep chromosome:AWHD00000000:10:7221276:7222283:1 gene:ONIVA10G06290 transcript:ONIVA10G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACRGQSPATSARRETLAAGNSYLHTAPPMATHIANDGGTGESKFILLGVIDIMTESLYDKCVDEHGVVDFALSGGMSNQKQSKKFLRALNEQCDL >ONIVA10G06280.1 pep chromosome:AWHD00000000:10:7220325:7220705:-1 gene:ONIVA10G06280 transcript:ONIVA10G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKIAPLLALTLLILFFGCAVTNCTGKPVAPTPPSHDDHGRCPIDALKLRVCANLLNGLIGVKIGRGPDDCCPLLAGIADLDAAVCLCTALKANVLGLINLNLPVDLSIILNKCGKNYPSGFTC >ONIVA10G06270.1 pep chromosome:AWHD00000000:10:7148424:7152329:-1 gene:ONIVA10G06270 transcript:ONIVA10G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQX1] MPPTLALLLFLALSAVAAVGGAGDVRLFPIEWTPPPSSTASPSPPSPDFSSDPSTPATPVDNGGPALLPPPPPNTVAADVSSSRSGPDPRARGGGGGGTPKAAIVVASAAAAAVLALLAFAAAFLLTGRLARHPAAAAAQAHKPPGHAHAGAGSVAGAHADVAGCSTAVSPYRKVRPERARRGMCRDVDTVPSPELRPLPPLRRGASALTQGSSDEDAAYYTPGQRSAGSGGGGGAEGGGTWSEASASSPRTTTASRRSLPSLTSDFFPTTPAAAPVPAPAAAAPPPAPPAPRSRRTPPRTRFSAGSGAEMIKQMASPPSNPPPAPPPPPPPPSRFNNTTPKPPPPPPPPEPPTGPVSARRLLRPLPAEGPSIVMPRAPTMAVTKDNDATAATMSVRTRGEAAGDEPRPKLKPLHWDKVRASSDRDMVWDRLKLDEDMIEVLFMNNSTAAVAPRMDTPKKVGMPQFKQEERVLDPKKAQNIAILLRALNVTLEETLVKMAPTKEEELKLRDFTGDLSKLGSAERFLKAVLDIPFAFKRVDVMLYRANFENEVNYLRKSFQTLEAACDDLKGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGADGKTTLLHFVVQEIVRSEDAKSEKAPENHITNIAKVEQLRRQGLKVVSGLSTELGNVKRAATMDFDVLHGYVSKLEAGLGKIKSVLQLEKQCSQGVNFFATMREFLKEAEQEIEQVRRDEKAALGRVKEITEYFHGNAVKEEAHPLRIFMVVRDFLSMLDHVCREVSQQDRTFVGSARSFRISAANALPILNMQGQKGGRESSSDGDSPSM >ONIVA10G06260.1 pep chromosome:AWHD00000000:10:7140229:7141103:-1 gene:ONIVA10G06260 transcript:ONIVA10G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPDLAAGFFLLLPPSSRAVDVPPAAVDALPSPCRDGEGPPAAGRRSSRRKLGRGESSAGRPGEGRPDTDVLGRGVSRHATRFPRWLGGAMVLSGGVCWWWSMSASVGGPQQLHDDNVRYGGCDGGCRIW >ONIVA10G06250.1 pep chromosome:AWHD00000000:10:7110582:7112756:-1 gene:ONIVA10G06250 transcript:ONIVA10G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNFNPVNDSDWLIRAAMLLSFTLQVILFFVAPTRKRISHPLPHLAVWFSYLVAGWVAVVGLGLLLYSLSISGSNNGSSSIFAFWTPFLLLHLGGPDTITAYSLDDNELWLRHLAGMLFVVFAALVVFFSSVTSNPMVTATVLVFVAGVIKYGERIYSLYSGSVRGFRDKMLGEPNPGPNYAKLMTEFESKKNAGLMVEIIVVDGEPNEALEQAEVMKNSGKSLEAVAYELFAMFRVLFVNLILSYKERRISQAYFLDRGDVMTAAAAFEVVEVELGFLYDMAYTKATVSSTRRGCLLRFVATACLVVAVVLFVLIDDKAGVRPVDRGVTYALLLGGVALDVAGYLTLLSSDRTLAFLDGKPKVAWLARVARAVRLPTRRWSERITKMNLISYSLGKPEEDAGRRCWCCRWTTIPRVVRCLTWAADMVGVREILDDFFFIRHEPVSCRKIKDSKKGKKSIDVLNYVFDGLRKTASEARYSGGNDMKEVCDYRGEGVINELVGDIQLMELNVNDVMRDSVRREFDESLLLWHVATDLCSRRRRDMEVTRNGDIQGLMSISETLSEYMLYLLARRPEMLPATAAGIGLLRYRDTRAEARRLFRSAAAWDPSHHDAQRMLVEVDTSKKPAVVKGDESKSVLFDACILAKALLQLGDDTMWRVVAGVWREMLVHAAGRCHGSTHVRQLGRGGELITLVWFLMAHMGIGDMYRTQVGDANAKLVVLDQ >ONIVA10G06240.1 pep chromosome:AWHD00000000:10:7106310:7106678:1 gene:ONIVA10G06240 transcript:ONIVA10G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEKSENASEYVTRRRDNGRSSGRGKSSPQRCTQSDEAMRVSTMADEAADHSAVASSGVRERQDRVDERGNRRCHGRKDGWMDEVCCESERLWWRTWILAHEASGIWWRENAGGRRMEAWN >ONIVA10G06230.1 pep chromosome:AWHD00000000:10:7044636:7048278:-1 gene:ONIVA10G06230 transcript:ONIVA10G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVAQALLLLVVVSNSLLLPSLGLAGQEAHEVAMAGLHDQQPPSPAAVAARVSVAHADLPMVASSVLGAESWLRAHVLAHYPSNHVTAIAVAVACARGGSRHGQDLRASRAAKNLHHALVRWGLVDEIKIDASSAPCAEEVGGGALKRRLYGMHHLPPPLPPTSVASPPPPGVPLSFAPNAPPEVVPSVPPAAAPPSTPVVVVPAPATSPPMSMPATPPEAAAGGMAPCSAPPTAAMSPQPWSGEGGNGGGQWCVAKPTVPLDRLQEAMDYACSQDGVDCQEISGGGSCFYPDSIAAHASYAFNSYWQKMKHIGGSCSFGGTAVLINSDPSSLSEAKIWIS >ONIVA10G06220.1 pep chromosome:AWHD00000000:10:7024786:7031636:-1 gene:ONIVA10G06220 transcript:ONIVA10G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPAGLPLPLPLVVAVALAAAAVMVVEARFVVEKNSLMVTSPTSLRGRHDSAIGNFGVPQYGGSMAGTVVYPKDNADACEAYDGDRHFRAKPGALPNFLLIDRGNCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKAIKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLMNFLKEFKGAAQLLEKGGYSQFTPHYITWYCPQAFVVSKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVFQVAKESKKPWIWWDYVTDFHIRCPMKDKKYNKKCAETVIKSLGLDVKKVDKCMGDPNADSDHPLLKMEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSDEMETNECLNDNGGCWQDKAANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQESGNGKCQCPAGFRGDGVKKCEDINECKEKKACQCRECSCRDTWGDYECTCSGDLLYIKEHDTCISKTAVQGKAAWAAVWGILIVLVVVAAGSYVVYKYRLRSYMDSEIRAIMAQYMPLDSQGEVPNHTNDEEHH >ONIVA10G06210.1 pep chromosome:AWHD00000000:10:7001437:7006664:-1 gene:ONIVA10G06210 transcript:ONIVA10G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCQSSRPTAAVAAVVAAVSMIIVLVSGTAIPSAAAAAAVEHTFVVSQVNMTHLCKEMAFTVVNGQLPGPTIEVTEGDSVTVHVVNKSPYNLTIHWHGVYQLLNCWNDGVPMITQRPIQPNHNFTYRFDVAGQEGTLWWHAHDAFLRGTVHGALIIRPRHGAASYPFPRPHREVPIIIGEWWEKDLPQVDRNMTNGYFDDYSSGSTINGKLGDLFNCSGVLEDGYVLDVEPGKTYLLRIINAALFSEYFLKIAGHRFTVVASDANYLTPYSTDVVVIAPGETLDAIVVADAPPSGRYYIAAQPIQAPPPDTQTPEYATRGTLQYSSNSRNSSAAAMPEMPHQHDTMRSFYFRGNLTAGARLHRHGRRRVPARADESLFVTLGLGSVCRHGGASCKRGGNLKESIVVANVNNVSFHIPAAAATPILEAHYYHRLHAGAGEEEEELAERPPRAYNYTDQALTPFGPEEMRLEATSRAVVTRRFRHGATVDVVFQSTAMLQGDSNPMHLHGHDVFLLAQGIGIYDAARDEGKFNLVNPPRKNTVLVPNLGWAAVRFVADNPGAWLMHCHFEFHLSMGMAAVFIVEDGPTVDTSLPPPPEDF >ONIVA10G06200.1 pep chromosome:AWHD00000000:10:6992914:6998738:1 gene:ONIVA10G06200 transcript:ONIVA10G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G14835) TAIR;Acc:AT2G14835] MVVCKCRKATRVYCFVHKVPVCGECICFPEHQLCVVKNYAEWVVNPDYDWPQHCSSCNSVLEAGSEETTRLGCLHVMHTRCLISHIQSYSTQTAPAGYVCPSCSTPIWPPSTIKDTGSCLHSKLKEAIAQTGLEKNVFGNHFVTMPKADTRTPPAFASDPLKRVSISGDRESNGANIINSAIDANVQSGGMYSSATVGSGTPSHVEPEIVEIDGPSPITTQFPEQESNFIRSPSPHGPSAMTRKGANYVERQNSEISYYADDEDANRKKYTKRGTFRHKFLRMLLPFWSSALPTLPVTAPPRKESDAPEGRSRHQKSSRMDPTKILLALAIMRW >ONIVA10G06190.1 pep chromosome:AWHD00000000:10:6982916:6984386:1 gene:ONIVA10G06190 transcript:ONIVA10G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFAGVCFLLVLLLLANPTSADELDPGTCGTEVDPLDPCIQTLCKWNCELVAMKRGGHLTSYECGDRECKCDFCASSIGADEHGLHV >ONIVA10G06180.1 pep chromosome:AWHD00000000:10:6980480:6981582:1 gene:ONIVA10G06180 transcript:ONIVA10G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSAVCFLLVLVLLGTPTASAAICEHFSTKDLFCIKYLCRGFCHDEAVNLRGKHARVMRAWCHGRRCNCNVCH >ONIVA10G06170.1 pep chromosome:AWHD00000000:10:6976689:6979655:1 gene:ONIVA10G06170 transcript:ONIVA10G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSAACFLLVVLVLLGTPTSADECRDISTKDLFCLKYLCKSFCLDEARNWGGTAGYVDQYWCKGQRCFFSNSNNLLFFSDTSDNPNTEDRQIRVLGWGGDGRRSEKKGEVTGIRGIVMGGSRPAVGGRIGKRRGASGGIRAAGMEEGVGTVVVGGGGSSDKESPETRKPTAAGASCHRQLKEGGEAEESWPEGPC >ONIVA10G06160.1 pep chromosome:AWHD00000000:10:6972770:6973526:-1 gene:ONIVA10G06160 transcript:ONIVA10G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKNKRCNRRGTCGRGGKDWGYTEPIGGKVTAGAEDGRVCEREREGTTGSAPINWEATRCRLEKEEREERKAIGRLRLWRRGCGYGLEVVPSALGSKGDGKLPRLEGEGRQRGETWWHGGEVNAGDGDVDFSTDVVGIRVWGRWSWMGSEQDGRGRLDKDGCSCHVAQLVSPEKAPASHLHYKWTHGPLQN >ONIVA10G06150.1 pep chromosome:AWHD00000000:10:6960536:6966106:1 gene:ONIVA10G06150 transcript:ONIVA10G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAQEPRHHPSRPPLAPAAAHAPNSAAAACSTPRRGKTSPHASSRHASSSSSSSSLPSCSAARVAVTPAPHATATAPVTMRMRSLSVSFQGESFVYETPRAAAPRRAPAAAAARPRPTTRRRGEAENERPSPPPASKATDALARSLDCSLHRKESILAAVRLLRSSISPGNAAAAAAPDADAATDAAPPSIPTQTRFWQETNSRLRRLPESGLPHPISTSRKPFLDGPISPTLLETSPANAPSIISFATAVRRANKGEDKIEEAHRLRLLDNRHLQWRCLNAHADAAAVARSCAAEKALHSAWKDISTLRDNVSFKRSKLQLQKQKLKLFGILKGQISYLEEWSDVENNHSSSMSEAIKALEASTIRLPIVCGAKADAQGVKEVVSSALTKMDTMASSMWSLLSKVEGMSSMVFELAKVVSQEQMLLDQSRDLFSAVAVMHVKLCSLQACILQRN >ONIVA10G06140.1 pep chromosome:AWHD00000000:10:6953185:6954915:-1 gene:ONIVA10G06140 transcript:ONIVA10G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCIVVYLDYFLLSNNHAEKVMICLAYVPWQALLHAYLHGRPKARMELGLLLEHGPGASNADLAAADMSKVLQVKITAEQAEMASLVDKPFTNKPFGLFSGEFARRHGFHLLGTTSTWLLDAVLLLSRHLLAAFLSSSLTTAAVEAVQARKVAGSMGLRIQLAHTNTNGPSVCSCSATAVSRSQLETSMPRRWMDCGWALGASSLESAAVVAATRSGSNVDARMLRRNEGGRRGRKKNKGLE >ONIVA10G06130.1 pep chromosome:AWHD00000000:10:6921820:6922125:-1 gene:ONIVA10G06130 transcript:ONIVA10G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHGTGKTEYRCFTFIRHDVLASQWSSTDDEFAIHCDVAVVEEAAAAATMSTELGPDDLDGLMMICKCSIDNDDEPCKSGTRQNLKEAFRKQFLGCFGPK >ONIVA10G06120.1 pep chromosome:AWHD00000000:10:6910561:6919022:-1 gene:ONIVA10G06120 transcript:ONIVA10G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAGLATESLPAATCPAKKDAYAAAASPESETKLAAGDERAPLVRTTRISTTTIKLYRLTIFVRIAIFVLFFKWRITYAARAISSTDAGGIGMSKAATFWTASIAGELWFAFMWVLDQLPKMMPVRRAVDVTALDDDTLLPAMDVFVTTADPDGIAALDDDALLPAMDVFVTTADPDGIAALDDDALLPAMDVFVTTADPDKEPPLATANTVLSILAAGYPAGKVTCYVSDDAGAEVTRGAVVEAARFAALWVPFCRKHGVEPRNPEAYFNGGEGGGGGGKARVVARGSYKGRAWPELVRDRRRVRREYEEMRLRIDALQAADARRRRCGAADDHAGVVQVLIDSAGSAPQLGVADGSKLIDLASVDVRLPALVYVCREKRRGRAHHRKAGAMNALLRASAVLSNAPFILNLDCDHYVNNSQALRAGICFMIERRGGGAEDAGDVAFVQFPQRFDGVDPGDRYANHNRVFFDCTELGLDGLQGPIYVGTGCLFRRVALYGVDPPRWRSPGGGVAADPAKFGESAPFLASVRAEQSHSRDDGDAIAEASALVSCAYEDGTAWGRDVGWVYGTVTEDVATGFCMHRRGWRSAYYAAAPDAFRGTAPINLADRLHQVLRWAAGSLEIFFSRNNALLAGDRRRLHPLQRAAYLNTTVYPFTSLFLIAYCLFPAIPLIAGGGGWNAAPTPTYVAFLAALMVTLAAVAVLETRWSGIALGEWWRNEQFWMVSATSAYLAAVAQVALKVATGKEISFKLTSKHLASSATPVAGKDRQYAELYAVRWTALMAPTAAALAVNVASMAAAGGGGRWWWWDAPSAAAAAAAALPVAFNVWVVVHLYPFALGLMGRRSKAVRPILFLFAVVAYLAVRFLCLLLQFHTA >ONIVA10G06110.1 pep chromosome:AWHD00000000:10:6904816:6907089:-1 gene:ONIVA10G06110 transcript:ONIVA10G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQV5] MRASRPVVHPVEAPPPAALAVAAAAVAVEAGVGAGGGAAAHGGENAQPRGVRMKDPPGAPGTPGGLGLRLVQAFFAAAALAVMASTDDFPSVSAFCYLVAAAILQCLWSLSLAVVDIYALLVKRSLRNPQAVCIFTIGDGITGTLTLGAACASAGITVLIGNDLNICANNHCASFETATAMAFISWFALAPSCVLNFWSMASR >ONIVA10G06100.1 pep chromosome:AWHD00000000:10:6900141:6907599:1 gene:ONIVA10G06100 transcript:ONIVA10G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQESADRMESVYRRWLQERKEVGGGAVETAAERDGGGWGRAAGDLRRELHTALGTAKWQMDYALICLDFRYGLDTNSLDELQRAIKSNYSVVLAGKDTRARHDDFVSAIGHRILEVEKFLKESNTTEGRGPLSWVRLDEGEREELAHFLSAGTYQKRDEVVTITSAGDIEVGSNARRVKKGVSIDSSNDSSGSAESGLVSTKEETAPGHRRTASAYADIGSWTITIPDEANGIDEQSFDDLPKVPLVKSPSSSVLMNAFQSKPRMKTKNGAKKLAGADQQDVVETLPLTNSRSCQGFDGLFQRSKSCLSTSDDEDNCNKKLYGCLGAFRRLLQRSQYQVQYGRPIQLLILAIVVLLVLIYAMKAIL >ONIVA10G06090.1 pep chromosome:AWHD00000000:10:6891597:6892960:1 gene:ONIVA10G06090 transcript:ONIVA10G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGAAGAEHCHLVLHVCINLAMLALYAPSCRETWTGFSMDAFRELRRFTELAIPSAMMWWSFELVVLLSGLLPNPKLETSALSICLNTGSLMFMVPFGLCTAISTRVSNELGAGKP >ONIVA10G06080.1 pep chromosome:AWHD00000000:10:6888747:6891575:1 gene:ONIVA10G06080 transcript:ONIVA10G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGRPASSPRRSPTSPASAFSAPWRCSRWPASPYVAFVWANDGEIRQRVDQDADIAAEAGANARWTILSLVQSVVVPVMASSAATAICHVAVC >ONIVA10G06070.1 pep chromosome:AWHD00000000:10:6885777:6888720:1 gene:ONIVA10G06070 transcript:ONIVA10G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVRAGRGPLLARAGDPPSPPHRRLMGARSSVTARRDLGSSTPAATRSDEDNASPLSSSGQHGDGAPRAAAAQIRPAAADTHGQATKPAAEAEAPLLGALANHKQCESTAAAEAKRLVSLAAPLVASCML >ONIVA10G06060.1 pep chromosome:AWHD00000000:10:6870945:6873496:-1 gene:ONIVA10G06060 transcript:ONIVA10G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQV0] MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMASFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGTYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVGHVAVCWALVHKAGMGSKGAALSGAVTYWTNLAVLALYVRLSGACETTWTGFSIDAFRELRRFTELAVPSAMMVCLEWWSFEILVLLSGILPNPQLETSVLSICLSTSSLLFMVPRGIGSSLSTRVSNELGGGHPRAARMAARVAIAMTVLVCLVLVIAMIFLRNVWGNAYSSEEEVVAYIASMLPVLAVSFFIDGINGALSGVLTGCGKQNIGAHVNLAAFYLVGIPTAVLLAFVLHLNGEGLWLGLVCGSISKVGMLLFITLRYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVVL >ONIVA10G06060.2 pep chromosome:AWHD00000000:10:6870945:6873496:-1 gene:ONIVA10G06060 transcript:ONIVA10G06060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQV0] MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMASFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGTYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVGHVAVCWALVHKAGMGSKGAALSGAVTYWTNLAVLALYVRLSGACETTWTGFSIDAFRELRRFTELAVPSAMMVCLEWWSFEILVLLSGILPNPQLETSVLSICLSTSSLLFMVPRGIGSSLSTRVSNELGGGHPRAARMAARVAIAMTVLVCLVLVIAMIFLRNVWGNAYSSEEEVVAYIASMLPVLAVSFFIDGINGALSGVLTGCGKQNIGAHVNLAAFYLVGIPTAVLLAFVLHLNGEGLWLGLVCGSISKVGMLLFITLRTDWGKEVRKPSPYKHFGYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVVL >ONIVA10G06050.1 pep chromosome:AWHD00000000:10:6869838:6870157:1 gene:ONIVA10G06050 transcript:ONIVA10G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRLSMSVWPVAPRSAAEGGLGGARADVECSATPLGWACSRSSRSRTPQGLSATADAITLAAGGRRLTTLVTMLDTGSRGPK >ONIVA10G06040.1 pep chromosome:AWHD00000000:10:6840279:6842557:-1 gene:ONIVA10G06040 transcript:ONIVA10G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQU6] MAAAAVHEPLLAAAPPTPGKAADGDGPEEERRLASAEAKRLLRLAGPIVASCILQCVVNMVSVMFVGHLGELPLAGASLATSLANVTGYSLLTGMATAMDTLCGQAYGARQYHLLGVYKQRAMVVLAAACVPIALVWASAGRILLLLGQDAGIAAEAGAYARWMLPSLAAYVPLQCHIRFLQTQTVVLPVTASSAATALLHPLVCWLLVFRAGMGSKGAALANAISYAVNLAILAVYVRASNTCKGRWSGFSGEAFKELRQFAALAMPSAMMICLEWWSFEILVLLSGLLPNPQLETSVLSICLNTGALLYMVPLGLCSSISTRVSNEIGAGQPQAAKRATRVVMYMALSEGLVISFTMFLLRNVWGYMYSNEQEVVTYIARMLPILGISFFIDGLHSSLSGVLTGCGKQKIGAAVNLGAFYLVGIPVAVLLAFYLHLNGMGLWLGIVCGSIIKLLVLIIVSCCIDWEKEAILAKDRVFSSSLPVA >ONIVA10G06040.2 pep chromosome:AWHD00000000:10:6840279:6842557:-1 gene:ONIVA10G06040 transcript:ONIVA10G06040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQU6] MAAAAVHEPLLAAAPPTPGKAADGDGPEEERRLASAEAKRLLRLAGPIVASCILQCVVNMVSVMFTGMATAMDTLCGQAYGARQYHLLGVYKQRAMVVLAAACVPIALVWASAGRILLLLGQDAGIAAEAGAYARWMLPSLAAYVPLQCHIRFLQTQTVVLPVTASSAATALLHPLVCWLLVFRAGMGSKGAALANAISYAVNLAILAVYVRASNTCKGRWSGFSGEAFKELRQFAALAMPSAMMICLEWWSFEILVLLSGLLPNPQLETSVLSICLNTGALLYMVPLGLCSSISTRVSNEIGAGQPQAAKRATRVVMYMALSEGLVISFTMFLLRNVWGYMYSNEQEVVTYIARMLPILGISFFIDGLHSSLSGVLTGCGKQKIGAAVNLGAFYLVGIPVAVLLAFYLHLNGMGLWLGIVCGSIIKLLVLIIVSCCIDWEKEAILAKDRVFSSSLPVA >ONIVA10G06030.1 pep chromosome:AWHD00000000:10:6830517:6833186:1 gene:ONIVA10G06030 transcript:ONIVA10G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQU5] MEKPAVSVEEPLLVGAGEKKGESAAAAELKRLLRLAGPLVASGVLRNVVQMVSVMFVGHLGELPLAGASLATSLANVTGFSLLFGMASALDTLCGQAYGARQHHLLGVYKQRAMLVLAVAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWLIPSLVPFVPLVCHIRFLQAQSAVLPVMASCGVTAASHVAVCWALVRKAGMGSRGAALANAVSYGVNLTIMSLYVRLSRSCEKTWTGFSMEAFRELRQYAELAIPAAMMVCLEWWSFEFLVMLSGLLPNPKLETSVLSICLNTGALLVMVPIGLSTAISTRVSNELGAGNPQAAKLATRVVICMAMTEGSVVAFTMILLRNSWGHMYSDEAEVVTYIARMIPVLAISFFIDGMHSALSGVLTGCGKQKIGARVNLGAFYLAGIPMAVFLAFVLHLNGMGLWLGIVCGSLSKLILLFWITMSINWEKESTRAKELVFSSSLPVA >ONIVA10G06020.1 pep chromosome:AWHD00000000:10:6823643:6829753:-1 gene:ONIVA10G06020 transcript:ONIVA10G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTQDLNDLYLLLRRAAETAEIIEKACDVSNLVLTESLRERHMGYLQGLTWDDTVNKSPCVFKGFANFEVKNGLDFDGRNQELPSRSTLYINIQIKKPKEDSKSFRRNLKIKKQMNMSLDSDSEEDSKQDSNSVQSHSTEM >ONIVA10G06010.1 pep chromosome:AWHD00000000:10:6809475:6810218:1 gene:ONIVA10G06010 transcript:ONIVA10G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDGYRRQQRRRRRLVQRMVACFGMLSRRRRTVRLVLWGGEVRAARHGKMAGQVMLDFTDSVVCRADGFCIGRPAPVLAIEDRLVAGRTYLVLPVDRLPQGYDAVTAASLAALSYDRGGAAGATSIAGGAKSPFEYVKGDDGRTVIKVTPEFIVRSITSSRPIGACAAAGDEVVEGGGGAPLCSTPELRKHYEQLVGAARCRAWSPRLETIKERKGARRIVVAAVSPGRLSPVAARLLGLDRGAS >ONIVA10G06000.1 pep chromosome:AWHD00000000:10:6800826:6801269:1 gene:ONIVA10G06000 transcript:ONIVA10G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASTTATATSAAAAARAICVLLLLLVAVVVVAGQAPEPEGDDQAAAIDQAGGGGGGGGNNNGTAGRARGGGGNNNGTGRARDGGGDRDRGADGGGGGRSKLASSIDCQICEATCRVKCLVNSLFQWGGCYQRCRSDNCNDWCTRG >ONIVA10G05990.1 pep chromosome:AWHD00000000:10:6797787:6798260:-1 gene:ONIVA10G05990 transcript:ONIVA10G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGLSCLGKSGFPWRQLYGPRGPSVVRYTAVIARGSDVVALVLKRVQEGFGSKLLDQESRRGASSVPVSLRAQVRIIKDMGILQQGELVGNNVVADQYIKHFEAPLPMVVVKGIGHSPGWMEARLCHLMWQGRPMARSQSGSDFLACIQMWWLPA >ONIVA10G05980.1 pep chromosome:AWHD00000000:10:6792742:6793005:-1 gene:ONIVA10G05980 transcript:ONIVA10G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSPPPNPARRAPPLRYCQRFSPLHCHRRYLRSFLAAAAASTSFSPSSATIFCHRTTGFGGHELAATRSGGGELVATGCGVSNGW >ONIVA10G05970.1 pep chromosome:AWHD00000000:10:6784339:6788233:-1 gene:ONIVA10G05970 transcript:ONIVA10G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREHERRGRGRGGGGGRVSPMPPGEDADTASSCSGADEGEAQAQAQGNEQQRAERVLPNGDLYTGQWRGGAPHGAGKYLWADGCMYEGEWRRGKATGRGRFSWPSGATYEGEFLDGFMHGAGAYVGAAGDTYRGAWAKNLEHGAGEKRYANGDCYDGEWRAGLPEGCGRYAWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWSDGSLYVGFWGREAPGGAVHQKGVYYPSPAAAGESRDPREVFARELPECVRSGTEGQSALPSLRSLRWLARSISGRGSSSSGRSIGSVVGVPHLWGSDGEVKPDIADDWRRRSSVREGRGPPPPSLAAAPPPPHVDKGAAPPRVMKRQGVTIAKGHKNYELMLNLQLGIRHAVGRQGQVILDLKSSAFDAKEKVWTKFPPEGSKYTPPHNSSDFRWKDYCPKVFRTLRKLFKVDPADYMLSLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRTTNKPLAEIDEYTTLKDLDLNFIFRLQKQWFQEFQRQVDKDCEFLEHEKIMDYSLLVGVHFRGAVDIDGDIPASPRLSRWDRDHFLSDPNRWSKIKLGANMLSRAELTIRKNDTDVVGQPTGQYCDVILYFGIIDILQDYDIGKKIEHAYKSFQYDSTSISAVDPRQYSRRFRDFIYNAFQEDRAES >ONIVA10G05960.1 pep chromosome:AWHD00000000:10:6777912:6784109:-1 gene:ONIVA10G05960 transcript:ONIVA10G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALDGGTTCGIAVVVVVVAEEAEVRVRGRRRRRWRRRRRRRRAAATVRVEDAGEVRGLPPPSPATGPHAGGGRSRGARRQGETIAKGHKNYELMLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKHWHQEFLRQVDKDCDFLEQENIMDYSLLVGVHFRDKRNLLASEGSFDSDSSRASSPHLSRGDTDPNRFSKIKLGSNMPTRAELTVRKSECEPQIIGEPTGEFYDVILYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFKDFVYKAFQEEKIDI >ONIVA10G05950.1 pep chromosome:AWHD00000000:10:6761566:6773792:1 gene:ONIVA10G05950 transcript:ONIVA10G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAGGAFDRYQRAGAPAASGNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKYGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPDEDSHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKDARINAMRSAIVETFPEPNRRLLQRILKMMYTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDGEHNLRCSLSPESQIEDSGSEASTDDVNLDVKGNGFHDAENDVDQEMDDENGAERILSGKLSESSGYAGSDLYDYKVVHADDSDAERSEDAKAAEVKIELSKGPKSHSTENGSAYMETLLSEKNPSNPISSHETPLSMGEILSSLDPGISLANHSGEYSVESRQPAKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRAMDTKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPMVDANDRYHRIPGHFSQQNFVQPGFDMNLAFCNQEKQRNEESSVDSSQWRNIKQHVLPYGSSRPLTRKLSLDASSSDSRGMEASTSMPTDNTAMAINAPKFTEGVDYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYNKPPSPWDSPRNCC >ONIVA10G05950.2 pep chromosome:AWHD00000000:10:6761566:6773691:1 gene:ONIVA10G05950 transcript:ONIVA10G05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAGGAFDRYQRAGAPAASGNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKYGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPDEDSHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKDARINAMRSAIVETFPEPNRRLLQRILKMMYTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDGEHNLRCSLSPESQIEDSGSEASTDDVNLDVKGNGFHDAENDVDQEMDDENGAERILSGKLSESSGYAGSDLYDYKVVHADDSDAERSEDAKAAEVKIELSKGPKSHSTENGSAYMETLLSEKNPSNPISSHETPLSMGEILSSLDPGISLANHSGEYSVESRQPAKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELQEQLQAERDLRAALEVGLSMSSSQFSSSRAMDTKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPMVDANDRYHRIPGHFSQQNFVQPGFDMNLAFCNQEKQRNEESSVDSSQWRNIKQHVLPYGSSRPLTRKLSLDASSSDSRGMEASTSMPTDNTAMAINAPKFTEGVDYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYNKPPSPWDSPR >ONIVA10G05950.3 pep chromosome:AWHD00000000:10:6761566:6773691:1 gene:ONIVA10G05950 transcript:ONIVA10G05950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAGGAFDRYQRAGAPAASGNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRGGEVNAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKYGIKVEGILRQAADVEEVDRRLQEYEQGLETKDARINAMRSAIVETFPEPNRRLLQRILKMMYTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDGEHNLRCSLSPESQIEDSGSEASTDDVNLDVKGNGFHDAENDVDQEMDDENGAERILSGKLSESSGYAGSDLYDYKVVHADDSDAERSEDAKAAEVKIELSKGPKSHSTENGSAYMETLLSEKNPSNPISSHETPLSMGEILSSLDPGISLANHSGEYSVESRQPAKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELQEQLQAERDLRAALEVGLSMSSSQFSSSRAMDTKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPMVDANDRYHRIPGHFSQQNFVQPGFDMNLAFCNQEKQRNEESSVDSSQWRNIKQHVLPYGSSRPLTRKLSLDASSSDSRGMEASTSMPTDNTAMAINAPKFTEGVDYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYNKPPSPWDSPR >ONIVA10G05940.1 pep chromosome:AWHD00000000:10:6753829:6757544:1 gene:ONIVA10G05940 transcript:ONIVA10G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTVCLLLHFLASEKFHRQTLTYIRHTAHVTFKSVNTVEKWWPESLWTSTTMKAMLHHHTKDMRHQQRFLDCIQSLITKEKKGKGRARGKHCGMLVDGGTVNCTLCKEIVTREIWDLHKSEQCPQRIVACEYCEFELPAVELHEHQDVCGNRTEFCQTCKKYVRLREWIGHEIQCHANANANANANASAQTSSARIIPEREVRPPPPVRPPRPMHGAQHKRLLFTIAVTGIAVMIGSILFQREESF >ONIVA10G05940.2 pep chromosome:AWHD00000000:10:6753755:6757544:1 gene:ONIVA10G05940 transcript:ONIVA10G05940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKLMDEHYNESHAPVNCTLCKEIVTREIWDLHKSEQCPQRIVACEYCEFELPAVELHEHQDVCGNRTEFCQTCKKYVRLREWIGHEIQCHANANANANANASAQTSSARIIPEREVRPPPPVRPPRPMHGAQHKRLLFTIAVTGIAVMIGSILFQREESF >ONIVA10G05940.3 pep chromosome:AWHD00000000:10:6753224:6757544:1 gene:ONIVA10G05940 transcript:ONIVA10G05940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKLMDEHYNESHAPVNCTLCKEIVTREIWDLHKSEQCPQRIVACEYCEFELPAVELHEHQDVCGNRTEFCQTCKKYVRLREWIGHEIQCHANANANANANASAQTSSARIIPEREVRPPPPVRPPRPMHGAQHKRLLFTIAVTGIAVMIGSILFQREESF >ONIVA10G05930.1 pep chromosome:AWHD00000000:10:6728100:6728990:-1 gene:ONIVA10G05930 transcript:ONIVA10G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRHVILGLLIALVFVGNASFVIGECWETTSSSPICVGFMCKATCWIGAKATNGKVVEATCTGSVIKSEWMR >ONIVA10G05920.1 pep chromosome:AWHD00000000:10:6725360:6727261:1 gene:ONIVA10G05920 transcript:ONIVA10G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRFPPCLFPLPISSPLRSLLSPLILSHPGTDVHLLKVAHDGIGQRRMRKEEAATHGGGCVDLLHCAMHEEGGGSGFPIRAHTFPKFSLRSNINLLHGAVWTVEDEAVLPGRWSGGRKASAQLLSQNQCWDKASKQNV >ONIVA10G05920.2 pep chromosome:AWHD00000000:10:6725085:6726019:1 gene:ONIVA10G05920 transcript:ONIVA10G05920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFILGYNQPTIDPIFLDLISFTSTLFFPDRARGREHGRRLVAAASSSGGLWVKSVGDSGASTVNGDGEQVAHDGIGQRRMRKEEAATHGGGCVDLLHCAMHEEGGGSGPCNMPRNCRDGHRFKNQARR >ONIVA10G05910.1 pep chromosome:AWHD00000000:10:6722916:6725557:-1 gene:ONIVA10G05910 transcript:ONIVA10G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIDTTTTMRRCLLLSHAPLPYAVVCHLQQDGRGSEEKEETEGGKRWEGGTNREGTCSPSPLTVDAPLSPTDFTHNPPLEDAAATNLRPCSLPLARSGKNNVEEFHNGITRILVINIRLSNPCEVCVQGDLLDWCKSNKLGNSRSHMYRISDQLLLNELQSIIAPICP >ONIVA10G05900.1 pep chromosome:AWHD00000000:10:6711247:6715144:-1 gene:ONIVA10G05900 transcript:ONIVA10G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAATFFFLLLVVFQGNPCSADDTCIYTSAHLVTCVTPVCKFACVVDARAHHAKYRNGWCKGFFNGNGCESCNHLVASPTYLSSKSIFCRGVLHYHWCKDVNVYATDMLLRQCIMHSSKIPGVKDFLEAFADVNFAITVE >ONIVA10G05890.1 pep chromosome:AWHD00000000:10:6708088:6710904:-1 gene:ONIVA10G05890 transcript:ONIVA10G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRDKLTIPSSQIESCIFNSARLVTCFPPFCKVACLADAKAHHAKYKDGWCDGFVNGICVCRLCFDS >ONIVA10G05880.1 pep chromosome:AWHD00000000:10:6696778:6697954:-1 gene:ONIVA10G05880 transcript:ONIVA10G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTGTLLFLMLIVLLGNSSIHAEEHCNSYDFAKLVCVKPLCYLNCKIFFRKHLRSYSCEGTWPQRKLTGSSVHRGNNTRCRGVEGEGSGDLLSGLSVHANEQ >ONIVA10G05870.1 pep chromosome:AWHD00000000:10:6667307:6670561:-1 gene:ONIVA10G05870 transcript:ONIVA10G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLVMTLPEEHCNSYDFAKFVCVKPLCFLTCKIFFQKHVRSYSCEGRWPKRKCVCEACYDN >ONIVA10G05860.1 pep chromosome:AWHD00000000:10:6638756:6648809:-1 gene:ONIVA10G05860 transcript:ONIVA10G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGEWVWVRRPAEAEAVAAAAGWPTAEEEARPLEVVFASPSRYFTDAAPIGNGSLGALVWGGVASEKLQLNHDTLWTGGPGNYTNPKAPAVLSKVRDLVNRGQYAKATAVAYGLSGDQTQVYQPLGDIDLAFDEHVEDTNYKRNLDLRTATVNVSYTIGEVVHSREHFSSNPHQVIVTKISADKPGNVSFTVSLTTPLNHQIRVTNANEIIMEGYCPGERPTEYGNASDHPVGIKFSAILYLQMSGSNGTVEILNDKMLKLVGADSAVLLLAAATSFEGPFVNPSESKLDPTASALTTLTVARNMSYSQLKAYHVDDYQNLFQRVSLQLSQDSNDALGGNGLVNLPENSLQETSVSDYAVQMVECSRFQGFNNSGKPTVDRILSFRDDEDPSLVELLFQFGRYLLISCSRPGTQISNLQGIWNDETSPPWDAAPHPNINLQMNYWPALPCNLSECQEPLFDFIGSLSVNGAKTAKVNYEASGWVSHQVTDLWAKTSPDAGDPMWALWPMGGPWLATHLWEHYSYTMDKQFLEKTAYPLLEGSASFLLDWLIEGNGDYLETNPSTSPEHYFIAPDGRKACVSYSTTMDMSIIREVFSAVLMSSDILGKSDSDMVQRIKKAIPRLPPIKVARDGTIMEWAQDFQDPEVHHRHVSHLFGLYPGHTMSLEKTPDLCKAVANSLYKRGDEGPGWSTSWKMALWAHLHNSEHAYKMILQLITLVDPKHEVEKEGGLYCNLFTAHPPFQIDANFGFPAALSEMLVQSTGSDLYLLPALPRDKWPQGCVKGLKARGGVTINIRWEEGSLHEALLWSSSSQNSRIKLHYGDQVGTISVSPCQVYRFSKDLKCLKTWAL >ONIVA10G05850.1 pep chromosome:AWHD00000000:10:6635703:6636028:-1 gene:ONIVA10G05850 transcript:ONIVA10G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYHCLHSVPRSRPHMRDVVAALEACRRAATCPLGLSSTPSPAAAVVVSQDDEKASSDEADSAKPAAAVEDGEEVRRVGGARGRGSSVSGSPRQSWDRGA >ONIVA10G05840.1 pep chromosome:AWHD00000000:10:6615264:6635312:1 gene:ONIVA10G05840 transcript:ONIVA10G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSLTLAVRPAVDAGPTADGRRPWTAKDARWRGGQRPAATPADEGKSQEAAWPVAPWACGERQAGGTRESAAAGEPRAGEGPGGWEGRRSGGGRAPARRRGGGETRAVPGRQAAVQRCRAGGEFVQPHYYFFTGSAPGFSVMSYRKGSKVWVEEKGEGWVEAEVVEVKDRAIVMLTSHRKKEELICLYLRNKLDGFRDDIECVIPVFDIYSVIFDIYSVDPLQLSGTSTRVYLLLPAARRGSGWFYFFPRQEREVRGGRPSQTTPSGWVFPIAKRQITVLAEKCLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNDSRSQSILVSGESGAGKTETTKFIMQYLTYVGGRAAIDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEMQFDANGRISGAAIRTYLLERSRVVQINDPERNFHCFYQLCASGKDAELYKLGHPGSFHYLNKSKTYELEGTNNEDEYWKTKRAMDIVGISRNDQDAIFRILAAILHLGNIEFSPGKEIDSSKIKDPTSNFHLQMAAKLFMCDPDLLVSTLCTRAINTLEGAIIKALDCSAAAANRDALAKTVYARLFDWYFHLPSFFQGYCAFLLVENINKSIGQDVDSKVQIGILDIYGFESFKNNSFEQFCINFANEKLQQHFNEKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHHRLEKTKFSETDFTISHYAGKVTYQTESFLEKNRDYIVAEHCNLLSSSRCPLVSGLFGTLPEESLRSSYKFSSVASRFKQQLQALMETLNSTEPHYVRCVKPNSVNQPQIFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMLGSYDERALTKGILEKMKLDNFQLGSTKVFLRAGQIAILDMRRAEVLENAARHIQGRFRTFITRKEFVKTREASISIQAYCRGCLARKKYMVKRETAAAIIVQKYVRRWRLHRTYQQSHSAALLIQSCIRGFIARHYFSVIREQKAALVIQSLWRKWKVIILFQQYRQATVAIQCAWRQKVARRELRRLKMAANEAGALREAKNKLEKKLDDLTLRLTLERRLRAAGEEAKSVEILKRDKLIESLSAECAAAKSAAQSEHDKNLLLQRQLDDSLREITMLRSSKIMTAEAERENSNLKNLVESLSKNNSSLEYELTSARKGSDATMKKLKDVEGKCNHLQQNLDKLQEKLTNMENENHVLRQKALNMSPLNNMPMTTKAFPQKFATPIGLPNGEQKHGYETPPPVKYLASLPQSLTRSRRTRMPVERQEENHEILLRCIKENLGFKDGKPVTACIIYSCLLHWRAFESERTAIFDHVIEAINNVLKTLRSPSKLMGRSDNLGQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSSRAQPGKATKSPGIGAQPPSNSHWDNIVKFLDLLMDTLHENYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDATDEFAGTSMHELNYIRQAVGFLVIHQKRKKKLEEIRNELCPNLSVRQIYRICSMYWDDKYNTQGISNEVVSAMREEVNKDTQNLVSNSFLLDDDLCIPFSTEDLSIAIPAIDYVDIELPESLHHYASVQLLLKHHDPQPV >ONIVA10G05830.1 pep chromosome:AWHD00000000:10:6613225:6614474:-1 gene:ONIVA10G05830 transcript:ONIVA10G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAYCTTWIGLWFSSLSTSSSSSSSSATSASGSNAKRRSRKEPNELIKKPPLPGPGSDQGKASMCGLYNSSRGRGSATQFQSSVFSMEEILHTTNNFSPALKIGQGDFGAVYRGVLPDGIFVVVKCAKLRAPGAFSPCFTTASKATLPLALTVSARIFEPLFSSRRPMPSGHCATWISGKALPSPKSSGHRSTNPPRFPYDGTGLEGPEGFAAAAASDCADSPSYRSGPHLRVAAARRSLPPRATGRREEGERKREERGRKKEGGLTCGPYISFYVND >ONIVA10G05820.1 pep chromosome:AWHD00000000:10:6593270:6599624:-1 gene:ONIVA10G05820 transcript:ONIVA10G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-alpha-L-fucosidases [Source:Projected from Arabidopsis thaliana (AT4G34260) TAIR;Acc:AT4G34260] MDGDGWVWVRRPADDDEEERPLKVVFDSPAEHFTDAAPIGNGSLGAMVWGSVASEKLQLNHDTLWTGVPGNYTDPNAPYALAVVRKLVDGEKFVDATEAASGLFGGPTEVYQPLGDINLEFDSSSLGYTSYKRELDLRTATVCISYNIGEVQYSREHFCSNPHQVFATKISANKSGHVSFTLSLNSQLNHNVRITNANEMIMQGTCPGRRPALHHNGANDAIGIKFATAVGLQIGGTSAKVTIIDDQKLRIDAADWVVLLVAAASSFDGPFVNPSESKLNPEVAALNTLNISRNATFSQLKAAHLEDYQGLFHRVTLQLSQASMLEKDILEEVDHDVKTTAERINSFRSDEDPSLVELLFQYGRYLLISSSRPGTQVSNLQGIWNQDFAPAWEASPHLNINLEMNYWPTLPCNLSECQEPLFDLIGSLAVNGTKTAKVNYQASGWVTHHVTDIWAKSSAYYVDAMYALWPMGGAWLCTHLWENYQYSLDKEFLEKRAYPLLEGCAMFLIDWLIKGPGDYLETNPSTSPEHPFIAPGTGGHLASVSYSTTMDISIIREVFLAVISSAEVLGKSDTNLVERIKKALPMLPPVKISKDGTIMEWAQDFEDPEVHHRHLSHLFGLYPGHTITMQKNPEVCKAVANSLHKRGEDGPGWSTTWKMALWARLLNSENAYRMILKLITLVPPGGKVDFEGGLYTNLWTAHPPFQIDANFGFTAAIAEMLLQSTHGDADLYLLPALPREKWPKGYVKGLRARGNVTVNISWEKGELQEATVWSSNPKCTLRLHYGEQVAMVTVLGGNVYRFNGGLQCVETYMAP >ONIVA10G05810.1 pep chromosome:AWHD00000000:10:6591461:6591922:-1 gene:ONIVA10G05810 transcript:ONIVA10G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDRRAPRQQRQGRPARVQCRGARRRRHLLPRGPVLHDHLHGAPPPESSGLTYCHYNLIASCEATGSAAWFFAEVEVDDGGQVCGGEDGVVACCILQNPRDYSVNCNACFRQRSYLTHPDRNKFIAGHRLLPEHREDDCEIEYDYDYPYFD >ONIVA10G05800.1 pep chromosome:AWHD00000000:10:6588908:6589258:-1 gene:ONIVA10G05800 transcript:ONIVA10G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFTEPPPPESSGLTYCHYNLIASCEATGSAAWFFAEVEVDDGGQVRGGEDGVVACCILQIPRDYGVNCNACFRQRSYLMHPSDSNKFIAGCRLLQEPKEDDCEIEYDYDYPYFD >ONIVA10G05790.1 pep chromosome:AWHD00000000:10:6573106:6573594:-1 gene:ONIVA10G05790 transcript:ONIVA10G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSLEWHVVTDERHVSNARAALRVYNAAVPAGGDTFFLEDLCKMTTLTEPPPPESSGLTYCHYNFIASCEATGSAARFFAEVEVDHEGAAVGGGEDGVVACCILQISRDYGVNCNACFRQRSFLMHPSDRNKFIAGHRLLPEHKEDDCEIEYDYDYPYFD >ONIVA10G05770.1 pep chromosome:AWHD00000000:10:6547383:6547775:1 gene:ONIVA10G05770 transcript:ONIVA10G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHELPRAGSASPPPPFSFAVWPPTRRTRDAVVRRLVAVLSGDTTTALRKRYPYGAVPAADAERAARAVEAQAFDAASASSSSSSSVEDGIETLQLYSREVSNRLLAFVRSRSSATGAPPASAAAGEVA >ONIVA10G05760.1 pep chromosome:AWHD00000000:10:6540632:6543937:-1 gene:ONIVA10G05760 transcript:ONIVA10G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLQKIGIGLTGFGVFFSFLGIIFFFDKGLLAMGNILFLSGLGLTIGLKSTLQFFTKPKNYKGTMSFGAGLLLVLIGWPFFGMLLEAYGFIILFSTLEAIEEKEFQCRSYPK >ONIVA10G05750.1 pep chromosome:AWHD00000000:10:6534052:6539975:1 gene:ONIVA10G05750 transcript:ONIVA10G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQR3] MRRHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGRSELQYVLMGLYTPLIDLHLLSVWGDFFCATPFCVLVGIICGECLGEILHYITCVVTLYIWCAATNPGDPGIFKAKKHPKLGKDGKQIQEISEHESCQGGKSFSDGCSVVNNSERLSNMFEGNDSSSRPGLHGVLCLICNPFFCLWKRFFHSDDQSSEQHMSEEGMFFCSLCEVEVLQHSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKRFFILMASAVLLMTCTLLAMLATIPLAQLFCFHVLLIKKGISTYDYIVALREQEEQQEVTEHQSPQMSIISSVTGFSTTSSFAPLQRGSWCTPPRLFLEDQHVIPPEMPQNSSSKKAKHADVTKRKPAGPVKISPWTLARLNAEEVSKAAAEAKKKSKVLQPIARHEDPKHDKRRPDKRGQFLPELSVDHTTRTSDSCTDSNCSDMDMETCGSLAPLQHEARSVFQPSIASSIRNLTSSPQSSLDSPDLHPFRVSMSGADELRSFMSLAASESTAPKSIALSRSTSGGYEASGGEESDRIPSKIVHRSSNWANAILNSGRREMAADLNLPTSERFLTNTRFS >ONIVA10G05740.1 pep chromosome:AWHD00000000:10:6520457:6524538:1 gene:ONIVA10G05740 transcript:ONIVA10G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRLLLQLLALPSTVIFLFLAPASRSIDAGDDLHALLSFRSHIAKDHSGALSSWSVVSNGTSDGTNGFCSWRGVTCSSGARHRRVVSLRVQGLGLVGTISPLLGNLTGLRELDLSDNKLEGEIPPSLARCLALQRLNLSVNFLSGVIPPSIGQLSKLEVLNIRHNNISGYVPSTFANLTALTMFSIADNYVHGQIPSWLGNLTALESFNIAGNMMRGSVPEAISQLTNLEALTISGNGLEGEIPASLFNLSSLKVFNLGSNNISGSLPTDIGLTLPNLRYFIAFYNRLERQIPASFSNISVLEKFILHGNRFRGRIPPNSGINGQLTVFEVGNNELQATEPRDWEFLTSLANCSNLIYINLQLNNLSGILPNTIANLSLELQSIRLGGNQISGILPKGIGRYAKLTSLEFADNLFTGTIPSDIGKLTNLHELLLFSNGFQGEIPSSIGNMTQLNQLLLSGNYLEGRIPATIGNLSKLTSMDLSSNLLSGQIPEEIIRISSLTEALNLSNNALSGPISPYIGNLVNVGIIDLSSNKLSGQIPSTLGNCLALQFLYLQANLLHGLIPKELNKLRGLEVLDLSNNKFSGPIPEFLESFQLLKNLNFSFNNLSGMVPDKGIFSNASAVSLVSNDMLCGGPMFFHFPPCPFQSSDKPAHRSVVHILIFLIVGAFVFVIVCIATCYCIKRLREKSSKVNQDQGSKFIDEMYQRISYNELNVATGSFSAENLIGRGSFGSVYRGNLTCGSNVITVAVKVLDLHQTRAARSFMSECNALKRIRHRNLVRIITVCDSLDNNGDEFKALVLEFISNGNLDTWLHPSTENTSYIPGKLSLMQRLNIALDVAEALEYLHHHISPSIAHCDIKPSNVLLDKDMTAHIGDFSLARIMSAEAEGQCLGESSSVGIKGTIGYLAPEYGMGTEISREGDIYSYGVLLLEMLTGRRPTDTMFHDDMSLPKYVEMAYPDNLLEIMDNAIPQDGNSQDIVDWFIAPISRIGLACCRDSASQRMRMNEVVKELSGIKEACESKFEEFYLCSV >ONIVA10G05730.1 pep chromosome:AWHD00000000:10:6508669:6512276:-1 gene:ONIVA10G05730 transcript:ONIVA10G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARMHRAPAPPVLPPHLPRLPRLRAIPTKCPTTDAVATNHSHITKHKMEEKDGDSIPRQIARTSSTESVSAVYLVVGHGVTCPAYSVFKVNPPAVVGGGGDDDGDTPVPLPQHLARLPSKHCMSFVPVRSRRHAPWIVGVGGNTGIRDYGPETIVFDTNACKVVSGPKLLSTKLCPILVPMGERICALAGMPCVTGDINFVPWFEVLDLSMARVIDNASGCCLLDCEWKPLPRPPFFPWDLTPTVYIFPPVVTVKSHVAVGSYILLSITGHGQKGTHMFDTETQQWAKLDDKDLPFIGRAIPLQGTLLFLGSSNTSDEITAYKIDVSVSSSVASPSTITAGHMYFLSIVEIQMLTYLEDEEEIVTGCKLISFDYPAGNPGFCSLNWVNNDPHISFDFPQHVGELVTIRAYSNVDYLESTRALVISNQWKQVYSIYDPLRRLSSPCLAGILKSLDQNLTAEII >ONIVA10G05720.1 pep chromosome:AWHD00000000:10:6495603:6498045:1 gene:ONIVA10G05720 transcript:ONIVA10G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVGGYTCRLAVGGEARRIYNGHSPSDNDQQVDAGIWGGSRSNGRRHHGPLLGSKDTTTGNAPWSCRSTLPQAPSVAPPSTCLEDPPKRSQRRVDQVESTLLRRVVVQVEEARWWLPTNGTDVGRGGAWGGCVWTGLLAVVAALTGGSQETMLWKVNSRAWRRSKMSSVDGR >ONIVA10G05710.1 pep chromosome:AWHD00000000:10:6487570:6494453:-1 gene:ONIVA10G05710 transcript:ONIVA10G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRWWRIIAESSKKKGWGEGRPLVVHRHSGSRQYGEAESERMIFSVTAKKLISYDSSTSDGKMWKHYDAFAQGLITLPLCIPGTAFYKCMQGRKNVMKMLKEILNERKKIEGRHESIDFLDVLIEEVKEDNPSMTENTALNLLFSLLFGSFDTTSSGITGMLKFLTDNPEALRELTEEHNNIRRKRADLNSEITWEEYKSMKFTSHVLLFQKDQKL >ONIVA10G05700.1 pep chromosome:AWHD00000000:10:6477093:6478124:-1 gene:ONIVA10G05700 transcript:ONIVA10G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAKTDDDNWDLRRYDCLDLVMYHIMEENLPNKMLDKLTRLYMSKSVTSQLYLKQQLYGLQIQEESDLRKHVDIFNRLLVKAKHDNEVETSKGKEKRTMNIMKDGKAVMIGERMIMFAQAISYMEDGYVSVAVHDPEGGEPSVGSSGGSA >ONIVA10G05690.1 pep chromosome:AWHD00000000:10:6473134:6473400:1 gene:ONIVA10G05690 transcript:ONIVA10G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGLLRLPVAELATPYAALVTAGMAVLATACWLVFVKRNTSRPSEADGRRLPPGSRGFPIIGETLEFLTESPANQLPAFFKRRLDR >ONIVA10G05680.1 pep chromosome:AWHD00000000:10:6462295:6462618:-1 gene:ONIVA10G05680 transcript:ONIVA10G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYNIWDQKNRMFRGVAGRAGEGPGDGLGGKEEANCGPSVDGIGGDGIWASPSRGAVACCVIEERSGRLGATGEGSALREAINSMAWTGPQRLGVTGDSSGWAVWR >ONIVA10G05670.1 pep chromosome:AWHD00000000:10:6462061:6463283:1 gene:ONIVA10G05670 transcript:ONIVA10G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKESVPNALALRPCYVAPRLPRWHDVRASHIGADRNNPSEVCGRQGSGRLVGVALKYSKVLSWPLTSKQSVLRLEVAEHWYRLYKTDNQGVPQSGSTSTPFWQPRKPHAPIYALRLCSLHLVPPSRPLDRHGLNYSNSSLQVFFFNDLGYHFGAETNMTPIKQCS >ONIVA10G05660.1 pep chromosome:AWHD00000000:10:6456588:6456924:1 gene:ONIVA10G05660 transcript:ONIVA10G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCRGAWWRERIRGFASAAISSVEGADQELRLSCCLLRSSSNTVVWWCAKRQPSDRLTMAKSLAFELKRLFLGLALSALCFSFFR >ONIVA10G05650.1 pep chromosome:AWHD00000000:10:6448015:6451873:1 gene:ONIVA10G05650 transcript:ONIVA10G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQRLQLPHVFTTIYMLHLGKATTVQPISSSTDLYALLSFKSLATEDPSGAMSSWSSNETMFGFCHWKGVTCSSHAHPGRVTALRMRDLGLVGAISPQLSNLTYLQALDLSNNRLQGEIPHDHGSCVALRAINLSVNSLSGQIPWSIGNLPKLAVLNVRNNKISGNVPASLGNLTALTMLSIADNYVNGRIPPWIGNMTNLTDLNVAGNVFHGYVPSNIAGLINLLALSLLGNKLQGVFPPELFNITSLEIMYIGLNMLSGFLPMDIGSKLTNLVFLSTIYNQFEGPIPDSLSNISKLEYLQLHGNKFQGRIPPNIWSSGTITRLNLGNNILEAKTPNDRDFLTSLTNCSELVTLDLQLNRLSGFIPNTLVNLSQELIWIGLGGNQIFGTIPAGIGRFRKLTVLELAGNIFTCNIPLDIGQLSSLHRLLLYGNNLSGEIPPSVGNLTQLNELLLFQNNLDNKIPETLGNLSSLNSMDLPYNMLSGKIPEVLMRMPSLTKQLNLSNNLLGGPISPQIQELVNLGAIDLSGNKLSGQIPYTLGSCVELEFLFLQANLLQGKIPSELSTLRGLEDLDLSNNNLSGPIPDFLGNFQGLKHLNLSLNNLSGLVPNKGIFCNPTAVSLSKCPSSLAHNSHSKHQVQLILIICVVGGFTIFACLVTFYFIKDQRTIPKDIDHEEHITSLLIKKYPRISYVELYAATDSLSSENLIGRGSFGYVYKGNLTSGVNSATVAMKVLDLRQKGQTQGFFAECDALRRIQHRKLVKVVTVCDSLDYYGNEFKAIVLEFISNRSLDTWLKTGNKVGTLSLIQRLNIILDVAQALEYLHNHIEPPIVHCDIKPSNILLDEDMVAHVSDFGLAKIMSVDASRQSLGESISNGVRGSIGYLAPEYGMGAEISARGGVYSYGVLVLQMLTGKEPTDAIFDGTTSLPKYVEMTYPDKLSPIVDAAIIANSGGGQETINMFIVPVAKIALACCRDNASQRMNFGEIVKELVPLNKLCQDYFLTQGASSGNTSDIGITL >ONIVA10G05640.1 pep chromosome:AWHD00000000:10:6425565:6426057:-1 gene:ONIVA10G05640 transcript:ONIVA10G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRWVRGVPDLVVSWHGISLEALHACTQGYTRTSPGPRRRRAHVAGVQPQPGPVGELVLSEVRFFRSYAHHVAISDVAREMLRDISSRTALGRAFREDLTLLKGANLVLGVSCGYLLSHARAAAGRARGGAGMAGLEHGAVRHH >ONIVA10G05620.1 pep chromosome:AWHD00000000:10:6414886:6422640:-1 gene:ONIVA10G05620 transcript:ONIVA10G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMTVPTMLWSTLVPLVLLAPLFSIPRHCCAQVLMNYSCNNGSSYAENSTYDSNVRAVLATLSASTPNATTGFATASAGRGADTVWGLALCRGDTDHAVCASCVAAVPAIAFHQCRGVRDVTVFYDRCIARFSYGDFTARPDNTEVLMVSSSKYQVTVNAGHFDALVTRVAGALADWAAYNSTLRYAAGVMASSDGFPSTTGYMVHNIYGVVQCAPDLAPPACRACLQALIVDMPQAFGGRIGGQFNAVWCNLRYETSVFYDGDPAVRLVASPSLEGPNINGSTTLIIGNRRRRPNAATVVVSVLAAVIIALLSVLSIYLWRKLQAKQYTADQDVEAGSLLFDLATLRKATANFAEVNKLGHGGFGAVYKGFLRDGEEIAVKRLDKASGQGIEQLRNELLLVAKLRHNNLAKLLGVCIKGEEKLLVYEYLPNRSLDTFLFDPEKRGQLIWETRYHIIHGTARGLVYLHEDSHIKIIHRDLKASNVLLDSSMNPKISDFGLARLFDGNKTASVTSHVVGTLGYMAPEYAVLGLLSVKLDVYSFGVLVLEVVTGRRNTDVFGAVEESNNLLSYVWDHWVKGTPLAIVDASLLGDGRGPPESEMLKCIQLGLLCVQENPADRPTMLHILVMLHDVDATSFAAPSKPAFTFVNGGHTTGSSSNVAALSLNEVSISEFHPR >ONIVA10G05610.1 pep chromosome:AWHD00000000:10:6411971:6412683:1 gene:ONIVA10G05610 transcript:ONIVA10G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARANAAGAEDSGELKGKGGGAVWRKRNGGEALPLPEWEGNESLAQVARLGWVLQGCRAGGVQQGNQARWEHFQHEVETARTMRGELVDALKLTLQLLFLGFREEGGMWA >ONIVA10G05600.1 pep chromosome:AWHD00000000:10:6399058:6401887:-1 gene:ONIVA10G05600 transcript:ONIVA10G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQFIEDKQSQFVLLNQQANKQNKQPQTATGKKEAQILISKQSKKEIYLGKQRESLHTFERKAPIASREPHGGVLVGTAKEGLPIGRKSLAKEMRLQNCEEKKTKTNAPCTYLQGKREKRNMEDGEQGSLGLTCGGEEWGCGSTAASTTGSLCRRLAHSQGSLDGGGGIGIGIGGEDSDGGGGGDGERERAGRGEPMLKV >ONIVA10G05590.1 pep chromosome:AWHD00000000:10:6392575:6397855:1 gene:ONIVA10G05590 transcript:ONIVA10G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQP7] MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAATTLELDLKDPSLTFSWPCGRLREVLLTDEAAGVREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >ONIVA10G05590.2 pep chromosome:AWHD00000000:10:6392573:6397855:1 gene:ONIVA10G05590 transcript:ONIVA10G05590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQP7] MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAATTLELDLKDPSLTFSWPCGRLREVLLTDEAAGVREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >ONIVA10G05590.3 pep chromosome:AWHD00000000:10:6392544:6397855:1 gene:ONIVA10G05590 transcript:ONIVA10G05590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQP7] MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAATTLELDLKDPSLTFSWPCGRLREVLLTDEAAGVREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >ONIVA10G05590.4 pep chromosome:AWHD00000000:10:6392529:6397855:1 gene:ONIVA10G05590 transcript:ONIVA10G05590.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQP7] MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAATTLELDLKDPSLTFSWPCGRLREVLLTDEAAGVREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >ONIVA10G05590.5 pep chromosome:AWHD00000000:10:6392544:6397855:1 gene:ONIVA10G05590 transcript:ONIVA10G05590.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQP7] MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAATTLELDLKDPSLTFSWPCGRLREVLLTDEAAGVREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >ONIVA10G05590.6 pep chromosome:AWHD00000000:10:6392529:6397855:1 gene:ONIVA10G05590 transcript:ONIVA10G05590.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQP7] MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAATTLELDLKDPSLTFSWPCGRLREVLLTDEAAGVREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >ONIVA10G05590.7 pep chromosome:AWHD00000000:10:6394640:6397855:1 gene:ONIVA10G05590 transcript:ONIVA10G05590.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQP7] MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAATTLELDLKDPSLTFSWPCGRLREVLLTDEAAGVREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >ONIVA10G05580.1 pep chromosome:AWHD00000000:10:6378054:6379479:1 gene:ONIVA10G05580 transcript:ONIVA10G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEKSAARRPHPKLPPTMRPSGAESSAPPLLIRNRTRLLLPLPLPLRYRARPTPLPPSACSRPSPTTLLIFFIEPSSPRRPFSASPPSSGDDGLALIFSAPLIGPKPAPATCRMPSPPPGVSRLGRSTPRSGVAMASLVPVEVTPLDEVGGSEHGVPSRRAPLKSPRSTRSAHASGKGRGRAKGELEGNGGGEVEEVDAAPTKLPSGAREERKTDGDSGREVGMEAARRELAGRHVVAAAAAVVLPAVALPRGRPQRAAAPAVLPRSSAMASDEKPAARRPHPKPPTTMRPSGAESSVPPLLICSRARLLLPLPLRHRARPTPLPPSACSRSSPSTPQPRLHYFLSHHRCGLAFTALACPSPCVVVPHAAVAVLREPCHGLLQHHAPHLLRRALLAAPPFLCLAAVVGR >ONIVA10G05570.1 pep chromosome:AWHD00000000:10:6377733:6378002:1 gene:ONIVA10G05570 transcript:ONIVA10G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAVASTEGRRWRDHRGQSEGGAGGERRWRGGGGGRRSDETPIRGEGGAEDGDGDSGREVGMEAARRELAGRCVVAAAIVLPAVALP >ONIVA10G05560.1 pep chromosome:AWHD00000000:10:6374648:6374977:-1 gene:ONIVA10G05560 transcript:ONIVA10G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRILPLLLVLLLTVAVATSSASAYGADDGVTVMRRVLDTAAPALAPGPAPGGAMANTTTSGYISYDALFADRVPCSLRGASYYNCHPGAEANPYTRGCSAITQCRG >ONIVA10G05550.1 pep chromosome:AWHD00000000:10:6367268:6369403:1 gene:ONIVA10G05550 transcript:ONIVA10G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPRRVKNKAPAPVQLTAEHLIREARELHGDGSVTVCLPAESKKRRIVDADELAEHRLERRARFEAIVRRAGSGRGGCGDASSAWMRYARWEESPGGGGGDPARARSVYERALAGGAPAYRDHGVWIKYAQFEARGGRVGHTRNVLDRAVAILPRADRIWSEYLRMEDLLGATDNARVVFDRWTSWRPGADAWAAYAAFELRHGELDRARAVHERHVAALPCADAFILFAEFETKLKNLDRARRVYEHAGSLLAAAGDNDDTAVLLAAFADFEERCGEPDRARAIYQHALRGEPPEPRAEELREKLLSLEKRFGDRHGVEDSIVTKRRSQYERAVTTNPLCYDAWFDLIRLEESANAGDANRIRDLYRRAVANVPPAAAAAEKRHWRRYIYLWINYALFEELDAEDVARARGVYRECLRTIPHKKFSFSNICVMAAELEIRDKNLAAARRLLGNAIGVAPRPKLSRRYIEIELQLGNVGRCRILSQKFIEHAPSSSHVWRSYAALEKKLGETDRARSVYDLAVSQPALDAPELVWTDYIQFEIDAGELDRARQLYERLLGKTQHLNVWVSYAEFEATACSGGAAIAGNAAEKAERVRRCRAVFRRADEHFRGCADDPAMKEARAMLLQQWLAKEAAFGDLGEVEPVEKKTPRRVKRKRSLLADGNGGGGGCEEFFDYIFGDEEDTTAAAGFKLMKAAYEWKNSGHVITY >ONIVA10G05540.1 pep chromosome:AWHD00000000:10:6360922:6361419:1 gene:ONIVA10G05540 transcript:ONIVA10G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENKSGSGDLMASGKVVAEATMSVFQQKSVEGVDKKEVAGAAADLLHSASTYGKLDDKPVGQYIDKAEGYLKDFSSGSGGAAPPPPAAGDAAAPKPAAEEPPKEPAPAEKEEGKPPSSSEGFGLDDVMKGAESLMEKKGGGEESAGSGGAAGGLFKMAQGFMK >ONIVA10G05530.1 pep chromosome:AWHD00000000:10:6355073:6355627:-1 gene:ONIVA10G05530 transcript:ONIVA10G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPSQAGEEDVGPTWQRQEAKHRQSQVRGNLNVEVPVAAPTGCFAGCFRPSPTSSRSSPPSCNSQADRPASPSLIRSPSAWIRARGQSFASSARHARRRSGDFQYDARSYARNFDEGTDGEASGDEQAGLAAGDTLKYRSFASRLPPSPTPALSPSAAPVCDGGNSAKDSQTAREKGRDFD >ONIVA10G05520.1 pep chromosome:AWHD00000000:10:6348089:6349540:1 gene:ONIVA10G05520 transcript:ONIVA10G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCVPATPPAFSTSGGSSFVVVGLAKRVHRRQPEVSDGARDGRILLEPHVSRRPTTLMRVPGLGSSSILMSFRASVVTHVGQQKSPRRILQYMRKHGRRKHHRRCTSPWTTCFCQSSNFAPQFSVGGVHRSVVHAGAPEHNIDVRLAGHYGGGVVRVIVGQGGGESDGVDVDDDGVLVAPAGVDAVAESCREGDTRAGAEVEQRVAARLGELGGEPAAREGAVESRDAGVGEQAGTVREGRRPRDKGEILWIDGSTTTDEEANLVSREDVGVLIMVGTGMG >ONIVA10G05510.1 pep chromosome:AWHD00000000:10:6338285:6338718:1 gene:ONIVA10G05510 transcript:ONIVA10G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFGNMVLWAFPRMRVRDLLSSSYATVVGVISDAVARIDERYILSFINFGEVATGAEYTDGGGARTVLCPNLKVDSWLGFRFHELDFGGGPPCAFLPPDVPIEGILMIFMPSCAAKGGIEMFVALDDSHVKAFSQICYSMD >ONIVA10G05500.1 pep chromosome:AWHD00000000:10:6332690:6338049:1 gene:ONIVA10G05500 transcript:ONIVA10G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFFVAWVAAVHTRETLLPTPFHDRGVVVVPSRLPQPAFDHRNIEFNSGEHG >ONIVA10G05490.1 pep chromosome:AWHD00000000:10:6319552:6327026:1 gene:ONIVA10G05490 transcript:ONIVA10G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQN2] MKTTMAAAATCLVALLVVVLAEAAGVGGTTVAYNDRSLVIDGERRIIISGSIHYPRSTPEMWPDLIKKAKEGGLDAIETYVFWNGHEPHRRQYNFEGNYDIIRFFKEIQNAGLYAILRIGPYICGEWNYGGLPAWLRDIPQMQFRMHNAPFENEMENFTTLIINKMKDANMFAGQGGPIILAQIENEYGNVMGQLNNNQSASEYIHWCADMANKQNVGVPWIMCQQDSDVPHNVVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKDLHSVIKSIEKILVHGEYVDTNYSDNVTVTKYTLGSTSACFINNRNDNKDLNVTLDGNTHLLPAWSVSILPDCKTVAFNSAKIKAQTTIMVKKANMVEKEPENLKWSWMRENLTPFMTDEKGSYRKNELLEQIVTSTDQSDYLWYRTSLDHKGEASYTLFVNTTGHELYAFVNGMLVGKNHSPNGHFVFQLESAVKLHDGKNYISLLSATIGLKNYGPLFEKMPAGIVGGPVKLIDNNGTGIDLSNSEYRQIHLDKPGYRWDNNNGTVPINRPFTWYKTTFQAPAGQDTVVVDLLGLNKGVAWVNGNNLGRYWPSYTAAEMGGCHHCDYRGVFQAEGDGQKCLTGCGEPSQRYYHVPRSFLKNGEPNTLILFEEAGGDPSQVIFHSVVAGSVCVSAEVGDAITLSCGQHSKTISTIDVTSFGVARGQCGAYEGGCESKAAYKAFTEACLGKESCTVQIINALTGSGCLSGVLTVQASC >ONIVA10G05480.1 pep chromosome:AWHD00000000:10:6317645:6317884:-1 gene:ONIVA10G05480 transcript:ONIVA10G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTARVLNTDDSPLCRCRRSQSDGCEGAVVDEVVRRVAVVVVAEVRKLMSRERMRRRRVVVDAREGVVDQGV >ONIVA10G05460.1 pep chromosome:AWHD00000000:10:6316209:6316645:-1 gene:ONIVA10G05460 transcript:ONIVA10G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGPSSHSPEPVNNLLIPNLSLHWHGADEQSSCPPSPRETERGEGERQAVGVEAGKRMGGAGGDELSVQPRRQPRAEAGSPADSRKRCPVSQAGGRRRRACGCQMVATAPRPQRRRPPDDDCQMVAWMVEGASTVNGGLQA >ONIVA10G05450.1 pep chromosome:AWHD00000000:10:6305983:6308257:1 gene:ONIVA10G05450 transcript:ONIVA10G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF179) [Source:Projected from Arabidopsis thaliana (AT3G29240) TAIR;Acc:AT3G29240] METSCFLTSNASPVKSMVMPSPAGVVKARPQVLFGGRRAASSSVTTCCSYNGEGAAPAIDPDWRSFRAQLYFNEQYAKSVNPAVAAVRATATTPEPVKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVVLLLSAGVLGPVGVILNRPSLMSIKEAQAVFAETDIAGAFSGRPLFFGGPLEECFFLLGPRAAAAGDVVGRTGLFDEVMPGVHYGTRESVGCAAELVKRGVVGVRDFRFFDGFCGWEREQLRDEVRAGLWRVAACSPAVLGLATVVKGGLWEEVQGLVGERRVW >ONIVA10G05440.1 pep chromosome:AWHD00000000:10:6299680:6305883:1 gene:ONIVA10G05440 transcript:ONIVA10G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNEVGSGSSPDLSMRNSAHDSYMPSPQACFVGSTVQRPPANWYPSKKKLKVLQHPSQALQAPRPNLIPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPRPNGNAGSGSRNNEPNVFNSNIAQPSSDTFSGSQTNGTIGNPHKEISSDGDGISNSKLEQNLSL >ONIVA10G05440.2 pep chromosome:AWHD00000000:10:6299723:6305911:1 gene:ONIVA10G05440 transcript:ONIVA10G05440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTLLADIQLALPNRCYLLPSGMHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNEVGSGSSPDLSMRNSAHDSYMPSPQACFVGSTVQRPPANWYPSKKKLKVLQHPSQALQAPRPNLIPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPRPNGNAGSGSRNNEPNVFNSNIAQPSSDTFSGSQTNGTIGNPHKEISSDGDGISNSKLEQNC >ONIVA10G05440.3 pep chromosome:AWHD00000000:10:6299721:6305883:1 gene:ONIVA10G05440 transcript:ONIVA10G05440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTLLADIQLALPNRCYLLPSGMHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNEVGSGSSPDLSMRNSAHDSYMPSPQACFVGSTVQRPPANWYPSKKKLKVLQHPSQALQAPRPNLIPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPRPNGNAGSGSRNNEPNVFNSNIAQPSSDTFSGSQTNGTIGNPHKEISSDGDGISNSKLEQNLSL >ONIVA10G05440.4 pep chromosome:AWHD00000000:10:6299680:6305883:1 gene:ONIVA10G05440 transcript:ONIVA10G05440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNEVGSGSSPDLSMRNSAHDSYMPSPQACFVGSTVQRPPANWYPSKKKLKVLQHPSQALQAPRPNLIPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPRPNGNAGSGSRNNEPNVFNSNIAQPSSDTFSGSQTNGTIGNPHKEISSDGDGISNSKLEQNLSL >ONIVA10G05440.5 pep chromosome:AWHD00000000:10:6299680:6305579:1 gene:ONIVA10G05440 transcript:ONIVA10G05440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNEVGSGSSPDLSMRNSAHDSYMPSPQACFVGSTVQRPPANWYPSKKKLKVLQHPSQALQAPRPNLIPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPRPNGNAGSGSRNNEPNVFNSNIAQPSSDTFSGSQTNGTIGNPHKEISSDGDGISNSKLEQNT >ONIVA10G05440.6 pep chromosome:AWHD00000000:10:6299803:6305883:1 gene:ONIVA10G05440 transcript:ONIVA10G05440.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTLLADIQLALPNRCYLLPSGMHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNEVGSGSSPDLSMRNSAHDSYMPSPQACFVGSTVQRPPANWYPSKKKLKVLQHPSQALQAPRPNLIPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPRPNGNAGSGSRNNEPNVFNSNIAQPSSDTFSGSQTNGTIGNPHKEISSDGDGISNSKLEQNLSL >ONIVA10G05430.1 pep chromosome:AWHD00000000:10:6283579:6285197:-1 gene:ONIVA10G05430 transcript:ONIVA10G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPLLGAGLHLRRCRAPLTAPASSPFAACRAGIRLRRCRARERWIRCRRRLPVPEGACGALPGAAASDVDRGGRSTGEEERGVLLLLRQQVRAQIPSQQRAYFLHLASSRLLEEDDALLRKKRQQSADMLALMTTKMSTPRARVSGVARSPREAARGLKRFLSFGKKKRGGRDVTVIDCSSPSIVAGLKHMRTLMEEWPKCFGGC >ONIVA10G05430.2 pep chromosome:AWHD00000000:10:6283579:6285197:-1 gene:ONIVA10G05430 transcript:ONIVA10G05430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPLLGAGLHLRRCRAPLTAPASSPFAACRAGIRLRRCRARERWIRCRRRLPVPEGACGALPGAAASDVDRGGRSTGEEERGVLLLLRQQVRAQIPSQQRAYFLHLASSVHREAARGGRRAAAQETAAERRHARPDDDEDVHPPGQGLRRGAVAKRGGARIEEVAGLKHMRTLMEEWPKCFGGC >ONIVA10G05420.1 pep chromosome:AWHD00000000:10:6268507:6279664:-1 gene:ONIVA10G05420 transcript:ONIVA10G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRNGVPFVAWPYFADQFVNRAYICDIWRIGLPAVADEKSGMITKEHIAGRVVEVMGDAGMRKRIEAMMAVAHESIQEDGCSHGNFDIFGHVIPLMEVAHALADRGVAVTFVNTEFNHGRVVAAMPSPPRRNGVTENGGSGKLGMRRNRIRLVAVPDGLGPDEDRNNLVRLTVLMQEHMAPPVEELIRRSGEEEAAVDGDGDGWGRITCVVADYNVGTWALDVARRTGVMSTAVWPASAAVVASLLSIPELVRDKVIDAQDGSALTQEAFQLSPDMPMMQPAHLAWNCIGNDEGQELLFRYLLAGVRAVDECDYILCNSFRGAEAATFARFPKILPVGPLLTGERPGMPVGNFWRPEDGACMSWLDVQPARSVVYVAFGSFTMFDRRQFQELALGLELTGRPFLWVVRPDIVRGDVHEYPDGFLDRVVASGNGGGRGKVVAWAPQQRVLAHPAVACFVSHCGWNSIMEGVRNGVPFVAWPYFADQFVNRAYICDIWRVGLPAVTDEKLGVVTKKHIAGRVEEVMGDSGMRKRIEAMMAVAHESVQEGGCSHGNFDMFRMRTVNFFQVSWWRLSTCDSYTSGGDGVRCPGRRLVEVSALGDSVADRRGGVSRGGQHGRLGGEWPDQGVGVGEEEEGGDTDKEGGRRRVPPHGCRAAASPGQRRVASRRVTSSRRTLVASEQLGAAAAGMAVVGRAGERGLAVDDDLGPARGRREILAPNHRDDPRLLGIFCINGVTTTGSAARARRPVPGAGPRHPAHGGRALAGNRGVAVTFVNTEFNHGRVVAAMPSPPRRNGETENGGSGGKLGMGPLIRLVAVPDGMEPDDDRNNLLRLTVFMQEHMAPRVEELIRRSGEEEAAVDGDGDGWGRIRCVVADYDVGTWALDVASRTGVKSAAVWPASAAVMASLLSVPELIRDKIIDAHGLCPSTTAAADGDGRGGGGEGGEGRAQGRRRRRDKKIRIGTR >ONIVA10G05420.2 pep chromosome:AWHD00000000:10:6268505:6274059:-1 gene:ONIVA10G05420 transcript:ONIVA10G05420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMMQPAHLAWNCIGNDEGQELLFRYLLAGVRAVDECDYILCNSFRGAEAATFARFPKILPVGPLLTGERPGMPVGNFWRPEDGACMSWLDVQPARSVVYVAFGSFTMFDRRQFQELALGLELTGRPFLWVVRPDIVRGDVHEYPDGFLDRVVASGNGGGRGKVVAWAPQQRVLAHPAVACFVSHCGWNSIMEGVRNGVPFVAWPYFADQFVNRAYICDIWRVGLPAVTDEKLGVVTKKHIAGRVEEVMGDSGMRKRIEAMMAVAHESVQEGGCSHGNFDMFRMRTVNFFQVSWWRLSTCDSYTSGGDGVRCPGRRLVEVSALGDSVADRRGGVSRGGQHGRLGGEWPDQGVGVGEEEEGGDTDKEGGRRRVPPHGCRAAASPGQRRVASRRVTSSRRTLVASEQLGAAAAGMAVVGRAGERGLAVDDDLGPARGRREILAPNHRDDPRLLGIFCINGVTTTGSAARARRPVPGAGPRHPAHGGRALAGNRGVAVTFVNTEFNHGRVVAAMPSPPRRNGETENGGSGGKLGMGPLIRLVAVPDGMEPDDDRNNLLRLTVFMQEHMAPRVEELIRRSGEEEAAVDGDGDGWGRIRCVVADYDVGTWALDVASRTGVKSAAVWPASAAVMASLLSVPELIRDKIIDAHGLCPSTTAAADGDGRGGGGEGGEGRAQGRRRRRDKKIRIGTR >ONIVA10G05410.1 pep chromosome:AWHD00000000:10:6248318:6255994:1 gene:ONIVA10G05410 transcript:ONIVA10G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHQLQTMQFTDPASRSPRPVGGGVHGQPPPTPMSSPFSSRKPRMQEGHPTCVNLTPIPHTDGHLWRKYGEKKIKNSSFPRRWCKTVAIYRLYYRCSYRDDRNCMATKVVQQENDADPPLYRVTYIHPHTCNPSPPAPTPAHVFTEPPPAKAEVHHAVLFRFSSTAGGHTANNAVHRQQWQPAAATMAAGAQAQLSMTMSDDEREQPPAAIRSAPPARRLSMFRAVVDGLRQMRSSAPPTPSSSMVVDDGWDTFSSFDLDTCEFSVDDELLCGDHMYFPDSMQQ >ONIVA10G05400.1 pep chromosome:AWHD00000000:10:6234728:6235214:1 gene:ONIVA10G05400 transcript:ONIVA10G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSNNHAWFIVWVEPRLDLQPWFAGLQSELLRFNNEFRGNLLQIPVMLTPKSTASHQTSHMCHSRGGSRRGLPVRQAEYTSIEALGCNRRGIAAVPFDLSLVKDGPTLRFILGDVLGNSIPLNAVI >ONIVA10G05390.1 pep chromosome:AWHD00000000:10:6234174:6234620:1 gene:ONIVA10G05390 transcript:ONIVA10G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSTQMQLVMAKATRNRPETTAAARIWSAVVAAARMRHAAATVAPMQFVVAMATRIWSAAVAASRMRPVAGADAQMRPAAVAAAPMLPATTAAAPMLPAAAAVWMWPAAATAAPLLPATATAAPMQAATVSVVWMCLAMAAWMRLG >ONIVA10G05380.1 pep chromosome:AWHD00000000:10:6221734:6228465:1 gene:ONIVA10G05380 transcript:ONIVA10G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSRSTLLGLVRRLRLSSPPSPAPQPRRLLLTASAPPPPPPPTTVCCSRPAAAPGRDARTMAVALAASPDRSMGRESRFRHGWRSLTSSSEEKGALKDVPAAAMLKNRNDNEKKRSRRRKPGITILKNSGHRDGSIFKGNRGWKIDFRIANPDETQFEAMMLSDPGDCKPDEIACVMHQPCPMLQIFSLKLAKTSIDRFPVELYGYIAVRDIMDPLRNYVVRRSRDDTIAVKPGSLIGMTGPKRGIKFCSSALTEYDMRIKTGEQEEDDIQLIDGVLGIFDDLSKPSCKPFRSRIDGVGGAVDITVGLLPSAVEATFEVSISEVQSCFDLTVCSYAGGLSQQFKIFQGTIGESSGLRRSVVAVMLDGMLHLRFIARRKGSKRDHEIACSIRAKKHGSSTHQLNTELASFLVKVNWSTLPM >ONIVA10G05370.1 pep chromosome:AWHD00000000:10:6203299:6204129:1 gene:ONIVA10G05370 transcript:ONIVA10G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEAIPEERWKELVRSLGTEQLVDAIYVAIDDLSARERDTISPELWRRLGDRRAAYKNPFARDGLGSGFSAGEDEVEKIKTKLVAVVGEDGGNPRSDSSSSEAVVELLRALQAVPMTFETLEASKIGKAISGLRKHSSEQVRDLAAALYKSWKALVDEHLTRKPPAPPTKTASALGAADHANKANTAAPRKAACNKRKEAPALAPEMDEAKLEAARKKLRERYRDEETAKKQRKIQVIDAPGKARERPAVVERRGVVRRTVASHAPVAAFVRA >ONIVA10G05360.1 pep chromosome:AWHD00000000:10:6202139:6202961:-1 gene:ONIVA10G05360 transcript:ONIVA10G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAESAVREMVRSMGAEQLDEAISFATMELAGRDIPFEDMFRLCDEQELRRAKKPAMAVVSGSGEEVERIKSKLEIGEDGSPTSNSSEKTVTLEASKIGKTISGLRKHSLEQVRDLAAALYKNWKALVDEHLTRKPPAPPTKTASALAAADRAKKANTPPAAQKPAPTAPPKKTASNKREEAPALVDEAKLAVAKRKLQEGYEDAASAKKQRMIQVIDAPRKKVKNWRPVAVVESRRRIAPAVAAAPPLRMCRAA >ONIVA10G05350.1 pep chromosome:AWHD00000000:10:6185166:6190876:-1 gene:ONIVA10G05350 transcript:ONIVA10G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQL2] MLIRTYSSLWMMAGFVFLIYMGHLYIWAMVVVIQIFMASELFNLLRKANEDRQLPGFRLLNWHFFFTAMLFAYGRFLSRQLVNTVTSDKLLYKLVSGLIKYQMFICYFLYIAGFVWFILTLKKKAYKYQFSQYAWTHMILLMVFAQSSFTVANIYEGMFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFLGASVTTMLSAFVLANFMGHFQWLTCPRKDLSTGWLHCDPGPIFTPESYDLPGWIPWREVAIMPIQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQDLSVETIMEQILRNLTFEEQHDLYEQLGKLLTRGN >ONIVA10G05340.1 pep chromosome:AWHD00000000:10:6152323:6169025:-1 gene:ONIVA10G05340 transcript:ONIVA10G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVLWPTLLPLVLLANLLPLPRHGRALELMNWSCNNGSSYAANTTYHSNVRAVLTALSAITPNSTARFATASAGRGGADAVWGLALCRGDTNRAGCASCLAAVPAVAFGECRGDRDVAVFYDRCLARFSYADFTARPDNTEVLIGSPSENRVTVDAGRFDALVARLAGALADWAAYNSTRRYAAGVMASGDGFTSTTEDMVHNIYGVVQCTPDQAAAACRACLEALRVDMPKVFAGRIGGRFDAVWCNLRYETFLFYDGDPTVRLAASPLPWPSPGSSSSPLPSPSPGSSSSPLPSPSPSLPPLEGKRRNRPKNAAIVVVSVLASLVVLLSLLSFYLWRKLQAKQYTDENDIYSGSLLFDLATLRKATASFAEHNKLGHGGFGAVYKGFLPDGREIAVKRLDKTSGQGLEQLRNELLFVAKLRHNNLAKLLGVCIKGEEKLLIYEYLPNRSLDTFLFDPEKRGQLNWETRYQIIHGIARGLLYLHEDSQIKIIHRDLKASNVLLDANMNPKISDFGLARLFDGTKTASITNHVVGTLGYMAPEYAVLGHVSVKLDVYSFGILVLEIVTGRRNTDVLGEVEESNNLLSYVWDHWVKGTPLEIADASLLGDDRGLSDMELLKCVHFGLLCVQENPVDRPTMLDILVMLHDVDTNSFVAPSKPAFTFAHGGNTTSSSQDAMATRVLLSSKVILALVLASLFSLPRHGRALELMNWSCNNGSAYAANTTYDTNVHSILATLTARTPNTTTGFATATTGRGTDTEAWGLALCRGDTDRVGCASCLAAVPAVAFNECRGDMDVTVFYDRCLARFSYVDFTARPDNTEVLIGSPSADRITADAGHFDALVADLAGALADWAAYNSTLRYAAGVMTSGDGFMSTTEDMVHNIYGVVQCTPDQAAAACRACLEALRVDMPKVFAGKMGGRFNAVWCNLRYETFVFFDGDPSVKLVAPPVVPEDGKRRSSPENAAAVVGAVLDAADKDVDSGSLLFDLAIIRKATANFAEHNKLGHGGFGAVYKGFLPDVGEIAVKRLDRTSGQGLEQLRNELLLVAKLRHNNLAKLLGVCIKGDEKLLVYEFLPNRSLDTILFDPQKREQLSWETRYQIIHGTARGLLYLHEDSQIKIIHRDLKASNVLLDSNMNPKISDFGLARLFSGTKTTSITSQVVGTLGYMAPEYAVLGHLSVKVDVYSFGILVLEIVTGRRNTDVFDADEESSNLLSYVWDHWQKGIPLEITDTLLLLSGSRGLQDMELLKCVHIGLLCVQENPADRPTMLSVLVMLQDIDTTNFAAPSKPAFTFANVRNTTSSSPSAAALSANEVSISEFHPR >ONIVA10G05340.2 pep chromosome:AWHD00000000:10:6152323:6169025:-1 gene:ONIVA10G05340 transcript:ONIVA10G05340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVLWPTLLPLVLLANLLPLPRHGRALELMNWSCNNGSSYAANTTYHSNVRAVLTALSAITPNSTARFATASAGRGGADAVWGLALCRGDTNRAGCASCLAAVPAVAFGECRGDRDVAVFYDRCLARFSYADFTARPDNTEVLIGSPSENRVTVDAGRFDALVARLAGALADWAAYNSTRRYAAGVMASGDGFTSTTEDMVHNIYGVVQCTPDQAAAACRACLEALRVDMPKVFAGRIGGRFDAVWCNLRYETFLFYDGDPTVRLAASPLPWPSPGSSSSPLPSPSPGSSSSPLPSPSPSLPPLEGKRRNRPKNAAIVVVSVLASLVVLLSLLSFYLWRKLQAKQYTDENDIYSGSLLFDLATLRKATASFAEHNKLGHGGFGAVYKGFLPDGREIAVKRLDKTSGQGLEQLRNELLFVAKLRHNNLAKLLGVCIKGEEKLLIYEYLPNRSLDTFLFDPEKRGQLNWETRYQIIHGIARGLLYLHEDSQIKIIHRDLKASNVLLDANMNPKISDFGLARLFDGTKTASITNHVVGTLGYMAPEYAVLGHVSVKLDVYSFGILVLEIVTGRRNTDVLGEVEESNNLLSYVWDHWVKGTPLEIADASLLGDDRGLSDMELLKCVHFGLLCVQENPVDRPTMLDILVMLHDVDTNSFVAPSKPAFTFAHGGNTTSSSQDAMATRVLLSSKVILALVLASLFSLPRHGRALELMNWSCNNGSAYAANTTYDTNVHSILATLTARTPNTTTGFATATTGRGTDTEAWGLALCRGDTDRVGCASCLAAVPAVAFNECRGDMDVTVFYDRCLARFSYVDFTARPDNTEVLIGSPSADRITADAGHFDALVADLAGALADWAAYNSTLRYAAGVMTSGDGFMSTTEDMVHNIYGVVQCTPDQAAAACRACLEALRVDMPKVFAGKMGGRFNAVWCNLRYETFVFFDGDPSVKLVAPPVVPEDGKRRSSPENAAAVVGAVLDAADKDVDSGSLLFDLAIIRKATANFAEHNKLGHGGFGAVYKGFLPDVGEIAVKRLDRTSGQGLEQLRNELLLVAKLRHNNLAKLLGVCIKGDEKLLVYEFLPNRSLDTILFDPQKREQLSWETRYQIIHGTARGLLYLHEDSQIKIIHRDLKASNVLLDSNMNPKISDFGLARLFSGTKTTSITSQVVGTLGYMAPEYAVLGHLSVKVDVYSFGILVLEIVTGRRNTDVFDADEESSNLLSYVRPIDQLFYDFLKVWDHWQKGIPLEITDTLLLLSGSRGLQDMELLKCVHIGLLCVQENPADRPTMLSVLVMLQDIDTTNFAAPSKPAFTFANVRNTTSSSPSAAALSANEVSISEFHPR >ONIVA10G05340.3 pep chromosome:AWHD00000000:10:6152323:6169025:-1 gene:ONIVA10G05340 transcript:ONIVA10G05340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVLWPTLLPLVLLANLLPLPRHGRALELMNWSCNNGSSYAANTTYHSNVRAVLTALSAITPNSTARFATASAGRGGADAVWGLALCRGDTNRAGCASCLAAVPAVAFGECRGDRDVAVFYDRCLARFSYADFTARPDNTEVLIGSPSENRVTVDAGRFDALVARLAGALADWAAYNSTRRYAAGVMASGDGFTSTTEDMVHNIYGVVQCTPDQAAAACRACLEALRVDMPKVFAGRIGGRFDAVWCNLRYETFLFYDGDPTVRLAASPLPWPSPGSSSSPLPSPSPGSSSSPLPSPSPSLPPLEGKRRNRPKNAAIVVVSVLASLVVLLSLLSFYLWRKLQAKQYTDENDIYSGSLLFDLATLRKATASFAEHNKLGHGGFGAVYKGFLPDGREIAVKRLDKTSGQGLEQLRNELLFVAKLRHNNLAKLLGVCIKGEEKLLIYEYLPNRSLDTFLFDPEKRGQLNWETRYQIIHGIARGLLYLHEDSQIKIIHRDLKASNVLLDANMNPKISDFGLARLFDGTKTASITNHVVGTLGYMAPEYAVLGHVSVKLDVYSFGILVLEIVTGRRNTDVLGEVEESNNLLSYVWDHWVKGTPLEIADASLLGDDRGLSDMELLKCVHFGLLCVQENPVDRPTMLDILVMLHDVDTNSFVAPSKPAFTFAHGGNTTSSSQDAMATRVLLSSKVILALVLASLFSLPRHGRALELMNWSCNNGSAYAANTTYDTNVHSILATLTARTPNTTTGFATATTGRGTDTEAWGLALCRGDTDRVGCASCLAAVPAVAFNECRGDMDVTVFYDRCLARFSYVDFTARPDNTEVLIGSPSADRITADAGHFDALVADLAGALADWAAYNSTLRYAAGVMTSGDGFMSTTEDMVHNIYGVVQCTPDQAAAACRACLEALRVDMPKVFAGKMGGRFNAVWCNLRYETFVFFDGDPSVKLVAPPVVPEDDAADKDVDSGSLLFDLAIIRKATANFAEHNKLGHGGFGAVYKGFLPDVGEIAVKRLDRTSGQGLEQLRNELLLVAKLRHNNLAKLLGVCIKGDEKLLVYEFLPNRSLDTILFDPQKREQLSWETRYQIIHGTARGLLYLHEDSQIKIIHRDLKASNVLLDSNMNPKISDFGLARLFSGTKTTSITSQVVGTLGYMAPEYAVLGHLSVKVDVYSFGILVLEIVTGRRNTDVFDADEESSNLLSYVWDHWQKGIPLEITDTLLLLSGSRGLQDMELLKCVHIGLLCVQENPADRPTMLSVLVMLQDIDTTNFAAPSKPAFTFANVRNTTSSSPSAAALSANEVSISEFHPR >ONIVA10G05330.1 pep chromosome:AWHD00000000:10:6142478:6143911:1 gene:ONIVA10G05330 transcript:ONIVA10G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPPPPCPAAACDDGWLSLSVSTVSGERKRLKRGGGGGGGGVGGGAVEDDGCPLHDEVLLLVFAECSLETDDLVRCAATCRRWRRLVAGDAEYICRRKPPSRRYVGALAVGFVQQRRQENSSSSSGALPPPRFIPLPSYSSRFAGGGELDKVFDSGLLSNSRLIASRKGLLVLELRRSSRAAAVRLVVCNPMTGDMATLPILAGKDRPGHYACALITFDDHEGAPDRLGFVHDPAAFRLLLVYKRRNFTACRSYWSDTKAWDAEGKLSGAKIGGRRLGEMTGAVAVRGSVFWLLKNLLFVVRLGALKATTETFPSKWCSKLCFCYGSPVQNRQLAVTPDGRLCAVQVDRHVTSNNTVRINVISRHDGYGPPTWECDNARDVALNRVLPMANVRRVCLRGVCERSGVVFLAIGTDLYNQQPDLALYALDMDKKEARKVAAPPGHCRRLSSSFFGYEMDRVAYLASLSGGESIAS >ONIVA10G05320.1 pep chromosome:AWHD00000000:10:6105274:6114145:1 gene:ONIVA10G05320 transcript:ONIVA10G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G10370) TAIR;Acc:AT3G10370] MATWRLRRAAAAIAASSAVVAAGAAWPSASASDPSPAALEAARRRVAQPGAAPPPRAAQRAALAGSTPADPLDVLVVGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVVYYWFGLKFYDIVAGRRLLHLSRYYSVDESVELFPTLAKNSHDRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAILNYAEVVSLIKDESGERIIGARIRDTLSGKEFDAFAKVVVNAAGPFCDSVRKMANNDVVPMISPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTAITMLPEPHEDEIQFILDAICDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAIRSGNLKPANGCVTDHLHILGGYGWDPASFTVLAQNYKRMKRTYGGKIIPGAMDSAVSKHLSHAYGTLATQVASIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCESAVDFIARRCRLAFLDTDAAGRALPRIIEILALERKWDKARQKLELQKGKDFLETFKSSKNAQFRDGKHNGQ >ONIVA10G05310.1 pep chromosome:AWHD00000000:10:6097123:6097758:1 gene:ONIVA10G05310 transcript:ONIVA10G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIEAYYDDGSGTYLLSFDEDFLDATLTKSGGKVESWLGETYCIHRSCGHPLVVGLDVEWRPAAPVPGPVAVLQLCVDRRCLVFQILHADYLPDALSRFLADPRYTFVGVGVRDDAARLRVGYGLEVPRAVDLRALAADTLGRPDLRRAGLRALVREVMGVQMDKPHHVRVSAWDKRNLSEDQFKYACADAFASREVGRRLYTCNCDGA >ONIVA10G05300.1 pep chromosome:AWHD00000000:10:6095478:6095798:1 gene:ONIVA10G05300 transcript:ONIVA10G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEEASGGRGWAKAAVAGGCRVTEAGGGRAQGDGGRRKRARGGCRAAAGGALLPDLACDDNDGGEVGELRMRAGVRSL >ONIVA10G05290.1 pep chromosome:AWHD00000000:10:6069347:6070281:1 gene:ONIVA10G05290 transcript:ONIVA10G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTATTRVHLRRVKEVVMVAYRMAKGKGKLEKWVRRREASMVARGFG >ONIVA10G05280.1 pep chromosome:AWHD00000000:10:6040861:6041292:-1 gene:ONIVA10G05280 transcript:ONIVA10G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAGISSRGRENDDGSTSDSDVVALVLSVVTGGRLLRLSLSRRRGSPQQQQQQKRRLKKDATASQSELQHSSMLQSQSEQQQQQSQSPQSQQSQSQSPQSMLMSSDMSAMGGGGRRREQLDRSSDGWMQIDEQVKSKSIDI >ONIVA10G05270.1 pep chromosome:AWHD00000000:10:6033970:6040030:-1 gene:ONIVA10G05270 transcript:ONIVA10G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic initiation factor 3 gamma subunit family protein [Source:Projected from Arabidopsis thaliana (AT2G45730) TAIR;Acc:AT2G45730] MAAIPREAWEGCSVLLDINDGDRLAFFRLTPAASAPPFLLDLASPPFPPKNPRPDFPLFRSCRTVKVGNRTCSLQPLVGRPFGSLFSVGPSGLVPCADAPSSRDDTTQDAADGPSQDETRDNRSLVDNNTAQNLSSDDIEAMKRDGVSGDEIVEALIANSSTFGKKTLFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKSPARTGFMRVDALSLLLSMANVGPYSDVLVVDMVGGLVVGAVAERLGGTGYVCSTYLGSAASSIDIIRMYNLSSDMTTRGPCEAFEVITKVGNTHYVVEVVLKSWAIAGNILISLPFRIVQAPLSDLCSLQNSVDVSSGLNDSIQGEAQEPTAVPVENTQPSVPQPTDTAVPDEKTQSPKEQSIDIDIPEPLLDEHINQDGNSSLDSKGDEDGSSIGPKSLKAGKAPSPERMKYWSEHGFSSLIVAAPGHDVESFVADLLPLLSYSAPFAIYHQYLQPLATCMHSLQVSKMALGLQISEPWLREYQVLPSRTHPHMQMNAFGGYILSGIRIHNGDACNGSK >ONIVA10G05260.1 pep chromosome:AWHD00000000:10:6025168:6029389:-1 gene:ONIVA10G05260 transcript:ONIVA10G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:winged-helix DNA-binding transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT1G47870) TAIR;Acc:AT1G47870] MDGSAAIPPRPAPTPPAPAPAPPQVFLRRSVLPPPPAPHHAPPPPGAHVHYFRAASPIPIFRAAASSRPPRPPPPSTTTAPPPPAAPAVTPARPPPQQPAVAVAAPPPATTTATATEEVAAPATGNPMANAADNEEKNERETVQSEVAKGETVQGPDKECTTGAVKGIKRPRKPKGFKKGDAGPSLFSPNNCRYDSSLGLLTKKFINLLEGAEDGTLDLNKAAETLEVQKRRIYDITNVLEGVDLIEKTLKNMIRWKGFDMSKPKERERQISALKEEIESLYDEESRLDDEIMEAQEKLNALRVDEDRRKLLYVSKEDINAIPRFQGSTLIAVNAPRGTYIEVPDPNLDMDIYKDLDNQEKHYQIVFRSAMGPVDCFLISNHQETFNADQQMADNLDAAVTSGSSQAPQQMDYVQASEIGESNGVREHTSEPSKRDDPVPGIVKIVPSDDIAADYWLSSDADVSMTDTWGT >ONIVA10G05250.1 pep chromosome:AWHD00000000:10:6008318:6011500:-1 gene:ONIVA10G05250 transcript:ONIVA10G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGAISTVGPAISPGIKIAEIAESFVARKYRRLRDYRKDVAQLVGEVGKIAVAVDTVEEQEQFMSDRRIVGQLSELMDAIHEAEDILDAVDYRDSIWARKHKVRQVIHSIVEQAKRIVGIDGALNRLDKSMNDLPRMQQNQRDLVQLSNFRSSQGGGDGGASASRPRSATGLLPDGKLYGYKEEYDRLVSALLYDPPRRPGGNGNGGGQVVAVVGDGGVGKTALAQHALRHVDVQARFDHVIWASVPHKYRNKDLLAEIWMSGPGATATATATHRCADQMSFGALQAEFVRLVSLSSQRYLLVLDDVCNDESDGDDHQRSRKEWEDVLAPFKQGERGNRILVTTRASICCATLNAGTRIQLNGIAADELLLLLKKSAFGDHDKKHAPHLDEVLTSLAEKLKDCPSAAAVVAARVRNKARRKDWEEALALLDAGRVLSPRRHESRYREFRDLPHHLQSCLHFCSLFPNNWMFHPDKLVRMWIAHGIIVVGDAHAHAPIDGRSMEEEEQVGRRYIRDLVSRSFFHLQPVKNGSRTMYLAIRQHVHSMLLSVSASYFFRVTSSSLGRRIPPTVRHLSVAPVTVTDQDQGQGGCLDLDWLKKHPVLNKLRTLLVFDGRMSAMDGDILRQLEAVRVLDLTSTNITADFPKGIGKLKHLRYLGLPKSLKKLPEGVNKLLHLQALVSAEDKLQGSVELCADSGCRKPGHGLEALAHMNSLCGELSIKGLQAVSNREEAHRAHLERKEFLKVLKLEWEPSLQLQEPSESSHEQVLEGLKPPCKIEELHIRQYLGRTSPSWLESNLLSGLRYLYLRNCRNWQVLPTLSQLPHLKVICIKEMCSVQRIDHRLYGGDGVFKSLETLILDDMPNLVEWAAEATDEKFPHLQKISMLNCPKLEKLPRVPRTVRNMEIQKHPSCYLHLSPSSSSSSSISFTLDIRADAVHLLHEDFLHPDHIKAIGSIRIEHYTWSTEPNLNLLASVRSLSLTRCPVTDRSLSMCLHKLQSLERLEISDCPELHDFPDDAMPMMASLKSLEFNGCHPLLMKKLQGRTGAAWERIRSIQRLEIV >ONIVA10G05240.1 pep chromosome:AWHD00000000:10:5999219:6002518:-1 gene:ONIVA10G05240 transcript:ONIVA10G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSRRWAGAVESGVDPVAEAWAKLLRSLSQAMARLRVQMESFDDAQMRWALAAGVRAKAQLLASRLAQILALFWDEGQRAALPACVRDALYGMEDMVDDLEYHMLKFQPHQQEVRCNLLISLVNLRYRLIISHASRSRFLKDLDFVASEAGSLLSAMHKLEPTAPSLPALLLADDDHQVVFGRHKEVTDIVRMLIDPPASHHHHPTYDILPIVGMGGVGKTTLAKLVYDDAKVKQHFELRLWASVSTSGGFHKIDITEQILRSANPTYPASIHSEPTLDMLQFHLSQLVASKRFLLVLDDIREESFTSMACQEILSPLSSAEKGSRILVTTTTASVPAMLGASCTYHLNVLDIEDLWSLLKKYAFHGGPTHDSTQELEEIGRNIASKLKGLPLAAKMLGGLLGATKSTKTWMNVLDKELYGDSILPVLELSYSYLPRRLKQCFSFCSLFPRNYKFNKRVLIQLWMAQGFVQSQNSADKNMADLAEDYFEELLSRSFFDVRREACETHYVMHDLVHDLAQSVSADQCLRVEHGMIYEKPSTARYVSVTQDGLQGLGSFCKPENLRTLIVRRSFIFSSSCFQDEFFRKIRNLRVLDLSCSNFVRLPNSIGELVHLRYLSLPRTLNMLPESVSKLLHLESLCFHKCSLEKLPAGITMLVNLRHLNIATRFIAQVSGIGRLVNLQGSVEFHVKKGVGCTLEELKGLKDLRGKLKIKGLDNVLSKEAASKAELYKKRHLRELSLEWNSASRNLVLDADAVILENLQPPSSIKVLNIKRYQGAICPSWLQLSSLKQLQSLDLINCRNLEILPPLGLLPSLKYLCMKELCTVNQIGHEFYGDDDVPFPSLIMLVFDDFPSLFDWSGEVKGNPFPHLQKLTLKDCPNLVQVPPLPPSVSDVTMERTALISYLRLARLSSPRSDMLTLDVRNISILCWGLFHQLHLESVISLKIEGRETPFATKGLCSFTSLQRLQLCQFDLTDNTLSGTLYALPSLCSLEMIDLPNITSLSVPSDIDFFPKLAELYICNCLLFASLDSLHIFISLKRLVIERCPKLTAGSFPANFKNLTSLKVLSISHCKDFQSFPVGSVPPSLEALHLVGCHQS >ONIVA10G05230.1 pep chromosome:AWHD00000000:10:5966102:5967654:-1 gene:ONIVA10G05230 transcript:ONIVA10G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACCSWRVALTKTEPPAPTPPPALPWLLPKVDGEHGLTFSCALSGWRRTHPFFLPHAPRCASYFGSYDGAWLFLALTDRVFSEAEQTVWLSPLEQVEDLLYLDEDFLFLTKEEHIRVCPELTIFHEYPERILWRFQPRRRRDDDEEEEQVLATSWNPEGVCS >ONIVA10G05220.1 pep chromosome:AWHD00000000:10:5960610:5964469:-1 gene:ONIVA10G05220 transcript:ONIVA10G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLVSSSAGAMRSLVTKLTILLGTEYQKHKRMQKEVALLKDELSTMNALLEMLEDIDELDPLTREWRNQVREAAYDIEDCVNNFIHSPTKNEAKVGFIQEIIQSYVAIDPRVSALYTDTISLVGIKGHVEELIKWLIDGNKKLKVVSIVGIGGIGKTTLANQVYCKLDGQFDCKAIVSVSQKPDIKNLLNNILLEFGEQWLSDSCDVRHHLNEIRKYLQSKRYFVIIDDLWDTSAWEVIKCAFPENHHQSRVMTTTRIRSVAAACCNYNYEDIYNMKALTDQDAKRLFFNRIFGSGGTCPPHFKSVSTEILKKCGGMPLAIITIASLLASQPNNLKEQWEYIRNSLGTSHGENPILDGMRKILNLSYTNLPHCIKACFLYVGIYPEDFTIKKDDLIKLWVAEGFVRTAHNDHDAYCVARGYFNELINRSMIQPILLDHNDDVLTCRVHDMILDLIISKSTDENLFTIVNDSKFMRRLCGKVRRLSFHSWINMEKYNKIVATICLSQARSLVMLSYGFIPNVLDFRSLQVLILENSDQQDLTGICTLTQLRYFKIRTMEQFKLPSQIRGLQHLETFEIEKVPHFGFNSLHHLDIILPSDIIHLPRLLHLIIPDPKIMPDGIGNLKNLQTLKYFDLGTNSLHNIASLQELTNLKDLHLSCSRGSSFVGYKDVLFQSLARLADCNLKDLHINHHLSTMCCDSLNGLFKSAHHLQRLFFSDWQFSRVPSWIGELNVLHILELSLGKLLKDDILILAEMPSLAHLYLHVRSAAKERIVINGTTFIVLKHFKLICKRIPLTFEAGAMSKLQILELEFNARGMERQMELPFGIGHLSSLKQIHASISGFRATLSDRTAVEFVFNNVMCIHPNHFKYEPYKFIDWDDEDEYM >ONIVA10G05210.1 pep chromosome:AWHD00000000:10:5949210:5949597:-1 gene:ONIVA10G05210 transcript:ONIVA10G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFIASSLIVPVGVLILRIEGRGGARASSGGKLRGWMDGGGHHHGCGEKGTKTTWRKAKTARGSWDWFQKELKSKKRRNKTSLNQCGKC >ONIVA10G05200.1 pep chromosome:AWHD00000000:10:5947921:5948121:-1 gene:ONIVA10G05200 transcript:ONIVA10G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSTGVMNCLLAKLATLIDEEHVKFSNIPKEVGFLRDELRTMKAFLEILADKDNLDPLTKEWMN >ONIVA10G05190.1 pep chromosome:AWHD00000000:10:5945327:5947911:-1 gene:ONIVA10G05190 transcript:ONIVA10G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEIEDWIDEAMHHLTKDDSNSGFISKIIFRLNRMRTQNRMANQINEVKTRVVEMSHRHKRYKLDASISTSDYTAIDPRLCALYADAEALVDQQLMVVSVLGIGGLGKTALANEVYKKIGGQFDCHAFISISQKPDIVRILSNILSQLGKETFTPSCEIHGVLNNLRENLQDKRYLIIIDDLWDKSAWDIFRCALPKNNHASRVITTTRIAKVAMECCSYRCEFIYKMKPLNEHDSRRLFFNRIFGSENACSERFKGVSTGILQRCGGLPLAIVSVSSLLAIPATSVDRQWEYVSNSLSDKFGIMPALDGMRNILHLSYKNLPYHLKTCFLYLGIYPEDYIIRKSDVVRQWITEGFVHKAQVQDAEDVAGSYFNELVNRSMILPTDIDYQNNVVSCKLHDMMLDLILYEAAEEKFFTVTDNFSTLLGLHNSVHRLSLQYDNGNHDTAAATTSLTYLRSLAIFGNSKYMHMHHLSDFKFLRVLITVFSDAVHQMSLDLTGIRQLFQLRYVKIEANIHVQIQLPAQIQELKLLESIDIEWGSVCIPPDIVHLPHLIHLVIPEGTGLPDGIGNLKSLITLRSFDLGENSLHNIRSIRELTNLRDLNLCYSGKNVVSNMETWIDVLRSSLEKLSNLKYLHLYWPGTCENGLCSLNPPSRHLQRLEMAYWWFSKVPKWIGGLHELHVLKLAVKEVSDDDITLLAQLPSLTNLGLRMRGAPKQKIIIYKKAFPVLRYFKFWCSTPCLVFEASVMSEELRN >ONIVA10G05180.1 pep chromosome:AWHD00000000:10:5942976:5943607:-1 gene:ONIVA10G05180 transcript:ONIVA10G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQJ3] MSWQTYVDEHLMCEIEGHHLTSAAIVGHDGTVWAQSAAFPQFKPEEMTNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGITVKKTGQALVVGIYDEPMTPGQCNMVVERLGDYLVEQGL >ONIVA10G05170.1 pep chromosome:AWHD00000000:10:5940642:5942233:-1 gene:ONIVA10G05170 transcript:ONIVA10G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEKNGIWVAEMICDYYEWGHIEVPKKLDQIGTIFRIGKKKSEPRGIKEVGDVVAGGLGPRGGRCWQRCGGGDIDANGGVDLMVTTVGGGFQVATRRNNSRSGVPRLKRTVTKLAVAPIDFPTFCGKMKPMSGHIELPAAKVAKNCRTGKFCSSCRNKLRRPRAQDWEWKRSPCLASYVESEDYRIGTPKVKEQSRESLGAAFKLKS >ONIVA10G05160.1 pep chromosome:AWHD00000000:10:5933099:5934541:1 gene:ONIVA10G05160 transcript:ONIVA10G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQJ1] MSWQTYVDDHLMCEIEGHHLTSAAIVGHDGTVWAQSAAFPQFKPEEMTNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGITVKKTGQALVVGIYDEPMTPGQCNVVVERLGDYLVEQGL >ONIVA10G05150.1 pep chromosome:AWHD00000000:10:5925589:5931800:1 gene:ONIVA10G05150 transcript:ONIVA10G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGRCMVEVVILLVLMAMSQGCDAQNTTGGLTRKSFPNGFVFGTASSAYQYEGAVKEDGRGPTIWDKFAHTFGKIIDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRIFPNGTGEVNQAGIDHYNKLINALLAKGIEPYVTLYHWDLPQALEDKYTGWLDRQIINDYAVYAETCFQAFGDRVKHWITFNEPHTVAVQAYDSGMHAPGRCSVLLHLYCKKGNSGTEPYIVAHNMILSHATVSDIYRKKYKASQNGELGISFDVIWYEPMSNSTADIEAAKRAQEFQLGWFADPFFFGDYPATMRSRVGSRLPKFTEKEAALVNGSLDFMGINHYTTFYTKDDQSTVIEKLLNNTLADTATISVPFRNGQPIGDRANSIWLYIVPRSMRILMNYVKDRYNKPTVYITENGKCTYVICDLFLPFISLKNALKDDKRTKYHNDYLTNLADSIREDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYYVDYKNRKRYPKNSVQWFKNLLASSS >ONIVA10G05140.1 pep chromosome:AWHD00000000:10:5922528:5922816:-1 gene:ONIVA10G05140 transcript:ONIVA10G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWATITLNAFALASLEVLQLLRVMGKSPAWPLSMTSDIDACGCRLLLEGENQVKFWMGVGSGIVVASILGGYGLKVLLFPRSLI >ONIVA10G05130.1 pep chromosome:AWHD00000000:10:5897917:5899707:-1 gene:ONIVA10G05130 transcript:ONIVA10G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVSGPRKIWRVGAARAVAGPAAQPRLMGGTAPGFVNEYFEIQSMIFKAEKPNHRDLHDRPQPPQISYGEHQAIEVTPCWLNSSDKHIAEGISIGHSFFFGIIVSEGAGTASHYRKGFSHRGKGRFEQEKK >ONIVA10G05120.1 pep chromosome:AWHD00000000:10:5897356:5917092:1 gene:ONIVA10G05120 transcript:ONIVA10G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLSGGADQRWARRCERGWSSSSSRRHPPRPSKPQANKEKKGAIQRVVQAQCSLSTSLRLTAHVTSSIVHEQSSNIRYGENRKHGQRYAYHEPLPPEIVARCNGHDGKHLTLVTRNSKPVNVRLEKRGQSFYISKGWKKFVELTDLRVGQCVRFSVSSPSTLDLLILDKHGTSLAIPPSKRDLKLKSKRSTHQDSKGHPSNTDPGPSRIINRRVTKSANNKPKFADLCFCAENYFSKRYPIDHLEQLMTGRTEDIEVQTLVGPSVNMVLHTSTDHRCNLKKGWTDFALSNGIKLNTVCIFHFYKTTHLGVIVDIF >ONIVA10G05120.2 pep chromosome:AWHD00000000:10:5897356:5917092:1 gene:ONIVA10G05120 transcript:ONIVA10G05120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLSGGADQRWARRCERGWSSSSSRRHPPRPSKPQANKEKKGAIQRVVQAQCSLSTSLRLTAHVTSSIVHEQSSNIRYGENRKHGQRYAYHEPLPPEIVARCNGHDGKHLTLVTRNSKPVNVRLEKRGQSFYISKGWKKFVELTDLRVGQCVRFSVSSPSTLDLLILDKHGTSLAIPPSKRDLKLKSKRSTHQDSKGHPSNTDPGPSRIINRRYFSKRYPIDHLEQLMTGRTEDIEVQTLVGPSVNMVLHTSTDHRCNLKKGWTDFALSNGIKLNTVCIFHFYKTTHLGVIVDIF >ONIVA10G05110.1 pep chromosome:AWHD00000000:10:5871122:5889856:1 gene:ONIVA10G05110 transcript:ONIVA10G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSTFARGISPSSIAYRSNCRSRRPLRLAASNLDYWGTGTFGCGTIIVEEKDFIAENVTRTPALRSENYNKMMEVPRLRV >ONIVA10G05100.1 pep chromosome:AWHD00000000:10:5861452:5861727:-1 gene:ONIVA10G05100 transcript:ONIVA10G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPARLSTAVGADPLPLPRLALAWHHPLASASPCIGLLLRRQIPTPTYQGLRLAAVKVVEVVASGVPVMTATQLGHVDCLDAAQQMPGG >ONIVA10G05090.1 pep chromosome:AWHD00000000:10:5845164:5851913:1 gene:ONIVA10G05090 transcript:ONIVA10G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQI3] MAAAAAAAADHDAAPRAHALILPYPAQGHVIPLMELAYCLIDRGFAVTFVNTEHNHRRVVAAAAGAGGVQAPGSRARRLRLVAVADGMGDGDDRDNLVRLNAVMEEAIPPQLEPILDGAGGEGQLGKVTCVVVDVGMSWALDAVKRRGLPGAALWAASAAVLAVLLGAQKLIRDGVIDDDGAPLKLENNSFRLSEFTPPMDATFLAWNFMGNRDAERMVFHYLTSSARAAAAKADILLCNSFVELEPAIFTLKSPATILPIGPLRTGQRFAHQVEVVGHFWQTNDDTCLSFLDEQPYGSVVYVAFGSLTIMSPGQLKELALGLEASGHPFLWVVRPGLAGNLPTSFLDATMGQGKGIVVEWAPQEQVLAHPAVGCFVTHCGWNSTVESIRNGVPMLCWPYFTDQFTNQIYICDIWRIGLKMVQTCGEGIVTKEIMVERLKELLLDEGIKERVQRLKEFAETNMSEEGESTRNLNAVVELMTRPMS >ONIVA10G05080.1 pep chromosome:AWHD00000000:10:5814116:5823300:-1 gene:ONIVA10G05080 transcript:ONIVA10G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRKILNLNPPTSPLPEQQSPQGFCDPNRRRLRFLQVGNLVRQSAGSGSPLFQAVRCMSSSKLFIGGISYGTDDQSLKEAFANYGEVIEARVIVDRTTGRSRGFGFVTYTSTDEAAAAITGMDGKDLQGRIVRVSYAHDRGSRAGGYGGGGYGGQGTYGGGGGYGGGGYGGQDAYGGRGVGGYSEGGRGYVGGGYGDGNNYGGYNTSGGYNSEGGHGGYSVFEGGHGYGSGGTGYTGGSGGYNSAPGNYSSDNFNQGGAAPGAYEGANYGGGNNYMNNATSDDSTGKLDELLNDLKVDGDGKEDGEGKADGAGLVNEDLKGDDGQDELLQNDFKDEDVSDDYANKRR >ONIVA10G05070.1 pep chromosome:AWHD00000000:10:5757162:5763186:1 gene:ONIVA10G05070 transcript:ONIVA10G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma subunit of Mt ATP synthase [Source:Projected from Arabidopsis thaliana (AT2G33040) TAIR;Acc:AT2G33040] MRHLRACAGVPSPAALPPHHSPSSLFPPNLLRPHEPSSETPGSKRTPAAMAMAALRREGRRVLLSSTPSPAAAMAARSPAAAHQEIAPLGARSVSTQVVRTRMKSVRNIQKITKAMKMVAASKLRAVQIRTENSRGLWQPFTALLGDVPSVDVKKNVIVAITSDKGLCGGINSTSVKVSKALHKLTSGPEKESKYVILGEKGKVQLIRDSKDNIEMTVSELQKNPINYTQIAVLADDILKNVEYDALRVVFNKFHSVISFKPTMTTILSPEVMEKESESGKVGDLDSYEIEGGETKSEILQNLTEFQFSCVMYNAALENACSELGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEG >ONIVA10G05060.1 pep chromosome:AWHD00000000:10:5740733:5741032:1 gene:ONIVA10G05060 transcript:ONIVA10G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGIGAAAVVGFHHIREREAPVEAGEEKAAGGRVAAAVAARQRRPWWAPPDLDPLGQIWRLTAGTAGPRAGTRAMPAAGGGCGERKLATGWAACAEGT >ONIVA10G05050.1 pep chromosome:AWHD00000000:10:5724333:5725882:-1 gene:ONIVA10G05050 transcript:ONIVA10G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRDAEELVETGSWGVVEPEADRQRRGVEAGPQGADEPRGGWLGLATRQRREMARMRSAGGGDLHGAAHWTAAARERRLLQPISPVGRCPPLEDAATAAAACALSLGSRAAHPGSHQLDRKNSQEPQLTATGPSVRPDFREDECERLLTRAAEGDGSGVEPGGDARRRLDVEHDERAVRAEAEVVGRRPLSSSPLVLTPACICVGGGGGAATATWTAGGAGRAEDEEQRTDDEDQDDDDRAEATAARCPGWAAAGRRSGLRGGGLREKRGIEGEEKVKGQERHFFYPAYMSELPNG >ONIVA10G05040.1 pep chromosome:AWHD00000000:10:5723913:5727622:1 gene:ONIVA10G05040 transcript:ONIVA10G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALVPLKNLSLPLCHRRTLSRPPHHFVAILFGFNHLVALTYRPGRKNVALALSLFLLPRFPSSPSGRRRAGPTGARPPPSRGTVPPSPRPGRRRPDPRRRCAVPHPRPDRLLQLHHAADPHGVQRTRPRCGGGSASAADADASRRQHERRGGQWPPADNLRLRPDGALVVLHVQPPPSIAAGLNPGPIPFGGPSFRLGFVRTSSLRLMIGWLRRHTMEESWRLMSSAL >ONIVA10G05030.1 pep chromosome:AWHD00000000:10:5720512:5723157:-1 gene:ONIVA10G05030 transcript:ONIVA10G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSNREQSASATAALSNQCAPSPSHQFFFCGKISSHLQQQWFKATYLMAKDLLVLHRLFGFYFYQLQLSAYIHLHFASWNALLDVLIWRRTSYLAAHTNVLFSKRHVWLCKCSLRRSATTSSAKLVCLAIHAMAAWRSQGLLAAHELVGIWISRYYNDISVFFHEAGEIYSMSELLSYASICT >ONIVA10G05010.1 pep chromosome:AWHD00000000:10:5651805:5663060:1 gene:ONIVA10G05010 transcript:ONIVA10G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAMEISTSLLLTTVALSVIVCYALVFSRAGKARAPLPLPPGPRGWPVLGNLPQLGGKTHQTLHEMTKVYGPLIRLRFGSSDVVVAGSAPVATQFLRTHDANFSSRPRNSGGEHMAYNGRDVVFGPYGPRWRAMRKICAVNLFSARALDDLRAFREREAVLMVRSLAEASAAPGSSSPAAVVLGKEVNVCTTNALSRAAVGRRVFAAGAGEGAREFKEIVLEVMEVGGVLNVGDFVPALRWLDPQGVVARMKKLHHRFDDMMNAIIAERRAGSLLKPTDSREEGKDLLGLLLAMVQEQEWLAAGEDDRITDTEIKALILNLFVAGTDTTSTIVEWTMAELIRHPDILKQAQEELDVVVGRDRLLSESDLSHLTFFHAIIKETFRLHPSTPLSLPRMASEECEIAGYRIPKGAELLVNVWGIARDPAIWPDPLEYKPSRFLPGGTHTDVDVKGNDFGLIPFGAGRRICAGLSWGLRMVTMTAATLVHAFDWQLPADQTPDKLNMDEAFTLLLQRAEPLVVHPVPRLLPSAYNIA >ONIVA10G05000.1 pep chromosome:AWHD00000000:10:5627799:5629270:1 gene:ONIVA10G05000 transcript:ONIVA10G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLTIVSKLGSQIIVAPVDEDAAASFNELLVFFYAKDGFSSHTNILASGVAVQQCHIFLRSAKHIGADLGRVSANGTGADIVPPWLPGPIPS >ONIVA10G04990.1 pep chromosome:AWHD00000000:10:5471816:5473128:-1 gene:ONIVA10G04990 transcript:ONIVA10G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGKMPEKVRSVKFMGLQQECEIVLDQSQLSEGPTTRSKRRKSTRRRQKTWPQEPPLVTKQSVLTHCSPKFAHDACRALSPTHRQALAALCLGELAKMTLNDLEQPDRTCWLMDRTNPKSMTIDISENKKIVKTVLGVLLGGDPLQLPDQDIMSDALSDLAIELDLPPKSEITASRLIEEIKNRPKD >ONIVA10G04980.1 pep chromosome:AWHD00000000:10:5463094:5471794:-1 gene:ONIVA10G04980 transcript:ONIVA10G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIINKMLLPSTGLYIRPKDAWIGSDLNKVARINWSKAVFDALCDSVVLWHKNKTGPRPQTYIRCCVAFLLLYIDNLKVPKDSFTVDRCETPRIQLYTKHLVEDISQEDRVTDPSGNYGRASHLSELKCNVILLQMNGIILGSCYSHPNYDKEKEPRGNNSGTPFADELISAVEISFPSMFDTVYPHLSGLQDEHKQRVLDALGEYDRQSKFSADAIAKQIRLVQTCHARASDHIISIIRGESRTQPPLQPQPQPVSHSQPDSQQGPIASPIIEEAQDHHTHSTLDTPPTNSPAPQPSRIRTPDDALNATPQITSTEQHSQLPGELFPTMDKTATEDETQVDTPQPDADFQRGSDVGILAITMTSEGTYKTQSHTGDGTEGHHDVPDADVEHGIEINISMQGNTAINVTTEGTNSAKSHSGDRIEGHHHQPDADVEQSSDIDIPTQGIIQPTAPAVDPALPDFGIPNTLLALMANVQDDTPEHNTQAVKIDQICIMEGASHDTAEVNKEADDGAEQHVSPVKHCVKKAGRYMPPASQSVPKDDNVAIQLLDLILSDPTKFGRFIPFLPISCTLQLHNCFISIQPHLLTQSNIIPHSPPLIEVDCHSADATDIAASFKVGSMTEGIFIDAFASLLFKDEMRDNPETFGKKIFIPTSVSYLINIENVTRLAKKDEFSPHALVEHLSECLKHVDFSKAEQFLLPIINNDHWTLYIV >ONIVA10G04970.1 pep chromosome:AWHD00000000:10:5462571:5462905:-1 gene:ONIVA10G04970 transcript:ONIVA10G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSNDCAFYVMRYMERYHGNPDKLADDFQPPESRVLRAQILHQLIFHRFNLAPCIHSVIEDLRPVDDDEGSSHQ >ONIVA10G04960.1 pep chromosome:AWHD00000000:10:5458136:5458656:-1 gene:ONIVA10G04960 transcript:ONIVA10G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAEIMRQQIRVTEQNNARLRQTLMRAIVGQLKPVEFTDGEEYHQWQFRQVKLLEAGLILHPSLPLDRLNSAVLRFREVMRATEIRAIDTAKNSNSMRTLTSAVHALAWRSGVGSGGADACHWADGYSLNVLLYVSLLHMPSHLKPFLSCPRGNQVILLLCYHR >ONIVA10G04950.1 pep chromosome:AWHD00000000:10:5423588:5424079:-1 gene:ONIVA10G04950 transcript:ONIVA10G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLHPLFPATAPGVSTTSTPSRDILRRGTRRLTTTCKAEPSGGNSTLELAAGAAGLASSSVVAWSLYTLKTTGCGLPPGPGGALGAAEGVSYLVVAALIGWSLTTKVRTGSGLPAGPFGLLGAAEGVSYLAAAAIAVVFGFQFFEVGSLPGPLPSDQCFG >ONIVA10G04940.1 pep chromosome:AWHD00000000:10:5416172:5418850:-1 gene:ONIVA10G04940 transcript:ONIVA10G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGQGQTRRAPRWSDGSRATQRFSLLTNSTNVWKN >ONIVA10G04940.2 pep chromosome:AWHD00000000:10:5416172:5418850:-1 gene:ONIVA10G04940 transcript:ONIVA10G04940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQRSASPCINSFGSNSESNSTNVWKN >ONIVA10G04920.1 pep chromosome:AWHD00000000:10:5348411:5352222:-1 gene:ONIVA10G04920 transcript:ONIVA10G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEGRRQKEAELKLLEEELARRVEESIRKNVEDRLNSEDIKNEIKRRVEEGIKQLFDEVDAQLQKEKETALREARHKAEQERREREELDRMLEENRRKVEEAQRKEALEQQQKELERFLELERIQKQREDAIRRKKIEEEEDRANQMKLLGKNNR >ONIVA10G04910.1 pep chromosome:AWHD00000000:10:5342806:5344444:1 gene:ONIVA10G04910 transcript:ONIVA10G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRLLRGSCRKPCGDQGRQQQGTSFLHPCPLRQGEGRHGDVPQGAEMAMSMMVGDDMVASELWPNKEMAAKTVAMEATARVGENGQIRLPYGHIQSPLGQIRGVGWLRRGSGSVIARTLSSWRWESSVLAGSLMEGLLHSCRQPPNLPVELLTSFCKELHWEVEGGILTSGIVLGCQGIAPRSWLILARSGEVAGRIRSETTHKGGAAGVEAVAGLQGKTLVATAMVFFVWAPLGRIRKLGSAHWRQGWQSCRWHDWKSTGRGVSVACGIGHVLPMFEWWYWSWWAMDHGDSVAEGSRRKLIPMATTAMISGVVTLLGALLRYPSSHTRSSR >ONIVA10G04900.1 pep chromosome:AWHD00000000:10:5337999:5342407:1 gene:ONIVA10G04900 transcript:ONIVA10G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRLLRGSCRKPCGDQGRQQQGTSFLHPCPLRQGEGRHGDVPQGAEMAMSMMVGDDMVASELWPNKEMAAKTVAMEATARVGENGQIRLPYGHIQSPLGQIRGVGWLRRGSGSAG >ONIVA10G04890.1 pep chromosome:AWHD00000000:10:5315314:5320465:1 gene:ONIVA10G04890 transcript:ONIVA10G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAADRHRLPPCRCPPRPLLTGADSRIVASASLPTGTAEASAACMTTSPPSPSQAFLLQIAAG >ONIVA10G04880.1 pep chromosome:AWHD00000000:10:5280415:5282947:1 gene:ONIVA10G04880 transcript:ONIVA10G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELKESVKEEQAEKKEAAEEKPDEPQEIVLKVDMHCEGCAKKVEKSLLRFEGVENVKADSRSKTVVVKSRAADPSKVCERVQRKTKRRVELIFPLPPPPEEEKKEEAPAPPPEEKKEEPPKTITVILKVQMHCDACAQILQKRISRTEGVESVETDLLNGQVVVKGVMDPAVLIESIQRKTRRPAVIVEEVKPREEEKKAEEEEKKPDEDKKADGIEEVKKYDFWPPVQYYVEYVYPYPLPPPPTALVSEEFSDENPNACTVA >ONIVA10G04870.1 pep chromosome:AWHD00000000:10:5234051:5237586:-1 gene:ONIVA10G04870 transcript:ONIVA10G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQG0] MGLNGKWRKAMPYMAMVFLQFGFAGLFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWFERPVLDQNFFYMGAKNTSASFSSALTNILPAVTFVNAIILRMERISIKERRSQAKIAGTLITVGGAMLMILFKGPVINFPWTKNANQNISNSSDHNNGRWLMGIFMILLSCFCWSAFFILQSYTLRSYPAELSLTTLICAMGVAQSGAVALVMERDIKAWLIGFDMRLFTAVYSGIMCSGVAYYVQGMVIKERGPVFVTAFSPLCMIIVTILGSFILCEVVTLGRVIGAIIIVVGLYALIWGKNKDHGNQVDQDDNFEKQKTFELPLSTTNVNEARSPNHI >ONIVA10G04870.2 pep chromosome:AWHD00000000:10:5234051:5235085:-1 gene:ONIVA10G04870 transcript:ONIVA10G04870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQG0] MERISIKERRSQAKIAGTLITVGGAMLMILFKGPVINFPWTKNANQNISNSSDHNNGRWLMGIFMILLSCFCWSAFFILQSYTLRSYPAELSLTTLICAMGVAQSGAVALVMERDIKAWLIGFDMRLFTAVYSGIMCSGVAYYVQGMVIKERGPVFVTAFSPLCMIIVTILGSFILCEVVTLGRVIGAIIIVVGLYALIWGKNKDHGNQVDQDDNFEKQKTFELPLSTTNVNEARSPNHI >ONIVA10G04870.3 pep chromosome:AWHD00000000:10:5235611:5237586:-1 gene:ONIVA10G04870 transcript:ONIVA10G04870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQG0] MGLNGKWRKAMPYMAMVFLQFGFAGLFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWFERPVLDQNFFYMGAKNTSASFSSALTNILPAVTFVNAIILRQNFKQGGVYASGTTVWKG >ONIVA10G04860.1 pep chromosome:AWHD00000000:10:5211763:5212038:1 gene:ONIVA10G04860 transcript:ONIVA10G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATPDAWRTHDWRKAVDYHATLLATLLANGILSPTSRAICLGAVQEALAMRELSVSTAVAVARKRSPPLAIAGNDRRLPFPDSSVDFI >ONIVA10G04850.1 pep chromosome:AWHD00000000:10:5211264:5211887:-1 gene:ONIVA10G04850 transcript:ONIVA10G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREVGERMPLARRVARRNKTEFKGGALSTATAFLVASATTSALAAAAPPGPTARMACMRRWREGGTGLVKWLPCGGHGRGALAEGPARGDGGSM >ONIVA10G04840.1 pep chromosome:AWHD00000000:10:5205525:5209912:-1 gene:ONIVA10G04840 transcript:ONIVA10G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEPIARLISHVILDLDGTLLNTDCVVSQVLKPFLVKNGKKWDSKKAHKLVGKTPYEAAAVCNIKALPGANRLIKHLKSNGVPAALASNSPGSNIEAKISCHQGWKESFSEIVGGDEVEKGKPSPDMCCSWKSCRNACIAVPSVPKRTAEFSSADEVINSLLDVRPEKWGLRPFSDWIDDTLPIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSDVLSEHTSGVYFGWAGLSTQGIYKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLIIVGYIRPEANFPSLESLIERIHEDARIAEKALNLPLYAKYTDSPYLRNSLKEDNSANGNQSVIDSK >ONIVA10G04830.1 pep chromosome:AWHD00000000:10:5201902:5202243:1 gene:ONIVA10G04830 transcript:ONIVA10G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSRFPMTAQVEQPVAPVDLSPTQPMEAGLRRSWQCDGGLAAENGGGEKEPARTPMMFCRRTSGTVRRRPTSRATVIWWHQRSKAWRRDGGMAMENDGGEEDFARARAVQV >ONIVA10G04820.1 pep chromosome:AWHD00000000:10:5181425:5182319:-1 gene:ONIVA10G04820 transcript:ONIVA10G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASVKLSTAGTATAPKMALFKPLHLPPLFAAAAAAAGPRPLSLSARPLYRQQDPLFLASRVASPAPPPPSATADGARPVEAAPAGAAPEEAARRAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLAAGSAIMLASWATRIAEAPATYLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFSVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAITELNFXLSISVYKCSLYANEPL >ONIVA10G04810.1 pep chromosome:AWHD00000000:10:5177966:5179216:1 gene:ONIVA10G04810 transcript:ONIVA10G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDDGMKSLRPDILVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >ONIVA10G04800.1 pep chromosome:AWHD00000000:10:5153557:5170122:1 gene:ONIVA10G04800 transcript:ONIVA10G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 3 [Source:Projected from Arabidopsis thaliana (AT2G29940) TAIR;Acc:AT2G29940] MAFAAGGIDHHVAVDVEGEEESRRRAVAEEADLLWAAFERLPSAKRRSHAVVLPDPDGLGGGDGGGRGEGQLVDVRKLDRPGLQRVLRHALATSELDNANLLHGIKARFDAVGLEVPRVEVRFQNLTVSTDVHVGRRALPTLVNYVHDIAERILISSHLLRPDKHKLVILDDVSGVIKPGRMTLLLGPPASGKSTLLLALADKLDSQLKKSGEVAYNGMALDQFCVQRTSAYISQTDNHIGELTVRETLDFAAKCQGASENWQECLKELVNLEKERGIRPSPEIDAFMKTASFRREKHNLVSDYVLRVLGLDICADTPVGSDMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMEATVLMSLLQPAPETFELFDDLILLSEGKIIYQGPIKHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQHIFVSASEMAAVFKESQYGTYLEANLSSSCGNKDSALVLPRSKFAVPKFSLVRACFARELILISRNRFLYTFRTCQVAFVGIITSTLFLRTRLHPVDEQNGNLYLACLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIARFIKPWWDWAYWISPLMYAQRAVSVNEFSASRWSKVSVSGNMTVGTNILISHSLPTDDHWFWIGVGVLLAYSIFFNIMFTLALAFLNPLRKPQSMVPSDAGDGRDVHINTDSNKNTIGEIFENNDGFEGQTECKSKKGMILPFQPLTMTFHNVNYYVNMPKEMQAKGVPEKRLQLLSEVSGIFRPRVLTALVGASGSGKTTLMDVLAGRKTGGYIEGDIRISGHKKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSTLRLPNDISRETRHAFVEEVMALVELDQIRYALVGKQGLTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGRVIYGGSLGVNSVDMINYFQGIPRVVPITEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRNVENLIVELSIPASGTEPLKFSSEFSQNRLTQFMVCLRKQSLVYWRSPEYNVVRLFFTSVAAIIFGSIFWNVGMKRESTEDILLIMGALYAACLFLGVNNASSVQPVVSVERTVYYRERAANMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMVNYERNIRKLVLYLIYMFLTFTYFTFYGMVAVGLTPTQHMASVRIPGWWIWFYYICPVAWTLRGVITSQLGDVDTRIVGPGFDGTVHEFLQQNLGFEQGMTGATVAVLVAFSVFFFSIYAISIKMINFQRSDAVALAGGGSTDGGSGGPWREGIRAGTDSRREGGCAGAWIYGGDG >ONIVA10G04800.2 pep chromosome:AWHD00000000:10:5153557:5170122:1 gene:ONIVA10G04800 transcript:ONIVA10G04800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 3 [Source:Projected from Arabidopsis thaliana (AT2G29940) TAIR;Acc:AT2G29940] MAFAAGGIDHHVAVDVEGEEESRRRAVAEEADLLWAAFERLPSAKRRSHAVVLPDPDGLGGGDGGGRGEGQLVDVRKLDRPGLQRVLRHALATSELDNANLLHGIKARFDAVGLEVPRVEVRFQNLTVSTDVHVGRRALPTLVNYVHDIAERILISSHLLRPDKHKLVILDDVSGVIKPGRMTLLLGPPASGKSTLLLALADKLDSQLKKSGEVAYNGMALDQFCVQRTSAYISQTDNHIGELTVRETLDFAAKCQGASENWQECLKELVNLEKERGIRPSPEIDAFMKTASFRREKHNLVSDYVLRVLGLDICADTPVGSDMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMEATVLMSLLQPAPETFELFDDLILLSEGKIIYQGPIKHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQHIFVSASEMAAVFKESQYGTYLEANLSSSCGNKDSALVLPRSKFAVPKFSLVRACFARELILISRNRFLYTFRTCQVAFVGIITSTLFLRTRLHPVDEQNGNLYLACLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIARFIKPWWDWAYWISPLMYAQRAVSVNEFSASRWSKVSVSGNMTVGTNILISHSLPTDDHWFWIGVGVLLAYSIFFNIMFTLALAFLNPLRKPQSMVPSDAGDGRDVHINTDSNKNTIGEIFENNDGFEGQTECKSKKGMILPFQPLTMTFHNVNYYVNMPKEMQAKGVPEKRLQLLSEVSGIFRPRVLTALVGASGSGKTTLMDVLAGRKTGGYIEGDIRISGHKKEQRTFARIAGYVEQNDIHSPQAFVEEVMALVELDQIRYALVGKQGLTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGRVIYGGSLGVNSVDMINYFQGIPRVVPITEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRNVENLIVELSIPASGTEPLKFSSEFSQNRLTQFMVCLRKQSLVYWRSPEYNVVRLFFTSVAAIIFGSIFWNVGMKRESTEDILLIMGALYAACLFLGVNNASSVQPVVSVERTVYYRERAANMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMVNYERNIRKLVLYLIYMFLTFTYFTFYGMVAVGLTPTQHMASVRIPGWWIWFYYICPVAWTLRGVITSQLGDVDTRIVGPGFDGTVHEFLQQNLGFEQGMTGATVAVLVAFSVFFFSIYAISIKMINFQRSDAVALAGGGSTDGGSGGPWREGIRAGTDSRREGGCAGAWIYGGDG >ONIVA10G04800.3 pep chromosome:AWHD00000000:10:5153557:5170122:1 gene:ONIVA10G04800 transcript:ONIVA10G04800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 3 [Source:Projected from Arabidopsis thaliana (AT2G29940) TAIR;Acc:AT2G29940] MAFAAGGIDHHVAVDVEGEEESRRRAVAEEADLLWAAFERLPSAKRRSHAVVLPDPDGLGGGDGGGRGEGQLVDVRKLDRPGLQRVLRHALATSELDNANLLHGIKARFDAVGLEVPRVEVRFQNLTVSTDVHVGRRALPTLVNYVHDIAERILISSHLLRPDKHKLVILDDVSGVIKPGRMTLLLGPPASGKSTLLLALADKLDSQLKKSGEVAYNGMALDQFCVQRTSAYISQTDNHIGELTVRETLDFAAKCQGASENWQECLKELVNLEKERGIRPSPEIDAFMKTASFRREKHNLVSDYVLRVLGLDICADTPVGSDMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMEATVLMSLLQPAPETFELFDDLILLSEGKIIYQGPIKHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQHIFVSASEMAAVFKESQYGTYLEANLSSSCGNKDSALVLPRSKFAVPKFSLVRACFARELILISRNRFLYTFRTCQVAFVGIITSTLFLRTRLHPVDEQNGNLYLACLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIARFIKPWWDWAYWISPLMYAQRAVSVNEFSASRWSKVSVSGNMTVGTNILISHSLPTDDHWFWIGVGVLLAYSIFFNIMFTLALAFLNPLRKPQSMVPSDAGDGRDVHINTDSNKNTIGEIFENNDGFEGQTECKSKKGMILPFQPLTMTFHNVNYYVNMPKEMQAKGVPEKRLQLLSEVSGIFRPRVLTALVGASGSGKTTLMDVLAGRKTGGYIEGDIRISGHKKEQRTFARIAGYVEQNDIHSPQAFVEEVMALVELDQIRYALVGKQGLTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGRVIYGGSLGVNSVDMINYFQGIPRVVPITEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRNVENLIVELSIPASGTEPLKFSSEFSQNRLTQFMVCLRKQSLVYWRSPEYNVVRLFFTSVAAIIFGSIFWNVGMKRESTEDILLIMGALYAACLFLGVNNASSVQPVVSVERTVYYRERAANMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMVNYERNISKFFVTRNVSNPYGLAEILMRFQSSTHNAIGISLWKIGLVPYLHVPYFYLLHVLWNGGSRLDTYSTHGICGILSRIPGWWIWFYYICPVAWTLRGVITSQLGDVDTRIVGPGFDGTVHEFLQQNLGFEQGMTGATVAVLVAFSVFFFSIYAISIKMINFQRSDAVALAGGGSTDGGSGGPWREGIRAGTDSRREGGCAGAWIYGGDG >ONIVA10G04800.4 pep chromosome:AWHD00000000:10:5153557:5170122:1 gene:ONIVA10G04800 transcript:ONIVA10G04800.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 3 [Source:Projected from Arabidopsis thaliana (AT2G29940) TAIR;Acc:AT2G29940] MAFAAGGIDHHVAVDVEGEEESRRRAVAEEADLLWAAFERLPSAKRRSHAVVLPDPDGLGGGDGGGRGEGQLVDVRKLDRPGLQRVLRHALATSELDNANLLHGIKARFDAVGLEVPRVEVRFQNLTVSTDVHVGRRALPTLVNYVHDIAERILISSHLLRPDKHKLVILDDVSGVIKPGRMTLLLGPPASGKSTLLLALADKLDSQLKKSGEVAYNGMALDQFCVQRTSAYISQTDNHIGELTVRETLDFAAKCQGASENWQECLKELVNLEKERGIRPSPEIDAFMKTASFRREKHNLVSDYVLRVLGLDICADTPVGSDMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMEATVLMSLLQPAPETFELFDDLILLSEGKIIYQGPIKHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQHIFVSASEMAAVFKESQYGTYLEANLSSSCGNKDSALVAFVGIITSTLFLRTRLHPVDEQNGNLYLACLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIARFIKPWWDWAYWISPLMYAQRAVSVNEFSASRWSKVSVSGNMTVGTNILISHSLPTDDHWFWIGVGVLLAYSIFFNIMFTLALAFLNPLRKPQSMVPSDAGDGRDVHINTDSNKNTIGEIFENNDGFEGQTECKSKKGMILPFQPLTMTFHNVNYYVNMPKEMQAKGVPEKRLQLLSEVSGIFRPRVLTALVGASGSGKTTLMDVLAGRKTGGYIEGDIRISGHKKEQRTFARIAGYVEQNDIHSPQAFVEEVMALVELDQIRYALVGKQGLTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGRVIYGGSLGVNSVDMINYFQGIPRVVPITEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRNVENLIVELSIPASGTEPLKFSSEFSQNRLTQFMVCLRKQSLVYWRSPEYNVVRLFFTSVAAIIFGSIFWNVGMKRESTEDILLIMGALYAACLFLGVNNASSVQPVVSVERTVYYRERAANMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMVNYERNIRKLVLYLIYMFLTFTYFTFYGMVAVGLTPTQHMASVRIPGWWIWFYYICPVAWTLRGVITSQLGDVDTRIVGPGFDGTVHEFLQQNLGFEQGMTGATVAVLVAFSVFFFSIYAISIKMINFQRSDAVALAGGGSTDGGSGGPWREGIRAGTDSRREGGCAGAWIYGGDG >ONIVA10G04790.1 pep chromosome:AWHD00000000:10:5150260:5151923:-1 gene:ONIVA10G04790 transcript:ONIVA10G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIGEATHYKLALLDATKRVVEVGGDLTIDYDKTRRRLAYPWHTSWRSTRRTWWLLSAMPEKEDIQAIMLDRPMAIGRTTVVAFETHRGGKILLTLGEAFSIRNHSEEFKGDRGSHDGFLLQLKKKVIWL >ONIVA10G04780.1 pep chromosome:AWHD00000000:10:5143806:5148964:-1 gene:ONIVA10G04780 transcript:ONIVA10G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQE7] MAAPPCPPRRPISAPCFLLCFLLGFVAGLFPFAHRHLHLDLHLPLPPPATAILVREDPPSVVVDVDTPLPAAAEERKLLLVVTPTRARPLQAYYLRRLAHTLRLAPSPLLWLVVESGAATRDTAALLRGCGVMYRHLSSPVPDAPQDRPRRRGRRQDRPAVDSRARQRNTALDHIEHHRLHGIVYFADEDNVYSLDLFYHLRDIRSFGTWPVATLAPGKSKTILQGPVCEGSRVVGWHTTDRSKNQRRFHVDMSGFAFNSSKLWDAKNRVKLETAFIEQLVEDETHMEGVPPGCSKIMNFHLHLEDKNAIYLNGWQTTQNLDKLYEAH >ONIVA10G04780.2 pep chromosome:AWHD00000000:10:5146240:5148964:-1 gene:ONIVA10G04780 transcript:ONIVA10G04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQE7] MAAPPCPPRRPISAPCFLLCFLLGFVAGLFPFAHRHLHLDLHLPLPPPATAILVREDPPSVVVDVDTPLPAAAEERKLLLVVTPTRARPLQAYYLRRLAHTLRLAPSPLLWLVVESGAATRDTAALLRGCGVMYRHLSSPVPDAPQDRPRRRGRRQDRPAVDSRARQRNTALDHIEHHRLHGIVYFADEDNVYSLDLFYHLRDIRSFGTWPVATLAPGKSKTILQGPVCEGSRVVGWHTTDRSKNQRRFHVDMSGFAFNSSKLWDAKNRVKLETAFIEQLVEDETHMEGVPPGCSKIMNFHLHLEDKNAIYLNGWQTTQNLDVIIPLKKEARPLL >ONIVA10G04780.3 pep chromosome:AWHD00000000:10:5146240:5148964:-1 gene:ONIVA10G04780 transcript:ONIVA10G04780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQE7] MAAPPCPPRRPISAPCFLLCFLLGFVAGLFPFAHRHLHLDLHLPLPPPATAILVREDPPSVVVDVDTPLPAAAEERKLLLVVTPTRARPLQAYYLRRLAHTLRLAPSPLLWLVVESGAATRDTAALLRGCGVMYRHLSSPVPDAPQDRPRRRGRRQDRPAVDSRARQRNTALDHIEHHRLHGIVYFADEDNVYSLDLFYHLRDIRSFGTWPVATLAPGKSKTILQGPVCEGSRVVGWHTTDRSKNQRRFHVDMSGFAFNSSKLWDAKNREQLVEDETHMEGVPPGCSKIMNFHLHLEDKNAIYLNGWQTTQNLDVIIPLKKEARPLL >ONIVA10G04770.1 pep chromosome:AWHD00000000:10:5141333:5144715:1 gene:ONIVA10G04770 transcript:ONIVA10G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-conjugating enzyme/RWD-like (InterPro:IPR016135), Ubiquitin-fold modifier-conjugating enzyme 1 (InterPro:IPR014806); Has 269 Blast hits to 269 proteins in 110 species: Archae - 0; Bacteria - 0; Metazoa - 175; Fu /.../0; Plants - 42; Viruses - 0; Other Eukaryotes - 52 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G27530) TAIR;Acc:AT1G27530] MEGWDKGTKSVVGEIPLLSTRAGPRDGEAWRQRLKEEYRALIAYTSVNKSKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPADAAAAASGSAAAS >ONIVA10G04770.2 pep chromosome:AWHD00000000:10:5141333:5143344:1 gene:ONIVA10G04770 transcript:ONIVA10G04770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-conjugating enzyme/RWD-like (InterPro:IPR016135), Ubiquitin-fold modifier-conjugating enzyme 1 (InterPro:IPR014806); Has 269 Blast hits to 269 proteins in 110 species: Archae - 0; Bacteria - 0; Metazoa - 175; Fu /.../0; Plants - 42; Viruses - 0; Other Eukaryotes - 52 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G27530) TAIR;Acc:AT1G27530] MEGWDKGTKSVVGEIPLLSTRAGPRDGEAWRQRLKEEYRALIAYTSVNKSKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPADAAAAASGSAAAS >ONIVA10G04760.1 pep chromosome:AWHD00000000:10:5111989:5113208:-1 gene:ONIVA10G04760 transcript:ONIVA10G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTTAAAARRLCAAGDVRSVLAMLACRAKAGDTALDMTACAALVRTTGSARAAMWRKPGGRELIQGSEVDHGNEAEGFGSKFRKEAEALLDDMVRAGLQTSEPICQFTECQGKIERFY >ONIVA10G04750.1 pep chromosome:AWHD00000000:10:5102560:5107102:-1 gene:ONIVA10G04750 transcript:ONIVA10G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVEQEGCVENRQPLAASSSSVSDGSSYGGGGGGLAQMSPPVSSSANSISGLRRTSGPIRRAKGGWTPEEDETLRKAVEAYKGRNWKKIEQRYNACIDGKRFLILNLSKEDDQIIDLVKKYGPTKWSVIAKALPGRIGKQCRERWHNHLNPEIRKDAWTTEEEQALINAHRIYGNKWAEIAKVLPGRTDNSIKNHWNSSLRKKQDMYNTSNNMVVPKLLVHDKFKDKPKLMAMEGHLDLNKAPIINSKDQPGTAHRSNCSGFLSRSSLPTAQPLTSREASVVDGSAVTLVAQALESDSVRGKGLEIDSVHEKGLEVNSAPDHTGNSWTIQLEAAPSKGEAELSLKNEARSLGPLCYQIPNMEDVVPVSSSLFSDHLTGNHTSEHCGDDILSPAGCTTPPPTKGKLTSQLSVDSILKSAANSFPGTPSILKRRKRDKSTPVSASEMKISGSNTDRFYTPMGMEPATATPESFKTTSFLSLGSLDGSVKSFDVSPQYRARSKRMALTKTVEKQLDFSSDGLDTCGSEILNSSCNNSQSTLSITEAPKLKEKEHAVQLENLTKNFAHTTNLDVT >ONIVA10G04740.1 pep chromosome:AWHD00000000:10:5098046:5100804:-1 gene:ONIVA10G04740 transcript:ONIVA10G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKFFVGGNWKCNGTGEDVKKIVTVLNEAEVPSEDVVEVVVSPPFVFLPQVKGLLRPDFSVAAQNCWVRKGGAFTGEISAEMLVNLQVPWVILGHSERRALMGESSDFVADKIAYALSQGIKVIACIGETLEQREAGTTMEVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHDGLRKWLVTNVSPAVAESTRIIYGGSVNGANCKELAAKPDVDGFLVGGASLKPEFVDIIKSATVKSSA >ONIVA10G04730.1 pep chromosome:AWHD00000000:10:5093247:5094355:-1 gene:ONIVA10G04730 transcript:ONIVA10G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT3G55470) TAIR;Acc:AT3G55470] MAGSGVLEVHLVDAKGLTGNDFLGEIGASYLDPRPSAVRRRRGRSSSISSAFLSCAGKIDPYVVVQYRSQERKSSVARDQGKNPSWNEVFKFQINSTAATGQHKLFLRLMDHDTFSRDDFLGEATINVTDLISLGMEHGTWEMSESKHRVVLADKTYHGEIRVSLTFTASAKAQDHAEQVGGWAHSFRQ >ONIVA10G04720.1 pep chromosome:AWHD00000000:10:5083738:5091351:1 gene:ONIVA10G04720 transcript:ONIVA10G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R family protein [Source:Projected from Arabidopsis thaliana (AT5G02250) TAIR;Acc:AT5G02250] MRPSPMAVRAAGGCSTAAAATLAFFRLRPLGRAVRPDSARAGWHFSLYGGCRGRQVHGLVDSVLEELRSQRRGRVSAKIGLQGTKELSDNKIDKRTLQKGLLLEFQKDSERFLLAVVERPDGKKNWKVTDQNGILSSIKPQQVTYVIPGIINYNHSRIDEFIKKAQDLLDPTVLECAWMELSENDKSVTVEEFAEIVYGSKESLESYCAHFLLSRDIVYFVKVESRDSSVYQPRPPAQVEELLRRKLAKEAAEKEMEEFVQLIKSAKALPLDAKPSKDSWLMEEKVKRKIESLQAYAVDACDDEQRRTAGNILKAMGFSKTSSAALKILINIGYFPVHVNLDLYRYDVRIRYTEEVLSAAEELLVDCPDSDKDIRKDLSTLKVYAIDVDEADEACFCSFCHCIYPKRLLDDALSAARLPDGRIKVWIHVADPTSLVQPRSIIDREAMHRGTSIFLPTATFPMFPERLAMNAMSLQQGRGCKSVTVSVILQPDGSKIVENYICVVHSIAEYSIENSIIKPTYMLTYESATELLYMNLEEEEELRILQEAASLRAQWRRSQGSIDTAMIEPRIKVANPDDPEPNINLYVEDQSNPAMQLVSEMMILCGEAVAAFGSDNNIALPYRGHPQSNTAVSAFTHLPEGPARSFANISVLRAAEMDFQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQIKAFLRGESPPYSAGDLEGMTFIASMHVKVARRLHSNNLRYWLLEYLRRQPKGKKYKALILKFIKDRLATLLVIEVGIQATAVVSTGKVGDEVSVVVEAAHPRDDILSVTEITEV >ONIVA10G04710.1 pep chromosome:AWHD00000000:10:5068341:5070803:-1 gene:ONIVA10G04710 transcript:ONIVA10G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAGVHDVCTMLPGSKRDAHLPLPIYPQIAAANGFATAEEFDPLLFLSPDAVCGGGGGDYLNIVSAQPISAASTNGASPPRDVSVSASAASSAAAQHDDSEAFSDIVLGYINRMLMAEDIDEKFEHYPVNADDLLAAEKPFLEILADQSPYSGGSSVESPDGSSAANSCNSLSPCNCSSSSDGLGAVPQTPVLEFPTTAFSQTPQLYGDLIPTGGMVESGGAWPYDPTEFYQLQTKPVRENLLSQSSSFASSIGSSVTFSEGFESLLSPAGVLPDVSLNDFVVQNQQALQFRRGFQEASKFLPDESKLVIDVDKLYSGDEGSRFLGEVRQEKKLVKVKTETSDVESAGHRGKKHFYGDDLDAEEGRCSKHSAQGIDTDHLVRDLMDKVLLCNGETCSKGVKELREALQHDVAKHSGGGHGKGSSHGKGRGKKQPKKEVVDLETLLIHCAQSVATDDRRSATELLKQIRQHAHANGDGDQRLAHCFANGLEARLAGTGSQIYKNYTITRLPCTDVLKAYQLYLAACPFKKISHYFANQTILNAVEKAKKVHIVDYGIYYGFQWPCLIQRLSNRPGGPPKLRITGIDTPQPGFRPAERTEETGRYLSDYAQTFNVPFEFQAIASRFEAVRMEDLHIEEDEVLIVNCMFKFKNLMDESVVAESPRNMALKTIRKMNPHVFIHGVVNGSYNAPFFVTRFREALFHYSAIFDMLETNIPKDNEQRLLIESALFSREAINVISCEGLERMERPETYKQWQVRNQRVGFKQLPLNQDMMKRAREKVRCYHKDFIIDEDNRWLLQGWKGRILFALSTWKPDNRSSS >ONIVA10G04700.1 pep chromosome:AWHD00000000:10:5060787:5063803:1 gene:ONIVA10G04700 transcript:ONIVA10G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQD7] MTMAISSALPSPLLLAASLLLLIVQAQGITRHYEFNVQMANATRLCNTKSMVTVNGQCPGPELVAREGDRVVIRVTNNVAHNISLHWHGVRQVRTGWADGPAYITQCPIQTGQSYVYNFTVAGQRGTLWWHAHISWLRATVYGALVILPKLGVPYPFPAPHKEVPVIFGEWWNADTEEVVNQAVQTGGGPNVSDAFTINGLPGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNEELFFAVANHTLTVVEVDAVYVKPFTVDTLVISPGQTTNVLLTAKPYYPGANFYMSAAPYSTARPGTFGNTTVAGILEYENPAMSPSAASFVKGLPLFKPTLPQLNDTDFVTNFTDKLRSLATPEYPAAVPQSVDKRFFFTVGLGTLPCPANMTCQGPNNTQMAASMNNVSFVLPARALLQSHFTGLSSGVYAPDFPVAPLSPFNYTGTPPNNTNVKTGTKLLVLRYNTSVELVMQDTSILGIESHPLHLHGFNFFVIGQGFGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEAHTTWGLRMAWLVLDGSHPNQKLLPPPSDLPKC >ONIVA10G04690.1 pep chromosome:AWHD00000000:10:5033834:5037659:1 gene:ONIVA10G04690 transcript:ONIVA10G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRQRRPVPPLGTLRSSWLLILVVHSCLSSFAVHPAAAAASSSSPSNTDFQTLLCLKLHLSNDPGGFLGSWKQNDSIGFCRWPGVTCSKTNTSRVVALDLGSSGLNGQIPPCITNLTLLARIHFPDNQLSGQIPPELGQLSRLGYLNLSSNSLSGSIPNTLSSTYLEVIDLESNKLTGGIPGELGMLRNLSVLNLAGNSLTGNIPISLGSSTSLVSVVLANNTLTGPIPSVLANCSSLQVLNLVSNNLGGGIPPALFNSTSLRRLNLGWNNFTGSIPDVSNVDSPLQYLTLSVNGLTGTIPSSLGNFSSLRLLYLAANHFQGSIPVSISKLPNLQELDISYNYLPGTVPPSIFNISSLTYLSLAVNDFTNTLPFGIGYTLPNIQTLILQQGNFQGKIPASLANATNLESINLGANAFNGIIPSFGSLYKLKQLILASNQLEAGDWSFMSSLANCTRLEVLSLATNKLQGSLPSSIGSLANTLGALWLHANEISGSIPPETGSLTNLVWLRMEQNYIVGNVPGTIGNLANLNSLDLSRNKLSGQIPHSIGKLGQLNELFLQDNNFSGPIPSALGDCKKLVNLNLSCNTLNGSIPKELFSLYSLTTGLDLSHNQLSAQIPQEVGSLINIGLLNFSNNHISGKIPTTLGACVRLESLHLEGNFLDGTIPDSFVNLKGISEIDLSRNNLSGEIPNFFQSFNSLKLLNLSFNNLEGQMPEGGIFQNSSEVFVQGNIMLCSSSPMLQLPLCLASSRHRHTSRNLKIIGISVALVLVSLSCLAFIILKRSKRSKQSDRHSFTEMKNFSYADLVKATNGFSSDNLLGSGTYGSVYKGILDSEANGIVAIKVFNLDELGAPKSFVAECEAFRNTRHRNLVRVISACSTWDNKGNDFKALIIEYMANGTLESWIYSEMREPLSLDSRVTIAVDIAAALDYLHNRCMPPIVHCDLKPSNVLLDNAMGARLSDFGLAKFLPTHNSTSITSSTSLGGPRGSIGYIAPEYGFGSKISTEGDVYSYGIIILEMVTGKRPTDELFNNGLSIHKFVRNAFPQKIGEILDPNIVQNFGDEGVDHEKHATVGMMSCILQLVKLGLSCSMETPNDRPTMLNVYAEVSAIKRAFSALCVEK >ONIVA10G04680.1 pep chromosome:AWHD00000000:10:5024673:5024961:-1 gene:ONIVA10G04680 transcript:ONIVA10G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYSTSVQLLVVLLVILVSVGGILGRSGPSTCANNPAFQQSCPPIPGRGH >ONIVA10G04670.1 pep chromosome:AWHD00000000:10:5010896:5012611:1 gene:ONIVA10G04670 transcript:ONIVA10G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPLPIRDRATRFMPQDPPLVEFPRRSTRRRRWPRIHHLRRGDHIAGTRRRRDCRHHHNHHQGPHHRRRLHRMRPLVLRDYFGNLVLWLFPRCDVGELVIRSTHDTAEPIHRAVAGIDDAYFRSFVDFTSSRAVEADGLIPIADTAEVVVRPITVHMQGSRGEISGSYAGIPMYEVVVK >ONIVA10G04660.1 pep chromosome:AWHD00000000:10:5009987:5010261:-1 gene:ONIVA10G04660 transcript:ONIVA10G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLSTSVQLLLVLIVLLAFVGGILGGGGPSSCSNNPAVQHSCPPIPGREH >ONIVA10G04650.1 pep chromosome:AWHD00000000:10:5003593:5007544:1 gene:ONIVA10G04650 transcript:ONIVA10G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADACDGGGVVPATFPNLEYLELDAHCKDDHDMATELTVASVLRWCPAIRDLRLRLSVADAEGRVNVYNSKRHMIHQARLMRNSFEQDVQTKIDVDVTNITTSWIRRLQGALSNEALGEFFQLWDEVRDVSLQQMADTIKWKLTADGNFSVASAYDLFFIATEDCSNGDTLWHSRVPSCVRFFMWIALKGRCLTADNLAKRNWPHDAICSRCQRENEDCHHLLVSCDYTAAVWRKLRRWCNINIAIPAEYGMPLADWWIATRWRFQNTSKKVKKEVHPSSQYIHEHNALNKGGLLSRVTLLNEIEDTILWNNVDTYSAKSAYLFQFIGSQQDKIFLLNWEASTLPKQKFLGWLILHHKKRGILSLLLKNNQTYCSLKEWMSCIMQNENKTEARRKIWKARNDLKFQGLVKEPTQVCFAAEAMVRTYTIYSAYNILQDELQE >ONIVA10G04640.1 pep chromosome:AWHD00000000:10:4977719:4982915:-1 gene:ONIVA10G04640 transcript:ONIVA10G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAKDGQAWLGTNGYGSRREEDGVCHDDSATPVRANTVDELHSLQRKPQVVEDRHRLQLQSISASLASMTCGIGPKLVNGDPARKKEMAGKAVTHHQHHITVPTITVSDSDLKFTHVLYNLSPSELYEHAIKYEKGSFITSSGALATLSGAKTGRSPRDKRVVKDETTDDLWWGKGSPNIEMDEQTFLINRERAVDYLNSLDKVFVNDQFLNWDPNNRIKVRIISARAYHSLFMHNMCIRPTYEELENFGEPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLKRREMVILGTQYAGEMKKGLFSVMHYLMPKKQILSLHSGCNMGRGGDVALFFGLSGTGKTTLSTDRNRILIGDDEHCWSDNGISNIEGGCYAKCIDLSQEKEPDIWDAIKFGTVLENVVFDEHSREVDYTEKSVTENTRAAYPIEYIANAKIPCVGPHPKNVILLACDAFGVLPPVSKLSHAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTRYAAMLADKMNKHGATGWLVNTGWIGGSYGVGERISLAYTRKIIDAIHSGELLATSYKKTDVFGLDIPTKVEGVPSELLDPINTWEDKDSYKLTLLKLADLFKRNFKVFANYKKGGVSDLADEIAAAGPNF >ONIVA10G04630.1 pep chromosome:AWHD00000000:10:4975732:4981331:1 gene:ONIVA10G04630 transcript:ONIVA10G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKLPLLVFLDRFSGSYNNTSLLKMLLYIPDAWWLSLVFKALTRKFTEKLSTYSGLYHQTAATTFNIHEGVIALAYLIKGVQVVNSSLPVDQKGMLTCPTTDHLLFHP >ONIVA10G04610.1 pep chromosome:AWHD00000000:10:4956345:4960471:-1 gene:ONIVA10G04610 transcript:ONIVA10G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMGSSGGHRRRNNGHGRHHHHGQPTAPPPPPQQQQPEVAPNRYVFAAASPYPPQYPNPNPPQYYPQYGNFYPPPPPSMPGPLPAPYDHHHRGGGPAQPPPPPPPPQPIHAAGEFPPAMLQQHPHYHGWGGNFSYGPPTQPPAPAPPYVEHQKAVTIRNDVNLKKETLRVEPDDECPGRFLITFTFDATVAGSMTVYFFAKEELNCNLTATKEDLLKPVTVTFKEGLGQKFRQPSGTGIDFSLFEDAELFKEGEMDVYPLAVKAETTFSIGQFSEGEEQKSQTPNSQITQAVFERKENGDYHVRVVKQILWVNGTRYELQEIYGIGNSVEGDTEGNDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTNRCPICRQPVERLLEIKVNNKGEEQQQQQIPQLPPPPSTAPPHQQQESQA >ONIVA10G04600.1 pep chromosome:AWHD00000000:10:4949086:4950256:-1 gene:ONIVA10G04600 transcript:ONIVA10G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFINAPLWPVSISLPGALLPPLRPIKGSPNPLLSPHTLPVLLSLSTAAALFSSYAAAVAQLRPPLAGGRNLLGARRRRHLLRRFLLLPVHSSVEYKDHGNDDNTDDPKLLVVSPSSPASPSSSRRRVRSVRTVTSSPFPPPRRWPSPASRQFLVPLLPGCACAPVRRELPSCARLTMDRAAGKWDPLVDPVHVYA >ONIVA10G04590.1 pep chromosome:AWHD00000000:10:4926788:4936895:1 gene:ONIVA10G04590 transcript:ONIVA10G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLVRARAVPSAPPPPFILRQLSFPAAVLALDLDILCCRLSRRHRLACLPQPPSDVLVVYQRCQSCAAAEAVSGIAEAFEGAAVGEEEEVVCSGSLVAKAVECDLRSLMLEHGWRCLGESVYVLSTFADTKERTDQCTVNVEVKLGRNDDIEFAVSPDALRFTTPKFSDFVSSDEMETFENGKEVILDYCNFRTACTTLPTLQEGHVIGFSKTLPTGQCLDKFMQLCSLKHGLEADYSHYAAVRFGCLVHLFYKVQGSNQPPNLLEHQGQSWNFFGQNQLVIKEQLLLNSTATLPTWDKAMSSARTNNSEYLRLVHTNILTNDQSLALAQVALLKPSFSRGLLTKGKFGYKQEDIKDCIPQVPDETRAIPGVKNDMLSTKVVDNQKDELMKKATKAKGRRVVNSTELTSMNSKTNSDVLNDDIVRKVTDHQKRGELRLLTVADLKCFLSARKVKVGGTKEMLIKRVAELIG >ONIVA10G04590.2 pep chromosome:AWHD00000000:10:4926788:4936895:1 gene:ONIVA10G04590 transcript:ONIVA10G04590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNKVLVRARAVPSAPPPPFILRQLSFPAAVLALDLDILCCRLSRRHRLACLPQPPSDVLVVYQRCQSCAAAEAVSGIAEAFEGAAVGEEEEVVCSGSLVAKAVECDLRSLMLEHGWRCLGESVYVLSTFADTKERTDQCTVNVEVKLGRNDDIEFAVSPDALRFTTPKVLARHSLQDSAWTSCLVHLFYKVQGSNQPPNLLEHQGQSWNFFGQNQLVIKEQLLLNSTATLPTWDKAMSSARTNNSEYLRLVHTNILTNDQSLALAQVALLKPSFSRGLLTKGKFGYKQEDIKDCIPQVPDETRAIPGVKNDMLSTKVVDNQKDELMKKATKAKGRRVVNSTELTSMNSKTNSDVLNDDIVRKVTDHQKRGELRLLTVADLKCFLSARKVKVGGTKEMLIKRVAELIG >ONIVA10G04580.1 pep chromosome:AWHD00000000:10:4878655:4879119:-1 gene:ONIVA10G04580 transcript:ONIVA10G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIVGTMRTTGSAGWRQEIEAAQDQCSVELDGWSMVLVEVAANRELNVGAGRATSSMASCGRSMATAIRRRWQWMTATMGSLFLAMTILNPTQRFEITFHGGELDLT >ONIVA10G04570.1 pep chromosome:AWHD00000000:10:4871426:4875622:-1 gene:ONIVA10G04570 transcript:ONIVA10G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPNRSDSKKKQPGTTHSAIVAPSSSSAVDVSDSNSGSSAMAKKQRREAAARRRREQQQQGREQRHHHHHRRRPLLLQPRDERCVSCTTFNILAPIYKRMDSENCRESQYRAYWFSRNEKIIDRLLADCSSIICLQEVWLGNDELVDMYEKRLGDANYSLFKLARTNNRGDGLLTAVNKNYFHVLNYRELLFNDFGDRVAQLLHVESAMPFWQNRSSSCIQQQSLIVNTHLLFPHDHSLSIVRLKQVYKILQYIEAYQEEHKLGPMPIILCGDWNGSKRGQVYKFLRSQGFVSSYDTAHQYSDSEEDAHKWVSHRNHRGNICGVDFIWLLNPNKSRKPLKTSWNEAVFGIIKYLLLQVASLSEENAFALLKADSPDDQITYSSFCQALCQLGMVHPDRLNSEEIKDLWSEADHDGDDIVDYKEFQRCIWSPTCCSQEEEDDTEIDISDGSLVTFEANDEAFGFTVKEAVLFPPEVEKGMWPENYSLSDHAPLTVVFSPVRMPCSPRTPRTP >ONIVA10G04560.1 pep chromosome:AWHD00000000:10:4855835:4856610:1 gene:ONIVA10G04560 transcript:ONIVA10G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEATIPFSAPHLLGMADSRLSTVPSWLPVWDRKNARVKYDWFIFNEMIDSNAMSYKDFVADIANSYPWGPNETVTIGYVNMVHKISHHVTTDQDMLEMFEKFVDIKVIPMIIRIHGMNENIDELDHTLVKANICVPHTSSLATPSQVDFSQPSSSTLPSHILVPSDKGEAMKGLSMKNPRMNLGLHLRMNLRMHLKMMVSMNLRMSQWHQMGCQNIFLAQFMIRMTHQ >ONIVA10G04550.1 pep chromosome:AWHD00000000:10:4846825:4848022:1 gene:ONIVA10G04550 transcript:ONIVA10G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAWKHHGRKQKLSLPDGWKIEKKKYRYRTDKFYREESTGKLCRSIPEVKRYLEQNSVANLKPPLDMDGSTSSTAPVESTISCLGRQNLMKPKATSFSDEHISQDNNKSSGGHRNDGQREMVQLESAQEKKPVKKMNKFNLKELPESMDDDYL >ONIVA10G04540.1 pep chromosome:AWHD00000000:10:4825889:4826351:-1 gene:ONIVA10G04540 transcript:ONIVA10G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWIADEDAALLLSESIRLNPCGCTTGATGTGYMSVTSPANPSNAGAPATSSGSVSATPLCSVSCRRSSVLGHLLLEDYMTGHDNDEFDDESISNTETTKLYGHEEVVTWFARPFKGKNIVESDHSPIPSLLDPIVTLFA >ONIVA10G04530.1 pep chromosome:AWHD00000000:10:4824389:4825170:-1 gene:ONIVA10G04530 transcript:ONIVA10G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAPSTPYRHMLETLHGLGRAFEARHIAGVREEPWLREQDFGNFQDVDKTINVVVDSALVDMYLKCSSPEDAHWVFAAVAARNITMWTTVMSGHSQHGRVAEALALFDQMMRFEGLANLSNDGALVMQTGAGGRYSLLVHHSVEELRGVRLHRRHDRGPEFRLDPVLLDPSCSIAGDEEGGRGCGWKQRQREKRDRRRDGSGMVPILEISSGM >ONIVA10G04520.1 pep chromosome:AWHD00000000:10:4823720:4824314:1 gene:ONIVA10G04520 transcript:ONIVA10G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPSKSNLSTKGQSWFDLLQPWVKQGLIVGVTMQILQQLAGISGILYYTQIVEQAGAGILLKWFNVSSSSLSILTSALTTLMMLPSIGAAIKCMDRNGRRSLLLYTIPMLIVSLIILVVVNVMNLKAMFGAILSI >ONIVA10G04510.1 pep chromosome:AWHD00000000:10:4799846:4806545:1 gene:ONIVA10G04510 transcript:ONIVA10G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQB8] MDIDGAGTKAAAAGWKAPASMVLVQLFITGMIMLSKVSIGGGMFIFALLAYRSLFGAVFILPFALIFERGKWRDMDWRAFGWIFFNAFIGYAVPMSLYFYGLKDTTASYAVIFINIIPLFAFILSLMFRLETFEIGSIVGVLKIVGVLLSVGGTMLVSLYKGKSLHLWNSILQHQNEPATKTATNQLRGTILLVASSFAYACWYLVQSKVLKVYPYKYWSSMITCLVGGFQVAFVGIILRRHKSAWKLGWDLNLVTVVYSGALATAGKYSLNSWVVAKRGPAYPPMFNPLSVVFTVVLDSVLMGDDVTVGSIIGTAMVIVGLYLFLWAKA >ONIVA10G04500.1 pep chromosome:AWHD00000000:10:4790020:4792553:1 gene:ONIVA10G04500 transcript:ONIVA10G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPLVPITAAPPAPPSPPICTTPPGSPLPQISPVRGPSPPPQQVAPNSPTTLPRQPSPPPQSYLAPPLDEHVPPPQPDTSKEQPKTCESRKLIPVIVSTYNKEKMAEYETRMVLQSFKGRGGPLKPVGPDQYSDAQKSVVGLADKMQSWTSDEVPKEYEYGKPFLPFNLMCELPWPMRLMHEWYLRASELGLGMITVHVPGGAFKDGPNANFAFSFKDLHAFLKMDKMDINLVGAWCLSQWVDAQKTGASIGYVNPTMVCETAHTVRISEDSAVLKNKTPQEEKDYIERLHKRKMAKVGNYLATSSLAHSDKQVIMVPYHFGEHYILFFVYPTDQTIVVLDPADHDKDAYMEFLCLLNLAHGRYKKHGGYVKNPSREKLYIRGHWPCYKQPSLTNLCGYYVCEMLRVNGRYRTEFTYLPSIPYSASRFDQRTLINLCADLCRFIRRNICNHPGEFHDPHSELATDPKFKNLREWERQHAVD >ONIVA10G04490.1 pep chromosome:AWHD00000000:10:4756666:4765160:1 gene:ONIVA10G04490 transcript:ONIVA10G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQB5] MDIVGAGTSPAAGWKAPASMVLVQLFNSGMILLSKVSINGGMFVFALLSYRSVFGAIFILPFALIFERGKWRDIDWSATGWIFFNAFIGYAVPMSLYYYGLKDTTPSYSVIFTNIIPLFTFVLSLVFRSKAGLLKIVGVLVSVGGTMLVSLYKGKSLHLWNSILQHRKEQQTKSATNQLRGTIFLAGSSLTFACWYLVQSKVLKVYPYKYWSSMVTCLVGGFQTAFVGIILRRDKNAWMLGWDLNLVTVVYSGALATAGKYSLNSWAVAKRGPAYPPMFNPLSVIFTVVFDSILMGDDLTVGSLIGTAMVIVGLYLFLSVKA >ONIVA10G04490.2 pep chromosome:AWHD00000000:10:4756666:4765160:1 gene:ONIVA10G04490 transcript:ONIVA10G04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQB5] MDIVGAGTSPAAGWKAPASMVLVQLFNSGMILLSKVSINGGMFVFALLSYRSVFGAIFILPFALIFERGKWRDIDWSATGWIFFNAFIGYAVPMSLYYYGLKDTTPSYSVIFTNIIPLFTFVLSLVFRSKAGLLKIVGVLVSVGGTMLVSLYKGKSLHLWNSILQHRKEQQTKSATNQLRGTIFLAGSSLTFACWYLVQTAFVGIILRRDKNAWMLGWDLNLVTVVYSGALATAGKYSLNSWAVAKRGPAYPPMFNPLSVIFTVVFDSILMGDDLTVGSLIGTAMVIVGLYLFLSVKA >ONIVA10G04480.1 pep chromosome:AWHD00000000:10:4722383:4731784:1 gene:ONIVA10G04480 transcript:ONIVA10G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLQGCYAVSSWPRLPRLPPWPCASHAQRRRVLRLLPPRRRCAGAVRVVAEAGPALAIDRVAEEADVRFPGDVEGVPGQQQQREEEEDAVDERERLRRMRISKANKGNTPWNKGRKHTPETLQRIRERTRIAMQDPKVKKKLMHLGHAQSEETRIKISMGVRRGWNLRLQKLMIQDGCFVEWRDMIADAARKGFAGGISLQWNSYKILTEQMRQEWLEKVQKRRSMPRPTGNRRAPKSPEQRRKIAEAIAAKWLDKEYRERVCSGIASYHGTSSGTKVPRKPRSAREPGSKRDTARKKPIQSRSAGLEDACGTTPTVKRKKSATPYKDPMAGEKLEMITKIRAQRAALEIEKKEAIKRARSLIAEAEKAANALETVASTSPFAQASLIEARKLVTEARLSLQHVDDEGPADSASDDASQDSGASDLHNHDMANQNDVIKQENEPVNGMELPPSNVNGRDFYFDVSTLTETDHLRDYQRIENSMERAYLLPSASSAIQDVNENHRMKDFNAHQLMVNDESITIDQIAYEVAEIYPDEPQEDDTLPVQKSKMRWVRGRLVEVEE >ONIVA10G04470.1 pep chromosome:AWHD00000000:10:4718039:4719926:1 gene:ONIVA10G04470 transcript:ONIVA10G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSASIDLKQYLEVHFVYFLMPLPGHNGMNFVNICSNKIILYFWSSQKVDSPMADECML >ONIVA10G04460.1 pep chromosome:AWHD00000000:10:4699401:4701273:-1 gene:ONIVA10G04460 transcript:ONIVA10G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYFYPSVPSLYPLRLHLLRPRGYLPSTASPASTIVLRSLSSIENMEMQLRKYVIPWSSHYMVNESTEPTLGRCNANFHEGK >ONIVA10G04450.1 pep chromosome:AWHD00000000:10:4691344:4696032:-1 gene:ONIVA10G04450 transcript:ONIVA10G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLSSSSSSGPLLLCSTGGAALPVTGVVRDHHARGIERVNEAMRAGWVSRGQYLPRESLDTRRRVHARRRSMFAAARRGGSSASTISFTPAIRSQDAIGCGYNLTGIQRIKMNGALWIPILPPPVVDSRYQGLCLQCGRRIKGRSNLCSISCRLLRVHTGARRGMAQNLVEFAESVGQPIHQLDQLCGQCLRSFCGVSCPNHLVHPHPQGNHAAGPDIITIERLNGWLVIDQEQLPVEFGQDIHVMVGEDGRHMLPIKRLPAEHGDGHDGLVEPDWNLCARAGCNEMFNGNAVCCCMSSA >ONIVA10G04440.1 pep chromosome:AWHD00000000:10:4686741:4688090:-1 gene:ONIVA10G04440 transcript:ONIVA10G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGCHQSPYCGGGRGWLSPRCRTSGGFREYDTGRGGASLTDDVLAAIFTRLPNAADVVRCAATCRRWASVVAKEADALSRALPPLPGLALGLFHQDRQDTAGAATTNTRKRKRRSTGLECSAPPCFVPTASGARLLGFNLPSTTALRSGGQHGHGVLDLSHSRPIASRNGRLVLELQSEGHVDRSLRLCVCNPMMGDVAVLPTLLGNDRPKIYACTLLTGADLDLDRPRHASSDFFRVLIIYNRDRFTAFRSYSSDTCSWSMETKKTSGPKLTNWDLGKLGQGIVLHGVAYWPLKRTALAVRFDTPAPAQVRMPPDGVPNPLQQLRLLSVTPDGKLCLLDAGNGAGYASFVRTVFEASTGEWVRECSVTSTRLKVKSAADINLRWFCENSGILLFTLGRGSSNPGTFAMSLATKEVEKLHDSVDCSSWRNFVGYEMDGVTYLKSIACH >ONIVA10G04430.1 pep chromosome:AWHD00000000:10:4678927:4681828:-1 gene:ONIVA10G04430 transcript:ONIVA10G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPNCPPGQRIYQPGFKCEYPLAQEVSEHRSHLLHKIHLSYCKALERLSLRVRPRMAASFLVGGGGFCLGLLDPVSNIVANTLFSYGRAVGETRSECDELVYIPEEKLRDLEHRSLDGMVTFLTRFFPYLADAEAVRFLLYAEADLLVAARIVAFDIGMRRFGSSGPDIVNEALEMALKCAALAAKHPNPDRLVADWLATITRLDDAVRHLADVHRRSPQSSLDKLAELLDEGSPPAVDDDRWGPWRLVDSRLPPPRSVPYWQSPALKATLQDAIHGFYLKALARLPAGELRRRFHRSLLEAGHCYGPFDPVSNIIINTIWYDAAFPPTFELELDVIGTMGLHRIENRSLYGIVSFLCTRYHHIDFNQAIKYLVNADGYLLLADLYLDDEAAGFTTTVDSPPLTGLEEAFMAAATAACHPDPDAQAKVLLLCSSGQMLEDASSLLHGGGQLSSEDVQLLVRLLCPEATCSKQPLRPFPRPEYWFAHTRMSKKEPMYELHTICGVNNCVSGPVGTDAKCFRSHVNFLATPKGTPFSTYSNPVLFFAEVSNDNKAEAGTQSFCCLVSVSLPCAERVRCLYCDDMGIKIVHPIGVDFHGRKLEFEKMVCGEDPCNDDFDPESMQPYYTNMSIIEHSSLTTDRVNGRVEEDRLYSDEYDSDDLSLMSDEYDSDLCSMTDEYDILYPKIVSCCRHY >ONIVA10G04420.1 pep chromosome:AWHD00000000:10:4668281:4671869:-1 gene:ONIVA10G04420 transcript:ONIVA10G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREWGESGGGDLPRLQGDSSSRNKAKSRTTSLQPGSASEHVAASSAAAVETGLEFGKVDGEAHTPTPMRLARHQQAVAGGLDLDPKRSGVEIQKSKNEGVLPEQWLLRRLMMCCDAESHAPLLFIHM >ONIVA10G04410.1 pep chromosome:AWHD00000000:10:4666969:4667665:1 gene:ONIVA10G04410 transcript:ONIVA10G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHITIYPISPSIPHLPRNGDDPGKICNDDHRSWLDARQENSVLYASFGSYVTMSHSQLEEIAMALRDSGVQLFWVGRDKADSLQQQVGGDNGLVVPWCEQLKPLRVELCAGGRVSWVATARFPYWMADGHIVADEWKIGINLRGQRGEDGIVSRAAIRAAVIKLMDLDDSESQEMRRRAAKLHAASRGAIQEGGSSHRSLNSLVNDLAQGRLNGAGTCQ >ONIVA10G04400.1 pep chromosome:AWHD00000000:10:4653856:4655851:1 gene:ONIVA10G04400 transcript:ONIVA10G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGVTNRDYKGFLHQCAGVPAALPDRVGFATIPNVIPSEHDRGADHIGFIVAVHTRMAAAVERLLDRLLLERKRRPDAIVASTYLAWGVAVGACRG >ONIVA10G04390.1 pep chromosome:AWHD00000000:10:4651384:4652587:-1 gene:ONIVA10G04390 transcript:ONIVA10G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKDEVELGAVLAEDAVERRRWRWVLVEGEVSSTRKISLDVDPAPAEDAVELGRWHRQRREVELGATPDGGHCGAKEVVRAKNVDELGWRQFVGH >ONIVA10G04380.1 pep chromosome:AWHD00000000:10:4639877:4646969:-1 gene:ONIVA10G04380 transcript:ONIVA10G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucomutase [Source:Projected from Arabidopsis thaliana (AT5G51820) TAIR;Acc:AT5G51820] MASHALRLHPLLFSAAAARPAPLAARPGGGARRVHRRHSLAVVRCSSSAAQALKIKSIPTKPVEGQKTGTMFQQENYLANWIQALFNSLPPEDYVGGTLVLGGDGRYFNKDAAQIITKIAAGNGVGKILVGRNGLLSTPAVSAVIRKRQANGGFIMSASHNPGGPDNDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKTADIPDVDLSSLGVVSYGDFTVEVIDPVLDYLELMENVFDFQLIKGLLSRPDFRFVFDAMHAVTGAYADPIFVEKLGADPVDYILNGVPLEDFGNGHPDPNLTYAKELVFTMFGSGAPDFGAASDGDGDRNMILGRRFFVTPSDSVAIIAANAQAAIPYFQSGPKGLARSMPTSGALDRKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHRNKDKKAGERLVSVEDVAREHWATYGRNFFSRYDYEECESESANKMMEHLRDVIAKSKPGEKYGNYTLQFADDFSYTDPVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRIYIEQFESDASKHDLDAQIALKPLIDLALSVSKLKDFTGRDKPTVIT >ONIVA10G04370.1 pep chromosome:AWHD00000000:10:4637595:4638403:1 gene:ONIVA10G04370 transcript:ONIVA10G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRKKSGNIINVLEIGQAVKEFMFQRHEMGDGAWKIEVHTVGVWPRPSTSKICYHIFPACGPVKNVEPKLVTSIKVVRRGGRVLAPHRLRRSPALGPKRQKRRRGKGESLAAPATSSTRCRFAVANKRRQIRATRSSSPTWGSAGWPPTPSRLAEHVTAAGAPSTATDLVEPSRHQREGERHGPVLPRWAENSRSGTRSPPCRRHLLALGSSVAVPAAEARLRVAAGQLPDPVVKEGDEQYRRLVEETKSAAG >ONIVA10G04360.1 pep chromosome:AWHD00000000:10:4635205:4635587:-1 gene:ONIVA10G04360 transcript:ONIVA10G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENESGVNLINFRQCTLYHPSLNNLTMPEGYEELFGKQHKMGAETCDMKPGKLGFLAGGIKNENMSCWCRHVHEESQQRVHGGSPATMRR >ONIVA10G04350.1 pep chromosome:AWHD00000000:10:4634691:4634985:-1 gene:ONIVA10G04350 transcript:ONIVA10G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMMKNLSFFMEPRISWLYWRELLPLLTKFFWREANQSGKTEYTMVYETEGCIFRVHGYMPKYKSC >ONIVA10G04340.1 pep chromosome:AWHD00000000:10:4620431:4626902:1 gene:ONIVA10G04340 transcript:ONIVA10G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPSLVSTCSAHEANAAQVDPRERSREKQSGTRVLSLFSPPLSRNGLPLRCSPHRRRRFPTGNPSPPQKRREEKRRGGIWIVERMVKTVVGEEAQLKALEETLSAYASPAQVGLVVGKLSASSDRALAYSLIPTPPTDSGAPACSLLRAAPNPKAAKAASSDASSSLDFDVDWVAEHARQVSRMLLGGMTVIGIYIWASEASFKATSPAVLSQVLRAVSQVAPLYGTGVDERLLIHISYSPRRWACRICDMSSGRLRPCDFKYTKLLASLQTFRCTYNFEIRLPVVQAEPFKKVISKAISHLTKQVQNAKALIDGVLFLDDMDNTLEGPHNVEFLVPFKNNLPAEEGVAGLLLFAGSVSALAYLGPKESIAEVISDLKLDIITSLRSRLDIILDEADDDSTTNNLENSLSQKATQVVFHELRAPYSFPFPRRILIPWLAGSYICDYLQQSETMEDAMERCKEVMSLEADMGNYSIVEPESASAAMLGSFWDVVPGALSKAPSEPGLKEMNSGQNGSRKTHGSSFSILMAFVVLLIAVLVGCIFTLSATLKT >ONIVA10G04330.1 pep chromosome:AWHD00000000:10:4592740:4596691:1 gene:ONIVA10G04330 transcript:ONIVA10G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPALEPEIGPDGLARENPVIAYTEKVILEEQLQLKKEECGIELYECALWYGLTFTKGARFYSLETGNTLAMSILLGAYSKMCMVASTLEHLRKKIEISTERIRLARVKEEQAKKAWEAAAQIVKDEENAKQNLCDDLNRLVQESAASQFSRLEELKKRLESLNPSRASVDVSGMNTAQHATTSSVPQQAAAQNPQNAPSPANNANHDSSGLSQQQRPADAERKRRPSQMGRGRGGVMILPKGRGSSGSGWTGAGFDVDGRT >ONIVA10G04320.1 pep chromosome:AWHD00000000:10:4584441:4591706:1 gene:ONIVA10G04320 transcript:ONIVA10G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSGGAGGGGGPHPSASPSAAAGVPSSSWSEASLDGGFLLRILQNPPPQTRHQAPPPVAAAAAAAAAGPQQVFVDPAVAAVGPAFPSAAPQLQHGGGFAWPSPASNPQPQPQPQLRFPDPRLAQPLDPYVVLGYGGSGAVDGVAGSRAVKPRSAAPPPGFAKASHPPSSSSRETLNAFGGMHNREQRREPSHQHPRGFGRALEKEQRVVQPSAGGHEALGVAPPRELHTMQTTGGRDMAAGTMYREHQQRQDHFLSRTPPDGNGPGPFGRMPRGEQHMHSATGGRMHHGEQHMAPVVTGGRLPHMGQRPQDHSLSNLPRREQRWQGHGDLKGHASLKPPNTNVHGMFSMMSVKEPHQAPMPTSRSVAMDVREDRGKKTVAEANGLEDGVVGEVGFEHIVEGGVTLEARKFEVSYTKNDFRSIGQDEEVDDGNKNDDATIEQLMETLVIDDNGEAKSTVVQINGSRSKNFRSDSRGKNVSSQSVRFQRRIRPCRYDIDQFTPSFMSIFESLVPSDEEISKQKQLLATLSRLINKEWPNSKLYLYGSCANSFGFSNSDIDLCLSIDEKEMSKVDIILKLAHILHAGNLRNIQALTRARVPIVKLMDPNTGLSCDICVNNLLAVVNTKLLRDYSRIDKRLRPLAFIVKHWAKSRCVNETYQGTLSSYAYVIMCIHYLQSQRILPCLQEMEPTYYVTVDNNICAYFDQVDKLNGFGAQCKDTLSRLLWGFFRYWAYAHNYTKDVISIRTGRTISKNMKDWTRRIGNDRHLICIEDPFETSHDLGRVVDNRSIWALREEFERAAEILHLDPNPSITLFEPYVPSEAET >ONIVA10G04320.2 pep chromosome:AWHD00000000:10:4584441:4588843:1 gene:ONIVA10G04320 transcript:ONIVA10G04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSGGAGGGGGPHPSASPSAAAGVPSSSWSEASLDGGFLLRILQNPPPQTRHQAPPPVAAAAAAAAAGPQQVFVDPAVAAVGPAFPSAAPQLQHGGGFAWPSPASNPQPQPQPQLRFPDPRLAQPLDPYVVLGYGGSGAVDGVAGSRAVKPRSAAPPPGFAKASHPPSSSSRETLNAFGGMHNREQRREPSHQHPRGFGRALEKEQRVVQPSAGGHEALGVAPPRELHTMQTTGGRDMAAGTMYREHQQRQDHFLSRTPPDGNGPGPFGRMPRGEQHMHSATGGRMHHGEQHMAPVVTGGRLPHMGQRPQDHSLSNLPRREQRWQGHGDLKGHASLKPPNTNVHGMFSMMSVKEPHQAPMPTSRSVAMDVREDRGKKTVAEANGLEDGVVGEVGFEHIVEGGVTLEARKFEVSYTKNDFRSIGQDEEVDDGNKNDDATIEQLMETLVIDDNGEAKSTVVQINGSRSKNFRSDSRGKNVSSQSVRFQRRIRPCRYDIDQFTPSFMSIFESLVPSDEEISKQKQLLATLSRLINKEWPNSKLYLYGSCANSFGFSNSDIDLCLSIDEKEMSKVDIILKLAHILHAGNLRNIQALTRARVPIVKLMDPNTGLSCDICVNNLLAVVNTKLLRDYSRIDKRLRPLAFIVKHWAKSRCVNETYQGTLSSYA >ONIVA10G04310.1 pep chromosome:AWHD00000000:10:4580134:4582956:-1 gene:ONIVA10G04310 transcript:ONIVA10G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNDDRVPSAVAGGLVHQCMCCRGGEVPRWLGSHGVVGQGDVPPATEALAAAATRWSWSRQTSDAAVGAFAAAVVRWSWSCRRRQLGHDDGDCIVVVWCRWSRSRLQALQK >ONIVA10G04300.1 pep chromosome:AWHD00000000:10:4571017:4578635:-1 gene:ONIVA10G04300 transcript:ONIVA10G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSNDENERREQAEKALQRAEELFAAGNVRSAHLQAGRAKRLCPSLPGVASAAAAYEVHAAARPGKGNNWRAVLGMCYGDAATLDTIKDQFQRLSLLLLHHPDDDNNNNAGRRAAVEGAVEVLRRACEDALSAVAAGSSMEDDDDDDDGTSRRPHAAAAPHNYKMPPPAMQLHVPREAIVIYCPSCKSEFAGKVGRLEQQCARCTEWLSPPWQKKPQAKKEPPAGPGRPAVFQCPAKCPECGEQYASMVCVGQWCLRCKACTKKAMVDVQGPDQATTTIKKSRTN >ONIVA10G04290.1 pep chromosome:AWHD00000000:10:4561805:4568972:-1 gene:ONIVA10G04290 transcript:ONIVA10G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADLVLKAACEGCGSPSDLYGTSCKHTTLCSSCGKSMALSGARCLVCSAPITNLIREYNVRANATTDKSFSIGRFVTGLPPFSKKKSAENKWSLHKEGLQGRQIPENMREKYNRKPWILEDETGQYQYQGQMEGSQSSTATYYLLMMHGKEFHAYPAGSWYNFSKIAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAATNGPAAFGSDIKKLEPTNGTEKENARPKKGKNNEEGNNSDKGEEDEEEEAARKNRLALNKKSMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGKAAGLNESDADEDDEDDDQEDESSPVLAPKQKDQPKDEPVDNSPAKPTPSGHARGTPPASKSKQKRKSGGGDDSKASGGAASKKAKVESDTKTSVAKDETPSSSKPASKATAASKTSANVSPVTEDEIRTVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLRDDKK >ONIVA10G04280.1 pep chromosome:AWHD00000000:10:4550484:4551828:1 gene:ONIVA10G04280 transcript:ONIVA10G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVCEAEMTSRRRRPTSPSPAQAQAQPLEDDDLLSEILLRLPAKPSSLPRASLVCKRWRRVVSDSVFLRRFRSHHGKPPLLGFFKVSYRNPIFIPTLDPPDRISAARFSLQLPLPGGGGGSPPVFGHFYHMFAFRHGRALIYDRSLLQITVWDPVTGDRRAVDIPEPFGRRPVYVSNWAMRCVDGHVHGGCHSSPFEVVVIGFNKYRRRLFTCVYSSDTGNWGKVISNAFNFSGHKTRSSTLVGNFFYCLFQSVIGTVILQFDLDTQIPAQIDVLPEMHGDGGDQISPAEDGGLLFLAVRDFSLNLWKHKINSDSAAAGWVLEKTIELDRLLPFEPRPDTDTPAPMNILGFAEEHNVVFLTTAIGVFMVNLESMQFKILPQAPGVGICHPFTSFYTKVTFIVPLTAWFLDELSYI >ONIVA10G04270.1 pep chromosome:AWHD00000000:10:4531690:4534529:1 gene:ONIVA10G04270 transcript:ONIVA10G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMRSSGYYDFLKDPDLAHPFDEAATQGDFSQPSEDFHYAHGQFPLFSTQPPPAVAVNGGRTAATRSRVRQRVQANPAGQDDGRGRMYYTQDEDLRLAVCDSPKWNAHVTLLGHGTTKIRAEFDVNAPPVEEQPAQVRPMSIKRAKMGKQTDYSEEVKELIKSLCKSHAKQEDETAEMKEFQQKLSEEKLEAANILLKAAQEKTKARLIEQQTKLLESGMPGMPPLLN >ONIVA10G04260.1 pep chromosome:AWHD00000000:10:4526049:4527810:1 gene:ONIVA10G04260 transcript:ONIVA10G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQPPPPPPPPPPRPDVARRRSVAVAAAAGAAGAGAVAVLVVVALLWWLRRRRRKGKGEADEVVAAAGRLQRLSYRKLRRATGGFAAGSKLGQGGFGPVFRGALPPMTTAAGASRGGGAGRPVAVKVMDAAGSLQGEREFHNEIAVASHLLASSSAPVSPPVPDAAAKPGGKGRDSILLPFAYSMSSAARGEGRPRRMMLVYDLMPNGSLQDALLGRRCPELVAEWPRRLAVARDVAAALHYLHSVVKPPVVHGDVKPSNVLLDTDLRARLADFGLSRINSDADADGKPESGAIAEGCDVDGGCDDDASVIAESTVTTTVNGEGNPKSPEDDDGFTTASPAEAASTSGFDRTSVESGMNSRSCNGGGSRTGGVMGSGTGSDWWWKQDNGGGSNGVKDYVMEWIRSEIKKERPKNDWIAGAAITNPAADRKKPKRRAREWWREEYADELAKKQKRRALAKSRSEQAGLQWWERDNDDDLDAKGRSKWSMMKSWSRRSNGSTGNGNGNGNGSINWWVNGARSTRDWASGEFVPKSSGAVSSTPSMRGTDAGRCK >ONIVA10G04250.1 pep chromosome:AWHD00000000:10:4515645:4517242:-1 gene:ONIVA10G04250 transcript:ONIVA10G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEGDEQEEHHHYGAKLRTMAEAPPYGHGDVLVRLPGVSCGAVPAVVGCEAAPAWWSSGAVLASLRAADGGWLRDDVG >ONIVA10G04240.1 pep chromosome:AWHD00000000:10:4505675:4506113:-1 gene:ONIVA10G04240 transcript:ONIVA10G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAAVAVGASSPASSGEHHKPTVEEGGTASASRRVVTCSRSRRWRKADPCRRVLLLSTSGRCGRTEEWFCATHCMATGDRSEVMNRSLQKYDIHFQK >ONIVA10G04230.1 pep chromosome:AWHD00000000:10:4501744:4502284:-1 gene:ONIVA10G04230 transcript:ONIVA10G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGEGVPRPWRCLLLSESIGKLQLQYGIDPSAGHCYHLASGAIVHRYRGTSRASINQPACICHMVCGDGGGPPSSSRAETALIYISGSILDSLLSGVLFACPVIHAFGASLKNQYLGI >ONIVA10G04230.2 pep chromosome:AWHD00000000:10:4501744:4502284:-1 gene:ONIVA10G04230 transcript:ONIVA10G04230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGEGVPRPWRCLLLSESIGKLQLQYDLASGAIVHRYRGTSRASINQPACICHMVCGDGGGPPSSSRAETALIYISGSILDSLLSGVLFACPVIHAFGASLKNQYLGI >ONIVA10G04220.1 pep chromosome:AWHD00000000:10:4481611:4482543:1 gene:ONIVA10G04220 transcript:ONIVA10G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLVSIMLSLLLVLFSHLLQRIAAARRRLPPGPCPLPLIGNLLDIGDLPHRSFARLAERYGPLMTVRLGAATCVVASSPATARAVLQTHNASLAGRGRQDAWHAGGHAENSVFVLPPGRKWRLLRKLGAAHLFSRRKLAELAPLRDEIVGGLLRRVAERADHRGGAPVNVGRLALAANVELLWRSVFSTRLDAATLDVLCDVAREAAVLLGTPNVSDFFPAVAALDLQGLRRRLAELMKNTYRLVDAQIDHRMGCRELRGGRGGEAMDLLDVLLDMSEQEREDGDDEVINRDLMRALLTVSKRTPEL >ONIVA10G04210.1 pep chromosome:AWHD00000000:10:4472611:4473255:1 gene:ONIVA10G04210 transcript:ONIVA10G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRPMTAVFFVFVVALLSSASPATAKATPAAPPCVAPPAAVAFLRASCASTLYRLTCYDALIPSGCAIQTSTVKLARAAADVNAASLKNLTARAKELVAHGVPGEAPAIAAEIRDCASASSSASGHAKETAAELARLDAMGDAAKGSQARWAVSNAKTWLSAAMTNEANCADALSSTGAAVSPAARELIAGVVMAKQYTSIALSFVNTIPVS >ONIVA10G04200.1 pep chromosome:AWHD00000000:10:4444178:4452514:-1 gene:ONIVA10G04200 transcript:ONIVA10G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRAGPRHVVLVMLFFAAAVAARGADAASPVTTRPCGAAAAASFLCSRCATTVYPAVCYDSLLPYAGAFQDSRVRLARAAADVAAARLRDFSASLDELVHGSGDVGAVTTPPRVAAAVRDCVGTVSSAAGLARRSSAALGRLDAGAAAGGGGSRLARWEVSNAKTWLSAAMANVATCADGFADADSGSAAGIEEVVAGEAANVSKYTSNALALVNGIPFSGLDLAATSTGKTGSAATSTGKAGSATAMTGMAGSAATSMGEARAVAAMTEPTAASSATAFLRSRCATTRYPDVCYDSLLPYASTFQTSHVKLAVAAANVAAAKLRAFSARINDLLAQGGAARVDAALKDCKSTISDAGDLARQSSAELGQLDAGAAAAGVSSRQARWHVSNVQTWLSAAITDEGTCTDGFEEAGEAAAGSPAGKEVAAGVARVKQHTSIALALVNGIPL >ONIVA10G04190.1 pep chromosome:AWHD00000000:10:4406343:4418308:1 gene:ONIVA10G04190 transcript:ONIVA10G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKKKKIPSMVRPSFPALHGGSGSPLLPAYGSGGARDSPTPLAAAALPDPAVGFQCLVLASFSLQVFLHFFSSIRKGNTSRLLSSLLWLAYLLADYVATFTLGRLTLHVDDPRHQLVLFWTPLLLLHLGSQETISAFSIEDAMLWKRHLLGLVSQVALAIYIVAKSWRPDKQLLGPLVLMFISGTIKYAERTWALMTASSSMSPGSDSMADHVLGVQDDVILDAKSYFDELHSIFPGKNVLDIEGHNGGRRTDDDGYEGLVMAAGKGFRLCLDFLTDMTPFLVWSNTDTIIDSAIKKLRTSNPETQVQMAYKLVEIQLSLIYDYLYTKYGALQFRLGLVSSGIERLITFFSTLAALGLFVGANLKGPFNYSREDVMVSYVLLAGAITLDISSIFMLISSYWLQLHRRGGLFGCSFSLAKCVHAGSKPLWSEKIAQYNLIDACIQEERGGIICGWVMRRTGIVSDINMSNTVSPELKKLVLDKLFEVASTRSVSDYWDWDFSKYRGMWLQWWLQEGRIQADIAQGILTDGITDTDLYFPMTVIVWHIATEMCWFADEDDYSPCRAPSMELSRYVMYLVAKRDVMSGSNGHFELGKARRQVKRILEGRGISDERGLLKYARQATGQVTEPCFGRGRAISEHLLKISNRALRWELISMLWIEMLCYLGPNCGAQFHAKHLSTGGEFVTHVRILLVVLGIPFLSSLARALQLWNEWEIQCLVLASFSLQVFLHLFSSTRKANTSRVLSFLLWLAYLSADYVATFTLGRLALYVGDPHHHQLVLLWTPLLLLHLGSQETISAFSIEDAMLWKRHLLGLVTQVALAIYIMVKSWHPDKQLLAPLVLMFISGTIKYVERILALMAASRAMEPGGDSVADHVMDVQDDVIIDAKSYFRELHSIFPGKEVQDLDVRDGRIREADEAYQGLVMAAGEGLWICLGFLTDMTPFLVWSSKEDTIIERTVEKLRSSDPDTQVEMAYKLVEIQLSLIYDYMYTKYGALQFRLGLVYSVIARLITFCSTSVALRLFVGTDLKGPFNYRREDAMVSYVLLVGAVTLDISSIFKLISSYWLQLHQTGGLFGCVFSLVRFVNPWSKPLWSEKIPQYNLIDACIQEERGSIICGWVVRKTGIMPDIDMSKTVSPELKKLVLDKLTEVATTRSVSDYWDWDSSKYSGMWLQWWLQEGRIQDDIAQGILTDGITDTVLYFPMTVIVWHIATEMCWFADEDDRSPCRVPSMELSRYVMYLVVKRDVMSGTNGHFRLGKARRLLKRIIRASTVHDEKTLLRYVRQSPGVTEPCFSRGRVITDHLLKISNGAQRWELISMVWIEMLCYLGPNCGAQFHAKHLSTGGEFVTHVRILLVILGIPFLRSDMKPGKF >ONIVA10G04190.2 pep chromosome:AWHD00000000:10:4406343:4418308:1 gene:ONIVA10G04190 transcript:ONIVA10G04190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRKGNTSRLLSSLLWLAYLLADYVATFTLGRLTLHVDDPRHQLVLFWTPLLLLHLGSQETISAFSIEDAMLWKRHLLGLVSQVALAIYIVAKSWRPDKQLLGPLVLMFISGTIKYAERTWALMTASSSMSPGSDSMADHVLGVQDDVILDAKSYFDELHSIFPGKNVLDIEGHNGGRRTDDDGYEGLVMAAGKGFRLCLDFLTDMTPFLVWSNTDTIIDSAIKKLRTSNPETQVQMAYKLVEIQLSLIYDYLYTKYGALQFRLGLVSSGIERLITFFSTLAALGLFVGANLKGPFNYSREDVMVSYVLLAGAITLDISSIFMLISSYWLQLHRRGGLFGCSFSLAKCVHAGSKPLWSEKIAQYNLIDACIQEERGGIICGWVMRRTGIVSDINMSNTVSPELKKLVLDKLFEVASTRSVSDYWDWDFSKYRGMWLQWWLQEGRIQADIAQGILTDGITDTDLYFPMTVIVWHIATEMCWFADEDDYSPCRAPSMELSRYVMYLVAKRDVMSGSNGHFELGKARRQVKRILEGRGISDERGLLKYARQATGQVTEPCFGRGRAISEHLLKISNRALRWELISMLWIEMLCYLGPNCGAQFHAKHLSTGGEFVTHVRILLVVLGIPFLSSLARALQLWNEWEIQCLVLASFSLQVFLHLFSSTRKANTSRVLSFLLWLAYLSADYVATFTLGRLALYVGDPHHHQLVLLWTPLLLLHLGSQETISAFSIEDAMLWKRHLLGLVTQVALAIYIMVKSWHPDKQLLAPLVLMFISGTIKYVERILALMAASRAMEPGGDSVADHVMDVQDDVIIDAKSYFRELHSIFPGKEVQDLDVRDGRIREADEAYQGLVMAAGEGLWICLGFLTDMTPFLVWSSKEDTIIERTVEKLRSSDPDTQVEMAYKLVEIQLSLIYDYMYTKYGALQFRLGLVYSVIARLITFCSTSVALRLFVGTDLKGPFNYRREDAMVSYVLLVGAVTLDISSIFKLISSYWLQLHQTGGLFGCVFSLVRFVNPWSKPLWSEKIPQYNLIDACIQEERGSIICGWVVRKTGIMPDIDMSKTVSPELKKLVLDKLTEVATTRSVSDYWDWDSSKYSGMWLQWWLQEGRIQDDIAQGILTDGITDTVLYFPMTVIVWHIATEMCWFADEDDRSPCRVPSMELSRYVMYLVVKRDVMSGTNGHFRLGKARRLLKRIIRASTVHDEKTLLRYVRQSPGVTEPCFSRGRVITDHLLKISNGAQRWELISMVWIEMLCYLGPNCGAQFHAKHLSTGGEFVTHVRILLVILGIPFLRSDMKPGKF >ONIVA10G04180.1 pep chromosome:AWHD00000000:10:4394361:4399668:1 gene:ONIVA10G04180 transcript:ONIVA10G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine dimethylase family protein [Source:Projected from Arabidopsis thaliana (AT2G47420) TAIR;Acc:AT2G47420] MAGGKIQKKRHGGGAGGGGGGGGGGARLQGGIPFEKSKGQHILRNPALVDSIVEKAGLKPTDTVLEIGPGTGNLTKRLLQAGVKAVVAVELDPRMVLELNRRFQGDPLASRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRLCFNRKNKTLGAIFKQKRVLELLEKNYKTMQSLQLTSDAEKVESRACFKEKIMGILQQGDFAEKRASKLSQVDFLYLLSLFNKAGIHFS >ONIVA10G04180.2 pep chromosome:AWHD00000000:10:4394361:4400001:1 gene:ONIVA10G04180 transcript:ONIVA10G04180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine dimethylase family protein [Source:Projected from Arabidopsis thaliana (AT2G47420) TAIR;Acc:AT2G47420] MAGGKIQKKRHGGGAGGGGGGGGGGARLQGGIPFEKSKGQHILRNPALVDSIVEKAGLKPTDTVLEIGPGTGNLTKRLLQAGVKAVVAVELDPRMVLELNRRFQGDPLASRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRLCFNRKNKTLGAIFKQKRVLELLEKNYKTMQSLQLTSDAEKGEEKMSPDDVALLSSMVDDMNMESSYENDDDDEMEMDDADMVVESRACFKEKIMGILQQGDFAEKRASKLSQVDFLYLLSLFNKAGIHFS >ONIVA10G04170.1 pep chromosome:AWHD00000000:10:4382485:4385650:-1 gene:ONIVA10G04170 transcript:ONIVA10G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRGAPRGAAAARLAPYHKGWLSRRRRRGRTNRRARNDDRDGNGGAFSDVPDSVLANVFTRFPDAADLVRCAATCRRWSHRPRGAATARLALAPYHKGWLSRRRRRQRGRTNRRARNDDRDGNGAFSAVPDNVLANVFTRFPDAADLVRCAATCRRWSRVIADAAVLLCRSLPLPLPVLPRLALGFFYQEAAAGKRKRSAAAGQTCRFVPTAAGARLLGPSLSPFVEDGEYSRPVTSRNGRVVLELRREGHADGLKLCVWNPMTGDVATLPPLHGDDKPGAYACALLTADDLDDPPPSSPTFFRVVVVYNRRTYTALRSYSSDTGRWSAEARRSSGPKMSSYTLHNLRQSVVHGGVAYWPLAHTAFAVRADTPEPEEMPMPPAVPKAPPHDHLLGISPDGKLSFIVTSRYFDGSAGVSSCYHLAFGSNGGCTREQVSVCTWRVRLHELRVHRSDAMNLRWFCERSGLLFFTIDAKGSSTPGAYVLNIATKELEKVADDIDCRSWTNFVGYEMDQASYYLSSVAC >ONIVA10G04160.1 pep chromosome:AWHD00000000:10:4377343:4377695:-1 gene:ONIVA10G04160 transcript:ONIVA10G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKIHLLFNEVDLGAFTNCSSALGTLKPSRLVLRLFIDSETLTMMEGPSQTVLRTFIDLIKDLHKLFFNGDMTDQLRCGAGEVIDQFRRRADMKLEINWTD >ONIVA10G04150.1 pep chromosome:AWHD00000000:10:4375882:4377332:-1 gene:ONIVA10G04150 transcript:ONIVA10G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGWIEWQLREVADEDGQEMAIAKTEGSAGSGSCLLCYKRVYCLLFRREENKKPLILDSMDGVD >ONIVA10G04140.1 pep chromosome:AWHD00000000:10:4371523:4373297:-1 gene:ONIVA10G04140 transcript:ONIVA10G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGKRKARSPPAMDATAELDDRLLAVILLRLPSAAALVRAATVCRRWRRVASSPTFLRLFRQLHHPLPLLGFFVCNNGFAVSRKVGGELVGEVVDPAFLPTFHPVPREFEGAISRCGHFSLASLPDVDRWALADTRDGLLLLCSTFSDRMSIPRNFLDAESAYLGAALRIDDDNDGGAGGVLCFEIIVVTYFMPGPRLCVFSSRSGAWTVHPYSDAGTAIMPMLGAFSDDMHTNGSVYWLIDDDDDDDDDNPYLLALDARTKQFSNIKLPRAMWTRYRGNMCVMRSDDGELRVVAIMDAGEGFVFLKHYGSGWVFALSLETMMFIDLPHRRFYSGPALPYRMALHPPLPALAD >ONIVA10G04130.1 pep chromosome:AWHD00000000:10:4362447:4365131:-1 gene:ONIVA10G04130 transcript:ONIVA10G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVVSSVLQRLGDLVIQEATFLSDVPRQVSSMKAELSQMQCFLNVVDAKCLEGNSMMKNLASNIQDVAYRVEEVIDNAHFIFRRRKTSVSKYTHIFGDSIDLREVGKNIQVIRKEISEIFERYNRYNAVNSSTSTEAQPIFREDEDFYAQRLVPPGLDQGMDIVGFDHEIAQIKSYLLDQNNMNLTVISIVGQAGAGKSTLAKLAYSSVITEGYFHKYGWVSISPKYSALEVLRDLVRQIRGTGKISERKSMHLNFYGETEVSKLIFDFLKEERYLIVLDDIWTTDTWDKIKSVFPDKGNGSRIILTTRDMEVGQHPKTKVQIHTPDLLDEDKSWELFQKKAFPHDVQFTELEVVGKKISKKCNGLPLALVVLGCFLSRNHNIHTWEKMVASVDWEIMKKEGDVGRILALSYHNMSNNLKACFLYTASFPEDYPITVHVLKKMWIAEGFVPNIRGYTQEEVAYRYVEELAQRCMIQIEERSKNIGWIKKIKVHDVLREWGIGQARKEGFLKVCSSGTDVETYYADEQRCYRVAFHGYFDNEVGKSVLNLRSVLAFNPDGKRLFSFNGLHLLRVLHFCSSLKTCTLPEEINKLVHLRYLGLEGSTVFMFPSYMKGLRNLQILEASTATVKALPSSLWSIAALKHVHVYQVLHWKAQEIRTKRSLQTLYVFSIMQCDALTWKRTIRSLQKMSQHVSWCLGIASTKRVKEKETQEHEEYNLDIRVDALESKVDGLELSGCFKERHVLNDVLPHHNLFPNFLLQLKISCPNILNDDPMPILERLPRLEVLEIVNSSYTGKRITCSSEGFLALRSLVLMDIGLEEWNLQQGSMAFLAVLTLKCTMLRSISNVLHQLDDLVELRLICMPQLSVDDHEPARGRGCRVMISVDEEQTSDT >ONIVA10G04120.1 pep chromosome:AWHD00000000:10:4348041:4351549:-1 gene:ONIVA10G04120 transcript:ONIVA10G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSLLAALDQPCLQSFAVMPVLEGHAVVPHVHRLRTWLSAASGCGLLRDVAVGRVAMRQMNGLKQQYV >ONIVA10G04110.1 pep chromosome:AWHD00000000:10:4339583:4340706:1 gene:ONIVA10G04110 transcript:ONIVA10G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMFTVRDVLYMYSDARTAYDRFVGIGSNPEQARNAVALLVWLDQCNVPAIQHLPGLSPTAVSLVAAEANSVLDCLRRPEPVVPAIPLISALCQDGDVDPRFFAFHQDLVVRGVADILDGVGSLIFDDHLNKMLRRYQTGLVGNPPELMATYSCLPVAVPEDCRSMFITFSRGAPIDREEIFDYFRQKWGDCVVRVLMEKTAGGSQPMYGRIIFRSEAFVQLVLNGERLVKVTIRHRQIWLRKYVPRPAATENQN >ONIVA10G04100.1 pep chromosome:AWHD00000000:10:4325741:4326622:-1 gene:ONIVA10G04100 transcript:ONIVA10G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMFTVRDVLYMYSDARTAYDRFVGIGSNPEQARNAVALLVWLDQCNVRAIQHLPGLSPTAVSLVAAEANSVLDCLRGPEPVVPAIPLISALCKDADVDPRFFTFHQDLVVRGVADILDGVGSLIFNNHLNKMLRRYQTGLVGNPPELMAAYSCLSVAVPEDCRSMFITFSRGAPIDREEIFDYFKQKWGDCVVRVLMEKTAGGSQPMYGRIIFRSEAFVQLVLNGERLVKISIRHRQIWLRKYVPRPAATQNQN >ONIVA10G04090.1 pep chromosome:AWHD00000000:10:4310013:4318627:-1 gene:ONIVA10G04090 transcript:ONIVA10G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHIYTPTVIMGKLLSDNGSQEFGDFKLVIHRMLSMGASRCCHHYPHASPVVCLYPRASGSIAAVDQEAMASLMVGIGNGTTSTPMLRSESVTMVQSISPIGRMASKWIDARLLWVPSSQSNVGLLRGLQYRDRCACSRGIQTASGLRNGVHVALMAAREDTLELLFASSPPSVTAAVMKECVLDEYSTKHRISINRFLQLKIFVKVHDR >ONIVA10G04090.2 pep chromosome:AWHD00000000:10:4310013:4318146:-1 gene:ONIVA10G04090 transcript:ONIVA10G04090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMVGIGNGTTSTPMLCFNYCCGKERECHDGAEHIPDWPYGEQMDRWGPKPARLLWVPSSQSNVGLLRGLQYRDRCACSRGIQTASGLRNGVHVALMAAREDTLELLFASSPPSVTAAVMKECVLDEYSTKHRISINRFLQLKIFVKVHDR >ONIVA10G04090.3 pep chromosome:AWHD00000000:10:4310013:4318146:-1 gene:ONIVA10G04090 transcript:ONIVA10G04090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMVGIGNGTTSTPMLRSESVTMVQSISPIGRMASKWIDARLLWVPSSQSNVGLLRGLQYRDRCACSRGIQTASGLRNGVHVALMAAREDTLELLFASSPPSVTAAVMKECVLDEYSTKHRISINRFLQLKIFVKVHDR >ONIVA10G04080.1 pep chromosome:AWHD00000000:10:4305044:4305829:1 gene:ONIVA10G04080 transcript:ONIVA10G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVREMVRSMGAEQLDEAIAFATMELAGRDIPFEDVFRLCDEQELRRAKKSSMAEEVERIKGKLVGGEDGGRPSSDSSEETVVELLRALRSTPMTFETLEASRIGKTISGLRRKHSSEKVRGLAAALYKNWKAIVDEHLTRSSSKPPAPAPTKTASASDHAKKTDMAAAHKPAPAPSPRKTASNKHEAAPARADDAKLAAARRKLQDGYKEAASAKKQRVIQVIDTPKKVNRRPVAVVERRRIMPGVATVAPLRMCRAV >ONIVA10G04070.1 pep chromosome:AWHD00000000:10:4303775:4304661:-1 gene:ONIVA10G04070 transcript:ONIVA10G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEAIPEAWKELVRSLGTEQLVGAIYVALDDFNARERDTIPPELWRRLGDRSAAYRNPSSGSGSGEVERIKVKLVAVGGEDGSGGGGGGTSADSSEDAVVDLLRDLQAVPMTFETLEASKISKTISGLRKHSSSEKVRRLAAALYKSWKAIVDEHLSRSSSKPPTPTKTASAPAAADHAKKANTAAAGHVKTPAAAPKTAACSKRKEAPAPPEMDEAKLEAARKKLRERYTEEETAKRQRKIQIINNAPRKAKQRPAVVEQRRRVVRDTVVAVASRAPVRSSLRM >ONIVA10G04060.1 pep chromosome:AWHD00000000:10:4291422:4302296:1 gene:ONIVA10G04060 transcript:ONIVA10G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT1G50200) TAIR;Acc:AT1G50200] MLVVRRACCLLRLSSSSHPPATRVLPAAIYLTSSSSAAASAPAPPAMAASTEWPASRVRETFISFFESKSHTRWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDAPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGFAWELLTQVYKLPTDRIYATYFGGDEKFGLAPDTESKNIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNREADCTLRPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPIFDAIHQLAGNGIQSYSGKVGPEDVDKVDMAYRVVADHIRTLSFAIADGSQPAEETEDVRREEASGAAVAGERDARREEAMGDLAPAHAVAAATASARHRNEGREYVLRRILRRAVHFGHQKLKAKQGFFSSLVHVFVQLMGDVFPELKDNEKKIKDIIKDEEESFENTLAKGFEKFKKAADAVKESGGTDAFVLWDTYGYPVDLTEVMGVDYGLSVDREGFDAAMEEARQKARNARFKAGENSIVLDANATAQLRNQGLASTDDSPKYGYKDNDSVVKAIYTGSEYVSAASGDEDFGLVLESTSFYAEQGGQIYDTGKIEGSFGSFNVTNVQVFAGYVLHMGSFTKGSKALSVGDKVACKVDYARRALIAPNHTCTHMLNFALREILGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDKLEVFAREIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPESKEWLSISTELCGGTHIKNTSEAEAFALLSEEGIAKGVRRITAVTAKHASDAIKDASSIDSEINEASKLEGAILEKTIASIKSKLDTALIPAARKADLKGRVSKLEDELRKAKKKMGEENIQKAVKFAIDAAQTALSEGKRFCVAHVDVGLDTSAIREAVIKDLPIMLFSTDEATNKAVIYAGVPPSAANSLKVLDWLTPSIAPLKGKGGGGKNGIAQGQGTEASQLKEAMEVATQIASMKLV >ONIVA10G04060.2 pep chromosome:AWHD00000000:10:4291422:4302296:1 gene:ONIVA10G04060 transcript:ONIVA10G04060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT1G50200) TAIR;Acc:AT1G50200] MLVVRRACCLLRLSSSSHPPATRVLPAAIYLTSSSSAAASAPAPPAMAASTEWPASRVRETFISFFESKSHTRWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDAPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGFAWELLTQVYKLPTDRIYATYFGGDEKFGLAPDTESKNIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNREADCTLRPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPIFDAIHQLAGNGIQSYSGKVGPEDVDKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLKAKQGFFSSLVHVFVQLMGDVFPELKDNEKKIKDIIKDEEESFENTLAKGFEKFKKAADAVKESGGTDAFVLWDTYGYPVDLTEVMGVDYGLSVDREGFDAAMEEARQKARNARFKAGENSIVLDANATAQLRNQGLASTDDSPKYGYKDNDSVVKAIYTGSEYVSAASGDEDFGLVLESTSFYAEQGGQIYDTGKIEGSFGSFNVTNVQVFAGYVLHMGSFTKGSKALSVGDKVACKVDYARRALIAPNHTCTHMLNFALREILGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDKLEVFAREIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPESKEWLSISTELCGGTHIKNTSEAEAFALLSEEGIAKGVRRITAVTAKHASDAIKDASSIDSEINEASKLEGAILEKTIASIKSKLDTALIPAARKADLKGRVSKLEDELRKAKKKMGEENIQKAVKFAIDAAQTALSEGKRFCVAHVDVGLDTSAIREAVIKDLPIMLFSTDEATNKAVIYAGVPPSAANSLKVLDWLTPSIAPLKGKGGGGKNGIAQGQGTEASQLKEAMEVATQIASMKLV >ONIVA10G04050.1 pep chromosome:AWHD00000000:10:4259556:4259747:-1 gene:ONIVA10G04050 transcript:ONIVA10G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEDDCLPNSTLCTDHEGFLFWDHVHPSQRSAQLTAATFYDGMSHFTTPFNFKQLVAKKMTD >ONIVA10G04040.1 pep chromosome:AWHD00000000:10:4254307:4256143:-1 gene:ONIVA10G04040 transcript:ONIVA10G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLPLAFSLFLAVISAYVLQLLADARRRLPPGPWPLPLIGNLHQLDHLPHRSLARLAARHGPLMSLRLGTVRAVVASSPEMAREVLQRHNADIAARSFGDSMRAGGHCENSVVCLPPRLRWRALRRLSTVGLFSPRRLDAMRALLEEKVAELVRRVSGHAARGEAVDVGHAAHVAALGVLSRTMFSVDLDPEAAREVSDIVDEASVLGTGPNVSDFFPAIAPADLQGVRRRMARLVKRMYAIIDEQIERRMHGRTAGEPRKNDLLDVMLEEGESKEDSNEINRDAIRGLFTDLFTGGETTSHTMECAMAELLQCPNSMRRVQEELKSVIGTKQQMDEHDITKLPYLQAVIKETLRLHPPVPLPPYEAEATVEIQGYTIPKGAKVLINLWAINRCANAWTEPDKFMPERFYDSDITFMGRDFQLIPFGAGRRICLGLPLAHRMVHLMLGSLLHRFTWTLPAEAGKNGVDMRERFGLTLSFVVPLYVIAQEIQ >ONIVA10G04030.1 pep chromosome:AWHD00000000:10:4236967:4242109:-1 gene:ONIVA10G04030 transcript:ONIVA10G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHLCISSLLLVFIISYIFQPLLDARRRFPPGPHRLPVISNLHNIGKNPHHAFARLADRYGPLMSIRLGGVRAVVATPADAAREILQLQRNNADITGRGGMDSWHACGYHANSSITLAGGTPVSVAREAFAAVAGVLWRSMFSEDMDAATTRQLRDVIEEAVVVAGAPNLSDYFPVIAAADVMGVRRRMDNLVGWVYGIIDVQIDRRRRRRIVCEPRKNDLLDVAFDMEGEVESEGWVMNQDTMRGMFMDLLVAGSSSTQVQ >ONIVA10G04030.2 pep chromosome:AWHD00000000:10:4236399:4236958:-1 gene:ONIVA10G04030 transcript:ONIVA10G04030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLQNPKSMIQLPEELKGLIGTKTHVAESDISQLPYLQAVIKETLRLHPTVPIAFNKAEATIWDDLDKFMPYRFLGRDINFLGTNFEFIPRDAACLHLMLASLLHRFEWTIPDEVKGDDLDMAEEFGLVLSMAKPLRAVAKET >ONIVA10G04020.1 pep chromosome:AWHD00000000:10:4203267:4203794:1 gene:ONIVA10G04020 transcript:ONIVA10G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSTACVPEAEVIDLESVECRSGATMAKSMGHSSGFHPKQQQGHRKISLSPQSKGSY >ONIVA10G04010.1 pep chromosome:AWHD00000000:10:4198855:4199194:-1 gene:ONIVA10G04010 transcript:ONIVA10G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLSSEWWRGDPSVRGGVVMHPGEGDWRRAALLLKVPNLKAGAGGHSSLEPVRVAAAGYVVHGVPHGRFREHASRWPAAVVALKQRSSRKGGEGCFPPCAAATVFRPGD >ONIVA10G04000.1 pep chromosome:AWHD00000000:10:4188531:4190872:1 gene:ONIVA10G04000 transcript:ONIVA10G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYESGHAVPRWRQRHRCDFDGRRMIGMTAHPKKDPPFLTYIWFDKAGNKADDVPIFSLQQPSMLHDFAITEHYAIFPESQLVMCPMNMALRGGSLIGLDSAMVQRIGVLPRYAEDESEMRWFKVPGFNMLHTANAWEEANGEEIILVSTNNLSVTHAWQHGTHASSG >ONIVA10G03990.1 pep chromosome:AWHD00000000:10:4179458:4181264:1 gene:ONIVA10G03990 transcript:ONIVA10G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKGGAAASSSSSKKPKAKPKQHGGGTAASGEERVAASAPSPPAPPSPPRRRWRAPLSPPLGRPPLAPFAEIASGSSASGSRAVSPPAGRQIRRGRGTPAEGERDAGGGGEGRRRCVGGAGGALERAVFLWPGWGRQGEIGEELPDPLSSDAMNAPSMFTLNQPLEGVCHHFAG >ONIVA10G03980.1 pep chromosome:AWHD00000000:10:4179268:4184904:-1 gene:ONIVA10G03980 transcript:ONIVA10G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIRALHNMDDDEVFAYANRIAAPYDLVMQTKQLGRLPVVQFATGNWSHPSLSSLFTSSPISPCLPQPGHKKTALSSAPPAPPTHRRRPSPPPPASLSPSAGVPLPLRIWRPAGGDTAREPEADDPEAISAKGASGGRPRGGLSGARQRRRGGDGGAGGDGAEAATLSSPEAAVPPPCCFGFALGFFDEEEDAAAPPFSLPMARARLSLSPSGQRLLTRRRRQAARSSPGGEAAPGVGLVCFFSASTVGRLQCKKASAAIAPPV >ONIVA10G03970.1 pep chromosome:AWHD00000000:10:4172349:4178896:1 gene:ONIVA10G03970 transcript:ONIVA10G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT5G17250) TAIR;Acc:AT5G17250] MAAPGSHTRRRAWPLLFLAVLMFHSLAIYLFTRGFLLTRTELDVHSHRDDRIGISPGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFQERQPWMDKLQVLQRLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQFAKNGKRVVMMGDDTWIQLYPEHFNKSYPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNRILEDVIDTLKSLSTSGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPNAVLSVLGKNLCNADLHGKEVCVSTMQQLDFAVTIAALLGIPFPFGSIGRVNPELYALSAGTWDNQQIGANDCTQQNDLEAWMRRYAEALCINCWQVKRYIDRYSATSVIGFRAEDLNHVADLYSKAQANWSSVLRSTCPVETSSQDELKECANKECTSSALRLQIDAYSDFLESFAKLARSAWTEFDLWLMGIGLSVMILSVSTQACMLVKLNIDQISEKERASSSFIPKNFFAFALVAIRAAMAEGRVANFLLATSCIASVWHSATKGKFIIEVNILITINAPNFPEFVFLLLNIFIRFGIEFGMSKQISGSIISNDHPVSIICGLFGSSFCSDLMEIFPIISLTLVAYIILKCISYAISHRFLKYSVMSGSILSYIFIAIHWASESTLLSHTKATRETGISLAPRLVYTIGGLSLAISAFYRLFGSTDHLKMNERITSLSAVMLCSWSPTILILLGRQGPFVALICMTIAWCIIKLQQKNQRELKLDKGIHAADSVSVTQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPVLSLPFIAICWYNSASKNSKVNDATVTRLIQVWGLFAPKYVFDAIGLLLTDLLVVLASIYYS >ONIVA10G03970.2 pep chromosome:AWHD00000000:10:4172349:4178896:1 gene:ONIVA10G03970 transcript:ONIVA10G03970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT5G17250) TAIR;Acc:AT5G17250] MAAPGSHTRRRAWPLLFLAVLMFHSLAIYLFTRGFLLTRTELDVHSHRDDRIGISPGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFQERQPWMDKLQVLQRLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQFAKNGKRVVMMGDDTWIQLYPEHFNKSYPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNRILEDVIDTLKSLSTSGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPNAVLSVLGKNLCNADLHGKEVCVSTMQQLDFAVTIAALLGIPFPFGSIGRVNPELYALSAGTWDNQQIGANDCTQQNDLEAWMRRYAEALCINCWQVKRYIDRYSATSVIGFRAEDLNHVADLYSKAQANWSSVLRSTCPVETSSQDELKECANKECTSSALRLQIDAYSDFLESFAKLARSAWTEFDLWLMGIGLSVMILSVSTQACMLVKLNIDQISEKERASSSFIPKNFFAFALVAIRAAMAEGRVANFLLATSCIASVWHSATKGKFIIEEFVFLLLNIFIRFGIEFGMSKQISGSIISNDHPVSIICGLFGSSFCSDLMEIFPIISLTLVAYIILKCISYAISHRFLKYSVMSGSILSYIFIAIHWASESTLLSHTKATRETGISLAPRLVYTIGGLSLAISAFYRLFGSTDHLKMNERITSLSAVMLCSWSPTILILLGRQGPFVALICMTIAWCIIKLQQKNQRELKLDKGIHAADSVSVTQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPVLSLPFIAICWYNSASKNSKVNDATVTRLIQVWGLFAPKYVFDAIGLLLTDLLVVLASIYYS >ONIVA10G03960.1 pep chromosome:AWHD00000000:10:4168447:4171942:1 gene:ONIVA10G03960 transcript:ONIVA10G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGAGGAMKGGKLGMEEARELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >ONIVA10G03950.1 pep chromosome:AWHD00000000:10:4156283:4164279:1 gene:ONIVA10G03950 transcript:ONIVA10G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLYAFADIAADGAPHLNSAAGEELVRVERAAAVALGSRAPEPPGTLFITTSEVEKGKAYAVDFLAVSLHAVSRDPEAYSSPCIYTQIETEDGSDEESDESDSEVFTLEEVSVDGLFEAFSHCAELNPDPNAESDEENGWAHRDEGDEDMTGGSDAECEFSDVNPIGQTDEHDITHAVVETFVHDELLLG >ONIVA10G03940.1 pep chromosome:AWHD00000000:10:4146586:4148388:-1 gene:ONIVA10G03940 transcript:ONIVA10G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGAGAGGGGRPWPRLGKMPAAALFAKQVVTGRWFMMLACMVIMSASGGTNIFSIYSGALKSSLGYDQRTLNTLSFFKELGANAGIVSGLVAEVAPPSAVLAVGACMSLAGYLVVYLAVAGRVARPPLWLMCACISAGADSQAFANTGALVTCVKSFPESRGVVVGLLKGFAGLSGAVLPQLYLAIYGGGHDAGSLILLIAWLPAAISLVFLRVVRVMPHRPTNGRVGGGGSNGPIFSFLYISFAVASYLLVMIVLQKTISFSHDAYAATAIVLLLILLLLPLAVVIRQELRIRREADVQETLPAAAPPPQPVVETPPPPPASTCGVGSCLKRTFNPPAHGEDYTIPQAALSVDMVVLFVCVICGAGGSLTAIDNMGQISQSLGYPARSVNTFASLINIWMYAGRAGVGSLSELLLSRYRFPRPLMLTLVLVVSSAGYLLIALGVPHGLYAASVVVGFSFGGLYTLLFSIVSEVFGLKYYATLYNLGMVASPIGAYIFNVRVAGALYDAEAARQNGGGGAAGHRACAGVRCFRASFLIVTAATFFAVIVSLVLVWRTRGFYRGDIYARFKVAAPAPSAEGHRGEVTPEEASGTKLHGST >ONIVA10G03930.1 pep chromosome:AWHD00000000:10:4139092:4144577:1 gene:ONIVA10G03930 transcript:ONIVA10G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G12230) TAIR;Acc:AT4G12230] MKGGGGAAAASAAPGDYVYFKSVVPLHKISIGPKLWRYYDFGPKTVPPLVCIPGIAGTADVYYKQIMSLSMKGYRVMSIDVPQVWNHQEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAATPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLSSRLMLNVNVASVGSLMLPDSLITIMDTNDYSAVPQQLKDQVNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRNGSAGSSKDQKDGGNNFDNHPGDNGGPGSGGHDHETQNSGSESHDSDESIPTSTMLANTILELAL >ONIVA10G03930.2 pep chromosome:AWHD00000000:10:4139092:4144578:1 gene:ONIVA10G03930 transcript:ONIVA10G03930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G12230) TAIR;Acc:AT4G12230] MKGGGGAAAASAAPGDYVYFKSVVPLHKISIGPKLWRYYDFGPKTVPPLVCIPGIAGTADVYYKQIMSLSMKGYRVMSIDVPQVWNHQEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAATPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLSSRLMLNVNVASVGSLMLPDSLITIMDTNDYSAVPQQLKDQVNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRNGSAGSSKDQKDGGNNFDNHPGDNGGPGSGGHDHETQNSGSESHDSDESIPTSTMLANTILGTVSSTLQASLCVLLIHHYYESALYISSRQPLDV >ONIVA10G03920.1 pep chromosome:AWHD00000000:10:4131146:4135955:-1 gene:ONIVA10G03920 transcript:ONIVA10G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDGVRLGAAAQGGRVGLGRVERRHEVAAIGKAPRRREAAAWSRGAAAGQAGRCGAGSKKSKVWNQGSASASTHASGNAAVEMEEEEEEEEELTAGILSGARMEGEHTAGLHAMAVVAAATSYKRTRWGRLRPMRMWSALQGRRMVTLRRTLSGRSLSMAADAAVFAPRHTRVSAARRRLCLPAAIAVPLSPTRCSHLAGSLPLDASAAAPQCQMPLPPLHAVVPTAAPVVKRKVGGEKERSER >ONIVA10G03910.1 pep chromosome:AWHD00000000:10:4128431:4130609:1 gene:ONIVA10G03910 transcript:ONIVA10G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSLQEGDRSTRQEIVSVAMARIWRVRRRRGADIDIEAVRRRRELPRLASLCLREVLLVLQRFNAIVADCSVRSRMWLKASVLSLIQEIEPPAPPSDFRCPISLDLMRDPIVVASGQTYDRDSSGRWSGAERRRHPATPRGKMREEEREEGKGRVCADVVF >ONIVA10G03910.2 pep chromosome:AWHD00000000:10:4128329:4130609:1 gene:ONIVA10G03910 transcript:ONIVA10G03910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLSHRGSHKSGRPRQPPLYPFFSPFVLSLTTAGQRAGRTPTGEEGVGGRERAADESCSERAGGGAEQRRQASERSSDGELLRSLHRLARDLSAVDTPAPFLRALFASISRRSKLLAAALDDLRGAAEELPQPADIEAVRRRRELPRLASLCLREVLLVLQRFNAIVADCSVRSRMWLKASVLSLIQEIEPPAPPSDFRCPISLDLMRDPIVVASGQTYDRDSSGRWSGAERRRHPATPRGKMREEEREEGKGRVCADVVF >ONIVA10G03900.1 pep chromosome:AWHD00000000:10:4124822:4125142:1 gene:ONIVA10G03900 transcript:ONIVA10G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMRRKMAVVVMSVLMMAAAAAANYAAEPEEDCATQTTYFTNCLRRGIREGCCGVVKNHWCLCQVKREAEVKCIPGRRCDVPKALKIADMDLPCMRNLRCSKHA >ONIVA10G03890.1 pep chromosome:AWHD00000000:10:4111697:4115552:1 gene:ONIVA10G03890 transcript:ONIVA10G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIPKLTANELRRQRDRERYVALSVEEKAARVQKNRENRKRKKSASTSGTGVLGDIKNLSLHNGSQTVDCQPSFQPVPSSTPVSSCTPVCRNRQT >ONIVA10G03890.2 pep chromosome:AWHD00000000:10:4111584:4115552:1 gene:ONIVA10G03890 transcript:ONIVA10G03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSSSPRFSSPRLSPTVLPPMLLLLHPIPPRIPRSRRRRVVPFRRRRHTPPMPSLEPISSPSAGTAIHITGSQVLAGTDFFPFRRATVAAIHFTGSSCADWFPSDLSRN >ONIVA10G03890.3 pep chromosome:AWHD00000000:10:4111697:4115552:1 gene:ONIVA10G03890 transcript:ONIVA10G03890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVTNQSSNHALLWMIDYEISSPVVELESPVKTSSRRREASQLRRDARHPLTAAVLPFNAPDVELAAGFRPYDVILFSGEPRFGTR >ONIVA10G03890.4 pep chromosome:AWHD00000000:10:4111562:4115552:1 gene:ONIVA10G03890 transcript:ONIVA10G03890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSSSPRFSSPRLSPTVLPPMLLLLHPIPPRIPRSRRRRVVPFRRRRHTPPMPSLEPISSPSAGTAIHITGSQVLAGTDFFPFRRATVAAIHFTGSSCADWFPSDLSRN >ONIVA10G03890.5 pep chromosome:AWHD00000000:10:4111697:4115131:1 gene:ONIVA10G03890 transcript:ONIVA10G03890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIPKLTANELRRQRDRERYVALSVEEKAARVQKNRENRKRKKSASTSGTAIISTCAFLHTRVFLHTCLQKPTNLRKYFLRHTCRILLHAARAMSQACPSLSRGNGLRVLQSASLHA >ONIVA10G03890.6 pep chromosome:AWHD00000000:10:4112788:4115552:1 gene:ONIVA10G03890 transcript:ONIVA10G03890.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVTNQSSNHALLWMIDYEISSPVVELESPVKTSSRRREASQLRRDARHPLTAAVLPFNAPDVELAAGFRPYDVILFSGEPRFGTR >ONIVA10G03890.7 pep chromosome:AWHD00000000:10:4111584:4115131:1 gene:ONIVA10G03890 transcript:ONIVA10G03890.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIPKLTANELRRQRDRERYVALSVEEKAARVQKNRENRKRKKSASTSGTAIISTCAFLHTRVFLHTCLQKPTNLRKYFLRHTCRILLHAARAMSQACPSLSRGNGLRVLQSASLHA >ONIVA10G03890.8 pep chromosome:AWHD00000000:10:4113975:4115552:1 gene:ONIVA10G03890 transcript:ONIVA10G03890.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDAAAAVSSKAAAVLAELAIPIAKHHHWRRQPQSASKKMMIDYEISSPVVELESPVKTSSRRREASQLRRDARHPLTAAVLPFNAPDVELAAGFRPYDVILFSGEPRFGTR >ONIVA10G03890.9 pep chromosome:AWHD00000000:10:4111585:4112177:1 gene:ONIVA10G03890 transcript:ONIVA10G03890.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSSSPRFSSPRLSPTVLPPMLLLLHPIPPRIPRSRRRRVVPFRRRRHTPPMPSLEPISSPSAGTAIHITGSQVLAGTDFFPFRRATVAAIHFTDHWRL >ONIVA10G03880.1 pep chromosome:AWHD00000000:10:4111288:4111916:-1 gene:ONIVA10G03880 transcript:ONIVA10G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIAVPAEGEEIGSSEGIGGVWRRRRKGTTLRRRERGMRGGIGWRRRSIGGSTVGLRRGEENRGEEEREFIAREGGSGQSVADGWTSLADGWRIWIRTDADGRIDIASTEPRAGLWLPSTASVKDKEGYLAFALVNKATSQAIKHSL >ONIVA10G03870.1 pep chromosome:AWHD00000000:10:4100499:4103542:-1 gene:ONIVA10G03870 transcript:ONIVA10G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPSCRHSLDVYKKDVRANAGAAVHLFPAVHLSTPLSLHTIINCCSCRTCTLNRYPPQSSMPITSQWQGEFVLYAQGTRMVHPMPQMPRPMSQVVPPKALDLGGSPMHPPTNGTNPWQGQYMDYAGTLTTVIVNHYILAALFYDT >ONIVA10G03870.2 pep chromosome:AWHD00000000:10:4103546:4104404:-1 gene:ONIVA10G03870 transcript:ONIVA10G03870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLATLAHLTVLLQIERFCCIGVSFFMRRHFLNLLLPSLHTEIAGDLVREAKTLWEKFRDGIVGTNHEVMATVDFLREKSK >ONIVA10G03860.1 pep chromosome:AWHD00000000:10:4099909:4100455:-1 gene:ONIVA10G03860 transcript:ONIVA10G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARYDFQWWSAGLYGFVETRLPPKTNVRTSSYDKMCSLGGGSSSTDEVGGLEGNDNNQHRINHERREPNRVSLLDPDMPQVQGNRQRKCKLIVLGLRLTTTMSSTEHDVFVQYSICCA >ONIVA10G03850.1 pep chromosome:AWHD00000000:10:4069019:4071433:-1 gene:ONIVA10G03850 transcript:ONIVA10G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGRQPCKREQELGWESFHPSNTHQLFDEMSSPLEVFEEDVLLVMSEENITWDEALHLLQEELKDAQCRFDEKLDRFLEVFGLMGDKSNQSEEDKRSNESEEFSASIKELTPTTEAAAFQSPQASPSSAPTKCSIICFSLDTMSDLNMAAAVVCATTSLASMELVAGGNATCEPYVDTPGHPKETHVKCSMVGLEVKGGTDHTRLAQSKRGPSKQKEQHTKATATALLEGIEQNTGIGGFGHGGGVLVPGWNLGDISLFYLSSYLQLPLLVLEASRTCIP >ONIVA10G03840.1 pep chromosome:AWHD00000000:10:4067755:4075484:1 gene:ONIVA10G03840 transcript:ONIVA10G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLDLGLLNTSRAGPLRCPIAGAVESGTRVFAELDDLDTAAFESPSAEEEEATKCPQSVMHSADEFHGRGRMVELSCGLTLGSHITVVAMPRRAHAEGDPKIAVLSKGEQPIMVSQFMMELRGLKTVDGEDPPHILHFNPRLRGDWSSRPVIEQNTCYRMQWGAPLRCEGWKSHSDEETGWGPLQFQRSKESTTTWLNRLIGQKEMNFDWPYPFVEGRLFVLTISAGLEGYHVNVDGRHVTSFPYRPGFVLEDATGLSLSGDLDVQSGPYPLHTQALPQSYLDMSTVWQSSPLPNEPVDIFIGILSSGNHFAERMGVRKTWMSAVRNSPNVVARFFVALVHVVSARYVMKCDDDTFVRLDSIITEVNKVQSGRSLYIGNINFHHRSLRHGKWAVTYEEWPEEVYPPYANGPGYVISSDIAGAIVSEFRDRKLRVLSYSFLSGSATDRDESDGRRAAFVQVRSSVVAPRRQFNACWDWHLPDADDSGCVPAPDPSLILGKREKEKGMQAGRQALLLSLASSVTGGDAGEL >ONIVA10G03840.2 pep chromosome:AWHD00000000:10:4067755:4074298:1 gene:ONIVA10G03840 transcript:ONIVA10G03840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLDLGLLNTSRAGPLRCPIAGAVESGTRVFAELDDLDTAAFESPSAEEEEATKCPQSVMHSADEFHGRGRMGDPKIAVLSKGEQPIMVSQFMMELRGLKTVDGEDPPHILHFNPRLRGDWSSRPVIEQNTCYRMQWGAPLRCEGWKSHSDEETGWGPLQFQRSKESTTTWLNRLIGQKEMNFDWPYPFVEGRLFVLTISAGLEGYHVNVDGRHVTSFPYRPGFVLEDATGLSLSGDLDVQSGPYPLHTQALPQSYLDMSTVWQSSPLPNEPVDIFIGILSSGNHFAERMGVRKTWMSAVRNSPNVVARFFVALVHVVSARYVMKCDDDTFVRLDSIITEVNKVQSGRSLYIGNINFHHRSLRHGKWAVTYEEWPEEVYPPYANGPGYVISSDIAGAIVSEFRDRKLRVLSYSFLSGSATDRDESDGRRAAFVQVRSSVVAPRRQFNACWDWHLPDADDSGCVPAPDPSLILGK >ONIVA10G03840.3 pep chromosome:AWHD00000000:10:4067755:4074298:1 gene:ONIVA10G03840 transcript:ONIVA10G03840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLDLGLLNTSRAGPLRCPIAGAVESGTRVFAELDDLDTAAFESPSAEEEEATKCPQSVMHSADEFHGRGRMVELSCGLTLGSHITVVAMPRRAHAEGDPKIAVLSKGEQPIMVSQFMMELRGLKTVDGEDPPHILHFNPRLRGDWSSRPVIEQNTCYRMQWGAPLRCEGWKSHSDEETGWGPLQFQRSKESTTTWLNRLIGQKEMNFDWPYPFVEGRLFVLTISAGLEGYHVNVDGRHVTSFPYRPGFVLEDATGLSLSGDLDVQSGPYPLHTQALPQSYLDMSTVWQSSPLPNEPVDIFIGILSSGNHFAERMGVRKTWMSAVRNSPNVVARFFVALVHVVSARYVMKCDDDTFVRLDSIITEVNKVQSGRSLYIGNINFHHRSLRHGKWAVTYEEWPEEVYPPYANGPGYVISSDIAGAIVSEFRDRKLRVLSYSFLSGSATDRDESDGRRAAFVQVRSSVVAPRRQFNACWDWHLPDADDSGCVPAPDPSLILGK >ONIVA10G03830.1 pep chromosome:AWHD00000000:10:4065288:4065698:-1 gene:ONIVA10G03830 transcript:ONIVA10G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYAASVLGVGGSWHRQPSAWQRQLCGAARRRSSALNAATLGVSGPRRGGGGSRRGMRGAVVAAMRGCAASVLGVGVGGGGPRCRICGAAAVAMRSRASSVLGVSRRPARPEEARHVFGPCLGLLFSTSAWYGPF >ONIVA10G03820.1 pep chromosome:AWHD00000000:10:4051625:4054085:1 gene:ONIVA10G03820 transcript:ONIVA10G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSWALTMDFTKDHLDAVPEADPSATRALSAVAAAATIPILQPSNGRSPQRKPAGGVDGRGGEASAGDGRTAADGQKEEEESAGRRGAGRRWAESTAACSVGGGGGLSVGIGVGSGAGLLFLVFGARLATREIKHRRAKRVKQKFFKQNRELEKATNNFDKSRKLGGGGHATVYKGILSDLHVVAIKKSKEAIQREIDEFINEVAILSQINHRNVVKLFGCCLETKVPLLVYEFISNGTLYEHLHVDGPISLLWEDRLRIATETARALAYLHWAVAFPIIHRDIKSHNILLDSTFTTKVSDFGASRCIPVDQSGVTTVVQGTRGYLDPMYYYTGRLTEKSDVYSFGVILIELLTRKKPFSYRSPEGDSLVAHFTSLLADSNLVDILDPQIIEEGGKRMMEVAALAAVCVKLEAEERPTMRQVEMSLESLGGSLQEHTTGLIATESRRIRHVAEENYPTREGTGNEEASRQYSLEVEYLLSSRYPR >ONIVA10G03810.1 pep chromosome:AWHD00000000:10:4035749:4040402:1 gene:ONIVA10G03810 transcript:ONIVA10G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPWSVAAAAVALLLLSPGENQMVRVSAQPTKTRCAAGVVDTCGDVGVPYPFGIDGGSCSFLPGFNLTCDRTKQPHRLFLGDGSHLQVTEISLANYTVRVLNGVGTVNFTFAGHNDSTAKWAGVGVGQDDGPYIVSEEHNQLVVTGCNIMASLLGNSGSNVIIGCSSFCSITDWWGADPIVHSGAGGACSGLGCCDVNITIGRPSYDLQLRWLDWDHNYDDLLPIAVRIAERGWFDGMSTKLLRKNSRSAVPVPVVLEWAVASVHKPPTPVDVNSTCPKDPARSECRSSNSFCRNIANMYRSGYVCKCDDGYQGNPYLTGGCQDIDECSLPGKCFGECTNTPGNYSCRCPRGARGNPYTKDGCIKFPLGQHRPGLQRKWIGAGWICFGVSPNGPFSRLLGMLMRNQRGGRDGITLNRNASDAGAKRNVNFSGGGVYRHGMAPSCGSYTASACPIYVESGTAGVVIGLWCCRCKQAARALAMAVVVATNVEATIWQRQSWKS >ONIVA10G03810.2 pep chromosome:AWHD00000000:10:4035749:4040402:1 gene:ONIVA10G03810 transcript:ONIVA10G03810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICPWSVAAAAVALLLLSPGENQMVRVSAQPTKTRCAAGVVDTCGDVGVPYPFGIDGGSCSFLPGFNLTCDRTKQPHRLFLGDGSHLQVTEISLANYTVRVLNGVGTVNFTFAGHNDSTAKWAGVGVGQDDGPYIVSEEHNQLVVTGCNIMASLLGNSGSNVIIGCSSFCSITDWWGADPIVHSGAGGACSGLGCCDVNITIGRPSYDLQLRWLDWDHNYDDLLPIAVRIAERGWFDGMSTKLLRKNSRSAVPVPVVLEWAVASVHKPPTPVDVNSTCPKDPARSECRSSNSFCRNIANMYRSGYVCKCDDGYQGNPYLTGGCQDIDECSLPGKCFGECTNTPGNYSCRCPRGARGNPYTKDGCIKFPLVLDRGG >ONIVA10G03800.1 pep chromosome:AWHD00000000:10:4033839:4034432:1 gene:ONIVA10G03800 transcript:ONIVA10G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSSPWRRPRRKGKPLTMAVACCGARRQRRPRLHPVTHAWHRRGTPRRRERRPPVRAGWPRRRRLAIGEPSSCSGWPARPAMRSSMSSWPKSSTRPGSSWLRLQAAAAAVSPSSQAATVSALYFAAGAAFLLLAAWFIASYMASGTVPALPLLLAHNSHMFAGGERGILVS >ONIVA10G03790.1 pep chromosome:AWHD00000000:10:4031502:4031936:1 gene:ONIVA10G03790 transcript:ONIVA10G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDEPWWLMAVAGERWPTALEAKALLQASLLDAGQHLNQQGGPTLAHLAPYGWPQRIGWSPLEVVSYFSFARHIY >ONIVA10G03780.1 pep chromosome:AWHD00000000:10:4006084:4021727:-1 gene:ONIVA10G03780 transcript:ONIVA10G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNKPVDEGDEQALPDLNDEIAEVEIHLGQEEDQLGVISSMRIHGGGGSWLASLARSRTRQRHSKPMLGCLCSICSGPCLCWPSMNRPIGQMGHGGTAQVPALD >ONIVA10G03770.1 pep chromosome:AWHD00000000:10:4003613:4003927:1 gene:ONIVA10G03770 transcript:ONIVA10G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVALAVLVVAVAAALLAVAPAPARAVCNMSNDEFMKCQPAAAATSNPTTNPSAGCCSALSHADLNCLCSYKNSPWLSIYNIDPNRAMQLPAKCGLTMPANC >ONIVA10G03760.1 pep chromosome:AWHD00000000:10:3997925:3999231:1 gene:ONIVA10G03760 transcript:ONIVA10G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPNGSSSHDGRGQPRRMETVGKDVDRCGFLSLLLRGTLSMVMEGATNEASIGSDVMVSHHAPCRSVCSRRITGSGVHKLAAVRTGILQLVVAGFGRPDGVSGGNARRP >ONIVA10G03750.1 pep chromosome:AWHD00000000:10:3980979:3991545:1 gene:ONIVA10G03750 transcript:ONIVA10G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78915) TAIR;Acc:AT1G78915] MGAAGLPAPGCLQKFGHIVDAERFVLNMSNRGIHKALSFQCFASDGRGFGAGSTNRRKIKSKKRQKDVAQEPSKVISGGSKNRDQWALDLGTRRESKYAKTVMDKQFLEKVEAVRRSALEKKKADENKNYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFAFGDFLPYGSVSPSKESTVVSKQLSEEEIQNFKTALEGFEATLRTSPNDPTALEFFAELVAWNTFLKPYLIRPQDGDSFFPNIATNGMRHTFFDKTMAKSGICNQIALYINLMVYCSCSQTGAAVSLVELGEYQKASEFLEKLVKVIPDKVEAYRLLGEVKFELKDYDGSSSSYRKSLSASENIDFEVLRGLTNALLAAKKPDQAVDVILSCRQKLNEKSQTQVANLAAANDDGAPKSQYIDLIQKGYNNLNINGVKDNELRSRKLMRLNVGSGLTRSILEQLVDLLLGKAYSDWGHISDAVAVYENLITEHPEDFRGYLAKGIILKENGKSGEAERMFIQKNLKL >ONIVA10G03740.1 pep chromosome:AWHD00000000:10:3951437:3955223:1 gene:ONIVA10G03740 transcript:ONIVA10G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSGVGGAKCLAGARVDLVEKPQPLSTSVSITPSAYLAIVGAAPLPTSSPPTSPFPDCLPVRIPAATGVTAVGSGHHSCCDGLGAGSGERRTAMVMLYIRVPICPTPDLLPQIEGT >ONIVA10G03730.1 pep chromosome:AWHD00000000:10:3905992:3908148:-1 gene:ONIVA10G03730 transcript:ONIVA10G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTPFTSIRSTRATIDELCSAKAAAMAVAAKGEEMTPEHEEEVIIVGAGQSGLAAAACLSVRGVASCLVLERDDCVASLWRHRAYDRLRLHLPKRHCALPRAPHAAAAPDYLPRDDFAAYLDAYASRFGVRTRLRREVRSARHDAARARWLVDAVDLATGKAERYAARHLVAAAGENDERVVPEVPGMDTFPGKVVHSADYRSAGAFKGRSVLVVGCGNSGFEIAYDLAAGGAAAVSIAVRGEVHLVSREVWSVGMALQRYLPTWAVDKVVLLMCAVVFGGDTARYGLRRPAVGPFAMKMTTPAYPVFDVGTFAKIRSGEIRVVPAGIKSVRGGDVEFADGRRHAFDAIVFATGYRSTTKQWLKSDDGLIGDDGMAGRSYPNHWKGENGLYCAGMVRRGIYGSGEDAELIADDISKQMKRRSSEPVHNGHISNGSP >ONIVA10G03720.1 pep chromosome:AWHD00000000:10:3900925:3901353:1 gene:ONIVA10G03720 transcript:ONIVA10G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRACCSTRRWAAHCVEQPARGGDAGAAEHEQAEAVRHATALNVAASLGGGGATLPATGRRARWKRAPGPRHGARTATKSGFRACEAVERRVMAATRAAPWQAHRVALGPRAVAVAVAAVAAAASWRALALSRPIALDLTG >ONIVA10G03710.1 pep chromosome:AWHD00000000:10:3894353:3894838:-1 gene:ONIVA10G03710 transcript:ONIVA10G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVAAGGDSGWSSGSATFYGGSDASGTMGGACGYGNLYSAGYGTSTAALSTALFNNGQSCGACFEVRCGGGGSCLAGTVVVLVTNVGGAGDVTAVSVKGSRSGWQAMSHNWGANWQNGANLDGQPLSFRVTASDGRTVTSDNVAPSGWSFGQTFSGGQF >ONIVA10G03700.1 pep chromosome:AWHD00000000:10:3876888:3883055:1 gene:ONIVA10G03700 transcript:ONIVA10G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWILGWLLWLPVFLISLYLVDILAHSCRRLPPGPRPLPFIGSLHLLGDQPHRSLAGLAKKYGPLMSLRLGAVTTVVVSSPEVAREFVQKHDAVFADRSIPDSIGDHTKNSVIWLNPGPRWRALRRIMATELFSPHQLDALQQLRQEKVAELVDHVARLARESAAVDVGRVAFATSLNLLSRTIFSRDLTSLDDRGASREFKQVITDIMEAAGSPNLSDFYPAIAAVDLQGWRRRCARLFTQLHRLFDDEMDHRKLHSRHGGPGENGKEKDDFLEVLLRLGARDDDIAGLDGDTLRSLFIDLFAAGSDTSSSTIEWAMVELLKNTLSMGKACDELAQVVGSRRRIEESEIGQLPYLQAVIKETLRLHPPVPLLPHRAKMAMQIMGYTIPNGTKILINVWAMGRDKNIWTEPEKFMPERFLDRTIDFRGGDLELIPFGAGRRICPGMPLAIRMVHVVLASLLIHFKWRLPVEVERNGIDMTEKFGLTLVKAIPLCALATPT >ONIVA10G03690.1 pep chromosome:AWHD00000000:10:3862947:3864161:1 gene:ONIVA10G03690 transcript:ONIVA10G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDTSKQLLPTRASSSSRFGGKVGSNIAVVLLLVSLGFVLGLTSSNAMFLKSFYPSSLMPSSMAPLRLVLSSTSTSPSPPSPPPPPPPPPPQQPAPMHSMGDEELFWRASMAPKSRRRLPDGVVPKKVAFMFLVRGELPLRPLWEKFFEGQRADHYSIYVHAHPSYSFTGSPESVFHGRYVPSKAAKWGDASLVEAERRLVANALLDAGNSRFVLLSEACIPVYDFATVHAYLTGANTSFVDSFENGGSRSRYREFFAGRNITLARWRKGAQWFEMDRALALEVAADDELCFPAFRDFCVGRRECLIDEHYLATLVTMLGWGRRNANRTLTYADWSRPVNRHPHTYTAEEVTEKVIGGIRADKRCSYNGASSGGICNLFARKFPPETLQPLLRLAPKVMGFG >ONIVA10G03680.1 pep chromosome:AWHD00000000:10:3843468:3854475:1 gene:ONIVA10G03680 transcript:ONIVA10G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPWLPWLLAALLSVYLLDLLAHSRRRLPPGPRPLPLIGSLHLLGDRPHRSLAGLAKMYGPLMSLRLGAVTTVVVSSPDVAREFLQRHDAAFASRSVPDATGDHATNSVAWLPNSPRWRALRRIMAAELFAPHRLDALRRLRREKVQELVDHVARLAEREGGAAAVDVGRVAFATSLNLLSSTIFSRNLTSLDDHGESMEFKEVVVEIMEAGGCPNVSDFFPAIAAADLQGWRRRMACLFARLHRVFDAVVEERLSERDAGEARKGDFLDVLLDVAARDNDSAGLDRDTLRAPPGAPAGRGATHLAVSRTRRSPPGHLHPSHSIPPSPLDLFAAGSDTSSSIVEWAIAELMRNPLCMIRACDELSQAIGSGTNIEESDIGQLPYLQAVVKETFRLHPPVPLLLPRQAETTTNIAGYTIPKGARVFVNVWAIGRHKDTWSQPEKFMPERFFERNIDFRGVHFELIPFGAGRRICPGLPLANRMVHLVLGSLLNQFKWNLPVDIERNGIDMSEKFGLTLVKAIPLCALVTPISVESGDH >ONIVA10G03670.1 pep chromosome:AWHD00000000:10:3807400:3807687:-1 gene:ONIVA10G03670 transcript:ONIVA10G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVYSAGLPTPEQLVYWDGDFSKAPEVMYGDGDGAVNLVSVLALNMVVGHDPEQGFFKAVKIMNATHSGIITDEFALKRVISEILEANRATYDK >ONIVA10G03660.1 pep chromosome:AWHD00000000:10:3803357:3804730:-1 gene:ONIVA10G03660 transcript:ONIVA10G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLPRLLPLLLFLPFFHIAASTSPSGLHPVVLLPDTTCSQLEARLTDAYVPPTPQCAARHKDAGGRWFRLWKNTTELDDPAVAPCVADQLRLVFDHVAGDYRDVPGVETRVLHFGSTRGFLADEPADRSARAATRSPTLIIIVKSSHGLYMSRNRCMGRLVEALEEVGYRHGENLFGAPYDFRQSPAALGQPCRAFSRYRQRLRALVEHASSANGDRPVVLVSHSEGGYFALEFLNRSPLPWRRRHIKHFVMASTGAGGFVKFMEVVASCVSDVSPLARVRRSVPSKFTPLPSPTVFDRDAPLVVTRDKNYTAHDMPAFLAAAGLPEFEVTLYETRELPMAMNFRAPVVPTTCINGIGVPTAEKLVYWDGNFGEAPETVYGDGDGLVNSASILALDTVIGDDPMQQYYKSIKIAGMYHAGVISDGVALERLISEILRESFVQDSKKVDYRRVAQL >ONIVA10G03650.1 pep chromosome:AWHD00000000:10:3798336:3801468:-1 gene:ONIVA10G03650 transcript:ONIVA10G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQ08] MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEDNRRALRELLFCTPGALQYISGVILFDETLYQKTKDGKPFVDVLKEAGALPGIKVDKGTIEVAGTDKETTTQGHDDLGKQCAKYYEAGARFAKWRAVLKIGPNQPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAYVSEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDAKKVAPEVIAEYTVRTLQRTVPPAVPAIVFLSGGQSEEEATLNLNAMNKLSAKKPWSLSFSFGRALQQSTLKAWAGKTENVEKARAAFLVRCKANSEATLGTYKGDAVLGEGAAESLHVKDYKY >ONIVA10G03640.1 pep chromosome:AWHD00000000:10:3794013:3794870:-1 gene:ONIVA10G03640 transcript:ONIVA10G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRGRWAITISTYLSLSSAPCISTSINGHHTAASAAAAPPLPPPSSTSPADGGTPPPPRRAGATRPPVVSPRSCCCRGAPAASWRHASRTPTCRRRRNAPRTTTRPTTGGSGCGRTPRSWTTPSSRPASSTSSASSSTAPPVTNATSPAWRRASWTSSPPVTTGAFSRFRRQLRALVEHASRTNGDKPVVLVSHSQGGYFALEFLNRSPMAWRRRHVKHFVMASTGAGGFVLGLQSLVSGVSDASPMGLAGRSLACKFTSLPSPKVFDRDTPLVVTRDKNYRSS >ONIVA10G03630.1 pep chromosome:AWHD00000000:10:3793609:3793950:-1 gene:ONIVA10G03630 transcript:ONIVA10G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRAPAVPTTCINGVGVPTTEKLVYWDGDFSQAPEILYGDGDGVVNSASILALDTVIGEDPRQGYYKSVKIAGTSHDGVVSDGAALERLVSEIARENFVQASKEEDSRVAQL >ONIVA10G03620.1 pep chromosome:AWHD00000000:10:3780088:3786272:1 gene:ONIVA10G03620 transcript:ONIVA10G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAEKLARGDDTVREMGLTGALDIRIGGRSSKGISGGQQKRLSICLDILTCPRLLFLDEPTSGLDSAASFHVMSRIASLAAREGMTIVAVVHQPCSEVFELFHGLCLLASGSTIFFGPASTAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEEGLPCMPEEEAIDILVNSYKSSNTSEVANQEMRYVNEDRAMIGRNRPGFVTKTLVLTRRSFVNMYRDIGYYWLRLAIYVCITVCLGTIFYHVGYGPDSIQARSHMLMFIATLLTFMAIGGFPSFVEDMKIFRRERLNGHYGVAAFVISNTLSSIPYLLLNAVVPGAIAYYLTGLQGKIEHFVYFALALCACTMLVEALMMIVATIVPDFLMGIITGAGIQGIMMLTSGFFQIPNNLPKIVWKYPMYYISFHKYALQGFYKNEFSGLVFQSNLEGQETVSGEKVIVELFQVETGHSRWVDLAVLCGMIVIYRLLFVVIIKVIDVVKPMLLGLTFRCNTKCICGIENLCSTS >ONIVA10G03610.1 pep chromosome:AWHD00000000:10:3764355:3764888:1 gene:ONIVA10G03610 transcript:ONIVA10G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSLPLWRTSPGGGDGAAVRGFLRSPFRTVLAALRGRRAAPRGDTAPPRPLHPAAAAATTEHAAASGFDSIGIDVVGAARGEKRLDDGDGGGVFLTWEDVWVTAVDSRGHAAAILNGVGGCARPGEVLAIMGPSGCGKTTLLDTLAGNPTRTLCSVSFSPWIAC >ONIVA10G03600.1 pep chromosome:AWHD00000000:10:3755186:3755383:1 gene:ONIVA10G03600 transcript:ONIVA10G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAWIRPPQSLHEEEVVKMEVTPLADNAHLDAASSELSRGGGEENTALVLGSGLPRARAMRRL >ONIVA10G03590.1 pep chromosome:AWHD00000000:10:3748855:3752731:-1 gene:ONIVA10G03590 transcript:ONIVA10G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQ02] MAGEIIPDGGGGGAVVAAESVMLPASMVLVQLFSVVLVLLSKLALSTGMRPFALLAYRNLVGAVAVAPLAFIFERKNRKIPSIVEWCWISLNATFGVILSMGLYYYGLRSTSATYSVIFLNLIPIVTSIIAIIFGAEKLVFTDWPGKIKLFGIITCVGGTMVVSLYKGKLLHHPWPSHLLKFHTQKASGYAYHHNLLAGTLFLCGSCLSYAFWFIIQVRLASVFPYRYWATTLTCLSGSLQAFVIGILISPTKSAWTLKWDMQLLTVGVFNTGISFVLMSLAVKHRGPIYPSMFNSLSLIVMVIMDSVLLGTSIFLGSILGTAFIIVGLNAFLWGKGKELKQAVAQHTSHKQNTDHNEQVGDEIA >ONIVA10G03580.1 pep chromosome:AWHD00000000:10:3743846:3744640:-1 gene:ONIVA10G03580 transcript:ONIVA10G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQSFSDENTRSIDRSSSPSIPIPPSAFLPPPLLLLLLTPPPKQSPRGGLPDSDSGGLLLLFLRRPRVPPGLRFRCVLWHGR >ONIVA10G03570.1 pep chromosome:AWHD00000000:10:3739063:3743811:-1 gene:ONIVA10G03570 transcript:ONIVA10G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IQ00] MSFLPKGKTTQTAFKWPWRGESQLSAHLLIDIPPEIELSDYRRLPSPGNESPSGLLHGEDFKEEVIPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVTFMVCCIGFFFLFVDWPALGDLKCGVEALESGAKPCDLMKLIKYHPLDPFTFTKFITIGSMVILSTYGIINFVKFFVKLRSTLKVRDFYCNSLKVTDLEIQTISWPRVVEKVVLLQKSQRLCVVKDLTEHDIIMRIMRKQNYLIGMVNKGIIALPIPSWLPGVGPTVSSRMHGKKSYLMLPKALEWTLNWCIFQTMFDRKFCVRKDILTSPSLLKKRLVFMGIAMFLLSPCLVIFPLVYLFLRYAEEFYNHPSTASSRKWSNLSKWILREYNEVDHFFKHRLNNSSVNSLNYLKQFPTPLVSIIAKFISFVSGGLAGILLILGFLGESILEGHVFGRNLLWYTIVFGTIATVSRNVVVDELQVIDPEGAMSFVLQQTHYMPKRWRGKEGSELVRRDFESLFQYTITMLLEEMASIFITPYLLIFVVPKRVDDILRFISDFTVYVDGVGDVCSLSMFDLRRHGNRNYGSPHNAVKSMRSSQGKMEKSLLSFQSTYTSWEPNADGKKFICNLQKFKEKQIRQHTFQTTESSQLGLSSRGQTAVFHRLLPRNIYPGNGVIFNFDPLGLLDTDQRACPYILDWYYTHQHTNREAGSSSHLNEASPEQQEEIWPPLSKPLTEIEDEQIWDSDLYRRARSYLEASTSSAFFRQATTFKRHGREQNSTSHQWWAQASRQQADPRNSFQGPPQDSFLEPPDFRNHLEASHDSSHQSDCRLTSRSTDPQDSFVEPPDFGDYMSCHSSSYHGDETSDGNSELDQSNNSWRSPHALSKTRYMGDDDLDLEQGPSFHFTDAPQKDSGSEGDGHGVANIYSSTPASLPVRIIPRSSDPV >ONIVA10G03560.1 pep chromosome:AWHD00000000:10:3736902:3737605:-1 gene:ONIVA10G03560 transcript:ONIVA10G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCAQQLRPPRAHEHNSTQTNRHDRISSRRQIDTTDNAGHGELVLAHKGKANVYGSISHICRTRYKSLLVHIVVALMRWKISRSSARQAVMGDSGGETAVALHCPQASRLAGQAQLEASLQRLATRCRLGDLDARQ >ONIVA10G03550.1 pep chromosome:AWHD00000000:10:3730801:3731136:-1 gene:ONIVA10G03550 transcript:ONIVA10G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRIMATLVVPPGKPPRHRDRCLHCYTKFLAKTKGTATNAIVKKLLSLYDGLWINRSTNFFQRLQNLKDRLGEAMVVQNAETTLGPYCHYLAAFHRLWLLPRRYPTQMNS >ONIVA10G03540.1 pep chromosome:AWHD00000000:10:3722816:3726734:1 gene:ONIVA10G03540 transcript:ONIVA10G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVIGSLILKLGDALGNESCQLGSSLLVYEASALKGLFGEIRMIKEELESMQAFFCTAERFKDTDETTVAFVKQIRGLAFDIEDVIDEFTYKLGEDREGMFLLKAFRRIRQIKTWYRLANSLQEIKVSLKSAAERRCRYDLKGVRRERKLMRLGSLNQRSTESVHFKREADLVGIAENKQLLMDWLKDEEQQHMIITVWGMGGVGKTTLVAHVYSAIKTDFDTCAWITVSNSYEADDLLKQIVAEFRKNDHKKEFPKDVDVTDYRSLVETIRLYLEKKRYVLVLDDVWSVNVWFDIKDAFSGGKHGRIIFTSRIYEVALLAPESQKINLQPLQNHYAWDLFCKEAFWKSENRSCPVELHPWAQRFVDKCKGLPIAIVCIGRLLSFKSANLLEWENVYRNLEMQFTNNYILDMNIILKVSLEDLPHNMKNCFLYCSMFPENYVMQRKWLVRLWIAEGFIEESEHKTLEEVAEDYLTELINRCLLVEVKRNESGYIDDFQMHDIFRVLALSKAREENFCFVLDYTKTHLIGKARRLSIQRGDISQIAENVPHLRSLLVFHNSLSFNSLRLFARSVKLLSVLNLQDSSIENLPNDVFDLFNLRFLGLRRTNIAYISRSIGRLQNLVVLDAWKSKIMNLPEEIIRLSKLTHLIVTVKPVITSMNFVPSVGIPAPTGLWSLGCLQTLLLMEASSEMVFYLGALVNLRSFRISKVQGRHCAKLFVAITNMFHLVRLGIHANDNQEVLQLEALKPSPLLQKLILQGALDKESLPQFFMSISKLKSLTILRLVWSKLDEEDFYYLEELQQLVKLQLYDAYNGKRLSFQATSFPKLRILKIWGAPHLSLIKIERGAMSSMVDLKLLLCPELKLLPRGIEHVTTLEEMTLDSTAEELVGRVRKKNEARISHVKRVYVGFIRNGELAAERIQ >ONIVA10G03530.1 pep chromosome:AWHD00000000:10:3720613:3721021:1 gene:ONIVA10G03530 transcript:ONIVA10G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVTRAPWQECGHRRWPNPPTSVAGSLSCRCGSALRASHRRTLLHLEQQVVTHSTAGDALRVGTARGVGGLDFFVSRSHSARLIDLVTLLSPTRVVASKQLCAATEKERKR >ONIVA10G03520.1 pep chromosome:AWHD00000000:10:3716628:3717866:1 gene:ONIVA10G03520 transcript:ONIVA10G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVEAKALLRPHCRTLGRTLTSKEANSNNQRLLLVGESNRTSKSYICTSCSMWLAAEDRVESGGDEGMASYDVEAFMRVNLLLLNEQMWEAESK >ONIVA10G03510.1 pep chromosome:AWHD00000000:10:3715047:3715341:-1 gene:ONIVA10G03510 transcript:ONIVA10G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYGRNAEVAVAVVLGACSQAMVARSRSDAVTARNGVGLGGMEMALKGDDGTDRDDRDSMAMTAFVFTTSGRENEGEEDEMEHLASVSWQWSGE >ONIVA10G03500.1 pep chromosome:AWHD00000000:10:3711881:3713558:1 gene:ONIVA10G03500 transcript:ONIVA10G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IPZ3] MKRKTFVLFPSLGVGHLNPMVELAKHLRRHGLGVVVAVIDPRDDDATSGDAVARLAAANPSVTFRILPAPATASPDPGAHRVRRSLDTLRLANPVLLEFLRSLPAAVDALLLDMFCVDALDVAAELAIPAYFFFPSPASALAVFPPPPDKESETTKIRLYQFKRMMEGKGVLVNSFDWLEPKALKALAAGVCVPDKPNPRVYCIGPLVDAGNKVGISGAERHPCLVWLDAQPRRSVVFLCFGSQGAFPAAQLKEIARGLESSGHRFLWVVRSPPEEQTTSPEPDLERLLPAGFLERTKDTGMVVKNWAPQAEVVQHEAVGVFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMRIAVSLDGYEEGGLVKAEEVEAKVRLVMETEEGRKLREKLVETRDMALDAVKEGGSSEVAFDEFMRDLEKSSLENGVCS >ONIVA10G03490.1 pep chromosome:AWHD00000000:10:3689999:3692261:1 gene:ONIVA10G03490 transcript:ONIVA10G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATTAAIVDSRRCTQHSEGPAMVLAIGTANPENIVLQDDFADYYFGLTKSEHLTELKDKMKRICHKSGIEKRYIHLNAEIIRAHPEIIDKHVPSLETRVDIVATEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSADLQLASLLGLRPSVSRTILSLHGCSGGGRALQLAKEIAENNRGARVLVACSELTLICFSTPDESKIVGHGLFGDGAGAVIVGADPSADGEHPLFEMVAASQTMIPGTEHALGMQATSSGIDFHLSIQVPTLIKDNIHQCLLDAFRSVGNTDPNWNDLFWAVHPGGRAILDNIEDKLQLQPWKLAASRQVLSEYGNMSGATIAFVLDELRRRREKEEDTQQQPEWGVLLAFGPGVTIETIVLRNPLSRGLKEN >ONIVA10G03480.1 pep chromosome:AWHD00000000:10:3681583:3683571:-1 gene:ONIVA10G03480 transcript:ONIVA10G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATTAAIGDNRRGTQHSEGPATILAIGTANPENIVCQDNFADYYFGLTKSEHLTELKDKMKRICHKSGIEKRYIHLDAELISAHPEIIDKHSPSLETRVDIVATEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSADLQLASLLKLRPSVSRTILSLHGCSGGGRALQLAKEIAENNRGARVLVACSELTLICFSTPDESKIIGHGLFGDGAGAVIVGADPSADGERPLFEMVAASQTMIPGTEHALGMQATSSGIDFHLSIQVPTLIKDNIHQCLLDAFRSVGNTDPNWNDLFWAVHPGGRAILDNIEDKLQLQPWKLAASRQVLSEYGNMSGATIAFVLDELRRRREKEQDMQQQPEWGVLLAFGPGVTIESIVLRNPLSRGLKEN >ONIVA10G03470.1 pep chromosome:AWHD00000000:10:3678089:3679458:1 gene:ONIVA10G03470 transcript:ONIVA10G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDTNSRGKPPDSELWDKLRHTKLVRSPSDGYTNANRAVHRRVIKPVLEFVQMDTGGVARVTLEVLGLIHAGPFAAARR >ONIVA10G03460.1 pep chromosome:AWHD00000000:10:3676351:3678432:-1 gene:ONIVA10G03460 transcript:ONIVA10G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYGHVLVLLLLPFVSPAGSCTEQEMRSLLQFLAGLSQDIGLTASWHNSTDCCSWEGITCSREGTVAEVSLASRSLQGHISPSLGDLTSLVCLNLSHNSLSGGLPLELVSSSSIVVLDVSFNRLTGGLGELPSSTPHRPLQVLNISSNLFTGLFPSNTWEMMNNLITLNASNNSFTGPIPTSFCASAPSFAVLELSYNQFSGRIPLGLGNCSMLTLLSAGHNNLIGALPDDIFDITSLKHLWFPNNQLEGSIIGITKLKNLVTVDLGENRLNGSIPNSIGQLKTLEKLNLEYNNMFGELPLTLGNCTKLMTMNLGSNNLSGDLDKVNFSTLGNLRSLDLIWNNFTGTVPESIYSCRNLTALRLSYNRFHGQLSEKIGNLKYLTFLSLVGISLRNITNALQILQNCRTLTTLFIGYNFIHETMPKDDEIYGFENLRVFSLNDCSLTGKIPHWLSKLTNLEMLFLYNNKLNGPVPYWISSLNFLFHIDMSNNSLSGEIPLALVEMPMLQTGNVATKTFELPISRSHSLQYRITSSFPKVLNLGINNFTGMIPNEIGHLKALLLLNLSSNRLSGKIPDSIYNLTNLQVLDLSRNNLNGTIPDALNELHFLSVFNISNNDLEGSVPNVGQLSTFPSNSFEGNPRLCGPMLTQHCDTTETPFVSTKHTNSMIVFMISFGTFFGVGVLYDQIVLSRFF >ONIVA10G03450.1 pep chromosome:AWHD00000000:10:3662495:3664328:1 gene:ONIVA10G03450 transcript:ONIVA10G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHILFFPVVLHFFFLWPYAASASGLHPVVLLPGATCSQLEVRLTDAYLPPSPQCAAAAAAAPRGARWFRLWKNSTALDDPTVAPCVADQLSVVFDRVAGDYRDTGGVETRLLDFGSTRGFLADDPADRDLCMGRLVEALERVGYRDGETLFGAPYDFRQPPAAPGQPCRSFSRFQRRLRALVERASRTNGDRPVVLVSHSQGGYFALEFLNRSPMAWRRRHVKHFVMASTGAGGFVGSMRFLATRDDSPLGRVGRSSAIKFTPLPSPKVFDRHTPLVITRHKNYTAADMPEFMAAVGLPASEVALYETRALPVAMSFGAPVVPTTCVNGGGVPTTETLVYWDGDFGKDPRVVYGDGDGVVNSASILALDTVIGDDPKQEYYRSVKIAGASHVGVVSGAAALRRVIAVILQDNFV >ONIVA10G03440.1 pep chromosome:AWHD00000000:10:3640988:3642147:-1 gene:ONIVA10G03440 transcript:ONIVA10G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIPSELKEKYAEAMDRDDVNAIVLAGAGGKFCGGFDINVFTEVHKTGKWSCHRLAASIPRHLPELPPPSLDTALTSADSICVCIVGRNVDNDD >ONIVA10G03430.1 pep chromosome:AWHD00000000:10:3596630:3600462:1 gene:ONIVA10G03430 transcript:ONIVA10G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTALAKMVYLDQQVRERFSNMMWTCITNKFNLKRIVQDIIESATGESCKHLNMEHLQSKLRGILQNGNYFLVLDDLWTDNVNEWEELRHLLSSGARGSVIMVTTRKYTVASMVGTSEPYKMGALPFEECMKIFTRIAFRHGEEKNYPQLLKIGECIVKKCTGVPLAIKSLASLLFRMREEAKWLRVKEDDLWEIEQGDDDILPKLKLSYNALPPALKPCLSYLSIFPKGYEYYRRCIIMVWMAQGLLHSKSLSEQTDVGNQYIAELMGSSFFQDAMITFDGSMPHFKMHDIVHDLGRYVLDRELAVISCEVSEVSETVRHLIWDDKFSAEKEFPKHIMTARKARTFASSYNHGTVSKQFLEVLFSEFLLLRVLIIAEVSIEELPDSIGNLKHLRYLDLTWNRTLKFLPNSLCKLINLQTLDLYRSDHLVKLPRDVKKLISLKYLSLTCKLKHLPETGLRGWASLTSLQLHSCSELTSLTEGIGYLTSLEMQWISDCPKLPSLPASMKNLSALREMLIDNCPELDLMHPEEAMDGLQSLRSLQIIGLPKLECLPETLSSASASLQYFLIEQCPLLRELPNFMQHLCNDTDHQRVFIKDCPAINSKWVKEDYHLSSCRSNKACARKQPRKEY >ONIVA10G03420.1 pep chromosome:AWHD00000000:10:3582583:3587761:1 gene:ONIVA10G03420 transcript:ONIVA10G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPTFDEPKKSASNKRPYDITDEETDTEMSRQVKNHFKQRSLEKKVPIDPTTKAFFQKMSEPTRARIVSDYDRSIRKSYEKTKRKSKVFPPSSVCKENKRVDPMPSEHDCNIEQYMTDTNLTKEQLLGIAPIKKKSVADIYHKFNLGEPLIKPELVKKLSTLTYLHRCTDSINGTWNNQPMHGLKTNITFVGCAIYG >ONIVA10G03410.1 pep chromosome:AWHD00000000:10:3561521:3562310:1 gene:ONIVA10G03410 transcript:ONIVA10G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLDKGFFKRIKTGCPALEDLLLHDCIIMDDEISFSKTLQILTSHEAVPPGLQGFYFYSIVLPVLKGHEISSNTVTASVVLDGSPNYDIHASDFCHYSWSLSGVKHLEFDYLAGKRKNREWARSVSDRGLRRSSPTSCQCERQGSHGCAWLGMAGTGPRQLGCS >ONIVA10G03400.1 pep chromosome:AWHD00000000:10:3558980:3561498:1 gene:ONIVA10G03400 transcript:ONIVA10G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPTPQRPSVLYYLQTNAAAAAGSSTFPRTPPVQRKASTCSATITVAPADVQRTVKLSDLPGHIIFRIMSFMSMPGMHACCAVKSPTFCALHEHRLRRVQCDRYREPSRVSGAVWEICQPAAGTPQLADSMVSFCLGYSLLAAKIAKLTRQTRTGGSDRRTRSGESGIALYALELDHSVFTSC >ONIVA10G03390.1 pep chromosome:AWHD00000000:10:3552911:3556927:1 gene:ONIVA10G03390 transcript:ONIVA10G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGFPALTHRDMRTNGLGYDWNHIQRVKHDGNTWVMLRRDRPKRSHSGLNKRCRCRCPIAPKNTFCSPSCKVEVIQRGRSWQLVQQLVNINFNQLHWRDRYCTTCMQSFSSQHCLSHMSHHPVEHVLVDIAMEEGFPFIVDPDEQLPEVICSRVTRVIVRDGRPTIPLRPQVLPNVNNAHNCTCIMGEWCSVLCKRNGTLVAGVD >ONIVA10G03380.1 pep chromosome:AWHD00000000:10:3541385:3542731:-1 gene:ONIVA10G03380 transcript:ONIVA10G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRARPHLLAVTPYTGGRGRNSRDNDNADLTALPYDVLFEILPRVFSDADDVARFASTCPRWCSFVATHATTVSRLLPQPARLPTRFLPSLALGCFHQENDVARCAWGRRRLAASSSPQPRFFPAAAAASASRTFFLGPLADGGDPMFDYAQPVASRNGRVVFELRRNARSDGLTLVVSNPMTGYTAVLPSLSGVDCPGYYACTILTDDDLDTPASRSTTDHHLFFRLLIIYNRPGFTAMRCYSSDAGSWGPERRKPGRKIRDHRLRRLGHAVVVGGVAYWPFHWEAFGVRLSDSSMEVCSVPYTYAGYWPDLRILGVSPDGRELRYINAGFCSPASLVLSSLKTQFDNDGDMHDVHVEVPDLIRTTTTTPIKLRWFGEKSGTVIFTIGEAGGGVFAVNMAEGTVNKLAEGTTAEKLADGGGYNACRNIYGYEMDRAALFASLAD >ONIVA10G03360.1 pep chromosome:AWHD00000000:10:3513552:3521291:1 gene:ONIVA10G03360 transcript:ONIVA10G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAATSSLLLQTMQMWAEMLRWRKEIGAYAILEESYVLVVRSSEQEYYGVDREGQPVYIKRLGKINPNKLMQITTVDCNLSLLLCWGHGSELMFYTNCHITEKLAFRIAGSIQLEVWNGASKQCLFHRSLVFSSKLNPSVTCHFKWVWLHLTETLAMRKATASFQSLGGIGKVKLVTLPS >ONIVA10G03360.2 pep chromosome:AWHD00000000:10:3513552:3521291:1 gene:ONIVA10G03360 transcript:ONIVA10G03360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAATSSLLLQTMQMWAEMLRWRKEIGAYAILEESYVLVVRSSEQEYYGVDREGQPVYIKRLGKINPNKLMQITTVDCNLSLLLCWGHGSELMVLYNWKFGTVLPNNLNPSVTCHFKWVWLHLTETLAMRKATASFQSLGGIGKVKLVTLPS >ONIVA10G03350.1 pep chromosome:AWHD00000000:10:3511143:3512277:1 gene:ONIVA10G03350 transcript:ONIVA10G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLLIHRECLLEMVGLRYHSDHRFCRMLTMHITAPALWESGAMCFAKGMVLWWQGLIRRRSFGPIEMAAWEELRVIPTLQMKDYWTLLLRSVGSHMLGGLPLIKPSEKVSLLRIA >ONIVA10G03330.1 pep chromosome:AWHD00000000:10:3493970:3496735:1 gene:ONIVA10G03330 transcript:ONIVA10G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVALLILKLGLALGRETSILGAKKLFHEATALSRLFQGIREVKEELEGMQSFLRGAERFKDTDETTANFIKKIRDLAFEIEDIVDEFTYMLEDRSHGGLASKIVKSIRHIKAWCHLASKLEYIKLKIESADRRKVRYDMRGISSVAGNIDDCSTSSGNFAREEDLVGIGKNGELLTHWLKNNLEQQRSIITTVWGMGGVGKTTLVAYVYYAVKTEFDAAGWVTVSKSYLIEDLLKQIIRGFINNDPQEDLYNHIDFSTMRITNLIEHIRNYLHGKRYVLILDDVWAVDVWFKIRAAFPSDSTGRFVITSRIHEVALLATGNCIIQLEPLGPQHSWELFCKEAFWKNEEKVCPPELEIVAQKLLDRCSGLPIAIACLGRLLSFKEPSYDVWENLYKDVQSQLTNNVILDINVVLKVSLEELPHDLKNCFLHCTMFPEDYLMPRKRLVRHWLTAGFIRETSNKTMEEGANDYLHKLVNRSLLQVVERNRNGEVHTCRMHDIIRILALAKSEEEHFGRVYDGSMAFLAEGTRRLSFQSSNINQLSRSGAPHLRHLYVFGSSLSIDSLAPFLKSLKLLSSLDLQGVNIKSLPHVVFNLYNLRFLGLRDTNIEVIPRLIGRLRHLEVLDARYTKLMTLPKDIVQLRKLRYLNVDMIPEEADKRVVFFSGIRVPTGIVQLTSLQTLQMVDANSEILRHIGSLTQLRAFAVSKVRKEHCVYLCNAIMKMSHLFQLKIKGIDEKEILQLEELHMPPALSTLSLGGQLSANSLPHLVVLSNKSSCNITRLSLAFSKLSEDSFSCLLNLDSLCELHLLKAYEGNRLYFHATSFPKLKRLLIWDAPCLNKVEIEQGAMPRLVKLILRDLPKLKTLPHGIEHLRVLEELEVRDTSEELIEKLRQKGQDIGCKAHKSIGHIKRISIHGTR >ONIVA10G03320.1 pep chromosome:AWHD00000000:10:3474740:3478526:1 gene:ONIVA10G03320 transcript:ONIVA10G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAGLDIHLYSANASSLPWPLRHEIVLGVGSALLYLQEGWEQCVVHRDIKPSNIMLDAAFNAKLGDFGLARLIDHGRGSHTTVIAGTMGYMDPECMLTGRANTESDIYSFGSRIIITTRSQEVASLASPDKIIRLEPLSEQEAWSLFCKTTFKEDADRECPNQLKHLATKILERCYGLPLAIISVGNLLALKERTLFAWKNVHDSLVWYGSSDHGIGQVSSILNLSIDDLPHHLKICLMYCNIYPEDFLLKRKILIRKWIAEGLIEEKVQGTMEEVADDYLNQLVQRSLLHVVLHNEFGRAKLCRIHDLIRELIVHRLLTMLNLWLIQIHKLPSTVANLVNLRYLGIRSTLIEELPRELGQLQNLQTLDAKWSMTLKYIKADEKMIKSLGSLKQMRSLELSGVDDSNLLHLPSSISKMSCLLRLGIITRDANVELDMEPFNPTPSKLQKLNLPGRLVRGNLPSWFGSLNNLMQLQLHSSDLKEDSIGLLSYLPRLLHLSLINAYNGRSLTFIDGSFPALKKLSLHGLPNLSHLEFQKGSLVDLRELMLGRCVQLTEIPQGIENLTHLEKMDLFEQPTVLIQQIQNGEVLQEHRHQDSKISGGARNFVKPGQ >ONIVA10G03310.1 pep chromosome:AWHD00000000:10:3449041:3459750:-1 gene:ONIVA10G03310 transcript:ONIVA10G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTPLIIALVLQQLLLLMAPSAVVGETTMAGCPGNCGGVGIPYPFGIGAGCFRRGFEIICKNDAPFLAGSGNELIPISDLSIDSSEARVTLPIGWQCFNSSDKVDVYRDPNVDFNRDGMYRISHTRNHLVVLGCNTLGYVGSQRRSGVVGSDYDHAEYTGCLCYCNDSSSAVSGDCDGVGCCQVDIPPDISDNMMSFDSYSHKRNLNYSPCDYTFLVEKDNYTFSTADLRMDKNRTMPVRLDWAIRDNLTCSQARKTVAQVGGYACVSDNSNCHDSTNGPGYVCKCNKGYEGNPYIPNDIDECQLPNTCYGRCRNKPGSFECWCPKGHSSADPFKERCTPNFPLPAQIVIGVLGGLFIIALLVFISLLRREKRKTKEFFEKNGGPILEKVNNIKLFKKEDLKPILKNANVIGKGGFGEVYKGHIGDNNQLVAVKKPINVNLAKKDQFANEVIIQSRVIHKNIVKLIGCCLEVDIPILVYEFVSKGSLEDVLHGSNRLPLNLDQRLQIAAESAEGLAYMHSKTSTTILHGDVKPANILLNDDLLPKISDFGISRLLAMDNDHTMSVIGDMSYMDPVYFQTGLLTNKSDVYSFGVVLLELITRKKASHSDKNSLLRNFLDAYTSGKTVTEFVDEEIAAANDHELLVNLAGMVAQCLNLEVDQRPEMTDIAERLHYMAKRARSVVGGLFIAAVFIFIALLRREKRKMKEFFKKNGGPILEKVNNIKLYKKEDLKPILKNANVIGKGGFSEVYKGHIGDSNQLVAVKKPIHVSLEKRDQFANEVIIQSRVIHKNIVKLIGCCLEVDIPILVYEFVSKESAEGLAYMHSKTSTTILHGDVKPANILLNDDLLPKISDFGISRLLAVDHDHTMSIIGDTSYMDPVYCQTGLLTDKSDVYSFGVVLLELITRKKASHSDNNGLRWNFIDAYTSGKTVTELVDEEIATTNDVDILVNLAGMVVQCLNREVDQRPEMTDIAERLHNMAKRVHSN >ONIVA10G03300.1 pep chromosome:AWHD00000000:10:3444902:3447421:-1 gene:ONIVA10G03300 transcript:ONIVA10G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGVGSWRGRWCGGGSDRCSGCDGGSRRRLREIDLVEGARAWLLGNLVQKPLSVIPVNLANSGSEQRSKKLRKEAEMSKSGRKRLCKVL >ONIVA10G03290.1 pep chromosome:AWHD00000000:10:3443181:3444905:1 gene:ONIVA10G03290 transcript:ONIVA10G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMARWRASTSSRLPTLPFSAPAPPFQEATAPLAASDDDAAAMAVFELERILEEAAAGRLGSSGGGNGSPSSGSDGGVGKDAENAHTRTTVSKSSFPGRAAATGPGEEGRWRRHHIVVARQLGGLQRALPPTPPRACTPCPTASGS >ONIVA10G03280.1 pep chromosome:AWHD00000000:10:3437679:3440837:-1 gene:ONIVA10G03280 transcript:ONIVA10G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLGRCWATRHAHSPRPSLPPFHLSFPLVRRRKLAPPRLLRRLKSVAEGAAGCFPSSKAHRRQIRRERRQPPSPRELRETISDVRALRQSPLSLVAPVHASGAACILLLPRCRILARTTLDIPRISGHQELYHSRQSVNSCSCCMKAVQCTYTHHLDKVLEEAAATFHPHVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKAVDPNVTKYSVKVLPFNYDQSVYGFREYFKKHGFKYFETN >ONIVA10G03280.2 pep chromosome:AWHD00000000:10:3437679:3440837:-1 gene:ONIVA10G03280 transcript:ONIVA10G03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLGRCWATRHAHSPRPSLPPFHLSFPLVRRRKLAPPRLLRRLKSVAEGAAGCFPSSKAHRRQIRRERRQPPSPRELRETISDVRALRQSPLSLVAPVHASGAACILLLPRCRILARDHPYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTHHLDKVLEEAAATFHPHVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKAVDPNVTKYSVKVLPFNYDQSVYGFREYFKKHGFKYFETN >ONIVA10G03270.1 pep chromosome:AWHD00000000:10:3428797:3431550:1 gene:ONIVA10G03270 transcript:ONIVA10G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGIIILKLGLALATDTSRVGRNWLCHEASALARIFSQIRDMKEELESMQSFLQGAERFKDIDNTTANFIKKIRCIAFEIEDVVDEFTSKMEVKQGGLASKIKQRICHIKTWHRLAFKFQDIKLKLENVDRRKVRYDMTGLVKNAEQSDANCRYTDHTSYFPTEEDLVGIDDNKKLLMNWLRCDSQLQSVITTVCGMGGVGKTTLVAHVYNNVKVDFDSAAWITVSKAYQVEELLRQIIKGFNSNDLKSELRVDIVDMEKRTLVEIIRNYLKRKRFLLVLDDVWGVDMWFKIREAFPANSIGRFVITSRVHDIALIATGNHKIELKPLEAHHSWELFCKEAFWNEDRICPLDLQNLAQRFVDKCNGLPIAIACIGRLLSCKSPCYSEWENLYKELELQLSNNAILDVNIVLKLSLDDLPYILKNCFLHCTIFPEDYLIKRKRLIRHWVTAGFIAVTEHKTMEDVAEGYLYELVNRSLLQVVERNESGRVRSCRMHDIIRILALTKSNEESFCSVYDGSRTTSKQNTRRLSIQSSDIEKFTVSSEVHLRAIYAFNELVTSDSLKFFLKSFNLLSTLDLQGTQIRKLPKELFKLFNLHFLCLRDTFVEDIPETVGRLQKLEVLDAFNARLVSLPQSIANLHKLRYLYVATDPRKGTKGVVPWIGIQVPNGIRNLKSLQALQLVEANSETLCHLGALTELRTFAITQVRREQCSDLCNAIMNMNHLASLSIMAINETETLELDGLRLPPSLSKLELGGKLDKESMPRIVSSFSDLGNLTLLTLALSKLDENSFSCLLLLNGLRGIWLDKAYEGKKLHFNAMSLPSLRLLAISDAPELNDVVIEQSALQNLIRLTLIDCPELKTLPDGIEHLITLEELYMRGASKELTKKLKQKEDSNYSNTYLMKINHIRRVTVFP >ONIVA10G03260.1 pep chromosome:AWHD00000000:10:3406590:3407214:-1 gene:ONIVA10G03260 transcript:ONIVA10G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGRNHNGAPSLAWERWHGTQQAYELDETVAGGAPPAREVADLELPQPDPVPPRLDLTSPSRISPGSGDGNERREGDNDSGRLAWLVPGVHCGGWRTTTGMDADADMGDDSRDGGTVSYRRRQCCRMGDTAKAGLG >ONIVA10G03250.1 pep chromosome:AWHD00000000:10:3393896:3395525:-1 gene:ONIVA10G03250 transcript:ONIVA10G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVVSASGSGSGGGGGGGGGGAGGGGGGGPCGACKFLRRKCVQGCIFAPYFDSEAGAAHFAAVHKVFGASNVSKLLQQIPAHRRLDAVVTICYEAQARLRDPVYGCVAHIFHLQHQVAGLQSELNYLQGHLSTMELPSPPPYVAGPTLAPPQPQPLMPMTAAANFNFSDLPSSSAANIPVTADLSTLFDPLPAAQPQWGLYQQQQHHHQQLHHHPYDRMGDGSSSSRGGDEDGSDGGDLQALARELLDRHGRSSSSSKLEPPPHTQ >ONIVA10G03240.1 pep chromosome:AWHD00000000:10:3377653:3385698:1 gene:ONIVA10G03240 transcript:ONIVA10G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGEERRGRRKGGRQVDKKGKARGGVKVVEESEGIQSNPVLIDPSRVRACACVVSSSSPDWEQSRVEQECARSKAGGGATDTDRIPHSAVAAHARSGWFEASSSWLAKRWLLRGMDRRSWPWKKKSSDKSSAGDVLKSSGQAEQDEQVPKFVQISPERYTNLTESEEQVKILCDKVNVLNEKLSAAQSDITTKDSLVKQHVKVAEEAVSGWEKAEAEALALKHQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEESEQKLQDVVFAKTKQWEMMKAELEAKLASFEHELIRAGAENDALSRSLEEREHLLMKVGGEKEQAESQIEVLKGTIQSGEKEISSLKYELHVLSKEFEIRNEEKNMSVRSADVATKQHVEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVDSWGRDHADNRLRRSPSRSSNFHHPLSPSPDNSLENLQHMQKENEFLTARLLSMEDETKMLKEALSKRNNELQVSRNTCAKTAGKLRSMDVHMVSARQYKNPTNSNLDVHHDGALSLNGSNPPSLTSMSEDGVDDATSCAESWANALISELSHIKKDNGGKGSLTENSNQMVLMDDFLEMERLACLSPEGKECGSFIDRKKATKVHTTLTTITKRESDRDSWPSSQFPDTPSSSEHLPENSPLSKLHSRISSLLGSHSPQNNVGKVLDGIRNILRDIKEEAESVSAKKNQPDNMNGLADNGSLTKQSKNLRDVDHGLRHAILEILDFFQPFKRQLSEVQGKSSHQNTILEKIEKFSTIADKVVLNENALAEMVIALAEILAGNSAIKLTLPRDSITETESNNLDYVDKVTLLENKVHHEPIKDSLADICSLAPHSSSDPDFEGSRDAFVVKTTVQMCSMEEYEQLKSEKRKLEMELAKCNETIECRKLEFSLMEKNMEELTSKLSACEKSNSLTETQLKCMAESYKSLELHKLKLESEIEVMHRQINTLRTELADERQNHQDDLAKYRDLKEKIERYENEKNTSSVDEDAGVKMKQDKEIAAAAEKLAECQETILLLGRQLQTLRPPPAEPLGSVLNQQPVGVFSEDQARTTQGLHFKKLSGQFDTDHTFSSAPGTGNVSPLNGYRTHKSPSNLDGNTYFASPNNSKRPKHRSRSSSSSSFTNQFTEKQSRGFSRLFSKSKSEY >ONIVA10G03240.2 pep chromosome:AWHD00000000:10:3377653:3385698:1 gene:ONIVA10G03240 transcript:ONIVA10G03240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGEERRGRRKGGRQVDKKGKARGGVKVVEESEGIQSNPVLIDPSRVRACACVVSSSSPDWEQSRVEQECARSKAGGGATDTDRIPHSAVAAHARSGWFEASSSWLAKRSWPWKKKSSDKSSAGDVLKSSGQAEQDEQVPKFVQISPERYTNLTESEEQVKILCDKVNVLNEKLSAAQSDITTKDSLVKQHVKVAEEAVSGWEKAEAEALALKHQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEESEQKLQDVVFAKTKQWEMMKAELEAKLASFEHELIRAGAENDALSRSLEEREHLLMKVGGEKEQAESQIEVLKGTIQSGEKEISSLKYELHVLSKEFEIRNEEKNMSVRSADVATKQHVEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVDSWGRDHADNRLRRSPSRSSNFHHPLSPSPDNSLENLQHMQKENEFLTARLLSMEDETKMLKEALSKRNNELQVSRNTCAKTAGKLRSMDVHMVSARQYKNPTNSNLDVHHDGALSLNGSNPPSLTSMSEDGVDDATSCAESWANALISELSHIKKDNGGKGSLTENSNQMVLMDDFLEMERLACLSPEGKECGSFIDRKKATKVHTTLTTITKRESDRDSWPSSQFPDTPSSSEHLPENSPLSKLHSRISSLLGSHSPQNNVGKVLDGIRNILRDIKEEAESVSAKKNQPDNMNGLADNGSLTKQSKNLRDVDHGLRHAILEILDFFQPFKRQLSEVQGKSSHQNTILEKIEKFSTIADKVVLNENALAEMVIALAEILAGNSAIKLTLPRDSITETESNNLDYVDKVTLLENKVHHEPIKDSLADICSLAPHSSSDPDFEGSRDAFVVKTTVQMCSMEEYEQLKSEKRKLEMELAKCNETIECRKLEFSLMEKNMEELTSKLSACEKSNSLTETQLKCMAESYKSLELHKLKLESEIEVMHRQINTLRTELADERQNHQDDLAKYRDLKEKIERYENEKNTSSVDEDAGVKMKQDKEIAAAAEKLAECQETILLLGRQLQTLRPPPAEPLGSVLNQQPVGVFSEDQARTTQGLHFKKLSGQFDTDHTFSSAPGTGNVSPLNGYRTHKSPSNLDGNTYFASPNNSKRPKHRSRSSSSSSFTNQFTEKQSRGFSRLFSKSKSEY >ONIVA10G03240.3 pep chromosome:AWHD00000000:10:3377560:3385698:1 gene:ONIVA10G03240 transcript:ONIVA10G03240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKSSAGDVLKSSGQAEQDEQVPKFVQISPERYTNLTESEEQVKILCDKVNVLNEKLSAAQSDITTKDSLVKQHVKVAEEAVSGWEKAEAEALALKHQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEESEQKLQDVVFAKTKQWEMMKAELEAKLASFEHELIRAGAENDALSRSLEEREHLLMKVGGEKEQAESQIEVLKGTIQSGEKEISSLKYELHVLSKEFEIRNEEKNMSVRSADVATKQHVEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVDSWGRDHADNRLRRSPSRSSNFHHPLSPSPDNSLENLQHMQKENEFLTARLLSMEDETKMLKEALSKRNNELQVSRNTCAKTAGKLRSMDVHMVSARQYKNPTNSNLDVHHDGALSLNGSNPPSLTSMSEDGVDDATSCAESWANALISELSHIKKDNGGKGSLTENSNQMVLMDDFLEMERLACLSPEGKECGSFIDRKKATKVHTTLTTITKRESDRDSWPSSQFPDTPSSSEHLPENSPLSKLHSRISSLLGSHSPQNNVGKVLDGIRNILRDIKEEAESVSAKKNQPDNMNGLADNGSLTKQSKNLRDVDHGLRHAILEILDFFQPFKRQLSEVQGKSSHQNTILEKIEKFSTIADKVVLNENALAEMVIALAEILAGNSAIKLTLPRDSITETESNNLDYVDKVTLLENKVHHEPIKDSLADICSLAPHSSSDPDFEGSRDAFVVKTTVQMCSMEEYEQLKSEKRKLEMELAKCNETIECRKLEFSLMEKNMEELTSKLSACEKSNSLTETQLKCMAESYKSLELHKLKLESEIEVMHRQINTLRTELADERQNHQDDLAKYRDLKEKIERYENEKNTSSVDEDAGVKMKQDKEIAAAAEKLAECQETILLLGRQLQTLRPPPAEPLGSVLNQQPVGVFSEDQARTTQGLHFKKLSGQFDTDHTFSSAPGTGNVSPLNGYRTHKSPSNLDGNTYFASPNNSKRPKHRSRSSSSSSFTNQFTEKQSRGFSRLFSKSKSEY >ONIVA10G03240.4 pep chromosome:AWHD00000000:10:3377653:3379676:1 gene:ONIVA10G03240 transcript:ONIVA10G03240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGEERRGRRKGGRQVDKKGKARGGVKVVEESEGIQSNPVLIDPSRVRACACVVSSSSPDWEQSRVEQECARSKAGGGATDTDRIPHSAVAAHARSGWFEASSSWLAKRY >ONIVA10G03230.1 pep chromosome:AWHD00000000:10:3367216:3372269:-1 gene:ONIVA10G03230 transcript:ONIVA10G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMRPRSSSASSTSPVAGKKEKTATKPAGGGARDPPKGRSSSFACRVCGKRFPSQQAMAGHEDHCRRHEDHVREAAAATAAAVVVVATAAASGGCSNRATCGALLASYTCATQLLAAAAVADWSQVAAGILLSKEQLVVAVAVAIVVADDGQGQAAEVFLLDLAAEELNLGPSMNNEATSIPKALPALSGQFIIDGATGLTDDLGRVEAKGLAYVEGLEEVEELSKWYIAQTHVRLVTIEDPDIPEIADVDTLYPMQSAPVTHLMGDIAEELYSDTTSLWEKLRDNIARSLEEMMSALDRMRQKCKRIMRGHSTGMHQKSTVPQDTGLRTPYRNNRLDTTVYLF >ONIVA10G03220.1 pep chromosome:AWHD00000000:10:3361735:3362302:-1 gene:ONIVA10G03220 transcript:ONIVA10G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSARWWRESEEVEMEEEGMGAGGREEERRAARGECWTGSRCGMISVVRSALKTMMLMVLFCLFLLMDIYWKYEVRPTYNDEHHCTPSEHLRHQKSIMKPQRSALLIATALVLYWILLPCHLPRRQA >ONIVA10G03210.1 pep chromosome:AWHD00000000:10:3358432:3358740:-1 gene:ONIVA10G03210 transcript:ONIVA10G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAAAAAATASAAATAVASAATAAATERCVTPCSNPTARSIPRPLFLVAGCGLLTLARVCLLLLQGSPASRGNGRRSAAEDRFGPRFDGLRFIETLVTAHR >ONIVA10G03200.1 pep chromosome:AWHD00000000:10:3350365:3350909:-1 gene:ONIVA10G03200 transcript:ONIVA10G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGIAAAVASAAAVAAASGAELLACDCADQPPQQQEAASAAVGRCDAFLVRHNQGSSLSRDAERAAAAAAGEQRVEGKKFAPRFDGLRFIETLVTAHR >ONIVA10G03190.1 pep chromosome:AWHD00000000:10:3344367:3347786:1 gene:ONIVA10G03190 transcript:ONIVA10G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVGLYQGFSLCAADFAGLEGNCVYFFKMDRASRSFIYRFRMEDGQIEELPGPSMHACTWGGAGRD >ONIVA10G03180.1 pep chromosome:AWHD00000000:10:3343034:3344349:1 gene:ONIVA10G03180 transcript:ONIVA10G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDTIKRIKLGVSYAIMSGPHGRSGLPGDLLRLFSGRLHDPLDFLHFRAVCRAWRTATAAAAASPPPFLPWLLARPAPISPTAGPSLSFYSLSSAALRSVAAPSATCSLLGHTNSHLLFSDHGPLLLLLNPLTGADLPLPPSPFDAFSPITQGYYLPGPDSPVVLYDTRRIFFHHPGGGGGGGGWTTVPMVDLVAENMYHAGKVFVCNDRGHLTIFDAATLAVLGDAAPPPPPPVTLQRDAFKCSSFVPSGDDLLCVIRYFRSKNTEQAGELLEDCCALEVHRLEIAGRNRGGFR >ONIVA10G03170.1 pep chromosome:AWHD00000000:10:3340011:3341378:-1 gene:ONIVA10G03170 transcript:ONIVA10G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRARARRDNTPYTGGWLSRRRRPCRQRIRSRDDARAGSDDDDGGLLTALPDDVLFEILPRVLSDAADVARFASACPRWCSFVATHAATISRALPRPTRFRPSLALGCFQQENDVGRCSWGRKRLAASSSPQPHFFPAATASASRFLGPFSLPDSDPMFDYAQPVASRNGRVVFELRRDARSDGLAFVVSNPMTGDTAVLPPLTGGDCPGSYACAILTGDDLDTPPSRSFFRLLIIYNRPGFTAMRCYSSDDGSWGPERRKPGRKMLDHWLRRLGHAVVVGGVAYWPFHSEAIGVRLSDPAMDVCSVPYTYGEYWYEQDLRILAVSPDGRELRYIDAGFRRPASLVLSSLKTKFDDHGDMYDVHVEVPDLAVALTKTPIKLRWFGEKSGTVIFTIGDAQHGGVFAVNVSEGTVNKLAEGTAEKLADGGGYHTCRNIYGYEMDRATLLASLAD >ONIVA10G03150.1 pep chromosome:AWHD00000000:10:3321210:3326078:1 gene:ONIVA10G03150 transcript:ONIVA10G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPPPYLDHLLAEDFAMPCIPRVFCSVCATLICLDCCPDHTAVHHPGTNAVLVEVVMVEGFPALTHRSVRTTGMGYDWNHIQRVKYDGNTWVMLRRDRPKKSMCGMHEKCPCGCRISPKNTFCSPSCKVAAIQRGRSWQLVQSLVNTNFNQLHWRDSYCTACRRSFSSHHCLNHISHHPVEQEVNFVVVEILMKEGFPFIPDPDEQLPEVICARVTRVIVGDGRSAIPLRTQVLPSANNAHNCTCIMGEWCSVFCKRNGALVAGAN >ONIVA10G03140.1 pep chromosome:AWHD00000000:10:3320770:3321033:-1 gene:ONIVA10G03140 transcript:ONIVA10G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHACQVFYASLRWQQWDGRRKSGRLGAAHLRGGGVGARTSRRLRPDLFAAASHGGGDPAATAWSTSPEEKREEGWGLEMLRFKKRK >ONIVA10G03130.1 pep chromosome:AWHD00000000:10:3310049:3312034:-1 gene:ONIVA10G03130 transcript:ONIVA10G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLILVMAALLLLPAAANATSSALLGINYGRVGNNLPPANAVPPMLSSLGVGRVRLYDADQATLRAFANTGVELVVGVPDECLAAVSTPSGAASWVRSVVQPALPATKIAVLTVGNEVLTGANSSSLSRSLLPAMQCLHDALAQLGLDKQVAVTTAHNLGVLATSYPPSSAYFRKDLLPLLCPILDFHARTGSPFLVNAYPYFAYAEDPTGVELEYALLEPTYAGVADPASGLHYPNLLVAQVDAVYHAIAAANTAAARAVEVRVSETGWPSAGDANETGATPQNAARYNGNVMRLVADGKGTPLRPSVALRAYMFALFNENMKPGPTSERNYGLFKPDGTPVYELAYSLPKDNTNSGGGGGGGIGGGSGTIGGGGEYNGHGEDGGYYSISASAKPAVKLSSALLVGGHGGHRQL >ONIVA10G03120.1 pep chromosome:AWHD00000000:10:3292632:3301833:-1 gene:ONIVA10G03120 transcript:ONIVA10G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSAEGFLHAASCLPCTAEEERDLVVALTREAEENVKDGDLRYLVSHSWWLNWQSYVGLIKPDENDADMLPQAPSRPGEIDNSKLVSEESSSIGDEPELQRTLREGDDYALVPQEVWRKLHEWYKGGPELSRRAKVSELYSLVCSLLSVEQSKIDIWDFYQKTKGKKLINLDETVEEAQLMMDQEIIIEAKADDAWCSDLGTRSNNELALIPLEPSTSSFSIAGGPAFSNGFSSGFGSSFSQDNSFSPLLRDAEDGYSFSNGTKDDIHGLSGLHNLGNTCFMNSAIQSLVHTPPLVEYFLQDYSREINTENPLGLQGELATAFGELLRKLWSAGRTSIAPRPFKTKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKKKPYIEAKDADGRPDEELAEECWNYHKARNDSIIVDKFQGQYKSTLVCPDCKKISVTFDPFMYLSLPLPSTVTRMINVTVFSGTGDALPMPYTVKVYDHRIYRYWNPSEPLCHVKDEDKLVAYRLPVGSENLLRVEILHRVVDRYTSESMFNLSRKLIGSPLVTCIPSDSTRKADIYATVSSLLAPFVRAKVHTLDESATKLNSNGPSLDGIVLTDNGVTCEEDVSTSNVDEEAADEEVLPFQLWLTDDKANKREHIDADSNGVPGSTMRLLMDWSDREHEVYDIKYMDELSVVFKPGFMSKKNRQEAVNLFSCLDAFLKDEPLGPDDMYCPRCTEHKQASKKLDLWRLPEILVVHLKRFSYSRFMKNKLDTFVNFPIHDLDMSRYANHSRGDQPPIYELYAVINHYGGMGGGHYSAYAKLVEEDSWYHFDDSHVSSVGEEDIRTSSAYLLFYRRVGSSSCSVSKNVAVDTDMVDSLDT >ONIVA10G03110.1 pep chromosome:AWHD00000000:10:3284116:3287136:-1 gene:ONIVA10G03110 transcript:ONIVA10G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWPYPISQPYHLYLSLASLVFFRAHGGGSSSGGRALPSAGSGWRGGGGQWVAVVPEEVGGGARGEAAGDGRRRRPPLRRIRQEGRQEVAGDGLRRHPPLPQIWPAVVATCRCRPPPSSATVRCHRPPPTATVCLERHRLDLRRRSLRPPSPPPLPPAPARPPANADAVGPVAKSPPPPLPSSARSPEPTPSSSHCPPSLLVTNTVVFFCVDSGHQHKVSLRLKASSVET >ONIVA10G03100.1 pep chromosome:AWHD00000000:10:3262233:3271737:-1 gene:ONIVA10G03100 transcript:ONIVA10G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAIGNGALHSNGAGADTKGKPIKCKAAVAHGPGEALVMEEVEVAPPARMEVRLKVLFTSICHTDLSAWKGENELQRKFPRILGHEAAGVVESVGEGVEDLAPGDHVVPIFTGECGACTYCESSKSNLCKTYRVNPFKSTMVTDGGTRFTMIDRSSGARNPVYHFLNTSTFAEYTVIDSACAVKINPKAPLEKMRVGAAWNTANVSKGSTVAIFGLGAIGLAVAEGARLRGASRIIGVDINPEKFSKGKEMGITDFINSKASGKPVHEVIMEMTDGGVDYSFECTGITDVLREAFVSTHDGWGLTVVLGIHATPRMLPLHPMELFDGRRITGCVFGDFKGKSQLPEIVEKCMQGEININFDGFITQEMPFADINKAFQLLEEGKSLRCLLHV >ONIVA10G03090.1 pep chromosome:AWHD00000000:10:3253971:3255302:-1 gene:ONIVA10G03090 transcript:ONIVA10G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSCTFLSIRPAVSSAGGLLSKKPAAFVSLTSERKSRPLSPCFAISSNKPGDPKIDVLPFSISPVVLVNPVPVDGERWQVAENKDEVSLWFDVPGLSPADLIVEIDEDVLVIKKNKKASPKSNYNTPTSGAIADHQEATADEFSGGGIYARLLLPAGYSREGVQAKLTSGELKLTIGKVKESVRRQIKVDISDK >ONIVA10G03080.1 pep chromosome:AWHD00000000:10:3243861:3245543:-1 gene:ONIVA10G03080 transcript:ONIVA10G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVVASYAPASRSPVTRASSGARRVSHTQSWRPPPPPFFPASAAVKCRRPTLPVARSVGPPEKHRPVLNIPPTERWEIKDDEGNVQLWLQVPGLTEDDLEITTTDELLEIKRKAGRGYPRRLDDVQGVGSFHLRLLLTKEFVSSQVTAELKAGMLEVTIPKNTNLRRTVVRIGQQSQSPAAVRTAQPKVVDPPPANSPPKNNNLVRNTSVQSRIDPPARESPKNDLGVGISVQPNDSPAREPPKNNLAGGRNVPTKDDPPARELPKNNLAGGRNVPPKDDPPARELPKNNLAGGRNVPPKDDPPAREPPKNNLAGGRNVAPKDDPPANEAPRNNLAGGTGVPTKDPPRNANEPPKNPPGTREANLGGAN >ONIVA10G03070.1 pep chromosome:AWHD00000000:10:3205643:3210462:-1 gene:ONIVA10G03070 transcript:ONIVA10G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVVAGEAAAAAAAAAGAGGKKRGASRSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITAEEVLLRDPLDDNVIPVVEELRRRLAPSSATQHDVEGAEEDESPFEFRALEVTLEAICSFLGARTTELESAAYPALDELTSKISSRNLDRVRKLKSGMTRLNARVQKVRDELEQLLDDDDDMADLYLSRKLAGAASPVSGSGGPNWFPASPTIGSKISRASRASAPTIHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGIFGMNIPYTWNDNHGYIFKWVVLVSGLFCAFMFVSIVAYARHKGLVGS >ONIVA10G03060.1 pep chromosome:AWHD00000000:10:3202695:3204991:1 gene:ONIVA10G03060 transcript:ONIVA10G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMIRVLLVEDEEINRVVARAVLKAAGGGDVVDEAENGEVAVQRVRDAAAPYDLVLMDKQMPVMDGHEATRRIRGMGVTTPIVAVSSDGLPADVDAFITAGADDFTSKPLSKEKLGVILAKFRLA >ONIVA10G03050.1 pep chromosome:AWHD00000000:10:3200359:3201409:-1 gene:ONIVA10G03050 transcript:ONIVA10G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGTTTELNIMSANMLPSRNISAKALQLVRRRRHRSAYGSDEMDAPLLRSLPSLGRALLSLSPAPARMLSTAASDALVEIKPGEISMVSGIPKEHLRRKVLLWTYSELCSVDRFSSPRRSVWESLEMSRFELGRNVLVCARPHRSAGSSPRNTLFASVRPRNDVMLKKLGGISPVRLFCDKANMRSAGRRDKPSGMELSIRFWSNSSYTIFVRFASDGGMWPESELWLSRSTVRFDNASSHRGTPPTIEVVVVEVRDVEGGAIAERVRYLASRGHRGCSRRRRWR >ONIVA10G03040.1 pep chromosome:AWHD00000000:10:3191630:3196243:-1 gene:ONIVA10G03040 transcript:ONIVA10G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARGGVRRVWREALVACMTCPLCKGLLREATAITECLHTFCKECIMEKIDDEEVDHCPVCNIDLGCDPEEKLRPDHNVQDIRNKVFPLKVKKVGAPKAPTVTLPVKRKQRSLSSLVVDTPRVAVQTGLTGRRTKTARRTAVSHVNSPGNNGTIKLANKSEGRDHKTQKISAAQSAKMTKTGNKKKNNTDVDVTIQSSSEDRKDDHTIDKEDLKKPLNSLVDTANRTKFFRSGPKGQAAKEDKIKNSIKLLAEDDTEDKLVVTGRKVMPCSNKLKVKEENNRSPSQSASSKDKTTSDYELRKGQHADSQQGQIGSTRTGALHDGITRPVWFLLVPSPDQKQDPKLPQLPTYYVRIKDGSLQTSLIQRYIMNKLDLASEDEVEITCHGEAISPSTTLQGLLELWLKSSPVEQVQASLGAQAKEFVMELGYRRPQRPPSS >ONIVA10G03030.1 pep chromosome:AWHD00000000:10:3187913:3188710:1 gene:ONIVA10G03030 transcript:ONIVA10G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IPU4] MRNSTSSAAAQPAPASAAMYGSYASPSSGAGGYAKIPTYPPPPSAYPAAPPPPVMGQPVPPPPAQLHDPTAPPSPIAKAAELVTRFREQGQALIAARRPWGEVFRAPAFSRPPSVGEAVARARRNAAYFRANYALAVLAVVAASLLWHPGTLFALLALCAAWFFLYFARPASSAGQPLRLLGMEFEDGTVLAALTGVTVIALLFTNVGWNVIGSVMIGAALVAAHATLRSTDDLFLTEQEAAGDGLVAAGMSAAGPILPTYVRIA >ONIVA10G03020.1 pep chromosome:AWHD00000000:10:3183069:3184696:1 gene:ONIVA10G03020 transcript:ONIVA10G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYFFFLQSLLLCIAAVALLQLAKVAATMRRRPRTPPGPWRLPVIGSMHHLVNALPHRALRDLAGVHGPLMMLRLGETPVVVASSRGAARAVLKTHDANFATRPRLLAGEIVGYGWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVTARVEEIRAAAAPSTPVNLSVLFHSTTNDIVARAAFGRKRKSAPEFMAAIKAGVGLSSGFKIPDLFPTWTTALAAVTGMKRSLRGIHKTVDAILQEIIDERRCVRGDKINNGGAADGQNADENLVDVLIALQEKGGFGFHLDDNKIKAIILDMFAGGTGTSASALEWAMSELMRNPAVMKKLQGQIREAFHGKAVVTEADLQASNLRYLKLVIKEALRLHPPAPLLVPRESIDTCELDGYTIPAKSRVIVNVWAIGRHPKYWDDAEEFKPERFDDGAIDFMYSVLVGGCALASTMASPVWSSCSLPCSTTSIGRSRWVSRRLTWRRRRASACAAARRCCSAPPRLFRPPIISN >ONIVA10G03010.1 pep chromosome:AWHD00000000:10:3174226:3174893:1 gene:ONIVA10G03010 transcript:ONIVA10G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADHPGAHFGGPARVLLRFPACTSRGREREGQPLPLLLVVVVSTGGGLSPGSSEGGAAAGVMAMAVEWSGGAQVVLGLCQVA >ONIVA10G03010.2 pep chromosome:AWHD00000000:10:3174467:3178370:1 gene:ONIVA10G03010 transcript:ONIVA10G03010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEEANREAVQSCHRVLTLLSSPHSQLVPNKDLAAATGEAVAKFCSVASRLNNGNGLQGHARVRKIKKPLPIFDSNLFLESPALAVATAAKTPNSSPITSLQLFPRYHQMEGSSSKDPVRIPAQFPKRLLLDNPAVDSDGPSRGPPLQLIQPVSVAPPAGTPHLALPSAHLHFIQQHQSYQRFQLMQQMKMQSEMIKRSGLGEQGGSNGGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSIASLDGSRSSRPFQLVSGSQTSSTPELGLMQRRRCTGREDGSGRCTTGSRCHCAKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHNRVLAAQPA >ONIVA10G03010.3 pep chromosome:AWHD00000000:10:3174586:3178370:1 gene:ONIVA10G03010 transcript:ONIVA10G03010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEEANREAVQSCHRVLTLLSSPHSQLVPNKDLAAATGEAVAKFCSVASRLNNGNGLQGHARVRKIKKPLPIFDSNLFLESPALAVATAAKTPNSSPITSLQLFPRYHQMEGSSSKDPVRIPAQFPKRLLLDNPAVDSDGPSRGPPLQLIQPVSVAPPAGTPHLALPSAHLHFIQQHQSYQRFQLMQQMKMQSEMIKRSGLGEQGGSNGGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSIASLDGSRSSRPFQLVSGSQTSSTPELGLMQRRRCTGREDGSGRCTTGSRCHCAKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHNRVLAAQPA >ONIVA10G03000.1 pep chromosome:AWHD00000000:10:3162941:3163183:1 gene:ONIVA10G03000 transcript:ONIVA10G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIVTARDSNLDDDEGCDRAWARRIRHTELRKGAQLQRRGEAMAIEEGHEGDGSVEVEEACFYLMGLDYGPKRDGFGPR >ONIVA10G02990.1 pep chromosome:AWHD00000000:10:3151399:3162004:1 gene:ONIVA10G02990 transcript:ONIVA10G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIKKQRRREFLGGNPVTGGETWRGDKNSIVQSAAIYIHELKVARDQLQRRNEELKAQIMGHDEQQPCVTVQFEVDEPSSSIVSMIAALRRLKGMSVKARGIRSSMSGNRLWTEMNVETTIAACEVEKAVEEALKEVERNQPDSDAPFPGSKGWTQTSHVQNVF >ONIVA10G02990.2 pep chromosome:AWHD00000000:10:3151399:3156121:1 gene:ONIVA10G02990 transcript:ONIVA10G02990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIKKQRRREFLGGNPVTGGETWRELNWRTPRTQPEDAVLLTMYVKPMRAGGAAKICELQPMLRRRSMHRAQDGRIPALQGSQAS >ONIVA10G02990.3 pep chromosome:AWHD00000000:10:3158767:3162004:1 gene:ONIVA10G02990 transcript:ONIVA10G02990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQMESYYGAFHADEAAFFFPHHVPASPELPFGLIASPEPEQAAAEARQSAFQEYGGAVHAGAPAAAGAVTTGGTNIHRRVMDVLGRMGGGGGGGEKGEGEEMEEEEEVPQRRRRGQGADVESSRGFRHMMRERQRREKLSQSYADLYAMVSSRSKGDKNSIVQSAAIYIHELKVARDQLQRRNEELKAQIMGHDEQQPCVTVQFEVDEPSSSIVSMIAALRRLKGMSVKARGIRSSMSGNRLWTEMNVETTIAACEVEKAVEEALKEVERNQPDSDAPFPGSKGWTQTSHVQNVF >ONIVA10G02980.1 pep chromosome:AWHD00000000:10:3148038:3150888:1 gene:ONIVA10G02980 transcript:ONIVA10G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTAAPRHSCAKLSVAVEDPKAGGGGAVFVKATWHPTRFSLAVTDGGAAWVAQASDAEVRLRAEQWDQPVADYLALAERYLAFQQPSSTYSFHDAANGNRRLSWTFEKQGTKLEWRWKLQPAPNTQQTIAEILDFLMDANIRLSEEVVRKTQSFDKLKQESEKCLQQSERFNIEKAEFEQSTFSKFVAVLNSKKAKLRQLKDKLTEFESADKAPKEEDENSTDKTELFEEASDKDASVNDEPSETGGGDLHSSPEKTAATSRGGRGRKRTRK >ONIVA10G02980.2 pep chromosome:AWHD00000000:10:3148038:3150994:1 gene:ONIVA10G02980 transcript:ONIVA10G02980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTAAPRHSCAKLSVAVEDPKAGGGGAVFVKATWHPTRFSLAVTDGGAAWVAQASDAEVRLRAEQWDQPVADYLALAERYLAFQQPSSTYSFHDAANGNRRLSWTFEKQGTKLEWRWKLQPAPNTQQTIAEILDFLMDANIRLSEEVVRKTQSFDKLKQESEKCLQQSERFNIEKAEFEQSTFSKFVAVLNSKKAKLRQLKDKLTEFESADKAPKEEDENSTDKTELFEEASDKDASVNDEPSETGGGDLHSSPEKTAATSRGGRGRKRTRK >ONIVA10G02970.1 pep chromosome:AWHD00000000:10:3139135:3145474:1 gene:ONIVA10G02970 transcript:ONIVA10G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein [Source:Projected from Arabidopsis thaliana (AT1G56290) TAIR;Acc:AT1G56290] MLSGVKFIPREQIGEDALGGAGSAGSDSSEDRRRRKKRGRKGRDKEERRDRRRRRRRSKYGSDSEEGSDSGDSIDEEEEKGLSRSKHRRKHQRRRHEFSDDDDDDDEESSGSRKSKLGGAAGDDDDEEEEDEGIGGEELRASDIVRKEMGLEWMLKSASSGRAESSQARGADKDEEEVAPEEVKKANPKELNPYLRDNGSGYPDESSPSNAGNQLLASSVVGDGGASWRLKALKRAKEQAAREGKQLEEVVGERWGSLGHLAASVSASRAAPSHAHLHAIRGRKAGQAGSSEEHSKENPKEGQQGGDSGRREYLKDVSSRHHAMRKPKPDSVPWKRNRQNISSEDQALISSAIAGINKFSNDGSFLEKINNLESKTVNVLTAEVDELKSDKGSSKKAPSVSTQKLNANQLAAKILHLRMKGKHEEAEQLSREMEAVLENEDTAVEEPRHEVRSSTRNTIKSSAADRRKREEDADRHLANKIMHNKQYNMSKSIEDEYDFGDAPSKKGKRRNKDAHEERRSTHRLTTQKERCMYCFENPSRPKHLVVAIGNFTYLMLPQLEPVVPGHCIILPLQHESATRTVDRSVWEEIRNFKKCLLKMFAQQDKDIVFMETVISLAKQRRHCMIECIPIPSEVSNNAPMYFKKAIDEAEEEWTQHDMKKLIPTKGNLHQVIPENFAYFHVEFGLDRGFVHVIDDESKFSAGFGLNVIRGMLQLREEDMHRRRRHESMDNQKQAVANFMKDWEPFDWTKQLD >ONIVA10G02960.1 pep chromosome:AWHD00000000:10:3134049:3138643:1 gene:ONIVA10G02960 transcript:ONIVA10G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGGVRDMDALEGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLPGLLASLATTGFQASNLGDAVDVVNQMLDWRLSHEKPREDCDEAELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGEFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSTENVWTPSKVIARLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLIVAATFARKFHGAKQATEFLGLS >ONIVA10G02960.2 pep chromosome:AWHD00000000:10:3134049:3138345:1 gene:ONIVA10G02960 transcript:ONIVA10G02960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGGVRDMDALEGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLPGLLASLATTGFQASNLGDAVDVVNQMLDWRLSHEKPREDCDEAELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGEFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSTENVWTPSKVIARLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLIVAATFARKFHGKEGK >ONIVA10G02960.3 pep chromosome:AWHD00000000:10:3134049:3138643:1 gene:ONIVA10G02960 transcript:ONIVA10G02960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGGVRDMDALEGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLPGLLASLATTGFQASNLGDAVDVVNQMLDWRLSHEKPREDCDEAELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGEFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSTENVWTPSKVIARLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLIVAATFARKFHGAKQATEFLGLS >ONIVA10G02950.1 pep chromosome:AWHD00000000:10:3121942:3122304:-1 gene:ONIVA10G02950 transcript:ONIVA10G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAATTCIGFILLTASSIAAIHRSHGEITETSFIVVSYLSLVLLFVFLRRFEEAPRNSPARGGAKAGVWVVTALLAAVFSWRVSALMPWPVDAIIWVMATSTVLGGFYALFLHHPGVD >ONIVA10G02940.1 pep chromosome:AWHD00000000:10:3116999:3118736:1 gene:ONIVA10G02940 transcript:ONIVA10G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTSDPLLNPPAPASNRGNGGVRRVPWASLIGFVALAINFALCIYRAEGDRGAIAFVTFAYLNLLLLFWCIRQFDQAPHGSAARGRIRAAVWILATSLTAVFTWKVAALMPLPVAAVAWVMAAATVVGGFYGFFIHEDK >ONIVA10G02930.1 pep chromosome:AWHD00000000:10:3111406:3111780:1 gene:ONIVA10G02930 transcript:ONIVA10G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASAAALALALWRQQPGGRGGSGGPAAILLAAAPYALLLLLLWCLRAFERAAGAGDAAAQGMLRLAVWLLSSALTVTFAARVAPLMHGAVAVLVWAMSAATICGGFYMLDLFPLHRRLDRIN >ONIVA10G02920.1 pep chromosome:AWHD00000000:10:3106270:3110317:1 gene:ONIVA10G02920 transcript:ONIVA10G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGKLALPSHESTIGKFLTQSGTFKDGDLLVNKDGLRIVSQSEEGEAPPIEPLDHNQLSLDDLDAIKVIGKGSSGIVQLVRHKWTGQFFALKVLKGLMYLHHEKHIIHRDLKPSNILINHMGEVKISDFGVSAIIASSSAQRDTFTGTYNYMAPERISGQKHGYMSDIWSLGLVMLELATGEFPYPPRESFYELLEAVVDHPPPSAPSDQFSEEFCSFVSACIQKNASDRSSAQILLNHPFLSLYDDLNIDLASYFTTDGSPLATFNTDSLMQHCVII >ONIVA10G02920.2 pep chromosome:AWHD00000000:10:3106270:3110485:1 gene:ONIVA10G02920 transcript:ONIVA10G02920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGKLALPSHESTIGKFLTQSGTFKDGDLLVNKDGLRIVSQSEEGEAPPIEPLDHNQLSLDDLDAIKVIGKGSSGIVQLVRHKWTGQFFALKVLKGLMYLHHEKHIIHRDLKPSNILINHMGEVKISDFGVSAIIASSSAQRDTFTGTYNYMAPERISGQKHGYMSDIWSLGLVMLELATGEFPYPPRESFYELLEAVVDHPPPSAPSDQFSEEFCSFVSACIQKNASDRSSAQILLNHPFLSLYDDLNIDLASYFTTDGSPLATFNTEQF >ONIVA10G02910.1 pep chromosome:AWHD00000000:10:3099564:3104130:1 gene:ONIVA10G02910 transcript:ONIVA10G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVATIADPGAWLPATQQRDRWQMTVSNSASHAARAEGRIFAVPNSFHRDFAAVHGPPSPPSMADPYRAYAPPSSLGRDPQGDFPRHPPSEGSYYASRMAALHGTSDILRHDVPLQPRAYGLDGAAGASHPALAGLGGLAAGTTARGPSPLEDPALVRRSSSLGKTASIPDVEHPRPLLNLDGPREDESNILFVDGLPTDCTRREVAHLFRPFVGFKDIRLVHKEPRHSSDRAYVLCFVEFSDAKCAITAMEALQEYRFDERKPDAAVLNIKFARFPFRPAAAPHDDRRRLTLH >ONIVA10G02910.2 pep chromosome:AWHD00000000:10:3099564:3104130:1 gene:ONIVA10G02910 transcript:ONIVA10G02910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVATIADPGAWLPATQQRDRWQMTVSNSASHAARAEGRIFAVPNSFHRDFAAVHGPPSPPSMADPYRAYAPPSSLGRDPQGDFPRHPPSEGSYYASRMAALHGTSDILRHDVPLQPRAYGLDGAAGASHPALAGLGGLAAGTTARGPSPLEDPALVRRSSSLGKTASIPDVEHPRPLLNLDGPREDESNILFVDGLPTDCTRREVARILPT >ONIVA10G02910.3 pep chromosome:AWHD00000000:10:3100458:3104130:1 gene:ONIVA10G02910 transcript:ONIVA10G02910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYRAYAPPSSLGRDPQGDFPRHPPSEGSYYASRMAALHGTSDILRHDVPLQPRAYGLDGAAGASHPALAGLGGLAAGTTARGPSPLEDPALVRRSSSLGKTASIPDVEHPRPLLNLDGPREDESNILFVDGLPTDCTRREVARILPT >ONIVA10G02900.1 pep chromosome:AWHD00000000:10:3098628:3099209:-1 gene:ONIVA10G02900 transcript:ONIVA10G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGHRASAVRRYPPGCGRDHRAAHPPGQPGPSTTATNLLRPPPNASARAATKSPKLARQPLLAVATEGPDHGEGNGLVAGIEVPAATTEVVLVRRASAVRRYPQGCGRGAAASKPSKAQSAPRNGEAESIAGDQKVEMDAGSNGWMDCGGDAGGVRQEEGGGRPWDLTGLMLPPFLPWARYGRRSQRQKLL >ONIVA10G02890.1 pep chromosome:AWHD00000000:10:3077330:3093657:-1 gene:ONIVA10G02890 transcript:ONIVA10G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCLLLLALFLLLGTHGGEAQPLVPAVMTFGDSSVDVGNNDYLKTIIKANFPPYGRDFKNQVPTGRFCNGKLATDITAETLGFESYAPAYLSPDASGKNLLIGANFASAGSGYYDHTALLYHAIPLSQQLEYFKEYQSKLAAVAGSSQAQSIINGSLYIISAGASDFVQNYYINPFLYKTQTADQFSDRLVGIFKNTVAQLYSMGARRIGVTSLPPLGCLPAAITLFGYGSSGCVSRLNSDAQNFNGKMNVTVDSLSKTYSDLKIAVFDIYTPLYDLVTSPQSQGFTEARRGCCGTGTVETTVLLCNPKSIGTCPNATTYVFWDAVHPSEAANQVLADSLLAEGINLNRSKNPNSSGSHAIFHFPIAACSARRRRRALPLRRCRRPPKRADTSHRRAASSRGRRRIVTAHSLCSPQPDTSGCCCSISRRRRVGGRFPALPPPLTDRSSDFKSCVMEATHSSSWADLQPELLGLVLGRLPSLADPKSSLSPMALQCSVAARSPPLPWLTLLHGTFLSISDGKIHRMPLPNDASCHGSIDNWLFLRDSNGGCSLMNLFSKATLHLPKLASIWHDKMERAYRGSGILLCNEYTLLSYKFAVPLPLDSSPVPLVAVLINDPLHLYALSASGKLYILEISEGHEGKPEVSCINSMVDLAEEPVTESHFQPCRESHPRMLWRYLVESGGRLLQVIRLFGYPFPLSHDDVLEDPRTLSFVVYEADLSNGSRMWRRVESLGGQALFVGTHGSKSVPAVECGAQEDCIYFISDYNRPYSANPLGDSGIYNMRNEMITPLVRLVSGNRHSGVYYQWRHPRWVVRHLFPLLFAVPTKRPRHDRALAGDGERALPERTKARGRRPSTLSSPGYLLWRKPPRTAHCLDTSGCCLLVDLRRRVPRPYIMVSKQQSSSWADFQPELLGLVLRRLPSHADRVQLRAVCRPWRSNAEMQFVPPPHPWRSNGQMQPFPPPLPWLGLLDGTFLDIASCAIHRMTVPDDACCHGSLGNWLFLMKSDGGCSLMNPFSRAKLKLPKLATCNGVYIFKPHLHKLVAPSPLDSSPDSLVAVLTIDYCNLSTIFICQPPVTTDSSKGKKPLEYIADVAFFDGKLYAISKSRNLLILEITGSSGKKPTILAVDSLINSTDHISARPKTLLKVVVYICREYLVECRGRLLMVTRYIPSVAHPTGPDYYEHYRTAGFEVFEADLTNIPGRWRRVHNLGGQALFVGKHCSKAFPAGESGGAQEDCIYFMCDYPPPGFAADPLRDSGVYNMRDGMIKPLLTGIAAELPHRVGQSRPTWLFPTDTMHQPTLQWQQMAQKIHLLASQIPQNLPINFLFKKNTKPQFPIRPLAPPPEPLAGDAPRRRRRIPSELTRSSSSSPSPEICLAPAYFGGCYSAPLPLLRRALPPTSPISSNSRRPAASRRSRVSPAVSKSCMMAATQSSSWADLQMDILGLVLRRLPSLADRVRLRAVCRPWRSNAQLLTLPPPFPWLNLLDGTFLSISDGEIHRMPLPDDACCYGSIDNWLFLTDSDDGCSLMNPFSKATLQLPKLARIWHHERGNAYNACTRLFYKLAVPLPLDLSSDSLVAVLMNDPLRHSVVCIVHRSISTDSFRFHDRPIKNNFYDIAFCGGKLYALSCGKLFTVEMSEVHIEKPKVPHVECIVEDFPTESHSQPCPENHICVTWPYLVESGGRLLNVIRLVGVPFPPEDDDDIFKDSLTFSFEVYEADLNTGSRMWRRVESLGDQALFVGRHYSKSLSAAEYVGAQEDCIYFMCDDYFRSDEDPLCDAGIYNMRSGVITPLLQENTAPRLHPTGEGHPTWFFPADGRRTLDELTPSSSSPAVVYLAPLRRSLPGYRRQFSPPQLGDFKSCMMAAVQSSSWADLQPELLGLVLTRLPSLADRVRLRAVCRPWRSNARLQPLPPPLPWLTLLNGTFLSISDGEIHCMPLPDDASCHCSIDNWLFLSHDDGGFSLMNPFSKATLQLPKLDTIWCHHLWYAALKFTLFYKLAVPSPLDFSPTSLVVALIMNRSHQKALCICQPPVATESFRVEGSTMEGMQDFTFLDGKLYVLNNFNKLFILEIDESHIGNPKISSIECIIDSQDDSTTEPQSFPEDYLIMLRYYLVESGGVLLMVTRYVGIVLPLAEPNSFKHSRTLSFKVFEADLTTGSRMWRRSLPAAECGVPQEDCIYFMCDYWRPYAGDPLCDSGVYNMRNGVITPLLQDATAPRLHPTGRGDPAWFFPADVAT >ONIVA10G02890.2 pep chromosome:AWHD00000000:10:3083232:3093657:-1 gene:ONIVA10G02890 transcript:ONIVA10G02890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCLLLLALFLLLGTHGGEAQPLVPAVMTFGDSSVDVGNNDYLKTIIKANFPPYGRDFKNQVPTGRFCNGKLATDITAETLGFESYAPAYLSPDASGKNLLIGANFASAGSGYYDHTALLYHAIPLSQQLEYFKEYQSKLAAVAGSSQAQSIINGSLYIISAGASDFVQNYYINPFLYKTQTADQFSDRLVGIFKNTVAQLYSMGARRIGVTSLPPLGCLPAAITLFGYGSSGCVSRLNSDAQNFNGKMNVTVDSLSKTYSDLKIAVFDIYTPLYDLVTSPQSQGFTEARRGCCGTGTVETTVLLCNPKSIGTCPNATTYVFWDAVHPSEAANQVLADSLLAEGINLNRSKNPNSSGSHAIFHFPIAACSARRRRRALPLRRCRRPPKRADTSHRRAASSRGRRRIVTAHSLCSPQPDTSGCCCSISRRRRVGGRFPALPPPLTDRSSDFKSCVMEATHSSSWADLQPELLGLVLGRLPSLADPKSSLSPMALQCSVAARSPPLPWLTLLHGTFLSISDGKIHRMPLPNDASCHGSIDNWLFLRDSNGGCSLMNLFSKATLHLPKLASIWHDKMERAYRGSGILLCNEYTLLSYKFAVPLPLDSSPVPLVAVLINDPLHLYALSASGKLYILEISEGHEGKPEVSCINSMVDLAEEPVTESHFQPCRESHPRMLWRYLVESGGRLLQVIRLFGYPFPLSHDDVLEDPRTLSFVVYEADLSNGSRMWRRVESLGGQALFVGTHGSKSVPAVECGAQEDCIYFISDYNRPYSANPLGDSGIYNMRNEMITPLVRLVSGNRHSGVYYQWRHPRWVVRHLFPLLFAVPTKRPRHDRALAGDGERALPERTKARGRRPSTLSSPGYLLWRKPPRTAHCLDTSGCCLLVDLRRRVPRPYIMVSKQQSSSWADFQPELLGLVLRRLPSHADRVQLRAVCRPWRSNAEMQFVPPPHPWRSNGQMQPFPPPLPWLGLLDGTFLDIASCAIHRMTVPDDACCHGSLGNWLFLMKSDGGCSLMNPFSRAKLKLPKLATCNGVYIFKPHLHKLVAPSPLDSSPDSLVAVLTIDYCNLSTIFICQPPVTTDSSKGKKPLEYIADVAFFDGKLYAISKSRNLLILEITGSSGKKPTILAVDSLINSTDHISARPKTLLKVVVYICREYLVECRGRLLMVTRYIPSVAHPTGPDYYEHYRTAGFEVFEADLTNIPGRWRRVHNLGGQALFVGKHCSKAFPAGESGGAQEDCIYFMCDYPPPGFAADPLRDSGVYNMRDGMIKPLLTGIAAELPHRVGQSRPTWLFPTDTIHENSSAGVTYSQ >ONIVA10G02890.3 pep chromosome:AWHD00000000:10:3083232:3093657:-1 gene:ONIVA10G02890 transcript:ONIVA10G02890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCLLLLALFLLLGTHGGEAQPLVPAVMTFGDSSVDVGNNDYLKTIIKANFPPYGRDFKNQVPTGRFCNGKLATDITAETLGFESYAPAYLSPDASGKNLLIGANFASAGSGYYDHTALLYHAIPLSQQLEYFKEYQSKLAAVAGSSQAQSIINGSLYIISAGASDFVQNYYINPFLYKTQTADQFSDRLVGIFKNTVAQLYSMGARRIGVTSLPPLGCLPAAITLFGYGSSGCVSRLNSDAQNFNGKMNVTVDSLSKTYSDLKIAVFDIYTPLYDLVTSPQSQGFTEARRGCCGTGTVETTVLLCNPKSIGTCPNATTYVFWDAVHPSEAANQVLADSLLAEGINLNRSKNPNSSGSHAIFHFPIAACSARRRRRALPLRRCRRPPKRADTSHRRAASSRGRRRIVTAHSLCSPQPDTSGCCCSISRRRRVGGRFPALPPPLTDRSSDFKSCVMEATHSSSWADLQPELLGLVLGRLPSLADPKSSLSPMALQCSVAARSPPLPWLTLLHGTFLSISDGKIHRMPLPNDASCHGSIDNWLFLRDSNGGCSLMNLFSKATLHLPKLASIWHDKMERAYRGSGILLCNEYTLLSYKFAVPLPLDSSPVPLVAVLINDPLHLYALSASGKLYILEISEGHEGKPEVSCINSMVDLAEEPVTESHFQPCRESHPRMLWRYLVESGGRLLQVIRLFGYPFPLSHDDVLEDPRTLSFVVYEADLSNGSRMWRRVESLGGQALFVGTHGSKSVPAVECGAQEDCIYFISDYNRPYSANPLGDSGIYNMRNEMITPLVRLVSGNRHSGVYYQWRHPRWVVRHLFPLLFAVPTKRPRHDRALAGDGERALPERTKARGRRPSTLSSPGYLLWRKPPRTAHCLDTSGCCLLVDLRRRVPRPYATDMHITSHENSSAGVTYSQ >ONIVA10G02890.4 pep chromosome:AWHD00000000:10:3077330:3082254:-1 gene:ONIVA10G02890 transcript:ONIVA10G02890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSKSCMMAATQSSSWADLQMDILGLVLRRLPSLADRVRLRAVCRPWRSNAQLLTLPPPFPWLNLLDGTFLSISDGEIHRMPLPDDACCYGSIDNWLFLTDSDDGCSLMNPFSKATLQLPKLARIWHHERGNAYNACTRLFYKLAVPLPLDLSSDSLVAVLMNDPLRHSVVCIVHRSISTDSFRFHDRPIKNNFYDIAFCGGKLYALSCGKLFTVEMSEVHIEKPKVPHVECIVEDFPTESHSQPCPENHICVTWPYLVESGGRLLNVIRLVGVPFPPEDDDDIFKDSLTFSFEVYEADLNTGSRMWRRVESLGDQALFVGRHYSKSLSAAEYVGAQEDCIYFMCDDYFRSDEDPLCDAGIYNMRSGVITPLLQENTAPRLHPTGEGHPTWFFPADGRRTLDELTPSSSSPAVVYLAPLRRSLPGYRRQFSPPQLGDFKSCMMAAVQSSSWADLQPELLGLVLTRLPSLADRVRLRAVCRPWRSNARLQPLPPPLPWLTLLNGTFLSISDGEIHCMPLPDDASCHCSIDNWLFLSHDDGGFSLMNPFSKATLQLPKLDTIWCHHLWYAALKFTLFYKLAVPSPLDFSPTSLVVALIMNRSHQKALCICQPPVATESFRVEGSTMEGMQDFTFLDGKLYVLNNFNKLFILEIDESHIGNPKISSIECIIDSQDDSTTEPQSFPEDYLIMLRYYLVESGGVLLMVTRYVGIVLPLAEPNSFKHSRTLSFKVFEADLTTGSRMWRRSLPAAECGVPQEDCIYFMCDYWRPYAGDPLCDSGVYNMRNGVITPLLQDATAPRLHPTGRGDPAWFFPADVAT >ONIVA10G02880.1 pep chromosome:AWHD00000000:10:3075144:3076337:-1 gene:ONIVA10G02880 transcript:ONIVA10G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRPCNGGSWPDLPSELLGLVLLRLPSHGDRVRLRAVCRPWRSSARLERKLLPPPLPWLFLPDGAFLTLPDGAAHRRLAIPGDVAHLVPTGSGLLLAHNDGMFSLMNPSSSATTPLPDLAAVFHGEIKCKYPDTAFQLGQRRITPIIKAVVSEHFIAFYFNSSKVIITSGQPHTVVKWSPPDSSYILDIALFQGKLYCLTFDIKNCQEELYILEVRDEEPMVSDVKCIHSTPRDVGDEDEAWFNPHSTDRYTFHRYLVADGDRLLMVARWINLNLPPMLPRDSSIKRTRRFDVFEAVDLSSEHGRWIKVDTLMGHSLFVSESCSESLTAGAEEDCIYFMNDGITNRIPKDPLSDSGVYNMRDGMVAPLMPETAVTEHLAAHDGPWFSTWLFPTET >ONIVA10G02870.1 pep chromosome:AWHD00000000:10:3072474:3073511:-1 gene:ONIVA10G02870 transcript:ONIVA10G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRAAGAGSSSAAAPVAPPPRRRLHHPPRRRGHRLPAVPGDATHLASTGSGLLIVHGDGMLSLTNPSSLAMTPLAALAAVLPKYIRYKYLAADRQRLVPLINKAVVSDNFTALLIGNRTWKVIVTIGFSPPLAHFPSSIVDIASFQGKLYYLTSDVRKRQEELYIFGVDNAKQIGIRCISSTLKDIGEESWFGPCSTERYATERYLVASNDRLLMVRRWINLPPIYPSDSGIVKRTRRFEVFEAADLSSGCGRWIKVDTLMGHALFVSKGCSKSLSAGAEEDCIYFMHEDIKNGKPEDPFLDSGVYNMRDGTVAPLLTETLVAEPLAVHGGPWCPTWLFPSET >ONIVA10G02860.1 pep chromosome:AWHD00000000:10:3067156:3069748:-1 gene:ONIVA10G02860 transcript:ONIVA10G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAASAGGSVSGGGGCGPAACAMATAQSSAWSDLRPELLDIVLHRLHSLADRIRFRAVCRPWRHIALAQPLPPLMPWLALGNGDFLIIPDGEIHRMDVPDNACCHGSCDNWLFVVHDNGLCSLMNPFTKASVQLPSLPKVAPHNELLSDAKFHMTVVPPASLNSPSDLLAAVLIRDFRDTLFSFCQPLINSGSFNGCRQGMLISGIAFCHGKLYVANPDFMLYKFDLAVSSGGNRYSSMKKMTLLREELQIWPQDIPLSKEDYHIIRRYLVECDGRLLLVRRRMQIRPFAKCDDLLETACTCWFDVFEADFTVQPCQWRRLNTLGRRALFIGKYCSKSVSSEECEEVKEDSIYFMCDYVKSDQSVDPLRDSGVFNMKTGAITPLLSRTNAALPRHFGRWCLSWFFPSEAR >ONIVA10G02850.1 pep chromosome:AWHD00000000:10:3061384:3063258:-1 gene:ONIVA10G02850 transcript:ONIVA10G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase APG [Source:Projected from Arabidopsis thaliana (AT3G16370) UniProtKB/Swiss-Prot;Acc:Q9LU14] MAQLRLTLLAMSLLILSPAMDGGGGGTVQAQIVPAVISFGDSTVDVGNNNYLPGAVFKANYVPYGVNFGSRRKPTGRFSDGKIVTDITAETLGFESYAPPYLSPQAKGDNLLLGANFASAASSYHDDTAAMYQLKYYKEYQSKLAALIGQKNATAILSDALYIVSTGTGDFIQNYYHNASLSSRYNVNSYCDLLISIFSGFANVGGAADWCHVSAAAGLSACHNQAGRSGCVERLNGDAETFNNKLNITVEALAKKHSDLKIAIFDIYTPLRNMSESPASQGFLEARKTCCQTGTRKTRVYLCNPATAGLCRNASDFVYFDGVHPSEAANLVIAESTISAGISLVT >ONIVA10G02850.2 pep chromosome:AWHD00000000:10:3061384:3063258:-1 gene:ONIVA10G02850 transcript:ONIVA10G02850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase APG [Source:Projected from Arabidopsis thaliana (AT3G16370) UniProtKB/Swiss-Prot;Acc:Q9LU14] MAQLRLTLLAMSLLILSPAMDGGGGGTVQAQIVPAVISFGDSTVDVGNNNYLPGAVFKANYVPYGVNFGSRRKPTGRFSDGKIVTDITAETLGFESYAPPYLSPQAKGDNLLLGANFASAASSYHDDTAAMYQLKYYKEYQSKLAALIGQKNATAILSDALYIVSTGTGDFIQNYYHNASLSSRYNVNSYCDLLISIFSGFANELYRLGARRIGVTSLPPLGCLPATIRLYGKGRSGCVERLNGDAETFNNKLNITVEALAKKHSDLKIAIFDIYTPLRNMSESPASQGFLEARKTCCQTGTRKTRVYLCNPATAGLCRNASDFVYFDGVHPSEAANLVIAESTISAGISLVT >ONIVA10G02840.1 pep chromosome:AWHD00000000:10:3055268:3059195:1 gene:ONIVA10G02840 transcript:ONIVA10G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPLEAIARLLADLARRRSHPPPGGGRSGDSLAASVSSLAAALNPHGGGASSSSSSGTRVLDAVLSLMCFDPMEVDRARVDCLVRTTVSALSASVSCRVDHIDGAEMLTVGSSVAPGDCRELVHSCAALLEKLGDPDVADHSYDLLYAVVKAALLSPRYRCLFPLPYYREDEDSTCDMGTISSVLTRHPTYQVLPNDYTIPLRGLGAVLELQTAVVSSVLDVLFEPMAWGISMELGQKLPFSYDYFPHQHIDLLAILTGPLSCRKFVDLTSYIDSQSHSSKGSVKYNSSWSMIVNFPLWFNFATALLFHREGSHGYLSEALSMEIISESIRDVNLAHRAAMYLSWVLCPSNEDQRQILAGNILELSHSWARNNKKGPSHVHHTSTVNHRRKLRIPTVGDTEKLHLSTNPVSSLIKEFDDRCVKFCSKTANSQVQDEELSDLPIHFNFLHLWIPLGILLVSSSFVNDQDCDMLLHYSSTGQVLESNEVQRKTKDHICNDSFSASCKGFTETWASAGASLVFGWLDLIINMSAVIFEREDICDHFVSQLKSKTNPYLLKCLYSLLEVLDEASQRDFLVDLHDRLLNWNKKGQSFDGFEAFEDIILRMNKKFHFRT >ONIVA10G02830.1 pep chromosome:AWHD00000000:10:3052581:3054700:1 gene:ONIVA10G02830 transcript:ONIVA10G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGFGSTGSIPPRRLQLAAAAAAIGLNNTMEVRPMVALRAALVGGVAAFAKIGAAMKAAGGAKVGAAAAAMTAAATAAVSSKDTNKDNPKTETK >ONIVA10G02820.1 pep chromosome:AWHD00000000:10:3048365:3052137:-1 gene:ONIVA10G02820 transcript:ONIVA10G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKENLDLSDLNASLPAAAAALSAEDRAGLVNALKDKLQSLAGQHTDVLEALSPNVRKRVEYLREIQGQHDEIELKFFEERAALEAKYQKLYEPLYTKRYNIVNGVVEVDGGNDEPASENAAEFKDADAKGVPDFWLTAMKTNEVLSEEIQERDEPALKYLKDIKWARIDDPKGFKLDFFFDTNPFFKNSVLTKTYHMVDEDEPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNAKPITKTEVCESFFNFFSPPQVPDDDEDIDEDTADELQGQMEHDYDIGTTIRDKIIPHAVSWFTGEAVQAEDFDDMEDDEEDDEDDDEDEEEEEEDEDEDEDDEEEKSKPKKKSAGKPKLPSKGGAQGGADQPADCKQQ >ONIVA10G02820.2 pep chromosome:AWHD00000000:10:3048337:3052137:-1 gene:ONIVA10G02820 transcript:ONIVA10G02820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKENLDLSDLNASLPAAAAALSAEDRAGLVNALKDKLQSLAGQHTDVLEALSPNVRKRVEYLREIQGQHDEIELKFFEERAALEAKYQKLYEPLYTKRYNIVNGVVEVDGGNDEPASENAAEFKDADAKGVPDFWLTAMKTNEVLSEEIQERDEPALKYLKDIKWARIDDPKGFKLDFFFDTNPFFKNSVLTKTYHMVDEDEPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNAKPITKTEVCESFFNFFSPPQVPDDDEDIDEDTADELQGQMEHDYDIGTTIRDKIIPHAVSWFTGEAVQAEDFDDMEDDEEDDEDDDEDEEEEEEDEDEDEDDEEEKSKPKKKANRSTFSTSYHRRAGHKEVLINQQIASSSRTVVVHSAT >ONIVA10G02810.1 pep chromosome:AWHD00000000:10:3042441:3044639:-1 gene:ONIVA10G02810 transcript:ONIVA10G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRGIGAKLGKVNHEKVTSALLLGSFVVLGWRSWEQQHEIDELEARKASLRAANTAMSSAMWAWREELFALAAAPSPPISASRLRVIYGEEQPPASPASKKPGADAEEEPFAIA >ONIVA10G02810.2 pep chromosome:AWHD00000000:10:3042441:3044716:-1 gene:ONIVA10G02810 transcript:ONIVA10G02810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRGIGAKLGKVNHEKVTSALLLGSFVVLGWRSWEQQHEIDELEARKASLRAANTAMSSAMWAWREELFALAAAPSPPISASRLRVIYGEEQPPASPASKKPGADAEEEPFAIA >ONIVA10G02800.1 pep chromosome:AWHD00000000:10:3038997:3041132:-1 gene:ONIVA10G02800 transcript:ONIVA10G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGRRGIPSLLNSSSSDEHIATDITQLVGWTPLIELNRIVRKDGVNARIVGKLESYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLVEPTSGNLGIGVAYNALLKGYRFVAVMPAEYSLDKQMLLTYLGAEVILTDPTLGFQGQLDKVEQIKNDMPNVHHLDQFKNAANPEAHFVWTGPEIWKDTAGKVDIFVAGSGTGGTISGVGKYLKMKNPAVKVICVEPAESPVISGGKPSRHKIQGMGPGFVPKNLDISIVDEIITVTAQDAMANAKRLAREEGLLVGISSGANLAACLKVASRKEYEGKMIVTIFPSGGERYMNSDLFAQAREECSAMTF >ONIVA10G02800.2 pep chromosome:AWHD00000000:10:3038997:3041132:-1 gene:ONIVA10G02800 transcript:ONIVA10G02800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGRRGIPSLLNSSSSDEHIATDITQLVGWTPLIELNRIVRKDGVNARIVGKLESYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLVEPTSGNLGIGVAYNALLKGYRFVAVMPAEYSLDKQMLLTYLGAEVILTGKDVKHKSLGQLDKVEQIKNDMPNVHHLDQFKNAANPEAHFVWTGPEIWKDTAGKVDIFVAGSGTGGTISGVGKYLKMKNPAVKVICVEPAESPVISGGKPSRHKIQGMGPGFVPKNLDISIVDEIITVTAQDAMANAKRLAREEGLLVGISSGANLAACLKVASRKEYEGKMIVTIFPSGGERYMNSDLFAQAREECSAMTF >ONIVA10G02790.1 pep chromosome:AWHD00000000:10:3033896:3036266:-1 gene:ONIVA10G02790 transcript:ONIVA10G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEGIGRRGLPSLLGSSSSESGGIGQEHIASDITQLIGWTPLVELKRIASKDGIDARIVGKVEAYQPLCSVKDRSALRMIEDAEEKGLITPGVTTLVEPTSGNLGLGLVLVALRKGYRFVAVMPGQYSFDKQILLKYMGAELFLSDPTLGFQGLVDKVEQLKKELPNVHVLNQFSNPANQEAHMRLTGPEIWKDTAGKVDIFVTGSGSGGTVSGVGKYLKLQNPAVKIICVEPAESPVISGGEPGKHKIQGIGPGLIPDMLDTSVIDEVVTVNTDEAMVNARRLAMEEGLLMGISSGANLAACLKVASREENKGKMIVTMFPSGGERRIKNSYV >ONIVA10G02790.2 pep chromosome:AWHD00000000:10:3033938:3036266:-1 gene:ONIVA10G02790 transcript:ONIVA10G02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEGIGRRGLPSLLGSSSSESGGIGQEHIASDITQLIGWTPLVELKRIASKDGIDARIVGKVEAYQPLCSVKDRSALRMIEDAEEKGLITPGVTTLVEPTSGNLGLGLVLVALRKGYRFVAVMPGQYSFDKQILLKYMGAELFLSDPTLGFQGLVDKVEQLKKELPNVHVLNQFSNPANQEAHMRLTGPEIWKDTAGKVDIFVTGSGSGGTVSGVGKYLKLQNPAVKIICVEPAESPVISGGEPGKHKIQGIGPGLIPDMLDTSVIDEVVTVNTDEAMVNARRLAMEEGLLMGISSGANLAACLKVASREENKGKMIVTMFPSGGERYMNSDLFAAVREECNAMTF >ONIVA10G02780.1 pep chromosome:AWHD00000000:10:3031828:3032669:-1 gene:ONIVA10G02780 transcript:ONIVA10G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPREGGGGNGGDGEAREERVSSGYYSSSSAARQHGSEQPPPTQQMERRSSSAAAAAEEGVGVVLVGSGDPGRIPAAVFERDTSESNKDWSMMSTESVFALQVAPSSDFTGFFLAHPELMDIATPPRSSSSSAAAGEAVGHAHSAQFESIPELGEATMRIQGQYSFAFPNLVEVKRHSAKNPQEDQPMSATMATAAAAAAAETTAPAPVRAETSSKPEEAPAKAATKGGWLPCFPCC >ONIVA10G02770.1 pep chromosome:AWHD00000000:10:3029255:3032027:1 gene:ONIVA10G02770 transcript:ONIVA10G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPHRGGSPSPRFTLQPSRLPPEDILFCVDVDLETRSEMRIAPGPAAAAAASPGAAGASSGAAAASRQAARPPVKRMDAVKQALLLFVHSKLTMCPDHRFAFASLGDTVSLVKKDFSSDAGSAVEAIQSLDASETRYAMADLTQLFKIAYQEGKRAELQGRLLRVVLIYCRSSTKPQHQWPIKQKNFTLDIIYLHDKPTADNCPQKVYDALVDALEHVSQYEGYILETGQGLARILFRQTCILLSHPLQRCIQDDLDIPKPLAKKNMVTEAAQNEDGMPVSTQ >ONIVA10G02760.1 pep chromosome:AWHD00000000:10:3023136:3027778:1 gene:ONIVA10G02760 transcript:ONIVA10G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: endoplasmic reticulum, plasma membrane; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Saposin B (InterPro:IPR008139); Has 137 Blast hits to 137 proteins in 50 species: Archae - 2; Bact /.../ 0; Metazoa - 41; Fungi - 10; Plants - 36; Viruses - 0; Other Eukaryotes - 48 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G29520) TAIR;Acc:AT4G29520] MARGGGVAVAMAVAVAAVVLLLHPAASAAAAGPKKVATAARKEDIPYIRCQVCERIAREISAQVAKKQQALPATKKVPEIEIIEIAENVCNLKKQEADWMLKIDIVEKGDKLELVEQDEEGHCNAECKTIERACQEVMGYADTDVAEFVYKKKPSADQLVKFLCKDLSEACVVDPPPVPKDRVPGEPFAAKPSKDAEMDRILKSMEGIPGAPSMKMYSRDDLMKNNFGVDGDDDDDDEDEDDDFPKNLGNVFKDKGSPKKDLKQQVVKQIKDTGKKLKGHVNKVSKVVKKWWQGKKKPSKSSKTEL >ONIVA10G02750.1 pep chromosome:AWHD00000000:10:3019885:3021309:-1 gene:ONIVA10G02750 transcript:ONIVA10G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRFDLEIASRELVRASRPPPGFPPVLAVSNLDLILGPFPIYLVSVYAPPPGGVAAVVSAVRAALPAYLSHFFPFAGRVVRDPATNIPEVACNNAGAELVVADAAVPLAAVDFAQVDRSIGLMRVAFDVSLPLSLQLVRFACGGFSLTVATNHLLADGRAFIVLLNALGEMVREGRLTSEPLLDRSLLMPRSPPRFSPSLDEEFSRFTPATMINPLMAAAIQRRLYRIEAADLERLREEASAGGGGGRRATRFVALCAHVWKLLARAVGDSDTHCRMAWIIDGRKRLEPPSVGGGEGGALDRYMGNVVTYTSREASVEEVLGAPLHAVAGMVRAAITAAMTRDRFQQLVDWMETKKAAAFKDGGKWTEAVNLGLGSPAMVISGLLPFAIDGDMGFGKPRLVMPWLQHGRLGSASATVVPSPAGDGSWFFAGTRLWPRLLEVVEAAGPDCLLKPATAASLGLAYPAGAHGSRL >ONIVA10G02740.1 pep chromosome:AWHD00000000:10:3014443:3015357:-1 gene:ONIVA10G02740 transcript:ONIVA10G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNVVVLPTVVSRSCRLTVVVEPFDKVVEIKQKVESCYGIPVTAQCLLYWNRELADDYDIEYYPIFDGSHVLLLLHWQVAARFCWIHGLAKWSGGDTTHDMVHVTAYLPPASWGRKVTVFARREESVVALKRRIHGVQKMAMPLPECMWLGVNDFVCGGLMVMLDHWPLGAYVEFDSGVVEVTIVDCNKMVEAGSSGGSNRNTNADANDSKIVIGLLMEGSRSQHMDFLLEASPVDMVATLREQLNDNFEGSPETPLLAEGDYHFELNRVAMNEELSLEAHGVVESGETIMIIFGRLPAPRRE >ONIVA10G02730.1 pep chromosome:AWHD00000000:10:2994698:3003251:1 gene:ONIVA10G02730 transcript:ONIVA10G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFHSTGVVFSVTSILRFQSHDNSIATCITACRRIIIVFVLLFSFSNPTKRNCGRSIFCPYPSRFLRKHFPRCTLCSCSGSRSAPSTPPRRRARHAAAAAAARPLRRRGHRPDVGPGVRPAAGLPRRAPRLQPRPHPRFLQRLPHRRLPGAGARVRRGGRGGARRPARVPLPLLPLRRPRRGRRRHGHPRGRVRQRRGRACPGRRRSRARRRGLRRRRPVVGDHPVAVRAGHRAVAAARAVQVRRLLDVVGHQPPARRRPWPHRAAHRVGGDAPHRWPLVGAPPRPALPLPAALPAAARRVARRRVHAVRAGEPRQPAPRRRARAAQLRRRRRRPRPPPRGGQHRLPPRHATRGALRARLEAPRRGHPRLRRALPPRVARRRAEAARPGQVRSNPREQLPRERGDLRFEGVSGGGDHVLAARRRGGHGRRGHRRGVPAGAVRGARGLDGAPQGGGVQEWREVDGDGGDRHGEPGGGGLGVRAVQGGRRLRVRVAGAGDAMGAAGPARIGGDDGGAEPQGGRVVGGVSQAMAAARRRHRGGSGRRAQAGHGGAARPRSPRAGGRRRGAPCEPLVSWAAVRLATFSFRHVA >ONIVA10G02720.1 pep chromosome:AWHD00000000:10:2990592:2993925:1 gene:ONIVA10G02720 transcript:ONIVA10G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTHGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >ONIVA10G02720.2 pep chromosome:AWHD00000000:10:2990755:2993925:1 gene:ONIVA10G02720 transcript:ONIVA10G02720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGWGNEVSLGFFRLWISSPPRLTRSPRAACARSPCVIGDASPPSSSVHPGFSPPLAFEPSNVPPRGSGHKQTCLEMQRVL >ONIVA10G02710.1 pep chromosome:AWHD00000000:10:2978088:2986951:-1 gene:ONIVA10G02710 transcript:ONIVA10G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent peptidases;nucleotide binding;serine-type endopeptidases;DNA helicases;ATP binding;damaged DNA binding;nucleoside-triphosphatases [Source:Projected from Arabidopsis thaliana (AT5G50340) TAIR;Acc:AT5G50340] MPHPSAAAMLPSPSSLLRLLRRPHPRLLPPPPPLLTRFLSSSSPGDAAGWASYDPLTDSLAPPAAAAAASDSEAPAEGEAWGVFDAVTGRIVMKEHPPYSQPPPPGPDEERSKVGRRRSAGVKDEARWSSVAAVGKARGKAGKERASYVCGNCGEGFSQWWGTCRHCEAMGTLTKYVPGSDPGASVGSHHAFRSWIPQKSKEMVPQSLQQVTKGVDQSEWRIPLSGNFGMEIARVLGGGVVPGSLILVGGDPGVGKSSLILQLASIMSENIGAGESSAVVYVSGEESIEQIGNRADRMSIKSRNLYLYSSTDIEDILDKIQPLSPRALIIDSIQTVYLRGFAGSAGNMTQVKECTSALLRFAKLTNIPVILIGHVTKTGDIAGPRLLEHIVDVVLYMEVEKPNRKFGACISGREMLISSVVAISEKSFRFNRRGYGLQPVLNPTEMFLTEHDSDSEILAGLAVAVVLDGSRTFAIEVQALCVSGSPRNGEVVGIPRNRADIIISVLMKQAGLKLQDNAVFLNVVSGFMLTETAGDLAIAASICSSFLEYPIPNDIAFIGEVGLGGELRTVPRMDKRVLAIAKLGYKKCVVPKTSEKLLRPLNLELEILPCSNLKEVINTVFRPQG >ONIVA10G02700.1 pep chromosome:AWHD00000000:10:2975828:2977833:1 gene:ONIVA10G02700 transcript:ONIVA10G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWGGLGQAATVAQLVGADVGGLISSIIQAAATARQNKRECDQLARRVVMIADLLPHLQDPEVMRRPEVRRPLAELGDTLREAHELVASCQGRSAAYRFVMAGRLADRFRDVQSKIDSYLIVFPFIAHIDITRRLDQIYRILAPNDTAAASSSSSAGSSQSDQIYNILVSNDTTAASSPSSAGSLQSPDALEFARISQGDGGEEFTVKELVAATNNFANEIGRGSSGSVYKGRLRDGREVAIKSLVKTSPDHGREESLMRGLAILSRLRHDHIVRLLGFCVVREKKRESTLLLSFRKKKKAAAERQAGELLLVYDYMENGSLADQLHGHLSSSSSSSPVMASWKMRIKMLLGVSRGIQYLHHGATTTPIIHGDIKLSNILVDSSWVPHLTDFGAAVINGMERPSTVVHGTAGYIDPEFYSTMNQTRSSDVNSFGVVMLEMLTGKRPIFIDRKEEGEVTNLVAFSLPIIEDGELGRLLDRRPAEPTARQLEALEMVARTAARCVQLQRKERPAISEVVAILETALDLLLRDG >ONIVA10G02690.1 pep chromosome:AWHD00000000:10:2967473:2973002:1 gene:ONIVA10G02690 transcript:ONIVA10G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IPP1] MASPPTASASASEAAESGRRSAPGPIDVPSPRDHLHHLLDRRDTPRVVHVEGTTMQRQRGEAAGDAGAAAAAAKPEVKLVTGDGGYVLEDVPHVCDYLPDLPTYSNPLQDNPAYSVVKQYFVNPDDTVCQKAIVHKDGPRGNHFRRAGPRQRVFFESDEVHACIVTCGGLCPGLNTVIREISHQPIQGGASHPYLHLHLAMNFIEENGGYRGFYACNTIDLSPKSVNDIHKRGGTVLGTSRGGHDTMKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAGSAENGIGLVKLMGRHSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLFRYLEKRLKENGHMVIVVAEGAGQKLINETKESMGKDASGNSILLDVGLWLSQKIKEHFKKIKTTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTVGQVNGRHCYIPFYRITEKQNKVSITDRMWARLLSSTNQPSFLSKKDVEDAKMEEERASKFFDGPPPNPKVEDKVASNGKAVK >ONIVA10G02680.1 pep chromosome:AWHD00000000:10:2963468:2966486:-1 gene:ONIVA10G02680 transcript:ONIVA10G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEAAGGRRGVAAGERRKAKAKEAAVGAMARALFYPTLLYNVVRSKVQSEFRWWDEVDQFILLGAVPFRRDVPRLQKLGVYGVITLNEPFETLSRGIDHLVIPTRDYLFAPSLVDISRAVDFIHRNASCGRMTYIHCKAGRGRSTTIVLCYLVVQDFSKKNAEAELPTVTSHSAAASSAGNVVSVTEADLESSEVTAANIPDITEHASLSSHKTTPTKPMTNMLSCLFPSLK >ONIVA10G02670.1 pep chromosome:AWHD00000000:10:2959240:2961676:-1 gene:ONIVA10G02670 transcript:ONIVA10G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IPN9] MSWQAYVDDHLMCEIDGNHLTAAAIVGHDGSVWAQSPNFPQYKPEEITGIMKDFDEPGSLAPTGLFLGGTKYMVIQGEPGVVIRGKKGTGGICVKKTGLSLILGIYDEPMTPGQCNMIVERLGDYLIEQGC >ONIVA10G02660.1 pep chromosome:AWHD00000000:10:2953218:2956573:-1 gene:ONIVA10G02660 transcript:ONIVA10G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box zinc finger protein 22 [Source:Projected from Arabidopsis thaliana (AT1G78600) UniProtKB/Swiss-Prot;Acc:Q9SYM2] MKIQCNACGAAEARVLCCADEAALCTACDEEVHAANKLAGKHQRVPLLSDGGAAPAAAAAPAVPKCDICQEASGFFFCLEDRALLCRDCDVSIHTVNSLVSVHQRFLLTGVQVGLDPADPVPPVADKHVKSAGGSVDSATKHLQRNPTDLSGENSASLPSQNVINGNYSRQSSATMAKTGQVNWTMSNNTIRSIDPPPKYSSEESPALLLASHTNTVAAYSNQISKDSDRIYNLPFTGGNGSDSLHDWHVDEFFSNSEFGFAEHGSSKGDNAKPGSAGGSPQCRLAEGLFVEGLLGQVPDNPWTVPEVPSPPTASGLYWQNNLLCPSYDSTMFVPEISSLENSQNNFTVSAGLKRRRRQF >ONIVA10G02650.1 pep chromosome:AWHD00000000:10:2946996:2951933:-1 gene:ONIVA10G02650 transcript:ONIVA10G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0IPN7] MLRRVAPSLRRAILTSSAHGRAGAQLTEPGLSPPHALLPQWRFCSSAASTNSPPPPPPPPQGTPRPAGGSTVSSLNPAEVAKFAAIAETWWDSEGPFKPLHLMNPTRLSFIRSTLCRHFRRDPNSSKPLEGLKVIDVGCGGGILSEPLARMGATVTGIDAVDKNIKIARVHAASDPSTASIEFFCTTAEDLVKEHKQFDAVISLEVIEHVANPSGFCESLSALTVPNGATVISTINRSMRAYATAIVAAEYILNWLPKGTHQWSKLVTPEELVLILERASISVQEMAGFMYNPLRGEWSLSDDLTGACSSVMGKPH >ONIVA10G02650.2 pep chromosome:AWHD00000000:10:2947159:2951933:-1 gene:ONIVA10G02650 transcript:ONIVA10G02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0IPN7] MLRRVAPSLRRAILTSSAHGRAGAQLTEPGLSPPHALLPQWRFCSSAASTNSPPPPPPPPQGTPRPAGGSTVSSLNPAEVAKFAAIAETWWDSEGPFKPLHLMNPTRLSFIRSTLCRHFRRDPNSSKPLEGLKVIDVGCGGGILSEPLARMGATVTGIDAVDKNIKIARVHAASDPSTASIEFFCTTAEDLVKEHKQFDAVISLEVIEHVANPSGFCESLSALTVPNGATVISTINRSMRAYATAIVAAEYILNWLPKGTHQWSKLVTPEELVLILERASISVQEMAGFMYNPLRGEWSLSDDLTVNYIAYGMKKVETPSESN >ONIVA10G02640.1 pep chromosome:AWHD00000000:10:2942011:2945439:-1 gene:ONIVA10G02640 transcript:ONIVA10G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLPPCLRRALPASSSSTSAARRGVRLAEHGQSPPQSAPPPPSPATQKDGAVRTPGGGSTASSLNPAEVAHFASFAETWWDTEGPFKHLLVMNPTRVSFIRRDPNSSKPLEGLKIIDVGCAAGMLSEPLARMGATVTGIDAADESIKIARVHAGNGITFLLWQAAGIIFKTLKFRDSLSISERGAFLLSYISILENALVIEHVDNPSEFCGSLSALTVPNGAFVISTINRSIRAFATMIVALEYIFHWIPKGTHHWSKLVTPDELVLMLEKASIYVQEMAGIGYNPWRGDFSMSKDTSVDYFAYGIKKVETPSVVSQTQA >ONIVA10G02640.2 pep chromosome:AWHD00000000:10:2942011:2945439:-1 gene:ONIVA10G02640 transcript:ONIVA10G02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLPPCLRRALPASSSSTSAARRGVRLAEHGQSPPQSAPPPPSPATQKDGAVRTPGGGSTASSLNPAEVAHFASFAETWWDTEGPFKHLLVMNPTRVSFIRRDPNSSKPLEGLKIIDVGCAAGMLSEPLARMGATVTGIDAADESIKIARVHAAAGIIFKTLKFRDSLSISERGAFLLSYISILENALVIEHVDNPSEFCGSLSALTVPNGAFVISTINRSIRAFATMIVALEYIFHWIPKGTHHWSKLVTPDELVLMLEKASIYVQEMAGIGYNPWRGDFSMSKDTSVDYFAYGIKKVETPSVVSQTQA >ONIVA10G02640.3 pep chromosome:AWHD00000000:10:2942011:2945439:-1 gene:ONIVA10G02640 transcript:ONIVA10G02640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLPPCLRRALPASSSSTSAARRGVRLAEHGQSPPQSAPPPPSPATQKDGAVRTPGGGSTASSLNPAEVAHFASFAETWWDTEGPFKHLLVMNPTRVSFIRRDPNSSKPLEGLKIIDVGCAAGMLSEPLARMGATVTGIDAADESIKIARVHAAAGIIFKTLKFRDSLSISERGAFLLSYISILENALVIEHVDNPSEFCGSLSALTVPNGAFVISTINRSIRAFATMIIPKGTHHWSKLVTPDELVLMLEKASIYVQEMAGIGYNPWRGDFSMSKDTSVDYFAYGIKKVETPSVVSQTQA >ONIVA10G02640.4 pep chromosome:AWHD00000000:10:2942011:2945439:-1 gene:ONIVA10G02640 transcript:ONIVA10G02640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLPPCLRRALPASSSSTSAARRGVRLAEHGQSPPQSAPPPPSPATQKDGAVRTPGGGSTASSLNPAEVAHFASFAETWWDTEGPFKHLLVMNPTRVSFIRRDPNSSKPLEGLKIIDVGCAAGMLSEPLARMGATVTGIDAADESIKIARVHAVIEHVDNPSEFCGSLSALTVPNGAFVISTINRSIRAFATMIVALEYIFHWIPKGTHHWSKLVTPDELVLMLEKASIYVQEMAGIGYNPWRGDFSMSKDTSVDYFAYGIKKVETPSVVSQTQA >ONIVA10G02630.1 pep chromosome:AWHD00000000:10:2938856:2941307:-1 gene:ONIVA10G02630 transcript:ONIVA10G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39710) TAIR;Acc:AT5G39710] MAVAAAGHRSVHHAHLAALLNPSPRSPPHPHPLQLHRRHLPLSLPAARRLAAAFPPLPLLLSLLAALRLLPSPPPPRPFDALIRSYASLPRPSLAAAALAFAASAGYAPSVPAYNAVLLALSDASLPSARRFLSSMLRHGVAPNVYTYNILVRALCARGRLEEAVGVVGDMRGTGCAPNAVTYNTLVAAFCRAGELDGAERVVSSMREEGNAKPNLVTFNSMVNGLCKAGRMEGARKVFDEMVREGLAPDVVSYNTLLSGYCKVGCLHESLAVFSEMTQRGLVPDVVTFTSLIHATCKAGNLEQAVALVAQMRERGLRMNEVTFTALIDGFCKKGFLDDALLAVEEMRKCGIQPSVVCYNALINGYCKLGRMDLARELIREMEAKRVKPDVVTYSTIISGYCKVGNLDSAFQLNQKMLKKGVLPDAITYSSLIRGLCEEKRLNDACELFENMLQLGVQPDEFTYTTLIDGHCKEGNVEKALSLHDEMIRKGVLPDVVTYSVLINGLSKSARTKEAHRLLFKLYHEDPVPDNIKYDALMLCCSKAEFKSVVALLKGFCMKGLMKEADKVYQSMLDRNWKLDGSVYSILIHGHCRGGNVRKALSFHKQMLRSGFSPNSTSTISLVRGLFEEGMVVEADNAIQDLLTCCPLADAGASKALIDLNRKEGNVDALIDVLCGMARDGLLPSSGLKIIKC >ONIVA10G02620.1 pep chromosome:AWHD00000000:10:2929553:2933741:1 gene:ONIVA10G02620 transcript:ONIVA10G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEPMSRSSSSFFRRDAAGDEERAALTPPPPPAAADDKGGAPAGRRRWPSSVMRMKGVGSVMVGVVFLALLVLVHRWVGLDASFLRDSSMVSTSTRQWHPHHNISTPPLMTLPPFSCGNGTAAPATCPATPPSPPPTSKPATGGEPAASCPGYFRYIHDDLRPWRGAGITREAVERGRRHAYFRLVVVSGRAYVETYRRSYQTRDAFTQWGVAQLLRRYAGRVPDVDIMFACDDRGRVRAADFAAAPADAPPVFRYCRDATTLDVVFPDWSFWGWPEVNIGAWPATLEAVRRESARVRWPEREPFAFWKGNPGVARIRGELMKCNPASDGKDWNARLFSQDWNHAILNGFRDSSIPKQCLHRYKIYIEGEAWSVSEKYIMACDSPVLFVNTPYQDILSRGLVAGEHYWPINRTRMCESIRAAVDWGNAHPAAARRIGEQGSRFVREQMAMDYVYDYMFHLITEYAKLLRYRPAVPANAVEICAESMACAAAAGRERECMDESVEGFVAGFDPCALPPPFTEEEKRKIAAMEEEVLRKVAKLEENM >ONIVA10G02610.1 pep chromosome:AWHD00000000:10:2924645:2927044:1 gene:ONIVA10G02610 transcript:ONIVA10G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDLARRLSAFIPLPQPPQKEQLSGVAAAVLDAGGRLGRAVGDVFRRLRIDDGLDVAFAQRHRRNGGSRIAAAAAVDARVSKDSGGGGVDAHDPGSMNLSATYDSRTSDVESSVVARGDLWRAEASHSSASAAAPPLFMVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHRRWFFMSMICLNPFTCSFMDMQFPNGQLRYVAGDGFTTRAFLPLYRGIFQAHAKFPGEKKFSYSFKNRSGGSITPMVQWPDKSLSLGTVQTLSWKRCGLMLQPALQFRQNVLNTSVLCHSHC >ONIVA10G02610.2 pep chromosome:AWHD00000000:10:2924645:2927044:1 gene:ONIVA10G02610 transcript:ONIVA10G02610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDLARRLSAFIPLPQPPQKEQLSGVAAAVLDAGGRLGRAVGDVFRRLRIDDGLDVAFAQRHRRNGGSRIAAAAAVDARVSKDSGGGGVDAHDPVGVSGRFARSQGSMNLSATYDSRTSDVESSVVARGDLWRAEASHSSASAAAPPLFMVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHRRWFFMSMICLNPFTCSFMDMQFPNGQLRYVAGDGFTTRAFLPLYRGIFQAHAKFPGEKKFSYSFKNRSGGSITPMVQWPDKSLSLGTVQTLSWKRCGLMLQPALQFRQNVLNTSVLCHSHC >ONIVA10G02610.3 pep chromosome:AWHD00000000:10:2924886:2927044:1 gene:ONIVA10G02610 transcript:ONIVA10G02610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSARTAAAAASTRTIRSASPAGSRGRRQEPKPRAALVRARSISPWESSAAKGGRSWVQGSMNLSATYDSRTSDVESSVVARGDLWRAEASHSSASAAAPPLFMVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHRRWFFMSMICLNPFTCSFMDMQFPNGQLRYVAGDGFTTRAFLPLYRGIFQAHAKFPGEKKFSYSFKFLPEQEWRKHHSNGAVAR >ONIVA10G02600.1 pep chromosome:AWHD00000000:10:2918522:2918827:-1 gene:ONIVA10G02600 transcript:ONIVA10G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYCGGRVAAAAVLLALLVAVVAPPATTFADAARVLLGGELAAAAAATRVEEQDVKTTTTQAAAAAPPPPPSFARWRTAAGNAAAARFLGSVPSPGIGH >ONIVA10G02590.1 pep chromosome:AWHD00000000:10:2895622:2899025:1 gene:ONIVA10G02590 transcript:ONIVA10G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRQSYWCYQCRQRVRPRGQDMECPYCDSGFVSEMDDVDALMRHFVGMDPDFHRDPRFGIMEAISAVMRHGMAGTNREVDVRGRPNIFSDLEMEFGSGPWLLFRGQLPGHLSEDNGFDVFINGRRGVGMRRANIADYFVGPGLDDLIEQLTQNDRRGPPPATQSSIDAMPTVKITQRHLSGDSHCPVCKDKFELGSEAREMPCKHLYHSDCIVPWLEQHNSCPVCRYELPPQSSTGASCSRTRSTNQSQSSSSNGRTNGRQRRRNPFSFLWPFRSSSSSSR >ONIVA10G02580.1 pep chromosome:AWHD00000000:10:2874848:2878694:-1 gene:ONIVA10G02580 transcript:ONIVA10G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3 family protein C [Source:Projected from Arabidopsis thaliana (AT3G54380) UniProtKB/Swiss-Prot;Acc:Q67XV2] MDRRDMASHRGRSSTRGHGWGRGWRGRGEGRGLGRSRGAGPSPPPPPSSSTSSFPAAASATAAGTGGDAPPIVGSCPDMCPARERAQRERLRDLAVFERVGGDPARTSPSLAVKKIKFHILSHQKLSRSSQDSDASSLCYLNMEQLMKCLLSLFDMYDVIHKNNSQSSKETEYYSFYVLLHLGCKIPKMVDSLSLWYGHLSASIIKSKEMVFARSILRFYHLGNFKRFFCAIAAEGTDLQLRLLEPFLNEARVRALMYFNHSGYKLQHHPLTHLSEILMIEELDLETLCRLCGLEISNNEDTKAFAPKQASFCVPASIPQINGIYISRENQR >ONIVA10G02570.1 pep chromosome:AWHD00000000:10:2871276:2875866:1 gene:ONIVA10G02570 transcript:ONIVA10G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYGLFHAYGIDEIGQSVDAVRASVVDLDRFGKAVKLAAFTPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHISEVTGIPCQSNEFVQELLRGVRLHFDRFISELKKSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFGWHFPELVKIVNDNYIYAKLADYIKDKSELAEKDISKLADLIGDEDKAKEVVEAAKASMGQDLSEVDLMNVKQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSESATAVFGQKLREQVEERLDFYDKGVAPRKNLDVMKAAIDSMVNDATNDVDDGEKVDASAKKSKKKKSKAEADREAMDLDKPSNLADEAEPGTEKKKKKKKHKLEEEPQEQEKSVAHANGDAEENGTPKKKKKKNREVSEDAEPKTATEGKKKKKKSKTEDSD >ONIVA10G02560.1 pep chromosome:AWHD00000000:10:2865086:2869401:-1 gene:ONIVA10G02560 transcript:ONIVA10G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT1G52980) TAIR;Acc:AT1G52980] MAKKKERAVNVSGKPRHSLDVNRANDKKGAGGGAGGGGGGRSAATVRRLKMYKMRPLRDRGGKILKHDLQSKELPNTRIEPDRRWFGNTRVVNQKELEFFREELQSRLSNNYNVILKERKLPLSLLQDHQKQARAHLLDTEPFEHAFGPKGKRKRPKLMALDYESLLKKADDSQGAFEDKHATAKLLKEEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENAKHKHLVFLLNKCDLVPAWATKGWLRTLSKDYPTLAFHASINSSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLADASEHIGEVLRRVKKEHLKRAYKIEDWVDDNDFLVQLSKTTGKLLRGGEPDLTTTAKMVLHDWQRGKIPFFVPPPQQGEDSPSETTEPVDKSDEEGVSSDRTAAAMKAIAGIISSQQQMNVPCQKEFGVTNEDSEVAEQSE >ONIVA10G02550.1 pep chromosome:AWHD00000000:10:2849014:2859596:-1 gene:ONIVA10G02550 transcript:ONIVA10G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INO80 ortholog [Source:Projected from Arabidopsis thaliana (AT3G57300) TAIR;Acc:AT3G57300] MDPRRPPSRGGAAANGGGLSYSTLFNLEPLLNFKVPLPEDLDRYRLSSPNGSMSSQGQGSLSDQYNGISDASHGLHRKRKRNLDGASDDDEVDAYSNQITEEHYRTMLSEHVQKYRRSKFKEDVFSSDPPQVIVPQKHKNGSARVTKYRSDTRNVAMLGGVEATAEYNGTKSTNAYGGFNKVVASLDSSYLDMGDNVSYKIPEGYDKLALSLNLPVFSDIRVEETFLNGTLDLRTLAAMLSTDQKFETTNRGGLAEPQPQYESLQERVKVQKFSLQVTEDPFAIPEGAAGRIRRFIISESGSLHVHYVKVLEKGDTYEIIERSLPKKQIIRKDPSEIAREESEKTIKLWHAIAVKGIPRHHRNFMALLKKRQVDAKRFSENCQREVKFKVSRSLKLMRSAAIRTRRLARDMLIFWKRVDKEQYELRKREEKEAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGESAPSDEASVPEEDEEDPEEAELKREALRAAQHAVSQQKRMTNAFDSETGRLRQSSDSGIPTDDLASMEPNKIDLLHPSTMPEKSSVQTPELFKGALKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLAHLAEDKNIWGPFLVVAPASVVNNWAEEILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCRLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNSLLSPAFGELQDVHYAGKRNPIMFEIPKLVYKGIVSNMEMHVRGCGFLYGSFNRMFNIFSPSYIHQSAFPEAISPNNTVLLSGAFGFTRLINLSPVEASFLATCSLFNRLAFSAVRWNKKYTDELVDVFLDSESTDLESTHNDLTTVRAVVRLLLSPTKAESSFLRTKIETGPSDSPYEALVLSHHERLVSNIRLLRSTYAFIPPARAPPINVWCADRNFAYKLTDEMHDPWAKKLVLGFARTSEFNGPREPTSPHPLIEELHTDLPFPEPMLQLPYRIFGSSPPMSNFDPAKMLTDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDIFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKHVQDDHLMRQEDVVSLLIDDTQISHKLKEISMQAKDRLKKRRTKGIKVDKEGDLMLEDLDDQTSGAAEHDNTSSKKKKSSQKKLPKLQDNGSVDKNAEAEGGEVEDEDSIAAPRPKRSKRLMKNLNEDKEPEQEPTTDGDNPAEAAENNISPDDNDTEEAKDRTPSA >ONIVA10G02540.1 pep chromosome:AWHD00000000:10:2846472:2846893:1 gene:ONIVA10G02540 transcript:ONIVA10G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEPSLLVIPKIPPPRHPQNPTHTCHCLLHPLHIGLLPCHGCICPVKCRDQVKNTPLENRNCSHDHSHETKVVSTLKYEADEADKKGLHLLGEEE >ONIVA10G02530.1 pep chromosome:AWHD00000000:10:2845137:2845576:-1 gene:ONIVA10G02530 transcript:ONIVA10G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGCRRRSLGTSAFFDKRAAEGHNLAIVEDLAARLTIVLLAPTPLWSANSYGQKFCAPPPMKVWQFMRNDENLVCLLHHRGFAPVMRSPQPVLAPLAPCRHPILQIKWSDAGCNGVFLLQEPRHTITGDPPMWPWGCQGWPA >ONIVA10G02520.1 pep chromosome:AWHD00000000:10:2841957:2842490:-1 gene:ONIVA10G02520 transcript:ONIVA10G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFYGASKEDDDVHQWLPSEILRDIGAVVDPCEGRRAIVEDLTACLADVLFGSAVQRTTTQHHATVGPLPAMVDNKYQCYHAPPSMGVRLFMSNGGMMLDRVPIAPPRLAPEMRTPLLLVATSAPALPPPPTKQRDAGGTGFFLPHTEAYNKRTSKAPRATKTPRHVKRQQWLSK >ONIVA10G02510.1 pep chromosome:AWHD00000000:10:2806515:2807802:1 gene:ONIVA10G02510 transcript:ONIVA10G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWALLPCGSAGSFHRHGGDQCSSSGGAHQRRLPPDPAPPPADRPKLLYRFAAVCRTWRSLLTDPAFLRRYREFHGLPHLIGYVHDGADGRDLVVARFVTTADTTFRPRVPEKGVDLHVLDSRHGRVIFRKIGKGWLDESSLIIWDPVADHHQEVPLPEAFAQEEFNLTATVLCDALGCDHLDCHGGPFRVVFVGVRDEEGASATSAFNYTSSSGSWTASPAAAAAVADEDDWGFRMPAPSILVGGTTLYFRSPGRILRYWFGDEMEHLSYVDIPPFITQETRGTVLMPAADGRLSFAAMYGDMTISFWETEVSADGAVDWVHTQNALVSIPLPGVLIGAAASLLFVRTEDGGIVSVQVGNGRFQMLPQPAPQRQQISALIPFMSFCTP >ONIVA10G02500.1 pep chromosome:AWHD00000000:10:2799890:2800341:1 gene:ONIVA10G02500 transcript:ONIVA10G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDRVYFATEFSKKYIAKLVRAKTTHIKVQIAGGPSTTMVIYRSSDTRYNLSSGWLAFAAANSISLHTICIFHFYRAGDLRHHHRCSVKVSCIFSMRCCYLPYNSCCNTLYYPLLSVYLALLAL >ONIVA10G02490.1 pep chromosome:AWHD00000000:10:2794001:2799812:1 gene:ONIVA10G02490 transcript:ONIVA10G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYSLFRKIGNEQRGREMLAFRCVACTAIVSLYKCQGMRPLLPQRDASTPPQEHQSHIPSQSTVRLSDLRKIITDKQRQVTHKKGPVPRRLFFRPVPSTLATAYEMQHGDSLRLKTSHGLKIKIRIKEAASTLYMTTGWKEFAEATGLETGETILFRMSSRSKARVMLLNRQCLIRCPVKTPSTTSSDKNRSLSPSDQLTRASTCAHPSTSKSIPPLRNGTGSTKRSIADTSFCHQLKLTAEN >ONIVA10G02480.1 pep chromosome:AWHD00000000:10:2778969:2780947:1 gene:ONIVA10G02480 transcript:ONIVA10G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATTAAIVDSRRGTQHSEGPATILAIGTANPENIVFQDNFADYYFGLTKSEHLTELKEKMKRICHKSGIEKRYIHLDAELISVHPEIIDKHLPSLETRVDIVATEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSADLQLASLLGLRPSVSRTILSLHGCSGGGRALQLAKEIAENNRGARVLVACSELTLICFSTPDESKIIGHGLFGDGAGAVIVGADPSADGECPLFEMVAASQTMIPGTEHALGMQATSSGIDFHLSIQVPTLIKDNIHQCLLDAFRSVGNTDPNWNDLFWAVHPGGRAILDNIEDKLQLHPCKLAASRQVLSEYGNMSGATIAFVLDELRRRREKEQDIQQQPEWGVLLAFGPGVTIESIVLRNPHSHGLKEN >ONIVA10G02470.1 pep chromosome:AWHD00000000:10:2759660:2760219:-1 gene:ONIVA10G02470 transcript:ONIVA10G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAEEEAASASATAHGDCVLAAACRVFDGEPVELSAKVLLLRLRSAEAIAGIHGGYWCTRMGAEFISPDHWPFVQLVRTMERPEEAHEDDLPAAGAGGRLAVPSSGRAAEDATAKQVQTV >ONIVA10G02460.1 pep chromosome:AWHD00000000:10:2744544:2745230:1 gene:ONIVA10G02460 transcript:ONIVA10G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSRAGSVVIEQFADKVPKTTENFRPMCTGGCDMGPAPLQGLNVPPGGARFIIVNRPPWLDGRHVVFRHVVDGMDIVRAVERTATWRGKMVKPVVIGLCGKALALLVYVCAYQCMYTRKRPRLGI >ONIVA10G02450.1 pep chromosome:AWHD00000000:10:2733733:2734278:1 gene:ONIVA10G02450 transcript:ONIVA10G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IPL1] MAPAASSKSNPRVFLDISIGGEWVGRVVIELLADKVPDTAENFRRLCTGERTGRSGKSKLHYKGSAFHRVVPGFMCQGGDITAGNGTGGESALDGGGRHFADEGFAVKHDGPGVVSMANAGPNTNGSQFFITVDKAPWLDGRHVAFGRVVAGMDAVRAIDRTGTWSGKTVKPVVIADCGVL >ONIVA10G02440.1 pep chromosome:AWHD00000000:10:2729694:2729948:-1 gene:ONIVA10G02440 transcript:ONIVA10G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVLACKRAAKLAMEMGTDKVIIGIDSLEVVRTIVQLLEDRLKRFPEIKIKWVQQSANKAYPFSSKERTLNRCNIDLVIGFT >ONIVA10G02430.1 pep chromosome:AWHD00000000:10:2698815:2727673:1 gene:ONIVA10G02430 transcript:ONIVA10G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGASSAPATASTPAAAAATTTTSKTASASLWWDPFIDLSDDLDRAAAASPSVPDALAERIKAHHAWLRGSVSMFVKPSDASRGALDASEVVVGEHRLAVKPELKAAALRLSKCMNLDEVQSYILVKRTSENTPTALVADTEEFLRLVSVQYYLERQCLLKCIRRIFVHANDCSDSIDAVREEASVLVREEVEQRLLSIVRDSLASAFSVKGDMLSGSYDVGKFAVSVEAKNSFHYAKAQLLFILIQTLDFESLLRMVRDEVPFSGGYSTFSVVDILEMDVEVSKLPEFAAVESGPLILAWAVFLCLVMSLPGSNTNLDIDHTSYAQRSFEFAPFNYLQGVLCSSIFKESDGPVSGFRGILRTFISAFVASYEISYQTEDSSLGMILNILCEVYDGEESLCMQFWDKDSFIDGPIRFNYLERMNGVTTLYAVPRSDTDNVNYHDQIEIHSPISIFGIEGTTIPGGSHGYILKVLEDDVALVRWEDLCLALLHADKSLAVQASQNLGYIDKHCSIPCVQCGVGLWLLHYTIWWCFKVLRKGAAADDIISSFIIFSVQYIMVNHMNWKYKSYSRWKITLKSCIQVKSFSSKLGGIIWEILLYDSSIHRVGSKSAFRYYGFVVLVKTIAFRHSCNIIDVLSEFTARVFSMLCFTAYKAQPQLMENAYFVVNGSEIWRLQTSISCILDEVDKVNEVVAIFNLLSSAARYQEAILDLLSKSWVILDDPLSLWIGVLDLLKALWESGAQFIYILEKLRSSRTFWENLSCCIRAAFASYPIDSVETVDEKKSLRYCCLGTIFEIMSYELFLQGKLLTETKTSDPAPVGSKEQKEPSVAPCPSDIVLKWFDSTTMEDLVNHLSSNGYQNDLLHRAKLSSGDTGSLSFSLVKKIQLISSKLLQHRAFVALLSQYALHGYSGEQDITNLIISDLYYHIHGELEGRPITPGPFQELLCFLLEFKVFEHNPSEQLQKSFPAANGVSLFDVPHIRDELGLELWNHSDWKTYKEVAEKMLDIMHKANLMKCQVDAKLCALRSFITFLSVCTGTSSYKKFGLPGGGISITTTQSAVRCACKSLQSAIDSLPPEVDNSGVLFPPLSGQVELLLTITRILLDHAKQSKSSRHLYPVILQKCQSGALLCTQVILNFLLTMGRTKDGAKILQSANIFAFIKVLLSQMSLDDSCLRNSLSTQTKDVKIWGLGLAIVSSLNHCMDDDISRNSVANSTISFLSGQVPLMSSYLSAQSVNTHQSKKRTLLQKSQTSLSALSLTENILTLLCILAKYHFPRDTGMKEVDSELREIIIHLLAFISRGSERTGDSPNWNLSFGCPPIIKEEMKLNEEPPLIRSKYGWFRFAASCTLSTPSVSGPPNAGLSLVIRDKNPADSDSMKQTRFTEMLAVQIYRIAFLIMKFLCSQAKEAVRRAEELEFLDLAHFPELPMPDILHGLQANVSTALNTETERVCQLLLVILETSLYMELCVSQSCGIRPVMGRFEDFSKGIKAMVHASEKHSSFKPLVRSLAQITTLLYPGIVQNNNLIWNGSSHIIVDVAPDWLHIAEALGKAATPRTPLFVNFCANLFAKSVVPGMGIRKTGSSS >ONIVA10G02430.2 pep chromosome:AWHD00000000:10:2698815:2727673:1 gene:ONIVA10G02430 transcript:ONIVA10G02430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGASSAPATASTPAAAAATTTTSKTASASLWWDPFIDLSDDLDRAAAASPSVPDALAERIKAHHAWLRGSVSMFVKPSDASRGALDASEVVVGEHRLAVKPELKAAALRLSKCMNLDEVQSYILVKRTSENTPTALVADTEEFLRLVSVQYYLERQCLLKCIRRIFVHANDCSDSIDAVREEASVLVREEVEQRLLSIVRDSLASAFSVKGDMLSGSYDVGKFAVSVEAKNSFHYAKAQLLFILIQTLDFESLLRMVRDEVPFSGGYSTFSVVDILEMDVEVSKLPEFAAVESGPLILAWAVFLCLVMSLPGSNTNLDIDHTSYAQRSFEFAPFNYLQGVLCSSIFKESDGPVSGFRGILRTFISAFVASYEISYQTEDSSLGMILNILCEVYDGEESLCMQFWDKDSFIDGPIRFNYLERMNGVTTLYAVPRSDTDNVNYHDQIEIHSPISIFGIEGTTIPGGSHGYILKVLEDDVALVRWEDLCLALLHADKSLAVQASQNLGYIDKHCSIPCVQCGVGLWLLHYTIWWCFKVLRKGAAADDIISSFIIFSVQYIMVNHMNWKYKSYSRWKITLKSCIQVKSFSSKLGGIIWEILLYDSSIHRVGSKSAFRYYGFVVLVKTIAFRHSCNIIDVLSEFTARVFSMLCFTAYKAQPQLMENAYFVVNGSEIWRLQTSISCILDEVDKVNEVVAIFNLLSSAARYQEAILDLLSKSWVILDDPLSLWIGVLDLLKALWESGAQFIYILEKLRSSRTFWENLSCCIRAAFASYPIDSVETVDEKKSLRYCCLGTIFEIMSYELFLQGKLLTETKTSDPAPVGSKEQKEPSVAPCPSDIVLKWFDSTTMEDLVNHLSSNGYQNDLLHRAKLSSGDTGSLSFSLVKKIQLISSKLLQHRAFVALLSQYALHGYSGEQDITNLIISDLYYHIHGELEGRPITPGPFQELLCFLLEFKVFEHNPSEQLQKSFPAANGVSLFDVPHIRDELGLELWNHSDWKTYKEVAEKMLDIMHKANLMKCQVDAKLCALRSFITFLSVCTGTSSYKKFGLPGGGISITTTQSAVRCACKSLQSAIDSLPPEVDNSGVLFPPLSGQVELLLTITRILLDHAKQSKSSRHLYPVIVLIIKTSGASTSFLFNLMPSSPALKQPVKSLLVLLLSLFEFIYKKVDMKDGSEDVNIFGELSLLSMSLLPVLCKLAESREYFDLAIASMDIILKGFLPSNVWVPILQKHFRLQVILQKCQSGALLCTQVILNFLLTMGRTKDGAKILQSANIFAFIKVLLSQMSLDDSCLRNSLSTQTKDVKIWGLGLAIVSSLNHCMDDDISRNSVANSTISFLSGQVPLMSSYLSAQSVNTHQSKKRTLLQKSQTSLSALSLTENILTLLCILAKYHFPRDTGMKEVDSELREIIIHLLAFISRGSERTGDSPNWNLSFGCPPIIKEEMKLNEEPPLIRSKYGWFRFAASCTLSTPSVSGPPNAGLSLVIRDKNPADSDSMKQTRFTEMLAVQIYRIAFLIMKFLCSQAKEAVRRAEELEFLDLAHFPELPMPDILHGLQANVSTALNTETERVCQLLLVILETSLYMELCVSQSCGIRPVMGRFEDFSKGIKAMVHASEKHSSFKPLVRSLAQITTLLYPGIVQNNNLIWNGSSHIIVDVAPDWLHIAEALGKAATPRTPLFVNFCANLFAKSVVPGMGIRKTGSSS >ONIVA10G02420.1 pep chromosome:AWHD00000000:10:2658218:2659195:-1 gene:ONIVA10G02420 transcript:ONIVA10G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASRKRAAPEDPEPRACCGSSPADGAKRRRYNFGSADDYERLDVVGQGAFGVVLRARDRRTGKVVALKRLIGADEGGRFSRDFDALRVEAACQHACRGHPNIVQIKDVVADAKTGDLFLVLEFVGGSLRDEFPRARPEDIVRAMMRPLVDAAKKMHASRVIHRDIKPENILVSFSGELKICDFGAATLMKPAGKPYDLCRPGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLGDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAVEALEHRWFAEEPKKAEFPGFVPLFG >ONIVA10G02410.1 pep chromosome:AWHD00000000:10:2645232:2645660:-1 gene:ONIVA10G02410 transcript:ONIVA10G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFYTTNTHRNGGTHRQNTHTKVTERERHAPFWPENLGVRREHVRSAAGDVGRSASTSGEEARGGGDDRRSISSCMHNTATRRVSGAARHAGDSILSACRRHCRPEIASLPLIRDVGRR >ONIVA10G02400.1 pep chromosome:AWHD00000000:10:2644846:2645100:1 gene:ONIVA10G02400 transcript:ONIVA10G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTFSGKQRMMASIAWRLDDVPGNSDEMACDVAGASDALWAPSSDAPAGILLKQPADEFEEDGNVDESERTSVM >ONIVA10G02390.1 pep chromosome:AWHD00000000:10:2641698:2642973:-1 gene:ONIVA10G02390 transcript:ONIVA10G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASRKRVAADDPEPRSCCGSSQASGPKRRRYNFGSADDYERLDVVGQGAFGVVVRARDRRTGKVVALKRLIGADEGGRFAPNFDALRLEAACQHACRGHPNIVQIKDVVADAKTGDLFLVLEFVGGSLRDEFPRARPEDIVRAMMRPLVVALKRLIGADEGGRFSRDFDALRVEAACQHACRGHPNIVQIKDVVADAKTGDLFLVLEFVGGSLRDEFPRARPEDIVRAMMRPLVDAAKKMHASRVIHRDIKPDNILVSFSGQLKVCDFGAATLMKPAGKPYDLCRPGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLGDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAVEALEHRWFADEPKKAEFPGFVPLFG >ONIVA10G02380.1 pep chromosome:AWHD00000000:10:2634583:2636451:-1 gene:ONIVA10G02380 transcript:ONIVA10G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 714 [Source:Projected from Arabidopsis thaliana (AT5G22360) TAIR;Acc:AT5G22360] MAIVYAVVARGTVVLAEFSAVSGNAGAVARRILEKLPPDAESRLCFAQDRYIFHVLRSPPPAAADGLTFLCMANDTFGRRIPFLYLEDIQMRFIKNYGRIAHNALAYAMNDEFSRVLHQQMEYFSSNPSADTLNRLRGEVSEASILFIYCLPHNFLSFLLYNQMLILPTCIVQIHTVMVDNIEKILDRGERISLLVDKTSTMQDSAFHFRKQSRRLRRALWMKNAKLLAVLTAVIVLLLYLIIAAFCGGLSLPSCRS >ONIVA10G02370.1 pep chromosome:AWHD00000000:10:2630229:2631209:1 gene:ONIVA10G02370 transcript:ONIVA10G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVASRKRAAPYDLEPRACCGSSPASGPKRRRYNFGSADDYERLDVVGQGAFGVVLRARDRRTGKVVALKRLIGADEGGRFAPDFDALRVEAACQHACRGHPNIVQIKDVVADAKTGDLFLVLEFVGGSLRDEFPRAHPEDIVRAMMRPLVDAAKKMHASRVIHRDIKPENILVSFSGQLKICDFGAATLMKPAGKPYGLCRPGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEEELLADLSANLDDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRLTASEALEHRWFAEEPKKAEFPGFVPLFG >ONIVA10G02360.1 pep chromosome:AWHD00000000:10:2600847:2601056:-1 gene:ONIVA10G02360 transcript:ONIVA10G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRQEVSKAEVNTEKEAQRFAWEMVKGTESAKTACRTLRLALSDMGVRVRGVPGEDASAFDFSEWTQ >ONIVA10G02350.1 pep chromosome:AWHD00000000:10:2511810:2516443:-1 gene:ONIVA10G02350 transcript:ONIVA10G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVAYKCYDAGDNVTRRFYGEVDLNNNGVYRISDSRNMFVVIGCNTLSYTQNGNSGGSNTHYSGLFYTGCVSYCNDSRSAQDGRCAGVGCCHVDISPGLTDNVVSFGPWTRGFQVDFSPCDYSFLVDKNEYEFRSADLKMDLNRTMPV >ONIVA10G02340.1 pep chromosome:AWHD00000000:10:2511183:2511806:-1 gene:ONIVA10G02340 transcript:ONIVA10G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSTSRTIRHGDVKPANILLTDKFIPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELICRKPTIYGENCSLIIEFQNAYDQENSGRIMFDKEIAKQEDILILEEIGRLAMECLKEKVEERPDMKEVAERLVMLRRSRKCGQGNYSLSPQRCEEITIEGTPKNFGASISASSSATLSAPATPLN >ONIVA10G02330.1 pep chromosome:AWHD00000000:10:2504604:2507807:-1 gene:ONIVA10G02330 transcript:ONIVA10G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQQSEEPEEQVDLEGDDDIMDDDDGYRRHRREDSDDPEEEDPDERQGEGDGRREDAEGPGGAGGDPAAGGEGGADVMDKVGGDAGPEDEEEKRKWDELLALPPQGSEVFIGGLPRDTTEDDLHELCEAFGEISEVRLMKDKETKENKGFAFVTFTGKDGAQHAIEDLHDKEHKGRTLRCSLSQAKHRLFVGNVPKGLSEDELRNIIQGKGPGVVNIEMFKDLHDPSRNRGFLFVEYYNHACADYAKQKLSAPNFKVDGSQLTVSWAEPKGSSSSDSSSAAAQVKTIYVKNLPENASKEKIKEIFEIHGEVTKVVLPPAKAGNKRDFGFVHFAERSSALKAVKGNEKYEIDGQVLEVSMAKPLGDKKPDHSFKPGGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQLAAWMHGHPGMAWLLRSQVPKLTGAQGGRTSHEPGGAAEQMGMFLALSNDDKTSYSEVVKNALSESVED >ONIVA10G02320.1 pep chromosome:AWHD00000000:10:2454000:2475169:1 gene:ONIVA10G02320 transcript:ONIVA10G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLLADPLILLASAVESVSGRPAAGCQTRCGDVSIPYPFGIGPNCSHGKGFEIACDTQTRNGSGELVPTLAAANGTIHVQSLLVAPIPEVKVMLPVAYQCYDDSSDNVTESFYGAVDLNNNGVYRISDKRNKFVVLGCNTMAYTNNGNSHGKGPYAGVYYTGCISYCNDSSSAQDGMCAGVGCCHVDISPGLSDNVVSFGEWDRSFQVDFNPCDYAFLRSDLQKDLNRTKPVWLDWAIRDGGNSSASSSCPAPEVREKMPAEYACVSDNSECVNSTNGPGYYCKCSKGYEGNPYLVGGCNVICTPAIESNSIYADIDECARSDEYPCHGDCRNTVGDYDCKCRTGYQPRGGGPKIEPKVPSTCTNCSRYVPSNQSISLGFSFLIVAALFTLMMLQKRKINEYFKKNGGSILQKVDNIMIFSKDDLKKITKNNSHVIGQGGFGKVFKGTLEDNTMVAVKTSIEVNQARKEDFTNEVIIQSRMMHNNIIKLLGCCLEVDVPMLVYEFAANGSLQDILHGDANRSLPLTLDIRLDIAIESAEGLKYMHSSTNCTIRHGDVKPANILLTDKFAPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPIFHKTGRLTQKSDVYSFGVVLLELISRKPTIYGENFSLIIEFQKAYDEVHSGRAMFDKEIAVEEDIFILEEIGKLAMECLKEKVEERPDMKEVAERLVMLRRARKHGQGSYNLSPRHHEEISIETTPTSFGADFSTNSNLLILLASAAESVAGRPAAGCQTRCGDVSIPYPFGIGPNCSRGKGFEIACNPRNGNGHLVPTLANSSIHLQNLSVAPIPLAKVMLPVAYKCYDSSDNVTHWFNGTVDLNNNSVYRISDRFNKFVVLGCNTMAYTTNGNSGGEGHYAGLFYTGCVSYCNDSRSAQDGRCAGVGCCHVDISPGLTDNVVSFGPWTRGFQVDFSPCDYSFLVDKKEYEFRSADLKMDLNRTMPVWLDWAIRDSVTCPPPEVQEKKSAGYACVSDNSECVNSTNDINECDVSNKKKYPCYGVCNNIPGNYECHCRVTSGVVKALRNKSAAQNSLFQQGLPLKVDNVKIFTKDELMKITKNNSEVLGQGSFGKVYKGTLEDNTTVAVKTSIEVNEARKDDFTNEVIIQSQMKHNNIIKLLGCCLEVDVPMLVYEFAGKGNLQDILHGDANIPLPLGLRLDIAIESAEGLRYMHSSTSRTIRHGDVKPANILLTDKFIPKISDFGTSKLLTIDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELICRKPTIYGEKCSLIIEIQNAYDQENSGRIMFDKEIANEEDILILEEIGRLAMECLKEKVEERPDMKEHCEEIPIEGAPKHFGASISASSSVMLSTPATPLN >ONIVA10G02310.1 pep chromosome:AWHD00000000:10:2328638:2344597:1 gene:ONIVA10G02310 transcript:ONIVA10G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASCVCIHRCLYKPRVCLTFHFIHLYTSAIFSYTELARISSAMAGAPRGLVLLGVCAVLMAVAVGGEAASVVVGTAKCADCTRKNMKAEDAFKNLQVAIKCKNTNGEYESKAAGKLDGTGAFSVPLDADLDSSDCIAQLHSANNEPCPGQEPSKIVPMSEGTFVAIAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKN >ONIVA10G02310.2 pep chromosome:AWHD00000000:10:2324812:2330153:1 gene:ONIVA10G02310 transcript:ONIVA10G02310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMVLAVGGEAASVVIGTAKCADCTRKNMKAEDAFKNLQVAIKCKNGNGEYESKAAGKLDGTGAFSVPLDTDLHSSDCIAQLHSATNEPCPGQEPSKIVPLSEGTFVTVAGKTSYPSALCASATICGPIKKKIIGHFHKKPVPPKPDPKPEPPKPKPEPEHPILDHFHKKEKDFFDHFHKKPVPPKPEPKSEPKPQPKPQPAPEYHNPSPPAKHQTLSTSAMAGAPRGLVLLGVCAVLMAVAVGDLQVAIKCKNTNGEYESKAAGKLDGTGAFSVPLDADLDSSDCIAQLHSANNEPCPGQEPSKIVPMSEGTFVAIAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKN >ONIVA10G02310.3 pep chromosome:AWHD00000000:10:2324812:2344296:1 gene:ONIVA10G02310 transcript:ONIVA10G02310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMVLAVGGEAASVVIGTAKCADCTRKNMKAEDAFKNLQVAIKCKNGNGEYESKAAGKLDGTGAFSVPLDTDLHSSDCIAQLHSATNEPCPGQEPSKIVPLSEGTFVTVAGKTSYPSALCASATICGPIKKKIIGHFHKKPVPPKPDPKPEPPKPKPEPEHPILDHFHKKEKDFFDHFHKKPVPPKPEPKSEPKPQPKPQPAPEYHNPSPPAKHQTLSTSAMAGAPRGLVLLGVCAVLMAVAVGGEAASVAIKCKNGKGEYESKATGKLDGTGAFSVPLDADLHSSDCIAQLHSATNEPCPGQEPSKIVPMSEGTFIAVAGKTHYPSALCASATICGPIKKKIIDHFNKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKN >ONIVA10G02310.4 pep chromosome:AWHD00000000:10:2324871:2329823:1 gene:ONIVA10G02310 transcript:ONIVA10G02310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMVLAVGGEAASVCKNTNGEYESKAAGKLDGTGAFSVPLDADLDSSDCIAQLHSANNEPCPGQEPSKIVPMSEGTFVAIAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKN >ONIVA10G02310.5 pep chromosome:AWHD00000000:10:2324871:2344296:1 gene:ONIVA10G02310 transcript:ONIVA10G02310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMVLAVGGEAASVVAIKCKNGKGEYESKATGKLDGTGAFSVPLDADLHSSDCIAQLHSATNEPCPGQEPSKIVPMSEGTFIAVAGKTHYPSALCASATICGPIKKKIIDHFNKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKN >ONIVA10G02300.1 pep chromosome:AWHD00000000:10:2320805:2321605:-1 gene:ONIVA10G02300 transcript:ONIVA10G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAILMAVAVGGEAASVVVGTAKCADCTRKSMKAEAAFKNLQVAIKCKNGNGEYESKATGKLDGTGAFSVPLDADLHSSDCIAQLHSANNEPCPGQEPSKIVPMSEGTFVAVAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPQPKPQPAPEYHNPSPPAN >ONIVA10G02290.1 pep chromosome:AWHD00000000:10:2300387:2301951:-1 gene:ONIVA10G02290 transcript:ONIVA10G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRVLALLAVLMAVAAHGEAASVVVGLAKCGDCTRKNMKAEAAFKGLRVAIKCKNGADGEYETKAAGKLDGAGAFRVPLAADLRGADCVAQLHSAAHNNAACPGQEPSRVMQLSERTFVAVAGKTHYVSPVCASATICEPIKKHFFDHFHHNKPAPAAPSTKPAPKPHPDQPPHPKPTPTYGTPSPYHPPARN >ONIVA10G02280.1 pep chromosome:AWHD00000000:10:2296143:2299129:1 gene:ONIVA10G02280 transcript:ONIVA10G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACASHLRRLGAGAPARSFHAHPQGRRGGVPERGREGGGDARRQGGGGGRRRPPEPPPRPHSAAQEARHPLQAEEINFEFRSQVSSWSLEAPSRIQENTVKLICIEVDHFENCLLSLYLPGKMQRKLVSNFP >ONIVA10G02270.1 pep chromosome:AWHD00000000:10:2291240:2292504:1 gene:ONIVA10G02270 transcript:ONIVA10G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARALVLGAVVVCAAVVMAVTAAADGEAAAAVVVGLAKCGGCSRKNMKAQDAFKGLQVAIKCRNGDGQYESKAVGDLDGDGAFSVPLAADDLHGAADCFAQLHSAESSTPCPGQEPSKIVPLPSTTDNGGNKANTFVAVAGKRMRYSSSAECTSAFLCPFFDYFHKRPQGPKPTPLPKPTPANGGGAANGGGAAAPSPSPPAGISQLN >ONIVA10G02260.1 pep chromosome:AWHD00000000:10:2282402:2283118:-1 gene:ONIVA10G02260 transcript:ONIVA10G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARALAFGAVVVCAALVMAVTAAADGEAAVALVVGLAKCGGCSRKNIKAQDAFKGLQVAIKCKNSDGEYESKAVGDLDDDGAFSVPLAADDLHGAAGCFAQLHSAASSAPCPGQEPSKIVPLPSTTDNGGNKANTFVAVAGKRMHYSSSAECTSAFLCPFFDYFYNRPQGPKPTPANGGGAANGGGAAAPAPSPPAGISQLNF >ONIVA10G02250.1 pep chromosome:AWHD00000000:10:2279043:2281808:1 gene:ONIVA10G02250 transcript:ONIVA10G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVFAPHQVAILALPAVEAEAAAAAAPGGWLSISKGGAVMRRYTHGPWRTGVCGGCGQGGNHAAGQGKIPSLSVNLDLLMRLLLRRGRMDQKLWLANWHIAQEHNLRRDRVRSWLSDDFLKSLQHQFACSRPIIIAKISELEVWRCSYSGWKFIWSRLVNVGTHVHTSYRHRGHCRKKKRSRFQIVFLVPKCRPRSCYAAPPTVQVWILSMAEDVRRWEMDLLPPPKKFMWLLEVLYKEEGDQ >ONIVA10G02250.2 pep chromosome:AWHD00000000:10:2279043:2280716:1 gene:ONIVA10G02250 transcript:ONIVA10G02250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVFAPHQVAILALPAVEAEAAAAAAPGGWLSISKGGAVMRRYTHGPWRTGVCGGCGQGGNHAAGQGKIPSLSVNLDLLMRLLLRRGRMDQKLWLANWHIAQEHNLRRDRVRSWLSDDFLKSLQHQFACSRPIIIAKISELEVWRCSYSGWKFIWSRLITLLLAINQSPMVNVGTHVHTSYRHRGHCRKKKRSRFQIVFLVPKCRPRSCYAAPPTVQVWILSMAEDVRRWEMDLLPPPSA >ONIVA10G02250.3 pep chromosome:AWHD00000000:10:2279004:2280716:1 gene:ONIVA10G02250 transcript:ONIVA10G02250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKLWLANWHIAQEHNLRRDRVRSWLSDDFLKSLQHQFACSRPIIIAKISELEVWRCSYSGWKFIWSRLITLLLAINQSPMVNVGTHVHTSYRHRGHCRKKKRSRFQIVFLVPKCRPRSCYAAPPTVQVWILSMAEDVRRWEMDLLPPPSA >ONIVA10G02250.4 pep chromosome:AWHD00000000:10:2279043:2279470:1 gene:ONIVA10G02250 transcript:ONIVA10G02250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVFAPHQVAILALPAVEAEAAAAAAPGGWLSISKGGAVMRRYTHGPWRTGVCGGCGQGGNHAAGQGKIPSLSQDHRVE >ONIVA10G02240.1 pep chromosome:AWHD00000000:10:2271390:2272470:-1 gene:ONIVA10G02240 transcript:ONIVA10G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDTDSASAAASRPAVPVMAEATTVLLLPVVHEGDSDEALAHAPRRTKTDVDLGIVEVDTEPKAQGWHVAQPYRPRDLYDMGTEPSDSDEDDPTS >ONIVA10G02230.1 pep chromosome:AWHD00000000:10:2269177:2270011:-1 gene:ONIVA10G02230 transcript:ONIVA10G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRHVNATLLDNNKLSGSIPSALGLLNTLEVLRFDNNAQLTGPVPTNLNNLTRLTELHLANCNLTGPLPDLTGMNELMYMNNNSFSSSLFE >ONIVA10G02220.1 pep chromosome:AWHD00000000:10:2228895:2229248:1 gene:ONIVA10G02220 transcript:ONIVA10G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGERDSGGSVKRRRGQCENGRLKEEDPTRWRAMASETAMELGVVLREWRAMARTWDGSGQLEACEALVERWQDGLKVGEGGEEVLGPLQRLEGLLHDGHSNSNGERGKKWRRGG >ONIVA10G02210.1 pep chromosome:AWHD00000000:10:2227687:2256833:-1 gene:ONIVA10G02210 transcript:ONIVA10G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATAGHSSAAAAESAPDDVIAEILLRLPPHPSFLSRASLVCNRWRRLARDPGFLRRLRAFHRTPPVLGFFHNSPDLPRFVPAEGVPGRVAAEAASLRRDGDDGMWWFVDCRHGRALLRSRDWAELLVWDPMTGERRCITVSSQIQEGALDLNAAVFCAASGGGDQDCHSSPFHVVVVFTTGQCHGRVFACVYSSGIDAWGDPISTPVTSPCELYEEPPVLVGEALYWLLDGSRILEFEFGNQCLCLALIDHPVENHAILKRNIRLVRMEDDDVLGLAFVKDFSLHLWAREVADDGASQWIPRRAIELDMILPLEGYRCRAMPIWICGFAEDGDVVFIRTVAGVFLVWLDTLKFKKVSGSLLMKTVYSYASFYVPNGMKNYASVPLLAAAEAEAAAVESLPDDVVAEILLCLPPHPSFVSGASLVCKRWLHLIRSPSFLRRVRAFHRTPPVLGFFHNYRDLPSFVPAEGVPGRNRMDGVDDGGDARMFIDCRHGRALLRRYDWADLVVWDPMTGERRRIAGPNQKMQGGGAGTSRRSAALFCSCDVSGGGGDQDCHSSPFHVVVVFTGGCRAFACVYSSLTDAWGDLISTPAPLPCELCDTPPALVGEASYWLSYGGLILEFQFGSQSLTLMKRPLEMLADVRLVRLEEDGLGLAFIKDSTLHLWAREVADDGASKWKWIPRRAIELDKFLPMPRVLTGKWCGEMFVSISGFSEDGNVVFIRTLAGVFLVWLEALKFKKMSDPLYMMTVHPYSSFYVPNANEKISSVFLLVLNPVLCVSLIMTGSSIEAHEIQCVDRGKEILTFSSRIRHGYLTSDLTMADVTGGPSSPPAAAAETLPDDVLAEILLRLPPHPSFLSSASLVSKRWLRHTRNPSFLRRFREFHRTAPVLGFFLNSSHGALFFPTDAPPGRIADQVASLRRNTGDGLWWLVGCRHGRVLLRSCDWANLLVWDPMTEGFVCFPAPIQMVQADADRDAAVFCAASAGDEDRRSGAFNVAVVFVSGDHVFGCMFSSAIGAWGDVISTPVTLPLLMIYDEPAALAGEALYWIVNGSSLLEFNCGSQSLALISRPSDMPATHRWNIRPVSLEDDLLGLAFFNDFCLHLWVREVADDGATNWVPRKSVEMDKLLSLPVATEDSRRRIVPAWICGFSGDGNVVFIGTPAGIFLVELDTLKFKKVTDGSLLIKTVHPYESFYYVPNEKGGKQESAIVGNQVSEAGGKNQVASEMYGAKPGWSSDDHWFPLLPMVKIIGVAEEGDVVFRLWTLSGIFKFCSGSMELNKKVCEATKDMEIDFLHRTLPVISSAPPPLLPPLTVAIAVTVMEEAFKALKRTKDLLATFSHLEAILPPFNNCPDPSHVLAIALHSLKTTPNSIAVSLAMALHLVGSSSFNLPSSSYNPPFYIGLFFFSRSLHLLSLLLPSKDIVAFEEEGDHYAKVLRGGGRKRRVLGGGIEDELRPVAVRWYGAGMNMVLPYATEPPAFFHTSSNVVTDKLTKNA >ONIVA10G02210.2 pep chromosome:AWHD00000000:10:2227687:2256833:-1 gene:ONIVA10G02210 transcript:ONIVA10G02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATAGHSSAAAAESAPDDVIAEILLRLPPHPSFLSRASLVCNRWRRLARDPGFLRRLRAFHRTPPVLGFFHNSPDLPRFVPAEGVPGRVAAEAASLRRDGDDGMWWFVDCRHGRALLRSRDWAELLVWDPMTGERRCITVSSQIQEGALDLNAAVFCAASGGGDQDCHSSPFHVVVVFTTGQCHGRVFACVYSSGIDAWGDPISTPVTSPCELYEEPPVLVGEALYWLLDGSRILEFEFGNQCLCLALIDHPVENHAILKRNIRLVRMEDDDVLGLAFVKDFSLHLWAREVADDGASQWIPRRAIELDMILPLEGYRCRAMPIWICGFAEDGDVVFIRTVAGVFLVWLDTLKFKKVSGSLLMKTVYSYASFYVPNEGVEGSLHVSMKSAAAEAEAAAVESLPDDVVAEILLCLPPHPSFVSGASLVCKRWLHLIRSPSFLRRVRAFHRTPPVLGFFHNYRDLPSFVPAEGVPGRNRMDGVDDGGDARMFIDCRHGRALLRRYDWADLVVWDPMTGERRRIAGPNQKMQGGGAGTSRRSAALFCSCDVSGGGGDQDCHSSPFHVVVVFTGGCRAFACVYSSLTDAWGDLISTPAPLPCELCDTPPALVGEASYWLSYGGLILEFQFGSQSLTLMKRPLEMLADVRLVRLEEDGLGLAFIKDSTLHLWAREVADDGASKWKWIPRRAIELDKFLPMPRVLTGKWCGEMFVSISGFSEDGNVVFIRTLAGVFLVWLEALKFKKMSDPLYMMTVHPYSSFYVPNGMGSSLSFFILCCLVLIMTGSSIEAHEIQCVDRGKEILTFSSRIRHGYLTSDLTMADVTGGPSSPPAAAAETLPDDVLAEILLRLPPHPSFLSSASLVSKRWLRHTRNPSFLRRFREFHRTAPVLGFFLNSSHGALFFPTDAPPGRIADQVASLRRNTGDGLWWLVGCRHGRVLLRSCDWANLLVWDPMTEGFVCFPAPIQMVQADADRDAAVFCAASAGDEDRRSGAFNVAVVFVSGDHVFGCMFSSAIGAWGDVISTPVTLPLLMIYDEPAALAGEALYWIVNGSSLLEFNCGSQSLALISRPSDMPATHRWNIRPVSLEDDLLGLAFFNDFCLHLWVREVADDGATNWVPRKSVEMDKLLSLPVATEDSRRRIVPAWICGFSGDGNVVFIGTPAGIFLVELDTLKFKKVTDGSLLIKTVHPYESFYYVPNEKGGKQESAIVGNQVSEAGGKNQVASEMYGAKPGWSSDDHWFPLLPMVKIIGVAEEGDVVFRLWTLSGIFKFCSGSMELNKKVCEATKDMEIDFLHRTLPVISSAPPPLLPPLTVAIAVTVMEEAFKALKRTKDLLATFSHLEAILPPFNNCPDPSHVLAIALHSLKTTPNSIAVSLAMALHLVGSSSFNLPSSSYNPPFYIGLFFFSRSLHLLSLLLPSKDIVAFEEEGDHYAKVLRGGGRKRRVLGGGIEDELRPVAVRWYGAGMNMVLPYATEPPAFFHTSSNVVTDKLTKNA >ONIVA10G02210.3 pep chromosome:AWHD00000000:10:2227687:2256833:-1 gene:ONIVA10G02210 transcript:ONIVA10G02210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATAGHSSAAAAESAPDDVIAEILLRLPPHPSFLSRASLVCNRWRRLARDPGFLRRLRAFHRTPPVLGFFHNSPDLPRFVPAEGVPGRVAAEAASLRRDGDDGMWWFVDCRHGRALLRSRDWAELLVWDPMTGERRCITVSSQIQEGALDLNAAVFCAASGGGDQDCHSSPFHVVVVFTTGQCHGRVFACVYSSGIDAWGDPISTPVTSPCELYEEPPVLVGEALYWLLDGSRILEFEFGNQCLCLALIDHPVENHAILKRNIRLVRMEDDDVLGLAFVKDFSLHLWAREVADDGASQWIPRRAIELDMILPLEGYRCRAMPIWICGFAEDGDVVFIRTVAGVFLVWLDTLKFKKVSGSLLMKTVYSYASFYVPNGMKNYASVPLLAAAEAEAAAVESLPDDVVAEILLCLPPHPSFVSGASLVCKRWLHLIRSPSFLRRVRAFHRTPPVLGFFHNYRDLPSFVPAEGVPGRNRMDGVDDGGDARMFIDCRHGRALLRRYDWADLVVWDPMTGERRRIAGPNQKMQGGGAGTSRRSAALFCSCDVSGGGGDQDCHSSPFHVVVVFTGGCRAFACVYSSLTDAWGDLISTPAPLPCELCDTPPALVGEASYWLSYGGLILEFQFGSQSLTLMKRPLEMLADVRLVRLEEDGLGLAFIKDSTLHLWAREVADDGASKWKWIPRRAIELDKFLPMPRVLTGKWCGEMFVSISGFSEDGNVVFIRTLAGVFLVWLEALKFKKIIMTGSSIEAHEIQCVDRGKEILTFSSRIRHGYLTSDLTMADVTGGPSSPPAAAAETLPDDVLAEILLRLPPHPSFLSSASLVSKRWLRHTRNPSFLRRFREFHRTAPVLGFFLNSSHGALFFPTDAPPGRIADQVASLRRNTGDGLWWLVGCRHGRVLLRSCDWANLLVWDPMTEGFVCFPAPIQMVQADADRDAAVFCAASAGDEDRRSGAFNVAVVFVSGDHVFGCMFSSAIGAWGDVISTPVTLPLLMIYDEPAALAGEALYWIVNGSSLLEFNCGSQSLALISRPSDMPATHRWNIRPVSLEDDLLGLAFFNDFCLHLWVREVADDGATNWVPRKSVEMDKLLSLPVATEDSRRRIVPAWICGFSGDGNVVFIGTPAGIFLVELDTLKFKKVTDGSLLIKTVHPYESFYYVPNEKGGKQESAIVGNQVSEAGGKNQVASEMYGAKPGWSSDDHWFPLLPMVKIIGVAEEGDVVFRLWTLSGIFKFCSGSMELNKKVCEATKDMEIDFLHRTLPVISSAPPPLLPPLTVAIAVTVMEEAFKALKRTKDLLATFSHLEAILPPFNNCPDPSHVLAIALHSLKTTPNSIAVSLAMALHLVGSSSFNLPSSSYNPPFYIGLFFFSRSLHLLSLLLPSKDIVAFEEEGDHYAKVLRGGGRKRRVLGGGIEDELRPVAVRWYGAGMNMVLPYATEPPAFFHTSSNVVTDKLTKNA >ONIVA10G02210.4 pep chromosome:AWHD00000000:10:2227687:2256833:-1 gene:ONIVA10G02210 transcript:ONIVA10G02210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATAGHSSAAAAESAPDDVIAEILLRLPPHPSFLSRASLVCNRWRRLARDPGFLRRLRAFHRTPPVLGFFHNSPDLPRFVPAEGVPGRVAAEAASLRRDGDDGMWWFVDCRHGRALLRSRDWAELLVWDPMTGERRCITVSSQIQEGALDLNAAVFCAASGGGDQDCHSSPFHVVVVFTTGQCHGRVFACVYSSGIDAWGDPISTPVTSPCELYEEPPVLVGEALYWLLDGSRILEFEFGNQCLCLALIDHPVENHAILKRNIRLVRMEDDDVLGLAFVKDFSLHLWAREVADDGASQWIPRRAIELDMILPLEGYRCRAMPIWICGFAEDGDVVFIRTVAGVFLVWLDTLKFKKAEAAAVESLPDDVVAEILLCLPPHPSFVSGASLVCKRWLHLIRSPSFLRRVRAFHRTPPVLGFFHNYRDLPSFVPAEGVPGRNRMDGVDDGGDARMFIDCRHGRALLRRYDWADLVVWDPMTGERRRIAGPNQKMQGGGAGTSRRSAALFCSCDVSGGGGDQDCHSSPFHVVVVFTGGCRAFACVYSSLTDAWGDLISTPAPLPCELCDTPPALVGEASYWLSYGGLILEFQFGSQSLTLMKRPLEMLADVRLVRLEEDGLGLAFIKDSTLHLWAREVADDGASKWKWIPRRAIELDKFLPMPRVLTGKWCGEMFVSISGFSEDGNVVFIRTLAGVFLVWLEALKFKKMSDPLYMMTVHPYSSFYVPNGMGSSLSFFILCCLVLIMTGSSIEAHEIQCVDRGKEILTFSSRIRHGYLTSDLTMADVTGGPSSPPAAAAETLPDDVLAEILLRLPPHPSFLSSASLVSKRWLRHTRNPSFLRRFREFHRTAPVLGFFLNSSHGALFFPTDAPPGRIADQVASLRRNTGDGLWWLVGCRHGRVLLRSCDWANLLVWDPMTEGFVCFPAPIQMVQADADRDAAVFCAASAGDEDRRSGAFNVAVVFVSGDHVFGCMFSSAIGAWGDVISTPVTLPLLMIYDEPAALAGEALYWIVNGSSLLEFNCGSQSLALISRPSDMPATHRWNIRPVSLEDDLLGLAFFNDFCLHLWVREVADDGATNWVPRKSVEMDKLLSLPVATEDSRRRIVPAWICGFSGDGNVVFIGTPAGIFLVELDTLKFKKVTDGSLLIKTVHPYESFYYVPNEKGGKQESAIVGNQVSEAGGKNQVASEMYGAKPGWSSDDHWFPLLPMVKIIGVAEEGDVVFRLWTLSGIFKFCSGSMELNKKVCEATKDMEIDFLHRTLPVISSAPPPLLPPLTVAIAVTVMEEAFKALKRTKDLLATFSHLEAILPPFNNCPDPSHVLAIALHSLKTTPNSIAVSLAMALHLVGSSSFNLPSSSYNPPFYIGLFFFSRSLHLLSLLLPSKDIVAFEEEGDHYAKVLRGGGRKRRVLGGGIEDELRPVAVRWYGAGMNMVLPYATEPPAFFHTSSNVVTDKLTKNA >ONIVA10G02200.1 pep chromosome:AWHD00000000:10:2223267:2227502:-1 gene:ONIVA10G02200 transcript:ONIVA10G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRPLAAAAAATVPDELHLEIMVRLPALPQALARASAVCPEWRRVVRDAAFLRRHRELHGGVPATAGFFHNTVVVGGAPGGARFVCAGAGPLALSVPPSVSRQGVPCCHTHVNPGDAWTVLDCRGGRVLLGCCRFSCYFLVYNPITGKRCLVKAASHKRLHLHHSIRCNATLICDDDDADADGPFRVAAVYTTVTDGGRLFGAAFLSRTGRWTTAPQVFVDLPRGIDLRGEPSAVVGSTAYLSAYSYLVLAFDVEHWTMATFQRPPHCGNARLMKTRGDGVLGLVGALELTVRLWAREAGGWVLRSTVELSDMGLLRDLPSAPLPSSDARFPLLPPVKIIGVAEEGDAVFLWTMLGIFMFCPGSMELKKKAVKKQQNRVDMLQQMSLKINDEGSLELWKARKIVLGRADCSDKSQVQGA >ONIVA10G02190.1 pep chromosome:AWHD00000000:10:2213883:2216634:-1 gene:ONIVA10G02190 transcript:ONIVA10G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFIGCICSLALLLLCSHVFQLLSDARRRLPPGPRPLPVIGNLLDVAGELPHRSLACVAERYGPLVTLRLGTMLAVVASSPATARDVLHRHGASITDRGTPDAWSTDGHDSNSIFAFPTRHHRWRALRRLGAEQLFSPRRVEEQRPLRRDAVRGLLRHVAELAAASGGGGAAVVDVGRAAFAAMASLLFGALFSAGIDAATSCRFRDAAREFALLTMTPNVSEFFPVVAMADLQGLRRRTARHITWMYQLIDGHVERRMRGRETAGGCGAAHGEKEKDLLDVMLDMSEKEEQNDDSSLTMNRGVIRAFMADLLMAGSETSSAVIEWAMAELLQNPQTMTKLQEELKKVIGSKTCIDEEDIDQLPYLQAVIKETHRLHPAIPLLMYKAAVPVEIQGYKIPKETTVIVNTWAIHQNSEVWIEPDKFIPERFLQKEISLSSGSTNMELIPFSAGRRFCLGYPVANRMLHVMLASLVHQFQWTLPEVVKKNGGVDMAEKFGITLSMATPLHAIAKNIV >ONIVA10G02180.1 pep chromosome:AWHD00000000:10:2213156:2213491:1 gene:ONIVA10G02180 transcript:ONIVA10G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMTRRRTTSARRNLALPCWSARRLLPCLALTVLSAQRGRRPRGGEAWPPPLLPPRYSPPRPATAVAQAAAIAGPTEGNGRRGGGGGGEASQEGVGEEVGSRPGVWLGEE >ONIVA10G02170.1 pep chromosome:AWHD00000000:10:2205593:2206681:1 gene:ONIVA10G02170 transcript:ONIVA10G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVGRASTAHWIGVDKDAYCQRRRGRRRWQHRSQAIVMAKDGRHVEGSPGLPGRHHDYGTVDGVEPQRLPRDGRVTDTRDFRKERWAGCHSTRSARFYPVKKKRSGSGEQHKPASDVAEECQEEDKPAEDNDAAASPDVKPPAATPGTNDKDTSTKADKKDAKEKDKKPSGFPIVMAVLKVDMHCNGSAKRIRSSIRHYHGVEGVTMEVDKSTMTVGQRRRAETT >ONIVA10G02170.2 pep chromosome:AWHD00000000:10:2205593:2206681:1 gene:ONIVA10G02170 transcript:ONIVA10G02170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKPGDSHGEGWASRRGKSRPPGATSRLWHSRRRGAAEAAARWKEEEAQRQWRAAQACVGRRGGVSGGGQARRGQRRCRLARREAAGGDAGDERQGHLDEGGQEGRQGEGQEAVGFPHRHGRPQGRHALQRQCQTHPLLHPPLPWCGGRDDGGGQEHHDGGATTQGGNHVIARINYPLASRDDDRILGRLTRIQRWEVETIPSVGFYIEMFLTVS >ONIVA10G02150.1 pep chromosome:AWHD00000000:10:2189921:2191219:-1 gene:ONIVA10G02150 transcript:ONIVA10G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MM >ONIVA10G02130.1 pep chromosome:AWHD00000000:10:2183525:2183782:-1 gene:ONIVA10G02130 transcript:ONIVA10G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPALISYWLMKAVWCSRWRQQGEVAVDVSGGCLAAKERRRRRLKVAGGGGKAANGSSGNVGALCAHRRRRRRLGWVVGPTWAE >ONIVA10G02120.1 pep chromosome:AWHD00000000:10:2131678:2145623:-1 gene:ONIVA10G02120 transcript:ONIVA10G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPWSLPAAPLPPLLPLNNPIPRKQPTAHATDSAPIFCIRQQAATDGATSASGRRRRHAGGATTRMAASGKEAMVWVRILEEGVFRFDASEAARAAAGPSLSFAVPRRREEPRAGGDRPAIVPVCEVVGDVQRVVVEGGEGAGAARQSGHDAGVRGDGGESGDGVGRERGAAGAGAALRDGKACACYDIALLLLCAVGVIRAIDDQASFTYEPAGAAEKDGLPSGTSFYGTGESSGPLERTGKLVITWNTDAWDYGPGTTSLYQSHPWVLAVLPDGKALGVLADTTCRCEVVKTFRERGIPCDVVWMDIDYMDGFRCFTFSHRFPDPKCMVDDLHSVGCKAIWMLDPGIKNESGYFVFDSGLESDVWVQKEDKQPFVGEVWPGDCVFPDFTCERARSWWSGLVRQFVSNGVDGLWNDMNEPAVFNTATKTMPESNIHRGDANIGGLQNHPYYHNVYGMLMAKSTHEGMKLANPTKRPFVLTRAGFIGQQRYAAMWTGDNVSNWEHLHMSIAMVLQLGLSGQPFAGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSDKGSLDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHTNGTPVAAPVYFADPQDLELRKIETSFLLGSLLVCASTCPDKGAHESSQKLPKGIWLPFDFGDSHPDLPMMYLRGGAVLPIGLPLNHVGEAKLDDNLSLIIALDENGKAEGVLFEDDGDGYEFLQGNYLLTYYVAELHSSVVTVKVARTEGSWKRPNRNLKINILLGGGAMVSTHGIDGEDLHLTMPTESEVSSLVATSELELKKQMVRPIPDIDKPLGKEVAELSEIPIDLNGEDWLVKVVPQIGGRIISMTHLPSDSQWLHSTNRINGYEEYNAAEDTAGCTEEYKVIRRYREQSGKEESICLEGDIGGGLVLQRQISICKENPKIVKIDSSIRAKQGADHSASNGIKREILPDSGELTFEGVLRPNGEWMLVDKRTNLSLVNCFDLSQVSICKLHWGTDHLNMELWSEQRAVSKDTPLRICHHYEVRKIN >ONIVA10G02120.2 pep chromosome:AWHD00000000:10:2131678:2145623:-1 gene:ONIVA10G02120 transcript:ONIVA10G02120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPWSLPAAPLPPLLPLNNPIPRKQPTAHATDSAPIFCIRQQAATDGATSASGRRRRHAGGATTRMAASGKEAMVWVRILEEGVFRFDASEAARAAAGPSLSFAVPRRREEPRAGGDRPAIVPVCEVVGDVQRVVVELPSGTSFYGTGESSGPLERTGKLVITWNTDAWDYGPGTTSLYQSHPWVLAVLPDGKALGVLADTTCRCEVVKTFRERGIPCDVVWMDIDYMDGFRCFTFSHRFPDPKCMVDDLHSVGCKAIWMLDPGIKNESGYFVFDSGLESDVWVQKEDKQPFVGEVWPGDCVFPDFTCERARSWWSGLVRQFVSNGVDGLWNDMNEPAVFNTATKTMPESNIHRGDANIGGLQNHPYYHNVYGMLMAKSTHEGMKLANPTKRPFVLTRAGFIGQQRYAAMWTGDNVSNWEHLHMSIAMVLQLGLSGQPFAGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSDKGSLDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHTNGTPVAAPVYFADPQDLELRKIETSFLLGSLLVCASTCPDKGAHESSQKLPKGIWLPFDFGDSHPDLPMMYLRGGAVLPIGLPLNHVGEAKLDDNLSLIIALDENGKAEGVLFEDDGDGYEFLQGNYLLTYYVAELHSSVVTVKVARTEGSWKRPNRNLKINILLGGGAMVSTHGIDGEDLHLTMPTESEVSSLVATSELELKKQMVRPIPDIDKPLGKEVAELSEIPIDLNGEDWLVKVVPQIGGRIISMTHLPSDSQWLHSTNRINGYEEYNAAEDTAGCTEEYKVIRRYREQSGKEESICLEGDIGGGLVLQRQISICKENPKIVKIDSSIRAKQGADHSASNGIKREILPDSGELTFEGVLRPNGEWMLVDKRTNLSLVNCFDLSQVSICKLHWGTDHLNMELWSEQRAVSKDTPLRICHHYEVRKIN >ONIVA10G02110.1 pep chromosome:AWHD00000000:10:2126886:2127466:1 gene:ONIVA10G02110 transcript:ONIVA10G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGRPTGLSPAVPCTDPTVGSVVAAADDDEEEVAGSGILGPMIAGSTPRSLTRRRRRLSEAAPPPPTTKKRRWPGAAPPAPPCVHARWPDPRPLPLPLRRRRPGAAPPGSAPVPPDSRGRDENEGAKIRLIIT >ONIVA10G02100.1 pep chromosome:AWHD00000000:10:2121513:2123590:-1 gene:ONIVA10G02100 transcript:ONIVA10G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATFTARRSSPELVTPARPTPRETKLLSDLDDQWTLRYYETVVGFFRVSPKMAGGLPGGDNIAAKVIKAAVAEALVHYYPVAGRLRALVPGGNKLAVDCTAEGVAFVEATADVRLEELGEPLLPPYPCVEEFLGDAGDTRDILDKPLLFLQVTQLKCGGFVIGLHMCHCIFDAFGLLQFIKTIAGFAGGEPIPSTMPVWGRESFFAARTPPSFTHVYPAYKPILDGRSSAGDGDGDVDDVMLTTPPETMVMKYFSFGPKEISALRSLIPAHLTRSTTAFELLTAVMWRCRTSALGYEPDRRVRLMFTLNLRGRWWSREEEAAVPPGYYGNAHLSPMVTATVGELARQPLADTVELMCRAKAGTTRERVESMVDLLATWRERPAFAMDRTYEVSDTKWVGGGGGALRCGVAEMVGGGTPFAGDLTSKLISYHMKCKNENGEDSIVVSMLLPEPAMERFTKEMSFWLKSY >ONIVA10G02090.1 pep chromosome:AWHD00000000:10:2112158:2112598:-1 gene:ONIVA10G02090 transcript:ONIVA10G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPAVYYCVILPPPLHSLLHLLECISRGCALPAALLFSGGDADAEAELAAPPPGAAATAARAQADGIKSRLPVVRFSASGSGSDGEEEDGAAAEASPRCAVCLAAVEEGAEVRQLGNCSHAFHLPCIDRWVDMGHFTCPLCRSLL >ONIVA10G02080.1 pep chromosome:AWHD00000000:10:2096100:2097165:-1 gene:ONIVA10G02080 transcript:ONIVA10G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLRCSYEPNGVWGPNPARRKCRQGRSCQAKDTLSEISTIPPLSTTRLSLSFPPSLALSPPSLAPAPAPLRSSLLYPHTHALLLSSSSLLGSYPNQLQNNKIKG >ONIVA10G02070.1 pep chromosome:AWHD00000000:10:2081670:2095102:-1 gene:ONIVA10G02070 transcript:ONIVA10G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01320) TAIR;Acc:AT1G01320] MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSHVILKGISTDRIIDVRRLLCVNTATCAITNYSLSHELRDGRLKDGADIATLKPFTLTLVEEEYDEESAVAHVRRLLDIVACTASFGPPPPPPPPPSPKDAAANPAKEPSGSKAGSAAATGGRRTGSPPPSPAPVAKDAATKDDAAAAAAAAAAKESSASAELEAEMSGACPRLGAFYEFFSLANLTPPLHFIRRVAQPRQEEQPSDDHLFFLEAKLCNGKFVVVEARRKGFFSLGKQRVLCHNLVDLLRHLSRAFDNAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSTFPPLPSEDETWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMEIKDVSASANIDEVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMDFKHLAERNLLKGITADENTAAHDVESLGIVNLRYCGYVAVAKVNNIEKAKVNTSIKPIDITDQPEGGAHALNINSLRMLLNDANSTGEKKTLNLPQNNKQEELIAAHSFVENLLKESLQKLEEEESEKQSFMRWELGACWVQHLQDQKNSDKDKKQGGEKEKKKVVDKSAKETKIEGLGKPLKALKHSKNNVDVTDKGSSLGEKSMCDGTSSAESQKFKPSAVQLPQGESNASENESLLKDLLSDSAFTRLKDSETGLHQKSLPELIEMALKYYDEVALPKLVKLSEKLSHVQSLCVHEMIVRAFKHIVRSAIAATSDMRQLALAIAAALNLLLGVPEPEVFTSSDGVRPLVWKWLVAFLKKRYEFELTEQHYHDVRKYALLRGLCHKVGIELAPRDFVMDSAFPFQKQDIISLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEESKGRDSESSKRRYSSIKVLSNSNGGSNVASPEVSPRDSTSANADEDKQIIEPSQDDTVNFVAEAEIKQNLKSVEYSASSEQPVERAEVINVPREVVQEELVEPEDGWQPVQRPKSAAGSGKQMKHFNPTTRKMYDPDNHDPQYTSQYKARNSYPNSRYYFLKKRTVVPATYTDPHQHMKVQTSSARFGRKIYKAVTYRIKPGSTSTEAQDASAEQMSGKAESQMAYSQVHSTTSVDHKESEPHGTLVTSSGNAPSYKDVALARPGTIAKAQIQKSRDDVVQNQPSLGQIIAQEMKDSLVDTHQVEQGSVSANINNPKEVGNIPEEIQHSEDIKVSDRELDTGDIDTDGSPNDEKSLNGSNLANDHTSQEPVSCSNENAAVEFAESSNSAKDEQSRKSDMEIFEEALPTSIGPIAVSASTANTEGLAGAGNEKSKPNLLLNSIDLREMPNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAIPGVAPWPVNVPMHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLLHPVPFIYPPYSQPQVIPSSTFPMNTNIFRPNHYGWQPYMSAPSSEFVPGSAWPSNHPVDFTPTPHVVNPISQSLADTHIQSDAAVVSIGPSLDSNTMAVKEEMEATLVGSGNLISNKRPADDQDKQLKDPVRIELNPDMPGDNAHGICATDHLRSTVKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVRENDIFRPSTVSFAEVVSSGN >ONIVA10G02070.2 pep chromosome:AWHD00000000:10:2081670:2095102:-1 gene:ONIVA10G02070 transcript:ONIVA10G02070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01320) TAIR;Acc:AT1G01320] MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSHVILKGISTDRIIDVRRLLCVNTATCAITNYSLSHELRDGRLKDGADIATLKPFTLTLVEEEYDEESAVAHVRRLLDIVACTASFGPPPPPPPPPSPKDAAANPAKEPSGSKAGSAAATGGRRTGSPPPSPAPVAKDAATKDDAAAAAAAAAAKESSASAELEAEMSGACPRLGAFYEFFSLANLTPPLHFIRRVAQPRQEEQPSDDHLFFLEAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSTFPPLPSEDETWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMEIKDVSASANIDEVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMDFKHLAERNLLKGITADENTAAHDVESLGIVNLRYCGYVAVAKVNNIEKAKVNTSIKPIDITDQPEGGAHALNINSLRMLLNDANSTGEKKTLNLPQNNKQEELIAAHSFVENLLKESLQKLEEEESEKQSFMRWELGACWVQHLQDQKNSDKDKKQGGEKEKKKVVDKSAKETKIEGLGKPLKALKHSKNNVDVTDKGSSLGEKSMCDGTSSAESQKFKPSAVQLPQGESNASENESLLKDLLSDSAFTRLKDSETGLHQKSLPELIEMALKYYDEVALPKLVKLSEKLSHVQSLCVHEMIVRAFKHIVRSAIAATSDMRQLALAIAAALNLLLGVPEPEVFTSSDGVRPLVWKWLVAFLKKRYEFELTEQHYHDVRKYALLRGLCHKVGIELAPRDFVMDSAFPFQKQDIISLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEESKGRDSESSKRRYSSIKVLSNSNGGSNVASPEVSPRDSTSANADEDKQIIEPSQDDTVNFVAEAEIKQNLKSVEYSASSEQPVERAEVINVPREVVQEELVEPEDGWQPVQRPKSAAGSGKQMKHFNPTTRKMYDPDNHDPQYTSQYKARNSYPNSRYYFLKKRTVVPATYTDPHQHMKVQTSSARFGRKIYKAVTYRIKPGSTSTEAQDASAEQMSGKAESQMAYSQVHSTTSVDHKESEPHGTLVTSSGNAPSYKDVALARPGTIAKAQIQKSRDDVVQNQPSLGQIIAQEMKDSLVDTHQVEQGSVSANINNPKEVGNIPEEIQHSEDIKVSDRELDTGDIDTDGSPNDEKSLNGSNLANDHTSQEPVSCSNENAAVEFAESSNSAKDEQSRKSDMEIFEEALPTSIGPIAVSASTANTEGLAGAGNEKSKPNLLLNSIDLREMPNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAIPGVAPWPVNVPMHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLLHPVPFIYPPYSQPQVIPSSTFPMNTNIFRPNHYGWQPYMSAPSSEFVPGSAWPSNHPVDFTPTPHVVNPISQSLADTHIQSDAAVVSIGPSLDSNTMAVKEEMEATLVGSGNLISNKRPADDQDKQLKDPVRIELNPDMPGDNAHGICATDHLRSTVKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVRENDIFRPSTVSFAEVVSSGN >ONIVA10G02060.1 pep chromosome:AWHD00000000:10:2076077:2076693:-1 gene:ONIVA10G02060 transcript:ONIVA10G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNMLAPLLVLNLIMYLIVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRAWRHDSLATNAASSLIAWAITALAFGLACKEIHIGGHRGWRLRVLEAFVIILAFTQLLYVLMLHTGLFGGGDGAYRDHDYSVGAGAAAGEPKGTARV >ONIVA10G02050.1 pep chromosome:AWHD00000000:10:2063294:2068426:-1 gene:ONIVA10G02050 transcript:ONIVA10G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G06470) TAIR;Acc:AT1G06470] MHSKPEGDAASAAAAAEGGSPRSGYFRQRSMYAADPDGVGAATPRKAFDVENPPGGAGGLRPSESVTKLESLERAERAALAPAVVLKTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKIIMLFQTKGVENAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLMFAFAFRLESPSIKLLGIIVVISTGVLLTVSKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQKDSYGLKNPITLMSHVTPVMAIATMVLSLLMDPWSDFQKNTYFDSPWHVMRSFLLMLVGGTLAFFMVLTEYVLVSATSAITVTIAGVVKEAVTILVAVFYFHDEFTWLKGLGLATIMVGVSLFNWYKYEKYKKGHINEDEVNSPSFDGDAKYIILDDLEDQDEIFMP >ONIVA10G02040.1 pep chromosome:AWHD00000000:10:2052865:2062163:1 gene:ONIVA10G02040 transcript:ONIVA10G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASVHEGTACMAPAGLQGAGIRGNSSLEAAVVAEVSKLLVWVYNTQGRWPRIAEYNMEDLNSKVRELVRVMAHVGSTMSEAWSNGRPPIHEADMWIWRGIVLRDRAMDVQSRFDELVRNAPCLSICNTPQYLRKRCLLNFEAVVCLNRITGHLVRGSQENYASGSVERVSRPPKDDHEFASLSKSSTVAQEPAVTGRTPVHAADSSGLAQQQEEAVATAAGKVQLGVFTEVPAISSQRREKLAVMVRVKAPAYTKQTRAPLDLVMVLDIGGRMRELEQLKQGAKFIIHNLTQQDRLSIVTFGPRADRLSELTPMTEQDKRSSNDAVQALEASGGVKIGAGLNVAYQVLDRRPRREARRLSGIIVLSDGKDIRLLKESLQLVRRDKFGQVKGTKSFEESDTAVAVRRRFRTYTFGFGSYHDPRTLYYLASQGFGTYSFVNESVQNIRDAMALCIGGLTSIVAQDLEVTIRAAHPGVEISSVDSGCHDVLLSSNKHKSIVHIKDLLGDEEKNLVVYVNAPDQEEHGQLATASMAKLLTVTAEYRSPLSQDDLIRADEAAAYVERRPKKKLLDGHDLSPEVACEMYRLGVVNRVWGIWTAIPVTTNPTYTTIKGAVKLWEIEGLDQDDVVNQLESLLAAIDPSVQPSADPDMAALRRRLYNDLDKMRTKFSKNVMAGLPYMLSWLSSHRCQRAATRVSASDSCFLTVRMKNMIASVETALAYIIQ >ONIVA10G02030.1 pep chromosome:AWHD00000000:10:2044551:2048919:1 gene:ONIVA10G02030 transcript:ONIVA10G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHREQLPPGADRERLLAEEVLYLHSLWRRAAPAPIPPRGSGSVATLRRVDRRRRRRLERRAQEQQREESGPEWPLATSPPASPTTWHDNKAASSPAQRPPQQKQPSPGSLSQRAALRAAEEFFSNRGSDDDDEVVEEEGSESEGEEAAGFFMGLFERDAALRGHYERGWEGGEFVCMACVGRKGKARRFAGCVGLVQHARAATRCGRPRAHRAFAAAICRVLGWDIDRMPSVVIDPRGTLGQALAAAEAAAAVAAQENNVDAVEKTISSEDQVAEKEDVETGKNDGSLSDVDAMKENSNVGKNSSSINDNNGDVHEKGNGGAYEKDIICCIHVN >ONIVA10G02030.2 pep chromosome:AWHD00000000:10:2044551:2047127:1 gene:ONIVA10G02030 transcript:ONIVA10G02030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHREQLPPGADRERLLAEEVLYLHSLWRRAAPAPIPPRGSGSVATLRRVDRRRRRRLERRAQEQQREESGPEWPLATSPPASPTTWHDNKAASSPAQRPPQQKQPSPGSLSQRAALRAAEEFFSNRGSDDDDEVVEEEGSESEGEEAAGFFMGLFERDAALRGHYERGWEGGEFVCMACVGRKGKARRFAGCVGLVQHARAATRCGRPRAHRAFAAAICRVLGWDIDRMPSVVIDPRGTLGQALAAAEAAAAVAAQENNVDAVEKTISSEDQVAEKEDVETGKNDGSLSDVDAMKENSNVGKNSSSINDNNGDVHEKGNGGAYEKNDTIIHPYINGGKDPT >ONIVA10G02020.1 pep chromosome:AWHD00000000:10:2040864:2043982:1 gene:ONIVA10G02020 transcript:ONIVA10G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGPIKRTRPGNEAQTPRLSPHKASPSKALGVSGSSYIARKEKKKKKREKKLTASPPPLAAITAMKLDVSAMENNFAAHAAGGEDDGGLFGAGADLPAMELPTCPADFDGFQKETKEMLKHKKGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISIAGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLMGSRFSVGSGSLYAYGILDEGYRYVMPVEEAAELARRAIYQATFRDGASGGCVSVYHVGPNGWTKLSGDDVGELHYKYYPVEATPVEQEMADAPAA >ONIVA10G02010.1 pep chromosome:AWHD00000000:10:2036763:2040477:1 gene:ONIVA10G02010 transcript:ONIVA10G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALPRSRLGFGFFASMSSSAARVGGGGGRDPSNNPAVGRLRELVQRGDAADGWEKSWEAAVTPWDLGKPTPIIEHLVKSGTLPKGRALGYDVVALASPERFVVGLDISSTAVEKAKQWSSSLPNADCFTFLADDFFKWKPSEQFDLIFDYTFFCALDPSLRLAWAETVSGLLKPHGELITLIYLVTEESIYSFVYFSIEDVMISDQEGGPPFNNTVTDYQKVLEPLGFKAILMEDNELAIKPRKGQEKLGRWKRFVPGSSL >ONIVA10G02010.2 pep chromosome:AWHD00000000:10:2036763:2040683:1 gene:ONIVA10G02010 transcript:ONIVA10G02010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHALPRSRLGFGFFASMSSSAARVGGGGGRDPSNNPAVGRLRELVQRGDAADGWEKSWEAAVTPWDLGKPTPIIEHLVKSGTLPKGRALGYDVVALASPERFVVGLDISSTAVEKAKQWSSSLPNADCFTFLADDFFKWKPSEQFDLIFDYTFFCALDPSLRLAWAETVSGLLKPHGELITLIYLVTEESIYSFVYFSIEDVMISDQEGGPPFNNTVTDYQKVLEPLGFKAILMEDNELAIKPRKGQEKLGRWKRFVPGSSL >ONIVA10G02010.3 pep chromosome:AWHD00000000:10:2036763:2040477:1 gene:ONIVA10G02010 transcript:ONIVA10G02010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHALPRSRLGFGFFASMSSSAARVGGGGGRDPSNNPAVGRLRELVQRGDAADGWEKSWEAAVTPWDLGKPTPIIEHLVKSGTLPKGRALGYDVVALASPERFVVGLDISSTAVEKAKQISDQEGGPPFNNTVTDYQKVLEPLGFKAILMEDNELAIKPRKGQEKLGRWKRFVPGSSL >ONIVA10G02010.4 pep chromosome:AWHD00000000:10:2036763:2040683:1 gene:ONIVA10G02010 transcript:ONIVA10G02010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHALPRSRLGFGFFASMSSSAARVGGGGGRDPSNNPAVGRLRELVQRGDAADGWEKSWEAAVTPWDLGKPTPIIEHLVKSGTLPKGRALGYDVVALASPERFVVGLDISSTAVEKAKQISDQEGGPPFNNTVTDYQKVLEPLGFKAILMEDNELAIKPRKGQEKLGRWKRFVPGSSL >ONIVA10G02000.1 pep chromosome:AWHD00000000:10:2033661:2035835:-1 gene:ONIVA10G02000 transcript:ONIVA10G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42620) TAIR;Acc:AT2G42620] MAEEEEEEEVEEGRSSSSAILDLPEPLLLHILSFLTDVRSRHRAALACGRMRAAERATRALTTHPSATAALTHLDLGLAAATDGFKSSELGPIAASCPNLRKLVAPCLFNPRFSDCVGDDALLSLATSCPRLTVLRLSEPFEAAANIQREEAAITVAGLVAFFAALPALEDFTMDLQHNVLEAAPAMEALARRCPRIKFLTLGSFQGLCKASWLHLDGVAVCGGLESLYMKNCQDLTDASLAAIGRGCRRLAKFGIHGCDLVTSAGIRRLAFTLRPTLKEVTVLHCRLLHTAECLTALSPIRDRIESLEINCVWNTTEQPCSVANGTTTECDPEDDELGEVYESAAKKCRYMEFDDLGSWEMLRSLSLWFSAGQLLSPLISAGLDSCPVLEEISIKVEGDCRTCPRPAPRTIFGLSDLAGFPVLAKMKLDLSEAVGYALTAPTGQMDLSLWERFYLHGIESLQTLYELDYWPPQDKDVHHRSLTLPAVGLIQRCVGLRKLFIHGTTHEHFMTFFLSIPNLRDMQLREDYYPAPENDLMFTEMRAESWLRFEVQLNSRQIDD >ONIVA10G01990.1 pep chromosome:AWHD00000000:10:2014530:2018064:-1 gene:ONIVA10G01990 transcript:ONIVA10G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein family [Source:Projected from Arabidopsis thaliana (AT1G29120) TAIR;Acc:AT1G29120] MSRFIPAVRGESDGGRGGAGRGQRPPPPPQRHPPRLRRGDGMASTLSSPCHHHRSSYYSHQLRRRCLRLRPSTAASGRPSGLDTGRELYSHPTFIAGGSRSRVSRSSFMEKSQRKRGPDHLLILVHGIIASPSDWTYGEAVLKKRLGDNFFIYASSSNIYTKTFDGIDVAGRRLANEVLDVIQKMAGLRKISFLAHSLGGLFARYAISILYSTAMKDASQSAACIAPTTEGSEKLECTSGLGAIAGLEPINFITLATPHLGVRGKNQLPFLQGLSILEKIAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEDKKFIYGRMANIFNKKRKRPYKGPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQSRPNKENTKEYHQMMEEEMIHGLQRVGWKKVDVNFHTALWPYFAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRKYFRVYNH >ONIVA10G01990.2 pep chromosome:AWHD00000000:10:2014530:2018064:-1 gene:ONIVA10G01990 transcript:ONIVA10G01990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein family [Source:Projected from Arabidopsis thaliana (AT1G29120) TAIR;Acc:AT1G29120] MSRFIPAVRGESDGGRGGAGRGQRPPPPPQRHPPRLRRGDGMASTLSSPCHHHRSSYYSHQLRRRCLRLRPSTAASGRPSGLDTGRELYSHPTFIAGGSRSRVSRSSFMEKSQRKRGPDHLLILVHGIIASPSDWTYGEAVLKKSSSNIYTKTFDGIDVAGRRLANEVLDVIQKMAGLRKISFLAHSLGGLFARYAISILYSTAMKDASQSAACIAPTTEGSEKLECTSGLGAIAGLEPINFITLATPHLGVRGKNQLPFLQGLSILEKIAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEDKKFIYGRMANIFNKKRKRPYKGPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQSRPNKENTKEYHQMMEEEMIHGLQRVGWKKVDVNFHTALWPYFAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRKYFRVYNH >ONIVA10G01990.3 pep chromosome:AWHD00000000:10:2014965:2018064:-1 gene:ONIVA10G01990 transcript:ONIVA10G01990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein family [Source:Projected from Arabidopsis thaliana (AT1G29120) TAIR;Acc:AT1G29120] MSRFIPAVRGESDGGRGGAGRGQRPPPPPQRHPPRLRRGDGMASTLSSPCHHHRSSYYSHQLRRRCLRLRPSTAASGRPSGLDTGRELYSHPTFIAGGSRSRVSRSSFMEKSQRKRGPDHLLILVHGIIASPSDWTYGEAVLKKSSSNIYTKTFDGIDVAGRRLANEVLDVIQKMAGLRKISFLAHSLGGLFARYAISILYSTAMKDASQSAACIAPTTEGSEKLECTSGLGAIAGLEPINFITLATPHLGVRGKNQLPFLQGLSILEKIAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEDKKFITYLLRSKIVVEHSISSMFLRSALAAFKNRILYANVSYDHMVGWRTSSIRREKDLTKPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQSRPNKENTKEYHQMMEEEMIHGLQRVGWKKVDVNFHTALWPYFAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRKYFRANL >ONIVA10G01990.4 pep chromosome:AWHD00000000:10:2014965:2018064:-1 gene:ONIVA10G01990 transcript:ONIVA10G01990.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein family [Source:Projected from Arabidopsis thaliana (AT1G29120) TAIR;Acc:AT1G29120] MSRFIPAVRGESDGGRGGAGRGQRPPPPPQRHPPRLRRGDGMASTLSSPCHHHRSSYYSHQLRRRCLRLRPSTAASGRPSGLDTGRELYSHPTFIAGGSRSRVSRSSFMEKSQRKRGPDHLLILVHGIIASPSDWTYGEAVLKKRLGDNFFIYASSSNIYTKTFDGIDVAGRRLANEVLDVIQKMAGLRKISFLAHSLGGLFARYAISILYSTAMKDASQSAACIAPTTEGSEKLECTSGLGAIAGLEPINFITLATPHLGVRGKNQLPFLQGLSILEKIAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEDKKFIYGRMANIFNKKRKRPYKGPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQSRPNKENTKEYHQMMEEEMIHGLQRVGWKKVDVNFHTALWPYFAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRKYFRANL >ONIVA10G01990.5 pep chromosome:AWHD00000000:10:2014965:2018064:-1 gene:ONIVA10G01990 transcript:ONIVA10G01990.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein family [Source:Projected from Arabidopsis thaliana (AT1G29120) TAIR;Acc:AT1G29120] MSRFIPAVRGESDGGRGGAGRGQRPPPPPQRHPPRLRRGDGMASTLSSPCHHHRSSYYSHQLRRRCLRLRPSTAASGRPSGLDTGRELYSHPTFIAGGSRSRVSRSSFMEKSQRKRGPDHLLILVHGIIASPSDWTYGEAVLKKRLGDNFFIYASSSNIYTKTFDGIDVAGRRLANEVLDVIQKMAGLRKISFLAHSLGGLFARYAISILYSTAMKDASQSAACIAPTTEGSEKLECTSGLGAIAGLEPINFITLATPHLGVRGKNQLPFLQGLSILEKIAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEDKKFIYGRMANIFNKKRKRPYKVIFFLLHMQPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQSRPNKENTKEYHQMMEEEMIHGLQRVGWKKVDVNFHTALWPYFAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRKYFRANL >ONIVA10G01980.1 pep chromosome:AWHD00000000:10:2007332:2015198:1 gene:ONIVA10G01980 transcript:ONIVA10G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IPE5] MDAGAQPSDTEMAEAGGGGGGGQQPPAAAASAAGAGAGMMENIQATLSHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPPQRNSFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSFPEKFPHVHPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCSSPFSFDFEQHALSEEQMKDLIYQEGLAFNPDYQ >ONIVA10G01970.1 pep chromosome:AWHD00000000:10:2001702:2004330:1 gene:ONIVA10G01970 transcript:ONIVA10G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7,8-dihydroneopterin aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IPE4] MAERELIDRDKLVLIDLQFHGFHGVKSEEKTLGQKFVVDVDAWMDLSVAGETDSISDTVSYTDIYGIAKDVVEGPSRNLLEAAAHRIASNALLKFPQISTIRVKVGKPHVAVHGIVDYLGVEILRHRKDVGGDRQELH >ONIVA10G01960.1 pep chromosome:AWHD00000000:10:1998898:1999751:1 gene:ONIVA10G01960 transcript:ONIVA10G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEETPLAAAAADGAGDAAIRELKTVSCASLSLLLCCAVPDACSLRARCSIREIFANKKVAATSSRLSLSLTTTDQRRRILLQRSKQLALVAVDDLQQRPTVAAS >ONIVA10G01950.1 pep chromosome:AWHD00000000:10:1996752:1997327:1 gene:ONIVA10G01950 transcript:ONIVA10G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVHLIGQVTGGGFDDYFGRRLAVAPPPPPRRSIQGSFTFAAPSPPPFQYTTYEAASLYSSLSLPLHLPYTYYAAAASAPATATPLLPRMLPPLPPSATVVRRRIKKPRTPRSGEGQARAPQRRRPLERAAPLPPPAAVAEALDDLEREVTRGFVEDLLHALAPPPSSLPLPTFSLVRAAAAKAAASCAV >ONIVA10G01940.1 pep chromosome:AWHD00000000:10:1972226:1976831:1 gene:ONIVA10G01940 transcript:ONIVA10G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIISYLLVLVLAGAVAVEAILGAPPAPGTSAAKVPAVLAFGDSIVDTGNNNYLPTIIRSNFPPYGRDFPGGKATGRFSDGKISIDLLGGAKNDISKKRSDGKPPPPSVGAQRSQDWAFTRQPPLRASALGVKEMVPPYLNKSLSTEELKTGVSFASAGSGYDNATCRTMMTPLTVERQLQLFDEYKARLAGAAVPDRALYLLCWGTNDVIQHFTVSDGMTEPEYADFMAARAVAAVRGLVARGARLLVVVGAPPVGCVPAQRIIAGGVRRQCATPRNQVALLYNRKLGQEIGRLNAKLAGVKIVLVDLYNILADVMHRYQALGFKNGKDACCGYIGLAASVLCNFASPLCNDPPQYVFFDSYHPTERAYKLMVDEVIKRYLRFL >ONIVA10G01940.2 pep chromosome:AWHD00000000:10:1972226:1976831:1 gene:ONIVA10G01940 transcript:ONIVA10G01940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIISYLLVLVLAGAVAVEAILGAPPAPGTSAAKVPAVLAFGDSIVDTGNNNYLPTIIRSNFPPYGRDFPGGKATGRFSDGKISIDLLASALGVKEMVPPYLNKSLSTEELKTGVSFASAGSGYDNATCRTMMTPLTVERQLQLFDEYKARLAGAAVPDRALYLLCWGTNDVIQHFTVSDGMTEPEYADFMAARAVAAVRGLVARGARLLVVVGAPPVGCVPAQRIIAGGVRRQCATPRNQVALLYNRKLGQEIGRLNAKLAGVKIVLVDLYNILADVMHRYQALGFKNGKDACCGYIGLAASVLCNFASPLCNDPPQYVFFDSYHPTERAYKLMVDEVIKRYLRFL >ONIVA10G01930.1 pep chromosome:AWHD00000000:10:1956917:1969884:1 gene:ONIVA10G01930 transcript:ONIVA10G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein [Source:Projected from Arabidopsis thaliana (AT5G66150) TAIR;Acc:AT5G66150] MASSSSALVVAVAVLALAEAAVAFSGYNTSAGAVAGKLNVHLVPHSHDDVGWLKTIDQYFVGTNNSIQGACVMNTLDSVVDALILDPARKFVFAEQAFFQRWWAEKSPKIQAIVHKLVDSGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRVIKKQFNKIPRAGWQIDPFGHSAVQGYLLGAELGFDSMHFARIDYQDRAKRKGDKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPDGFGFEIFDDFVPVQDDMLLFDYNLKERVNDFVAAALKQANVTRTNHIMWTMGDDFNYQYAESWFRNMDRLINYVNKDGRVHALYSTPSIYTDAKHASNESWPLKYDDYFPYADAKNAYWTGYFTSRPTFKRYIRMISGYYLAARQLEFLVGRSSLGLFTSSLEDPLGIAQHHDAVSGTAKQHTTDDYSKRLAIGVSQVEKGVNTALSCLTSSKGTCTATKFSQCQLLNISYCPSTEEGISSAKSLVIVVYNPLGWERSDFVRVPVNDANLIVKTSDGTSLESQLVEVDIVTARLRKLYIKAYLGITSDKPPKYWLVFQASVPPLGWNTYFISKSTGTGSNGMGYVSTMVSPSNDTIEIGPGPLKMSYSSKSGQLKRMFNSISAVDLPIQQSFLWYASSTGDSEDSQASGAYIFRPNRTTPTIVSGMAPLKVIHGPLVDEVHQQFSSWIYQVTRLYKNKEHAEVEYTIGPIPVDDDDDIGKEVVTRLTTNMATNKIFYTDSNGRDFLERVRNHRDDWDLNLTQPVAGNYYPVNQGIYVADGKYELSVLVDHAVGASSIQDGQIEVMLHRRLSADDGRGVGEPLNEVVCVDQKCDGLVARATYYINVNKKGHGAHWRRTYSQQSLDDGTTLLRLAHLFQAQEDTQYSVMAKVELRKLFGKRIIKDLTETSLSANQKKSEMKKLNWRVTGESKTDPAPLKGGPVDSHALVVELGPMEIRTFLLKF >ONIVA10G01930.2 pep chromosome:AWHD00000000:10:1956917:1964430:1 gene:ONIVA10G01930 transcript:ONIVA10G01930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein [Source:Projected from Arabidopsis thaliana (AT5G66150) TAIR;Acc:AT5G66150] MASSSSALVVAVAVLALAEAAVAFSGYNTSAGAVAGKLNVHLVPHSHDDVGWLKTIDQYFVGTNNSIQGACVMNTLDSVVDALILDPARKFVFAEQAFFQRWWAEKSPKIQAIVHKLVDSGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRVIKKQFNKIPRAGWQIDPFGHSAVQGYLLGAELGFDSMHFARIDYQDRAKRKGDKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPDGFGFEIFDDFVPVQDDMLLFDYNLKERVNDFVAAALKQANVTRTNHIMWTMGDDFNYQYAESWFRNMDRLINYVNKDGRVHALYSTPSIYTDAKHASNESWPLKYDDYFPYADAKNAYWTGYFTSRPTFKRYIRMISGYYLAARQLEFLVGRSSLGLFTSSLEDPLGIAQHHDAVSGTAKQHTTDDYSKRLAIGVSQVEKGVNTALSCLTSSKGTCTATKFSQCQLLNISYCPSTEEGISSAKSLVIVVYNPLGWERSDFVRVPVNDANLIVKTSDGTSLESQLVEVDIVTARLRKLYIKAYLGITSDKPPKYWLVFQASVPPLGWNTYFISKSTGTGSNGMGYVSTMVSPSNDTIEIGPGPLKMSYSSKSGQLKRMFNSISAVRNFLKWRDCPWCRNIYARLGTVEPDPVAIFSHSKSPNANLRLVIGRGSGGGGGGRRGADKDDDGDDGRWST >ONIVA10G01930.3 pep chromosome:AWHD00000000:10:1956917:1964430:1 gene:ONIVA10G01930 transcript:ONIVA10G01930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein [Source:Projected from Arabidopsis thaliana (AT5G66150) TAIR;Acc:AT5G66150] MASSSSALVVAVAVLALAEAAVAFSGYNTSAGAVAGKLNVHLVPHSHDDVGWLKTIDQYFVGTNNSIQGACVMNTLDSVVDALILDPARKFVFAEQAFFQRWWAEKSPKIQAIVHKLVDSAAVHYIDMIDQTTLGHRVIKKQFNKIPRAGWQIDPFGHSAVQGYLLGAELGFDSMHFARIDYQDRAKRKGDKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPDGFGFEIFDDFVPVQDDMLLFDYNLKERVNDFVAAALKQANVTRTNHIMWTMGDDFNYQYAESWFRNMDRLINYVNKDGRVHALYSTPSIYTDAKHASNESWPLKYDDYFPYADAKNAYWTGYFTSRPTFKRYIRMISGYYLAARQLEFLVGRSSLGLFTSSLEDPLGIAQHHDAVSGTAKQHTTDDYSKRLAIGVSQVEKGVNTALSCLTSSKGTCTATKFSQCQLLNISYCPSTEEGISSAKSLVIVVYNPLGWERSDFVRVPVNDANLIVKTSDGTSLESQLVEVDIVTARLRKLYIKAYLGITSDKPPKYWLVFQASVPPLGWNTYFISKSTGTGSNGMGYVSTMVSPSNDTIEIGPGPLKMSYSSKSGQLKRMFNSISAVRNFLKWRDCPWCRNIYARLGTVEPDPVAIFSHSKSPNANLRLVIGRGSGGGGGGRRGADKDDDGDDGRWST >ONIVA10G01930.4 pep chromosome:AWHD00000000:10:1964557:1969884:1 gene:ONIVA10G01930 transcript:ONIVA10G01930.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein [Source:Projected from Arabidopsis thaliana (AT5G66150) TAIR;Acc:AT5G66150] MARGNADGGCTGGEMTRDDDRSKEKEREEDEEVERLKELWLSLMEQEQLLQLLLAELDDLWEPDATARELEQPAAAVEARLLELKVASLQEENHRLEEAQASELNDVRTKLARTKEKLWELRARVDLPIQQSFLWYASSTGDSEDSQASGAYIFRPNRTTPTIVSGMAPLKVIHGPLVDEVHQQFSSWIYQVTRLYKNKEHAEVEYTIGPIPVDDDDDIGKEVVTRLTTNMATNKIFYTDSNGRDFLERVRNHRDDWDLNLTQPVAGNYYPVNQGIYVADGKYELSVLVDHAVGASSIQDGQIEVMLHRRLSADDGRGVGEPLNEVVCVDQKCDGLVARATYYINVNKKGHGAHWRRTYSQQSLDDGTTLLRLAHLFQAQEDTQYSVMAKVELRKLFGKRIIKDLTETSLSANQKKSEMKKLNWRVTGESKTDPAPLKGGPVDSHALVVELGPMEIRTFLLKF >ONIVA10G01920.1 pep chromosome:AWHD00000000:10:1951359:1952233:-1 gene:ONIVA10G01920 transcript:ONIVA10G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVRLIHAPQDALKGAGEYIDHALGPAAAVHSLKPPLLAASAVADDLPGYLNVLSRFEDALHFLSDNCGIASQWLTDIVEYLEDRSLAAALAFSHLTTAAATAYSSPASSPRPTNREGGGCTCRTTVVEGAAIGAGPVGVLSEVASQLLLPLPTLFPRGSSRTVGPSGSDWVSELGVRLERDGRPANYVVRRGDGSPSPRRRDGAGRTRDGCRGEHAVVDEEAGEEGVDGGDGGGGGGGERGRVGLPPRRRFRWSDLGKGWR >ONIVA10G01910.1 pep chromosome:AWHD00000000:10:1931975:1932286:1 gene:ONIVA10G01910 transcript:ONIVA10G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADARMAGGGGGATRGRAGLVEARGAGRGGGVARGLALVHRLVANGDPRSTPWSRRARNSALMSPSCSPSSLTASSTWSTAKQIDDLLAFYGWCNDVGLAR >ONIVA10G01900.1 pep chromosome:AWHD00000000:10:1924509:1931885:1 gene:ONIVA10G01900 transcript:ONIVA10G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRVRVLLAPTSDPVKFLACMHGLEASGEANLTATLNIAELVLKNRPDKRLSQRIVVFVGSPVKDEKLETIGKKLKKYNVSLDVVEFGESDDEKPEKLEALVAAVGGSSHIVHIPPGEDLRAVLANTPIITGDEGGGAAAGGASRYEYNVDPNVDPEFAEALRLSEIARQEAAADGASRYEYSVDPNADPELAEAFRLAAGEPSTSNTDTVLLESDSDTYVPFHEFIQNNPSVTGAESASDRPADDERATEEGFRMIREALARSANAAHAEISGNSSSGQELELG >ONIVA10G01900.2 pep chromosome:AWHD00000000:10:1924509:1931885:1 gene:ONIVA10G01900 transcript:ONIVA10G01900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRVRVLLAPTSDPVKFLACMHGLEASGEANLTATLNIAELVLKNRPDKRLSQRIVVFVGSPVKDEKLETIGKKLKKYNVSLDVVEFGESDDEKPEKLEALVAAVGGSSHIVHIPPGEDLRAVLANTPIITGDEGGGAAAGGASRYEYNVDPNVDPEFAEALRLSEIARQEAAADGASRYEYSVDPNADPELAEAFRLAAGEPSTSNTDTVLLESDSDTYVPFHEFIQNNPSVTGAESASDRPADDERATEEGFRMIREALARSANAAHAEISGNSSSGQELELG >ONIVA10G01900.3 pep chromosome:AWHD00000000:10:1924509:1931885:1 gene:ONIVA10G01900 transcript:ONIVA10G01900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRVRVLLAPTSDPVKFLACMHGLEASGEANLTATLNIAELVLKNRPDKRLSQRIVVFVGSPVKDEKLETIGKKLKKYNVSLDVVEFGESDDEKPEKLEALVAAVGGSSHIVHIPPGEDLRAVLANTPIITGDEGGGAAAGGASRYEYNVDPNVDPEFAEALRLSEIARQEAAADGASRYEYSVDPNADPELAEAFRLAAGEPSTSNTDTVLLESDSDTYVPFHEFIQNNPSVTGAESASDRPADDERATEEGFRMIREALARSANAAHAEISGNSSSGQELELG >ONIVA10G01890.1 pep chromosome:AWHD00000000:10:1918209:1920893:1 gene:ONIVA10G01890 transcript:ONIVA10G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVETRSRAGRGRPAGSRSSPVRRRDGGVAASPGSQSAASTERRKKNRGSKRNLSDGSGEDGRPGKKINLEEEELEEERMPLEDEASACSSCSSPLCEPYIPRVVIGCNAKGKEIYKPIECDELRALDLWEAKYQAKRDRQMNLCTLKPCIPPTCLVDPKLLHIRESSTETVLRAAKFVMGLSSSVDGNPLSQCSGFIVDWDDKSKTGIIMTSALLICKKSSHTDDWKYASQYATDAQVVVHFVDGTTVEGQFLYCQEHYKIAFYKIVLDKPTHLPSFNKGVKWAEEVFILGRDGSSHLRISHGRVQYLNAHVNERHHYMYIHGVDAASEYYNGGPVIDFRGDVVGMYNLSTRGSFIPSNILLKCLQLWKKFQYVFFSHFVMMLPN >ONIVA10G01880.1 pep chromosome:AWHD00000000:10:1915954:1917846:1 gene:ONIVA10G01880 transcript:ONIVA10G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWNRSSRRRLWAVQTTRKGARGAAEDVRGSARWASVSRRCSSVGEAGVSVPGGGDLGLVEESGRLLAARWGKEGASAESERASDTGPWGRSGLEIVANLKTVPEELIEERSTKEKPKELKILSSAHCLDHLFTKGKPKSAQELDKLYQIVVICDHCEDSFRQDKAVNKQRRYSEARITEIDCGKDLMLLTVVCVAGPKGRACKHSHPALAPSKMTLQSMEKVLMVSWPPYSLKLDWQII >ONIVA10G01870.1 pep chromosome:AWHD00000000:10:1911717:1915611:-1 gene:ONIVA10G01870 transcript:ONIVA10G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNNCCIKHTCTKNAYGFRNIHLYGIWNEYSKQLLKEKAKIHDDVVFRPLFPADPDDRQLYPVGFVLLDETAQGRCTQAPLVTRRGEVVARRALVEHSSGATAAGPHGGREANPGRPGPPGALVGDRRAGGDEDLTRRGDVRREGGDGRAGVVDERGAS >ONIVA10G01860.1 pep chromosome:AWHD00000000:10:1900572:1909134:1 gene:ONIVA10G01860 transcript:ONIVA10G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAAGAEEASGSVKTRSSARRRRSVNRDGGSGVVASLASQSAARAERSERRSAKRSATLADQSSEGERAAKKMDLGVHEEEEGVKVAPPSASASVAEEQQGGKGGEDDGFGGSAGGGEGGALALPSASGVEEGIPVEDEASSCCSSPLRKPYIPRVVIGHNAMGREIYKPIGGEDFRALDPWEAKYQAKRDGKSLSKSSGFMIDWDEKSKTGTILTSALLICKQSPSLDDWKSANQYASNAKIVVDRSIHLPSFNEGVKWAEEVFILGRDENSYLRTSYGRVQYLNPHMNERRHYVYIDGFSAPPEYYNGGPVIDLRGDVVGMSIRSTRGSFIPSNIILKCLQMWRKFQCIPRPHLQMKFWGMKFLNPAHLEVISCKCNIDEGLIVKEVSEGSIAEKLGVRVGDVIKFFNGKHISSTVELELLLLQISEGHFYNGNGLDSKIDIVINPIIVCDIL >ONIVA10G01850.1 pep chromosome:AWHD00000000:10:1889945:1898412:1 gene:ONIVA10G01850 transcript:ONIVA10G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELRALHAAVVAAAPAPAAARPPAGRVPRALQDGSGSGGHRWRGLPGVYSGDDAFLAYSHTVTAIFDDAMAMCFLCSSLLLFKGHSLVMNLSHSLLLLNLHALLADEEDGNDDHRQSHLRRPYWGQRGCFRAVVVASYGPTCRCFDFRCVNQKKDLHQMAAPTIFSNPLEKWIFHKTKESVFLVDFVPKKDADGKIEEILNRLETVPEDKRQKLTFEDRCCTGFVVDDKSQELKILCSAHCLDHLFTSENPISAQEIGDLYDINIICDHYECSFRKDKTPDKIRYYSRANIVQIDCDKYLILLNVSKKNVLAYGKNGRACRHSHPALVPSKRHLEPMEKVLMVSWPPFRPRTVASGKVSHCDREYADTSKTNLVGYTMTLVEVNIQSEPGGSGAPLLDADANFTGVLHGGADGCSWFISLPDICQALTSWGILTHAPCHPCK >ONIVA10G01840.1 pep chromosome:AWHD00000000:10:1880642:1881506:-1 gene:ONIVA10G01840 transcript:ONIVA10G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATANPHTGAAFSVRSGGDSIGGRGLFLLQFSTPWQVIISETRSSGFKIDWDEKSKTGTILTSALLFCKQSPSLNDWRSANQYASDAEVSLHRKMALLILPLSLM >ONIVA10G01830.1 pep chromosome:AWHD00000000:10:1867607:1874455:1 gene:ONIVA10G01830 transcript:ONIVA10G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSTGPRFRRRKSNAPRATSRKPDSGVPVAADADAAKEGDAPGSGSTTRVTRSRAARCRPGSQEPLPPPSERSRSRRTTLATDTAVRERKRIRANLIEEEKPLTKMEEVGGEEISSAPSSPLCEPYLPDDQEIDFDTIDLYKKKSKEFHKKRAHQLSFPTLNTDVSSSCLLHPKLLDIRESATKSILGAAKYVLGLSSCIDGNPLARCSGFLIDWNETTKVGVVITSADIICSASSLDRWSGDDEYSYSAKVFVHLLDDTTVEGRLIYAQTHYNLALFEIIVESPVQIPNFTFNLNYAQQIFVLGRDENLCLSISHGKVQYCNPFLCGRHHYMYVDTATPKCALGGLVIDFEGSTVGIACQTHAFIPSSILIKCLHLWRKIQCIPRPQLGVKLSAIKFLDLPHIEMILRKIHICDGLIVEEVSSGSTIEKLGVRVGDIIQHLNGEWVSDTIQLEEMLLRLSEDHFDKGNGLNSTLDIKVGLFHIRNGARNTINLTTVVSENGEVVKRGSFAVSVPTREEISAMYALQEATTGSPMLTTEERKPT >ONIVA10G01830.2 pep chromosome:AWHD00000000:10:1867607:1872576:1 gene:ONIVA10G01830 transcript:ONIVA10G01830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSTGPRFRRRKSNAPRATSRKPDSGVPVAADADAAKEGDAPGSGSTTRVTRSRAARCRPGSQEPLPPPSERSRSRRTTLATDTAVRERKRIRANLIEEEKPLTKMEEVGGEEISSAPSSPLCEPYLPDDQEIDFDTIDLYKKKSKEFHKKRAHQLSFPTLNTDVSSSCLLHPKLLDIRESATKSILGAAKYVLGLSSCIDGNPLARCSGFLIDWNETTKVGVVITSADIICSASSLDRWSGDDEYSYSAKVFVHLLDDTTVEGRLIYAQTHYNLALFEIIVESPVQIPNFTFNLNYAQQIFVLGRDENLCLSISHGKVQYCNPFLCGRHHYMYVDTATPKCALGGLVIDFEGSTVGIACQTHAFIPSSILIKCLHLWRKIQCIPRPQLGVKLSAIKFLDLPHIEMILRKIHICDGLIVEEVSSGSTIEKLGVRVGDIIQHLNGEWVSDTIQLEEMLLRLSEDHFDKGNGLNSTLDIKVGLFHIRNGARNTINLTTVVSENGEVVKRGSFAVSVPTREEISAMYALQEATTGIVNFSISVLIMM >ONIVA10G01820.1 pep chromosome:AWHD00000000:10:1843859:1844433:1 gene:ONIVA10G01820 transcript:ONIVA10G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHCRRAPPRIADSPPLESSQKETQTATTVKGERPDVVRTLCRASVNTTTSPADGEEAELELASWPDEEPPFSHIATNDVEAEGLLGGDGGGCCARKVAAMKELYEEKDRI >ONIVA10G01810.1 pep chromosome:AWHD00000000:10:1838395:1841503:-1 gene:ONIVA10G01810 transcript:ONIVA10G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWLLLLATLLLSTTLLVFLFHGGSSATGGEKRRRLPPGPATVPVLGNLLWATNSGMDIMRAVRRLHARHGPMLGLRMGSRLEVIVADRRLAHAALVESGAAMADRPEFASRALLGLDTATISNSSYGPLWRLFRRNFVAEVANPARLRQFAPARAAVLEELTDKLRRRQEDAGAGTILETFQYAMFFLLVAMCFGELLDERAVRDIAAAQRDLLLHSSKKLRVFAFLPAITTRLFAGRMKAMIAMRQRLKGMFMPLIDARRARKNLVDDHGDATAPPPPAASATTLPHSYVDTLLNLRINDNGGERALTDDEMVALCSEFLNGGTDTTSTALEWIMAELVKNPTIQDKLHGEIKGAITSNSGKVSEEDVQKMPYLKAVVMEGLRRHPPGHFVLPHAPAEDMELGGYTIPKGTLVNFTVADMGMDGAAWDRPREFLPERFMAGGDGEGVDITGTREIRMMPFGAGRRICPGLGVATLHLEYFVANMVAAFEWRAAEGEAVDVDGEKLEFTVVMEKPLRARLLPRAVTVNRWAPSCARPAWLGYVVWLHPRPKTDIQFQSSSKAPVAGARKELSSRHGNGKMMS >ONIVA10G01800.1 pep chromosome:AWHD00000000:10:1831310:1834057:1 gene:ONIVA10G01800 transcript:ONIVA10G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPSPAPPQPFDNDDLLSEILLRLPPQPSSLPRASLVCTRWRRLVSDRGFLRRFRARHLYPIFIPTLDSPDRIPPARFSWRVPGGSGEDYHQLFGCRHGRVLHYSRRRRLLMVWDPLTGDRRAVDIPALFHRWDMVVYHGSVAVVGTDTSGTVAFICVYSSKTGNWGNVVSAPISPGDYMSFSSILDGDFLYWLLGNHGCPILQFNLVKQTATLVNAPPDLRTNSYGGFHIAPAEDGGGLVILAVTHFSLNVWKGKTNRDGIAGWVLEKTIELDRLLLLGTGPETWPPVILCFAEEHDVVFLSTHVGFFMVNMQSMQFKNIPQILHGGLYYPFSSFYTKEATELLPPCDMSKKPKVPFAGALPDIEEYGSNIGEKLVATNSGPRHKKKINPKQTFYVGSLQVEIDPN >ONIVA10G01790.1 pep chromosome:AWHD00000000:10:1823572:1824465:1 gene:ONIVA10G01790 transcript:ONIVA10G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRRRPTSPAPAQPLEDDDLLSEILLRLPPLPSSLPRASVVCSRWRLIVSDPGFLRRFQSRHRKHPLLGFFKAGFRRVDPTFIPTLDPPDRIPAARFSWRLPGGDDDRYSMFGCRHGLVLLFNWVLHRLMVWDPVTGDRRAVDIPGSFLDGHGRSLVVVFRGAVRCVVDGGCHFEVAILGNHPLQTRLFTCVYSSKTGDWGNVISTEFYSAGYICHHSSALVGNSVYWLFQGDGISILQFDFDTQGLARIDVPPDVHAHVVTYYQIRIIPAEDGGLLLLVLPEFSLNVWKYQGQL >ONIVA10G01780.1 pep chromosome:AWHD00000000:10:1815560:1818059:1 gene:ONIVA10G01780 transcript:ONIVA10G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSPPHSALDLFGATCSLLLISTSSRSLRTREAEAAVGPVPAVSRARGAPPTLVLKLLRAWVSLNGLSGWGPTKGRCAGSRTEAASSSSSATLPAVLRPPPHKLLCASSFAQALLRSFDAVVNRLQAALDATDAAAASLLRDHAALDDGNTQLGARLDRALASNLVWAIVLIQAHAEKSKVKCQESRPSKALNGCKQPLILRELTMDFQWVQGTIDSEGTDRGHVEGG >ONIVA10G01770.1 pep chromosome:AWHD00000000:10:1807821:1811899:-1 gene:ONIVA10G01770 transcript:ONIVA10G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAISCCGCPPRRRAGAADDAAAGLTDDILAEIFLRLPPHPACLRRVSLVSRRFRRVVTSRRFLRRFSDLHGGAPGAPLVGFFSNHNHGPWADTRFIPVGVDGTGDSRRSRCRSRRATAARNPGGVLALGDDAEWHVIGCRGGRVLLLSPTRLRLLVLEPMLGRRQYIPAPPAPEYRPAYFSNAAVVSAAGGHDELRLRPHLFRVVFVSSNAATKRSTAFVYNSATFRWTKAAATEMSSVIDGRPSVLIGQTLYWHLISHGLVAFNLETHELHEILVPADAFDDVHDANLSIVVPRSGGGVVGLAAVSGYILQLWTLRDYTHGASTWDLRNIVVLDALLPLRNARLPPPPQLPASAKPMPLVWLMALDEDENVGWKCSHDYVILLLPGKSGNGKVMT >ONIVA10G01760.1 pep chromosome:AWHD00000000:10:1801662:1802061:-1 gene:ONIVA10G01760 transcript:ONIVA10G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLERYSGGPLLSIPRQHSWDSSSLASPWVVEYYVSDSDEGGEEEQGQCCQHCNEVSGSHLPRWSESWSDEDFDQEKDALILVISANLNVIAFIAEANAVLLHAAGREAYTIDIETKHVK >ONIVA10G01750.1 pep chromosome:AWHD00000000:10:1798464:1798907:-1 gene:ONIVA10G01750 transcript:ONIVA10G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHDELHPHLFRVVFVSSNATTKRSTAFIYNSATFQRIKVATTEMSSVIDGRQNVLIGQILYWHLISHGIVVFNLDTNELHEILVPADALDDVHEANLSIVVPKKGGTGLIAVSGYILQLWTLHNYTLGASTWDLHKIVMLDLCVV >ONIVA10G01740.1 pep chromosome:AWHD00000000:10:1797304:1798019:-1 gene:ONIVA10G01740 transcript:ONIVA10G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSHMSPRDLKQPTVLDYAIYWLVEGRTQIIEFESDTNTLALLRTPVDLPDFLVFPMEDGWLGYAGMMGPIIRVFAIKDIYEDDFDSDDEMEVVLMLAQKFGPKEKKDSNIIPSHPAVIKSDNDEYNHIMIRPRVIGFIDDPNSILVRTELGVFMVDIESNEYEQLNQRINFATVYPYQVVNGALMH >ONIVA10G01730.1 pep chromosome:AWHD00000000:10:1794292:1795857:-1 gene:ONIVA10G01730 transcript:ONIVA10G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSTSRHTSCTRSWCPLMPSTTFTSNLSIVDPKNGSVGLTAVSGYTLQLWTLRNYTHGASTWDLRKIVMLDLTPVDLPDFLIFPMEDGRLGYAGMMGPIIKVFSIKDIYEDDSNSDDEMEVVLMLARKFGPKEKKDSNIIPSLPPAIKSDNDEYNYVMIRLRVIGFIDDPNSILVRTELGVFMFDIELNEYEQLSQRINFAAVYPYVSFYTTSSTNTICFSHGQ >ONIVA10G01720.1 pep chromosome:AWHD00000000:10:1787265:1789961:-1 gene:ONIVA10G01720 transcript:ONIVA10G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLMLARMIGPKEKKDSNIIPSHPRAIKSDNGEHNHVVIRLRVIGFIDDPNSILVRTELGVFMVDIESNGYQQLSRRINFATVYPYVSFYSTGEISGFGFSHHRQDVIPMPGCYFTNDDSYHTAMIGSCNSPEHAGTDDCDCQSMKFHITIALTTYPTSVWMTAT >ONIVA10G01710.1 pep chromosome:AWHD00000000:10:1786537:1786813:-1 gene:ONIVA10G01710 transcript:ONIVA10G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIADDTIVVGRTWNILSIIPMDHYFPPPRQHSWDLWFFSFSLVDYYVYNSDDGEEDRDDDDYGDKTRAISPIMQHNNEASGSQSPWWSD >ONIVA10G01700.1 pep chromosome:AWHD00000000:10:1771961:1778141:1 gene:ONIVA10G01700 transcript:ONIVA10G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSVDAVDGEQEQQQRRQQEELQLADLPNDALRSILLRLPSEPGYLAVAAAVAKNWRRQVLGSNGSFLRAFRAAHGGVPPLLGFFCNRRNLPCPFFTSTVDAGVVDLSPPAGKQRPFIHDVRHGRVLLDDGEDGQLLVWDPLARRRDIIPTPRCYFNNDDSCGAAIICGCDGLEHVVGASVGGGDCHLAPYHVIVAFNDRPNYRSDEWNHECICTRVWISETKEWSEVYSMRGSCDFDFMPSALVAGAIHWLVGDTNSVLQFNLITKKLALIQTPLDISEFMLFPTKDGKLGFTGVLGSHIIFFHMDIAGDALTTMRTWSIQNVIQVDHFLPPYINILRTHRSLASPWVVDYYVSDSDEGEEEHGVDDDDEPREILPTMQHDNEASGSHSPQWSESWYDEDFDQEKDALIPTVSENVNVIGFITEANAVLLYAAGRGVYTIDVETKHTQRVAACANYSHVFPYTSFYTAGGGIDAADDDGQHTDGNKSH >ONIVA10G01690.1 pep chromosome:AWHD00000000:10:1764157:1768188:1 gene:ONIVA10G01690 transcript:ONIVA10G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPPVQIVAAKDDVPPPYLTDNVVHNLLLCLAPEPAYLAVATAVSTKWRSVVHSEACFGRRFRLDYDGPTPLLGFFSNNAAGPFFTATGAGVVGLAPPEEAVSAGDGSVQHIYDARHGRVLMDGREDKELLVWDPLSRRKDFIPMPPGYFVGEGYGGGALICEADHDAGDDCHAAPYRVVFVYCGSDRPPTTMASVYSSRTNTWGPVATMDARVTFELKQPAVLDYTVYWLVNGRTQIIEFEFDTNSLALFRTPVDLPDFVVFPMEDGQLGYTGMMGPIIRVFAIEDIYEDGDATWTKVTTLHLDAMRPSQSYQQVLDSDTDSDSDDEEEVVLLLAHQFGPKAKKDSKIIPSHPPTIKSDNDEYNHVVIRPRVIGFIEDPNSILVRTELGVFMVDIESNEYEQLSQRIYFTTVYPYESFYTTVGKANFNDPVLIDHENNDEQGLQQLEPLNDTILPDQENIGGGISASGDGDEQ >ONIVA10G01680.1 pep chromosome:AWHD00000000:10:1761732:1762511:-1 gene:ONIVA10G01680 transcript:ONIVA10G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTGESVGSGYGRIHRMPWQWLPRLDPPNAPTATPAGGSGGSHDGDGSRAWGSSRGNLHVPSKLILEISLPFAKVCLNTIEDQVISPTPEMPATDCQNFIKKG >ONIVA10G01670.1 pep chromosome:AWHD00000000:10:1759580:1759825:1 gene:ONIVA10G01670 transcript:ONIVA10G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVRDGLAIRAHGSVHGGGGDGGGQAEVDGEGSGHAVALVDGQEVVHGKVERMGEGGGRWGRGGDGGGRGGAVGGSARG >ONIVA10G01660.1 pep chromosome:AWHD00000000:10:1748102:1758234:1 gene:ONIVA10G01660 transcript:ONIVA10G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAVVAVNGERYEAVGVDPSMTLLEFLRTRTPFRGPKLGCGEGGCGACAVVVSKYDAAADEVTSFSASSCLTLLGSLHHCAVTTSEGIGNSRDGFHPVQRRLAGFHASQCGFCTPGMCVSIFSALANADRAASAAPPPPPTPPGFSRLTAADAERAVSGNLCRCTGYRPILDACKSFAADVDLEDLGLNSFWKKGERADITKLPAYSCTADVATFPEFLKSEIRSSGGAPAVAVTGDGCWFHPRSIEEFHRLFECNLFDEMSVKIVASNTGSGVYKDQDLHDKYINISQIPELSAINRSSDGIEIGAAVSISKAIEILRSDGGDAVVFRKIADHLGKVASPFVRNTATIGGNIIMAQRMSFPSDIATVLLAAGSTVTIQQVASKRMCLTLEEFLKQPPCDSRTLLISISIPDWCSYDGITFETFRAAPRPFGNAVSYVNSAFLARSSLDAASGSHLIEDVRLAFGAFGSEHAIRASKVEEFLKGKLVSASVILEAVRLLKGVVSPAEGTTHPEYRVSLAVSYLFRFLSSLANGLDDKPENANNVPNGSCTTNGTTNGSAESTVDSFDLPIKSRQEMVFSDEYKPVGKPIKKVGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKGVNFRSSLASQKVITVITAKDIPTGGENVGSCFPMLGDEALFADPVAEFAGQNIGVVIAETQKYAYMAARQAVIEYNTENLQPPILTVEDAVQHNSYFQVPPFLQPKPIGDFNQAMSEADHKIIDGEVKLGSQYYFYMETQTALAFPDEDNCITVYCSAQMPEVTQDIVARCLGVPFHNVRIITRRVGGGFGGKAMKATHVATACAVAAFKLRRPVRMYLDRKTDMIMAGGRHLMKAKYSVGFKSDGKITALHLDLKINAGISPEFSPAIPYAIVGALKKYNWGALAFDIKVCKTNVSSKSAMRAPGDAQGSFIAEAIVEHVASTLSVATNTIRRKNLHDLESLKVFFGDSAAGEASTSSYSLVTIFDRLASTPEYQRRAAMVEQFNGSSRWKKRGISCVPITYSVTLRPSPGKVSILNDGSIAVEVGGVEIGQGLWTKVKQMTAFALGQLCDDGGEGLLDNVRVIQADTLSMIQGGWTAGSTTSETSCEAVRKSCAVLVERLKPIKEKTGTLPWKSFIAQASMASVKLTEHAYWTPDPTFTSYMNYGAATSEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYATNADGLVIHDGTWTYKIPTVDTIPKQFNVELINTARHHSRVLSSKASGEPPLLLASSVHCAMREAIRAARREFAAVGGGTGGSDQVTSFQMDVPATMPAVKELCGLDVVERYLESFSATTA >ONIVA10G01660.2 pep chromosome:AWHD00000000:10:1748102:1748860:1 gene:ONIVA10G01660 transcript:ONIVA10G01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAVVAVNGERYEAVGVDPSMTLLEFLRTRTPFRGPKLGCGEDAAGTY >ONIVA10G01650.1 pep chromosome:AWHD00000000:10:1739902:1744022:-1 gene:ONIVA10G01650 transcript:ONIVA10G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRRRRAAPSPTSALDGDDILREILVRLPTSPSSLPRASLVCKQWRRVVSDPAFLRRYRAHHGEPLLLGFFADHCGYPVFRSIHDAPDRIPPEHFLMPRDKGAGRCNWDVLGCRHGRVLVYNRTRNEITVWDPATGHRSCAAAPPELGDDKEKIVFNGAVICAAASGEGHAGVWGDLITLECPPVYDTCLPSTLIGNSLYWLFSGEEEGILEFDLGRQSLATIEMPSEFLHYNSHRSFQIMPAEDGGICLAILSYQIMELWERKISSDGVGVAEWTMLKKIELGVILGLGHMGGWQNLIVAYDEDYQLIFVRTINGVFMIHLESMQFKNLGKDNFDGILHAYSAFCTAVGDLPRAERRVGTISEIMGFDEDDSNSADPPKGAGAVEGNAAAPSCETIPDEGMVDNENLDYVWNHGERIGEGFKCKYCKMTRKSGRGTRLKEHLAGRRHNVIACSGVPPKVRKAMRISLNKVKQRTKAAKNRRAKMKKPNTQNMVRHGVHNNSKEQQMQMAKQLSLEEFHYRQKMEKRGSTFEYGGGSDSRSAPDACCNVAGSCVGGGVVLPQPSSKTRLKLHGMDADDVYRGASAQTEIGTSELRKAWAEWFHNNGIPGIKADCPYFRRAMELTQQLGFNVAVPTGAEIDGAYLDADEEEINVDAINAEKSCEAVLDMPLITWTKKHIGKNHKANKKYHEMANTLTQDLGSPGSKRKRVEVKQGKQPMNNKEEFMGSDDEMGIPSDMSNMPR >ONIVA10G01640.1 pep chromosome:AWHD00000000:10:1732085:1733174:1 gene:ONIVA10G01640 transcript:ONIVA10G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHVARVAVAGDAAHLPGADDHPPVTEPAGNSHGAAVAGDGVPHDEPALRIAAGVDVDKGAAVADSQQVVEVVAVVEPPGEARGGDPVRRVQRGGEDGVGADLDDAEEGRLAVAGAEAAEEAAVGDEAAPAGADEGGAGEGGRQRREAEEDLGEEGFEDRKKVCTIWVAQRKHSLLENEDTFERDEDTFGVSDRFMVKLAM >ONIVA10G01630.1 pep chromosome:AWHD00000000:10:1730161:1732615:-1 gene:ONIVA10G01630 transcript:ONIVA10G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRHRPTSPAPPLDNDDLLSEILLRLPPLPSSLPRASLVCTRWRRLVSDRGFLRRFRARHRKPPLLGVIQVCAYPIFAPALDPPDRIPAARFSWRLDNRHDLNDLLGVRHGRALVHVNTCRYSQRRLIVWDPVAGDRRAVAIPGGFRDRGVVVRAGEVRCVAGDGDPGHVHGGCHSSPFEVVILGTNKNRTHAFACVYSSETGIWGNVISAAVNFGDCICNFTTLVGNSLYCLLLGEQRTSFFQFDLDKQITAQIDVPPDMHPDGNGHHRFGDTICRFAPAENGGLLFLVVTHYTLNVWKSETNADGVVAGWVLEKTIELDRLLSLEPGPQKTAPMLLGFSEEHNVAFVCTYIGVSMIHLESMEFKSVSQRMSLIYHPFTSFYTKELSPH >ONIVA10G01620.1 pep chromosome:AWHD00000000:10:1710706:1717889:1 gene:ONIVA10G01620 transcript:ONIVA10G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGAGEAVAMTAAATGALGPVIEKLGDLLRNEHMAALEGSRGDIEFIKSELEPLRSLLLRIWDKEDRLDAACKEWMAEARMLSYDMEDAIDGFRFMLAVEAAAATSPFEGMRNQVQELVNRCCEKQWITEAIIDADAPAALSSSPSLPRKNASELVEVDEKKAELIKLLKHKERVCIHGSAGMGKTTLAGLAYQAVSEQFDCRTFVSLCPSQSMMHVLTSITSEVIASAIIKAISDKQRELTVNNNTAPTTQGTGEADEQCLIDSILDLLTYRRQVTDSSAPVAGTGIADGAKTDGSALPTTDTATLASTGIADTKTDGSSVALAGTGGIGDAKTESAPHAATGADNTKKALIDRISTRFVTNKRKVTDCAPVAGTTDVEDDEQYLVDILSQFLADQRYLVIVDDIWHCQQWEVIRKSLVKNDRGSRIIMTTRVNSVVEKCCKDDHAVVCEVTALSMDAAVALSEKIFNVHTAPSDKKSCSSIAKLSGRMPLAIICISAAVAQLLSPPSATNRFDVALCQALKGFAEIPCMKPLVESLVLGYHCLPPHLKTCLLECSIYTPNQRFERDDLIRIWMDEGFADEEQAPGYFEELVKWGYISISPAEGRRHSRVAEYEISAVVLAFLRFQAEEHGFVASAGYFSNIESLCGRRYSRISVQGGLGSWVVSRLDFSCMRTLVVFGRASLIPFDRLSHLRVLHLDEEDTSLEGAADLYNFPDLGDDDLVDICELLLLRYVKLKGCKITMLPPQIGQLKLLETLDVRGTGVRELPREIGELQRLKTLNVSNTAVTQVPKEIGKLHMLKTLDVSDTNVRELPAEIRELENLETLDVSNTMVAKLPREIRALQLLKTLHVSGIDVTETELAEEIGQLQHLETLDVSNTKVAKLPMEIWNLQQLKTLNISNTNVRELPWEAGQRSNSISVVAGNKDSPKVVNLLEGAVDNYGHICSRENISITLFDRFGSSWEPIPVARFKIPGKHISLPDWLNKETLSDISSLEINLWKLREDDLKILQEMPKLQVLALRVEVLPRTAITGAGFSRLESFCVDCRVPRLSFQSEAMPVLKHLQFKFYAFRATKQEPMGIVHLSSLRSVDFRCASGYTTDAPGIREIINQVRKEAKEHRNRITLCINTKEIVHDIVAGSTGTAGSSAVSVTDKKPEPTGNEDIIDNGNNISVRSSGLPEWIYFQVRFC >ONIVA10G01610.1 pep chromosome:AWHD00000000:10:1694000:1694561:-1 gene:ONIVA10G01610 transcript:ONIVA10G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSQLTENCDIAVAPLRLESITVKHGDVVDCLSFSYRDREKLPHTAGPWGGRGGQEITINLGPSEFVTEVHGEYGSYYGHNSIANLTFVTNRGRHGPFGIVDTSGWDRFSVPIKNNSSIVGFFARTGDSYLSAIGVYVRPF >ONIVA10G01600.1 pep chromosome:AWHD00000000:10:1658185:1666530:-1 gene:ONIVA10G01600 transcript:ONIVA10G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEQAPGGGRLMLSDLPDDLIRRIMSFLYARQAVRTCVLSRRWRDLWRSLTRINADFCEFKGDTRTWVGDKARFEKFLSALLLRRDPVLLVDKFWLRCPSCSFGVCSLDANLWISHVLQLQAPVLDVRSVGISRLNQAVFTSQYLRRLALSSVVLSKGFFNQLEMGCPELECLFLRDCHIHDHHISSQTLKILTINISDFSFVDKYDCCISTPSATALTLFGPQGRVPLLQDMASLVSASVYVANDFSNFGTAVDVHRLLTSLSGVKYLALDFDGVNEVQITNENNMQWCPEFIDLVSLTLGSWCLESNFYGLTVFLQNSPKLEKLTLKLNKVHTRRIVGKLKEKSFTCERLKVVEVICIGDDPLVNCVEEFFFNSGMTSLQIRINHLDGYELYEPRLYRDEYRRRQYMAKTPKSHFFHMASTNSLEETTICHRCQASPHQQAAPTSSAETVEERVAHRKKRSGPKKAKASPNTKELRSNTPKEDTTRNAVAARPKAGTRFVGDIKERPDNASKKGNGAHKRRRRQTVQRYDKAFAGLPRAPPVRRNAPRPRAPPVRRNVSASSATATDGRVMMEPAHAPGRDRLSALPDNVLRRIMSFLNARQSVQTCVLSRRWRHLWRSLPRINADYTEFCFACLDEKKEKVQEARFKKFVSTLLLRRDPVPLLDKFWLRYQVSDNNNEKASAEAGLWISHALQLQTPVVEVLTFQFPLMLDHAVFTSDYLRKLGLSNAYLHMGFFEQLSRGCPQLEDVFLNDCIILDDEISSTTLKTLNIYASRFSEDYRASISTPSLTSLTLYKPDASVPSLKDMKSLVSASIILDDNTDIHELLMSLSGVRNLDLECPQKMVTIAKNTQWCPEFKDLVNLSLGQFCLGSKLYALTEFLKNSPKLEKLTLDPPEVIIDKLEERSFECEHLKIVEVKCSEDDSTLLKLVEDIFVTCGMSSLQINLKSSYKQYYSDDFFRFDYDSTTAQVHAE >ONIVA10G01600.2 pep chromosome:AWHD00000000:10:1660127:1666530:-1 gene:ONIVA10G01600 transcript:ONIVA10G01600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEQAPGGGRLMLSDLPDDLIRRIMSFLYARQAVRTCVLSRRWRDLWRSLTRINADFCEFKGDTRTWVGDKARFEKFLSALLLRRDPVLLVDKFWLRCPSCSFGVCSLDANLWISHVLQLQAPVLDVRSVGISRLNQAVFTSQYLRRLALSSVVLSKGFFNQLEMGCPELECLFLRDCHIHDHHISSQTLKILTINISDFSFVDKYDCCISTPSATALTLFGPQGRVPLLQDMASLVSASVYVANDFSNFGTAVDVHRLLTSLSGVKYLALDFDGVNEVQITNENNMQWCPEFIDLVSLTLGSWCLESNFYGLTVFLQNSPKLEKLTLKLNKVHTRRIVGKLKEKSFTCERLKVVEVICIGDDPLVNCVEEFFFNSGMTSLQIRINHLDGYELYEPRLYRDEYRRRQYMAKTPKSHFFHMASTNSLEETTICHRCQASPHQQAAPTSSAETVEERVAHRKKRSGPKKAKASPNTKELRSNTPKEDTTRNAVAARPKAGTRFVGDIKERPDNASKKGNGAHKRRRRQTVQRYDKAFAGVFTSPTQNTPISTAIEYHPCIASLPWSRGFIMDSWLCSSARATCSTERPCDTCRHARATGNSSVHAHHLFDGTSLRRRPQRRTGG >ONIVA10G01600.3 pep chromosome:AWHD00000000:10:1658185:1660126:-1 gene:ONIVA10G01600 transcript:ONIVA10G01600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAHAPGRDRLSALPDNVLRRIMSFLNARQSVQTCVLSRRWRHLWRSLPRINADYTEFCFACLDEKKEKVQEARFKKFVSTLLLRRDPVPLLDKFWLRYQVSDNNNEKASAEAGLWISHALQLQTPVVEVLTFQFPLMLDHAVFTSDYLRKLGLSNAYLHMGFFEQLSRGCPQLEDVFLNDCIILDDEISSTTLKTLNIYASRFSEDYRASISTPSLTSLTLYKPDASVPSLKDMKSLVSASIILDDNTDIHELLMSLSGVRNLDLECPQKMVTIAKNTQWCPEFKDLVNLSLGQFCLGSKLYALTEFLKNSPKLEKLTLDPPEVIIDKLEERSFECEHLKIVEVKCSEDDSTLLKLVEDIFVTCGMSSLQINLKSSYKQYYSDDFFRFDYDSTTAQVHAE >ONIVA10G01590.1 pep chromosome:AWHD00000000:10:1656676:1657440:1 gene:ONIVA10G01590 transcript:ONIVA10G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAATPPRASAAVSPNEAAEAALRLAENMFLRGDLAGARREAARARALCPAPSPLHPAAARAMAAYCVHVVAAAGNARGRGVDWHTLLGVRRGDGLDAAKKQFKLMRLLTHPDKNRSAAADGAFKLVTEAWEAISSGHAPFFSGDDVERDAPKPPPPPRRQSPAPPPPRQHSQRRATRDYGEEHVRHDGCCTENYRSTYRRGRRRPSPAAAAAASKMYFAYCPFCGAKAAQPKNAQWLDMDPLAFCSKFHRF >ONIVA10G01580.1 pep chromosome:AWHD00000000:10:1648135:1651870:1 gene:ONIVA10G01580 transcript:ONIVA10G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAATRDAEAVAANSGRIWSPGTEDGQQRRKAARQEGKRRGPAAGEGIGEAERNSGGRGTAASGAAAAGLPIPEGIQEEILLRLPANSVLRCRAVCRSWRRIASAHAFLLRHHRRQPELPLVTSFRNPAPADQWTPNCLDAILRSAERRSIFRAGFPIRASCDGLFIVGGYICKPTTRQWAPISGRMIRNLAGLYRHEPSGEYRVLYWKRSYAPSEVYCLNYYCVLAVGSNKPRRIPCSVTPMDEEMISGMGPAIFGTPVLLHGNLHLHWKKRWGTHYNRILVFDTVAESFRQLRPPAVNPRNYTRLLAMDGMLAMSVSKERVMDMSIFMLEDYDHEIWAFRYKIKLPTMEIRRFQDQGDWWADVVSEEGDILVSCFGWLCTVTTRVIWCPNSSTMMTCQQSLIGSRKALFSTPFSRDEQRNDPD >ONIVA10G01570.1 pep chromosome:AWHD00000000:10:1618914:1622511:-1 gene:ONIVA10G01570 transcript:ONIVA10G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGAMTALLPKLARLLKDEYNLEKHVREGVKSLEIELTMMHAALRKVAEVPLDQLDDQVKIWASKVREISYDMEDAVDAFMVRVEDDSHSGPSTFKNRVKRSIKKISKLFRKAKELHQIADAIKEAQALAQQMAGLRERYSGLELQNSGVAATIDPRLTALYIDATDLVGIDHAREELIKILTEGEDSCKQQLKIISIVGFGGLGKTTLARAVHEKIGAQFDCAAFVSVSRNPDIRMIFKKILHQLEKEKYANINESSWDDTQLIDELREFLQHKRYFIIIDDLWDERVWDYIKCAFPKDNLGSRLIMTTRKVNVSKACCSANNDIIYEMKPLSDDDSKKLFYKRIFPHGNGCPCELEEVSNEILKKCGGVPLAIITIASLLASKEIHTKDQWYTMQNSIGRGLTEGRNVEDMQKILSFSYYDLPSHLKSCLLCLSVFPEDYEIRRDRLIWRWIAEGFVQLTQKDGSLFEQGENYFNELVNRNMIQPIKIDAEGRARACRVHDMVLDLICYLSSQQNFITVFDDIGNITSSRNKVRRLSLQHSTTECNTPWCTLTMLQVRSFTIFSPAINLVPSLSSFKMIRVLDLEDCDLGMSNQVNLMHVGCLLHLRYLGLGYTGSIKWSSKHGEINTGQYVVRELPMQIGKLEFLQTLDLVESGIKELPATVVQLRRLMCLHVDYHTRLPNGLGKMTALEELSYISTSHFVDIVKELRQLTRLRVLAIIWEELGEKQDKAFVDCLGSLHKLQSLEIDALGGGMNLMKECWVPPVSLRRFLPRGPTNSFSTLPAWINPSLLITCLDIWVDQVRSGDIQILGELPALCSITFKATGSIEERVVERFVVSTNAFQRATECTFFNFVTVPSMFPRGAMPRVRYLSFSLRAWDNDMAIGNGSSGDLDLAMGHLPSLERVAVDLWCRKASRAEVEGVEAALRRATDVHPNNPTLVVHRWDDRHIREQENNLADKEDDLLEEDDENESSPPSVTTDM >ONIVA10G01560.1 pep chromosome:AWHD00000000:10:1608164:1618901:-1 gene:ONIVA10G01560 transcript:ONIVA10G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELRLTKRNKRKTNWMDALHSSGDDVETKLTISTYFDMLFVGSIYFVSVLSLQVAQSLLEAHDVMNALAYGHSRGMRALLPKLAKLLKDEYNLEKHVREGVKSLEIELTMMHAALRKVAEVPLDQLDDQVKIWASKVREISYDMEDAVDAFMVRVEDDSHSGPSTFKNRVKRSIKKISKLFRKAKELHQIADAIKEAQALAQQMAGAIGSIEEHVVERFVVSTNAFQRATECTFFNFVTVPSMFPRGAMPRVRFLHFSLLAWDNNMAIGNGSRGDLDLAMGHLPSLERVAVNLWCRKASRAEVEAVEAALRRATDVHPNNPTLVVVRYQADHLREQENNLADVEDEKESSPPSLAGSIFLEIDITIDNMSMYAELAVIGFVSNDRNRMDKLHSSGDDVETKLTISTYFEMVFVGSIYFVSVLLLQVAQLHIEIYI >ONIVA10G01560.2 pep chromosome:AWHD00000000:10:1609869:1618901:-1 gene:ONIVA10G01560 transcript:ONIVA10G01560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELRLTKRNKRKTYLAGGIFLEIDITIDNSQYDRNWMDALHSSGDDVETKLTISTYFDMLFVGSIYFVSVLSLQVAQSLLEAHDVMNALAYGHSRGMRALLPKLAKLLKDEYNLEKHVREGVKSLEIELTMMHAALRKVAEVPLDQLDDQVKIWASKVREISYDMEDAVDAFMVRVEDDSHSGPSTFKNRVKRSIKKISKLFRKAKELHQIADAIKEAQALAQQMAGAIGSIEEHVVERFVVSTNAFQRATECTFFNFVTVPSMFPRGAMPRVRFLHFSLLAWDNNMAIGNGSRGDLDLAMGHLPSLERVAVNLWCRKASRAEVEAVEAALRRATDVHPNNPTLVVVRYQADHLREQENNLADVEDEKESSPPSVTSDM >ONIVA10G01560.3 pep chromosome:AWHD00000000:10:1609869:1618676:-1 gene:ONIVA10G01560 transcript:ONIVA10G01560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAGGIFLEIDITIDNSQYDRNWMDALHSSGDDVETKLTISTYFDMLFVGSIYFVSVLSLQVAQSLLEAHDVMNALAYGHSRGMRALLPKLAKLLKDEYNLEKHVREGVKSLEIELTMMHAALRKVAEVPLDQLDDQVKIWASKVREISYDMEDAVDAFMVRVEDDSHSGPSTFKNRVKRSIKKISKLFRKAKELHQIADAIKEAQALAQQMAGAIGSIEEHVVERFVVSTNAFQRATECTFFNFVTVPSMFPRGAMPRVRFLHFSLLAWDNNMAIGNGSRGDLDLAMGHLPSLERVAVNLWCRKASRAEVEAVEAALRRATDVHPNNPTLVVVRYQADHLREQENNLADVEDEKESSPPSVTSDM >ONIVA10G01560.4 pep chromosome:AWHD00000000:10:1609869:1618901:-1 gene:ONIVA10G01560 transcript:ONIVA10G01560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELRLTKRNKRKTNWMDALHSSGDDVETKLTISTYFDMLFVGSIYFVSVLSLQVAQSLLEAHDVMNALAYGHSRGMRALLPKLAKLLKDEYNLEKHVREGVKSLEIELTMMHAALRKVAEVPLDQLDDQVKIWASKVREISYDMEDAVDAFMVRVEDDSHSGPSTFKNRVKRSIKKISKLFRKAKELHQIADAIKEAQALAQQMAGAIGSIEEHVVERFVVSTNAFQRATECTFFNFVTVPSMFPRGAMPRVRFLHFSLLAWDNNMAIGNGSRGDLDLAMGHLPSLERVAVNLWCRKASRAEVEAVEAALRRATDVHPNNPTLVVVRYQADHLREQENNLADVEDEKESSPPSVTSDM >ONIVA10G01560.5 pep chromosome:AWHD00000000:10:1608164:1609253:-1 gene:ONIVA10G01560 transcript:ONIVA10G01560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAGSIFLEIDITIDNMSMYAELAVIGFVSNDRNRMDKLHSSGDDVETKLTISTYFEMVFVGSIYFVSVLLLQVAQLHIEIYI >ONIVA10G01550.1 pep chromosome:AWHD00000000:10:1607186:1608076:1 gene:ONIVA10G01550 transcript:ONIVA10G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMQPVSEVLIRRVTADNLAVEMLTIRSHLPYFPYITIHADYPVDNAAARDGRRRRRRRGDGGRGNKRESEADERCYRLAKARVDELDVLQLGITLCDHHGSLPATAIARADGAAIAVEMAWQVGFSDFDVSQSAVDTLRAAGVDLEHLRARGVPAAVFGQALRVFDIVSAANLGRLTWVAFGGLYDFGFLLKMLDGGRPLPETAEGFASRLRGHLGVVYDAKYVAARLPVDGVELRGGLVRVARVLGAPAAAVEEPRQAGEKSLVASQVFMRMTGLFFAYHDVAVHAGKIDGLQ >ONIVA10G01540.1 pep chromosome:AWHD00000000:10:1601054:1604715:1 gene:ONIVA10G01540 transcript:ONIVA10G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFPYTRNPVAESMSSAAAVEPGIDKFILAGSSCSLFEVCKFCCHFALFDSTMGACLHFVLLQKEREMMQKKEKKERKKEKRRQKKAAQLGEKYETDDHHSKHGHKKRKHEGCETVGQETRKVYNVVMEHLEKSSLSEEHEAPSYSQALRCTPESSLDSSKRLRTEVSSSPSQTRNGVNIRVKFTPTNQRRDPEATTGMSMKPRVTEQSPVKETGMDLSMANRKREFQPHVNTVSVVKQVVSQQKNMSIRNGNCLGESRRVSQQHDAKSMQRINMVQRVSTESTPIAAMQRVDLPPSEKVVMQRANPAPTKVMQGVEVAPVKAMQRANPAPTKMMQGVESAPVKSMQRANPASTKVMQEVEATPVKMQIAGHITRSKVFNRESTQVQLGKETGAPLLGGQLNTKRPTLLNKPKVCADPPILLSKPKMLCVEPPGLLNKPKAHVEPPVVKQQQQIVPRAQEEPCSVGSILAAASPVTEAQQSSSDRKSRKAEKKERKLADLFLNWEPSPTQMEDTDVGDQDWLFSCRATPKNNCGTFDGSARCQLTEQLFSLQPRAVHLPDLHMHQMPFVVPF >ONIVA10G01530.1 pep chromosome:AWHD00000000:10:1598625:1600542:1 gene:ONIVA10G01530 transcript:ONIVA10G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNPKAYPLADSQLAQAIQELVSQAANYKQLKKGANEATKTLNRGIAEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEASNLRDPINNLKVAIEKLLI >ONIVA10G01520.1 pep chromosome:AWHD00000000:10:1589988:1591007:-1 gene:ONIVA10G01520 transcript:ONIVA10G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPLYRQPSPYYSPYPAQSTFLPPHVYLPQPPINATTYNFAQAPAAPAPPPHVNVPQPAMHAAAAPAPPLWLRTMTAANLDSEMGLIGEMMVQYPYVTIDVEFAGVVHHPPYTGSRPTPDEIYAAVKSNVDEVPAVQIGITLSDAEGNLPTRSPSSSSPEQEIAWEVVFSDFDASRDPHVVDSVEFLRNQGIDFDLARRIGVTSTAFGEKLLAILPPPSRRGELTWSAFGGAYDMGYLVKMLTGGQPLPETRQQLMQLVKSRLGGGRIFDSKYLVEHDRQDLRNAGLRHTADVLGVRQQEGVKMLAGHKSVVAAAIFATIRSQGVHLLHEGVIDGIL >ONIVA10G01510.1 pep chromosome:AWHD00000000:10:1586519:1587100:-1 gene:ONIVA10G01510 transcript:ONIVA10G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATRKRSAPEQDEVSGVKKRLRLGSIYDYKKLAVLGEGWDGVVFKAEHLRTSDMVALKWVRAATDHHAFIREAGCLAACRGHRNIVEVRDVVDDASTGDMFIVMDFVGGRTLHLDLWMTHLDPEEKARSVMRDLVAAAGALHAAGVMHRDIKPDNVLVTYGGGLKLCDFVRSSHLPYRVMVRKKISKGKARR >ONIVA10G01500.1 pep chromosome:AWHD00000000:10:1582777:1586493:-1 gene:ONIVA10G01500 transcript:ONIVA10G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMMENVTILLAGLIINNAANENRYSLIAVLACNQRKSIFVGTHLAVLYRSTKCDYRIYIYVIRSAPEQDDATTGGVKKRLRLGSIYDYRKLTVLGEGRDGVVFKAEHLRTGDMVAIKWVRAAADQRAFIREVGCLAACRGHRNIVVVRDVVEDASTGDMFIVTDFVGGRTLRLDLWMTHPDPEERARSVMRDLVAAAVALHAAGVMHRDIKPDNVLVANGGGLKLCDFGAATPVKPPGKPYEESRVGTLLYTSPEQLADSEFYGPAVDMWALGCIMAEILTGGPLFDDSSEERMHKEMADMRHRLESTGTCKLFDELPELSAAGREVLAGMLAFNPDERMTAAEALDHRWFTGKPERRS >ONIVA10G01490.1 pep chromosome:AWHD00000000:10:1570729:1571220:-1 gene:ONIVA10G01490 transcript:ONIVA10G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGRRRGAQHRPAADEITLVDGEFRWERHGAAAAGDGVPHEGLEPRPVEEEGEGVAAAEEGQPLAGALPEREALGGDAVGWIKRGSEQGVAVVVDEEAEQRGAPVVVGAEAAEEDRAGDEAALALADEGGAEEGRRLRWEAEEDLGEEIVVISRRSRRRRR >ONIVA10G01480.1 pep chromosome:AWHD00000000:10:1570675:1572142:1 gene:ONIVA10G01480 transcript:ONIVA10G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDSVARHRPHSCSPETSPPPPPRSPADDDDLLSEILLRLPPQPSSLLRASLRRLVAGPVFLRRFRAHHHRSPPLLGFFIDDYGDALFTPTFDPPNRITAERLSLRQGPGERLSFLGCRHALALLLNRPRLEALVWDPVTGRRRAVAFPPEFAINQGDFVRGGAVLCAAAAADDGHVHVHGNCPFKLALVFIDNGRTQISVCLYDSESGTWGDIASTTLVTQWTSSVGTSTMVGNVLCWLIHRPICILEFNLDKQIMSVIGGLAHVPDNSRPSSSFMFPMEDSKLGIGILSGQRIRLWERMANSEWLLRRTLELEKILSLKPQAEPWRPVVLGFAEESNAVFVLTAIGVFMIQLDSLQFRNLFESNFVTSFYPYTSFYTADLYCCGGKRSGGTKEGRKAILDAAHIYAVAWYHV >ONIVA10G01480.2 pep chromosome:AWHD00000000:10:1570675:1573443:1 gene:ONIVA10G01480 transcript:ONIVA10G01480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDSVARHRPHSCSPETSPPPPPRSPADDDDLLSEILLRLPPQPSSLLRASLRRLVAGPVFLRRFRAHHHRSPPLLGFFIDDYGDALFTPTFDPPNRITAERLSLRQGPGERLSFLGCRHALALLLNRPRLEALVWDPVTGRRRAVAFPPEFAINQGDFVRGGAVLCAAAAADDGHVHVHGNCPFKLALVFIDNGRTQISVCLYDSESGTWGDIASTTLVTQWTSSVGTSTMVGNVLCWLIHRPICILEFNLDKQIMSVIGGLAHVPDNSRPSSSFMFPMEDSKLGIGILSGQRIRLWERMANSEWLLRRTLELEKILSLKPQAEPWRPVVLGFAEESNAVFVLTAIGVFMIQLDSLQFRNLFESNFVTSFYPYTSFYTAGLQGLIST >ONIVA10G01470.1 pep chromosome:AWHD00000000:10:1554512:1561192:-1 gene:ONIVA10G01470 transcript:ONIVA10G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLSRPLEHRLSSATLDGHYEEKRKSNVEYSEDEKKAKIMSLKKKAMSASQKLRHSMKKGRRSSKVMSISIADERDPEEVQAVDAFRQLLILEELLPSQHDDYHMMLRFLKARKFDVEKAKQMWADMLRWRKEFGADTILEDFEFEEAGKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDDEVMKMVQSGVGWCGNLNLNHLEAEEKMMICEDDTMYTKESFKDEGRTLSRKISRARIEHPTLSPVREELPPMMLPTPGSPYSCDVPMVEKAIDAICQSKGSRDENVAITKAIVNASNGSNPPLFGGVMALVMSIATMLRVSRNMPKKVLGATLGAQSTSKIQAQQLSKISMEAVSAAEYASSTKRLSDIEEKVIAILTKPAEMPADKEEMLKTAVSRVSALEEELAATKKALQETLERQEEIMAYIEKKKKKKSKI >ONIVA10G01460.1 pep chromosome:AWHD00000000:10:1551259:1552527:1 gene:ONIVA10G01460 transcript:ONIVA10G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVVTKSPPEIVRPSEPVTTTAATGKIIFSPFDKPLATVPVVVLQVFEHPIHEPVETIRRGLSHALVHYYPLAGRLAGDDYDDVHIDCTGEGVTFVAASANCTVKQLMRDIDGRLPDPSTAVQRELIVDDNPAYGFGRTDPLILMQVTTFTCGGFVVGVTWNHGAADGFGIAQFLQAVGELARGLPTTSVIPVRSDKSLQAMSSSTVMAAKQFMFGVKPTTLALHSITIPARVINGVRGPTPTCTVFEAVAAVMWRCRTRVVMSDPDAPTVLAITVNSRKYVGVKDGYYGNCATMQMAVARSGVVADGDMMEVVRTIRRAKEEIPERLKKGDAIAELSKGQLGGYESVLLVTCWRNIGFEAVDFGGGRTARVMTTYEQSGVRPLCVVCLPWQGEEDEGARVLSGCVTPHHADAFLREIATL >ONIVA10G01450.1 pep chromosome:AWHD00000000:10:1512178:1513566:1 gene:ONIVA10G01450 transcript:ONIVA10G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IP77] MSSSSPSLLSRRSTAELELPVPPEFRCPISLELMRDPVVGPTGITYDRAGIEAWLLAAGAGKTAAASSTCPVTKGDLRADDLVPNHALRRVIQAWCVANRCRGVERIPTPRVPVTPAQAGEVLGEVEAAARAGDAARCVAAVREVGRLARESDRDRRCLASAGAARALAAAVASFAAASDSASASASSVLLDDVLAALVLVMPLDEEAIVAIGSSAASVALLANVAKHGDLQRRLQAVVVIREIVALSSCCSRNGGAATAIDLSDNLDGIIEVLVNTIRDPISPQATKASLVAAYHLALADGHAAARLAEAGLVPSLVELLIDGDRSTAEKALAALDATLASEAGRARARADALAVPVLVKKMFRVSDTATELVVSALHRICKKWHDGDDDEVGSPAARRSAVVEAVQVGAFQKVMMLLQVGCRDATKEKATELLKLMIKYETRGGAHCIDAMDFRGLKRVS >ONIVA10G01440.1 pep chromosome:AWHD00000000:10:1508128:1509395:1 gene:ONIVA10G01440 transcript:ONIVA10G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGRGSGGGGGGGHLWLCLRWGEMDLGWKLLGRRWRRHGLMVVRQRGVGEWLNASADMGFSCLVVSVRLIGGRARGYGQNGGSGFGSTRPPASMGFDDATGDILLAPSPLHHQRWMISAHIYSEICWALHWHIGCKLRYNSTGGAPVSFKQNLLISLIVFCA >ONIVA10G01430.1 pep chromosome:AWHD00000000:10:1487798:1489944:-1 gene:ONIVA10G01430 transcript:ONIVA10G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRWSMRWRRRHRRRRTELVVGDDGGEAPYEGFLCLELKPLTANANIPSHPPQINLSIWGGRGDLSERTEEENLFSTRRQALTNRQHVV >ONIVA10G01420.1 pep chromosome:AWHD00000000:10:1485640:1487292:1 gene:ONIVA10G01420 transcript:ONIVA10G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHKKKPSQFTWRQFYDSAFVMVNGRLKQKGEREHSDPILPYLPDEDEPYVWLADMHENDNALIDVHKDEIRVMLTAPSINGEEGPSHYSGKGTPCDASCPKQAKEPWHDDLSFEEEHEGRCEDERTHCSKRKKPSNVSCSKLAKNPSRVTGYRRKEEELRHSSKKNKPSSVPCSKQAKGPLRSKDLDDVMW >ONIVA10G01410.1 pep chromosome:AWHD00000000:10:1464916:1468683:-1 gene:ONIVA10G01410 transcript:ONIVA10G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLLRLLVSCLLCLQTHVGAERHESIISLNSQQMALLRWKSTLRISSVHMMSSWKNTTSPCNWTGIMCSRSVIRHGRRHRMPWPVVTNISLPAAGIHGQLGELDFSSIPYLAYIDLSDNSLNGPIPSNISSLLALLHLELQLNQLTGRIPDEIGELRSLTTLSLSFNNLTGHIPASLGNLTMVTTFFVHQNMISSFIPKEIGMLANLQSLSLSNNTLIGEIPITLANLTNLATLKLYGNELSGPIPQKLCTLTKMQYLSLSSNKLTGEIPVCLSNLTKMEKLYLYQNQITGSIPKEIGMLANLQSLSLSNNTLTGEIPITLANLTNLATLSLWGNLTKLVTLSLQENQISGSTPQEIRNLVNLNILNFGYNQISGLVPKTFGNLVSIQLMAMAHNQLTGSLPREFENLTGIADLWLDNNSFSGHLPANVCMGGRLKTFMIGGNAFDGPIPRSLKTCTSLVKLSVYNNLLTGDISEHFGVYPHLKSVSLSYNRFFGQISPNWVASPQLEEMDFHKNMITGVLPPALSKLSNLGLLRLDHNNISGEIPAEFGNLKSLYKINLSFNQLSGYLPAQLGKLSNLGYLDVSRNNLSGPIPDELGDCIRLESLKINNNNIHGNLPGTIGNLKGLQIILDASNNKLDVIASGHHKPKLLSLLLPIVLVVVIVILATIIVITKLVHNKRKQQQSSSAITVARNMFSVWNFDGRLAFEDIISATENFDDKYIVGIGGYGKVYKAQLQGGNVVAVKKLHPVVEELDDETRLLCEMEVLSQIRHRSIVKLYGFCFHPNYNFLVYDHIQRESLYMTLENEELVKEFDWSKRVTLVKDVAQALSYLHHDCSPPIIHRDITSNNILLDTAFKAYVSDFGTARILKPDSSNWSALAGTYGYIAPELSFTCVVTEKCDVYSFGVVVLEVVMGKHPMELLRTLLSSEQQHTLVKEILDERPTAPTTTEEESIEILIKVAFSCLEASPHARPTMMEAYQTLIQQHSSSSCPIRFNEVTLEQLRNT >ONIVA10G01400.1 pep chromosome:AWHD00000000:10:1451892:1463342:1 gene:ONIVA10G01400 transcript:ONIVA10G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 14 [Source:Projected from Arabidopsis thaliana (AT1G31810) UniProtKB/Swiss-Prot;Acc:Q9C6S1] MRLDSFPASISVPYEVRSGFQAQGGLPSPSGHTSLRVSVRVSNWASTENPKFFYKRPPDGLLEFIDRVYVFDSCFCTEVLPHGMYPVYLTGILTDLHEEHSQSSFLGINFRDGDKRSQLADVLREYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLAFMLSCLLIFKKLQSAEHKTLDLIYREAPKGFLQLFSALNPMPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIGKNASTSNMIFSMPKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDQYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTASMGNVLVNTPSVLPPTTPPPCGSLSILSTDENQLPPEVQSAAPPPPPPPPPPPSGNKPAFSPPPPPPPPPPPLPQTSPTATTTTLAKLFSPTSTSTSTTATNLAKPFSPTTSTATTALAKSFSPATSTPTTATTILAKPFSSTTSSSGNRTPTGAATSSKGPPPPPPPPPPPANRTNGPGVPSAPPPPPPPPPANRSNGPSAPAPPPPPPLPAAANKRNPPAPPPPPLMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDTSVLDNDQVENLIKFCPTKEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVEELRTNLTTINDATKEVSVTSCKISSYDFSFWLAYSVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREALKSFLDAAEAEVRSLISLYSEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAELEKKKLEKDKEKATLSAKKVLE >ONIVA10G01400.2 pep chromosome:AWHD00000000:10:1451892:1463342:1 gene:ONIVA10G01400 transcript:ONIVA10G01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 14 [Source:Projected from Arabidopsis thaliana (AT1G31810) UniProtKB/Swiss-Prot;Acc:Q9C6S1] MRLDSFPASISVPYEVRSGFQAQGGLPSPSGHTSLRVSVRVSNWASTENPKFFYKRPPDGLLEFIDRVYVFDSCFCTEVLPHGMYPVYLTGILTDLHEEHSQSSFLGINFRDGDKRSQLADVLREYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLAFMLSCLLIFKKLQSAEHKTLDLIYREAPKGFLQLFSALNPMPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIGKNASTSNMIFSMPKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDQYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTASMGNVLVNTPSVLPPTTPPPCGSLSILSTDENQLPPEVQCESPSDRKLPSPSPTAAAPPPPPPPPPPPSGNKPAFSPPPPPPPPPPPLPQTSPTATTTTLAKLFSPTSTSTSTTATNLAKPFSPTTSTATTALAKSFSPATSTPTTATTILAKPFSSTTSSSGNRTPTGAATSSKGPPPPPPPPPPPANRTNGPGVPSAPPPPPPPPPANRSNGPSAPAPPPPPPLPAAANKRNPPAPPPPPLMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDTSVLDNDQVENLIKFCPTKEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVEELRTNLTTINDATKEVSVTSCKISSYDFSFWLAYSVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREALKSFLDAAEAEVRSLISLYSEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAELEKKKLEKDKEKATLSAKKVLE >ONIVA10G01400.3 pep chromosome:AWHD00000000:10:1451892:1463342:1 gene:ONIVA10G01400 transcript:ONIVA10G01400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 14 [Source:Projected from Arabidopsis thaliana (AT1G31810) UniProtKB/Swiss-Prot;Acc:Q9C6S1] MRLDSFPASISVPYEVRSGFQAQGGLPSPSGHTSLRVSVRVSNWASTENPKFFYKRPPDGLLEFIDRVYVFDSCFCTEVLPHGMYPVYLTGILTDLHEEHSQSSFLGINFRDGDKRSQLADVLREYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLAFMLSCLLIFKKLQSAEHKTLDLIYREAPKGFLQLFSALNPMPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIGKNASTSNMIFSMPKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDQYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTASMGNVLVNTPSVLPPTTPPPCGSLSILSTDENQLPPEVQCESPSDRKLPSPSPTAAAPPPPPPPPPPPSGNKPAFSPPPPPPPPPPPLPQTSPTATTTTLAKLFSPTSTSTSTTATNLAKPFSPTTSTATTALAKSFSPATSTPTTATTILAKPFSSTTSSSGNRTPTGAATSSKGPPPPPPPPPPPANRTNGPGVPSAPPPPPPPPPANRSNGPSAPAPPPPPPLPAAANKRNPPAPPPPPLMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDTSVLDNDQVENLIKFCPTKEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVEELRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREALKSFLDAAEAEVRSLISLYSEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAELEKKKLEKDKEKATLSAKKVLE >ONIVA10G01400.4 pep chromosome:AWHD00000000:10:1451487:1463342:1 gene:ONIVA10G01400 transcript:ONIVA10G01400.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 14 [Source:Projected from Arabidopsis thaliana (AT1G31810) UniProtKB/Swiss-Prot;Acc:Q9C6S1] MYPVYLTGILTDLHEEHSQSSFLGINFRDGDKRSQLADVLREYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLAFMLSCLLIFKKLQSAEHKTLDLIYREAPKGFLQLFSALNPMPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIGKNASTSNMIFSMPKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDQYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTASMGNVLVNTPSVLPPTTPPPCGSLSILSTDENQLPPEVQCESPSDRKLPSPSPTAAAPPPPPPPPPPPSGNKPAFSPPPPPPPPPPPLPQTSPTATTTTLAKLFSPTSTSTSTTATNLAKPFSPTTSTATTALAKSFSPATSTPTTATTILAKPFSSTTSSSGNRTPTGAATSSKGPPPPPPPPPPPANRTNGPGVPSAPPPPPPPPPANRSNGPSAPAPPPPPPLPAAANKRNPPAPPPPPLMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDTSVLDNDQVENLIKFCPTKEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVEELRTNLTTINDATKEVSVTSCKISSYDFSFWLAYSVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREALKSFLDAAEAEVRSLISLYSEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAELEKKKLEKDKEKATLSAKKVLE >ONIVA10G01400.5 pep chromosome:AWHD00000000:10:1451487:1463342:1 gene:ONIVA10G01400 transcript:ONIVA10G01400.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 14 [Source:Projected from Arabidopsis thaliana (AT1G31810) UniProtKB/Swiss-Prot;Acc:Q9C6S1] MYPVYLTGILTDLHEEHSQSSFLGINFRDGDKRSQLADVLREYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLAFMLSCLLIFKKLQSAEHKTLDLIYREAPKGFLQLFSALNPMPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIGKNASTSNMIFSMPKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDQYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTASMGNVLVNTPSVLPPTTPPPCGSLSILSTDENQLPPEVQCESPSDRKLPSPSPTAAAPPPPPPPPPPPSGNKPAFSPPPPPPPPPPPLPQTSPTATTTTLAKLFSPTSTSTSTTATNLAKPFSPTTSTATTALAKSFSPATSTPTTATTILAKPFSSTTSSSGNRTPTGAATSSKGPPPPPPPPPPPANRTNGPGVPSAPPPPPPPPPANRSNGPSAPAPPPPPPLPAAANKRNPPAPPPPPLMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKASLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDTSVLDNDQVENLIKFCPTKEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVEELRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREALKSFLDAAEAEVRSLISLYSEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAELEKKKLEKDKEKATLSAKKVLE >ONIVA10G01380.1 pep chromosome:AWHD00000000:10:1425132:1427710:-1 gene:ONIVA10G01380 transcript:ONIVA10G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRYFLQKVNY >ONIVA10G01370.1 pep chromosome:AWHD00000000:10:1417203:1425042:-1 gene:ONIVA10G01370 transcript:ONIVA10G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRMLFDLNELPTEAEEEEAAVVVSQPQKTLPVPTAGPSLFPQQEVTQSQGILNNNAFKHALSGSGFQPFVRSKDSQITKEPIKAEDNLNASVASTSMLTNHSSDGVAKMIGSSNQVSQAVEREEGEWSDADVASDTAGSSVSNKEELAGTATTQVKRDSQESEPTAVKSGNMIKDEAAAEPSDTEMMDVPKDPVVRGPTGLESTKNLENKGNQLGDDSDLSNKSKDVRGVEANYALKFASNPSKRPKLDEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPIVTRTVKEAFRSGGGIAERAAERQSQPTIRDQRQSEMLGSERSNSADPIDQISESNGDAETGSQGRPKKMNAEEAPADGYQQPMPRQLKGRQISSQRSVVTGQNTVDQKPVNKRSLVSKKQTPANNMQYQDTSVERLIREVTSDKFWHNPEEAELQCVPKSFESAEEYIRVFEPLLFEECRAQLYSSYEESLESVSRDAHVMVRVKTVERRERGWYDVVVLPMHEYKWTFKEGEVAVLSFPRPGSASQSSRSNRRNVGSNEDTESECGRLVGTVRRHTPIDTRDPIGAIIHFYLGDSFDSSSSETNVLRKLQPRSTWYLTSLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYEEQPPAMPDCFTPNFADHLHRTFNGPQLSAIHWAAMHTAAGTSNGAVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGNTSNSSETVAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLQQLKCREQQLSQEIALLQRELTMVAAAGRSQGSVGVDPDVLAQRDRSRDFLLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQLSGCPTILLSVQYRMHPQIREFPSRHFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDISHGRESHRGGSSSYQNVHEAQFVLRLYENLQKFLRANGGKKASVGIITPYKLQLKCLQREFEEVMSTEDGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNAGALMQSEDWALLIADAKARKCFMDLDTIPKDFLAMKISNTPGRNTSNNIRNMRTGGPRPRHLEMLPDSRVSMRPDEDERSNSVPRNGSYRNLDDLGRPGDRSRDNLPFGMPRRPNSSNGSRREVLGLYLNSCCLGGCWFSLPFCKQPGCWFLWLSSEIPEAWIIIIRSWTYILQEEKWGLRWLWTNFSFSLAGDDKSLLGADKQKFLQ >ONIVA10G01370.2 pep chromosome:AWHD00000000:10:1417203:1425042:-1 gene:ONIVA10G01370 transcript:ONIVA10G01370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRMLFDLNELPTEAEEEEAAVVVSQPQKTLPVPTAGPSLFPQQEVTQSQGILNNNAFKHALSGSGFQPFVRSKDSQITKEPIKAEDNLNASVASTSMLTNHSSDGVAKMIGSSNQVSQAVEREEGEWSDADVASDTAGSSVSNKEELAGTATTQVKRDSQESEPTAVKSGNMIKDEAAAEPSDTEMMDVPKDPVVRGPTGLESTKNLENKGNQLGDDSDLSNKSKDVRGVEANYALKFASNPSKRPKLDEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPIVTRTVKEAFRSGGGIAERAAERQSQPTIRDQRQSEMLGSERSNSADPIDQISESNGDAETGSQGRPKKMNAEEAPADGYQQPMPRQLKGRQISSQRSVVTGQNTVDQKPVNKRSLVSKKQTPANNMQYQDTSVERLIREVTSDKFWHNPEEAELQCVPKSFESAEEYIRVFEPLLFEECRAQLYSSYEESLESVSRDAHVMVRVKTVERRERGWYDVVVLPMHEYKWTFKEGEVAVLSFPRPGSASQSSRSNRRNVGSNEDTESECGRLVGTVRRHTPIDTRDPIGAIIHFYLGDSFDSSSSETNVLRKLQPRSTWYLTSLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYEEQPPAMPDCFTPNFADHLHRTFNGPQLSAIHWAAMHTAAGTSNGAVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGNTSNSSETVAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLQQLKCREQQLSQEIALLQRELTMVAAAGRSQGSVGVDPDVLAQRDRSRDFLLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQLSGCPTILLSVQYRMHPQIREFPSRHFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDISHGRESHRGGSSSYQNVHEAQFVLRLYENLQKFLRANGGKKASVGIITPYKLQLKCLQREFEEVMSTEDGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNAGALMQSEDWALLIADAKARKCFMDLDTIPKDFLAMKISNTPGRNTSNNIRNMRTGGPRPRHLEMLPDSRVSMRPDEDERSNSVPRNGSYRNLDDLGRPGDRSRDNLPFGMPRRPNSSNGSRREVSWTYILQEEKWGLRWLWTNFSFSLAGDDKSLLGADKQKFLQ >ONIVA10G01360.1 pep chromosome:AWHD00000000:10:1414740:1416533:-1 gene:ONIVA10G01360 transcript:ONIVA10G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKSSASFRLTALPVVVVAQLLAAAVLTLTLVWVLHFRGGVSWSWHRSSTPQLVYTAHPLFMVIGLVICTGEAIMAYRIMLGSREVKKAVHLLLHLVALAFAAVGLYAAFKFHHDLRAPDIRSLHAWLGITTAALYAFQWLVGFVYFVFPGAVMTMRADYAPWHILLGIVIFLMAICTAETGLARFIFPFHGYPSEAFVVNFTGLAILMFGVAVVVAAILPSRY >ONIVA10G01350.1 pep chromosome:AWHD00000000:10:1404705:1409278:-1 gene:ONIVA10G01350 transcript:ONIVA10G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTDYESGLNLFSIIIILMAVCTAETGLTRFIFHINGYMSKAFVVNFAGLAILMFDMAVILAVTLPLLLLIHSGAANHTQSIASASIHVASIAASEVLSRTRFK >ONIVA10G01340.1 pep chromosome:AWHD00000000:10:1398663:1399113:1 gene:ONIVA10G01340 transcript:ONIVA10G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGGGGGEARRRWRRRRAAAGCGGGGERGGRGGKRGGSGDQPPEREAEADADERRFRRKISNRESACRSRAHKQWHLDELRARAGWLRRCNCELAARGHAARGRAGLVHLTNMQLRAKAATPAAPFPACR >ONIVA10G01330.1 pep chromosome:AWHD00000000:10:1391649:1392856:-1 gene:ONIVA10G01330 transcript:ONIVA10G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVQEEDEQMMSTDDLIQAQIKLYHHCFAFIKSTALWAAIDLRIADVIHRNGGAATLSDLALNVGLHPTKLSHLRRLMRVLAVTGVFAVEDRNGEAMYTLTRVSRLLLNSDGEGTHALSQMARVIANPLAVISHFSIHEWFTTEKATTMTPFEVAHGCTRWEMIANDAKDGSVFNAGMVEDSRVAMDIILKESCGIFQGISSLIDVGGGHGAAAAAIATAFPNIKCTVLDLPHIVAEAPATHSNIQFVGGDLFKFIPAADVVLLKCLLHCWQDDDCVKILRLCKEAIPARDAGGKVIIIDVVVGIGSEEIVPKEMQLLFDVFMMYVDGIEREEYEWKKIFLEAGFSDYKITPVLGARSIIEVYP >ONIVA10G01320.1 pep chromosome:AWHD00000000:10:1388828:1390043:1 gene:ONIVA10G01320 transcript:ONIVA10G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPSSFYVECEALKSIRHRNLVRVIGLCSTFDTSGFEFKALFFAGMNSKHLFLSLDQMATLKSGSIQNDFGLAKFLQNNIISLGDASSTTRLRGSIGYIAPEYGLGCKISIEDDVYSYGIIVPEIIIGKRPNFTALAPTLTYHHEGEDPNHAVVEMQTSIELAKLGLKCTEPSPKDRSTMCDVYAEIIFTSKRNIMQSSTGEEDDTIVDNELKMNQIATMRIPALQ >ONIVA10G01310.1 pep chromosome:AWHD00000000:10:1368060:1369218:-1 gene:ONIVA10G01310 transcript:ONIVA10G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTVSGVFAVEDHNGEAMYTLTRVSRLLLNGDGERTHALSHLVRVLVNPLTVASHFSIHEWFTIEQAAAMTPFEVAHGCTRWEMIENDAKDGSVFNTAMVEDSRVAMDIILKESCGVFQGISSLVDVGGGHGAAAAAIATAFPNIKCTVLDLPHIVAEAPTTHSNIQFVGGDFFEFIPAADVVLLKYILHAWQDDDCVKILRRCKEAILARDAGGKVIIIEVVVGIGSKEIVPKEMQILFDVFMMYVDGIEREEHEWKKIFLEAGFSDYKITPVLGARSIIEVYP >ONIVA10G01300.1 pep chromosome:AWHD00000000:10:1364324:1364554:-1 gene:ONIVA10G01300 transcript:ONIVA10G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAATVCAALATRAAVRFGRRLAVGSVTGGITHSDILLYITMTEEDLSPDPEPTATYYDKLPTKRALAAGDVQA >ONIVA10G01290.1 pep chromosome:AWHD00000000:10:1356530:1357149:1 gene:ONIVA10G01290 transcript:ONIVA10G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRSEGSSSSSARQPYGSPIPYRVGPFEYEPAVLCRCELKAARWISWSVDNPGRRYFKCRNARKGGCDFYAWHDGPTSSFLREVLNDLQGAMHSLRREKADAVKEVEELRVKSEEQCREFASVGRELASVRELVSELDVKNAVLIDSKCRLEKERTVLIWCILSCMCVVILLVLGKN >ONIVA10G01280.1 pep chromosome:AWHD00000000:10:1347462:1353698:1 gene:ONIVA10G01280 transcript:ONIVA10G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAPPPPPPPPPPPDPPSAQPPAETAWARALRKLLPAGAPVPDEEQLDYSFVSVDVAGPATERRPPPRSSADGPPLPPLARHRRRISRLLRPSPPRRRQSPPPPPPLQCEPSPPSSSPDATSPASSPPRCSSSSPPAPPPPPPPPEAPLNQGSKRRGACARCGKGGIGVGILGEREECLACGARYCAGCVLRAMGSMPEGRKCVGCIGRPVADARRRARLGKGSRLLARLLAPAEVRQVMRGERGCAANQVRPGEILVNGRGLSQGELDLLLGCAVPPERLAAGRYWYDKDSGLWGKEGERPDRIVSSKLSIGGKLQTDASNGTTQVFINGREITKTELRMLKLANVQCPRNTHFWLYDDGSYEEEGQNIIKGNIWQKASTRLIATLFSLPIPRGLKEDTTLYSSRFVPEYLEQKKVQKLLLVGLEGSGSSTIFKQAKFLYGTEFSPEEILNLKLMIQSNVYKYLSTLLEWRECFEDEALEEEKELGMSNHKGDENVTECELPTGEPKAVQSTSSLYSLNQRLMHFANWLLEIVALGNLDAFFPAATREYAPIVEEVWKDPAIQATYKRKNELHFLPDVASYFLDRVVEISSNEYEPTETDILYAEGVNQWNGLSTLEFSLDDRGPLSDSYSDKAGNPAIQTKYQLIRMNSKGLTGGFKCLGMLEDIRAIIFCISLADYDQTWVQSSGEPCNKMIASRDLFEDVIRHPSFEDTSCVLLLNKYDAFEEKISRVPLTVCEWFADFSPVRPHHTSQTSLASHAYYYVAVKFKDLYSSVADGRKLFVFQTKALERRTVDDAFRYIREVLRWDDVKNSDAGYCSADESSYSVDMTTSPS >ONIVA10G01280.2 pep chromosome:AWHD00000000:10:1347462:1353698:1 gene:ONIVA10G01280 transcript:ONIVA10G01280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAPPPPPPPPPPPDPPSAQPPAETAWARALRKLLPAGAPVPDEEQLDYSFVSVDVAGPATERRPPPRSSADGPPLPPLARHRRRISRLLRPSPPRRRQSPPPPPPLQCEPSPPSSSPDATSPASSPPRCSSSSPPAPPPPPPPPEAPLNQGSKRRGACARCGKGGIGVGILGEREECLACGARYCAGCVLRAMGSMPEGRKCVGCIGRPVADARRRARLGKGSRLLARLLAPAEVRQVMRGERGCAANQVRPGEILVNGRGLSQGELDLLLGCAVPPERLAAGRYWYDKDSGLWGKEGERPDRIVSSKLSIGGKLQTDASNGTTQVFINGREITKTELRMLKLANVQCPRNTHFWLYDDGSYEEEGQNIIKGNIWQKASTRLIATLFSLPIPRGLKEDTTLYSSRFVPEYLEQKKVQKLLLVGLEGSGSSTIFKQAKFLYGTEFSPEEILNLKLMIQSNVYKYLSTLLEWRECFEDEALEEEKELGMSNHKGDGEPKAVQSTSSLYSLNQRLMHFANWLLEIVALGNLDAFFPAATREYAPIVEEVWKDPAIQATYKRKNELHFLPDVASYFLDRVVEISSNEYEPTETDILYAEGVNQWNGLSTLEFSLDDRGPLSDSYSDKAGNPAIQTKYQLIRMNSKGLTGGFKCLGMLEDIRAIIFCISLADYDQTWVQSSGEPCNKMIASRDLFEDVIRHPSFEDTSCVLLLNKYDAFEEKISRVPLTVCEWFADFSPVRPHHTSQTSLASHAYYYVAVKFKDLYSSVADGRKLFVFQTKALERRTVDDAFRYIREVLRWDDVKNSDAGYCSADESSYSVDMTTSPS >ONIVA10G01270.1 pep chromosome:AWHD00000000:10:1343201:1343763:-1 gene:ONIVA10G01270 transcript:ONIVA10G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRTSSSSSNLSPPSSSSSAGAPPRSVPRVSCATALPALSACRRLGVGSQIMAQLRFAVLAVPSSIASLTRWRRGRLEDELWLEGAIRCGPLRLDEALWSRLSILSTQPFSYGSIGNMTT >ONIVA10G01260.1 pep chromosome:AWHD00000000:10:1333827:1334162:-1 gene:ONIVA10G01260 transcript:ONIVA10G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNAAAARPNRSKVFTWRFVGQMGERHDNAFKKGNGANGVIVIEPAKGTARLSPASAHYPHKYPHIDGHTIVHDVSQAVNPRRNLPSPTLLCCIDQNRPRQPRDRSSKLG >ONIVA10G01250.1 pep chromosome:AWHD00000000:10:1333391:1334447:1 gene:ONIVA10G01250 transcript:ONIVA10G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARAAEMQAGSGSGGARRIVEARTAVWRPVQPVEMRPAAWRGGRCGLWSVEAGSVAWNRVRHVERGGRLNSVEMLPTAGGRRWRKPCRAFGRFDDDDAVGAVSLLEGVIMALSHLPHKSPGENLAPVRTSGGGVTRHVLLGASLRGSSFTSMTIDGLFGSKAFFPWCSARPKPLGSTSFCGGRHTLRLFLRIKSELLAVGVRRRLATMTCCSLFQRVGAGHVKEVALWWLG >ONIVA10G01240.1 pep chromosome:AWHD00000000:10:1317086:1320652:-1 gene:ONIVA10G01240 transcript:ONIVA10G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IP51] MAASRCFLLLLLLLLSPLLASAGEEEEEAVLAMAARLRRPAAASFREGYTQLFGDSNLALHGDGKRVRISLDERTGAGFASQDAYLHGFFSASIKLPPDYAAGVVVAFYMSNGDVYEKTHDELDFEFLGNIKGREWRVQTNVYGNGSTSVGREERYGLWFDPTEDFHRYAILWSHDWIVFYIDETPIREVQRTKSMGVQFPSKPMSLYATIWDGSSWATSGGRYKVNYKYAPFVAEFSELMLHGCAMDTLTRAPMCTPDIANIHNAVAMSGRQRSAMERFRTKYMTYGYCYDRLRYPTPPSECNVGPEAELFLPTGEARSIDRHGRARRHRRGPADSAF >ONIVA10G01230.1 pep chromosome:AWHD00000000:10:1309450:1313261:-1 gene:ONIVA10G01230 transcript:ONIVA10G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGPGWMVPPPPQPQPQQQQQAVVVDGRDGLVAWLRGEFAAANAIIDLLLAHARDAADPAGFDAVAAAVQRRRHHWAPVLHLQHYFPVTEVALALHHAAARQGPPPPPPPPRPPSGSAGAEGDDAAIASGGVKEVETSAEATQNSQLVSHISHATEAQPQKGLHVISNVVPVPTCFVVNEVIDGRMVNVLEGLKLYKGYVDLTEIGKVLSFVNEAKTMRRKPGLEGQTVVVAKRPMKGHGREIIQLGLPITEGPPEDEHLREVKVDPIPGVLQNLFDSLVHQKVVPSSPDYCVIDIFNEGDYSHPHHHPPWYGRPICTLCLTDCDMVFGHVIAADSRGDHAGPLKLSLSTGSVLVFEGKSADIAKRALPATSKQRILLSFGKSVSRKHVQSESSLLITPPLTPPPMPWAAPLRPGNIAIHPSSPKQLVYNPSNRVPAVSTPGLHHIPSNGIQTVFVAPLPITPKAVPFASAVTLPNSTAAWIAEAAPRPASPRLPLQGTGVFLPPGSGNPPPAQKLGVKHADAKPFFPQESSASSSGVSARAHKANGSVSSKPTRKDDMAEAKPKCNGSSDGGSSVAHAKATGGLEEQNVVAK >ONIVA10G01220.1 pep chromosome:AWHD00000000:10:1306769:1310361:1 gene:ONIVA10G01220 transcript:ONIVA10G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IP49] MAVALALLAAMSALSSCTSPATAELTRLEHPVVDGAPLRLLVVGDWGRKGGYNQTRVAEQMGKVAEETEIDFVVSTGDNFLENGLAGVDDMAFHDSFMDVYTAKSLHKPWYLGIVDFFFVDTTPFQLQYWTDPGEDHYDWRGVAPRDAYIANLLEDVDAAMKKSTATWKIAVGHHTMRSVSAHGDTQELLELLLPVLKENGVDFYINGHDHCLEHISSRNSPIQYFTSGGGSKAWRGIFQQNEDKLQFFYDGQGFLSLELSENRARFAFYDVFGEALYHWSFSKANLQKVQSSASVTEE >ONIVA10G01210.1 pep chromosome:AWHD00000000:10:1292360:1305560:1 gene:ONIVA10G01210 transcript:ONIVA10G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVEIKRRKGIGINKIKTPNFPSRHHAGKRHRCSRRRPGFISPSRASGPCLPPHPCGLAGFTVHTPPWNPSYRHRRVETGSDGLAPPCAAPPSRWSGAAGRIPRRASLASPDRRCAIVAGHSAAAIGKRKGIGERRNLGAKESPAAMLLLQQGGDRVLSPEDVRYLAGVLLAEQKPSPQPVRKKSTWPLSDGKMRSMIEQRRISRNVKVTLNQHFLRDGKPMYKLHVICGTNDSVCSPEYYCSKQEDCLSFAPCDYKYTHVNFLATEKDEGEPAVMCCKGDMPLPFAEHVRCLYCEVEEAKVVHPALEKFHGGDREFEEVIRGKHSLTNSWIICLNEYAVQRLYAHNEDFMYGETNIVLSAMHKRQSNIFSLSVMSLSLFGDVIFMSSYWLHFWSTMLSQEEHDTMCNGATLLKSKPEMYTHVNFLATEKSASPSSPVLFFAEFDNEKAEGESPVLCCKVDMPLPCADNLESMSVKNIGNVEYNTTKHLMTFKFTPGKVVFTSQWHANV >ONIVA10G01210.2 pep chromosome:AWHD00000000:10:1287238:1305560:1 gene:ONIVA10G01210 transcript:ONIVA10G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGLRRVVRPQTQYKALTSEQEEERSRLLSRMGAFYVDACGRLGVRGSVVTLARLFDAGLCFGLLDPVSNIVVNTLATTDIRPDDGTNTKAAAPLPLPSLQDKLPELGRRSLDGLSAFLLRFFPYLAAWEAVRYLLRADADLLVAARLVVADRGMTNFSIASPTSVLAFEGALGLAARLAGHPDPHRLLCIWVSLSTRLPEAVNMISQVQGYRPHDTITYRLRDWLLQKPRPSPSPPAALDLMQSWDLAAAPHGGNSITTDDMFSYKHLRALRMMLLNTVHVFYLRALARLPRNELRRRYHRSLHMAGSCYGPFDPVSNIILNTIWYHVNFPAAELPMLDMVGPLSLNRMESRSFFGLVSFLQTRYTSLSEHETLQCLTASNADLSRADPKLNVAGAGEEQRQHPRYHQGRSSCYLSSTNLPGLCSAIRKVEQQTPCTSTQEAYEAAAVAAWHPNPEAQALFLSSVQTVLEESVLSMLQGTDSLTSEDVCYIADLLSPNHIPVPEEIKSDFYPVIAGKMRFEAKHERICRKVKFALDTCLDPMYELHIICGLNEFVCGPEHCKDKDDALSFSPCKYHYTHVNFLVTRKNFPSAGKCPILFFAEFGNKDDDDVPLMCCHVDAPTPFAEHVRCLYCEAQGARIVHPSLEKLCGGEEFGEVIQGERYFTNDRLICKSEYFVQSLGGNEEDFMYKPMYKLHVICGTNDSVCSPEYYCSKQEDCLSFAPCDYKYTHVNFLATEKDEGEPAVMCCKGDMPLPFAEHVRCLYCEVEEAKVVHPALEKFHGGDREFEEVIRGKHSLTNSWIICLNEYAVQRLYAHNEDFMYGETNIVLSAMHKRQSNIFSLSVMSLSLFGDVIFMSSYWLHFWSTMLSQEEHDTMCNGATLLKSKPEMYTHVNFLATEKSASPSSPVLFFAEFDNEKAEGESPVLCCKVDMPLPCADNLESMSVKNIGNVEYNTTKHLMTFKFTPGKVVFTSQWHANV >ONIVA10G01210.3 pep chromosome:AWHD00000000:10:1292360:1305560:1 gene:ONIVA10G01210 transcript:ONIVA10G01210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVEIKRRKGIGINKIKTPNFPSRHHAGKRHRCSRRRPGFISPSRASGPCLPPHPCGLAGFTVHTPPWNPSYRHRRVETGSDGLAPPCAAPPSRWSGAAGRIPRRASLASPDRRCAIVAGHSAAAIGKRKGIGERRNLGAKKPMYKLHVICGTNDSVCSPEYYCSKQEDCLSFAPCDYKYTHVNFLATEKDEGEPAVMCCKGDMPLPFAEHVRCLYCEVEEAKVVHPALEKFHGGDREFEEVIRGKHSLTNSWIICLNEYAVQRLYAHNEDFMYGETNIVLSAMHKRQSNIFSLSVMSLSLFGDVIFMSSYWLHFWSTMLSQEEHDTMCNGATLLKSKPEMYTHVNFLATEKSASPSSPVLFFAEFDNEKAEGESPVLCCKVDMPLPCADNLESMSVKNIGNVEYNTTKHLMTFKFTPGKVVFTSQWHANV >ONIVA10G01210.4 pep chromosome:AWHD00000000:10:1287238:1292364:1 gene:ONIVA10G01210 transcript:ONIVA10G01210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGLRRVVRPQTQYKALTSEQEEERSRLLSRMGAFYVDACGRLGVRGSVVTLARLFDAGLCFGLLDPVSNIVVNTLATTDIRPDDGTNTKAAAPLPLPSLQDKLPELGRRSLDGLSAFLLRFFPYLAAWEAVRYLLRADADLLVAARLVVADRGMTNFSIASPTSVLAFEGALGLAARLAGHPDPHRLLCIWVSLSTRLPEAVNMISQVQGYRPHDTITYRLRDWLLQKPRPSPSPPAALDLMQSWDLAAAPHGGNSITTDDMFSYKHLRALRMMLLNTVHVFYLRALARLPRNELRRRYHRSLHMAGSCYGPFDPVSNIILNTIWYHVNFPAAELPMLDMVGPLSLNRMESRSFFGLVSFLQTRYTSLSEHETLQCLTASNADLSRADPKLNVAGAGEEQRQHPRYHQGRSSCYLSSTNLPGLCSAIRKVEQQTPCTSTQEAYEAAAVAAWHPNPEAQALFLSSVQTVLEESVLSMLQGTDSLTSEDVCYIADLLSPNHIPVPEEIKSDFYPVIAGKMRFEAKHERICRKVKFALDTCLDPMYELHIICGLNEFVCGPEHCKDKDDALSFSPCKYHYTHVNFLVTRKNFPSAGKCPILFFAEFGNKDDDDVPLMCCHVDAPTPFAEHVRCLYCEAQGARIVHPSLEKLCGGEEFGEVIQGERYFTNDRLICKSEYFVQSLGGNEEDFMYATAAATNLPMLTPLRSEIDDDKSRLLSNIQSSYAHAIDLLVDFIG >ONIVA10G01210.5 pep chromosome:AWHD00000000:10:1287189:1292364:1 gene:ONIVA10G01210 transcript:ONIVA10G01210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGLRRVVRPQTQYKALTSEQEEERSRLLSRMGAFYVDACGRLGVRGSVVTLARLFDAGLCFGLLDPVSNIVVNTLATTDIRPDDGTNTKAAAPLPLPSLQDKLPELGRRSLDGLSAFLLRFFPYLAAWEAVRYLLRADADLLVAARLVVADRGMTNFSIASPTSVLAFEGALGLAARLAGHPDPHRLLCIWVSLSTRLPEAVNMISQVQGYRPHDTITYRLRDWLLQKPRPSPSPPAALDLMQSWDLAAAPHGGNSITTDDMFSYKHLRALRMMLLNTVHVFYLRALARLPRNELRRRYHRSLHMAGSCYGPFDPVSNIILNTIWYHVNFPAAELPMLDMVGPLSLNRMESRSFFGLVSFLQTRYTSLSEHETLQCLTASNADLSRADPKLNVAGAGEEQRQHPRYHQGRSSCYLSSTNLPGLCSAIRKVEQQTPCTSTQEAYEAAAVAAWHPNPEAQALFLSSVQTVLEESVLSMLQGTDSLTSEDDPMYELHIICGLNEFVCGPEHCKDKDDALSFSPCKYHYTHVNFLVTRKNFPSAGKCPILFFAEFGNKDDDDVPLMCCHVDAPTPFAEHVRCLYCEAQGARIVHPSLEKLCGGEEFGEVIQGERYFTNDRLICKSEYFVQSLGGNEEDFMYATAAATNLPMLTPLRSEIDDDKSRLLSNIQSSYAHAIDLLVDFIG >ONIVA10G01200.1 pep chromosome:AWHD00000000:10:1279274:1281588:-1 gene:ONIVA10G01200 transcript:ONIVA10G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRGLRSCNDAKAWKCRACGTINRPTKHLFFKLPAFHCTNSDCGKKFCGSFKFCLGEINAQEKKVVGEVHDQGEQPLCVAFAYSKAVEIMERVFSISEGKDPDLVQCIDPFELHKKFEDKFPEVLSINCLTRDYGLHRVLHTALILRSEGITKEKSGNRYVARDVSTIPRDDFETICQNLAEGIPMVATYIPGKRRSLLRYCQIYKSPRSKSGEKQLHAQIGHAVVLIGAGMKRGRVFFYFLNSWGEKFCPRKNNQGEIVTGGIGKLREDDLTKIVVRLSPPGETGVTRRLDDQFELEISDPNYLLMMATRNQYSEMMMMKLRQKNLQEFRKDGLDDHLFRDDEALFDLCVGIQGHKELEQAVS >ONIVA10G01190.1 pep chromosome:AWHD00000000:10:1275032:1277741:-1 gene:ONIVA10G01190 transcript:ONIVA10G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKGGLRRVVRPQTRYRPLSVEQDDERSRLLSNIQSFYHHASARHTAAAICVGLLDPVSNILANTLLSDEVAPPVDDADLARRSLDGLVAFLLYFFPYLADWDAVRYLLLADADLLVAARLIVASRGMTAFSIASAASEPALRLAAQVAGHPEPERFVRAWMSLSSRLHQAVTVLSAEPTNLQGIQTLLAADEEPPPAPDLEQSWSLAGSRQAYHNITNTPRHHTSSLMRVLLHAFRGFYLRALARLPAGELRTRFHEVIVKAGHCYGPMDPVSNIILNTVWYDAVFPAAAPPPVLDMIGPRILTRIESRSMYGLVSFLQSRYHQLSEHEIVQCLVAYCGDLSLADPNLFATNEAMVIKAEQQSPCAGVQEAYEAAATAAWHPNPAAQAAFLTSCKAKLQESPAAMSLLVQVDGDGTLSPEDVRYLAGVLLAEQKPSPQPVVEASVWPVIDGKWRSMGEQRRITGKVKAALNQQHFLHDDGESMYKLHVICGANYSVCGPEYISKKEDGFSVAPCKYRYTHVNFLATYKLASPPSPPVLFFAEFDNNKAESEPPILCCKVDIPLPCAEHARCLYCEVEGAKVVHPGFENFHGGDKEFEEVIRNKHSHLTNDRILCRNDYAVQRLVGHDEDFMYVDTGDSDECSPFQDDY >ONIVA10G01180.1 pep chromosome:AWHD00000000:10:1253333:1255891:-1 gene:ONIVA10G01180 transcript:ONIVA10G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSAGGGSSLRRVVKPQQRYMPTPQEALLSKIQALYRDTCARLAVDHSAAAGICCVGLLDPGSNIVANTVLSVSDEVAAVVDDADLARRSLHGLVAFLLYFFPYLADWDAVRYLLLADADLLVAARLVVASRGMTAFSITSAASAKAFQPALRLAAQVAGHPQPERLVRVWMSLSSRLHQAVTVLSAEPTNLQGVQTLLAADEEPPPAPDLEQSWSLAASRQAYHNITAAPCHHTSSLMRVLLHAFRGFYLRALARLPAGELRNRYRQVIVKAGHCYGPMDPVSNIILNTVWYDAAFPAAAPPPVLDMIGPHILTRIESRSMYGIISFLQSRYHHLSEHEIVQCLVACRGDLPLAADEAMVIKAGQQSPCAGLQEAYEAAATAAWHPNPTAQAAFLTSCKAKLQESPAAMLLLQQGGDRVLSPEDVRYLAGVLLAEQKPSPQPIRKKSTWPVSDGKMRSMATQRRISRNVKATLNQHFLRDGKPTYSLLVICGANDSVCGPEYYCSKQEDCLSFASCDYKYTHVNFLATEKAEGEPAIMCCKVDMPLPFAEHVRCLYCEVEGAKIVHPALEKFHGGDKEFEEVIRGKHSLTNSRIICLNEYAVQRLYAHDEDFMYVDVA >ONIVA10G01170.1 pep chromosome:AWHD00000000:10:1247661:1250450:-1 gene:ONIVA10G01170 transcript:ONIVA10G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRVAAASTSHASHCADLLTRLLRRGRLREARAVASRLALADAPDPAVSDALVACHSRLGDISSALSHFHRLVQSGAAPSPASTAALLRAMCSASMSTEAMDVLVLSMGNPSPLPVSDFALLIPGLCSEGAVDKARFLFDAMLRSGLTPPVRVYRSLAFAYCKARRSLDASDMCQLMLIKGMYLDRELSTALIRVFCREGRLEPALDVFRRMKGDEHVQLDAYAYTTMIWGLFVHGRVDHGLQMYHEMIDRGIQPDAATYNVMIRWYCKSKWVGAAMDIYKVMIRTGVAPDLRCYTILMASLCKDGKLGEAENLFDKMLESGLFPDHVMFISIARFFPKGWVVLFVRKALKAVAKLDCGAKLLELSSLAGGRSNMSLQKEADHLLDEIVTSNVLPVNIVLNLMIIAMCSEGRLDVSYYLLGKLVAYGCEPSVLTYNIVIKCLCEQNRMDDARALITIMQSRGVRPDMSTNSIMVTAYCKIGEIESALHLFGEMAKDGIEPSIAVYDSIIVCLCRMRRLKEAEATLRQMIREGLAPDEIIYTSLINGYSLTRQTRNVCRIFDEMLKRGLQPGPHAYGSLINGLVKGNKIRKALGYLKRMLEEGIAPQTVIYTMLINQFFRKGDVRLGLDLVVLMMKTNVAPDLITYGALVTGICRNIARRGMRPSLAKKLKEARYMLFRMLPQIIDTRNGKQKDNQICTEEMIQVAQGIIQDLEENGMVPDLHIYNGMINGLCRANKMDDAYSLLSVMDQTGILPNHVTYTILMNNQIRLGDINHAIQLFNSLNSDGCVFDKITYNTFIKGFSLAGRMKEALSFLLMMHKRGFVPSKASYDKLMELLLAENAIDIVLQLFEDMLFQGYTPRYANYTSLLLVLAKDGRWSEGDRIFTMMLKKRKYLDKKTKKCLEELCYKQGELDLAFEMEGSVPLYAVG >ONIVA10G01160.1 pep chromosome:AWHD00000000:10:1227841:1228331:-1 gene:ONIVA10G01160 transcript:ONIVA10G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVNKNISLELNKKEAMSGTVTARPLPVGSSARGKVDKQCALFYGVTISEEQARSGIVIRVTSAAQSKFKLLFFEQEIDGGY >ONIVA10G01150.1 pep chromosome:AWHD00000000:10:1219072:1223809:-1 gene:ONIVA10G01150 transcript:ONIVA10G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1 small nuclear ribonucleoprotein-70K [Source:Projected from Arabidopsis thaliana (AT3G50670) TAIR;Acc:AT3G50670] MMRGNPDGGGMQSRTKGQRVNVQQLKLMGQGHPTGLTPNLLKLFEPRPPLDFKPPVEKRKLPAYTGMAQFVSQFAEPGDPEYAPPVPTCETKAEKKDRIRKSKLDQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSEHRVKREFEAYGPIKRVRLVTDKETNKPRGYAFIEYMHTRDMKNAYKQADGRKVDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGENAEQKLSTRDQQHAGRPRSEEPRRDERRADRDREKSRERPRERDRDERTRERSHDRTRERDSKEDRHHHRDRDRTRDRERGRDRERDHGRDRDRERDRRDRDRDRDRGRDYERDRDRGHDRHRERGRDRERDYERASHERDRGHVHERDAEYANGEPKHDRNLAGYDQEYGYNYEQHKSHDAYETERSKRHEHEYYQMQPNNTEPEGPEEGEAYDEGDYQYHQAADEHNN >ONIVA10G01140.1 pep chromosome:AWHD00000000:10:1213092:1214033:1 gene:ONIVA10G01140 transcript:ONIVA10G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPAPLRGWDFSLGDSIRDEAWRCFGSPVHFSSHFSSSPFRLVVDVPRSTFRLTPSSVALYLRATIRGSPSGFQVQSLTDWSFSFVVMGWRRVVGARGEGSGRQGPLSRRIFLPTSCQLHPPRADTSEDSPTLSPAEDMLERAKLAQN >ONIVA10G01130.1 pep chromosome:AWHD00000000:10:1202485:1209799:-1 gene:ONIVA10G01130 transcript:ONIVA10G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase family protein [Source:Projected from Arabidopsis thaliana (AT3G16190) TAIR;Acc:AT3G16190] MAPVAGSGDEWSETAMLVIDMQKDFVDPATSSAALLAGEAILPTVTAAVAVARQRGIFIVWVVREHDPSGRDVELFRRHFYSSGKGLGVEGSKGAELADGLTIKDGDYKLVKTRFSAFFATHLDSVLKTSGIKNLVIVGVQTPNCIRQTVFDAVALDYDKQT >ONIVA10G01120.1 pep chromosome:AWHD00000000:10:1201181:1201411:-1 gene:ONIVA10G01120 transcript:ONIVA10G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLATPIITAADPATPVVTAADPAFPVDAADGSSPKLAEKRRRQPAAAVVTAVEDGEGEGENAGEERRRLRWRW >ONIVA10G01110.1 pep chromosome:AWHD00000000:10:1198326:1198887:1 gene:ONIVA10G01110 transcript:ONIVA10G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSQSVVARARWEATSNQVQSSKEGTVNLVPLCEKKIVGKHISGTIANLNRLKIAAKLDKAVAMRKDDEVKQMVIALVAIGRNSSGTEEHASTGLVG >ONIVA10G01090.1 pep chromosome:AWHD00000000:10:1179258:1180058:-1 gene:ONIVA10G01090 transcript:ONIVA10G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAATEAPPSMPMNFVRNDIWMHPQQQHHHHPREPKMMQHQPEPQPQPQPPPSPPHPKEECISLPLMEENVPVISEPPPPKKRQQGRQPKVPRPKKPKKPAAPREDGAPPSAPAPRRRGPMKNIGMVINGIDLDLSRIPTSICSCTGAPQQCYRWGAGGWQSACCTTTVSTYPLPMSTKRHGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIR >ONIVA10G01080.1 pep chromosome:AWHD00000000:10:1137049:1167128:-1 gene:ONIVA10G01080 transcript:ONIVA10G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASMSIRWGGFFESPARNLGLQLMSSVPADRDTKQLLSGSPFLHHQHQQQHVPHHHHQPHHPRDCGANGNANGGAMPPPPATEAPPSMPMNFVRSDMWMHPQQQQQHHHPREHKMMQQQTEPQPQPPPPPQQPKEECISSPLIEENVPVIDEPPPPKKRQQGRQPKVPRAKKPKKSAAPREDGAPPNAPAPRRRGPRKNIGMVINGIDLDLSRIPTPVCSCTGAPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIRAHSSWALLPSLSDDGLYDQEILDVAPLQAQPSSPEVTPAVLQIPMAPEILDVAPLQAQPSSPEVTPAVLQIPMAPDISSLSVSGADLHPPAEQRPAPPPPPSVPPVSRPGST >ONIVA10G01080.2 pep chromosome:AWHD00000000:10:1135764:1167128:-1 gene:ONIVA10G01080 transcript:ONIVA10G01080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASMSIRWGGFFESPARNLGLQLMSSVPADRDTKQLLSGSPFLHHQHQQQHVPHHHHQPHHPRDCGANGNANGGAMPPPPATEAPPSMPMNFVRSDMWMHPQQQQQHHHPREHKVLHNLTVGHGSSHIAHHDPVGYGMIPGTHTLQMMQQQTEPQPQPPPPPQQPKEECISSPLIEENVPVIDEPPPPKKRQQGRQPKVPRAKKPKKSAAPREDGAPPNAPAPRRRGPRKNIGMVINGIDLDLSRIPTPVCSCTGAPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIRAHSSWALLPSLSDDGLYDQEILDVAPLQAQPSSPEVTPAVLQIPMAPEILDVAPLQAQPSSPEVTPAVLQIPMAPDISSLSVSGADLHPPAEQRPAPPPPPSVPPKRFNFWDVRRWSRPCAAPLLFESGGWSVGFGGGGGEMDDDASMSIRWGGFFESPARNLGLQLMSSVPADRDTKQLLSGSPFLHHQHQQQHVPHHHHQPHHPRDCGANGNANGGAMPPPPATEAPPSMPMNFVRSDMWMHPQQQQQHHHPREHKVLHNLTVGHGSSHIAHHDPVGYGMIPGTHTLQMMQQQTEPQPQPPPPPQQPKEECISSPLIEENVPVIDEPPPPKKRQQGRQPKVPRAKKPKKSAAPREDGAPPNAPAPRRRGPRKNIGMVINGIDLDLSRIPTPVCSCTGAPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTISCLV >ONIVA10G01080.3 pep chromosome:AWHD00000000:10:1137049:1167128:-1 gene:ONIVA10G01080 transcript:ONIVA10G01080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASMSIRWGGFFESPARNLGLQLMSSVPADRDTKQLLSGSPFLHHQHQQQHVPHHHHQPHHPRDCGANGNANGGAMPPPPATEAPPSMPMNFVRSDMWMHPQQQQQHHHPREHKVLHNLTVGHGSSHIAHHDPVGYGMIPGTHTLQMMQQQTEPQPQPPPPPQQPKEECISSPLIEENVPVIDEPPPPKKRQQGRQPKVPRAKKPKKSAAPREDGAPPNAPAPRRRGPRKNIGMVINGIDLDLSRIPTPVCSCTGAPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIRAHSSWALLPSLSDDGLYDQEILDVAPLQAQPSSPEVTPAVLQIPMAPEILDVAPLQAQPSSPEVTPAVLQIPMAPDISSLSVSGADLHPPAEQRPAPPPPPSVPPVSRPGST >ONIVA10G01080.4 pep chromosome:AWHD00000000:10:1135764:1167128:-1 gene:ONIVA10G01080 transcript:ONIVA10G01080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASMSIRWGGFFESPARNLGLQLMSSVPADRDTKQLLSGSPFLHHQHQQQHVPHHHHQPHHPRDCGANGNANGGAMPPPPATEAPPSMPMNFQHHHPREHKVLHNLTVGHGSSHIAHHDPVGYGMIPGTHTLQMMQQQTEPQPQPPPPPQQPKEECISSPLIEENVPVIDEPPPPKKRQQGRQPKVPRAKKPKKSAAPREDGAPPNAPAPRRRGPRKNIGMVINGIDLDLSRIPTPVCSCTGAPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTISCLV >ONIVA10G01080.5 pep chromosome:AWHD00000000:10:1135766:1136855:-1 gene:ONIVA10G01080 transcript:ONIVA10G01080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASMSIRWGGFFESPARNLGLQLMSSVPADRDTKQLLSGSPFLHHQHQQQHVPHHHHQPHHPRDCGANGNANGGAMPPPPATEAPPSMPMNFVRSDMWMHPQQQQQHHHPREHKVLHNLTVGHGSSHIAHHDPVGYGMIPGTHTLQMMQQQTEPQPQPPPPPQQPKEECISSPLIEENVPVIDEPPPPKKRQQGRQPKVPRAKKPKKSAAPREDGAPPNAPAPRRRGPRKNIGMVINGIDLDLSRIPTPVCSCTGAPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTISCLV >ONIVA10G01070.1 pep chromosome:AWHD00000000:10:1123414:1126746:-1 gene:ONIVA10G01070 transcript:ONIVA10G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1-like 2 [Source:Projected from Arabidopsis thaliana (AT2G01950) TAIR;Acc:AT2G01950] MDILIPLLLSSIYVSSSAAAAETDAAALLRFKAFVHKDPRGVLSSWVDPGPCRWRGVTCNGDGRVTELDLAAGGLAGRAELAALSGLDTLCRLNLSGNGELHVDAGDLVKLPRALLQLDLSDGGLAGRLPDGFLACYPNLTDVSLARNNLTGELPGMLLASNIRSFDVSGNNMSGDISGVSLPATLAVLDLSGNRFTGAIPPSLSGCAGLTTLNLSYNGLAGAIPEGIGAIAGLEVLDVSWNHLTGAIPPGLGRNACASLRVLRVSSNNISGSIPESLSSCHALRLLDVANNNVSGGIPAAVLGNLTAVESLLLSNNFISGSLPDTIAHCKNLRVADLSSNKISGALPAELCSPGAALEELRLPDNLVAGTIPPGLSNCSRLRVIDFSINYLRGPIPPELGRLRALEKLVMWFNGLDGRIPADLGQCRNLRTLILNNNFIGGDIPVELFNCTGLEWVSLTSNQITGTIRPEFGRLSRLAVLQLANNSLAGEIPRELGNCSSLMWLDLNSNRLTGEIPRRLGRQLGSTPLSGILSGNTLAFVRNVGNSCKGVGGLLEFAGIRPERLLQVPTLKSCDFTRLYSGAAVSGWTRYQTLEYLDLSYNSLDGEIPEELGDMVVLQVLDLARNNLTGEIPASLGRLRNLGVFDVSRNRLQGGIPDSFSNLSFLVQIDVSDNNLSGEIPQRGQLSTLPASQYAGNPGLCGMPLEPCGDRLPTATMSGLAAAASTDPPPRRAVATWANGVILAVLVSAGLACAAAIWAVAARARRREVRSAMMLSSLQDGTRTATTWKLGKAEKEALSINVATFQRQLRKLTFTQLIEATNGFSAASLIGSGGFGEVFKATLKDGSCVAIKKLIHLSYQGDREFMAEMETLGKIKHKNLVPLLGYCKIGEERLLVYEFMSHGSLEDTLHGDGGRSASPAMSWEQRKKVARGAARGLCFLHHNCIPHIIHRDMKSSNVLLDGDMEARVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTVKGDVYSFGVVLLELLTGRRPTDKDDFGDTNLVGWVKMKVGDGAGKEVLDPELVVEGANADEMARFMDMALQCVDDFPSKRPNMLQVVAMLRELDAPPPATAI >ONIVA10G01060.1 pep chromosome:AWHD00000000:10:1120430:1124326:1 gene:ONIVA10G01060 transcript:ONIVA10G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVGASAAAAATIPEAALRSGKPMPLVGMGTASFPLDAPQLPATVRDAVLRAIDAGYRHFDTAAAYGTEAPLGEAVLEAVRAGMVASRDDLYITSKLWISDTHPGRVLPALRRTLRNLQMVYIDLYLIHWPIRLRVEQETPSPVYDNDFVMMDMEGVWKDMEECQRLGLTKAIGVSNFTCKKLNTLLSFATIPPAANQVEINPYCRQNKLREFCKEKKIQLCAYSPLGASGTIWGSNAILDCPVLKHIAVQKGKTVAQVCLRWLHEQGDCIIVKSFNERRMRENLEIFDWELTDADRQEISALPEFRGNRDFYVHESGPYKTTDEFWDGEITGPQLKTC >ONIVA10G01050.1 pep chromosome:AWHD00000000:10:1114250:1114514:-1 gene:ONIVA10G01050 transcript:ONIVA10G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALELTGEVVQDPVRLFWDAVETGDSLHGGGGEGRGRMYLKKSFLEGSRRDFANREI >ONIVA10G01040.1 pep chromosome:AWHD00000000:10:1109416:1113803:1 gene:ONIVA10G01040 transcript:ONIVA10G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRGMMAEAALSSGKPMPRIGMGTASFPLGATEPSTVKDAVLRAIEAGYRHFDTAAVYQTEAILGEAVAEAVRAGLVASRDELYITSKLWVAHAHPGHVLPSLRRALRKMQMEYLDLYLIHFPVSMRLAEDPESMTYSKDDIVMMDMEGVWKEMEECQRLGLTKAIGVSNFSCKKLETLLSFATISPAANQVEVHPYCRQNKLREFCKEKGIQLCAYSPLGGKGTPWSNNAVMDCPLLKQIAMERGKTIAQVCLRWVYEQGDCVIVKSFNKSRLRENLGIFDWELTNDDRHKISTLPEWRGTLDIFVHKTGPYKTVDEFWDGEITGDK >ONIVA10G01030.1 pep chromosome:AWHD00000000:10:1104677:1105669:1 gene:ONIVA10G01030 transcript:ONIVA10G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYRSHGGPDKQICLRWMYEQGDVLLVKTYNENRMKENLDIFDWELTEEERDKISKLPQQRGLTGMQFVCDNGPYKCVEDLWDGA >ONIVA10G01020.1 pep chromosome:AWHD00000000:10:1100489:1102299:1 gene:ONIVA10G01020 transcript:ONIVA10G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPEVPASALLPTMPRIGMGTAAFPFTSSEETTAALLRAIELGYRHFDTARLYATEGCVGEAVAEAARRGLVASRADVFVTSKLWCSDLHADRVVPAARETLRNLGMDYVDLLLVHWPATVAPGSYDFPFPKEEMAPAFDMEGVWRGMEECHRLGLARAIGVSNFSAKKLEQLLNNNGYGSCI >ONIVA10G01010.1 pep chromosome:AWHD00000000:10:1092329:1101010:-1 gene:ONIVA10G01010 transcript:ONIVA10G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQLLGGEVADADGAREAQPVALLHAAPHALHVERRRHLLLGEREVVAARRHGRRPVDEEQVDVVHPEVAERLPRRRHDAVGVQVAAPELGGDEHVGAGGDEATPDGLRDCLADAALGGVNPGRVEVAVAELDGAEHGGGGVLRGGEGERRRAHADARHGLDKLARRHLRDGGHCNLQKCTV >ONIVA10G01000.1 pep chromosome:AWHD00000000:10:1092071:1095871:1 gene:ONIVA10G01000 transcript:ONIVA10G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPEVPASELIQTMPRVGMGTAAFPFTSSEDTTAAMLRAIELGYRHFDTARIYATEGCVGEAVAEAVRRGLIASRADVFVTSKIWCSDLHAGRVVPAARETLRNLGMDYVDLLLVHWPVSLTPGNYDFPFPKEVILPSFDMEGVWRGMEECHRLGLARAVGVSNFSAKKLEQLLSLAAVRPAVNQVEVNPMWQQRTLREVCRREGVQLCGYSPLGAKGTPWGSAAVMDSGVLQDIAQTKGKTLAQICLRWLYEQGDVLLVKTYNEKRMKENLDIFNWELTDEERERISQLPQLRGLPGLEFISDHGPYKSVEDLWDGDV >ONIVA10G00990.1 pep chromosome:AWHD00000000:10:1076930:1080322:-1 gene:ONIVA10G00990 transcript:ONIVA10G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTEISYISPKPQENKIMTGNITQCYDKLKFKVDINQNIKVFTEDEIKRITSNFSIPIGQGGFGEVYKGTLDDDYDLVAVKRYISKDLRKEFMEEVSIHSQMSHRNVVELIGYCIGESTLMIVTKYISKGNLDDILHNSDISIPLDVRLGIAIGCADALSYMHSMHLSNGSLICHGDIKPANILLDSNLTSKLSDFGVSRLLSGGVTQYTVHIKGSVSYMDPIYFHEGCLTPRSDVYSFGMVLLELIARKRVRKGNINLIGGGEIFDAEIANRSNMKILKEMRKLAIECLTLDIHKRPQMNVVAKRLRTLKKELKDMHGRYSEHILASHRSWRKNDNQGPSYNSRMQLKKSLSIFKRNLSNSSKILLGLGNMRIFTQEELNEITQNYSCLLSGGTSGKVYKGTLEDNTVVAVRIFSEVLEGFEEAFINGGMILSQIVHKNIIRLLGYCLNADCPAFVYEYAARGTLSDILDGREDFPLHLRVKIAVETAEALEYLHSSAAGMIRHGYVAPSKTLVDDSFTPKLTGFSWAQRLNNDDSAIHDHDKYCVSLKLKTDVYQFGVLVLTLISRKNFVFYADHEHLVSQFLAAYKADNSGRAFFDDDITTRSEDVALLEEIGKLLLKCICLEIDQRPTMKKVAQHLRIIRRCWKNNCTSDGASLVTN >ONIVA10G00980.1 pep chromosome:AWHD00000000:10:1074209:1074544:1 gene:ONIVA10G00980 transcript:ONIVA10G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENPVGSRWRCDAGKDHGWLSSSAAGGGNDHFDRFRPRGIRTLRLQIELLAGEISMEEGVMLKWKADFRSTLGSCVILSASSAGKGGARQLHPHRHPPPTSRAMLLCTPR >ONIVA10G00970.1 pep chromosome:AWHD00000000:10:1074116:1074499:-1 gene:ONIVA10G00970 transcript:ONIVA10G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWMQLPCAALAGRGRAEDDAAAKRGPEVRLPFEHHAFFHADLTGEELDLQAEGTNATRTEAVEVVIATTSGGGGEPSVIFAGVTPPAGPHRIFNHHRRGGPDLEKRRGSVETRGHRRRGQVSCPP >ONIVA10G00960.1 pep chromosome:AWHD00000000:10:1065523:1071926:-1 gene:ONIVA10G00960 transcript:ONIVA10G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0IP14] MAAAAKLLLPLLGLVMLVGCAAADSHRYRPGDAVPLYANKVGPFHNPSETYRYFDLPFCAPEKVKDKIEALGEVLNGDRLVDAPYKLDFRVDFDAKSVCSRRLSKDDVVKFRHAVSKDYYFQMYYDDLPFWGFIGTKPEKADAGDKYYLYRHIIFDILYNKDRVIEINVHTDQNAVVDLTEDKELDVEFLYTAKWKETQIPFEKRMEKYSSSSVMPHHLEVHWFSIVNSCVTVLLLTGFLATILMRVLKNDFVKYSHDEEEPDDQEETGWKYIHGDVFRFPTNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYSATSFYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYNSTAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKNEFQAPCRTTKFPREVPPLAWYRRTIPQMAMAGFLPFSAIYIELYYIFASIWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLTAEDHEWWWRSFLCGGSTGFFVFAYCLYYYRERSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRAALLFVRHIYKSIKCQLKQKQQQIEENQEATGFKAADGSLEDSVVMMERKRRIVVRRPK >ONIVA10G00960.2 pep chromosome:AWHD00000000:10:1066738:1071926:-1 gene:ONIVA10G00960 transcript:ONIVA10G00960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0IP14] MAAAAKLLLPLLGLVMLVGCAAADSHRYRPGDAVPLYANKVGPFHNPSETYRYFDLPFCAPEKVKDKIEALGEVLNGDRLVDAPYKLDFRVDFDAKSVCSRRLSKDDVVKFRHAVSKDYYFQMYYDDLPFWGFIGTKPEKADAGDKYYLYRHIIFDILYNKDRVIEINVHTDQNAVVDLTEDKELDVEFLYTAKWKETQIPFEKRMEKYSSSSVMPHHLEVHWFSIVNSCVTVLLLTGFLATILMRVLKNDFVKYSHDEEEPDDQEETGWKYIHGDVFRFPTNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYSATSFYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYNSTAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKNEFQAPCRTTKFPREVPPLAWYRRTIPQMAMAGFLPFSAIYIELYYIFASIWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLTAEDHEWWWRSFLCGGSTGFFVFAYCLYYYRERSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRAALLFVRHIYKSIKCQLKQKQQQIEENQEATGFKAADGSLEDVYTAEAEHEPEEAIQPSSIEIVGNPPAAVSLLRRRRPRDHRDDGRVEVASGRHGDEAHRGAQALHGAGRLKNSSRPTLVNTSAAPSAMYDGACHTMLVAARRRRRLASSSAEYAMASADSARPTPSRCSCDRPRRSPVTRRASGISTAS >ONIVA10G00960.3 pep chromosome:AWHD00000000:10:1065277:1066437:-1 gene:ONIVA10G00960 transcript:ONIVA10G00960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0IP14] MIRWSPSGSWCRSELSPGSSTPRFLTAATTTWQSRVRGLPGGVWDARRPHRLEQKRKAASATAMTAAGMPKPSHQPARSCTHTSTRADVDAEVVPVEEGRPRRRRRVVIELVGAQRRGARLDAADAEGDEVEPQEHGGAEDAIGGCIKVRDGEEEEDCGATTQVGVGNECADERGEEAGARPRRHVPGGDDIALPDHAGELTRFLAMPANARQSLSSVPRISRHALQPPQPLSSSLPPAFGRRR >ONIVA10G00960.4 pep chromosome:AWHD00000000:10:1065277:1065513:-1 gene:ONIVA10G00960 transcript:ONIVA10G00960.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0IP14] MNAPTSGVRKQVPVHADTSLAATTLLSPTTPVRFLAMPANARQSLSSVPRISRHALQPPQPLSSSLPPAFGRRR >ONIVA10G00950.1 pep chromosome:AWHD00000000:10:1064968:1067984:1 gene:ONIVA10G00950 transcript:ONIVA10G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAEDEEKRPLLLHLRRPNAGGKDDDSGCGGWRACLLILGTELSDCLAFAGIARNLVSYLTGVVGESNVVAARDVSAWTGTCFLTPLVGAFIADSYLGRRTTILLFLSIYTMGMITLTVSASFATSHLDTSSDGVLRATVFLGLYLVALGVGGIKPCASPLGADQFDDDAAPAARASFFNWYYFCINVGSLLAATVLVWVQERAGWWLGFGIPAAVMAVALAAFLFCSSLCGLRASHTPPGSPLTRLCQVVVAAVRNRGVELPGDSSLLHQLPDGDHRIIEHTDQFAFLDKAAVVASPPAVAMASPWMLCTVTQVEEVKMLLRLSTVWPTVVFFFAATAQMSSTFVEQGQAMDTRVGPLDVPPATLSTFEVVSILLCVPAYDAVLMPLARRVTGDRRGLSQLQRLGVGLALSALAMAYSALLEASRRRRRAATSIVWQAPSYMALGAAEVFTSVGLLEFFYDQAPGTMKSLCTAVSLVAVAAGSYLNSAIVAVVAWATAPEKGDGGGWIPDDLNRGRLDCFFWLMFGLSCVNLLAFVYSSTRYSYKVAN >ONIVA10G00940.1 pep chromosome:AWHD00000000:10:1056756:1058106:-1 gene:ONIVA10G00940 transcript:ONIVA10G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPDPSKSGESSAAAEVPPLAPAPEPVTGIPVGMFYPAPPMERVVSCRMAPAAGGAWTTALCDCSDDCNTCCMACWCPCIPVGQIAEIVDRGSSSCALNAVLYCLVFHVSAGMCQWVYSCAYRARLRAAYDLPETPCSDCLVTFCCQTCSIAQMHRELKNRGLDPNLGWEVNSRRTMTMTPPQHQAMEGMTTRS >ONIVA10G00930.1 pep chromosome:AWHD00000000:10:984830:986492:1 gene:ONIVA10G00930 transcript:ONIVA10G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHERHRISLGLVLVIVAVLFTQMMLHKRKINKYFKQNGGSVQSSGDDKSSSSPGDDKSTSSPGDDKSTSSPGDDKSTSSPGDDDNSTSSSEEQFKEINIEEPFKEITIEEQFMEISVEGTSKSCGDDVSTSSSEEQFKEISIKEPFKEITIEEQFKKISVEGTSKSCGDDVSTSSSEEQFKEISIEELFKEITIEEQFKEISIEGTSKSFGDDVSTNSREHFEDINSEGAAKSFSDDVSVSSSTGQFKEISIQGAAKRFGDDVSPCSSAEHIKEISIKGAAKSFGNDVSTGSSAEHSKEISAGGTTNSFGDDNCASSSAALSVSCQHF >ONIVA10G00920.1 pep chromosome:AWHD00000000:10:982525:983623:1 gene:ONIVA10G00920 transcript:ONIVA10G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALATCLAEWPAARREIKIQRVGDRALLVAALLALAHLVLEGVVHVVVPLEAAIKHKWDVAALRRAEPEDGLGNSENGRSETNLFRNQPRDRRSPSEDTASPAPFSLPEIVDDDRSGRAPRGSELGFLEVWWTGVRSAAADRGVGCLTREVSRRGVVLADGQGGRSNGSMRKVLRRGRGSLGRWDGEQLFTGRQRFVGGGRIADESRMNAVGRGEGRAWGEGRERVIEGRGRWKDGAIWNVGSKRDDPDRSMKNEVV >ONIVA10G00910.1 pep chromosome:AWHD00000000:10:976421:977745:1 gene:ONIVA10G00910 transcript:ONIVA10G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMHLFSFMILASGTQSRPATGCQASCGGLDIPYPFGIGSGCFHSKGLEITCNNGLVATLAGTGIQVLSLSVDPRPEVQVMLPVAYECHNSSGQITKWFNGSVVDHFTGGDKGVVYRISGRRNKLVVLGCSTMAYIKSNDGDSSYYYTGCISYCNGSRSAQSGWCGSAGCCDVDIPEGGLVLDNNGPVITFRSWPQQVRQQVAESSGGCDYAFLVDKDEYRPLRRDDLNMSLNKTMPVWLDWALPRPDGGSNASICASANSEYINSTNGNGYYYCKCSSGYEGNPYDEDPDKGCKDIDECARPREQYYPCYGVCRNTPGDYECSCRIGYHPIGGGPKKHKCTSKFPLAAQLALGKYILCYVSIFNFELCPSLAKKNGWRMRSAFKVPQIENYHVRSG >ONIVA10G00900.1 pep chromosome:AWHD00000000:10:916465:916697:1 gene:ONIVA10G00900 transcript:ONIVA10G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNRRMPVWLDWAIRDRHGNASSVASCPAPEKKPAGYACVSANSECVNSTNGPGYYCNCSNGYEGNPYDKDGC >ONIVA10G00890.1 pep chromosome:AWHD00000000:10:915772:916389:1 gene:ONIVA10G00890 transcript:ONIVA10G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLIAAGLLLLLLRLAFAADGITGCPDRCGYVDIPYPFGIGPNCSCGDGFDIACNTTNSTGVLVPTLAAAHRHAIQVRKLTVFPRPEVKVMLPVAYMCYNSSGNVTKQFDGDVELNNEGVYRISDERNMFVVIGCNTVAWNQHVDSGGKGLYRNLYYAGCVTYCGDSRSAMDGKCPGVGCCHVNIPPELTDNVVTFEQWPRGD >ONIVA10G00880.1 pep chromosome:AWHD00000000:10:913692:914093:1 gene:ONIVA10G00880 transcript:ONIVA10G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLAVGRRSAASAFGFDFMMIMIRLGETFIKMVIFLINVFGFDFLINEKVDRNKSSLHLLWFVKSP >ONIVA10G00870.1 pep chromosome:AWHD00000000:10:878460:881450:-1 gene:ONIVA10G00870 transcript:ONIVA10G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATDEERPLLPLQSQDVGSEYTRDGSVDINKEPALKHSTGNWRACFLILGVEFCENMTYFVISRNLVTFLTTVLHESKVDAARNVSAWVGACFLTPVVGAFLADTYWGRYWTIVVFLPVYITGMLIVTVSASLPMFSTSSEHGNVHRSVVYLGLYLAALGSGAMKPCTSSFGADQFDSTDLEELPKKASFFSWSFYMTTVSTLLSSTVLVWLQDNVGWGVGCAIPTVFMIISFPVFIAGSRVYRFRNLGFSPLKSLCQVIVAAVRKCHLQLPENKSLLYEPSNSSSTTEASHKIQPTNQFRFLDKAAIVLPPSDETCNKPMSSWSLCTVTQVEELKILLRMFPTWASFVIFFAVNGQMSSTFIEQGMAMDNHVGSFAIPPASLTIIAVLSVLVLVPVYEIISVPLVKHFTGQDKGFSHAQRIGIGLSLSMIMMVYAALLEMKRLAIVQSSGLADHNVAAPMSILWQTPAYFLQGVSEIFSCIGAYFNTFVLGAVAVITTSSGAPGWIPDNLNEGHLDYFFWMMATLSLLNLAMFVYSSTRHRENTAS >ONIVA10G00870.2 pep chromosome:AWHD00000000:10:878460:881450:-1 gene:ONIVA10G00870 transcript:ONIVA10G00870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATDEERPLLPLQSQDVGSEYTRDGSVDINKEPALKHSTGNWRACFLILGVEFCENMTYFVISRNLVTFLTTVLHESKVDAARNVSAWVGACFLTPVVGAFLADTYWGRYWTIVVFLPVYITGMLIVTVSASLPMFSTSSEHGNVHRSVVYLGLYLAALGSGAMKPCTSSFGADQFDSTDLEELPKKASFFSWSFYMTTVSTLLSSTVLVWLQDNVGWGVGCAIPTVFMIISFPVFIAGSRVYRFRNLGFSPLKSLCQVIVAAVRKCHLQLPENKSLLYEPSNSSSTTEASHKIQPTNQFRFLDKAAIVLPPSDETCNKPMSSWSLCTVTQVEELKILLRMFPTWASFVIFFAVNGQMSSTFIEQGMAMDNHVGSFAIPPASLTIIAVLSVLVLVPVYEIISVPLVKHFTGQDKGFSHAQRIGIGLSLSMIMMVYAALLEMKRLAIVQSSGLADHNVAAPMSILWQTPAYFLQGVSEIFSCIGMSQFFYDQAPDSMKSVCAALGQLAIASGAYFNTFVLGAVAVITTSSGAPGWIPDNLNEGHLDYFFWMMATLSLLNLAMFVYSSTRHRENTAS >ONIVA10G00870.3 pep chromosome:AWHD00000000:10:878460:881450:-1 gene:ONIVA10G00870 transcript:ONIVA10G00870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDATDEERPLLPLQSQDVGSEYTRDGSVDINKEPALKHSTGNWRACFLILGVEFCENMTYFVISRNLVTFLTTVLHESKVDAARNVSAWVGACFLTPVVGAFLADTYWGRYWTIVVFLPVYITVSTNAAHKYGLHYQLPSADSEYGMLIVTVSASLPMFSTSSEHGNVHRSVVYLGLYLAALGSGAMKPCTSSFGADQFDSTDLEELPKKASFFSWSFYMTTVSTLLSSTVLVWLQDNVGWGVGCAIPTVFMIISFPVFIAGSRVYRFRNLGFSPLKSLCQVIVAAVRKCHLQLPENKSLLYEPSNSSSTTEASHKIQPTNQFRFLDKAAIVLPPSDETCNKPMSSWSLCTVTQVEELKILLRMFPTWASFVIFFAVNGQMSSTFIEQGMAMDNHVGSFAIPPASLTIIAVLSVLVLVPVYEIISVPLVKHFTGQDKGFSHAQRIGIGLSLSMIMMVYAALLEMKRLAIVQSSGLADHNVAAPMSILWQTPAYFLQGVSEIFSCIGMSQFFYDQAPDSMKSVCAALGQLAIASGAYFNTFVLGAVAVITTSSGAPGWIPDNLNEGHLDYFFWMMATLSLLNLAMFVYSSTRHRENTAS >ONIVA10G00860.1 pep chromosome:AWHD00000000:10:877685:881423:1 gene:ONIVA10G00860 transcript:ONIVA10G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLATGAPDTNFATPVNSRRLALGDTAAATHGSLPPPFLLGGELLGTKTRTLSTAMMVRDAGGIANEPTWLSMAIPCSMNVEDICPLTAKKITKDAQVGNIRSRIFSSSTCVTVQSDHELMGLLHMALPNSCNNHLTEALEGAKSQVPKPVNS >ONIVA10G00860.2 pep chromosome:AWHD00000000:10:878559:881423:1 gene:ONIVA10G00860 transcript:ONIVA10G00860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQIIRNPPRCSTARRDHCDSAKHERTKTRTLSTAMMVRDAGGIANEPTWLSMAIPCSMNVEDICPLTAKKITKDAQVGNIRSRIFSSSTCVTVQSDHELMGLLHMALPNSCNNHLTEALEGAKSQVPKPVNS >ONIVA10G00860.3 pep chromosome:AWHD00000000:10:877685:881423:1 gene:ONIVA10G00860 transcript:ONIVA10G00860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQNSTPGMHKNIHKSQQKKTSSLKMMFICCISCSLVPKIKKHALQFPVLCFRAGSLLMSTEPSLVYSEPTSCIRIQKSLSFQLKAAKSMCSLHMLISFGVNETGEFSKTKNSQCHTW >ONIVA10G00850.1 pep chromosome:AWHD00000000:10:866664:871635:-1 gene:ONIVA10G00850 transcript:ONIVA10G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLLAGVLLILMSSAAVGIAGRPAGCQARCGDVDIPYPFGIGGGCFRSAGFEIACNTSNGGLVPTLAAANDTIQVQNLTVFPRPEVKVMLPVAYRCYNSSGNVIEQFYGDVELNKTGVYRISDERNKFVVLGCNTVAWNKHGDSEGKGLYTSLYYAGCVTYCSDSLSAKDGKCAGVGCCHVDIPPELTDNVVTFQQWPRGEQVDFSPCDYAFLVDKEEYQFQRSDLKMDRKRRMPVWLDWAIRDRASCPAPEVETSKKNMPAGYACVSVNSKCVNSTNGLGYYCICSSGYEGNPYDNDPNKGCKDIDECAHPNKYPCHGVCRNTPGDYECRCHTGYQPSGDGPKKQECSSKFPFPARLAVGITLGLSFLIVVVLFTLMMLQKRKMNKYFKKNGGSVLQKVDNIMIFSKDEVKKILKNNSDIIGEGGFGKVYKGRLKDDTLVAVKTSIEVNEARKEDFTNEVIIQSQMMHNNIIKLLGCCLEVDVPMLVYEFAANGSLKDILHGDANRLVPLSLDLRLDIAVQSAEGLRYMHSSISHTIRHGDIKPANILLTDKFIAKISDFGTSKLLTADKEFTMVVAGSMGYIDPIFYMTGHLTQKSDVYSFGVVLLELISRKPTIYDKNYSLVIEFQKAYDRENSGRALFDKEIAIEEDVLILEEIGRLAMDCLKEKIEERPDMKEVAARLMMLRRSRNLGQENYNVSPQQYFEEISIEENCKSFDADIGTSSSTMLLLHSV >ONIVA10G00840.1 pep chromosome:AWHD00000000:10:862594:863669:1 gene:ONIVA10G00840 transcript:ONIVA10G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVFGKDNGVQSSLCNRVFNPYQDVNREKSKNSPAPDQAEEFLAAFTLLSWRILDHEACSPIPRAALESRLVVDVHRSITCTL >ONIVA10G00830.1 pep chromosome:AWHD00000000:10:860400:863296:-1 gene:ONIVA10G00830 transcript:ONIVA10G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAADEERAPLLQPQPQTSRGDADSECTGDGSVDVNNQPALKRSTGNWRACFMILGVEFSENLAYYGISKNLVTYLTKILHESKVNAARNSSAWSGACYLTPLFGAFLADTYWGKYRTVLTFLPIYILGLLTLMASTSLPSSMTSSDAGHQLHSVAVYLGLYLVAFGNGGVKPCTSAFGADQFDGGDAAELRRKGSFFNWYTFMINSGSLLASTVLVWLQDNVGWGISFVIVVVVMAFFLAVFFAGSRVYRYRPVRGSPLTGVCQVVVAAVRKWHLELPDDSSLLYEAQVADHRIKHTDQFRFLDKAAIVVTPSSLSGEKGNVAAAAAPVSRWRQCTVTQVEEVKMLVRMCPIWACLVLFFSVSSQMSSTLVEQGTAMDNRVGPFTIPPASLATFHSIGVLLWIPVYDVALVPLARRATGKPKGITQLQRIGVGLAVAALIMAYSALVEERRLAAARAGAARTSILWQVPAQLMHGMAVVFTSIGKSEFFYDQAPRSMRSMCTALGQLAIAAGNYLSAFLLAVVASATTRGGDPGWIPDDLNKGHLDYFFWLMAALLLLDLLFFVFCAMRYKGSTAAS >ONIVA10G00820.1 pep chromosome:AWHD00000000:10:833941:860303:-1 gene:ONIVA10G00820 transcript:ONIVA10G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFNCTPTDNQERAPLLYPQPHAQEGAGSEGDGSVDIHNQPALERNTANWRACFMILGDVLSECLAFYGISKNVTCYLAAGGRGDNADGLPAAGMAAGGRRGAEAGAISSPLTDYLKKGDVEYEVNKMVLRFISPSCKTPPAKEHRALHPLDLFRKSLLSGQYHRPRGDQGRGGGGAARRDDRRHDDDDEEANGGIIRSAAELYEAGIRFRRPHHPVGGGALRGERRERRGRKMGIGTAYSQLIAASYTIDLALRQRLAFGADQVDSSARTASFFNLYIHHHGEQWKPALPPLCCRTMSGGGSASRSSSWIRGHGLLPHFPCPPLGDLYSPPNERAGGVLLFGWVLSNKAYMEAGAADEETPLIQQLPPEEQCSQYTCDGTVNSDKKPALKQSTGHWRACFFILGAQFAETLCFFMVSKNLVTYLTSALHESNIDAAQSVSIWIGTSFFTPLIGAFLADTYWGRYWTTGMLIVTVSSSPLFLNSSYYNWNNCRATVYTGLYLTAVGSGCMKPCIPAFGADQFDSADPVERLAKGSFFNWYYFSMNVGSLLSTTLLVWVVANIGWSVGFAIPMLLSGFGLALFFAGRKVYRYKKQGGSPLTRVSQVVVAAVRNHRLKLPDDSSLLHEVSKVTEDDYRTQLTTQFRFFDKAAILSDEISSAQWSPWRLCTVSQVEELKMLLRMFPVWVSMVVFFVVTAQITSTLIEQGMAMDGRVGPFTLPAASIATFDVISVLVWVPVYDTVLVPLARRVTGKDRGISHLQRIGVGLALAAVAMAYSAVVEARRLGTAPAPVSIMWQAPSYLVLGVAEAFSVIGMMEFFYEQSPESMKSLCTALGQLAIAVANYLNSGVLVVVAAATTRGGGAGWIPDNLDEGHLDYFFWMMAVVSVLNLLHFLHCSIRYRANNNTLSSLSLSLSASASRIHHPWLVAKSTSCAAYWNLREQKAYHMEGADEERPLIHHLPPQEQCSQYTCDGTVDIDRRPALKHSTGNWRACFFILGAEFTQCLCFSAVVKNLVRYLTSVLQESNVNAARSVSTWIGTCFFTPLIGAFLADTFWGRYRTIVICLSVYSIGMLILTTSASLPFLLHDSYNNGDDIRRVVAYLGLYLIALGAGGIKPCMSALGADQFDGADPVERVTKGSFFNYYYFSNNMGTLLSTTVLVWVQDNIGWGIGFATPMLLMGFGLSMFVAGRRVYRYRKLGRSPLTRVSQVVVAAARNHRLKLPDDSSLLHELPSLTEGGYRIQHTTRFRFLDKAAIPSDSDDNSPVQPDPWRLCTVSQVEELKMLLRVFPVWASLLVFFVVTAQMSSTLIEQSAAMDGRIGPFTVPPASLATFNVVAVLIWVPVYDAVLVPLARRATGNDRGLSHLQRIGVGLALSAVAMAYSAQVERRRRRPAAEEEAMSIMWQAPCYLVLGMAEVFTSIGMLEFFYERSPGSMKSLGTSLAHLAVATANYLNSGVLGVVVAATTRGGGAGWIPDNLDEGHLDYFFWMMALVSVLNLLQFLHCSIRDRGQ >ONIVA10G00820.2 pep chromosome:AWHD00000000:10:833941:860303:-1 gene:ONIVA10G00820 transcript:ONIVA10G00820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFNCTPTDNQERAPLLYPQPHAQEGAGSEGDGSVDIHNQPALERNTANWRACFMILGDVLSECLAFYGISKNVTCYLAAGGRGDNADGLPAAGMAAGGRRGAEAGAISSPLTDYLKKGDVEYEVNKMVLRFISPSCKTPPAKEHRALHPLDLFRKSLLSGQYHRPRGDQGRGGGGAARRDDRRHDDDDEEANGGIIRSAAELYEAGIRFRRPHHPVGGGALRGERRERRGRKMGIGTAYSQLIAASYTIDLALRQRLAFGADQVDSSARTASFFNLYIHHHGEQWKPALPPLCCRTMSGGGSASRSSSWIRGHGLLPHFPCPPLGDLYSPPNERAGGAYMEAGAADEETPLIQQLPPEEQCSQYTCDGTVNSDKKPALKQSTGHWRACFFILGAQFAETLCFFMVSKNLVTYLTSALHESNIDAAQSVSIWIGTSFFTPLIGAFLADTYWGRYWTTGMLIVTVSSSPLFLNSSYYNWNNCRATVYTGLYLTAVGSGCMKPCIPAFGADQFDSADPVERLAKGSFFNWYYFSMNVGSLLSTTLLVWVVANIGWSVGFAIPMLLSGFGLALFFAGRKVYRYKKQGGSPLTRVSQVVVAAVRNHRLKLPDDSSLLHEVSKVTEDDYRTQLTTQFRFFDKAAILSDEISSAQWSPWRLCTVSQVEELKMLLRMFPVWVSMVVFFVVTAQITSTLIEQGMAMDGRVGPFTLPAASIATFDVISVLVWVPVYDTVLVPLARRVTGKDRGISHLQRIGVGLALAAVAMAYSAVVEARRLGTAPAPVSIMWQAPSYLVLGVAEAFSVIGMMEFFYEQSPESMKSLCTALGQLAIAVANYLNSGVLVVVAAATTRGGGAGWIPDNLDEGHLDYFFWMMAVVSVLNLLHFLHCSIRYRANNNTLSSLSLSLSASASRIHHPWLVAKSTSCAAYWNLREQKAYHMEGADEERPLIHHLPPQEQCSQYTCDGTVDIDRRPALKHSTGNWRACFFILGAEFTQCLCFSAVVKNLVRYLTSVLQESNVNAARSVSTWIGTCFFTPLIGAFLADTFWGRYRTIVICLSVYSIGMLILTTSASLPFLLHDSYNNGDDIRRVVAYLGLYLIALGAGGIKPCMSALGADQFDGADPVERVTKGSFFNYYYFSNNMGTLLSTTVLVWVQDNIGWGIGFATPMLLMGFGLSMFVAGRRVYRYRKLGRSPLTRVSQVVVAAARNHRLKLPDDSSLLHELPSLTEGGYRIQHTTRFRFLDKAAIPSDSDDNSPVQPDPWRLCTVSQVEELKMLLRVFPVWASLLVFFVVTAQMSSTLIEQSAAMDGRIGPFTVPPASLATFNVVAVLIWVPVYDAVLVPLARRATGNDRGLSHLQRIGVGLALSAVAMAYSAQVERRRRRPAAEEEAMSIMWQAPCYLVLGMAEVFTSIGMLEFFYERSPGSMKSLGTSLAHLAVATANYLNSGVLGVVVAATTRGGGAGWIPDNLDEGHLDYFFWMMALVSVLNLLQFLHCSIRDRGQ >ONIVA10G00810.1 pep chromosome:AWHD00000000:10:815646:816840:-1 gene:ONIVA10G00810 transcript:ONIVA10G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANYLNNISFNHGMLIPTISASILVHLHLFGIHCVAVYHGLYLVSFGTGGIKFCTSAFRADQFDLADPLETNKKCSSLILQLLLLLDQHRIPAISNCASLGVGQHWLGGQILMSLCIAVFVAGRRVYK >ONIVA10G00800.1 pep chromosome:AWHD00000000:10:814978:815282:-1 gene:ONIVA10G00800 transcript:ONIVA10G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSALLESKHFAGHSQCNEHHVECSILPQPQRCQSPDTMKSLSFVLGQLGIAAGNYLNSSILSIVVVMTRRMMGLAWVVPIQPK >ONIVA10G00790.1 pep chromosome:AWHD00000000:10:805944:807287:1 gene:ONIVA10G00790 transcript:ONIVA10G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVSKSAPVVVRPTLPPVKTSGSKIVLSPMDKLSAMTPTTVLLAFDHPIIHIHEGIRSSCIQMQATAEYIKRGLAQALVHYYPFAGRISCDDDGGDFYIDCTGEELGATFAAASAECTMEELTRVIDNQPTDAETAVVQQLAFNCTPDDDHLPHCLLWVQVTTLSSGGFVVGVTWNHAVADGFGIAQFIQAVGELARGLPSAPSVTPVRLDDQNNAVSPFTMAFMQLADRHKVPDLTFNNVTVPSRLMDHIIRGRTTNVTVFEAVAAVLWQCRTRAVMTNPEAPAVLFFVVNARKYLGAKDGYYGNCTTGHMAVAKSGALVNADINDIVDIIRQAKERIPEQLKMTGGGDMTMLRELADDHRLDGYESMLILSSWRNIGFEDVDFGSGKTARVMTYPQREVFSKKMPICFMLKNTPQGARVMSGCVKAHHADAFHQEIAKLNATT >ONIVA10G00780.1 pep chromosome:AWHD00000000:10:787681:788243:-1 gene:ONIVA10G00780 transcript:ONIVA10G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSITDAKLPLLPLLNGTPSLQNIITHTFIQKVSNYLWYVLIHAKKNLEQTQN >ONIVA10G00770.1 pep chromosome:AWHD00000000:10:781988:784345:-1 gene:ONIVA10G00770 transcript:ONIVA10G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEQRQWMDGEFGCQPEEGPYTGNGSVDVKGNPASKTHTGKWKACYSILEHVLGTVYVGGEFCGALAYYAVGTNLVSYLTKVQGQSNVTAASNIAAWQGNCYLTTILGAFLADSYWGRHRTIVVSLTTFTFGMVLLTLSAVVPPNMHRSMATFPQEALSSLGLYMTALGLGGIWPCVPTFGADQFDDTDVSEKAQKELFYNWYYFAVNGGFFVASTVIVWVQDNCGWGLGFGIPTLFSVIGVVGFLASMRFYRYQKPGGSALTRICQVVVAAFRKVHVDVPSDSSLLYEMPGKESAIVGSRKLMHTDGLRFFDRAATITASDEASASRPWKLCTVTQVEELKIFARMLPIFLTGVIFNTAEACFPLFVEQGGAMDNHVAAAFALPPASLTTFTCVCILVLAPTYDRVLMPAVSRLTGVKRGLSELHRIGVGMVFAVLALAAAAAVETARLRSVEADAPAVSILWQAPQYVLVGVAKVFGVVGYIEFAYEQSPDAMRSLCQACSLIMVTPGSYLLSAMLTIISSVTGGGGGHGGWIPENLNEGHLDRFFWLMAALQLINLIAFVCCAATYKRKLPTT >ONIVA10G00760.1 pep chromosome:AWHD00000000:10:772616:775845:-1 gene:ONIVA10G00760 transcript:ONIVA10G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHEEFTEWHVGTECLGQLAFFGVQYSLVTFLTTQLRQGNAEAARNFSMWQGTCYIAPLAGAIVADSCLGRYRTILAFFSIYIIGMGTMALSGASPAVISRSTQPAVFSLGLYLMAIGAGCIKSCVGPFGADQFDGGDAMERPKKSSYFNWFYFAMYVGALVSGSAVVWLQDNFGWLLGFGVPALCTVLAMASFLLGSAMYRYHQPRGSQVVRACQVVVAAVRKRNVVLPHDGFVLYDGPAEEGRRMAHTDQFRFLDKAAVAVAVPSSAAAQPWRLCTVTQVEELKAIVRMLPVWATGIVYCMVLVQQPLFPVQGRAMRRRLGVAFAVPAASLNSVYAAAMLVLVPLYDAAVVPAARRLTGSERGLTELQRIGAGMALSVAAMAAAATVEGRRLAAAGEVSIAWQVPQYVLLGASAVLAHIGQLEFFYNQAPDSMRSLCSALGHMTCSLGSYLSSVVVTVVSHATARGGSPGWIADDIDDGHLDRFFWLVAGLSSINLVVFICCAKRYKYKDSIN >ONIVA10G00730.1 pep chromosome:AWHD00000000:10:740674:740937:-1 gene:ONIVA10G00730 transcript:ONIVA10G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGSGGQDPQLTCLGCANPPPQGLGHTGSARRRASGDFEHGADPPLLDHWAQVTSTTSVVASIDDHDGGSQHDDGSGSGVTAAMY >ONIVA10G00720.1 pep chromosome:AWHD00000000:10:678977:679273:1 gene:ONIVA10G00720 transcript:ONIVA10G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSMAKLGDGGELTLGPPYQATVGGGGEPQPTKGVCIGMVMASVRAMMTLTTRSLGSDEGEPPPSSSWHLVLAWMMKGCHGSRWWGNVTEGRWSSD >ONIVA10G00710.1 pep chromosome:AWHD00000000:10:677265:678110:1 gene:ONIVA10G00710 transcript:ONIVA10G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQTYCVGIAQFIQAVGELARGLPSPSVVPVRQDDIVATQVVPPFTMALLQFLPGLKPLDLTFNNVTVPTSLINHIRRFRGGQPASTTTVTAFEAVAAVLWKCRTRAVMASPEAPAILLFVVNARKHLAGVKDGYYGNCSMMHMAMAKSGAVANGDIMDVVEIIRRAKERIPEQFGEGSDMMVRELSDGQQVDGYESLLYLTSWRNIGLEEVDFGSGKTARVMTYPQRMLFSLLEKTTPICFMLMPTKEGARVMSGCVTPNHVDAFHQEMLKLNANYTAT >ONIVA10G00690.1 pep chromosome:AWHD00000000:10:657609:663039:1 gene:ONIVA10G00690 transcript:ONIVA10G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRLVARRSKPELVAPSRPTPHETKLLSDLDDFRNHYEYTPLVAFFRSSGSGNDVPSPPTITIRRAIGEALVYYYPMAGRLRELPCGKLVVDCTEEGVVFVAAEADLRLADLGEPLLLPFPCSGELLVCDNVRSDSHVAVVDKPLIFMQVTEFKCGGFSIAMQGNHCIADGFGASQFMNAIADLARGEPRPLVLPVWERHLLMARAPPSVAAAYPAFKPLIDGSTTNDVMLITPLDTMVTRHFLFGRREMAALRSHLPTLLGWRCTDFQLLAAVLWRCRTAALPYAPHRRVRAYLPLSMRGRRWLHIPEGYYGNALAYSIADASAGDLCGGTLGQTVEIVCEARLRVTGEYARATVDLMASLRGCGMVFDGVYVVSDLRRLFAELDFGCGEWVRHGAAVLATFLVRCRNSDGEEAVAASMLLPPPVMERFAEELGGLMMSKEEYEDERVHHGVASLPVRDPRCAAGEGMINHELNKPKYQYGCACVEHSTLDQLVGSCLIPSPTPWLALFRPGPPPRVRIASPAVRWVRNSLESILSSLDYILLLLLAGLHAWAKVQGLLLGC >ONIVA10G00680.1 pep chromosome:AWHD00000000:10:643688:645519:1 gene:ONIVA10G00680 transcript:ONIVA10G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPPSVAAAYPAFKPLTDSASSNDVMLTTLLDTMVTWHFLFGRREMAVLQRLLPARFGRRCTEFQLLAATLWWCRTAALPTPHTSDAGDLCSGTLGQTVELVCEARLRVREEYVRSTVDLMALLRGRDVVFDGVYMVSDLTWLFAELDFGHGEWVVSGMAQPMLATFLVRCRNADGEDAVAASMLLPPPVMERFAEELAGLMMRLSSKHDKVSGRRWRLGGCSDGALSFARSGGRGGGGDGSALPSARSGGRGDSGGSAATASPHHLHMAGDPWLSPPLSPLPSVAGSSYIYDVVVAASTSVGKSGTDGDFPTGTNSLFYCSGNITINTVFIC >ONIVA10G00670.1 pep chromosome:AWHD00000000:10:627629:643672:1 gene:ONIVA10G00670 transcript:ONIVA10G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTFSARRSSAELVAPSRPTPRDTKILSDLDDFPNHHEYTPVLSFFRVSGDDDQPPPPPPPDQTKWATTVFRTALADRGPGVLLPNGWPAEDASLRQAGGVVLVAAEADLRLADLGEPLLPPFPCVGELVCHNSIVGDIRVVLGKPLVFLQVTEFKCGGFAIGLHMNHCIADGFGLTLFVKAIADLARGEPRPLALPVWERHLLMARAPPSVAAAYPAYKPLIDGGASSGDDDVMLTTPLDTMVTRAPGTSSSADERWPRYGATSPHTSAGVARTLSCSPPCCGGAARRRSSTLLTGRRMRRRHGVHVPEGYYGNALAYTIVHASAGELCGGTLGHTKLRMTEVYVRSTVDLLASLRQRGRALVFDGVFVVSDATRLVGELDFGRAGEWVGAGVAQPMRATFLVRCRDADGEDAVAASMLLPPPAMDKFAEEIAQVLLVTSRLLVARRSKPELVAPSRPMPHDTKLLSDLDNFRNHYEYTPLVAFFRTSGAGNFPSGRMEIIRIIFNPTTIRRAIAEALLYCYPLAGRLRELPYGKLVVDCTEEGVLVARRSKPELVAPSRPTPHETKLLSDLDDFRNHYEYTPLVAFFRTSAAAGNVPSPLLTTIRRAIAEALVYYYPLAGRLHELPCGKLVVDCTEEGVVFVAAEADLRLADLVEPLLLPFPCSGELLVCDNVRSDSHVAVVDKPLIFMQVTEFKCGGFAIAMQWNHCVADGFGASQFMNAIADLARGEPRPLVLPVWERHLLMARAPPSVAAAYPAFKSLIDGASSNDVMLSTPLDTMVTRHFLFGRREMAALRRLLPTRLGRRCTDFQLLAAALWRCRTAALPYAPHRRVRAYLPLSTRGRRWRSQGLHIPNGYYGNALAYSIADASAGDLCGGTLWQTVELVCEARLRVTGEYVRSTVDLMASLRGRGMVFDGVYVVSDLTRLFAGLDFGHGEWVVSGMAQPMLATFLVRCRNADGEDAVAASMLLPPPVMERGDCRVDDNELELTSGYYGNVLAYSIADARSTVELVCEARLRVREEYVRSTVDLMASLRGRSMVFDGVYVVSDLTRLFAELDFGRGEWVVSGMAQPMLATFLVRCRNGDGGDAVAASMLLPPPPLLLPFPCSVELLVCDNGDSSVAVVDKPLIFMQVTEFKCGGFAIAMQWNHCVADGFGASQFLNAIADLARGEPRPLVLPV >ONIVA10G00660.1 pep chromosome:AWHD00000000:10:622627:623666:-1 gene:ONIVA10G00660 transcript:ONIVA10G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNGGLPEESRLQPMETIRRPSLTRRMKWRSWTSRPTWPALWCEDRSDSATDQDQRPPAVANSGDHHGCGPDGWAATPRPRRGDDQLAI >ONIVA10G00650.1 pep chromosome:AWHD00000000:10:619273:620957:-1 gene:ONIVA10G00650 transcript:ONIVA10G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTARRNKAEMVMPARPTPRETKPVSDMDDHPGHLVYIPLLEFFRCRFCHNSSSSKAKAVKAALAEALVWYYPVAGRLREIAGGKLVVDCTAEGVAFVEADADVRLEELGEPLLPPFPCVEELLCDAGDIGVVVGKPIVFLQVTRFKCGGFVMGFHISHCIADGFGMIQFIKAIVDIARGEQAPMVLPIWERNILTSRSPPPTIGATNANTNTVKFSSVLKESTSIDDDIMLSTPQESMVGNYFLFRPNHISALRSHVGEHGATTATRFELITAVIWRCRTVALGYKPDQRVHLLFAANARRHRGDGTLRIPEGYYGNALTYHVAAVTAGELCGTTLAHTVALIREAKLDGTTEERVRSTVDFLASLRLRRSGGRFPALAFDKAYAVSDFTRLGEDGLDFGWAERVGGGVATPSFVSFHSRWKLVSSDGEEEEAVAASMLLPKPAMDRFDKELALWLDLDKPSVGGLSLSSKF >ONIVA10G00640.1 pep chromosome:AWHD00000000:10:614879:619245:-1 gene:ONIVA10G00640 transcript:ONIVA10G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTSLDTAMVTFKANRSDPELVPPALATPREMKALSDVDTQPALRFYATGVEFFRHRLIVDDGHDQPENQAKVVKDAVAKVLTYFYPVAGRIRERPGGELVVECTGEGVVFVEADADVWLDEFGNPIMPPYPCVDEFLCDPGDTSVIIGKPLVFMQVTRLKCGGFVIGTYSCHNIVDAFGHTQFLKAIVDIARGDDHPIVLPVWGRELMAARNPPNVSLLQHLTPSKLSPDHPVEPNSAAQHVSSSTDHMVGDYFFFGPREIAALQHHAQLQYSSTAFEVITAAMWKCRTVALGYVPDQNKKACLLMTMNARGKWKRDPPLPQGFYGNGFVYLVVETDASELCKQSLGHAVKLVQKAKLDMTEEFTKSMVDFIALHGGPPYVAGWTFVVSDITRIGEDALDFGWAQRVAGGVPMVGDVKCKQVSYQMRCINDSGEDCVVASMFLPKSAMEIFAKEILVLSSKEIE >ONIVA10G00630.1 pep chromosome:AWHD00000000:10:610210:613430:1 gene:ONIVA10G00630 transcript:ONIVA10G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNSEEVSCNDHHQVDVVAAAGLQCSGDMLGDKQLVSQVILEGLEIEEPPADEMEAAEKKAGISRLMAGYVQHLQHRSAYHLGYPLNFDYDFSPLAPFLNFSLNNAGDPFAKVNNSVHSRQFEVAVLNWFANFWDVQRDQFWGYITSGGTEGNLYGLLVGRELFPDGILYASNDSHYSVFKAAKMYRVKCIRIATTVSGEMNYADLKSKLQHNTNSPAIINANIGTTFKGAVDDIDQIISTLEKCGFQNRYYIHCDSALSGMMTPFMKQAPKVSFKKPIGSISVSGHKFLGCPMPCGVVITRLEHAEVLSTDIEYIASRDSTITGSRNGHAPIFLWYTLSKKGYKGLLKEVHICMGNARYLEVLLKQVGISASCNTLSNIVVFERPKDERIVCRWQLACEGNLAHIVVMPNVTFEKLTVFVEELAEKRNDWYQDKGFDIPCLAVDIGKENCYCNLHAKKLRIPKM >ONIVA10G00620.1 pep chromosome:AWHD00000000:10:591447:600466:-1 gene:ONIVA10G00620 transcript:ONIVA10G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMLADAAAAAPCSSSSCSSNSSSSSSSSAIWSRRRDEITFDRLDKFWSALSPQARHELLRIDKQTLIEHARRNLYCSRCNGLLLESFTQMVMHGKLLQQKGPGVVQDDSWGGLSTTKDGLLTLLDCFINTNSLHVLQNIFDNARAREREREMLYPDACGGGERGWISPVIANYGRGHGTRDTCALHTARLSCDALVGYWFDLCEETRSSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRLRREHHCTSWFCITDTAFRCEVFEDSVLVDCRQSFLDQDKSYNRFEFAVGTEKGKSDILGFEAVGMNGQVHRKGLDLDQFEDYFVTLRAHYADNKNTDFYVKAHALKGQSCVHRRLIVGDGFVTITKGESIQSFFEHAEEAEEEDEDDAMDRDGNDTDVDGVHPQKHAKSPELAREFLLDAAAVIFKEQVEKSLREATAQQNAHSVFVSLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRTKEREKKLRRKERLKEKEKEKEKIPVQLKPYIGTSSSPLSNSATPINDQSPDIAHSKYSASDDEDKDSIVVTESFSPDTCVDQSLTRESDGQSNEFHCSTTLEFIPSDCNGSFMCEQSTSSRRKLRFRRDSLQEQTTGFWYEDCQDDTGGVGNIHWQSRERARNAGRGCNSLFSANNRTRERYEYNACSCGQQEDYGYFSPTARSSREMKMSRKTMVEKPRLQYRRCYPLDSFIVSKGSRVGSTPNKNAAPKQVWEPMDARKKASLGSSNGSSETVSGVDRSNQVGCSKDIVNCSQILGSEHEELAEASSDRSEEACKSITDQPCESSENNQAACNSEPHVVNKPDSCFTKDGGQTANMTSSDSSSCLSEGDRDSSMSSMTSLSAQNPESSSTSDSEGSSERNNSNPGNPPTKNGSRSLLEMCAGNGFREYQPQNIHPSDGNQFGFGVTPFQEQLLHQQKIHAAPYPSTLMGFHNHHVSVPTNGYLAYPQPGHFYPNAVGYGVAGNQCVDFPMQYSNVHPYAGPEFGYVPAQPVHKAPVSFNAMVPTAALFRNGAPEVINPVIVKPDRQHRHTLPPELKRVDPDPQNGCSEDNKKPQDGSVPFSLFHFNLPISSPAQASSEDEVSGGCLASRSPTPSAQKAQPCSREETNIKEYNLFSARTGVEFPFF >ONIVA10G00610.1 pep chromosome:AWHD00000000:10:572920:573129:1 gene:ONIVA10G00610 transcript:ONIVA10G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPALASRAAMSSSHGPPLRMRSGGAYDSSLVGGGRSGQGKGRRLLVSRITPHLLAIPFADLATASLP >ONIVA10G00600.1 pep chromosome:AWHD00000000:10:563904:571623:-1 gene:ONIVA10G00600 transcript:ONIVA10G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSSAAAPTRTSTRKRAASASASAKATDEPSTKRTRRPKAETKPRKKKDEVKEEEKPPMEDDACGEEPDAEEMALGEEAEAEEAEAEQKQLDAPSPGVARKRVAQPSRVRHGSDGDHDPEFVGDPFPAKEARDKWPQRYQRNAATRRPDEEEDIKARCHYSSAKVDGTLYCLHDDVYVKAEEDKADYIGRITEFFEGTDHCRYFTCRWFFRAEDTVISSIMMENADDEKHDLKRVFLSEEKNDNVLDCIISKVKIVHIDPNMESEDKARRLADCDLYYDMSYTVAYSTFANIPLGKVVSDSEASSVGKATLLDLYSGCGGMSTGLCLGAALAGLNLETRWAVDFNSFACESLKYNHPRTEVRNEKADEFLALLKGWHSLCDEYVKKDIDFSSAGASENEEDDDEPLEKDEFVVEKLAGICYGGSGREDGLYFKVQWKGYGREEDTWEPIENLRDCPLKIKEFVQEGYRRKILPLPGDVDVICGGPPCQGISGFNRFRNRKEPLKDEKNKQMVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSRLVAMKYQARLGMMVAGCYGLPQFRMRVFLWGALPTMVLPKYPLPTHNVVVRGGAPNAFSVNNHQPNEVMEYGSSPKTEFQRYIRLSRKEMLDSSFEGKDGPDLGKLLDHQPLKLNKDDHERVQQIPVKKGANFRDLKGVRVGANNIVEWDPDVPRVYLSSGKPLVPDYAMSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPNQARVLTVRENARLQGFPDYYKMFGPIKEKYIQVGNAVAVPVARALGYSLGLAYQRESEGSSPLFVLPDSFTEVGRQAAPARASSVGIPVGEVVEQ >ONIVA10G00590.1 pep chromosome:AWHD00000000:10:559069:560793:-1 gene:ONIVA10G00590 transcript:ONIVA10G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPESSPSSANSTTPSAPSPSSSLSKSPSPPSPSSPPPPASTNAPPKSAGGVSSSTQAQPSSESSSESTTTPSAPAERKSGGSSRGESGRSSEHIIDRGDVTAGVFVGLFVVAMVAVLAGVVAIVVCCCIKITKKKKRPPPPNMPFFTDEKGNVYYATGGLPPMWQQHGSSNYSIPPPPPPGWHMSSSAGGFSGEMGMGYSSGPYGPALPPPSPNVALGFSKSSFSYEELAAATSGFSAANLLGQGGFGYVYKGVLAGNGKEVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAANQRMLVYEFVPNGTLEHHLYRGGNGDRVLDWSARHRIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDANYEAMVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVMLLELLTGRRPVDTSNYMEDSLVDWARPVLARLLVAGGEEGGLIRELVDSRLGGEYSAVEVERMAACAAASIRHSARQRPKMSQIVRALEGDASLSLDHHHDDDFSASSEISRHRQVAFDSGDYTDDYSTTSTSTHSSRLPPKR >ONIVA10G00580.1 pep chromosome:AWHD00000000:10:535416:544329:-1 gene:ONIVA10G00580 transcript:ONIVA10G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNQNQQQESSSGSSVMCQLVSPEGDHLGAALYLPHNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAIFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLSTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLMGHRKWITAVSWEPVHLQSPCRRFVSTSKDGDARIWDMTTRNSEDCSIKVWETSQGKLVKTLQGHGHWVNSLALSTEYVLRTGAYDHTGKTYSTAEEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISRLLLSGSKDSTLKVWDIRTRKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >ONIVA10G00570.1 pep chromosome:AWHD00000000:10:531534:534497:1 gene:ONIVA10G00570 transcript:ONIVA10G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAKQWLAELENDDDPRALEHLDPLSMQQLAESLANELFNQPQEQQEEQHGYHNPSLRVLPFVGDINKPKSHTPAAAAIRDSFFSLTNGSSSSLNFSALEQQQDSGPMTKFCSPLSEMKRGGRRATSNMQEHTDKVSVLGSTIEYVHHLRERVKVLQDIQSMGSTQPPISDARSRAGSGDDGNNNEVEIKVEANLQGTTVLLRVVCPEKKGVLIKLLTELEKLGLSTMNTNVVPFADSSLNITITAQIDNGSCTTVELVKNLKSTLRNF >ONIVA10G00570.2 pep chromosome:AWHD00000000:10:531798:534497:1 gene:ONIVA10G00570 transcript:ONIVA10G00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAKQWLAELENDDDPRALEHLDPLSMQQLAESLANELFNQPQEQQEEQHGYHNPSLRVLPFVGDINKPKSHTPAAAAIRDSFFSLTNGSSSSLNFSALEQQQDSGPMTKFCSPLSEMKRGGRRATSNMQEHVIAERKRREKMHQHTIEYVHHLRERVKVLQDIQSMGSTQPPISDARSRAGSGDDGNNNEVEIKVEANLQGTTVLLRVVCPEKKGVLIKLLTELEKLGLSTMNTNVVPFADSSLNITITAQIDNGSCTTVELVKNLKSTLRNF >ONIVA10G00570.3 pep chromosome:AWHD00000000:10:530754:534497:1 gene:ONIVA10G00570 transcript:ONIVA10G00570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTNQWPYGGSGPGLHKLQLNMDSSAKQWLAELENDDDPRALEHLDPLSMQQLAESLANELFNQPQEQQEEQHGYHNPSLRVLPFVGDINKPKSHTPAAAAIRDSFFSLTNGSSSSLNFSALEQQQDSGPMTKFCSPLSEMKRGGRRATSNMQEHVIAERKRREKMHQHTIEYVHHLRERVKVLQDIQSMGSTQPPISDARSRAGSGDDGNNNEVEIKVEANLQGTTVLLRVVCPEKKGVLIKLLTELEKLGLSTMNTNVVPFADSSLNITITAQIDNGSCTTVELVKNLKSTLRNF >ONIVA10G00570.4 pep chromosome:AWHD00000000:10:530754:534497:1 gene:ONIVA10G00570 transcript:ONIVA10G00570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTNQWPYGGSGPGLHKLQLNMDSSAKQWLAELENDDDPRALEHLDPLSMQQLAESLANELFNQPQEQQEEQHGYHNPSLRVLPFVGDINKPKSHTPAAAAIRDSFFSLTNGSSSSLNFSALEQQQDSGPMTKFCSPLSEMKRGGRRATSNMQEHTDKVSVLGSTIEYVHHLRERVKVLQDIQSMGSTQPPISDARSRAGSGDDGNNNEVEIKVEANLQGTTVLLRVVCPEKKGVLIKLLTELEKLGLSTMNTNVVPFADSSLNITITAQIDNGSCTTVELVKNLKSTLRNF >ONIVA10G00560.1 pep chromosome:AWHD00000000:10:477765:481475:-1 gene:ONIVA10G00560 transcript:ONIVA10G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKVDMSWNVLISPSELSPKGLLLRKAVIVSLLEEIANRKASKDHGYYIAVSELKAISEGKVRELTGDVLFPVTFTCITQKPTKGEILVGSVDKILKHGVFLKSGPIESIFLSEKTLSDYKYIGGENPMFMNDHSKLEDTAVRFKFDVMMMMIRPVAVMLAVDLTLEGEASIDRNRLGLTSRLNIGGFGHAFYKYPLNFRKNSNSPNDPSFATTFVFTITTWRDQPQEAGSDGIAFVLSSTNKLINHSLGGQYLGLFNASNTSQNILAIELDTFMNPDLNDMDDNHVGIDVNSLISINSHTAGFYTSDGGFQLLRLANGRSPILQLWVDYDGKAHQLNVTLGLPYSPKPEYPLLSSIVNLSSLLPSWSFKENGRVPPLPSVPVTDPETYGWGGNFFAPPPPPQLNTHQVHKHSLQILLPIVMTSVILLLLVAFLGWRKKAGPQEDWEMKCRPPSFIYKDLYNATSGFSDKMLLGKGGFGKVYRGFLPASKRNVAIKRISPESKQGMKEFMSEVAILGNVRHRSLVQLLGYCRNKHELLLVYDYMPNGSLDKYLYGRHKLALGWSQRFRIIKGVACGLAYLHEEWERVIIHRDIKSSNVLLDEEMNGRLGDFGLARLHDHGVDAYTTHVAGTYGYIAPELARLGKSTKGTDVFAFGVFMMEAARGKRPIEVNSCGEPQALADHVLNAWQRSSIINSIDPSLEDHVAEEVVLVLKLGLLCSHSSPKVRPSMRLVMQYLEREATLQDFAFSFFSINEANNEVYGQHVVSNPSVATTITTLSGGR >ONIVA10G00550.1 pep chromosome:AWHD00000000:10:463267:479775:1 gene:ONIVA10G00550 transcript:ONIVA10G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MNLSEMEASVSQIRRSPPRIEKRKKKNHNSLSGKAPPTRGNCDSLPPVWNAKSASECHWTRRLTSNYLSLVVVVVGEELPPCFAPPPMETPPPDPVSPPPPAADEGSPGGDDGAEDAGGFSAGLDSLWTALFGSPEELEPMWSPPRGFGVGAEFAAAEVEPEIMDVAGGPWDGAPWRSSGVVAGEGAATALVPPTAAAGFAEFEPAAPIDSYPAGAAAASLGDVPEVSALDSGVDCSPDPPPSSSPPVDFDARGFDPVADSAPAMESPLPPSVASSEANLDGRMLDCTLNSVPSPPLASPYEVGLGAEDPIKDSSPSVAWGTTMDAKDPEVDATCANGTALRRSRRIMKIKSAASSMPLNQNGDSSRASKRRVADSRKSRSSEGSKLPAFTGPISVNTVDLINGVKVQGLQEIVAVENVSSSYDNNQKAGGLYNQVVVALPAASNSLLKDKGASVLPRRKTRLASKVLVNSDRVSAISPVVNGGPPVQKSDVCIPTKKHKLAVEECLTSLDGVDGGGIVLCNSKLKSAKSRVVSKTPQGRGRRSPQPPKTQRARTLSVKYLEKLKRAENNNNNGSMSKSPRVPMIPENNGSMSKSPRVPIIPELSTKHELVLDKHMVDSVMLETDDGSCFFVGDAVPDDEARKQWPHRYEINDQIMKKDKRTSSQTFAKLVTVSFCVVFMYVYLQMLDFRGPEGKPNYIGRLLEFFETKTGECYFRVQWFFTAEDTVIGEQAQSHDPRRLFYSDLTDDNLLDCIVSKVTIVQVPPSVDGKSKSVPSSDYYYDMKYSIDYSTFSTIEMEDTDDLMQSCYTSRINDKMKKIDVNKKHKSPVLEKMELSLLDLYCGCGGMSTGLCLGARGGGVNLSARWAIDDDEIACESFRNNHPETRVRNETTDDFLELLKEWEKLCKTYVKHSRTKACVDSTTESNNETPDCSTVPPEEFEVWKLVDICFGDPNKVSKHGLYFKVRWKGYGPHHDTWEPVEGLRNCKEAIRDFVIEGHRQRILPRPRRNIAVFLLRPSKFPLGDVDVVCGGPPCQGISGYNRNREFEAPFKCEKNKQIIVFMDVVQFLKPKYVYMENVLDILKFADATLARYALSRLVAMHYQARLGIMAAGCYGLPQFRMRVFLLGCHSKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPNDTPMQLARPIVLEDILSDLPEVANGESRDEMLYVKGPQTEFQRYIRSFNVEVHGPRAHVTKDSKSSKLYDHRPLVLDNDNYQRILQIPKRKGANFRDLSGVIVGPDNVARLDPTKERVLLPSGRPLVLDCILAYENGKSLRPFGRVWWDEVVGTVLTVPNARMQALIHPAQDRLLTIRESARLQGFPDNYRFRGTVKDRYRQIGNAVAVPVGRALGYALAMAYLKKSGDDPLMLLPPNFAFSHDLRGFA >ONIVA10G00530.1 pep chromosome:AWHD00000000:10:450386:451045:-1 gene:ONIVA10G00530 transcript:ONIVA10G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLADHHASLARGTRCQCHAGSRRSSGSPSPSIVVSRPLPWRRRSGGVRRPNDLPVSLPRPPPVRRLLRLGCHRSSSSLRLGTTAARGDLSLPLPGGQPPLLDASGRQPPMLRRCRWGYGAAAWPAVSVAFPAPDLTAAAGGMELDPSGQPALRPPVGAGQPPPHDLLPPRPSACRRPGQRGVLPLLGSCPLCLSRCGNGRWEMIGWLVDLPVWTVVH >ONIVA10G00520.1 pep chromosome:AWHD00000000:10:449556:450012:1 gene:ONIVA10G00520 transcript:ONIVA10G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKETSREGLQDDAAAMLEVEEEDPKRLTMTSADDEVVDARTAMKTMKAAKLRFVSTTQLQLHVDAAARSPPVVRPR >ONIVA10G00500.1 pep chromosome:AWHD00000000:10:441002:442024:-1 gene:ONIVA10G00500 transcript:ONIVA10G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDEPIFRGVWFRGEWDGLVPTEEYSSQIRDQSVRPKLADELVPPGTSDGVKPPLCSRALPRETAAGSATAAVGSAMAAVGGSATAAVDGSGDGGGGGLDDGGVLGWVIPSVVVAEPTAADVARARRRRCRPSRLPPPSLSPPQPLIDAIKEAGGDHVRR >ONIVA10G00490.1 pep chromosome:AWHD00000000:10:438738:439081:1 gene:ONIVA10G00490 transcript:ONIVA10G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLEYHCFKRDIAPVAFQEPRPPSLTLLLCRLQGQRRCRPTQTNPVSAKWALSSVIDKWEKLTWGHDYFFAV >ONIVA10G00480.1 pep chromosome:AWHD00000000:10:437163:437693:1 gene:ONIVA10G00480 transcript:ONIVA10G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSQKHHEKNLPPSTPPQPASCIARCPHCPPPPNASPPITSSGGEGSAAASSPTTVVVACRPPRASWAPAAHIAHRRLTGLCRRLPSHNGHRRLPPPLLLDPAEGTPDPTEGAPATSPPTTAAAARHRLVRRSCHLRAAGPPPTPSLPSLSPGAGEGEASRDRAEREREREREL >ONIVA10G00470.1 pep chromosome:AWHD00000000:10:432918:433225:-1 gene:ONIVA10G00470 transcript:ONIVA10G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDWDVVAAAATMVGKGWVLVAVTYTKGWVVTMSMAADGSDDDQFKFTPDSNNEVDDHRFSLD >ONIVA10G00460.1 pep chromosome:AWHD00000000:10:430955:432324:-1 gene:ONIVA10G00460 transcript:ONIVA10G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGAGLSKHIRVESSISTSKARCLSNSCPTRRRYMARRCVETKKKVVISLVEEEYEPIDDIKLEMLCLLIPGYT >ONIVA10G00450.1 pep chromosome:AWHD00000000:10:426492:427124:1 gene:ONIVA10G00450 transcript:ONIVA10G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGGNPLGAGDENPRGGGAENTMGTSGGNPRGYGGRGPRGYSGESPRGYSNSKPTGTEFVPKAEFQECGGVEEKGDRIQDCGKVEEKGGGIHDCEGRMSVRFKIVGKWRRVV >ONIVA10G00440.1 pep chromosome:AWHD00000000:10:419910:424677:1 gene:ONIVA10G00440 transcript:ONIVA10G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGGNPLGAGDENPRGRGGGNTMGAGDGNPRGYGREGPRGCRGESPRGYSNSKPTGVVKSWVAAAVMTATEGWVVAAAMMTMAAEGWVLMCLPDAVSNTVEECGTREDTTTYLKKANILFWIHKMGTTTTSSPQTRSLCPRLSSRIVGKWRRRVVGFKTMAKWRRRVGFQDCRETEENVGGIQDCREVEKGGVISGSSSMVHSHHGGIWPTARRCGQQLRDKLCSGLKQKKKVDGSNPESKPATYSESKPESKAEPESKPEPKAESTPQPEAKSEPKSEPKPKSKSEPKPKSELYAEPKSEPKSEPQSEPNPETKAEPKSEPKYEPKSEPTPQTEPKAESQPEPKTEPKSESKAEQKPKRKSYPKSKPEPESKPEAKTEPQPESKPEPKPESAPKKETPSSAYP >ONIVA10G00430.1 pep chromosome:AWHD00000000:10:402206:405262:-1 gene:ONIVA10G00430 transcript:ONIVA10G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAIPTRALLTPSGRPSTITPPPCSSSLLPSLLYASSPLTPLSAPRAPVAPYTLPSPPPLCRPPHDTTSRSAPCAGRDHATAPAPTTAAAAVAAASDYAHYPRLSPEDVAPPTPPPYHAAAALLGQSLHTMDTVKNVLGKMGKRLGEAARKTESLNARDYPRRFPLLLEPLRRSTRDAGLAPTGHCSAEPPPRSHVLPIRARHAGPSLSPPAPSLSNVPPNILRDAWELVIWGLLQDGGISLRSSLLDQLLFGTPHSSCCVLVHNTVPPPTPQPDRPVKHPLCRCNPQSSQIDGQIRCTGQKNGLLSCRPSWQLNQYCLQALRKDMAICESSIDIIKVTAASVVPDMMLD >ONIVA10G00420.1 pep chromosome:AWHD00000000:10:373482:379146:-1 gene:ONIVA10G00420 transcript:ONIVA10G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0INV6] MRVHEEASEDKEREVEEAPDLMPLSPPPTAAATAAVVAVAGQRLVVGYALTKKKVKSFLQPKLLSLARKKSIHFVSIDETRPLSEQGPFDIILHKLTDKEWQQVLEVYREEHPEVTVLDPPNAIQHLHNRQSMLQEVADLNLSNAYGEVCTPRQLVIMKDPLSIPSAVAKAGLTLPLVAKPLVVDGTSKSHELSLAYVETSLSMLDPPLVLQEFVNHGGILFKVYVVGETIRVVRRFSLPDVNIYDLENNDGIFRFPRVSCATNTAEDAEVDPSIAELPPKPLLEKLGRELRRRLGLRLFNFDMIREHGRKDRYYVIDINYFPGYGKMPGYEHIFIDFLLSLVQNKYKRRLSGS >ONIVA10G00410.1 pep chromosome:AWHD00000000:10:356687:358331:-1 gene:ONIVA10G00410 transcript:ONIVA10G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFLSYMYGPPCPHSPSPFFLISHRLYSYLPSSLSRARASTQERGREVWWMAQDDEDVGLALGLSLGSGGHRRQRESRDEAPSSAAASLLTLRLPAESGGQPQVVVKREVVRAEEEEYEYEYERALYSSSAAAADDDEGCNSRKKLRLSKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHRELQQLRALTHSTAAGFFMATTLPVPAATLSICPSCERLATAAAAGASPTAAADRTNKPTAPHLFSPFAKSAAC >ONIVA10G00400.1 pep chromosome:AWHD00000000:10:352557:353012:-1 gene:ONIVA10G00400 transcript:ONIVA10G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAVPPEREKRPSERRSAPWAPTTLVAWQHSYPELRLQPPLPKENLAKTLARWREKLRAPGRGLPPADYSYIKIGDVCEENTAVLSRLWELGASEPACVYYGALLSLGRLSFSGGAAARPSSPCALHARERGRARERRERLGGKRGRRV >ONIVA10G00390.1 pep chromosome:AWHD00000000:10:339654:340177:1 gene:ONIVA10G00390 transcript:ONIVA10G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVLIESWVCMVCVASERAAHGDVERVLRNVAQWPAWRLAPGAIGSAAFVAETPLFIFNLQPFLCVRERELCSCVPRLIPTSWWSVDLELPYVGEERRGVAASHGLLRVRVDKMPTRVAALGPHHGGHAYLFSTQSISPLASQQ >ONIVA10G00380.1 pep chromosome:AWHD00000000:10:338143:338331:1 gene:ONIVA10G00380 transcript:ONIVA10G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWLLHGCLHGRCSVDRVMGVHGVRGIGACGGSRVVRASVRLTATSSGFCGTWRNGRNGG >ONIVA10G00370.1 pep chromosome:AWHD00000000:10:337824:338018:1 gene:ONIVA10G00370 transcript:ONIVA10G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRGSHYILTYDIATAEEGKMDLPSDYYGSKEIRLGSSPEGRLRLLVLHLIVRVAPAGQGTA >ONIVA10G00360.1 pep chromosome:AWHD00000000:10:306983:308314:-1 gene:ONIVA10G00360 transcript:ONIVA10G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAPVDVKKKPSARLRMQRWEVEVGGRARWQMKAASEETRPDEVGDVRDEERHDEEEDLVVERTAIVLVCPGIAPLPLQLFTCIGVADIKNHPGIQMLDLSFEKEDCVFEP >ONIVA10G00350.1 pep chromosome:AWHD00000000:10:298483:301515:-1 gene:ONIVA10G00350 transcript:ONIVA10G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWALAGSLLLLVASAAESVTGGRPASCQTRCGDVDIPYPFGIGPECSRGEGFEIACNTTTSDGGGKLVATLAAASQPIEVQSLRVEPRPEVKVMVPVAYKCYNSSGKVTKEFNGEVDLNKNGVYRISDSLNMLVVLGCNTLAYTKNGDSGGKGPYSGLYYTGCVSYCNDSQSAQDSMCTGIGCCHVDISPGLTNNVVTFSSWARYFQVDYNPCDYSFLVDKKDYVFMRSDLNMDLNQTKPVWLDWAIRDADAGNASSTACPPLDVQHKKPPGYACVSDNSECANSTNGPGYYCKCNNGYQGNPYEDDPNKGCKDIDECTAPDKEMLYPCHGICKNIPGDYECSCHTGYQPSGDGPKKQECSSKFPLAARLALGISLGFSFLIVATLFTLMMLQKRKMNEYFKRNGGSVLQKVDNVKIFSKDELKKITKNNSEVLGQGGFGKVYKGTLQDSTMVAVKTSIEVDVPMLVYEFAAKGNLQDILHGDANIPLPIDLRLDIAIESAEGLRYMHSSTNRTIRHGDVKPANILLTDKFVPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELISRKPTIYGENCSLIIEFQKAYDQDKSGRMMFDKEITIEEDILVLEEIGRLAMECLKEKVEERPDMKEVAERLVMLRRSRKGHGNYSSPQHHQEISFDGTPMQFGAEISASSSAAVSAPATPIK >ONIVA10G00340.1 pep chromosome:AWHD00000000:10:280967:286722:1 gene:ONIVA10G00340 transcript:ONIVA10G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPSPSASIAVAVERSPGFGLEPSSSSSSSSSSSAPSRNELLTMVKKHSHLIGWTVVDAEDDASDVEMDDRFWHEMLDLFFVRGRVSKRREEDDLVFFVNNNMKMHGHGFNDNMEDPAPFFVRRWAPMLEKISNINSAGVDWERSFYLNLIAHTSYTVTVAICSVRDLRSRAEKSKPLSPVYKVTKTVYASPSHVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPEDTESKNASSTLLNTGSNQEKPPKRTLFSGYVSYQNVREAYNAGRSQFGSLLSLGHDQTKLDKLYMRGPEGRGEVEVAVSGIADQSHERSKKDPGDSFRVFVHRAASAASKLAKHAYEAASTNKRFDDELLPLKCCLMSVSLPWDYIAHDLLHKVKTITTCKLYDCFDV >ONIVA10G00330.1 pep chromosome:AWHD00000000:10:271311:271572:1 gene:ONIVA10G00330 transcript:ONIVA10G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYGGVPIGHPVAGHMVLAAVGTPVMFPPATWRPRPRCTNDETTLAPPWMSPAHRPFQPGAIWAF >ONIVA10G00320.1 pep chromosome:AWHD00000000:10:270580:271290:1 gene:ONIVA10G00320 transcript:ONIVA10G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTYVYTNEWRKSEGGGRYDGGKSCSKAEQERRKGIPWTEEEHRYVYTHDSGNQDEQLLFVFFLGTQLAPLLDLLRDASESMAAMSCDCDWIVRRLFLLRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVTVGDVAAQQGPITGQAKAALRRRSGHRA >ONIVA10G00310.1 pep chromosome:AWHD00000000:10:260772:261830:-1 gene:ONIVA10G00310 transcript:ONIVA10G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALASRRHRLLLAAAAAAAGGYGLYRLYRHHRRRVAAVLSLADAVSQVGSDLADFLRSDSDHVPRTLLQLSKLAASDPISSAASSLSHSLASGLLRALSDSTSTSTTTTPAQIGLTDRILDRLLSPAGTGFASAVVGSFARNLVLSYHAAAAPRPPSAHPLPDWLCSDRGKDAAADLVRVFVSTAVAAYLDRTASVPRTSHQLLAAFTDPKHEAKLKDLLVSVCNGAVETLVRTRRQVAVAPPPTPIVVVSEAQSPHGCVMDTVSSTLAVPSNRRFVLDITGRVTAETVRSLLDFLAQRVSDGARKSIATARNEGFLAIKHITSKSMAIFTICFALCMHISMGTRFLLPP >ONIVA10G00300.1 pep chromosome:AWHD00000000:10:248852:251741:-1 gene:ONIVA10G00300 transcript:ONIVA10G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVDYEWVVYENNIHRLRAVATLCRVLEALDIFVFPRLRLEPTNARGISNLRYRANRIRKMVVKAGGSLRAPAITLGNHLRNFTTQLRSEARTAQWVEARLPRLRQHWLICEDWGFFFSGLLLSLPALLIGACAAAAVAAAGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVFVFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGGDTYDAVAANFANLTTAAWLEATRAGSPAMEGSMSPSTAHAATRGSRRDPNGSYHPLKSGVGIVLLFCELLCIYAKFEEALSAPNPTEALDELIDPSLRGDYPVDSALTIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYLPPFLRTG >ONIVA10G00290.1 pep chromosome:AWHD00000000:10:245876:247159:-1 gene:ONIVA10G00290 transcript:ONIVA10G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTTTLDDIPDTLLKHVLVGLSSPVCIVRAAATCRRWRRIIARSDYTRALRFPPLLDAGHYQAVDLRYAAAPRPCGGKIVYVPSASVDARRLALDFLPGGGSASRSSWKWELVDSEGGLLLLAKTRRRRFPELIVCDPLARRHVVIPPIPDKKYSHCLAVFFWNWNGGPNLSDFTLRCVLHEGIDGAAGGVTTARVYDFKRHYWSHHRKYLDRWFARGGAIDDGVHLRGATLHLTGRAACWIFFGNDADADAVLALDMRYPSACKFMLARVPGSLRGGSCGDRSGFRFIDGDNPDDVRLVSVVGGDLKVFLRRDGSGDAWEPEKNLSLRDATSGMPGRKESYFGGAGAAAKIVSAGAGYVVLTPAEETWLFSVELATMEVERKHSRNRYAGEFFPYHLPWPPTLSAHVSYCKRNRKGLCFQICVC >ONIVA10G00280.1 pep chromosome:AWHD00000000:10:233597:241524:1 gene:ONIVA10G00280 transcript:ONIVA10G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTERSRRRREVKLRKAWRKEAATAGPTSVNDVPDEVLELVLLRLGDSLALLRAAAACKRWRRLVADAGFLARFRSLHEPHVVGHYHVVDPTFAGALRGGNHVFVPERSPSPADALDRRRLSLDFLPEPDGDRAWWKLADTRGGLVLLYPKTWNASFPDMVVCEPLTRRHQGILRPQEMNSPYRICLGVFLIDGAAADETGGCISMSNFRVLSAVYEPETPYCGYWHGHGTPPRPRAYVFSSGVDGGWRESDSGSDVELPSLELISFVGRAGCSLYWGLDGKDAMLALHKTTAEFSLVTIPAIVGESYHPSTFRVIGGGDDGTMRVVRLIGDDLKIFTQLKGSGGEWVVEKLVRLPVATRGLPGHDVGLFHHYARIVTANERYILVTPRVATWLFSVEVETPVVEREHVRNKYAGAAYPYELPWPPGRRTQRGSMPPSPPMATTERRRRCGQRQRRDKHKRGSSMKVAGAGDGAAAVMTTVEDVPDHLLEVILLRLDSSVSLLRAAAACTRWRRVVADAGFLRSFRSLHGARHVAGRYHTVDPSFGWPPSAGGSSVVFVPSSPPVIGVASRFFSLDFLPDYDDGNSWSWELVDSRGGLLLFSKKRKSTGRWAAMADARGFSFPDLVVCEPLTRRYQGIASAVYFRRHPCLGVFLLDGDAADADDTGGGGIGMSNFRVVAALHDRTWQHDGAVPLACVFTSGSDGGWRVLQSAAAAAVDLPERFDFINFAGRAGGCLYWGIDGEDGAMLVLDVATMRFSIDMFPETIRASYDKWTFRVIDGGDGGYALRVVRVMRNDLKVFAQLAGSGEWVVERLVSLPEATRELPGRRETYFRQEAKIVAANAAYVLLTPQEKKRWLFSVELETGKVERRHERNRGRRRRRRQRRKRASTKQLTAAATVQDVPDHVLEMILLRVDSSACLVRAAASCRRWRRVVADAAFLHSFRTLHGAHRVAGVYRTVDPAYGRPLPGGNFVFVPSTPLAAGDSCCFALDFLPYGGRNSWELLDCRGGLLLLSKKRPRFGGVATSRRFTDLVVCEPLTRRYQVIRCPANLKFFMCLGVFLLDDDAATGGVSNFRVIAVLFDHHRWLDYRGMPMSMMFSSSGSGGDGTWQVVQWETIDDVDLPHWIEHITFVGRANGRIYWGIDNEDGATLVLDESTTEFAITMFPENVWAPYDKYTFRVIGDGDDGALRVVRVINNDLKVFTQLAGGGEWVLEKTVSLPEATRGLPGREEGLFFQHGEAMIVAASAAYVLVTPREKAWMFSVELDTMEVEREHDRNWYPGPAYQYESLPWPPALQVCTDG >ONIVA10G00270.1 pep chromosome:AWHD00000000:10:231766:233114:-1 gene:ONIVA10G00270 transcript:ONIVA10G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKHRRERRVTAAASGSTTARDGGAGAGIPDALLERIFLRQDSALSLIRAAAACRRWRWRRVIGGRIFLLRFRGQHSWTRTEAYTVGSYHVADNPNYRWPRRRHGSDDDGAAIVDPSTDFDRRHFSSLDFIPDAKSWHVVDGVSSVVLLAKKRIGWKRRCFPDLMVCEPLTRRRRAITPLPEMRSHRCLGAFLKHERDVRVNMSRFTVICALYERYDGGVPDDVGTAMGYSFCRFSLDALPAGLRWSSSPQHDDEPPFHVVDHGGEPYPPFIDSLAARIVGLSGGELRVFSRWRDEDGWELLNRVSLPEITRAPPPHADRNDDDRFSSLSGNGVTAILACRRPCWASGPVAGAGEVGVHRRARHHDDRTQARHGRTRRWFGIQARDAMANSPSKLA >ONIVA10G00260.1 pep chromosome:AWHD00000000:10:230966:231442:1 gene:ONIVA10G00260 transcript:ONIVA10G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:A0A0E0INU0] MAGGGGAVGEHYMRVIRGDDGYGDDSGQHQEKPGAAVSVAKGAAAAAAAGSMLALAGLTATGTALALIVATPLLVLFSPVLVPAAFAASLLAAGLASSGALGAAAVGVLAWMYRYLQSPSGEHAPAGAGKVEHARALLNAKAHDVGDWVQHRLDQART >ONIVA10G00240.1 pep chromosome:AWHD00000000:10:220649:221718:1 gene:ONIVA10G00240 transcript:ONIVA10G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair specific 18 [Source:Projected from Arabidopsis thaliana (AT5G22410) TAIR;Acc:AT5G22410] MAMARVATAALMVAAAVLLGLAGGGHAQLQNGFYKGKCGANDVEAVVQGIVRARFARDAPIVAYLLRMQFHECAVNGCDGGLLIDGPGTEKTASPNLSVKGYDLIADIKAELERRCPGVVSCSDIQILATRDAVVLAGGQPYAVRTGRRDRRQSRASDVVLPAPDSTAAQTVAYFGKLGLSAFDAVLLLGAHTVGATHCGVIKDSRLYKYGGRAGATDPALDPYYAFVYKTWVCPNAAASDGNVVFLDDQWSALRVDSNYYKQLQRRRGVLPCDQNLYGDGSTRWIVDLLANSDLFPSLFPQALIKLGEVNVLTGAQGEIRKVCSKFN >ONIVA10G00230.1 pep chromosome:AWHD00000000:10:211179:213237:-1 gene:ONIVA10G00230 transcript:ONIVA10G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWVWQAHPQHQLLLLLNRDEFHSRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLRFLQSNKSPLEVATEVAKEADEYNGFNLVLADLTTNVMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAIRLGKNFREHLRKHGDDEVEAKDIVERLMTDTTKADKDRLPNTGCDPNWEHGLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVHYQIE >ONIVA10G00220.1 pep chromosome:AWHD00000000:10:210212:214458:1 gene:ONIVA10G00220 transcript:ONIVA10G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSTLVRKASLPSFVQPIHVPPPRTSLPPRIFFEPSPHHPTALVGLHQFVRAKSAHSIHQEQHRQSATWMIKQRRRPEGKGDQAEEEPTESLTRLHYCLQAGTAARQHLKTGIADPYLNFWMKTRALLV >ONIVA10G00210.1 pep chromosome:AWHD00000000:10:206020:207270:1 gene:ONIVA10G00210 transcript:ONIVA10G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMDLPDDLVELILLRLASTVSLIRAASTCKQWRRIVAAADAGFLRRFRSLHAPAIAGYYYNSEKFTSFAPSPPPASAPAIDDSHFSLDFLHVVQDTVDGSRPQSSSSSWRIMDSRGSLLLLDFAGSHPDDGARSLLVCEPLTRRYQWVVPPSAGRGFGGGGCEFSRAYLADGAEADEAGGRVGMSNFRVVYELYHHRHGVSAAVFTFTTGGGGAQLSWEEKAIGDIAGSSSCMHVLGHAGGSWYLYAPGGKPVVFDGSTAEYSASESELPLDADNWLSWDVMIFFPSCFRVAEGRDGHPRILTVVPGGGALKVFARCNDGGGEWVEEKTIPCAAAMRRLLGRPASSSSLGQWMSIVTTRPGFAVVSPQVREGRWFFAVDLDTMEVRQVAANMTGINIFGYELPWPPTMRACIA >ONIVA10G00190.1 pep chromosome:AWHD00000000:10:199457:200728:-1 gene:ONIVA10G00190 transcript:ONIVA10G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSDELVESVLLRLDSTVSLIRAASTCKPWRRIVADAGFLRRFRGLHEPTVAGYYFDSRGESPFATLTMGRQEAAICFRPSPSAAALAIDAGSFSLAFLRDDDVLPSQWSSSWSVADSRGSLVLLRSLAAGHAPFCFPEVVVCEPLTRRHRRILPSPDFGTGCFFYGCYLADGEAAGDSSSSSSIGMSNFRVVYELYRDDGGAGLARAAVFAAGAGGAHSWRETSVGHAIPPFHRMSLMGRAGGSWYFHEGSTMAVLDGSTAEFSSSPFTLQQQPIHPGVYLYIAEGRDGEPRMFTTTGGILTVLIKRARRPAVVAGGEDDVEEWAVEKSVRLSEATRGLPGYDASFFGGGGGPMDVITRGVGFVVLSPRIRTTKEEAAAPARWWFAVDLETAEVERVHDDLGTIQFPCQLPWPPNLRACPA >ONIVA10G00180.1 pep chromosome:AWHD00000000:10:191734:196218:-1 gene:ONIVA10G00180 transcript:ONIVA10G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAHGNPAGDTHPNPNHSPEMRSATGKRAASLSRERDTCSASASASPSLASRLSPVANPHTAGWRRRRRSAKLEINKSKIFMEHATCDDVHEHAINVSHGETASTSTSHQDLHSDSDDSHQDDRPSTSTQTPSPQSSASTSPTAYNTRNLSFPRRDSMYGHGRSIWNSGLWISFELVIYVVQIVAAIFVLVFSRDEHPHAPLFAWIIGYTIGCIASIPLICWRCAHRNRPSEQEPEQPPAAYPNLTSSQSSEGRNQRSSGTVLHFGCITISCPRPSILAYHFKTAVDCFFAVWFVVGNVWIFGGHSTLSDSQEAPNMYRLCLAFLALSCVGYAIPFVMCAAICCCFPCLISLLRLQEDLGHTRGATQELIDALPTYKFKPKRSKMWVDHASSSENLSEGGILGPGTKKERIVSAEDAVCCICLTKYGDDDELRELPCTHFFHVQCVDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGRGIASSRFTV >ONIVA10G00170.1 pep chromosome:AWHD00000000:10:184237:188851:-1 gene:ONIVA10G00170 transcript:ONIVA10G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEVVAVAAAAAGTSRTVLVTGGAGYIGSHTVLQLLAAGFRVVVADSLGNSSELAVRRVDIRDKGGLEKVFSSTRFDAVVHFAGLKAVGESVQKPLLYYDHNVAGTIILLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPHNPYGRTKLIAEEICRDIYHSDSEWSIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPFVQQVAVGRRPSLTIFGNDYATKDGTGVRDYIHVVDLAEGHIAALRKLFESSIGCQAYNLGTGKGTSVLEIVNAFEKVSGKKIPLVIGPRRPGDAEILFSSAAKAEREFKWKAKYGIEEMCRDQWNWASKNPFGYASPDSTKQNVALPCTVLDADSCITKNAAVYGFCI >ONIVA10G00170.2 pep chromosome:AWHD00000000:10:184237:188851:-1 gene:ONIVA10G00170 transcript:ONIVA10G00170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEVVAVAAAAAGTSRTVLVTGGAGYIGSHTVLQLLAAGFRVVVADSLGNSSELAVRRVAALAGDQHDRPMGDWGPVDIRDKGGLEKVFSSTRFDAVVHFAGLKAVGESVQKPLLYYDHNVAGTIILLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPHNPYGRTKLIAEEICRDIYHSDSEWSIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPFVQQVAVGRRPSLTIFGNDYATKDGTGVRDYIHVVDLAEGHIAALRKLFESSIGCQAYNLGTGKGTSVLEIVNAFEKVSGKKIPLVIGPRRPGDAEILFSSAAKAEREFKWKAKYGIEEMCRDQWNWASKNPFGYASPDSTKQNVALPCTVLDADSCITKNAAVYGFCI >ONIVA10G00160.1 pep chromosome:AWHD00000000:10:171532:171834:1 gene:ONIVA10G00160 transcript:ONIVA10G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGSRPGRRLAEDRSASALCTATNCRRRRRLGLGGRGPQRGEGEKPPLPRGFLSAVSKLRRSPASSPSPAAFVESVGPEQSTTTSASAARLRRRSNG >ONIVA10G00150.1 pep chromosome:AWHD00000000:10:164449:169461:1 gene:ONIVA10G00150 transcript:ONIVA10G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLKQAAYPFNIRRNVQDLITHTDDLVARRHDIARQIEAADRDGGMIPTHEARQWLDRVESARLSADTIRGRYEQRCRMFGGCSLNLWSNYRISKRAAERLAIVRSYEVVPSPITIDPPTLAAVNILIESVQIHSQESILEEALRCITEGPSAIIGICGPGGVGKTHLLKRINNNFVGDSTFRLVIFVTATRGCSVQTIQTQIMERINLNRDGDSVTRANRIVRFLKAKSFLLLVDDLWGGELEMGSVGIPYPLKNEGQLKQKVVITTRSPTICELMNVTTHVKVEVLEDDEARELFMEYNGHKGLYSDPHIGDLAKELVKELKGVASQLIHFGKEMRGRKDPKRWEDAIFVVKTSDTTHLQDEDQLSLKGTIVRNLKVATENMLARSNEVRQKIEIAERNGKTPTNGVISWLRRVDSITSSAEIICGQHQLNLDVSQSAAEKLHEVQECLDNQPSDIVVDVLQTPTEYIPIQSFELRSQNIVLQDALRYIADDSVEMIGIRGAAGVGKTHILKKINNSFHEHSDFQFVIFVTASRNIREQIARRLGINQDDRDAKLVTRISKFLEKRSFLLLVDDLREILDPKEAGIPFPLRNSSEIRQKVVFTTRSEHICGQMAVSKKIKVTCLEQDEAIYLFRQNVDMGILHSSPRIEELANTLAKELSGLPLALITTARAMSSRHHPTGWEDAIREMHDLFRHKDNPLNMEKGVYQPIKFSYDSLRNDTLKQCFLTCSMWPVDQNIRKDELVQCWMGLGLVDEPNIRSSYNEAYKLICDLEAACLLESGPNNDVKMQNVIRDTALWISHGKWVVHTGRNSLDANIARVIQRFIAVTYLDLSWNKLENIPEELCSLTNLEYLNLSYNFSISEVPKCLGFLIKLKFLYLQGTNIKTIPDGVISSLTELQVLDLLNMYFGEGITMSPVEYVPTILPELGAINNLKEVDIVIEGSFQYELLSQCCNLPLRLVALRKMEQSCALFRLSESIFQDNLLGTTLNYLEVSDSDMNVIEIFRGAEAPNYCFEALKKIELFNLKMLKHIKCFRLSPHDMFPSLSVLRVSFCDRLKNISCTMYLSKLQHLEVSYCNSITQAFGHNMNKSTVPTFPCLRYLSFAYLDGLEKICDSDVTFPQLETLKFTGCPNLMSLPFKKGTVPLNLRELQLEDVKLWKNLIWEEEGVLDLLEPYLKIKVSPPTTCLRHKTS >ONIVA10G00140.1 pep chromosome:AWHD00000000:10:163943:171736:-1 gene:ONIVA10G00140 transcript:ONIVA10G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNGGAWRPRRGSLSAAAASRLLRVEGHDRRGRAVDAAGNSSRCRVPTLIYPRRGGDRDDSLDFSPSGRRSPVTISANANEATSHGETGKLEMPVVAASLAGNTIKRVSNCGNVTSESQIFSNPSRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSGIFSSLFQLKSRYGKWDACFFWVKNLPEVIHQQKETSFLKEFRNPGNKFCVTVVLVYPQPPCNLFPDPLPCFMGWDHSAVPISSLDLSSNVVSPGDQIIRAMRKTSSEMEI >ONIVA10G00140.2 pep chromosome:AWHD00000000:10:167229:171736:-1 gene:ONIVA10G00140 transcript:ONIVA10G00140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNGGAWRPRRGSLSAAAASRLLRVEGHDRRGRAVDAAGNSSRCRVPTLIYPRRGGDRDDSLDFSPSGRRSPVTISANANEATSHGETGKLEMPVVAASLAGNTIKRVSNCGNVTSESQIFSNPSSRSQKDTLKTERLGNISCGLSNIVGTYSTGDIVIPSPKYIFNKSSTCNSVRLDMTPSGIVFMLVPCRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSGIFSSLFQLKSRGNGMPASFGSRISLRSSTNRRKLLFSRNFEILVTSFASRSSWFIPSLLAICSLMFLEAVTKMTN >ONIVA10G00140.3 pep chromosome:AWHD00000000:10:167229:171736:-1 gene:ONIVA10G00140 transcript:ONIVA10G00140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNGGAWRPRRGSLSAAAASRLLRVEGHDRRGRAVDAAGNSSRCRVPTLIYPRRGGDRDDSLDFSPSGRRSPVTISANANEATSHGETGKLEMPVVAASLAGNTIKRVSNCGNVTSESQIFSNPSRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSGIFSSLFQLKSRGNGMPASFGSRISLRSSTNRRKLLFSRNFEILVTSFASRSSWFIPSLLAICSLMFLEAVTKMTN >ONIVA10G00140.4 pep chromosome:AWHD00000000:10:168343:171736:-1 gene:ONIVA10G00140 transcript:ONIVA10G00140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNGGAWRPRRGSLSAAAASRLLRVEGHDRRGRAVDAAGNSSRCRVPTLIYPRRGGDRDDSLDFSPSGRRSPVTISANANEATSHGETGKLEMPVVAASLAGNTIKRVSNCGNVTSESQIFSNPSSRSQKDTLKTERLGNISCGLSNIVGTYSTGDIVIPSPKYIFNKSSTCNSVRLDMTPSGIVFMLVPCRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSGIFSSLFQLKSRYVTAMNL >ONIVA10G00140.5 pep chromosome:AWHD00000000:10:168343:171736:-1 gene:ONIVA10G00140 transcript:ONIVA10G00140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNGGAWRPRRGSLSAAAASRLLRVEGHDRRGRAVDAAGNSSRCRVPTLIYPRRGGDRDDSLDFSPSGRRSPVTISANANEATSHGETGKLEMPVVAASLAGNTIKRVSNCGNVTSESQIFSNPSRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSGIFSSLFQLKSRYVTAMNL >ONIVA10G00140.6 pep chromosome:AWHD00000000:10:168343:171736:-1 gene:ONIVA10G00140 transcript:ONIVA10G00140.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNGGAWRPRRGSLSAAAASRLLRVEGHDRRGRAVDAAGNSSRCRVPTLIYPRRGGDRDDSLDFSPSGRRSPSQTQHLKGETGKLEMPVVAASLAGNTIKRVSNCGNVTSESQIFSNPSRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSGIFSSLFQLKSRYVTAMNL >ONIVA10G00140.7 pep chromosome:AWHD00000000:10:163943:166746:-1 gene:ONIVA10G00140 transcript:ONIVA10G00140.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIELIEPGTLHSVQPLPCFMGWDHSAVPISSLDLSSNVVSPGDQIIRAMRKTSSEMEI >ONIVA10G00130.1 pep chromosome:AWHD00000000:10:147144:150639:-1 gene:ONIVA10G00130 transcript:ONIVA10G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASSFSAAAAAAARRSSPSHLRLLARRHLPFSSTVSFSAAAPPAAAASFGWEDALRVAADDRRGDESDLSGYFRKVDTCNRGMDKKGEFVEFMVEDQVVGYIHQGFVEHLRDFHDVFTIASGSNGNNNVEHVTLHSSLRTPDERTNAVGSVIRSLGDLIPGIRNELFPITSSYGMPVYFSLERAAAPFFGIKAYGVHMNGYVEKESQKFLWIAKRSDTKQTFPGMLDHLVAGGLPYGISCEENVIKECEEEAGIPRSISSNATSVGAISYMDIEGFRYKRDVLFCYDLKLPPDFVPNNEDGEVDSFRLIPVPHAANIIRRTHFFKPNCNLVIIDFLFRHGLPWLPKAAAKLEER >ONIVA10G00130.2 pep chromosome:AWHD00000000:10:147144:150639:-1 gene:ONIVA10G00130 transcript:ONIVA10G00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASSFSAAAAAAARRSSPSHLRLLARRHLPFSSTVSFSAAAPPAAAASFGWEDALRVAADDRRGDESDLSGYFRKVDTCNRGMDKKGEFVEFMVEDQVVGYIHQGFVEHLRDFHDVFTIASGSNGNNNVEHVTLHSSLRTPDERTNAVGSVIRSLGDLIPGIRNELFPITSSYGMPVYFSLERAAAPFFGIKAYGVHMNGYVEKESQKFLWIAKRSDTKQTFPGMLDHLVAGGLPYGISCEENVIKECEEEAGIPRSISSNATSVGAISYMDIEGFRYKRDVLFCYDLKLPPDFVPNNEDGEVDSFRLIPVPHAANIIRRTHFFKPNCNLVIIDFLFRHGYHVYKPRLPWLPKAAAKLEER >ONIVA10G00120.1 pep chromosome:AWHD00000000:10:133347:134014:-1 gene:ONIVA10G00120 transcript:ONIVA10G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAESAAAMTGVAGSAAATTWVARSVATMTGEVGAAATMTGVAGSAATTTGEPDRICDEFVICEWIRASKDFGFGVGDFWVGDLRFGVGDFGFAGVIFTGGSL >ONIVA10G00110.1 pep chromosome:AWHD00000000:10:125996:132015:-1 gene:ONIVA10G00110 transcript:ONIVA10G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRATSTLLLLLLLVSATWAASAPTTSRARNVITHVKGFQGRLPFHLETGYVEVDNTDTVELFYYFIQSERSPADDPLILWITGGPGCSALSGLLFEIGNEYTCIYTGPLKFDVAGYTEGFPQLFYFQDSWTKVSNVIFLDAPVGTGFSYAREEQGYNVTLTQTGQQLVVFLTKWLGDHPEFASNPLYIGGDSYSGYTVPVTALQIANDDDARARLNLKGYLVGNAATDVKYDSGGKVPFMHGMGLISDEMYEAARSSCRGDYVSTPTNADCANALQAISMATFAINPVHILEPICGFALRGRAMPETTMDQRLRLGLPVECRDNGYRLSYLWADDPEVRATLGIHEGSIASWSRCTALPLFRHDVDSAIPYHAELTQRGYRALVYNGDHDLDMTFVGTQQWIRTLGYNVVTAWRPWYSNRQVAGFTTVYDHNLTFATVKGGGHTAPEYRPKECLDMLDRWTSPAGEL >ONIVA10G00110.2 pep chromosome:AWHD00000000:10:125996:132015:-1 gene:ONIVA10G00110 transcript:ONIVA10G00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRATSTLLLLLLLVSATWAASAPTTSRARNVITHVKGFQGRLPFHLETGYVEVDNTDTVELFYYFIQSERSPADDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPQLFYFQDSWTKVSNVIFLDAPVGTGFSYAREEQGYNVTLTQTGQQLVVFLTKWLGDHPEFASNPLYIGGDSYSGYTVPVTALQIANDDDARARLNLKGYLVGNAATDVKYDSGGKVPFMHGMGLISDEMYEAARSSCRGDYVSTPTNADCANALQAISMATFAINPVHILEPICGFALRGRAMPETTMDQRLRLGLPVECRDNGYRLSYLWADDPEVRATLGIHEGSIASWSRCTALPLFRHDVDSAIPYHAELTQRGYRALVYNGDHDLDMTFVGTQQWIRTLGYNVVTAWRPWYSNRQVAGFTTVYDHNLTFATVKGGGHTAPEYRPKECLDMLDRWTSPAGEL >ONIVA10G00100.1 pep chromosome:AWHD00000000:10:116499:125675:-1 gene:ONIVA10G00100 transcript:ONIVA10G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARIDHLTNKNVRDEGQGQEIGEAIYFSMHLCTEAAVTRRRLLLLLLLLVTCSCLSARERSNSSSSSSSRRVVRHLPGFDGALPFELETGYVEVDRIAGVRLFYYFIRSESSPADDPLLLWLTGGPGCSAFSGLVYEVGPLTFDVHGHGHGQLPRLLYKPESWTKRTNVIFLDSPVGTGFSYADTDAGFRTGDTIAVHHILVFLNNWFQEVHPDFLSNPLYIAGDSYSGMIVPAVTFGIATSSPKPSLNLKGYLLGNPVTDHNFDAPSKIPFAHGMGLISDQLYQAYKKSCSVKHNTQQQSFVKDIYGNHILEPYCTFASPHNPRIDKPFTSGVRQILQLQDFHLSEISSECRTAEYTMSRIWANNDTVREALGIHQGTVPSWQRCNYDILYTYDIKSSVRYHLDLTTRGYRSLIYSGDHDMIIPFIGTQAWIRSLNFSVVDEWRPWFVDGQVAGYTRSYSNNLTFATVKGGGHTAPEYMPKQCLAMLARWVSGNPL >ONIVA10G00090.1 pep chromosome:AWHD00000000:10:110703:113159:1 gene:ONIVA10G00090 transcript:ONIVA10G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0INR6] MAAAVLLLLLLLPALAAAQAQAQQMRTFSANDTNWSPAESNRTLVSNNGYFAAGFRPSPSSPAKFWFAVWVSANANESRPVVIWYAHKNNDHSAVEGDANSVLSIDAAGKLSWSDNGNSTTLWSPNSNSNSTSAPLSLNDSGSLDHGAWSSFGEPTDTLMASQAIPSISNGTTTTTTTSITLQSQNGRFQLVNALTLQHGSSAYANITGNTALRNLTADGTLQLAGGNPSQLIASDQGSTRLRRLTLDDDGNLRLYSLQSKKGQWRVVWQLVQELCTIRGACQGEANICVPQGADNTTCVCPPGYRPQGLGCAPKLNYSGKGNDDKFVRMDFVSFSGGADTGVSVPGKYMTSLTPQNLADCQSKCRANASCVAFGYKLGGDRTCLHYTRLVDGYWSPATEMSTYLRVVESNNDPNNFTGMTTMIDTVCPVRLALPVPPKQGRTTIRNIAIITALFAVELLAGVLSFWAFLRKYSQYREMARTLGLEYLPAGGPRRFSYAELKAATKEFSDMVGRGAYGKVYRGELPDRRAVAVKQLDGVGGGEAEFWAEVTIIARMHHLNLVRMWGFCADKEQRMLVYEYVPNGSLDKYLFAPGTGTQGDEEESNKRPLLDLHTRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLTSKKEKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEIVSGRRNYGFRQDSVGSEDWYFPKWAFEKVYVERRIDDIIDPRIVQAEAYDDDPASLATVERMVKTAMWCLQDRADMRPSMGKVAKMLEGTVEITEPVKPTIFCVQDD >ONIVA10G00080.1 pep chromosome:AWHD00000000:10:100336:101277:-1 gene:ONIVA10G00080 transcript:ONIVA10G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGTDVVALYGGANGLSHKSGSFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRSVTIPVMAKARIGHLVEAQILEAIGVDYVDESEVLTLADDAHHINKNNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDIRALRSMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPALRARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLSDPKIHVERFAARSD >ONIVA10G00070.1 pep chromosome:AWHD00000000:10:95265:95547:-1 gene:ONIVA10G00070 transcript:ONIVA10G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKVPWRAHWKRRCWRKSARSLGTAAEVEMAAKAAEEEAVEKASSNPWWQRLGRNSDKLVRSPPLSDAPSMWVVVAPPDVVGLPHQPTYS >ONIVA10G00060.1 pep chromosome:AWHD00000000:10:86423:92312:1 gene:ONIVA10G00060 transcript:ONIVA10G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPGPASASASASASAMDSFIHRGAGWHFPRRDNVDARVHVAVGRSPEKTLGLLRWAFRRFACAQVVLVHVHQPSPLIPTLLGKIPAAQATEELVLSHRKSEKDEMNKILLTYLTFCHRAQVQASLLVTENEQIHDGIITLVKDHGITKLVMGSTPDTCFKLKASYGKASFMARNAPSFCEIWFVWRGRHIWTREAAAAIGNNISVYNEDDVMIRKRIRFSSTSNNAESILDEGYISYEAQTPADRYEITISDNGQPNDYESLVDANHFCNIIVPNLQHAQSAFNSTFQPGSSVDMESLVLYPQEILDKNFKQVILEAERSRKDAFVELLKRKDTESRVAGVIARAKASEFAQKQEMKMREELEALLTATKKQHEDLAENKEKATEGLDSSMRKLAILDARAKSIAFRMNEAVAELKLIQSSIGTLNQEIPKREKLELVHTDQVESSTVCADDLYNFRELTLSDIKAATCKFSDSLKVQPRGLGCVYKGEIMNRSVMIYKLHSCIIQSSMQFQQEVHLISKVRHPHLVTLIGACPDALCLVYEYVPNGSLHDRLWSKCGIPQLPWKIRARIVAEISSALFFLHSCKPQMIVHGDLKLENILLDANLHCKIADCGISQLFMEDAKDADPEYRRSKPLTPKSDIYSFGIVILQLLTGKQAAGLPSEVRRAMSSGKLWSLLDPTAGEWPLEVARRLAELGLKCSEAASPELLTPETVRDLEQLHLMRDNRQEVMHDPQVGADGLTYEGRAISELMDNGPPITPNHALRFAIHDWLSQRSTPF >ONIVA10G00060.2 pep chromosome:AWHD00000000:10:86423:92310:1 gene:ONIVA10G00060 transcript:ONIVA10G00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPGPASASASASASAMDSFIHRGAGWHFPRRDNVDARVHVAVGRSPEKTLGLLRWAFRRFACAQVVLVHVHQPSPLIPTLLGKIPAAQATEELVLSHRKSEKDEMNKILLTYLTFCHRAQVQASLLVTENEQIHDGIITLVKDHGITKLVMGSTPDTCFKLKASYGKASFMARNAPSFCEIWFVWRGRHIWTREAAAAIGNNISVYNEDDVMIRKRIRFSSTSNNAESILDEGYISYEAQTPADRYEITISDNGQPNDYESLVDANHFCNIIVPNLQHAQSAFNSTFQPGSSVDMESLVLYPQEILDKNFKQVILEAERSRKDAFVELLKRKDTESRVAGVIARAKASEFAQKQEMKMREELEALLTATKKQHEDLAENKEKATEGLDSSMRKLAILDARAKSIAFRMNEAVAELKLIQSSIGTLNQEIPKREKLELVHTDQVERELTLSDIKAATCKFSDSLKVQPRGLGCVYKGEIMNRSVMIYKLHSCIIQSSMQFQQEVHLISKVRHPHLVTLIGACPDALCLVYEYVPNGSLHDRLWSKCGIPQLPWKIRARIVAEISSALFFLHSCKPQMIVHGDLKLENILLDANLHCKIADCGISQLFMEDAKDADPEYRRSKPLTPKSDIYSFGIVILQLLTGKQAAGLPSEVRRAMSSGKLWSLLDPTAGEWPLEVARRLAELGLKCSEAASPELLTPETVRDLEQLHLMRDNRQEVMHDPQVGADGLTYEGRAISELMDNGPPITPNHALRFAIHDWLSQRSTPF >ONIVA10G00050.1 pep chromosome:AWHD00000000:10:65540:77586:-1 gene:ONIVA10G00050 transcript:ONIVA10G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18890) TAIR;Acc:AT3G18890] MEQAAKATISLSPPSYAGCCMAACPYRSTRHLRRGGGCSARSISSLRHAPSARVYAAAAAAATPEPKSTKENDLVFIAGATGKVGSRAVREFIKLGFRVRAGVRSAQRASSLVQSVEQLKVDDDATSPAERLEIVECDLEKQAQSDIVSAIGNAAIVVCSIGASEKDILDVTGPYRIDYMATNNLVQAATAAKVEHFILVTSLGTNRIGFPAFLLNLFWGVLCWKRRAEEALIGSGLPYTVAELIACIASNRRTAYCKVVEAIAETTAPLLPTEDQLANIPSKRLTQQPPPEPEVVQQGETPPKPIQQSQRPLSPYTAFVDLKPPSSPSPCPPSAAAPAPTSTDTAAAGSSSTLNSSATGTPISVDQPKQQQRPLSPYTRYEELKPPSSPSPTPPSAASSASASASPDTPPAAAASSAALDSSANGTPITGDQLNQQQRPLSPYTRYEELKPPSSPTPSTPKL >ONIVA10G00040.1 pep chromosome:AWHD00000000:10:58992:59421:-1 gene:ONIVA10G00040 transcript:ONIVA10G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTGYCRSPSPEHCRPNPRATGLALMSRPISHYSHFFYRPEQAFAAAVPASYLTSTADLCRPRMRVDGEHVMLPTSPRFGHRRAGAPLVLSSPSLAANGRGEERRREEEGEEKEKETLTGGPLHSSLS >ONIVA10G00030.1 pep chromosome:AWHD00000000:10:28972:30892:1 gene:ONIVA10G00030 transcript:ONIVA10G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDRFDKYVSIYEELRDGLSTTSSPAVERRPDRHGDELGEARGARTYREMEEQRRSVSRWWSGEEGEARPQGVRRNVSKEKEKEERGGRARGGWEGTEGRGGRCSVGGWDARSVWGSRQAATGQERRRSVGLGTMMAMAQQLAAAARLETSGDAMATRGDATVTATARQRRRARRGGGDGDAAAVRWDGTRRGSTRGARARRRQQCAVAATARQRCGTVMDVTAAPSSS >ONIVA10G00020.1 pep chromosome:AWHD00000000:10:24831:58621:-1 gene:ONIVA10G00020 transcript:ONIVA10G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGASLSPRPPQHAAQIRNKIVQPDGSKGHCHVHGDQGLLDFDLCTLLGCLFLRTILLVFRVVAALVISDDPRPHRRRRRRVTITVPHLCRAVAATAHCCRLRALAPRVLPRRVPSHRTAAASPSPPPRRALRRCRAVAVTVASPRVAIASPLVSSRAAAASCCAIAIIVPSPTLRLRSCPVAACLEPHTLRASHPPTLHRPPLPSVPYINPCLPFPPHTSQPPRAPTVAVPVRPPFYRRRARRGQAIPELLLVKDSIYKI >ONIVA10G00010.1 pep chromosome:AWHD00000000:10:23713:24003:1 gene:ONIVA10G00010 transcript:ONIVA10G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQSAAAARPHWRRREPSDGEVYVVHPTHFRTVVQQLTGAPPPVANNNANVAAQHNNRPSQQHMSSNNNNNGSVTTLGQMHQECMAWAAQDDQH >ONIVA09G20970.1 pep chromosome:AWHD00000000:9:20401742:20406879:1 gene:ONIVA09G20970 transcript:ONIVA09G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3550/UPF0682) [Source:Projected from Arabidopsis thaliana (AT3G03570) TAIR;Acc:AT3G03570] MEEGGGPIGFAMASSPHQVVAPQPQSQAQAGGGGGGGGGTAEQFWSLLDKADRRFARVRDLPLFGRREPDEYGKAFRIYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYSQYQRTSDTALLSEAFVFYHAVLDRGYFLADAADHLFAPTKHLRFLARFLLVALLLARRADTVPRLTTHIRTLLDDSKKTLQEADYKEWKHVVQEIARFLRADSPFINMRPLRYSYAFDPPPDTLPTVPPTVKKRGLVLSDAMLCSYYQNEIKFTDLTIDVFRMLQCLEWEPCGSFALTNGYSTRDESGQNHPNLLKDLRDAALPPNPLKTILYRPSVTHFLTVLATKCEELPSNGMMLIYLSAAGEVGSSGFCPDTNEMVVSSLNKFDISNTSTINVNEDNGPRLWLGCREGEGSNCIYPCDLIPFTRRPLFLVIDSNASYSFKAALQSIHGFEKGETTAMLLSPSCRSSSAGFSGDSVRQIGSQFTMFLTAPLQAFCHLIGNNGVDIDRDTYNKAEELLSLSLNEWATTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRAAHSIFKPTYHKVDFLPTCTPPLPESVDAESMLSQCCLLRVASFFGATNQFSFSEVTTWPEVDVEEAAVVNPSI >ONIVA09G20970.2 pep chromosome:AWHD00000000:9:20401742:20406879:1 gene:ONIVA09G20970 transcript:ONIVA09G20970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3550/UPF0682) [Source:Projected from Arabidopsis thaliana (AT3G03570) TAIR;Acc:AT3G03570] MEEGGGPIGFAMASSPHQVVAPQPQSQAQAGGGGGGGGGTAEQFWSLLDKADRRFARVRDLPLFGRREPDEYGKAFRIYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYSQYQRTSDTALLSEAFVFYHAVLDRGYFLADAADHLFAPTKHLRFLARFLLVALLLARRADTVPRLTTHIRTLLDDSKKTLQEADYKEWKHVVQEIARFLRADSPFINMRPLRYSYAFDPPPDTLPTVPPTVKKRGLVLSDAMLCSYYQNEIKFTDLTIDVFRMLQCLEWEPCGSFALTNGYSTRDESGQNHPNLLKDLRDAALPPNPLKTILYRPSVTHFLTVLATKCEELPSNGMMLIYLSAAGEVGSSGFCPDTNEMVVSSLNKFDISNTSTINVNEDNGPRLWLGCREGEAMPAIHSRQSIHGFEKGETTAMLLSPSCRSSSAGFSGDSVRQIGSQFTMFLTAPLQAFCHLIGNNGVDIDRDTYNKAEELLSLSLNEWATTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRAAHSIFKPTYHKVDFLPTCTPPLPESVDAESMLSQCCLLRVASFFGATNQFSFSEVTTWPEVDVEEAAVVNPSI >ONIVA09G20960.1 pep chromosome:AWHD00000000:9:20393612:20396345:-1 gene:ONIVA09G20960 transcript:ONIVA09G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin family protein [Source:Projected from Arabidopsis thaliana (AT2G35360) TAIR;Acc:AT2G35360] MAMAAAGDEAEMVEVTLRAVGPSRPTTLRLPPFISVADLRRHIAHDRHLPQDRLRLVLRGRNLPCQDDAHVNLRHGDSLIVAVAPKPPANHLRDGDGDDDDDEEEELKFKIPETTTWWKRKIFIFLRDKLRLPDILLMVLFSLGIKAWVLIAMWFLFAPIAQMYDVGPLFILGTGFLVILCNLGRRQQGDVSAYSIFNEDFRELPGTLNAERIDRDIRAGQF >ONIVA09G20960.2 pep chromosome:AWHD00000000:9:20393612:20396345:-1 gene:ONIVA09G20960 transcript:ONIVA09G20960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin family protein [Source:Projected from Arabidopsis thaliana (AT2G35360) TAIR;Acc:AT2G35360] MAMAAAGDEAEMVEVTLRAVGPSRPTTLRLPPFISVADLRRHIAHDRHLPQDRLRLVLRGRNLPCQDDAHVNLRHGDSLIVAVAPKPPANHLRDGDGDDDDDEEEELKFKIPETTTWWKRKIFIFLRDKLRLPDILLMVLFSLGIKAWVLIAMWFLFAPIAQMYDVGPLFFTDKRHYIIQILGTGFLVILCNLGRRQQGDVSAYSIFNEDFRELPGTLNAERIDRDIRAGQF >ONIVA09G20960.3 pep chromosome:AWHD00000000:9:20393612:20396345:-1 gene:ONIVA09G20960 transcript:ONIVA09G20960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin family protein [Source:Projected from Arabidopsis thaliana (AT2G35360) TAIR;Acc:AT2G35360] MAMAAAGDEAEMVEVTLRAVGPSRPTTLRLPPFISKSFLLTAPRPLPAPRLPICAATSLTTDISHKTASAWSCEEGISRAKTTPILIVAVAPKPPANHLRDGDGDDDDDEEEELKFKIPETTTWWKRKIFIFLRDKLRLPDILLMVLFSLGIKAWVLIAMWFLFAPIAQMYDVGPLFFTDKRHYIIQILGTGFLVILCNLGRRQQGDVSAYSIFNEDFRELPGTLNAERIDRDIRAGQF >ONIVA09G20950.1 pep chromosome:AWHD00000000:9:20386719:20395860:1 gene:ONIVA09G20950 transcript:ONIVA09G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol polyphosphate 5-phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT4G18010) TAIR;Acc:AT4G18010] MSPECICLRLTVFYPTLWKTVIASHSQECENIIVLRKMLEKWLNIKPKLNDFSEDEFDTDGGDEDFSDCAEDASDNFFEIHENNHTINRSSGTWNVAGRAPSEDLDLDQWICSQEPADIFQEVVPLSVGNVLGAEDSRTSQQPKANCKSYSAPPLSPLRVPIPSDDGHDDTKREYDKMTENLSPQQQCRDKQTSISKCSCDWLDGTSSLDWPECPLDIPAKISVSNRGLRRVMSMGLFNTDYLENAQGFDLHGVALQDGIRRSYRSSGNLGMSWSEQQEKVDVLSSVDYMSDWTSDDTTSVVGRDERATFAKGESLKPPGNYVRVVSKQMVGIYVSLWVSRKLRQHVNNLEVASVGVGLLGYMGNKGSISISMSLFQTRMCFVCSHLASGHKCGDQQKRNSDVDEILQRTRFSSLFAAGQPQKIPSHDQIFWFGDLNYRIDMPDAEIRDLVSMKRWDDLLKSDQLTKELTNGNTFAGWKEGLINFPPTYKYETNSSKYVGEKPNEVGNKRSPAWCDRILWLGKGIKQLSYWSSGLNLSDHRPVSSTFIVEVELFLFIIVIVTITVTEMISRWLRCHGHYKTI >ONIVA09G20950.2 pep chromosome:AWHD00000000:9:20386719:20395860:1 gene:ONIVA09G20950 transcript:ONIVA09G20950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol polyphosphate 5-phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT4G18010) TAIR;Acc:AT4G18010] MSPECICLRLTVFYPTLWKTVIASHSQECENIIVLRKMLEKWLNIKPKLNDFSEDEFDTDGGDEDFSDCAEDASDNFFEIHENNHTINRSSGDKIMPLRRLQRRKSESLRVNYISNKDMRFGLYEFIFNPQCIPCHASGDFIIVVTTRVMIGTWNVAGRAPSEDLDLDQWICSQEPADIFQEVVPLSVGNVLGAEDSRTSQQPKANCKSYSAPPLSPLRVPIPSDDGHDDTKREYDKMTENLSPQQQCRDKQTSISKCSCDWLDGTSSLDWPECPLDIPAKISVSNRGLRRVMSMGLFNTDYLENAQGFDLHGVALQDGIRRSYRSSGNLGMSWSEQQEKVDVLSSVDYMSDWTSDDTTSVVGRDERATFAKGESLKPPGNYVRVVSKQMVGIYVSLWVSRKLRQHVNNLEVASVGVGLLGYMGNKGSISISMSLFQTRMCFVCSHLASGHKCGDQQKRNSDVDEILQRTRFSSLFAAGQPQKIPSHDQIFWFGDLNYRIDMPDAEIRDLVSMKRWDDLLKSDQLTKELTNGNTFAGWKEGLINFPPTYKYETNSSKYVGEKPNEVGNKRSPAWCDRILWLGKGIKQLSYWSSGLNLSDHRPVSSTFIVEVELFLFIIVIVTITVTEMISRWLRCHGHYKTI >ONIVA09G20950.3 pep chromosome:AWHD00000000:9:20386719:20395860:1 gene:ONIVA09G20950 transcript:ONIVA09G20950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol polyphosphate 5-phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT4G18010) TAIR;Acc:AT4G18010] MSPECICLRLTVFYPTLWKTVIASHSQECENIIVLRKMLEKWLNIKPKLNDFSEDEFDTDGGDEDFSDCAEDASDNFFEIHENNHTINRSSGTWNVAGRAPSEDLDLDQWICSQEPADIFQEVVPLSVGNVLGAEDSRTSQQPKANCKSYSAPPLSPLRVPIPSDDGHDDTKREYDKMTENLSPQQQCRDKQTSISKCSCDWLDGTSSLDWPECPLDIPAKISVSNRGLRRVMSMGLFNTDYLENAQGFDLHGVALQDGIRRSYRSSGNLGMSWSEQQEKVDVLSSVDYMSDWTSDDTTSVVGRDERATFAKGESLKPPGNYVRVVSKQMVGIYVSLWVSRKLRQHVNNLEVASVGVGLLGYMGNKVILPSVDCRSLQYVGGSISISMSLFQTRMCFVCSHLASGHKCGDQQKRNSDVDEILQRTRFSSLFAAGQPQKIPSHDQIFWFGDLNYRIDMPDAEIRDLVSMKRWDDLLKSDQLTKELTNGNTFAGWKEGLINFPPTYKYETNSSKYVGEKPNEVGNKRSPAWCDRILWLGKGIKQLSYWSSGLNLSDHRPVSSTFIVEVELFLFIIVIVTITVTEMISRWLRCHGHYKTI >ONIVA09G20950.4 pep chromosome:AWHD00000000:9:20386719:20395860:1 gene:ONIVA09G20950 transcript:ONIVA09G20950.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol polyphosphate 5-phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT4G18010) TAIR;Acc:AT4G18010] MSPECICLRLTVFYPTLWKTVIASHSQECENIIVLRKMLEKWLNIKPKLNDFSEDEFDTDGGDEDFSDCAEDASDNFFEIHENNHTINRSSGDKIMPLRRLQRRKSESLRVNYISNKDMRFGLYEFIFNPQCIPCHASGDFIIVVTTRVMIGTWNVAGRAPSEDLDLDQWICSQEPADIFQEVVPLSVGNVLGAEDSRTSQQPKANCKSYSAPPLSPLRVPIPSDDGHDDTKREYDKMTENLSPQQQCRDKQTSISKCSCDWLDGTSSLDWPECPLDIPAKISVSNRGLRRVMSMGLFNTDYLENAQGFDLHGVALQDGIRRSYRSSGNLGMSWSEQQEKVDVLSSVDYMSDWTSDDTTSVVGRDERATFAKGESLKPPGNYVRVVSKQMVGIYVSLWVSRKLRQHVNNLEVASVGVGLLGYMGNKVILPSVDCRSLQYVGGSISISMSLFQTRMCFVCSHLASGHKCGDQQKRNSDVDEILQRTRFSSLFAAGQPQKIPSHDQIFWFGDLNYRIDMPDAEIRDLVSMKRWDDLLKSDQLTKELTNGNTFAGWKEGLINFPPTYKYETNSSKYVGEKPNEVGNKRSPAWCDRILWLGKGIKQLSYWSSGLNLSDHRPVSSTFIVEVELFLFIIVIVTITVTEMISRWLRCHGHYKTI >ONIVA09G20940.1 pep chromosome:AWHD00000000:9:20384984:20386705:1 gene:ONIVA09G20940 transcript:ONIVA09G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04840) TAIR;Acc:AT1G04840] MPATATCPKALHAHLLRSGALFADPSAAAPLAAAASLASLPYALSILRAHPTTFSYNSAIRALARGPRPHLAISLYRSMLSHSRSHPNNYTYPPLLAACARLADSDSSSAAAAAAAGVALHASLFRRGLESPDRFIRASLLSLYAAAGDLPAARQVFDLSPPNHRDLPLWNSLLHAYLSRAHYVQVLRLFRTMRTADHVTLLALLSACAHLGALHTARWAHAYLATTCSFPITTNLATALLNMYMRCGDVQTACSLFHSTPTRHKDVHTWTVMIAGLALNGFSTDALHLFTHMKDHNIQPDSVTLTAVLSACTHAGMVDEGKRILRRMPLDYHLQPTIEHYGCTVDLLGRAGLLEEALALIRAVPFKADVALWGALLVACRCHRNFEMGQMVAMEILRLDPQHAGAWVFLSNVYAAAGKWDLVQEVRISMKQHRIHKPPGSSVVELDGVVYEFLSGDHSHPQSDQIYAMLDEIGKTLSLKGHKPATKLVTFDIDEEDKEVCISQHSEKLAVAFGLINTRRGAVIRIVKNLRICEDCHSVMKVVSEVYDRVIVVRDRNRFHHFKSGSCSCLDYW >ONIVA09G20930.1 pep chromosome:AWHD00000000:9:20379042:20385390:-1 gene:ONIVA09G20930 transcript:ONIVA09G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSGDSRPRRKREAWRATPAAAAAAAEEESESARRAQAARSVVGVGAGVGEHGAVERDGEVGAGPAGEGANGGVVGEGGGVGAEDGECVGEGGEGGGGSEGGRRGGVGEEGAGAEEVGVEGLWTRGGGGHDEKSGSLSLSWASRPKISRDRRRKRELGKWAPEGSDQTTGGVEFKVFYWIRFYETQIRQERSSLKAGRQAMASMEGLIGLMNRIQRACTALGDHGGGGEGANLPTLWESLPTIAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHQIDKGAHDYAEFLHLPKTRFSDFALVRQEIADETDRVTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESVVHDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLSKEVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQYPWVGIVNRSQADINRKVDMIVAREKEREYFENSPDYAHLASKMGSVYLAKLLSQHLEAVIKARIPSITSLINKTIDELESELDTIGKEVAADPGAQLYTILELCRAFDRVFKEHLDGGRSGGDKIYGVFDHKLPAAFRKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLVEAGLAYFKGPAEATVDAVHVVLRDLVRKSIGETEPLRRFPTLQAAIATAANEALERFREDGRSTALRLVDMEAYLTVEFFRKLPQDPDSGSKVGNNTNESNGSGSGSVTVDRYGDGHYRNIASNVSQYIKMVGDQLLHKIPKAVVHCQVREAKRSLLNHFYVHIGKKEASQFGHLLDEDPAMLERRQQCWKRLELYKSARDEIDSVAWTRSSFVHVYPDPCHAGNK >ONIVA09G20930.2 pep chromosome:AWHD00000000:9:20379042:20384862:-1 gene:ONIVA09G20930 transcript:ONIVA09G20930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEGLIGLMNRIQRACTALGDHGGGGEGANLPTLWESLPTIAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHQIDKGAHDYAEFLHLPKTRFSDFALVRQEIADETDRVTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESVVHDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLSKEVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQYPWVGIVNRSQADINRKVDMIVAREKEREYFENSPDYAHLASKMGSVYLAKLLSQHLEAVIKARIPSITSLINKTIDELESELDTIGKEVAADPGAQLYTILELCRAFDRVFKEHLDGGRSGGDKIYGVFDHKLPAAFRKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLVEAGLAYFKGPAEATVDAVHVVLRDLVRKSIGETEPLRRFPTLQAAIATAANEALERFREDGRSTALRLVDMEAYLTVEFFRKLPQDPDSGSKVGNNTNESNGSGSGSVTVDRYGDGHYRNIASNVSQYIKMVGDQLLHKIPKAVVHCQVREAKRSLLNHFYVHIGKKEASQFGHLLDEDPAMLERRQQCWKRLELYKSARDEIDSVAWTRSSFVHVYPDPCHAGNK >ONIVA09G20920.1 pep chromosome:AWHD00000000:9:20375415:20379036:1 gene:ONIVA09G20920 transcript:ONIVA09G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGVAVVLVGMAAMLVGMASAATYNVGEPGGAWDLTTNYTNWVAQKRFHPGDQIVFKYSAQRHDVVEVNKAGYDSCSTSTSIATHTTGNDVIPLTSTGTRYFVCGFPGHCTTTGTGNMKIQIDVVQADSSSAPAPVATTTPPSPPSSAATSLKATAAAAVLLAALLIMA >ONIVA09G20910.1 pep chromosome:AWHD00000000:9:20371029:20372477:-1 gene:ONIVA09G20910 transcript:ONIVA09G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYAAGRWSSSSSSGGCSFWGRGAGSEEAPATVRAHGGVLLEAHGGDGEGLVEALGGVGAAEQGVGHVGEELLVLEARGGPLDEVLLIVRAGHVDGAAAGDDLEEDDAEAVDVGAGGELAGESVLGGAVAVGAHDAGGDVGLVADGADLGEAEVGEAGLEGGVEEDVGGLEVAVDDGGTSSVVQVLKAAGGALRDAHPSGPVQSRGARGQVKQVVLQGAAGHYPSSVTRFGCSASRLSISTSTRNSRFPCIPFRSSCFTAASVTVPLMLSLPRYTGPNPPSPSSDSALNPPVASDSSPYVNARAVTFPLPIFRISSATRLCRFTRLLLLSLLLPPRLRSDAKFFFLLLLLLLLQPLEEVEGGAPSPSPSPSPPLSSDWKQQKMPIIFMVCWALHQRQQERILEPEG >ONIVA09G20900.1 pep chromosome:AWHD00000000:9:20365017:20370451:1 gene:ONIVA09G20900 transcript:ONIVA09G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGGLAWAWRAVRCGVVLPTLQLAVYVCVAMSIMLFLERLYMALVVAALWLIRRRRRRSNRREQDDDGAENDQLLQDPEAANSPMVLVQIPMFNEKQVYRLSIGAACGMTWPSDKLVIQVLDDSTDPAIREMVEGECGRWAGKGVSIRYENRRNRSGYKAGAMREGLRKAYARECELVAIFDADFQPDADFLLRTVPVLVADPGVALVQARWRFVNADECLLTRIQEMSLDYHFRVEQEVGSACHGFFGFNGTAGVWRVRALEEAGGWKERTTVEDMDLAVRASLRGWRFVYVGHVGVRNELPSTLRAYRYQQHRWSCGPANLFRKIFLEVLSSPTARVSPWKKLHLLYDFFFLRKLVAHLLTFSFYCVVIPACVLAGSDHVRLPKYVALYVPAAITLLNAACTPRSCHLLIFWILFENVMSMHRTKATLIGLLEATRANEWVVTDKRGNANPKHQQPANTTTRPGRKTTTSSSRTSFFNNDVHVAEILLGACLLYCALYDIAYGRDSFYIYLLLQSAAAFIVGFGYVGT >ONIVA09G20900.2 pep chromosome:AWHD00000000:9:20369952:20373021:1 gene:ONIVA09G20900 transcript:ONIVA09G20900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFCCFQSEDRGGDGDGDGDGAPPSTSSSGCSNSSSSSKKKNLASERSLGGSSRDNNSNLVNLVNEIVAESVTYRHKRVADEILKIGKGKVTARAFTYGELSEATGGFRAESLLGEGGFGPVYRGRLSIKGTVTEAAVKQLDRNGMQGNREFLVEVLMLSLLAEHPNLVTLLGYCTDGDHRILVYEYMARGSLEDHLLDLPPGAAALDWTTRMRIAQGAARGLEHLHDAARPPVIYRDFKASNILLDSSFQARLSDFGLAKVGPVGDKTHVSTRVMGTYGYCAPEYALTGKLTTCSDVYSFGVVFLEIITGRRAIDMARPHDEQNLVQWAAPRFKDKKLFADMADPLLRGAYPTKGLYQALAIAAMCLQEDATMRPAISDVVTALEYLTVAGASSEPAPRPQKLQPPEDDDDDQRPAA >ONIVA09G20890.1 pep chromosome:AWHD00000000:9:20360797:20363496:-1 gene:ONIVA09G20890 transcript:ONIVA09G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDRDNMVSEQSIHGIPIREMQWTTHGGSDDCWGQDLSHGDDSVGGARQVFDEMPSRLGSAAGAALHVQVNHLIYPVSTNVMHQVFNPYGAVAVQMLVVDAWRVEAIVWFRSTCDAEWAQAELHGRNIYDGGCVLDVQHVPTLLEDRADIAPTKCSMQVPGCATTKSDTQSTPTTLEHVFPATMSPSAASTKSAVTTTSASLTEAMEAEASMDKVLENAGKAIQDLCTRIDRILEAFRDTKVDLSENKDSTRDVAVLSANTSPTTIALEVSAEAGPTNHVDSAKLGMGTTIECSMKGKNQLVDDDGKDMANDERTELIEVDTKFTSVNLCFRDPWLALNAIPSRILIGCLNHDLGVNSLSLVPSTLEVPYHCFVLGSPLQPWPPPLQAKSKGSIVERQLELWHDPQIKQDNKGVVVNLLQPRLSPDKWNESWFSCDNAWELAQSHCKFLLTEHMALIAQYEKNRFEQDLSLCMKSPWPPPPHKIRTDLLWLNSHEVSSLQFNAEFWRLLARINLFDCHSGQEDMQLFQAGAKQYSPLVVRMALADHLQAPWDPGGSNLVTLLHVRKDRQQPPPRPLQIVFPIGLSGVKVWLLFALTLVQFLGSVTTYNAKFWRFSPDSVSIQGSKESNFRVAYLMCRSSDRQFASSNIALNIQTSSSCHVLHKYGQVLNSCQAPSLFQLKLTASCLLGKHSLRRKGIGSVPTDKRTHLQVLLHQLMYKKSYQMYETRAQVLKLTRPWNLGILLLNNSLMAIYSRSCCIDLGTSRFLRREECHVSGGLHGLLEKWAWPSSHTEGASNQEV >ONIVA09G20880.1 pep chromosome:AWHD00000000:9:20349439:20353066:-1 gene:ONIVA09G20880 transcript:ONIVA09G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGDKAKAAITLSERTCTAVLTSHPLSRDIHIESLSLTFHGHDLLLDTDLELNYGRRYGLLGLNGCGKSCLLKAIGCRELPIPPHMDIYHLTHEIEASDMSALQAVISCDEQRLQLEKEAEILAAQDDGGSEALDRVYERLEAIDASTAEKRAAEILFGLGFNKHMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNRKLKLYTGNYDQYVQTRAELEENQMKQYRWEQDQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLTFRFTDVGTLPPPVLQFVEVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLIPLDGMVRRHNHLRIAQFHQHLAEKLDLDMSALQYMMKEYPGNEEERMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAWREPHMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMEFKEHLRSKAGVDD >ONIVA09G20870.1 pep chromosome:AWHD00000000:9:20341934:20344356:-1 gene:ONIVA09G20870 transcript:ONIVA09G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYRSATPLPHYINYEITSHAYGSPYIVSRSYNSASCDVNDYGRISENSASKHLPPHNSTTYSLSPAQPQSFGIEHIPLPKPSVNNFQQNGSFVQSTTHKAEETHRTNDTKLASSARGQFVEDFGSKIDNLIEEICRDVEEKFNAYILSKGSKPIFNQQRKPINLELWANEKEVAMLDLCGNIGPFVLPTKFRAKDDDEHLDDGARNRDDKVNILESHQRTNLGITRVKKSECFSNISNQRIQSAINEGRLQFATPRKSHAKYDRFDKRNQSRWSSMKKYSAQSGGSSTRKQIWVLKSRGQEKGLAAGARKKQLHKLSAEKLRDRGMAWVPKGSVQVHNEKDVKREVEAKEEKGVTEACTKSMVCIQSSGSFATTLFIFFTYATDTYIMESILRYVCLSLMVLFLSLDIICVFILWRDVTV >ONIVA09G20860.1 pep chromosome:AWHD00000000:9:20333622:20337600:1 gene:ONIVA09G20860 transcript:ONIVA09G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQGQGQGKLLYIVVVDDDGATFRYTRSLLHSTLQLMGCKPRHAFEISGRVFDEIRGHMGGDMAMGGGGGVQRYELAADAEAASPRQFQFELYKRRTTLLIPRPLFLRLVCHALALYKYVAPDQRSDLHRACRIRERKESVTILLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVEEKQNPLLWASTYHAGECLDPVAVADAKARRKAKKRSGISTTSTIDFDKTRPLNDKPDGKPIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVMGLMRKHPSIIPFMIYISDEGKHTERFAVRAKYMTLDPTKNKYVKYISNIRTIQEYLCSRADKYLVPKVNNTNVDRSVASIHATVFSCLRRRAAGDQLYDPATNTVAVVNEEYKNQCVANSMSSKGMFKLIQRLGSSRKLMAIVNVDGSVSKAWPVESSSGDGKGGSENGSKKYVGDPIYGPLNIGRAESVNLQFGAFGISAWPTDAGCTSQAGSVNESWDNANEGTGSHVPSSSGSPKKLDGHCKEIKESAAASGSDDDEEEEEEAADVPPNSGSEEDLSEEDIRAIHEEMEGSVDEDCNRSDEEYDDLAMRDCMENGFLTDDGVVHTVFDGNGQKHSTLRKRQVNLRTLSKIDLDSPDTARSSSALPISASSKRNGTRRWKRSLSESFRSRPRSAPSLVELTPKHKGSAVPEVAPDK >ONIVA09G20850.1 pep chromosome:AWHD00000000:9:20331542:20332609:-1 gene:ONIVA09G20850 transcript:ONIVA09G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSRSDAVPVSSVASRSRRSSATTSSSSSSSRRPGPASSSISGSTASAASSSSSSLAAARASLPDPPVLYPFQEVAGATNNFLAKRAPASTYWPCTLRGRHAALFQLRRAPPPRDTVTGKLLAATARYHHTSLAPLLGGCVAGAHLYIAYELPPGAATLSACLRSPRNPSFTALRTWLSRVQVAADVAQGLDYIHHHAAAVHGRVSSSTVLVSDPGLRARLTHMGAAQLAELEDEEEPSREADVRAFGLLLLELLSGEQATTYRVREAVVETAAAARANGRVRSWVDRRLGDSFPQAVAERLLDVGLRCASASPPPEMTWVAGKISKAYLDSRAWDHSLQRPQAHLSSVSLAPR >ONIVA09G20840.1 pep chromosome:AWHD00000000:9:20325542:20326183:1 gene:ONIVA09G20840 transcript:ONIVA09G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRDVDMHILTALMADMADSSSSSSSSSSSDDSDMRASAAAAEPEHRRSPAPPPPPRQQQLIGVRKRPWGKFAAEIRDSTRKGARVWLGTFDSPEAAAMAYDQAAFSVRGASAVLNFPLHRVQESLQALALGAAGGSPVLALKRRHSIRKRRKPTKHMLLMQQQQQEPTVVELEDLGADYLEELLRLSESSSSSSSSSISNFTTTPNRHCQC >ONIVA09G20830.1 pep chromosome:AWHD00000000:9:20284664:20286632:-1 gene:ONIVA09G20830 transcript:ONIVA09G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDVWSAVRWWDEWQLRILVLGSMGLQWFLLVAAPMRKYTIPGWLRTCIWLAYVSSDALAIYALATLFNRHAKARSGASCGGTNANGGQAGVLEILWAPVLLIHLGGQRELTAYNIEDNELWTRHAVTLVSQVYAFYKSWPNSTDKRLWVSAILMFVIGVLSFSEKPWAFKRARIQKLAAVSSLVQGTIRHDGKWEKAYRFCFTDLPDNKKPGVLDSVDQEGTAILSRAIGAERFSKRWLQNAFGLIYTRAKVTWTPAYLAYHLLLVPALHVASITLFAVSHKRGRYNATDVKITYILLCFTAVLDISAFFFRGLIHLVMFVAKAPSLCEWIAQYNLIDAALRRLQPTGWLIKCATRIGCYEGYFDTKHDKLYSKVAGYLVFDLLRSDQIEGLDLGSYRNLDSEMNNWILSHDLRRRACGEGTEVRSTLLGSFDRSVLFWHIATDLCFTCKPPTFPAHPREVITEAISNYMAHLLNFRPDMLLTGSRQHLFAEAMQQVEAILKLRAGRHFKRPSIQDDKAMVDTIFMRSTSGPGPNEYPLVHEACRLTQELMYHVWVGMLFYSAAMCRGYLHAKSLGEGGEFLSFVWLLLSIKGTKTLSDKLQMPDQPNAPVQQHAQGSQQGKVFQKQEDWELI >ONIVA09G20820.1 pep chromosome:AWHD00000000:9:20281792:20283444:-1 gene:ONIVA09G20820 transcript:ONIVA09G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFCSKRSLPCLLLILAVFFLGCSSPATARRVTEGEPATTTTMVERFRRWKAEYNRSYATAGEERRRLRVYARNVRYIEATNAAAGLAYELGETAYTDLTNDEFIAMYTAPPPLRSSDGDDDDAATTIITTRAGPVDEHNLQPEVYFNESAGAPASVDWRASGAVTEVKDQGRCGSCWAFSTVAVVEGIQKIKKGKLVSLSEQELVDCDTLDSGCDGGVSYRALEWITANGGITTRDDYPYTAAASAACDRAKLGHHAATIAGLRRVATRSEASLANAAAAQPVAVSIEAGGDNFQHYRKGVYDGPCGTRLNHGVTVVGYGQEEAAADGGAAGGDKYWIIKNSWGKNWGDQGYIKMKKDVAGKPEGLCGIAIRPSFPLM >ONIVA09G20810.1 pep chromosome:AWHD00000000:9:20278679:20282554:1 gene:ONIVA09G20810 transcript:ONIVA09G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQAGGFISMAWSMPPLALFAAVLALQQAVAGAAAAGDCPTTCGDVAVPFPFGIGAGCYHSPGFNLTCDRSTDPPRLLLGDAAAFQVLYVSIVNATVRAARVGGINITYGGGNTSSADEGRGAWRGLGDGGPFALSEDRNELVVVWGCDVVALLTDGGGSGNSSNVTISGCASFCPGTDAGGQAIAAPAGSTMSLTEDRRCTGVGCCQMPISVGRDSYQVRLRRLNPSPPQPPPPQGAGDPTVVLIAEQGWVAEASRSTLGYPLPVTFDETAVPVLLGWMIASTRVGADGEVPVNSTCPADAARSACKSSHSSCRNVSSSARAGYVCDCDAGFHGNPYLATGCQDINECERAEEHGCFGECINTAGSFLCRCPAGMQGNYTQRNGCFRPPLPARSSTGLSIGVGVSSAASLILIVIMAIFIIRKQKRRRAKKIRQKYFKQNRGQLLQQLVAQRADIAERMIIPLGELKKATNNFDRARELGGGGHGTVYKGILSDLHVVAIKKSKIAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFVSNGTLYSHLHVSGPRSLPWSDRLRIATETAKAIAYLHSSVSIPIIHRDIKSTNILLDDTLTSKVSDFGASRCIPVDQTGVTTKVQGTLGYMDPAYYYTQRLTEKSDVYSFGVILVELLTRKKPFSHLTPEGEGLVAHFVTSFTEGNLVGVLDLQIMEEADMKVVEVVATLAVTCVNLRGEDRPTMRQVEMALEGIQASRENASGNLSAEKLGESNNVARDFMPSQEGRSMTEGTRQYSLEEEFLLSSRYPR >ONIVA09G20800.1 pep chromosome:AWHD00000000:9:20270655:20278283:1 gene:ONIVA09G20800 transcript:ONIVA09G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSSEPMTNNEGEPVEDASCRSVRRRRYREQTSTNSAPLRQQGDISEGAQNTMTGFDFDRLPQDILCHIHSLIPLRDAACLACLSRRFLRSWRCFPNLTFNQETFSLNVYEGTSYEKEKKPVDIIDSILQNHSGTGVKTLKLDVSNYFKPITADHINNWLNAAVKPGIIEIAVKFPANVQPLLLAFILCRKLTSVHFLVLLCFSPNIKNWLFQKLEKRYMTLHGTFFSGMIQYARTELHSIASNLQTLTLASSKEDFITPMLPVKFLHLRNLNVYFDGIRFQSYDYFSLASFLEACPALETFYIWAGEYDLAWKDPALQDSNADSLQIRRIPEIHHANLKKVSINRFFPSKSLIELTYLIIENASSLQCLKLDTGYGFDTSGMCKRMNKLDVLHALSAVEVAKKYIEVKVPSSVKFNILEPCERYRASMSHVTSAPVDEGNRTVLPLADVSTEAFNLNVCEGTSNEQAKKLVDRIGNILQNHSGTGVKTLKLDVSTCFKLITDDCINNWLHAAVKPGILEIAVKFSHDKPMFNLSCSLLSCAGSSLQSVSFFSCGFHPTLRTSYFKNLRSVYFKFVHITSEELGCLLSSTVSLEKLEIAGCDQLTFLSIPSHLQQLTVLHMIEIYAPKLTTFYFRGPPKILTGDSSCLKYMTLHGTYLSGIIQYARTKLHSLASNLQTLTLFSSKEAGEYDDVWQDPALEDSNADSLHIRRIPEFSHANLRRVSINRFFPSKSLIELTYLIIENASHMCKKMNKGDVIQALKAVDAIKRYIDGKVPSSVKFSVLEPCKRCHIAELSQL >ONIVA09G20790.1 pep chromosome:AWHD00000000:9:20267056:20268774:1 gene:ONIVA09G20790 transcript:ONIVA09G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVINFPRLYREFDRICVLEFPFVDAPHLLETILEVGKPGEEKTWLIGNLPELIEITRFRVAFLRVSSSLERLLSLWKTHGILEIDWDFIGAVEHAVAALHPLSDHQPEALRNGVLGLLERLKLLFPVQPDDADSTDVIASTKLVSDVELIVKDMSLLGLIPIKYPALRDQSAFLPVSVVENQPEFPTDICIVSFKLSDATKVSHRVDKAIEKGWWVGNIDILHTTSHFRSIISRISESISNVQMTLLEGEIDWFEIKRIKQLKDRITALCDNQPMELCNITFEFFTNIDTVLSEFPPIPADIITNQMEYPNELVKGIPAKLDKLLFEANTIQGLKVQYPDPEGLKVNGLSDITPVANSPQLYLHLKHMCILGVTFAHAPYMLEQVLKVGKEREVNRWLVGNLAELKEIASFRTAFLCLVSSLESLSKKHRILEDDWNMIAAVKDAVSRLPPLSDRQPEALRAEVLKLLERLEATLPVQPGGSDSAGANSLEVLSSTLTSDVGAILKDMYEVGLRHIEYTQVDLPAFMELEDEEENQPEYPDTDHLPPSP >ONIVA09G20780.1 pep chromosome:AWHD00000000:9:20262108:20262908:-1 gene:ONIVA09G20780 transcript:ONIVA09G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLDDPEENDLYLFKVSLSPPSHPPPPADPGCCSWVLLDRTAYVADHTNATTANTFFTTRDRRRRRREIRVTFFPAPPPRVSHFCVHCPSMKPECFAVEPLIIATHEELVLLRVAIGRRHASYFHELHDFFVYRADSSTTNNNGGYHPSLDLLPHPGDCYFFDCQVGITHCDDGGGGHEFRDIAAVHGYIKYVEIQSYISDGFAATWSRKITLEDSWEGDWRKDCELHVSDISGSLPELLGDEEARTAQLNLQCPHRQSYNQLAR >ONIVA09G20770.1 pep chromosome:AWHD00000000:9:20244415:20258576:1 gene:ONIVA09G20770 transcript:ONIVA09G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFETLSNSTVYRFHVSTYRFSTTTDSYIVPVALDWAIRDVHNCSAAKLNDTNYACRSANSNWSDTTDGAGYRCRCFGGYEGNPYLDAGCRDIDECQRRNEHPCFGVCINTLGSYQCSCPHGTRGNATIENGCVKTNQGLTTGSIIGIGVGSGTGLLVMALCAAFLTRNIKNRRERILRQKFFKHNRGHLLEQLVSQNADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYDHLHVEGPSSLPWEYRLRIATETARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPTEQNGVTTAIQGTLGYLNPMYYYTGHLTEKSDVFSFGVVLIELLTRKKPYSYRSPQDDSLVSHFTALLTHDNLGDILDPQVKEEGGKEVNEVAVLAVACVKLKADERLTMRQVEMTLETVRSSSLRQELVPSVAAEESKEKHVSWSYPVSEGTSIESSRQYSNDEEYLLSSRMSEMAVALAILLVGLAPATPSSSAQQPPGCPATCGNISIPYPFGIGAGCARDEGFQLECNHTDSPPQLIIVSNSTGRRHRQQLLSLSLADGEARTFLTAKRRCYNSSTGDMVGENDQNATEMSLSGTPYRFSKSRNRLVALGCPNLAYLVDGRGSYISSCTSICRTPESVAAGSTVGFTGEGCCQSSIPYSVDVYKPDIIGFKQGQAGDSVLLNSTDSAASILQSSTVCRYMYLAEDRWIDAAYRDGAVDFNRSDDFAVHVVLDWAVRNAGNCSAARRNLAAANYACRSADSVCVDTGDGDGYRCNCSKGYEGNPYLDGGCKDINECERAKEYPCFGVCINTLGSYHCSCPPGTSGIASIQNSCVKTNQALTTGSIIGIGVGSGAGILVMALGTTFLTRRIKNRRARMLRQKFFKQNRGHLLEQLVSQKADIAERMIIPLAELKKATNNFDESRKLGGGGHGTVYKGILSDLHVVAIKKSKVAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYDHLHVEGPTSLPWEYRLRIATETARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQNGVTTAIQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSPEDDSLVAHFTALLTHGNLGDILDPQVNEEGGKEVKEVAMLAVACVKLKADERPTMRQVEMTLETIRSSSLQQEVVPSVAAEESKEKHVSWSYPICEGTSIESSALPAMVMFPSPATAAMLVLVVLLLQLQLWSAEAQVAVGSGPPAGCPSPDRCGNVSVPFPFGIRAGCSLAGFDLTCDTTTNPPRLMIGNGTLQVVSISLADATVRALDLAGAVNITYDGNVSGNGTWRSLGATVGGPFVVADLRNQLVVTGCNIQVTLMGDRANGITGCSSFCSINDRWTSFVANVSGNASCSGIGCCKTPIPIGRTSYLVDYKTLESSHEHDNKLPNVVRIAEQGWFDGAVAADLLDNSKRDKSPLTPVPVVLDWVVAFTLETALFSAQTGQFADDGNWSCPTAGSGRKTACLSTFSVCRNITGNYRLGYVCQCDKGYDGNPYVTDGCQDIDECERAEEHGCFGECTNTRGAFLCRCPRGARGNATIPNGCTKSNLGLTIGVGIGSGAGLFILALGAVLLTRKVKQRRARMLRQKFFKQNRGHLLQQLVSQKADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSNVTVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPTSLPWEDRLRIATETARSLAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQTGVTTAIQGTLGYLDPMYYYTGRLTEKSDIYSFGVVLMELLTRKKPHSYRSAEDESLVVHFTTLHAQGNLGDILDAQVMEEGKKEVNEVAVLAVACVKLKAEERPTMRHVEMTLESIRSSSLQQEVLHSVSTKKSKELHVSWSHAISEGTSLDSTRQYSLEEENLLSSSLKSLYTKSYRQFSDDGSSGNLCGGAR >ONIVA09G20770.2 pep chromosome:AWHD00000000:9:20258576:20262126:1 gene:ONIVA09G20770 transcript:ONIVA09G20770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIQPQAMAMSLALLLLLLQQQLWSVEAQLSAPPPASCPDRCGDVSVPYPFGIRDGCHLPGFRLTCDATRAPPRLMLGNGTLQVIDISLANSTVRALDLAGAVNFTYDVSKLAPSGSGTWASLGTVAGAGPYVVSEQRNRLVVTGCNVQATLAGENTNIIGGCSSFCPVSEMFTSVAATVPVVPGAGADNATDGGFTCSGTGCCETPIAIGRPSYLVQFLSLDQNQELTGKLPVAVRIAERGWFEGVAGELLNSSSDSAAAALRTPVPVVLEWVVSPTLEAVLQRVTGQFADDRNWSCPADAARSACRSSDSFCSNVTGNYRRGYVCRCRRGYGGNPYVAGGCQDIDECKLAGRCYGECTNTPGDYECRCPRGARGDPRIPNGCVKTNLGLSVGIGVGSGAGLLVMGLGAAFLKRKVKKQRARMLRQKFFKQNRGHLLQQLVSQKADIAERMIIPLLELEKATNNFDKSRELGGGGHGTVYKGILSDLHVVAIKKSKEAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPTSLPWEDRLRIATETARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQTGVTTTVQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSSDDESLVAHFTALLTQDNLGDILDPQVKEEGGEEVKEVAVLTVACVKLKAEERPTMRQVEMTLESVRSSSLQQEHGVGAKKSRENHVSWSYPVSEGTSTQSTRQYSLEEEYLLSSRFPR >ONIVA09G20760.1 pep chromosome:AWHD00000000:9:20234575:20234882:1 gene:ONIVA09G20760 transcript:ONIVA09G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFAQAVEDGLKLSKRLRAPARPIEGMDRASGMPDKASSLLPSAPMAYAVVVDSPPPPNQPHVYGRLDPPTLIPLHMCEFTSLEAGEEEEGEGRG >ONIVA09G20750.1 pep chromosome:AWHD00000000:9:20230275:20233175:1 gene:ONIVA09G20750 transcript:ONIVA09G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGSSVVGVEEKGVGVEGAIVPAMTMLPATAAALLVLVLQLMWSAEAQVAVGSGPPAGCPDRCGNVSVPFPFGIRDGCSLEGFGLTCNTTSNPPRLMIGNSTLQVVNISLANSTLRAVDIAGAVNITYGQIDGNGTWVGVVASPYIVNETLNQLLVTGCNIQVTLVGSGGNVISGCSSFCSINDMYTGGVFRSPGNKCAGIGCCQQQVSIGRPSYRVELTNLDKGREFSGRVPEAVRIAELGWFDGLAADLLNESLADTSRRTPVPVVLDWAVASTGLDVTLNAGLNKQAANNWSCPTAGSGRKSACISSNSFCRNIADNYRSGYVCRCDKGYDGNPYVAGGCQDINECERARENGCFGECTNTPGAFLCRCQHGARGNATIPNGCTKSNLGLTIGVGIGSGAGLFILALGAVFLTRKIKQRRARTLRQKFFKQNRGHLLQQLVSQKADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSIVTVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYYHLHVEGPTSLPWEDRLRIATETARSLAYLHSAVSFPIIHRDIKSHNILLDGSLTAKVSDFGASRCIPAEQNGVTTAIQGTLGYLDPMYYYTGRLTEKSDIYSFGVVLIELLTRKKPYSYRSAEDESLVAHFSTLHAQGNLGDILDAQVMEEGKKEVNDVATLAVACAKLKAEERPTMRQVEMTLESIRSSSLQQEVLHSVSTKKSKEHHVSWNHAISEGTNLETTRQYSLEEEYLLSSRYPR >ONIVA09G20740.1 pep chromosome:AWHD00000000:9:20226325:20229506:-1 gene:ONIVA09G20740 transcript:ONIVA09G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT1G30970) TAIR;Acc:AT1G30970] MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKYNVYHVAYMHIYNYFHHRVPNAKPERESTEIEIFGMQGIPPDVLAAHYGEEEDPSSKVAKVEVPSLRPPVMPNPAGMVYPPRPAYGVAPPMYNPALNPLMARPPIWPTPPPQPWFTQPVVSVPQMASGLAPQQPLFPIQNMPAPMTSAPTNLLQTSFPMAHVGVPSPVTPQVSQPLFPVSTSAGNGAVSSPYVASVAPGSIPTSSPSVAPAGVGYAATNQGTGGPAAVPPPASNNKAPATQPGANEVYLVWDDEAMSMEERRLSLPKYQVHDETSQVEGTNPVVYVLNVRDTALRTY >ONIVA09G20730.1 pep chromosome:AWHD00000000:9:20222484:20224584:-1 gene:ONIVA09G20730 transcript:ONIVA09G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGMRPLHFFSIFVAFASFSQCGARVLRPDELLLDHYYYYHSSSSDPYYSTPILPPYGDAFSPPNPPPPPPPMSPSCLLPPIIPAPTFTYSSPPPPPLYYPPPPDISPSPPPSVTPLPPVVYPSPPEVTPSPPEIAPYPSPPEIVPSPPEITPYPSPPEIVPSPPEITPYPSPPEIVPSPPEITPYPSPPEIVPSPPEITPYPSPPEIVPSPPEITPYPSPPEIVPSPPEIAPSPPTVTPMPPIIYPSPPEVTPGPPEITPYPSPPEVTPSPPEITPYPSPPEVVPSPPEITPYPSPPEVTPSPPEITPYPSPPEIVPSPPSYEPSPPSYEPSPPEYAPEPPVYAPYPPGIFPSPPEYSPEPPSYVPSPPQYAPQPPSYVPSPPVYAPYPPGITPSPPEYAPEPPPGPPGGGGGYLPPVVFPPPYASRGPPGRRAVWCVAKPSVPEGIIQPAMDYACGSGADCDSIQPSGPCFRPDTMIAHASYAFNSYWQRAKSNGATCDFGGTAMLITKDPSYGGCHYSTM >ONIVA09G20720.1 pep chromosome:AWHD00000000:9:20219451:20222199:1 gene:ONIVA09G20720 transcript:ONIVA09G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAGGSGEAPPEPEPAAAATVKSIVVYPIKSCRGISVPQAAITSTGLRWDRQWLVMNSAGRGFTQRVEPKLALIELEMPQEAFTEEWQPTPDSHMVIRAPGLDPLKIPLGAKRATVDDVSVWEWSGSAYDEGDEAAEWFSSCFGKPTRLVRFNEASEIRETNPDYAQGYKVLFADAFPFLLASQGSVDALNSILKEPVPMNRFRPNIIVEGCHPYSEDLWKTIKIDKLTFLGVKLCDRCKVPTINQDNGIPGEEPTEALQALRSDEVLRPSHKNKRRVYFGQNLVCKESLSAKDEGRIIKVGDPVYVLESFPSSDEVPA >ONIVA09G20710.1 pep chromosome:AWHD00000000:9:20216136:20219245:1 gene:ONIVA09G20710 transcript:ONIVA09G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAGFLSSLLGGGGGEEVPQEPAATVRSILIYPIKSCRGISVPQAPITSTGFRWDRQWVVVNSKGRAYTQRVEPKLALVEVEMPPEAFAEEWRPTVDSYLVVRAPGMEPLKIPLSAEQAIIDDVSVWEWSGAAYDEGAEAAEWFSSYFGNPSRLVRFKEASEIRPTNPDYAQGYKIMFTDCFPFLMASQGSLDALNEVLKEPVPMNRFRPNILVDGCHPYSEDLWKTIKINKLTFQGVKLCNRCKVPTINQENGILGTEPTETLLTFRSDEVLRPSHKNKRQVYFGQNLVCKESLSAKGKGRIIKVSDPVYVLERFPSSDEAPA >ONIVA09G20700.1 pep chromosome:AWHD00000000:9:20206968:20216021:1 gene:ONIVA09G20700 transcript:ONIVA09G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G60870) TAIR;Acc:AT5G60870] MFRRLLLPLRCCLSTSTSSSATPTLYSSGTTLVSILSWGRGASGQLGGGKEERRLYPSPVAHLSLPDPAPVLSPTPGRLPDAAAAGTAAGAVEVGISCGLFHSAVVVDGGAWVWGKGDGGRLGLGDESSAFVPRHNPNLSELRVLALGGIHSAALTASGEVFTWGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATSGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNPLPVSVAAVACGGFFTMALTSDGQLWSWGANSNFELGRGSNSSDWRPQLIPSLKNLHVIQVACGGYHSLALTDEGVVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIVYIACGGSTSAAITEKGDLYMWGNARDCQLGVPGLPEIQPLPVKVNFLTDSDEDLGPHHVISVAIGASHAMCLVSRQQNEK >ONIVA09G20700.2 pep chromosome:AWHD00000000:9:20206968:20216021:1 gene:ONIVA09G20700 transcript:ONIVA09G20700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G60870) TAIR;Acc:AT5G60870] MFRRLLLPLRCCLSTSTSSSATPTLYSSGTTLVSILSWGRGASGQLGGGKEERRLYPSPVAHLSLPDPAPVLSPTPGRLPDAAAAGTAAGAVEVGISCGLFHSAVVVDGGAWVWGKGDGGRLGLGDESSAFVPRHNPNLSELRVLALGGIHSAALTASGEVFTWGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATSGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNPLPVSVAAVACGGFFTMALTSDGQLWSWGANSNFELGRGSNSSDWRPQLIPSLKNLHVIQVACGGYHSLALTDEGVVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIVYIACGGSTSAAITEKGDLYMWGNARDCQLGVPGLPEIQPLPVKVNFLTDSDEDLGPHHVISVAIGASHAMCLVSRQQNEK >ONIVA09G20700.3 pep chromosome:AWHD00000000:9:20206968:20216021:1 gene:ONIVA09G20700 transcript:ONIVA09G20700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G60870) TAIR;Acc:AT5G60870] MFRRLLLPLRCCLSTSTSSSATPTLYSSGTTLVSILSWGRGASGQLGGGKEERRLYPSPVAHLSLPDPAPVLSPTPGRLPDAAAAGTAAGAVEVGISCGLFHSAVVVDGGAWVWGKGDGGRLGLGDESSAFVPRHNPNLSELRVLALGGIHSAALTASGEVFTWGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATSGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNPLPVSVAAVACGGFFTMALTSDGQLWSWGANSNFELGRGSNSSDWRPQLIPSLKNLHVIQVACGGYHSLALTDEGVVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIVYIACGGSTSAAITEKGDLYMWGNARDCQLGVPGLPEIQPLPVKVNFLTDSDEDLGPHHVISVAIGASHAMCLVSRQQNEK >ONIVA09G20690.1 pep chromosome:AWHD00000000:9:20203719:20206706:-1 gene:ONIVA09G20690 transcript:ONIVA09G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAPATTSWRWRLLLLLLLAVAALCWIPPAIAMAAAAAAATTSSGGRRSLLGFVEAQGNSSYRCSPSGPCVPCQYSEKSDEKYCCSETGYRLPLKCVEVQNVTKEGNNTKQRKVLDDASTSGGSKHYTTYRSCVPLEDEEKLSVLGFEVMMAGMLLISGPFVYYRKRRTAIMQGASRIPTSPPRF >ONIVA09G20680.1 pep chromosome:AWHD00000000:9:20200135:20201855:-1 gene:ONIVA09G20680 transcript:ONIVA09G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGGHGHGGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKEY >ONIVA09G20670.1 pep chromosome:AWHD00000000:9:20193654:20198698:1 gene:ONIVA09G20670 transcript:ONIVA09G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT1G78930) TAIR;Acc:AT1G78930] MATAALALLSPPLPASPTPPPPPPLRAILLKQPFLRPIHQRRRLSPRPLPRRRDPVAACAPTADAETASTSRSYDALEAERAVAELLREHGASPADAASIAARAPGYAAMLADGVRELDELGLWESWSSGAGAGREVEMAGLGFGRKVYYMGKAKSRRDRGVVPLLESVGVRLSSAKLIAPYVSAAGLPVLIDRIKFLKEILFSSNVYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDVSFPYLIESFPMLLLCSENNHLKPLIDFLQYIGIPKPRIASVLLSFPPIILSDVENDIKPRINAWEKDVAEVSMDSFNMCARKLWPNVNVLPKKKVLGVAMRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPIVTSSPQLLLRKPNENILFFKDMGLDKKTVAKILCRSPEIFASSVENTLKKKINFLIDFGVPKHYLPCIIRKYPELLLLDINRTMLPRINYLLDMGLSKKNVCSMIYRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAVVEYPRYFSYSLEGRIKPRFCVLQSRKIDCSLTDMLAKNDELFAEEFLGIGRSLETDIRSSEGVYVLPYEEIHFCSAQALRRSGIQINKGVQK >ONIVA09G20670.2 pep chromosome:AWHD00000000:9:20193654:20198698:1 gene:ONIVA09G20670 transcript:ONIVA09G20670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT1G78930) TAIR;Acc:AT1G78930] MATAALALLSPPLPASPTPPPPPPLRAILLKQPFLRPIHQRRRLSPRPLPRRRDPVAACAPTADAETASTSRSYDALEAERAVAELLREHGASPADAASIAARAPGYAAMLADGVRELDELGLWESWSSGAGAGREVEMAGLGFGRKVYYMGKAKSRRDRGVVPLLESVGVRLSSAKLIAPYVSAAGLPVLIDRIKFLKEILFSSNVYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDVSFPYLIESFPMLLLCSENNHLKPLIDFLQYIGIPKPRIASVLLSFPPIILSDVENDIKPRINAWEKDVAEVSMDSFNMCARKLWPNVNVLPKKKVLGVAMRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPIVTSSPQLLLRKPNENILFFKDMGLDKKTVAKILCRSPEIFASSVENTLKKKINFLIDFGVPKHYLPCIIRKYPELLLLDINRTMLPRINYLLDMGLSKKNVCSMIYRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAVVEYPRYFSYSLEGRIKPRFCVLQSRKIDCSLTDMLAKNDELFAEEFLGIGRSLETDIRSSEGVYVLPYEEIHFCSAQALRRSGIQINKGD >ONIVA09G20670.3 pep chromosome:AWHD00000000:9:20194043:20199548:1 gene:ONIVA09G20670 transcript:ONIVA09G20670.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT1G78930) TAIR;Acc:AT1G78930] MASTLFFVCTSSRPSPLGCPLNATRQDSQPRTSSVMALRPPRPCPAGVQQRQLDVDSAGNAKTSPEQKLELLDLQEEDDELIFLDADDEEGRQLREKLESLEREFCLLDEQRDNALFQIHVLEETVRFREELVRWLTAVTPLVVAQLDEVVDEHHAVVTLGDGCERKMCVGVAGSFDRGLLKPSANVALNGRSLALVGVLPSDVAGCSAARFLVADADKPGVAYGDIGGCEAQKREVREAVELPLTHPELFAAAGVDPPRGVLLHGPPGTGKTMLAKAVARETSAAFFRVNAAELARHDGPRVVRDLFRLARDRAPAIVFIDEVDAIAAARQGGDGDDGGARRHVQRVLIELLTQMDGFDESTNVRVIMATNRADDLDPALLRPGRLDRKVEFTAPESPEEKRLVLQTCTAGMSLDGDVDLDALAARRDKLSAAEIAAVCREAGMQAVRDRRGAVTADDFDKGYLAVVGKKPGDVATEFHFYN >ONIVA09G20670.4 pep chromosome:AWHD00000000:9:20193654:20198698:1 gene:ONIVA09G20670 transcript:ONIVA09G20670.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT1G78930) TAIR;Acc:AT1G78930] MATAALALLSPPLPASPTPPPPPPLRAILLKQPFLRPIHQRRRLSPRPLPRRRDPVAACAPTADAETASTSRSYDALEAERAVAELLREHGASPADAASIAARAPGYAAMLADGVRELDELGLWESWSSGAGAGREVEMAGLGFGRKVYYMGKAKSRRDRGVVPLLESVGVRLSSAKLIAPYVSAAGLPVLIDRIKFLKEILFSSNVYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDVSFPYLIESFPMLLLCSENNHLKPLIDFLQYIGIPKPRIASVLLSFPPIILSDVENDIKPRINAWEKDVAEVSMDSFNMCARKLWPNVNVLPKKKVLGVAMRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPIVTSSPQLLLRKPNENILFFKDMGLDKKTVAKILCRSPEIFASSVENTLKKKINFLIDFGVPKHYLPCIIRKYPELLLLDINRTMLPRINYLLDMGLSKKNVCSMIYRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAVVEYPRYFSYSLEGRIKPRFCVLQSRKIDCSLTDMLAKNDELFAEEFLGIGRSLETDIRSSEGVYVLPYEEIHFCSAQALRRSGIQINKGVQK >ONIVA09G20660.1 pep chromosome:AWHD00000000:9:20187170:20191243:-1 gene:ONIVA09G20660 transcript:ONIVA09G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G07170) TAIR;Acc:AT2G07170] MKSSAVTSKGKAVFELKHRLVQAINKIADRDTYQIGLDELEKAADTLPPDMVGPFLSCVVDTDAEQKSAVRKECIKVIGTLARSHGSLVAPHMTKMVTSIVKRLKDADSVVRDACVDTCGTLALCARDYGDGGAALVALVRPLFESLGEQNRYVQAGAASCLAKVIDESNYFPGPVLPQMLVRVVKLLKNPHFMAKPAVIELIRSIIQAEGASTEQSLTSALTSIMDALKSSDWTTRKAASIALSSIALSSGYLVASFRTSCLRSLERSKFDKVKPVRDAITQTIQLWKAIPGSDTPEPSEAGSSTKENFFGDHHDGRSINDGGSRDTSFRRVDPASSVSAISGNSITSSKKRSPLSINKIALNNAANQQRSKLSDWHVEISVPKQNMIPLVDFEEKVSGNGSMLKGSNRSPYEIVDNDSKYEYDPMDDKQDCSSMSEVASRSCETKHVTSAQECVEDCDSARDIEQFPRAQKSKSIDSTVTDITSHGTHTCCLSAMKELSHIRKQLQEMERKQANIFDMLQQFMSNSVENMSVLSMKVHNLENAVDKTVYTITQSESRYHLPGSKFIKNQSATSSPRVSTSTPRSSVDANYKPPPIPHLKQEKKWMQDQPSKGLNMGVKEGEFLKSHTHDKTRKPGVVRSESTLGRYVPSSARTRASGVKGHFPVSLTNSCEQPELQNALRASKEFDGNDDMESAYVEALNSGDCDYLIDVMDRTGPVLEKLSRETTSELLRVIAGQFLNKKMFDLALPWLQQVADLSMIYKPSQLFVSVRAQKEFLALLEEAATSGSTEPAIRIAIAQLAFKLTKVCEVAPCRKVLSRVCRGNETIMATAM >ONIVA09G20650.1 pep chromosome:AWHD00000000:9:20181536:20187491:1 gene:ONIVA09G20650 transcript:ONIVA09G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVGDDPLPAGRLLRPCSPRCAAMARRWPPLVVGLALLLLLSVAASSVVAKTDQPDVAALNVMFESMNKPSELLGWKASGGDPCEQQIVGAWIKRHARLSAIELEISNQIYSDVSKNNLNGEIPYQLPPNVVQLNLGKNQLSGQLTDMFSQLPKLTTMDLSFNSFSGNLPPSFQYLKNLKTLDVESNQFSGHINVLAKLSLEDLNVKNNKFTGWIPSKLKSIDNLETGGNSWSSGPAPPGMEESSAGSSNGRDDSGINGFAIGAMVIAVLLAALILLSVLRRNHSSPVSSHYYTDESGRRNSSVVNMKSLEHSPSMGCKTPPAVPRKSMSDNEFENKLNHSRRSTDPISLMNHSSSDLLAATGNFSSSRQLGQGTTGCVFRAKYADGRVLAVKKFDPLSFSGSSDFMDTVNGIAKLRHTNISELVGYCSEPGHYMLVYDYHMNGSLYDFLHLSDAYSRPLTWDTRVRIAVCTAHALEYLHEVCSPPVLHKNIKSSNVLLDADLNPHLSDCGLSFFYELQAKNRAVLGQVCGSTAP >ONIVA09G20650.2 pep chromosome:AWHD00000000:9:20181536:20185843:1 gene:ONIVA09G20650 transcript:ONIVA09G20650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVGDDPLPAGRLLRPCSPRCAAMARRWPPLVVGLALLLLLSVAASSVVAKTDQPDVAALNVMFESMNKPSELLGWKASGGDPCEQQIVGAWIKRHARLSAIELEISNQIYSDVSKNNLNGEIPYQLPPNVVQLNLGKNQLSGQLTDMFSQLPKLTTMDLSFNSFSGNLPPSFQYLKNLKTLDVESNQFSGHINVLAKLSLEDLNVKNNKFTGWIPSKLKSIDNLETGGNSWSSGPAPPGMEESSAGSSNGRDDSGINGFAIGAMVIAVLLAALILLSVLRRNHSSPVSSHYYTDESGRRNSSVVNMKSLEHSPSMGCKTPPAVPRKSMSDNEFENKLNHSRRSTDPISLMNHSSSDLLAATGNFSSSRQLGQGTTGCVFRAKYADGRVLAVKKFDPLSFSGSSDFMDTVNGIAKLRHTNISELVGYCSEPGHYMLVYDYHMNGSLYDFLHLSDAYSRPLTWDTRVRIAVCTAHALEYLHEVCSPPVLHKNIKSSNVLLDADLNPHLSDCGLSFFYELQAKNRAVLGQVCGSTAP >ONIVA09G20640.1 pep chromosome:AWHD00000000:9:20177101:20181159:1 gene:ONIVA09G20640 transcript:ONIVA09G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVSTYTVDEALISMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGAYSWGIVSDNYGRRQARYWQLNKVGFNFTALVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLSSWFLEFIPAPNRGTWMVIFSAFWTIGTIMEASLAWAVMPSLGWRWLLAFSSLPSFALLLFYPLTLESPRYLCMKGRIADAVQVLETMARLNRVALPSGHLMSGHRMELHEMTDSSETSQLLSAKKTNPAAHSSKTEIGGRNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELSHGNKICGSEGIVTMQTNHSNDANLYRNVFITSFGEVPGLILSAAIVDKIGRKLSMSSMLYISCLCIAPLMVPQTESLTTFFLFGARICISASFIVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGVAVSYFPLETSGRKLSDHIAA >ONIVA09G20640.2 pep chromosome:AWHD00000000:9:20177101:20181159:1 gene:ONIVA09G20640 transcript:ONIVA09G20640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVSTYTVDEALISMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAEVGFNFTALVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLSSWFLEFIPAPNRGTWMVIFSAFWTIGTIMEASLAWAVMPSLGWRWLLAFSSLPSFALLLFYPLTLESPRYLCMKGRIADAVQVLETMARLNRVALPSGHLMSGHRMELHEMTDSSETSQLLSAKKTNPAAHSSKTEIGGRNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELSHGNKICGSEGIVTMQTNHSNDANLYRNVFITSFGEVPGLILSAAIVDKIGRKLSMSSMLYISCLCIAPLMVPQTESLTTFFLFGARICISASFIVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGVAVSYFPLETSGRKLSDHIAA >ONIVA09G20630.1 pep chromosome:AWHD00000000:9:20174362:20175382:-1 gene:ONIVA09G20630 transcript:ONIVA09G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLVAAAALVAAGACVQANGGRRPPPPPAVELAASTIVLSPTTTTTTSGSGVNLQADAVVPETETKRAVRGVVVVGVAVDQETDYGSLTCVVIVPGFTSLLRTGHAANVEHLFARLHILGSDLIQV >ONIVA09G20620.1 pep chromosome:AWHD00000000:9:20167663:20169933:-1 gene:ONIVA09G20620 transcript:ONIVA09G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVSAAVQWWDEWQLRILVLCSLGIQWFLLLAAPMRKYTIPHWFRTFIWLAYISSDALAIYALATLFNRHANTTTAAKRHCVNGSALEVLWAPVLLIHLGGQQEMSAYTIEDNELWRRHTVTLVSQVTVAIYAFYKSWPANGGDRKLLVSAVLLFVIGVFSFTEKPWALRRASINRLAAVPSMVQGRKEVSKWRYCFTELEKDKRGILDRCCQLKKEKETPPPGVGTDAVKRSQQHILTDRDKVLLILSDMSLLAADSDLKQEQRRRRQCQSLMGEDDSKEEVEGDLLGTLSPRAEKGSKRWLRRAFALIYTRANVVLTPAYLAYHILLAPFLHITAIVLFAASSKRHHNTIDVKITYVLLCLTAALDILAEPIRQLLFKLMSMADVAALCETVPQYNNLIRSALQRTQPAGVLLKCAAHVGYTQGFFVCQRKNLYHMLAGLIFSDLVEANAKGLDFTSYRSFAPGRRNWVLNENLRKVCGLEVQGSLRGSFDRGVILWHIATDLCMRRMMAENTIDEIDRKFLECTEAISDYMAHLLNLRPDMLMTGSRQHLFTQAMEEVELILKDIESQQQQPHSLKKLGRDILAKKIIDKAKAEVNAAIDIEMVREQEREIRVDEPPPPKYPLVHDACRLAEELMDKMGRRTRCQVMYRVWVGMLFYSASMCRGYLHAKSLGEGGEFLSFVWLILSLKGAKTLADKLQMPEPEPEPEREPEPKSGPYSPGQEIVQGEPTATVATTADEGEDLSFLLPHSPRS >ONIVA09G20610.1 pep chromosome:AWHD00000000:9:20165305:20173314:1 gene:ONIVA09G20610 transcript:ONIVA09G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARPGAVKNIESWDEFTKHFVKSEYKLVVLVFMAPWSEPWKLMRPAVEKMASGLKSEEAEVCTISVDRFNTLGRLLRVEALPTFVLVKRHRAVARVVGVNRDDLHSSINKHLAPPSSSPQTINIS >ONIVA09G20610.2 pep chromosome:AWHD00000000:9:20165305:20173314:1 gene:ONIVA09G20610 transcript:ONIVA09G20610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRRGVQRAGAAAFVCGRPPWGCTRPSPASLEGSDAIDDRPHHQPQLRRPATGPPSASSSSTMMARPGAVKNIESWDEFTKHFVKSEYKLVVLVFMAPWSEPWKLMRPAVEKMASGLKSEEAEVCTISVDRFNTLGRLLRVEALPTFVLVKRHRAVARVVGVNRDDLHSSINKHLAPPSSSPQTINIS >ONIVA09G20610.3 pep chromosome:AWHD00000000:9:20172152:20173314:1 gene:ONIVA09G20610 transcript:ONIVA09G20610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTMMARPGAVKNIESWDEFTKHFVKSEYKLVVLVFMAPWSEPWKLMRPAVEKMASGLKSEEAEVCTISVDRFNTLGRLLRVEALPTFVLVKRHRAVARVVGVNRDDLHSSINKHLAPPSSSPQTINIS >ONIVA09G20610.4 pep chromosome:AWHD00000000:9:20172318:20173314:1 gene:ONIVA09G20610 transcript:ONIVA09G20610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMARPGAVKNIESWDEFTKHFVKSEYKLVVLVFMAPWSEPWKLMRPAVEKMASGLKSEEAEVCTISVDRFNTLGRLLRVEALPTFVLVKRHRAVARVVGVNRDDLHSSINKHLAPPSSSPQTINIS >ONIVA09G20600.1 pep chromosome:AWHD00000000:9:20162616:20164063:-1 gene:ONIVA09G20600 transcript:ONIVA09G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAKTNTFGVWGSNSNSKIAPPMIRSGLPTHRSQLTSLASRGAAPIQDKQTRQIARRRRASGSETAPPPHRSIGKIGMPGGHNKQPAPPVATTGCSALASCLSFHRRAPRPPPARANVVDGATAATTRASAEQYRRRVQFLEEEVRRLGSRLAEHGRSANGGAMATRDRVSSACSGIGATAANKRVTVGGHGGVREMVRLEDGGYLHEIKRVVGMPWERLALQVSQPVVAENAATASEVLDKMTETSAENLCKLLSKMMPIKDIAGRKNPGKVIRRSARLSSGDDFLEALLFMEMDKMEGLVQQGLKIRMASTADSASSTAAGDDDGDRRHQATKDSMVSVVLIQVRDPEQGYAAIGDPMIGVMEASLEKKDGRVKLEMQGIHVAGILFGASRKGRSNGRAMMWSACLGQCKGSHNGRRGGGGGAGAGDVCRCGFVRNTNRVFRR >ONIVA09G20590.1 pep chromosome:AWHD00000000:9:20157625:20162046:-1 gene:ONIVA09G20590 transcript:ONIVA09G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGEDDAQSYYTDEGDDYTDEVDSNVDDDDDGESWDLEEEEVDDDDDDEEAKKKKAATAVDLTKIDRRYRNLSEEQVRARQDADTANVGELFAIPPGFAAVLLRHYKWSLVELQDRLFSDGNRAGAATGVALGGAPVSRNGLPLVCAICFDEHPAGEMRSAGCSHFYCVGCWRGYVHAAVGDGARCLSFRCPDPACSAAVVRELVDEVAGDADRARYATFLLRSYVEEGTRIKWCPGPGCTLAIEFVGGGGGEEKQDDVECKHGHGFCFRCGEEAHRPVSCETVRAWTDKNAMESETASWVLANTKHCPKCRLPIEKNRGCMHMTCRPPCLHEFCWLCLGPWSDHRSSEYYNCNVYDAAKANGEASDDKRRREQGMASLDRYMHFYERWAAHGKARQSAVDDMAGLDACAEKLSAAVAMPVTELCFLAEAYQQIAECRRLLRWTYAYGYYHLGTGLDGDEERRTMVECAQGEAERQLEKLHDCAEHEREELLAEVERTIKLNAILKDNDGEESKKKMEEKAGEMVDMVVAYRQKLAGLTGVCKIFFRNLVKTFQDGLSEVGPAVAAAAAAAVATAPAESSDDAVDPLQPLHQDDSSDVDDDYFGDSDDYGDDGDYMDDDGDDYGGQVVEETEAPAMEKTYVVLTEDDVRARQEEDIAKVCEVLSLSPGAAAVLLRLYRWRAVLLQEEWFLDERRIRDAAGLLPADGGGGAVPARVNRRRLTCAICFDMFAPGRMRSAGCSHYYCVACWRGYVRAAVGDGARCLSLRCPDPSCPAAVVRELIDAVADGEDRERYGWFALRSYVEESAGMRWCPGPGCSRAVEFVGGGGDGEESSEVFCSCGHGLCWRCGEEAHRPVSCKTVAKWVEKNSSESETATWLLAHTKHCPKCRLPIEKNLGCMHMTCRPPCLHEFCWICLKPWRGHAACSRYQPNGTVVALAGANADDERRRQAKASLDRYLYHYERWDANLKSLRVALRDMESLERSELEAMASAAGVPATEMGFVTEAYEQVGEGRRVLGWAHAYGYYLDPDRDFTKRQLFEYLQEDANASLERLHGCAERERRELFAAGADDKAAVDFDKYRAYREKLAGLTRVTRQYFGNLVKAFETDLAEVSSSS >ONIVA09G20580.1 pep chromosome:AWHD00000000:9:20149357:20155301:-1 gene:ONIVA09G20580 transcript:ONIVA09G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0INJ8] MDSGGGGGGGGAALRPSALDLVAALLTGRGRPEEEGWPPSLAENRHLLVLLTTSLAVLVGCGVALLVRRSSISAPAARAQEPQPRAPAPAKRKQEAEPDPDDGRQRVAVFFGTQTGTAEGFAKALAEEAKSRYDKAVFKVLDLDEYAADDEEYEQKLKKEIIALFFVATYGDGEPTDNAARFYKWFGEGNERGEWLSNLRFGVFGLGNRQYEHFNKVGKVVDQLLAEQGGKRIVPLGLGDDDQCIEDDFNAWKELLWPELDKLLRVEDDKSAAPTPYTAAIPEYRVVLVKPEEAMHINNSFSLSNGHAVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDISGTGLTYETGDHVGVYAENCTETVEEVENLLGYSPDTLFSIHADQEDGTPLFGGSLPPPFPSPCTVRTALARYADLLSFPKKSALIALASHASDPKDAERLRHLASPAGKFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMTPTRIHVTCALVYGQTPTGRIHKGVCSTWMKNSIPLEESQECSWAPIFVRQSNFKLPTDPTVPIIMIGPGTGLAPFRGFLQERLALKETGVELGHAVLFFGCRNRKMDFIYEDELNNFVETGALSELIVAFSREGPSKEYVQHKMAEKAPEIWSIISQGGYIYVCGDAKGMARDVHRTLHTIVQEQGSLDNSNTESYVKSLQMEGRYLRDVCMMPAVANAAEYISTANPTLTD >ONIVA09G20580.2 pep chromosome:AWHD00000000:9:20149357:20155301:-1 gene:ONIVA09G20580 transcript:ONIVA09G20580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0INJ8] MDSGGGGGGGGAALRPSALDLVAALLTGRGRPEEEGWPPSLAENRHLLVLLTTSLAVLVGCGVALLVRRSSISAPAARAQEPQPRAPAPAKRKQEAEPDPDDGRQRVAVFFGTQTGTAEGFAKALAEEAKSRYDKAVFKVLDLDEYAADDEEYEQKLKKEIIALFFVATYGDGEPTDNAARFYKWFGEGNERGEWLSNLRFGVFGLGNRQYEHFNKVGKVVDQLLAEQGGKRIVPLGLGDDDQCIEDDFNAWKELLWPELDKLLRVEDDKSAAPTPYTAAIPEYRVVLVKPEEAMHINNSFSLSNGHAVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDISGTGLTYETGDHVGVYAENCTETVEEVENLLGYSPDTLFSIHADQEDGTPLFGGSLPPPFPSPCTVRTALARYADLLSFPKKSALIALASHASDPKDAERLRHLASPAGKKEYSQWIVSSQRSLLEVMTEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMTPTRIHVTCALVYGQTPTGRIHKGVCSTWMKNSIPLEESQECSWAPIFVRQSNFKLPTDPTVPIIMIGPGTGLAPFRGFLQERLALKETGVELGHAVLFFGCRNRKMDFIYEDELNNFVETGALSELIVAFSREGPSKEYVQHKMAEKAPEIWSIISQGGYIYVCGDAKGMARDVHRTLHTIVQEQGSLDNSNTESYVKSLQMEGRYLRDVCMMPAVANAAEYISTANPTLTD >ONIVA09G20570.1 pep chromosome:AWHD00000000:9:20145278:20145775:-1 gene:ONIVA09G20570 transcript:ONIVA09G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPAQVHGGVNLNLRVLETSPRRRSANGGGGGAAPAAAAAVDPREAFSCNYCHRKFFSSQALGGHQNAHKLERTLAKRSRDIVMTAAVSPSPSSSSSSRAVHGFDGVAGGFFWTAPPYGHAAAAEAAEEDHDVAPPAPPPADGGCGGGRYGRNGEEIDLSLKL >ONIVA09G20560.1 pep chromosome:AWHD00000000:9:20137557:20141795:1 gene:ONIVA09G20560 transcript:ONIVA09G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRRLWKTKHLTLRPPCHGGGDGDGDGGHPDWILLDVQAYIADRRNATTATAMLSNGGHQIQVTICVAPPPLVSYICAWSPTTHPAELFDTEPTVEAVDADLLLLRIHVSLNHVHDLVYQASMLPSLTLMPSQDPYLHEPNCIALIPRSSHGFYISTLDTDLRSGIGRYNLCLFDSTNSKWSHESLSLDQLRNPPDKNEVLHITEKVITFINDPHLVAFVDLWRGIIICDILDTKTQTASYVPMPKEIINLNRTRGALITRDIAVVRDRLTMARLGTVFDPEINGWDWELSTWSRPVGSCLDDDDEDWREDFMVESCDISVDDNTCKNVELLPKTQDDRPAIAKLHVANPTLSLTDPQVVYLVGNVDITDEKAVLLTLDMANKRLQRISVYDAERFVNGVDVGFTQSTISQYFAPASDLAEIDLFLVLSRFQVKLLIDRSRGSHPSMSKNYPLTRTIHLTLRPPCHGGDPSDADHPPEWVLLDFRAYVADRRNATTATARLGNGHAIQVTICAAPPPLVSYICAWSPTSDPTELFEMEPTVEAVNADLVLLRIHVLPYEVEDLVYRARGWRTPLPSLTPIPKQDPYLQERYNIAILPRSHGFYISTLDCYFPDPDRSLGRYNLCLFDSLYCKWSNVPLSLDQLRNPPDKNKVFHLTEKVIILKDPHLVAFADLWRGIIICDILDISTASYVPMPKEIINLRRTRASSITRDIAVVNGRLTVVRLTTVFDPDINGWDWDLSTWSRAVDCLEQEDWREDSLVEASDILIDHNICNVELLPKIQGQPTMAKLHVALPTLSLTDAQVVYVMGKVNESDEKAVLLSIDMANRRLDAVSVYDAARILHYFDVCYTQSTIFRYSAPSSGLNGNLKRPGKFPMPYPRKQQAVNEPFLPDAGRGLETEDRDTMDWE >ONIVA09G20550.1 pep chromosome:AWHD00000000:9:20132270:20137053:1 gene:ONIVA09G20550 transcript:ONIVA09G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRVQDEVEMQRRTTNRIFPDERQDQFKLPFQAARADRFGVNRIDAKTTEKIKVISEGNIPWHRRILDPGSSMVLMWNRVFLGSCLFALFIDPFFYYLPLVHVLDESTNRSCIAKDRRLSITITVLRTFADLFYMLNIMVKFHTAYVDPKSRVLGKGELVLDLKKIQRRYLRTDFFIDLLATIPLPQVTVWIIMPSIKNSDYNIRNTTFALVIMIQYIFRMYLIVPLSNQIIKAAGVVAKSAWLGAAYNLLYYMLASHITGAIYYLLSIERQITCWNQQCLNESCSFNFISCDNTGSSSYLTWGKNTSIFDNCDPNRNSSANPPPFNYGMFSTALSKGAVSAPFLEKYFFCLWWGLLQLSSSGNPLQTSAYIAENTFAIAIGALSLVLFAQLIGNMQTYLQSISKRLEEWRLRQRDMEEWMRHHQLPDELQDRVRRFVQVKWLATRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFSEMDYQLLDAICERLVSFLCPERTYISREGDPVNEMLFVIRGKLESSTTNGGRSNFFNSIILRPGDFAGEELLTWALLPKTNVHFPLSTRTVQSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLAESLSRWESYSWWPEEHPPADKPKQEGTSSSTKTIAESAIAQMHKFASASRRFRADDTAIRRLQKPDEPDFSADHFD >ONIVA09G20540.1 pep chromosome:AWHD00000000:9:20127747:20131745:1 gene:ONIVA09G20540 transcript:ONIVA09G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT3G54390) TAIR;Acc:AT3G54390] MDALPDAADAAPLAAAAPPPQKRDEWSESGIVRLLEAYEAKWLLRNRAKLKWSDWVDIAHEVSAHCAMENAAAAAATGKPGSSTAKTPNQCKNKIESMKKRYRAESAAAARAGPAATGAGPSWRFFARMDGLLKGPAGSGQPQAELSNSIDLRAPPPAKVEVDVDADFVSQLADAGPGALSELVSAYANGSIQEKLDKVENSGQVEGRAAESDVNVSSPRIKEANEDAEEVDKVWDMSKKRKNTEFDIAKSIELLASSFLKIERARMDLYRETERMRVEAEIKKGEMELKRTEIMAKTHLQIAKLFAKRLKECSSKTGGSSSVTAEVDNHAKKGENGSG >ONIVA09G20530.1 pep chromosome:AWHD00000000:9:20121221:20123589:1 gene:ONIVA09G20530 transcript:ONIVA09G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRCCTFLEILLAIILPPLGVFLRFGCCSMEFCICLLLTILGYVPGIIYAVYVLVALDSDQYQREYHTLA >ONIVA09G20520.1 pep chromosome:AWHD00000000:9:20113633:20118108:-1 gene:ONIVA09G20520 transcript:ONIVA09G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKYSGEGGNDRLRYGLASMQGWRTTMEDAHTALPRLDECTSFFGVYDGHGGKAVSKFCAKHLHLQVLKNEAYSSGDLATSVLKSFFRMDEMMKGQRGWRELAELGDKGQKFTGMLEGIIWSPKPGESDKPEDTWTEEGPHSHFPGPTSGSTACVAIIRNDELIVANAGDSRCVLSRKGRAYDLSKDHKPDLDAEKERILNAGGFIVAGRVNGSLNLARAIGDMELKQNEFLPAERQIVTAEPELNTVKLSEDDEFIVLACDGIWDCMSSQEVVDFVHKEMNTEDSLSAVCEKLLDHCLAPVSGGDGCDNMTVIIVKFKKPSKSAATSSTNQSVSSEEMRPNELDDGPSDPNK >ONIVA09G20520.2 pep chromosome:AWHD00000000:9:20113776:20118108:-1 gene:ONIVA09G20520 transcript:ONIVA09G20520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKYSGEGGNDRLRYGLASMQGWRTTMEDAHTALPRLDECTSFFGVYDGHGGKAVSKFCAKHLHLQVLKNEAYSSGDLATSVLKSFFRMDEMMKGQRGWRELAELGDKGQKFTGMLEGIIWSPKPGESDKPEDTWTEEGPHSHFPGPTSGSTACVAIIRNDELIVANAGDSRCVLSRKGRAYDLSKDHKPDLDAEKERILNAGGFIVAGRVNGSLNLARAIGDMELKQNEFLPAERQIVTAEPELNTVKLSEDDEFIVLACDGIWDCMSSQEVVDFVHKEMNTEDSLSAVCEKLLDHCLAPVSGGDGCDNMTVIIVKFKKPSKSAATSSTNQSVSSEEMRPK >ONIVA09G20510.1 pep chromosome:AWHD00000000:9:20112533:20114091:1 gene:ONIVA09G20510 transcript:ONIVA09G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLLLVAVVAGFAVSLAGATDHIVGANHGWNPNIDYSLWSGNQTFYVGDLISFRYQKGTHNVFEVNQTGYDNCTMAGVAGNWTSGKDFIPLNDSRRYYFICGNGFCQAGMKVAITVHPLKHNATGDGAKNHGGDGAAQEAAAAAMPGAAVWMAVLAVAAAAVAILP >ONIVA09G20500.1 pep chromosome:AWHD00000000:9:20108089:20112322:1 gene:ONIVA09G20500 transcript:ONIVA09G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0INI8] MVSRRAMRAPEMLRWLAAAAALVALLAAAPAAGFYLPGVAPTDFGKGDSLPVKVNKLTSVKTQLPYAYYSLPFCKPETIVDSAENLGEVLRGDRIENSPYVFQMREPKMCQIVCKLTVGEKEAKELKEKIEDEYRVNMILDNLPLVVSVLRQDKNIAYQGGYHVGVKGQYTGSKEEKYFIHNHLSFLVKYHKDDDSELSRIVGFEVKPYSIKHQLDDKWDGVNTRLSTCDPHANKLVTSSDSPQEVEAGKEIIFTYDVRFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYASSRLYKMFKGSEWKRITMRTAFLFPGIAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPALEPPVKTNKIPRQIPEQAWYMNPIFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITVVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFFTKLQITKLVSGILYFGYMLLASLAFFVLTGTIGFCACFWFTRLIYSSVKID >ONIVA09G20490.1 pep chromosome:AWHD00000000:9:20098598:20101264:-1 gene:ONIVA09G20490 transcript:ONIVA09G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRLAVLAVAIGALVASSSAAVCPHANHTAPFAADFTMLQHQLRGTVRLADDGSCALRLTRFDLLAASPSARFWAARGDSLADLAAGRAFSPHPLNSTFRNATLLLPFSAPLPPLLALFDPDTSSDLGHVFLPVSASNATDDSGVASPSPSPEPVPTMFDNCLPLAENKYRLRWTLNASAGTVEIGLEAAVGSEYYMAFGWADPKANSPAMIHSDVAVAGFTEEGMPFAEDYYITDYSECTLGTDESPVSGVCPDKVYDEGKNDSLLVYGHRRDGVSFVRYQRKLDTGDTKYDVLVSATEEMAVVWAIGKLRPPDTLRPHYLPQNHGGPRDTTYGFARVNLSETVDSCNGPLDADNKEDQERIIADAKTPLVVTSAPAVRYPNPPNPDKVIYINKKEAPLLKVERGVPVKFSVQAGHDVALYITSDPIGGNATLRNKTEVIYAGSRDAHGVPATPTELLWLPDRNTPDLVYYQSLYEPKMGWKVQVVDGGLSDMYNSSVLLDDQQVTLFWTLSHDSISIAARGEKKSGYLAVGFGSGMLNSYAYVGWVGNDGVGRVKSYWIDGKSAAGIHPTSENLTYVRCRSENGIITFEFTRPLRPSCSGRVECKNIIDPTTPLKVVWAMGASWSGNSLTDSNMHSITSSRPIRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGILAARYLKNLKGDGWYQIHVYLQYSGIAIMFLGVLFAAAELRGFYVSSVHVKFGVTALLLAGLQPLNAYFRPKRPANGEASSWNRVLWEYLHVITGRSAIIVGIVALFTGMKHLGHRYDSENVEELTWALMLWVLSAIVITLYLEYKEVKRRSGDTSSRGHWVLGNTEEDDSVDLLHPDSTDRNSESSPSGVMEVQLEPLAR >ONIVA09G20480.1 pep chromosome:AWHD00000000:9:20095804:20097893:-1 gene:ONIVA09G20480 transcript:ONIVA09G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purine permease 5 [Source:Projected from Arabidopsis thaliana (AT2G24220) TAIR;Acc:AT2G24220] MKPLRHTKNTYHQEAVCWLGCSSKSSIIISSSSLGDPESVASSLAVRDSATDGGGGRWRGTSTATATATVRDAIIMSSRSQRQQ >ONIVA09G20480.2 pep chromosome:AWHD00000000:9:20094722:20097263:-1 gene:ONIVA09G20480 transcript:ONIVA09G20480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:purine permease 5 [Source:Projected from Arabidopsis thaliana (AT2G24220) TAIR;Acc:AT2G24220] MERNQHRDGDGDGEGRHHHVVQVPASAVEVAAMEETSSEAADHSLRQKAAAMVASSMETYRSKPMSFWLLLVLSAGAMLTAFPASSLLSRLYYNNGGQSKWILSWSAVAGWPLPALLLLPCYLAGKAAPTPLSPKLCAWYALLGLLSAADNLMYAWAYAYLPASTASLVAASSLAFSALFGCAIVKNRLRLSSLNAVVVITAGVVIIALDSGSDRYPGITGRQYALGLVWDVLGSALHGLIFALSELVFVRVLGRRSFHVVLEQQAMVSLCAFAFTTVGLAVSGGGFPAMRREAAAFRHGEASYAMVMVWSAVTFQLGVLGGTGVLFLASTVLAGVLNAVRVPVTSIAAVIWFHDPMSGFKILSLLITVWGFGSYMVGHSSTKKASTN >ONIVA09G20470.1 pep chromosome:AWHD00000000:9:20092455:20093313:-1 gene:ONIVA09G20470 transcript:ONIVA09G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVRRALSLAAAASSPAKPSFSTAVAPLRSPMDDRLLRLLRSEINYISERRPPYPPPKAFKSFGVEDRPGEQWVRLRATRGAQDEEVKVDATMFDGAAAPPPDAPLFRRVESLERGPRLHLSLIVEVSRADRVLGFICSAWHDELVVRHVLTLRDADGSSTSSGGRDFVYGSFCDFALWFMCKLEAKERESVRKFLQEREVDDELAEFLHDYMANKEKMELLRWLKTVESFVEK >ONIVA09G20460.1 pep chromosome:AWHD00000000:9:20089480:20090085:1 gene:ONIVA09G20460 transcript:ONIVA09G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDRYMWNKTEHLTLRPPCHGGDPLDAHQPLPWILLDVRAYIADRRNATTAAADLGNGHRIEITIFTAPPPQVSYICAWCPTGDPARIFANEPLVGCVNADLVFLRLYSEVVYDLVYRAGGRPSLTLIRDPVDVDAASLQRDYLRSLHNIALLRRALWIWTSIESHHLRQLTNSASTSPPSMAMASGAWRASFYPSCVK >ONIVA09G20450.1 pep chromosome:AWHD00000000:9:20083658:20087278:1 gene:ONIVA09G20450 transcript:ONIVA09G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFPYRWTKTKHLTERPPTHGHPPDAHPWIVLDVRAYIADRQNATTATTSLTSGRKLQITICAAPPPLVSYVCAWSPTADPALVFAKEPVVACVTADLVFLRVHSDQVYHLVYHAGGGGDSRRRRPSLTLVRHPDRPFHRCHYLSELGSIAILSRRRAGAGDDHDDDSTGFYVCSLDQELWYGLPGQRGHFKLCLYDSIDGEWSQETLRLDQLRNPQDKDTVFHYTEKVITLHDEQVVAFVDLWRGMVICNVNDGTKHEGSSYVPLPLDIINLDMINNGLIYRDIAVVNGRLTVVRLRSWLDSGCLSWDLTTWSKKAVTACLDEEWREDFVVDSDDILVDEATCNNVELLPKLNGLPAMDKLRIARPMLSLMDSHVVYIMGKVNLSGEKAVVLTVDMANKRLQGVSLRDAERIVYDDNGCSYKQSTISQYFTTSAAMATAFPYSFTRTKHLTLCPPSSHGGDAADHGPLPWILLDVRAYIADRRNSTTATIVLSNGRKIQITFCIAPPPLVSYICAWSPATDPAVFFAKEPAVGFVDADVVFLRVHSDQIYDLIYYHASSRPSLKLIHNPYNPYNPYHYLRRIDNVVVLPDRRRHAAGADDDDHDGSGRFYVSSLDRDRRFDLGHFKLCLYDEDDSMDCKWSNTILLLDQLRNAPDKDTVLHLTEKVFILDDEQPLVAFVDLWRGIVICNVLDNSTPVGGSYMPLPPELIDARRTYNSSVCRNIAIVNGRLTVVRLSLYLLDSDDDDSDDDDYCTWDLTTWSKPVSSCLDDEWREDFMIESSDVSIDNSTRNACLLPKLDDGCPTTDTLQLAHPTLSLMDAHIVYIMGKVDISDEKALVVTVDMANKRYLCMTPKELSTILITPTRTLPFPNISLQQLQLFTIKERSARDKVIDSFLACLKRNLKRPLKFHMQYPHKRQGGPGSKIRDETEDGNNPMDLD >ONIVA09G20440.1 pep chromosome:AWHD00000000:9:20080146:20082071:-1 gene:ONIVA09G20440 transcript:ONIVA09G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTYTPTYYSGLHDTIASLCKSILPFGGFRSGRRLTADQAAARRHADALKWQQESFHRILHLSALHREGIVPPSDVDAFRADMLATLAAAPPPPAHPDQPAILRDKLLFLQELLYAKCISAAEYNSTKSPLVQRLAAFGVVVDCPDADVGDGGAASAAAPASSSSMEEWSEIDLRDPPPAAAASDKPKHKAFVPPWKSRGKKELDASRPPLAPVDQNNSKNAPSVLMAESSPSEAMPTAKTDKGKRRHLTAMFHNGGNGSENKEPPAASMEGTDQEKDASKSKKKSSWGFDGLKKWKKASNDEATAGGERPEHAAPRSSYSECRLEASPAVAKDAKRAKKKLNTATGDDDSASDLANDKVLVENTKKELSRIQAELSSTNRNLNFSDQQIEAISTRLPVDKSDLKTFFPKAWCDEHGDNVINVAKKEFKEHVEEMEKQRDITGGGDGWVAFGDSHDENFNPRAFSQHQAAVKGNVHDSLSSSQHFTNPFYDEKNPFLTPSYD >ONIVA09G20430.1 pep chromosome:AWHD00000000:9:20069332:20080424:1 gene:ONIVA09G20430 transcript:ONIVA09G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0INI0] MASFYCVRVQIDSASLRHILRPFAAQGAAPPEQLAASHPSSQYGQPARAAPSTSLLAQVAGNHPHATHVSDRKALFGMLNAGNAANVIDLTRASPLRGAEPLPKHPRHGLEASSSVEQPSCLGALFQNTSANVQGSFPGECSVNNGISQGAIQFQDSSACAVQKLPSQSTPRHHPALLGDQIRVSCLNVGGEFFVGEAGIFGVRCFCHRLRMSVAKFCEHSGGPAEKAGEIVIMDNGMTIVQWLKYCMGVGASISDTKWDWPEWAYMRYSSEEYWTKSLLTTNNNMEKTGLFSGHGKSIGHINNPVYSSDIHNEVGRFTSVEKLVNKPDETFYRKSVGLHEAFSKNPAIQQSSKINLANHMIHDMNMNSISRPSERTYSTANMGITYSRNHLAHDYANFLEKNLNNLSRSPGPSSTRVLSNDSRACMPDVPHKIIQDGSGRASNTELKLGQSSYHQSMATLFPSVQSTIIEFQKPQHHLQFTTPNAYPKQTTKANKTIENIEPSFGNRKRSLDVSNGTSHSELNEITDDAAKNSFISLFLSHLERNSTSESIDDVLNSNEHYLLKAPDVAYSSDRLKTASTQVETRANDNQLKLAPAIIHTKRISDSRSLPVPVASKGYVHQDVLHANSQEPLINGDCLPHLLPSQPNAGISKICAEVSSPVNCRCCNHVADKSHLAHSETGAPCFYDRTARRYISFECADDLCTHKSLRATNYQCGRAFCSTANEFLPSFGQNDQSPIGKSMHRCCCKAQEDSSKLSFRAGNFCRSHFCNDGTPVPAHSSMGHTANSLTKNTLLDAPNNTECSPYRDGKCCCSLAPKCLAGYGFTKHCVARIDQTDHTVQKSKDDGMQAAARCCTLGESEKLICQCSSEIIARKSDSKASFQNEVSTEVLNRPCVPTLQQLKNVTEASAVGGHWPYETVKEKASACRDSGIFKELKSGFSSGFSSDVVTKFSASPELNKYGLEHKNLVFDEGSRIEKCSSSSYLPISTGCEEAQNSFSRFHLEPSLVKHKNNQISEGSTRKEHENEGQCSEMSKKTRTLRCCANKSESDDCTRKIDLSSREGDSQPQHKAGPFSRRVSKTKRKHPPTHLNKHVKRLHSNCKVLNVDNERSDDEGIYVGESNSSDRKKQEDNMTTLDRTKCQQQGSRLLVRKLPKYVSLNCIVNETNSEDACSGSASIDSSLIATGITNDNRKSPKIVPLNLILKKAKRCHAIKPLSKTENIHFSEEKSSDGSADKSSSGDRSFSPQDELWSPKKNRYSSNVSRPHVKTDCQSPCCVLEEDEPLSLADMGTSQLSASRSRGWRGVKNLQMNQHAVLAAINTLRFKPVYIQRPSLDASCCVCGISNLEPSNQLIECSKCFIKVHQACYGVLKVPRGQWFCKPCKINTQDTVCVLCGYGGGAMTRALKAQNILKSLLRGIATAKRSDKYVYSSGNVNSECTSKLHGEYVRHDSFNGHRSRSFNAISSFGIKEASIGSARGDIISKSWTSNRNSSLLGPRTRQWVHVVCGLWTPGTKCPNTITMSAFDISGASPAKRNTECSMCNRTGGSFMGCRDVNCSVLFHPWCAHQRGLLQSEPEGEHNENVGFYGRCLDHAMLDPNHVNPKKECLRSNDWTCARTEGFRGRKGDSFGANRSRKPEEKFGECSVSQEQINAWIRINGSKSCMRGQEYVHYKQLKGWKHLVVYKSSIHGLGLYTSEFIPRGSMVVQYVGEIVGQCVADKREIEYQSGKRQQYKSACYFFKIGKEHIIDATRKGGIARFINHSCQPNCVAKIISVRNEKKVVFFAERHINPGEEITYDYHFNREDEGQRIPCFCRSRGCRRYLN >ONIVA09G20420.1 pep chromosome:AWHD00000000:9:20058056:20063338:-1 gene:ONIVA09G20420 transcript:ONIVA09G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteinyl-tRNA synthetase, class Ia family protein [Source:Projected from Arabidopsis thaliana (AT2G31170) TAIR;Acc:AT2G31170] MAAARRAAGLLPLLLSSPSRARLPHRQALALTPPLLRPHRLYSHSPKPSSSAAFSASASASNGAPAGRARELHLYNTKSRRKELFQPRVPGGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRYLRYLDHKVRYVRNFTDIDDKIIARANQLGEDPFSLSKRYSDDFLSDMANLHCLPPSVEPRVSDHIDQIINMIKQIIDNDCAYAIGGDVYFSVENFPEYGDLSGRKLDDNRAGERVAVDERKKNPADFALWKAAKDGEPSWDSPWGPGRPGWHIECSAMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWIHNGFVNVNSQKMSKSLGNFVTIRKVTELYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQTLQDCEESCQQHQSKAGDPLPVNTTNCIQKLHDEFETSMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQEKRLESLSAMEEKIRMVLSVLGLLPSSYYEALQQLREKALRRASMTEEQVLQKIEERTSARKAKQYEKSDEIRKELAAVGIALMDGPDGTTWRPSVPLSEQGVVAST >ONIVA09G20410.1 pep chromosome:AWHD00000000:9:20051200:20057177:-1 gene:ONIVA09G20410 transcript:ONIVA09G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;5 [Source:Projected from Arabidopsis thaliana (AT5G20380) TAIR;Acc:AT5G20380] MAASASASALQAERCLLVGVGAGPRRHRLPLRMPPPLHAPPALLLLPHRRRRRWPPAVRASPGEGGGGGGGGGGGGGLAGALEKRPVMGVVAEEEDGEERGAKVVEEGEGDVAAAAALEMRWPPWEGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRRVLEIGVVAWSLATAIIPAVAGFMPGLVLSRILVLEKVSIPVQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLGFQSLKEQQLRGNEDIQVIQDLGQSPSGSSDLISSSVSPKSSESSLGELMNSLKDVPWREFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELDLNLTEAAWVSVLPPLGSMIITSIAAPFADNLISNGVDTTKVRKICQTIAFLSPATFMMLSSVDLGVPPWEIVAFLTSGLALSSFALSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDTTHSWSISLFAPSIFFYLTGTAVWLAFASSEPQEFSKSEPESS >ONIVA09G20410.2 pep chromosome:AWHD00000000:9:20051200:20057177:-1 gene:ONIVA09G20410 transcript:ONIVA09G20410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;5 [Source:Projected from Arabidopsis thaliana (AT5G20380) TAIR;Acc:AT5G20380] MAASASASALQAERCLLVGVGAGPRRHRLPLRMPPPLHAPPALLLLPHRRRRRWPPAVRASPGEGGGGGGGGGGGGGLAGALEKRPVMGVVAEEEDGEERGAKVVEEGEGDVAAAAALEMRWPPWEGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRRVLEIGVVAWSLATAIIPAVAGFMPGLVLSRILVLEKVSIPVQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLGFQSLKEQQLRGNEDIQVIQDLGQSPSGSSDLISSSVSPKSSESSLGELMNSLKDVPWREFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELDLNLTEAAWVSVLPPLGSMIITSIAAPFADNLISNGVDTTKVRKICQTIAFLSPATFMMLSSVDLGVPPWEIVAFLTSGLALSSFALSGHVVKLPMSIPFSVFARSKAKYSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDTTHSWSISLFAPSIFFYLTGTAVWLAFASSEPQEFSKSEPESS >ONIVA09G20400.1 pep chromosome:AWHD00000000:9:20041824:20046282:1 gene:ONIVA09G20400 transcript:ONIVA09G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSLKKKSGGGKPALDRSGSKVLDGDETIFTDMAQELKEEGNKLFQRREHERALLNYEKAIKLLPRGHPDVAYLHSNLAACYMQMSPPDHYRAINECNLALDASPRYSKALLKRARCFEALGRLDLAYRDVAKVLAVEPNNLTAIDVGDRVKKAMDEKGIVMDDKEAMPSPEEVVAAAPKQKPRKKKGRKAAAKAAAAAVEEEEEAKVVEPVKEVEEPPRQVKLVFGEDIRWAQVPASCSMAQLREAVRSKFPGLKAVLVKYKDKEGDLVTITNQDELKWAEDLAEPGSSLRLYVTEANPEHEPYLDDTNSGPLERNVNSDNGSTRSNRQDEDRSTVTCIDDWIVQFARLFKNHVGVSSDEYLDLHEVSMKLYTEAIEDTITTEEAQEVFQLAESNFQEMAALAFFHWGNVHMSRARKRLLLPGDSPQESVLEQVKEAYEWAKEEYNKAGRRYEEAVKAKPNFFEGFLALAHQHFEQAKLSWYYAIGSSVDLDTWPSSEVLELFNKAEDNMERGTEMWEEMEEQRLKNRSKPSQENVVLEKMGLDEYIKDVSTDDAAEQASNMRSQINILWGMLLYERSVVEFKLGLPMWEDCLMAAIEKFKLGGASATDIAVLVKNHCANETAQDGLGFKIDEIVQAWNEMYDIKRWLRGVPSFRLEPLFRRRVPQLHTALEHI >ONIVA09G20390.1 pep chromosome:AWHD00000000:9:20039302:20040618:-1 gene:ONIVA09G20390 transcript:ONIVA09G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAKSYLLVTLLVLLTALPPNSVVAAASPAPLIRGIGAVRPKAVPRSYDARGRSRDYPDEGLNNSTGHYSNGTSGGRNLAAADGGAVQEDHVAPHVSLGIGSPAVNVTLVFDTTSDLLWTQCQTCLSCVAQAGDMYDPNKSETFANLTDSYNYTYSKQAFTSGYLATETFALGNVTVANITFGCGTRNQGYYDNVAGVFGVGRGGVSLLNQLGIDRFSYCFSSSGAPGSSAVFFGNYTELATDNPAASTPMVADPTLKSGYFVKLVGVTVGATRVNVAGASSAEGGGRALVIDSTSPVTFLDDATYAQVKRALIGQLTPLKEANANATAGAGLDLCFELAAGGATATTVPNVTMTLHFDGGGSGGATDLVVPPANYLAVTEDSAGGLLCLAMMPSSSNGVPVLGSWALLDTLVLYDLAKNVVSFQPLDCAAFLAATG >ONIVA09G20380.1 pep chromosome:AWHD00000000:9:20035478:20038030:-1 gene:ONIVA09G20380 transcript:ONIVA09G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAASFSDHFNSPSPTASVKILNINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETPQNALNQVSLWDGIIPSKEHAKFLIHTTNKYRFIDQGSNLKGKDFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYR >ONIVA09G20370.1 pep chromosome:AWHD00000000:9:20033242:20034321:1 gene:ONIVA09G20370 transcript:ONIVA09G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAANRRRRRGGFVYAVVLDDKEGSLVFRFRRKDLFSDDDDPPPAARRRFPRPVAHFPRGYYHYVFHSFTVSGKQILGVSPFGATVVIEDDGRPGGSGAMRAGPELGTTTCQPILLPIRDDMVLVMAYLPQPAGRSNFAVMRRLPDGGGGGGGWRVVPVPEPPLGGPGDYYCRKPAPVVTAYMTIGRRACVSIAGEGTFSLDAGGAAAWRKEGSWELPLHGQAMYVRELGAAIGLGRGPGPYGGVVLWLCACDVEARPPVIRRSWNETFPRELVAAHSQYDCPGNLVYVGDGRFCICRVAGVEHDRPETNDMVARTAVVTMAVRLRRSAGGELQLTKLRYHLMSPQGRRAYFVQPHIP >ONIVA09G20360.1 pep chromosome:AWHD00000000:9:20025938:20027934:-1 gene:ONIVA09G20360 transcript:ONIVA09G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPKRDANYVPLSPITFLHRAASVYADRTSVVYGATSFTWRQTHHRCLRLAAALQSLAVSKNDVVSVIAPNTPALYEMHFAVPMAGAVLNAINTRLDAANVAAIVRHAEPKVLFVDYQFIRVATDALKAVMGDGDGDGGVVRAPLPLLVVIDDADKPTGARVGELEYEQLVARGDPARYPPRPVEDEWDAVALNYTSGTTSAPKGVVYSHRGAYLNTMGLLLQWGVGHEPVYLWSLPMFHCNGWTFTWGVAARGGTNVCIRAPTADAMYAAFAAHGVTHMCAAPVLFNILLDGACREPLRRPVEVLTGGAPPPAALLERVERLGFHVTHAYGMTEATGVVMVCEWREQWDALPPSERARLKARQGVSALTLADADVKDLKTMESVPRDGATMGEVVLRGSNVMKGYFKNPRATADAFRDGWFLTGDVGVVHPDGYVEIKDRSKDVIISGGENISSVEVEAALYQHPAVREAAVVAMPHPHWGETPCAFVALKREFAGAGEVSEQEVVSFCRNRMAHYMVPRKVVFVDELPKNSTGKVQKLALRDMARRLRLRAPDKARPVAAPARHGPLTQTARL >ONIVA09G20350.1 pep chromosome:AWHD00000000:9:20012081:20012885:-1 gene:ONIVA09G20350 transcript:ONIVA09G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKLASIYWRDIYQLATTPTTTTTTTIVYYSPARDILNSKADQKRPEERQIDKQCQPCRLLCDLNWTELIRWLRLT >ONIVA09G20340.1 pep chromosome:AWHD00000000:9:20006918:20011970:-1 gene:ONIVA09G20340 transcript:ONIVA09G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAPFFGLGDTQMPPPQNPTNPALHHHPNPSPAPVAAAAPAPKKKRNQPGNPSKYPDAEVIALSPRTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEARRRVYLCPEPSCVHHDPSRALGDLTGIKKHYCRKHGEKKWRCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQENARIPPIGAGVYGGAGNMTLGLTGMAAPQLPAGFPDQAGQPSASAGDVLRLGGGSNGASQFDHLMASSSGSSMFRSQGSSSSSFYLANGAAHHAPAQDFGPEDGQSQAGQGSLLHGKPAAFHDLMQLPVQHQQSGNGNLLNLGFFSGSNGGVDQFNGGAGNGGQGSIVTSSGLAGNHGGGGGGFPSLYNSSEPAGTLPQMSATALLQKAAQMGATTSSYNAGGAGGASSLLRGASSHGISAGEGPANERASYQNLIMGSMASGGGGAGFAGSFSGASGFGGAVDDGKLSTRDFLGVGVVQGISGSAAMGPPRHGAAGLHVGSLDPANMN >ONIVA09G20330.1 pep chromosome:AWHD00000000:9:19989897:19998991:-1 gene:ONIVA09G20330 transcript:ONIVA09G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 [Source:Projected from Arabidopsis thaliana (AT1G75010) UniProtKB/Swiss-Prot;Acc:Q6F6B5] MAASLRGLALSPPPLVAPPTCAPSRRLVPSPRSRSGYGVRAAAAADGAPRPSDPVEVVGVGSRKDAVIDFCLGSRTLSSTPIRFWTMHVVDNCTVQLIQKSHGEDVVVRDLETPLSLQPCPPAVASAGQDADHITAMELLSEVKSAGKLAASIFLKPFCFEGQRRQLEATDLIDKLQMCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGLNQTFRSLINAQIMEVHPDELGQLLRSYGEARIGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSITSSRIFSESDMVSTLHIFRRVTGFTEDIIFSRNCEPDLEPKLIVVSLLTVRNSTDENVASVKEGFLSGLALHFPFISSLMGGDIPEQKQATLNHSYSKLPSSGLSLAEQEFSRLSSAFTNVVVNNLFPEETDIMKSERESKEKTQTQSQEAKIEPDGEISKDREREHLDSEQEHKFWSNSPVGVKSPEVQCGADTRPEAHSGSSSTSAASGHAAFGVSFSDIGLEKVTEMYSSAMTFLKGGMDRSRKRGSVANRAALMLDAERELEKTWSPIVEIQFGGGIYRGRCQEGVPEGKGRITFSDGSFYDGLWRYGKRSGLGTLYYSNGDVFHGTWRDDLFHGKGWYYFHSGDRWFANFWKGRANGEGRFYAKDGSVFFGNFQNGWRHGEALLIDANGSSKCHDHNTFRNSGQKSDINELMCDCLTHHVAVYRGCDSRVNK >ONIVA09G20330.2 pep chromosome:AWHD00000000:9:19989897:19998991:-1 gene:ONIVA09G20330 transcript:ONIVA09G20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 [Source:Projected from Arabidopsis thaliana (AT1G75010) UniProtKB/Swiss-Prot;Acc:Q6F6B5] MAASLRGLALSPPPLVAPPTCAPSRRLVPSPRSRSGYGVRAAAAADGAPRPSDPVEVVGVGSRKDAVIDFCLGSRTLSSTPIRFWTMHVVDNCTVQLIQKSHGEDVVVRDLETPLSLQPCPPAVASAGQDADHITAMELLSEVKSAGKLAASIFLKPFCFEGQRRQLEATDLIDKLQMCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGLNQTFRSLINAQIMEVHPDELGQLLRSYGEARIGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSITSSRIFSESDMVSTLHIFRRVTGFTEDIIFSRNCEPDLEPKLIVVSLLTVRNSTDENVASVKEGFLSGLALHFPFISSLMGGDIPEQKQATLNHSYSKLPSSGLSLAEQEFSRLSSAFTNVVVNNLFPEETDIMKSERESKEKTQTQSQEAKIEPDGEISKDREREHLDSEQEHKFWSNSPGIGIAQLWAKVRMASDGGTQNNDINIITLPVGVKSPEVQCGADTRPEAHSGSSSTSAASGHAAFGVSFSDIGLEKVTEMYSSAMTFLKGGMDRSRKRGSVANRAALMLDAERELEKTWSPIVEIQFGGGIYRGRCQEGVPEGKGRITFSDGSFYDGLWRYGKRSGLGTLYYSNGDVFHGTWRDDLFHGKGWYYFHSGDRWFANFWKGRANGEGRFYAKDGSVFFGNFQNGWRHGEALLIDANGSSKCHDHNTFRNSGQKSDINELMCDCLTHHVAVYRGCDSRVNK >ONIVA09G20330.3 pep chromosome:AWHD00000000:9:19989897:19998991:-1 gene:ONIVA09G20330 transcript:ONIVA09G20330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 [Source:Projected from Arabidopsis thaliana (AT1G75010) UniProtKB/Swiss-Prot;Acc:Q6F6B5] MAASLRGLALSPPPLVAPPTCAPSRRLVPSPRSRSGYGVRAAAAADGAPRPSDPVEVVGVGSRKDAVIDFCLGSRTLSSTPIRFWTMHVVDNCTVQLIQKSHGEDVVVRDLETPLSLQPCPPAVASAGQDADHITAMELLSEVKSAGKLAASIFLKPFCFEGQRRQLEATDLIDKLQMCSNFHIVIEADSLLETEVETLAEALESANNAGLNQTFRSLINAQIMEVHPDELGQLLRSYGEARIGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSITSSRIFSESDMVSTLHIFRRVTGFTEDIIFSRNCEPDLEPKLIVVSLLTVRNSTDENVASVKEGFLSGLALHFPFISSLMGGDIPEQKQATLNHSYSKLPSSGLSLAEQEFSRLSSAFTNVVVNNLFPEETDIMKSERESKEKTQTQSQEAKIEPDGEISKDREREHLDSEQEHKFWSNSPGIGIAQLWAKVRMASDGGTQNNDINIITLPVGVKSPEVQCGADTRPEAHSGSSSTSAASGHAAFGVSFSDIGLEKVTEMYSSAMTFLKGGMDRSRKRGSVANRAALMLDAERELEKTWSPIVEIQFGGGIYRGRCQEGVPEGKGRITFSDGSFYDGLWRYGKRSGLGTLYYSNGDVFHGTWRDDLFHGKGWYYFHSGDRWFANFWKGRANGEGRFYAKDGSVFFGNFQNGWRHGEALLIDANGSSKCHDHNTFRNSGQKSDINELMCDCLTHHVAVYRGCDSRVNK >ONIVA09G20320.1 pep chromosome:AWHD00000000:9:19982678:19984044:1 gene:ONIVA09G20320 transcript:ONIVA09G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIITATREPTVIDLNQSFLVRYERINNGGRRCASQHVQLGTGIQTSDNVVYHLNMTGTRSLVCYPPRRTHKQFSVHLKEEQVRDLSPLQQVKQKEKLGERSTQCSNKIHVTLCYTRHVAKLVYKLNYYLCTARYSD >ONIVA09G20310.1 pep chromosome:AWHD00000000:9:19980661:19987005:-1 gene:ONIVA09G20310 transcript:ONIVA09G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPCSVRAAGSNPIGCLEVAEPWSGAAPPALPPLPGHLHVAAPAAEDDDDALAAAAAAVPSEQRVHDVVLKQAALAAAAPEMRRPAQLAERERVAGGLNAAFDRCGEVCKEYAKTFYLATQLMTPERRRAIWAIYVWCRRTDELVDGPNASHMSALALDRWESRLDDIFAGRPYDMLDAALSHTVATFPVDIQASTTNTMKSQEYSQYAPCVQPFRDMIEGMRLDLTKSRYRSFDELYLYCYYVAGTVGLMTVPVMGISPDSRANTETVYKGALALGLANQLTNILRDVGEDARRGRIYLPMDELEMAGLSEDDIFDGRVTDRWRCFMRDQITRARAFFRQAEEGASELNQESRWPVWASLLLYRQILDEIEANGYNNFTKRAYVPKAKKIVALPKAYYRSLMLPSSDSKPEILCPSYSDDILHYLKSGCRCRAWEDMKRLRKLMREWGMKKNMGVSSIETNSNIHESGAEGIGHESSDDMYVGDDRLPHHLVFPNALAVPPDQLNVEERKSILKTS >ONIVA09G20300.1 pep chromosome:AWHD00000000:9:19976512:19978266:1 gene:ONIVA09G20300 transcript:ONIVA09G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALQRSGSNSLAALLRADPPPNAAIADQDDAKRPGRRRRRRRRSCLRLPLGVGAGGCRVCACDEMDPATAAPRRRAPEEKDDDDEEEEAVPPAALQCFSWKKGAAAARTSGVGDGDRVMVEEVEAAAASLSVLPDDLMEMVLGRLPLASLLAARCACRRWRDLTVAPQFMRMRRVEARPHRTPWLFLFGVEGDGWGAAAAATAVHALDVDAQRWRRVGADGLRGRFLFSVAGVGDELYVVGGRSGDPGSVKTKTHKGVLVYSPLAGAWRKAASMRSARSRSVLGVFEMGTISRSILLARADKHVHRHANTGGGKFRLGGTSAVYEDPHRLSLRRLRLRDVLNDDADSSEFAATDAKVAGQEEERRAQQRLALIAVGGRGRWDEPLVSGEIYDPVTDKWFEIAGFPADVGLACSGAVCGQMFYVYCESDTLVAYHLDKGFWSVIQTSRPPPRLRDYAPTLLCCSSRLLMLCVSWCDRAGNGAASRRERVVRKLFELDLGSRRWGEASSHPDAPMDLNAAFAAGADTVYAVEMFRVFGKVLDFVTACRVSDTDDHRWRRLARNNAAADADAMSSKLKSMAVLHL >ONIVA09G20290.1 pep chromosome:AWHD00000000:9:19960269:19974902:1 gene:ONIVA09G20290 transcript:ONIVA09G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0ING2] MEEPSLEVKMPEADLKAVKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDGHFGVIKLAATVHNPCFIEEVVQLLNQICPGCLTLKQNGDTKKTDGTTIQTTCKYCSKDGAKLYPSVIFKMLTSPRVTLSRSKLHRNTSVMDKISIIAEVAGGVTHNSKNKAPHETLPQDFWDFVPDDNQPPQSNVAKKILSPYQVFHMLKNLDPELINQVTPRRELLFLSCLPVTPNCHRVAEMQYGHSDGPRLAFDDRTKAYKRMVDVSKRIDDCRQHPQFSVFASSVVTSRLYSRKSDGEDPTSPDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPTDLALNLVVSEQVSFYNFETINLKCNLHLLTKEVLLVRRNGKLIFVRKANKLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLPIQSAVAINPLCCDPFKGDFDGDCLHGYVPQTLQSRVELDGLVSLSGQMLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQLLCSSISPTPEPSVVKSANFQGSLWTGKQLFGMLLPSGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSVFSVMFKEYGSKALEFLSSTQDVLCEFLTMKGLSVSLSDLYLFSDHYSRKKLSEEIHLALDEAEEAFQIKQILLNTVSIPNLKHYDGPDNLSNSHGQSDFTQVSLPIIKSSITGFKSVFNDLLKMVLQHVSKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASTFPFRIPSELSCVSWNRQKSLNCEITNNTSECMAGQNMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGRQIVQFSYDTADGMNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCHKGTKSAVHTGLLFLSKYLKKYRYGFEYASLEVKDHLELVDFSDLVDTVMILYGSSDMQRTQGNPWITHFHLSKETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCITMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVVKVGMSEHCKTGKFWATLQNACIPIMELIDWERSRPERVYDIFCSYGIDSAWKYFVERPAYSFINAAKRDSVDNLSGALDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKCVLGAANSISPELGSYIEKILSNRSIRPHQL >ONIVA09G20290.2 pep chromosome:AWHD00000000:9:19960269:19974902:1 gene:ONIVA09G20290 transcript:ONIVA09G20290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0ING2] MEEPSLEVKMPEADLKAVKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDGHFGVIKLAATVHNPCFIEEVVQLLNQICPGCLTLKQNGDTKKTDGTTIQTTCKYCSKDGAKLYPSVIFKMLTSPRVTLSRSKLHRNTSVMDKISIIAEVAGGVTHNSKNKAPHETLPQDFWDFVPDDNQPPQSNVAKKILSPYQVFHMLKNLDPELINQDDRTKAYKRMVDVSKRIDDCRQHPQFSVFASSVVTSRLYSRKSDGEDPTSPDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPTDLALNLVVSEQVSFYNFETINLKCNLHLLTKEVLLVRRNGKLIFVRKANKLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLPIQSAVAINPLCCDPFKGDFDGDCLHGYVPQTLQSRVELDGLVSLSGQMLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQLLCSSISPTPEPSVVKSANFQGSLWTGKQLFGMLLPSGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSVFSVMFKEYGSKALEFLSSTQDVLCEFLTMKGLSVSLSDLYLFSDHYSRKKLSEEIHLALDEAEEAFQIKQILLNTVSIPNLKHYDGPDNLSNSHGQSDFTQVSLPIIKSSITGFKSVFNDLLKMVLQHVSKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASTFPFRIPSELSCVSWNRQKSLNCEITNNTSECMAGQNMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGRQIVQFSYDTADGMNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCHKGTKSAVHTGLLFLSKYLKKYRYGFEYASLEVKDHLELVDFSDLVDTVMILYGSSDMQRTQGNPWITHFHLSKETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCITMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVVKVGMSEHCKTGKFWATLQNACIPIMELIDWERSRPERVYDIFCSYGIDSAWKYFVERPAYSFINAAKRDSVDNLSGALDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKCVLGAANSISPELGSYIEKILSNRSIRPHQL >ONIVA09G20280.1 pep chromosome:AWHD00000000:9:19955906:19957072:1 gene:ONIVA09G20280 transcript:ONIVA09G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ING1] MVAAAAAAAAEAKAAIGVATPTPTAAPCGSTTTPRDADVDDKMTIVGHPAASLPLETRWPPFPLRRLGGFWMPESLLPAVAALHTSFAPAPDGVLLASFPKSGTSWLKALAFAAANRAAHPPSDADHPLRRRNPHDCVEFFEMRPDEHTGATSDGIAVDAASPPPPPRVLATHLPYSLLPKRITAGDGCRIIYICRDPKDTLVSFWHFSKKMAATMAVDAGAFTFDEAFELFCDGNCTGGPQWRHVLEYWEASRRCPDKVLFLRYEDMLRRPASGLRKMAEFMGCPFAAAEEAAGVADAIVELCSLDELRSLEVNRNGTDVLGLKYESYFRKGVAGDWRNHMTPAMAARLDKIVDDATRGSGLSLANATPSPPMHENEIKGNLTIYHS >ONIVA09G20270.1 pep chromosome:AWHD00000000:9:19935476:19952603:1 gene:ONIVA09G20270 transcript:ONIVA09G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALLLVASMPVVQVLLIGVVGAFLASGYSNILTSSALSDMNKVVFTVFTPSLMFASLARMVTWFMPINIGITFMAGGTLGWIACRILKPPQHFRGMIIAFCSAGNLGNLLLIVVPAVCDEDGNPFGKDSSRCRSLGLSYSSLSMALGGLYIWTHTYSLMKKKRDQMYHQPNSTQCLDDSDEEHHAKKFKANGEAAYADEEATLPVSAKLAQHNEENQMEAPLLSCESKVAKKCSWTTTNLKDTIHHVVEELMAPPTLSAILGFVFGLVPWLKSLVIGDGAPLRVIQDSIQLMGNGTIPCVTLILGGNLIKGLRKSELKRTVIIAIVCIRYVILPLVGIAVVHGAYWVGFLPHDPLYRYVLMMQFALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVASISLTTWSTIFMSILS >ONIVA09G20260.1 pep chromosome:AWHD00000000:9:19923316:19924954:-1 gene:ONIVA09G20260 transcript:ONIVA09G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKWALEKAQALQDLEEEFNQQTAKILTCYQLPKHLRLDLHEQHRNDYMVPDDLRLKFVNAVFEGNPRMLDHEEKLKAQARKEADKFWTEAAGAANKAQALQDMKEWYMQLLVNHAFDIEGIPERIKEAYIREIKLDDEELMFKNHVEKKFGICNHETRLRVRAWEESQQFRIKTMADYWAAKKLHALQDLEKAHIQRFMNILDKIDIPDYVQQAYFQKYKVPDDLRLRYINHVEIKFRRMPDDEEEPPKGYISEDYNKLKAQALQDLDVMTYLSTSGWVSQEQHCNDYKVPDNLRVKFITAVFKGNSRILDHKGELKVQARKEAEKFWIEEAATEKKTQALQDMEERFKQQFIKLGYARKGIPEHIQEYYLTDCKLHEDTLLKFRNDVEEKFGMRNHEMQLKIRAWEKTQQFRIEMMADKRAAKKTKALQDMEERYIQDFMNIVDKLDVPEYFQQAYFQKFKVPDDIRLRYINDIEEEFRMLGDKEGYKVHIWDSFKKLKSLITCHLLCGRAQLKTRILEKNLISNKKKQ >ONIVA09G20250.1 pep chromosome:AWHD00000000:9:19914167:19921373:1 gene:ONIVA09G20250 transcript:ONIVA09G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELAGSPGVDAVVFTVFTPSLMFASLAKTVTLSDVISWWFMPVNIGITFIVGGTLGWIAFCDEDGNPFGKDRSLCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHHPAQGHDQVKLDGETAYADEEAALLVSAKLAPEHNEENQMEAPLLTCEREIANKGGFWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGNGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRTVIITIVCIRYVIQPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLIAAIALTTWSTIFMSILS >ONIVA09G20250.2 pep chromosome:AWHD00000000:9:19914167:19926202:1 gene:ONIVA09G20250 transcript:ONIVA09G20250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELAGSPGVDAVVFTVFTPSLMFASLAKTVTLSDVISWWFMPVNIGITFIVGGTLGWIAFCDEDGNPFGKDRSLCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHHPAQGHDQVKLDGETAYADEEAALLVSAKLAPEHNEENQMEAPLLTCEREIANKGGFWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGNGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRTVIITIVCIRYVIQPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLIAAIALTTWSTIFMSILS >ONIVA09G20250.3 pep chromosome:AWHD00000000:9:19914167:19919071:1 gene:ONIVA09G20250 transcript:ONIVA09G20250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELAGSPGVDAVVFTVFTPSLMFASLAKTVTLSDVISWWFMPVNIGITFIVGGTLGWIAFCDEDGNPFGKDRSLCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHHPAQGHDQVKLDGETAYADEEAALLVSAKLAPEHNEENQMEAPLLTCEREIANKGGFWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGNGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRTVIITIVCIRYVIQPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLIAAIALTTWSTIFMSILS >ONIVA09G20250.4 pep chromosome:AWHD00000000:9:19914167:19926202:1 gene:ONIVA09G20250 transcript:ONIVA09G20250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELAGSPGVDAVVFTVFTPSLMFASLAKTVTLSDVISWWFMPVNIGITFIVGGTLGWIAFCDEDGNPFGKDRSLCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQSKSIQCPADSDEEHHPAQGHDQVKLDGETAYADEEAALLVSAKLAPEHNEENQMEAPLLTCEREIANKGGFWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGNGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRTVIITIVCIRYVIQPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQFVDLPDCCHCAYDVVDDIHVHPVLRLRVTIKKNRICGNIQLTDST >ONIVA09G20250.5 pep chromosome:AWHD00000000:9:19922519:19926202:1 gene:ONIVA09G20250 transcript:ONIVA09G20250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNKQEFPLATGALSTAAQEMTGDEGLQLLETVPNVNLISLFVTKHPEFLLDIIYVTQSDVVSTPGYAQGLCLLLRCRLLDPKLLCFFPSLDLKLPLVIKYPGISLENGSYELDPEIVRHLGLRLVRRPRRLRPKLVCFFASLGLELLFVIKHPGIALENGIHELEPEIVRHHVVIAVLLMEIQPECLSLLQRPLVGHHLHRPQLDRSRFFQDCGGKLCQCEDRPALNQGGTVESASEAKRRRKPTNLMILARSSPLARAVAPRIRINDGIGPKPPPPGEWLKRTVIITIVCIRYVIQPLIGMAVVHAAYGVGLLPHDPLYRYVLMMLFALPPAMNIGTMAQFVDLPDCCHCAYDVVDDIHVHPVLRLRVTIKKNRICGNIQLTDST >ONIVA09G20250.6 pep chromosome:AWHD00000000:9:19922519:19926202:1 gene:ONIVA09G20250 transcript:ONIVA09G20250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNKQEFPLATGALSTAAQEMTGDEGLQLLETVPNGLCLLLRCRLLDPKLLCFFPSLDLKLPLVIKYPGISLENGSYELDPEIVRHLGLRLVRRPRRLRPKLVCFFASLGLELLFVIKHPGIALENGIHELEPEIVRHHVVIAVLLMEIQPECLSLLQRPLVGHHLHRPQLDRSRFFQDCGGKLCQCEDRPALNQGGTVESASEAKRRRKPTNLMILARSSPLARAVAPRIRINDGIGPKPPPPGEWLKRTVIITIVCIRYVIQPLIGMAVVHAAYGVGLLPHDPLYRYVLMMLFALPPAMNIGTMAQFVDLPDCCHCAYDVVDDIHVHPVLRLRVTIKKNRICGNIQLTDST >ONIVA09G20240.1 pep chromosome:AWHD00000000:9:19906350:19906955:-1 gene:ONIVA09G20240 transcript:ONIVA09G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLQSSEHLTAATATAAASGGRGVHTDTFLILAAVLCFLLCVVGLALVARCSRLCNPSSFAVEAEEAMPPAPCKGLKRKALLSLPTVSFAEAAAAEEEEERPECAICLAEFARGDEVRVLPPCGHGFHAACVDVWLVSTSTCPSCRRAIVVLAAPSPAVTAAATDPPPPCCAADAAQASSQPPQPTGASDRGGCRTSVP >ONIVA09G20230.1 pep chromosome:AWHD00000000:9:19903753:19903920:1 gene:ONIVA09G20230 transcript:ONIVA09G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSVQLTAPDDRVENDKLSAAEEELVSKAEAAAADVGASIEKVGAASAIEKAA >ONIVA09G20220.1 pep chromosome:AWHD00000000:9:19899259:19901987:-1 gene:ONIVA09G20220 transcript:ONIVA09G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 3;3 [Source:Projected from Arabidopsis thaliana (AT2G17270) TAIR;Acc:AT2G17270] MPEMGARGGEAGAARVAKGGGGGGTGGMRLFSPEYYALCAGGGMLAAGATHLAITPLDVLKVNMQVNPMKYNSIFSGLNILVKEEGASSLWRGWAGKFFGYGFQGGCKFGLYEYFKKKYSDVLVDRNKSTIYFLSSASAQIIADVALCPFESVKVRVQTQPMFAKGLIDGFPRVYATEGLSGFYKGLLPLWGRNLPFSMLMFSTFEHTVDILYRNVIQKKKEDCSTMQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAKNIIDAVKSIGFRGLFTRSLPVRITLVGPVITMQWFFYDTIKILTRLPTSGGLPRELEEV >ONIVA09G20210.1 pep chromosome:AWHD00000000:9:19895831:19898482:1 gene:ONIVA09G20210 transcript:ONIVA09G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKSSNQILQELDALSHTLYQAHTNRRTASLALPRSASEVNGGGADVVRAESRPRSRRLSLSPFRSRPKQDKNAIVDDDDDDDGDDDGDKGAHRAPSKSQSFAAVTTPGGEAAAVAGEKKGIWGWKPIRALSHIGMNRLGCLFSVEVVAAQGLPPSMNGLRLAVAVRKKETRDGAMQTMPSRVQQGAADFEETLFVRCHLYCSGGAGTGKPLRFEPRPFLLSAVAVEAPELDFGRSAVDLSLLVKESTDKSQQGERVRQWDMALPLAGKAKGGELVVKLSFQIMDDGGVGLFNQTGAATKINSSSSSSSLFARKQSKLSFSITSPKVSRSEPKLTPTKGSPSPDLRGIDDFKLDEPSLPSLAEAKQEQKEPEPPEPEEKVDDSEFPEFDVVDKGVEGQEENVVEAKGAAEEEAKEEKAAAEEAPTSAAGDEVVKEVVHDSAHAWRINELEAITNQIKALESMMLGDAPAAGKTEDTRDGDAAALDTDEEEVTREFLQLLEQGDGKATLAKSVSSLKSGAKRDTGGAADASAACYISDLGKGLGPIVQTRDGGYLAATNPFDIPVERKELPKLAMQLSKPVILRDQRLPGGGAELFQQLCAGGCEALFEKLAALVGTDEVVGKTAEQIAFEGMATAIISARSAALGASSSAAQTVSLLRTMSSAMSDGRQERIDTGIWNAHETPVTVDEILAFSLQKIEAMAIKALKVQADMADEQSPFDVSPASEKRGGGHLLDAAVPPEDWALACVGADKVTMLLVAQLRDPLRRYEAVGAPSIVIIQAVRIAGNDDDDEPKFKVANMHVGGLRLKSADRRNVWDGEKQRLTAMHWLVAYGLGKAGRKGRTAAAAGKSGHDVLWSMSSRVMADMWLKPLRNPDVKIPLK >ONIVA09G20200.1 pep chromosome:AWHD00000000:9:19893116:19895523:1 gene:ONIVA09G20200 transcript:ONIVA09G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKPDTQLFQLLSDLLQQVESMSNQEEVELRAKIEALGLEVTKVPEQTPRQLDELEIAAELDKLSARLDNVDKMISSAMASDPEVKSLLSTTADIWMPVITASADERRGFAGTSGESNQEEQESSKQ >ONIVA09G20190.1 pep chromosome:AWHD00000000:9:19889824:19890687:-1 gene:ONIVA09G20190 transcript:ONIVA09G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPMMRPPAQQPPLQYQMWPPPPPAVMELPVVFVGVKPVRPAWKRVARQPGWKQRKAAASATAVGARWGGAAAPRNTTSYLIRAKRAGGVASLVSPCPVTPAVLPTPQLSPAREVVVEMAKEKWGVDGYGSMKGLIRLRSQAADAGGLPGEDSGSGESDVEEHVEVERRLDHDLSRFEMVQLPVAAGDCEDDEDDDDGDEARTARLEEENLTLRERLFLMERDMDDLRRRLLAVETLCRDRHRDGCVVDAAGVVAEETVLSESVAGADLAGVGDDDGDTAADAMKA >ONIVA09G20180.1 pep chromosome:AWHD00000000:9:19883160:19888060:1 gene:ONIVA09G20180 transcript:ONIVA09G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:quinolinate phoshoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT2G01350) TAIR;Acc:AT2G01350] MPAAAAAAAAAPPNPNVLQLAPRLRGLVSFPSSYSSSSPFSNRLRLRLPRAASMSAEARVPVAPPAHPTYDLKAVINLALSEDAGDRGDVSCLATIPSDVKAEATFIAKEDGVVAGISLADMIFKQVDPSLKVEWFESDGNYVHKGLQFGRVYGCARNIIVAERVVLNFMQRMSGIATMTKAMADAAHPACILETRKTAPGLRLVDKWAVLIGGGKNHRIGLFDMVMIKDNHISVAGGITNAMKFVDRFLAKEKLALPVEVETRTLQEVKDLLEYAAENNTSLTRIMLDNMVVPLGNGDIDVSMLKDAVELINGRFETEASGNVTIDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA >ONIVA09G20180.2 pep chromosome:AWHD00000000:9:19883160:19887458:1 gene:ONIVA09G20180 transcript:ONIVA09G20180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:quinolinate phoshoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT2G01350) TAIR;Acc:AT2G01350] MPAAAAAAAAAPPNPNVLQLAPRLRGLVSFPSSYSSSSPFSNRLRLRLPRAASMSAEARVPVAPPAHPTYDLKAVINLALSEDAGDRGDVSCLATIPSDVKAEATFIAKEDGVVAGISLADMIFKQVDPSLKVEWFESDGNYVHKGLQFGRVYGCARNIIVAERVVLNFMQRMSGIATMTKAMADAAHPACILETRKTAPGLRLVDKWAVLIGGGKNHRIGLFDMVMIKDNHISVAGGITNAMKFVDRFLAKEKLALPVEVETRTLQEVKDLLEYAAENNTSLTRIMLDNMVVPLGNGDIDVSMLKDAVELINGRFETEASGNVTIDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQHSVVLLDTC >ONIVA09G20170.1 pep chromosome:AWHD00000000:9:19881648:19882220:1 gene:ONIVA09G20170 transcript:ONIVA09G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLAAERRYKEEHRKLMAYGMADGWARDLMDKATEARWRVDLGSSVWVILLCVAACLALGVGFPLVDFLVLPSSENAGRIMLLVWALIGALIMAYYAWSHYRKRAAAQDVLAKAQDVFNQAGVSWPLPVYCFKKPSTNLYPDNMGPITIRLTVPSVDTYNTSTVTSSVATDNTSTITSSTIVHPHTLPL >ONIVA09G20160.1 pep chromosome:AWHD00000000:9:19876277:19878982:-1 gene:ONIVA09G20160 transcript:ONIVA09G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 23 [Source:Projected from Arabidopsis thaliana (AT2G42070) TAIR;Acc:AT2G42070] MLLVRSHHLLLHRHHHAARLSPRLHRLLLRHPPPLPRAASAASRLRPPRMSSASSSNASSPAPSPPPPVVPKSKIRFCPSCGSPTKLAIPDGDEKMRAVCSSCGRVHYENPKMVVGCLVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESAAEGASRETLEEACADVEILSPFAQLDIPLIGQSYIIFRARLKTPNFSPGPESLECALFALDDIPFDSLAFSSIIVTLRMYLEDVKSGNIKFHYCTINKRIGTGASDLRSFDIDNHLAV >ONIVA09G20150.1 pep chromosome:AWHD00000000:9:19870281:19878248:1 gene:ONIVA09G20150 transcript:ONIVA09G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAADVKLEGLRAATDKLDQISENEKSGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLSAAPEDLNETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCNVPLLLMNSFNTHDDTQKSQYPRIVTEDFLPLPSKGKTGKDGWYPPGHGDVFPSLNNSGKLDTLLAQGKEYVFVANSDNLGAIVDIKILNHLIHNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFEKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARTNPSNPSIELGPEFKKVANFLARFKSIPSIVELDTLKVSGDVWFGSGVTLKGKVTITAKSGKLEIPDGAVLENKVINGPEDL >ONIVA09G20140.1 pep chromosome:AWHD00000000:9:19864851:19865162:-1 gene:ONIVA09G20140 transcript:ONIVA09G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45930) TAIR;Acc:AT3G45930] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONIVA09G20130.1 pep chromosome:AWHD00000000:9:19860035:19862816:1 gene:ONIVA09G20130 transcript:ONIVA09G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKVHGLSIDLDIIPEVDLYKCEPWELEEKSFLPSKDSEWYFFGPRDRKYPNGCRTNRATRAGYWKSTGKDRRINYQNRSIGMKKTLVYYKGRAPQGIRTSWVMHEYRIEESECENAMGIQLQDSYALCRIFKKNVVLGEFDKKGECSSSQAKGNEEQVTDFGDAGQSSGANENDKDNSWMQFIAEDLWCTNKLK >ONIVA09G20120.1 pep chromosome:AWHD00000000:9:19857323:19864090:-1 gene:ONIVA09G20120 transcript:ONIVA09G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKETSRKHGSLAMGAEGRESSRVGDDPAHRPAGAGIRLWDETTGEKRVIVLRRLEEEEALGMAATSCNPWAARVRVLTLGPEFVRLVPPVGLFYIGIMGRFQKLALASHQPARATQEVLSSAPPSAVLEHQASSEMNCIQESSLSGSSSASASAPPEVDPTGTSGGDGVSYCSWLPPPPLASSCWQAPSSSAMLHCPCSSSTSVQLAFFLKTRHNA >ONIVA09G20110.1 pep chromosome:AWHD00000000:9:19855302:19858208:1 gene:ONIVA09G20110 transcript:ONIVA09G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQASCEGDQGICKRGAKGGHPIDQNLVGGMTMSTGVPTTVPPTPALAALKNLLIRVVAAAAGKGLSSSAEEARCLWAKLPAPEEEEEAGQQPERPPSSYRRPPTSTLMAPVGLPPGFRFHPTDEELVNYYLKRKIHGLNIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVVHQHGGRAIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDCEDTMPIQDTYALCRVFKKNASCTEVEELQGQCSMALLEGACQQLLASGGGGSQEQYETPSPPDVPVGSTSGGADADAEDDPDKDDSWMQFISDDAWCSSTADGGAEESTSCVALAG >ONIVA09G20100.1 pep chromosome:AWHD00000000:9:19851524:19853230:-1 gene:ONIVA09G20100 transcript:ONIVA09G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLDLFGKNESTVSSINEAHPGRDARPGGGARLGAATVAWRRDAARGGGGDPAAGSGTWQGGGGSGGAPPGSGIPAAGSDSRMARVVMAGVAGDGRTARAEGQRMCDGRTLASRSMRAAPCSRPTRQWLAPAMLVVGLWMTAGGGCCQMDGCVVGDPTGTPACMAAAGSLRVACVAALQVLAGGDAAGSGVELGFSRTALPSRPVMTRGRHVGAFSSPWSSSPS >ONIVA09G20090.1 pep chromosome:AWHD00000000:9:19848350:19849498:-1 gene:ONIVA09G20090 transcript:ONIVA09G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDMSPKAGKPLVENDAGSYLAWSGKDQPAVAGEKLGCGLLVLKPLGFALPHYADSGKFGYVLGGSAVVGVLPAGVDARERVVRLEAGDVIAMRAGEVTWWYNDTDGDDVTIVFMGDTARAASPGDISYFVLAGPMGVLGGLDAGLLAKASGLTSPEQAATAFRSQPAALLTRLNRKLHGVRPREHDRHGIVVNAARVPADSNTGGAAAGTKTVTAAHLPVLAQLGFSVGLTRLDAGAAVRGPWVLRDAAAQAVYVARGSGRVQVAGAGGASTLLDAEVAAGSLLVVPRYGVSLAAADDAGGMELVSLIKSPRPATEHFTGKGSVIGGLTAEIVQAALNVSPEFVEQLRTKY >ONIVA09G20080.1 pep chromosome:AWHD00000000:9:19842921:19845809:-1 gene:ONIVA09G20080 transcript:ONIVA09G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPKAGKPLVENDAGSYLAWSGKNQPALAGEKLGCGLLVLKPLGFALPHNADSGKFGYVLGGSAVVGVLPAGVDARERVVRLEAGDVIAMRAGEITWWYNDADGGGGEDVTILFVGDTAGAVSPGDISYFILAGPMGVLGGLDAGLLATASGLTSPEQAATAFRSQPAVLLTRLSRKLQDVRPREHDRHGIVVNAARVPADSSTGGAAAGTKIVTAAHLPVLRSAAGVMSFEDSLDMAAPDMSPKAGKPLVQNDAGSYLAWSGKDQPALAGEKLGCGLLVLKPLGFALPHNADSGKFGYVLGGSAVVGVLPVGVDARERVVRLEAADVIAMRAGEITWWYNDADGGGGEDVTILFVGDTAGAVSPGDFSYFILAGPMGVLGGLDAGLFATASGLTSPEQAATAFRSQPAALLTRLSRKLHGVRPREHDRHGIVVNAARVPPDSTGGKTVTAAHLPALAQLGLSVGLALLDAGAAVRGPWVLRDAAAQAVYVARGSGRVQVASAGGASTLLDAEVAAGSLLVVPRYAVALVAADDAGGMELVSLIKSSRPAMEHFTGKGSVIGGLTPEIVQAALNVSPELVEQLRTK >ONIVA09G20070.1 pep chromosome:AWHD00000000:9:19837243:19839982:-1 gene:ONIVA09G20070 transcript:ONIVA09G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGNGYCSSGSEGEDEDEGMEGYRKGGYHAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTLLNRFVALKIQKSARDYAQAALHEIELLSAIAKGDPTNSKNVVQLLDHFKHAGPNGHHVCLVTEFLGDSLLRLIRYNRNKGIGLSRVKEICRSVLVGLDYLHRELGIIHTDLKPENVLLVSTINPSKDPVRSGFTPILERTVSNQYSGSVISFSEKMLKMRARRAVAKISLRRESLGGVAAEMEKERSLDGISLKCKIVDFGNACWGSQQLAGEIQTRQYRAPEVIIGAGYSYSADMWSFACMAFELATGEVLFAPKTCQGCSEDEDHLALMMETLGKMPKKIASSGIRSKDYFDRHGDLKRIRRLKFWPLERLLVQRYNFTEPDAQGLADFLRPILDFTPENRPTAAACLKNPWLN >ONIVA09G20060.1 pep chromosome:AWHD00000000:9:19834613:19837149:1 gene:ONIVA09G20060 transcript:ONIVA09G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAKLFITGLSTVITCSSAVVFKGAAMAVVLLLMLVTARITDKNCSSKQTATEHAGSEPMMRVSTVCSMKTELHQKNQALQASRKLAEGKEPRP >ONIVA09G20050.1 pep chromosome:AWHD00000000:9:19824424:19833439:-1 gene:ONIVA09G20050 transcript:ONIVA09G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPGRKAGLPFPEKKKKCRGKFLLGDDEAAGGHSPVSFARLTCTLSISLLRRRRGAAAFSPRLASSSSRARPRRARLGGGGVDGTGSSPLLPDHTLPSPPRCLLARTAGRQARGDAAGLAPLGSISVPVELETIKRLPKSVHSSLRSSIVLFDLSRVVEELVYNSIDANASKIDISVNARACYVKVEDDGCGITRDELVLVGEKYATSKFHNVMVDGEPSSRSFGLNGEALASLSDISVVEVRTKARGRPNSYCKIIKGSKCSHLGIDEQREVVGTTVIVRELFYNQPVRRKQMQSSYKRELHLVKKSVLRVALIHPQVSLRLFDIESEDELLYTIPSSSPLPLVSNILGKNVSSCLHEIATSDKHFALSGHISRPTDVFCNKDWQTVMYFFERTITDYWKKHAPQLPEVKAIGNDTCVPLERDVKSSQELLRRHGVQKKEDVAELYQTALQKNTVRDMNFDTAAPAEPKDNYLSLDMEPSTWRACYDQISDASHTDDVARNGRKFGHKQICSLQSYSYQWLEDGSSLLEDSDLSSANPTICKMQKTEDIFHGHAYSGKFGLLQDAEIEIGPEIKLQEYCFESPNKLNRMTCDFVQKQTNIEAHISGRDGFYVDFDKLNEDCLLNEISKTITDVSCPQMPHFNDGLCPEDVGSSKSSCSVRKKSSKRQNSANAIAQMKFHDMQAVCESDYMDRSFIKDTCGLHFFHPFSLADTPRSHSRARIDLELHGRSNESINSWNRENIGTDFGFTSDRFNIDSSMIFEGSKHLNNFGNGTQSPSYFNHEYCSVGQFASKQDRIPLKSKHDARMSYDISPEKSSTGCHLNVSFSQVAKSSKLTEDQYGCSQRPRLSRGRYRSRSAPPFYRGKRKFPRLNEPLTKLTTEGGKYTTVNDSGDITPVQEYTSHMNATQPIPETFSNDFSDLNFSLKGNVKMCEEKCSDELEDSTASDEITKWRDDSDHHAVEHFVPRVSELQHGPFEHDDDVLSISYGPLHLSCSVLVPECIDKNCFEEARVLLQLDKKFIPVISGEHAADERIRLEELRRKVLSDDGRGITYLDSEEDLVLPETGFQLFQKYMQQIQSWGWIINSTNSCESFKKNMNVLRRQSRRLTLAAVPCILGVTLPGKDLMDFIQQLDDTDGSSAIPPAVIRILNFKACRGAIMFGDPLLPSECSLIIEELKATSLCFQCAHGRPTTVPIVNVASLRGELARLGAVNGRQEETWHGLSHHGPSLERARTRLRELRKLRGGL >ONIVA09G20040.1 pep chromosome:AWHD00000000:9:19815638:19824306:-1 gene:ONIVA09G20040 transcript:ONIVA09G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRVMMTKVNPQSQVGPCLCGPAYNPKPNSAHDERTASLRWRAAAAFGVPFPPKSSRPNLGQSLSLSLANSPSLSLSSTSPPMGAAAADEDFATPPPPARAPGGRVYQVGGVPVEFPYKPYGTQLAFMGRVIATLDRARRQGRSHALLESPTGTGKSLSLLCSALAWQRHYPLRAPPAAAAAAAPDPFLHGGGFVPDDTQKQATPGVPEKATRKKNVPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNKNVCMSGNIDELWNAQKLSRHPSLQIGGCYEVHDIEDLIRVGRKVKGCPYFAAQTMAEAAQLVFCPYNYLISPIVRRAMDIDIRGSIIVLDEAHNIEDIARDAGSFDVDEESLLFLRQELEGLVTDEAVAKIYEPLHEVIQGLNGWIDEQGNNLQKNEFEHPASFWTGEEAMKELKYAGITPVNFPVLQECATKAIKAASDAESEGVHLSGGSAMTLESLFSSLSYFFAENGRHSNDYQLALQRFAKCEGNSVTSFIGWKCVMSLWCLNPAVVFRDIADLTLSVILTSGTLSPMGSFASELGVQFEACMEAPHVINADSQVFATVLSSGPTGRRLNASYRTANEYSFQDELGATLEEICRVVPGGALVFFPSYNLLEKLQRRWYQTEPRGSTEELEPVLKGYYNAILGKVPPKKGRGGAKQIVKNRVTKDSSQESAKGGAAFLAVCRGKVSEGIDFSDDKARVVVSFVFSSYVFYAPERINDVQVKLKKRYNDSYKSSKDLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGGIILIDERYQEERNIVYISKWLRNSIRNCGTFQETMDGLTRFFQNAEEQIKIKAQGVSPKDRLDACVLPSQGDKRKLPWPEPNFSNQTVLQNNKDVKSESHIDGAGVDRMKLSDTSSESWHISSKHSGLVKKQISPEPENVPMACQLPPSYKVQYNLEGEADNGTNYEVNINFIDLEECDTKPRYAKLTIFNPSEHITQQSTVMEETYAEVPIASPSNRNGENASTVMNEGDLVLDLPISLSAANRNISCVSTSAATPERSGSISHLEHESWTNRSVNSHCQKRRKLSSPMSCCTYTERSCSPSKPYLHGGCDVSMLPGDLRTDGVCCKSMKMSRCENVKVERNSKLAEFPSRESTQEKLFICCARCKTALGLQEDGFLVSCSSSLSSKFYLTYLWRHGPSADILPGKDFLASPPLKIKVMVCNVSSVNKMMLGNLSNEGSAHNSSFWSEKDGCVYKPVTCQTCSCKNACVTTLGAQVVATDSSNQQFCDKVLLFDDLLHVKHGPSKDQAASAPIGTVKPISPPPVIDLESFAYKPLKKDPVPVNTRSLGYLAQPNPGMVHNMKDSSAICSERTGKSSTLHKRMECQLLWVLFVLFSPFCKEIWACLFWVNLFMDEISIMSGCYEQD >ONIVA09G20040.2 pep chromosome:AWHD00000000:9:19815954:19824306:-1 gene:ONIVA09G20040 transcript:ONIVA09G20040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRVMMTKVNPQSQVGPCLCGPAYNPKPNSAHDERTASLRWRAAAAFGVPFPPKSSRPNLGQSLSLSLANSPSLSLSSTSPPMGAAAADEDFATPPPPARAPGGRVYQVGGVPVEFPYKPYGTQLAFMGRVIATLDRARRQGRSHALLESPTGTGKSLSLLCSALAWQRHYPLRAPPAAAAAAAPDPFLHGGGFVPDDTQKQATPGVPEKATRKKNVPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNKNVCMSGNIDELWNAQKLSRHPSLQIGGCYEVHDIEDLIRVGRKVKGCPYFAAQTMAEAAQLVFCPYNYLISPIVRRAMDIDIRGSIIVLDEAHNIEDIARDAGSFDVDEESLLFLRQELEGLVTDEAVAKIYEPLHEVIQGLNGWIDEQGNNLQKNEFEHPASFWTGEEAMKELKYAGITPVNFPVLQECATKAIKAASDAESEGVHLSGGSAMTLESLFSSLSYFFAENGRHSNDYQLALQRFAKCEGNSVTSFIGWKCVMSLWCLNPAVVFRDIADLTLSVILTSGTLSPMGSFASELGVQFEACMEAPHVINADSQVFATVLSSGPTGRRLNASYRTANEYSFQDELGATLEEICRVVPGGALVFFPSYNLLEKLQRRWYQTGQWARLEAQKHVCVEPRGSTEELEPVLKGYYNAILGKVPPKKGRGGAKQIVKNRVTKDSSQESAKGGAAFLAVCRGKVSEGIDFSDDKARVVVSFVFSSYVFYAPERINDVQVKLKKRYNDSYKSSKDLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGGIILIDERYQEERNIVYISKWLRNSIRNCGTFQETMDGLTRFFQNAEEQIKIKAQGVSPKDRLDACVLPSQGDKRKLPWPEPNFSNQTVLQNNKDVKSESHIDGAGVDRMKLSDTSSESWHISSKHSGLVKKQISPEPENVPMACQLPPSYKVQYNLEGEADNGTNYEVNINFIDLEECDTKPRYAKLTIFNPSEHITQQSTVMEETYAEVPIASPSNRNGENASTVMNEGDLVLDLPISLSAANRNISCVSTSAATPERSGSISHLEHESWTNRSVNSHCQKRRKLSSPMSCCTYTERSCSPSKPYLHGGCDVSMLPGDLRTDGVCCKSMKMSRCENVKVERNSKLAEFPSRESTQEKLFICCARCKTALGLQEDGFLVSCSSSLSSKFYLTYLWRHGPSADILPGKDFLASPPLKIKVMVCNVSSVNKMMLGNLSNEGSAHNSSFWSEKDGCVYKPVTCQTCSCKNACVTTLGAQVVATDSSNQQFCDKVLLFDDLLHVKHGPSKDQAASAPIGTVKPISPPPVIDLESFAYKPLKKDPVPVNTRSLGYLAQPNPGMVHNMKDSSAICSERTGKSSTLHKRMECQLLWVLFVLFSPFCKEIWACLFWVNLFMDEISMFLVLLLKG >ONIVA09G20040.3 pep chromosome:AWHD00000000:9:19815954:19824306:-1 gene:ONIVA09G20040 transcript:ONIVA09G20040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRVMMTKVNPQSQVGPCLCGPAYNPKPNSAHDERTASLRWRAAAAFGVPFPPKSSRPNLGQSLSLSLANSPSLSLSSTSPPMGAAAADEDFATPPPPARAPGGRVYQVGGVPVEFPYKPYGTQLAFMGRVIATLDRARRQGRSHALLESPTGTGKSLSLLCSALAWQRHYPLRAPPAAAAAAAPDPFLHGGGFVPDDTQKQATPGVPEKATRKKNVPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNKNVCMSGNIDELWNAQKLSRHPSLQIGGCYEVHDIEDLIRVGRKVKGCPYFAAQTMAEAAQLVFCPYNYLISPIVRRAMDIDIRGSIIVLDEAHNIEDIARDAGSFDVDEESLLFLRQELEGLVTDEAVAKIYEPLHEVIQGLNGWIDEQGNNLQKNEFEHPASFWTGEEAMKELKYAGITPVNFPVLQECATKAIKAASDAESEGVHLSGGSAMTLESLFSSLSYFFAENGRHSNDYQLALQRFAKCEGNSVTSFIGWKCVMSLWCLNPAVVFRDIADLTLSVILTSGTLSPMGSFASELGVQFEACMEAPHVINADSQVFATVLSSGPTGRRLNASYRTANEYSFQDELGATLEEICRVVPGGALVFFPSYNLLEKLQRRWYQTEPRGSTEELEPVLKGYYNAILGKVPPKKGRGGAKQIVKNRVTKDSSQESAKGGAAFLAVCRGKVSEGIDFSDDKARVVVSFVFSSYVFYAPERINDVQVKLKKRYNDSYKSSKDLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGGIILIDERYQEERNIVYISKWLRNSIRNCGTFQETMDGLTRFFQNAEEQIKIKAQGVSPKDRLDACVLPSQGDKRKLPWPEPNFSNQTVLQNNKDVKSESHIDGAGVDRMKLSDTSSESWHISSKHSGLVKKQISPEPENVPMACQLPPSYKVQYNLEGEADNGTNYEVNINFIDLEECDTKPRYAKLTIFNPSEHITQQSTVMEETYAEVPIASPSNRNGENASTVMNEGDLVLDLPISLSAANRNISCVSTSAATPERSGSISHLEHESWTNRSVNSHCQKRRKLSSPMSCCTYTERSCSPSKPYLHGGCDVSMLPGDLRTDGVCCKSMKMSRCENVKVERNSKLAEFPSRESTQEKLFICCARCKTALGLQEDGFLVSCSSSLSSKFYLTYLWRHGPSADILPGKDFLASPPLKIKVMVCNVSSVNKMMLGNLSNEGSAHNSSFWSEKDGCVYKPVTCQTCSCKNACVTTLGAQVVATDSSNQQFCDKVLLFDDLLHVKHGPSKDQAASAPIGTVKPISPPPVIDLESFAYKPLKKDPVPVNTRSLGYLAQPNPGMVHNMKDSSAICSERTGKSSTLHKRMECQLLWVLFVLFSPFCKEIWACLFWVNLFMDEISMFLVLLLKG >ONIVA09G20030.1 pep chromosome:AWHD00000000:9:19812584:19814804:1 gene:ONIVA09G20030 transcript:ONIVA09G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELPDRRRPSPSPFLSKSNPLRIASHPRTLRRLINQIPHHHHRRFVLADPEARSRSSNGDSRLSVRKTKAEKDPNKPKRPPSAFFVFMEQFRKDYKEKHPNVKQVSVIGKAGGDKWKSMTDADKAPFVTKAEKLKAEYTKKIDAYNNKQAGGPATSGDSDKSKSEVNDEDEGSGDE >ONIVA09G20030.2 pep chromosome:AWHD00000000:9:19812584:19815046:1 gene:ONIVA09G20030 transcript:ONIVA09G20030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELPDRRRPSPSPFLSKSNPLRIASHPRTLRRLINQIPHHHHRRFVLADPEARSRSSNGDSRLSVRKTKAEKDPNKPKRPPSAFFVFMEQFRKDYKEKHPNVKQVSVIGKAGGDKWKSMTDADKAPFVTKAEKLKAEYTKKIDAYNNKQAGGPATSGDSDKSKSEVNDEDEGSGDE >ONIVA09G20030.3 pep chromosome:AWHD00000000:9:19812584:19815046:1 gene:ONIVA09G20030 transcript:ONIVA09G20030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELPDRRRPSPSPFLSKSNPLRIASHPRTLRRLINQIPHHHHRRFVLADPEARSRSSNGDSRLSVRKTKAEKDPNKPKRPPSAFFVFMEQFRKDYKEKHPNVKQVSVIGKAGGDKWKSMTDADKAPFVTKAEKLKAEYTKKIDAYNNKQAGGPATSGDSDKSKSEVNDEDEGSVRPPSNPQHRVAINSSIFFLCDLTDCFVFVYVQGDE >ONIVA09G20020.1 pep chromosome:AWHD00000000:9:19796267:19799867:-1 gene:ONIVA09G20020 transcript:ONIVA09G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0INC5] MDRSDAFTYIIVSVVVVLLLPPPCSSDDRLVPGKPLTSDATVVSDGGAFAMGFFSPSNSTPDKLYLGIWYNDIPVRTVVWVANQETPVTNGTTANVTGGAAGAGNGNTTAVLMNTGNLVVRSPNGTALWQSFEHPTDSFLPGMKLRMTYSTRASDRLVSWRGPADPSPGSFSYGGDTDTLLQVFMWNGTRPVMRDGPWTGDVVDGQYQTNSTAINYLAILSRDDEVSIEFAVPAGAPHTRYALTYAGEYQLQRWSAASSAWSVLQEWPTGCGRYGHCGANGYCDNTAAPVPTCRCLTGFEPAASAGCRRTVAVRCGDGFLAVEGMKPPDKFVRVANVATLEACAAECSGNCSCVAYAYANLSSSRSRGDTTRCLVWSGDLIDTAKVGLGSGHSDTLYLRIAGLDTGGTAKSDAVKIVLPVLACILTVLCISFAWLKIKGKRRNRQKHIELILDVTSTSDDVGKRNLVQDFEFLSVKFEDIALATHNFSEAYKIGEGGFGKVYKAMIGGQEVAVKRLSKDSQQGTEEFRNEVILIAKLQHRNLVRLLGCCVERDEKLLIYEYLPNKGLDATLFDGSRKPKLDWTMRFNIIKGVARGLLYLHQDSRLTIIHRDLKASNVLLDAEMRPKIADFGMARIFCDNQQNANTRRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVITGIRRSSTSNIMDFPNLIIYAWNMWKEGKTKDLADSLIIDSCLLDEVLLCIHVALLCVQENPNDRPLMSSTVFILENGSSTALPAPSRPAYFAYRSDESEQSRENIQNSMNTFTLTNIEGR >ONIVA09G20010.1 pep chromosome:AWHD00000000:9:19790930:19794888:1 gene:ONIVA09G20010 transcript:ONIVA09G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0INC4] MDRSDAFIYVIIMSVVVLLIPQPCSANDRLVPGKPLTSDGTVVSDGGAFAMGFFSPSNSTPDKLYLGIWYNDIPVRTVVWVANQETPVTNGTTLSLTESSNLFVSDADGRVRWATNVTGGAAGNGNTTAVLMNTGNLVVRSPNGTIFWQSFEHPTDSFLPGMKLGMMYETRAADRLVSWRGPGDPSPGSFSYGGDTDTFLQVILWNGTRPVMRDGPWTGYMVDSQYQTNTSAIVYLAIIDTDEEIYITFSVADDAPHTRYVLTYAGKYQLQRWSSGSSAWVVLQEWPAGCDPYDFCGPNGYCDSTAAEAPLPTCRCLDGFEPASAAEWSSGRFSRGCRRKEAVRCGDGFLAVQGVQCPDKFVHVPNRTLEACAAECSGNCSCVAYAYANLSNSRSKADSTRCLVWSGELIDMAKVGAQGLGSDTLYLRLAGLQLHAGGRTKSKAVKIVLPVLATCKKRNREKHRKQILFGMSAAEEVGEGNPVQDLEFPFVRFEDIALATNNFSEAHKIGQGGFGKVYKGMLGGQEVAIKRLGRNSQQGTEEFRNEVILIAKLQHRNLVRILGFCVEGDEKLLIYEYLPNKSLDATLFNGSRKLLLDWTARFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNILLDAEMKPKIADFGMARIFGDNQQNANTQRVVGTYFGVLLLEVITGMRRNSVSNIMGFPNLIVYAWNIWKEGKTENLADSSIMDSCLQDEVSLCIHLALLCVQENPDDRPLMTFVVFILENGSSTALPTPSRPAYFAQRSDKMEMDQLRHNIENSMYALTLTDVEGR >ONIVA09G20000.1 pep chromosome:AWHD00000000:9:19785309:19786074:-1 gene:ONIVA09G20000 transcript:ONIVA09G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKEAGQHDTYSGEGPFYHRHLGPLVTLPNASLDHLLSLQLLGSTLIGCSIHPEPLRFLPSPDLEPLLVIAYPKILFHTFVKNLLESNSKEVLPDVLRACAFFAAPAASIQNLSASLRAWTLSCSPPFAIQRLGIPIESGVDELEPEIVGNLVVVAVLLLEIQPDVLG >ONIVA09G19990.1 pep chromosome:AWHD00000000:9:19778937:19784277:1 gene:ONIVA09G19990 transcript:ONIVA09G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRELSTSSLVGPLRPKAQGPVRESDFAKLGWADPARLIWKARSFSRRPSVKMGGSLLSSLLAARVLGFFFFSLLLPIRRQASAPTRRRSPPMAKKGAPRRQQPAPAARQLAVAKKGKAPPKAAKRAPKKKQELLEPSSDDSELEQQQERLQEVEEDESGSDLDAPSDSGAEELSDSDDASLEGGDSGDEEDDDEEEEKDDEDPLADDFLAGSSDDESAEGDDSGVDSDESDDLEAKSRAIDEAKGKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPHLKRRISEIVRVLSNFSKLRQKDVPRKDYVDQLKTDVMSYYGYNDFLVEAFIEMFPAVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVIDYALKKRNVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESSKVPEEAIEKTDPSSDDLQEQPIQSKIHKDVKKMNEETTILDGVTEDKQQTHDRPEKTLKNHKKGEKKRNGPGSGKKETHNEKEEPTSEKKQPVSAKIKKTVPKRTSGTKEKKPDTDQGGKRKRNWMVRREWEAYKKSRSKKEKNLY >ONIVA09G19990.2 pep chromosome:AWHD00000000:9:19778937:19784297:1 gene:ONIVA09G19990 transcript:ONIVA09G19990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRELSTSSLVGPLRPKAQGPVRESDFAKLGWADPARLIWKARSFSRRPSVKMGGSLLSSLLAARVLGFFFFSLLLPIRRQASAPTRRRSPPMAKKGAPRRQQPAPAARQLAVAKKGKAPPKAAKRAPKKKQELLEPSSDDSELEQQQERLQEVEEDESGSDLDAPSDSGAEELSDSDDASLEGGDSGDEEDDDEEEEKDDEDPLADDFLAGSSDDESAEGDDSGVDSDESDDLEAKSRAIDEAKGKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPHLKRRISEIVRVLSNFSKLRQKDVPRKDYVDQLKTDVMSYYGYNDFLVEAFIEMFPAVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVIDYALKKRNVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESSKVPEEAIEKTDPSSDDLQEQPIQSKIHKDVKKMNEETTILDGVTEDKQQTHDRPEKTLKNHKKGEKKRNGPGSGKKETHNEKEEPTSEKKQPVSAKIKKTVPKRTSGTKEKKPDTDQGGKRKRNWMVRREWEAYKKSRSKKV >ONIVA09G19990.3 pep chromosome:AWHD00000000:9:19778937:19785213:1 gene:ONIVA09G19990 transcript:ONIVA09G19990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRELSTSSLVGPLRPKAQGPVRESDFAKLGWADPARLIWKARSFSRRPSVKMGGSLLSSLLAARVLGFFFFSLLLPIRRQASAPTRRRSPPMAKKGAPRRQQPAPAARQLAVAKKGKAPPKAAKRAPKKKQELLEPSSDDSELEQQQERLQEVEEDESGSDLDAPSDSGAEELSDSDDASLEGGDSGDEEDDDEEEEKDDEDPLADDFLAGSSDDESAEGDDSGVDSDESDDLEAKSRAIDEAKGKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPHLKRRISEIVRVLSNFSKLRQKDVPRKDYVDQLKTDVMSYYGYNDFLVEAFIEMFPAVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVIDYALKKRNVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESSKVPEEAIEKTDPSSDDLQEQPIQSKIHKDVKKMNEETTILDGVTEDKQQTHDRPEKTLKNHKKGEKKRNGPGSGKKETHNEKEEPTSEKKQPVSAKIKKTVPKRTSGTKEKKPDTDQGGKRKRNWMVRREWEAYKKSRSKKV >ONIVA09G19990.4 pep chromosome:AWHD00000000:9:19785206:19786508:1 gene:ONIVA09G19990 transcript:ONIVA09G19990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQKWAAAKAQALQDLETRFIQQTATILSCYDDLLPEHIRLDLQEQHCNDHKVPDDLWLEFINAAFDGNPETLDREGGGATQDKFWIEAAGAAKKAQALKEMEERFRQEFIKPGLDKILLELVESLPEDIREDFFRVRFQEILNERVEQNFGVGDHEKRLKIRAWEESQRFRMDAAADKRAAKKLQALQDMKKGFILDRLDRFLRGYVKQHLIREHTEYSVPANMQLRFIDDIERKFRKLDYQEVIKARIWEGYERSKMPMIKRSLATVGVMLSSFFVPGNKVTESQSFRIDGSKERGRGTWLEREYTVSCEYGWDAQIAEDGVLGDFGCHLLCGHAQPAKYNHGSSEKLLLATSPIETPDIENPAPTAY >ONIVA09G19980.1 pep chromosome:AWHD00000000:9:19745367:19773435:-1 gene:ONIVA09G19980 transcript:ONIVA09G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDALACITSVLILLAPPCASDDRLVPGKPLSPGATVVSDGGAFALGFFSPSNSTPEKMCLGIWYNDIPRRTVVWVADRGTPVTNSSSSAPTLSLTNSSNLVLSDADGGVRWTTNITDDAAGGGSTAVLLNTGNLVVRSPNGTTLWQSFEHPSDSFLPGMKMRVMYRTRAGERLVSWKGPDDPSPGSFSFGGDPGTFLQVFLWNGTRPVSRDGPWTGDMVSSQYQANTSDIIYSAIVDNDDERYMTFTVSDGSPHTRYVLTYAGKYQLQSWDNSSSAWAVLGEWPTWDCNRYGYCGPFGYCDNTARAPAVPTCKCLAGFEPASTAEWSSGRFSRGCRRTEAVECGDRFLAVPGMKSPDKFVLVPNRTLDACAAECSSNCSCVAYAYANLSSSGSKGDMTRCLVWSGELVDTEKEGEGLSSDTIYLRLAGLDLDAGGRKKSNAIKIVLPALGCILIVLCIFFAWLKIKGRKTNQEKHRKLIFDGEGSTVQDFELPFVRFEDIALATNNFSETNKIGQGGFGKVYMAMLGGQEVAIKRLSKDSRQGTKEFRNEVILIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKGLDATLFDGSRKMKLDWTTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQDANTQRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEIVTGIRRSSTSNIMNFPNLIVYSWNMWKEGKSKDLVDSSIMDSCLLHEVLLCIHVALLCVQESPDDRPLMSSIVFTLENGSSVALLPAPSCPGHFTQRSSEIEQMKDNTQNSMNTFTLTNIEGRKFKLDWRTRFTIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQNANTRRVVGTYGYMAPEYAIEGIFFTKSDVYSFGVLLLEVVTGIRRSSTSNIMDFPNLIVYSWNMWKEGKMKDLANSSIMDSCLLHEVLLCIHVALLCVQENPDDRPLMSSVVPTLESGSTTALPTPNCPAYFAQRSSEIEQLRDNIQNSMNTFTLTDIEGRILLKKVLMDRSAAALACITSVLLLLLPPPCASDDRLVTGKPLSPGATIVSDGGAFALGFFSPSNSTPEKMYLGIWYNDIPGRTVVWVADRGTPVTNSSSSLPTLSLTNSSNLLLSDADGRVRWTSNITDDAAGSGSTAVLKNDGNLVVRSPNGTTLWQSFEHPTDSFLPGMKLGVTFKTRTCERLVSWKGPDDPSPGSFSFGGDPDTFLQVFIWNGTRPVSRDGPWTGYMVSSQYQANSSDIFYFSIVNNEEKRYITFSVSEGSPYTRYVITYAGKYQFQRWNISSSAWDVAEWPRWDCNYYNYCGPNGYCDNTARAPAVPTCKCLFGFEPANAAEWNSGRFSQGCRRKEAVQCGDRFLAVPGMISPDKFVLFPNRTLDACAAECSSNCSCVAYAYANLSSSISKGDKTRCLVWSGELIDAEMVGERLGSDTIYLRLAGLDAGKKRKREKHRKLFLDGACTSEEIEDGSPIQDLELPYVRFEEIALATHNFSEENKIGQGGFGKVYMAMLGGQEVAVKRLSKDSRQGTEEFRNEVILIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKSLDATLFDVSRKLKLDWRTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIVGDNQQNTNTRRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVVTGIRRSSTSNIMGFPNLISWNMWKEEKMKDLADSSIMDSCLLHEVLLCIHVALLCVQENPDDRPLMSSVVFFLDNGSNTALPCLDGFEPASGEEWSTGRFSGGCRRKEALPCKLILKRKKVLMDRSAAALACITSVLLLLPPPCASDDRLVTGKPLSPGATLVSDGGAFALSFFSPSTATPEKMYLGIWYNDIPQRTVVWVADRGTPVTNTSSSAPTLSLTNSSNLVLSDADGRVRWSTNITDDAAGSGSTAVLLNTGNLVIRSPNGTILWKSFDHPTDSFLPGMKLGMTFKTRVSDRLVSWRGPGDPSPGSFSFGGDPDTFLQVFVRKGTRPGRAMDGLHDVEPVPAMNSSDIFYFSVVDNDEKRYITFSVSEGSPHTRTLDACAAECSNNCSCVAYAYANLSSSISEGDVTRCLVWSGELIDTEKIGEWPESDTIHLRLASIDAGRRTKINAVLKVVLPVLSSIIIVLCMSFAWLKIKGKKRNREKHRKLIFDGANTSEEIGQGNPVQDLELPFVRFEDIALATHNFSEANKIGQGGFGKVYMAMLGGQEVAVKRLSKDSRQGTEEFRNEGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQNANTRRVVGTYGYMAPEYAIEGIFFTKSDVYSFGVLLLEVVTGIRRSSTSNIMDFPNLIVYSWNMWKEGKMKDLANSSIMDSCLLHEVLLCIHVALLCVQENPDDRPLMSSVVPTLESGSTTALPTPNCPAYFAQRSSEIEQLRDNIQNSMNTFTLTDIEGRVQKGIQILVMDSTACTTIVVFLLLLPRLCSSASDKIELGEQLLPGQTRASDGGAFVLGFFSPSNSTPERQYIGIWYNITDRTVVWVANREAPAIAAGRSIAPRLALTNDSNLVLSDADGRVLWSTNVTAGVAAGRSTSPPVAELLNNGNLVIRSNGAILWQSFDHPTDTLIPEMKIQLNKRTRRGARLVSWKDAGGDPSPGSFSYGMDPETSLQLVMWNGSRPYWRTTVWTGYLTSGQYLAATGTTIYLDVVDNDDEIYVKLRVSDGASPTRYVMTSSGEFQLLGWDKSSSEWITFSSFPTHHCTTYGYCGPNGYCDITTGAAAACKCLDGFEPASGGEWSAGRFSGGCRRKEAPPCGGGDGFLALPRMKVPDKFSTLVGNMTFDECAARCAMNCSCEAYAHADLSSSSARGDIGRCLVWASELIDMVMIGQTTWGRAGETLYLRVPASSTGSRGRGNVVKIAVPILASALVLTCIFFVYFCKSRENRRKRDSQKTLVPGSRNTSSELLEENPTQDLEFPSIRFSDIVAATDNFSKSCLIGRGGFGKVYKVTLENGQEVAIKRLSKDSDQGIEEFKNEAILIAKLQHRNLVRLLGCCTEGSEKLLIYEYLANKGLDAILFDGARKSLLDWPTRFGIIKGVARGLLYLHQDSRLTVIHRDLKASNILLDAEMRPKIADFGMAKIFGENQQKANTKRVVGTYGYIAPEYSTEGSFSVKSDVYSFGVLLLEIVSGIRISSTDIMEFPSLIVYAWSLWKEGKAKNLIDSSIAESSSLDEVQLCIHVGLLCVEDNPNSRPLMSSVVSILENGSTTFLAMPNQPAYFAQTTSEMDKMTDGSSRNTMTMTVLQGRLAFDCAGDFTSLSRSGNRYGGGGGRKS >ONIVA09G19980.2 pep chromosome:AWHD00000000:9:19745365:19773435:-1 gene:ONIVA09G19980 transcript:ONIVA09G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDALACITSVLILLAPPCASDDRLVPGKPLSPGATVVSDGGAFALGFFSPSNSTPEKMCLGIWYNDIPRRTVVWVADRGTPVTNSSSSAPTLSLTNSSNLVLSDADGGVRWTTNITDDAAGGGSTAVLLNTGNLVVRSPNGTTLWQSFEHPSDSFLPGMKMRVMYRTRAGERLVSWKGPDDPSPGSFSFGGDPGTFLQVFLWNGTRPVSRDGPWTGDMVSSQYQANTSDIIYSAIVDNDDERYMTFTVSDGSPHTRYVLTYAGKYQLQSWDNSSSAWAVLGEWPTWDCNRYGYCGPFGYCDNTARAPAVPTCKCLAGFEPASTAEWSSGRFSRGCRRTEAVECGDRFLAVPGMKSPDKFVLVPNRTLDACAAECSSNCSCVAYAYANLSSSGSKGDMTRCLVWSGELVDTEKEGEGLSSDTIYLRLAGLDLDAGGRKKSNAIKIVLPALGCILIVLCIFFAWLKIKGRKTNQEKHRKLIFDGEGSTVQDFELPFVRFEDIALATNNFSETNKIGQGGFGKVYMAMLGGQEVAIKRLSKDSRQGTKEFRNEVILIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKGLDATLFDGSRKMKLDWTTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQDANTQRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEIVTGIRRSSTSNIMNFPNLIVYSWNMWKEGKSKDLVDSSIMDSCLLHEVLLCIHVALLCVQESPDDRPLMSSIVFTLENGSSVALLPAPSCPGHFTQRSSEIEQMKDNTQNSMNTFTLTNIEGRKFKLDWRTRFTIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQNANTRRVVGTYGYMAPEYAIEGIFFTKSDVYSFGVLLLEVVTGIRRSSTSNIMDFPNLIVYSWNMWKEGKMKDLANSSIMDSCLLHEVLLCIHVALLCVQENPDDRPLMSSVVPTLESGSTTALPTPNCPAYFAQRSSEIEQLRDNIQNSMNTFTLTDIEGRILLKKVLMDRSAAALACITSVLLLLLPPPCASDDRLVTGKPLSPGATIVSDGGAFALGFFSPSNSTPEKMYLGIWYNDIPGRTVVWVADRGTPVTNSSSSLPTLSLTNSSNLLLSDADGRVRWTSNITDDAAGSGSTAVLKNDGNLVVRSPNGTTLWQSFEHPTDSFLPGMKLGVTFKTRTCERLVSWKGPDDPSPGSFSFGGDPDTFLQVFIWNGTRPVSRDGPWTGYMVSSQYQANSSDIFYFSIVNNEEKRYITFSVSEGSPYTRYVITYAGKYQFQRWNISSSAWDVAEWPRWDCNYYNYCGPNGYCDNTARAPAVPTCKCLFGFEPANAAEWNSGRFSQGCRRKEAVQCGDRFLAVPGMISPDKFVLFPNRTLDACAAECSSNCSCVAYAYANLSSSISKGDKTRCLVWSGELIDAEMVGERLGSDTIYLRLAGLDAGKKRKREKHRKLFLDGACTSEEIEDGSPIQDLELPYVRFEEIALATHNFSEENKIGQGGFGKVYMAMLGGQEVAVKRLSKDSRQGTEEFRNEVILIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKSLDATLFDVSRKLKLDWRTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIVGDNQQNTNTRRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVVTGIRRSSTSNIMGFPNLISWNMWKEEKMKDLADSSIMDSCLLHEVLLCIHVALLCVQENPDDRPLMSSVVFFLDNGSNTALPCLDGFEPASGEEWSTGRFSGGCRRKEALPCKLILKRKKVLMDRSAAALACITSVLLLLPPPCASDDRLVTGKPLSPGATLVSDGGAFALSFFSPSTATPEKMYLGIWYNDIPQRTVVWVADRGTPVTNTSSSAPTLSLTNSSNLVLSDADGRVRWSTNITDDAAGSGSTAVLLNTGNLVIRSPNGTILWKSFDHPTDSFLPGMKLGMTFKTRVSDRLVSWRGPGDPSPGSFSFGGDPDTFLQVFVRKGTRPGRAMDGLHDVEPVPAMNSSDIFYFSVVDNDEKRYITFSVSEGSPHTRTLDACAAECSNNCSCVAYAYANLSSSISEGDVTRCLVWSGELIDTEKIGEWPESDTIHLRLASIDAGRRTKINAVLKVVLPVLSSIIIVLCMSFAWLKIKGKKRNREKHRKLIFDGANTSEEIGQGNPVQDLELPFVRFEDIALATHNFSEANKIGQGGFGKVYMAMLGGQEVAVKRLSKDSRQGTEEFRNEGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQNANTRRVVGTYGYMAPEYAIEGIFFTKSDVYSFGVLLLEVVTGIRRSSTSNIMDFPNLIVYSWNMWKEGKMKDLANSSIMDSCLLHEVLLCIHVALLCVQENPDDRPLMSSVVPTLESGSTTALPTPNCPAYFAQRSSEIEQLRDNIQNSMNTFTLTDIEGRVQKGIQILVMDSTACTTIVVFLLLLPRLCSSASDKIELGEQLLPGQTRASDGGAFVLGFFSPSNSTPERQYIGIWYNITDRTVVWVANREAPAIAAGRSIAPRLALTNDSNLVLSDADGRVLWSTNVTAGVAAGRSTSPPVAELLNNGNLVIRSNGAILWQSFDHPTDTLIPEMKIQLNKRTRRGARLVSWKDAGGDPSPGSFSYGMDPETSLQLVMWNGSRPYWRTTVWTGYLTSGQYLAATGTTIYLDVVDNDDEIYVKLRVSDGASPTRYVMTSSGEFQLLGWDKSSSEWITFSSFPTHHCTTYGYCGPNGYCDITTGAAAACKCLDGFEPASGGEWSAGRFSGGCRRKEAPPCGGGDGFLALPRMKVPDKFSTLVGNMTFDECAARCAMNCSCEAYAHADLSSSSARGDIGRCLVWASELIDMVMIGQTTWGRAGETLYLRVPASSTGSRGRGNVVKIAVPILASALVLTCIFFVYFCKSRENRRKRDSQKTLVPGSRNTSSELLEENPTQDLEFPSIRFSDIVAATDNFSKSCLIGRGGFGKVYKVTLENGQEVAIKRLSKDSDQGIEEFKNEAILIAKLQHRNLVRLLGCCTEGSEKLLIYEYLANKGLDAILFDGARKSLLDWPTRFGIIKGVARGLLYLHQDSRLTVIHRDLKASNILLDAEMRPKIADFGMAKIFGENQQKANTKRVVGTYGYIAPEYSTEGSFSVKSDVYSFGVLLLEIVSGIRISSTDIMEFPSLIVYAWSLWKEGKAKNLIDSSIAESSSLDEVQLCIHVGLLCVEDNPNSRPLMSSVVSILENGSTTFLAMPNQPAYFAQTTSEMDKMTDGSSRNTMTMTVLQGRLAFDCAGDFTSLSRSGNRYGGGGGRKS >ONIVA09G19970.1 pep chromosome:AWHD00000000:9:19718482:19729629:-1 gene:ONIVA09G19970 transcript:ONIVA09G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAGCTCTQAATAIFLFLLSLPLAASDDRLAVGKTLSPGATLVSDGGAFAMGFFSPSNSSGLYLGIWYNNVPKLTVVWVADQLAPITDHPSSSKLAMADDSSNLVLSDAAGRVLWRTNVTAGGVNSSGAVAVLVNSGNLVLRLPDDTALWQTFEHPSDVFMAGMKLGIDYRSHSGMRIVSWKGAGDPSPGSFSFGVDPERPLQAKIWNGSRVHWRSSMWTGYMVDSNYQKGGSSAIYTAVVYTDDEIYASFTLSAGAPPMHYLMSYSGDLHLQSWSNVSSAWVTNARFPRRDCSLFGYCGAFGYCGNSTGGGAGAGGGVSTCHCLEGFEPASGADWSRGDFSLGCRRKEAARCGDGFAEFPDMKLPDGYALVGNMNAGECAAACRRNCSCVAYAYADLSSSTRRDPTRCLMWGGELLDMEKVNESWGDLGETLYLRMAGAGRGSKRSAVKFALPIVLASILIPTCILICVPKFKEMIVKHDGKNNKKRALRVLSVSDEFGKEIPAQDLDFPFVEYNEIATATENFSDAAMIGKGGFGKVYKGVIGGREVAIKRLSRCSEQGVVEFRNEVLLIAKLQHRNLVRLVGCSIEGDEKLLIYEFMANKSLDASLFNSERKSSLNWSTRFKIIKGVARGLLYLHQDSRLTVIHRDLKASNILLDAEMNPKISDFGMARIFGDNQQNGITRRVVGTYGYMAPEYAMGGIFSMKSDVYSFGVLLLEIVSGSRISSTDFIEDFPNLSIYAWNLWNEGKAKNMIDPSIVASCLLDEVMLCIHVGLLCVQENLNDRPLMSSVMLILENGSNSLPAPNRPAYFAQRDIEMEQPRDDTQNSNNTVTLTLHLHKFRHNCKVQGQVSSSSMDWPASASTCIAILLFVFLISWPSLCASDDRLAIGKTLSPGATLVSDGGAFAMGFFSPSSNSTNATSSGLYLVIWYNNIPKLTVVWVADQAAPIADHPSSPASTLAVASDGNLVLSDGATGRVLWRTNVTAGVNSSASSGGGVGAVAVLANSGNLVLRLPDGTALWETFENPGNAFLPGMKIGVTYRTRGGVRLVSWKGATDPSPGNFSFGGDPDRPLQVVIWKGSRVYWRSNPWKGYMVVDSNYQKGGRSAIYTAVVSTDEEIYAAFTLSDGAPPMQYTLGYAGDLRLQSWSTETSSWATLAEYPTRACSAFGSCGPFGYCGDVTATASTCYCLPGFEPASAAGWSRGDFALGCRRREAVRCGDGFVAVANLKLPDWYLHVGNRSYEECAAECRRNCSCVAYAYANLTGSSTRDATRCLVWGGDLVDMEKVVGTWGDFGRKPRTSALRFALPIVLASVLIPICILICAPKIKEIIKKKYGENNKRRALRVLSISDELGQEIPAKDLEFPFVEYDKILVATDNFSEASLIGKGGFGKVYKGVLDGREVAVKRLSSWSEQGIVEFRNEVVLIAKLQHRNLVRLVGCSIEGDEKLLIYEYMPNKSLDASLFKGKRKSVLDWSTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDAEMNPKISDFGMARIFGNNQQKEVTKRVVGTYGYMAPEYAMGGIFSMKSDVYSFGVLLLEIVSGSKISSIDLIEDSPNLPVYAWNLWNEGKADIMIDSTITANCLLDEVILCIHVALLCVQENLNDRPLMSDVVLILEKGSKSLPAPHRPAYFAQRNNNEVEQVRNGSQGAQNSNNNMTLTDLEGR >ONIVA09G19970.2 pep chromosome:AWHD00000000:9:19729634:19745281:-1 gene:ONIVA09G19970 transcript:ONIVA09G19970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKADVGVGGGEAMRRFNNSGRRLPVFFLGFNPTELPVPGTMSGGSWRAPSSGERRPEMNWIAFNYLTTAIFLLLLPACVADDQLVPGKPLSIGSTVVSNGGAFALGFFSPTNSTSSNLYLGIWYNDISPLTLVWVANRGTPVKDGGHGSSSSAPSLTLSNSSGLVLADGDGRVLWTTDITIIAANSPAVAVLMNTGNLVVRSPNGATLWQSFDHPTDTYLPGMKIGINYRTRVGERLLSWNDGPGDPSPGSFSFGGDPDTFLQLFIWNQSRPYWRSPVWTGNPIPSQLMVNGTTVIYLSVVDADDEIYLSFGISDRAPRTRYVLTNSGKLQVLSWDGGDGASEWSKLGELPKYECEHYGYCGPYGYCYYSEVAPTCECLDGFEPRSKEEWSNGRFSRGCRRTEELPCGGDGGDAVFLEMQGMQLPDKFVRVRNKTFHECAAECAGDCSCTAYAYANLGGSGSARKDATRCLVWLGELIDTQKVGPDWVPWGIMDWSSSAFTCIAAFLLLSPALCAADDRIVSGKPLSPGAAVISDGGDFALGFFAPSNSTPAKLHLGIWYNNIPRRTVVWVANRATPIIVNGSSNSSLPSLAMTNTSDLVLSDASGQIVWTTNLTAVASSSSLSPSLSTAVLMNTGNLVVRSQNGTVLWQSFSQPTDTLLPGMKVRLSYRTLAGDRLVSWKSPEDPSPGSFSYGGDSDTFVQFFIWNGSRPAWRAGVWTGYMVTSSQFQANARTAVYLALVDTDNDLSIVFTVADGAPPTHFLLSDSGKLQLLGWNKEASEWMMLATWPAMDCFTYEHCGPGGSCDATGAVPTCKCLDGFEPVSAEDGLFSRGCRRKEALRCSGDGHFVALPGMKVPDRFVHVGNRSLDECAAECGGDCNCVAYAYATLNSSAKSRGDVTRCLVWAGDGELVDTGRLGPGQVWGTVGAGGDSRETLYLRVAGMPNSGKRKQRNAVKIAVPVLVIVTCISLSWFCIFRGKKRSVKEHKKSQVQGVLTATALELEEASTTHDHEFPFVKFDDIVAATNNFSKSFMVGQGGFGKVYKGMLQGCQEVAVKRLSRDSDQGIVEFRNEVTLIAKLQHRNLVRLLGCCVEGHEKLLIYEYLPNKSLDVAIFKSERGVTLDWPARFRIIKGVARGLVYLHHDSRLTIIHRDLKTSNVLLDSEMRPKIADFGMARIFGDNQQNANTRRVVGTYGYMAPEYAMEGMFSVKTDVYSFGVLLLEVISGVKISNIDRIMDFPNLIVYGVLMQSEDAWSLWMEGRAKELVDLNITESCTLDEALLCIHVGLLCVQENPDDRPLMSSVVSILENGSTTLPTPNHPAYFAPRKNGADQRRDNVFNSGNEMTLTLELSSFISQLVAKLILIITG >ONIVA09G19970.3 pep chromosome:AWHD00000000:9:19718482:19726028:-1 gene:ONIVA09G19970 transcript:ONIVA09G19970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKCANSRMMKKKRCIPFSMNWTLEPSQTVLRLFIDSEMLMMMMMMTMDLHKLFFGPLTDDETLMLMKALHKLFFGPSLSDDDHVDKLRHGDDEVTMKASSDGELVMIKANSDSELLHLHKFRHNCKVQGQVSSSSMDWPASASTCIAILLFVFLISWPSLCASDDRLAIGKTLSPGATLVSDGGAFAMGFFSPSSNSTNATSSGLYLVIWYNNIPKLTVVWVADQAAPIADHPSSPASTLAVASDGNLVLSDGATGRVLWRTNVTAGVNSSASSGGGVGAVAVLANSGNLVLRLPDGTALWETFENPGNAFLPGMKIGVTYRTRGGVRLVSWKGATDPSPGNFSFGGDPDRPLQVVIWKGSRVYWRSNPWKGYMVVDSNYQKGGRSAIYTAVVSTDEEIYAAFTLSDGAPPMQYTLGYAGDLRLQSWSTETSSWATLAEYPTRACSAFGSCGPFGYCGDVTATASTCYCLPGFEPASAAGWSRGDFALGCRRREAVRCGDGFVAVANLKLPDWYLHVGNRSYEECAAECRRNCSCVAYAYANLTGSSTRDATRCLVWGGDLVDMEKVVGTWGDFGRKPRTSALRFALPIVLASVLIPICILICAPKIKEIIKKKYGENNKRRALRVLSISDELGQEIPAKDLEFPFVEYDKILVATDNFSEASLIGKGGFGKVYKGVLDGREVAVKRLSSWSEQGIVEFRNEVVLIAKLQHRNLVRLVGCSIEGDEKLLIYEYMPNKSLDASLFKGKRKSVLDWSTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDAEMNPKISDFGMARIFGNNQQKEVTKRVVGTYGYMAPEYAMGGIFSMKSDVYSFGVLLLEIVSGSKISSIDLIEDSPNLPVYAWNLWNEGKADIMIDSTITANCLLDEVILCIHVALLCVQENLNDRPLMSDVVLILEKGSKSLPAPHRPAYFAQRNNNEVEQVRNGSQGAQNSNNNMTLTDLEGR >ONIVA09G19960.1 pep chromosome:AWHD00000000:9:19707659:19710383:-1 gene:ONIVA09G19960 transcript:ONIVA09G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTFTSRRSEPVLLRPARPTPRETKQLSDLDDQRTLRYYETVVGFFRRCDGGAAGAVGAPADPAKAIRAALAEALVYYYPVAGRLREVADGGGAGNRLVVDCTAEGVVFVEADADVRLEDFGQPLLPPYPCVGELLCDAGDTRAVVGKPLLLMQVTQLKCGGFVLGFHICHNIADGFGMAQLIMAIADLARGEPAPTILPVWRRDLLTAARLGGGAVARTPFASAAAASASSSSPSLQNGARRAAAAADAMLSTPPDRMVVEYFLFGPREVSYLRGQLPAHLADSTTVFELLTAVMWRCRTAALGYGPDLRVRLMITMNARGRWNAHTPLPRGFYGNAHVSPVAEAAAGDLLGRPLADTVELVRRTKRGMTRERMSAMVETVARLREWPPSSMDRVYEVSDIKWTTVNLLKFGWAEFAGGGIPLAGDLTSKLGSDHTRCKNSAGEVSTVVSMLLPRVAMARFKKEMAVLLNKDDKKSLTIMSSL >ONIVA09G19950.1 pep chromosome:AWHD00000000:9:19677362:19680798:-1 gene:ONIVA09G19950 transcript:ONIVA09G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPALLPLALALVAIPITILLFNRIRLGRLSPGPRAWPVVGNLFDITLVRCRCFMEWAGKYGPIMTVWLGTSPTIVVSTSELAREVFKNLADRSADRPRNHSAERLSRGGTDLIWADYGPHYVKVRKLCNLELFAPRRMEALRPIREDEVTAMVESIYRAVTAPGRLYSTMNEFNRFIVAAGELDEQGCELKAIVKAGIKIGASLPIAEHILVLRWLNLVDEELYNAHSARRDRFTRRIMDEHARELERHGAKQHFVDALFTLRDQYDLSDDTVIGLLWDMIAAGSDTAVITAEWAMAELVRNPRVQMKAQEELDRVIGRGRVMLEADIPNLPYLQAVVKESFRLHPPTPLMLPHKASAASRSPATMSPRAPMTRPQCLGQPTAPLEYRPERFLEESIDIKGSDYRVLPFGAGRRVCPGAQLGISLVASMIGHLLHQFTWALPDGTWPEDLDMMESPGLVTFMATPLQVVAMPRLDKEELFKRVPVDMS >ONIVA09G19940.1 pep chromosome:AWHD00000000:9:19658064:19665758:-1 gene:ONIVA09G19940 transcript:ONIVA09G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPALLPLALALVAILLFNRIRRGRLPPGPRAWPVVGNLFDIHPVRCRCFMEWAGKYGPIITVWLGTSPTVVVSTSELAREVLKNNDQQLADRPRNRSSERFSRGGVDLIWADYGPHYIKVRKLCNLELFAPRRMEALRPIREDEVTAMVESIYRAITAPGEEGKPMVMRKHLSMVAFNNITRLTFGKRFIDAAGELDEQGSELKAIVNNGIKIGASLTIAEHIRVLRWLNPVDEELYNAHSARRDRFTRRIMDEHARELERHGAKQHFVDALFTHRDKYDLSDDTVIGLLWDMITAGTDTTVITVEWAMAELVRNPRVQMKAQEELDRVIGRGRVMLEADIPNLPYLQAVVKESFRLHPPTPLMLPHKASTSVKIAGYDVPKDASVVVNVWAVARDPGVWDNPLEYRPERFLEESIDIKGSDYRVLPFGAGRRVRPIINYM >ONIVA09G19930.1 pep chromosome:AWHD00000000:9:19648436:19651113:-1 gene:ONIVA09G19930 transcript:ONIVA09G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQPPPRRRRHQGLIPRHQPTEPSPRGHAADLGSLRQIQGPAGRRPAATLWSSGTQTQLLLLCLY >ONIVA09G19920.1 pep chromosome:AWHD00000000:9:19634711:19639892:-1 gene:ONIVA09G19920 transcript:ONIVA09G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQPQPPPAAMSAPPRKRKKKGRPSLLDLQKRTLRLEKLQEPPPPPPPPQPRRSTRRNPAGVDSGDEGTAPGGRREKKLRLVMGLPDGSAKGEKTRKATDGSEEPSDSGPTTPLPDKKLLVFVLDRLQKKDTYGVFSDPVDPEELPDYHDIIKHPMDFSTIRKKLNKGAYGNLEQFEDDVFLLTSNAMCYNSPDTIYYRQARAIQELAKKDFENLRQDSDASEPEPEPEIKPDPEPKPQPRRGRPPNKNTIKQKVGKPPPQPSSSIYELPVSSSYNKTRKLLVGVQLQQSYPRSLARFAAQLGPVAWEIASKRIERALPPGTKFGRGWVGDGEAPNATQPPVLTTSSTALIHPSSTETSSEQPTHNGTASTSHSAGPQPSSAPYASSTITTHRVNCQSLPSQQHGSVPQVSAERGEHGAEVKGNHNNLHERPAIQHTVNGFSAVSGSNIFPSAAQMVANRMQTHTAD >ONIVA09G19920.2 pep chromosome:AWHD00000000:9:19634711:19639892:-1 gene:ONIVA09G19920 transcript:ONIVA09G19920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQPQPPPAAMSAPPRKRKKKGRPSLLDLQKRTLRLEKLQEPPPPPPPPQPRRSTRRNPAGVDSGDEGTAPGGRREKKLRLVMGLPDGSAKGEKTRKATDGSEEPSDSGPTTPLPDKKLLVFVLDRLQKKDTYGVFSDPVDPEELPDYHDIIKHPMDFSTIRKKLNKGAYGNLEQFEDDVFLLTSNAMCYNSPDTIYYRQARAIQELAKKDFENLRQDSDASEPEPEPEIKPDPEPKPQPRRGRPPNKNTIKQKVGKPPVERATADFSGATLASVGNSGHRTQPPFDLQRQVMNGSFIADVLRASFASRNNGYNWSNERKLERIEDYSGSIGKWSAKSGRKPILTEESSRSTYCQPQPSSSIYELPVSSSYNKTRKLLVGVQLQQSYPRSLARFAAQLGPVAWEIASKRIERALPPGTKFGRGWVGDGEAPNATQPPVLTTSSTALIHPSSTETSSEQPTHNGTASTSHSAGPQPSSAPYASSTITTHRVNCQSLPSQQHGSVPQVSAERGEHGAEVKGNHNNLHERPAIQHTVNGFSAVSGSNIFPSAAQMVANRMQTHTAD >ONIVA09G19910.1 pep chromosome:AWHD00000000:9:19629613:19630035:1 gene:ONIVA09G19910 transcript:ONIVA09G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSSSNVVDGEIEAAFAAGEMPPEWRRRLMASGLNGNDVDVIAASIANTHLIILRTTCSSTENMAFIALGVMGGLFLCLVAVVVFRETTGLMVTLLAVGVFYVAMALVAVSDECKRRRATAIREVARTVLRHYLLYPV >ONIVA09G19900.1 pep chromosome:AWHD00000000:9:19628744:19629112:-1 gene:ONIVA09G19900 transcript:ONIVA09G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT3G45020) TAIR;Acc:AT3G45020] MSIAKRYVLRLFISLKYVTANVVDRQSGRVVVTASSVEKPLRDGLECGRTCNAKAAAAVGEVLAMRLKVDGLAREPIHADATKEVEKKGFKNRTKVWAILNALRDHGVNLHLDDDGDHRPHV >ONIVA09G19890.1 pep chromosome:AWHD00000000:9:19627341:19628455:1 gene:ONIVA09G19890 transcript:ONIVA09G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGVKQFRLQIDMQCRCMGCIRKIEKAMVCIGSVTGVETSVADVDTGIVAVAGKVNPTMLSHWLKRRIRKDVKIVYPDQQVQNSKQKLIMVLGSSSNAKGAHNTPSALPIQDHMSWDSVPPIVQSNHQSLPLIEQKIGELEKVRDMLKIQNLETELGAVRCELKQSREAINGSKKAVMDSALNQLEAYHKLEALFESCYPSQ >ONIVA09G19880.1 pep chromosome:AWHD00000000:9:19625841:19626629:-1 gene:ONIVA09G19880 transcript:ONIVA09G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif and CCHC-type zinc finger domains containing protein [Source:Projected from Arabidopsis thaliana (AT3G10400) TAIR;Acc:AT3G10400] MSRRRQQPGSDSDGEDDSFLYRYPLPSAAAPGASGPSSHGGKPGGGGGGSGGLAPSKSTVYVSNLDFALTNSDLHTLFSRFGRVARVTVLKDRDSRRSRGVAFVLFVRREDAAAAAAEMHGKVLNGRTLSASIAEDNGRAAEFIRRRVYRDKSRCYECGEEGHLSYECPRNQLGPRERPPPSKKSRRGGGSGGGGGGGGGGRGASWQSDDEDSAAAFEDDRWASVVDTRGEEEKAAGKGEGKAMKKEKRKGYFSDESDEDED >ONIVA09G19870.1 pep chromosome:AWHD00000000:9:19619093:19623770:-1 gene:ONIVA09G19870 transcript:ONIVA09G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGVVVVMRTRSRRPPTRSYAPSPPPLPQRSTADDWMGIDPLSSFPCKLLFAESGQGYVVEDILTSPDQLQPGSK >ONIVA09G19860.1 pep chromosome:AWHD00000000:9:19617799:19622904:1 gene:ONIVA09G19860 transcript:ONIVA09G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDPQPSISLARTPSEGTAAAVDLDLLEQLLSADNAWLEVAANTSRSPNFFATPSNCLTDASVATTTPANSWWIQPSGASTPVRERFDQALAYIRETQSDADVLVQLWVPVKGNDGQLVLTTSGQPFTLDQRSNSLIQFREVSTKYQFSADVASGSSPGLPGRVFIGRLPEWSPDVRYFTSYEYPRISHAQYLDVHGTMGLPVFERGNYSCLGVIELIMTKQKLNFTSELNTICSALQAVNLTSTEVSSIPRTKLNSASYKDALPEILEVLRAACITHKLPLAQTWVTCAQQGKRGSRHSDENYKYCISTIDAACYVNEPQMQSFHEACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGIADFVLEFFLPTDCEVLEEQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMREMNELNSFSPRGKNKVEELSFGDNKREDREETSWTTLVGTSQKGSDLAELHTHGGQGSSQAGDQTSKEGSKVKRRTKTEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSVSSDNNLSGSVTVPLANQNNLDFEKHQHHRLSSNIPSTSLSHSSCSQSSDSSPSCSGGATKHSPQVGADQVRSGCLPQHSPVQTLQTEAASINEHFSGQEAPIDLLQDVAEKANGEQHMSQSPSSPKQTANVGMRVKVTFGSEKVRFRLKPECDFQELKQEISKRLGIVDMNSLIVKYLDDDSEWVLMTCDADLHECFHVYKLADIQTIKISVHLAASPTTRITIGHTGFS >ONIVA09G19860.2 pep chromosome:AWHD00000000:9:19617799:19622904:1 gene:ONIVA09G19860 transcript:ONIVA09G19860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDPQPSISLARTPSEGTAAAVDLDLLEQLLSADNAWLEVAANTSRSPNFFATPSNCLTDASVATTTPANSWWIQPSGASTPVRERFDQALAYIRETQSDADVLVQLWVPVKGNDGQLVLTTSGQPFTLDQRSNSLIQFREVSTKYQFSADVASGSSPGLPGRVFIGRLPEWSPDVRYFTSYEYPRISHAQYLDVHGTMGLPVFERGNYSCLGVIELIMTKQKLNFTSELNTICSALQAVNLTSTEVSSIPRTKLNSASYKDALPEILEVLRAACITHKLPLAQTWVTCAQQGKRGSRHSDENYKYCISTIDAACYVNEPQMQSFHEACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGIADFVLEFFLPTDCEVLEEQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMREMNELNSFSPRGKNKVEELSFGDNKREDREETSWTTLVGTSQKGSDLAELHTHGGQGSSQAGDQTSKEGSKVKRRTKTEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSVSSDNNLSGSVTVPLANQNNLDFEKHQHHRLSSNIPSTSLSHSSCSQSSDSSPSCSGGATKHSPQVGADQVRSGCLPQHSPVQTLQTEAASINEHFSGQEAPIDLLQDVAEKANGEQHMSQSPSSPKQTANVGMRVKVTFGSEKVRFRLKPECDFQELKQEISKRLGIVDMNSLIVKYLDDDSEWVLMTCDADLHECFHVYKLADIQTIKISVHLAASPTTRITIGHTGFS >ONIVA09G19860.3 pep chromosome:AWHD00000000:9:19617799:19622904:1 gene:ONIVA09G19860 transcript:ONIVA09G19860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDPQPSISLARTPSEGTAAAVDLDLLEQLLSADNAWLEVAANTSRSPNFFATPSNCLTDASVATTTPANSWWIQPSGASTPVRERFDQALAYIRETQSDADVLVQLWVPVKGNDGQLVLTTSGQPFTLDQRSNSLIQFREVSTKYQFSADVASGSSPGLPGRVFIGRLPEWSPDVRYFTSYEYPRISHAQYLDVHGTMGLPVFERGNYSCLGVIELIMTKQKLNFTSELNTICSALQAVNLTSTEVSSIPRTKLNSASYKDALPEILEVLRAACITHKLPLAQTWVTCAQQGKRGSRHSDENYKYCISTIDAACYVNEPQMQSFHEACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGIADFVLEFFLPTDCEVLEEQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMREMNELNSFSPRGKNKVEELSFGDNKREDREETSWTTLVGTSQKGSDLAELHTHGGQGSSQAGDQTSKEGSKVKRRTKTEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSVSSDNNLSGSVTVPLANQNNLDFEKHQHHRLSSNIPSTSLSHSSCSQSSDSSPSCSGGATKHSPQVGADQVRSGCLPQHSPVQTLQTEAASINEHFSGQEAPIDLLQDVAEKANGEQHMSQSPSSPKQTANVGMRVKVTFGSEKVRFRLKPECDFQELKQEISKRLGIVDMNSLIVKYLDDDSEWVLMTCDADLHECFHVYKLADIQTIKISVHLAASPTTRITIGHTGFS >ONIVA09G19850.1 pep chromosome:AWHD00000000:9:19614401:19617649:-1 gene:ONIVA09G19850 transcript:ONIVA09G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLETDSSRMKSSLAIPWIVKNTLRMMYMTEASDGNAEELNSQLYSAQHCLKLRRKVLDTCRM >ONIVA09G19840.1 pep chromosome:AWHD00000000:9:19610242:19613530:1 gene:ONIVA09G19840 transcript:ONIVA09G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPSLRSGAPSSLPLSLRPGGCLVRGIMPAGDNPHSISEKKAALRESPKEPKNVGNQQPRTSPFPKDKAAGTVGIKRPQPNGPLNPANPGTNGHLVYVRRRLETDHSKVSSSASADSISSLSSKKTVVDRPQEQGLKHQNSSLQTPLAPAAAAATSPASPSGGSPPQNSLRKQSLGKVVVQPSIIVTASPPPRNVVSTTSVPQNSIAAKLACSSVAAASPPPRNLVSTTPVPPNLASSSVSATSTSSRGAAPACYPVDPQRSSNQDWKERFIRLQAFLRNNEQSGQEEYIHMLRSLSSVGRSKLAIELENRAVKLLIEEGKELQKMKVLNVLNKLSPTDALPLPTQPASVRHLAFPPR >ONIVA09G19840.2 pep chromosome:AWHD00000000:9:19610242:19613531:1 gene:ONIVA09G19840 transcript:ONIVA09G19840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPSLRSGAPSSLPLSLRPGGCLVRGIMPAGDNPHSISEKKAALRESPKEPKNVGNQQPRTSPFPKDKAAGTVGIKRPQPNGPLNPANPGTNGHLVYVRRRLETDHSKVSSSASADSISSLSSKKTVVDRPQEQGLKHQNSSLQTPLAPAAAAATSPASPSGGSPPQNSLRKQSLGKVVVQPSIIVTASPPPRNVVSTTSVPQNSIAAKLACSSVAAASPPPRNLVSTTPVPRNSIAANLASSSVAAASPPPRNLVSTTPVPHNSIAANLASSSVVAASPPPRYLVSTTPVPRNPIAANVASSSVAAASPPRNLASTTKVSQNSIAANLASSSVSATSTSSRGAAPACYPVDPQRSSNQDWKERFIRLQAFLRNNEQSGQEEYIHMLRSLSSVGRSKLAIELENRAVKLLIEEGKELQKMKVLNVLNKLSPTDALPLPTQPASVRHLAFPPR >ONIVA09G19830.1 pep chromosome:AWHD00000000:9:19606230:19608087:-1 gene:ONIVA09G19830 transcript:ONIVA09G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IN96] MDHRTKRVAIVGAGTSGVAACKHLLARGFRPVVFDAGASVGGQWTRTLASTRLQSPHVAYRFSDFPWPDSVDWYPRHDQVVGYLAAYARRFAVDERVRFRSTVLAAEFVGAGDGDDAAAGWERWNGNGEALGDGSGAWRLTVRHDDTDTTQVYEFDFLILCIGRFSGVPNIPAFPPGGGPDVFRGRVIHSMEFSDMDDADAAALVKGKRVVVVGSGKSAFDIAAECAEANGVEQPCTMICRSPRWLLHDTNVWGKVDIGYIYFTRFAELMVRKPGAGVASNLLAMFLSPLGWLISKLTEAYYKKEIPMEEHGMSPEYGLSKSLSSCLIGMLPERFYDKVKEGSVVIKRSAKSFTFRDDGLVLDDDGGGGGERVVQADLVILATGFRGDEKLRRMFASRRVRDIVAGSPETAAPLYRECVHPRVPQMAVIGYSESATNIHTCEMLAKWVARLLDGAFRLPPVRRMEESVAEWGRYMRRSAGEEHFRRSCLGGVGIWYSDELCRDMGCDTRRKKGLLAEWFQPYGAVDYADIQ >ONIVA09G19820.1 pep chromosome:AWHD00000000:9:19595726:19597706:-1 gene:ONIVA09G19820 transcript:ONIVA09G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPPHAALPSYLVLWLPPPLPPSLQLTPPLPPLPSPELATLPPAALRPPPTLPAALVMLPPPMELPPLPPSLRRLRLRSAPPSSRFLPPMVVPPFLSPAALLPPPCLLAALLMPPPPVELPPVPSFLLVPPVQTDLRCAAAGLSGNGAMDPLHEAEEDPLFLDPAPPSPTPSPPRDPLDQTTGAAPANPPHQVMVHGGDAARGAPNSSSVAPPSPPGGVPSPSDGSSPRPPSTPSSSTPPSSPVPPPSARSPTVPAPADADDDDQGGGSGQEAGGGNGEDEAGGGDDSNGHGDYSSDVQRRDVPRHESADGSEIHDEHHVIITGEEPPVSPVPREPPVAPVRNRRPISLLCAVPMFFLYGAYHFTIGGSAFGIDKHVTHDRLMAGGILAGIWLFLLPFLILGHVYFSHRIRVRVLRNNAPSAGEAQVPATDVQDEGYFPRKIKASVSLMVLSGLGNIAYLTLGTVWPWAWLSHLIGFIIEVIMLIVKWYMQDVVDFSFLLPEVAEPGKWQLIY >ONIVA09G19810.1 pep chromosome:AWHD00000000:9:19592021:19592425:-1 gene:ONIVA09G19810 transcript:ONIVA09G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHADPKLDTWTSPPSRACGVRRKVGRCVVEVVASSLCGFAYSPPVFSSCANNSRNDDGRENERSSGKKAVRRGRRGAYRRPRSTTIGIHRVAAKATPPRGPHQWRLLPIRADQSSIATSPPDPRSPELFGHTG >ONIVA09G19800.1 pep chromosome:AWHD00000000:9:19591168:19591560:1 gene:ONIVA09G19800 transcript:ONIVA09G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTNADHQQYGMMPSSSKPRNTMTDADADAADDAERAYIGKISAPLIAILIGFGVMFLFLAKSETVRRDKTILFGYSAAAFMISIFVVCHLLDYGRPWFRRRNAAANNNTRVHPSVSSQLPPQMDMC >ONIVA09G19790.1 pep chromosome:AWHD00000000:9:19584897:19585457:-1 gene:ONIVA09G19790 transcript:ONIVA09G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHADPKLDTHTSPPSRACDAGRKVERCVVEVVASSLRGFAYSPPVLSSHANNSCKGDGRENERSSGKKAARWERRGAYRRPRSTTVGIHRVGAEATPPRPSPVAPPPYPRRPELAGYVSPPSPDPCNPELFGHAGHSVRARRPRRLPIRRQPKLADRVDRSSPVGHAAPRGERGEVVVATRIRRP >ONIVA09G19780.1 pep chromosome:AWHD00000000:9:19583348:19583740:1 gene:ONIVA09G19780 transcript:ONIVA09G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTNADHQQYGMMPSSSKPRNTMTDADADAADDAERAYIGKISAPLIAILIGFGVMFLFLAKSETVRRDKTILFGYSAAAFMISIFVVCHLLDYGRPWFRRRNAAANNNTRVHPSVSSQLPPQMDMC >ONIVA09G19770.1 pep chromosome:AWHD00000000:9:19575905:19578816:-1 gene:ONIVA09G19770 transcript:ONIVA09G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IN90] MEKIKKRVAIVGAGVSGLAACKHALERGFRPVVFEADAAGAVGGVWARTIASTRLQTPRPYFEYSDFPWPPGVTDLYPDHDQVTAYLRSYAEHFGVQECVRFGCRVAGMEYAAAGGEEEVMAWEHWAGNGEAFGTGRGEWRLTVQSGHNIEASTNNYSYSIIIVLQLHVADFVVLCIGRFSGFPNMPRFPPGKGPEAFAGTAIHSMDYSNMGAAKAAQLVKGKRVAVVGYQKSAVDIAAECADANGTSHPCTIVLRTKRWIVPDLYAWGVPVPVFYINRLSQILLHKPGDGLILSFLAILLSPLRWLFAKFVESYYKWALPMEKHGMVPDEDFMEAMCSCSVMKLPDKFYDKVEEGSIVLKKSKRFSFCKEGLVVEGDSSSETVKSDVVIFATGFNGDQKIREMFKSPLFREIVAGPPSSIVPHFRQCIHPRIPQLAIIGYAESWSNLCVSELLSKWLAHFLHGSFRLPSVKEMEEDIDEWDKYMKRYSPGRFRRSCIGPVSVLCSDRLCQDMGVQRRRKKWLLADWLVPYGPADYADINLNS >ONIVA09G19760.1 pep chromosome:AWHD00000000:9:19574377:19575423:1 gene:ONIVA09G19760 transcript:ONIVA09G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMLMLLVGEAMAPLLTRLYYNSGGNSLWMVTLAQSAGAPLLVIPFLLTPRAAAVGEPRPAPAPSKMVAICVALGLVVGCDNLMYSYAMLYLPVSTFSLLAATQLAFNAVTSRLINAQRFTPLVVNSVVVLTFSAALLGVDDPSSSSSVSGGAGGDAVQRGKHAAGVVLTLSASAVYALILSLFEATFDKVIGAATPRWVLKMQISTNAVAATVSATALFASGEWRTIGGEMAAFKGGKAAYAATVVGVAVGWQAATLGAVRLIARVSSLFANVTGTLALPMVPVLAVALFGDKMTGTKVVAMLMAVWGFLSYVYQHYLDGRRAAAREGRVHAAAGCGICTDQMNYS >ONIVA09G19750.1 pep chromosome:AWHD00000000:9:19564188:19574292:-1 gene:ONIVA09G19750 transcript:ONIVA09G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IN88] MAGFLLSGSILAERKLQTWTQSKKMDRKRVGIIGAGVSGLAACKHSLDKGFNPIVFEADDTIGGVWAHTLESTRLQAPTTAFRFSDLAWPATVTEKYPSHMKVMEYLRSYASEFDLLKCIRFNSQVLGVEYLGATEGEIMQWEHWSGNGEAFGAQKDGVWRLTVKDLKIGNIEVFLVDFLIVCIGRHSGSPNIPEFPANSGLELFKGKILHSIDYSYMDNAAEFVKGKKVTIIGSGKSAFDIAAEVAKRWAISKVIETYFKRSIPLQKHGMVPDYSFSFAMSSCLIAMLPEGFYDKVDEGSIILKKSKRFSFFNDGIILEDGNEHIKSDIVILATGFRGDQKLRDIFTANWCKEKVAGSSATAVPLYRECIHPRIPQLAIVGYSESLTNIYASERMANWVTHFLGGRFKLPSIRCMEESVAEWAKYKDLYNGKYFRRSCISTVNIWFNDILCQDIGCNPKRKKGVLAEWFQPYGPADYASLY >ONIVA09G19740.1 pep chromosome:AWHD00000000:9:19558948:19566705:1 gene:ONIVA09G19740 transcript:ONIVA09G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT4G38380) TAIR;Acc:AT4G38380] MELAGGGTGVVRQRAEPLGAGLLLRGGGRSVGGGGIGCARRATLRGLALSPLARRAVSAAGGHFLPRRAVRAAAAAGDGGFYGEEDAASDQPFPARASPSDDANDSTAVRSLGGDHPGEIKKELLNLALPAIVGQAIDPVAQLLETAYIGRLGPVELASAAVGVSVFNIISKLFNIPLLSITTSFVAEDVARHDSDQFISEGNMSSESGGRKRLPSISSAILLAAAIGVIEASALILGSEILLSIMGVSHASTMHSPAKLFLSLRALGAPAVVVSLAIQGIFRGLKDTKTPLLYSGLGNISAVLLLPFLVYSLNLGLNGAALATIASQYLGMFLLLWSLSKRAVLLPPKIEDLDFVGYIKSGGMLLGRTLSVLITMTLGTAMAARQGTIAMAAHQICLQALIASSFAKLDYEKVKEVTYYVLKVLSSIVGYYSLSMYRSNLQLNSIFQIGLLVGAALALLLFASFGRIAELFSKDPMVLQIVGSGVLFVSASQPINALAFIFDGLHFGVSDFSYSASSMITVGAISSLFLLYAPKVFGLPGVWAGLALFMGLRMTAGFLRQVFLSDFLS >ONIVA09G19730.1 pep chromosome:AWHD00000000:9:19555297:19558166:1 gene:ONIVA09G19730 transcript:ONIVA09G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAMAPPQLVSVLVALLCMVAVSPAGVGAARFVCNATAPRASTCQALVAYAPPNATTLAAVRALFQLRSHRALLASNGLPLSTPPSAPAPSPLRVRLPCLCSGGAGATFQRPTYRIRAGDTLDAIARGVFAGLVTYQDIAAANNVSDPNKIAVGQELWIPVPCSCDPVAGQPVVHYTYVVPPGASVAAIAQDFATTEATVLALNRMPDAKSLLAGQVLDVPLRACSSAISSTAIDRNLLVPNGSYILTANNCIMCGCSSYTWQLDCQPTQGISSSFCPASKCGDMFLGNTTTSPTSSCESTACSYAGYTNSTSFTILANLTTSSTCNAAAMSPMAQQAHSSAFRLASTWLRWTELIVCLHVIFLCVSFLNHV >ONIVA09G19720.1 pep chromosome:AWHD00000000:9:19544677:19552197:1 gene:ONIVA09G19720 transcript:ONIVA09G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTSESAITSAGSASMPLRSFRLRSCWIDDSAVSWLRYAVKRKVPVLEYAERQGYFIHGCHDLISASSYLTKVVLEHVVLHDCHFGPLNNGCPALENLELLEVNIQFTEISSTSLKHLRIVNYYEDEDLSEDEDEDLSDGHHIEYNILGVLSHARSLKLVAPLREALFEGCLLTCPVFNNLKCLVLGDWCMDFDLYPLRCVLKQSPILEELCVELREKECEYCKEKAPPFSYSYGEILPFKCHRLKTVKIKCGERDERFIALVKLFFKISVCIEKFDLDRWFIAFVTVSSSSSEERNERVAPIDLWGSKLASNFFGCSNSSGKFLDSSVTTQPDRYLIIVTSGGLNQQRTGIVDAVVAARILNATLVVPELDQRSFWKDSSNFSEIFDINWFISFLAKDVNIIKEPPEKGGKAVKPYKMRVPRKCTPKCYLNRVLPALLKKHLFLWLKFRKILSHIAHTTFSLVIQVIRLTKYDYRLSNKLDKDLQKLRCRVNYHALRFTDPIQELGEKLIKRMREKSRHFIALHLRFEPDMLAFSGCYYGGGEKEKRELGSIRKRWKTLHIGDPEKGRRQGRCPLTPEEVGLMLRALGYKSDVHIYVASGEIYGGEDTLAPLKLLFPNYHTKETLSTEEELTPFLAHSSRMAAIDFIVCDGSDAFVTNNNGNMAKILVGRRRYFGHKRTIRPSAKQLYPLFMNRSNISWDAFSSQVQTIQKGFIGEPMEITPGRGEFHANPAACICEKTGIKSVVGSDSRSNRETVNSTEISNKPIGGPTYPIYTDEEADRPDTEDDPSGIGEMIDMEAEDDSLASRVDSVLEEILSD >ONIVA09G19710.1 pep chromosome:AWHD00000000:9:19534166:19542597:1 gene:ONIVA09G19710 transcript:ONIVA09G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGASQAQQRKDAEAAEQLDRLMREASSPRKEAREALLLDRSRRHDEAIARVDELAAKHPESAAVAHLAGLLHYHATSRAMAAKDRQGVEAHCNTARDFYIKAKRLAPNCVEIAVRLALARLRCFNDGEAEPEIERALAIPFPTDPAENNVAYDNALGTTSSRDRVEKARRVALARRPEILSYVRNRSIPGDVRAVLDYADSDGVAKAVKPAKEVALRYPYSARAHLIYAYIRLKFAQGMAPGIDNRTFLSRILADLDKVASQFKTSLVLAMFRAKLSFLLGMYIPMTVECIRASTMEWPADPWDDDVPVKSVLGEKPEDRVASIRKEFGRLQKKLDVVAIDHMQSLTIEERDSVLSVGLNSMLQHYTNEKIDEATKIVSEALSFVQKSGSWRYWICPYCVGKKIPNTDALLQHMRNKHPEGSVWPKLLSVLDPNLISDTSRGDHFSDDMTVYKDSEEQYVFHFKRILPPAVTDQRPFSEIRENKCTEGIKILEKIKLKLKNAPADILSTEFNEACAEIRDLWHDFLEISVLDFRLSYPINAANIDAIHPNVVDASSSNASNTDAVCHGIDDAQGRDAAVCPNVDDAPENNADDIDAVIPDTADAPENNADDIDAVIPNTADAPENNTDDMDAVIPNIADAPENNADDMDAVIPNTADAPDRNSDIKDGSNLSHANKVQEDEANQKPENTTLSCSDGTSTDVIDKQSDAHVKDEDYGATVNENESNSPTEMVEYGNELDATPGKFDHSTEEIASISCYQKSIDDLKKNNADEDLYFLNVIIQLLWNLRHFRNEFLRGRSTFDIVHEDLCIAEKLYRIFSAWEKNEHSKTVLLLTDVKTTLCGIVNDSNMFQTVKLGAICQLQAGRNFASEIMAIILRSLDKFENSVCVGSMRIVLDAPCRHCVWYTLGLFGTRLKQLMSCRCGEWFGEEYILLFHKLDASSPHSTKINCFDELPMLMDYQSDWERRCNNCSGSVKQIGCFLSKGPHFFTIVMKDWLGSDGSQAILSEALFGIGSPLDITLLYKGVTLPHIGGHSATKYRLASVICYVEHGYVCFARDQDDKWLKYDTTTVKTVDTWGELLELYREINIQPEVLVYEVIK >ONIVA09G19710.2 pep chromosome:AWHD00000000:9:19534166:19542597:1 gene:ONIVA09G19710 transcript:ONIVA09G19710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGASQAQQRKDAEAAEQLDRLMREASSPRKEAREALLLDRSRRHDEAIARVDELAAKHPESAAVAHLAGLLHYHATSRAMAAKDRQGVEAHCNTARDFYIKAKRLAPNCVEIAVRLALARLRCFNDGEAEPEIERALAIPFPTDPAENNVAYDNALGTTSSRDRVEKARRVALARRPEILSYVRNRSIPGDVRAVLDYADSDGVAKAVKPAKEVALRYPYSARAHLIYAYIRLKFAQGMAPGIDNRTFLSRILADLDKVASQFKTSLVLAMFRAKLSFLLGMYIPMTVECIRASTMEWPADPWDDDVPVKSVLGEKPEDRVASIRKEFGRLQKKLDVVAIDHMQSLTIEERDSVLSVGLNSMLQHYTNEKIDEATKIVSEALSFVQKSGSWRYWICPYCVGKKIPNTDALLQHMRNKHPEGSVWPKLLSVLDPNLISDTSRGDHFSDDMTVYKDSEEQYVFHFKRILPPAVTDQRPFSEIRENKCTEGIKILEKIKLKLKNAPADILSTEFNEACAEIRDLWHDFLEISVLDFRLSYPINAANIDAIHPNVVDASSSNASNTDAVCHGIDDAQGRDAAVCPNVDDAPENNADDIDAVIPDTADAPENNADDIDAVIPNTADAPENNTDDMDAVIPNIADAPENNADDMDAVIPNTADAPDRNSDIKDGSNLSHANKVQEDEANQKPENTTLSCSDGTSTDVIDKQSDAHVKDEDYGATVNENESNSPTEMVEYGNELDATPGKFDHSTEEIASISCYQKSIDDLKKNNADEDLYFLNVIIQLLWNLRHFRNEFLRGRSTFDIVHEDLCIAEKLYRIFSAWEKNEHSKTVLLLTDVKTTLCGIVNDSNMFQTAGRNFASEIMAIILRSLDKFENSVCVGSMRIVLDAPCRHCVWYTLGLFGTRLKQLMSCRCGEWFGEEYILLFHKLDASSPHSTKINCFDELPMLMDYQSDWERRCNNCSGSVKQIGCFLSKGPHFFTIVMKDWLGSDGSQAILSEALFGIGSPLDITLLYKGVTLPHIGGHSATKYRLASVICYVEHGYVCFARDQDDKWLKYDTTTVKTVDTWGELLELYREINIQPEVLVYEVIK >ONIVA09G19700.1 pep chromosome:AWHD00000000:9:19529856:19533327:1 gene:ONIVA09G19700 transcript:ONIVA09G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPVRRHGCRRSRRPGRNALPTNRPARAAEAPSVVVLLPGGVGARGARRRVRPRRGARPPPLHHQQPPRRRRRAVEESRGGGGARRVRSLRTPSRPPNSTSARRRRPRDAAGTSLFASTEAQRLLRCLTKVTRNASNAKKKVVAGKRSLAAQNETPPAVKALSEAMAATVAVLRGVATSLYGRIVDTKKRRWFVVSRFLRNDWSSHSHHPCKDLESDGAGNVLDGMLTTSDMASAAGGASGKDWFDCLPDDLVHHVLSFLPALDAVRTSVLSRRWRDFWVSMPRLNVDVGDFRDDGQFENFTVHALPLLDSSVPLRSLRLRSSLHYLSALWVNHAVKRKVAVLEYSGRAELCSSVDASLSLASSYLTKVVLKHFDFDYGQFWPLIDACPALENLELLDVWTFYSVTITSSSLKHLRIVSCLFYNGFRINAPNLLTMCLDDVNVNGPLGHDSLVLENLSSLMTASVSVYHCFYPKHYVKTELHFFHGLSHARNLKLIAPLYEALFEEGLPTCPVFNNLKCLVLGDWCMAFDLYPLRCILRQSPMLEELCVELGEEECENCKNRKPAFSYGEISPFWCDRLKTVKIKCTEHDERFVALLQLFCKILVCIEEVDIDRQWVSAQPPDSSEL >ONIVA09G19690.1 pep chromosome:AWHD00000000:9:19522246:19524484:1 gene:ONIVA09G19690 transcript:ONIVA09G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lysine decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT4G35190) TAIR;Acc:AT4G35190] MYISSPHTSHFTSIDRSPAVVSESDRSMEEAAAAADMNGGVHQSRFRRVCVFCGSSSGKRRSYRDAAVELGKELVARKVDLVYGGGSLGLMGEVAEAVHNGGGHVIGVIPTTLMGKEVTGETVGEVREVGSMHERKAEMARRSDAFVALPGGYGTLEEVVEVIAWAQLGIHAKPVGLLNVDGYYDFLLAFVDKAVADGFIPPSHRHLFVSAPDAPSLVHKLEEYVPVQQEGDPETPKLRWEIEQQAAVQVVGYSSSLHAQLAIAD >ONIVA09G19680.1 pep chromosome:AWHD00000000:9:19508789:19514861:1 gene:ONIVA09G19680 transcript:ONIVA09G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKMEEEYAVRHCRERSELLALAIRHRYALADTHRAYAESLAAVGAVLHDFLRGVQSLPPPPLEPTLRLPAHRKGDNLPTASPVPANPAIASSSAAQPLPPVAKQVRIAAAPDDGGGGHIHFSDDDSDSEGGGHIKFHSDDEGDAPAHRRPEIVRSAAPPVAPPPQMGPPPPYGSGYAPPPPYGSGYGYGYGPAPDYGGGMAVANGGYDPGYGGMGGASGGGGYAPGYGGMGVGDGGSDGGYEPAYGGMGSYGQSFFNISYARSQPPPPSVSYEHRLQATDARVHYYAGEGNPQAPPRGYGGGYGYPPQGSSSYNQYAYGGYYGGASPPPPADIPSTSRGEVTPPAPPSPPRVSTWDFLNPFETYESYYEQPTAAQASYTPSRSSKDVREEEGIPDLEDEDMEVVKEAYGDEKHAANGYSGKGKMAKEEGGRSSTGDELPHESKLSEASSSGSNQEHDVHVVEKSVVGEQVQRSEPRQHVAGLPPIGSEKTYFDDAEVVLEIRTQFERASKSAIEVSKMLEVGKMPYYPKSSGFKVSAMMICGIPTMEEEFLRFEEDKAMGCGNLSSTLQKLYMWEKKLLEEVKAEEKMRALYDRQREELKILDEKGAEADKLEATERSIRKLSTKISIAIQVVNTISDKISKLRDEELWPQTCELIQGLMRMWSTMLECHQIQLHAISHAKNIDSMINGAKFGEAHMDLIKRLELQHLDWIASFASWVNAQKSYVGTLNDWLRKGVTYEPEVTDDGVPPFSPGRLGAPPIFVIYNNWAVGVGRISEKEVVEAMQAFASNVLGLWERHRSEQRQGLMANKGMDKDLRVMERDEQSMRKALEAQNKKLVLISNQSGVSLSAQAQALQDGGSHGDTGSLQLSLKNIFEAMENFTANSANTYKDLHLRAEEEKARVAQESCRVS >ONIVA09G19670.1 pep chromosome:AWHD00000000:9:19484061:19486639:-1 gene:ONIVA09G19670 transcript:ONIVA09G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein [Source:Projected from Arabidopsis thaliana (AT4G35730) TAIR;Acc:AT4G35730] MATAGSKSPGRALRRIAGAAVAAVLLRGSFSASKCVVVSKTEARMAAARMKLLRNRREAQVRQMRRDIAALLRDRQEDTARIRVEHVIREQNIMAANEIIDLFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELGRIRDLFEKKYGKDFVSAAVDLRPDACVNNLLIEKLSVKKPSGQTKLKILKEIAKEHQIDWDMTETEQELLKPSEELIQGPNTFVEATNFPVKTTISAAHAVQINPSNYSSGYADEYDDERTMQFKDAASAARAAAESANRAASAAKAAADLVNKKTHSSDEVEDRRTSFHESSHSSKRQSMSNSSRSSRKEDIVAFDESNPQGRRTSRTGSSIESNHVEDKEDTEQVELSARRMQKRNIRSTQKVHSEIKFDDSEGLNSETEDESDTEIQSIERPAPRSEPYPGSRHSEDEEKENHELPDLPKANLSSRVHPNMPLDYETLTARFEALKSGKLP >ONIVA09G19670.2 pep chromosome:AWHD00000000:9:19484061:19486639:-1 gene:ONIVA09G19670 transcript:ONIVA09G19670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein [Source:Projected from Arabidopsis thaliana (AT4G35730) TAIR;Acc:AT4G35730] MATAGSKSPGRALRRIAGAAVAAVLLRGSFSASKCKTEARMAAARMKLLRNRREAQVRQMRRDIAALLRDRQEDTARIRVEHVIREQNIMAANEIIDLFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELGRIRDLFEKKYGKDFVSAAVDLRPDACVNNLLIEKLSVKKPSGQTKLKILKEIAKEHQIDWDMTETEQELLKPSEELIQGPNTFVEATNFPVKTTISAAHAVQINPSNYSSGYADEYDDERTMQFKDAASAARAAAESANRAASAAKAAADLVNKKTHSSDEVEDRRTSFHESSHSSKRQSMSNSSRSSRKEDIVAFDESNPQGRRTSRTGSSIESNHVEDKEDTEQVELSARRMQKRNIRSTQKVHSEIKFDDSEGLNSETEDESDTEIQSIERPAPRSEPYPGSRHSEDEEKENHELPDLPKANLSSRVHPNMPLDYETLTARFEALKSGKLP >ONIVA09G19660.1 pep chromosome:AWHD00000000:9:19483055:19483507:1 gene:ONIVA09G19660 transcript:ONIVA09G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISAKRIAQLAKKWRRMAALGRKRLTMSSTAMATEEAQGCSTAVAGKGHCAIYTADGARFEVPLAYLGTAVLGELLTMSREEYGFSGDGKITLPCDAMVMEYVLCLLGRNASAEVEKAFLSSMVMPCHYASCVTPSLGACQQVAVCSN >ONIVA09G19650.1 pep chromosome:AWHD00000000:9:19481606:19482034:1 gene:ONIVA09G19650 transcript:ONIVA09G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARKLQRVKTATAREDDDAGCTSTTSPVADKGHCAVYTSDGARFEVPLPYLGTTVFVELLRMSQEEFGFAGGDGRIMLPCDAAAMEYVMCLLRRNASEEVERAFLSSVVTMPCQNSGCTMPPVALHHQFAVCS >ONIVA09G19640.1 pep chromosome:AWHD00000000:9:19478539:19478973:1 gene:ONIVA09G19640 transcript:ONIVA09G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARKLQRIKTAAAREDDDAGCSTSTSPSPVADKGHCAVYTSDGARFEVPLPYLGTTVFVELLRMSQEEFGFAGGDGRITLPCDAAAMEYVMCLLRRNASEEVERAFLSSVVTMPCQNSGCTMPPVALHHQFAVCS >ONIVA09G19630.1 pep chromosome:AWHD00000000:9:19464610:19472442:-1 gene:ONIVA09G19630 transcript:ONIVA09G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASAYAEVCSRHLIVSTLARTSPHVLNQMNKSSFSEEHNRIMISSRKLAQLAKKWQMMVASSGRQTANIDGCCSTATVYVADKGHCVLYTTDGARFEVPLMYLNTVVFCELLRMSQEEFGFTSDYKITLPYDAAVMEYVMCLIRRDASEEIRCEQQERKRATMISAKRLAQMVKKWQRMAAFGRKRLTWTAPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRISQEEFGFTSDGGITLPCDAEVMEYVMCLLKRNASEEVVRAFLSTIVKPCHYGSGFAQSLGFVQQISS >ONIVA09G19630.2 pep chromosome:AWHD00000000:9:19464610:19472442:-1 gene:ONIVA09G19630 transcript:ONIVA09G19630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASAYAEVCSRHLIVSTLARTSPHVLNKSSFSEEHNRIMISSRKLAQLAKKWQMMVASSGRQTANIDGCCSTATVYVADKGHCVLYTTDGARFEVPLMYLNTVVFCELLRMSQEEFGFTSDYKITLPYDAAVMEYVMCLIRRDASEEIRCEQQERKRATMISAKRLAQMVKKWQRMAAFGRKRLTWTAPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRISQEEFGFTSDGGITLPCDAEVMEYVMCLLKRNASEEVVRAFLSTIVKPCHYGSGFAQSLGFVQQISS >ONIVA09G19630.3 pep chromosome:AWHD00000000:9:19472451:19476353:-1 gene:ONIVA09G19630 transcript:ONIVA09G19630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKLQQRMVSSGGGRHTAGTTGDCCSTASSSLAGKGHCAVYTADGARFEVPLPYLGTPLFGELLAMSQEEFGFAGDDGRITLTCDASVMEYVMCLISRDASEEVERAFLSSMSSSCHNVGFSQKASKSTMISAKKLAQLAKKLQMRMASAGGSRQKAVVAADDCCSTASLSLAGKGHCAVYTADGARFEVPLPYLGTPLFGELLTMSREEFGFAGDDGRITLPCDASVMEYVMCLLSRDASEEVERAFLSSMARPCRNIGVISHQFACLLALAGGRRWDSVPHNAATDVEPT >ONIVA09G19630.4 pep chromosome:AWHD00000000:9:19472451:19476353:-1 gene:ONIVA09G19630 transcript:ONIVA09G19630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPKKLAQLAKKLQQRMVSSGGGRHTAGTTGDCCSTASSSLAGKGHCAVYTADGARFEVPLPYLGTPLFGELLAMSQEEFGFAGDDGRITLTCDASVMEYVMCLISRDASEEVERAFLSSMSSSCHNVGFSQKASKSTMISAKKLAQLAKKLQMRMASAGGSRQKAVVAADDCCSTASLSLAGKGHCAVYTADGARFEVPLPYLGTPLFGELLTMSREEFGFAGDDGRITLPCDASVMEYVMCLLSRDASEEVERAFLSSMARPCRNIGCLLALAGGRRWDSVPHNAATDVEPT >ONIVA09G19620.1 pep chromosome:AWHD00000000:9:19450623:19451057:-1 gene:ONIVA09G19620 transcript:ONIVA09G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARKLQRIKTAASTREDDDAGCTSTSPSPVADKGHCAVYTSDGARFEVPLPYLGTTVFVELLRMSQEEFGFAGGDGRITLPCDAAAMEYVMCLLRRNASEEVERAFLSSVVTMPCQNSGCTMPPVALHHQFAVCS >ONIVA09G19610.1 pep chromosome:AWHD00000000:9:19440870:19442202:-1 gene:ONIVA09G19610 transcript:ONIVA09G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYETSANRWLITPTLRQGLAMELKNALSTSSEASLLIKHMTYSITDASHGNVILPSSPAKPNSARDMVRRSPKTAVPRYGNGTSNRAPSAVYTAQWPLPARDDDAVLQQSSAATAFCPLPPADVILSCNFLAN >ONIVA09G19610.2 pep chromosome:AWHD00000000:9:19443891:19444598:-1 gene:ONIVA09G19610 transcript:ONIVA09G19610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFRKSSPKTIVPRYGSGTSNRAPSAVYTVQWPLPAREDVLQQSSVALDVCRPPLADTIFCCNFLAN >ONIVA09G19610.3 pep chromosome:AWHD00000000:9:19440870:19444598:-1 gene:ONIVA09G19610 transcript:ONIVA09G19610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFRKSYVQTANRWLITPTLRQGLAMELKNALSTSSEASLLIKHMTYSITDASHGNVILPSSPAKPNSARDMVRRSPKTAVPRYGNGTSNRAPSAVYTAQWPLPARDDDAVLQQSSAATAFCPLPPADVILSCNFLAN >ONIVA09G19600.1 pep chromosome:AWHD00000000:9:19439603:19440312:-1 gene:ONIVA09G19600 transcript:ONIVA09G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IN66] MAILDSNPPPMPSSQQTAQATTPPSPPLSQPRRRRAPRGTYNELITIGQNTWNLTLIGDGMDVTIITGNQSVGGGVSSTSKTGTVTVDGIGFVAIDLTIENTAGAENEQAVALLSKLNHKELFESSSNQ >ONIVA09G19590.1 pep chromosome:AWHD00000000:9:19438631:19459459:1 gene:ONIVA09G19590 transcript:ONIVA09G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSECSAINLLFVHYIRSMMQKISVTSCPGSSLSSMPETLNSERRGNTSRGLAVGDILDFIGPNRGNGPPQKPIRSSETFRQKLSRSSMIRAKKLAQLAKKLQLRMTSAGGSGQKAVAADDCCSTASSSLAGKGHCAVYTADGARFEVPLPYLGTAVFGDLLTMSRAEFGFAGDDGRITLPCDASVMEYVMCLISRDASEEVERAFLSSMARPCRNVGVISHRFAVCDLTAAMGAVSASAGSVRSESHRVGSRAPLHVLDQMVSGEAPAPPPQPRLEPDHCIIGSELETEQERRRATMISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFAQSLGSVQQLKTQDQEPAMISARRVAQLAKKWQRMAALGRKRLTVRAKQDQECCSSMAGKGHCAMYTADGSRFEVPLAYLGTAVFSELLRMSQEEFGFSIDGRIMLPCDAAVMEYAMCLLRRNASVEVEKALLSSMVASCHYTGSMHITYSIAAASQGRVILPSPPANPNSSCDILRSSTNTVVPRYGNGTSNRAPSDVYTAQWPLSATGDGEVDVQPASSSSLPFADGARFEVPLLYLGTAVFGELLTMSREEFGFAGDDGRITLPCDASVMEYVLCLLRRDASEEVERAFLSSMARPCHYAGSQQSVLTHCIF >ONIVA09G19590.2 pep chromosome:AWHD00000000:9:19452917:19476123:1 gene:ONIVA09G19590 transcript:ONIVA09G19590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVCCMHVLVVEFSTPDAIVTCLVDGGHEDPMLIGFNMWWREWQLHPLLCNEIIADSISCGIEFSASSLGFPVGLQPAANSGVENLDEKSEKKNGHMAYSITTASQGNMILPSLVKPNSSCDILRSSLKTAVPRYANGTSNRLPSAVYMTQWPLPATDVQHSSFSLAFIVSLFLPSAAILCHFLATHDILHNLCITRQCDPSIACEAELLLRDPEELCEDRRGEERQRDLEPPPIGGVHDAMALHCHRTAAFIGCFGRRPYILKSSQKTTVLRYISGTSNLAPSVVYNTQWPLSATYTVAVLQHPSICVAYQRDPPIFACKAKLLMRHRQELAKDCRAKVRQWHLKPRPVGSVHSAVALTGKRCSAAAIHMTYSITDASHGNVILPSSPAKPNSSRDMVRSSPKSGVPRYGNGTSNRAPSAVYTAQWPLPARDRDAQPAADAVVAADGSGDYTTIAAAVAAAPSKSTKRYVIYIKKGTYNELITIGQNTWNLTLIGDGMDVTIITGNQSVGGGVSSTSKTGTVTVDGIGFVAIDLTIENTAGAENEQAHMTYSITDASHVNVILPSSPAKPNSS >ONIVA09G19590.3 pep chromosome:AWHD00000000:9:19438631:19459459:1 gene:ONIVA09G19590 transcript:ONIVA09G19590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSECSAINLLFVHYIRSMMQKISVTSCPGSSLSSMPETLNSERRGNTSRGLAVGDILDFIGPNRGNGPPQKPIRSSETFRQKLSRSSMIRAKKLAQLAKKLQLRMTSAGGSGQKAVAADDCCSTASSSLAGKGHCAVYTADGARFEVPLPYLGTAVFGDLLTMSRAEFGFAGDDGRITLPCDASVMEYVMCLISRDASEEVERAFLSSMARPCRNVGVISHRFAVCDLTAAMGAVSASAGSVRSESHRVGSRAPLHVLDQMVSGEAPAPPPQPRLEPDHCIIGSELETEQERRRATMISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFAQSLGSVQQLKTQDQEPAMISARRVAQLAKKWQRMAALGRKRLTVRAKQDQECCSSMAGKGHCAMYTADGSRFEVPLAYLGTAVFSELLRMSQEEFGFSIDGRIMLPCDAAVMEYAMCLLRRNASVEVEKALLSSMVASCHYTGSMHITYSIAAASQGRVILPSPPANPNSSCDILRSSTNTVVPRYGNGTSNRAPSDVYTAQWPLSATGDGEVDVQPASSSSLPFADGARFEVPLLYLGTAVFGELLTMSREEFGFAGDDGRITLPCDASVMEYVLCLLRRDASEEVERAFLSSMARPCHYAGSQQSVLTHCIF >ONIVA09G19590.4 pep chromosome:AWHD00000000:9:19438631:19459459:1 gene:ONIVA09G19590 transcript:ONIVA09G19590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSECSAINLLFVHYIRSMMQKISVTSCPGSSLSSMPETLNSERRGNTSRGLAVGDILDFIGPNRGNGPPQKPIRSSETFRQKLSRSSMIRAKKLAQLAKKLQLRMTSAGGSGQKAVAADDCCSTASSSLAGKGHCAVYTADGARFEVPLPYLGTAVFGDLLTMSRAEFGFAGDDGRITLPCDASVMEYVMCLISRDASEEVERAFLSSMARPCRNVGVISHRFAVCDLTAAMGAVSASAGSVRSESHRVGSRAPLHVLDQMVSGEAPAPPPQPRLEPDHCIIGSELETEQERRRATMISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFAQSLGSVQQLKTQDQEPAMISARRVAQLAKKWQRMAALGRKRLTVRAKQDQECCSSMAGKGHCAMYTADGSRFEVPLAYLGTAVFSELLRMSQEEFGFSIDGRIMLPCDAAVMEYAMCLLRRNASVEVEKALLSSMVASCHYTGSMHITYSIAAASQGRVILPSPPANPNSSCDILRSSTNTVVPRYGNGTSNRAPSDVYTAQWPLSATGDGEVDVQPASSSSLPFADGARFEVPLLYLGTAVFGELLTMSREEFGFAGDDGRITLPCDASVMEYVLCLLRRDASEEVERAFLSSMARPCHYAGSQQSVLTHCIF >ONIVA09G19590.5 pep chromosome:AWHD00000000:9:19438631:19461186:1 gene:ONIVA09G19590 transcript:ONIVA09G19590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSECSAINLLFVHYIRSMMQKISVTSCPGSSLSSMPETLNSERRGNTSRGLAVGDILDFIGPNRGNGPPQKPIRSSETFRQKLSRSSMIRAKKLAQLAKKLQLRMTSAGGSGQKAVAADDCCSTASSSLAGKGHCAVYTADGARFEVPLPYLGTAVFGDLLTMSRAEFGFAGDDGRITLPCDASVMEYVMCLISRDASEEVERAFLSSMARPCRNVGVISHRFAVCDLTAAMGAVSASAGSVRSESHRVGSRAPLHVLDQMVSGEAPAPPPQPRLEPDHCIIGSELETEQERRRATMISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFAQSLGSVQQLKTQDQEPAMISARRVAQLAKKWQRMAALGRKRLTVRAKQDQECCSSMAGKGHCAMYTADGSRFEVPLAYLGTAVFSELLRMSQEEFGFSIDGRIMLPCDAAVMEYAMCLLRRNASVEVEKALLSSMVASCHYTGSMHITYSIAAASQGRVILPSPPANPNSSCDILRSSTNTVVPRYGNGTSNRAPSDVYTAQWPLSATGDGEVDVQPASSSSLPFADGARFEVPLLYLGTAVFGELLTMSREEFGFAGDDGRITLPCDASVMEYVLCLLRRDASEEVERAFLSSMARPCHYAGSQQSVLTHCIF >ONIVA09G19590.6 pep chromosome:AWHD00000000:9:19438631:19461186:1 gene:ONIVA09G19590 transcript:ONIVA09G19590.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSECSAINLLFVHYIRSMMQKISVTSCPGSSLSSMPETLNSERRGNTSRGLAVGDILDFIGPNRGNGPPQKPIRSSETFRQKLSRSSMIRAKKLAQLAKKLQLRMTSAGGSGQKAVAADDCCSTASSSLAGKGHCAVYTADGARFEVPLPYLGTAVFGDLLTMSRAEFGFAGDDGRITLPCDASVMEYVMCLISRDASEEVERAFLSSMARPCRNVGVISHRFAVCDLTAAMGAVSASAGSVRSESHRVGSRAPLHVLDQMVSGEAPAPPPQPRLEPDHCIIGSELETEQERRRATMISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFAQSLGSVQQLKTQDQEPAMISARRVAQLAKKWQRMAALGRKRLTVRAKQDQECCSSMAGKGHCAMYTADGSRFEVPLAYLGTAVFSELLRMSQEEFGFSIDGRIMLPCDAAVMEYAMCLLRRNASVEVEKALLSSMVASCHYTGSMHITYSIAAASQGRVILPSPPANPNSSCDILRSSTNTVVPRYGNGTSNRAPSDVYTAQWPLSATGDGEVDVQPASSSSLPFADGARFEVPLLYLGTAVFGELLTMSREEFGFAGDDGRITLPCDASVMEYVLCLLRRDASEEVERAFLSSMARPCHYAGSQQSVLTHCIF >ONIVA09G19590.7 pep chromosome:AWHD00000000:9:19438631:19457577:1 gene:ONIVA09G19590 transcript:ONIVA09G19590.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSECSAINLLFVHYIRSMMQKISVTSCPGSSLSSMPETLNSERRGNTSRGLAVGDILDFIGPNRGNGPPQKPIRSSETFRQKLSRSSMIRAKKLAQLAKKLQLRMTSAGGSGQKAVAADDCCSTASSSLAGKGHCAVYTADGARFEVPLPYLGTAVFGDLLTMSRAEFGFAGDDGRITLPCDASVMEYVMCLISRDASEEVERAFLSSMARPCRNVGVISHRFAVCDLTAAMGAVSASAGSVRSESHRVGSRAPLHVLDQMVSGEAPAPPPQPRLEPDHCIIGSELETEQERRRATMISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFAQSLGSVQQLKTQDQEPAMISARRVAQLAKKWQRMAALGRKRLTVRAKQDQECCSSMAGKGHCAMYTADGSRFEVPLAYLGTAVFSELLRMSQEEFGFSIDGRIMLPCDAAVMEYAMCLLRRNASVEVEKALLSSMVASCHYTGSMHITYSIAAASQGRVILPSPPANPNSSCDILRSSTNTVVPRYGNGTSNRAPSDVYTAQWPLSATGDGEVDVQPASSSSLPFADGARFEVPLLYLGTAVFGELLTMSREEFGFAGDDGRITLPCDASVMEYVLCLLRRDASEEVERAFLSSMARPCHYAGSQQSVLTHCIF >ONIVA09G19580.1 pep chromosome:AWHD00000000:9:19437929:19438595:1 gene:ONIVA09G19580 transcript:ONIVA09G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNALGMLRRHGDDTADGELFEVPLPYLVLNMPEEEFGFAGDDGRITLPSYAWVMEHVMCLLRRDVSEEVES >ONIVA09G19570.1 pep chromosome:AWHD00000000:9:19433098:19433544:1 gene:ONIVA09G19570 transcript:ONIVA09G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLDRRPSAGQLPVLPTTGLPPHSTDAPPSTDFNEPPSLRTGPGPFRSTTRQSPRQIWPKENPGLGGIASATWASPVDKLPPQSNLETPHKEGEELQEGRGCRPATRKTTHCRQLPLHYHLGLRRRRKRCRSGSGATFVRPPCLT >ONIVA09G19560.1 pep chromosome:AWHD00000000:9:19424428:19429733:1 gene:ONIVA09G19560 transcript:ONIVA09G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPGAKYLGTGRSGPLAGYVLTLAQVGLKADLFCSGYDMGRNLFICYSPAIDRGRRRPVAGRAPQPPPPSPPPRGAERRAPPARTSQHGHCPGILHGIIAVCRKRDASDALLVVVTVGIASGAVMASLRPSPSRRLYPPCLRVAELVPCIISGA >ONIVA09G19550.1 pep chromosome:AWHD00000000:9:19416237:19417058:1 gene:ONIVA09G19550 transcript:ONIVA09G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAWMQGRGGGGGSDGENQTASHGQGGGGELAWSRWWRRRDRAQRRRQRRPDKGESEAALPAREKRKEEEEREPCSFRFWAARLERHVGLASPRWRLVACGDAADDSEKGGGLVWRRARTKAVDAAEVTVARPQRSAMSGAARGSIGVARSRWGHRRGRTLSASSASARKKTRAREERSGLEGKSEGGRWKGKPLPSVLGGGQARRAREGRPANSNGGGGNRRRGEEFDEREREEEDERARETERERAHTRNARARGRRRSG >ONIVA09G19540.1 pep chromosome:AWHD00000000:9:19408088:19408467:1 gene:ONIVA09G19540 transcript:ONIVA09G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPELKAPPPPPLLALPQLQADADTVAPRRLHVVGGGGAHAVLVPTPESVPFLVALTPEEIEEDIYAPSSPSLPSAPPSSSPSRRRPLPPVSATVLPPVARLPSARRLGEWSGG >ONIVA09G19530.1 pep chromosome:AWHD00000000:9:19407849:19408028:1 gene:ONIVA09G19530 transcript:ONIVA09G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKLPAATSTHHLEPWGQPSPPPPTPFDGGIEELWVKLMGHLRDAADRLRVP >ONIVA09G19520.1 pep chromosome:AWHD00000000:9:19404506:19407058:-1 gene:ONIVA09G19520 transcript:ONIVA09G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAVNCAVQNVSLDTLFLIVIQGAAVIVLGKFIHLSLRRHNLPSAISQIVAGVAVGSLGLHDMIVHVEVQNVEDTYGWYVSEARIFYMFYVGLDADLAALWNDAHRCTVVTYASVATCLLLAAFVSGGIYGSMMHTPVRSPELLSAVLMLTLANTASVDVSRMAAELDLTATGGGRLAVSTAIATNIICIVGEGVFSCMKLASSRTPGYSASERLGMGVLALLKVGVTMALLRPVAAYMNRRNAGRHRIGNWELVLLLVAVSFVGNFPEHAGFDGVPASLLLGLAFPREGPVARSVMDAIAYPLHALALPFYFGAMGMRINFGAMSGAIVVPAVLLTLLGLFGKCAGTMAAARYLKMPLADAIRLGVLLNIKGHVNMIDMSFASSEGVTCLHPTSSLATTMAPLIWPRVQIWAEQALMAMVVGSIISTVVAGPVFAVLFRKEKEAYACSDQALEHMAPDKELRMLACVHSARGAPAMLSLLELLATTPRAQPTIHVLHLFDASRKHVGPKRYHQRVQDSDKHIDRRIDDATQVNWAVDVFTSVTGLAIRQFDVGDRGAAMKNSKNIHRRLEEVRAGLLLLPYHKEQRYDGKMVCRRDDRCELNRKVLELAPCTVGVFADRPFWRGGASFRLPTKISTSEETTAARNQGDQKAGTQIAAVFLGGPDDREAVAFACRLAKNDGAIRLTVIRLVLGVATNDDHRIPTTSAANHIGIYDDDDEDGGEEEVLSVVVQDDDPDERCVSELRREYVAKERAEYVERAVSGAVDVAAALRATAGAFALVVVGRGGRQPPELVVGLEGWVQMIECPEVGPVGEMLASEESLEMGSVLVVQQRTAPPPPFHLNIPPAI >ONIVA09G19510.1 pep chromosome:AWHD00000000:9:19403879:19404183:-1 gene:ONIVA09G19510 transcript:ONIVA09G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSPANALVAATVAASAINPRRGVLAKLPRGRVLLRRQVCFAAAQAPTTCSTERPFRVARSSCSESDQ >ONIVA09G19500.1 pep chromosome:AWHD00000000:9:19397960:19402871:-1 gene:ONIVA09G19500 transcript:ONIVA09G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase 2 [Source:Projected from Arabidopsis thaliana (AT1G76150) TAIR;Acc:AT1G76150] MAARSGPPAAAVDPEAVLSHSFPEVSFAYDERDVALYALGVGACGADAVDVKELHLVYHRDGQPHIKALPTFASLFPFKNSNGLGIVDVPGLNFDASLLLHGQHYIEIYKPIPSRANVVNKTKIAGLHDKGKATILEIETTTHVKDSGEVLCMNRSTIYLRGAGGFSDPSRPYSYASYPTNQALLYRLSGDYNPLHSDPMVAQVAGFTRPILHGLSSLGFAIRAVIKSFCNGDPTAVKSIFGRFLLHVYPGETLVTEMWLQGQRVLYQTKVKERNRAVLSGYVLLKHIPSSLFDRKRWGRM >ONIVA09G19500.2 pep chromosome:AWHD00000000:9:19398258:19402871:-1 gene:ONIVA09G19500 transcript:ONIVA09G19500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase 2 [Source:Projected from Arabidopsis thaliana (AT1G76150) TAIR;Acc:AT1G76150] MAARSGPPAAAVDPEAVLSHSFPEVSFAYDERDVALYALGVGACGADAVDVKELHLVYHRDGQPHIKALPTFASLFPFKNSNGLGIVDVPGLNFDASLLLHGQHYIEIYKPIPSRANVVNKTKIAGLHDKGKATILEIETTTHVKDSGEVLCMNRSTIYLRGAGGFSDPSRPYSYASYPTNQALLYRLSGDYNPLHSDPMVAQVAGFTRPILHGLSSLGFAIRAVIKSFCNGDPTAVKSIFGRFLLHVYPGETLVTEMWLQGQRVLYQTKVKERNRAVLSGYVLLKHIPSSL >ONIVA09G19490.1 pep chromosome:AWHD00000000:9:19386529:19389733:-1 gene:ONIVA09G19490 transcript:ONIVA09G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rho guanyl-nucleotide exchange factor 1 [Source:Projected from Arabidopsis thaliana (AT4G38430) TAIR;Acc:AT4G38430] MASASEDDAGSERCCGSYSPSADVSESETSSDCSAPTTTTTTRRFASSSSRGVASSSSSSLLPTPPPSSAAAFFLSAKPAADLSEVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPMASARKAMWTREMDWLLSVADSIVELTPSIQELPDGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLAMIDGFKETEFWYVDRGIVVDDSGGPFSSSSSSCGRPSVRQEEKWWLPCPRVPPKGLSEDARRKLQQDRDCANQILKAAMAINSDVLAEMEIPEVYLESLPKSGKSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKSTPQAKSKKSWGGKVKGLVGDTEKSHVLSQRADGLLQSLRLRYPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNIIARIDDVIYVDDATKKSAAADSVSIFNRGIGVPVQKRISPSPFSIQHTPYASPFATPTFCSSTPVTGSPGRVQPPLNKNNLPTKQEVKVEKLFSGDIEKVWTYAGNLSARKDAGDAPERD >ONIVA09G19480.1 pep chromosome:AWHD00000000:9:19384489:19385613:-1 gene:ONIVA09G19480 transcript:ONIVA09G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAANDTTPAKAAGPQLKLLVDKRSRRVLYAEARKDAVDFLIGLLRVPADAAARALDDAFFLASPPSPGPDRRDAVLAPAVLPSAALPLLGERPPPPPPPPPPKRYYRCNAYAMPCRSNPLNVTDTAGLACPGCRQPMMVEMKWAAGGGSKPAEEEEAAAGGEGGYVKEVVTYLVMDDLSIEPMSTISAVMLLKKFDVKDCSALDEMTVDLGPKECVKLLKASLESTTALTDVFSGGVSIDRLE >ONIVA09G19470.1 pep chromosome:AWHD00000000:9:19380053:19382099:-1 gene:ONIVA09G19470 transcript:ONIVA09G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG (high mobility group) box protein with ARID/BRIGHT DNA-binding domain [Source:Projected from Arabidopsis thaliana (AT1G76110) TAIR;Acc:AT1G76110] MAEKLLYPPPLLSHEEVANDRAAFMDTLRRFHSLMGTKFMIPVIGGKEMDLHALYVEVTSRGGLAKVMEERKWREVMARFSFPATTTSASYVLRRYYLSLLHHYEQVYFFRAHGALLRPAASALTKTPRRKMRGTSDQSPAAAEAGKRMALPERLGGEPCSFSVTGSIDGKFEHGYLVTVKIAAETLRGVLYRVAPPPPPPAAPPPPPPPARGRRRRGRRQRDPAQPRPNRSAYNFFFKEKHPELKATHPHREREYSRMIGDAWNRLAADDKMMYYYFPVGKLILELKLLVQFCVSLAALCLCSFQ >ONIVA09G19460.1 pep chromosome:AWHD00000000:9:19363247:19380775:1 gene:ONIVA09G19460 transcript:ONIVA09G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGTGAPIVKVYHEKSMILPDVSRVLTCLYEKDVKFEPCTTSYKSLLRLQASTHAPVPFYDGPTFLEESREICRYIAETYEHHGYPFLLGKDALERASIEQWLHHEEHAFNPPSRALFCHLAFPLDQEDDLEMQKAKLDEVLEVYEQRLSDSEFLAGNKFTLADLVHLPNSHYIKASGKFAYLYDSRKNVQRWWNAISTRHSWDQVLSYMTKVEQDNKLEELEKQKQQEWQREYHTATGCRSRLYSRKHTRTKSQTILVPPPDTVSASPMAPQGEQPPPADSLSEKASVFSSQSTTHKSIASPSKKTTSSTPSLGNFPSTADKPPRIHADMSSIRDVSVPPDTTETEHPTRSMLSSSKEVGTYIEPTPQKPPASLDNFSESDGPISGASHSQISPRTAKEDSDRLHASDLYRSDTKSTAHSYEATYGKSPTRGATDSSPNKLHSTEAHQMLQAEKWHAVQAGLRNLQGDIDNSVPSRQVKPSKEVQQYPSQDSEQVSIRPVAQEPLSMDGQLVQGPEGTAQTPHTDQTMGVSSPHWQHAADARRISEDEASSDRRIKGAHWSKHAADEDAQAIPFQTAHDDSQDTTQRARETDSVTRSTRDQDAHDSRQGIMTYDSAPSRLQPMDASHDAPLPSKKSTSQTPYTAAHIPTGYQGAQEFIQQGRERPKPRSVVPYDAQGSTEEEKMAESPPSKAQILGSRGLDVSPPKEVLNEDGYGATGPLQTRYGDDQDDWIQARDSTTPRHMNQDAIEETEMADSEPSKARTLDSQQAFPQKQPPAEDLRFAASTSNRRYFDDQDSTKKSKHTASIPRRMEAQGSLEETKAGESGLPREQPFLQAQAKDARSLTTPSQEGYAGPQAVSKQRGDPGSISRRIRAQDARVTFVESKADDSTFTREQPLDAWQATGPLPEHKVYDAQSSTSPFQDATMKSEDTTPQQRRGQGAKETKLSDSASLQVQPSDYQRSDSHFQNQEEIEDPHGAISPHKRSYTDVEDMTKHPGDKILGEDAQDVSEETKALDSTIVRGRAHPQDTHQAAIPPRRQAATKDALGVTPHFPTRYPTAEDTSRQLRRTASTPTEKAVQDGRDAFREWKSVDSTSSREQPSDVQRAEASFPKQEEADSHSTTVPFQRRYPDIEDTTKEPIDKPKEMVGEDAQAGFEETKALDAATEPRDTPRAAITPSKQVIAKDALSVTPLPPTRYPTAEDTSRQPKRTGSTPTEKAVQDGRDAFRESKSVDSTSSTQQPSGYRRAAASLPKQEAADSRSTPLPFQRRYPDVEHTTKEPRDKPKESVGEDAQDGFDETKALDSAIIRGRAQPQETPRAAITPSKQEAAKDALSGTPLSPTRYQTAEESVREDAQDGFEETKALDSSMFRGRGQLQDTRPSAITPSKLEDVRDALGATPLPPRRYPTAEDTSRQPTRTASTPIEKAVPDGRDAFRELKIVDSTSSREQPSDVRRAAASLRKQEAADSHSTTVPFQRRYPDIEDTTKEPRDKPKEKVSEEAQDGFEETKDLDSSILRGRAQSQAKDAPGATPLPPTRYPTGEDASRQPRRTASTPTEKAVRGGRDDSTESRSVDSTPSREQPSDVRRAAASLPKQEAADSRSTPLPFQRRYPDTRDTTKESRDKLKEAVGEDGQDGFEETKALDSAIIGGRAQPQETPRAAVTPSKLEGAKDALGVTPIAPTRYPTAEDTSKQSTRTASTPSEKAVPYGRDAFIESKSVDSTSSREQPSDVRRAAASLPKQEAADSRSTTMPFQRRYRDIEDTTKEPRDKPKETVGQDVQDTYAERVTTDSVLFTKQPSDMPRAAITPSKKAANDDAPSVSPPLPTRYPSAEDTSKQSIRPQDSRDVFREQKAVDSTSSPGPSDSLRASASLPNQEVDGTRRTTVPFQKREPELQNSTKPVNDSISTSWEMTAQDTQDTFEETKVPDSAAFSMPEVDSQRTDAEAQAEAQDTRDGGSRSRWWHASKTLPDGTPISGDDVTGLSPGDQMPTRMDQDAIPSTQIANGITERSIKQTAEPPAPVAPQTIFHQQARPSAPITKEVQASDNQGAISKIQQVSPDNHPTDYSAVPRVAAQEQVSHAPQTSPGREGITPAQREMDSPISDALPASAKVQEPAPDKSTMPFVSSVKQGSHVGHDVEPHEGPLPDTYGAVIDKETTKSLSWQDRSLEASPDSTPTHGYVHPTSRDEPAILPGQGAPQEPTHPDALDSASTRDVTADSLVGPKKFKQRSTDQEDISFASNQTSGMGAQPYSSLDKVARAEQKSDLSDQDPSHSAQETVLAAAERTKALPKPIDQQETPDSRKPPTPDTQYASQIIPSQEKVAPDVPSQEKVSQAELSLKPHEGSTPHMHGIIVDEKKTRPLASPTKSSNDVLDLTPAGADVHPTSSTEPPRSALPVQAQTPSATQTPPPFASHKSVRTEDIRADANGKVKSVKPSASPDAPHATAPGEVALSEQKLASAGQDSSRAAQLPSSDEPRNEQIKDSDHTAQPFSSAAPDQAKDLQRTFGQPDISRAPGTSESPYSDVYDGARKVDPDYQLIDKTIPSQEQVSHPDLASKTNEGPTETPGPAPLMDVYDSISTQYIQDGDLDKDQDSANFAQKYSSREPKEEESTVAAPDQTKEIQTTVGQQDILPAVSKEKDPSSGVQYDSMEVQEVAPKDQHIDGSIPSHEQAPNVEEPMTHITGPTSALDTQHDQDSYQYPELPSSAKSRKETDVAEADKTKAKQMTFGQPVPVPSDATRSVTDQGAQQPQRPAKIESPPFLETIKNYEYTQNVSDETLDKNKSSGKPSADQEVMSPKVVPATFLDPQRVTVPDDEQKIAKPDLTRSAQPPFSAEPTKRKTVVGASDLAKASETIVDREGMMTAPYREKSLCPDTQHALRNVLEMSPSDNLTDNPFAGQEHGSFSEGSTTDSRDAITDESATTSTSGSEKVLDASILTATHDDAHPPTVRNLPEHETQAPTSTQSASVEASDKAKSTDQEDMKPMTSQASILDTQRGTNGDKPIARFLHDQGAQSPELTHPQQPPESPPHSASQHDAPTDVLDKTKMTKLTSTNQEGMAPTAGSRSTLESQPDGTFAAEVVHDEQKSTLSDQESARATQPLSSVERSKEDTNVSAADQPEVPQTIFHQKARSSVPVTREVQFPDSLGAISKIQEVSPDNQSTDYSAVQPVPTKEQVLHAPQTSPDQEGITPAQGEKDSPIPDAQLVPHALQDKGSPRSTQPPPPIESSEKESQIAEDGQTILLQSSVVQESTPSLAGPRESSSSDSPYPSVEDQVSAPKAEEPLPPTTANQLGSGSPWKFKYQVDLVGMMDRVQPTSEATPGVFAGISATPGDNQPSPARESAEVAKEGTEQQKADQASVQSPQDNMGQVKETEEQDTGTGETYQASVQSPQDIKEQRDETEQQDTRTGEAYQDAPAPDAPQDKGSPRSTQPPPPIESSQKESEIAEDIQTLLPQASAVQESTPSSASPRDSLSLDSSYSLMENRGSVPNVGKPLPPSTDMMDRVQPSLGPSLEVSSDEKTTVPLDGLANNLSNVSPSVTASQVLGRSENGSGTGALSRETVPSNSQENSEGTPSEEISKQQPQTNMSSIKLSKDDNKEADGSANDTKPGDSEDNPSR >ONIVA09G19460.2 pep chromosome:AWHD00000000:9:19363247:19379601:1 gene:ONIVA09G19460 transcript:ONIVA09G19460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGTGAPIVKVYHEKSMILPDVSRVLTCLYEKDVKFEPCTTSYKSLLRLQASTHAPVPFYDGPTFLEESREICRYIAETYEHHGYPFLLGKDALERASIEQWLHHEEHAFNPPSRALFCHLAFPLDQEDDLEMQKAKLDEVLEVYEQRLSDSEFLAGNKFTLADLVHLPNSHYIKASGKFAYLYDSRKNVQRWWNAISTRHSWDQVLSYMTKVEQDNKLEELEKQKQQEWQREYHTATGCRSRLYSRKHTRTKSQTILVPPPDTVSASPMAPQGEQPPPADSLSEKASVFSSQSTTHKSIASPSKKTTSSTPSLGNFPSTADKPPRIHADMSSIRDVSVPPDTTETEHPTRSMLSSSKEVGTYIEPTPQKPPASLDNFSESDGPISGASHSQISPRTAKEDSDRLHASDLYRSDTKSTAHSYEATYGKSPTRGATDSSPNKLHSTEAHQMLQAEKWHAVQAGLRNLQGDIDNSVPSRQVKPSKEVQQYPSQDSEQVSIRPVAQEPLSMDGQLVQGPEGTAQTPHTDQTMGVSSPHWQHAADARRISEDEASSDRRIKGAHWSKHAADEDAQAIPFQTAHDDSQDTTQRARETDSVTRSTRDQDAHDSRQGIMTYDSAPSRLQPMDASHDAPLPSKKSTSQTPYTAAHIPTGYQGAQEFIQQGRERPKPRSVVPYDAQGSTEEEKMAESPPSKAQILGSRGLDVSPPKEVLNEDGYGATGPLQTRYGDDQDDWIQARDSTTPRHMNQDAIEETEMADSEPSKARTLDSQQAFPQKQPPAEDLRFAASTSNRRYFDDQDSTKKSKHTASIPRRMEAQGSLEETKAGESGLPREQPFLQAQAKDARSLTTPSQEGYAGPQAVSKQRGDPGSISRRIRAQDARVTFVESKADDSTFTREQPLDAWQATGPLPEHKVYDAQSSTSPFQDATMKSEDTTPQQRRGQGAKETKLSDSASLQVQPSDYQRSDSHFQNQEEIEDPHGAISPHKRSYTDVEDMTKHPGDKILGEDAQDVSEETKALDSTIVRGRAHPQDTHQAAIPPRRQAATKDALGVTPHFPTRYPTAEDTSRQLRRTASTPTEKAVQDGRDAFREWKSVDSTSSREQPSDVQRAEASFPKQEEADSHSTTVPFQRRYPDIEDTTKEPIDKPKEMVGEDAQAGFEETKALDAATEPRDTPRAAITPSKQVIAKDALSVTPLPPTRYPTAEDTSRQPKRTGSTPTEKAVQDGRDAFRESKSVDSTSSTQQPSGYRRAAASLPKQEAADSRSTPLPFQRRYPDVEHTTKEPRDKPKESVGEDAQDGFDETKALDSAIIRGRAQPQETPRAAITPSKQEAAKDALSGTPLSPTRYQTAEESVREDAQDGFEETKALDSSMFRGRGQLQDTRPSAITPSKLEDVRDALGATPLPPRRYPTAEDTSRQPTRTASTPIEKAVPDGRDAFRELKIVDSTSSREQPSDVRRAAASLRKQEAADSHSTTVPFQRRYPDIEDTTKEPRDKPKEKVSEEAQDGFEETKDLDSSILRGRAQSQAKDAPGATPLPPTRYPTGEDASRQPRRTASTPTEKAVRGGRDDSTESRSVDSTPSREQPSDVRRAAASLPKQEAADSRSTPLPFQRRYPDTRDTTKESRDKLKEAVGEDGQDGFEETKALDSAIIGGRAQPQETPRAAVTPSKLEGAKDALGVTPIAPTRYPTAEDTSKQSTRTASTPSEKAVPYGRDAFIESKSVDSTSSREQPSDVRRAAASLPKQEAADSRSTTMPFQRRYRDIEDTTKEPRDKPKETVGQDVQDTYAERVTTDSVLFTKQPSDMPRAAITPSKKAANDDAPSVSPPLPTRYPSAEDTSKQSIRPQDSRDVFREQKAVDSTSSPGPSDSLRASASLPNQEVDGTRRTTVPFQKREPELQNSTKPVNDSISTSWEMTAQDTQDTFEETKVPDSAAFSMPEVDSQRTDAEAQAEAQDTRDGGSRSRWWHASKTLPDGTPISGDDVTGLSPGDQMPTRMDQDAIPSTQIANGITERSIKQTAEPPAPVAPQTIFHQQARPSAPITKEVQASDNQGAISKIQQVSPDNHPTDYSAVPRVAAQEQVSHAPQTSPGREGITPAQREMDSPISDALPASAKVQEPAPDKSTMPFVSSVKQGSHVGHDVEPHEGPLPDTYGAVIDKETTKSLSWQDRSLEASPDSTPTHGYVHPTSRDEPAILPGQGAPQEPTHPDALDSASTRDVTADSLVGPKKFKQRSTDQEDISFASNQTSGMGAQPYSSLDKVARAEQKSDLSDQDPSHSAQETVLAAAERTKALPKPIDQQETPDSRKPPTPDTQYASQIIPSQEKVAPDVPSQEKVSQAELSLKPHEGSTPHMHGIIVDEKKTRPLASPTKSSNDVLDLTPAGADVHPTSSTEPPRSALPVQAQTPSATQTPPPFASHKSVRTEDIRADANGKVKSVKPSASPDAPHATAPGEVALSEQKLASAGQDSSRAAQLPSSDEPRNEQIKDSDHTAQPFSSAAPDQAKDLQRTFGQPDISRAPGTSESPYSDVYDGARKVDPDYQLIDKTIPSQEQVSHPDLASKTNEGPTETPGPAPLMDVYDSISTQYIQDGDLDKDQDSANFAQKYSSREPKEEESTVAAPDQTKEIQTTVGQQDILPAVSKEKDPSSGVQYDSMEVQEVAPKDQHIDGSIPSHEQAPNVEEPMTHITGPTSALDTQHDQDSYQYPELPSSAKSRKETDVAEADKTKAKQMTFGQPVPVPSDATRSVTDQGAQQPQRPAKIESPPFLETIKNYEYTQNVSDETLDKNKSSGKPSADQEVMSPKVVPATFLDPQRVTVPDDEQKIAKPDLTRSAQPPFSAEPTKRKTVVGASDLAKASETIVDREGMMTAPYREKSLCPDTQHALRNVLEMSPSDNLTDNPFAGQEHGSFSEGSTTDSRDAITDESATTSTSGSEKVLDASILTATHDDAHPPTVRNLPEHETQAPTSTQSASVEASDKAKSTDQEDMKPMTSQASILDTQRGTNGDKPIARFLHDQGAQSPELTHPQQPPESPPHSASQHDAPTDVLDKTKMTKLTSTNQEGMAPTAGSRSTLESQPDGTFAAEVVHDEQKSTLSDQESARATQPLSSVERSKEDTNVSAADQPEVPQTIFHQKARSSVPVTREVQFPDSLGAISKIQEVSPDNQSTDYSAVQPVPTKEQVLHAPQTSPDQEGITPAQGEKDSPIPDAQLVPHALQDKGSPRSTQPPPPIESSEKESQIAEDGQTILLQSSVVQESTPSLAGPRESSSSDSPYPSVEDQVSAPKAEEPLPPTTANQLGSGSPWKFKYQVDLVGMMDRVQPTSEATPGVFAGISATPGDNQPSPARESAEVAKEGTEQQKADQASVQSPQDNMGQVKETEEQDTGTGETYQASVQSPQDIKEQRDETEQQDTRTGEAYQDAPAPDAPQDKGSPRSTQPPPPIESSQKESEIAEDIQTLLPQASAVQESTPSSASPRDSLSLDSSYSLMENRGSVPNVGKPLPPSTDMMDRVQPSLGPSLEVSSDEKTTVPLDGLANNLSNVSPSVTASQVLGRSENGSGTGALSRETVPSNSQENSEGTPSEEISKQQPQTNMSSIKLSKDDNKEADGSANDTKPGDSEDNPSR >ONIVA09G19450.1 pep chromosome:AWHD00000000:9:19354643:19363227:1 gene:ONIVA09G19450 transcript:ONIVA09G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAAESCGSHAAASAAGRGGGGGGGGGGATSSSSSASAGAAAAAARKQQQQQRHKLEVYTEVLRRLHDSGVPEARREGFDDELWNHFNRLPARYAMDVNVERAEDVLTHKRLLEQAKDPVQRPAFAVRAVQVSPILDGNQTDADSNTAGEEVASRLLNRQQSIHPPPAFGSSTNLEALALEASKSQGQDHDSTSDNVNYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVIGWHDEETEDLIESVRKEIGKIDETQGWSTTHSWSSPVENMQIGENSAADHVEIPRDGASEWEIDVKLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERINADMQREFAQEVYIMRKVRHKNVVQFIGACTKPPNLCIVTEYMSGGSVYDYLHKHKGVFKLPALLGVVMDVSKGMSYLHQNNIIHRDLKTANLLMDENGTVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGILMWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKNAHAKLSELLQKCWQQEPAERPDFSEILETLQRIAEEVGDEHDGKHKEKILGGLFSALRGRGH >ONIVA09G19440.1 pep chromosome:AWHD00000000:9:19341301:19342659:1 gene:ONIVA09G19440 transcript:ONIVA09G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSRLVRPSYPASAAAPEEEFVPSSMFDKVTYDMQMAIIYAFRPPGPSVADIEKGLAAVLGVYRLFAGQVVRGGGGELRGVVLNDHGARLVEACVDGSLADIAPAKPSPVVLRLHPSLEGEIEEVVQVQLTRFACGSLAVGFTANHAVADGHATSDFLVAWGRAARGLAVAATAAAPPHHHPGMFRPRDPPLVEFEHRGVEYYRPPPPAAGVDGDVGGDHKQQHGHGGEEASHGIVIHKAHFTKDFIARLRAAASEGRGRPFSRFETILAHVWRTMTRARGLGNPLQSSTIRISVDGRQRLSAPAGYFGNLVLWAFPRATVGDLLGRPLKHAAQVIHDAVARADAAYFRSFVDFASSGAVEGEGLAPTAVLKDVLCPDLEVDSWLTFPFYELDFGGGCPTYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFDHNLEAFKQSCYSIE >ONIVA09G19430.1 pep chromosome:AWHD00000000:9:19328210:19329523:1 gene:ONIVA09G19430 transcript:ONIVA09G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYNGGVAAAPDVEYIPLSIFDKVTYKMQMAIIYAFPPPAPSTAAIEKGLAAVLAQYRAFAGQLGESPDGEAAVVLNDRGARLVEAAVDADLVDMAPAKPTPELLRLHPDLEGELQEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLPMGAPPVHHHAALFKPRPSPHVEHDHRNREYYLPAAGDDSHGHGDGGAADNIVIHKAHFTKDFIAGLRAAASEGRGRPFSRFETILAHLWRTMTRARGLSPDEASTIRLSVDGRHRLGAPAEYFGNLVLWAFPRATVGDLLTRPLKHAAQVIHDEVARVDGAYFRSFLDFALSGAGGDKEGLAPSAVLKDVLCPNAEVDSWLTFPFYELDFGTGSPTYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFNHNLEAFKECCYSME >ONIVA09G19420.1 pep chromosome:AWHD00000000:9:19315658:19316092:-1 gene:ONIVA09G19420 transcript:ONIVA09G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSIGVSSPIGSTGASSPIGSTSFDNDSGADDDGTTSNRGARDGGARAGGCRGARPDGRGGAGDSCWGGAGDGCRVGDDGRRCSAAAGRDAARRRRCGGRRRRCGTAAGGDAARWWRGGAGASPRKGSKSRLTGRSKRGLTG >ONIVA09G19410.1 pep chromosome:AWHD00000000:9:19305739:19306983:-1 gene:ONIVA09G19410 transcript:ONIVA09G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSPMQAVLMAPGVKDKKVLAFKRGKGKDADAGVTALIRDIVAGGARSAFHVFDLAKVVDLHRGWRRALPDVRPCYAVKCNPDGAMLAALAALGAGFDCASRAEIEAVLALGVRPATIVYANPCKPEAHLEYAAEVGVNLTTYDSEEEVAKVRRCHPRCELLLRIKAPDSGDAKVDLGLKYGANPDEVLPLLRAAQREGVAVAGVSFHVGSGASRADVYRGAIEAARAAFDAAAALGMPPMRVLDIGGGFMAGRTFDEAAAVINRALERHFGDLPCVEVIGEPGRYFAETAFTLAARVIGKRTRGELREYWIDDGLYGSLNCILMDHYVPRPRPLAAAAAGEDTTAATTHASTVFGPTCDSLDTVVTGYQLPEMSVGDWLVFDDMGAYTTAAGSNFNGFATSAIKIHLAYSS >ONIVA09G19390.1 pep chromosome:AWHD00000000:9:19287738:19294298:1 gene:ONIVA09G19390 transcript:ONIVA09G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta [Source:Projected from Arabidopsis thaliana (AT4G35790) UniProtKB/Swiss-Prot;Acc:Q9C5Y0] MGSSAGGESPAKPVLLHGDLDLWVVEARLLPNMDMFSEHVRRCFAACKPPTSCATARQPRHARGHHRRKIITSDPYVTLSVAGAVVARTRVIPNDQDPFWDERFAVPLAHYAAALEFHVKDNDTFGAQLIGTVTIPADRVASCQEVDDWFPIIGNNGRPYKPDTALCLRLRFNPAADNPLYRRGIPGAPDHQGIKDSYFPLRHGGRVTLYQDAHFREGDLPEIELDEGGKVFDHNACWEDICHAILEAHHMIYIVGWSVYDKVRLVREPSPSRPLPEGGDLNLGELLKFKSQEGVRVCLLVWDDKTSHDKLFIKTGGVMATHDEETRKFFKHSSVICVLSPRLQVVGTLFTHHQKCVLVDTQAWGNKRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFDNDYHNPTFPSGAKGGPRQPWHDLHCRIDGPAAYDVLKNFEQRWRKATKWRERFRKVSHWKDDALIKLERISWILSPSPTIPNDHISLRVSKEEDPENWHVQVFRSIDSGSLKGFPSDCKEASKQNLICRKDLIIDKSIHTAYVQAIRSAQHFIYIENQYFLGSSYAWPSYVNSGADNLVPIELALKIASKIRAGERFAVYVVIPMWPEGVPTAASVQEILFFQAQTMEMMYRIIAQELKAMNIENAHPQDYLNFYCLGNREESSSSNGSPESNDKSAAALARKYRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHHAWSTKGGHPRGQVYGYRTSLWAEHLGMVDDLFKDPSSLECVNYVNEIAEENWRRFTAEQLITLQGHLLKYPVKVEADGKVGPLPEHECFPDVGGKILGAPTSLPDTLTM >ONIVA09G19380.1 pep chromosome:AWHD00000000:9:19286282:19286494:1 gene:ONIVA09G19380 transcript:ONIVA09G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHYSNAMQSCVREIVVLAELVAARADRVSLPSKRLVILAMISTFLHVDGIALAGGIVRLLQVVEYVLM >ONIVA09G19370.1 pep chromosome:AWHD00000000:9:19282046:19282804:1 gene:ONIVA09G19370 transcript:ONIVA09G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHRHARSRSFSGGAIVSFLKSTAASFTATTTTASAPPPPHGRSSFNHRNAFSGPIVSIVPPEARGGGGGSRREHRSGYRTPEPSSPKVSCIGQIKKANAKKVKASCKNGACPLPPRPPADAAAARRQKSSLVRRMLFRRSRSRKASSSSSRDGGFFKGRTAGRAGAAVAAAPAPAGLGQMKRFTSGRAAFEDFDWREAERMASDDDDDVLVAHSAPLVLGGGLVASEPRKEVNLWSRRPMAPPTPLRLP >ONIVA09G19360.1 pep chromosome:AWHD00000000:9:19277652:19279286:-1 gene:ONIVA09G19360 transcript:ONIVA09G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G20300) TAIR;Acc:AT1G20300] MALLLKPKRHLFPSSARHLRRLCDAAPTLAPPPEPEPEPELEPEPALAPSLTTAETKLLDALHAALVDHHRRAHPAAPVPATAPSEPPLPELSSALSGLLASPPSPQLPLGLLRRLLALRRGVPLPEAVAFFHHVLPALPPDSLPALYAAMIDLLAKHHHFPLARHLLDEMRERSVPISAQLILALIRRYVRAEMPSEASDLFRRMEEYGAGAPDPATLASLLGALSKKRLASEAQAMFDSYKSVFTPDVVLYTTLVHAWCRSGRLDEAERVFAEMQQAGVTPNVYTYTAVIDAMYRAGQVPRAQELLCQMIDSGCPPNTATFNAIMRAHVKAGRSEQVLQVHNQMRQLGCEPDIITYNFLMETHCGKGQSNLDAAMKMLTRMIAKGCIPDCHTFNPMLKLVLVLGNVNAARKLYERMQELQCKPNVVTYNLLMRLFNLEKSMDMVLRIKRDMDAQGVEPNVNTYAALIEAFCGRGNWKRAHMTLREMVEEKALKPTKPVYDMVLALLRKAGQLRRHEELVEMMVDRGFISRPANDALWRAISA >ONIVA09G19350.1 pep chromosome:AWHD00000000:9:19271786:19274394:-1 gene:ONIVA09G19350 transcript:ONIVA09G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAEEAVVVVRGAPPPPSSGKRRSTTLLHLFQLEKPDVVVGAMLLPPPSPEPEEDRLITKIESCSRVAERALYVWNNERFVAMACAAGPAAMEERILPAFVASMEANLERHWSRCVQQVTASVRALLDRVAPGAYARCAAGLAARLAEADADAAARRARWRRLELAADADADAK >ONIVA09G19340.1 pep chromosome:AWHD00000000:9:19266901:19268370:-1 gene:ONIVA09G19340 transcript:ONIVA09G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLATRLRRVAGKLARCLATCVFATAGMMLGAIAGLIAGFVSEDGLLQGTLIGAISGAFIAMEVVDSLAKIWCYEEYSIATRARLMLNAVPSRHRRAEVSGDLTGRSYPVVMGMRLAAVDQLPVIKLTAAQTDATGACPICLHDFMAGEIARRLPACCHIFHLGCIDNWLLWHALCPMCRRPVN >ONIVA09G19330.1 pep chromosome:AWHD00000000:9:19262421:19266508:1 gene:ONIVA09G19330 transcript:ONIVA09G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLAGGGGGGGCGDPVAVCRDRKRLIKAAADRRFALAGAHAAYAAALRSVADAVDVFVARHTAPAPILITLPTPTGSPPASPAPAPAPAALASVAQGEEEEEGKAEVDDGGGARTPDLGCPYYYAPPETATATPPPPPPAASAVGGWDFFNPFYGTEEVAAAAISDEEMRAVREREGIPELEEAEEEDDEGAKSAAAANAKTPKAAETSLGVTKQEEAKDVCEVASNNGGRGGGLEVAVSQPGRELLAALKEIEELFARAAEAGKEVTAMLEAASRVPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTDKSETKSDIFDDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAVDQIRQTYEKKCVQLRNQDTKGSELRCAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGLTRTWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEAELRNWRSCFMIYVSAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRSSAPPLVVICHDWYTTLSKFQNKRVAFTMRNFIRSVRVLWLKQGEEQQQKRKVDSLAKEMDKKISAYKRAENKVIETKLLEHRPEQDAKQRMEHLSEKKEMLNVLRKRVEAEKAKHHACMRDTHDVTLNGFKIGLASIFESLTEFSKDSVKLYEDLLTHAEPKGSEDATEKRPCVEGPYSQISVDAT >ONIVA09G19320.1 pep chromosome:AWHD00000000:9:19251211:19253641:-1 gene:ONIVA09G19320 transcript:ONIVA09G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRAPLLRRMLLLCAVAASCSYYLLVLHAQASVPPRYDGFAYGDAATAAWKDTILVEAFLDPLCPDSRDAWAPLRLAVDRYAPRVSLIVHPFPLPYHTNSFLACRALYIANKLNSSSTYPLLELFFKSQGKFYNAATSSLSSTVISGEMSKLAARVVGNSVSEFQSGFSDIRTDLAARVSFKYGCTRGVAGAPFFFVNGFLQPGGGSPIDYSTWVSILDPLAGQHGDKLEMFTSM >ONIVA09G19310.1 pep chromosome:AWHD00000000:9:19239715:19246754:-1 gene:ONIVA09G19310 transcript:ONIVA09G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGVVVVRAVLLLLAAVAAAEALSLDVHHRYSAAVRRLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCLKCAPLQSPNYGSLKFDVYSPAQSTTSRKVPCSSNLCDLQNACRSKSNSCPYSIQYLSDNTSSSGVLVEDVLYLTSDSAQSKIVTAPIMFGCGQVQTGSFLGSAAPNGLLGLGMDSKSVPSLLASKGLAANSFSMCFGDDGHGRINFGDTGSSDQKETPLNVYKQNPYYNITITGITVGSKSISTEFSAIVDSGTSFTALSDPMYTQITSSFDAQIRSSRNMLDSSMPFEFCYSVSANGIVHPNVSLTAKGGSIFPVNDPIITITDNAFNPVGYCLAIMKSEGVNLIGENFMSGLKVVFDRERMVLGWKNFNCYNFDESSRLPVNPSPSAVPPKPGLGPSSYTPEAAKGALPNGTQVNVMPSASSPLQPQSVFATIVLLFLIVFLKFWSNCSPNTHTSRPITHSAQATQAHRPTNCVPYALPRPILAVHPDTASGDPAVERATSSSSGGSFVTPHAKTLDARDRVPRAEPRRRPYKALLLPSSPAGFPPPPPPPPPQHRRRASPPPLERKLRRGGMDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATTLLQDKGSDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTTTGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTSSIGYQSPLPADKMLPHLLAEGEAVVVEVVEGEEAEAHVEMVTWTMLMVDGRMTMLLLHMRATGTPVEEGVVLGAVAGEVAAMERNLIISKMEDTMMRHQFTRRPEAVVVVEAVGEARSEVEDAVATSMALCMLLQLAPKLAAAIPKLLFVGCLLQV >ONIVA09G19310.2 pep chromosome:AWHD00000000:9:19239715:19246754:-1 gene:ONIVA09G19310 transcript:ONIVA09G19310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGVVVVRAVLLLLAAVAAAEALSLDVHHRYSAAVRRWAAAAAPPHGTAEYYAALAGHDGLRRRSLGVGGGGGGAEFAFADGNDTYRLNDFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCLKCAPLQSPNYGSLKFDVYSPAQSTTSRKVPCSSNLCDLQNACRSKSNSCPYSIQYLSDNTSSSGVLVEDVLYLTSDSAQSKIVTAPIMFGCGQVQTGSFLGSAAPNGLLGLGMDSKSVPSLLASKGLAANSFSMCFGDDGHGRINFGDTGSSDQKETPLNVYKQNPYYNITITGITVGSKSISTEFSAIVDSGTSFTALSDPMYTQITSSFDAQIRSSRNMLDSSMPFEFCYSVSANGIVHPNVSLTAKGGSIFPVNDPIITITDNAFNPVGYCLAIMKSEGVNLIGENFMSGLKVVFDRERMVLGWKNFNCYNFDESSRLPVNPSPSAVPPKPGLGPSSYTPEAAKGALPNGTQVNVMPSASSPLQPQSVFATIVLLFLIVFLKFWSNCSPNTHTSRPITHSAQATQAHRPTNCVPYALPRPILAVHPDTASGDPAVERATSSSSGGSFVTPHAKTLDARDRVPRAEPRRRPYKALLLPSSPAGFPPPPPPPPPQHRRRASPPPLERKLRRGGMDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATTLLQDKGSDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTTTGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTSSIGYQSPLPADKMLPHLLAEGEAVVVEVVEGEEAEAHVEMVTWTMLMVDGRMTMLLLHMRATGTPVEEGVVLGAVAGEVAAMERNLIISKMEDTMMRHQFTRRPEAVVVVEAVGEARSEVEDAVATSMALCMLLQLAPKLAAAIPKLLFVGCLLQV >ONIVA09G19300.1 pep chromosome:AWHD00000000:9:19235037:19238020:-1 gene:ONIVA09G19300 transcript:ONIVA09G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVEMNDSQPQPAAAPPPAASTLQHLKEIASVIEAGSLTKEVRRISRAVRLTVALRRRLAARDVAAFLAFALPHSSEAFARLSSLLPKEDGSEMDVDSAAPAAQVSIKHGLPEIEIYCYLLVLIFLIDNKKYDEAKACASASITRLKNLNRRTVDVLASRVYTYYSYVHELTSSLAEIRGTLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRVQCNKWAIIVRLLLGEIPERTVFMQKGMKAALAPYFELTNAVRVGDLELFRAVAEKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >ONIVA09G19290.1 pep chromosome:AWHD00000000:9:19232416:19234552:1 gene:ONIVA09G19290 transcript:ONIVA09G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSLLPAPPASVAPELASFLPPQAKRGAVSLAATRRRGARIGVRAEVNESGSALAADAFAQVKHVLLPVTDRNPYLSEGTRQAAATSASLAKKYGANITVVVIDDKPKEEFPEHDTQMSSIRWHLSEGGFTEFGLMERLGEGKKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLLLPL >ONIVA09G19280.1 pep chromosome:AWHD00000000:9:19226922:19231035:-1 gene:ONIVA09G19280 transcript:ONIVA09G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAARMKELMRKYGKVAIGVHLSVSCASITGLYVAIDNNVDVDAIFRRIGISPSGGVAGDEAAETPTPSAAVPEEAPPRNRTRELVASSGGALALALMCNKALLPVRVPVTLALTPPVARFLARWKLICTRARPSGPTTDLTSTPTVVDSSSREFRGNNAPPSAVRRPPSPATDRPSTLAGNMIVCVAVVGHQNNPLYLQSFTEADDALKLHHVVHCSLDVIDERVNNPKRNAPALNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVKDADARNFFRKFHAAYVDAVSNPFHVPGKKIASRSFGARVSTIVKSFGSGTTA >ONIVA09G19270.1 pep chromosome:AWHD00000000:9:19223888:19224343:1 gene:ONIVA09G19270 transcript:ONIVA09G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKMKAMVKRKMKAMGKKKPKAPMKKTKAQRKKQPKASTKMLETPAPALAPAVVGAFTARELFAAKRLVLLSGSNKSSSGGSRSAIFASSGSSVNAPPVIAQVMPRPSEDYLSDEELEDDSQEVPGIPRRTRLYRYIFEIYQVTQPMKK >ONIVA09G19260.1 pep chromosome:AWHD00000000:9:19216737:19217141:1 gene:ONIVA09G19260 transcript:ONIVA09G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLRREPRPPAPEEKEKAPAAWPAGWSFTPRELDAAEQLVLLSGSSTSTTGTTPSAAASGSSSTASSSRSVNAPPPPPTPTAAPPPLPRPAAAESTVVVREERREHPEEDWEQRPGRRYRLIAEIYAVTEEIE >ONIVA09G19250.1 pep chromosome:AWHD00000000:9:19211805:19212209:1 gene:ONIVA09G19250 transcript:ONIVA09G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCAPQQLACGLFGIGGVFTAAELAVADQLVQLSCSSGGDEAAASSSSSSSSTTSSPRSVNTYAATTAAGEEIEEFTGMAAEMELDRRARKRYRLLSELYAATAPKRAAAASSSSSSRKRKRDDESPEIAVSY >ONIVA09G19240.1 pep chromosome:AWHD00000000:9:19209175:19209846:-1 gene:ONIVA09G19240 transcript:ONIVA09G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKAACLPSAAAVALVLLAAAAAAGFAGATEYTVGDSEGWTIGPSYLAWSTKYNFTAGDTLGQFVRSLAVDSLMISISSTSGSIRSPDVVASCAVFSYVQRQHDVLRVSQDAFRTCDPENQTVQRWASGRDVVELAAPGSYYFICNVSGHCLGGMKFSVAVGEPLPPPSPPPPPPRAPFLAPPPPPPVGSGAAAASSTWRRRRVALMVQVSCLALIIIGMWN >ONIVA09G19230.1 pep chromosome:AWHD00000000:9:19206072:19207704:1 gene:ONIVA09G19230 transcript:ONIVA09G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEEVAVETVEGGAAAAKAPYWDPPPAPLLDTSELGKWSLYRALIAEFMATLIFLYVSIATVIGYKNQRATVDACTGVGYLGVAWSFGATIFVLVYCTGGVSGGHINPAVTLGLFFGRKLSLVRTVLYVVAQCLGAIAGAGIVKGIMKRPYDALGGGANTVSDGYSAAGALGAEIVGTFILVYTVFSATDPKRTARDSFIPVLVPLPIGFAVFVVHLATIPITGTGINPARSLGAAVLYNQHAAWKDHWIFWVGPVIGAFLAAAYHKLVLRGEAAKALSSFRSTSVTA >ONIVA09G19220.1 pep chromosome:AWHD00000000:9:19195298:19200239:-1 gene:ONIVA09G19220 transcript:ONIVA09G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGGAAPTQLPPPPVAASPSPPPLAAAAAIAGPEGDRAPPPQGNRRDPAGSAEGADPGNAAARKTAWNVPAPPLAAAAAAGAGVGVPGGGIMGGGPGSWPALAESAAARGSWPKSASSDSLKSLSDGSAPSASEDLIVPSVQPHPVANPISGGSNPTSSSPPPNATVVVTSEQNGNTDQSNPVRHSSGGHCAGSNSSRDGNTSDGGDGSWNDGGLGSGSGSNSSYGHGNSTNGAGGANMNNIIHSSGTSSSANDSSRRISGNNNWNNNGRSAGSNHNAAGSGDGSNRNLWNNNGRNGGGSSNGFVGRGGHRNRRDHERGGSFSPRNYPRHTPMPPQQQQPGIYQAGPFPRPPPPPPPGHFMVPQPFVPYVPHFAYPADVQGYPFYLPPMEQFQNMHLVRPQMQPLWVPQDQQNLQEDIRTQIEFYFSTNNLCHDTFLRRQMDDQGWVHIDVITKFNRMRRFTNLVDTNYILDAVRGSELVEVQGNTVRRRNNWAEWSDLLAGVGNKQLAWPTRSERKDSNTCLQCEATTLRSSCDWLWLWALCHVPDLTNPIKQGPVSSSPKVFWFLPLRI >ONIVA09G19220.2 pep chromosome:AWHD00000000:9:19195474:19200239:-1 gene:ONIVA09G19220 transcript:ONIVA09G19220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAGGAAPTQLPPPPVAASPSPPPLAAAAAIAGPEGDRAPPPQGNRRDPAGSAEGADPGNAAARKTAWNVPAPPLAAAAAAGAGVGVPGGGIMGGGPGSWPALAESAAARGSWPKSASSDSLKSLSDGSAPSASEDLIVPSVQPHPVANPISGGSNPTSSSPPPNATVVVTSEQNGNTDQSNPVRHSSGGHCAGSNSSRDGNTSDGGDGSWNDGGLGSGSGSNSSYGHGNSTNGAGGANMNNIIHSSGTSSSANDSSRRISGNNNWNNNGRSAGSNHNAAGSGDGSNRNLWNNNGRNGGGSSNGFVGRGGHRNRRDHERGGSFSPRNYPRHTPMPPQQQQPGIYQAGPFPRPPPPPPPGHFMVPQPFVPYVPHFAYPADVQGYPFYLPPMEQFQNMHLVRPQMQPLWVPQDQQNLQEDIRTQIEFYFSTNNLCHDTFLRRQMDDQGWVHIDVITKFNRMRRFTNLVDTNYILDAVRGSELVEVQGNTVRRRNNWAEWSWKQTVSMAYKKREEGQ >ONIVA09G19210.1 pep chromosome:AWHD00000000:9:19188155:19189901:1 gene:ONIVA09G19210 transcript:ONIVA09G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDDEDMWANTSSPSASPPRPRGFISTALSLNSTHLQGLLPSSFVDAAASPCHASGNNNGGGDGRNAAQMSSIFSASASYHQQQHHLPAPAPLDGAILPARRFGLDMCATAAAPAGVPAAGDRRKRRMIKNRESAARSRARKQARVNNLETEVEQLKQENKTLRVKYEQLRKTVEVPAPVRRTLQRVLSAPF >ONIVA09G19200.1 pep chromosome:AWHD00000000:9:19172723:19176904:1 gene:ONIVA09G19200 transcript:ONIVA09G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSTPASPPPAARSSAAEMEEHQNWKKNAPVLYDLVISQPLEWPSLTVQWLPSHSRSPGSARSHRLVLGTHTSDETPNHLLLADAALPLPPRLATAAAAAGGAVPAPSVSISRSVPHKGEVNRARCMPQRPYTVATKTCVDEVHVYHLGDGGEKGGADVVLRGHEAEGYGLAWSPMKEGLLLSGSYDKKICLWDLAAGSGASSLDAHHVFEAHDDVVEDVAWHLKDENLFGSAGDDCKLMMWDLRTNKPGQSIVAHQKEVNSLSFNPFNEWILASASGDSTIKLFDLRKLSRSLHVFDSHEGEVFQVEWNPNLETVLASSAADKRVMIWDVSRIGDEQAEEDANDGPPELLFVHGGHTAKISELSWNPTQKWVMASVAEDNILQIWEMAESIYCDDNYLYDNDDDSCPAT >ONIVA09G19190.1 pep chromosome:AWHD00000000:9:19171234:19172478:-1 gene:ONIVA09G19190 transcript:ONIVA09G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G02850) TAIR;Acc:AT5G02850] MMQSHHPSPARLGLTASSPSLPPNPAAVNPTSSPPQGNPSAAAAVGAAAAAAPTLTTSPSLLPLLPPLPRAQALLQLISALASNLFELSPSRAAWISAYRGSLPTFLPSPSSAPPPPLPAPISSTKEALSLLNTLQTQLFEAVAELQETLDLQDARARLAREARAKDASILAFAKKLREAHHVLDRLVDDYADYRRDPKRPRGAAAADDPEPVSDGDFGASLHSKLNLDDVLTYAHRISYTTFAPPEHGAGLPLRGALPPAPQENEMRMSQLYQFADLDVGVPKSQEAKERTAAEGDATPLFQPSPTQEAAVLPITVPHPHGWRNGALPLEIPLPPPGWKPGDPITLPPDGILAGVKGEEPRASVPQMPVVVPAMVPKAQEPIQVRHVDLDINNSSSSDEYSSDVGSSEEDDED >ONIVA09G19180.1 pep chromosome:AWHD00000000:9:19167116:19168812:-1 gene:ONIVA09G19180 transcript:ONIVA09G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVKLLLLVVFCVSPWQVAATTTANGTGGGGRPRVPAVLVFGDSIVDTGNNNAVLTLTRSNFRPYGKDLNGGEPTGRFSNGRIPPDFLASRLGLKDLVPAYLGTDLTDGDLLTGVSFASAGSGYDPLTSTLVAVLPMQEQLNMFAEYKEKLAGIAGEAAAARIVSESLFLVCAGSDDIANNYYLAPVRPLQFDISSYVDFLANLASDFIKNLFMFSLFVLADVGSGTQQLHRQGARRIAVLGMPPIGCVPSQRRSVAVDAAGGGRECDAAQNRAARLFNSKLEQEIGCLRETLQLQSIGYVDIYGVLDDMIADPGKYGFDVSTRGCCGTGEFEVTLLCNQLTATTCADDRKFVFWDSFHPTERAYSIMVDYLYQRYVDKLL >ONIVA09G19170.1 pep chromosome:AWHD00000000:9:19162593:19164011:-1 gene:ONIVA09G19170 transcript:ONIVA09G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGQLLGRMEQGISDLWASPAARGHGGGDDEAFTIVRLPSHVHAQNKSLYEPRVVSVGPYHLGSGSTRAMQGHKWRFLRDFLLRNAGDGGGGGGGGGHLDACLREARAVEARARRCYGEPLEMGSDEFVQMLVLDGCFVLEFLLKWSESESGAAELDAYMRWIWIYVYYDLLLVENQIPFFVVAKLFNLAGGGGGAAAMGDDDDDAVDQRLLDLIYKFFSLHEPLCQVPAPSQLTVHHLLHLQYQRMVMPPERRSTTSRLSSRQSASPCNKYSIAGGATAATPLAIPCVTELQEFGVAFREKASPASQFDVTFRGGTMEIPRLALSSGARILLAKLLALEQTTGDWEGEGIVTSYLVLMNALVNTGADVAVLQRRGVLDNMLSNEEAAAAFFNRLGGCALFDPRGHHYARLFADANEYRNHRWNRYIAVLKRDHLRTPCSIISLLAAATLLCISVMSAGFIICHYRHACS >ONIVA09G19160.1 pep chromosome:AWHD00000000:9:19156517:19158255:-1 gene:ONIVA09G19160 transcript:ONIVA09G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPHVIPSPPFLPLPSLSLISPSFSLLSFTGWHSREDRRRQGGRGRRRGRRAAPLPPAGARRCLASSPADWCAPLSPLPVAGARCCLALSPTGRRAGIPASRHDLAHAAGIQSRRLPDLEKSKAGGSGTFSSPSCLALASHRCLPRRWFHGSDGFVGGRCDVNAVNIATSSGRKALYPTSAV >ONIVA09G19150.1 pep chromosome:AWHD00000000:9:19150818:19152884:-1 gene:ONIVA09G19150 transcript:ONIVA09G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IN12] MGGVDLMGVWSTFALRNMLHMLIKAINVSSVSFAGLRKNNVAFTLQPVTQRFAVLRAAKKETVEKVCDIVKKQLVLPEGTDVTGASKFTDLGADSLDTVEIVMGLEEAFKISVDESSAQSIATVEDAAELIDKIVSNAK >ONIVA09G19140.1 pep chromosome:AWHD00000000:9:19148330:19148965:-1 gene:ONIVA09G19140 transcript:ONIVA09G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKKARREEELVEAALAAAAAALFVSGVKKLVPAVLVARWWPAAMLATAPSPVLFLLLNVIIASIVVVSVQPRRAAAASATAAAAAEHDAAKRCGEGAKKVKRRRSKRREESAEGSTLTTQAAVAPVAAADSCCMALAVVDDGADQTLPPATSTAPETQQEEEADGNAAAEEVNKRAEEFISAFRRHLRVDSFSSGSRRAGGARIEACF >ONIVA09G19130.1 pep chromosome:AWHD00000000:9:19140586:19142880:-1 gene:ONIVA09G19130 transcript:ONIVA09G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAETKAMITLRSCEGQVFEVAEAVAMESQTIRHMIEDKCADTGIPLPNVSAKILSKVIEYCSKHVEARGGAAAAADGDAPAPAAVEANKAVEDELKTFDAEFVKVDQSTLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >ONIVA09G19120.1 pep chromosome:AWHD00000000:9:19136897:19139833:1 gene:ONIVA09G19120 transcript:ONIVA09G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) TAIR;Acc:AT2G20980] MATAAAADDLDLLLSLDADGGEAVLETPPSSPRRDAATAAAFTPPRAVRPGGTDMSVFRDAVKDYLDATPAAVATSLPKGKRPPKSTETIVDAHSGLRIRSLTASPLEITNRFADIRFVRISAIRNLAGGDSFSGCWATAGVVLDKGAPRVSAQGKEYSIWKMGALDDADVSVFLFGDAHAHYSGAAVGAVFALFNGNVRMDNGGRGFSVSVASVGQMMKMGVSADFGICKGKRKDGMGCTMAINKRKGSYCKFHSSKSSQKYSTGRVELKGGNFKFASKLRSEGIYMVNPPERSNSRNPLQPVKVMSIDGLKRALSNADRVTTKSQSQGIRFLSHVTGNIESNLSSNGSTNPQTSRFSSNKRSTSCSTKSMPKPGLQKQEQDNKKMKMTCPPKKTIELDDVSSDDEISIVLRR >ONIVA09G19110.1 pep chromosome:AWHD00000000:9:19127211:19133046:1 gene:ONIVA09G19110 transcript:ONIVA09G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IN08] MAARVAAAVAAALLAAALLLPGAAAEWTLTKKGTVVSYDERSLMIDGKRDLFFSGAIHYPRSPPEMWDKLVKTAKMGGLNTIETYVFWNGHEPEPGKYYFEGRFDLIRFLNVIKDNDMYAIVRIGPFIQAEWNHGGLPYWLREIGHIIFRANNEPFKREMEKFVRFIVQKLKDAEMFAPQGGPIILSQIENEYGNIKKDRKVEGDKYLEWAAEMAISTGIGVPWVMCKQSIAPGEVIPTCNGRHCGDTWTLLDKNKPRLWTENWTAQFRTFGDQLAQRSAEDIAYAVLRFFAKGGTLVNYYMYHGGTNFGRTGASYVLTGYYDEAPMDEYGMCKEPKFGHLRDLHNVIKSYHKAFLWGKQSFEILGHGYEAHNYELPEDKLCLSFLSNNNTGEDGTVVFRGEKFYVPSRSVSILADCKTVVYNTKRVFVQHSERSFHTTDETSKNNVWEMYSEAIPKFRKTKVRTKQPLEQYNQTKDTSDYLWYTTSFRLESDDLPFRRDIRPVIQIKSTAHAMIGFANDAFVGTGRGSKREKSFVFEKPMDLRVGINHIAMLSSSMGMKDSGGELVEVKGGIQDCVVQGLNTGTLDLQGNGWGHKARLEGEDKEIYTEKGMAQFQWKPAENDLPITWYKRYFDEPEGDDPIVVDMSSMSKGMIYVNGEGIGRYWTSFITLAGHPSQSVYHIPRAFLKPKGNLLIIFEEELGKPGGILIQTVRRDDICVFISEHNPAQIKTWESDGGQIKLIAEDTSTRGTLNCPPQRTIQEVVFASFGNPEGACGNFTAGTCHTPDAKAVVEKECLGKESCVLPVVNTVYGADINCPATTATLAVQVRCKVSGAQAA >ONIVA09G19100.1 pep chromosome:AWHD00000000:9:19123261:19126312:-1 gene:ONIVA09G19100 transcript:ONIVA09G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-dehydroquinate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66120) UniProtKB/Swiss-Prot;Acc:Q8VYV7] MAAAASSSLLAAASSSSRAAAVSARRAPSASPAAAASLPSPSRASCAPPLRASAARTLRSRVVASAAPAMQPPPASRVSTVVDVDLGDRSYPIYIGAGLLDEPDLLQRHVHGKRVLVVTNTTVAPLYLEKVTWALTHNNPNVSVESVILPDGEKYKDMGTLMKVFDKAVESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTETLNTLPDRELASGIAEVVKYGLIRDAPFFEWQEKNMPALLAREPSALAYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGTGYGAWLHGEAVAAGTVMAADMSHRLGWIDESIKKRAIDILEKAKLPITPPEAMTVEKFKSIMAVDKKVADGLLRLILLKGPLGSCVFTGDYDRNALDETLRAFCDS >ONIVA09G19090.1 pep chromosome:AWHD00000000:9:19121971:19122462:1 gene:ONIVA09G19090 transcript:ONIVA09G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKHYHGVVNHQPPFPQPPATPPQPPLLPLPARPHAPPPQQHAAWPAPQRSKKPSHATATAAAAAAALGPKKTAPVPIPVQAAPSKKRAAAASQQEAAEWTTTTDSLYSVSPPPSCVPMPTSLLVGAAAGRKAATACAVEVAGGGGVDVGATDELRRLLRL >ONIVA09G19080.1 pep chromosome:AWHD00000000:9:19114186:19119249:-1 gene:ONIVA09G19080 transcript:ONIVA09G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSCIAPTSGACCCTAISSASLNTLRQAAVDLDAATAAAAAARALSAVCAASALAARRLSGIDPAKSFLLLAPQALG >ONIVA09G19070.1 pep chromosome:AWHD00000000:9:19110425:19114146:-1 gene:ONIVA09G19070 transcript:ONIVA09G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISTVLGFSGFGFGFSAGIVIGYYFFIYFQPTDVKDVKVRPLVEYDSISLDGILPEIPLWVKNPDYDRIDWLNRFLEMMWPYLNKAICRTALDIAKPIIEENRKTYKLESIEFESLTLGSLPPTFQGMKVYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATVQIVDLQVFASPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLFGADLMAIPGLYRFVQETIKKQVASMYLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPETQALEINVFDWEQVGKHEKMGMNNILLKELPADETKVMTVNLLKTMDPNDVQNEKSRGQLTLEVTYKPFKEEDMEKEGIDNADVVEKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHIEVLSKASKKGLIHGKETLGYIDISLADVISNKRINEKYHLIDSKNGQIQIEMQWRTS >ONIVA09G19060.1 pep chromosome:AWHD00000000:9:19105750:19109683:1 gene:ONIVA09G19060 transcript:ONIVA09G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630) ;Protein of unknown function (DUF632) [Source:Projected from Arabidopsis thaliana (AT3G51290) TAIR;Acc:AT3G51290] MGLCQSRLERQEAVSRCKARRRYTKQLVQARRDMAAAHALYLRALRATGAALLQFASAEADHPHPHHHVSAAPPPPQTPPSPPPPPPPPPPPPPPLSPTPTTTSWTTNSSSISASPILPPPPPPPMPSSWDFWDPFAPSSSRSATEDAEWDDAATTIVDAPNAPPVVTVAAAAAPPPSVVTATTTTSTASELTVVAVPRGGGGAGKKDLAEIATELDEYFLKAADAGARVLNYGKNLRPMGWSWGGSGYSKGSNGFSRFGRGDEGMGNGGSSGILSHSSTVEKLYAWEKKLFLEVKSYEGLKQEHDKKIGLLRKQEVKGVDYLKMEKNKMEIESLDSKMLVATQSIETTTSEIMRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHMVQQLEYLNNSLSTNPTSNVHRQAALQLEIEVDRWYSAFCSLVKSQRDYVYSLTGWLRLSLFQSYHDPHNKAHQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVVQQAEEQKQKKRSESAFKELEKKAEELRSLESKYGPYSGAEGYGDMSRKSPVSDKRAKVEALRCRADEEKSKYEKSIGVTRAMTLNNLQTGFPNVFQAMTGFASVCMEAFESVYNFKSSDRILDSKRLLT >ONIVA09G19050.1 pep chromosome:AWHD00000000:9:19092059:19097830:-1 gene:ONIVA09G19050 transcript:ONIVA09G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLRQVEGARRDLRALIASKGCAPIMLRLAWHDAGTYDAKTKTGGANGSIRHEEEYTHGSNAGLKIAIDLLEPIKRKHPNITYADLYQFAREKDVFLMRRKGKAHPERSGFDGAWTKEPLKFDNSYFLELLREESEGLLKLPTDRALLEDPEFRRFVDHYAKDEDAFFKDYAESHKKLSELGFAPRSSAKSDGSTAAATLAQSAFGVAVAAAVVIAGYLYESSKKTK >ONIVA09G19040.1 pep chromosome:AWHD00000000:9:19089676:19091874:1 gene:ONIVA09G19040 transcript:ONIVA09G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51280) TAIR;Acc:AT3G51280] MPSSSRAQAAPPPPPPLSSAAAASSSSILGSTATMMQQQQQQETWLAAAAAVGLRPTKSAPCSPIKPAASSASAAAAAAMLRTNSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGHAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGPDNNKMCNLGICLMKQGRVLEAKDVLKQVRPAGVDGLRGADSHLKAYERAQEMLRDLEAKLVGRRLPRAGDQLVDKSWLFDALLLGSSSSIWQPQPCIDHMLPPPPPPPRDQFADENAAAAAAANKKAAAAALQPNILRVDAQPFYSLRMPPLATKPQNIQQKPPTPQPQVHDPMGNLKRTRSGNAMDKAAAAAAGPVEKEPINDENSGRRKSLSAEERWPELPDHSAFDEALVAAVLAPVLDDSAAAAAERNDNCCKPAPPASCDTSPAMKEKIGKRLRIFQDITQTLNTF >ONIVA09G19030.1 pep chromosome:AWHD00000000:9:19086008:19089331:-1 gene:ONIVA09G19030 transcript:ONIVA09G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSLSCARERWWRMGDGPVWCLTKCRSAARHGEVGASSLYAPIILGSGQQAMSASYASTAAGLMGVWVESGCLSSCGANETNTTPNPLLANAWKKNAKDW >ONIVA09G19010.1 pep chromosome:AWHD00000000:9:19063657:19067411:1 gene:ONIVA09G19010 transcript:ONIVA09G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0E0IMZ9] MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGSDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDAVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEIAVMTQKDGLRQLEEAEIDEYVAEIEAEKAAAEAAKKGAPKET >ONIVA09G19000.1 pep chromosome:AWHD00000000:9:19057501:19059562:-1 gene:ONIVA09G19000 transcript:ONIVA09G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRIALLCLLGLLLVAASPAAIAAKDDKIFYQITFMWPGAYCAQTKAGCCMPKTDVAPASDFYVAGFTVYNATTNSSLSSCSNTPFDMNQIGDATRLMQYWNNIRCPSKSGQKGWKNAWETSGVCSDLTESAYFDTALALRDKINPLSRLVSNGIKPDFGLYSVKKIKEVIEEGIGAPALIQCSKGPFNKFQLYQIYVCVAEDAKTFVECPSPRKPYTCGDDILFHPFKKWMLKTNSTKSYAAADAIDQLLEAVMEI >ONIVA09G18990.1 pep chromosome:AWHD00000000:9:19054309:19054533:1 gene:ONIVA09G18990 transcript:ONIVA09G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQEFMDRDPLLVFGEGEYGVTDMFYAAARGGNAEVFGLLLDHAMSPTCSTNCPNGEGAAAVVAAPRCSGWK >ONIVA09G18980.1 pep chromosome:AWHD00000000:9:19048050:19049720:-1 gene:ONIVA09G18980 transcript:ONIVA09G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKFLLCLILGLLAASGPAKTVNADSPFDFYYLILMWPGAYCTDSEYGCCVPKYGYPSEDFFVKSFMTFDSSENTAVVRCNSDNPFDINKLDSIENNLNHYWSNIKCPRTDGVNSWKSEWNSYGVCSGLKELDYFKAGLQLRKNADVLSALAEQGIKPDYQLYNTAFIKWAVNQKLGVTPGVQCRDGPFGKKQLYEIYLCVDKDAKSFIDCPVLPNLSCPAEVLFHPFHTWMLNTTSAANIVMPTETVLA >ONIVA09G18970.1 pep chromosome:AWHD00000000:9:19043261:19045955:1 gene:ONIVA09G18970 transcript:ONIVA09G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G34960) TAIR;Acc:AT4G34960] MLRKVAVAFLACAALYLAFAAYSRRESLGEVRLPAVTNRVYLDVEIDGQHIGRIVIGLYGDVVPKTVANFRALCTGEEGIGHKGKSLHYKGSRFHRIIPGFMIQGGDIVRGDGKGSVIAMANSGPDSNGSQFYITTIKTSWLDGEHVVFGRVIQGMDYVYAIEGGAGTYNGKPRKKVVITDSGEIPKEKWAEEV >ONIVA09G18960.1 pep chromosome:AWHD00000000:9:19041952:19042930:-1 gene:ONIVA09G18960 transcript:ONIVA09G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSILPFFHLPLSFPVLFTFIRPQGAAAGRRTGAEAAMGDWAWPAGRGARLHCKKGIAASNCVLIGSNRLTPRQATEAVIQDKGSGMSRYAISNTFIALYLCKLLPPFHNVSHFSISHIHIDVNESRHINMNVGNARMTYIVKRREYNANHVFGLRGNSGKASTKEK >ONIVA09G18950.1 pep chromosome:AWHD00000000:9:19037622:19043016:1 gene:ONIVA09G18950 transcript:ONIVA09G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDGVVMPSPAPTSPPSPPPPPPPPPRPSAPAATGGVAGRVGDSPSSPLESGPLLPASESELLRLPHLPDAGSRRRPRLAVDMSDAAGTNGRVIPFRGFSGRPRLSCHSASRKPAAAEGPPSPTPPSPGRGKGRHRRCQLAVAALLAASEPLHLPSLPNAALARGRGHHRRRQLAVAALLGGSGALHLAASEPLNLAASELLRLPSLPNAVAILGAPPTGAPFNPTIDLKANNTKMSAMEREEKGKRRNICEGAEAMFKLEAVLKEEEEDLLKQEEQLKQSLQELFEAHATVKARIDKVHTQVEKDEEELEKLRLVERQAMSRADKIRRDAEIAKANANEFEKKANQLQIIADIETEREQSAKKKEQAAHDRLRDASTARIVAIDHTKCINGRSKDIEDWTEAIEERQKRLEEEKNRCKRLISIFWALGIVHFCLFWVKFGLKKHEKELGSSVGWIEGFCYVLVLSLFVFCKSFIDTRLKFKPDRRAEWASVTLHALSRFIFEGILNTAMGECTGCTVALVVAHLCAFGVEVIGAMIFQLKFKIGSSFESFDSMEVGRKLLKGSTVDHALYLKVDWMHIYYSTLAPDEEEGY >ONIVA09G18950.2 pep chromosome:AWHD00000000:9:19037622:19043016:1 gene:ONIVA09G18950 transcript:ONIVA09G18950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDGVVMPSPAPTSPPSPPPPPPPPPRPSAPAATGGVAGRVGDSPSSPLESGPLLPASESELLRLPHLPDAGSRRRPRLAVDMSDAAGTNGRVIPFRGFSGRPRLSCHSASRKPAAAEGPPSPTPPSPGRGKGRHRRCQLAVAALLAASEPLHLPSLPNAALARGRGHHRRRQLAVAALLGGSGALHLAASEPLNLAASELLRLPSLPNAVAILGAPPTGAPFNPTIDLKANNTKMSAMEREEKGKRRNICEGAEAMFKLEAVLKEEEEDLLKQEEQLKQSLQELFEAHATVKARIDKVHTQVEKDEEELEKLRLVERQAMSRADKIRRDAEIAKANANEFEKKANQLQIIADIETEREQSAKKKEQAAHDRLRDASTARIVAIDHTKCINGRSKDIEDWTEAIEERQKRLEEEKNRCKRLISIFWALGIVHFCLFWVKFGLKKHEKELGSSVGWIEGFCYVLVLSLFVFCKSFIDTRLKFKPDRRAEWASVTLHALSRFIFEGILNTAMGECTGCTVALVVAHLCAFGVEVIGAMIFQLKFKIGSSFESFDSMEVGRKLLKGSTVDHALYLKDEEEGY >ONIVA09G18940.1 pep chromosome:AWHD00000000:9:19026339:19028098:1 gene:ONIVA09G18940 transcript:ONIVA09G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADNGKWALEKARALQDLEEEFNQQIARILSCYQLPDHIRLDLHEQHRNDYKVPDDLRLKFVNAVFEGKSGMLDQDEELRVQARKESEKFWVEAAGAAKKAQALQDMEERNRQLFFKHYPGVQDMPDHIREYCFRKFMEDARDEVEVRFGIRNHEMRLRIRAWEESQQFLIKTMADGRAAKKVQALQDEEKRYVQGVKKTFDSENISEYFQQAFLQQGLLDNIRLLFIDDIEEKFNMPDDEEEPKGYISEDYNRLKAQALQDLEYKFNQQTARILKRYDLPEHIRLDLQEQHYNNYKVPDNLRIKFINAVFNGNPRILDHKRELKVQARKEAEKFWIEAAATAKKAQALQDLEERYKQQFIKPSYDREDISEHMQEYFLRERKITDKAYLEYKNNVEDKFAIPWEKTQQFRIKMMADERAAKKVKALQDMEERYVQDYINKVERLDVPDYIKQGVIQEYKVPDGTRLRYINYIEEKFRMLDDQEERKVHIWENFKKLKIPLTIKSPITVAIMFSIGIMILFSGFLVPKMPKSLKIMCWATSIVICFAAVLSYDNESSKTTAPTEMHDLENPPSI >ONIVA09G18930.1 pep chromosome:AWHD00000000:9:19024608:19025101:1 gene:ONIVA09G18930 transcript:ONIVA09G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEEEHPCFHMAGAADESEADAIPPELTTLFVRPGACNNSELLLVPGIDVSHSSFFNRADAPGAHGAPAGFLDTFDVAINGALRAGPAAASPAFLLPNLNDDATATLHAQAVAVLNHDRRFGWRCHGRWRRTGGGAVGIGDYRGTDSEVEQKSARTEGVGG >ONIVA09G18920.1 pep chromosome:AWHD00000000:9:18999675:19000694:-1 gene:ONIVA09G18920 transcript:ONIVA09G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGKATLLGGGTSVPGVDAMGGEVAGGGAGLPGGGAVVPGGACGSGPRRRRDGRRGGGRQRSVGPRRRSPKNAITRPGSTMLLAPPLAVAGAVYDDIVGAYRGFMPSRTRAFLVIHRGPLEPHVRCPYCGARVWSMTAAGLARLSSSSSSDGERSADSDSNHSDDESFAAADVSLPLPLASRVSGRRLRGRPAM >ONIVA09G18910.1 pep chromosome:AWHD00000000:9:18995845:18998644:1 gene:ONIVA09G18910 transcript:ONIVA09G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKAPPRPLSGEGDEEEGDIAMVAAQSFVSTQDSASDTVVDYSVNEDEFHKIRLLHCDFFIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKARSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >ONIVA09G18900.1 pep chromosome:AWHD00000000:9:18992257:18995478:-1 gene:ONIVA09G18900 transcript:ONIVA09G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA methylation 4 [Source:Projected from Arabidopsis thaliana (AT2G30280) TAIR;Acc:AT2G30280] MASETEAEAEERDKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSEPSSAPNKASEEPRIKKLLVQHIETVHHSEAVQDVLHSLLHSDLDAKEIKSKTKEWNNRTNQDKKQDQLRSAARQRHEVTLEEMLALHKFGGVGKETGMKLMNHYEKYAIFMMLSKITSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEDSDVYDIYTVKEVDDDTTMEGTSSAPYPLLQVDDGDDVCYDDDDPYDTDDSNAEDNPLYDYPAELSEDEDDDSNSENPFSDLDGSDPEYEKEEGEEERDEDGR >ONIVA09G18890.1 pep chromosome:AWHD00000000:9:18985612:18992212:-1 gene:ONIVA09G18890 transcript:ONIVA09G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGLAVELAARPAQIDGRPEKSGLWKKESGAAAGGARHGGHHREGETLLPVVPKSQSVGAQSQANDRVESSTRKRNASPSPSPPSLSCPAHLQTLHLLDLLYLIPYNRSWIKSQPWRICLQRWGSLLLLHHRYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISDEGQELATLPPASTAGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDAVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLQQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKEEPRDQADTSQACGCWPANAKEETWPSQGALIFLI >ONIVA09G18890.2 pep chromosome:AWHD00000000:9:18985612:18992212:-1 gene:ONIVA09G18890 transcript:ONIVA09G18890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGLAVELAARPAQIDGRPEKSGLWKKESGAAAGGARHGGHHREGETLLPVVPKSQSVGAQSQANDRVESSTRKRNASPSPSPPSLSCPAHLQTLHLLDLLYLIPYNRSWIKSQPWRICLQRWGSLLLLHHRFKPSCVLSGPDSFISYPVPPTISDEGQELATLPPASTAGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDAVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLQQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKEEPRDQADTSQACGCWPANAKEETWPSQGALIFLI >ONIVA09G18890.3 pep chromosome:AWHD00000000:9:18985612:18992212:-1 gene:ONIVA09G18890 transcript:ONIVA09G18890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGLAVELAARPAQIDGRPEKSGLWKKESGAAAGGARHGGHHREGETLLPVVPKSQSVGAQSQANDRVESSTRKRNASPSPSPPSLSCPAHLQTLHLLDLLYLIPVDARYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISDEGQELATLPPASTAGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDAVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLQQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKEEPRDQADTSQACGCWPANAKEETWPSQGALIFLI >ONIVA09G18890.4 pep chromosome:AWHD00000000:9:18985612:18990767:-1 gene:ONIVA09G18890 transcript:ONIVA09G18890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPSTLGQPSTSASSVDARYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISDEGQELATLPPASTAGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDAVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLQQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKEEPRDQADTSQACGCWPANAKEETWPSQGALIFLI >ONIVA09G18890.5 pep chromosome:AWHD00000000:9:18985612:18990767:-1 gene:ONIVA09G18890 transcript:ONIVA09G18890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPSTLGQPSTSASSTASYLTQCLPVSGYTAISDEGQELATLPPASTAGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDAVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLQQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKEEPRDQADTSQACGCWPANAKEETWPSQGALIFLI >ONIVA09G18890.6 pep chromosome:AWHD00000000:9:18991109:18992234:-1 gene:ONIVA09G18890 transcript:ONIVA09G18890.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPASPNRWKTRKVWTLEERKRRGGRRGTARWTSQGRRNASSRCSQIPIRGSAVAGQRSSGVEHKEKERIAIAIASLPVLSSSPPNPPSS >ONIVA09G18880.1 pep chromosome:AWHD00000000:9:18961667:18963095:1 gene:ONIVA09G18880 transcript:ONIVA09G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREAIDHYGFVALAMRVKFAELDRLPNMTVFPLDDQAIFVGEGHDDYVSARGPLPRRSRAPPHPRRHPAGRWEHRAVRAPPPLPPRLLLICGIWRGSFGGATRAPLVVQGRHGLPDIPPLLDTRHGRGEAEAAEAQHALLHLDAALRPRRRQGVEGPRRRRWRGLRRGSDPATAMLAAQDSPHLAPLPAGQVCHNPQLAIVDPRPARRRTFLGVHPRRLCHPRAYYDGGWVFIAFGHTPTPWLDYALLNGEPNLGMALVAATLSSPPSTTLHPCPLLSYPPKTSAIRVSYNADDFPATEFNVAVGKWLSAVKFLPEQVPSNYSPPAWLLPRYILRGLFQR >ONIVA09G18870.1 pep chromosome:AWHD00000000:9:18957484:18958596:-1 gene:ONIVA09G18870 transcript:ONIVA09G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAGFRPRWDAFTTNVKNQGTLVIDKLKRVVHQDESESRDEEKLKELIRKIEEESRKRFERWCRAELAKECWREHLLGASIPFETFTFHVDADFSSSSASSADHRGSSVLPPGPGDIEVAHLQSIASARLAAQGCEVLGKIKREQLVEFESVYGLHGYFDTTGTHDDASSSADHCVGPILPQLQSDRSAHFAAWAHSSWALAAAAAAAAVDDDDEGKWMKPCIGPVLACDCEPEYFDSDPAPPTPPTIARPPRPLPPASPPPPSIATPPPSPASPPPPSTATPPPPSPTPTTTRASPTPPPIPTATVRPPPPLPRATVTPTPTTPPSTATTTPIPTWVWVGGVMVLSAGVAVLAIKLAYDYLGGGRND >ONIVA09G18860.1 pep chromosome:AWHD00000000:9:18951164:18952228:-1 gene:ONIVA09G18860 transcript:ONIVA09G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLLLLPIAGLFLLLLVPISRLSGAAIEAAVTAAGGGSGKLVVLTDVAAGGSGVGGRSCGRGGRAGAVGKAAAGDEVQGRPAVGKVLRQERQERPAMGISRGRRSGWGSRGRGGGKRAAVPAVGPVEALRIRMGRRCRHA >ONIVA09G18850.1 pep chromosome:AWHD00000000:9:18937071:18946416:-1 gene:ONIVA09G18850 transcript:ONIVA09G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSATSCSTTGDTASTSSTPWGTSTSAPRSPPPAPRGSPTRSALILVDAVEGVHIQTLAALRQAFLERLRPCLVLNKLDRLISELHLTPAEAGAGGGAASASAAAEGERQRAAARGWSKRRGGVSGRARLWRRQAPMAAAPGRPWPRPRRRREAVVKIPARLAPEQAAPLLCAGVTVYSPLKHFGLMLPGLRGGILGLGGVGLERNDVHYRFVSSSRCTIVPRAMAMCQIANACHEYDNAS >ONIVA09G18840.1 pep chromosome:AWHD00000000:9:18932846:18935553:-1 gene:ONIVA09G18840 transcript:ONIVA09G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSHSIKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAVGSLEGLLGYGAQWLVVSRAVAPLPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDVCSALFADDPASFLVMLAVVPAAVCAVAMVFLREGEVGGGGADGREEEEEDGWCFAAINTLAVAIALYLLAADLTGVGGGGGVVSAVFVAVLLVLLASPAAVPAHVAWKSWMKTRKLANADVEEAEESASAPLLVAKATAAEARGPGEKPVLGEEHTIAQAIMSLDFWLMFASFLMGVGTGLAVMNNLGQMGVAMGYSDVSLFVSMTSIWGFFGRIASGTISEHFIKTRAIPRPLWNAASQILMAVGYVVMAVGMPGSLFVGSVVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAQATKVPGGGNTCVGAHCYRLVFVVMAIACVVGFGLDVLLCFRTKRVYAKIHESKRQSRSAVVQRVS >ONIVA09G18830.1 pep chromosome:AWHD00000000:9:18920687:18926742:1 gene:ONIVA09G18830 transcript:ONIVA09G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWIITRSTWIMSVFFVILSFFQGLVMVGGVTFTFTNRCGGTVWPGVLSNSGSSALGTTGFALGAGETRSLAAPAGWSGRFWARTGCTFDDDGKGTCATGDCGSGEVECRGAGAAPPATLVEFTLGSGGGGGKDYYDVSLVDGYNLPMLVEAAAAGCPATGCVVDLNQRCPAELKAGHGQACRSACEAFGTPEYCCSGDHGNPDTCHPSVYSQMFKSACPRSYSYAYDDATSTFTCTGTDYSITFCPRPGNPNSQKSSNDPSPRPKDPQLEDDSWLASLATGEVDGAAPASTSLLLQATLAVAVMALLVLH >ONIVA09G18820.1 pep chromosome:AWHD00000000:9:18911477:18913204:1 gene:ONIVA09G18820 transcript:ONIVA09G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARRLLPVTLLLISLSRALCTTFTLTNSCAYTVWPGLLSSAGSPPLATTGFALAPGESLAVDAPAAWSGRVWGRTLCGADPGGSGRFACATGDCGSGAVECGGGGAAPPATLAEFTLDGAGGNDFYDVSLVDGSNLPMVVVPQGGGAACGATGCLVDLNGPCPADLKVAGADGAGIACRSACEAFGTPEYCCNGAFGTPATCRPSAYSQFFKNACPRAYSYAYDDATSTFTCASGTASYLVVFCPIISSLKSSVGGGATNPSASGTGLPLINDTVSFLNRGGGGNGGYYDASSSASLTAPSPLPVAGQAAAAVLAWLCTARGRHWLPW >ONIVA09G18810.1 pep chromosome:AWHD00000000:9:18905121:18908137:1 gene:ONIVA09G18810 transcript:ONIVA09G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKQILARPIQLAEQVIKWSDEAYTFRQECMELKAKVERLAGQLRQAARADLYERPARRIFDDTEKALDKAMALVDKCRAHGVVRRVFTIIPAGSFKKMANQLDNSIGDLSWLLRVSSSASDDDDFDAHIGLPPIAQNEPILFLIWEQIAVLYTGNLDARADAAASLVSLARDNDRYSKLIIEEDGVPPLLRLVKEGKLEGQENAALAIGLLGRDPECVEQMVHAGACTAFAKVLKEGPMKVQATVAWAVSELAANHPKCQDAFASHNVIRLLVGHLAFETVQEHSKYAVTSSKMSIHSVVMDKKNSTRGALIPDLMDAGEHGGTRHPGGHVSQSKNEMYSLVHSTMAAKPNGSSGKVSNGGVVASKQHNVSLSGATTRGREFEDPETKASMKANAAKALWHLAKGNAAICKSITESRALLCFAVLLEKGEGDVQYNSAMALMEICSVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVDKAEYDDLLIPCIISLGCLSRTFRATETRIIGPLVKLLDEREADVSREAALSLTKFACTENYLRVDHSKAIISAGGAKHLVQLVYFSEQVVQLAALALVCYIAHNVPDSEELAQAEILTDVA >ONIVA09G18800.1 pep chromosome:AWHD00000000:9:18885013:18895237:-1 gene:ONIVA09G18800 transcript:ONIVA09G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCSDSFSMFLFKRANSVVDESAKSSLVVTITSYRTASASWTVNAGLGNITIFLAHGQFLGKNQIRRYRWPLERRVGLELDAGLLLLAPSLGRPRRHCAPPLLAIADLARADASAPEKALVPPVAVAKLSSRGSARHLQPRSRKPHRKPSPRFLRRRAPSLSPPPSSFVAAAKLPDEDENGDGVSGGAPVRPGQSPLAGGGLGRPEIGRKLAKAPPSRRQKRVASCRWSASGWGVGGTLAVAAAGMVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSSKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQETAKNSETIGDFVLVTPVEEEGLGEVTKEELLKVDNEGRCVITDHGHFVLFNIYGPAVEEDDIERVRFKLLFYKILQRRWEHLLALGKRVFVVGDLNIAPSSIDRCDAQPGFEKQTFRKWLRSMLREHGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMDRADESCCGGGLERKAIYKEEPPTDIAKFSKGNDLHSVIKRKIRDQLLNEGSSGNSHNSTAALLATQSRKASFSCSKAVSNKKNKHNLSSQPTIKSFFQQPKSKPGDRSTNSIVTPPDTLHGMDELHDPKNDCLPESIQCTTPATEDQGNSDVPCSLSTDKCNEATLEWQRIQQRMKMTLPLCKGHHEPCIPRSVKKGSNIGRLFYVFNFRINCSIHIRGTIIKGPASNQEANCGHFQWATVKSKEKRRDSGKKGGNPLEAMGAFFSSQVNRRKLVTSEKQALATRLSAGGEAFPGSEHRPADRKTWMAELGPERLRVHQLVWPGTHDSATNKIGIPFVTRPFAQCQSLSVYEQLAAGARVIDVRVQEERRVCHGVLATYSVDVVLDDVRRFLGETASEVVILEVRTEFGHDDPPEFGRYLVEQLGEHLIPQDEAVFHKTIAELLPRRLICVWKPRKSPAPKPGEPLWSAGYLRDNWIDTDLPETKFESNVKFLGEQPPVADRRFFYRVENTVTPQADNPVLCVRPVTRRIHGYARLFIAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVDGAA >ONIVA09G18800.2 pep chromosome:AWHD00000000:9:18885013:18895237:-1 gene:ONIVA09G18800 transcript:ONIVA09G18800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCSDSFSMFLFKRANSVVDESAKSSLVVTITSYRTASASWTVNAGLGNITIFLAHGQFLGKNQIRRYRWPLERRVGLELDAGLLLLAPSLGRPRRHCAPPLLAIADLARADASAPEKALVPPVAVAKLSSRGSARHLQPRSRKPHRKPSPRFLRRRAPSLSPPPSSFVAAAKLPDEDENGDGVSGGAPVRPGQSPLAGGGLGRPEIGRKLAKAPPSRRQKRVASCRWSASGWGVGGTLAVAAAGMVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSSKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQETAKNSETIGDFVLVTPVEEEGLGEVTKEELLKVDNEGRCVITDHGHFVLFNIYGPAVEEDDIERVRFKLLFYKILQRRWEHLLALGKRVFVVGDLNIAPSSIDRCDAQPGFEKQTFRKWLRSMLREHGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMDRADESCCGGGLERKAIYKEEPPTDIAKFSKDKCNEATLEWQRIQQRMKMTLPLCKGHHEPCIPRSVKKGSNIGRLFYVCARAQGPASNQEANCGHFQWATVKSKEKRRDSGKKGGNPLEAMGAFFSSQVNRRKLVTSEKQALATRLSAGGEAFPGSEHRPADRKTWMAELGPERLRVHQLVWPGTHDSATNKIGIPFVTRPFAQCQSLSVYEQLAAGARVIDVRVQEERRVCHGVLATYSVDVVLDDVRRFLGETASEVVILEVRTEFGHDDPPEFGRYLVEQLGEHLIPQDEAVFHKTIAELLPRRLICVWKPRKSPAPKPGEPLWSAGYLRDNWIDTDLPETKFESNVKFLGEQPPVADRRFFYRVENTVTPQADNPVLCVRPVTRRIHGYARLFIAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVDGAA >ONIVA09G18800.3 pep chromosome:AWHD00000000:9:18885013:18895237:-1 gene:ONIVA09G18800 transcript:ONIVA09G18800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCSDSFSMFLFKRANSVVDESAKSSLVVTITSYRTASASWTVNAGLGNITIFLAHGQFLGKNQIRRYRWPLERRVGLELDAGLLLLAPSLGRPRRHCAPPLLAIADLARADASAPEKALVPPVAVAKLSSRGSARHLQPRSRKPHRKPSPRFLRRRAPSLSPPPSSFVAAAKLPDEDENGDGVSGGAPVRPGQSPLAGGGLGRPEIGRKLAKAPPSRRQKRVASCRWSASGWGVGGTLAVAAAGMVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSSKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQETAKNSETIGDFVLVTPVEEEGLGEVTKEELLKVDNEGRCVITDHGHFVLFNIYGPAVEEDDIERVRFKLLFYKILQRRWEHLLALGKRVFVVGDLNIAPSSIDRCDAQPGFEKQTFRKWLRSMLREHGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMDRADESCCGGGLERKAIYKEEPPTDIAKFSKGNDLHSVIKRKIRDQLLNEGSSGNSHNSTAALLATQSRKASFSCSKAVSNKKNKHNLSSQPTIKSFFQQPKSKPGDRSTNSIVTPPDTLHGMDELHDPKNDCLPESIQCTTPATEDQGNSDVPCSLSTDKCNEATLEWQRIQQRMKMTLPLCKGHHEPCIPRSVKKGSNIGRLFYVCARAQGPASNQEANCGHFQWATVKSKEKRRDSGKKGGNPLEAMGAFFSSQVNRRKLVTSEKQALATRLSAGGEAFPGSEHRPADRKTWMAELGPERLRVHQLVWPGTHDSATNKIGIPFVTRPFAQCQSLSVYEQLAAGARVIDVRVQEERRVCHGVLATYSVDVVLDDVRRFLGETASEVVILEVRTEFGHDDPPEFGRYLVEQLGEHLIPQDEAVFHKTIAELLPRRLICVWKPRKSPAPKPGEPLWSAGYLRDNWIDTDLPETKFESNVKFLGEQPPVADRRFFYRVENTVTPQADNPVLCVRPVTRRIHGYARLFIAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVDGAA >ONIVA09G18800.4 pep chromosome:AWHD00000000:9:18889974:18895237:-1 gene:ONIVA09G18800 transcript:ONIVA09G18800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCSDSFSMFLFKRANSVVDESAKSSLVVTITSYRTASASWTVNAGLGNITIFLAHGQFLGKNQIRRYRWPLERRVGLELDAGLLLLAPSLGRPRRHCAPPLLAIADLARADASAPEKALVPPVAVAKLSSRGSARHLQPRSRKPHRKPSPRFLRRRAPSLSPPPSSFVAAAKLPDEDENGDGVSGGAPVRPGQSPLAGGGLGRPEIGRKLAKAPPSRRQKRVASCRWSASGWGVGGTLAVAAAGMVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSSKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQETAKNSETIGDFVLVTPVEEEGLGEVTKEELLKVDNEGRCVITDHGHFVLFNIYGPAVEEDDIERVRFKLLFYKILQRRWEHLLALGKRVFVVGDLNIAPSSIDRCDAQPGFEKQTVL >ONIVA09G18800.5 pep chromosome:AWHD00000000:9:18885013:18889972:-1 gene:ONIVA09G18800 transcript:ONIVA09G18800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGNDKLLHYPFPNSRFRKWLRSMLREHGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMDRADESCCGGGLERKAIYKEEPPTDIAKFSKGNDLHSVIKRKIRDQLLNEGSSGNSHNSTAALLATQSRKASFSCSKAVSNKKNKHNLSSQPTIKSFFQQPKSKPGDRSTNSIVTPPDTLHGMDELHDPKNDCLPESIQCTTPATEDQGNSDVPCSLSTDKCNEATLEWQRIQQRMKMTLPLCKGHHEPCIPRSVKKGSNIGRLFYVFNFRINCSIHIRGTIIKGPASNQEANCGHFQWATVKSKEKRRDSGKKGGNPLEAMGAFFSSQVNRRKLVTSEKQALATRLSAGGEAFPGSEHRPADRKTWMAELGPERLRVHQLVWPGTHDSATNKIGIPFVTRPFAQCQSLSVYEQLAAGARVIDVRVQEERRVCHGVLATYSVDVVLDDVRRFLGETASEVVILEVRTEFGHDDPPEFGRYLVEQLGEHLIPQDEAVFHKTIAELLPRRLICVWKPRKSPAPKPGEPLWSAGYLRDNWIDTDLPETKFESNVKFLGEQPPVADRRFFYRVENTVTPQADNPVLCVRPVTRRIHGYARLFIAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVDGAA >ONIVA09G18800.6 pep chromosome:AWHD00000000:9:18885013:18889972:-1 gene:ONIVA09G18800 transcript:ONIVA09G18800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGNDKLLHYPFPNSRFRKWLRSMLREHGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMDRADESCCGGGLERKAIYKEEPPTDIAKFSKGNDLHSVIKRKIRDQLLNEGSSGNSHNSTAALLATQSRKASFSCSKAVSNKKNKHNLSSQPTIKSFFQQPKSKPGDRSTNSIVTPPDTLHDKCNEATLEWQRIQQRMKMTLPLCKGHHEPCIPRSVKKGSNIGRLFYVCARAQGPASNQEANCGHFQWATVKSKEKRRDSGKKGGNPLEAMGAFFSSQVNRRKLVTSEKQALATRLSAGGEAFPGSEHRPADRKTWMAELGPERLRVHQLVWPGTHDSATNKIGIPFVTRPFAQCQSLSVYEQLAAGARVIDVRVQEERRVCHGVLATYSVDVVLDDVRRFLGETASEVVILEVRTEFGHDDPPEFGRYLVEQLGEHLIPQDEAVFHKTIAELLPRRLICVWKPRKSPAPKPGEPLWSAGYLRDNWIDTDLPETKFESNVKFLGEQPPVADRRFFYRVENTVTPQADNPVLCVRPVTRRIHGYARLFIAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVDGAA >ONIVA09G18800.7 pep chromosome:AWHD00000000:9:18885013:18889972:-1 gene:ONIVA09G18800 transcript:ONIVA09G18800.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLGNDKLLHYPFPNSRFRKWLRSMLREHGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMDRADESCCGGGLERKAIYKEEPPTDIAKFSKGNDLHSVIKRKIRDQLLNEGSSGNSHNSTAALLATQSRKASFSCSKAVSNKKNKHNLSSQPTIKSFFQQPKSKPGDRSTNSIVTPPDTLHGMDELHDPKNDCLPESIQCTTPATEDQGNSDVPCSLSTDKCNEATLEWQRIQQRMKMTLPLCKGHHEPCIPRSVKKGSNIGRLFYVCARAQGPASNQEANCGHFQWATVKSKEKRRDSGKKGGNPLEAMGAFFSSQVNRRKLVTSEKQALATRLSAGGEAFPGSEHRPADRKTWMAELGPERLRVHQLVWPGTHDSATNKIGIPFVTRPFAQCQSLSVYEQLAAGARVIDVRVQEERRVCHGVLATYSVDVVLDDVRRFLGETASEVVILEVRTEFGHDDPPEFGRYLVEQLGEHLIPQDEAVFHKTIAELLPRRLICVWKPRKSPAPKPGEPLWSAGYLRDNWIDTDLPETKFESNVKFLGEQPPVADRRFFYRVENTVTPQADNPVLCVRPVTRRIHGYARLFIAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVDGAA >ONIVA09G18790.1 pep chromosome:AWHD00000000:9:18882696:18884328:1 gene:ONIVA09G18790 transcript:ONIVA09G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVGGRGRGGRASFLPLGLSSLHAIDPSLSHLPAAMAEDAPRAAAAAEGSQHASAAERGSAAAPAAPVAKAAEGQDPHTSTGSAKAASDLITR >ONIVA09G18790.2 pep chromosome:AWHD00000000:9:18882696:18883129:1 gene:ONIVA09G18790 transcript:ONIVA09G18790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVGGRGRGGRASFLPLGLSSLHAIDPSLSHLPAAMAEDAPRAAAAAEGSQHASAAERGSAAAPAAPVAKAAEQGSRPPYQYRFSQSGKRFDYKIVSA >ONIVA09G18780.1 pep chromosome:AWHD00000000:9:18880159:18880701:-1 gene:ONIVA09G18780 transcript:ONIVA09G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALGVTTTVLFVASVSYIALTALYACFCDGGGRRRREDGGSSSVRPEPSEETKRALDGIPVHVVQMPPRDGGGGGADEEGGSGDCAVCLAEYAAGDEVRVLPACGHGFHRECVDRWLLTRAPTCPVCRAPVVARVEGPDDDAKEDYCGDGESVERHGGGGGDIGFLSVAGESRVLPAI >ONIVA09G18770.1 pep chromosome:AWHD00000000:9:18877977:18879275:1 gene:ONIVA09G18770 transcript:ONIVA09G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLAVLLLVMRLAAAMAASPTSYISRTTEQQVIATVAPAVDVGQSAQPFLTSPSGSYAAYLRRAVDSSAGGPGADACYVQIQQAGGGAGGGGSVWESECTLVGGADACDLAFSPVGLELFAGGHSLWDTGIDAEPGTLSLDDGGDMRIVSKDGVSVWQASGEPWTGQQCGAAAPVSPSPTMDVLPPPSTTTTAKLLTPPASTLAGAGSSDLSFGDQLAPPVDTSLPASPDQPPVDTMPDQPLLPPPPPPPADATPATPDLPLPPPPPADTYPVSPDQPLYSSPPPAPTAFVPHTPLPPVDVPALSPPLPHGKTPSGAPGGIALPPAAPAGGGMPQQHGSPHHLPLGASPPPEAAAVPDALAPSAGHGAAAGGLPIGGQGQQQGAFGQHQVLNGAGQPLEDSSGERPRGAHAAVVVTSGLVSLLIALGFGF >ONIVA09G18760.1 pep chromosome:AWHD00000000:9:18874016:18877513:1 gene:ONIVA09G18760 transcript:ONIVA09G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XAP5 family protein [Source:Projected from Arabidopsis thaliana (AT2G21150) TAIR;Acc:AT2G21150] MSGFGDGYVGTAQDAVKIRRLEKQREAERRKIEELKNKSSDGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQMQKRKKRRVRGDPRLSFCDEIENGSDEDEFENQEPQKKHGPVKLGKDPTVETSFLPDREREAEEQAERERLKKQWSREQELIKNEPLTITYSYWDGTGHRRVIQVRKGDSIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATKEKDEIYDPTKKWERYTIHGD >ONIVA09G18750.1 pep chromosome:AWHD00000000:9:18870648:18873417:1 gene:ONIVA09G18750 transcript:ONIVA09G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIATTFVWPVPPRGWTVSPATGRYRFGYGYGGASSSSTAPAAPTITRGPTPLLLLAVPPVPAPLVPAPPAPTPHLFVPRGGWTVSPTTGNDRFGDGGVSSSTAAPLTPIAHSAPPETTPYVPALPMPTPSTVALVGLTVSPTNARYSFSYGGASSSSATPRAPTASLALRAPPHLRAPCGLAPPVPTPPALATHVPMPPAPAPPVPTPPAPTPPADVPPRFTVSLTTIRHNFGYDGASSSFATPRMRTISLALRAPAPHLRAPRTLAPPAPTPPTPATPVPMPPTPTRLVPTPPAPGPPADVPPGFTVSPTTTRPSFGYDGASSLSATPRMHTTSLALRALAPHLRVTRVRSYCSYTALHTPPVTAPPATAPPMIAPPVATPPDSASSPSTVPRMPSAPLALRALAPHLRALRVSVPHPRASSAPAPPVAAPRGWTVSPTTARYSFSDSGASSSSAAPRASTAPLALHAPAPHLRAPPVATPPPAAPRGWTMPTTIGRYSFSYGGVSLSYAMPCAPTAPLSLRLPSPHLRARRAPTSPPAAATPCEPTPPAAAAAAPAAPPALPSGLPSWPVLVRPPTGPARARLVPAAPAGAFEEYLVQRRAIEATVDDTPWEMIGSSRRTGGPMFAVAGGGRDRVELEARERRKNRMDKSKTAAASRAQQPPPPADAPGSSGGGIKKRGGGMKKKQA >ONIVA09G18740.1 pep chromosome:AWHD00000000:9:18867388:18870479:1 gene:ONIVA09G18740 transcript:ONIVA09G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLPHSSASRSMLAAKSLSGVGFVCKIRTEEGGEIRRPDLPTMGDANENPAQQGDANESPARQGLPPRHLIIPYAIAGAMANRPIRLASQARLLGGGGGAAAQQPPTQHAIPA >ONIVA09G18730.1 pep chromosome:AWHD00000000:9:18862204:18865794:1 gene:ONIVA09G18730 transcript:ONIVA09G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKGSGGAAGDKPGADASPATNPAPPAAAVAVAAAAADAGGDDDVAAAAEARRPFTALSQVDADLALARVLQEQERAYMMLRMGGGVGEGSDYGSSDAGSYEYDDEAEDYEEELEHHLRVHHHEHAVGEGRGEGERDGEGAEGSEFEEEGFDEEYDEEEVEPELDPAEYEDDEAYARALQDAEEREVAARLMALAGISDWRPVEPVEEHANDPQELVALGEAVGTGHRGLSAATLASLPSVTYKAEGVQDGNTEQCVICRVEFEDGESLIALPCKHSYHPECINQWLQINKVCPMCSAEVSTSDSNQA >ONIVA09G18720.1 pep chromosome:AWHD00000000:9:18857541:18860428:-1 gene:ONIVA09G18720 transcript:ONIVA09G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:triosephosphate isomerase [Source:Projected from Arabidopsis thaliana (AT2G21170) TAIR;Acc:AT2G21170] MAAPSSLASSHLYRLADLRRAGVAAAAPAHPQQLRLGCSRRRAQRVVAMAGSGKFFVGGNWKCNGTKDSVSKLVTELNAATLEPDVDVVVAPPFIYIDQVKNSLTDRIEVSAQNVWIGKGGAYTGEISAEQLVDIGCQWVILGHSERRHVIGEDDQFIGKKAAYALSQNVKVIACIGELLEEREAGKTFDVCFKQMKAFADSITNWADVVIAYEPVWAIGTGKVATPEQAQEVHAAVRDWLKTNVSPEVASGIRIIYGGSVNAANCAELAKKEDIDGFLVGGASLKGPDFATIINSVTSKKVAA >ONIVA09G18710.1 pep chromosome:AWHD00000000:9:18853076:18856494:1 gene:ONIVA09G18710 transcript:ONIVA09G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKKHTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESSDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNQAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSDDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >ONIVA09G18700.1 pep chromosome:AWHD00000000:9:18850279:18850898:-1 gene:ONIVA09G18700 transcript:ONIVA09G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSREGKKTTDRYKQSPDIIIHSSSLQFSSAASITMSSSSSSIKDETDAAFAAGAMPPEWRPRLLASPRLGERDVDRIAASIAEIHWNLQLDGSTQLSVACVAFWFVVGVLVLCVAGLFFLENDYMSGAFAVVGAVPCVVITPIVTVAYERRRRRAKMLMVRTRTVLEHFLLPPI >ONIVA09G18690.1 pep chromosome:AWHD00000000:9:18843000:18848525:1 gene:ONIVA09G18690 transcript:ONIVA09G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTGKPSAAYHYEQVAAAEASGAAVVRIERRRWPAGRRNWSPRGAWRLTVEVRPTAARPAAGPPAHQATATFIQQYCSIFGGTISIFQAAIPIIYGALKGRYLLTLELWNASITIINLVGNTTWMIYSAVNKGVELSMLMTNSVACGLNIYHLLSIYRHNKERKKTICLVSFICISFLVILVLLETNVGDDILRLLEHLFGSLGNVMIVLCHLVQINNLWYSTLSLEIHVFILFYVPNILFAASELVLIVYKQYSMKIYVQLSYILNTIFYVIELPVMIRAMITNNPYSDNEAIDIENQAIVKIDGQKKHHNERQEDRAEKKTTFTEVYLLPAPPGLFGQGKRKHSDNDTASTKRRRITILQRKRRWIELRDKEIHFSKRMRSHIYSRSIKRGSPPTRTLQLQMDSLSEDSYEPEYVEEHRLKDLVKNSEFISYPISLNEKKIEKEICDDEEVDAEEGKVEDVDEEKEKKGEKIKEVSHEWHSSNKQKPLSDSKEITSKNEEAKEGKVEDVDEEKEEKKKQGKKIKEVCHECNLINKQEAIWMRKSEVITKEAAFYKSLTNDWEEHLAVKHFSVEGQLEIKAILFVPKGAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVEGVVDYENLPLNISFELLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYKAFSKNLKLGIHEDSTNRTKIAELLRYHSTKSGDELTSLKDYVARMEGQRDIYYITGESKKAVENSPFLEKLKDYEVLYMVDATDEYAVGQLMEFEGKKLISATKGLKLDEKFDNLSIVMKEVLVDTVERDVFSDRVVDSPCCPVTGEYSWVANMERIMKENAIMDELPNKSQVNKCAPKQKVDILPVKENARECYQAPKQ >ONIVA09G18690.2 pep chromosome:AWHD00000000:9:18842178:18848525:1 gene:ONIVA09G18690 transcript:ONIVA09G18690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGVAFYYTGVLYDIRFFVPLDRFIAYSSSGVSSDFRRHAEDWAITRRPGSTFAVTFVAKDTGKPSAAYHYEQVAAAEASGAAVVRIERRRWPAGRRNWSPRGAWRLTVEVRPTAARPAAGPPAHQATATFIQQYCSIFGGTISIFQAAIPIIYGALKGRYLLTLELWNASITIINLVGNTTWMIYSAVNKGVELSMLMTNSVACGLNIYHLLSIYRHNKERKKWYSTLSLEIHVFILFYVPNILFAASELVLIVYKQYSMKIYVQLSYILNTIFYVIELPVMIRAMITNNPYSDNEAIDIENQAIVKIDGQKKHHNERQEDRAEKKTTFTEVYLLPAPPGLFGQGKRKHSDNDKEIHFSKRMRSHIYSRSIKRGSPPTRTLQLQMDSLSEDSYEPEYVEEHRLKDLVKNSEFISYPISLNEKKIEKEICDDEEVDAEEGKVEDVDEEKEKKGEKIKEVSHEWHSSNKQKPLSDSKEITSKNEEAKEGKVEDVDEEKEEKKKQGKKIKEVCHECNLINKQEAIWMRKSEVITKEAAFYKSLTNDWEEHLAVKHFSVEGQLEIKAILFVPKGAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVEGVVDYENLPLNISFELLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYKAFSKNLKLGIHEDSTNRTKIAELLRYHSTKSGDELTSLKDYVARMEGQRDIYYITGESKKAVENSPFLEKLKDYEVLYMVDATDEYAVGQLMEFEGKKLISATKGLKLDEKFDNLSIVMKEVLVDTVERDVFSDRVVDSPCCPVTGEYSWVANMERIMKENAIMDELPNKSQVNKCAPKQKVDILPVKENARECYQAPKQ >ONIVA09G18680.1 pep chromosome:AWHD00000000:9:18821337:18823238:-1 gene:ONIVA09G18680 transcript:ONIVA09G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IMV2] MEKHLALAYIRIKLRDSVVNPFGKSEGNYFEEDQHTAECSVTHKVIVGLVQIENRTLSEAVLDSIIVEHGVSPAVKMSIAAHVSQLLKAESTAGLDATGGRGGVNAMAPRRETTSMRRRRGGLDATAMRQMEGRRKGWHGGTRYWSSGR >ONIVA09G18670.1 pep chromosome:AWHD00000000:9:18817400:18818869:1 gene:ONIVA09G18670 transcript:ONIVA09G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40470) TAIR;Acc:AT5G40470] MDAALCDDLLQEVFRLLPRASAPAVSLVSRRWYALLRASIASLTLRLPVSSDASVLAPLSALLSRFPYLSALAVVCTAATAQVADAMLLVVASSPSAAQLSGLRFLPDSAISPAALVAACPAFYGLTSLHLTALRPLSFCWIAFLPRLKSFYLVNSAAAAAVDYAGWSSDDVDGNGETIGTLPLERLSLCGICSGDRGIGWLWRRCGNLQWLQLRACDGTGDGPSSQFFAGCLAGLLALELRACRSVSDHVLLLAADRCRVLKSLLVYDGGSREALHRFIHQRGAALHTLDLRLPLDLHNDHLLAIGAEAEQGQQSQNGGHSLAALRLQSCVLITGDGLRSLARTTTGAGIEELALVNCDVVEREPGLLTFLSQSMRRLRRLDLSYNETLSDKEVGAMLSSCHNLIDIRLRGCRCLTRGSLVSLLRYCGRSVEVIDITRCLSIAAADVELFAQEATRLIQVIIEDSLLSEELRAIAHKKGIRVGPLRCD >ONIVA09G18660.1 pep chromosome:AWHD00000000:9:18787117:18796097:1 gene:ONIVA09G18660 transcript:ONIVA09G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALASARSPGAGAILSLRRLAPAAAAPVRLGGSGTPGTRRRRGIAMAAAASAPPAPADALPKGADSFFRTVISNMEKVYLSRNPTAKTILELVRSYDGDHICYDHFAFRTFGVDGYGIKSLAEFFTDFGYVPREELRFPAKKLRALWFSPPTNDGYTGTGVYGPLPRIFISELLVDELSPQSQDIIQKYIRTSGKGNKHATLASTSGELTWEKPIYSDFQVLSRESEYAAWTLVNGYALNHTTISTHRLISDIRSINKFNKFVEDNGFKLNSEGGILKVSPDGLLQQSSTVADSALFTFADGITESIPRSYIEFAERLVLPQFKDLPNDEVCFPQMLVFDRRIIIWQHQICDLLGSINVAELQPRWPTTHPTTAEKAWMILWLSWLA >ONIVA09G18660.2 pep chromosome:AWHD00000000:9:18787117:18791171:1 gene:ONIVA09G18660 transcript:ONIVA09G18660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALASARSPGAGAILSLRRLAPAAAAPVRLGGSGTPGTRRRRGIAMAAAASAPPAPADALPKGADSFFRTVISNMEKVYLSRNPTAKTILELVRSYDGDHICYDHFAFRTFGVDGYGIKSLAEFFTDFGYVPREELRFPAKKLRALWFSPPTNDGYTGTGVYGPLPRIFISELLVDELSPQSQDIIQKYIRTSGKGNKHATLASTSGELTWEKPIYSDFQVLSRESEYAAWTLVNGYALNHTTISTHRLISDIRSINKFNKFVEDNGFKLNSEGGILKVSPDGLLQQSSTVADSALFTFADGITESIPRSYIEFAERLVLPQFKDLPNDEHQICDLLGSINVAELQPRYDNLLQNSKESLHDIN >ONIVA09G18650.1 pep chromosome:AWHD00000000:9:18730441:18730737:1 gene:ONIVA09G18650 transcript:ONIVA09G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREREPRELVNLSAGGATVTGAHSPAPLVTPTRRPPHNRAHLPQSRLCPKQVRPRHCYPSPLIGERMPRRMRTTSLLWSYTNVQRRHSIRRPPKHAK >ONIVA09G18640.1 pep chromosome:AWHD00000000:9:18721406:18721684:-1 gene:ONIVA09G18640 transcript:ONIVA09G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSSGTSNIKDEIDAAFAAGAMPPEWRPRLLASQRLGEGDVDRIAAAIAEVHATYQYVGSTKGNIGYVAFLFVLGVLFLCVAGLFFRENN >ONIVA09G18630.1 pep chromosome:AWHD00000000:9:18719543:18720484:1 gene:ONIVA09G18630 transcript:ONIVA09G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPAGIRWRVPQIEGAAEGWCMPPDQLMVMSESLSTSDSDDVDVLDLQDMLQRLGFHTICMVAFGHDLPCLADGGVMEDARSDFMHSFGEAQNLVVGRFFDLIEVSWKIKKWLNVGTIRRLRKAIADVHTFAMDIVRARRQSASVQDRDDVLSRFVASDEHSDEVLRDIVLSFLIVGRDTTASGLSWFFCLLSSRPDVVARIADEVRAVRKPTDTRLGESFRFDALWEMHYLHAALTESMRLYPPASVVRGGRHTPRRHARPRRLVRDVQRIRHRARTAWSTGRSGGSARTACSSRRAREREMPASQRKRD >ONIVA09G18620.1 pep chromosome:AWHD00000000:9:18713802:18718465:1 gene:ONIVA09G18620 transcript:ONIVA09G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKRPLGAVMAWVRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIAVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTVLDTATLAATLFVIYMIRFKLRPTYMVDKDNFALYYVVVPCAVLALLIHPSTSHNIVNRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >ONIVA09G18610.1 pep chromosome:AWHD00000000:9:18707638:18711904:-1 gene:ONIVA09G18610 transcript:ONIVA09G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEPAAAAASTSLDSDDSFFDALDSLPSPPSPPHTPSSSTLRRRRPRRGWSLKQHEDYTAASPTFSDSSTITVVDEAVKPDSEETSSHRPSPPPEEEDEEDAAEAAVEGEVEARDAKLNPAPAPAPTPTPPPPGILESLAMLVIKAVVFQVSALISCLTFPIRLLQWWFLLVTDPLGLVRRARGWALEVAGHATGAAAARLGGGEGVGRMVARLAWGSLWAVYVCVVLCSILVMAFLGGGLLVGKVVEEPIQVTETLNFDYTKPSPVAFVPVQRLVPPNQRMQLEVFLTLPESDYNRRLGVFQVRAEFLSADGKVISTSSQPCMLKFKSAHMHFIETFLRSVSLLSGYSSESQVIRLKMRGITEASEPVMGIRIILEQRAEFSPGAGIPEIYAASLKLEAELPLLKRILWNWRWTLFVWSSMGFFVFELLLALICYPVYFPGVDITLQLLRLAHDFGDQTTVDIITLRATARKQPNHGPRLGDAKVAFRVRTNYHKGKWARQYCIIRRCSWSCRQRQCQQEADTNRAKIMSKVPRFVTKANGPWTNHGLQSSCMNAKW >ONIVA09G18600.1 pep chromosome:AWHD00000000:9:18702489:18706131:-1 gene:ONIVA09G18600 transcript:ONIVA09G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTKGCCGWLIVALVASLVATAAVVAIMKKKAGGGSGRKLKPLPVPGPPGAIDSKYGDALGVALQFFQVQKAGKLENNQIPWRGDSALDDGKPAGLDLSKGMYDAGDHIKFSFPMAFTATVLSWSILEYGDQMSAAKQLDPALDALRWITDFLVNAHPSDNVFYIQVGDPDLDHNCWERPETMSEKRPLTQINTKSPGSDVAAEAAAAMASASIVFKSRDTTYSDSLLQHAQKLFTFADTYKGLASDTYPKLQNYYNSTGYQDELLWAASWLYHATGDQTYLSYVTVENGKAFADWGRPTWFSWDDKLAGTQVLLSRLNFFGSKQTSNAENMGLKMYRDTAEAVICGLLPDSPSATASRTGGGLVWISGWNSLQHATNAAFLAVVYSDYMLTSQTAAVQCSGKYYSPTDIRNFAISQANYILGDNPMKLSYLVGYGSSYPQQVHHRGASIPADAKTGCKGFQYLHSTSPNPNVAMGALVGGPFQNDTFVDSRDNAVQTESSTYNSGTLVGLLSGLVTTSSVAQSFT >ONIVA09G18590.1 pep chromosome:AWHD00000000:9:18697349:18700689:-1 gene:ONIVA09G18590 transcript:ONIVA09G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IMU2] MYGEAKLALVLYLWYPKTRGAKHVYESYLQPVLARHEADIDRGLLQLRASAKDATASHLQAAVSLGRACFAEVAGRVSSQLQAARSSGGGGHAGQADQLQKRQKINPEEEEEDEDATVSKTRR >ONIVA09G18580.1 pep chromosome:AWHD00000000:9:18695463:18696485:1 gene:ONIVA09G18580 transcript:ONIVA09G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAVAMKREREGEGESGEDRVHGAGDLAERRWQRKEDKTAMRGDNSSIMKSTGGEEDGDASDEENPSSFLLPGQTHGLQIWNDGEPNNPLNPTLTCASVRLWAPAVRLWGPGQRLDRDATVAQ >ONIVA09G18570.1 pep chromosome:AWHD00000000:9:18688000:18692988:-1 gene:ONIVA09G18570 transcript:ONIVA09G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLGWFKKRRSSKSKESSGRRGSTTTTVSAVSTSRSDDSGAVRPASKSTGSTSSHRSISSLYEERGHGQLRDFDYDELQAATNGFSRAQKLGEGGFGSVYKGFVRSSPADGKAADRLAVAVKCLNQRGLQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDGERGPQRLLVYEYMPNKSLEDHLFVRAYPPLSWNRRLQIILGAAEGLAYLHEGQVQVIYRDFKASNILLDKDFRAKLSDFGLAREGPTGANTHVSTAVVGTHGYAAPDYIETGHLTVKSDVWSFGVVLYEILTGRRTLDRHRPQGEQKLLEWVAQFAPDSRNFRMIMDPRLRGEYSVKAARDIAKLAESCLLKNAKERPTMSEVVDVLRRAVQSQPDPPPPPAAAAAASGKGKRVDVAPQPARRR >ONIVA09G18560.1 pep chromosome:AWHD00000000:9:18685145:18686658:-1 gene:ONIVA09G18560 transcript:ONIVA09G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVEVEEGDGVARDDGAAKRGRQQRRGGLSWTRQRAARESYVEKVPQLQEQRTEPTTSTPREPGTAPPSAVRVVEREPLARTTASTMQQCGLTPRAPSPLTSLCGVGEDDLIKSVASAADADAAAGGGRHLEDHRAHQAVLE >ONIVA09G18550.1 pep chromosome:AWHD00000000:9:18672474:18678832:-1 gene:ONIVA09G18550 transcript:ONIVA09G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lon protease 2 [Source:Projected from Arabidopsis thaliana (AT5G47040) TAIR;Acc:AT5G47040] MADAAVELPGRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQREEKGLIGVLPVHDSEAAGSLLSPGVGSDSGEGGSKAPGGSAGESTKQDTKNGKETIHWHSRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSARGSYHVARVSRLDMTKTELEHAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLETVPVYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYSSSRTYLELLAELPWQKVSEERELDLRAAKESLDRDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSSTYLQIPEAMVRLIIERYTREAGVRNLERNLAALARAAAVKVAEQDSALRLGKEIQPITTTLLDSRLADGGEVEMEVIPMGQDISNTYENPSPMIVDEAMLEKVLGPPRFDDSEAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSHRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNMKDLAEVPAPILSGLEILLVKRIEEVLDHAFEGGCPLRPHSKL >ONIVA09G18540.1 pep chromosome:AWHD00000000:9:18668306:18676266:1 gene:ONIVA09G18540 transcript:ONIVA09G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAHRRLLLLLLHLLLLVAAAAEAAAAGAGRKEKGIGGGGGLRFRGGSGTFKVVQVADMHYADGRRTGCLDVLPSEAAGCSDLNTTAFLYRLFRDEDPDLVVFTGDNIYGFDATDAAKSMDAAIAPAINMNLPWAAVIGNHDQEGTLSREGVMRHLVGMKNTLSRFNPEGIEIDGYGNYNLEVGGVEGTLLANKSVLNLYFLDSGDYSTVPSIGGYGWIKASQQFWFQQTSSNLQTKYMKEEPKQKAAAPGLVYFHIPLPEFSSFTSSNFTGVKQEGISSPSINSGFFASMVEAGDVKAAFIGHDHVNDFCGKLNGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTDGGEWRGVKSIKTWKRLDDPHLTTIDSEVLWNRGSNGRRKKNPDGKMR >ONIVA09G18530.1 pep chromosome:AWHD00000000:9:18664623:18665675:-1 gene:ONIVA09G18530 transcript:ONIVA09G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAALCWRHVAVFAAALLAAAAVVVAGDPGKIGICHGRVGSNLPPPAAAAALLRQNGITKARLFLPDPAVLPAFAAAGIDLMVGVPNENITFLSAAGPDGALRWLQSAVLAHAPADRVRYLAVGNEVLYNNQFYAPHLVPAMHNLHAALVSLGLGDKVKVSSAHASSVLASSYPPSAGAFDAASLDVLRPMLRFLADTGAPFMVNTYPFISYVNDPVNVQLGYALFGAGAPAVSDGALVYTNMFDATVDALAAALDREGFGAVPIAVTETGWPTAGHPAATPQNAAAYNAKIVERVARGAGTPRRPGVPVEVFLFDLYDEDGKPGAEFERHFGIFRADGSKAYNINFA >ONIVA09G18520.1 pep chromosome:AWHD00000000:9:18654396:18665687:1 gene:ONIVA09G18520 transcript:ONIVA09G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G32180) UniProtKB/Swiss-Prot;Acc:Q8L5Y9] MAANNNSDPILDEGGEGGVKHEAVGEAGEGKGGGGAAATQAPAAMLPRSGSRPQLDLSGAAIHGNLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAEHSSEDKRKLSTKRRLGMLNGGRRSYPVLGGRLHFVKFETGKLNECLDFISSKQLHRGGVDSPSWRSGAQPDNIVIKATGGGAFKYADLFKERLGVSLEKEDEMDCLVAGANFLLKSIRHEAFTHMDGQKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDDKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAKAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYVGGKIHGPPLGDLNEKASTSTLDHGNLNGFISWMEKFVQKGTQITAPVPVGFPVTTGMGGFERPTAKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKMYEPNTIDLDLNEYKYWFKILSDHLPDLVDKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYVSIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSRACIDDFDMFKKRMLADKKGQPYKRALLFVDNSGADVVLGMIPLARELLRNGTEVVLVANSLPALNDVTANELPGIVAEAAKHCGILRKAAEAGGLIFDAMAGIQDDLKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDTLKLAMVKNQRLAEKLFNGNIYDCICKFEPVHLT >ONIVA09G18520.2 pep chromosome:AWHD00000000:9:18654396:18665687:1 gene:ONIVA09G18520 transcript:ONIVA09G18520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G32180) UniProtKB/Swiss-Prot;Acc:Q8L5Y9] MAANNNSDPILDEGGEGGVKHEAVGEAGEGKGGGGAAATQAPAAMLPRSGSRPQLDLSGAAIHGNLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAEHSSEDKRKLSTKRRLGMLNGGRRSYPVLGGRLHFVKFETGKLNECLDFISSKQLHRGGVDSPSWRSGAQPDNIVIKATGGGAFKYADLFKERLGVSLEKEDEMDCLVAGANFLLKSIRHEAFTHMDGQKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDDKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAKAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYISWMEKFVQKGTQITAPVPVGFPVTTGMGGFERPTAKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKMYEPNTIDLDLNEYKYWFKILSDHLPDLVDKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYVSIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSRACIDDFDMFKKRMLADKKGQPYKRALLFVDNSGADVVLGMIPLARELLRNGTEVVLVANSLPALNDVTANELPGIVAEAAKHCGILRKAAEAGGLIFDAMAGIQDDLKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDTLKLAMVKNQRLAEKLFNGNIYDCICKFEPVHLT >ONIVA09G18510.1 pep chromosome:AWHD00000000:9:18651597:18651899:-1 gene:ONIVA09G18510 transcript:ONIVA09G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRHRATAAGLTARRLAVSPSSHRRRSGDSPFASALIIPASTACHSAADAGGLAAVPLLQTTRPNARRRRRGRHGGDVSNSALPSSSSLASRPLPPRGR >ONIVA09G18500.1 pep chromosome:AWHD00000000:9:18647019:18651267:-1 gene:ONIVA09G18500 transcript:ONIVA09G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAAGQFCSSPRPEEGDTLTDRIGMADTRRCLAAAVVRRVFFPGPEVAVVQSMYPFRPSGHTAERGCLLMATVYDVDDDATCSEEVGWAAC >ONIVA09G18480.1 pep chromosome:AWHD00000000:9:18617615:18632337:1 gene:ONIVA09G18480 transcript:ONIVA09G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyases;DNA photolyases [Source:Projected from Arabidopsis thaliana (AT4G25290) TAIR;Acc:AT4G25290] MALLALRLGHHHHHLGLSAPRDPRRLLRRRLVVASSGAVKAPAAAAVVWFKHDLRVDDHPGLAAAVAAEPRRPVLPLYVFDRRILAGYSDTMLELLLFALEDLKMVLKSQESDLLIGLGNAEDVVLKLVNEVQAGLIFTEEEVEYRVRNVLASVESSLSNSSFLSGNPPEIVVWSASLYDYKNPRELSTSHNQFLKEKLPMNTPLVAPSLPALNIEIETGSLPTLEELKGFLKESRTSENNWVPLKGTSARSILKKTLSQINVKTGVASSGSDGGEDTTAYYAMSGRKIQNSMFTSESSTEVRGGTEITLDALAAYLKYLEGTGKASWQELHDKVRLTETRDGASFCTLFGPALQLGVISKRRVYHETIQYERDRNAGFISPFGYSTPTVTAAVDAICSMEYTSVGHEGPSVLLVHGFGASLQHFRDNIGAIADQGCQVWAITLLGFGKSEKPNINYSELLWSELLRDFIVDVVKEPVHLVGNSIGGYICSITASLWPSLARSLILLNTAGSVVPSYSFIPLSEARLLLLFLRSRAGGILKEYYPTSYDPGAATVIESIFSFNLSIPLNFLFDSFGGKILIVQGMKDPLTKSKSFVSMLREHCSKVHIRELDAGHAPHDEVPDEVQAGLIFTEEEVEYRVRNVLASVESSLSNASFLSGNPPEIVVWSASLYDYKNPRELSTSHNQFLKEKLLMNTPLAAPSLPALNIEIETGSLPTLEELKGFLKEHRTSEDNWVPLTSTSARSILKKTLSQIKVKTAANGSTQILHSRI >ONIVA09G18480.2 pep chromosome:AWHD00000000:9:18617615:18628760:1 gene:ONIVA09G18480 transcript:ONIVA09G18480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyases;DNA photolyases [Source:Projected from Arabidopsis thaliana (AT4G25290) TAIR;Acc:AT4G25290] MALLALRLGHHHHHLGLSAPRDPRRLLRRRLVVASSGAVKAPAAAAVVWFKHDLRVDDHPGLAAAVAAEPRRPVLPLYVFDRRILAGYSDTMLELLLFALEDLKMVLKSQESDLLIGLGNAEDVVLKLVNEVQAGLIFTEEEVEYRVRNVLASVESSLSNSSFLSGNPPEIVVWSASLYDYKNPRELSTSHNQFLKEKLPMNTPLVAPSLPALNIEIETGSLPTLEELKGFLKESRTSENNWVPLKGTSARSILKKTLSQINVKTGVASSGSDGGEDTTAYYAMSGRKIQNSMFTSESSTEVRGGTEITLDALAAYLKYLEGTGKASWQELHDKVRLTETRDGASFCTLFGPALQLGVISKRRVYHETIQYERDRNAGFISPFGYSTPTVTAAVDAICSMEYTSVGHEGPSVLLVHGFGASLQHFRDNIGAIADQGCQVWAITLLGFGKSEKPNINYSELLWSELLRDFIVDVVKEPVHLVGNSIGGYICSITASLWPSLARSLILLNTAGSVVPSYSFIPLSEARLLLLFLRSRAGGILKEYYPTSYDPGAATVIESIFSFNLSIPLNFLFDSFGGKILIVQGMKDPLTKSKSFVSMLREHCSKVHIRELDAGHAPHDEVPDEVQAGLIFTEEEVEYRVRNVLASVESSLSNASFLSGNPPEIVVWSASLYDYKNPRELSTSHNQFLKEKLLMNTPLAAPSLPALNIEIETGSLPTLEELKGFLKEHRTSEDNWVPLTSTSARSILKKTLSQIKDNSAE >ONIVA09G18480.3 pep chromosome:AWHD00000000:9:18628770:18633770:1 gene:ONIVA09G18480 transcript:ONIVA09G18480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyases;DNA photolyases [Source:Projected from Arabidopsis thaliana (AT4G25290) TAIR;Acc:AT4G25290] MEIISRQIWEAHWTLAEFQLLLSRNEKPESGTLPPPPPPPGTRPPPAAAAWNPTTAAACALCLEPTRVASSGTLCLPFRTNPPNASAASAAVVPRPHPRLSSCRDGDLAILLSALRSPPASLPRALSSAFPSPSGSFLIGKLPSLLPLLPSPLRFLLWRLPPSSPLPSSYALSSLAASLPDLPSSVPLLLSSSPQPLPLRHYALLLTISAHAGLFPASLALLRHMRSFGLAPDAACFRSALRSAASPGDVCAVLGIMSACGVSPSVPLVVASVHKLATAGDFVGARQLIEKMPEFGFGDVDAALGLVEEMEGGSLGAGCVPNVVSYTCLVKCLCGKKRMGEALSLLDRMTGRGVMPNRVFVRTLVGGFCSEEMVADAYAVVERVVSDGSVSSEQCYNVLLVCLWRVGMDGEAEGLGQRMMKKGVRLSPLAASVMVRELCNRNRLLDACYWIGVMEENGVLCDTDVYNGLLLRLCVEGHVGEALALAKKVAERGILIEASCAIADQECRVWTITLLGFGKSEKPNINYSELLWSELLRDFIVDVVKEPVHLVGNSIGGYICAITAGLWPSLARSLILLNTAGSVVPSYSFIPLSEARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRAVFSDSMLCEWIKRDWRLASKEDEMQFALRVNFLVVLKAVFVYGPSSKSTLNPTLQELFKSNHTSF >ONIVA09G18470.1 pep chromosome:AWHD00000000:9:18606725:18610791:-1 gene:ONIVA09G18470 transcript:ONIVA09G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVEERKVVDLEDGDGEEGEDAAAVAAGSSRETRMLPRMPVRVLLAEGDDSTRHIICALLRKCGYRVAAASDGVKAWDILKEKSFNIDLVLTEVELPLMSGFLLLSTIMEHDACKNIPVIMMSSNDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLSSGVLDVQHTQQEDNLTERHEQKTGVTKAEHVTDNVVHKNMECSEQESDAQSSCTRSELEADSRHTNNLLEYKQPMGRHFSKPDHKNTEKNGGSKIHASNDGNLIPWREEDASPRRMTCSNDINCEKASRDMELVHIIDNQQKNNTHMEMDVARANSRGNDDKCFSIPAHQLELSLRRSDYSRLESKEKNERRTLNHSTSSPFSLYNCRTASSTINAGDAQACSTSATHIDLENKNGDSKTPSQDKRETNQPPIRVVPFPVPVGGLTFDGQPFWNGAPVASLFYPQSAPPIWNSKTSTWQDATTQAISLQQNGPKDTDTKQVENVEEQTARSHLSANRKHLRIEIPTDEPRHVSPTTGESGSSTVLDSARKTLSGSVCDSSSNHMIAPTESSNVVPENPDGLRHLSQREAALNKFRLKRKDRCFEKKVRYQSRKLLAEQRPRVKGQFVRQDHGVQGS >ONIVA09G18460.1 pep chromosome:AWHD00000000:9:18604881:18605324:1 gene:ONIVA09G18460 transcript:ONIVA09G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPELSRKRHRRTFTSSQSCRVPCALSWSTPPPSFAEDGKRRLSVAPTADKVQAARRSSPFARPPSQTADVVARLCVALGCAPSRRSKSRSAVVAARTHQLAGPPSFRWLVVEEKWDQERAIWELGFCPSLLVGASSEAGTIGAVH >ONIVA09G18450.1 pep chromosome:AWHD00000000:9:18601937:18602596:-1 gene:ONIVA09G18450 transcript:ONIVA09G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRKARFCEAHHRARRFRHLATAALAVAALAAAAAAAALVLYLVYRPVMPQASVPRAAVYRLALANASSSAHALAASVQFTLVLHNPSDRASLLYDGLVAYASYRGEPVMPPAPLPPVAQDRGADVAMSPLLGGAAVPVSPDAARALAADCAARRVQLRLVVMGRVKYRSGPFRSGWRDLYVRCNVVVGLSTEAAVAGGGGGGDVPLLEYPRCAVDA >ONIVA09G18440.1 pep chromosome:AWHD00000000:9:18590199:18591878:-1 gene:ONIVA09G18440 transcript:ONIVA09G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTMSLIPPITQQQRWHAADSLVVLASRRHDSRRRRRCRYVVPRARLFGPAIFEASKLKVLFLGVDEEKHQHPGKLPRTYTLTHSDVTARLTLAVSHTINRAQLQGWYNKLQRDEVVAEWKKVQGHMSLHVHCHISGGHVLLDLIAGLRYYIFRKELPVVLKAFVHGDGNLFSRHPELEEATVWVYFHSNLPRFNRVECWGPLRDAGAPPEEDDAVAAAAAEEVAAEQMPAAGEWPRRCPGQCDCCFPPYSLIPWPHQHDVAAADGQPQQ >ONIVA09G18430.1 pep chromosome:AWHD00000000:9:18572936:18583890:-1 gene:ONIVA09G18430 transcript:ONIVA09G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMDDSAVLGKYSIWRKENENENSDLTVRLMRDQIIMAREPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKIFFLDDDIVVQKDLTGLWDVDLNGKVTGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLNEWKKKDITGIYHRWQNMNEDRVLWKLGTLPPGLLTFFKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYDQVQTILDKEEVVAAATATATSGRSLPPPRLTPARGVRCDPPPPSLRWTANLRRKLGIAGSTDHEMEMIMTGKLTDFTSEGNNIVNLKQFVACLYHITFAQQGGSEISKVSQARGGQFCTRAKQTRTQTSNQRLFFIRILSAWPAGSIDCCEEMINRCFCCVTGGDSDPEPAATSSRRRTNPARASKNRTSVDYPWETYTLKELLQATGNFSESNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAVEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPSSHHHVPLEWPRRVAIAVGAAEGLSYLHHEASPHIIHRDIKASNVLLDAEFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRRWERLADPRLAGRFDAAQLRAVVETAMLCTQSSAESRPAMAEVVDMLRFSGGERRTKEIVPVAATVAGSSDEITTTTDQDDVTAGSSEPLDRRNWKLTRLR >ONIVA09G18430.2 pep chromosome:AWHD00000000:9:18575919:18587713:-1 gene:ONIVA09G18430 transcript:ONIVA09G18430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRLPYSTAGGGGGGGGGGGRRGGASGSGVVAPLVVLVFLFVLAPSIFFVARNGGHVHVASDPKDREGNQETDWQKQLATNNLKSILSKEMIDALASSQQEAGTLSVDFFRKRASPSWKTDDLVNDLSNASLDVDDKVKSENSSAEHELSLTDKTPKDDTAEHQVDAAAKNARRKLREKRREKRAMDLVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQSMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTSGGGDCDGDVGSFPAAASGARRDEPSIRSFSPAARAADPSFSFNDGSTRGVRCDPPPPSLRWTANLRRKLGIAGSTDHEMEMIMTGKLTDFTSEGNNIVNLKQFVACLYD >ONIVA09G18430.3 pep chromosome:AWHD00000000:9:18572936:18583890:-1 gene:ONIVA09G18430 transcript:ONIVA09G18430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMDDSAVLGKYSIWRKENENENSDLTVRLMRDQIIMAREPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKIFFLDDDIVVQKDLTGLWDVDLNGKVTGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLNEWKKKDITGIYHRWQNMNEDRVLWKLGTLPPGLLTFFKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYDQVQTILDKEEVVAAATATATSGRSLPPPRVRDETSLRLEASPPRPARRILPSPSMTAAVDPSARRAVRPAAPIAPVDGEPAPEAGEGNNIVNLKQFVACLYHITFAQQGGSEISKVSQARGGQFCTRAKQTRTQTSNQRLFFIRILSAWPAGSIDCCEEMINRCFCCVTGGDSDPEPAATSSRRRTNPARASKNRTSVDYPWETYTLKELLQATGNFSESNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAVEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPSSHHHVPLEWPRRVAIAVGAAEGLSYLHHEASPHIIHRDIKASNVLLDAEFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRRWERLADPRLAGRFDAAQLRAVVETAMLCTQSSAESRPAMAEVVDMLRFSGGERRTKEIVPVAATVAGSSDEITTTTDQDDVTAGSSEPLDRRNWKLTRLR >ONIVA09G18430.4 pep chromosome:AWHD00000000:9:18572936:18583890:-1 gene:ONIVA09G18430 transcript:ONIVA09G18430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMDDSAVLGKYSIWRKENENENSDLTVRLMRDQIIMAREPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKIFFLDDDIVVQKDLTGLWDVDLNGKVTGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLNEWKKKDITGIYHRWQNMNEDRVLWKLGTLPPGLLTFFKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYDQVQTILDKEEVVAAATATATSGRSLPPPRVRDETSLRLEASPPRPARRILPSPSMTAAVDPSARRAVRPAAPIAPVDGEPAPEAGEGNNIVNLKQFVACLYHITFAQQGGSEISKVSQARGGQFSWPAGSIDCCEEMINRCFCCVTGGDSDPEPAATSSRRRTNPARASKNRTSVDYPWETYTLKELLQATGNFSESNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAVEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPSSHHHVPLEWPRRVAIAVGAAEGLSYLHHEASPHIIHRDIKASNVLLDAEFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRRWERLADPRLAGRFDAAQLRAVVETAMLCTQSSAESRPAMAEVVDMLRFSGGERRTKEIVPVAATVAGSSDEITTTTDQDDVTAGSSEPLDRRNWKLTRLR >ONIVA09G18430.5 pep chromosome:AWHD00000000:9:18572936:18583890:-1 gene:ONIVA09G18430 transcript:ONIVA09G18430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMDDSAVLGKYSIWRKENENENSDLTVRLMRDQIIMAREPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKIFFLDDDIVVQKDLTGLWDVDLNGKVTGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLNEWKKKDITGIYHRWQNMNEDRVLWKLGTLPPGLLTFFKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYDQVQTILDKEEVVAAATATATSGRSLPPPRVRDETSLRLEASPPRPARRILPSPSMTAAVDPSARRAVRPAAPIAPVDGEPAPEAGEGNNIVNLKQFVASWPAGSIDCCEEMINRCFCCVTGGDSDPEPAATSSRRRTNPARASKNRTSVDYPWETYTLKELLQATGNFSESNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAVEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPSSHHHVPLEWPRRVAIAVGAAEGLSYLHHEASPHIIHRDIKASNVLLDAEFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRRWERLADPRLAGRFDAAQLRAVVETAMLCTQSSAESRPAMAEVVDMLRFSGGERRTKEIVPVAATVAGSSDEITTTTDQDDVTAGSSEPLDRRNWKLTRLR >ONIVA09G18430.6 pep chromosome:AWHD00000000:9:18584099:18587713:-1 gene:ONIVA09G18430 transcript:ONIVA09G18430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRLPYSTAGGGGGGGGGGGRRGGASGSGVVAPLVVLVFLFVLAPSIFFVARNGGHVHVASDPKDREGNQETDWQKQLATNNLKSILSKEMIDALASSQQEAGTLSVDFFRKRASPSWKTDDLVNDLSNASLDVDDKVKSENSSAEHELSLTDKTPKDDTAEHQVDAAAKNARRKLREKRREKRAMDLVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQSMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTRYIKYDHPYIRGCNLAE >ONIVA09G18420.1 pep chromosome:AWHD00000000:9:18564773:18565414:-1 gene:ONIVA09G18420 transcript:ONIVA09G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDGTWGARERRAHRQEETGGTWHSGGGKGSGSTRLARYGCKSPEQSGGSACTAPAFAYSGL >ONIVA09G18410.1 pep chromosome:AWHD00000000:9:18559584:18560607:-1 gene:ONIVA09G18410 transcript:ONIVA09G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGSHSRDNPAPPVPPVHPADAASFLYATRGGSFQLWQQQEQQPFYASNIIRFADDAPPAPSLAGASSSSSSRGMRSSGGGGGGGGGGISCQDCGNQAKKDCTHMRCRTCCKSRGFACATHVKSTWVPAAKRRERQQQLAALAASAAATAGGAGPSRDPTKRPRARPSATTPTTSSGDQQMVTVAERFPREVSSEAVFRCVRLGPVDQAEAEVAYQTAVSIGGHVFKGILHDVGPEALAVAGGGGASEYHFRLTGDGSSPSTAAAGEAGSGGGGNIIVSSAVVMDPYPTPGPYGAFPAGTPFFHGHPRP >ONIVA09G18400.1 pep chromosome:AWHD00000000:9:18535764:18536986:-1 gene:ONIVA09G18400 transcript:ONIVA09G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQYCPPPQSLLPPPPLPPVAVPTTCGCAACLQGCFVPVGVQAAFPHAAAGWAPPPPMMPVMIVYRVVQPPPPAAHATRCQITEIEDGGGVEIAKAVDGDEQQSFIRTVRSTRRRKAAAIRLPKAFRAALLPPPPPPCALGFTATTTSLMIRNIPNKFLKARLMAILDQHCADENGKCHRRGGGGGRSVVKSEYDFFYVPIDFKFVYYSNLGFLSFHLVLPDLTRWLCASSFYRTGFNKGYAFVNMTTATAARRLRAFLQDHRWDAAMSGKVCDVVPAAIQGLDAFVAHFSASCFPCRNKEFLPVWFEPPRDGEQQTKAHVVGRLVVHPR >ONIVA09G18390.1 pep chromosome:AWHD00000000:9:18527818:18531002:-1 gene:ONIVA09G18390 transcript:ONIVA09G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAAASTSLRPASQSALRLAGSPRRWCWGAPALSPARRAFHADTRRRKTLLYATDKGPEESLKKTIEVDRLIEMLRDANPRELDQIVVENVLAFDEGFWKDYEELAENVMNIVDRLVHKTDEKIEQSTDVLKAIISPVMHEGENATWPPRDPEALKLMEKEISNREKEGQLDEGFLSEVNAQLRQAKQDGDKPGLQAMLQKVLQLYASNFLQKRSYAYKGGEVIVPESFLESVIKAPENEWNKLLLDGLTVGKGNVSPEEFYAVIKKRIERVLIRTEGGSYQQRILVEYLKEIQARAEEVVKVLQGPTI >ONIVA09G18390.2 pep chromosome:AWHD00000000:9:18527818:18531002:-1 gene:ONIVA09G18390 transcript:ONIVA09G18390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAAASTSLRPASQSALRLAGSPRRWCWGAPALSPARRAFHADTRRRKTLLYATDKGPEESLKKTIEVDRLIEMLRDANPRELDQIVVENVLAFDEGFWVRLAARIDLCKSDDDKAWFSQLDFFFKDYEELAENVMNIVDRLVHKTDEKIEQSTDVLKAIISPVMHEGENATWPPRDPEALKLMEKEISNREKEGQLDEGFLSEVNAQLRQAKQDGDKPGLQAMLQKVLQLYASNFLQKRSYAYKGGEVIVPESFLESVIKAPENEWNKLLLDGLTVGKGNVSPEEFYAVIKKRIERVLIRTEGGSYQQRILVEYLKEIQARAEEVVKVLQGPTI >ONIVA09G18380.1 pep chromosome:AWHD00000000:9:18522652:18526938:1 gene:ONIVA09G18380 transcript:ONIVA09G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLLFIVFLLMLLEPCSSSRSNVYIVYMGERHHGLRPELVQEAHHGMLAAVLGSEQAAMDAILYSYRHGFSGFAAVLTGGQAARLSDWPGVVRVVRNRVLDLHTTRSWDFMGVNPSPSGGGILLESRFGEDSIIGVLDTGIWPESASFRDDGIGEVPRRWKGQCVAGEKFNASNCNRKIIGAKWYVKGYEAEYGKMNTSDIYEFMSARDAVGHGTHTASTAAGALVANASFRGLAKGVARGGAQRARLAVYKVCWATGDCTAADILAAFDDAIHDGVNVISVSLGQAPPLPAYVDDVLSIGSFHAVAKGVVVVCSAGNSGPYSETVINSAPWIVTVAAGTIDRIFLAKIILGNNSTYVGQTLYSGKHPSKSVRIVYAEDISSDNADDTDARSCTAGSLNATLVKGNVVLCFQTRAQRSASVAVETVKKARGVGVIFAQFLTKDIASSLDIPCVQVDYQVGTAILAYTTSMRNPVAQFSFPKTIVGELVAPEVAYFSSRGPSSLSPSILKPDIAAPGVNILAAWSPAAAISSAIGSVNFKIDSGTSMSCPHISGVVALLKSMHPNWSPAAVKSALVTTANVHDAYGFEMVSEAAPYNDANPFDYGGGHVNPNRAAHPGLVYDMGVSDYMRFLCSMGYNTSAISSMTQQQTTCQHMPKSQLNLNVPSITIPELRGKLTVSRTVTNVGPALSKYRARVEAPPGVDVTVSPSLLTFNSTVRKLPFKVTFQAKLKVQGRYTFGSLTWEDGTHTVRIPLVVRIIISKFYVNA >ONIVA09G18370.1 pep chromosome:AWHD00000000:9:18515719:18518915:1 gene:ONIVA09G18370 transcript:ONIVA09G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESPAAGKPSGTALPRRGKSCKGCLYYSSMLRSRGFNPVCVGIPRSIPQVPSYVVDEPREEAAAQGHDLRQFKYACAGYSMFVVDNKDGRSGEKEGKTLLPYCQGLELLVDSRLVEKKSPNNEPATASYRKEAATSSRQQGQRPGQLTGQDFYARKASFQISPSCPFLVIVLLM >ONIVA09G18360.1 pep chromosome:AWHD00000000:9:18506476:18515322:1 gene:ONIVA09G18360 transcript:ONIVA09G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAEEETVGVAEDPGLADVGKADETKEEGVGAGVHLDETRVAVDDPTEVRASQIDDGTAMVSVLGGDGDSFVEQDGQDTSGGGACNEGNEPEEDARVQVRLDDTSAAVDEAGASLIDDVMNTLPVGGVASFDDGPQTDKNVQDEGALIDVVSSTVLNDASIDLVKASDSVSEEGTGMDILAQPGEDIKEMVTIACDAATDDEGRKVDAVSSSSDRNEEVVGAAGLDETDEDMRLGTVGLSGDDNVANEAAAAAAGGADDEDLKMDGVITAGDKDVENGKADNADGVPEVNVVAISRDNSAENEAAAAGDDGADEEGIQMNVLNTTRGEGEEDDEAAQNVVEYAVDGTEELETIGLTGDDKAEKEAAPAGNNSADEEGMQMDAVTTTGAEDEDGKADENVVEDDDGVSEEAVADTVGEDIPEEDAVQIDEDEDDDDVPPPLTRKGGGRRKRGHASSKAQVVVKPPVRKKDDEEVCFICFDGGDLVVCDRRGCPKAYHPSCVNRDDEFFKSKGRWNCGWHICSNCQKPAFHMCYTCTYSLCKKCIKETKFVSVRGNKGFCETCMNTVMLIENKEEATEQMDVDFDDKTSWWYLFKDYWLNLKTKLPLTFEEISAAKSQKNGSSLVIRDNDLSEPHDTNDEEEGNSDSSSVRHLEGNSKRKGRKRSKQAANDDSSVVKDSTRKSTKRGLTGGRDTKSSTGRKVRKLSKRALSSDHRPRESESVGTSTSSAEETSWASKELLDFVANMKNGDKSVLSQFEVQSLLLDYIKRENLRDPRRKSQIICDSMLKSLFGKARVGHFEMLKLLESHFLMSEVSPVEIDDNHGGVVDPDPSLDADGNSEASMVMSSEKRKKSRKYDQKALQTNLDDYAAIDNHNISLMYLRRNLLEELISEVDTFDEKVLGSFVRIRISGTGQRQDIYRLVQIVGTGIAPEQYKCGKKSTDITLEILNLDKREVITIDITSNQEFTEEECKRLRQSIKCGFIPRLTVGEVYEKAKVLQSLKVNDWIESEKMRLGHLRDRASDMGRRKEYPSSLFTLRECVEKLKLLSTPEERVRRLNEEPEVHADHTMDPDYESPEEQEQDTGRSSFNKSRGSFSKKDNNPVSPGKGEGRSPAQRDLKTNWESNRNTWGESSTHIESPLGRRPAFSSHGESAGYTSKSDSPNIGTHAVKVGATAGANIGSGGTHASQSVINESEKIWQYMDPTGKIQGPFSIVQLRKWNGSGYFPPNLKIWKSTEKQDDSILLTDALLGRFEKDLPPWEPPVGSSSDVDGRPRNDSLLEEGTRAGEQPSKSAVLSSTQSFSGRAGQGNDAANLGPATIQSSTQGYYGMQNSQAAYAVQQSLSGSWNASSQFGTAINPVTLSQPAMGSLLVGQNAALGSAGQLTPVPGPATVSAEVVNSQLQSQNQIASFLSQSDGRLADGNDSKLGEDASRERMRSSGEDLGLAGAQPGGVQSNTQQLEDARNQLQTDASNSVKPSQLISTPSAEAVQPSSTAMAGGDNQNTAWAQLASTSGQSQPQAAGNMTWGATLQGNANMGWGMVGQNNMNMSWGGTAQSATGYNMGLAMQAQPNAVPNMGWVTPNPGNTNMNMMWATQGQGTPNAAAMVGTQMQGVAMAPWGAIAQGNTNSYPGWGGQVGNMNQNVGWGAPMQVNPGPSTGNGTGQDNNNMNWNSPSGNPNWNNQQRDNGGRHSGHGGDFNGGDSGGRSWRSQSGGDGGSWRPKRGVCYSILDKGYCKNGEHCNFSHSIPNDGYPSRNSRHFDRQNSGNERRYDRQNERTDRQFDRQSSGNERRDDRHNGRDSDRHDDRLTDTRSQSRERQ >ONIVA09G18360.2 pep chromosome:AWHD00000000:9:18506476:18515322:1 gene:ONIVA09G18360 transcript:ONIVA09G18360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAEEETVGVAEDPGLADVGKADETKEEGVGAGVHLDETRVAVDDPTEVRASQIDDGTAMVSVLGGDGDSFVEQDGQDTSGGGACNEGNEPEEDARVQVRLDDTSAAVDEAGASLIDDVMNTLPVGGVASFDDGPQTDKNVQDEGALIDVVSSTVLNDASIDLVKASDSVSEEGTGMDILAQPGEDIKEMVTIACDAATDDEGRKVDAVSSSSDRNEEVVGAAGLDETDEDMRLGTVGLSGDDNVANEAAAAAAGGADDEDLKMDGVITAGDKDVENGKADNADGVPEVNVVAISRDNSAENEAAAAGDDGADEEGIQMNVLNTTRGEGEEDDEAAQNVVEYAVDGTEELETIGLTGDDKAEKEAAPAGNNSADEEGMQMDAVTTTGAEDEDGKADENVVEDDDGVSEEAVADTVGEDIPEEDAVQIDEDEDDDDVPPPLTRKGGGRRKRGHASSKAQVVVKPPVRKKDDEEVCFICFDGGDLVVCDRRGCPKAYHPSCVNRDDEFFKSKGRWNCGWHICSNCQKPAFHMCYTCTYSLCKKCIKETKFVSVRGNKGFCETCMNTVMLIENKEEATEQMDVDFDDKTSWWYLFKDYWLNLKTKLPLTFEEISAAKSQKNGSSLVIRDNDLSEPHDTNDEEEGNSDSSSVRHLEGNSKRKGRKRSKQAANDDSSVVKDSTRKSTKRGLTGGRDTKSSTGRKVRKLSKRALSSDHRPRESESVGTSTSSAEETSWASKELLDFVANMKNGDKSVLSQFEVQSLLLDYIKRENLRDPRRKSQIICDSMLKSLFGKARVGHFEMLKLLESHFLMSEVSPVEIDDNHGGVVDPDPSLDADGNSEASMVMSSEKRKKSRKYDQKALQTNLDDYAAIDNHNISLMYLRRNLLEELISEVDTFDEKVLGSFVRIRISGTGQRQDIYRLVQIVGTGIAPEQYKCGKKSTDITLEILNLDKREVITIDITSNQEFTEEECKRLRQSIKCGFIPRLTVGEVYEKAKVLQSLKVNDWIESEKMRLGHLRDRASDMGLFTLRECVEKLKLLSTPEERVRRLNEEPEVHADHTMDPDYESPEEQEQDTGRSSFNKSRGSFSKKDNNPVSPGKGEGRSPAQRDLKTNWESNRNTWGESSTHIESPLGRRPAFSSHGESAGYTSKSDSPNIGTHAVKVGATAGANIGSGGTHASQSVINESEKIWQYMDPTGKIQGPFSIVQLRKWNGSGYFPPNLKIWKSTEKQDDSILLTDALLGRFEKDLPPWEPPVGSSSDVDGRPRNDSLLEEGTRAGEQPSKSAVLSSTQSFSGRAGQGNDAANLGPATIQSSTQGYYGMQNSQAAYAVQQSLSGSWNASSQFGTAINPVTLSQPAMGSLLVGQNAALGSAGQLTPVPGPATVSAEVVNSQLQSQNQIASFLSQSDGRLADGNDSKLGEDASRERMRSSGEDLGLAGAQPGGVQSNTQQLEDARNQLQTDASNSVKPSQLISTPSAEAVQPSSTAMAGGDNQNTAWAQLASTSGQSQPQAAGNMTWGATLQGNANMGWGMVGQNNMNMSWGGTAQSATGYNMGLAMQAQPNAVPNMGWVTPNPGNTNMNMMWATQGQGTPNAAAMVGTQMQGVAMAPWGAIAQGNTNSYPGWGGQVGNMNQNVGWGAPMQVNPGPSTGNGTGQDNNNMNWNSPSGNPNWNNQQRDNGGRHSGHGGDFNGGDSGGRSWRSQSGGDGGSWRPKRGVCYSILDKGYCKNGEHCNFSHSIPNDGYPSRNSRHFDRQNSGNERRYDRQNERTDRQFDRQSSGNERRDDRHNGRDSDRHDDRLTDTRSQSRERQ >ONIVA09G18360.3 pep chromosome:AWHD00000000:9:18506476:18515322:1 gene:ONIVA09G18360 transcript:ONIVA09G18360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAEEETVGVAEDPGLADVGKADETKEEGVGAGVHLDETRVAVDDPTEVRASQIDDGTAMVSVLGGDGDSFVEQDGQDTSGGGACNEGNEPEEDARVQVRLDDTSAAVDEAGASLIDDVMNTLPVGGVASFDDGPQTDKNVQDEGALIDVVSSTVLNDASIDLVKASDSVSEEGTGMDILAQPGEDIKEMVTIACDAATDDEGRKVDAVSSSSDRNEEVVGAAGLDETDEDMRLGTVGLSGDDNVANEAAAAAAGGADDEDLKMDGVITAGDKDVENGKADNADGVPEVNVVAISRDNSAENEAAAAGDDGADEEGIQMNVLNTTRGEGEEDDEAAQNVVEYAVDGTEELETIGLTGDDKAEKEAAPAGNNSADEEGMQMDAVTTTGAEDEDGKADENVVEDDDGVSEEAVADTVGEDIPEEDAVQIDEDEDDDDVPPPLTRKGGGRRKRGHASSKAQVVVKPPVRKKDDEEVCFICFDGGDLVVCDRRGCPKAYHPSCVNRDDEFFKSKGRWNCGWHICSNCQKPAFHMCYTCTYSLCKKCIKETKFVSVRGNKGFCETCMNTVMLIENKEEATEQMDVDFDDKTSWWYLFKDYWLNLKTKLPLTFEEISAAKSQKNGSSLVIRDNDLSEPHDTNDEEEGNSDSSSVRHLEGNSKRKGRKRSKQAANDDSSVVKDSTRKSTKRGLTGGRDTKSSTGRKVRKLSKRALSSDHRPRESESVGTSTSSAEETSWASKELLDFVANMKNGDKSVLSQFEVQSLLLDYIKRENLRDPRRKSQIICDSMLKSLFGKARVGHFEMLKLLESHFLMSEVSPVEIDDNHGGVVDPDPSLDADGNSEASMVMSSEKRKKSRKYDQKALQTNLDDYAAIDNHNISLMYLRRNLLEELISEVDTFDEKVLGSFVRIRISGTGQRQDIYRLVQIVGTGIAPEQYKCGKKSTDITLEILNLDKREVITIDITSNQEFTEEECKRLRQSIKCGFIPRLTVGEVYEKAKVLQSLKVNDWIESEKMRLGHLRDRASDMGQKLKLLSTPEERVRRLNEEPEVHADHTMDPDYESPEEQEQDTGRSSFNKSRGSFSKKDNNPVSPGKGEGRSPAQRDLKTNWESNRNTWGESSTHIESPLGRRPAFSSHGESAGYTSKSDSPNIGTHAVKVGATAGNAPHGLSGVSSETLGANIGSGGTHASQSVINESEKIWQYMDPTGKIQGPFSIVQLRKWNGSGYFPPNLKIWKSTEKQDDSILLTDALLGRFEKDLPPWEPPVGSSSDVDGRPRNDSLLEEGTRAGEQPSKSAVLSSTQSFSGRAGQGNDAANLGPATIQSSTQGYYGMQNSQAAYAVQQSLSGSWNASSQFGTAINPVTLSQPAMGSLLVGQNAALGSAGQLTPVPGPATVSAEVVNSQLQSQNQIASFLSQSDGRLADGNDSKLGEDASRERMRSSGEDLGLAGAQPGGVQSNTQQLEDARNQLQTDASNSVKPSQLISTPSAEAVQPSSTAMAGGDNQNTAWAQLASTSGQSQPQAAGNMTWGATLQGNANMGWGMVGQNNMNMSWGGTAQSATGYNMGLAMQAQPNAVPNMGWVTPNPGNTNMNMMWATQGQGTPNAAAMVGTQMQGVAMAPWGAIAQGNTNSYPGWGGQVGNMNQNVGWGAPMQVNPGPSTGNGTGQDNNNMNWNSPSGNPNWNNQQRDNGGRHSGHGGDFNGGDSGGRSWRSQSGGDGGSWRPKRGVCYSILDKGYCKNGEHCNFSHSIPNDGYPSRNSRHFDRQNSGNERRYDRQNERTDRQFDRQSSGNERRDDRHNGRDSDRHDDRLTDTRSQSRERQ >ONIVA09G18350.1 pep chromosome:AWHD00000000:9:18492393:18497278:1 gene:ONIVA09G18350 transcript:ONIVA09G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IMQ6] MALLSAPVRRRRSRVRVLLVCCCLLLALAAPSAAAAAAGHDYGDALAKSILFFEGQRSGRLPAAGQRAAWRGDSAVSDGGAAGVDLEGGYYDAGDNVKFGFPMAFTATMLAWGVVEFGDAMPPAERAHAADAVRWATDYLLKTISHPGVVTLDHLPPRRHLHSGKHTHKHIALRTVVFLVDGDDHVSAASMAMQVGDPTKDHGCWERPEDMDTARTVYNISAARPGSDVAGETAAALAAASMVFRDDDPAYAARLLAGARSAFEFADEHKGAYSDDPELRAGGCPFYCDFDGYQDELLWGAAWLRRASKEGTYLDYIQNNGKTLGAEDSTNEFGWDNKHAGINVLVSKEFIDGEVLSLQSYKEFADGFICTLIPESSSPHITYTPGGMIYKPGGSNMQHVTSISFLLLTYAKYLSNSSRTVNCGNVSVGPATLQQLARKQADYILGDNPMKMSYMVGYGDRYPQRIHHRGSSLPSIKSHPQRIACNNGTPYYNSSSPNPNPLIGAVVGGPGEDDVYEDDRADFRKSEPTTYINAPLVGVLAYLVGNPDPGQGHVRH >ONIVA09G18350.2 pep chromosome:AWHD00000000:9:18496840:18502468:1 gene:ONIVA09G18350 transcript:ONIVA09G18350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IMQ6] MSSYVVVAAALLVFVVVVVAAIKNLGKGKLPPSPPSLPFVGHLHLVGELPHRSLDALHRRYGSDGGLMFLRLGRAGALVVSTAAAAADLYRGHDLAFASRPPSHSAERLFYGGRNMSFAPLGDAWRRTKKLAVAHLLSPRRAAALAAPARAAEAAALVARARRAAEAARAVQLRELLYAYTNGVITRVAAGGSGATAERFRKMMADTSELLAGFQWVDRLPEAAGWAARKLTGLNKKLDDMADESDRFLGEILAAHDDEKAEGEEEDFVDVLLRLRRQGAAAAGGLELAEDNVKAIIKDIMGAATDTSFVTLEWIMTELIRNTQVMSKLQNEIIQVTGSKPTVTEEDLTKLDYLKAVIKEVLRLHPPAPLLIPHHSTMPTTIQGYHIPAKTIAFINVWAIGRDPAAWDTPDEFRPERFMGSAVDFRGNDYKFIPFGAGRRLCPGIILALPGLEMVIASLLYHFDWELPDGMDVQDLDMAETPGLTTPPMNPER >ONIVA09G18350.3 pep chromosome:AWHD00000000:9:18502329:18505465:1 gene:ONIVA09G18350 transcript:ONIVA09G18350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IMQ6] MYHYVFLAAVALLAVVGYGVKNRRRRSAKLPPSPPSVPFLGHLHLLGPLLHRSLHELHLRYGTDGGLLLLQLGRRRTLVVSTAAAAADLYRNHDLAFASRPLVAAAHKLSYGSKNITFAPFGEQWRRAKKTAVVHALSPRRVEAFAPVRAAEAAALVAATRRAADAAADGGAVELRDLLYSYTNAVVTRAATGAAGTTAEKLKQLLGNATSLVAGVQADDLLPGMAAKAVRWATGLEKQYDASMEEWDKFLSPIMAEHAEKKKKKREDIAAGEEDFIDVLLRLKEEDTELTDTHVKSRVVDLIAAATETTSVTLEWTMAELAANPRVMAKLQDEIARAAGGKPAITEAEVGGMEYMKAVVKEVLRLHPPAPILVPHESTAAAAVQGYEIPARTSLFVNAWAIGRDPAAWGSPEEFRPERFLAGGPAVDFRGNDYQLVPFGAGRRICPGISFAVPVLEMALVALLHHFDWELPAGLRAAELDMSEAPGLTTPLRVPLRLVPKRKAPLA >ONIVA09G18340.1 pep chromosome:AWHD00000000:9:18484841:18485281:1 gene:ONIVA09G18340 transcript:ONIVA09G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNIFEDDEIEGAFAAGAMPPEWRRRLVASGQLDERGVDKIAADIAAAGTTSRPSSGFAWSKGAMAFAAFDVVVGALLLCLGVAGILSAGEHYHGDGKNAVVGGLLVLAMTAVVAMVCEYERRRGKMRRLQARIVLERSLLPPV >ONIVA09G18330.1 pep chromosome:AWHD00000000:9:18478108:18482712:1 gene:ONIVA09G18330 transcript:ONIVA09G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASATASLSHLLLARKPDPAPLPSRRAPALLPLPRRRGQRPISAAAAASDLLSAAPSLKSRLAAGETLYGLFLLSFSPTLAELAALAGYDYVVVDMEHGPGGVPEALACLRALDAARTPAVIRLPEAGPIWAKKALDLGPAGLMVPAVESPAAAAAAVSHCRYPPRGVRGAAHPIVRASAYGLDDSYLSRCEDETLIICQVETAAGIAEVDAIAAVDGVDVVQMGPLDLSASMGYLWDPGNRKVRARLREAEKKVLDARKKNVTASDGNVAYLGGFAMPNDPAEQLKLRGYHMVSGAVDIGMFRKAALEDVKRFKEAVMEIGEEEGEEDDEKDKEDDGYWTSSVVNSNLPCSSRISSCSDFTSGYSWRPIEAAKLCRTRAVRSLQITCTATKPAKSPAEEEWKIKRQVLVEKRVRSVDVKEALRLQKENNFAILDVRPVADFKEAHPPGAVNVQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPESLIAAYLLVLNGYKNVFHLDGGLYTWFKEGLPAVEGEE >ONIVA09G18320.1 pep chromosome:AWHD00000000:9:18458371:18476733:-1 gene:ONIVA09G18320 transcript:ONIVA09G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVGDIEGGEVGGRRHMPDIWGPLARRSAPRPGLGLPAFGLPRLAPLLRPAVQPLPSPFASALPCRRSVQRRRARRARCPASGPPPSPSPLGLRQFRLGSMRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFARKHKVITIELKWHSIFQLLSNFVYMVLGSGFEVISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVERKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDSTGADAKSGGARRERRSGGRRRGGGGSGDDAGSGEQGGGDDDNAGAGEGIGGGEGEEPPPPPSATSGRRSVPPSLSRWFPLTSTSSSRAPSSWPTPEVGNLPVGPGESISFIPVNSAASSRLEADFSWHDGGGEDVHAKKPTNPALPPPLSCHGCSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATTAANNYPPRLLLLRLFTGEQLRLPRVFAPFSRVILTADLLVVIFLPGRATVQHCRPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLQLSESSLELSFLGGEHDDANRPEGDRFMLGECGGEVLLISVEHEERVVYRVFRWASEKRKWEMITNLGGRSLFLGLDGFAACVDQDHPGVRGDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGAPPMNNSSLIRPSQSSLPRRTHTMQHLALLRPLIHSSPLPAASPLAARCRGGRGRGRGRGVRWRCATGGGAGGGEVEEGEGEGEGKREAAAWLSSAVGEKVDELLLREENRALVEGVEAAERRVERARAALADIERQEAAARLASEEVRRLERRRDEIAESQRELLQAREMIDEAQRSLSSSLEDQSFGDAPSGDIDEDSERLESVKAAAVSSVVGVLASLPISFYEAHDFPQLFVQLSVIFISCALFGVTFRYAIRRDLDNVQLKTGAAAAFAFVRGLAMVESGRPFELSTDALISLALDGAVSVVENILTFLPAAIALDYCFKMRFLSPFPTRKQEMALKVFNWLNRKKHSNVEYCTINENKAMEEKEDSLRASVTEQDTEALLLRDVLINGILAIGTLGHNVNSLCPESCIEQDEPIIMCDEKVEQEKCEEEKAEAKQDTPVTAPSEPASALEPAKMHSSSMKEDNFMCFVKEEILMHGMEVEDVPNIQERPLLMLEKVEKVRTTLADLFAAEAFSSSDAEDKCYPKIVIVAGASTSKPTSCMEKMHHKKPTKPTSKPLKATRKLSRVMRKMLGKKIHPEQLNGRSNAEGPGSRPLRKGVQPMAVEAGVRGAADGGRPDYTIDVFGGGEGRRDAMRRNQRLASGGRSHMSAEDEWWWSIGATAVDS >ONIVA09G18320.2 pep chromosome:AWHD00000000:9:18467825:18476733:-1 gene:ONIVA09G18320 transcript:ONIVA09G18320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVGDIEGGEVGGRRHMPDIWGPLASPIQRPSSTRGPTGHRLRRLSPAPPLLCLHRVPPLHKPRSPSTHPIRRRRPSCLVEVEARGIDSAPALRLSPQPTDPDTIRSAPRRSGGCREPSQFRLGSMRRRPGIAGLQNAAATRCALGKFKDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFARKHKNDIRKNPLFRQQFHEMCAKVGVDPLASNKGAWAELLGIGDFYYELGVQIVDICIATRATNGGLIDLLDLRKLLCQKRKADLGSLTSDDCLRAIIISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVERKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDSTGADAKSGGARRERRSGGRRRGGGGSGDDAGSGEQGGGDDDNAGAGEGIGGGEGEEPPPPPSATSGRRSVPPSLSRWFPLTSTSSSRAPSSWPTPEVGNLPVGPGESISFIPVNSAASSRLEADFSWHDGGGEDVHAKKPTNPALPPPLSCHGCSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATTAANNYPPRLLLLRLFTGEQLRLPRVFAPFSRVILTADLLVVIFLPGRATVQHCRPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLQLSESSLELSFLGGEHDDANRPEGDRFMLGECGGEVLLISVEHEERVVYRVFRWASEKRKWEMITNLGGRSLFLGLDGFAACVDQDHPGVRGDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGAPPMNNSSLIRPSVWIFPSFSFVDV >ONIVA09G18320.3 pep chromosome:AWHD00000000:9:18467825:18476733:-1 gene:ONIVA09G18320 transcript:ONIVA09G18320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVGDIEGGEVGGRRHMPDIWGPLASPIQRPSSTRGPTGHRLRRLSPAPPLLCLHRVPPLHKPRSPSTHPIRRRRPSCLVEVEARGIDSAPALRLSPQPTDPDTIRSAPRRSGGCREPSQFRLGSMRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFARKHKNDIRKNPLFRQQFHEMCAKVGVDPLASNKGAWAELLGIGDFYYELGVQIVDICIATRATNGGLIDLLDLRKLLCQKRKADLGSLTSDDCLRAIIISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVERKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDSTGADAKSGGARRERRSGGRRRGGGGSGDDAGSGEQGGGDDDNAGAGEGIGGGEGEEPPPPPSATSGRRSVPPSLSRWFPLTSTSSSRAPSSWPTPEVGNLPVGPGESISFIPVNSAASSRLEADFSWHDGGGEDVHAKKPTNPALPPPLSCHGCSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATTAANNYPPRLLLLRLFTGEQLRLPRVFAPFSRVILTADLLVVIFLPGRATVQHCRPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLQLSESSLELSFLGGEHDDANRPEGDRFMLGECGGEVLLISVEHEERVVYRVFRWASEKRKWEMITNLGGRSLFLGLDGFAACVDQDHPGVRGDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGAPPMNNSSLIRPSVWIFPSFSFVDV >ONIVA09G18320.4 pep chromosome:AWHD00000000:9:18467825:18476733:-1 gene:ONIVA09G18320 transcript:ONIVA09G18320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVGDIEGGEVGGRRHMPDIWGPLARRSAPRPGLGLPAFGLPRLAPLLRPAVQPLPSPFASALPCRRSVQRRRARRARCPASGPPPSPSPLGLRQFRLGSMRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFARKHKNDIRKNPLFRQQFHEMCAKVGVDPLASNKGAWAELLGIGDFYYELGVQIVDICIATRATNGGLIDLLDLRKLLCQKRKADLGSLTSDDCLRAIIISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVERKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDSTGADAKSGGARRERRSGGRRRGGGGSGDDAGSGEQGGGDDDNAGAGEGIGGGEGEEPPPPPSATSGRRSVPPSLSRWFPLTSTSSSRAPSSWPTPEVGNLPVGPGESISFIPVNSAASSRLEADFSWHDGGGEDVHAKKPTNPALPPPLSCHGCSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATTAANNYPPRLLLLRLFTGEQLRLPRVFAPFSRVILTADLLVVIFLPGRATVQHCRPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLQLSESSLELSFLGGEHDDANRPEGDRFMLGECGGEVLLISVEHEERVVYRVFRWASEKRKWEMITNLGGRSLFLGLDGFAACVDQDHPGVRGDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGAPPMNNSSLIRPSVWIFPSFSFVDV >ONIVA09G18320.5 pep chromosome:AWHD00000000:9:18467825:18476733:-1 gene:ONIVA09G18320 transcript:ONIVA09G18320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVGDIEGGEVGGRRHMPDIWGPLARRSAPRPGLGLPAFGLPRLAPLLRPAVQPLPSPFASALPCRRSVQRRRARRARCPASGPPPSPSPLGLRQFRLGSMRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFARKHKNDIRKNPLFRQQFHEMCAKVGVDPLASNKGAWAELLGIGDFYYELVISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVERKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDSTGADAKSGGARRERRSGGRRRGGGGSGDDAGSGEQGGGDDDNAGAGEGIGGGEGEEPPPPPSATSGRRSVPPSLSRWFPLTSTSSSRAPSSWPTPEVGNLPVGPGESISFIPVNSAASSRLEADFSWHDGGGEDVHAKKPTNPALPPPLSCHGCSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATTAANNYPPRLLLLRLFTGEQLRLPRVFAPFSRVILTADLLVVIFLPGRATVQHCRPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLQLSESSLELSFLGGEHDDANRPEGDRFMLGECGGEVLLISVEHEERVVYRVFRWASEKRKWEMITNLGGRSLFLGLDGFAACVDQDHPGVRGDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGAPPMNNSSLIRPSVWIFPSFSFVDV >ONIVA09G18320.6 pep chromosome:AWHD00000000:9:18467825:18476733:-1 gene:ONIVA09G18320 transcript:ONIVA09G18320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVGDIEGGEVGGRRHMPDIWGPLARRSAPRPGLGLPAFGLPRLAPLLRPAVQPLPSPFASALPCRRSVQRRRARRARCPASGPPPSPSPLGLRQFRLGSMRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFARKHKVITIELKWHSIFQLLSNFVYMVLGSGFEVISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVERKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDSTGADAKSGGARRERRSGGRRRGGGGSGDDAGSGEQGGGDDDNAGAGEGIGGGEGEEPPPPPSATSGRRSVPPSLSRWFPLTSTSSSRAPSSWPTPEVGNLPVGPGESISFIPVNSAASSRLEADFSWHDGGGEDVHAKKPTNPALPPPLSCHGCSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATTAANNYPPRLLLLRLFTGEQLRLPRVFAPFSRVILTADLLVVIFLPGRATVQHCRPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLQLSESSLELSFLGGEHDDANRPEGDRFMLGECGGEVLLISVEHEERVVYRVFRWASEKRKWEMITNLGGRSLFLGLDGFAACVDQDHPGVRGDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGAPPMNNSSLIRPSVWIFPSFSFVDV >ONIVA09G18310.1 pep chromosome:AWHD00000000:9:18458116:18458319:-1 gene:ONIVA09G18310 transcript:ONIVA09G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSPPPKGVVVPSRPSRVVAGRGSFEFLTDGAAVFHRFYPWRRRLGIPLLKPLERLVQARSR >ONIVA09G18300.1 pep chromosome:AWHD00000000:9:18446201:18449697:-1 gene:ONIVA09G18300 transcript:ONIVA09G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSAAVAAASTSRTLVLARRRSPPASRVAATSRGRPFSSGPHPLAVSPATRAPAMATDCAAAAAAAGSKKKKEVLIFDAEEDLAVSLAKYTAELSAKLAAERGAFTVVLSGGSLIKNIRKLAEPPYLDSVDWSKWHVFWVDERVVPKDHEDSNYKLALDGFLSKVPIPTGQVYAINDALSAEGAADDYETCLKQLVKNGVIAMSQSTGFPRFDVMLLGMGPDGHIASLFPGHPLVNENKKWVTYIKDSPKPPPERITFTFPVINSSAYVAMVVTGAGKAGAVQKALSDKQTSSDLLPVEMAVLQDGEFTWFTDKPAVSITAPISLAFSCLYIARG >ONIVA09G18290.1 pep chromosome:AWHD00000000:9:18438412:18442722:-1 gene:ONIVA09G18290 transcript:ONIVA09G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSSSPSSSSSSPTAASSPPSSSWIHLRSLLVAAASSSSSASSAAAAGSSVAMVSASAPAASSSPSPSPAPAPAPSSPHSDRGGIKSPWSRRKRKRVLSRQQWEGLFSANGKLRDRGKKFLKKVRSGGIEPGIRAEVWPFLLGVYDLNSTEDERNTIKIKKRKEYEKLRRQCQQILNCYKGNGLKVINENNEECSGIEFSAEGSESPCFEDVNIARASVSHEELKPESEPEQPDNSMCAVTECMEEDTGELICLDPCIAESESSDSESSDEDDPGRISMSGEENCDPDPKFTRTTSFKADFFKSSKTSEDFATWQRIIRLDAIRANTEWILFSRNQAEISRERALQCAESVGLRDYDHLDPSMIYHAARLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYKHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWAKIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNFHDKI >ONIVA09G18280.1 pep chromosome:AWHD00000000:9:18420417:18422936:1 gene:ONIVA09G18280 transcript:ONIVA09G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWRRPASPASWVRRGLRRRWSGYMSAALVLPRRAGVGEVVVDAAAASGVAVRCGGCSWAEGAAGDRQHVAHDRAGAPEARRGGGGDGGGAADGAVARGDAGGRGGAPGRGEGDPARRGVRRPPREGVRVRAAVPPRHRVRAPRRVLARAAAGGVHAPLLPVAGRGVRAPARGHRAPDGPRHQAAAAEPERRFRRRRRRRGPPRPAPRVAPQRDVVGVRPAVRAAAGPRQGERRGPGAEGPRRRRLRPARPAQLVRPPPMARPLRPAEHPRPLLPPRPPRQPLRHPHHRRAPLICSRRSRHRLHRRLALPAGQRQARRLRHGRRSLGDGVSRDGHGGRADRVGLSPARAAPGRAGSGARRAGPGGWAGPGRDRVRHGLTRLPPRRHQGDAEAAPTGPTPLMGPPGHVGRTRGRVPDPRWHHRDGEHVGHSTRPRRVGRADGVSARAVHREGGGVQCNGFGSQARAVRIGSAELPREEPRHGHGGILACHAVARVRPTPLARPGTRRRLVGGAKAVVRDGHPAGGDSVASACGVMTCPSYVIRDAPYKDYYCKTT >ONIVA09G18270.1 pep chromosome:AWHD00000000:9:18405221:18407399:-1 gene:ONIVA09G18270 transcript:ONIVA09G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAATNASGVAAAAAAAGNGVQAGGGGERAEDASKQNLALMMASIQRTLGLLHQLNLNVSSFSSASQLPLLQRLNSLVAELDTMQKHAEGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQVTKGKTDAFKSLRKHLLEELEQAFPEDVEAYREIRATAAAESKQLAQSQSALPNGDVKVKPEH >ONIVA09G18260.1 pep chromosome:AWHD00000000:9:18400892:18401735:1 gene:ONIVA09G18260 transcript:ONIVA09G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEDSEWMMMDVGGKGGKGGGGGGAADRKKRFSEEQIKSLESMFATQTKLEPRQKLQLARELGLQPRQLEKLAEMLQEPRGKYGDNAGDDARSGGVAGMKKEEFVGAGGASTLYSSAEGGGTTTTTTAKLMPHFGSDDVDAGLFLRPSSQHHPPPPHAGAGFTSSEPAADHQSFNFHSSWPSSTEQTCSSTPWWEFESE >ONIVA09G18250.1 pep chromosome:AWHD00000000:9:18388776:18389024:1 gene:ONIVA09G18250 transcript:ONIVA09G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLAAAAAFVRRLVPARNPVISAEAEAVTCGRGDKKTKRGKRFKGSYGNARPKREKKIERIKDRVEVPRSTPWPLPFKLI >ONIVA09G18240.1 pep chromosome:AWHD00000000:9:18383675:18388333:1 gene:ONIVA09G18240 transcript:ONIVA09G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEERPERIVVSVRLRPVNAREAERGDGSDWECAGPTTLTFRGAVPERAMFPASYSYASIFAYGQTSSGKTYTMVGITEYSMSDIYDYIEKHPEREFILKFSAMEIYNEAVRDLLSSDATPLRLLDDPEVTFFLDMKGTVVEKLTEETLRDKGHLLELLAVCEAQRQIGETAMNEASSRSHQILRLTVESSAKQFLGKGNSSTLIACVVLMKYLHLNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVIRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHCHIEQSRNTLLFANCAKDVVTNAQVNVVMSDKALVKHLQREIARLENELKFPASASCTSHAEILREKDELIKNLEEQLRELMEQKDTVQSQLDNFRKVASDGDINNHLARRWSRSSDSIPRIVSEGAFSSSDTQDIDYQDQTMDELSVPHSFPPSSQISDITEEHEAQRVAHRAESEPPEEHCKEVQCIETNKLRSRRSQEFFQTPEKKTHTDDQKHSESMSNSAENAIKLYACDFEPSFDLEKPETEESLALKRCVVSSRDSALTRSRSCRASFMVIPNSWFDDSASTTPSCETFRYSTRRPEKVRKSLSPDEIADKSTGNAEEDKSTCNAEEETAVNDIGCVTEVKQKTEMNHAPQSSEQHQPKIAKEVATVSLSKWHIDFERKQQEIIELWHDCNVSIVHRTYFFLLFKGDQTDSIYMEVEHRRLSFIKNSLIADGELHATTASSLRNLRHERDMLYRQMVRKLHLAEKERLYGKWGIDMCTKQRRLQLSRRIWTQTGMDHVRESAALVAKLVEHLEKGQAIREMFGLSFSFKPRRSFSWVGVYSRD >ONIVA09G18230.1 pep chromosome:AWHD00000000:9:18376105:18379060:-1 gene:ONIVA09G18230 transcript:ONIVA09G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICDVCESAPAVLFCVADEAALCRSCDEKVHMCNKLARRHVRVGLADPNKVQRCDICENAPAFFYCEIDGTSLCLSCDMTVHVGGKRTHGRYLLLRQRVEFPGDKPGHMDDVAMQQKDPENRTDQKKAPHSVTKEQMANHHNVSDDPASDGNCDDQGNIDSKMIDLNMRPVRTHGQGSNSQTQGVDVSVNNHDSPGVVPTCNFEREANK >ONIVA09G18220.1 pep chromosome:AWHD00000000:9:18371231:18372406:-1 gene:ONIVA09G18220 transcript:ONIVA09G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IMN8] MASYGDDGVELTELTLGPPGASARRARRGRKNGHPPPSSSMIQAAYFVKVSMDGTPYLRKVDVAAYGDYLELVEALNDMFYCSTIGLMDGYGEWEHAVVYEDGDGDWMLVGDVPWEMFVSSCKRMRVMRACEARGLSSNALTSAL >ONIVA09G18210.1 pep chromosome:AWHD00000000:9:18365472:18367708:1 gene:ONIVA09G18210 transcript:ONIVA09G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEEVVVGWEGEIDYVFKVVVVGDSAVGKTQLLGRFTKDEFFLDSKSTIGVEFQTRTLSLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDITRRRTFENVARWVEELRAHADGSTVVALIGNKADMPAGRREVAADEAARFAEEQGLFFSEASALSGDNVDRAFLTLLEEIFAVVSRRALELDEARRMRDGGAAGGEVLSLKGTTLDVGSIMETSAMKKSSQCSCS >ONIVA09G18200.1 pep chromosome:AWHD00000000:9:18357494:18361501:1 gene:ONIVA09G18200 transcript:ONIVA09G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESYWHYQYAAVDPRQQAPAPVPVPTPALMWQQQQQQAGYQPAVAPVAPPMAAPPLPAGPPPSFKRQRPEYFDMPSGQGNSLFHEAMSPHYVHRLNNQMSYAAGANQSAIPLGGMATYSAGMDSGNHGATITESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRMVNKETRHAGSCNLLCFVDFSSPPEARAALETLQGYKFDEHDHESSNLRIQFSLTPRRRPIGGPRVRN >ONIVA09G18190.1 pep chromosome:AWHD00000000:9:18341244:18353702:1 gene:ONIVA09G18190 transcript:ONIVA09G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRWSCCLCAQAFHLIDMVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLMSYVAGTNQSAIPLGGMARYSVGMDSGNHGATRTESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRMVNKESRHAISLMNMTMSLPTCAYNSLSLLAGGQLVGLGNSLFHEAMSPHYVHRLNNQMSYAAGANQSAIPLGGMATYSVGMDSGNHGATRTE >ONIVA09G18190.2 pep chromosome:AWHD00000000:9:18341244:18353702:1 gene:ONIVA09G18190 transcript:ONIVA09G18190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRWSCCLCAQAFHLIDMVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLMSYVAGTNQSAIPLGGMARYSVGMDSGNHGATRTESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRMVNKESRHAISLMNMTMSLPTCAYNSLSLLAGGQLVGLGNSLFHEAMSPHYVHRLNNQMSYAAGANQSAIPLGGMATYSVGMDSGNHGATRTE >ONIVA09G18190.3 pep chromosome:AWHD00000000:9:18341244:18353702:1 gene:ONIVA09G18190 transcript:ONIVA09G18190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRWSCCLCAQAFHLIDMVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLMSYVAGTNQSAIPLGGMARYSVGMDSGNHGATRTESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRMVNKESRHAISLMNMTMSLPTCAYNSLSLLAGGQLVGLGNSLFHEAMSPHYVHRLNNQMSYAAGANQSAIPLGGMATYSVGMDSGNHGATRTE >ONIVA09G18190.4 pep chromosome:AWHD00000000:9:18341244:18353697:1 gene:ONIVA09G18190 transcript:ONIVA09G18190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRWSCCLCAQAFHLIDMVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLMSYVAGTNQSAIPLGGMARYSVGMDSGNHGATRTESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRMVNKESRHAISLMNMTMSLPTCAYNSLSLLAGGQLVGLGNSLFHEAMSPHYVHRLNNQMSYAAGANQSAIPLGGMATYSVGMDSGNHGATRTE >ONIVA09G18190.5 pep chromosome:AWHD00000000:9:18341244:18353697:1 gene:ONIVA09G18190 transcript:ONIVA09G18190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRWSCCLCAQAFHLIDMVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLMSYVAGTNQSAIPLGGMARYSVGMDSGNHGATRTESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRMVNKESRHAISLMNMTMSLPTCAYNSLSLLAGGQLVGLGNSLFHEAMSPHYVHRLNNQMSYAAGANQSAIPLGGMATYSVGMDSGNHGATRTE >ONIVA09G18190.6 pep chromosome:AWHD00000000:9:18341244:18355331:1 gene:ONIVA09G18190 transcript:ONIVA09G18190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRWSCCLCAQAFHLIDMVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLMSYVAGTNQSAIPLGGMARYSVGMDSGNHGATRTESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRMVNKESRHVYNLLCFVDFATPSEARAALETLQGLLSEVYRTRMLVPYATRMMNLFGTFSSHVYFPAMSGHRFSVLYVNAKEMARAGLTHKQEPEEGGQLIGVVRRRGRERHALGDAVGSGSGAEKSWAWGRGGGSRVRRRRRPSSAVAGAA >ONIVA09G18190.7 pep chromosome:AWHD00000000:9:18341244:18355331:1 gene:ONIVA09G18190 transcript:ONIVA09G18190.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRWSCCLCAQAFHLIDMVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLMSYVAGTNQSAIPLGGMARYSVGMDSGNHGATRTESRTLYVEGLPSNCTKREVAHIFRPFSGFREVRMVNKESRHVYNLLCFVDFATPSEARAALETLQGQRGIALRSYVNLRFSVLYVNAKEMARAGLTHKQEPEEGGQLIGVVRRRGRERHALGDAVGSGSGAEKSWAWGRGGGSRVRRRRRPSSAVAGAA >ONIVA09G18190.8 pep chromosome:AWHD00000000:9:18348747:18355331:1 gene:ONIVA09G18190 transcript:ONIVA09G18190.8 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDYLRTAQRERWKVYNLLCFVDFATPSEARAALETLQGLLSEVYRTRMLVPYATRMMNLFGTFSSHVYFPAMSGHRFSVLYVNAKEMARAGLTHKQEPEEGGQLIGVVRRRGRERHALGDAVGSGSGAEKSWAWGRGGGSRVRRRRRPSSAVAGAA >ONIVA09G18180.1 pep chromosome:AWHD00000000:9:18338215:18338457:1 gene:ONIVA09G18180 transcript:ONIVA09G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPEAGRAVAANLVARRAAAVWRAPVAATMMAGKGECSGHHFRIVAASDPLLLPPAPMVAAPPSTRAASRHSSFRLRH >ONIVA09G18170.1 pep chromosome:AWHD00000000:9:18333956:18336668:-1 gene:ONIVA09G18170 transcript:ONIVA09G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVERSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEAEGSDSSNSTSSLLLEPSSECPTFPDCGFQLPDSGVVLTCLDCFLRNGSLYCFEYGVPSAVFLAKLRGGTCTIAQSDPSEVVVHRAMYLLQNGFGNYDIFENNCEDFALYCKTGLLPVEEPGIGTSGQASSAIGVPLAALLSTPLKLFAAGPLGMATVTAGMYCAGRYITDIGVRKDVAKIEVENLSSHLGRRLIEDEGSVNVRSEKPKTLLPMKRKRER >ONIVA09G18160.1 pep chromosome:AWHD00000000:9:18328294:18330595:-1 gene:ONIVA09G18160 transcript:ONIVA09G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGGVAAENGEMVGNGEGRKGAGASVLVTGGAGYIGTHTVLRLLEKGFAVTVVDNFHNSVPEALDRVRLIAGAALSARLDFIAVRDSPKQHACSSSCTVELNRAGEVEIEIHVCVRFQGDLKSKDDMEKVFAAKRYDAVIHFAGLKAVGESVAHPQMYYENNVAGTMNLYSAMTKYGCKKIVFSSSATVYGQPEKTPCVEDSKLSALNPYGTTKLVLENYFRQVQAADPEMRVILLRYFNPIGAHRSGDIGEDPRGIPNNLLPYIQQVAVGRRPELNVYGVDYPTRDGTAIRDYIHVVDLADGHIAALEKLFATPDIGCVAYNLGTGCGTTKIPIKICPRRPGDCTEVYASTDKAKKELGWSARFGIEDMCRDQWNWAKKNPYGYSANAEQN >ONIVA09G18150.1 pep chromosome:AWHD00000000:9:18319415:18321248:-1 gene:ONIVA09G18150 transcript:ONIVA09G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGAGEADAGGGVPPAAAVMTAAAEALAGQRSLPTPFLTKTYQLVEDPAVDDVISWNEDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVVAAAAAAPPPPSPGMATAAAAVASGAVTVAAAPIPMALPVTRAGSPAHSSEEQVLSSNSGSGEEHRQASGSGSAPGGGGGGSASGGDMGEENERLRRENARLTRELGHMKKLCNNILLLMSKYAATQHVEGSAGISSIANCSGESSEAVPPPPPLPPAILDLMPSCPALATAAAAAGLAIDSEPDPSARLFGVSIGLKRTRDDAAAAADEDGGGEDQAEHGGADVKPEAADPHPAGGGSSTEASPESHPWPIYRPTPMYHAVRPTCNGPDRAGSDQDGSSSSVRSIRMPVHSLVSFTSVLGVSAKNGGDIGRKH >ONIVA09G18140.1 pep chromosome:AWHD00000000:9:18313976:18314557:-1 gene:ONIVA09G18140 transcript:ONIVA09G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTMTLEVTVVSAEEVVLPPTRRPLGRGAYAVVRTAASASSPAAAVCTRVDEESGGDCNGYPYWKETLRVALPEGARWLDVEICRRRPNGQVEAVAAASVPVGDFTVGPPGHLHCLSYRLFDASGCRTRRNGIVNITVRRTDVKYTAPPPPVKAPAYAGASGSGGSCYGVPPAGAAMGFPVGFTANGKACA >ONIVA09G18130.1 pep chromosome:AWHD00000000:9:18304746:18305057:1 gene:ONIVA09G18130 transcript:ONIVA09G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANMEANYCSVADMEGNKPDTPEARAKKQQLIRRRRQLYTHTPQARSPPASVLADPCLNQESYYYYHFRRTVRQEKEKLVVVASMREEAKRGEELRRKDGR >ONIVA09G18120.1 pep chromosome:AWHD00000000:9:18298777:18304535:-1 gene:ONIVA09G18120 transcript:ONIVA09G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGMFDGAGSGVFSYDAGGGGGGGVHNSRLLPTPPVPKPGGGFAAPGLSLGLQTMDGSQLGDVNRSLAMMGNGGSGSGGDGDSLGRGREEENDSRSGSDNLDGASGDELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSRRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMTIREAMRNPMCASCGGAAVLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPISSISSPGPPSLQACSGLELGVGSNGGFGLGALGASAAMQSIPDLMGGSSGLTGGPVGSAAMRLPAGIGGLDGAMHAAAADGGAIDRAVLLELALAAMDELVKVAQMDEPLWLPSLDGGFETLNYDEYHRAFARVVGQCPAGYVSEATRESGIAIISSVDLVDSLMDAPRWSEMFPCVVARASTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVVFLRFCKQHAEGLWAVVDVSVDAVLRPDQNGGGGSSSSSYMGCRLLPTGCIVQDMNNGYSKVTWVVHAEYDETAAHQLYRPLLRSGQALGARRWLASLQRQCQYLAILCSNSLPARDHAAITPVGRRSMLKLAQRMTDNFCAGVCASAAQKWRRLDEWRGEGGGGGGGGGGDGEDKVRMMARHSVGAPGEPPGVVLSATTSVRLPGTLPQRVFDYLRDEQRRGDWDILANGEAMQEMDHIAKGQHHGNAVSLLRPNATSGNQNNMLILQETCTDSSGSLVVYAPVDVQSMHVVMNGGDSAYVSLLPSGFAILPDGHNNGASPSPAEVGSGASPNSAAGGGGGSNNTGSLVTVAFQILVNNLPTAKLTVESVDTVSNLLSCTIQKIKSALQASIISP >ONIVA09G18110.1 pep chromosome:AWHD00000000:9:18288926:18289144:-1 gene:ONIVA09G18110 transcript:ONIVA09G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISTIITISLNIRSPVTRFTKELLPQFCSPTTTATKLIPSTTRASEHPVQIRLLRVQFHMSSSYIVRLAA >ONIVA09G18100.1 pep chromosome:AWHD00000000:9:18289304:18295240:1 gene:ONIVA09G18100 transcript:ONIVA09G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEEKILPPEAKTKQRELDSFGPQYKELPGCTDDVAGRPSRRFRCPGDFAFATGQKQHLPREPNSQQWPPYRTCTVTAAAIPARSGQVRSVAVFARKVAGSTANSV >ONIVA09G18100.2 pep chromosome:AWHD00000000:9:18288379:18289177:1 gene:ONIVA09G18100 transcript:ONIVA09G18100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIAALRPPPPPQSRRDMHPSPPLLLLRDHAFDGIMECAAFSSSTPSSSMRPPPPRSPAAAEGPCPSASRPSTPAVSSCATRTRSTASSTPRLTPRAATALRLEADTIASSLPASIIRIRKLLLLXXXXQPPPPPPRAHPPPRGTRGNQGKLHAVFLREAYYKGQLVEPNEIYAARRTIAAHVELNPQKANLDRMFTSTGRGWDELGGGRRGGAELREEFLGEASDRRANIKADGDYGGDCGHDSGVESLYIE >ONIVA09G18100.3 pep chromosome:AWHD00000000:9:18288379:18289785:1 gene:ONIVA09G18100 transcript:ONIVA09G18100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIAALRPPPPPQSRRDMHPSPPLLLLRDHAFDGIMECAAFSSSTPSSSMRPPPPRSPAAAEGPCPCPSPVLAPAPPRAPRRRPFPPAPPARVPPRPPRPASPRAPPRRSASRPTPSPPRCRPPSSASASSSSSXXXXNRLRLRLEHILLLGELGETRKANLDRMFTSTGRGWDELGGGRRGGAELREEFLGEASDRRANIKADGDYGGDCGHDSGVESLYIE >ONIVA09G18090.1 pep chromosome:AWHD00000000:9:18280718:18283602:-1 gene:ONIVA09G18090 transcript:ONIVA09G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IML6] MASNGGEEKSRVAAGYGGGGYGYGGYEGRDDRKWWPWLVPTVIVACIAVFIVEMYVNNCPKHGSALGGCVAGFLRRFSFQPLRENPLLGPSSATLQKMGALDWNKVVHQHQGWRLISCIWLHAGLIHLVVNMLSLLFIGIRLEQQFGFVRIGAIYLLSGFGGSVLSALFLRNNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERHELPQTNQPPKYKAYQYVLWVVAFVLLLVGFVVSLVMLFKGKNGNDGCHWCHYLNCVPTSKWKCNT >ONIVA09G18080.1 pep chromosome:AWHD00000000:9:18272378:18278887:-1 gene:ONIVA09G18080 transcript:ONIVA09G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILDPLIITINKSEVYALYDLTYLRDVAYKPEEKYVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIVWDKRASQPLCYYGYFIRFHVFDIGRRSLWFSIRVQVKKGSSESEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESQFSTTATVLNNGTQIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRRHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >ONIVA09G18080.2 pep chromosome:AWHD00000000:9:18272378:18278887:-1 gene:ONIVA09G18080 transcript:ONIVA09G18080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPILDPLIITINKSEVYALYDLTYLRDVAYKPEEKYVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIVWDKRASQPLCYYGYFIRFHVFDIGRRSLWFSIRVQVKKGSSESEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKGVGGGQLQVLGDDFSRWMLLERVLFTLDGLECNKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESQFSTTATVLNNGTQIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRRHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >ONIVA09G18080.3 pep chromosome:AWHD00000000:9:18272378:18278887:-1 gene:ONIVA09G18080 transcript:ONIVA09G18080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPILDPLIITINKSEVYALYDLTYLRDVAYKPEEKYVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIGFMFLILGEDPFGSEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESQFSTTATVLNNGTQIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRRHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >ONIVA09G18080.4 pep chromosome:AWHD00000000:9:18272378:18278887:-1 gene:ONIVA09G18080 transcript:ONIVA09G18080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPILDPLIITINKSEVYALYDLTYLRDVAYKPEEKYVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIGFMFLILGEDPFGSEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKGVGGGQLQVLGDDFSRWMLLERVLFTLDGLECNKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESQFSTTATVLNNGTQIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRRHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >ONIVA09G18080.5 pep chromosome:AWHD00000000:9:18272378:18278887:-1 gene:ONIVA09G18080 transcript:ONIVA09G18080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPILDPLIITINKSEVYALYDLTYLRDVAYKPEEKYVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIVWDKRASQPLCYYGYFIRFHVFDIGRRSLWFSIRVQVKKGSSESEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKGVGGGQLQVLGDDFSRWMLLERVLFTLDGLECNKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESQFSTTATVLNNGTQHEMLELPQVLLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRRHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >ONIVA09G18080.6 pep chromosome:AWHD00000000:9:18272378:18278887:-1 gene:ONIVA09G18080 transcript:ONIVA09G18080.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPILDPLIITINKSEVYALYDLTYLRDVAYKPEEKYVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIGFMFLILGEDPFGSEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKGVGGGQLQVLGDDFSRWMLLERVLFTLDGLECNKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESQFSTTATVLNNGTQVDKYSCLIIADLEFIVLLLLLKCNEIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRRHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >ONIVA09G18080.7 pep chromosome:AWHD00000000:9:18272378:18278887:-1 gene:ONIVA09G18080 transcript:ONIVA09G18080.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPILDPLIITINKSEVYALYDLTYLRDVAYKPEEKYVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIGFMFLILGEDPFGSEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESQFSTTATVLNNGTQHEMLELPQVLLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRRHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >ONIVA09G18070.1 pep chromosome:AWHD00000000:9:18266227:18270784:-1 gene:ONIVA09G18070 transcript:ONIVA09G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPQEAIDTFVSITGADEALAVRKLEEHGGDLNTAINAHFNEGDSTVNRASQNNIPESHDDMMDLDGPLDNAFRRSLFPETLRDPFALMDTNFQQNYFDRVGSTDTFGHGPQVSHPREVREIPIEVKDSNPQTGPSGQAPIIEDVTGHESSYGPEVRGAIVIDDDDDEQPSAPSLHANIDSSLQPNPSIPTAPPLVHVTDYDNDIEEEMIRAAIEASKRDAEAMTITAEQGITQPPEGVNITEHSFDEEDKGTASGTAGRQGLATEKVGSSRQPIDEDTLQEETEDVEEQPLVRRRSRRIPSGNTESAQPVYTVDSPPSSSQPQGNLNDRQNNGDEFPSEWGGISSEEHDEAVMLEAAMFGGIPEGPTYPFSMPSHRSPSLYPRVEHAPSPALTEQRLLREQQDDEYLASLQADQEKELKALQEAELRRLEETAAREAALEKQKQEEEERRKKQLEEEELESSLASKQASLPSEPAADEEGAVTLVVRMPDGSRQGRRFLKSHKLQFLFDFLDIGRTYKPGTYRLVRSYPRRAFTTGEGDMSFSDLGLTSKQEALFLEKITE >ONIVA09G18070.2 pep chromosome:AWHD00000000:9:18266227:18270784:-1 gene:ONIVA09G18070 transcript:ONIVA09G18070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPQEAIDTFVSITGADEALAVRKLEEHGGDLNTAINAHFNEGDNCFPYAHTVLLELMNEMLVFYRNRASQNNIPESHDDMMDLDGPLDNAFRRSLFPETLRDPFALMDTNFQQNYFDRVGSTDTFGHGPQVSHPREVREIPIEVKDSNPQTGPSGQAPIIEDVTGHESSYGPEVRGAIVIDDDDDEQPSAPSLHANIDSSLQPNPSIPTAPPLVHVTDYDNDIEEEMIRAAIEASKRDAEAMTITAEQGITQPPEGVNITEHSFDEEDKGTASGTAGRQGLATEKVGSSRQPIDEDTLQEETEDVEEQPLVRRRSRRIPSGNTESAQPVYTVDSPPSSSQPQGNLNDRQNNGDEFPSEWGGISSEEHDEAVMLEAAMFGGIPEGPTYPFSMPSHRSPSLYPRVEHAPSPALTEQRLLREQQDDEYLASLQADQEKELKALQEAELRRLEETAAREAALEKQKQEEEERRKKQLEEEELESSLASKQASLPSEPAADEEGAVTLVVRMPDGSRQGRRFLKSHKLQFLFDFLDIGRTYKPGTYRLVRSYPRRAFTTGEGDMSFSDLGLTSKQEALFLEKITE >ONIVA09G18060.1 pep chromosome:AWHD00000000:9:18264760:18265191:1 gene:ONIVA09G18060 transcript:ONIVA09G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRTALLVVLVAGAMTMTMRGAEAQQPSCAAQLTQLAPCARVGVAPAPGQPLPAPPAECCSALGAVSHDCACGTLDIINSLPAKCGLPRVTCH >ONIVA09G18050.1 pep chromosome:AWHD00000000:9:18263698:18264111:1 gene:ONIVA09G18050 transcript:ONIVA09G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAFAGSILEITGAGGIWSSSADPSPNRRIWRFVTGLNSEPPSQVITCDGFYLQSVKGYIYH >ONIVA09G18030.1 pep chromosome:AWHD00000000:9:18255852:18261337:-1 gene:ONIVA09G18030 transcript:ONIVA09G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEQLQALGEAVGNQSRGLSDDLICYLVPFKNKCSFFSRKKNDEECVICKSTYKSRQKLIRLPCSHCYHADCITRWLKINKACPVCNEEDWTMDVASYLKLKGNHD >ONIVA09G18020.1 pep chromosome:AWHD00000000:9:18252122:18254047:-1 gene:ONIVA09G18020 transcript:ONIVA09G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSSAGGGGGGETALGDLPESCVAEVLRRLDPPEICRMARLSRTFRGAASGDGVWEAKLPRNYARLLAVAADGEAAALEAIPKKEVYARLCRRNRLDGGTKEFWLDKGGGGVCMTISSRALSITGIDDRRYWNFIPNDESRFHAVAYLSQIWWFEVRGEVEFCFPEGRPLKRLGRRVYSSEHIHGWDIKPVRFQLSTSDGQQAQSKCYLTDPGVWINHHVGDFVVKSSNEPVKIQFAMVQIDCTHTKGGLCVDSVAVKPQYLAKKKASRIYV >ONIVA09G18010.1 pep chromosome:AWHD00000000:9:18248281:18254653:1 gene:ONIVA09G18010 transcript:ONIVA09G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSSRGHAAASTSSRREAEDEDPATASDESGDDEEVSSSSGSESESDSDAERELERALADVPFGELQRARADGSLGGRGFSAAAAAQKKARRASKKRPMEISTKVRPPRFREIIQVPKKVVRDPRFEPVYGPVDKEGFRKRYNFLFDDELPAEKEKLQKSIKKSKDPNAIEEMKSRITWIDKQLRSHPKKNVESEILREHIKKEREAAKTGKRPYYLKKSEIRERKLMNKYNELKEAGKLDAFMEKRRRKNASKDHRYMPYRRNGDGA >ONIVA09G18000.1 pep chromosome:AWHD00000000:9:18224159:18225472:-1 gene:ONIVA09G18000 transcript:ONIVA09G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAKAKGTFPPAAAAMKESSAPPPPAAAAAAAREDEWEVRPGGMLVQKRSPDGDAPAAPVPTIRVKVKFNGVYHEIYINSQASFGELKKQLSAPTGLHPEDQKIVYKDKERDSKAFLDMAGVKDRSKMVLLEDPTAQAKRLLEQRRTDKAERAAKSISRIGLDVDKLATKVTALEAIVGKGGRVVDADVVTLTEALMNELVKLDAIAAEGEVKVQRRMQEKRVQKYVESLDAIRAKNAASHNKASGNGHAKPRAPHLPPRPPPVSQRRQFQAPPPAAPTTTKTAAAPAPPPTASWESFDLLSSMPSTSSSTVTTTMAAATTTTTTSPIPRFDWELF >ONIVA09G17990.1 pep chromosome:AWHD00000000:9:18213315:18213620:-1 gene:ONIVA09G17990 transcript:ONIVA09G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRPRGEGELLHGGGAAVALCRDRAPLLADAIRHRYALADAHRAYAASLRDAAAALHDFLRGVQVLPSSSTCSTGRGTTAERSPFLRSGRRSVAAQAAS >ONIVA09G17980.1 pep chromosome:AWHD00000000:9:18196674:18198308:-1 gene:ONIVA09G17980 transcript:ONIVA09G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IMJ9] MASRHSDEATQCHQQLLVMPAATASYPKLHDRPRLAGAAAGVLGEVASILCLAGPMVGAGILLYLRSLVSMVFLGRLGQLPLAGGSLALGFANITGYSVLSGLAGGMDPVCGQAFGAGRTDLLRAALRRTVVLLLVASVPISALWVAMHRVLVATGQDPDIAATAYAYILCSLPDLAVQCFLHPIRIYLRAQSVTLPLTYAAAAALLLHVPINVVLVDRLGLGIRGVALGAVCTNLNCLLFLAAYVCLSGMYGGRAKACASAAAPAAGEEDDDGGVREWWSLVRLSVHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGVLIQTTSLIYIFPHSLGCAVSTRVGHELGAGRPERARLVARVGVGLGAALGIVAFGFAVSVRAAWARMFTAEDAILRLAAAALPLLGAAELGNCPQTAGCGVLRGSARPERAARINVAAFYGVGMPVALALAFWPAGLDFRGMWGGMLAAQLVCAWLMLRAVLGTDWAEQAERARELTGGGGGGDGYAAVAAVIVYDDKAKQHAEMDKPQQVDNTLLMAIDCV >ONIVA09G17970.1 pep chromosome:AWHD00000000:9:18155003:18163837:1 gene:ONIVA09G17970 transcript:ONIVA09G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAASSPRFHRAPPPLTLPPRAASSPRFHRAPPPLPLPRSSPSTGRRHLLLHQIRRAPPPRSPSTAPRFPLCLGEILPPPPHLPPSRRRRRVQSSKLQARWFPEPEKVCFDLGRRRPCCPVSRQP >ONIVA09G17960.1 pep chromosome:AWHD00000000:9:18150355:18154074:-1 gene:ONIVA09G17960 transcript:ONIVA09G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLRLRPTAGARGHQHQPLSRRREGRDGGPTGTPAAHHSLRSPPPDGHEGALVSNQAYLPDVVRQPAAGRQDAAAAAVVFEASSVPSPREGADTTAAPVSIRGPSPLMALVSHEVGEQEAFLEVEPASCSSTLPAPFTTFRTIEVDPQMELKDTALPSPSSNINTVTSNTMNSTIQCESKAKSIHNIYVDFLHFKEKLQEFSPRSYGIGIKDMIISLLFELIERMHLNGMSLDGKFGLGDIMYNSEFDRLQFSSSVNFVQYRGPELFNAEFYQNDMFNIASILLEHFRWKHPTDGNEYLPVYMDQLVKYIYNMDSNCGRTRKGMSVIFNHCCMMTATERAALIQSLRDYERGLESFAWFALRTALPNEKEEWFKQMKIGYSTYQVLYYSRINQFGQRVLLKQYLPLCPLSHLDFSRCIIVHAIKSGEDSMEQAENYLAIVDPLFLPYLLERITNMYNQTQLAADALDIDNILGWRRKFDHKDADA >ONIVA09G17950.1 pep chromosome:AWHD00000000:9:18145548:18147231:1 gene:ONIVA09G17950 transcript:ONIVA09G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLANLLERSSLTPIEEKEHLIANANALLSGPHQEHVIDGDGGHGVDPLGVEHLDLGKAGARRPPPPRFCMGHEMGDNYEVYENYEAFLCTGGS >ONIVA09G17940.1 pep chromosome:AWHD00000000:9:18142900:18143986:1 gene:ONIVA09G17940 transcript:ONIVA09G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASLPLPSTPPLPDPVEGRGVGGGAGGGRWRRRLRATTTMTTALLPLPLTPPLPDLAEGRGVGGAHGLAAPTIPFQIRPRREGGGGSGHPMQWRSSLARLLALGSACEDPNCCMDRQPQLLLWLFLAASDGRCHGIY >ONIVA09G17930.1 pep chromosome:AWHD00000000:9:18137893:18139769:1 gene:ONIVA09G17930 transcript:ONIVA09G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVYHPQLESLAVPQQPESCPMAAAVTQPESPAMAGVDRLRLDGAMPPSYPSSWSVLPREVVVVDEIDDGEELRRWNILRCDRRAGYGVCEAVVEGVKLGARVVSDRGFSALCIIVSKAARAGTRGQVCAAVLAIKNHVIVLSVSFPRGDLFHSLKCACSSFYLVYDASDASITMIPDLQFSFHHHKVSSAVTKEPLPIACGVAHYLVLLGRAMTIKGMDQHIEDRVCLSPLQQSSLAHPSPSSSTNCSLWMTKSAIFPKEVVTRGFSANKMLSFDGLAMWVDLHQGILFCQHYDLFSNSNDSGSVPFYCVDLPPGCCNDDITTRPLSDSYPPEMYRSIVCVGDSIKFVTIEGYLRDSTAPIEDRMVTMWSLRPQESWSWRKDRDLSIGGICAQLYKKIPICATMLEPMPNMAPQSPILITEDGSLHLLIVNDNSNEMLENQNIMVTVDMSKGYVISACLLPTDFGDQLPGLYGVMLPRMLGSNFFRF >ONIVA09G17920.1 pep chromosome:AWHD00000000:9:18125912:18126592:1 gene:ONIVA09G17920 transcript:ONIVA09G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQESGRMATATGGVVAAKARRGRRFVGVRQRPSGRWVAEIKDSAQRVRLWLGTFDTAEEAARSYDEAARVLRGEHARTNFVARGGDAAAAPARARLSRNLRHVMARAAAGGRASAPCAAAGVGAGGEQFALAAVFRRCMQPAAATQQQCGAADTTVHVKNAVQPSFVVPRRTEAPPPPTTPMLLAEDVLVDFDDDGLGSAGVETAFMVSSSLIVPSSFVIDDDF >ONIVA09G17910.1 pep chromosome:AWHD00000000:9:18121593:18122231:-1 gene:ONIVA09G17910 transcript:ONIVA09G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQVGRGSGQVTTIISEQFPPDVDTSIAMFRVDSDLVSLNGREALQADAEVGRDDRVHAVIRCSTSTSTTGGGAACSSMSRAYGASNAMTPRASNLTGVEIYSLVEMKWKERSGTTKTATMAKRHSGWGNDATRMVAGEQERQASQVLGEGACRHPGLDPDLRLRGGEDVVQEDCHRRQWRAARRHRLAPPAAAATFLPTRRSAPPSCPLP >ONIVA09G17900.1 pep chromosome:AWHD00000000:9:18116554:18117270:-1 gene:ONIVA09G17900 transcript:ONIVA09G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIKQEMSGESSGSPCSSASAERQHQTVWTAPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEGAARAHDAAMLAINAGGGGGGGACCLNFADSAWLLAVPRSYRTLADVRHAVAEAVEDFFRRRLADDALSATSSSSTTPSTPRTDDDEESAATDGDESSSPASDLAFELDVLSDMGWDLYYASLAQGMLMEPPSAALGDDGDAILADVPLWSY >ONIVA09G17890.1 pep chromosome:AWHD00000000:9:18112192:18112932:-1 gene:ONIVA09G17890 transcript:ONIVA09G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAGHEVNSSSSSSGAESSSSSSGRQQYKKRPAGRTKFRETRHPVYRGVRRRGGAGRWVCEVRVPGKRGARLWLGTYVTAEAAARAHDAAMIALRGGAGGGGAACLNFQDSAWLLAVPPAAPSDLAGVRRAATEAVAGFLQRNKTTNGASVAEAIDEATSGVSKPPPLANNADSSETPGPSSIDGTADTAAGAALDMFELDFFGEMDYDTYYASLAEGLLMEPPPAATALWDNGDEGADIALWSY >ONIVA09G17880.1 pep chromosome:AWHD00000000:9:18108246:18108902:-1 gene:ONIVA09G17880 transcript:ONIVA09G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEAAYRTVWSEPPKRPAGRTKFRETRHPVYRGVRRRGGRPGAAGRWVCEVRVPGARGSRLWLGTFATAEAAARAHDAAALALRGRAACLNFADSAWRMPPVPASAALAGARGVRDAVAVAVEAFQRQSAAPSSPAETFADDGDEEEDNKDVLPVAAAEVFDAGAFELDDGFRFGGMDAGSYYASLAQGLLVEPPAAGAWWEDGELAGSDMPLWSY >ONIVA09G17870.1 pep chromosome:AWHD00000000:9:18102639:18107732:1 gene:ONIVA09G17870 transcript:ONIVA09G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G01880) TAIR;Acc:AT1G01880] MGVGGSFWDLLKPYARHEGAGYLRGRRVAVDLSFWVISHSAAIRARSPHARLPHLRTLFFRTLSLFSKMGAFPVFVVDGQPSPLKSQVRAARFFRGSGMDLAALPSTEAEASADAPVQPRNAKFTRYVEDCVELLEYLGMPVLRAKGEGEALCAQLNNQGHVDACITSDSDAFLFGAKTVIKVLRSNCKEPFECYNMADIESGLGLKRKQMVAMALLVGSDHDLHGVPGFGPETALRFVQLFDEDNVLAKLYEIGKGVYPFIEGVSAPNIDDLPSPSTKSLPRARSPHCSHCGHPGNKKNHIKDGCNFCLVDSLENCVEKPAGFICECPSCDKARDMKVQRRNENWQIKVCKRIAAETNFPNEEIINLYLSDDNLDNENGVPLLTWNKPDMEILVDFLSFKQNWEPAYIRQRMLPMLSTIYLREMASSQSKSFLLYDQYKFHSIQRIKIRYGHPYYLVKWKRVTRSMISNDSPSKQTELEGKNDKVEVLDGDDEVVDEEEEEPTMISETTELLDEPDVPQVLDDDKDCFLLTDEDIELVNAAFPDEAQRFQEEQRLKEAKSIARKSKLNVAGFETPKGPRPSGVQLSIKEFYRSKKGLSGDSGKDGSRKSSDVDLSKNLPKSVRRRLLFD >ONIVA09G17860.1 pep chromosome:AWHD00000000:9:18089529:18100509:-1 gene:ONIVA09G17860 transcript:ONIVA09G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGRVNEYTEQTKEGTQYRRRVLKDFSKLLDDEIEKIVLFMIEQQGLIAARLEDLGKRRARLQDIPLLQEITELREDYRSVGLDLVTLLKFVELNANAVRKILKKFDERLGYKFTDYYVRSRSNHPYSQLQQLLTTIIDLVISELLKKDPIIDLITATADKLTNSTNFLRFLGQHALIAQADSTAGTEDEQHVGEDKYHLMSLVLNLANTFLYMVNTYIVVPTADGYATSLGAAATACGAVIGSMAVAQVFSSVYFSAWSNRSYFRPLLFSSVVLLLGNVMYAMAFDLGSLTILLLGRVLCGMGSARAVNRRYISDCVPPRIRMQASAAFVSASALGMACGPALAGLLQTNFSLYGLTINQITLPGWIMAFGWLVYLIWLWISFQEPDLGPDAKDFYEGSSSSTSTRYMEQEKMEQGFTEHLLPSEQDEEDDNGDEEHNETLSSSTTTLRPASSVASAYTLLTPSVKVQLLIYFMLKYAMEILLAESSVVTGYYFGWDIGTVSVFLAVLGLSVLPVNAIILVASEMALLAGVMLSFKLTVEYTVAQYVCSAVLTFVSAEVVEGVNLSLLSRVMSARLSRGTYNGGLLSTEAGTVARVVADGTITAAGLLAGEGRLLNATLLPALLVCVASIAATLSTYNSLFY >ONIVA09G17850.1 pep chromosome:AWHD00000000:9:18084759:18087800:-1 gene:ONIVA09G17850 transcript:ONIVA09G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01910) TAIR;Acc:AT1G01910] MADGGGDGAMPDPTVRNLLEQESLKWVFVGGKGGVGKTTCSSILSILLASARQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFNNLYAMEIDPKVENDDFANEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMALKNKFGGLLNQATRLFGLGDELNEDAMLGRLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVLFDEEAVESKLLKARIKMQQKYIDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSRHFLTPYKAALKRGTVEEVEQRVSLLKSALQEAESELDRLRKGKQVA >ONIVA09G17840.1 pep chromosome:AWHD00000000:9:18079871:18084107:1 gene:ONIVA09G17840 transcript:ONIVA09G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IMI5] MDGRKDVGILAMDIYFPPTCVLQESLEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSMTVVTSLLKRYKVDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALLNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPIAFESKYKASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQFCKKYEKLAGKQFSISDADYFVFHSPYNKLVQKSFARLYYNDFTRDCSSVDNDAKEKLQPFSNLTGEESYQSRDLEKASQQVAKPLYDIKVQPSTLLPKQIGNMYTASLYAALASVLYNKHASLDGQRIVMFSYGSGLTSTMFSLKLNNGQDPFSLSNIASVLNATEKLESRHMTLPEKFVETLKLMEHRYGAKDFETSKDTSLLPPGTFYLTRVDSMYRRFYERKADEEIAAAKAKYSNGHAINGYANGH >ONIVA09G17830.1 pep chromosome:AWHD00000000:9:18076733:18077878:-1 gene:ONIVA09G17830 transcript:ONIVA09G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLQGKGAETAAAGERVAPGTNAAAFAGLGYPPIQSPVALQEEEGPRDAAFAGYAPIRSPVVSRLQEKGEGEGEEEEVDKREEAGMAADGSAFAAGMALVPKPEPVAVEFLRGLAVAKPPPRNRDRHVKVEGRGRRIRMPVNCAARIAQLTRELGHKSDGETIRWLMQQSEPAIVAATGTGTVPAIANTVDGVLRIPTESPSAAARGDEPAPKRRRKLQPTRAAAGGPVEALAAAPPPAVYYPIVADPLLQANGGGSISISSGLAPASSATPPTATGGGAIPFIAMPATSDGGKQAMSPATVWMVPPGGAGAVNQPIQYWAFQPNPDHANFAGASSYNVGQNPGVHEASAADHAASTGGGGGGEDDEYEGMTDSSSDEE >ONIVA09G17820.1 pep chromosome:AWHD00000000:9:18070335:18071798:1 gene:ONIVA09G17820 transcript:ONIVA09G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IMI3] MAMDMAHRDHLLAAAHGALAAATLVACVLAEAAVLALRRGDGAAGMLCYYLVPVSAMLLLYRSRRRAAAARVGLVDFACLRPPPRLRIPVAGLLEHFKLIGCFDDGSVEFMTKVIEASGMGNETYFPPSLHHIPPAATHGEAIREAHMLFFPALDDLFAKTGVPPSSVGAVVVNCSGFCAAPSLSAIIANRYGMPSDVRTCNLSGMGCAAGAIGVDVAAGLLRAHAAMSYAVVVSAEIVTVGWYSGKDQSKLLLNCYFRTGCSAALVTTKRGGGGVKYRLVSVTRTNQTANDRSYRSGYRDEDDEGITGFTLGHGVGRMVSELLRAHLLTLSLSILPWREKLRYVAALLRHRRHDKKAGSGGGIPMPDFRAAAEHFCLPSSGRPMIWRLGQGLGLGEGEMEAALMAFHRFGNQSAASLWYQLAYMEAKGRVRRGDTVWQLAVGSGLKANSLVWERVADDDHFATERHGRTTLGPWADCIHKYPVTEG >ONIVA09G17810.1 pep chromosome:AWHD00000000:9:18062114:18063769:-1 gene:ONIVA09G17810 transcript:ONIVA09G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRCASPRCALAAALIAAVVAATSSSLAEATATPPLPVLPVPTAAQLRWQRREVIMFFHFGMNTFTDSEWGTGREPPAAFRPAALDASQWMDAAAAAGASLVVLVAKHHDGFCLWPSAHTAHSVRASPWRGGRGDVVREFADAARARGLDIGIYLSPWDRHDKRYGREVAYNEYYLAQLHELLTGYGSVSEIWFDGAKGKNATNMTYHFQEWFQTVRQLQSSINIFSDDGPDLRWVGDENGSAGSTCWSTINRSKITIGEAGIEKYLNTGDPRGKDWVPPECDVSIRPGWFWHKNETAKPLPELLEVYYNSVGRNCVLLLNAPPNTTGLVDAADIARLREFRAAVTAIFGTDLAAGSAARASSERGGRFAAANVLDGRDDTYWAPAAAEAEDGGGYWIELRRPASAAARKFNVVRIQEHVAMGQRVERHEVYVDGGGAAVASGTTVGHKRLHRLGAPVAGRTVRVWLASRRGPPLLSAVGLHLDPFAAGGGTM >ONIVA09G17800.1 pep chromosome:AWHD00000000:9:18055666:18060140:1 gene:ONIVA09G17800 transcript:ONIVA09G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase (PRH75) [Source:Projected from Arabidopsis thaliana (AT5G62190) TAIR;Acc:AT5G62190] MPSLPVAAAEPMAVDELASKKSKRKLKAAEVEVEASSRKKEKKEKKRKAKEPSPSSSSSSEEEERSSTSSDEPAPAAKKAKKEKTKEKVVVEEDDDDDDEGELTASGDEDPADPNALANFRISEPLREKLKSKGIKALFPIQATTFDLVLDGHDLVGRARTGQGKTLAFVLPILESLVNGTHKASRRTDYGRPPTVLVLLPTRELAKQVHTDFAFYGATFGLSACCVYGGSDYRSQEMAIRKGVDIVVGTPGRVKDFVEKGTLNFRSLKFRVLDEADEMLNMGFVDDVELILGKVEDVTKVQTLLFSATIPEWVKKLSLRFLKSGKKTVDLVGDEKLKASASVRHLALPCNRAARAQVIPDIIRCYSRGGRTIIFTETKESASDLSGLIAGSRALHGDVAQAQREVILAGFRSGKFLVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLFEPRYKFNVNRIERESGVKFEHISAPQPTDVAQSAGTEAAEAISSVSDSVIPVFREQAEQLLNSSGMSAVDLLAKALAKAVGYTDIKKRSLLSSMDNHTTLLLLTGRSVYAAGFVLSTLKRFMPEERLADVKGITITADGTGAVFDVPSAEVEDYIQGAQNAAMVTVEEVKQLPPLQEREQSGGSRGGGRFGNRRFSGGGGGRGGGGRGFGGGRGRGGGGGNRFNKRY >ONIVA09G17790.1 pep chromosome:AWHD00000000:9:18050743:18053697:-1 gene:ONIVA09G17790 transcript:ONIVA09G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAAVLVRPHIAGVHHLPTGRRLPRLAPPQAQAEKGSVVAASGRVWASASGSFEKDRIGDDDVLASPQIVEESKVDLLKILKSANTIIPHVVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSSVKDFIEAIQRPDAIAAGYVGQFIIKPFLGFLFGTLAVTIFNLPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYFLIGKKLPVDVKGMMSSIVQIVVAPIAAGLLLNRYLPRLCSAIQPFLPPLSVFVTALCVGSPLAINIKAVLSPFGLATVLLLFAFHTSSFIAGYHLAGTWFRESADVKALQRTVSFETGCADVPNGVCSCYGVVQENKRVAHIELLKSVSYRAVFSINSDVRSSKITMKNSQSQNWR >ONIVA09G17780.1 pep chromosome:AWHD00000000:9:18038659:18044895:-1 gene:ONIVA09G17780 transcript:ONIVA09G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRETLATTAPPPMPQLTDPHAILWQKLEAIPMTPDQRVLVGEYLSDKENKAVPVWDTYRIRRYAGYVIDKVPLLGGAMCACTWHFFYNSESLEEGSSIGMKPPLCLDMDRMSGLRERDVSRIGTLLDGIGRCSSLAPRQDNQQQQQRKQPAHAPMALRRKRLRLRRRRETRRRSDGMEMEMVNLKLYLENRCILEENERLREKASALHRENLALRADLRNTSSPATTAAAASSC >ONIVA09G17770.1 pep chromosome:AWHD00000000:9:18034074:18038068:1 gene:ONIVA09G17770 transcript:ONIVA09G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDPTRRLLLLGGSSGEHQPPMVSPCISALVDLASHRRSLPSSVLLPPFHPMPVCFCSSRGPGFFHYHMPPTLTPTVGGVGDGSHHIYPFSDFPFFSMDSIALPTNAHLAGSTVIPLTSSLIGFNEGLPGQPPLWEGYRRLQSDLNVGFPQPNLQMLPLAPVKLEPVTEEHQSRGKSVIIADNLVDSNMGSNMLLGSSSNANQDQIHQLGSPDRILQEHRRIETTENEANDSMCGSSSMPHRRRNSSVGSSRMTRNLDPGAGSSSSHGGNGPVVGDTAAAANLGDGEFSEADKKTIMASEYLSQLVLSDPKKVKRVLCNRRSAARSKERRLNYKLELESKVLVLKIEIEKLSEKLATAQRTFNELLAQNNELKIKIQETGRERQMKEAIFKSIGYESLQVVVDGEFVMPNGTHEETVARLIELLEPETQAGPSQIQGYQP >ONIVA09G17760.1 pep chromosome:AWHD00000000:9:18029393:18031429:1 gene:ONIVA09G17760 transcript:ONIVA09G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36980, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G36980) UniProtKB/Swiss-Prot;Acc:Q9SJK9] MGGSPKSSPPAKSAIDGNLRGKSTDLHPAAHTWIALAINAPVSLTLALTSMSAAAAARDGGLAAATSRIASHGRAGDAAAARAVFDAMPRRDAVAWNAMLTAYARAARPRAALALFARMRAPDAFSLTAALAAAAALRSPAAGAQLHGRLLRLGLRAPLPVGNALVSMYAKCARAADAARAFREMPERNALSWCSLLHAFVVSGHMELAHELFDEMPSKSNVAWNTLLMGHSRSGNAKQCLALFNQMWMSGLTCDDATLCILVDACAELPDPSTGFAIHKVVVQSGWNGIPEVNNSLISFYTKFSLLDCAVQIFESMKTRTTASWNSLIDAHARFGYIEQAALLFESAPETNIISWTAMIGGFARNGLTSEALAHFVKMLTQEYIQPDDFTFGAVLHACASAPCLASGRMVHSCAFQGGFASYLYVANNLVDMYAKCGDVEGANNVFDAIHQKDLVSWNTMLFGFAINGLPKEALEVYEIMTYHNVSPDEVTFTGLLTACSHSGLLEQGRAFFESMMSVHGVQPKPEHLSCVLDMYARSGNIAKAIEMMEQYPEIVKSPGSGLSEALLSFCSSENLDFWVGRKVGDDVVARAPARDTGYVMLSNLLCASGRWDEAERVRRAMAEQGIKKSPGCSWIEVKGKVKVFVSSEQAIDLTDTVYGLIYLLDYEMRNSMLLCDV >ONIVA09G17750.1 pep chromosome:AWHD00000000:9:18024502:18028848:1 gene:ONIVA09G17750 transcript:ONIVA09G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24160) TAIR;Acc:AT4G24160] MRRAAAAAVTVTTTTRMAAEGMSTAAAAAEATATAAPAAGSRWGRAWPSALRWIPTSTDRIIAAEKRLLSIVKTGYVQEQVNIGSSPPGSKVRWFRSSSDEPRFINTVTFDSEENAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALQHPEHVQHLILVGPAGFSSETEHSSEWLTKFRATWKGMLVNHLWESNFTPQRIVRGLGPWGPGLVQRYTSARFGSHSTGELLTEQESTLLTDYIYHTLAAKASGELCLKHIFSFGAFARKPLLQSASDWKVPTTFIYGQQDWMNYQGAQQARKEMKVPCEIIRGGHFVFIDNPSGFHSAVFHACRKFLSGDGEEGLSLPEGLTSA >ONIVA09G17740.1 pep chromosome:AWHD00000000:9:18021567:18023438:-1 gene:ONIVA09G17740 transcript:ONIVA09G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASILAPAIRGLISTEAQVRTQLKRAEKVPFHSHSYPESSQTLAGERHRRAREAAAHEQRHRGRERPAGRTPGRVPVSSRLPAAAASETRSGTKTDMASGGMKDFYRQKKKGGPTKASSSSKKKTQHYTGGASVGASDTAQTSALISHGNLDLKDDFSEQEEQLRLFDMDMKFGPCIGVTRLQRWERASAMGLHPPPHLRDLLLNNTHAGNHNNNGPSLECLWEGKV >ONIVA09G17740.2 pep chromosome:AWHD00000000:9:18021567:18022047:-1 gene:ONIVA09G17740 transcript:ONIVA09G17740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGMKDFYRQKKKGGPTKASSSSKKKTQHYTGGASVGASDTAQTSALISHGNLDLKDDFSEQEEQLRLFDMDMKFGPCIGVTRLQRWERASAMGLHPPPHLRDLLLNNTHAGNHNNNGPSLECLWEGKV >ONIVA09G17730.1 pep chromosome:AWHD00000000:9:17986127:17986657:-1 gene:ONIVA09G17730 transcript:ONIVA09G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIILSPCVTLSFFLSQPSFLFSLSVRLQRSGVAAGRRATVGRDPRQVGGKGIDDFFPSHTHPVVSPAPLLRGSGGDGGGGGGGGQGRIRRQSVVMASVTATASLLPSVAVVASGGSGGSGGSGEWIWRRWRWPRAIRRQSATAEGGSGRLFFHPCLFLFRGIRHWDQVHELYSD >ONIVA09G17720.1 pep chromosome:AWHD00000000:9:17981099:17981953:-1 gene:ONIVA09G17720 transcript:ONIVA09G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLSPCSSFSPPSPSSMFSTGAAAAAAHAVLEFTSCEVPDEWLMGDVVMAKNEEDVGGGELWPVFAGGSLSPDSELSELPRSFEAAAAQRPAKRRGRKPGPRPDGPTVSHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRARVARLESDARQAAAARFEPSSCGGGGNASYHGGGGGGGAAPGLDEAVEVRKMGRDAAAVRVTTTGARHAPARLMGALRSLELPVQHACVMRVHGATTVQEILVDVPAALQDGDALRAALLQRLQDS >ONIVA09G17710.1 pep chromosome:AWHD00000000:9:17971114:17972151:-1 gene:ONIVA09G17710 transcript:ONIVA09G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01180) TAIR;Acc:AT1G01180] MKPRPSPAAASSGGVPARLRPHLTRLTVFLIVFSAGYSVGIMSSSIRPPASKPSQTVIRPRAAHLTGTASSTDVPAASNGSAAAAANYPRSPPHDLFRFREECGEAIPSDAVVRTLLDKLFDGESPYESFPPPHTAALLHPAAARPRGWGSTGAVFAELIEEVRPDVIVELGAFLGASALHMAAVSKNLSLSPAILCVDDFRGWPAFRDRFRRDVPPPRHGDALLLPQFMSNVAAAGADATARVLPLPFSTASALAALCHWGVYADLIEVDAGHDFHSAWADINLAWAVLRPGGVMFGHDYFTAADDRGVRRAVTLFARVKGLTVRPHGQHWVLSPKPPLRRDGR >ONIVA09G17700.1 pep chromosome:AWHD00000000:9:17964502:17964848:1 gene:ONIVA09G17700 transcript:ONIVA09G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; CONTAINS InterPro DOMAIN/s: Cytochrome c oxidase assembly protein PET191, N-terminal (InterPro:IPR018793); Has 241 Blast hits /.../ proteins in 124 species: Archae - 0; Bacteria - 0; Metazoa - 100; Fungi - 94; Plants - 38; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G10865) TAIR;Acc:AT1G10865] MAKSCKGLAMELVKCLSETDCVKVQKRPYKECAGEKVPNITSECVGLRETYFNCKRGQACVPPPALNHCRNYQLC >ONIVA09G17690.1 pep chromosome:AWHD00000000:9:17961826:17962173:-1 gene:ONIVA09G17690 transcript:ONIVA09G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVFGVIKKRRRRRRRPPGRCYERLHSAGGGGGGGVYRSQSCRFPVRAPADEEEELELLYYDDGGRRRASPAGALSGEMPASAVGCSERGFLSRSLRFSSMRVLTCVSGA >ONIVA09G17680.1 pep chromosome:AWHD00000000:9:17956210:17960732:-1 gene:ONIVA09G17680 transcript:ONIVA09G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) TAIR;Acc:AT2G43980] MPSMRVTTDTWPRRAAQEPLLLLLLRSSLMKSASLQALNPNRAMAAMGRSVRVVLDSSVLLDPSGVTAEEEEVVVALRPGAEALLRRLRYSNLRVAICHPEGLTTNESGFLEKTAKLYSFGYMPLTSPSGSNLLNELMLEWSETNFCFYVTSGVHEGLLSELQNHNWEVIAMGNEDVIKNSGVIHISMLQELLITLATSIKKEIGNSSAFVRGAFPIYPSKNDLIFVPLSFELPLASQLQEVDLVLHKITDEIINIDPNSSISFPKGISFSPGMSEIIRFVEEHCDFCVIDPFKNIYPLLDRIQIQEILIRLEGLSAEGRPKLRAPCFLKIESFCGSELQKQLAEAKLSFPLIVKPQVACGVADAHNMALIFKIEEFSNLSVPLPAILQEYIDHGSKIFKFYAIGDKIFHAIKNSMPNASHLKSSSGGKPLTFNSLKTLPVATKEQLLQNEVQDSKLLDINLVEEAAKLLKELLGLTIFGFDVVVQESSGDHVIVDLNYLPSFKEVPDNVAMPAFWDAIKQSYESRKQMTQT >ONIVA09G17670.1 pep chromosome:AWHD00000000:9:17952264:17955305:-1 gene:ONIVA09G17670 transcript:ONIVA09G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKRVTGMAKGLSAVPARKNEDESLVLFGELYRHEKEKDVNLLEPMYSVEFEAIQGTSRMFKLPSGKKDYLLPDGGKHDYDWLKTPPATPLFPSLEMEANSSQMVFQRELPILQPVKTSRFSIKPEPTSTSTRTESPTSSSTKSATPTARSSSSSSKKNFTKGDPALSEVTTAYKMDKRSSYTPLKNIQQLAAPTTKSTAASKAAKKTSASKKPEFPGSTNAVNKMAKLGIPDKPLKKTTATAPKARSKDPAIGMKDLKMDAGTARRMPCPPAATVGSNNELNKVAGKGRRRTGGEPAPGNGSRATEATTNGRRRAVAEKEHGQRLGSLAKNSVSESTDMDHDWLVDDLLIFFMFFSSIL >ONIVA09G17660.1 pep chromosome:AWHD00000000:9:17948582:17954800:1 gene:ONIVA09G17660 transcript:ONIVA09G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSSRLGALEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTELHLAARAGSVPHVQKIFAASDPELVGELAARQNQDGETALYVSAEKGHTEVVSEILKFCDLQSAGLKATNSFDAFHIAAKQGHLDVLKELLQAFPALAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDLSVIHVEDNKGNRALHVATRKGNTVIVQTLISVKEIVINAVNRAGETAFAIAEKLGNEELSNILREVGGETAKEQVNPPNSAKQLKKTVSDIRHDVQSGIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFTIPGNFLEDMKDPHDPSMTLGQAFVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVMNKLMWMACLCISAAFIALTYVVVGRDDRWLAWCTMAIGTAIMLATLGSMCYCIIAHRMEEKNMKKIRRSSTSQSWSISVDSDTELLNNEYKKIYAL >ONIVA09G17660.2 pep chromosome:AWHD00000000:9:17948631:17954800:1 gene:ONIVA09G17660 transcript:ONIVA09G17660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSSRLGALEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTELHLAARAGSVPHVQKIFAASDPELVGELAARQNQDGETALYVSAEKGHTEVVSEILKFCDLQSAGLKATNSFDAFHIAAKQGHLDVLKELLQAFPALAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDLSVIHVEDNKGNRALHVATRKGNTVIVQTLISVKEIVINAVNRAGETAFAIAEKLGNEELSNILREVGGETAKEQVNPPNSAKQLKKTVSDIRHDVQSGIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFTIPGNFLEDMKDPHDPSMTLGQAFVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVMNKLMWMACLCISAAFIALTYVVVGRDDRWLAWCTMAIGTAIMLATLGSMCYCIIAHRMEEKNMKKIRRSSTSQSWSISVDSDTELLNNEYKKIYAL >ONIVA09G17650.1 pep chromosome:AWHD00000000:9:17927205:17935730:-1 gene:ONIVA09G17650 transcript:ONIVA09G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTIFLSELPPSHMAFLAFSFQPLAFSMNSLLLSPSITSLTHLSNSSFLTTPSPSGRTRTPTRHTSSMYLAFIVWSDHCGTATIGTPALNPSVVEFHPQCVTKHPTAGCASTSSCGHHVTMSPLPCFAALAAKPSGILEVSDALTTQRKGLPVLYKPSATSAICAGLGVATLPKETYATVRGGLASSQAFVSVVGEKRWKPYDVSSGRRLSRYADGTVGPIVLAPHVAAMYSAS >ONIVA09G17640.1 pep chromosome:AWHD00000000:9:17936850:17946194:1 gene:ONIVA09G17640 transcript:ONIVA09G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTPAVTRINGNVVVSSPTLERALRVAASTSSPFRVQWTVVGRATTPSALPRPRLHLPPKYHIQWRSDLGDWHHLLQLRPPSSIFDFSLSEIEPSPFIQGEAPPPRHSANHRRRPSFADLIRAPGRRRASSPSAVNPGDTPPPLPRRGRAIPARAGRRHKEELGKEKEREEEHVHVLLLSYPAQGHVNPLLQFGKRLAAHRRVRCTLAVTRSLLNSCCRAPPSPGGGGGGVHVATYSDGCDARGYDELGDEGAYLSRLESAGSATLDELLRGESGEGRPVRAVVYDAFLPWAAPVARRHGASCAAFFTQACAVNVAYAHAWAGRVELPLPTSAPAPPLPGVPPELEPADFPTFLTAPAAGRSAYLDLLLRQCQGLEVADHVLTVGPTVPSAYLDGRLPGDASYGFDLHTPMAAESKAWLDERAASSVVYVSFGSLATPSAAQMAELAHGLRDSGRFFLWVVRSSETGKLPDGFAGETAAKNTTGLIVPWCPQLEVLAHGAVGCFVTHCGWNSTVEAVSAGVPMVAVAQWSDQPTNARYVEEAWRVGVRARADGEGVVRKEEVARCVARVMDGETGMEFRTNAARWSAMARAAMSQGEKDPKQCLGIGSAQKNIDLPVAVRKNSAGKKDDLAVKAITKSGAETKNQVEFSIGSGLGHNYKTVGTARYQNPGRWIWPDPTAGRVWYRAVPILSLCSTTRKTEVEGQKRNFVCNNRIGRDGRAARTLEALGFSIDRRRRLLEVNSAVAAAASGKDDIDAATVAGNNEFAAAVACYNVAAATVAGKDDINAAAAGKEDIDAAATGNDEFATAAAYFNAAAAGKDEFVAAAAACFNACRNPPLAAT >ONIVA09G17640.2 pep chromosome:AWHD00000000:9:17936850:17946194:1 gene:ONIVA09G17640 transcript:ONIVA09G17640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTPAVTVELSPSLPHHRSILTLTCRRFFILIPSSLVQRINGNVVVSSPTLERALRVAASTSSPFRVQWTVVGRATTPSALPRPRLHLPPKYHIQWRSDLGDWHHLLQLRPPSSIFDFSLSEIEPSPFIQGEAPPPRHSANHRRRPSFADLIRAPGRRRASSPSAVNPGDTPPPLPRRGRAIPARAGRRHKEELGKEKEREEEHVHVLLLSYPAQGHVNPLLQFGKRLAAHRRVRCTLAVTRSLLNSCCRAPPSPGGGGGGVHVATYSDGCDARGYDELGDEGAYLSRLESAGSATLDELLRGESGEGRPVRAVVYDAFLPWAAPVARRHGASCAAFFTQACAVNVAYAHAWAGRVELPLPTSAPAPPLPGVPPELEPADFPTFLTAPAAGRSAYLDLLLRQCQGLEVADHVLTVGPTVPSAYLDGRLPGDASYGFDLHTPMAAESKAWLDERAASSVVYVSFGSLATPSAAQMAELAHGLRDSGRFFLWVVRSSETGKLPDGFAGETAAKNTTGLIVPWCPQLEVLAHGAVGCFVTHCGWNSTVEAVSAGVPMVAVAQWSDQPTNARYVEEAWRVGVRARADGEGVVRKEEVARCVARVMDGETGMEFRTNAARWSAMARAAMSQGEKDPKQCLGIGSAQKNIDLPVAVRKNSAGKKDDLAVKAITKSGAETKNQVEFSIGSGLGHNYKTVGTARYQNPGRWIWPDPTAGRVWYRAVPILSLCSTTRKTEVEGQKRNFVCNNRIGRDGRAARTLEALGFSIDRRRRLLEVNSAVAAAASGKDDIDAATVAGNNEFAAAVACYNVAAATVAGKDDINAAAAGKEDIDAAATGNDEFATAAAYFNAAAAGKDEFVAAAAACFNACRNPPLAAT >ONIVA09G17640.3 pep chromosome:AWHD00000000:9:17926303:17936524:1 gene:ONIVA09G17640 transcript:ONIVA09G17640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCPRCTRHLFVQRSRHDLYIKHRTLALLSTISSKSQPRKSSTRESKLEAMVVQSGEGSVHVVLVPYPSQGHINPVLQFGKRLAGHDGVRCTVAVTRFVVGSTTKPCSLGSSPVRVAVFSDGCDEGGPAELGGHRGPYFQRLEEAGSVSLDELLRGEAERGTPATVVVYDTFMPWVPRLARRHGAACAAFLTQTCAVDVVYTHARSGRLPVPVGEADGPLRLPGLPVELDAGDVPTFLAAHDTHHPSMRALLMNQFVGLDNVDHVFVNSFYELEPQEAEYMAATWGARTIGPTVPSAYLDNRLPDDASYGFHLHTPMAAACREWLDARPAGSVVYASFGSIAAPGPETMAEVAEGLYSSGSPFLWVVRATETGKLPAGFAARAKNTGLIVPWCPQLEVLAHAAVGCFVTHCGWNSTVEALSAGVPMVAVPQWSDQTTNARYIEDVWRVGVRVRGGGGGDGGAVVRREEVERKVREVMEGERSKEFMRNAASWSSKARSAMGEGGSSDRNIAEFLSKYRSQKQHRASLVAAMASSERGGGGGGGIHVVLLPYPSQGHINPILQFGKRLAGHGGVRCTLAVTRFILRQGEPPSTGAVHVAAYSDGYDAGGFHEAGSAGEYLSRLESRGSDTMDALLRAEAEQGRPVDAVVYDSFLSWAPRVAARHGAATASFFTQACAVNAAYESVFTGRVELPLAADGEESLRLPGISVGLTLDDVPTFMANTEDSPAYLDLLVNQFKGLDMADHVLVNSFYELQPQEAEHMASAWRAKTVGLTVPSAYLDNRLPDDTSYGFHLFSPTTETKAWLEARPPRTVAYVSFGSVATPSPAQMAEVAEGLYNTGKPFLWVVRASETSKIPEGFAAKAAKQGRGLIVTWCPQLEVLAHPAVGCFVTHCGWNSTTEGLSAGVPMVAVPQWSDQTMNAKYIEDVWRVGVRVRPDGEGVVRKEELERCVREVMEGERSKEFMENANGWKEKARNAMCEGGSSDKNIVEFIAKIGLI >ONIVA09G17640.4 pep chromosome:AWHD00000000:9:17926303:17936524:1 gene:ONIVA09G17640 transcript:ONIVA09G17640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCPRCTRHLFVQRSRHDLYIKHRTLALLSTISSKSQPRKSSTRESKLEAMVVQSGEGSVHVVLVPYPSQGHINPVLQFGKRLAGHDGVRCTVAVTRFVVGSTTKPCSLGSSPVRVAVFSDGCDEGGPAELGGHRGPYFQRLEEAGSVSLDELLRGEAERGTPATVVVYDTFMPWVPRLARRHGAACAAFLTQTCAVDVVYTHARSGRLPVPVGEADGPLRLPGLPVELDAGDVPTFLAAHDTHHPSMRALLMNQFVGLDNVDHVFVNSFYELEPQVRPKLVANGVCSPSPRVPDILFVAQEAEYMAATWGARTIGPTVPSAYLDNRLPDDASYGFHLHTPMAAACREWLDARPAGSVVYASFGSIAAPGPETMAEVAEGLYSSGSPFLWVVRATETGKLPAGFAARAKNTGLIVPWCPQLEVLAHAAVGCFVTHCGWNSTVEALSAGVPMVAVPQWSDQTTNARYIEDVWRVGVRVRGGGGGDGGAVVRREEVERKVREVMEGERSKEFMRNAASWSSKARSAMGEGGSSDRNIAEFLSKYRSQKQHRASLVAAMASSERGGGGGGGIHVVLLPYPSQGHINPILQFGKRLAGHGGVRCTLAVTRFILRQGEPPSTGAVHVAAYSDGYDAGGFHEAGSAGEYLSRLESRGSDTMDALLRAEAEQGRPVDAVVYDSFLSWAPRVAARHGAATASFFTQACAVNAAYESVFTGRVELPLAADGEESLRLPGISVGLTLDDVPTFMANTEDSPAYLDLLVNQFKGLDMADHVLVNSFYELQPQEAEHMASAWRAKTVGLTVPSAYLDNRLPDDTSYGFHLFSPTTETKAWLEARPPRTVAYVSFGSVATPSPAQMAEVAEGLYNTGKPFLWVVRASETSKIPEGFAAKAAKQGRGLIVTWCPQLEVLAHPAVGCFVTHCGWNSTTEGLSAGVPMVAVPQWSDQTMNAKYIEDVWRVGVRVRPDGEGVVRKEELERCVREVMEGERSKEFMENANGWKEKARNAMCEGGSSDKNIVEFIAKIGLI >ONIVA09G17640.5 pep chromosome:AWHD00000000:9:17926303:17936958:1 gene:ONIVA09G17640 transcript:ONIVA09G17640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCPRCTRHLFVQRSRHDLYIKHRTLALLSTISSKSQPRKSSTRESKLEAMVVQSGEGSVHVVLVPYPSQGHINPVLQFGKRLAGHDGVRCTVAVTRFVVGSTTKPCSLGSSPVRVAVFSDGCDEGGPAELGGHRGPYFQRLEEAGSVSLDELLRGEAERGTPATVVVYDTFMPWVPRLARRHGAACAAFLTQTCAVDVVYTHARSGRLPVPVGEADGPLRLPGLPVELDAGDVPTFLAAHDTHHPSMRALLMNQFVGLDNVDHVFVNSFYELEPQVRPKLVANGVCSPSPRVPDILFVAQEAEYMAATWGARTIGPTVPSAYLDNRLPDDASYGFHLHTPMAAACREWLDARPAGSVVYASFGSIAAPGPETMAEVAEGLYSSGSPFLWVVRATETGKLPAGFAARAKNTGLIVPWCPQLEVLAHAAVGCFVTHCGWNSTVEALSAGVPMVAVPQWSDQTTNARYIEDVWRVGVRVRGGGGGDGGAVVRREEVERKVREVMEGERSKEFMRNAASWSSKARSAMGEGGSSDRNIAEFLSKYRSQKQHRASLVAAMASSERGGGGGGGIHVVLLPYPSQGHINPILQFGKRLAGHGGVRCTLAVTRFILRQGEPPSTGAVHVAAYSDGYDAGGFHEAGSAGEYLSRLESRGSDTMDALLRAEAEQGRPVDAVVYDSFLSWAPRVAARHGAATASFFTQACAVNAAYESVFTGRVELPLAADGEESLRLPGISVGLTLDDVPTFMANTEDSPAYLDLLVNQFKGLDMADHVLVNSFYELQPQEAEHMASAWRAKTVGLTVPSAYLDNRLPDDTSYGFHLFSPTTETKAWLEARPPRTVAYVSFGSVATPSPAQMAEVAEGLYNTGKPFLWVVRASETSKIPEGFAAKAAKQGRGLIVTWCPQLEVLAHPAVGCFVTHCGWNSTTEGLSAGVPMVAVPQWSDQTMNAKYIEDVWRVGVRVRPDGEGVVRKEELERCVREVMEGERSKEFMENANGWKEKARNAMCEGGSSDKNIVEFIAKIGDTERLRPLL >ONIVA09G17640.6 pep chromosome:AWHD00000000:9:17926303:17936524:1 gene:ONIVA09G17640 transcript:ONIVA09G17640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCPRCTRHLFVQRSRHDLYIKHRTLALLSTISSKSQPRKSSTRESKLEAMVVQSGEGSVHVVLVPYPSQGHINPVLQFGKRLAGHDGVRCTVAVTRFVVGSTTKPCSLGSSPVRVAVFSDGCDEGGPAELGGHRGPYFQRLEEAGSVSLDELLRGEAERGTPATVVVYDTFMPWVPRLARRHGAACAAFLTQTCAVDVVYTHARSGRLPVPVGEADGPLRLPGLPVELDAGDVPTFLAAHDTHHPSMRALLMNQFVGLDNVDHVFVNSFYELEPQEAEHMASAWRAKTVGLTVPSAYLDNRLPDDTSYGFHLFSPTTETKAWLEARPPRTVAYVSFGSVATPSPAQMAEVAEGLYNTGKPFLWVVRASETSKIPEGFAAKAAKQGRGLIVTWCPQLEVLAHPAVGCFVTHCGWNSTTEGLSAGVPMVAVPQWSDQTMNAKYIEDVWRVGVRVRPDGEGVVRKEELERCVREVMEGERSKEFMENANGWKEKARNAMCEGGSSDKNIVEFIAKIGLI >ONIVA09G17630.1 pep chromosome:AWHD00000000:9:17908745:17916079:1 gene:ONIVA09G17630 transcript:ONIVA09G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IMF7] MGSHGDDGGGGGAHVLLLPYPSQGHVHPMLQFAKRLAFHGMRPTLAVTRYILATCASPDAAAAGAVRFATISDGCDAGGFGECCDDDGGGGVTAYLSRLESAGAATLDKLLRDEASDSGGRRPVRVLVYDAFLPWARPVAARHGAAAVAFFTQPCAVNVVYGHVWCGRLRVPVEAGDGEDGGGGAVALPGLPALSPEGLPWFIKVGPGPYPAYFDLVMKQFDGLELADDVLVNSFYELEPEEAAYMASAWRAKTIGPTVPAAYLGDGRMPGDTKYGFHLFELTTAPCVAWLGAHPPRSVVFASFGSLSDLDPAEMREVALALLDAGAPFLWVVRSSESHKLPAGYAAAAAAANGMVVSWCPQLEVLAHPAVGCFLTHCGWNSTAEALVAGVPMVALPQWTDQPMNAEYVEAVWGAGVRVRPAAAGGLAARAEVARGIEEVMRGERSGEYRRNAAAWMEKARAASREGGSSDRNIAEFVAMYG >ONIVA09G17620.1 pep chromosome:AWHD00000000:9:17901664:17902035:1 gene:ONIVA09G17620 transcript:ONIVA09G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSAAEATGCSYSQRRRHCCWWHEQEAEAMPRATATRAGKGGGGRIGGSNDGGGNWHMRQESAVTTTLRILSCCSRHRDVAATATATAETTLLLVARTRGGGDAAGCGYSRRQGRQRANRWI >ONIVA09G17610.1 pep chromosome:AWHD00000000:9:17896917:17900540:1 gene:ONIVA09G17610 transcript:ONIVA09G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKAKSNKRKKLECIISRLPRDLIEQVFLSLPVKTLLNCIGVCKQWRSIIRDPKFVTSHLQLAPHCALLFFPRVLVSSCGLYPSEAILIDEAWSQSTWDVPVIGPDDFLCGSSNGLVCLYTHTTTIKIANLATGECLHLAKPAKNLTDDHFSFYSFGFHPLTKEYKVTHFLASSHETRIRAKVDSFDGVQVYTLGDEKWKYIGAPEALSLNCVKNSGVVNVDGTMYWLTEDQGASWHHAVMSFDLNKESFGRIQLPTAALEDSAFYGPRRYWIKEIDGKVCIATCQTSDNQPILLRGEIHIWALDINLEQKWIQKYIIQPSAQHIPGPNIVHRDKIVLQHDARNLCSYELLGKNVEVKLSNMEKLLDFSPRKPGSMQVYTFVKSLVRLDSYKKASIVRRPKRKEGWELKKWEAWESQRRKIEDIWKKVLQSEQYSIVTTKNLRTTINRLMQRLPDDEALKCIGMKIDQMLHYLPEDCPNQACDDVFRTARSWLSDQGTSISTADASFWFDLQHFLLGGGGAR >ONIVA09G17600.1 pep chromosome:AWHD00000000:9:17893981:17896695:-1 gene:ONIVA09G17600 transcript:ONIVA09G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRSTATAALALSRTLARRPAASSSSRRISLELSAPRGTNPFQSAAFSSTTTGDPPPPTMDSPIKVVSHIGGSGGDGGGGAIDAGRSARKPLSLWPGMYHSPVTNALWEARSSIFERMIDAGAAGKQQQQPPQTELLTKTPAGSRTSIVYKFATDDILREQYRDPWNEVRIGKLLEDLDALAGTIAVKHCSDEDSTTRPLLLVTASVDKMELKKPICVDTDLKIAGAVTYVGRSSIDIQIEVTQVDQDSDMQSDPIALTANFTFVARDSMTGKSAPVNRLSPETEKEKQLFAEREARDKLRKRKREEQKGVFENGINKLHVEAERLNSLLAEGRVFSDLPALADRDSILLKDTRLENSLICQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQRPCFLEVDHVDFLKPVDVGDFLRFKSCVLYTQLDNAEQPLVNVEVVAHVTRPELRKSEVSNTFHFTFTVCSDALKNGLKIRHVVPSTEEEARRILERMDAEGLFD >ONIVA09G17590.1 pep chromosome:AWHD00000000:9:17890137:17893450:1 gene:ONIVA09G17590 transcript:ONIVA09G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IMF3] MLARWLLVLLLLLPVSWCHQDRHGRRHYPRRWRSSGSRRELHEPLFPLENAPALPPPPPPPPAPFFPFLPDSAPPQLPPPVTTPAPAGGAGDGGTDAGAAATGDASSSSSSSASPHPTAPANISYMAMPIYHSAPLRSFLSSHRLLTVLLPVAAVLAAVLAAALVYLLTRRRRCSKGEPHAAHTKAVLLSPGNSTALYDGDHDQHGRGSTATAASSASSPELRPMPPLPRQFQQTRTSMPSTSQTIHEAGAEDKRAPPPQSVRPPPPPPPPPPPPPMPPRTDNASTQAAPAPPPPLPRAGNGSGWLPRRYTERAAPTVIRASAGAVHPEESPARASPEEKAADAAARPKLKPLHWDKVRPASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRASKNGVKEANAACCNQENKVLDPKKSQNIAIMLRALDATKEEVCKALLDGQAESLGTELLETLLKMAPSREEEIKMKEFREDAVSKLGPAESFLKAVLAIPFAFKRVEAMLYIANFDSEVDYLKTSFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNASAFKLDALLKLVDVKGADGKTTLLHFVIEEIVKSEGASILATGQTSNQGSAIADDFQCKKVGLRIVASLGGELGNVKKAAGMDSDTLASCVAKLSAGVSKISEALQLNQQLGSDDHCKRFRASIGEFLQKAEAEITAVQAQESLALSLVRETTEFFHGDSVKEEGHPLRIFMVVRDFLTVLDHVCKDVGRMNERTAIGSSRRLENAPVLARFNAVQPSSSEEESSSS >ONIVA09G17580.1 pep chromosome:AWHD00000000:9:17882731:17882979:-1 gene:ONIVA09G17580 transcript:ONIVA09G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQEEARVPVVVGGCRSGGGGGGGRWTVYLRRRAREQLLLPHRASGEWATVGGGRWGVWAAGAKEAATAADLGRVGDGGR >ONIVA09G17570.1 pep chromosome:AWHD00000000:9:17868455:17878104:-1 gene:ONIVA09G17570 transcript:ONIVA09G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDGVLNRAGSAVADEAALLLGVRREVEFIRDELDMMRSFLKVATANPDADDTVRTWVKQVRDLAYDVEDSLLDFALFADTSSSSSSSSWWLPWRIAERHRVAARIRELKASVEELNQRFLRYRIVVEHPRASRGGGASDDQQQLHDHDGQYYSAELAFQESDIIGRAREKAEVTALVLSGCGGGDVVGGGALGVVSVWGMGGMGKSSLVRMVYNDPELLDAFDCGAWVTVPHPLDSADEFVRRLRRHLAVGKDQDVHAYLREKRYVIIVDDLHSREEWEHIWPVLHVDGGKGSRVVVTTRREDVARHCAGLVREGHGHVYELRPLGREESKDLFCQKVYKSTEYILEKEMEDLAGPILKRCRGLPLAISTIGGLLANRPKTGIEWIKLDEHLGAELESSDLRNITKVIVSSYDGLPYYLKSIFLYLSIFPENHEIRCTRLLRRWMAEGFIAKNRDMPVEEVGQRFYNELINRSMIQPSKKRISPSVSVDRCRVHSMVLQIILSKFIEENQLFIIKKHCNEVPQSKIRHLVVSRWKRRDERLENINFSYVRSLTVFGDCPASLISPKMRLLRVLDLEDSLNLKNEDLRHVGELHHLRYLCLRGTEISKLPSLQNLRYLETLDIQDTKVTQLPDGIAKLEKLRYLLAGVNFSKELLHKVEQPETDNRKANQLGNMLSCLYCNSRDYCGISSLDRVSVRAPEGVEKLRDLHMLGVINVGHGNGVVGKIKKLTNLRRLGVSGVLKEEGQDLCKSIEKLSRLQRLELRSDSLKFLAESEFAAPKHLLSLRLYGNLVRLPKWIGSLNDLAKLKLLGTQLKQGEIMHLGKLRNLAFLGLWDNSYVGYSLHFGPGTFPKLKFLDIDGLKNIETVAIENGAMPELEQLWVNDCKGLLDSKDGLSGVPHLTNLNELLVKKCGEKENLMEILQTQVSEHSKRPKFLIEYFVWLVTEESKAEESKARREMEATAVSLARTVLDGVLGGAGSAVADEAALLLGVPREVDFIRSELEMMQSFLRATSGCAGDTARTWVKQVRDLAYDVEDCLLDFALHAHAHASSSSCAPPLWLRPWRLAERHRVAARIRELKASVEELNQRNHRYHVVPVLAAGDQQQQQHEPPAAPPSARGEQHHLRFRDWQVIGRGEEESELAKLISSGGDDDAETRRRVVSVWGMGGMGKSSVARSVYNDPAIVDGFDCRAWVTVPHPLDSAGEFKRRLVAQLETEVDGGGGGDDVSAWLRQKRYLIVVDDVRSLEEWEHIEPCLVESDAGGGRVIVTTRQVDVAQRCVRGMEHAYELKTLAAPHDMRLLCQKVYKDPEYTLQLHMLEEANKILGRCRGLPLAIATIGGLLANRPKTSAEWKNLRIHLGSELEFDQDINSINRVITSSYDGLPYHLKSCFLYLSIFPENHEIRYTRLVRRWIAEGYIAKRRDMTVEEVGQKHYNDLMNRSMIRPMKKKIGASMAVERCQVHGMVLQIILSKSIEENQLFIIDKHCNEVPQSKIRHLVVTRWKRSEEKMATNINLSLVRSLTVFGECPASLISPKLRLLRVLDLENAVDLENDDLKHIGDLHHLRYLGLRGTNISRLPSSLQNLKCLETLDVQDTKVTHLPDGTAKLEKLRYLLAGVNFAEDLAEKMQTNAKNKANKCNGNLLETLADVVCRCRRGFSECCESSSSCFAGHFSVRAPEGIEKLRNLHMLGVVRIERDSGVAQKLGKLISLHRLGVDLDATGEEGKALCNSIQKLARLERLEVRSKSLLFLNDLNGLAPKHLLSLRLYGHLEKLPDWVSSLNDLAKVKLLETQLEQKDINLLGNLSNLTSLGLWGKSFAGVSLHFSRDMFKNLKSLHIQGLENLETLNFEKSAADRLEKLLVKKCFALSDNERGISDILFLKNIVEITLISKGDKPHLQKGLQRQVSEFELVNNRRPKLQIVNSMSGRSPRANTIVG >ONIVA09G17560.1 pep chromosome:AWHD00000000:9:17866777:17868086:1 gene:ONIVA09G17560 transcript:ONIVA09G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTSQSTATAAAMIAMKPPAPAKNIATLLPPGAKCRRAFLRGVIAAGAGGSLLVAGDGGGIASAASKRRAPPAAAAPEERKDPSVSGVQAKVLASKKRKEAMKEFVAKMREKGKPVSQ >ONIVA09G17550.1 pep chromosome:AWHD00000000:9:17865109:17867113:-1 gene:ONIVA09G17550 transcript:ONIVA09G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAGAGGFIAIMAAAVAVLCDVRGAMRCFVLVLSLRMVQPLARTLQCKGSTIAHENVTH >ONIVA09G17540.1 pep chromosome:AWHD00000000:9:17857947:17864666:-1 gene:ONIVA09G17540 transcript:ONIVA09G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVQAGAAPASPSAAAALGFLLPTCWEIEVTCAAAMILVALYAAYELLAPRPASAAGGSSAAGDDLLLVRDLDGADKYKGGSSGPSAYVVKLELLAAKNLIAANLNGTSDPYALITCGEEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIVWKSTVLGSVIVPVESEGQSGPVWYTLDSTSGQVCLHIKAIKVHESSSRALNSSAEAGARRRISLDKQGPTVVHQKPSHLQTIFGLPPDEVVEHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQIKVMLPLRDIDEIRRSQHAVINPAITIFLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHTFRALQRAVKNFHTMIEAEKQERAQSALRAHSSSRKSSMKEINVPEDCADLTGQLQPFVKEEVLVTVFNGSFPCTAEEFFNTLLSDDSSYITEFRTARKDKDINLGQWHHADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHMVLSADKTDLVFETVQQVHDVPFGSFFEVHCRWSVKTTSSDSCSLNISAGAHFKKWCIMQSKIKSGAVDEYKKEVQEMLEFAESYMRKVRTSNQDNGTPTEQDNGAVTEQENVAPDNTPNDE >ONIVA09G17530.1 pep chromosome:AWHD00000000:9:17856129:17856308:-1 gene:ONIVA09G17530 transcript:ONIVA09G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRLASRGEEGTRTGFRGRMVEAARSPAAATVGESAARVRRGGRGRDGGEEGEMRWTE >ONIVA09G17520.1 pep chromosome:AWHD00000000:9:17855863:17856883:1 gene:ONIVA09G17520 transcript:ONIVA09G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKENPGGGGGGGPAPPRPDCVKCFDALWFCYSPYYQLQNYYRHGEFDNCFGKWGDLVDCLWLKTRRAAEAEEILAAREKARPHIWTYRTVDEASDNWLRMYGHLVGLGGEDGGGGLVRVITPPSAAAVPRPATFPGLAAAATAAVPRPPPFTDAGAAPSPPKSGGS >ONIVA09G17510.1 pep chromosome:AWHD00000000:9:17839121:17843440:-1 gene:ONIVA09G17510 transcript:ONIVA09G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEDQHDLILSDKIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGNPEDLVVTSSSNFLGNKKAQPTNGLKTTNGLKTTNGLKDTSSLSLEKEKGKLGKINASHKTIGAENKKPMLTKSNSSLSKQALNGLGDKKEAIKSKAKPAGTRSTPSSPTSVYSLPASFDRFSNDLKQRNKVKGAEKASSSRLSLLEKAASVLKVTTAGRKSSAGPKALRRSWEGKVDTKGKTNSDSKMTKLEKKPENRGTMATLPRRKPPVDEKVQHKDDSKLQNPAKKTTANAPSDDADKAMKKHPPTVKRTSGISNPNVTNLVKVPPNSKKLTDASNSWTTLPPSLAKLGKELLKYRESAQMAAVEAIQEASAAESLLRCLRLTNMLNTTGHGDSAPGQVASVCDSDLINVVTFLLACVGRSSSYAEVSSTAEEQNPQPAVERFLALHTALSRAAVITDTLARSSAASVSSPDRSAASDAGTVVSVTDEETAAVAAERRRRATSWVSAALATDLSAFGLYNLKPVPATISSPLAVLVVDESAKPAASAAANAVKSSPAKSRMSPAKGKARTGPAATAAATAAPPPLPEWEKGVGAEERVELARRLGDESRGWFLGFVERFLDADVAAAAAPWDRERAARMLPQLKRVNDWLGEIGKRGEATPPPPPEADGEAAAATIAAAPANGGSAVPEETIERLRKKIYEYLLTNVDSAAAVLGGGASAPAPAAPANAKKG >ONIVA09G17500.1 pep chromosome:AWHD00000000:9:17833413:17837821:-1 gene:ONIVA09G17500 transcript:ONIVA09G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSKACSLVASSLPRCSSSAAPTIRGQPSLLPSVRKEWLGKPLLYGIGTLLVMPLRTLHGVGRMFGAGRFLCNMTSVSSSLQIELVPCLQDNYAYILHDVDTGTVGVVDPSEATPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSAKDRDRIPGIDITLSEGDTWMFAGHQVLVMETPGHTSGHVCYHFPGSGAIFTGDTLFSLSCGKLFEGTPQQMYSSLQKIIALPDETRVYCGHEYTLSNSKFALSIEPGNKDLQEYAANAADLRKRNTPTVPTTIGREKQCNPFLRTSSPEIKNTLSIPDHFDDARVLEVVRRAKDNF >ONIVA09G17490.1 pep chromosome:AWHD00000000:9:17828474:17832249:-1 gene:ONIVA09G17490 transcript:ONIVA09G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase family protein [Source:Projected from Arabidopsis thaliana (AT2G43420) TAIR;Acc:AT2G43420] MATAEPGPGPGPRKPACCAVTFGRSTLLGRHLAAALAASGRWSTVAVLDPSPPTTTTTTSPPPGSPLAHHHLAVDLCDPASLARALAGVEAVFHVDPTGDGSSFLQLHRLAVEGTRRLLAACCRSGVRTVVYTGSADVVVAGARDVVDADEDALPYPDKLGNAAIELRAQVEMMVLSADGKNGMRTCVLRPSNMFGPGDSSLVRFVAGYARSSLGKFVVGSGANMCDFTYVENVAHANICAEQALCSNASSVAGKPFFVTNDEPIETWEFMSCLMEAMGCQRPKFNLPAKILSSAALFSNMMYHKLGLQILSSPLLHPDMVYFLSCTRTLSISRARKLLGYHPIVSLEDGIMRTVGSLSELPDKLDLSRKRGSFGSSKAEKLLGSGITADILLWRDEKKTFSYVTVLFLLFYWFLLSDRTFVSSAAKILLVISLALFIHGVLPPQVFGFTVEKVTSDYFEVSQETLKNTLVWMASIWNGGIYKLRVLAEGDDWTTFLKAFAFLYCVKVMLNLQFRMLMGLVLAFMFVVFIVYEQCEEEIDSLVAFASVKVKSLVGKVIGNLPDALKAYIS >ONIVA09G17480.1 pep chromosome:AWHD00000000:9:17826624:17827178:-1 gene:ONIVA09G17480 transcript:ONIVA09G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLCWPHVWHSAPLALVDAHLSPEGRGGGSGVVAIVSCVLTVHLGPFCLHAPLHHLHGGALRRDRALARGPHRQGCPGASLWLDLCLPAALFGCSSLTRLHIGVWRLLDTRDILRGAAFPHLHEMVLSCIVMEYRDLAFLLDRSNALEVLAIITCQTNMAELVCVRLASCILRIFQVCLTIVN >ONIVA09G17470.1 pep chromosome:AWHD00000000:9:17826235:17826564:1 gene:ONIVA09G17470 transcript:ONIVA09G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVVAAKPCLSPTAVPALDVAVVSSCHCRLQMPSSPPPAAAPMPRPPHLLPPRPARTFPPLDALARTRAHPVGFRNRGIMLTIIHPAPPMPLLGRLPTCTRPPPLFL >ONIVA09G17460.1 pep chromosome:AWHD00000000:9:17817931:17825047:-1 gene:ONIVA09G17460 transcript:ONIVA09G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding [Source:Projected from Arabidopsis thaliana (AT2G43410) TAIR;Acc:AT2G43410] MSSEPPPPQPQPQEAAGREASSSLSPAKESAAGGGGGGGSGAPETNTLWVGNLPAQAAEDDVMAAFSPHGALDCVMARAGPRSYAFVLFRSVPEARAALDALQGSKVKGSVVRLEFARPARAVKNLWVGGISSSISKEELEEEFKKFGMVDGIAFSRDQTSAYIDFDKLEDAISAHRALNGRVLGGQELCVDFQRSRGRAEWLETGSFNGRTGPAKGYGVRNRESNPTNVLWVGFPNTAKINEEALRQAMAVHGAVTNTKVFPTRQYAFVEFATVGEASNAKKNLDGRLFNDQRIQILFSNSELAPNKLDNPTAVSGFPKSEMYYDDGQYGASDYFDPRRGRSRYFEYSGVPVSGGILPSPESGNPLLTGRSAQSTFDPREAKRLRLDAAADPYDTRAGSEGLYSAGYSQRESARSERSSSPAIRIHGTVHRTSYLEHFWRGSIAKGGSPVCRARCLPIRKGVEIPLPDVVNCSARTGLDMLAKHYRDASGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDGGTTLFLVPPSDFLRNVLQVDGPERLYGVVLHIPQMSAAAPASAPTPAVQRPQLTAPESQPFYDEREIPLQRRYSMITPSNNHHRDADHRGSLREDSLHQLGQILARPRVDEGQVVQPNLAGIPTNAGLQVQPSLQPDMIATLAKLLPSGQSSALVTGQLPLSSTDRPALTQMNDASTLAKVWRPENQAMASTSSLEQIGNFQHSGQQFSKQAGAVHLPNYGNLAGAQEHPTQHSAYNPEMTLNLPPPPPPPTLPPSSAILSSQVGHSLPTQMSQQQYQPEQYYMTQSNYGQLATVSSSNLQAHHQQIVATPAAQAPVAAQFPPAMQAPAAAQAPVAAQASADEAERNRKYQATLQLAQRLLGAKKNSPT >ONIVA09G17460.2 pep chromosome:AWHD00000000:9:17818079:17825047:-1 gene:ONIVA09G17460 transcript:ONIVA09G17460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding [Source:Projected from Arabidopsis thaliana (AT2G43410) TAIR;Acc:AT2G43410] MSSEPPPPQPQPQEAAGREASSSLSPAKESAAGGGGGGGSGAPETNTLWVGNLPAQAAEDDVMAAFSPHGALDCVMARAGPRSYAFVLFRSVPEARAALDALQGSKVKGSVVRLEFARPARAVKNLWVGGISSSISKEELEEEFKKFGMVDGIAFSRDQTSAYIDFDKLEDAISAHRALNGRVLGGQELCVDFQRSRGRAEWLETGSFNGRTGPAKGYGVRNRESNPTNVLWVGFPNTAKINEEALRQAMAVHGAVTNTKVFPTRQYAFVEFATVGEASNAKKNLDGRLFNDQRIQILFSNSELAPNKLDNPTAVSGFPKSEMYYDDGQYGASDYFDPRRGRSRYFEYSGVPVSGGILPSPESGNPLLTGRSAQSTFDPREAKRLRLDAAADPYDTRAGSEGLYSAGYSQRESARSERSSSPAIRIHGTVHRTSYLEHFWRGSIAKGGSPVCRARCLPIRKGVEIPLPDVVNCSARTGLDMLAKHYRDASGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDGGTTLFLVPPSDFLRNVLQVDGPERLYGVVLHIPQMSAAAPASAPTPAVQRPQLTAPESQPFYDEREIPLQRRYSMITPSNNHHRDADHRGSLREDSLHQLGQILARPRVDEGQVVQPNLAGIPTNAGLQVQPSLQPDMIATLAKLLPSGQSSALVTGQLPLSSTDRPALTQMNDASTLAKVWRPENQAMASTSSLEQIGNFQHSGQQFSKQAGAVHLPNYGNLAGAQEHPTQHSAYNPEMTLNLPPPPPPPTLPPSSAILSSQVGHSLPTQMSQQQYQPEQYYMTQSNYGQLATVSSSNLQAHHQQIVATPAAQAPVAAQFPPAMQAPAAAQAPVAAQASADEAERNRKYQATLQLAQRLLVIKQ >ONIVA09G17450.1 pep chromosome:AWHD00000000:9:17805430:17809040:-1 gene:ONIVA09G17450 transcript:ONIVA09G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREKSPIPGDGGDGLPPQATRRAGPPAAAAAAEYDISRMPDFPTRNPGHRRAHSEILSLPEDLDLCAAGGGDGPSLSDENDEELFSMFLDVEKLNSTCGASSEAEAESSPKHQHSLSMDESMSIKAEELVGASPGTEGMSSAEAKKAVSAAKLAELALVDPKRAKRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLALLQRDTSGLTTENSELKLRLQTMEQQVHLQDALNDTLKSEVQRLKVATGQMANGGGMMMNFGGMPHQFGGNQQMFQNNQAMQSMLAAHQLQQLQLHPQAQQQQVLHPQHQQQQPLHPLQAQQLQQAARDLKMKSPMGGQSQWGDGKSGSSGN >ONIVA09G17450.2 pep chromosome:AWHD00000000:9:17805430:17809040:-1 gene:ONIVA09G17450 transcript:ONIVA09G17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNREKSPIPGDGGDGLPPQATRRAGPPAAAAAAEYDISRMPDFPTRNPGHRRAHSEILSLPEDLDLCAAGGGDGPSLSDENDEELFSMFLDVEKLNSTCGASSEAEAESSSAGAAAAVAAAAAAAAHGARPKHQHSLSMDESMSIKAEELVGASPGTEGMSSAEAKKAVSAAKLAELALVDPKRAKRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLALLQRDTSGLTTENSELKLRLQTMEQQVHLQDALNDTLKSEVQRLKVATGQMANGGGMMMNFGGMPHQFGGNQQMFQNNQAMQSMLAAHQLQQLQLHPQAQQQQVLHPQHQQQQPLHPLQAQQLQQAARDLKMKSPMGGQSQWGDGKSGSSGN >ONIVA09G17440.1 pep chromosome:AWHD00000000:9:17803663:17804151:-1 gene:ONIVA09G17440 transcript:ONIVA09G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPASSRYVPLAAAPEQRHDGEAEEECCYAATTTRYVPLRRRRGEQDQAEYAARRALFLQSYRFTTAAVSAGERDDGGVGGGGLRGRVARRVREAVARAVSRARGAARWWVGGGVGVARAWPRVGWWWRPPSPRARLGCFGGGGGGGGHGRSKLHYLHHFA >ONIVA09G17430.1 pep chromosome:AWHD00000000:9:17802484:17802886:-1 gene:ONIVA09G17430 transcript:ONIVA09G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVASPSTSPPTTPPSPLPVSVGPGNRRYAFTPSPSPSPPCPSSPAAPKLTPPPHSSSAFSVHARRLARPARPRRFDLGACCLEWILMLLCCCCSSKMRH >ONIVA09G17420.1 pep chromosome:AWHD00000000:9:17802066:17802902:1 gene:ONIVA09G17420 transcript:ONIVA09G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATNVQHITHAPRSNRLGLAGRASRRAWTENAEEECGGGVSFGAAGEDGHGGDGDGDGVNAYLRFPGPTLTGSGDGGVVGGEVDGDATLLLMAGLI >ONIVA09G17410.1 pep chromosome:AWHD00000000:9:17797535:17800850:-1 gene:ONIVA09G17410 transcript:ONIVA09G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G30710) TAIR;Acc:AT2G30710] MSGGGGGGGGGGGGSPNNTEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPEYSPRYENDRDEYEQNEGSQEGKGQASGNTADSMSAKKSNPPSTSSTNSLPDAQGLVSGARATDSARIAKFTNELSRPAVILDKLRELSWSGVPPYMRPNIWRLLLGYAPPNADRREGVLTRKRLEYVECVSQYYDIPDTERSDEEINMLRQIAVDCPRTVPDVTFFQHPQIQKSLERILAIRHPASGYVQGINDLLTPFLVVFLSEHLEGNMDTWSMEKLSPQDVSNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVHRIDEPVSKHMEEQGLDFLQFAFRWFNCLMIREIPFHLVTRLWDTYLAEGDYLPDFLVYISASFLLTWSDKLKKLDFQEMVMFLQHLPTRNWAHHELEMVLSRAYMWHTMFKSSPSHLAS >ONIVA09G17400.1 pep chromosome:AWHD00000000:9:17793804:17794055:-1 gene:ONIVA09G17400 transcript:ONIVA09G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKSVRVILLAVVLAVFAVLLAGAAAARPAPAAERSGGEAAAAAAYVAVNPAAAVVEKARETVEMLMARLPAGPSPKGPGH >ONIVA09G17390.1 pep chromosome:AWHD00000000:9:17788941:17795961:1 gene:ONIVA09G17390 transcript:ONIVA09G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT4G11160) TAIR;Acc:AT4G11160] MAWRMLRRKDFHTGLVNLASRVDHGGAKNFSSGTFGKLAGFVLSDTHTPIVKGAANCTAYKHCTIRNFHAGVYMLAWSRKREEVAGLKAPKKEKRVKRETRTQPPVEAPYVAPKQKIAIKSSPDKTVDIFDGMTLLDLSKRTGASIGALQDILTDLGEKVESEFDAISIDLAELVAMELGVNIRRMHTGEGTLEPRPAVVTVMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAVNKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVTKLGLDKLEEALLLQAEIMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKAGTLVSGQHIVVGAEWGRIRSLRDTAGKITESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEDMTEEAEIGEETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPISQHDIDLAQACRAYIVGFNIRTPPSAITLAATQANIKILLHKVIYHLLEEMGREIVEKAPGTPETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHLSKTGTMRLLRSGDVVFEGPCASLKREKQDAETVDKGNDCGLVIQDCNDFQVGDIVQCLEQVIRKPKFISTQSGAVRIEC >ONIVA09G17390.2 pep chromosome:AWHD00000000:9:17788941:17794165:1 gene:ONIVA09G17390 transcript:ONIVA09G17390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT4G11160) TAIR;Acc:AT4G11160] MAWRMLRRKDFHTGLVNLASRVDHGGAKNFSSGTFGKLAGFVLSDTHTPIVKGAANCTAYKHCTIRNFHAGVYMLAWSRKREEVAGLKAPKKEKRVKRETRTQPPVEAPYVAPKQKIAIKSSPDKTVDIFDGMTLLDLSKRTGASIGALQDILTDLGEKVESEFDAISIDLAELVAMELGVNIRRMHTGEGTLEPRPAVVTVMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAVNKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVTKLGLDKLEEALLLQAEIMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKAGTLVSGQHIVVGAEWGRIRSLRDTAGKITESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEDMTEEAEIGEETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPISQHDIDLAQACRAYIVGFNIRTPPSAITLAATQANIKILLHKVIYHLLEEMGREIVEKAPGTPETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHLSKTGTMRLLRSGDVVFEGPCASLKREKQDAETVDKGNDCGLVIQDCNDFQVGDIVQCLEQVIRKPKFISTQSGAVRIEC >ONIVA09G17380.1 pep chromosome:AWHD00000000:9:17784480:17787668:1 gene:ONIVA09G17380 transcript:ONIVA09G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: protein folding, protein transport; LOCATED IN: chloroplast stroma, chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Trigger factor /.../some-binding, bacterial (InterPro:IPR008881); Has 253 Blast hits to 253 proteins in 72 species: Archae - 0; Bacteria - 138; Metazoa - 0; Fungi - 0; Plants - 40; Viruses - 0; Other Eukaryotes - 75 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G30695) TAIR;Acc:AT2G30695] MELSIAPAAMAMAMGLLAKNPKMINHRYASEMQLQHRLSPACSVMFNKQCSYRITRKACSVLGAVSPIQCTETSTESLVSFKDFLVSVQTEEDGLIKLRVTVADTMTESIFEKVFSKNVAAAQPLPGFRRMKGGKTRDIPKEIALHLIGPSKVKKETIKNIISLTIAEYVQKEDLDASKNLKVLQTYEELEAAFEPGKEFCFDATFHLQ >ONIVA09G17380.2 pep chromosome:AWHD00000000:9:17784480:17787668:1 gene:ONIVA09G17380 transcript:ONIVA09G17380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: protein folding, protein transport; LOCATED IN: chloroplast stroma, chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Trigger factor /.../some-binding, bacterial (InterPro:IPR008881); Has 253 Blast hits to 253 proteins in 72 species: Archae - 0; Bacteria - 138; Metazoa - 0; Fungi - 0; Plants - 40; Viruses - 0; Other Eukaryotes - 75 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G30695) TAIR;Acc:AT2G30695] MELSIAPAAMAMAMGLLAKNPKMINHRYASEMQLQHRLSPACSVMFNKQCSYRITRKACSVLGAVSPIQCTETSTESLVSFKDFLVSVQTEEDGLIKLRVTVADTMTESIFEKVFSKNVAAAQPLPGFRRMKGGLLLYLGKPYFVSISVQIELDEHAIPKEIALHLIGPSKVKKETIKNIISLTIAEYVQKEDLDASKNLKVLQTYEELEAAFEPGKEFCFDATFHLQ >ONIVA09G17370.1 pep chromosome:AWHD00000000:9:17781686:17784499:-1 gene:ONIVA09G17370 transcript:ONIVA09G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAPLPIWTVDFRSDAAMAAAAAAAATAEEYEEMLRVVEAIATRIRWRLRPHSKRRLLNDILFLCSGLRPVVLMDYGGTMPELQDNLCSLLHHARQESSMLSPLRLMLLSETEENGALHEFLSIQDLFSANFPVDADVDLPIIQPEAKGQMSEIPEGTTMDNFGFRIADGTSLVIDLSTFLESAQIALPSLNGWLLGYPVTYLFCNESAETATQNLSKHSLHIYRIYAVRCRQSAAKQSEQELMSFSVPCDMSTKRDEEPWAKSFLAHMNEKIKQCNHVWASVRMEIEVFHSQSGLIVL >ONIVA09G17370.2 pep chromosome:AWHD00000000:9:17781686:17784499:-1 gene:ONIVA09G17370 transcript:ONIVA09G17370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAPLPIWTVDFRSDAAMAAAAAAAATAEEYEEMLRVVEAIATRIRWRLRPHSKRRLLNDILFLCSGLRPVVLMDYGGTMPELQDNLCSLLHHARQESSMLSPLRLMVIKDMLYLIHAKGLAEHASPSARSQHKLAFVDLEKSCSKVFAFSDPLLSETEENGALHEFLSIQDLFSANFPVDADVDLPIIQPEAKGQMSEIPEGTTMDNFGFRIADGTSLVIDLSTFLESAQIALPSLNGWLLGYPVTYLFCNESAETATQNLSKHSLHIYRIYAVRCRQSAAKQSEQELMSFSVPCDMSTKRDEEPWAKSFLAHMNEKIKQCNHVWASVRMEIEVFHSQSGLIVL >ONIVA09G17370.3 pep chromosome:AWHD00000000:9:17781686:17784393:-1 gene:ONIVA09G17370 transcript:ONIVA09G17370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAATAEEYEEMLRVVEAIATRIRWRLRPHSKRRLLNDILFLCSGLRPVVLMDYGGTMPELQDNLCSLLHHARQESSMLSPLRLMVIKDMLYLIHAKGLAEHASPSARSQHKLAFVDLEKSCSKLLSETEENGALHEFLSIQDLFSANFPVDADVDLPIIQPEAKGQMSEIPEGTTMDNFGFRIADGTSLVIDLSTFLESAQIALPSLNGWLLGYPVTYLFCNESAETATQNLSKHSLHIYRIYAVRCRQSAAKQSEQELMSFSVPCDMSTKRDEEPWAKSFLAHMNEKIKQCNHVWASVRMEIEVFHSQSGLIVL >ONIVA09G17370.4 pep chromosome:AWHD00000000:9:17781686:17784393:-1 gene:ONIVA09G17370 transcript:ONIVA09G17370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAATAEEYEEMLRVVEAIATRIRWRLRPHSKRRLLNDILFLCSGLRPVVLMDYGGTMPELQDNLCSLLHHARQESSMLSPLRLMVIKDMLYLIHAKGLAEHASPSARSQHKLAFVDLEKSCSKVFAFSDPLLSETEENGALHEFLSIQDLFSANFPVDADVDLPIIQPEAKGQMSEIPEGTTMDNFGFRIADGTSLVIDLSTFLESAQIALPSLNGWLLGYPVTYLFCNESAETATQNLSKHSLHIYRIYAVRCRQSAAKQSEQELMSFSVPCDMSTKRDEEPWAKSFLAHMNEKIKQCNHVWASVRMEIEVFHSQSGLIVL >ONIVA09G17360.1 pep chromosome:AWHD00000000:9:17777930:17780129:-1 gene:ONIVA09G17360 transcript:ONIVA09G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome beta subunit G1 [Source:Projected from Arabidopsis thaliana (AT1G56450) TAIR;Acc:AT1G56450] MAWQQPMDGSHASGSKAVADGPGTQRTQYPYVTGSSIIALKYKDGVIMASDTGASYGSTLRYKSVERIKAVGKHSLIGASGEFSDFQEILRYLDELTLSDHMWDDGNSLGPKEVHSYLTRVMYNRRNKFDPLWNSLVIGGVKKGPKGEEKYLGMVNMIGTHFEENHIATGFGNHMAIPILRTEWREDMTFEEAVKLVEKCLLVLLYRDRSSINKFQIAKITTEGATIYPPYSLKTYWGFAAFENPAQGAVGSW >ONIVA09G17350.1 pep chromosome:AWHD00000000:9:17770346:17778018:1 gene:ONIVA09G17350 transcript:ONIVA09G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle protein 48-related / CDC48-related [Source:Projected from Arabidopsis thaliana (AT1G05910) TAIR;Acc:AT1G05910] MVGMEGKGDASVTPVRTSDRLRKRPKYFGRNYMYYNPAIRKKMKSKKRAAASQIAKKLLRKSAARAPPADLMEVVVVLSFIALKSALEIIERVDRVILDLLGIIVSVWSNLRRSTRKRRMSVNLEDYDTDSSSMEDDDLMRPRYRSSKNKVDDEVSARPKRKKLSNSSSIPRREGLRPRRSIRGQRLHPYQESEDDQESSEEQPAQDRRENGNDIEEDGNEEEEVDGGDEAEADGDDEDGEEEQEGRRRYDLRDRSEVRRPSPRKEGKHRTQSPRRVLVHGIGPKNSKYLKKGGSRMHKRPRFSLPDDSDDSLLVDEPDEGPSMPWMRGGRGGMPWFLGGLDMHCPGAWGLNVGASGWGHQGDNTVSTSSLMPGIQTAGPSSKGGADIQPLQVDGSVSFNDIGGLSNYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFFFPLPGYEARAEILDIHTRKWKDPPPKELKTELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVRVEKYHFLEAMSTITPAAHRGSIVHSRPLSPVIAPCLKRHLEKIMERIADIFPFLSSVDVSKFSALSYGSSIPLVYRPRLLMCGGVSVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELPSNLPVLLLGTSSVAFGDLEEECASIFSSRNVYEVDQPSDDDRMRYLHALFESLLSFQMEESRSKSKDQKSSVDLPKAPKEVDGPKLSELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFNVFHFPVSEEEVPDYRSVVHNPMDMATVLQQVDSGQYLTRASFMKDIDLIVSNAKTYNGSDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIAEQGGPLQVTDDGDSSILQAAPVAQLVSGTRMSARLRNVQPEVNLSRSYEALKRQKKSTETEQGMVKESTTRDDKSLGDVDLSKPISPEEAPKEPDSNGVLKEIDNPPTELPELPELNPEPMVTDNGENAAMPASDDIPEQLEVVKRRFMELTTGYGVPQLERLCTRVMKGMIELSGKESNEDHRRLVVRYLLTFVENSDNF >ONIVA09G17340.1 pep chromosome:AWHD00000000:9:17764419:17768653:1 gene:ONIVA09G17340 transcript:ONIVA09G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Basic helix-loop-helix, Nulp1-type (InterPro:IPR006994); Has 2929 Blast hits to 2464 proteins in 333 species: Archae - 2; Bacteria - 151; Metazoa - 913; Fungi - 372; Plants - 141; Viruses - 47; Other Eukaryotes - 1303 (so /.../NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G46900) TAIR;Acc:AT2G46900] MSARLLRRVLQERETAPQDPAAAATAAAEVEREEEEEASPPRVAARNPFDLLDEEEEEEEEKEDEVYSEQPVSYNEQKHSVNKKPGNAVPETNKKSKKKKKKSKADKQVSTKSRDEKSLDSILEDLSIEKKPMQQRVNQNERASGKEIEIDETTPGTSSILSIDPKHLKAENEMRRIFGSKVVDSLENQRNVPSSSTSRLRGVRRVAHNPRKTLLVTPSSYWPPWDKSMSMDIVETKSGFNYFRYIYDPSVSHVQDLFEVAKSANDLNAIAAILAKYPYHPESLLTFAELFKYSGEHQSSADAVEKCLFALECAWHPLFSPLHSNCQLKYSHDTNKPFFTALFSHMKNLDRRGCHRSALEVCKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSFSLAIARFYVERDATNGEASDHSDKSTSVDLMKQALMLHPLVLRKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHMISIYVERHYIMWRFPELQNLLKDAALLVIESLKQDNREAQDWACVRKEAFSSDKNEYSHLLVSDFSDTTPSLPPEELRPFMVAPGMAHEMPPAEQELGIEVRPRAPREVAGRNPALVFLESLLPWVDYGDNHHDENDQNNDD >ONIVA09G17330.1 pep chromosome:AWHD00000000:9:17754190:17762876:1 gene:ONIVA09G17330 transcript:ONIVA09G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEKGRINPSPEKKAAASLVALPSLLSNAKEVSTRCQGSHKRGPQSERDDGDRPLLHVKHHKGPQTPTDETLKKNDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVFSKCPERNHAPLAYDSTHPTGNKDTVNPVKIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASGKKEGKLGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRSNRFKCKERYMAKNQ >ONIVA09G17330.2 pep chromosome:AWHD00000000:9:17754190:17762876:1 gene:ONIVA09G17330 transcript:ONIVA09G17330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEKGRINPSPEKKAAASLVALPSLLSNAKEVSTRCQGSHKRGPQSERDDGDRPLLHVKHHKGPQTPTDETLKKNDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVFSKCPERNHAPLAYDSTHPTGNKDTVNPVKIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRSNRFKCKERYMAKNQ >ONIVA09G17330.3 pep chromosome:AWHD00000000:9:17755124:17762876:1 gene:ONIVA09G17330 transcript:ONIVA09G17330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEKGRINPSPEKKAAASLVALPSLLSNAKEVSTRCQGSHKRGPQSERDDGDRPLLHVKHHKGPQTPTDETLKKNDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVFSKCPERNHAPLAYDSTHPTGNKDTVNPVKIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASGKKEGKLGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRSNRFKCKERYMAKNQ >ONIVA09G17330.4 pep chromosome:AWHD00000000:9:17754190:17762876:1 gene:ONIVA09G17330 transcript:ONIVA09G17330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEVAKVAIKEVRKVKEMMDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVFSKCPERNHAPLAYDSTHPTGNKDTVNPVKIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASGKKEGKLGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRSNRFKCKERYMAKNQ >ONIVA09G17330.5 pep chromosome:AWHD00000000:9:17754190:17762876:1 gene:ONIVA09G17330 transcript:ONIVA09G17330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEVAKVAIKEVRKVKEMMDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVFSKCPERNHAPLAYDSTHPTGNKDTVNPVKIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRSNRFKCKERYMAKNQ >ONIVA09G17320.1 pep chromosome:AWHD00000000:9:17750716:17751192:-1 gene:ONIVA09G17320 transcript:ONIVA09G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07480) TAIR;Acc:AT3G07480] MAIAARALRRIPLHLAPSLSRAFCALSPAAPAPAAASAKVADRIVRLLAIDPDGARREVVGLSGQTVLRALANAGLIEPESHRLEEIDACSAECEVHIAQEWLDKLPPPSYEERYVLTRASRNRELNKHARLGCQVVLTPELQGMVVAVPEPKPWDIP >ONIVA09G17310.1 pep chromosome:AWHD00000000:9:17742853:17749003:1 gene:ONIVA09G17310 transcript:ONIVA09G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERRGGGEVNGVVEMEDAVGILVDYLVRPALRKGSRMTPENQADVARQVHMAVILYNYYHRKQFPQLAFADAMRFFKCASLTLGDSLLAYSNMVHQHEKSSGSPGEGVNLSVTDKAVVDACGIAEALDANQDSPDMAMWPISKVAVLLLDSTRKRCLLESGSVGKNVRSLLEKEIDTSSTSEHGSNKPEGQDLENEETGPYVLQKLAFSEVERRTEIKRSSLRLLDEHLAYSLTKKGTTTKLFILQYEQTAKGNFAEMPIEELIKRMIGPVVEKHPYPTTTVVAESYHILPYKDILFDCLHRKWHFDSSLSMPKEETRRNGKSSSHSEIDENSKEQEYNIKGSTQKKIKRDIKVLGSSDKNYSCTSRNKRSSNVNSKRKSEVFRATAADGAEGLDSEIPRVKNALPPVVDVSTMKFVSCSVNAKETAAANAGFVDMEADFHQGGGAKDNKDLKFASFKSYLKKRDDLHRKQRMIEDETVQFDMDIQSVFAGGEWTPEAMSLLEKYGILVDSLDMVEVNGSSYSGDGYETLTIERKKLTVERLLRNKCQLMQELDEVCRENNWILPRYKVMPSLTDGMYVANVDIACLEFSQMTFGDPKTNPRDARESAAANLLAELLKKADANREHT >ONIVA09G17300.1 pep chromosome:AWHD00000000:9:17730218:17738268:1 gene:ONIVA09G17300 transcript:ONIVA09G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELAMRSPSILSQCLAGFLSHEKAAAHCVNVVPERESHPPSPAVEIVPSKNVHPYKYAGENIEMHGMNIFKGKVSVVDIVGLSGSEVITPKGEGPLKCCESSIDLVNVLKNEIRDGLLTFRSKQLGCGYGLPGIFACLKGASTVHFQDPSAEIIRCKTIPNVLANLEHAQDKHGQQQGSPLTPSRQQLPQDIHFYAGEWEELHTVLSVIQEDEVDTSSVVALEFCEDDFLDGCSSQDASNICHETSSRRSRKLSGSRAWERGNETTTGDGGYDILLVNEIPYSASSLQNLYLLVKKCLRPPYGVMYLAARKNYIGSSSAVRQLRSLVDEEGAFGAHLVSEPPEREIWKFFFNLDCKSKQRSHHHPTVEEATNGWIKVIALPILLLLPPLAVFSTTTRGHRHAALLAAAAVSDLIPSTAYVEFLYYPRPAWSDTRI >ONIVA09G17300.2 pep chromosome:AWHD00000000:9:17730218:17738268:1 gene:ONIVA09G17300 transcript:ONIVA09G17300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELAMRSPSILSQCLAGFLSHEKAAAHCVNVVPERESHPPSPAVEIVPSKNVHPYKYAGENIEMHGMNIFKGKVSVVDIVGLSGSEVITPKGEGPLKCCESSIDLVNVLKNEIRDGLLTFRSKQLGCGYGLPGIFACLKGASTVHFQDPSAEIIRCKTIPNVLANLEHAQDKHGQQQGSPLTPSRQQLPQDIHFYAGEWEELHTVLSVIQEDEVDTSSVVALEFCEDDFLDGCSSQDASNICHETSSRRSRKLSGSRAWERGNETTTGDGGYDILLVNEIPYSASSLQNLYLLVKKCLRPPYGVMYLAARKNYIGSSSAVRQLRSLVDEEGAFGAHLVSEPPEREIWKFFFNASNPPPPSSARRLLDDDPRPPPRRPPRRRRRLRRI >ONIVA09G17300.3 pep chromosome:AWHD00000000:9:17730218:17734930:1 gene:ONIVA09G17300 transcript:ONIVA09G17300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELAMRSPSILSQCLAGFLSHEKAAAHCVNVVPERESHPPSPAVEIVPSKNVHPYKYAGENIEMHGMNIFKGKVSVVDIVGLSGSEVITPKGEGPLKCCESSIDLVNVLKNEIRDGLLTFRSKQLGCGYGLPGIFACLKGASTVHFQDPSAEIIRCKTIPNVLANLEHAQDKHGQQQGSPLTPSRQQLPQDIHFYAGEWEELHTVLSVIQEDEVDTSSVVALEFCEDDFLDGCSSQDASNICHETSSRRSRKLSGSRAWERGNETTTGDGGYDILLVNEIPYSASSLQNLYLLVKKCLRPPYGVMYLAARKNYIGSSSAVRQLRSLVDEEGAFGAHLVSEPPEREIWKFFFNLDCKSKQRSHHHPTVEEATNGWIKVIALPILLLLPPLAVFSTTTRGHRHAALLAAAAVSGGRET >ONIVA09G17300.4 pep chromosome:AWHD00000000:9:17734572:17738268:1 gene:ONIVA09G17300 transcript:ONIVA09G17300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTSSEGASDEWLPPSRRPELADVVPVTQDDGPHPVVAIAYRDEFREVMDYFRALYFAGERSVRALHLTAEVIDLNPGNYTVWHFRRLVLEALDADLREEMDFVDRIAECNPKNYQIWHHKRWLAEKLGPDIANKEHEFTRKILSMDAKNYHAWSHRQWVLQALGGWETELQYCNQLLEEDVFNNSAWNQRYLVITSSPLLGGLAAMRDSEVDYTVGAILANPQNESPWRYLKGLYKGENNLLMADERISDVCLKVLKHDSTCVFALSLLLDLLQIGLQPSDELKGTIEAIKNSDPEADEAVDADLATAICSILQRCDPLRINYWSWYRTTISSQT >ONIVA09G17300.5 pep chromosome:AWHD00000000:9:17734871:17738268:1 gene:ONIVA09G17300 transcript:ONIVA09G17300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTSSEGASDEWLPPSRRPELADVVPVTQDDGPHPVVAIAYRDEFREVMDYFRALYFAGERSVRALHLTAEVIDLNPGNYTVWHFRRLVLEALDADLREEMDFVDRIAECNPKNYQIWHHKRWLAEKLGPDIANKEHEFTRKILSMDAKNYHAWSHRQWVLQALGGWETELQYCNQLLEEDVFNNSAWNQRYLVITSSPLLGGLAAMRDSEVDYTVGAILANPQNESPWRYLKGLYKGENNLLMADERISDVCLKVLKHDSTCVFALSLLLDLLQIGLQPSDELKGTIEAIKNSDPEADEAVDADLATAICSILQRCDPLRINYWSWYRTTISSQT >ONIVA09G17300.6 pep chromosome:AWHD00000000:9:17734991:17738268:1 gene:ONIVA09G17300 transcript:ONIVA09G17300.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSTSSEGASDEWLPPSRRPELADVVPVTQDDGPHPVVAIAYRDEFREVMDYFRALYFAGERSVRALHLTAEVIDLNPGNYTVWHFRRLVLEALDADLREEMDFVDRIAECNPKNYQIWHHKRWLAEKLGPDIANKEHEFTRKILSMDAKNYHAWSHRQWVLQALGGWETELQYCNQLLEEDVFNNSAWNQRYLVITSSPLLGGLAAMRDSEVDYTVGAILANPQNESPWRYLKGLYKDELKGTIEAIKNSDPEADEAVDADLATAICSILQRCDPLRINYWSWYRTTISSQT >ONIVA09G17290.1 pep chromosome:AWHD00000000:9:17726637:17730215:-1 gene:ONIVA09G17290 transcript:ONIVA09G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRPIRPTRRSAETNGQPRSLLDPCGFPFALRARREEAGEGEATRGKRGEEAGGSKASICGVGVGVGDAMGGCSSAFAVSTRMIRFSRGRAPAAILPVTSNDEPCCSCSPENNNKNNDGGGGGCDGGEHQKGKSWRRWQYRRCGGGGGGGGRRKNAILGDAADVKTAAGFAERYRLGAELGRGEFGVTRRCSDAATGEALACKTIRRKRLRRCGGDAEDVRREVEILRRISALGAGADSVVRLRDACEDSDGVHLVMELCEGGELFDRIFARGHYTERAAAKLARTIVGVLCHENGVMHRDLKPENFLFANKSEDSPLKAIDFGLSVFFKPGERFTQVVGSTYYMAPEVLNRSYGPEADVWSAGVILYILLCGVPPFWGDNDEKTVTAILQGGINFQREPWPKVSPHAKDLVSKMLDPDPSTRLTAKEVLEHPWLKNADRAPNVSLGEIVRSRLMQFSAMNKFKKKALGHLPMKVVAKNLPVEEMDKYTQMFHKMDKDNSGNLTLEDLKLGLQINGHPVPETEIEMLLEAGDIDGNGTLDCEEFVTVLLHIKKMSNEEYLPKAFKFFDKDGNGFIEMEELMDALGDELGPTEQVVKDIIRDIDTDKDGRISYQEFESMMISGSDWRNASRRYSKANFSSLSHKLCKGNS >ONIVA09G17280.1 pep chromosome:AWHD00000000:9:17722256:17725950:1 gene:ONIVA09G17280 transcript:ONIVA09G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G48440) TAIR;Acc:AT5G48440] MDATAFASAPNPSYAPSSSSSLSASYSRVLRFSVRDPWRRRRGQRLPLHALRSQRPEPAPASASHHDVVVVGAGIIGLSIARHLLLHTPLSVAVADAAVPCTGATGAGQGYLWMSHRTPGSDTWELAVRSKQLWEELAAEVDGLGGGGARERLGWMRTGSLLVGRTSEEMATLEERTKALSQAGIRAECLSAASLHALEPELYVGHDGGAMFLPEDCQIDAFQAVSLIEKTNGSYSSEGRYMEIYNDPAMSLVRSETTGTVQGVQTSKHILYGRKAIVIASGAWTRTLLRSFLEPNPTLDIPVMPRKGHLLVLDKFDKLKLNHGLMELGYVGHQVAKSSGTPLSSESSEDEHGALSISMTATMNTKGNLILGSSREFKGFSREVDMSILKCIWDRAAEFFPTLKNVHLDIDENTEIRIGHRPFMPDGKPVIGSVPDLPNVLIATGHEGSGLALALGTAEMVTDMILGNPGKVDFSPFSIKDRFSVDKIAHI >ONIVA09G17270.1 pep chromosome:AWHD00000000:9:17717538:17719967:1 gene:ONIVA09G17270 transcript:ONIVA09G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGSRPETVRLHCGSSRRRWLPAEAEAAAVTASTSRCAPPRVEAAAAGRAPSVLLPSFLRRRMEASADGGYLLGGQPARCSGGHSTGSNGEGFGGHPATSPPSSSWVSLPREHRYDFQMMGGAGQGHTAHSTCRTMAPCAFFF >ONIVA09G17260.1 pep chromosome:AWHD00000000:9:17711483:17717406:1 gene:ONIVA09G17260 transcript:ONIVA09G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEDELLDDEEYYYYCSDGECSGGGSGSDEDEEFGGRGSDEGCEADEVVSTREQRYVVLTEDDIRERQEEMISRVSAIFSVPRESACVLLRHYKWSISKLSDDWFADEENVRRSVGLPSNVVHVPDCPELTCGICFEGCAANAMSCAGCSHFYCHECWEGYISAAVNDGPGCLVLQCPEPSCDAIVLEDMINSLTKDEDKVKYARFVLWSYIGVNNKLLIVPVQLSFLVMVTMMSHANANSVFAGMSIDCLILPVKSLCAEEAHRPVSCDTVSKWILKNSAESENMNWLCLGAWSDHGDGTGGFYACNRYQSAKMGGMYDEAEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKVENEDLTKLSDVVGIPETQLKFIPEAWSQIIECRRVLKWTYAYGYYLHNKAKSDFFVYLQGEAESGLERLHKCAEKDMREFLPTADSTQPSLSLQDFGEFRVKLSGLTSVTRNYFENLVQTLEAGLQDVRATDQSASVSTSSSKKPPTNTKGKSGRSKVARTSQERSGDRWPCDRCTFINPSSTNSCNMCGRNKPRRR >ONIVA09G17260.2 pep chromosome:AWHD00000000:9:17711483:17717406:1 gene:ONIVA09G17260 transcript:ONIVA09G17260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEDELLDDEEYYYYCSDGECSGGGSGSDEDEEFGGRGSDEGCEADEVVSTREQRYVVLTEDDIRERQEEMISRVSAIFSVPRESACVLLRHYKWSISKLSDDWFADEENVRRSVGLPSNVVHVPDCPELTCGICFEGCAANAMSCAGCSHFYCHECWEGYISAAVNDGPGCLVLQCPEPSCDAIVLEDMINSLTKDEDKVKYARFVLWSYIGVNNKCAEEAHRPVSCDTVSKWILKNSAESENMNWLCLGAWSDHGDGTGGFYACNRYQSAKMGGMYDEAEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKVENEDLTKLSDVVGIPETQLKFIPEAWSQIIECRRVLKWTYAYGYYLHNKAKSDFFVYLQGEAESGLERLHKCAEKDMREFLPTADSTQPSLSLQDFGEFRVKLSGLTSVTRNYFENLVQTLEAGLQDVRATDQSASVSTSSSKKPPTNTKGKSGRSKVARTSQERSGDRWPCDRCTFINPSSTNSCNMCGRNKPRRR >ONIVA09G17260.3 pep chromosome:AWHD00000000:9:17711483:17717406:1 gene:ONIVA09G17260 transcript:ONIVA09G17260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEDELLDDEEYYYYCSDGECSGGGSGSDEDEEFGGRGSDEGCEADEVVSTREQRYVVLTEDDIRERQEEMISRVSAIFSVPRESACVLLRHYKWSISKLSDDWFADEENVRRSVGLPSNVVHVPDCPECPEPSCDAIVLEDMINSLTKDEDKVKYARFVLWSYIGVNNKCAEEAHRPVSCDTVSKWILKNSAESENMNWLCLGAWSDHGDGTGGFYACNRYQSAKMGGMYDEAEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKVENEDLTKLSDVVGIPETQLKFIPEAWSQIIECRRVLKWTYAYGYYLHNKAKSDFFVYLQGEAESGLERLHKCAEKDMREFLPTADSTQPSLSLQDFGEFRVKLSGLTSVTRNYFENLVQTLEAGLQDVRATDQSASVSTSSSKKPPTNTKGKSGRSKVARTSQERSGDRWPCDRCTFINPSSTNSCNMCGRNKPRRR >ONIVA09G17250.1 pep chromosome:AWHD00000000:9:17707567:17710279:1 gene:ONIVA09G17250 transcript:ONIVA09G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G43370) TAIR;Acc:AT2G43370] MSGGGGGAASAVFYAEKYHPIQAGSIDGTDVAPHDNAVLRALLCSTAGLYDPFGDPKATGDPYCTVFVGRLSRYTDDETLRKEMSRYGRVKSMRLVRDIVTGASRGYAFVEYETDREMRRAYEDAHHSIIDGSEVLVDYYRQQLMPGWIPRRSGGGLGGKKESGQLRFGGRERPFRAPLRPIPYDELKRLGIPPPPEGRYMTRYQCFIMLAYRHEVTLYSHYHRFPHHQDEKAVISTGKSHLPREDPKTGLIAVTTEDIVAQPKTTTARSAPIGGKVAMTGEKKPRGGQEHMSAERPAATVSGAQPKTMVIVVRGEEAESLENYLHTRRMMITAKEEEVQWSQASALISLITTGITGSVMTAAAILATVNVGIKTTVETTPGTGDQRAETTATRGVESMVGS >ONIVA09G17250.2 pep chromosome:AWHD00000000:9:17707567:17711066:1 gene:ONIVA09G17250 transcript:ONIVA09G17250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G43370) TAIR;Acc:AT2G43370] MSGGGGGAASAVFYAEKYHPIQAGSIDGTDVAPHDNAVLRALLCSTAGLYDPFGDPKATGDPYCTVFVGRLSRYTDDETLRKEMSRYGRVKSMRLVRDIVTGASRGYAFVEYETDREMRRAYEDAHHSIIDGSEVLVDYYRQQLMPGWIPRRSGGGLGGKKESGQLRFGGRERPFRAPLFPHHQDEKAVISTGKSHLPREDPKTGLIAVTTEDIVAQPKTTTARSAPIGGKVAMTGEKKPRGGQEHMSAERPAATVSGAQPKTMVIVVRGEEAESLENYLHTRRMMITAKEEEVQWSQASALISLITTGITGSVMTAAAILATVNVGIKTTVETTPGTGDQRAETTATRGVESMVGS >ONIVA09G17250.3 pep chromosome:AWHD00000000:9:17707567:17711066:1 gene:ONIVA09G17250 transcript:ONIVA09G17250.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G43370) TAIR;Acc:AT2G43370] MSGGGGGAASAVFYAEKYHPIQAGSIDGTDVAPHDNAVLRALLCSTAGLYDPFGDPKATGDPYCTVFVGRLSRYTDDETLRKVRGFPLVCVVLLSLLVFSWLEFLFLVVYVREQEMSRYGRVKSMRLVRDIVTGASRGYAFVEYETDREMRRAYEDAHHSIIDGSEVLVDYYRQQLMPGWIPRRSGGGLGGKKESGQLRFGGRERPFRAPLFPHHQDEKAVISTGKSHLPREDPKTGLIAVTTEDIVAQPKTTTARSAPIGGKVAMTGEKKPRGGQEHMSAERPAATVSGAQPKTMVIVVRGEEAESLENYLHTRRMMITAKEEEVQWSQASALISLITTGITGSVMTAAAILATVNVGIKTTVETTPGTGDQRAETTATRGVESMVGS >ONIVA09G17240.1 pep chromosome:AWHD00000000:9:17702097:17706769:1 gene:ONIVA09G17240 transcript:ONIVA09G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVRIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNPSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPPLPPAVAPET >ONIVA09G17240.2 pep chromosome:AWHD00000000:9:17702214:17706769:1 gene:ONIVA09G17240 transcript:ONIVA09G17240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWFCCTQFHAPYREHENEFPDIPEEKEGNGFAPKSDDPTKAPPPIEVPELSFDELKEKTDNFGSKALVGEGSYGRVYYATLDNGKQVAVKKLDASTEPEVDNDFLTQVSIVSRLRHENFVEMLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVRIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNPSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPPLPPAVAPET >ONIVA09G17240.3 pep chromosome:AWHD00000000:9:17702274:17706769:1 gene:ONIVA09G17240 transcript:ONIVA09G17240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWFCCTQFHAPYREHENEFPDIPEEKEGNGFAPKSDDPTKAPPPIEVPELSFDELKEKTDNFGSKALVGEGSYGRVYYATLDNGKQVAVKKLDASTEPEVDNDFLTQVSIVSRLRHENFVEMLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVRIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNPSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPPLPPAVAPET >ONIVA09G17230.1 pep chromosome:AWHD00000000:9:17691029:17696895:-1 gene:ONIVA09G17230 transcript:ONIVA09G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSAAMRINYGEKEITNGTGVRSSAVFTAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWIGMQPSRKGGGCFLSNHQQLKNSCSICYWCLRRHLTNFSWRRLVTDIPEAIDARFGGRLVFGVSRLSSWTVVICPTAPQVEYLRSSVGPTDEISNEIVPYEAPRPPAGIHRLVFVLFKQEARQTVYAPGWRQNFNVRDFSAFYNLGPPVAALYFNCQKESGVGGRRTRVSGTKLKAGCNIIQDYKI >ONIVA09G17230.2 pep chromosome:AWHD00000000:9:17690654:17696895:-1 gene:ONIVA09G17230 transcript:ONIVA09G17230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSAAMRINYGEKEITNGTGVRSSAVFTAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWIGMQPSRKGGGCFLSNHQQLKNSCSICYWCLRRHLTNFSWRRLVTDIPEAIDARFGGRLVFGVSRLSSWTVVICPTAPQVEYLRSSVGPTDEISNEIVPYEAPRPPAGIHRLVFVLFKQEARQTVYAPGWRQNFNVRDFSAFYNLGPPVAALYFNCQKESGVGGRRDQVEGWMQHHSRLQNIMHKTQCQGLI >ONIVA09G17230.3 pep chromosome:AWHD00000000:9:17691029:17696895:-1 gene:ONIVA09G17230 transcript:ONIVA09G17230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSAAMRINYGEKEITNGTGVRSSAVFTAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWIGMQPSRKGGGLVTDIPEAIDARNEIVPYEAPRPPAGIHRLVFVLFKQEARQTVYAPGWRQNFNVRDFSAFYNLGPPVAALYFNCQKESGVGGRRTRVSGTKLKAGCNIIQDYKI >ONIVA09G17230.4 pep chromosome:AWHD00000000:9:17691029:17696895:-1 gene:ONIVA09G17230 transcript:ONIVA09G17230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSAAMRINYGEKEITNGTGVRSSAVFTAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPEAIDARNEIVPYEAPRPPAGIHRLVFVLFKQEARQTVYAPGWRQNFNVRDFSAFYNLGPPVAALYFNCQKESGVGGRRTRVSGTKLKAGCNIIQDYKI >ONIVA09G17230.5 pep chromosome:AWHD00000000:9:17691029:17696895:-1 gene:ONIVA09G17230 transcript:ONIVA09G17230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSAAMRINYGEKEITNGTGVRSSAVFTAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPEAIDAPRQTVYAPGWRQNFNVRDFSAFYNLGPPVAALYFNCQKESGTKLKAGCNIIQDYKI >ONIVA09G17220.1 pep chromosome:AWHD00000000:9:17689740:17698450:1 gene:ONIVA09G17220 transcript:ONIVA09G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGLVARAARSPPQGLSPPRGRDLTPPPPAAAASSSMSSPWRPAEPYLRRRGPQARTRRRRAVPRSWRCRASVPFPTFSPVAMSSMQLGIQVRTTGSSFCTFYFCIGFRISTPYCAIPFFVTLFFWSTCNGLFGINESTEKFTENNIKLRYSYPFTSLHPNGP >ONIVA09G17210.1 pep chromosome:AWHD00000000:9:17681494:17685821:-1 gene:ONIVA09G17210 transcript:ONIVA09G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAARWLRREVFVGLALGQFVSLLITSTGFSSSELARRGVNAPTSQSLLNYVLLALVYGGILIYRRQHLTIKWYYFLILGIVDVEANYIVVKAYQYTSLTSVMLIDCWAIPCVILLTWVFLKTKYGLRKFIGVVICVAGIILVVFSDVHASDRAKGPNPLKGDLFVISGAMLYAVSNVTEEYFVKKSSRIEVMAMLGVFGAVISARKYASISHFLNTATEAYLNDKNFGQPNGMLILPFIGFAAAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGTAAGLVIYSYKGSKKVAEETAQVAGATDEEAATRVAGAGDDEPASTNKEVSSLAATTSSVIAGSLVHMGKRDFYGGSDVQSISGSQFQHCASQSIEWAWLVKRVEVIQNITNIKLASAK >ONIVA09G17200.1 pep chromosome:AWHD00000000:9:17675847:17680750:1 gene:ONIVA09G17200 transcript:ONIVA09G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lecithin:cholesterol acyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G03310) TAIR;Acc:AT3G03310] MAVLGVGAAVRLRLRVLGRHLRLRGRRRTRRRRGGGGVEDEEEGGREAVVLVSGMGGSVLHARRRSNPRFDLRVWVRILRADADFRKYLWSLYNPDTGYVEPLDDDVEIVVPEDDHGLFAIDILDPSWFVEILHLSMVYHFHDMIDMLVDCGYKKGTTLFGYGYDFRQSNRIDKVMVGLRAKLETAYKVSGGKKVNIISHSMGGLLVSCFMSMNRDIFAKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWVMHQLLVECPSIYEMLPNPHFKWKKAPVVQVWRKNPEKDGIAELVLYEATNCLSLFQEALRNNELKYNGKTIALPFNMSVFKWATETRRILEDAELPDTVSFYNIYGTSYDTPYDVCYGSESSPIGDLSEVCHTMPVYTYVDGDGTVPIESTMADGFAAKERVGIEADHRGLLCDENVFELLKKWLGVKEESTRRRRLSKSKVTDFAPS >ONIVA09G17190.1 pep chromosome:AWHD00000000:9:17671665:17675179:1 gene:ONIVA09G17190 transcript:ONIVA09G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKKNAKPEGSSGSQRGAPPAPDAGLPNPFDFSQFSNLLNDPSIKEMAEQIASDPVFTQMAEQLQKSAHVTGEQGGPALDPQQYMETMTQVMQNPQFMSMAERLGNTLMQDPGMSSMLESLTNPSHKELLEERMSRIKEDPSLKGILDEIESGGPSAMVKYWNDPEVLQKIGQAMSINFPGDAATSTTLSGPEETEEDGGDDDESIVHHTASVGDAEGLKKALEDGADMDEEDAEGRRALHFACGYGELKCAEILLEAGAAVNALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTPQNLDGKTPIEVAKLNNQDEVLKVLEMDAFL >ONIVA09G17180.1 pep chromosome:AWHD00000000:9:17666296:17668801:1 gene:ONIVA09G17180 transcript:ONIVA09G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cytosol, plasma membrane; EXPRESSED IN: 26 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: WW-domain-binding protein (In /.../:IPR018826); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G11680) TAIR;Acc:AT5G11680] MAENPQLFGNGMPVPFYGEMFVLARDGVEFHVDKIPSAPGGHAKTKGTIYLSNIRMVFVASKPVGNFFAFDMPLLYVHGEKFNQPIFHCNNISGFVEPVVPENQNRALYSTHTFKILFKEGGCGTFVPLFLNLVASVRRYNQFEAQSAASMAPRVDPLQAVQTPVDDMMRHAYVDPNDPTKIFLQQPAPESQLRRRNYHGPADNAY >ONIVA09G17170.1 pep chromosome:AWHD00000000:9:17661245:17664629:1 gene:ONIVA09G17170 transcript:ONIVA09G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVGYYSWDARGVDGWLPRPLPRTLHASPLLSPSPSSNPAPLLLLLFLLPLPTHHHHLSPRHRSPSAFRMEALRLSGPAAPPFVPAARVAAAWGARRRGASRSSSSVVAKMEGGGGGKGVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPDTNTVPWYHANRMLSFYAPGWCGEVRNVIYSDNGTVTVVYRVILRGTDGEAYREATGTAPVHEGRNDDAVAAAEEAAFCKACARFGFGLYLYHQDEIP >ONIVA09G17160.1 pep chromosome:AWHD00000000:9:17654165:17659554:1 gene:ONIVA09G17160 transcript:ONIVA09G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51980) TAIR;Acc:AT3G51980] MAMAARARYSRQPNSHRLLAVVVLTAILLLPVASAAAAVVAAVAEGDGVENRSAARQWATGKDEGEVVSGEESRGGGSVVEDEFAGGFGSLDSMLQWAIGNSDPDMLKEQAEGVQKLSADELLKRRMEIKELMEKLKMPSDADLMKIAIADLNNSSISLEDRQRALQELLILVEPIDNANDLDKLGGLVAVIQDLNNANEEIRTTSAWVLGKASQNNALVQNQILGYGALARLVKMGYATSAEEATKALYAISALVRDNINGQEAFHSENGSAMLQHILASNSVDVRLQKKAVFLVTDLADFQLNSGNSGLPFLSDRIFLKSLVDMLSRFDLDLQEKVLLAIKSLLKLSSTEATDFESSDLSSVLYRLGVQLEELPSEEQKEYAGEVDDLRREVQILFQDKLKEGTKTAL >ONIVA09G17150.1 pep chromosome:AWHD00000000:9:17650765:17652405:1 gene:ONIVA09G17150 transcript:ONIVA09G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLATCIVLLATVFLEGFRVILPLRSRDARGRQLALPIKLLYTSTMPVVLHSAAVSSLYTVSQLLHYSRFAGSLLGTWKKTGYAAVPVPVGGGAYYVTPPAGLSHAAAYAASLLASCTLFSGAWVEVSRSSAQDVARQLSVQRLALHGARERDAALRSHLSRYISTAAALGGLCVGALTILADMTGAIGSGTGILLAATNQND >ONIVA09G17140.1 pep chromosome:AWHD00000000:9:17643856:17647328:-1 gene:ONIVA09G17140 transcript:ONIVA09G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGYVLLKRLQRERRRRRRSRHALVPDESIASPEKRKGSCYQQDDSPRSGKKMRYSGPYLPEEMWQHIHSLMPMKDAARAACLSSAFLYSWRNRPKLSFSTETMGIVEGTTDFIRKIDRVMEKHSGIGVKALTIEFNGLFSTKARSYLERWLQIAVTPRIEELSLSMSKGKAYYDFPCSLLSDGSGSSIRLLDLYCCTFHPTAEIGCFQSLTRLHLEYRLTYVEVRGCSRLRVIENKAPNLHSLHIFYQAYHPIQLSFGESSLVKNLSIGYSSVLNHACAELPYIFPNLETLTIRSLGEMVSTPMVPNTFLHLKYLCITLSAVTLPPSYDYLSLVSFLDACPSLDTFIVDVSAKHPENDSIFENPSHLRQLPEQRHDNLRNVKITGFRSAKSLLELTYHILENTSVECLTLDTSFESFRCSPGKPGRCSRMPKDDLMEASKALFAIRTYIEGKVPSTVRLNVVEPCSRCHVMEPFTVETRK >ONIVA09G17140.2 pep chromosome:AWHD00000000:9:17643856:17647328:-1 gene:ONIVA09G17140 transcript:ONIVA09G17140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGYVLLKRLQRERRRRRRSRHALVPDESIASPEKRKGSCYQQDDSPRSGKKMRYSGPYLPEEMWQHIHSLMPMKDAARAACLSSAFLYSWRNRPKLSFSTETMGIVEGTTDFIRKIDRVMEKHSGIGVKALTIEFNGLFSTKARSYLERWLQIAVTPRIEELSLSMSKGKAYYDFPCSLLSDGSGSSIRLLDLYCCTFHPTAEIGCFQSLTRLHLEYVRITGDELGCVFSTSFALEWLKLRLCRHIKYMKLPCVLQRLTYVEVRGCSRLRVIENKAPNLHSLHIFYQAYHPIQLSFGESSLVKNLSIGYSSVLNHACAELPYIFPNLETLTIRSLGEMVSTPMVPNTFLHLKYLCITLSAVTLPPSYDYLSLVSFLDACPSLDTFIVDVSAKHPENDSIFENPSHLRQLPEQRHDNLRNVKITGFRSAKSLLELTYHILENTSVECLTLDTSFESFRCSPGKPGRCSRMPKDDLMEASKALFAIRTYIEGKVPSTVRLNVVEPCSRCHVMEPFTVETRK >ONIVA09G17130.1 pep chromosome:AWHD00000000:9:17639798:17640912:-1 gene:ONIVA09G17130 transcript:ONIVA09G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRLPPSHRQASERDTAEEREGETAVRGRRTWRRGDAGAGGVGAVQRRLGSYFVSPADRTYNALGFVKQINVQTAAALAEAREVLFLLR >ONIVA09G17120.1 pep chromosome:AWHD00000000:9:17613708:17620577:-1 gene:ONIVA09G17120 transcript:ONIVA09G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTRLDDSPMFRKQIQSLEEGSELLRERCLRFHKGCRKYTEGLGEAYDGDIAFASSLEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVENMLNDKLLQFVDIDLHDVKDARKRFDKASLLYDQARERYLSLKKGTRTDVATAVEDELHSARSSFEQARFNLVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGINDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSRPSSGYTNQRSSAPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLSSPKGSGHNRTASESSSFSSSTELDHSISEDCMLERNSGSGYFDHSGRAIQHHRTSMKPDKPIDLLRKVVGNNICADCGAAEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTIWEDMLPSSSSVDHGDNSRADGLENTSHNLIFSKPKHSDHIAVKEKFIHAKYAERDYVRKLNMDDSLVAQQMWENVSSNNKKGVYSLIVGSNADVNLTYGQTSFNLALTLGKALLLQEQPTSPSDGSSRCFDRSSLERISPRDSLSLASTSARIDELDDCVEGLSLLHLACRVADVGMVELLLQYGANVNSADSRGRTPLHHSILKGRHMFAKLLLSRGADSQATDRDGRTALQYAIDSGTIDDEEILVLLEDPSR >ONIVA09G17110.1 pep chromosome:AWHD00000000:9:17601174:17604536:-1 gene:ONIVA09G17110 transcript:ONIVA09G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSHLPQQMEHGLITNNGFLFCHGSHGGAATTTAPAIPEDASMETSSVVLDTSPQDKKRKPREEDTASLNSAHSKEAKENGRKRGGKKHSRDQMEEEAPQGFIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGIDSDAFSDHSQKMEGMFHEAVAIPASVLNRGSSPAQSHAIMDTSNTSPTPYTLQVQGGSNNNSLSQDNGSYIMQTVGEPRQELFNQVGSEFQRLALDMTFMHASKHGWHGW >ONIVA09G17110.2 pep chromosome:AWHD00000000:9:17603001:17604536:-1 gene:ONIVA09G17110 transcript:ONIVA09G17110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSHLPQQMEHGLITNNGFLFCHGSHGGAATTTAPAIPEDASMETSSVVLDTSPQDKKRKPREEDTASLNSAHSKEAKENGRKRGGKKHSRDQMEEEAPQGFIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGIDSDAFSDHSQKMEGMFHEAVAIPASVLNRGSSPAQSHAIMDTSNTSPTPYTLQVQGGSNNNSLSQDNGSYIMQTVGEPRQELFNQVVLNNYMCSFQ >ONIVA09G17100.1 pep chromosome:AWHD00000000:9:17598017:17598464:-1 gene:ONIVA09G17100 transcript:ONIVA09G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEKMSDSNIVININMLSRIIASNMFNTEMTNTYLENALDPVAMLLVLSPPLPFSPPLPLFPSVASTDRHDPRDCQYRGSRAHVKNALPKNLITHLPVQVLRWTEFRRSARSEYLCAKVLKTGEIKLKLRRNIK >ONIVA09G17090.1 pep chromosome:AWHD00000000:9:17586055:17592243:1 gene:ONIVA09G17090 transcript:ONIVA09G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTRSHTRRHRPAGSTHLRSTTRRRTSLLRGTRATSARGSSRHTTTRPRMIPTTTMVTTTTTRTITTTATTTMATTTMTAALASSEDGPSLCRYGIRKL >ONIVA09G17080.1 pep chromosome:AWHD00000000:9:17578402:17578941:1 gene:ONIVA09G17080 transcript:ONIVA09G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSASDKPREINQQMQARSRLQRCVLPELPVDEDEQTKLVIDRCSDDSHSCRLQLMHWCIC >ONIVA09G17070.1 pep chromosome:AWHD00000000:9:17573222:17575954:-1 gene:ONIVA09G17070 transcript:ONIVA09G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRSCDYCGEAAAALHCRADAARLCVACDRHVHGANALSRRHVRAPLCARCEARPAAARVAAVAGAGGCGGGGEARFLCAGCADDDGAEAARVPVVGFSGCPGAAELAASWGLDLGGGGGRDEFEEDPFFPEAGYPMLAADRVLRDMYVPCDPPPEVAAGGRGRRLKGDSLCHQLAELARREMESAPAQANSGSISPSARRGSAAAIRHEAAAAAAAQRATLPYKSTPVTEAAGCGDVGNGEQFTDDNELVWQRTAPSDPPCQIWDFNLGKSRDHDEHSALELHFGPKDGGFMIKSYNDMIEEVSSSSRKDLQYIYDSTYSFATEDIVSANIYQLTPKQLSTATSGNRRHKNEQHGLTNDGPSSSRIVDVDRTLNSSPEEVAAVLAGENCITDQTVTGADQRNSLKIDSKTIAMNRDNAMQRYDKHIRYESRKMRADTRTRVKGRFVRATDIFNVGGGDGG >ONIVA09G17060.1 pep chromosome:AWHD00000000:9:17571504:17572078:1 gene:ONIVA09G17060 transcript:ONIVA09G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFITDAVADPLAAGHGGCRCNRTPFRLHRTPLPLSLSLTALAAELLAVAASLAAAAAGSGEQWATATGRVAVPSNLGEKSESQRREMGNQAVAD >ONIVA09G17050.1 pep chromosome:AWHD00000000:9:17563580:17568620:-1 gene:ONIVA09G17050 transcript:ONIVA09G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPATVAAAPRPLLPGLPRRGMAPPRGRWSASAASAASRGVAAKAARRGVREYVEAAREMVRRPDGGPARWFSPLECGGGGGRLPGAPTMLYLPGIDGVGLGLIRHHERLAKMFDMWCLHIPVEDRTSFEGLVEYVESAVKSEGQRARDRPVYLVGESVGACIALAVAARNPDIDLVLILVNPGTSFHKSQLQSISVLDLVPEPFHLTTPQLLNFLTGNFMKIPSTIVGRGFSFQEAGQALSEITTSLLPSLMSLLDVLPKESIVWKLKMLRTASSFVNSRLHAVKAQTLVLASWNDELLPSREEAERLRDALEKCRIRNFKDNGHKILLEAEFDLATAIKGAGYYRRSLETDYVSDYLPLTPDEFQKATDHIRMLQYIANPVMLSTLPDGKIVRGLSGLPKQGPAVIVGYHMLLGFELGPLVTGVLRSSGIHIRGLAHPFMFDKKKEKIMPDPSYYDMHRIMGAVPVTAGNFYKLLAEKHFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDICDMLLDYDDLMKIPFYDILDRMLNEDGVKLRTDSTGELKYQRIHPVVAAPKIPGRFYFIFGKPIETRGREKELRDKENAQHLYLNVKSEVESCMKYLKEKREKDPYRNILARLLYQMVHGLDAEVPTFEP >ONIVA09G17040.1 pep chromosome:AWHD00000000:9:17557709:17564122:1 gene:ONIVA09G17040 transcript:ONIVA09G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVPAIKEEVLPTMSASLIVRPHFAGNSTCHLGKLPAESSSNRASPCSYISDFKRNDVLDSLNGFDGNFRASHAAYGPAGFQGLKPDTGDVGSRSGPKLGSNVQMPAMRIVGFESGFANSTGGPDTMVADNTDSPLVIDNCHSLIEQHGPHARKRVLSPLNNALPGHFRGDALNIGSGDAKIQHSDCARRLYTSCFQDRKKANTAILDSFEAPTWPASRYSNWSTEQGVDKFSGSTFTDGPLLESRESFPCSDHLEALESVAVPLAKLAHLPLLNLSPLGPTWMHGTNTVGSHGESLRETEGSTCEGYSEGHGRSRIRDAFEKTNILHDDFDMRIPKKSSDRKSQNWGPESASVSPRIGCIRSIGLLPVRRSLIGSFEESLLSGRYSCGKDNQNIDGFLAVLNVTGGNFSPPTQKLPFSATSIDENSSLLYYSSIDLVGRLPMSSSKSPKLKRSLSNHDSRSAKSRLRIPVKGRVQLVVSNPEKTPLHTFFCNYDLSDMPAGTKTFMRQKVTLFPVSPSNQKKEGSKANETKVESVQFGSELRECGTLFSECCRPGQNCNLNDDSEKGGRKNMTCCSMECDIRESNDSSSLETSENGSSTNVCCCQSDTFPLGEKKYCCRSSKINDPAGGALRYALHLRFLSPFAKKPSRSMQRSKSDVSSEPYNHSSGPEEHRRFYLYNDVRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >ONIVA09G17030.1 pep chromosome:AWHD00000000:9:17547791:17554926:1 gene:ONIVA09G17030 transcript:ONIVA09G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRMDRRGGHSPAAAAAANELLAASQDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKAQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKHQCDEKRELFEFLLNAQKEKGRSKNAKSDIGASEQLKQAQDDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALDEEDYSVDEENEDDYNDSHEDLSFDYGENKEGTEAGHASRSPTEELLDRSKAEYSSFPGERQRSGSQSAPLFPEKKLEAAERIKELRRSATRKLNTYVLPTPNDVRDTSQTVTANPTSGSPLGNKGAFYSSPLQPSTNVGDLRDNKLPSPTRLSNAHSVLKESNTNTTDTRTMPVLPLGDLSLPGYHDSKASDNKKVKRGSFSGPIVPRSRSTENIDVVSVPPRHSSSHQPSIHVRVSPNTSPPLLSSPKIKELHELPRPPANASKHTTFPSLVAHSAPLVPNSAPLAPRGQDHFRPRQTPPSAPQTASPLPTPPGPISRSFSIPSRGMRTSGISDGKETEDLQDKGPARMSLSSLPSAQTSLEDHRPLSGATESVSKT >ONIVA09G17020.1 pep chromosome:AWHD00000000:9:17540791:17547393:1 gene:ONIVA09G17020 transcript:ONIVA09G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0IM68] MLGAARRQLGSGPMLGQVLRRLRPATAAAADAARAYSAAAKEMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIAVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPISAEVLDSSFALPIGKAKIEREGKDVTITAYSKMVGYALQAADILSKEGISAEVINLRSIRPLDRATINASVRKTNRLVTIEESFPQHGIGAEICMSVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRACYRAVPMAATA >ONIVA09G17010.1 pep chromosome:AWHD00000000:9:17536904:17540051:1 gene:ONIVA09G17010 transcript:ONIVA09G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGQQLPPGFRFHPTDEELVVQYLRRRALSRPLPAAVIPDVHDATVLDPWDLPGAGDGEAYFFSFRQLAAASGGGGWRRRRAGSGYWKATGAEKPVFLRGFGCGGGGGGQHLVGVKTTLLFLRAKPPSRTHWVMHEYRLAAAGAVAVAAAGQTKRGNHSCMAQPGEWVVCRIFLKNNRSSRRRAGDADGETPVTGVHGHRWRQPSPSPSSSSCVTAEVSDGEGEEEVSSGSINGAPSASQREA >ONIVA09G17000.1 pep chromosome:AWHD00000000:9:17525557:17528382:-1 gene:ONIVA09G17000 transcript:ONIVA09G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRFLLLSRLPAAAASSTSRLLRPLAAAGSLLPAALAPSAPRAAAAAVARCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVVEPPPGDPSNPEPTRDEIIDGYIKTLAQVVGSEEEARHKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENMQNFQNRDVPPGQGFNSPPPPGQGPVLPRDAPPMHHAQGNVPPPPPPNAGPPNYQPHAPNPQGYTNYQQGGAPGYQGGPPGYQGSNQGYQGPPPPPPSAYQGNNPGYQGGGPGYQGGNPPPYQGGNPGYAPGYHGQGGNPSYQQGGDNYNAGAPAYERDGQGRNYQ >ONIVA09G16990.1 pep chromosome:AWHD00000000:9:17516604:17523641:-1 gene:ONIVA09G16990 transcript:ONIVA09G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRREMAAVRDGEDGGGEVARSRGWRTSGGAGAGRWALAVGGARCSAAGSRSRKVAMPCWRMSSAAVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKKKANGAAIANTSTLFQMLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLYKLDSEKPRPYGTIQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMDCARS >ONIVA09G16990.2 pep chromosome:AWHD00000000:9:17516588:17523641:-1 gene:ONIVA09G16990 transcript:ONIVA09G16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRREMAAVRDGEDGGGEVARSRGWRTSGGAGAGRWALAVGGARCSAAGSRSRKVAMPCWRMSSAAVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKKKANGAAIANTSTLFQMLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLYKLDSEKPRPYGTIQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELVKFVVMLIARSRTVLGPSSKKR >ONIVA09G16990.3 pep chromosome:AWHD00000000:9:17516588:17523567:-1 gene:ONIVA09G16990 transcript:ONIVA09G16990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKKKANGAAIANTSTLFQMLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLYKLDSEKPRPYGTIQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELVKFVVMLIARSRTVLGPSSKKR >ONIVA09G16980.1 pep chromosome:AWHD00000000:9:17501318:17504022:1 gene:ONIVA09G16980 transcript:ONIVA09G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFSLPSYVCTREGPDHAPRFKATVTFNGETFDGPSNCTTLRQAEHAAAEVALARLSLRGPSSSFTARVLDETGVYKNLLQETAHRAGLKLPVYTTVRSGPGHSPVFSSTVELAGMSFAGDPAKTKKHAEKNAAMAAWSSLKQMPEARKEPGGGGGEEQEHVVVARVLAALKPWDDCGGGGGEGKAAAASLPKKHLAGASCSSATSLYRHQWGRPSPPPAAAGPKILPPLHLLQQQQAAASGSRAAAAELEQERRKAAELVHMLHAVMLRDRAADAMPPPTPCYYAPYYHHGGGVAPTRPFAGAAGFHAPPAVSVRSVIPVCAAPPSPRPPARKEDDPATSSKRA >ONIVA09G16970.1 pep chromosome:AWHD00000000:9:17486331:17497355:-1 gene:ONIVA09G16970 transcript:ONIVA09G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IM61] MYVPPSRGGRGGAAGGGEQPRVYQVWRGSNEFFLQGRFIFGPDVRSLFLTIFLILAPVLVFCIFVARHLINDFPDHWGVSVMVVVVVFTIYDLTLLLLTSGRDPGIVPRNTHPPEPESIDGGSDMGNGQTPQQLRLPRTKDVFVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAENSTIWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGMVNNFLEIFCTAIPPSKNNFRARVPVDQGLQQTRTPARGFMSPNMGKPVGDLELGRKPVSWDEPRSAADIRDLEVGLGGLLDEKEGRIAHASPDLSLPGELVEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGTEANWGSISSGHGTTSSTH >ONIVA09G16970.2 pep chromosome:AWHD00000000:9:17486331:17496833:-1 gene:ONIVA09G16970 transcript:ONIVA09G16970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IM61] MYVPPSRGGRGGAAGGGEQPRVYQVWRGSNEFFLQGRFIFGPDVRSLFLTIFLILAPVLVFCIFVARHLINDFPDHWGVSVMVVVVVFTIYDLTLLLLTSGRDPGIVPRNTHPPEPESIDGGSDMGNGQTPQQLRLPRTKDVFVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAENSTIWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGMVNNFLEIFCTAIPPSKNNFRARVPVDQGLQQTRTPARGFMSPNMGKPVGDLELGRKPVSWDEPRSAADIRDLEVGLGGLLDEKEGRIAHASPDLSLPGELVEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGTEANWGSISSGHGTTSSTH >ONIVA09G16970.3 pep chromosome:AWHD00000000:9:17486333:17496833:-1 gene:ONIVA09G16970 transcript:ONIVA09G16970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IM61] MYVPPSRGGRGGAAGGGEQPRVYQVWRGSNEFFLQGRFIFGPDVRSLFLTIFLILAPVLVFCIFVARHLINDFPDHWGVSVMVVVVVFTIYDLTLLLLTSGRDPGIVPRNTHPPEPESIDGGSDMGNGQTPQQLRLPRTKDVFVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAENSTIWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGMVNNFLEIFCTAIPPSKNNFRARVPVDQGLQQTRTPARGFMSPNMGKPVGDLELGRKPVSWDEPRSAADIRDLEVGLGGLLDEKEGRIAHASPDLSLPGELVEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGTEANWGSISSGHGTTSSTH >ONIVA09G16960.1 pep chromosome:AWHD00000000:9:17480411:17481750:-1 gene:ONIVA09G16960 transcript:ONIVA09G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0IM58] MVKTNSSPLLTINAFGCVVEAAYIAVYLVYAPRPARLRALASFLLLNVAAFSLVVVVTVAAVAQPHRVRVLGSICLAFSMAVFVAPMSVIMVVIKTKSAEFMPFSLSFFLTLSAVAWFFYGLFTNDLYVTLPNVGGFFFGCVQMALYFKYRKPNTAAGGVMILPTTAAAAAVDGAVAEPAAAAQQLAEELEMELAAAGAHAVAVLPASALPVLAELHKMEQEIGTPRKGATKTV >ONIVA09G16950.1 pep chromosome:AWHD00000000:9:17477598:17479498:-1 gene:ONIVA09G16950 transcript:ONIVA09G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAAAAAMAVFLAMALVLSGTEARFLSNNITVVGSVYCDACSNNTFSKHSFFLKGARVLIQCSFKVNSTMAEELSLEAERTTDQNGVYKLDVPAAGGFDCREGHDLRSACRATLVRSSSAACNVPGLRGSTQHIALRSRATNACFLNLNALNFRPAKRDAALCHGGDGAGAGGGAAFGSSLFFWPFLPLFWPPYRLPGGGGGTVSFPWPFPVPDWLVPFLRPPFLPFTLYQPAPAGSAPPPFYRFPPSQEASPSQP >ONIVA09G16940.1 pep chromosome:AWHD00000000:9:17475431:17475847:-1 gene:ONIVA09G16940 transcript:ONIVA09G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKLAMAERGRRGLIMKTLDRCRPPAWRRPAEGCLSVYVGAARQRFVVRTASVNHPLFRALLEEAEEAFGYAAAGPLQLPCDAAVFARVLEQIEEEEEETAAAGDVAARRCGLAARGHSAYRLLVPGGRPALAGRS >ONIVA09G16930.1 pep chromosome:AWHD00000000:9:17467771:17469681:-1 gene:ONIVA09G16930 transcript:ONIVA09G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGRAPVPAKKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKAREKLLAKEAAQRMT >ONIVA09G16920.1 pep chromosome:AWHD00000000:9:17462633:17464018:-1 gene:ONIVA09G16920 transcript:ONIVA09G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLRLGFLLVLCILAFLRPAAAIRFVIDREECFSHNVDYEGDTVHVSFVVIKAETPWHYTEDGVDLVVRDPNGNQIHDSRDKISDKFEFIVHRRGVHRFCFTNKSPYHETVDFDVLVSHFSYYDQHAKDEHFSALFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKAFFESAALIAASVVQVYLLHLLFERKLGTSRV >ONIVA09G16900.1 pep chromosome:AWHD00000000:9:17454822:17457283:1 gene:ONIVA09G16900 transcript:ONIVA09G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSATAAAEAAEADQSRAMYELCALLLTVLRAWPEEGGGRGAAAWPRQVTAAGVASMLLGASVALMLCGSVTFMLGFFLMPWVIGLACVFLLVGFVTNLSVIWRAILWPASCSSSPKVASTCILDMT >ONIVA09G16890.1 pep chromosome:AWHD00000000:9:17449751:17450815:1 gene:ONIVA09G16890 transcript:ONIVA09G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRDRRPRLQSSEFRQRDHHQTLTSICGFGRQLIPTDGSLPKLGCRERSVPDELYQIGTLRLQGYKLIEMQGWHESRVPDELYQIGTSRIQGYKLIEMRGWRERSVPDELYQIGMLRL >ONIVA09G16880.1 pep chromosome:AWHD00000000:9:17446357:17448817:1 gene:ONIVA09G16880 transcript:ONIVA09G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWRLAVLACLCAAAAAAPAEAKTHHHTWNITYQYKSPDCFRKLAVTINGESPGPTIRAAQGDTLVVTVHNMLDTENTAIHWHGIRQIGSPWADGTAGPGTYMYHAHYGMQRVAGLDGMLVVSVPDGVAEPFAYDGEHTVLLMDWWHQSVYEQAVGLASVPMVFVGEPQSLLINGRGVFNCSPPAASNGGGAACNAFGGECGWPTLFTASPGKTYRLRIGSLTSLASLSFEIEGHTMTVVEADGYYVTPVVVKNLFIYSGETYSVLVTADQDPSRSYWAASHVVSRDPTKTAPGRAVVRYASAAVDHPRTPPPTGPRWNDTASRVAQSRSFAALPGHVEPPPARPDRVLLLLNTQSKIDNHTKWAINGVSLSFPATPYLVAMKHGLRGEFDQRPPPDSYDHGSLNLSSPPASLAVRHAAYRLALGSVVDVVLQNTAIPPPNGRSETHPWHLHGHDFWVLGYGEGKFVPEVDGPGLNAASARGGAVMKNTVALHPMGWTAVRFRASNPGVWLFHCHLEAHVYMGMGVVFEEGVDVLPRLPASIMGCGRTKGHHY >ONIVA09G16870.1 pep chromosome:AWHD00000000:9:17436957:17443626:1 gene:ONIVA09G16870 transcript:ONIVA09G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGQSMTRTLERYQKLSYGGPDTAIQNKENELVQSSRNEYLKLKARTQHMLDQLTDLQRREQMLCEANKCLRRKEKLEESNQLHGQVWEHGATLLGYERQSPHAVQQVPPHGGNGFFHSLEAAAEPTLQIGLTD >ONIVA09G16860.1 pep chromosome:AWHD00000000:9:17426733:17432062:1 gene:ONIVA09G16860 transcript:ONIVA09G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPVSWDLAELEHNAVPNMAAAASAAEPGIAAVAASRGAPGRPECSVDLKLGGLGEFGAADALKEPAAAAKAPVSSAAAAASVAKVPPSTSTLKRPRGGGGGGGGQCPSCAVDGCKADLSKHRDYHRRHKVCEPHSKTPVVVVSGREMRFCQQCSRFHLLGEFDEAKRSCRKRLDGHNRRRRKPQADSMSSGSFMTSQQGTRFASFTPPRPEPSWPGIIKSEETPYYSHHHHPHPVMTSRQPHFVGSPSSATTAAFSPKEGRRFPFLHEGDQISFGGGGGAAAAATLEISVCQTTVVAPPPPESSSSNKMFSSDGLTMATTTTTTAHHHHHHQIPMAQPLVPNLQQQFGGSSPWFASSPAAAAVAGGGFACPSMDSEQQQQQQLNAVLVPGSNENEMNYHGMFHVGGEGSSDGTSPSLPFSWQ >ONIVA09G16850.1 pep chromosome:AWHD00000000:9:17414594:17419014:1 gene:ONIVA09G16850 transcript:ONIVA09G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRGAAPAAAFEYCELCRRNHDQGRRHRYFPAHRAALAAALSRFRSKRLDLRRALRHPSSAARSRLWCPFCSADLVDLDSRFACSNAIYHLASQDHLNGVKAFLQKHGGGMDQWEKCCESSSTEQETSTEGSNRETLVEIPVRA >ONIVA09G16840.1 pep chromosome:AWHD00000000:9:17401793:17412914:1 gene:ONIVA09G16840 transcript:ONIVA09G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAQKTKEAEITEQDSLLLILTDFSCGFRRVWSMSPGIFVSLPLVLSARSKASGYLRLELVSEMKIKKLMPMDTESRRLIDWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYTFSFSYPNTSGDEVAMNLSRTGSKKNSATFKSNAAEVTPDQMRSSACKMIRTLVSLMRTLDQMPEERTILMKLLYYDDVTPEDYEPPFFKCCADNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNVNSEDDNMSLDNESDQDNDFSDTEVRPSEAERYIVAPNDGTCKGQNGTISEDDTQDPVHEEELTAQVREWICSRDTESLEVSDVLVNFPDISMEMVEDIMERLLKDGLLSRAKKDNYSVNKALYHALPMDYVSVGKLHGKLDGEASQNMVHIMERLLKDGLLSRAKNDSYSVNKALYHALPMDYVSVGKLHGKLDGEASQNMVRKAVIHSEVTNRKLLEIKKILEVDIAEQMAIDTNAEPGEPERKDHLSGHEMRDGSTMGCLQSVGSDLTRTRELPEPQQNVSMQSGQEASTVDKDPSRTPTSVREQASVCSLESGVLGQKVRKSLAGAGGTQCSQDKRFRKASTVKEPILQYVKRQKSQVQVQVQ >ONIVA09G16830.1 pep chromosome:AWHD00000000:9:17400674:17401333:-1 gene:ONIVA09G16830 transcript:ONIVA09G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTATVASLPELRALVREVVGECRVQVRSVAALRDAPEMYLALSALSVDYQWQVEDGDLERLADVAELARVLDLMLKVHIPQLKLQLVDVDLRRGLLNDLVGFRVRGSLAIHALTQDMADDVLAASPMPTAGSGALLLQTHSRLTELLLDRLLLHSMQARALALRIGHPISTSVQALDELLHNDIANGVRADRLPEATPGQLDRALHRITNMLGSPV >ONIVA09G16820.1 pep chromosome:AWHD00000000:9:17396275:17400010:1 gene:ONIVA09G16820 transcript:ONIVA09G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSGLNLPEDIWSHIHSLMPLRDAARAACVSRAFRSFWRYHPNLIFRIETPDLNFIKKVDCILKNHSGIGIKSLRFESGIFYNASTSYYLDSWLQIAVTPSIEELTLGILSYNTNYFDSKYDDEYNFPCSLLSDGRGSSMRHLYLSRCSFHPTINLELRNLTRLHLAFVHITGNELGCVLSNSYALERLELNYCYGIICVKIPCLLQRFSHLEVFECRMLQVIENSAPNLGSFHFGINHVQLLLGESLQMKSLSMCYPGAVYYACAELPSNVPNLETLTIGSPHEMVDTPMLPSKFLHLKCLTISLVGMVTFSPAYDYFSLVSFLDASPSLETFFLDVSQERMGHVSIFGDSLQLRQMPEHHRHGNLQSVKITGFCSAKSLIELTCYILDNTTSLKCLTLDTTRGVSSCSTGEHKKCFPIGKMLTEANRAVLAIETFIERKVPSTVTLAVTKPCSRCHVKS >ONIVA09G16810.1 pep chromosome:AWHD00000000:9:17393363:17393815:-1 gene:ONIVA09G16810 transcript:ONIVA09G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVLAITSLAEAEAVARELGGPHSPHVDVRVESVVLSDAPAMAAIMYALFDDYGWRVGNLDRLLDLAGVDEHLSIVADVNLPRLARDVHNPNALARLRDSAATIIRLARRVGGPSTAAYTNFGNRITKLAHHIQDPNRSVLELRGRLG >ONIVA09G16800.1 pep chromosome:AWHD00000000:9:17381279:17384917:1 gene:ONIVA09G16800 transcript:ONIVA09G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTSDDEALPRCSPPPRPRRCRARPPPPVGEEAALAVADGDGAALGEDVGEVGVLDEVVEGVAVVEDGSIDSAAKRKGSLCQQVANSEGNKKTRYSRLDLPEEIWCHIHSLMAFKDAARATCVSRAFHRSWQCHPNLIFCIGILGSNFINKFDRIVKKHSGIGIKSVNFEYDSSYNTRRSTSISHHFDSWLHIAITPWIEKLSLRLSHYSYNVEYNLPCTILSDGRASSMRHLYLGSCSFHPTINLDLRSLMKLHLFNVHITGDELGCLLFSCYALEWLELEHCDEIVCMKVPCQLQRLNHLKVFECRMLQVIENKAPNICSFDFGGKHVQLLLGESLQMKTLSLQYYCNAVYYARVESIPNVPNLETLTICSYHEIPKDSMEDDSIFGDSSNMRQMQEYRHDNLQSVVITCFCSAKSLIELTCCILDCTTSLEYLTLDTTRGVYSCSTGKHSQCFPMDKAMIPKANRAMLAIETYIERKVPSTVKLNVVKPCPRCHISES >ONIVA09G16800.2 pep chromosome:AWHD00000000:9:17381279:17384917:1 gene:ONIVA09G16800 transcript:ONIVA09G16800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTSDDEALPRCSPPPRPRRCRARPPPPVGEEAALAVADGDGAALGEDVGEVGVLDEVVEGVAVVEDGSIDSAAKRKGSLCQQVANSEGNKKTRYSRLDLPEEIWCHIHSLMAFKDAARATCVSRAFHRSWQCHPNLIFCIGILGSNFINKFDRIVKKHSGIGIKSVNFEYDSSYNTRRSTSISHHFDSWLHIAITPWIEKLSLRLSHYSYNVEYNLPCTILSDGRASSMRHLYLGSCSFHPTINLDLRSLMKLHLFNVHITGDELGCLLFSCYALEWLELEHCDEIVCMKIPKDSMEDDSIFGDSSNMRQMQEYRHDNLQSVVITCFCSAKSLIELTCCILDCTTSLEYLTLDTTRGVYSCSTGKHSQCFPMDKAMIPKANRAMLAIETYIERKVPSTVKLNVVKPCPRCHISES >ONIVA09G16790.1 pep chromosome:AWHD00000000:9:17376152:17379446:1 gene:ONIVA09G16790 transcript:ONIVA09G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMLDPKRLMSARQERHRRRRRRQLRPRNSEGAKRTRYSVPSLPEEIWCHIHSLMSFKDAARAACVSRAFRHSWRCHPNLICCIGILGSDFINKFDRIMKNHSGIGIKSVKFQYNSFYNTRRSTSISHHFDSWHQIAITPWIEELTISLSLSSFNMEYSFPCSLLADGRASSMRHLYLGNCGFHPTINLDLRNLTRLHLINVHITGDELGCLLSNSHCLEQLELMYCNGIICLKIPSLLQCLSHLEVFDCRILQVVENKAPNLCSFDFGGRQVQLLLGESLRMKRLSLHYPDAMYYAHAKLPSNAPNLETLALECSTDKMVDTPILPSKFFHLKCLTIDHTIISSSPAYNYLYLVYFLDACPSLETFLLGISQNHMEHDSIIGDSSHMRQMPGHRHDNLRSVEITGFYSAKSLIELTCYILDNTVALKYLTLDTTRGFFSCSTGEHDRCFPMDKIMITEANRAVLAIQNIHRAKSSFHGQAKCCEALQPLPCC >ONIVA09G16790.2 pep chromosome:AWHD00000000:9:17376159:17379446:1 gene:ONIVA09G16790 transcript:ONIVA09G16790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKDAARAACVSRAFRHSWRCHPNLICCIGILGSDFINKFDRIMKNHSGIGIKSVKFQYNSFYNTRRSTSISHHFDSWHQIAITPWIEELTISLSLSSFNMEYSFPCSLLADGRASSMRHLYLGNCGFHPTINLDLRNLTRLHLINVHITGDELGCLLSNSHCLEQLELMYCNGIICLKIPSLLQCLSHLEVFDCRILQVVENKAPNLCSFDFGGRQVQLLLGESLRMKRLSLHYPDAMYYAHAKLPSNAPNLETLALECSTDKMVDTPILPSKFFHLKCLTIDHTIISSSPAYNYLYLVYFLDACPSLETFLLGISQNHMEHDSIIGDSSHMRQMPGHRHDNLRSVEITGFYSAKSLIELTCYILDNTVALKYLTLDTTRGFFSCSTGEHDRCFPMDKIMITEANRAVLAIQNIHRAKSSFHGQAKCCEALQPLPCC >ONIVA09G16780.1 pep chromosome:AWHD00000000:9:17368308:17372597:-1 gene:ONIVA09G16780 transcript:ONIVA09G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IM37] MAMPLGGILLLFLVLLAAAVAGGGGGVWAFSSSSSSSSYSRIGEQPLSLIGIHRATVGIDAAASVQASPRLLGVKGEDTAWVTVDFAAPHASDGDWIGVFSPSNFNASTCPGPSGSDSGPVICSAPIKYQLANYSSDYGKTGKGTLKFQLINQRQDFSFALFTGGLSNPKLIAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAYPFVEWGMKWSPPTRTAAGTVTFDRESLCGEPARTVGWRDPGFIHTAFLTDLWPNKEYYYKIGHMLPDGKIVWGKFYSFKAPPFPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDTLIKDLDNIDIVFHIGDITYANGYISQWDQFTQQVEPITARVPYMIASGNHERDWPNSGSFFNGTDSGGECGVLAETMYYTPTENRANYWYKTDYGMFRFCVADSEHDWREGTEQYAFIESCLATVDRKKQPWLVFIAHRVLGYSSGFFYGAGGAFAEPTARQSLQRLWQRHRVDLAFYGHVHNYERTCPVYDGRCASPERSRYSGAVGGTIHAVVGGGGSHLSNFTAEAPPWSVYREMDYGFVKLTAFNYTSLLYEYRRSSDGEVHDSFTVHREYRDVLACVADSCPPTIPPAT >ONIVA09G16780.2 pep chromosome:AWHD00000000:9:17368308:17374529:-1 gene:ONIVA09G16780 transcript:ONIVA09G16780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IM37] MGGGGGGGGGGGAAWLAWLLVVVAAIAAVGHGGGVQPLSRVAIHRARVALDASAAVRASPSLLGAQGEDTAWVTVDFAAPHASDGDWIGVFSPSNFNASTCPGPSGSDSGPVICSAPIKYQLANYSSDYGKTGKGTLKFQLINQRQDFSFALFTGGLSNPKLIAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAYPFVEWGMKWSPPTRTAAGTVTFDRESLCGEPARTVGWRDPGFIHTAFLTDLWPNKEYYYKIGHMLPDGKIVWGKFYSFKAPPFPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDTLIKDLDNIDIVFHIGDITYANGYISQWDQFTQQVEPITARVPYMIASGNHERDWPNSGSFFNGTDSGGECGVLAETMYYTPTENRANYWYKTDYGMFRFCVADSEHDWREGTEQYAFIESCLATVDRKKQPWLVFIAHRVLGYSSGFFYGAGGAFAEPTARQSLQRLWQRHRVDLAFYGHVHNYERTCPVYDGRCASPERSRYSGAVGGTIHAVVGGGGSHLSNFTAEAPPWSVYREMDYGFVKLTAFNYTSLLYEYRRSSDGEVHDSFTVHREYRDVLACVADSCPPTIPPAT >ONIVA09G16780.3 pep chromosome:AWHD00000000:9:17372808:17374529:-1 gene:ONIVA09G16780 transcript:ONIVA09G16780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IM37] MGGGGGGGGGGGAAWLAWLLVVVAAIAAVGHGGGVQPLSRVAIHRARVALDASAAVRASPSLLGAQYPPNIRTR >ONIVA09G16770.1 pep chromosome:AWHD00000000:9:17364133:17367773:1 gene:ONIVA09G16770 transcript:ONIVA09G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IM36] MGAARGVLQAALLLAAAAAAFLLVSPAAAAAVNSTSATLDNIQPLSTLNMAAARVAMDAGSAIRASPELLGTNYKFANISPSFMSSGSGDTSFLLINQRYDYAFGLFSGGKDNPKLVAVSNKISFANPKAPVFPRLSQGKGWNEMAVTWTSGYNVDEAYPFVEWTMNGKENARARRSPADTLTFTRNHLCGKPANAEGYRDPGFIHTAFLKNLWPNREYSYQIGHELLDGTIVWGKSSTFRASPSPGQASLQRIVIFGDMGLGQSDGSNELAGFQPGAQVTTERLIKDLPNYDAVFHIGDLSYANGFLAQWDQFTAQISPVASRVPYMVASGNHERTSRDTGGFYGGDDSHGECGVPAETYFRAPAAANRGKPWYAADHGMFRFCVGDTEHDWRPGTAQHAFLDGCFAAADRKHQPWLMFAAHRPLGYSSNEYYAREGSFSEPMGRTLQPLWQKHRVDLAVYGHVHNYERTCPVYENTCTAAPAAAGGGGNGSSPAAAYTGALGGTIHVVAGTGGARLRGYAGGEWPQWSAARSESYGYVKLTARDHSRLELEFIRSDDGEVLDAFSITRGYKDVLACAVDACDPHTLAN >ONIVA09G16760.1 pep chromosome:AWHD00000000:9:17356901:17362475:1 gene:ONIVA09G16760 transcript:ONIVA09G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IM35] MPEKAVDDVMDSAVGAHFSGLRLVALRLSSPSAPSSPSSAKAAAAAAAHSNGAVYANGVAADAAELVSPSALRQPFVIGRVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESAHAQDYNFDHPDAFDTEQLLECMGQLKRAQPVNVPIYDFKNHRRSSESFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDVSSVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPHVYVVQTTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQIITPTGSIYMGVEFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEERIIFLNLISAPEGIQCVCKRFPRLKIVTSEIDTGLSEEYRVIPGLGEYGDRYFGTDN >ONIVA09G16750.1 pep chromosome:AWHD00000000:9:17353038:17355853:1 gene:ONIVA09G16750 transcript:ONIVA09G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IM34] MAAAAAAKIAPSMLSSDFANLAAEADRMVRLGADWLHMDIMDGHFVPNLTIGAPVIQSLRKHTKAYLDCHLMVTNPSDYVEPLAKAGASGFTFHIEVSRDNWQELIQSIKAKGMRPGVSLRPGTPVEEVFPLVEAENPVELVLVMTVEPGFGGQKFMPEMMEKVRALRKKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSIFGAAEPGEVISALRKSVEGSQNKS >ONIVA09G16740.1 pep chromosome:AWHD00000000:9:17348990:17352850:1 gene:ONIVA09G16740 transcript:ONIVA09G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0E0IM33] MASWESDQGWRKAQRSEACPFQYSLSSSSSTPATPPPHPEQASRRRRRPSSPIVAMSRRGDWVYENNGGTCVAIAGADYCVVAADTRLSVGYNILTRDHSKICELADKCALASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGSALIMPVLDNQLKSPSPLLLPARDAVTPLSETEAVDLVKDVFASATERDIYTGDKLEIVVINKAGTKREYIDLRKD >ONIVA09G16730.1 pep chromosome:AWHD00000000:9:17342925:17345797:-1 gene:ONIVA09G16730 transcript:ONIVA09G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAAMAPLYFALGLGYGSVRWWRLFTADQCDAVNRLVACFAVPFFAFDFAARIDPFALSYRVLAADALSKLAVALALAACAAAASTRCCGSGGGKRGGGGGFSWCITGFSLATLNNTLVVGVPLLDAMYGKWARDLIVQISVVQTIVYFPLLLLAFEVRRATTAAAAPPPPPTGTDDDDVEDGAAAAATAAAARRSLWPLVRAVWLKVARNPNVYAGVLGVAWACVTNRWHVETPSIIEGSVLIMSKTGVGLSMFSMGLFMALQDKIIVCGAGLTVLGMALRFVAGPAATAVGAFALGLRGDLLRCASSIHHDVRVRKGVRPARRNTQHSGYIRDTGVITGVDRWKKSSELQSSDANAISSTSDILLMDMVGSI >ONIVA09G16730.2 pep chromosome:AWHD00000000:9:17343282:17345797:-1 gene:ONIVA09G16730 transcript:ONIVA09G16730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAAMAPLYFALGLGYGSVRWWRLFTADQCDAVNRLVACFAVPFFAFDFAARIDPFALSYRVLAADALSKLAVALALAACAAAASTRCCGSGGGKRGGGGGFSWCITGFSLATLNNTLVVGVPLLDAMYGKWARDLIVQISVVQTIVYFPLLLLAFEVRRATTAAAAPPPPPTGTDDDDVEDGAAAAATAAAARRSLWPLVRAVWLKVARNPNVYAGVLGVAWACVTNRWHVETPSIIEGSVLIMSKTGVGLSMFSMGLFMALQDKIIVCGAGLTVLGMALRFVAGPAATAVGAFALGLRGDLLRLAIIQAYTHLHEIHSPSSFFLLSPEICLNSNGCMHDTSPHDETARDEQAALPQSITTFVFAKEYGLHAEILSTAVIFGTLASLPVLIVYYIVLGFIR >ONIVA09G16720.1 pep chromosome:AWHD00000000:9:17335503:17338768:-1 gene:ONIVA09G16720 transcript:ONIVA09G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IM30] MLRCHTPPQCRLGAGAGVLLRQRSEVAVRCRAQQVSGVEAAAGTPAARAAVEGGERTGLAERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGKIGTRRDWILKDLANGEVIGRATSKWVMMNQNTRRLQRVSDDVRDEVFVHCPKTPRLAFPEENNGSLKKIPVLTDPAQHSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEGEEKSSNGSAFAAPHPEEQRQFLHCLRFAGNGNEINRGRTVWRKLAR >ONIVA09G16710.1 pep chromosome:AWHD00000000:9:17326135:17334501:1 gene:ONIVA09G16710 transcript:ONIVA09G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVMASAGRNRGVGFAKLQGEDFEYFMQTYSIILGRDSKREKVVVLLNWTPKTSFRLDIRNSTFSCQLDLSSAPPLISMALVPLLLFSLLTMAPLLMSIISLQVLLLSLLILAPPLLLLILALLNLVKLASSLFLLLISRTMLKMKI >ONIVA09G16710.2 pep chromosome:AWHD00000000:9:17326043:17334501:1 gene:ONIVA09G16710 transcript:ONIVA09G16710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVMASAGRNRGVGFAKLQGEDFEYFMQTYSIILGRDSKREKVDLDISGGDLTISRHHAHIFYDFECKRFSLLVLGKCGCTVEGVLHLPGGSPIKLDSQDLLQIGHKKFYFLLPTRSIFGTSSNQHGPSASAAFQPANNGTAADEHNLTASAAAQPAHIGTAAPPPHIGTAQPGQIGIVTLPPAHIQNNAENENIAGIETQEEFMNQNKMPFGELDTCSSHHITIEPTLAPGGQPVNNLAIRPADNNKDQQEVLLKEEEYVLASIGIVISDLCGLKKMIPIEKLHSELVACYSATWTQRQVQMHLAPEAGSSAAGTECKPWLKLMYLLRKYPERFTVMNSSCDEEGRQHRCMLR >ONIVA09G16710.3 pep chromosome:AWHD00000000:9:17326043:17334501:1 gene:ONIVA09G16710 transcript:ONIVA09G16710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVMASAGRNRGVGFAKLQGEDFEYFMQTYSIILGRDSKREKVDLDISGGDLTISRHHAHIFYDFECKRFSLLVLGKCGCTVEGVLHLPGGSPIKLDSQDLLQIGHKKFYFLLPTRSIFGTSSNQHGPSASAAFQPANNGTAADEHNLTASAAAQPAHIGTAAPPPHIGTAQPGQIGIVTLPPAHIQNNAENENIAGIETQEEFMNQNKMPFGELDTCSSHHITIEPTLAPGGQPVNNLAIRPADNNKDQQEVLLKEEEYVLASIGIVISDLCGLKKMIPIEKLHSELVACYSATWTQRQVQMHLAPEAGSSAAGTECKPWLKLMYLLRKYPERFTVMNSSCDEEGRQHRCMLR >ONIVA09G16710.4 pep chromosome:AWHD00000000:9:17326135:17334501:1 gene:ONIVA09G16710 transcript:ONIVA09G16710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVMASAGRNRGVGFAKLQGEDFEYFMQTYSIILGRDSKREKVDLDISGGDLTISRHHAHIFYDFECKRFSLLVLGKCGCTVEGVLHLPGGSPIKLDSQDLLQIGHKKFYFLLPTRSIFGTSSNQHGPSASAAFQPANNGTAADEHNLTASAAAQPAHIGTAAPPPHIGTAQPGQIGIVTLPPAHIQNNAENENIAGIETQEEFMNQNKMPFGELDTCSSHHITIEPTLAPGGQPVNNLAIRPADNNKDQQEVLLKEEEYVLASIGIVISDLCGLKKMIPIEKLHSELVACYSATWTQRQVQMHLAPEAGSSAAGTECKPWLKLMYLLRKYPERFTVMNSSCDEEGRQHRCMLR >ONIVA09G16710.5 pep chromosome:AWHD00000000:9:17326093:17334501:1 gene:ONIVA09G16710 transcript:ONIVA09G16710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVMASAGRNRGVGFAKLQGEDFEYFMQTYSIILGRDSKREKVDLDISGGDLTISRHHAHIFYDFECKRFSLLVLGKCGCTVEGVLHLPGGSPIKLDSQDLLQIGHKKFYFLLPTRSIFGTSSNQHGPSASAAFQPANNGTAADEHNLTASAAAQPAHIGTAAPPPHIGTAQPGQIGIVTLPPAHIQNNAENENIAGIETQEEFMNQNKMPFGELDTCSSHHITIEPTLAPGGQPVNNLAIRPADNNKDQQEVLLKEEEYVLASIGIVISDLCGLKKMIPIEKLHSELVACYSATWTQRQVQMHLAPEAGSSAAGTECKPWLKLMYLLRKYPERFTVMNSSCDEEGRQHRCMLR >ONIVA09G16700.1 pep chromosome:AWHD00000000:9:17317479:17322118:-1 gene:ONIVA09G16700 transcript:ONIVA09G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IM24] MGKRVKAKAKNPRKAQQQQEPTAAAPSDAGSGDAAAAAAQDSGNSTEEAAAAAAAAAASASGREQCGHYGGDSARLDKVLLEIMTSKHFASCEHCRDDAPRKKGGGKEKGGKQQQKKKGGGTKGSAAKAKVEKSDMWVCLDCGRHFCGGEVDVTKPYGHARRHAKQDRHWWAARFDDPTVAFCLSCEKEVSIEMPRIETVAAVPTEVAGAADRDLGLVNSHGSVIRGLPNLGNTCFFNAVMQSLLALDRLRSKMLGPDVPTGALLMSLKKLFMETSASNDVGGALSPKNLFSNICSKYPQFRGFQMQDSHELLRCFLDGLHTEENEARKLADEASSATIPTIVDSIFGGQLSSTVSSTECTHSSVKHDQFLDLSLPVPSRRPPAKSVSSPPAKRNKQSLRDRNKNRRYGKISTRVTPTIEVSNKEKIQTVAEGNNSLIPGSESGQVVSEKEPEPSECSESCASVPNLEQTGTSNVEDGTCWLDYIDDADEAKSEILDSADSIEAGQIWEDKGVTYGPFLPQDDALSKEQVLGSEHSGENPIDDATSSQPVILLPYKEFGSTANEMDGTTENSQKPEDAVAPPAVSPLPEDNAQPASVGDGDQDDYVGLGDMFNEPEVTSEVKKETGTVEDIDVMAWSSNSAEDEVDDSNAPVSVEGCLALFTEPELLSEPWHCELCSDSIACPNTNDGKDDEMATSVNERKDGEEMMAGGDETQDGDKLIANCTEKEGIDQIMATDGCSDNLNSDMNSKEGGCANSSLVGADNSVDANFPENGKVALLKTGSSLVDTTEQADSKAYRREIRDLNNSAVEYTSSSKQPHDSAQHKDEHNVDVASEETTAPECSCDNESASCSTTNKNEAECGVGAEEIVTSSLPSETQRILPGEKDNEDVVTRNHGRRKRMKMVGKAHQGQDNQNEQKENGKKVFRSAMRRILISKAPPVLTINLNRFSQDSHGRFKKLKGHVRFKETLDVRPFMDPRSKENDNTTYRLVGVVEHLGTMAAGHYVAYVRTGKIGGRQQRSTGSKSWFYASDAQVREASLEEVLNCEAYILFYERVGD >ONIVA09G16690.1 pep chromosome:AWHD00000000:9:17313819:17314466:-1 gene:ONIVA09G16690 transcript:ONIVA09G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAMPPPTRTRRGVTLAEQMAASSNLRDLLKLRDNDDDDDDGGGQGGRRQPRPLPDAVVVAAGRRRTLLDVIRGVDDDDGHDHPPTGVLEGHRPATSTRTAAAAGGARGGRVSLMALLEQAERQWTTAAAGDASRRRVADDHAAAAEAGTNKGFAGAAAGVGGRCCVCMARGKAAAFIPCGHTFCRACARELRAGRGRCPLCNAAIHDVLNLF >ONIVA09G16680.1 pep chromosome:AWHD00000000:9:17303947:17306831:-1 gene:ONIVA09G16680 transcript:ONIVA09G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKEGEGKVVVVEEEEEERRLRGALRSLQQEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDVRLLLAAGLGDVLTAVFPVLASRKPANTILAVNRVRRSLVQTIVTMRGFWVSHACYQSDSCFASKSEGSGSTVYNKASDLIDRKQSVKISIGGAQAFREYYPSSNDARTFLECVWVKDKFVLHENTKGNCEKTQAEDQKSCASESAVLYETLGQVSEDMENAEGLNSPMKLPDATLANQPEKTHCHRDEDSQSRRQLVNDNNSNSLSDAVATHVHSTPCPDVKPETKKRVAFIAVGNPKATASSIGTTLTKKQRLDVIPRATAEPEDLYGKFSEDTDKSIF >ONIVA09G16680.2 pep chromosome:AWHD00000000:9:17303947:17306831:-1 gene:ONIVA09G16680 transcript:ONIVA09G16680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKEGEGKVVVVEEEEEERRLRGALRSLQQEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDVRLLLAAGLGDVLTAVFPVLASRKPANTILAVNRQSKKKPGANHCHHERLLGVARLLSEFLNLRYSQISFLLARSFFVDLCTAILALLARVRALVQQMLLDVVSVYNKASDLIDRKQSVKISIGGAQAFREYYPSSNDARTFLECVWVKDKFVLHENTKGNCEKTQAEDQKSCASESAVLYETLGQVSEDMENAEGLNSPMKLPDATLANQPEKTHCHRDEDSQSRRQLVNDNNSNSLSDAVATHVHSTPCPDVKPETKKRVAFIAVGNPKATASSIGTTLTKKQRLDVIPRATAEPEDLYGKFSEDTDKSIF >ONIVA09G16680.3 pep chromosome:AWHD00000000:9:17303947:17306831:-1 gene:ONIVA09G16680 transcript:ONIVA09G16680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKEGEGKVVVVEEEEEERRLRGALRSLQQEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDVRLLLAAGLGDVLTAVFPVLASRKPANTILAVNRQSKKKPGANHCHHERLLGVARLLSEISFLLARSFFVDLCTAILALLARVRALVQQMLLDVVSVYNKASDLIDRKQSVKISIGGAQAFREYYPSSNDARTFLECVWVKDKFVLHENTKGNCEKTQAEDQKSCASESAVLYETLGQVSEDMENAEGLNSPMKLPDATLANQPEKTHCHRDEDSQSRRQLVNDNNSNSLSDAVATHVHSTPCPDVKPETKKRVAFIAVGNPKATASSIGTTLTKKQRLDVIPRATAEPEDLYGKFSEDTDKSIF >ONIVA09G16670.1 pep chromosome:AWHD00000000:9:17301383:17306365:1 gene:ONIVA09G16670 transcript:ONIVA09G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTRAVDHRRRRSGRAPAIAAVAVAAEDDGEEHHLNPFLDAAPSSSSSRVQFRKVASRAVWVEEAGAAEVVDSKGKLWLTTGVNRDGKLYYNVEEIGFLAERGALVLLDYEGETIGMEEIYGKIAGGKYGCSWDAFQAYKHLKLLGYIIGRYGVPWTVKRSHTYSVTDASTSVVETDQIQSLNRVGGASNDITKLLKEMCIDDMHPSFEVYLPNSKFKKTSPGDPSFVLCLLSNKPPSREELETVENKFEGIPLKFCHVDNGRVSFLSFNKAALPSLP >ONIVA09G16670.2 pep chromosome:AWHD00000000:9:17301579:17306365:1 gene:ONIVA09G16670 transcript:ONIVA09G16670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTRAVDHRRRRSGRAPAIAAVAVAAEDDGEEHHLNPFLDAAPSSSSSRRGSRKVASRAVWVEEAGAAEVVDSKGKLWLTTGVNRDGKLYYNVEEIGFLAERGALVLLDYEGETIGMEEIYGKIAGGKYGCSWDAFQAYKHLKLLGYIIGRYGVPWTVKRSHTYSVTDASTSVVETDQIQSLNRVGGASNDITKLLKEMCIDDMHPSFEVYLPNSKFKKTSPGDPSFVLCLLSNKPPSREELETVENKFEGIPLKFCHVDNGRVSFLSFNKAALPSLP >ONIVA09G16660.1 pep chromosome:AWHD00000000:9:17294556:17301359:-1 gene:ONIVA09G16660 transcript:ONIVA09G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDLSSSSLLTRVEIEINRVSMSTVSGTRRAPRRQSQDGPGDKVVVNLDAISSPVVGSRRAVPTSTGARASPIDVEALDDEVQTLSASQVPPPRRNRRTRRQPVAVVDLEVDASREGNKRQRVAPVIHCLSPERGEGSSLKTSNEPPKAKEPVFNCPVCWNKLEEPSTTICGHIFCTTCIKQAIQIQKKCPTCRKSLRANNFHRSLDVPKELLAAAAASSNLIYSEEQEFSHNMKWPVMNELGITGGLKDAIMQFSKEGDTYFLLYEHKD >ONIVA09G16660.2 pep chromosome:AWHD00000000:9:17294556:17301459:-1 gene:ONIVA09G16660 transcript:ONIVA09G16660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGQVGPTRKRSKPTPPRPLPPPRLPFKQEEKNGKRPLLFFSPHSGTRRAPRRQSQDGPGDKVVVNLDAISSPVVGSRRAVPTSTGARASPIDVEALDDEVQTLSASQVPPPRRNRRTRRQPVAVVDLEVDASREGNKRQRVAPVIHCLSPERGEGSSLKTSNEPPKAKEPVFNCPVCWNKLEEPSTTICGHIFCTTCIKQAIQIQKKCPTCRKSLRANNFHRSLDVPKELLAAAAASSNLIYSEEQEFSHNMKWPVMNELGITGGLKDAIMQFSKEGDTYFLLYEHKD >ONIVA09G16660.3 pep chromosome:AWHD00000000:9:17297312:17301359:-1 gene:ONIVA09G16660 transcript:ONIVA09G16660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDLSSSSLLTRVEIEINRVSMSTVSGTRRAPRRQSQDGPGDKVVVNLDAISSPVVGSRRAVPTSTGARASPIDVEALDDEVQTLSASQVPPPRRNRRTRRQPVAVVDLEVDASREGNKRQRVAPVIHCLSPERGEGSSLKTSNEPPKAKEPVFNCPVCWNKLEEPSTTICGHIFCTTCIKQAIQIQKKCPTCRKSLRANNFHRSLDVPKELLAAAAASSNLIYSEEQEFSHNMKWPVMNELGITGGLKDAIMQFSKEGDTYFLLYEHKVI >ONIVA09G16660.4 pep chromosome:AWHD00000000:9:17294556:17300516:-1 gene:ONIVA09G16660 transcript:ONIVA09G16660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSGTRRAPRRQSQDGPGDKVVVNLDAISSPVVGSRRAVPTSTGARASPIDVEALDDEVQTLSASQVPPPRRNRRTRRQPVAVVDLEVDASREGNKRQRVAPVIHCLSPERGEGSSLKTSNEPPKAKEPVFNCPVCWNKLEEPSTTICGHIFCTTCIKQAIQIQKKCPTCRKSLRANNFHRSLDVPKELLAAAAASSNLIYSEEQEFSHNMKWPVMNELGITGGLKDAIMQFSKEGDTYFLLYEHKD >ONIVA09G16660.5 pep chromosome:AWHD00000000:9:17297656:17300516:-1 gene:ONIVA09G16660 transcript:ONIVA09G16660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSGTRRAPRRQSQDGPGDKVVVNLDAISSPVVGSRRAVPTSTGARASPIDVEALDDEVQTLSASQVPPPRRNRRTRRQPVAVVDLEVDASREGNKRQRVAPVIHCLSPERGEGSSLKTSNEPPKAKEPVFNCPVCWNKLEEPSTTICGHIFCTTCIKQAIQIQKKCPTCRKSLRANNFHRSLDVPKELLAAAAASSNLIYSEEQEFSHNMKWPVMNELGITGGLKDAIMQVERI >ONIVA09G16650.1 pep chromosome:AWHD00000000:9:17293476:17297627:1 gene:ONIVA09G16650 transcript:ONIVA09G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHCKQLLDQEDVDKVPQADSDRGITPEEFRLVKIHMSFHIWRLAQQVKVRQRVIATAVTYFRRVYTRKSMTEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCASDEKYRFEIKDILEMEMKLLEALDYYLVVYHPYRPLLQGIVNDTYKMDLILIHPPYMIALACIYIASVLKDKDITLWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGLPEDKIAPVMNKLPSKA >ONIVA09G16640.1 pep chromosome:AWHD00000000:9:17280478:17281974:-1 gene:ONIVA09G16640 transcript:ONIVA09G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADAAAKGMKLERYASGAGAMLLLRRAASGKVVSASSHLLFRATVLATMALVFLFTFHYPSLLSRSFTLSSGAGAGEGGAAAHASHRSLLMSSSSASASAASVYGGAAWEKEVRRSAKPRKDGGIAVLVTGAAGFVGTHCSLALRARGDGVLGLDNFNAYYDPELKRARQRLLAGRGVLVLDADINDALLLEKLFDLVPFTHVLHLAAQAGVRYAMEAPQTYVASNVAGLVTVLEVAAKHADPQPAIVWASSSSVYGLNTDAPFSEEHRTDRPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFAKSIVSGEPITLFRAADGADARRDFTYIDDVVKGCLGALDTSGKSTGSSKSGKKSGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRIVAMPSNGDVPFTHANVTHAAHDFGYRPTTSLDAGLRHFVDWFADYYKLKLDVPKIAAKVAGAGKPSSSSASKKKKKAAAMSASS >ONIVA09G16630.1 pep chromosome:AWHD00000000:9:17273008:17276046:-1 gene:ONIVA09G16630 transcript:ONIVA09G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTTDGLTESVAKRKGSPCQQDDDCQDDKRIRSGADLPEDIFWYIHSLMPLRDAARAACVSHSFLRSWRCYPYLMFSEELLRLQESAFSDDERTRNLISKVNHILQNHSGIGVKKLELVFLDSTDVDLSYIDSWLHKAVTRGIEELTLILPINSNAEYSFPCSLLSDGNGNSIQYLHLSRCAIRPTADLGCLRTLTTLHLYSVRITGFELEYLLSNSPALEWLIMMDCKEIVQLKIPSLLKRLHTLCECQTLKVVESYAPNIATFHFRGHVHAVRMLGLLQVKDLEMSCSDHSSILCYALTNLLSIVPNVEKLRISSQTQIVSTQTVPGKYLRLKHLHISLNRSPNFDYLSLVSFLDASPSLETFILHIWDIYIPLGHMGHPWTLGDSEQLRQMPGHRHDSLKKFEVVGSCYAKSLVELTCHILETTSSLDRIKLDTCGYVGLCASGSGRCYPHYTEQIMEACNSVLAIRTYIMGKVPPTVKFELVEPCSRCPVQ >ONIVA09G16620.1 pep chromosome:AWHD00000000:9:17266482:17275146:1 gene:ONIVA09G16620 transcript:ONIVA09G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPDGGRSFARRDILLKIQSDAQKWWEESKVFEAEPGNEPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYQRLRGSNVLLPFAFHCTGMPIKASADKLSREAQQYGYPPAFPEVEDDSSAEVADSSQADNAASVVPDKFKSKKSKAASKVGMQKFQWEIMRGFGLSDEEIAKFQDPYHWLTYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMEVVPPFPPKLKTMEGRNVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFIVTSRAALNLAYQNLSRVPEKPTCLMELSGSDLIGLPLKSPLAFNEIIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLVAKPALRQKYGVKDEWVLPFKVVPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFNGRKVQEAKPLIKNKLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVQCLEKMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQNGNMYGKEISSIRPEQMTDEVWDYVFCDGPAPNSDIPPALLSKMKLEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRAGPPSTYADHVFANEINIAVIETEKSYNAFMFRDALKLGFYDLQLARDEYRLSCGAAGMNRELLWRFMEVQTRLITPICPHYAEHVWRNILRKEGFAIKAGWPIAGTPDPTLRIANKYLQDSIVKFRKLLQKQESGSKKPKKGTAPPPSEQNKLTVGLVYVNENYYGWKEQCLRVLQSKFDSQARLFAPDEEINEALKNCSIGQETNFKQVQKLCMPFIKSKKDEARSVGPHALNLKLPFGEMSVLEENLELIKRQVGLEHAEVLSASDEAARAKAGVHASMLDKTPPSPGEPVAIFMSKLDLEARC >ONIVA09G16610.1 pep chromosome:AWHD00000000:9:17260320:17265798:1 gene:ONIVA09G16610 transcript:ONIVA09G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKETRYKPMAAAADAAPKTMRAVQYDKYGGGPEGLKHVEVPIPAPKEGELLIKMEAASINPIDWKIQKGMLRLFLPKKFPFIPVGDLSGEVVELGGGVSGFKPGDKVVSMSFPNCGGLAEYAVAPASLTVARPPEVSAADGASLPAAAGSALQQLKAAGVRFDADADAAAAAGGPKNVLVTAASGGVGHYAVQLAKLAGLHVTATCGARNLAFVRDGLGADEALDYRTPDGAALRSPSGRRYDAVAHCAPPAPWPVFRDALADAGGVVVDLTPGVAATVRSFLHRVTFSKKRLVPLILMPKKEEMEWLVDMAKQGKLKTTIDSKYPLSRAQEAWAKSMEGHATGKIVVEMGGTE >ONIVA09G16610.2 pep chromosome:AWHD00000000:9:17258515:17260693:1 gene:ONIVA09G16610 transcript:ONIVA09G16610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPSPPPSATTMRAVQYDGYGGGAEGLKHVEVPIPSPKKGEVLIKMEAASINPIDWKIQKGMLRPFLPWKFPSIPACDLAGEVAAVGGGVRGFELGNKVIAINFPSGGGFAEYAVAQASLTVERPPEVSAAEGACLPLAAVTALQALRAAGAGLDDAPPPKNVLVTAASGGVGHFAVQLARLGGHRVTATCGARNLALVAGELGADEALDYATPDGAALRSPSGRRYDAVVHCAPHLPWQVFDRVLAEGDTGGVVVDITPSPAALATALLHRVTFSKKRLTPFMFSPSKADMELLVAMARQGKLKPAVDSCHPLSDAPAAWARSMGGHATGKVVVKIGEEE >ONIVA09G16600.1 pep chromosome:AWHD00000000:9:17243216:17243779:1 gene:ONIVA09G16600 transcript:ONIVA09G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSASVLSVAVSTFADFEPVFFSNVEPHPHAVKVLAVRELKHAPALLHLLESASSDHGCKIVGLRLVENLSKLDALLAVVSEGVIPLLLNDLRDPDANRELRRCAQSIHRVGRLIGGYARRKSKALALVLRRHVSHVFKGTRGDGCLLKNLQATVPSRIATMRTHRIDLARAPPPDHLARSRRGR >ONIVA09G16590.1 pep chromosome:AWHD00000000:9:17242095:17256661:-1 gene:ONIVA09G16590 transcript:ONIVA09G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLEMNRVMPTRRRRQRRRRRRNRHRYRSIAKLGKRKFSPSQQDDDSQGAKRMKNSGMLLSKDIWRRIHCLMPMRDAARVACVSRAFLNSWLCYPNLTFNKDTLGLDEHVCETDFISKVDHILKRHSGTCVKTFKLEVPYELDVCDHVDRWLQFAITPAIEELTLTLYGTAQKYNFPCSLLSDGMADSIRILDLGHCAFCPTIEPGSWRSLKRLCLSFVCITEDDLGCLLLNSLALEGMELRHCDEIVSLKIPCTLQQLSYITVSECSRVRVIENKAPNVSSFYFTGNKVKLSLGEWLQVKKLNMRSSRIVRYARATLPSMMPNVETLSIGSLREVFNTPMLPTKFPYLKYLSISLIGLTNSPAYDYLSLVSFLAASPLLETFFLAISQQQAEQESIFGSSSLMRQIPEHRYEYLKSVTINGFCSAKSMVELTCHILENAASLEHLTLNTNLGLANRSEQSPGILKEVPKALSAIQKYIAGKVPSAVRLSVLDAIGFRHAPLPRRRGANTRSPSAPPPAILRVRALDSLASIVARRPAMGMLLLSRLMPTRRPRRRRPHKSRRSGLIAKSAKRKRSHCQQDDDDSQGDKRMRNSVPTLPEDIWCHIHSLMPMRDAARVACVSRAFLSSWRCHPNLTFNERTLGLDEYVFETDFISKVDHILEKHSGIGVKTFNLQVPYELDVCDHVDHWLQFAITPRIEELNLMLYGTVQEYNFPCSLLSDGIANYIRFLDLGHCAFRPTVELGSWRSLKRLCLSFVHITGDELGCVLSNLFALEWLELIYCDKIASLKIPCTLQRLSYLKVSECSRMRVIESKAPKVSNFYFTGYKVVNTPMLTTKFLYLKYLSISLSGLTVSPSYDYFSLVSFLDASPFLETFFLAISKEQMKRESKFRDSSHMRQIQEHRHEHLKSVTIIGFCSAKSLVELTCHILENAVSLECLTLNTTLGFASRSEHSPGTCFPMGKAVLMGVPKALSAIQTYIVGKVPSTVRLNVMEPCNLCNAATAPASAAPHSSSSGGGADRSRDRARVDALDLYPLPDLAPRSS >ONIVA09G16590.2 pep chromosome:AWHD00000000:9:17242095:17256661:-1 gene:ONIVA09G16590 transcript:ONIVA09G16590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLEMNRVMPTRRRRQRRRRRRNRHRYRSIAKLGKRKFSPSQQDDDSQGAKRMKNSGMLLSKDIWRRIHCLMPMRDAARVACVSRAFLNSWLCYPNLTFNKDTLGLDEHVCETDFISKVDHILKRHSGTCVKTFKLEVPYELDVCDHVDRWLQFAITPAIEELTLTLYGTAQKYNFPCSLLSDGMADSIRILDLGHCAFCPTIEPGSWRSLKRLCLSFVCITEDDLGCLLLNSLALEGMELRHCDEIVSLKIPCTLQQLSYITVSECSRVRVIENKAPNVSSFYFTGNKVKLSLGEWLQVKKLNMRSSRIVRYARATLPSMMPNVETLSIGSLREVFNTPMLPTKFPYLKYLSISLIGLTNSPAYDYLSLVSFLAASPLLETFFLAISQQQAEQESIFGSSSLMRQIPEHRYEYLKSVTINGFCSAKSMVELTCHILENAASLEHLTLNTNLGLANRSEQSPGILKEVPKALSAIQKYIAGKVPSAVRLSVLDAIGFRHAPLPRRRGANTRSPSAPPPAILRVRALDSLASIVARRPAMGMLLLSRLMPTRRPRRRRPHKSRRSGLIAKSAKRKRSHCQQDDDDSQGDKRMRNSVPTLPEDIWCHIHSLMPMRDAARVACVSRAFLSSWRCHPNLTFNERTLGLDEYVFETDFISKVDHILEKHSGIGVKTFNLQVPYELDVCDHVDHWLQFAITPRIEELNLMLYGTVQEYNFPCSLLSDGIANYIRFLDLGHCAFRPTVELGSWRSLKRLCLSFVHITGDELGCVLSNLFALEWLELIYCDKIASLKIPCTLQRLSYLKVSECSRMRVIESKAPKVSNFYFTGYKVKLSLGEWLRVKELCMLSSHLVCYACANLPSMMSNLETLSICSLDEVVNTPMLTTKFLYLKYLSISLSGLTVSPSYDYFSLVSFLDASPFLETFFLAISKEQMKRESKFRDSSHMRQIQEHRHEHLKSVTIIGFCSAKSLVELTCHILENAVSLECLTLNTTLGFASRSEHSPGTCFPMGKAVLMGVPKALSAIQTYIVGKVPSTVRLNVMEPCNLCNAATAPASAAPHSSSSGGGADRSRDRARVDALDLYPLPDLAPRSS >ONIVA09G16590.3 pep chromosome:AWHD00000000:9:17242095:17256661:-1 gene:ONIVA09G16590 transcript:ONIVA09G16590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLEMNRVMPTRRRRQRRRRRRNRHRYRSIAKLGKRKFSPSQQDDDSQGAKRMKNSGMLLSKDIWRRIHCLMPMRDAARVACVSRAFLNSWLCYPNLTFNKDTLGLDEHVCETDFISKVDHILKRHSGTCVKTFKLEVPYELDVCDHVDRWLQFAITPAIEELTLTLYGTAQKYNFPCSLLSDGMADSIRILDLGHCAFCPTIEPGSWRSLKRLCLSFVCITEDDLGCLLLNSLALEGMELRHCDEIVSLKIPCTLQQLSYITVSECSRVRVIENKAPNVSSFYFTGNKVKLSLGEWLQVKKLNMRSSRIVRYARATLPSMMPNVETLSIGSLREVFNTPMLPTKFPYLKYLSISLIGLTNSPAYDYLSLVSFLAASPLLETFFLAISKEQMKRESKFRDSSHMRQIQEHRHEHLKSVTIIGFCSAKSLVELTCHILENAVSLECLTLNTTLGFASRSEHSPGTCFPMGKAVLMGVPKALSAIQTYIVGKVPSTVRLNVMEPCNLCNAATAPASAAPHSSSSGGGADRSRDRARVDALDLYPLPDLAPRSS >ONIVA09G16580.1 pep chromosome:AWHD00000000:9:17239600:17242015:-1 gene:ONIVA09G16580 transcript:ONIVA09G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALNRLISIRRERRRRRNKARSKRFPLGLSALHLSEGGSITKSVRRKYSPCQQDDDSQGGKMMTNSGVTLPEDIWSYILSLMPMRDAARAACLSRAILRSWRCHPNLTFNEDALGLNDNACETDFTSKVDHILKNHSGIGVKRFKLSVHCKLDNCDYVDSWLQFAITPGIEEITVMLSGNKPQFNFPCSLFSDKIAYSIRCLELGNCAFHPTIELGPLRNLKRLHLSCVRISGDELACLLSNSFVLEQLELKYCKKIVSLKMPCVLQQLNCLNVLECKRVQVIESKAPNLSSFSFSGNKVKLSLVESSQVKNLYMCSSNIICYARSDLPSIVPNVETLAVASHCEMVDTPMLPTKLLYLKHLTISLFAWTFSRAYDYFSLVSFFDASPLLEVFVLDIYQESMEHESIFESSSHLRQMPEYHHEHLKSVTISGFCSAKSLVELTCHIVENTTSLERLELDTTHGNARCSEDSSDECFPVSQGVLTESPRAVLAIRGYIEGKIPTNVKLNVLEPCSRCHAGGG >ONIVA09G16570.1 pep chromosome:AWHD00000000:9:17237212:17238937:1 gene:ONIVA09G16570 transcript:ONIVA09G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRRMRAVQYDKYGGGAQALKHVEVPIPTPKKGEVLIKMEAGSINQVDWKFQKGVARPFMPNKFPFIPVYDLAGEVVELGRGVSSFKVGDKVIAINFPGGGGLAEYAVAQASRTAPRPPEVSAAVGACLPIAAVTALVALRTAGVSLDAGDGGGGGAKKNVLVTAASGGVGHFAVQLASAAGHRVTATCGARNAGLVGGLGADEVLDYATPEGAALRSASGRRYDAVVHCAAVAGLPWSAFAPVLADAGVVVDLTPGAAAFATALRQRVTFSRKRLVPLFVSPTKEDMELVAGMVAEGKLRAVIESRHPLSRAEEGWARSMAGHATGKIIVEMGDEQ >ONIVA09G16560.1 pep chromosome:AWHD00000000:9:17233218:17237233:-1 gene:ONIVA09G16560 transcript:ONIVA09G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRIGSSLCLTGGLAPRRGEAAADVAALRTARRRAEVGEDVGAAGEATAPGAPRGRRGARRPRARRRGRRRAAPPYSGGGAAAFEESGASVAPIAVDLAPIVKSLRGLDVDCQDLPRAGLRGVDGAMWKRRRTAPQFFSCNLFSQFDVHSECSDHEVSVETTKSQKHHLKKIKHNDVMEPGMRIHLPVSVAEGEIRDEIEKACHPQAWMCLQQQLCYMEMKKVQNWFIGSGRPSSSLLLSGVATGAGVVAGDLALRVTPIPAHKMAPLQGLRGDPKIQ >ONIVA09G16550.1 pep chromosome:AWHD00000000:9:17228291:17231783:-1 gene:ONIVA09G16550 transcript:ONIVA09G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAALLVVVFLAGCHVVAQGRSTAAAHGIGVNYGRVADDIPPPARSVELLRAVGAGSVKIYDANSSVLRALAGTRMRVSIMVPNEIIPGLAASAAAADRWVAENLVPYYPETRVKYLLVGNELLSDYSIANSTWPRIVPAMENLHVSLRRRRISSVKISTTLAMDALTSGSFPRPPSAAAFRPDIAGDVVRPLLRFLNGTNSYYFVDAYPYFVWASNNDTVPLEYALFQGGGGGGGRYVDPGTGLTYTNMLDEMLDAVVHAMAKLGYGGVKLGIAETGWPNGGDYEQIGCNAHNAAIYNRNLAARMARSPGTPARPGAKMPVFVFSLYNEDLKPGPGTERHWGLYYANGTAVYPVDLAGARPLRSYPLLPPPENDAPYKGPVWCVLAGRRGEKLNETAVGDALAYACGQGNGTCDAIQPGGECFRPNTTAAHASYAFNSYWQQLRKTGATCYFNNLAEETTKDPSHGSCKFHSSLD >ONIVA09G16540.1 pep chromosome:AWHD00000000:9:17213771:17219333:-1 gene:ONIVA09G16540 transcript:ONIVA09G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGRKGKEALSGGHLCHVCGYQYPNANPSAKLRRSHRKNCGKAPAADEREEGEEADAAMERNAGEGLLPVRAGGGESEGNAGCPSLGSARGDADLVEVKEIAERLKKAKSMAAFCSSVPPLERDRKLTWHSEMVLFWGTGVKSFVSFHAFIGNTFNEHASPNVTGVQVITGYCSEAGVINCASHSDEITKEVGRPAEREDSLDEYQDASPFLHQPDSEVGAAVAHKSDFSIEEIKNLDSVSPAASVAANEISVEMDGVSKDQLSGQPNMTNLSGESIVGKEVEPTVMLESSDEFSVNVHSDNTYIVDSKPDKTSEFIGDVNGSTSFISDLTSQSTSPIMVESLMEDSMDALHIISEVSPSLEEKAGSANAESVTENSRIDFVQTEDQLKLTNAVNTLTDCSSQYKCVKDTLDAQLPVENPFLGNSVCSLDGHQSDHVVTNMDSMWGSDDEDICSEGIKAKGSELGFSCEENPQHVELVDKADENPSVEKPNGLSEEVVCSKEIGPEVPIIGQVSASQHVALLMDQVSTKNPFILDDTRSDDLFELPTENYHSEAQNVAESKLQVDFSPLPLDQLIIVDQTSIAEGQQFVISGDRVPAISSTCGNEPAVGTEDVSVSSTSDPAKNISLHDASVNNSRQEDGEPTSGINFVPSEVFLPAEFSTMPTSQDINALKNDGNEKTPLEDISTKDMTASLSEDNVEEKKETEGTSVKEMNSILKADNVEEEKLTDDTSAERNAMQHIDDAEKKQAADTVSRETSALQNIDERENVEDTGAKGVPAVGSLENANAENQTEDTSAKTECKSDNADNKKQSYDTSTEEMNAKNQAQDTSSKEMNTIQNTSNAEEKNQTEDPAVQEGNKQKEGISPTVAKQSSERVHVPLKVLLAEASVETKEKKTTAKERVLSFRRRVSKDDSSSAKSGSPKPGADDKKFWSSPARLPENNAEKKSKARKQPWMPFICCHSVH >ONIVA09G16530.1 pep chromosome:AWHD00000000:9:17201772:17206401:-1 gene:ONIVA09G16530 transcript:ONIVA09G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILZ3] MAKPQLLLVVAAAALLLVVAASAKKSGDVTELQIGVKHKPESCSIQAHKGDRVKVHYRGKLTDGTVFDSSYERGDPIEFELGTGQVIKGWDQGILGMCVGEKRKLKIPSKLGYGAQGSPPTIPVYRTLMAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRMYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >ONIVA09G16530.2 pep chromosome:AWHD00000000:9:17206499:17209548:-1 gene:ONIVA09G16530 transcript:ONIVA09G16530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILZ3] MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDERNITLLREEE >ONIVA09G16530.3 pep chromosome:AWHD00000000:9:17204108:17206401:-1 gene:ONIVA09G16530 transcript:ONIVA09G16530.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILZ3] MAKPQLLLVVAAAALLLVVAASAKKSGDVTELQIGVKHKPESCSIQAHKGDRVKVHYRGKLTDGTVFDSSYERGDPIEFELGTGQVIKGWDQGILGMCVGEKRKLKIPSKLGYGAQGSPPTIPGGATLIFDTELVAVNGEPASKSDEDDDDSEL >ONIVA09G16530.4 pep chromosome:AWHD00000000:9:17206497:17209547:-1 gene:ONIVA09G16530 transcript:ONIVA09G16530.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILZ3] MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDERNITLLREEE >ONIVA09G16530.5 pep chromosome:AWHD00000000:9:17201772:17209548:-1 gene:ONIVA09G16530 transcript:ONIVA09G16530.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILZ3] MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRMYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >ONIVA09G16520.1 pep chromosome:AWHD00000000:9:17194932:17196655:-1 gene:ONIVA09G16520 transcript:ONIVA09G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYVAGILMSAAAAGLDLGVLDGGGGAFLETLCGGPGFAERAARLCGGGAGLFGLPAVGNAERGGCSREGSSVSDPAWAHATGGGGDNARKRKAPASAAAGKDKDAVVGGGSSPCEVGEAKAPDSKKCKAEVNPKVEEAASDGSVGDRVQKQGKGKNSSKPAAEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKVLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFGNLSTLLQKDMFQSCGPSVNSVFPLESAGTAFPFCDQADFFQSFGLGAMENQCSLDLANTALPHTGSTQYAFQKQQRDLWEDNTFQYNDEQSQEDAVSAPNFDGQLQAADHTEIEF >ONIVA09G16510.1 pep chromosome:AWHD00000000:9:17184404:17189661:1 gene:ONIVA09G16510 transcript:ONIVA09G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATHLRAVLLAVALLVASPAAAAASVCEGEKFPAGRSYATCADLPALGATLHWTYDGKASTLTLAFVAKPPASGGGGWVSWAINPTGDGMKGAQALVAFKGGAGAAAYVVNTYNVTGYKPFPAASTPIAFNATNLAADESAATGKLRLYGKLQLPRGMETVNHIWQVGSTVTGGVPMKHAFAQENLDAKGRLSLAGHGAAVAQEPAPAPAAGGPSSAEAENAVTAASPSPSGKNAAANTHAPAPAALAAALALAGFLAFVSCDFYHVLRDTREVSCDTCKVHDFYHVSRDTREISCQVSDDFYYVSRDTYEVSDDTYEMAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >ONIVA09G16500.1 pep chromosome:AWHD00000000:9:17176464:17177744:-1 gene:ONIVA09G16500 transcript:ONIVA09G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGLVRLVARAVLLTIVVFSLVSLRLALSPATAVADNGELYLPGRGRRFARGTTSSSSAPASSGRSPTSPSTSSSSTAMRFRKAEAELPRATGGGGDARPGGLQRHGTSAKKRGGGGGGAQGWEAGGSAGTGDGLEEARRWHLRVETELPRAAEAELARATGGGGEARPGGRWLRQWRPTTEVQADQALAVVVMVPSVVAAAAVGRAGRTGWGAKPHMSVRAVG >ONIVA09G16490.1 pep chromosome:AWHD00000000:9:17171769:17173756:-1 gene:ONIVA09G16490 transcript:ONIVA09G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVVLALLLLLAAMAAASVAAAGGCAGEAFSANRAYAACSDLPRLGASLHWTYDRGAGGELSVAFVAAPAAPGGWVAWGLNPAGDGMAGAQALVAVPSSSGAWEVRTYNISGYALGEPGPIAFPASDLAAELGADGRVRVFGTLSLAAYGGAGVLNQVWQVGPAVTGGVPAPHAMGGANLAAKAKLDLLTQTTTAASSSDAITKKRNIHGLLNAVSWGILLPMGAILARYLKTFRSADPAWFYLHVSCQLIGYGVGVAGWATGINLGNMSNGITYTLHRNIGIIVFALGTLQIFALFLRPKKENKYRVYWNMYHHSVGYTVIILGITNIFKGMTILGVEQRWKTAYVAVLCLLGVAAIILEVVTWGMVVKRRNAESKTFNSASNGHLPRHV >ONIVA09G16480.1 pep chromosome:AWHD00000000:9:17155542:17169768:1 gene:ONIVA09G16480 transcript:ONIVA09G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDEALREVAAAVARAQPPQRRGISYSQPLSRDAASARRAALRNHSLDDDHILPASHSLNYVHHDPSAGVPNPGGGGYHPPLPPHGHQPQHHHHPSASYSTGSRRSVGGASDGSMTLERAMSEYGGGHGTLPEYVGAGGGKGIFRVPLRAAMHPARPPPLEVRPHPLRETQAGSFLRTLAAEPERRQLWAGAESGIRVWALDEVFAGWGAGARRGDEESAPFREGMPAPPALCVAVDRANRLLWTGHKDGRIRSWRMDLDAAATAPAPPPGGAGDGGGSVGGSNHGGPSNAPVFKEALTWQAYGRTPVLSMVVTSYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKHMLADYSRAKVWTVTSMTFAIWDARTRELLKVFGMDGQVESARLETPVMPEQPIEEEVKVKPSKKDKSQGSLNFFQKSRNALIGAADAVRRVATKGTFVEDNRRTGAVAQAMDGTIWSGCTNGSIILWDGNGNRVQEFQHHTSSVQCIKALGERVWAGYASGIVQVMDVEGNLLAGWTGHSCPVIRMAIGGSYIYTLAHHGGIRGWPLTSPGPLDDILRTELTNKELSYTRMEKINIMVGSWNVAQGKASAESLKSWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWARKSLKPYVGDVEAAAVPCGFGRAIGNKILLQGGVGLRIRVYDRKMCFVSNHFAAHLEAVNGNQVDEVRPDLAEADMIVFLGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGLIKFPPTYKFQKHAPGLGGYDSGEKKRIPAWYVACMDVTESDHKPVRCTFSVDIARVDELIRRQEYGEIIETNEKVRSMLEESSFVPDTTVSTSEIILENQENIVFRITNKCETSKAAFEITCEGQSSKKEDATKSEILPRASFGFPLWLEVQPAVGLIKPGETAEITIHHEDFYTQEEFVDGIPQNWWCEDTRDKECVLTVNIRGSTSTETKSHAISIRHRCPATSAPPPIISNPLSSSAAPPINALASEGPPSKRSSKKRESNHHKREQREQQQQDYAQFGSSEVAEKPSIALSIASALSGGRTVGIIATVGVASGTGKIQGYYRADRIGWTGMMSTRKGSTDVHEFDGMFQGSHAFFKVTSVIGHVLSVDFPPAYQNWEGTDPMDLFVAPVLRSECNPKAHIRRHLAQEARGCTYLVLWLDCDREGENICYEVIDCTGIPKSEVGRRIFRAKFSSVTEKDIMDAMNNLVLPSKDEALAVDARQEIDLKNFLQNFVLQFFPLFYIRYGPCQTPTLGFCVQRYQQITTFKPEKFWSLKTYVIKDGNEIQLEWDRKKLFDFDVTVMFQKMVASYGILKVTDISVKEECKARPPGLNTVNLLKVASSALGIGPQTAMHLAERLYTQGFISYPRTESTAYPSSFDFRSALAALAHNPLWSNDVRTLLDTGFVKPKQGHDAGDHPPITPMRLATEEALGTDAWRLYQYICQHFIGTVSPDCRYTRTSIEFTSGGETFHCVGNRVTSEGFTSIMPWLAVSENNIPAYKKGDAVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHVNNICERNYVQVNSGRRLVPTPLGTTLIRGYQCIDADLCLPDIRRFIEQQITLIAKGEADHLQVVQHVLQQFMKKYSYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCARYMKYISTQPMRLYCVTCEEVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPFCYNSPPFEGIDKLFGALKLDDTGKVGKGAGMPCFLCLHPTCKQSMITQGVCACPECTGTLILDPVSAPKWRLYCNRCNCIVLLPHAAHKISTTDKKCPTCESTIIEVDFNKKTTPLKDGATLHEGCILCDELLHSLIEMKHGKSFFMRRGPTVARVGEILTVENFWVVMRLPTTQHEANCYPTNA >ONIVA09G16470.1 pep chromosome:AWHD00000000:9:17150408:17153024:-1 gene:ONIVA09G16470 transcript:ONIVA09G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILY6] MSKQLVSRLLGMFRSRAQVGADKFGNRYFTRVEEVDGVMKEKRWVEFKGSDQDPTTVPVEWICWLNGQRKKAPTPEELAELEARRERVKQNIELLKKKEEEEKKTGVRPVKTVGKFESPNLKSFIQQFPDTSLDQRKGHDEVSRSKDGTDTEDATIDTDRSSEPTGTGATFKPGTWQPPT >ONIVA09G16470.2 pep chromosome:AWHD00000000:9:17150408:17153024:-1 gene:ONIVA09G16470 transcript:ONIVA09G16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILY6] MSKQLVSRLLGMFRSRAQVGADKFGNRYFTRVEEVDGVIEWICWLNGQRKKAPTPEELAELEARRERVKQNIELLKKKEEEEKKTGVRPVKTVGKFESPNLKSFIQQFPDTSLDQRKGHDEVSRSKDGTDTEDATIDTDRSSEPTGTGATFKPGTWQPPT >ONIVA09G16460.1 pep chromosome:AWHD00000000:9:17137175:17138749:-1 gene:ONIVA09G16460 transcript:ONIVA09G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSPRMVVPAKDQSPDKPSGFRKSINPIYADAVVVDMAAAAARPSRTAAAAAVEDDDDGGAAPALVRRHTGGAGDGRWEAIRAASARESPLSLGHFRLLRRLGYGDIGSVYLVELRGGGSGALFAMKVMDKSSLVSRNKLTRAQTEREILGLLDHPFLPTLYSHFETDKFYCLLMEFCSGGNLHSLRQKQPNKCFSEHAARFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCSVSPALVRSPSGRVGAGAGLVHGCVLPRILPRRSGKKKKKQKGNDQEVTSATGDGNGKNRPPPATSLEFTAEPTGARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGTTPFKGSGNRATLFNVVGQPLRFPDAPAASAAARDLIRGLLVKEPQSRLAYRRGAAEVKQHPFFDGVNWALVRSAMPPYIPEADVAAAAVDCRSPLARATQGGGTPKKSAAGGKASSPRDDPSYVEFEYF >ONIVA09G16450.1 pep chromosome:AWHD00000000:9:17132555:17132821:-1 gene:ONIVA09G16450 transcript:ONIVA09G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAIAEFAACLQRPSSTACGDPTTLSLQIHHPGPEPPSVPLLDLGEGRGLEVLPEPSQGLAVVMVAAAGSTSSTITCPSPSPPGRRI >ONIVA09G16440.1 pep chromosome:AWHD00000000:9:17126599:17127883:-1 gene:ONIVA09G16440 transcript:ONIVA09G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWKTKVLPKIKVVFAKGGNAKKAAAAELIKSFDESKEGINGEFEEKKADLQPKVVEIYEAAPAPLKVLIKDRAKVSGIKKNSAAVTKFVDDLAKIEFPGAKQLSEGIAKVGPALLSGPVFATFEKVSTLLPADEEEIKPKEAPAAAAEEEKKEEAAAAAATDAAGEEKKEEAEEKKEEEAAAPADEPAAAAGESAPAAAEAEPPVEAAATPAAAEAAPAKAEEEEAPKA >ONIVA09G16430.1 pep chromosome:AWHD00000000:9:17115268:17115651:-1 gene:ONIVA09G16430 transcript:ONIVA09G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAASLALRRLAAAAPRPPPAHLAVGPAAPRLAAAAPPSAAVARFLLHPAGATPAALHARRGYAAAGRKAKAVSESEDEDEDDEFEAMGSDGEFDDDLEDFDDDDEVSGFEDDDDDCKPAKKRGRH >ONIVA09G16420.1 pep chromosome:AWHD00000000:9:17111695:17112108:-1 gene:ONIVA09G16420 transcript:ONIVA09G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILY1] MVKFLKPGKAVILLQGRYAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHIMPTRYTLDVDFKDVASGGPDALATRDKKVAACKAAKARLEERFKTGKNRWFFTKLRF >ONIVA09G16400.1 pep chromosome:AWHD00000000:9:17095307:17095861:-1 gene:ONIVA09G16400 transcript:ONIVA09G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIISDFAAARRRPAVPAAAAGVARHVRGADAQGQGVPHPAARERAPLPALHVYTRHARCSCVRVCSWFLLALAAFAYAVCLVFKPRQSDYTLQGADLLVGLSALRRRYASRSTSRCAASVASMAARHLVFSISAIASTASSACRASSSRRPYPSSLLVDEREREEKRERKEDVRQVNPSTFL >ONIVA09G16390.1 pep chromosome:AWHD00000000:9:17060864:17061457:-1 gene:ONIVA09G16390 transcript:ONIVA09G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSDGLSSTRESKASPVPYRVSPLEYQPAVMCRCRPPAKAARWISWSTDNPGRRYYKCQNARQGGCDFWAWYDGPTSSFIRELWNDLRDRVNSLRRENEVMRKEVEQSRDKVENAEIMCLKARNHKLEKERKVFVICVVSCMFVLFVVLFGKK >ONIVA09G16380.1 pep chromosome:AWHD00000000:9:17035978:17038188:1 gene:ONIVA09G16380 transcript:ONIVA09G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHLSVAQNVTRAATAWAASPVGLLVRVEALVTASCALLATLVFLGSGRRTSRSAAFRFVVWLALMLSYPAVSYTIGLMQSGSFRNDMVVVWACFLLGCADGIAACSLDGADQQARTMISQATQVFYVMLLLISYLGSLQLQLKVLLSLLWLLNVAKLVLRLRGLLAAGRDRVLTADNWLISKYMAHEKVSSIWDFDPATMRGYRYVVTGDDKKNVQYQYGAAEYKVDDELVTVEKAWEQHDGSLLSDDDKLKDLCLSFSLFKLLRQRLNLNGKPFHEPKDIRTLVFVRRGLAGGDSCEDHDRMYRVIEVELGFLFDFYYARYPSPKQTLVPETATFMAAAALSLSTLFSPALLHHHHHHPPPPGGGAVDYTTTSVDIWLARLVISLFLVLELSQYLSLVLSDWHRVKMLCRYVRHRPWWQGHPILEKFLWLTCRATLTRSYWSNSVGQYSLLHSCLENQSSCLLTRVPLHRWVKDQLATTRAVTRRSLPVAVKRQIHRLLRSEWLSNVKYGDRTLQRNDMLQVFDWSTSRYKFGTMGSILIWHIATAICDDELSKLFAAAGGKARPRAAHNAVAADSREVATVLSNYCAYLLLQAPELVTDEVHDERLLMEAVQEAIQNYLRNIGCRRSKDAMFASLREFMPADEANFTGEAVLADGAQLGYQLLSAMADEAALWNLLAEMWVELLLAVAPSENVTGHVKKLATGGELITHLWALLTHGGIIKRREKPYYDSR >ONIVA09G16360.1 pep chromosome:AWHD00000000:9:17030048:17030833:-1 gene:ONIVA09G16360 transcript:ONIVA09G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQPIRIYCKGDTTLNVAVRGNELRLVRDDPNDESQVCDGVGKLTDDEERPAFALVNRTTGHALVNGGDLELGLAPYSGHVAVELSVLWSLGHPRADGFMEIRTLRDVRYTLDGVHGFVDGGYRLNGIHGIPEDGTLVAIYHSQPTADYAVWKIAPVGHQEPHSHSESDDALES >ONIVA09G16350.1 pep chromosome:AWHD00000000:9:17010774:17011142:-1 gene:ONIVA09G16350 transcript:ONIVA09G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAYEQHWIQDYSVGRVTDDQGRRAFALVNNLGGTRRAVLISTKNNRQLEMAPYGDCVKLSMLWSQGVQLPGGYSEVRVLSDLSMTLNGINGFVKEGTVVGIYNAEPHSIHAIWKFDPINK >ONIVA09G16340.1 pep chromosome:AWHD00000000:9:17008555:17010389:1 gene:ONIVA09G16340 transcript:ONIVA09G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEQWWRALISGYVTQWVAMHPQKVDALFYAHSLSEVHALALLLERFCTTPGCKAYLVVSGGGHYPCKEAAVVLKWPKVVCKERRFKIFDLAVGALSGPSHSEVPVLQAVYSSMRGIIRMHNPSVIVAVANVDAKIKDAFRMAADSAINHIAFVLLPRNSISKVLWMATLHPTSLPNWNRMRISGNIITQNRAKSLRRLLASLRNTYYVGDEVPISFNMDSRVDTATLNTVNSFDWPHGGKTLRRRIIQGGLIRTQQAGGQQLRPPRRLTPFSLSVCARRPVRKDWLALSSPLFPVPSKAATRGWPPHFLCAPRMEAMGDRAGDNGSGLVLLHAQVWARRRQ >ONIVA09G16330.1 pep chromosome:AWHD00000000:9:17006722:17007036:-1 gene:ONIVA09G16330 transcript:ONIVA09G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSKDTASDVDKDGHCSIHGSGNGGRAERRWATVAGDASLRCIEGGDDERHLVTPTPIVVASTELRLAMPAALKMLGSYSTTASKPVRCWKK >ONIVA09G16320.1 pep chromosome:AWHD00000000:9:17004495:17006706:-1 gene:ONIVA09G16320 transcript:ONIVA09G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRCTGGVGTVAKDIPRGSARSPGSWRWQSGRRRPTTRCGRVIQAWCAAHSFERFPTPHPPVDSCCVAVLVDEGTTMLGGGGRQRQLAALREIMAIATESDRNKRCVEATPGAVKFLVSVVVQSHAAASTYTSARSDDDLLDSVIDSYMSTSSPEEEALGVLYSLKPSEPTLRRILGKDNGGFLDTLASVLLLKAMTSAMPPERLGCRPRRRSIQSRTPLRCRWRAFLEEAGEPDDEASAAIATAGEEQEDDAAASISRQRLAPTGTPSSTVIVAAEPADTPGSQLLLGLRLGGSPRQLVSSLERTGSSSSYIATTPRTTKSPTATMETTTTTPRPASAPWTLAHGRRLLVQLFLLEAARAQDIGLRPPRSQ >ONIVA09G16310.1 pep chromosome:AWHD00000000:9:16993564:17003984:-1 gene:ONIVA09G16310 transcript:ONIVA09G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVGLVSVSVSHALHASSSSPSLRPPRLRLPPYLPRHHHHLLPTFPPNYPRPSSAAAARLRASATMSQAAGNPYAAELAAAKKAVTLAARLCQAVQKDILQSGVQSKADQSPVTVADYGSQILVSLVLKMEAPASSSFSMVAEEDSEELRKEGAEEILENITELVNETIVDDGTYSIYFSKEGILSAIDDGKSEGGPSGRHWVLDPIDGTKGGDQYAIALALLDEGKVVLGVLACPNLSLGSIGNLNGGSSGDQVGALFSATIGCGAEVESLQGSPAQKISVCSIDNPVEASFFESYEGAHSLRDLTGSIAEKLGIQAPPVRIDSQAKYGAVAQGDGAIYWRFPHKRSKEAVWDHAAGSIIVTEAGGLVKDASGNDLDFSKGRYLDRDAGIIATNKYLMPLVVSVLELDRDEMR >ONIVA09G16310.2 pep chromosome:AWHD00000000:9:16997559:17000714:-1 gene:ONIVA09G16310 transcript:ONIVA09G16310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELPSPPPPAAGNQYGAEHAAAKKAVALAARLCKKVQQDLLKLDVQTKADRTPVTVADYGSQVLVSVVLKIELPSNSFSMVAEEDSEDLRKDGAQEMLGHITKLVNETIINDGSYSITLSKEDVLVAIDGGKSEGGPSGRYWILDPIDGTKGFIRGDQYAIGLALLDEGKVVLGAMACPNLPFKSIDHNGGSSGDQVGALFSATIGCGSTVESLEGSQPQKISVCSISNPVDASFFESYERKHCMRDCTSSIAEKLGIQAPPVRIDSQAKYGAVAQGDGAIYWRFPHKRSKEAVWDHAAGSIIVTEAGGLVKDASGNDLDFSKGRYLDRDAGIIATNKYLMPLVVKAAQEAMKEEGILA >ONIVA09G16310.3 pep chromosome:AWHD00000000:9:17001187:17003984:-1 gene:ONIVA09G16310 transcript:ONIVA09G16310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVGLVSVSVSHALHASSSSPSLRPPRLRLPPYLPRHHHHLLPTFPPNYPRPSSAAAARLRASATMSQAAGNPYAAELAAAKKAVTLAARLCQAVQKDILQSGVQSKADQSPVTVADYGSQILVSLVLKMEAPASSSFSMVAEEDSEELRKEGAEEILENITELVNETIVDDGTYSIYFSKEGILSAIDDGKSEGGPSGRHWVLDPIDGTKGGDQYAIALALLDEGKVVLGVLACPNLSLGSIGNLNGGSSGDQVGALFSATIGCGAEVESLQGSPAQKISVCSIDNPVEASFFESYEGAHSLRDLTGSIAEVHESYLGNLVFECWQKLGVQAPPVRIDSQAKYGALARGDGAIYLRFPHKGYREKIWDHAAGSIVVTEAGGLVTDASGNDLDFSKGRFLDLDTGIIATNKQLMPSLLKAVQDAIKEQNQAASPL >ONIVA09G16310.4 pep chromosome:AWHD00000000:9:17001187:17003984:-1 gene:ONIVA09G16310 transcript:ONIVA09G16310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVGLVSVSVSHALHASSSSPSLRPPRLRLPPYLPRHHHHLLPTFPPNYPRPSSAAAARLRASATMSQAAGNPYAAELAAAKKAVTLAARLCQAVQKDILQSGVQSKADQSPVTVADYGSQILVSLVLKMEAPASSSFSMVAEEDSEELRKEGAEEILENITELVNETIVDDGTYSIYFSKEGILSAIDDGKSEGGPSGRHWVLDPIDGTKGGDQYAIALALLDEGKVVLGVLACPNLSLGSIGNLNGGSSGDQVGALFSATIGCGAEVESLQGSPAQKISVCSIDNPVEASFFESYEGAHSLRDLTGSIAEKLGVQAPPVRIDSQAKYGALARGDGAIYLRFPHKGYREKIWDHAAGSIVVTEAGGLVTDASGNDLDFSKGRFLDLDTGIIATNKQLMPSLLKAVQDAIKEQNQAASPL >ONIVA09G16300.1 pep chromosome:AWHD00000000:9:16993338:17001367:1 gene:ONIVA09G16300 transcript:ONIVA09G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWRAAAMASSQLARRAARRLLSSQHRRHCAAPACPWLLGSAPPVLAPSPVAAAAAGDRRGFCSVRRFTGESNAAAAAAAVEEAENGLVAGGDQAIDFPGGKVSFVAEMNFLPESQRERINCYRVLDDDGRTISGSRFQEVSKELALKMYSEMVTLQVMDTIFFEAQRQGRISFYLTSHGEEAINIASAAALTIDDIVLPQYREPGVLLWRGFTLQEFANQCFGNKLDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTSEQFRSDGAVIRGQAYGMRSIRVDGNDALAVYSAVHTAREMAIKEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNRWWCDEDESELRNNVRQELLKAIQVAERMPKPPLAELFTDVYDEVPSNLREQERLLRDTIKKHPADYPADVHI >ONIVA09G16300.2 pep chromosome:AWHD00000000:9:16993556:17001367:1 gene:ONIVA09G16300 transcript:ONIVA09G16300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHLISSLSNSNTDTYKRLVRLEAQLRRRLMAPWRAAAMASSQLARRAARRLLSSQHRRHCAAPACPWLLGSAPPVLAPSPVAAAAAGDRRGFCSVRRFTGESNAAAAAAAVEEAENGLVAGGDQAIDFPGGKVSFVAEMNFLPESQRERINCYRVLDDDGRTISGSRFQEVSKELALKMYSEMVTLQVMDTIFFEAQRQGRISFYLTSHGEEAINIASAAALTIDDIVLPQYREPGVLLWRGFTLQEFANQCFGNKLDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTSEQFRSDGAVIRGQAYGMRSIRVDGNDALAVYSAVHTAREMAIKEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNRWWCDEDESELRNNVRQELLKAIQVAERMPKPPLAELFTDVYDEVPSNLREQERLLRDTIKKHPADYPADVHI >ONIVA09G16300.3 pep chromosome:AWHD00000000:9:16993338:17000190:1 gene:ONIVA09G16300 transcript:ONIVA09G16300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWRAAAMASSQLARRAARRLLSSQHRRHCAAPACPWLLGSAPPVLAPSPVAAAAAGDRRGFCSVRRFTGESNAAAAAAAVEEAENGLVAGGDQAIDFPGGKVSFVAEMNFLPESQRERINCYRVLDDDGRTISGSRFQEVSKELALKMYSEMVTLQVMDTIFFEAQRQGRISFYLTSHGEEAINIASAAALTIDDIVLPQYREPGVLLWRGFTLQEFANQCFGNKLDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTSEQFRSDGAVIRGQAYGMRSIRVDGNDALAVYSAVHTAREMAIKEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNRWWCDEDESELRNNVRQELLKAIQVAERMPKPPLAELFTDVYDEVPSNLREQERLLRDTIKKHPADYPADVHI >ONIVA09G16300.4 pep chromosome:AWHD00000000:9:16993556:17000190:1 gene:ONIVA09G16300 transcript:ONIVA09G16300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHLISSLSNSNTDTYKRLVRLEAQLRRRLMAPWRAAAMASSQLARRAARRLLSSQHRRHCAAPACPWLLGSAPPVLAPSPVAAAAAGDRRGFCSVRRFTGESNAAAAAAAVEEAENGLVAGGDQAIDFPGGKVSFVAEMNFLPESQRERINCYRVLDDDGRTISGSRFQEVSKELALKMYSEMVTLQVMDTIFFEAQRQGRISFYLTSHGEEAINIASAAALTIDDIVLPQYREPGVLLWRGFTLQEFANQCFGNKLDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTSEQFRSDGAVIRGQAYGMRSIRVDGNDALAVYSAVHTAREMAIKEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNRWWCDEDESELRNNVRQELLKAIQVAERMPKPPLAELFTDVYDEVPSNLREQERLLRDTIKKHPADYPADVHI >ONIVA09G16290.1 pep chromosome:AWHD00000000:9:16991637:16992053:1 gene:ONIVA09G16290 transcript:ONIVA09G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFPPIVQHLGYRHIGQVQQHDRRWAAERGSGDNVDDGDRDCGSGRWGGRRLRPRPMGKRPAAAVGKKEEAAAAAVEKKQDPAAASPARMRRSGDRAPARMWLQYTWGGGGEASEVCARGGAKSSHPAVGTKSCGGE >ONIVA09G16280.1 pep chromosome:AWHD00000000:9:16988127:16990735:-1 gene:ONIVA09G16280 transcript:ONIVA09G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTRLMALTGLPLDMDMETKSASAIHACAIDLRKGPLFATPSASLVLRELVHEQANDLNDDDMDTTEAGIGDENEAFIDDKNENYMDFEYICHQLLAQGQKHVIRTQCESKENHVKENIKQYKENHAKVTQIRTCNAGTRLYILAMDGLPKLTAKELKSQRARERYTALSVEEKAALVQRNRENRERKNSASTSGTEVRNSLFKEPVLHDAIKIGVNFRNQELLQPAEQNNAPREPEVVIVEDDEVVIEPLPKKKRTGNKG >ONIVA09G16270.1 pep chromosome:AWHD00000000:9:16983641:16987369:1 gene:ONIVA09G16270 transcript:ONIVA09G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEELRTSFSDLVVGSSSRTDSQVESSGDPSSEGGVQVTCFTEDLHDVILHFQIIRFSKQIYAWVGCNTAKFGHLYAAASTRPGNGVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLIEKLRGLGYVRPRAGEANTSTAQ >ONIVA09G16260.1 pep chromosome:AWHD00000000:9:16978090:16983307:1 gene:ONIVA09G16260 transcript:ONIVA09G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 14 [Source:Projected from Arabidopsis thaliana (AT4G33470) TAIR;Acc:AT4G33470] MEQLWVPSLPILGGRILPMLRHYCGFGSHHPLTWRSLQITGRKQKHNGCWIAYCSPSDNGTSISDTNGVRKDLALPDNLLRDAHILYCTSPAMGHNKEAHPETNKRVPAIVDALEKLELTSKHRGSQVLEIQDFQPASLDDIALVHSRSYITGLEKAMSRASDEGLIFIEGTGPTYATQTTFQECLLSAGAGITLVDSVVAASKLGPKPPLGFALVRPPGHHAVPEGPMGFCVFGNIAVAARYAQNQHGLKRVMIIDFDVHHGNGTCDAFYDDPDIFFLSTHQLGSYPGTGKIHQVGQGNGEGTTLNLPLPGGSGDYAMRCAFDEVIAPAAQRFKPDIILVSAGYDAHALDPLAGLQFTTGTFYMLAARIREVAAELCGGRCVFFLEGGYNLESLSSSVADTFRAFLGEPSLAARFDDPAMLYEEPTRKIREAIDKAKHLHSL >ONIVA09G16250.1 pep chromosome:AWHD00000000:9:16974263:16977907:-1 gene:ONIVA09G16250 transcript:ONIVA09G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19 family protein (CHCH motif) [Source:Projected from Arabidopsis thaliana (AT1G09794) TAIR;Acc:AT1G09794] MAQQSKEPCKKEACDIQACLSKNMFDSKKCVRVIQLLQSCCEQCEYKSTHCGSFSMFFGS >ONIVA09G16240.1 pep chromosome:AWHD00000000:9:16973410:16973958:1 gene:ONIVA09G16240 transcript:ONIVA09G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTFPSVAPERCNAGKKPPFSPHFATPAPWFGGGGGVVVDAVVYDHRRSFSAVEKGEEEDTVRGGWYYCYDDGGCGNVSARFAGGEETKTMDMLWEDFNEELSRAAAAAPCPLSKEWTNEAWLAGDGTPEMRRHAAAAAAVASGSVVRRRRLSLLMMLKLLKKLFLAHKSNAASRKAPPI >ONIVA09G16230.1 pep chromosome:AWHD00000000:9:16968876:16971161:-1 gene:ONIVA09G16230 transcript:ONIVA09G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLGRTYATTYGPQPFRAQNSWPISLLPRLPLFLFVLADSRAAGSSPSPSPRRRWRLARGRFEAEATNSGGPQPPTADAVFFEEYWTELLVQANTMDQSKSETDADATQRGLQDLNEKLQVNFRTQVWTEEIDLGTSERQMEVS >ONIVA09G16220.1 pep chromosome:AWHD00000000:9:16962559:16966695:-1 gene:ONIVA09G16220 transcript:ONIVA09G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRPCYCRNNGFLLLLVQVLLFLFLFLDSSMVATAASAPAPLNTTQVSIMKELSGLVTASAKWNTSDSNPCRWDGVSCSSSSNSISVVTNLTLSGYGLSNSTIFATICSLDTLQILDLSKNSFTNSIEQFFTSSCSMKAGLRSLNLSSSQLSMPLSNFSGFPLLEVLDLSFNSFSGDVRTQLSSLLKLRSLNLSSNNLAGDVPTSMTPSLEELVLSINNFSGSIPIALFNYQNLTMLDLSQNNLNGDVPDEFLKLPKLKTLLLSGNQLSGNIPVSVSNVASLARFAANQNNFTGFIPSGITKNVKMLDLSYNELSGVIPSDILSPVGLWTVDLTHNKLEGPIPSSLSPTLYRLRLGGGNSLNGTIPATIGDASTLAYLELDSNQLTGSIPLELGRCKSLSLLNLASNKFQGPVPDAISSLDKLVVLKLQMNNLDGPIPSVFSNLTSLITLNLSGNSFIGGIPREIGKLPKLSILNLQCNKISGTIPDSLHLLTSLIELNLGNNILTGTIPTMPTKLSTVLNLSHNNLSGSIPSNIDLLSDLEILDLSYNNLYGEVPASLAKLESLTQLVLSYNHLSGSIPIFRQHVDIATNGNPDLTNGTRNYDNAPTSGKRRTHNTVIIVVAITGALVGLCLLAAIVTISYSKRIYRVEDEGPSTEDVARIINGHLITMNSIHTSAIDFVKAMEAVSNHSNIFLKTRFCTYYKAVMPNGSTYSLKQINCSDKIFQIGSQGKVAHELEVLGKLSNSNVMVPLAYVLTEDNAYIIYEHVHKGTVFDFLHAGRSDVLDWPSRYSIAFGLAQGLTFLHGCTQPVLLLDLSTRTVHLKSMNEPQIGDVELYKIVDTLKSSGSLSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSVSDGIELAKWALSLSGSPDQREQILDTRVSRTSAAVHSQMLSVLNIALACVALSPDARPKMRTVLRMLFNAKELEPEPLT >ONIVA09G16210.1 pep chromosome:AWHD00000000:9:16954979:16961343:-1 gene:ONIVA09G16210 transcript:ONIVA09G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILV6] MAALLHLQSSAAAAPSFLLLRRRGGGVAPAGSSRRRRACFRVEAKIREIFMPALSSTMTEGKIVSWTASEGDRLAKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEDEIPAAQSKAASLSSSSSSSPPPPPPQESTPPPPPPPPPAPVAAAVSAPAPPSPASQGGLRVVASPYARKLAKDLNVDLNSITGSGPGGRIVAKDVEAAAAAPKKAAPVAAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTFTTDALDALYKKIKPKGVTMSALLAKATAMALVQHPVINSSCRDGKSFTYNSSINIAVAVAIDGGLITPVLPDADKGAIMAVGSSQPTLVGTKGGSIGIKNQMQRSHPTLHGGAMAATAASPERARAEVDTSSAFRSVKEAVAVFGERILVGENRNGGGGYGGGDRRAGREGRTRSNTLAIAASFAKLEGGGGGGDGVRVSNHSKPNAIGVNAKLPVASDAAPPAMYLVPSSSPPFFASSPSLANDDDGVSAASASDAMVMGSIRKVEEEAARARQEVVQLKRRLAETELAMATLSAKLHRALSKLAHMEADRAAAERARIQRRDGRDMALAVWAASGGGDRRRGVATAAAHAAATARRQPLGELLRLGEADVVVGGGGGGGEMVIGGQRRAAAAARRKVQKEKPIVPLIVPLINGIIFSRKKRNKDKESLYMKELYSLLRLS >ONIVA09G16200.1 pep chromosome:AWHD00000000:9:16953420:16953995:-1 gene:ONIVA09G16200 transcript:ONIVA09G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQRQAAADQAGRAAGGACVWAVAAVLFLAVLAGGGCLVFYLALPPAEVPEWLPVAGLSLVALPWAFWIATCAYRLCCCCCSSSSSPEQANAAAERQPSSSTRPAAVAPLPSSTNLKSAVRSAMGSYSHSGTRRVHFGDSTVLGEKAAGAGAGEPAVVEEVEEEEEKECSSATSSHESEAPIAQSMPSSR >ONIVA09G16190.1 pep chromosome:AWHD00000000:9:16949381:16951135:1 gene:ONIVA09G16190 transcript:ONIVA09G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33760) TAIR;Acc:AT2G33760] MDPPPPRRRHSPEFDSLLVAGPRLGPLKQAHARLVVAGHGGSLPLVTKLATLAVAAGAAPYAHLLAASHPACDSFLLSSLARAAAHRGLPGEAIAFYGRLLAAALPFSSFAFTAAAKACADLSALRTGMAVHAHSVLLGFGSDRFVQTALVVLYSKCGQLPVARKLFDAIRDRSVVAWNAMISGYEQNGLAERAIEVYREMQVAQVVPDSATFVTTLSACAQAGALDLGREVERRIVSDQMDVSVFLGSALVNMYARCGLVSKARDWFDRLQERNVVTWTSMIAGYGMHGHGCEAIKLFHLMRLEGPTPNDVTFVAVLAACAHAGLVNEGRSAFDSMKRVYGLVPRAEHYCSMVDMYGRAGLLDDAMQFIRDSIPGEPGPEVWTAMLGACKMHKNFNLGVEVAERLIALEPENPSHRVLLSNIYALSGKMNHVEKVRNVMIKRRLKKQIGYSLIELGGTSHLFRMGEKSHQQTREIYQYLEELIHRISDAGYVPETDSVLHELEEEEREVALRYHSEKLAVAYGLMMSSGSTTPIRVIKNLRICGDCHLAIKFMSSVESREIIVRDKHRFHHFKDGKCSCLEYW >ONIVA09G16180.1 pep chromosome:AWHD00000000:9:16933487:16935078:-1 gene:ONIVA09G16180 transcript:ONIVA09G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQKVVKPMEVPGTPGRRRGWTTTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAFVIYYTSTLLAECYRTGDPATGKRNYTYMDAVRANLGGAKVTFCGVIQYANLVGVAIGYTIASSISMRAIRRAGCFHHNGHGDPCRSSSNPYMILFGVVQIVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGIVQTISNGGIQGSLTGISIGVGVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKSATRLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFVQPIFAFVERWASRRWPDSAFIAKELRVGPFALSLFRLTWRSAFVCLTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIAQRGVPRGSARWISLKTLSACCLVVSIAAAAGSIADVIDALKVYRPFSG >ONIVA09G16180.2 pep chromosome:AWHD00000000:9:16933487:16935078:-1 gene:ONIVA09G16180 transcript:ONIVA09G16180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQKVVKPMEVPGTPGRRRGWTTTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAFVIYYTSTLLAECYRTGDPATGKRNYTYMDAVRANLGGAKVTFCGVIQYANLVGVAIGYTIASSISMRAIRRAGCFHHNGHGDPCRSSSNPYMILFGVVQIVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGIMHMLTVMSSELAANGGIQGSLTGISIGVGVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKSATRLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFVQPIFAFVERWASRRWPDSAFIAKELRVGPFALSLFRLTWRSAFVCLTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIAQRGVPRGSARWISLKTLSACCLVVSIAAAAGSIADVIDALKVYRPFSG >ONIVA09G16170.1 pep chromosome:AWHD00000000:9:16899478:16902181:1 gene:ONIVA09G16170 transcript:ONIVA09G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILV1] MGNGKVYATVVLIRLIYAGMHILTKASFNEGASTTVFVFYRHAVAAIFLLPFAYFLEIRKKQAPPLTFRLSAKIFVHGFYGMAGTINLYSIGLNYASATSSSAIFNIVPVVAFILAVMFRMETLNLKSTHGMAKASGILLCIGGVIVLALYQGPEFKSLNHHQLLHHASAAAAAAAAHSKKNWALGIFLMTTSVVIWSFWTVKQGPLLLEYPSKLMNTTLQCVFASVQSLVIALVLERDFSRWILPGVVSLVGVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMAIASFLLGEDVSLGSIIGSLLLVAGLYNVLWGKSREEHGGGGVVVAGAGTAGGEKDGAVAPAAADVVMAKV >ONIVA09G16160.1 pep chromosome:AWHD00000000:9:16886873:16888189:-1 gene:ONIVA09G16160 transcript:ONIVA09G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTLGTGLDTPYQYDIYVGMWHQARSSRKKKEMTTTRWSDPMEEIEVAKMEGLKKVTGKLKGGATSDNSSSWQKRGGTDEKKEKEGETVAQLAGAEWDGHTCSRG >ONIVA09G16150.1 pep chromosome:AWHD00000000:9:16882512:16886163:1 gene:ONIVA09G16150 transcript:ONIVA09G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILU9] MSSGGAKAYAAVVLIRIMYSGMHVMSKIALDQGMNPLVFLFYRHTTAALVLIPITFVLERRKAKPVTLKIAGKMFVHALYGVTACGDLFNLGLNYTSAASSSALYNVQPVVTFVLAVVFGMESMKLKKFHGNVKAAGILFCIAGVTILAFYEGPMFKSFNHHHLFQQGSSSSTSSSGDTHSKKQWAFGIFLMTLSNILAGLWTVLQGPLIEDTSKLMNTTLQICCASVQAFVVAVAAERDFSKWKLGWNVELGAVIYSGVVVTALSYYMQMWTIAKRGPVFLAMSMPLTFIFTIIMSSFILGDAVSLGSIFAGILLIGGLYNVLWGKNIEEKDEMNKIGASKTGLELELHDSEAQVPDDDAAKV >ONIVA09G16140.1 pep chromosome:AWHD00000000:9:16872480:16873248:1 gene:ONIVA09G16140 transcript:ONIVA09G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKFHRSGLCLEVPMYIDDGEYFGDETGTQLKCRHGLRPKRRTAWEGKDTGRRFLGCPLEEEDQCDALFWVDEEWQPRIQKAFEMLWLALDHASTRNPTKAQYQWSNFVDRTIVEEDKMKLEFQMASQISAIEAKHKKRVSRIKKETEDLKAWLMGSLVVIAFLLFKIIENMYQGC >ONIVA09G16130.1 pep chromosome:AWHD00000000:9:16867495:16870928:-1 gene:ONIVA09G16130 transcript:ONIVA09G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEVRNEAQFEPSVQPRFDEITTETLVTEHKPVIMKDMTIACSIQRFLAVVANFDKSKISQIERIGFGRMISLPDITLHRKLIGQIAERYDSKTETINIQGTAIPITTNDVKCIMGLPADGMIIKPKPHMNGEDYKYYSMYKQHKGKNISLHELARQINSAKHPDEHFLRRFVLFTIGYILCPTTKPIVSSQYLALLKDIDNIKNINWARITRDYLINCLNELKGGRRNLEGNVPLLQFWAWEHVHINDPMCTLTYVGRPPPLMAYWNEMNVMTWLKYDKKCILETGTVVVVIDDPEEIKGDIVPVQCEGQIDEKKIDGFMEDNTVDVIHIVPEPEEIKGDNVSEDCQGATAENNNGDFIKDDTPTNEEPDYMFKATKERTAADGFNSYGRGDDDESPHHVYIEDDVNVPSSPENFKYPEASSPENYKDPEAHQSANFDAIMTQLMQIQQGCQFLDNKISTKLISIENTCIQNRRDIQAIKYRLGTTSRSRTFHKFKTAAKQEETVIDSRADCRQDLVDDSKNYDSQPNGTATSPHIIESDDNSQQNPTQQLSTIGGRLRRPEGRIIKPTHKSQTDFIYYKKTFPKPVKSSREPKPHDLSLLDEVTLSYISKSEDKKLLSTIAGIKIFRQHLKPLILPKQAPSKAKWLNGTVIDAYIQIIIDKQSDTPRGQGIALLETATQCQLWKINGTDKGTCNKRYRDQRSKVAASYLEHEMIKGIEKYLRYAKQDEHKTYKWNSTNITKWPICPMQVPQQKDGWSCGLFTLKCIEHWNGKDLSPEYDAMASILC >ONIVA09G16120.1 pep chromosome:AWHD00000000:9:16849927:16856989:-1 gene:ONIVA09G16120 transcript:ONIVA09G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWGIGSSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFSTDGCLDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSSENTSEGTSTSSTNGNEIDESASRITDKLIIDWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQYLASIIQVLDPKLHDHLEILGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEDASAHKSKVSKSKLRGVRHFGKWDKDKDKENSKNGSEDTDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKVSSYSCCVT >ONIVA09G16110.1 pep chromosome:AWHD00000000:9:16846459:16847837:1 gene:ONIVA09G16110 transcript:ONIVA09G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSHVTVHDIRRAQRADTTAAVLAIGTANPVTCISQADYPDYYFRITNTEHLTDLKRKLNNLCKMRQASGADKRFFHHNEEMIAAHPEFLDRTTPSLDARLDIAAAAGPELAAQAAERAIVRWGRPAADITHLVVATNAGAQAPGADHRLASLLGLRPTVRRTMLHLSGCSAGAAALRLAKDLAENSRGARVLVACVELNVVAFHGPKEDYPQTLTCQGLFGDGAGAVIVGADAVRPVERPLFEMVAVSQAVIPGTEHALNMRLTEHGLDGHVSIKDLIPLAAANADELLSDAFRQLGLAGVEWNDLFWVVHPGAPSILDQIESALRLEPGKLAASRKVLREYGNMLGSTLIFVLDEQRRRMEEEEGGRSAEWGVMMGFGPGFTIETMVLHLPECPKEQ >ONIVA09G16100.1 pep chromosome:AWHD00000000:9:16826493:16830110:-1 gene:ONIVA09G16100 transcript:ONIVA09G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHARKIAAGDVAIDLHPFIRKYNDGRVERILRSSFVPASEDPAASRGGVATRDVVIDERNGVSARLFLPPSRDNTDIADGDHRIRLPVILYIHGGSFCTESAFCRTYHRYAASLASRAGALVVSVEYRLAPEHPVPAAHDDAWAALRWVASLSDPWLANYADPSRTFIAGDSAGGHIAYRTAVRAASREGGDIGIEGLIIIHPYFWGARMLPSEAAWDGESVIKPHQVGELWPFVTSGKAGNDDPWIDPPVEEVASLTCRRALVAVAEKDFLRDRGRLLAARMRGCAWAGGGDGRNVTLVESEGEDHGFHLYSPLRATSRRLMESIVQFINQPSHSPAPLRWPATILSQLHDTTDSPQILLPMPTREYKAVFIDRLEKRTKTGASSANSTAVNASLTIGRGKLATKKSYGLFFGRTRAHIYGGLASAGSQQCPRPFCGAPSSDTPIVSFNTSGCYSSRPVRAAATGRRRLPIVVYFHGGSFCTESTFCRTYHRYATSLASRTGALVVSVEYRLAPEHPIPAAYDDAWAALQCRRLGVPPVQYKVDTLWPFVTLSEGEDHGFHLYSPLRATSRRLMESVVRFINERSAAAAVAATSPWPAGVLPELHECSPSRARKGKMSKAQPLLSVPSRPYQGVFLNGPDLQAPRGPSAMKINNALTVGLDKASKRGFGSFATWANPNNRRAIKRPLSASVSRNIVAKNFF >ONIVA09G16090.1 pep chromosome:AWHD00000000:9:16820009:16821481:1 gene:ONIVA09G16090 transcript:ONIVA09G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVAPVTVHEHRRAERADGPATVLAIGTANPANCVTQLDYADFYCRVTNSEHLAGIKDKLDTLCVSASGSEKRFFHHTEEMINAHPEFLDRATPSLDARLQIAAAAVPELAATAAARAVVQWGRPATDITHLVVTTNAGAHAPGADVRFAALLGLRPTVRRTMIHLNGCSAGAAALRLAKDLAENSRGARVLVSCVELTVLTFRGPDCPHTVNCQALFGDGAGAVIVGADAVRPVEQPMFEMVSASQTLIPGTEHVITMQLTEHGLDGNIDAKELAPLAANNVKKCLSDALTPLGLDGGEWNDLFWAVHPGSPLILDHIESALQLKPGKLEASRRVLREYGNMLGSTLIFVLDEQRRRMEEEGDGAEWGVMLGFGPGFTIETMVLHAPDHDSRKKN >ONIVA09G16080.1 pep chromosome:AWHD00000000:9:16807733:16808947:1 gene:ONIVA09G16080 transcript:ONIVA09G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARTARAATPTPGGPCGDDASGRSGARRRWSAGRLKCLPSSSSGRRGSRGAMAGGVAARAPTLLLFWPLRIGAVRQLERLLSSSSGPIPIHPAPVPPYRRIPTSATASGSGRARSATLNRQEFRWLISQRANDDEVVRGATRIDAISVANPCITDAIILLY >ONIVA09G16070.1 pep chromosome:AWHD00000000:9:16799860:16800343:1 gene:ONIVA09G16070 transcript:ONIVA09G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLIDELSRRITLPPMQSPDGLLQLCYEVAGREIIKVLM >ONIVA09G16060.1 pep chromosome:AWHD00000000:9:16795289:16797006:1 gene:ONIVA09G16060 transcript:ONIVA09G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPAAVPVTVDELRRAQRADGTAAVLAIGTANPANCVTQADYADLYCRVTNSEHVAGFKDKLDALCVSASGSEKRYFHHTEEMINAHPEFLDRAKPSLDARLEIAAAAVPELAATAAARAIVQWGRPATDITHLVVTTNAGAHAPGADVRLAALLGLLPTVRRTMIHLNGCSAGAAALRLAKDLSENSRGARVLVACVELTVLTFRGPDCPHTVTCQALFGDGAGAVIVGADAARPVEHPLFEMVSASQTLIPGTEHVITMQLTEHGLDGDIDTKELVPLAANNVKQCLSDALTPLGLDGVEWNDLFWAVHPGSPLILDHIESALQLKQGKLAASRKVLRENGNMLGSTLIFVLEEQRRRMDEEGDGAEWGVMLGFGPGFTIETMVLHAART >ONIVA09G16050.1 pep chromosome:AWHD00000000:9:16785207:16785933:-1 gene:ONIVA09G16050 transcript:ONIVA09G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDDQIDPSSFRLALSLMRSSPPVSTFSSALSPPKSPRPMADDIQCYCFETHRRWRCGCYENTTSRSSSMLAAAARPSWRSGSISGLVVRGLFGHGDHLGLRRGEQREQIERRWDNEGRRPEKKEMDGKRDGSGWKVEGKEDDRSRESHV >ONIVA09G16040.1 pep chromosome:AWHD00000000:9:16776718:16778103:-1 gene:ONIVA09G16040 transcript:ONIVA09G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQEKMIREAMAAMNGQTAADVAVNLYPFIRKYTDGRVERLLTSSYVPASEDAGRGRGGVGVATRDVVVDRDNGVSARLFLPSSAATGGGGGGRRLPVVLYFHGGSFCTESAFCRTYHRYASSLASRAGALVVSVEYRLAPEHPIPAAYDDAWAAFRWVESLSDPWLAEYGDLRRTFVAGDSAGGNIAYHTVARAGRENVGGGIQGLIMVHPFFWGPERLPCETVWDGASVFPAFGVDWLWPFVTAGQADNDDPRIDPADDELASLPCRRVLMAVAGRDTLRDRGRRLASRMRGDVTVVESEGEDHGFHLYSPLRATSKRLMQSIVQFINQPPSPCPPPPAPAPSPAMRWPATILPELDEWSSTYSDDTANSSQILLGMPARAYKAIFVDRMDRKAAKTGRLSSNPTVNASLSIGPSGKASKTSCYGMSFGRTRAYNFRGVAAAGSGQCQPPFRGVTI >ONIVA09G16030.1 pep chromosome:AWHD00000000:9:16765742:16766086:-1 gene:ONIVA09G16030 transcript:ONIVA09G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKEATPIYADLATVRRWQPCGRGHPERWPVTGRPGGVEQRWRGGRWQGRHRICGHRWSSRPGAAAASSLLPSSSIAVAGQA >ONIVA09G16020.1 pep chromosome:AWHD00000000:9:16765311:16765728:-1 gene:ONIVA09G16020 transcript:ONIVA09G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPPSLWKMTTGGTAATRGGGGTADTSKCHRRATTAKNIHHAPLPPSGPSTLLSSNSLWWSQRHWDDLRSVDKMMALGSTAACVHRRCRAPARPLPVSAAWTLCVVGVFLRGVDASFIFTGGRSRKEDDD >ONIVA09G16010.1 pep chromosome:AWHD00000000:9:16739285:16743197:-1 gene:ONIVA09G16010 transcript:ONIVA09G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILT4] MGNGGIKAYAAIVLIRLMYSGMHVMSKVALDQGMSPFVFVFYRHGSAALVLIPAVLILERPKAKQVTLKIAAKIVTACGFLFNVGLNYASATSSSALYNVQPVVTFILAVVFGMESMKLKKLHGNVKAAGILFCVTGVTVLAFYQGPMLGSFNHHHLFQQANSSDDPEGNAHSKTQWVLGIFLMTLSNVLAGLWTVLLGPLIEETSKLMNTALQISWAAVQAFVVAVAVERDFNKWKLGWDVELATVIYSGVVVTALSYYMQMWTITKRGPVFLAMSMPLTFIFTIIISSFILGDPTSLGSIFAGTLLIGGLYNVLWGKNIEEQDEVNDIVADKPEFEMQGKEAQMPGDAGTKV >ONIVA09G16010.2 pep chromosome:AWHD00000000:9:16739285:16743197:-1 gene:ONIVA09G16010 transcript:ONIVA09G16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILT4] MGNGGIKAYAAIVLIRLMYSGMHVMSKVALDQGMSPFVFVFYRHGSAALVLIPAVLILERPKAKQVTLKIAAKIVTACGFLFNVGLNYASATSSSALYNVQPVVTFILAVVFGMESMKLKKLHGNVKAAGILFCVTGVTVLAFYQGPMLGSFNHHHLFQQANSSDDPEGNAHSKTQWVLGIFLMTLSNVLAGLWTVLLGPLIEETSKLMNTALQISWAAVQAFVVAVAVERDFNKWKLGWDVELATGVVVTALSYYMQMWTITKRGPVFLAMSMPLTFIFTIIISSFILGDPTSLGSIFAGTLLIGGLYNVLWGKNIEEQDEVNDIVADKPEFEMQGKEAQMPGDAGTKV >ONIVA09G16000.1 pep chromosome:AWHD00000000:9:16729630:16730524:-1 gene:ONIVA09G16000 transcript:ONIVA09G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGDGGLGGKRRQRMETAAGSGHVDLVASGQIRHSSVNWVDALPLPTKAAYLSLGATAAASGQRRPPQKRQICSGNDDDDGGSGGGCDNVADDGGGSGGYDDDDFDS >ONIVA09G15990.1 pep chromosome:AWHD00000000:9:16723125:16725933:-1 gene:ONIVA09G15990 transcript:ONIVA09G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILT2] MGNGSIKAYAAVVLIRIMYSVMQVVSKVALDQGMSPLVFIFYRHTAAALVLIPIAFVLERGKAKRVTLKNAGKMFIHALYGVTGCGDLFNLGLNYASATSSSALYNVQPVVTFILAVIFGLESMKLKRFHGHVKSAGILFCIGGVIVLAFYEGPMFKSFNHHHLFQQGSSSSSSSAGDTHYSKKQWALGIFLMTLSNVLAGLWNVFQGPLIEDTSKLMNTTIQISFASVQAFVVAVAAERDFSKWKLGWNFGLAAIIYNGVIVTALSYYMQIWTIAKRGPVFLAMSMPLTLIFTIIISSFILGEAVSLGSIVAGILLIGGLFNVLWGKNLEEHDELNKIGPAIPDLEMQDKEAQVPNDRATN >ONIVA09G15980.1 pep chromosome:AWHD00000000:9:16713901:16714620:1 gene:ONIVA09G15980 transcript:ONIVA09G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHTVAKSAAGVPMVAQGSGDSVSTSGNQNTVGGMLQRALPPHALRMNFRLGDKLRDKR >ONIVA09G15970.1 pep chromosome:AWHD00000000:9:16713156:16713347:-1 gene:ONIVA09G15970 transcript:ONIVA09G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGGEGEGVGVEEIEGPTRMEVVEECGVTGEGRGSSSWRWCRGSRGSMGGNRNPVRAPPQ >ONIVA09G15960.1 pep chromosome:AWHD00000000:9:16704735:16708183:1 gene:ONIVA09G15960 transcript:ONIVA09G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQKNAVVVADDVAIDLHPFIRKYNDGRVERILRSSFMPASEDPAASRGGVAARDVIIDERNGVSARLFLPSGADGGRRLLPVVVYFHGGCFCTESAFGRTYHRYAASLASRAGALVVSVEYRLAPEHPVPAAHDDAWAALRWAASLSDPWLADHADPGRTFVAGDSAGGHIAYRTAVRASREGDIGIEGLIIIHPYFWGAHMLPSEAAWDGESVIRPHKVGELWPFVTSGKAGNDDPWIDPSVEEVASLTCRRALVAVAEKDFLRDRGRLLAARMRGCAWAGGGDGRNVTLVESEGEDHGFHLYSPLRATSRRLMESIVQFINQPSHSPAPLRWPATILSQLHDTTDSPQILLPMPTREYKAVFIDRLEKRTKTGASSANSTAVNASLTIGRGKLATKKSYGLFFGRTRAHIYGGLASAGSQQCPRPFCGAPSSGYSSRPVRAAATGRRRLPIVVYFHGGSFCTESTFCRTYHRYATSLASRTGALVVSVEYRLAPEHPIPAAYDDAWAALQCRRLGVPPVQYKVDTLWPFVTLVESEGEDHGFHLYSPLRAPRRQAGRSWRASCCRSCTSTSTLRCTQAMAIRRCQGAQLICLRPGRILLSVPSRPYLAAFRDEPDKVIARSGKRCMEINNALIVGQEKASRRSFGLISARAKPDNWRASKNPLLSASVRLRITMAKNFL >ONIVA09G15950.1 pep chromosome:AWHD00000000:9:16676450:16682741:-1 gene:ONIVA09G15950 transcript:ONIVA09G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAADTSPPSTPSTASCPTPRPDAAAAAPSMSPSLLRAARSGDERRFVKALLADPAAPDLDAVATAGGNTLLHVAAWGGHPALASLLLRRAPGLLAARNAALDTPLHLAARAGAHKVVALLVAAFSSSSSSSAAADASSPSLRALTRATNRRGETPLHDAVRGGHEAAARALTAADPGLAGLCGGAGESPIYMAAAAGSLGMVRLLTKTYRNDEEEEEELPVLCSCTGPGGRTVLHAAVLTSNEMTQGLLQWNPTLVKEVDDSGSTPLHYVASVGNIPALKLLLGYDTSPAYVPDSNGLFPVHIAAKMGYGQLIYELSRYCPDCDEMLDSKGRNFLHIAVEHKKWKVVWHFCGTQELERMLNVMDYEGNTALHLAVKNADQMIVSLLMANKAVLPNIVNNQGLTALDLAVLATDKGISYTLVIILRCLAWTGAVLSPRRLDHFIDEFNIGKASGNELKKFTNISQNLVVGSVLISTVTFAAVFTLPGGYISDGHPHAGAPILWHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRALYMFFSVISMEQATRSMVAAFALGAYVVLSPVSERIALVLYLLALKLKSITVGLPPLLQTMPVTVNARVLPQPRRWQEMLQRSFSSSPIKEKNMPKYDSISLSSSSPFSNNPSAWEGAIHKVALGDGEVMDAS >ONIVA09G15950.2 pep chromosome:AWHD00000000:9:16676450:16682741:-1 gene:ONIVA09G15950 transcript:ONIVA09G15950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAADTSPPSTPSTASCPTPRPDAAAAAPSMSPSLLRAARSGDERRFVKALLADPAAPDLDAVATAGGNTLLHVAAWGGHPALASLLLRRAPGLLAARNAALDTPLHLAARAGAHKVVALLVAAFSSSSSSSAAADASSPSLRALTRATNRRGETPLHDAVRGGHEAAARALTAADPGLAGLCGGAGESPIYMAAAAGSLGMVRLLTKTYRNDEEEEEELPVLCSCTGPGGRTVLHAAWNPTLVKEVDDSGSTPLHYVASVGNIPALKLLLGYDTSPAYVPDSNGLFPVHIAAKMGYGQLIYELSRYCPDCDEMLDSKGRNFLHIAVEHKKWKVVWHFCGTQELERMLNVMDYEGNTALHLAVKNADQMIVSLLMANKAVLPNIVNNQGLTALDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDHFIDEFNIGKASGNELKKFTNISQNLVVGSVLISTVTFAAVFTLPGGYISDGHPHAGAPILWHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRALYMFFSVISMEQATRSMVAAFALGAYVVLSPVSERIALVLYLLALKLKSITVGLPPLLQTMPVTVNARVLPQPRRWQEMLQRSFSSSPIKEKNMPKYDSISLSSSSPFSNNPSAWEGAIHKVALGDGEVMDAS >ONIVA09G15940.1 pep chromosome:AWHD00000000:9:16662315:16662784:1 gene:ONIVA09G15940 transcript:ONIVA09G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGLVSPLPPMRFRPLGARRRLHDHQAGPPTSWHGFAPPSGVAPSRRSRSRSAVVAARAHQLAGPPSFCSLVSGEKWDQERAIWELGFFPSPRSALHPARIGRLRFAQRRAVAAVDYATPNGPQKCIGPSPHMWVALSCSACGPSN >ONIVA09G15930.1 pep chromosome:AWHD00000000:9:16647907:16655263:-1 gene:ONIVA09G15930 transcript:ONIVA09G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKGTLLQGGGSSQGGKRLRYSGPDLPEDIWRHIHFLMPLRDAARAACISQAFLRSWRHHPNLILRKKTMGLEHKAYRRVGMARDFTSTVHSILKNHSGIGVKRLKLDIIYDHRNLNICYLNNWLQIAITPGIEEITLLLPSKYTFPCSLLSGGNGRSLQYLKLVRCAFRPTASLGFLSSLTKLHLCEVRIKDDELTCLISKSLALKQLELLNCRQIICLKIPCLLEQLSCLNVSLCENLQMIESKAPNLSTFSYISNLVVELSLKQSSQVKTLDIDCYDESNFLCHVITKFPNIVPNLETLTLHSIDERINTPMVASKFLHVQQGEMKHDSVFGDASNLRRMPGHKHESLKDVEIIGFCSATNGVITSMAKRKGAFLQGDDTSQGGKRLRYSEPYLTEDIWRHIHSLMPLRDAARAACISQAFLRSWRRYPNLILTAGTLGLELRKNWKVHMARYFDRVDHILKNHSGIGVKRFSVVAASSYIGIASGIEEITLSLPPEYSFPCSLLSGRSLQHLELVNCAFRPVAGLGCSRNLTKLHLHSVLITDDELACLLSESFALKHLDLSDCREMVYLKIPCSLEQLSYLDVSSCRLQMIESKAPNLSSLSYSGNLVELSLGQSSQVKTLDIEFYDKANFLCYVITKLQNIVPNLESLTIHSDVERINTPMVDAKFLHLNYLEIYFDGLDGDDEAFPLEYDYLSLVSVLDASPVLDTFILSVQQGGMKHDLVSGDTTTILRTMPGHKHERLKEVMIIGFCSATSMVELTCHILENATSLETITLDIVCDVDDLEDVGRCCTTTLRKTGSCYPLRREMILEAHRGVMAIERYIRRKVPSNVELIVREPCTWCHDLERLDALEKENGHIIPHLILSLRTFHYC >ONIVA09G15920.1 pep chromosome:AWHD00000000:9:16644140:16647342:-1 gene:ONIVA09G15920 transcript:ONIVA09G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFSPDSAQSPPGFPLSELAEQICRLESGEHKEEEAADADADAVGLYHPMVDERNLHKHRDAIDPISIDNIDVLDEWVSEEPSLLCRDDLNWERIDAPFAEPTSEDEEFVAIDDEEAPTASLSWPAAAAEDSYCPPPDQDPYQYVTQEDGILPF >ONIVA09G15910.1 pep chromosome:AWHD00000000:9:16641020:16643149:1 gene:ONIVA09G15910 transcript:ONIVA09G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAATVAAARLLGPPVIRAARPPHDAADAASHPFLQLLDANFNPPPPGPPAAFGSKTKATPRKARTENDSATYANSGNPCLDLFFQVVPDTPADRVRGLVAAAWAHDPLTALKLVCNLRGVRGTGKSDKEGFYAAALWMHEHHPRTLACNVAALAEFGYLKDFPELLFRLIHGNDVRKLGKAKAAAHKMRKAREKKAATLAGRKRSRGYGGGSILDAMTPSKPLLSDFVSAELSKSKTKSKSKVKPETSSSNPGAAMEIEKPQEAAQPVAMEVDGKPEKEKGVGGKPEKKEVSKKARKAGKFAVQSLERYYGDRAYRFLFDCVAEFFAELLASDLEQLAPGGKRRKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSPDYAQLSDEHYAYSVLRRLRREALVPLRDVLQLPEVYMSARRWSELPYTRVASVAMRRYKALFKKHDEDRFAQYLAAVEEGKAKIAAGALLPHEIASAAMRGEEDDVSELQWRRMVDDLRAKGSLRNCISVCDVSGSMSGTPMDVCVALGVLTSELSEEPWAGRVITFSARPQLHMIKGKTLAEKLRFVQRMDWCMNTNFQAVFDQILRTAVDGRLPPEKMIRTVFVFSDMEFDEASTNHWETDYEAICRKFGSAGYGDAVPQIVFWNLRDSTSTPVTSTQPGVAMVSGFSKNLLKIFLQNDGVVNPEAVMAAAIAGEEYQKLVVFD >ONIVA09G15900.1 pep chromosome:AWHD00000000:9:16638129:16639913:-1 gene:ONIVA09G15900 transcript:ONIVA09G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANPILLLGPPIAVPDGGGAAAAVQVTWLSGRPLTSGDPCLDFFFQIVPGDTEVARVGDLLDAAWARDPLTALKLVCHLRAVRGLGKADREGFYAAALWMHDHHPRTLAANLAAFAEFGCFKDLLEIVYRVLHGPRDEHKEEEEEGDDQTESEDGRRRRPRLRFKRRCIDHAEAAKARLQKEAQLAQAVLSRYGSDVSFRFLYDGVADTFAELLKSDVEHMRAGENAKIGLAAKWCPSLRSSYDRATLLCEAIARRMFPRESSQEYLFLSDEHYAYRVRNRLRREVLVPLRKVLELPEVYMTAGKWEQMPYARVPSVAMRQYKGAFEKHDKSGVAGFLDEVRTGHARLHVGAAMPHELVAAALKGEHDEAAELQWRRMVSALAAGGRLSNCIAVCGLSSGGDVAKPPGAAAVALGLLISELSQDPWKGRVITFDATQQLHKVCGATLLEKLRSLAAPVRAAPKGSSLNLQGVFDRILTVATYGGLAKDMMVRRVFVLSDMELNASAWRVQDELKTIRSKFTAEGFTAPELVFWNVGAPASAPVVATEANAAVVSGYSKNLVRLFLEWDGQLTPAAVMADAISGPEYDSLEVVD >ONIVA09G15890.1 pep chromosome:AWHD00000000:9:16630115:16631214:1 gene:ONIVA09G15890 transcript:ONIVA09G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHDLVDFYVFKNDQGNLGRILVLIVLKNFCKKIVNGLLFSGFSSANMALSLKEQKEKGLDQDRDR >ONIVA09G15880.1 pep chromosome:AWHD00000000:9:16629365:16629733:1 gene:ONIVA09G15880 transcript:ONIVA09G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPADDALPALPPIRTALSTPSPPPTTVEVEVSASPSPPKEEVVAEADAEEEEPSTPTSEESRLRPPAVCPPAPRKPLPPRRLAAAAAGKRKSSPVVFVDVPRDLAAVFRSLPPKKRIRAW >ONIVA09G15870.1 pep chromosome:AWHD00000000:9:16610538:16612090:-1 gene:ONIVA09G15870 transcript:ONIVA09G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVADVPPAAAYGFPGSAKRGKPEEVVVLMGKRRNEGFFIEEEEEEEEVLTESSSIGAPSPASSSIGENSGEEEGGDDEEEVESKLKAEDEQVGLGCLDALEESLPIKRGLSNFYAGKSKSFTSLAEATASPAAAANELAKPENPFNKRRRILATWSRRASCSSLATATYLPPLLAPDHAVAEGDEGEEEDDDSDDDERQHRGKNGGRRESAAPPLPLPPPRLTLHTQMGGMVRRNGTFRSPRSLSLSDLQNSGGSC >ONIVA09G15860.1 pep chromosome:AWHD00000000:9:16600442:16603299:-1 gene:ONIVA09G15860 transcript:ONIVA09G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVVAGFLTLSMFVMLGNMIKHDHFTPVGAGQEELGLEATGIESNEIKIADTTEMSKVNKAGVDLPKETAEEIRPCWSKPRSNVQESKGFVTFSLTMGPEYHISQITDAVVIARYLGATLVLPEIRGNELGKRRKFEDMYDVDKFMTSLDGVVKVVHSLPNAVSSKKPAVVRVPNRVTEEFITGTIEPIFQRNNYLRLATIFSSVSLKQKESGNKDLDSTACLAMFSGLQLKPEFSAVAKHMLDKLKEISEKSDGMVIAIDLQTELLEKKICKTNGGARRRGCYYPQEVVHFLKKDDIMPAANKGEFLKSGDSYLARALDLKICSESDVFVPAIPGLFYGHVAGKRIAAGLTNIIVPAPVSSSSALASEFVSTYVSKKSHLAYSCYC >ONIVA09G15850.1 pep chromosome:AWHD00000000:9:16594330:16597097:1 gene:ONIVA09G15850 transcript:ONIVA09G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAIEEELETVGDDRISALPDDILLQILERLDLPMAIRTSTLSRRWLQLPRLLSHLIIDITHFMPAPPRRAVNFNVDQIMAAYTAAVNNLLLSSPSSSNSNTRRIIKRMQLSFFLSEDPSYLCSVGHAVGAIVDAGKTDLLEFSLWSDVGKLTLEHCQLLRQRFMSFSHSCPVAFRWLTNLALRNLAFQESDVSHILNTCHNLKFLALCSCVSDFVVLKIDAPHSELLTLEIVTCGFDRVDLIHLPNLRRVVCWDWCLPNPPIRFGNVTRLHNMSLSCSATYDQMPFRLTELISSATNLTILYLDFQDQMIWIEPQGPKLLYPVFSNVRDVYLCNIFYECDLNWTVFVLEAAPRLSNFYLKHPCERNRCEDSAEKVNLLWDQMSSDFKHRHLNLLEITGFAMDDKMINYTRLIMERAVNLKRIRLLDQVPYDKGNAMNGMGSTSSNKWRFPVDQGEKSLIKQKLIDGFSSSAEITIG >ONIVA09G15840.1 pep chromosome:AWHD00000000:9:16586318:16590649:1 gene:ONIVA09G15840 transcript:ONIVA09G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G51580) TAIR;Acc:AT1G51580] MEISPNSAAAAAAAATAAAPASSSTSSPSAPKRLTTTLRILCPSSRASALRGASRDLHVDQPPVGDEAVLSISGPDAPAVAVRAWERVVGHRVGGDEAAGEEEREVPGVVGCRMLAASGQVGCVLGKGGKTVERMRQESGAQIRVFRNRDQLPPWAAPVDELIHISGNFSAVRKALLLVTTCLQDNPRPDASNFPPGRFGPPGPVGIDPHSQRGYLPPSMPDYHARNYSSNMAAPGPRFFVEQEIVFRMICLNEMVGSIIGKGGSTIRALQSETGASIKIIEPNSDSEERVIVISAHENSEMMHSPAQDAVLRVHSRISESSMDKSSAVTARLLVPSQHIGCLLGKGGSIIAEMRKITGAGIRIFGNEQIPRCAQRNDELVQVTGSFQSIQDALLHITGRIRDVIIPMKPHPGGGMPPYPPGGNAPPHHPRQEPAPPHPTGGMPPYPMPSFRADRPMGPFDMVDHRPPPPHSMEHMGADRMPYSYGCEQGGGPRPFLDQPSPSAWAPEAPNSEAPRNMPETVPPADFRKGAVAGTNQVATPSNATEVIIPRKYIGFICGANGSDLAEIKK >ONIVA09G15830.1 pep chromosome:AWHD00000000:9:16578921:16582070:-1 gene:ONIVA09G15830 transcript:ONIVA09G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANNGGEGGDGFDVIVVGAGIMGSCAAYAASTRGGARVLLLERFDLLHHRGSSHGESRTIRATYPQAHYPPMVRLAARLWDDAQRDAGYRVLTPTPHLDMGPRADPALRASIVNGAATEVASDAASDAAAPWPWSGVFRLPEGWTAATSEIGGVMKATKAVAMFQSLAAKNGAVVRDRTEVVGIAKQGDGSIVVKTSSGEEFHGAKCIITVGAWASKLVRSVAGVDLPVQPLHTLICYWRARPGREHELTPESGFPTFASYGDPDRRDWCATGDALVEPVARWIDEVMPGHVDTAGGPVIRQPCMYSMTPDEDFIIDFVGGELGKDVVVGAGFSGHGFKMGPAVGRILAEMALDGEARTAAEAGVELRHFRIGRFEDNPEGNLAENKVKN >ONIVA09G15820.1 pep chromosome:AWHD00000000:9:16575096:16576853:1 gene:ONIVA09G15820 transcript:ONIVA09G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPRACKLWLLVVAMAASWSCSSMAMTFTIANYCSHPIWPGTLAGAGTPQLSTTGFRLDPGQTAQLAAPAGWSGRIWARTGCVFDADGAGVCQTGDCGGRVECRGAGAAPPATLFEVTLGRGGGEDFYDVSLVDGYNLPVVAIPRAAAACNATGCMADLNRSCPRELQVECGGGGAIACRSACEAFGQDRYCCAGEYGTPAACRPTAYSAIFKTACPRAYSYAYDDSTSTFTCKAAYDYTIAFCLPTSGRPPIYYNGGGGAHEPETMTASSASTRCTQPWLLLLLLLLLLLVFLF >ONIVA09G15810.1 pep chromosome:AWHD00000000:9:16572236:16574244:1 gene:ONIVA09G15810 transcript:ONIVA09G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPMLAMARKGGPRDRRPIHPMTGRPLDLEGVTVVDDSNVPEGGAEEPVSEE >ONIVA09G15800.1 pep chromosome:AWHD00000000:9:16559489:16561946:-1 gene:ONIVA09G15800 transcript:ONIVA09G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 38 [Source:Projected from Arabidopsis thaliana (AT2G24430) TAIR;Acc:AT2G24430] MAMGMEGSGGGGSAKKKEESLPPGFRFHPTDEELITYYLRQKIADGGFTARAIAEVDLNKCEPWDLPEKAKMGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFTGQPPATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSIPKSNKDEWVVCRIFAKTAGVKKYPSNNAHSRSHHPYTLDMVPPLLPALLQQDPFGRGHHPYMNPVDMAELSRFARGTPGLHPHIQPHPGYINPAAPFTLSGLNLNLGSSPAMPPPPPPPPQSILQAMSMPMNQPSTTNQVMVTEQMIPGLANGVIPQGTDGGFTTDVVVGGTGIRYQNLDVEQLVERSWIVLRCEIVRGIGT >ONIVA09G15790.1 pep chromosome:AWHD00000000:9:16551051:16556974:1 gene:ONIVA09G15790 transcript:ONIVA09G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGLSQRRCRSPLAGLGGAHRAVTPCLTPATATCRAALCQCQCQPCPRAPLSPQRGSDSERGEARRRRALPSPLHFSAPRAPRRGGRQVRADLAMRIARLLLLLALVAGAAVAQDAGLGDVAAEETTAARAKEEAALAAELSQLRAKISALESSITKQTQELKSKDDGIQKLEKLIEEKSKKIATLQSEITSLEKKRSLAAEEQAGKANARAIELEKQIEKLKKDIEAQNSKKSTVEARAGDADKKVQELNAKLEKLQKTSDEQKRRIQKTEHALKVAEEELMRVQLETTTQLNQLKEVHGAWLPPWLVTHTARAKEMMLSHWNEHGKPAVNSLLQKASEKSVQAKKWAEPHVEAAKTKWIPVIKEKWVTMKTNAEPYVQKVSAKSIELYQASKDVVLPHVVKAHKIADPYFQEAKKVSKPYIDQVAKATKPHVEKIRIYLKPYTKRAVHIYGNFLEKATAYHQQAQATVLDYLHQHELTKEFATEELACSKKKKKATRNVNANHGHRKHKRRHADK >ONIVA09G15790.2 pep chromosome:AWHD00000000:9:16551051:16556312:1 gene:ONIVA09G15790 transcript:ONIVA09G15790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGLSQRRCRSPLAGLGGAHRAVTPCLTPATATCRAALCQCQCQPCPRAPLSPQRGSDSERGEARRRRALPSPLHFSAPRAPRRGGRQVRADLAMRIARLLLLLALVAGAAVAQDAGLGDVAAEETTAARAKEEAALAAELSQLRAKISALESSITKQTQELKSKDDGIQKLEKLIEEKSKKIATLQSEITSLEKKRSLAAEEQAGKANARAIELEKQIEKLKKDIEAQNSKKSTVEARAGDADKKVQELNAKLEKLQKTSDEQKRRIQKTEHALKVAEEELMRVQLETTTQLNQLKEVHGAWLPPWLVTHTARAKEMMLSHWNEHGKPAVNSLLQKASEKSVQAKKWAEPHVEAAKTKWIPVIKEKWVTMKTNAEPYVQKVSAKSIELYQASKDVVLPHVVKAHKIADPYFQEAKKVSKPYIDQVAKATKPHVEKIRIYLKPYTKRAVHIYGNFLEKATAYHQQAQATVLDYLHQHELTKEFATEELACSKKKKKATRNVNANHGHRKHKRRHADK >ONIVA09G15780.1 pep chromosome:AWHD00000000:9:16547653:16549598:-1 gene:ONIVA09G15780 transcript:ONIVA09G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRNGPFGRLPEHLLVEIFIRLPTCEWVQISCVSKHWASIFQGECMWQTAIARNWPSAGLRKRWPGPIPRGSARRRFQALYVSQNLVSSGGDIDELVGHTYLYLKEQLERPVVAPSSILHGTIIDQFIACGRTGEKAHELASKIWLAVIDNLEENQQTFLLLKHLSQEGEFFLPFPYSRSYKVLWRVFDKLFTDFRDCFSRVDYHDALAGAKSRFQPVPSAWLGH >ONIVA09G15770.1 pep chromosome:AWHD00000000:9:16545798:16547018:-1 gene:ONIVA09G15770 transcript:ONIVA09G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSLLLLLLPLLIFSIGAALTRADLMLDRFEQWMIRHGRAYTDSGEKQRRFEVYRRNVELVETFNSMSNGYKLADNKFADLTNEEFRAKMLGFRPHVTIPQISNTCSADIAMPGESSDDILPKSVDWRKKGAVVEVKNQGDCGSCWAFSAVAAIEGINQIKNGELVSLSEQELVDCDDEAVGCGGGYMSWAFEFVVGNHGLTTEASYPYHAANGACQAAKLNQSAVAIAGYRNVTPSSEPDLARAAAAQPVSVAVDGGSFMFQLYGSGVYTGPCTADVNHGVTVVGYGESEPKTDGGGAAKGGEKYWIVKNSWGAEWGDAGYILMQRDVAGLASGLCGIALLPSYPVM >ONIVA09G15760.1 pep chromosome:AWHD00000000:9:16541508:16545147:1 gene:ONIVA09G15760 transcript:ONIVA09G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF106, transmembrane [Source:Projected from Arabidopsis thaliana (AT4G12590) TAIR;Acc:AT4G12590] MAEELVLDTAIRDWVLVPLSVVMVLIGVLRYFVAKLMRSPSASPSPDPKLVKEGQVVIRARNLRINSQYIPAKAFKSRKVYYTNEENGLLHVPKEEAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTPRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENANDDAQKMMQMGGGFGGNPTMGLAAEKDNLDIIQHDWALPKMEHHAEEVLRKLLKK >ONIVA09G15750.1 pep chromosome:AWHD00000000:9:16527221:16532742:-1 gene:ONIVA09G15750 transcript:ONIVA09G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESARPLSGGTGAGKWPPSKDTGSYDSIPASLSEDELAELAFMPNSGGIFGKWRGSVLKRSGSAPPTMEGSLVALGHLTGQPSGNLGAILPNLGTEANNSESKENIYYDSACVKYYMSKVNLNPRFPPPLVSRNQFGKSEERKPFSLDDSSSRSLLLGHPTLPTHKEEPEDEKSPSLDSSSADDAQCDSAQSTSNLGGHSPNLVDSIKENFHRSNGLYDNSSDLLNANSGDGGSIYSGINSSKNSSLYVVQSSDLNGFPPDAHQRSPRPIRTPVSTKLTSDSLPASSPPTSSCSDYSTITEACQQRNPSMAVKPGEPVGTMLDSSDFSLKNLNISPDILSSSYVMQQWQKNAPVWNGLSNVVHGDHVPMIPPGINLPQVPFVDNSGFGHMKFPGDVQLMSQIGMATPFCTPNSFGIPCYPNLQSPSVWVPPFGIGGYGLPGPFVPPVITNFTPQLPGFPSAVNLAAATDLFHPYKMYEHLGVPMPSPVPDQSLTHYFQQPPIHPYGVGNPYDTMVSSNNFVGNPAGVFGSPIIDPSEQKFQIPVTTVAANASTPIKGGKAIGNYETASPYFGVPMPYPAGPTLHGKPASGTSPRDKRNDVKGFQPPRKNMPVSSEIQGQKGREKFDDPKAHFSVEELISSRTHRVELADIKGQIVKYSSDQNGSRFIQQKLENCTIEEKDLLFAEVLPHALELMTDVFGNYVIQKALEVIDLEQKIVLVGELDGHVLRCVHDQNGNHVIQKCIECIPLEHIGFLVSSFQCQVAKLSMHTYGCRVIQRILERCSNNSECLCIIDEILQSACILAQDQYGNYVVQHVLEKGNEHERGQIITKLAGQVVPMSQNKFASNVIERCFEHGGSAERELLVKEILKQTEGNNYLLVIMKDQYANYVVQKMLTTCNEQHKEILLSRVKIHLPLLKKYTYAKHIVSLVERLCGDGAVQSESKKTVTKGC >ONIVA09G15740.1 pep chromosome:AWHD00000000:9:16522270:16526148:1 gene:ONIVA09G15740 transcript:ONIVA09G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHDAPSRGGAGGGKLSLLASVGLAGAGGGGSGGVGGGGGGGGGGGYKELLVMALPKDDDLDAGKVADVLGFRLPDVEGAVRAFFRSREVREFASGALAGAMSKAVLAPLETIRTRMVVGVGSRHIGGSFVEIIEQNGWQGLWAGNTINMIRIIPTQAIELGTFECVKRTMAEAQERWKEDGCPKIQIGKVKIEFPLQFLSPVAVAGAAAGIAGTLVCHPLEVIKDRLTINREVYPSISVAFSKIYRTDGIRGLYAGLCPTLIGMLPYSTCYYFMYDTIKTSYCRLHKKTSLTRPELLVIGALSGLTASTISFPLEVARKRLMVGALQGKCPPHMIAALAEVIQEEGLPGLYRGWGASCLKVMPNSGITWMFYEACKDILLADKDKRKA >ONIVA09G15730.1 pep chromosome:AWHD00000000:9:16501975:16519585:-1 gene:ONIVA09G15730 transcript:ONIVA09G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16800) TAIR;Acc:AT1G16800] MAMAARRVQLADRWRGIQEAEEADDDGEPSAARQRRLNQAKEEWFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRTIWKRISEELNVCAQCVCEHHQAQKDFDSEYRSGVDALLKVLRLLDEERVTEHLRQMNAKAQLKEYKPSCHDAEVSIMFEVLMYPILLDDLSLANQFQTFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLDPLQPLLQKYINFLEAEVLPSTSESPRPRVQLKRADIWLGFKSLYSLEALQDGDHEKQRRNILYFLLHQVTRSSNFSALMRKTATKIALLIVQRGYTMNPPCPASEFDMSGMQGLEMCPFVMLEPSELPMAFSKAVFWALSHISVLEPGVSTESSVPVNDWLSSHAGEVLPTFSWQVPNGADDGGVGKECINTLKVSQSCTLLLKIFKRLAIHVIMQIEQRGLLKQWAWESMMAESLILTLVDHNDNLRQVGRAVLELASQGRGLTSGLQFLCSSVSSLTATFLGLRYAVQSVETKSVLADFPSLHHLFFVICKLLKDVVVQQPSVALQAKPFEGGFLCQSFSSVSVNLPQHSVDIISWEKFSTLLSGALWPFIFTCLRKGDDLINTKQCQISCVRLLELVPLVYERVSSYSSAKSCGVPTMVLDPTDITWLFHLINWGKSSLLVIIRHWKQCMLSLIKILKGSLGGTVQHYIEDIGSIISHDAVNIDELSEKISDLKLALSKEASAKSERRVVAGVFTEPIACIPSPATQTAQERNTGRDNVETMKSSRSTCTEHIILLSDSEENSLTADVSGEEVLSSVKDSDGSGASDMQKEVGHSEPRMPTEDRHVSLKQQICSPASDIVASSKPVSKDRSIIAAKEGLGRAKVPTVPVNTNDTSLLPKKIKPPASTISQPSRSNLSSGAEKFKSIFRDLSDDEDDPLEHALDSCRKPQIRLTKSCLLVPKRQVVQLPLSAEKRHTSGRPDASSRRLKPPKLDSWFKNILEMDYFAVVGLPSSEIIKKLALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPAEDMNCGSISILSVERVDEFLVVRGRPDKNDCLKSKNCMENDLILLSKDPLNSSGQQVHVLGKVDRRESDKSKALILVIKFFLSNENARLNKSIHNGSGKVHLDKLSHPMRKVLKSSYNDSQLEAVSIAIRSTSLKAKFDLSLIQGPPGTGKTRTIVAIVSALLSLHAANSSQRNESFASAEFNKPRPRLSQSVAVTRAWQDAALAKQLINDSQREVPTDRLSKGRVLVCAQSNAAVDELVSRLSEGLYDTDGKLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKKNNDSKSLSDTESSSSLRANLEKIVDRIRYYELRRKLSEVDKTENDSLVPSEYETDEVSDDAIGAKLNFLYAQKRKVSAELATAHAREKRIADENRFLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASASKFANFSEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLAICLNAYNELYRMHPEISRFPSLHFYENKLLDGAQAADKSAPFHGHDCLGPYMFFDVADGREQCGKNAATQSLCNQFEAEAALEILGFLKNRYPSEFSCMKIGIITPYRSQLSLLRSRFNSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDSRHHTGEARGIGFVADVRRMNVALTRARFSLWIVGNAKTLQTNSHWASLLQNAKERNLFISVNRPYRSLFEKVRSHSEDIHGSRHTYYTSHCKNKESGKNSMTNSQKIDARPHKEHARHTVRTVETANERLPNDQAKRASRWDRKSPKAHEPFHRKSSKEKEPVIQDTDQDNVVRKEKEGEGLTIHNDNSLELANVIRQRELNKPVKPNIHTDAGKTLCNQDSLQNSEVRKDANKKYDNGNVKGSQNHDTKATVMKIDASPPAQDMQKLIQKAKGARKFSEKPRFGNSSQVDSSVKHEATLESANKNGGACTPTNSEMKKMAGKAKKARRFSEHPRSGNSNKVDHSLPSFDEESSQMPELKENNLTATRQNHLTASRKRQREDIESLLSSALISSKKPSSKIISVDIIPDRVRPVQSQNGPWVTRPSAQSQSGPWAIRNIPDAKFFT >ONIVA09G15730.2 pep chromosome:AWHD00000000:9:16501975:16519585:-1 gene:ONIVA09G15730 transcript:ONIVA09G15730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16800) TAIR;Acc:AT1G16800] MAMAARRVQLADRWRGIQEAEEADDDGEPSAARQRRLNQAKEEWFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRTIWKRISEELNVCAQCVCEHHQAQKDFDSEYRSGVDALLKVLRLLDEERVTEHLRQMNAKAQLKEYKPSCHDAEVSIMFEVLMYPILLDDLSLANQFQTFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLDPLQPLLQKYINFLEAEVLPSTSESPRPRVQLKRADIWLGFKSLLGFLDAPSFEDGILERYPIFLNIVLNHSLEALQDGDHEKQRRNILYFLLHQVTRSSNFSALMRKTATKIALLIVQRGYTMNPPCPASEFDMSGMQGLEMCPFVMLEPSELPMAFSKAVFWALSHISVLEPGVSTESSVPVNDWLSSHAGEVLPTFSWQVPNGADDGGVGKECINTLKVSQSCTLLLKIFKRLAIHVIMQIEQRGLLKQWAWESMMAESLILTLVDHNDNLRQVGRAVLELASQGRGLTSGLQFLCSSVSSLTATFLGLRYAVQSVETKSVLADFPSLHHLFFVICKLLKDVVVQQPSVALQAKPFEGGFLCQSFSSVSVNLPQHSVDIISWEKFSTLLSGALWPFIFTCLRKGDDLINTKQCQISCVRLLELVPLVYERVSSYSSAKSCGVPTMVLDPTDITWLFHLINWGKSSLLVIIRHWKQCMLSLIKILKGSLGGTVQHYIEDIGSIISHDAVNIDELSEKISDLKLALSKEASAKSERRVVAGVFTEPIACIPSPATQTAQERNTGRDNVETMKSSRSTCTEHIILLSDSEENSLTADVSGEEVLSSVKDSDGSGASDMQKEVGHSEPRMPTEDRHVSLKQQICSPASDIVASSKPVSKDRSIIAAKEGLGRAKVPTVPVNTNDTSLLPKKIKPPASTISQPSRSNLSSGAEKFKSIFRDLSDDEDDPLEHALDSCRKPQIRLTKSCLLVPKRQVVQLPLSAEKRHTSGRPDASSRRLKPPKLDSWFKNILEMDYFAVVGLPSSEIIKKLALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPAEDMNCGSISILSVERVDEFLVVRGRPDKNDCLKSKNCMENDLILLSKDPLNSSGQQVHVLGKVDRRESDKSKALILVIKFFLSNENARLNKVKRLLVERSKWFLNRIMSMTPQVREFSALSSLNDIPVLPVILNPVSCKSIHNGSGKVHLDKLSHPMRKVLKSSYNDSQLEAVSIAIRSTSLKAKFDLSLIQGPPGTGKTRTIVAIVSALLSLHAANSSQRNESFASAEFNKPRPRLSQSVAVTRAWQDAALAKQLINDSQREVPTDRLSKGRVLVCAQSNAAVDELVSRLSEGLYDTDGKLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKKNNDSKSLSDTESSSSLRANLEKIVDRIRYYELRRKLSEVDKTENDSLVPSEYETDEVSDDAIGAKLNFLYAQKRKVSAELATAHAREKRIADENRFLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASASKFANFSEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAQAADKSAPFHGHDCLGPYMFFDVADGREQCGKNAATQSLCNQFEAEAALEILGFLKNRYPSEFSCMKIGIITPYRSQLSLLRSRFNSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDSRHHTGEARGIGFVADVRRMNVALTRARFSLWIVGNAKTLQTNSHWASLLQNAKERNLFISVNRPYRSLFEKVRSHSEDIHGSRHTYYTSHCKNKESGKNSMTNSQKIDARPHKEHARHTVRTVETANERLPNDQAKRASRWDRKSPKAHEPFHRKSSKEKEPVIQDTDQDNVVRKEKEGEGLTIHNDNSLELANVIRQRELNKPVKPNIHTDAGKTLCNQDSLQNSEVRKDANKKYDNGNVKGSQNHDTKATVMKIDASPPAQDMQKLIQKAKGARKFSEKPRFGNSSQVDSSVKHEATLESANKNGGACTPTNSEMKKMAGKAKKARRFSEHPRSGNSNKVDHSLPSFDEESSQMPELKENNLTATRQNHLTASRKRQREDIESLLSSALISSKKPSSKIISVDIIPDRVRPVQSQNGPWVTRPSAQSQSGPWAIRNIPDAKFFT >ONIVA09G15730.3 pep chromosome:AWHD00000000:9:16501975:16519585:-1 gene:ONIVA09G15730 transcript:ONIVA09G15730.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16800) TAIR;Acc:AT1G16800] MAMAARRVQLADRWRGIQEAEEADDDGEPSAARQRRLNQAKEEWFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRTIWKRISEELNVCAQCVCEHHQAQKDFDSEYRSGVDALLKVLRLLDEERVTEHLRQMNAKAQLKEYKPSCHDAEVSIMFEVLMYPILLDDLSLANQFQTFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLDPLQPLLQKYINFLEAEVLPSTSESPRPRVQLKRADIWLGFKSLYSLEALQDGDHEKQRRNILYFLLHQVTRSSNFSALMRKTATKIALLIVQRGYTMNPPCPASEFDMSGMQGLEMCPFVMLEPSELPMAFSKAVFWALSHISVLEPGVSTESSVPVNDWLSSHAGEVLPTFSWQVPNGADDGGVGKECINTLKVSQSCTLLLKIFKRLAIHVIMQIEQRGLLKQWAWESMMAESLILTLVDHNDNLRQVGRAVLELASQGRGLTSGLQFLCSSVSSLTATFLGLRYAVQSVETKSVLADFPSLHHLFFVICKLLKDVVVQQPSVALQAKPFEGGFLCQSFSSVSVNLPQHSVDIISWEKFSTLLSGALWPFIFTCLRKGDDLINTKQCQISCVRLLELVPLVYERVSSYSSAKSCGVPTMVLDPTDITWLFHLINWGKSSLLVIIRHWKQCMLSLIKILKGSLGGTVQHYIEDIGSIISHDAVNIDELSEKISDLKLALSKEASAKSERRVVAGVFTEPIACIPSPATQTAQERNTGRDNVETMKSSRSTCTEHIILLSDSEENSLTADVSGEEVLSSVKDSDGSGASDMQKEVGHSEPRMPTEDRHVSLKQQICSPASDIVASSKPVSKDRSIIAAKEGLGRAKVPTVPVNTNDTSLLPKKIKPPASTISQPSRSNLSSGAEKFKSIFRDLSDDEDDPLEHALDSCRKPQIRLTKSCLLVPKRQVVQLPLSAEKRHTSGRPDASSRRLKPPKLDSWFKNILEMDYFAVVGLPSSEIIKKLALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPAEDMNCGSISILSVERVDEFLVVRGRPDKNDCLKSKNCMENDLILLSKDPLNSSGQQVHVLGKVDRRESDKSKALILVIKFFLSNENARLNKVKRLLVERSKWFLNRIMSMTPQVREFSALSSLNDIPVLPVILNPVSCKSIHNGSGKVHLDKLSHPMRKVLKSSYNDSQLEAVSIAIRSTSLKAKFDLSLIQGPPGTGKTRTIVAIVSALLSLHAANSSQRNESFASAEFNKPRPRLSQSVAVTRAWQDAALAKQLINDSQREVPTDRLSKGRVLVCAQSNAAVDELVSRLSEGLYDTDGKLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKKNNDSKSLSDTESSSSLRANLEKIVDRIRYYELRRKLSEVDKTENDSLVPSEYETDEVSDDAIGAKLNFLYAQKRKVSAELATAHAREKRIADENRFLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASASKFANFSEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLAICLNAYNELYRMHPEISRFPSLHFYENKLLDGAQAADKSAPFHGHDCLGPYMFFDVADGREQCGKNAATQSLCNQFEAEAALEILGFLKNRYPSEFSCMKIGIITPYRSQLSLLRSRFNSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDSRHHTGEARGIGFVADVRRMNVALTRARFSLWIVGNAKTLQTNSHWASLLQNAKERNLFISVNRPYRSLFEKVRSHSEDIHGSRHTYYTSHCKNKESGKNSMTNSQKIDARPHKEHARHTVRTVETANERLPNDQAKRASRWDRKSPKAHEPFHRKSSKEKEPVIQDTDQDNVVRKEKEGEGLTIHNDNSLELANVIRQRELNKPVKPNIHTDAGKTLCNQDSLQNSEVRKDANKKYDNGNVKGSQNHDTKATVMKIDASPPAQDMQKLIQKAKGARKFSEKPRFGNSSQVDSSVKHEATLESANKNGGACTPTNSEMKKMAGKAKKARRFSEHPRSGNSNKVDHSLPSFDEESSQMPELKENNLTATRQNHLTASRKRQREDIESLLSSALISSKKPSSKIISVDIIPDRVRPVQSQNGPWVTRPSAQSQSGPWAIRNIPDAKFFT >ONIVA09G15730.4 pep chromosome:AWHD00000000:9:16501975:16519585:-1 gene:ONIVA09G15730 transcript:ONIVA09G15730.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16800) TAIR;Acc:AT1G16800] MAMAARRVQLADRWRGIQEAEEADDDGEPSAARQRRLNQAKEEWFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRTIWKRISEELNVCAQCVCEHHQAQKDFDSEYRSGVDALLKVLRLLDEERVTEHLRQMNAKAQLKEYKPSCHDAEVSIMFEVLMYPILLDDLSLANQFQTFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLDPLQPLLQKYINFLEAEVLPSTSESPRPRVQLKRADIWLGFKSLYSLEALQDGDHEKQRRNILYFLLHQVTRSSNFSALMRKTATKIALLIVQRGYTMNPPCPASEFDMSGMQGLEMCPFVMLEPSELPMAFSKAVFWALSHISVLEPGVSTESSVPVNDWLSSHAGEVLPTFSWQVPNGADDGGVGKECINTLKVSQSCTLLLKIFKRLAIHVIMQIEQRGLLKQWAWESMMAESLILTLVDHNDNLRQVGRAVLELASQGRGLTSGLQFLCSSVSSLTATFLGLRYAVQSVETKSVLADFPSLHHLFFVICKLLKDVVVQQPSVALQAKPFEGGFLCQSFSSVSVNLPQHSVDIISWEKFSTLLSGALWPFIFTCLRKGDDLINTKQCQISCVRLLELVPLVYERVSSYSSAKSCGVPTMVLDPTDITWLFHLINWGKSSLLVIIRHWKQCMLSLIKILKGSLGGTVQHYIEDIGSIISHDAVNIDELSEKISDLKLALSKEASAKSERRVVAGVFTEPIACIPSPATQTAQERNTGRDNVETMKSSRSTCTEHIILLSDSEENSLTADVSGEEVLSSVKDSDGSGASDMQKEVGHSEPRMPTEDRHVSLKQQICSPASDIVASSKPVSKDRSIIAAKEGLGRAKVPTVPVNTNDTSLLPKKIKPPASTISQPSRSNLSSGAEKFKSIFRDLSDDEDDPLEHALDSCRKPQIRLTKSCLLVPKRQVVQLPLSAEKRHTSGRPDASSRRLKPPKLDSWFKNILEMDYFAVVGLPSSEIIKKLALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPAEDMNCGSISILSVERVDEFLVVRGRPDKNDCLKSKNCMENDLILLSKDPLNSSGQQVHVLGKVDRRESDKSKALILVIKFFLSNENARLNKVKRLLVERSKWFLNRIMSMTPQVREFSALSSLNDIPVLPVILNPVSCKSIHNGSGKVHLDKLSHPMRKVLKSSYNDSQLEAVSIAIRSTSLKAKFDLSLIQGPPGTGKTRTIVAIVSALLSLHAANSSQRNESFASAEFNKPRPRLSQSVAVTRAWQDAALAKQLINDSQREVPTDRLSKGRVLVCAQSNAAVDELVSRLSEGLYDTDGKLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKKNNDSKSLSDTESSSSLRANLEKIVDRIRYYELRRKLSEVDKTENDSLVPSEYETDEVSDDAIGAKLNFLYAQKRKVSAELATAHAREKRIADENRFLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASASKFANFSEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAQAADKSAPFHGHDCLGPYMFFDVADGREQCGKNAATQSLCNQFEAEAALEILGFLKNRYPSEFSCMKIGIITPYRSQLSLLRSRFNSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDSRHHTGEARGIGFVADVRRMNVALTRARFSLWIVGNAKTLQTNSHWASLLQNAKERNLFISVNRPYRSLFEKVRSHSEDIHGSRHTYYTSHCKNKESGKNSMTNSQKIDARPHKEHARHTVRTVETANERLPNDQAKRASRWDRKSPKAHEPFHRKSSKEKEPVIQDTDQDNVVRKEKEGEGLTIHNDNSLELANVIRQRELNKPVKPNIHTDAGKTLCNQDSLQNSEVRKDANKKYDNGNVKGSQNHDTKATVMKIDASPPAQDMQKLIQKAKGARKFSEKPRFGNSSQVDSSVKHEATLESANKNGGACTPTNSEMKKMAGKAKKARRFSEHPRSGNSNKVDHSLPSFDEESSQMPELKENNLTATRQNHLTASRKRQREDIESLLSSALISSKKPSSKIISVDIIPDRVRPVQSQNGPWVTRPSAQSQSGPWAIRNIPDAKFFT >ONIVA09G15720.1 pep chromosome:AWHD00000000:9:16496991:16500349:1 gene:ONIVA09G15720 transcript:ONIVA09G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAAAAAGLSLAPAAPWSSRATPSGRVAFRLATGRMAAPRRRLLLRRSSSAAAPSCVASSNDVHNHSSSSSVEADERLASWAGMSVAELAEVRRLTPPWFPSMEEVMEFDTTDFSPAAMRARFRRESAEAAAALRGAAAAAVRPLLELARDVRGLASVFHVEEFHVGMPFGAAMTCLALWQLWRAAPSVCLDAALAYAFYKLSVMAADLRRQGFCPDLLIRLKLVIMVVMYFKDINKNIIPLDYIRLAVFFVYFSSVYSEIKGAKKYMKYFIPMMFKGIPEELDNLPCFRGKTN >ONIVA09G15710.1 pep chromosome:AWHD00000000:9:16493463:16495121:-1 gene:ONIVA09G15710 transcript:ONIVA09G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGIRSGVLRSVAGVDGLAAAAAARRTPLLPRVHLAGKGTGRTATTVVGFFHPRLAAAPPSCKKAESDPAPSLQDAVGPVAAVDAVLRDPPPPQEPRDKIAAFIEFLKKHSLNLLILALCLFVGALAFKGEEKVIVAVTDEEKAIIAVKVCDKANKIYNILSKIAPIVVDFIRIFGR >ONIVA09G15700.1 pep chromosome:AWHD00000000:9:16490728:16492160:-1 gene:ONIVA09G15700 transcript:ONIVA09G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWGPSQEVVAEFYATDFSKAAVRERFARESREAAAALRGAAAGVFRPLADNFGDMRGFEYAHDTKEYHLGMPFGALMACIAVYQLWKASPWLCLDAALAFAFYQLSVIAADVRRRGFSADLIIRIKFVILFFITFRDFRNMISPLDFIRLPMFYIYYLSFMWDLSGMKKYAKYALPALMEDLPACF >ONIVA09G15690.1 pep chromosome:AWHD00000000:9:16486939:16490278:1 gene:ONIVA09G15690 transcript:ONIVA09G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVRRGDGGWKTSPARPCSPGYPRRSSVPMTGGDATRPAVARALGLPGSRGEAGFQRREPHRWLRPCSSSRSGDGAAAVLASRSGSSDMGLGVSSFSPCSLPHRVDAPRRRPVWCSPGAPPPPPRVEVQCVRKRRMGEEWEALKAAIADMFRPLLRNLADICSLRSAYDFEDYQIGMLFGAFLGYVGCYQLWKAAPSVFVDAALAFVFYKLSVVSSELHRQRKTNSLITRLKFGTILIMVMKDIKKNYVLLDIIRSAVKPELEIENLR >ONIVA09G15690.2 pep chromosome:AWHD00000000:9:16486939:16490278:1 gene:ONIVA09G15690 transcript:ONIVA09G15690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVRRGDGGWKTSPARPCSPGYPRRSSVPMTGGDATRPAVARALGLPGSRGEAGFQRREPHRWLRPCSSSRSGDGAAAVLASRSGSSDMGLGVSSFSPCSLPHRVDAPRRRPVWCSPGAPPPPPRVEVQCVRKRRMGEEWEALKAAIADMFRPLLRNLADICSLRSAYDFEDYQIGMLFGAFLGYVGCYQLWKAAPSVFVDAALAFVFYKLSVVSSELHRQRKTNSLITRLKFGTILIMVMKDIKKNYAVKPELEIENLR >ONIVA09G15690.3 pep chromosome:AWHD00000000:9:16486939:16490278:1 gene:ONIVA09G15690 transcript:ONIVA09G15690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVRRGDGGWKTSPARPCSPGYPRRSSVPMTGGDATRPAVARALGLPGSRGEAGFQRREPHRWLRPCSSSRSGDGAAAVLASRSGSSDMGLGVSSFSPCSLPHRVDAPRRRPVWCSPGAPPPPPRVEVQCVRKRRMGEEWEALKAAIADMFRPLLRNLADICSLRSAYDFEDYQIGMLFGAFLGYVGCYQLWKAAPSVFVDAALAFVFYKLSVVSSELHRQRKTNSLITRLKFGTILIMVMKDIKKNYVLLDIIRMPVFFLYICAFVFDVAGMKKYARRSLISLFNLLKSRGGIQEIYRIMWYPGYISPYDDSADW >ONIVA09G15680.1 pep chromosome:AWHD00000000:9:16482932:16485641:1 gene:ONIVA09G15680 transcript:ONIVA09G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVLSSFPYSLAGTRFGTVPPPPPPPRRAAARVSLRRQQTSRDGALLLRSLTTTRSRCAPAADGGGAKEAAEAAGDPVPDDELWPWDEFPEDAVFVKDDFATVQARFSRESGEAAAALKDAAADVFRPLLDNFSHLRSLNTVFDTEDYHVGMPFVNVFCFAVEILGMLIACIGCYNLFKMNPTTFIDAALGYTFYRLCIVSSQLRRRGFSNDLIIRVKFSQLTLHPQSDSAVSYFLKANSFGIANVVMVVMAINDINNRIYWLDAIRAPVYFLYGLTFAFELAGIKKCVKYLLASVALLVSHEKGRRELRKLLPKFELAPASERLSKW >ONIVA09G15680.2 pep chromosome:AWHD00000000:9:16482932:16485641:1 gene:ONIVA09G15680 transcript:ONIVA09G15680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVLSSFPYSLAGTRFGTVPPPPPPPRRAAARVSLRRQQTSRDGALLLRSLTTTRSRCAPAADGGGAKEAAEAAGDPVPDDELWPWDEFPEDAVFVKDDFATVQARFSRESGEAAAALKDAAADVFRPLLDNFSHLRSLNTVFDTEDYHVGMPFVNVFCFAVEILGMLIACIGCYNLFKMNPTTFIDAALGYTFYRLCIVSSQLRRRGFSNDLIIRVKFIVMVVMAINDINNRIYWLDAIRAPVYFLYGLTFAFELAGIKKCVKYLLASVALLVSHEKGRRELRKLLPKFELAPASERLSKW >ONIVA09G15680.3 pep chromosome:AWHD00000000:9:16482932:16485641:1 gene:ONIVA09G15680 transcript:ONIVA09G15680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVLSSFPYSLAGTRFGTVPPPPPPPRRAAARVSLRRQQTSRDGALLLRSLTTTRSRCAPAADGGGAKEAAEAAGDPVPDDELWPWDEFPEDAVFVKDDFATVQARFSRESGEAAAALKDAAADVFRPLLDNFSHLRSLNTVFDTEDYHVGMPFDAALGYTFYRLCIVSSQLRRRGFSNDLIIRVKFIVMVVMAINDINNRIYWLDAIRAPVYFLYGLTFAFELAGIKKCVKYLLASVALLVSHEKGRRELRKLLPKFELAPASERLSKW >ONIVA09G15670.1 pep chromosome:AWHD00000000:9:16479923:16482038:1 gene:ONIVA09G15670 transcript:ONIVA09G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRDSFLDLILIPLSLLVPMAYHVWLWRAVRLTPLRTAAGINSATRRLWAISMTKDNEKKAVLVVQSLRNVIMGSTLVATTAILFCTGIAAVLSSTYTIKKPLSDAVFGAHGEYMMALKYVALLLLFLVAFLSHSLAICFLNEASFLINTSPTLLAGGDGAGDDGGRRLLGLPSTRDYMEEALEKGFTLNFVGNRIFFAGVPLLLWIFGPLLAFLSSLVMIPILYNLDVVNVKSHRGGDCGCGCGNGKSSVDKNGAAAAMDCTLV >ONIVA09G15660.1 pep chromosome:AWHD00000000:9:16472091:16473335:-1 gene:ONIVA09G15660 transcript:ONIVA09G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAAPALKRKDADPPELWMGGAAAAAASGFPVSSRATKIRRLDAEVPPVVPGVCVPPAPPTQQQPVAGLGAGDVRVFGDQVPVGMAPAAAAAAAKRKGEDAPELWLDDGGAAYGFPVSSRATKIRRLDAEVPPPVVPELCAPPPPPQPVAEVQMRGEEVPVIAVPAPNEERAIVLYKPDDAARNLLLGPLRPEFPLRVSPDWIHGLKSTALREASEHRALFEELAMDETSNLAMVPWVPVPSNSQEASTSAAATATTTTEMMDAEDTSMEVEQDGGSGGSHLAAAGEAPYYQWPQHCMAPPPQPPLPAASYQPSPVTWSW >ONIVA09G15650.1 pep chromosome:AWHD00000000:9:16464743:16468428:-1 gene:ONIVA09G15650 transcript:ONIVA09G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKTPQPSKKSRIMLSDTDGHQLDNDEFSSESASNQMVLFNPETVAKGQDELGENHSPSLQKSANNPNRGMPSIGAFTVQCAKCFKWRLIPTKEKYEEIRECIIQEPFECERAREWRPDVTCNDPEDISQDGSRLWAIDKPNIALPPPGWERQIRIRGEGGTKFADVYYTSPTGRKLRSLVEIDRYLLENPDYVAQGVTLTQFSFQIPRPLRQDYVKKRPKIVNPNDEASVVTTKSVKPEEVSPIAWAAPSVHQEGEAGKRASHADEPPEAEELELTRKRKAESPLFEEAHSNHVSDEPKTKLEDTQNGGPSA >ONIVA09G15640.1 pep chromosome:AWHD00000000:9:16461839:16466393:1 gene:ONIVA09G15640 transcript:ONIVA09G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNKIILTTLLVSAAAILIGGTVALILTAGTWKVKMKESREKICDKGWECSGSKYCCNDTITDFFKVYQFENLFSKRNSPVAHAVGFWDYQSFITAAALFEPLGFCTTGGKQMQMMELCAFLGHVGSKTSCGFGVATGGPTAWGLCYNHEMSPKEDYCDKTNLQYPCVEGAEYYGRGAIPVFWNYNYGAAGDGIHEDLLHHPEYLEQNATMAFMAAMWRWMTPMKKKQPSAHDVFVGNWKPTKNDTLAKRLPGFGATMNVLYGDQICGKGYIDDMNVIISHYQYYLDLMGVGREHSGDNRDCAEQAAFNPSYKKPDDQQQQS >ONIVA09G15630.1 pep chromosome:AWHD00000000:9:16448631:16452505:-1 gene:ONIVA09G15630 transcript:ONIVA09G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVSPTATEVEIKKAYYMKARKVHPDKNPNDPLAAAKNWERHTKYSVTPHNVKRMTHMGNLVFQPIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFGDEEEIDARMLQEKMRVVQKEREEKLAETLKNKLHLYVQGNKEEFVQFAEAEVSRLSNAAYGVDMLSTIGYVYSRQAAKELGKKAIYLGVPFIAEWFRNKGHYIKSQVTAATGAIALMQLQEDLKKHLSAECHYTEEELEAYMETHKSVMVDSLWKLNVADIEGTLSHVCQMVLQDSTIRREELRARAKGLKTLGKIFQRVKLGSSEGEVTTINNTINNSDDNDGSSPDSSPMSPREHPYDPNPPYYQSPYVEAPQFVGGYPSLNFPMPTAPPGAQRDPIP >ONIVA09G15630.2 pep chromosome:AWHD00000000:9:16448631:16452505:-1 gene:ONIVA09G15630 transcript:ONIVA09G15630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVSPTATEVEIKKAYYMKARKVHPDKNPNDPLAAAKFQASIGCIILMNWERHTKYSVTPHNVKRMTHMGNLVFQPIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFGDEEEIDARMLQEKMRVVQKEREEKLAETLKNKLHLYVQGNKEEFVQFAEAEVSRLSNAAYGVDMLSTIGYVYSRQAAKELGKKAIYLGVPFIAEWFRNKGHYIKSQVTAATGAIALMQLQEDLKKHLSAECHYTEEELEAYMETHKSVMVDSLWKLNVADIEGTLSHVCQMVLQDSTIRREELRARAKGLKTLGKIFQRVKLGSSEGEVTTINNTINNSDDNDGSSPDSSPMSPREHPYDPNPPYYQSPYVEAPQFVGGYPSLNFPMPTAPPGAQRDPIP >ONIVA09G15620.1 pep chromosome:AWHD00000000:9:16445158:16447808:-1 gene:ONIVA09G15620 transcript:ONIVA09G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMENPPLRWPPGFRFSPTDEELVLYFLKRRIATGRPTPYIADVDVYKSHPSHLPERSALRTGDKQWFFFSRMDRKYPNGSRASRTTGEGYWKATGKDRSICNGGGGGAASGRAVGSKKTLVYHHGRAPRGERTDWVMHEYTLLADALPPAARDREAYALYKLFHKSGAGPKNGEQYGAPFREEDWLDDDDHHHDQLPAEAALPAPATTSGRAATTEEHADFELPGGDLDVLLAQIENDQDIIEAQLDFSTHVTSQVQIQHRVHQGWLSDDGGKSDVADATTSGSALLMAENTCAELPIDGLEQLLMQISDDQQTVEMLSGFSASVPQSQLQHDDHQGCLGVHREEVGVADSTTVSSAVVTEECTVRELQDIEGLLMQIENDQENAESLPDFSTPVHLHDCHQAAFGDFQGSQRATFNIANLSTMVQESPNFDLQTGPSNQITESILTTEPMNGETNAVEETSPLRSMSVLGSYDRQDGDDEFLEINDFFDPEDLEQILGSTTSQNLIPADDGVFDSLQYSDAPMFLPGSFDTTGVVAENHYVEFGASGIQNQGFQHTTELWAHNQVALNVRNHMKDNHVVFSHSSDATIIHTVNEQPPNRSSNASQSWFNGALSALLDSVPSSPAMAAENIGLNRTLQRISSFRSQQPAREEVSSTLINTRRRGGGLIFISLMVLLVAIMWTFSNGSAVKLSKGLWKFPST >ONIVA09G15610.1 pep chromosome:AWHD00000000:9:16441099:16443988:-1 gene:ONIVA09G15610 transcript:ONIVA09G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAIQTHALVCAPPGAAAAALAPWPRRAAGGGGGGPLLHHHRLRSDLPHTRSLPCRARSPSSSSSSNVNSGRGDDADNLLEDLLSKHGEVVYNAGGAPGIDTDDDAECLSFAVSLAKVASEVKAADIRVLFVKPLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSIVASGDTKPNSWTLLDFDSTATGGMAQPETLSLAGRRVAFTTPQTDAGGGGYGGRLHAILRQRGARPVPVPTIAIRAHDPDILRPFVAPGGLDAFAALAFTSRSGISAFSRALLPSSSSSPARRPRHPVSDAATALPFTVAALGSDADLLDAAFLSRLCGDAGGRVSVLVPDVPTPAGLVEALGSGSGRRVLCPVPDVVGLREPPVVPGFLAGLEAAGWVAVRAPAYVTCWAGPRCAEALVDAAAPDAVVFTSTAEVEGLLKGLDAAGWSWPRLRGRWPRMVVAAHGPVTADGVRRLGIEVDVVGARFSSFHGVLDALAAKLESD >ONIVA09G15600.1 pep chromosome:AWHD00000000:9:16437846:16439547:-1 gene:ONIVA09G15600 transcript:ONIVA09G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQHHHFQFEKMVISSKGKVCVTGASGFVASWLIKRLLEAGYHVIGTVRDPSNREKVSHLWRLPSAKERLQLVRADLMEEGSFDDAVMACEGVFHTASPEEMLVPAINGTLNVLKSCKKNPFLKRVVLTSSSSTVRIRDESKHPEISLDETIWSSVALCEKLQLWYALAKISAEKAAWEFAKENNIDLVTVLPSFVIGPSLSHELSVTASDILGLLQGDTDRFISYGRMGYVHIDDVASCHILVYEAPQATGRYLCNSVVLDNNELVALLAKQFPIFPIPRSLRNPYEKQSYELNTSKIQQLGFKFKGVQEMFGDCVESLKDQGHLLECPL >ONIVA09G15600.2 pep chromosome:AWHD00000000:9:16437846:16440877:-1 gene:ONIVA09G15600 transcript:ONIVA09G15600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRILHGYGGHGGRGFEQTYRCYSAAAFNKPQLEGGDKVIMPASALHRLASLHIDYPMLFELSHHGDAAAHRVTHCGVLEFVADEGTVIMPRWMMRGMRLDDGGLVVVRSASLPKGSYAKLQPHTGDFLDTANPKAVLEKTLRSFTCLTTGDTIMVAYNNKEFLIDIVETKPASAVCIIETDCEVDFAPPLDYKEPEKVQQKPSVPSSKAASEDQDQIKDEPEFRAFTGSGNRLDGKASKPLAAGISSNPAAASSAISDSNKKVNQETAASGVSNSTRQKKGKLVFGSNKSSSSSKEPEKAPPVKVDELAKKEEPKFQAFSGTSYSVQHHHFQFEKMVISSKGKVCVTGASGFVASWLIKRLLEAGYHVIGTVRDPSNREKVSHLWRLPSAKERLQLVRADLMEEGSFDDAVMACEGVFHTASPEEMLVPAINGTLNVLKSCKKNPFLKRVVLTSSSSTVRIRDESKHPEISLDETIWSSVALCEKLQLWYALAKISAEKAAWEFAKENNIDLVTVLPSFVIGPSLSHELSVTASDILGLLQGDTDRFISYGRMGYVHIDDVASCHILVYEAPQATGRYLCNSVVLDNNELVALLAKQFPIFPIPRSLRNPYEKQSYELNTSKIQQLGFKFKGVQEMFGDCVESLKDQGHLLECPL >ONIVA09G15600.3 pep chromosome:AWHD00000000:9:16437846:16440877:-1 gene:ONIVA09G15600 transcript:ONIVA09G15600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRILHGYGGHGGRGFEQTYRCYSAAAFNKPQLEGGDKVIMPASALHRLASLHIDYPMLFELSHHGDAAAHRVTHCGVLEFVADEGTVIMPRWMMRGMRLDDGGLVVVRSASLPKGSYAKLQPHTGDFLDTANPKAVLEKTLRSFTCLTTGDTIMVAYNNKEFLIDIVETKPASAVCIIETDCEVDFAPPLDYKEPEKVQQKPSVPSSKAASEDQDQIKDEPEFRAFTGSGNRLDGKASKPLAAGISSNPAAASSAISDSNKKVNQETAASGVSNSTRQKKGKLVFGSNKSSSSSKEPEKAPPVKVDELAKKEEPKFQAFSGTSYSLKRNREKVSHLWRLPSAKERLQLEEMLVPAINGTLNVLKSCKKNPFLKRVVLTSSSSTVRIRDESKHPEISLDETIWSSVALCEKLQLWYALAKISAEKAAWEFAKENNIDLVTVLPSFVIGPSLSHELSVTASDILGLLQGDTDRFISYGRMGYVHIDDVASCHILVYEAPQATGRYLCNSVVLDNNELVALLAKQFPIFPIPRSLRNPYEKQSYELNTSKIQQLGFKFKGVQEMFGDCVESLKDQGHLLECPL >ONIVA09G15600.4 pep chromosome:AWHD00000000:9:16437846:16440877:-1 gene:ONIVA09G15600 transcript:ONIVA09G15600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRILHGYGGHGGRGFEQTYRCYSAAAFNKPQLEGGDKVIMPASALHRLASLHIDYPMLFELSHHGDAAAHRVTHCGVLEFVADEGTVIMPRWMMRGMRLDDGGLVVVRSASLPKGSYAKLQPHTGDFLDTANPKAVLEKTLRSFTCLTTGDTIMVAYNNKEFLIDIVETKPASAVCIIETDCEVDFAPPLDYKEPEKVQQKPSVPSSKAASEDQDQIKDEPEFRAFTGSGNRLDGKASKPLAAGISSNPAAASSAISDSNKKVNQETAASGVSNSTRQKKGKLVFGSNKSSSSSKEPEKAPPVKVDELAKKEEPKFQAFSGTSYSLKRNREKVSHLWRLPSAKERLQLVRADLMEEGSFDDAVMACEGVFHTASPEEMLVPAINGTLNVLKSCKKNPFLKRVVLTSSSSTVRIRDESKHPEISLDETIWSSVALCEKLQLWYALAKISAEKAAWEFAKENNIDLVTVLPSFVIGPSLSHELSVTASDILGLLQGDTDRFISYGRMGYVHIDDVASCHILVYEAPQATGRYLCNSVVLDNNELVALLAKQFPIFPIPRSLRNPYEKQSYELNTSKIQQLGFKFKGVQEMFGDCVESLKDQGHLLECPL >ONIVA09G15590.1 pep chromosome:AWHD00000000:9:16426542:16431831:1 gene:ONIVA09G15590 transcript:ONIVA09G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASRSGVLSETASFADTPRSIYSSCNLQHLQSQSIRKIHEGALDISPRFSYCKPNASQDKILHRRFSLNLPEHMPGHYSRTVTERNQKAASKSITDLVVEIAALEQEVVRKELHLLSLYRKAFDQYLSESGSVTSEVDQELLKNIDEGALRLKDIKQSAAFNLPTVSDTKSEVSRSPSRHSSLVNFLSASISEYVPKISCKLSEDILSCIAAVYCKLGSVPLQDSEYITSPSPSVSSSSTFSPRNRNDSWSPRYNFDITPSPRQYGYEKENNEQKNIGMIIVPRIRIDADKFDYASKMLETIRSLIQRLEKIDPTKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSVNAQIIQNSILGCQSHRPSLWVRALFAPTKRSMAGTARHPYALQHPEPVAHFALSTGAFSDPPVRLYSAKKIHQQLEVARTEFIQANVVARRQALMLPKVLHYYAKDAALELRHVVELVCESISEAQQREIQLCLRRRIDKCVEWLPYKSSFRYVVHRDLAE >ONIVA09G15580.1 pep chromosome:AWHD00000000:9:16412176:16417510:1 gene:ONIVA09G15580 transcript:ONIVA09G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSRSTAAAAVERRQVVAVDATAAMVMDLDGTMARLEAPVAARVALGGDAYSCFVCGADELDYGAPARAMGDDEALQPGQLYFVLPVSALRRPLSGHDMAALAVKASAALSSIGVPTSSATRRKDDRDGAAASGKRRRTSRVAPLAVVSGIDAHATPLMAKTRKCGRRRACVRRLSITSLLQRITTPMGSCVPRSTAAAASAITTTTAAKVVFRDGSMAQFAAPGSTVRDALGGERPSSSASTCFVCFSDELRFDAPPRAMATHDALRPGQLYFVLPVSALRRPLSGQDMAALAVKAIAALGASATAAGSSSGVSSRGKNARPAGKQRPQATARVAPLVAAGADHVYGGYDSQKTVRGDRTARINGGGSIARQRTGLQRLSAISEGDE >ONIVA09G15570.1 pep chromosome:AWHD00000000:9:16406282:16408125:-1 gene:ONIVA09G15570 transcript:ONIVA09G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein [Source:Projected from Arabidopsis thaliana (AT1G20990) TAIR;Acc:AT1G20990] MSLKSREFSGRLPPPPSSSSDQQEQYYCSGQHQQRGEDGGGGGDGEMVHFSHPEHRLARFDFPYLFMCMGCKEYGAGKRFMCQLCGFQLHEFCALAPPSLHDHPFHPKHQHLLFFCDICGKSVKGFSFRCTSCSFAMHPCCAAMSRRMDLPVAHEHPLMLAPSPPTPPMATPTSDVVGVGDGGVGTSFVCQMCRRCRRPAGQYVYQCMPCGYYLHARCAKDVVNGLYVHGVAPPEKGSALAAVARVTINALFSVIGGLIEGIGEGIGEAFVDNIGRSRGRSSFR >ONIVA09G15560.1 pep chromosome:AWHD00000000:9:16397305:16401746:1 gene:ONIVA09G15560 transcript:ONIVA09G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILM5] MRRWREKIRTSTPLHVVGLAEILAICGLVASLIYLLSFFGIAFVQSVVSNSDDEEEEEDFLIDSRAAGPVAAQATPPPAPAPCSLLGSACAAPKKMPEEDEEIVAEVVAGKIPSYVLETRLGDCRRAAGIRREALRRTTGREIRGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLVLDGERFYVPMATTEGCLVASTNRGCKAIAESGGATSVVLQDGMTRAPVARFPSARRAAELKGFLENPANFDTLAMVFNRSSRFARLQRVKCAVAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLAAVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSSKDMSKVAS >ONIVA09G15560.2 pep chromosome:AWHD00000000:9:16397305:16401875:1 gene:ONIVA09G15560 transcript:ONIVA09G15560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILM5] MRRWREKIRTSTPLHVVGLAEILAICGLVASLIYLLSFFGIAFVQSVVSNSDDEEEEEDFLIDSRAAGPVAAQATPPPAPAPCSLLGSACAAPKKMPEEDEEIVAEVVAGKIPSYVLETRLGDCRRAAGIRREALRRTTGREIRGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLVLDGERFYVPMATTEGCLVASTNRGCKAIAESGGATSVVLQDGMTRAPVARFPSARRAAELKGFLENPANFDTLAMVFNRSSRFARLQRVKCAVAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLAAVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSSKDMSKVAS >ONIVA09G15550.1 pep chromosome:AWHD00000000:9:16363456:16382459:-1 gene:ONIVA09G15550 transcript:ONIVA09G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPCDPKNANLERLQDASQAAPANLRLFTADVVDLDALTHAVQGCDGVFHLATPSEVIDPAVKGTLNVLKACSVAKVQKVVVMSSNAAVDVNPDWPPNRLKYERGPDVMNNKLWHIVDVRDVADALHLLYEKPESSGRYICSSDHICTRDLVNLLKKMYPKYNYPRPSLYLHGLNKSLICFYSIPDVEHKASLTSQKLMSLGWAPRRLEETLSDSVDCYENAGILKILDGHPCRLPHLFSDLDFDMAGQQEQPEMAPPPPRRRVVCVTGAGGFVGSWLVELLLSRGYAVHATVRDPDDPKNAFLKQLENAPENLQLFEADVLDCGSLTAAFAGCEGVFHLATPVPEEKIKEMMAPTVEGTRNVLEACSAASVQKLVVASSIATVCLNPSWPQDMPKDETSWSDKKLCIENEDWYSVAKIEAEEMALEYGKKNGLHTVEINTSSKVLLYMIKGGDGPHVMNNKFWPMVDVRDVADALLLAYHKAGPSERYLCTLEQMDLKHLLDLMKNMYPNYNYADKMVDVDYKVEVTSEKLKNLGWNPRKREETLADSIEFFEKAGLLDGRPCRLPYFAGLRFQSDQSGKVQPEMPPRRVCVTGAGGFIGSWLVKLLLSRGYFVHGTVRNPDDPKNAFLKQLENATENLQLFKADVLDGGSLTAAFAGCEGVFHPATPVPEEQMKEMMAPAVKGTRNVLEACSAAGVQKLVVVSSIAAVFFNPSWPHDRPKDETTWSDKKLCMETENWYSLAKTEGEEMALEYGNRNGLHVVTTVQLNTTTKALLYIIQGGHGPDTMNNKFLSMVDVRDVADALLLHMKRQGHLSDTSAH >ONIVA09G15540.1 pep chromosome:AWHD00000000:9:16363046:16363450:-1 gene:ONIVA09G15540 transcript:ONIVA09G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDLLSLMKTMYPNYNYVDKMVDLDYKAEVTSEKLKNLGWKPRKREETFADSIELFEKAGLLDGQPFRLPYLYRMAA >ONIVA09G15530.1 pep chromosome:AWHD00000000:9:16339641:16361276:-1 gene:ONIVA09G15530 transcript:ONIVA09G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASPPPTTRVCVTGAGGFIGSWLVKLLLSRGYAVHATLRDPCDPKNAHLKQLDGASEMLSLFKADVLDAGELSAAIAGCEGVFHVASPVPGDKIVDPELEVMAPAVKGTLNVLEVCSSSKKVQKVVVVSSTAAVHYNPNWPPGKPKDESCWSDRKICMEKKEWYSASKVIAEKMALEYAEKKGLNVVTVCPCLVFGPQLQPTVNTSNELLIYITKGGPNVMRNMLLHIVDVRDVAEALILSLGWKPRKLEETLTDSIEYYEKTGILQDAGGRPCMTPPPPPPRRLVCVTGAGGFIGSWLVKLLLSRGYAVHATVRDPHDPKNAFLKQLENAPENLRLFKADVLDGGSLTAAFAGCEGVFHPATPVPEEKTKEMLDPAVKGTRNVLEACSAAGVQKLVVVSSIGAVCFNPSLPRDRIIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITVCPALVLGPTVADCATQHQQQSYPLCHESFGCNPGGPDAIGNTFFPIVDVRDVADALLLVYNKAGPSERYICSQEQMDTKDILDLMKSMYPNYSYTFKVVDVDTRVGLTSEKLKKLGWKPRKLEETLVDSMTPPPPPPRRPVCVTGAGGFTGSWLVKLLLSRGYAVHATLRDPDDPKNAFLKQLENAPENLRLFKADVLDGGSLTAAFAGCEGVFHPATPVPEHKTVDPEKEMLAPAVKGTRNVLEACSAASVQKLVVVSSICAVCFNPSLPRDRLIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITVCPALVLGPTVADCATQHQQQRGPDALSNKFFPIVYVRDVADALLLVYDKAGPSERYICSQEQMDMRDLLDLMKSMYPNYSYTAKVVDVDMTTSVELTSEKLKKLGWKPRKLEETLVDSVESYKKAGFVDDEPCRLPHLYRAPDAQESSMVIIRVLVSDDPRDAFLKQLDIGSGNLHLFKADELNGDALTVAFAGCEGVFHTGTSVPEDETKEMMVPAVKGTKNVLEACSASETRHGLLHWCCVLYPSWPQDRVRDETCWSDKEFCRENKNWYSFAKTEAEEIALEYSEKNGLHVITVCPALVFGPLLHTMQLNTSSRVLLYIMKGRPDVVNNNFWPIVDVRDCIMYDKSGPCERYICAQDQMDMKDLVDLMKSMYPNCSYSFKEQALSVLCSKHEHDLSSSSLSVFFSLVRLVDVGNKVELTSEKPKKLGWKPRKLEETLADSVESYKKAGLVDDEPCRLPMFTVCLIPRSEH >ONIVA09G15520.1 pep chromosome:AWHD00000000:9:16335488:16338275:-1 gene:ONIVA09G15520 transcript:ONIVA09G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSLIASRLARSTGHALASAASQVPPLSALLSFLGEVAPMARHAAASPLLSRLGSVARAFSSKPAAADVIGIDLGTTNSCVSVMEGKAPRVIENAEGARTTPSIVAKNQNGDLLVGITASRQAVTNAQNTVRGSKRLIGRTFDDPQTQKEMKMVPYKIVRGPNGDAWVEMGGQQYSPSQIGAFVLTKMKETAEAFLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDGALLDYLVSEFKKSDNIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADATGAKHFNITLTRSKFESLVQSLIERTRIPCVNCLKDAGVSAKDIDEVLLVGGMTRVPKVQDIVSQIFNKTPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKSTGKEQEITIKSSGGLSESDIEKMVREAELHSQKDQERKSLIDLKNSADTTIYSIEKSVSEYKDKVPAEVTNEIQSAVSDLRAAMAEDDLEKIKQKLEAANKAVSKIGEHMQQGGGGGSGSGGNSSSGGDQTPEAEYQDAAKEAKM >ONIVA09G15510.1 pep chromosome:AWHD00000000:9:16333412:16334284:1 gene:ONIVA09G15510 transcript:ONIVA09G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSVSPTLLLSNMYLRPDMITPGIDAQGNPIDPEKIQADFEDFYEDIFEELSKYGEIESLHVCDNFADHMIGNVYVQFREEDQAARALQALTGRYYSGRPIIVEFSPVSDFREATCRQYEENSCNRGGYCNFMHVKEIGRDLRKRLFGHLHRSRRSHSHGRSRSPSPYHYRRDYDRRSSSRSRDHDDYYRGGSHDYYRGGSRRSSERHRSSYDSDGSRRRHRSRTRSPVRDGSEERRAQIEQWNREREAAQV >ONIVA09G15500.1 pep chromosome:AWHD00000000:9:16329096:16330694:-1 gene:ONIVA09G15500 transcript:ONIVA09G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARLTGNSDCLLN >ONIVA09G15500.2 pep chromosome:AWHD00000000:9:16329096:16330694:-1 gene:ONIVA09G15500 transcript:ONIVA09G15500.2 gene_biotype:protein_coding transcript_biotype:protein_coding NHTLESPSWRRREEKAATESSAAATSAAGAELRRYRPGGSSPLSESKASARFNFFISLHLLHWRRRRRFCGGACRPARTKLRTGNSDCLLN >ONIVA09G15490.1 pep chromosome:AWHD00000000:9:16325779:16329083:-1 gene:ONIVA09G15490 transcript:ONIVA09G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLELFITACVPVLNMLLVTGVGSFLATDFVGILNKDARKYLNNIVFYVFNPSLVATYLAQTITLESLAKLWFMPVNILLACTFGLILGWIVVHVTRAPARLRGLILGCCSAGNWGNIFLIIIPALCKEKGSPFGAPDVCHTYGLAYSSLSMALGAVFLWTVAYNIMRATSKVADEGNARTNDTKVSNSGSSTGTASEENLSIPNDNNQCTLPLISNSSVPSSKTKVTLSERAKRFVSSMFGAIDFKKIFAPSTIAVIIGFIIGGTPLIRNAIIGENAPLRVIHESADLIGGGAIPSVTLIMGGNLLNGLRGEASVQPSVIASVIVVRYILLPSLGTLLVKSAVHLGLIHPDPLYQFILLLQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS >ONIVA09G15490.2 pep chromosome:AWHD00000000:9:16325779:16329083:-1 gene:ONIVA09G15490 transcript:ONIVA09G15490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLELFITACVPVLNMLLVTGVGSFLATDFVGILNKDARKYLNNIVFYVFNPSLVATYLAQTITLESLAKLWFMPVNILLACTFGLILGWIVVHVTRAPARLRGLILGCCSAGNWGNIFLIIIPALCKEKGSPFGAPDLGAVFLWTVAYNIMRATSKVADEGNARTNDTKVSNSGSSTGTASEENLSIPNDNNQCTLPLISNSSVPSSKTKVTLSERAKRFVSSMFGAIDFKKIFAPSTIAVIIGFIIGGTPLIRNAIIGENAPLRVIHESADLIGGGAIPSVTLIMGGNLLNGLRGEASVQPSVIASVIVVRYILLPSLGTLLVKSAVHLGLIHPDPLYQFILLLQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS >ONIVA09G15480.1 pep chromosome:AWHD00000000:9:16312057:16314690:-1 gene:ONIVA09G15480 transcript:ONIVA09G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQVRASSEMAGGREPFVLPKSPPAAAPAPPPPSSGGMQSVRMAYTADGTPIFAPVNSAPAPAPAATYPPAGGNGAAALDAGEPVVKKKRGRPRKYGPDGSMSLALVPVSTAAVAASGPFSPAAAAKSPDAVLSAPPPGAKKRGRPKGSTNKKHVPSFGIGDIGSAGAGFTPHVIFVKAGEDVSAKIMSFSQHGTRGVCVLSANGAISNVTLRQAATSGGTVTYEGRFEILSLSGSFLLSENGGHRSRTGGLSVSLAGPDGRVLGGGVAGLLTAASPVQIVVGSFNTEGKKGPKLHAPSDPMSAPLKMVPMSGTGPSSPPSRGTLSESSGGPGSPLNQGVTASNHGQPGLPSLSWK >ONIVA09G15470.1 pep chromosome:AWHD00000000:9:16309087:16310874:-1 gene:ONIVA09G15470 transcript:ONIVA09G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELQEALDADIQDRVMKEREMQSYIQEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSVPLTAAIRLRSWKLALPVDAYY >ONIVA09G15460.1 pep chromosome:AWHD00000000:9:16307859:16308254:-1 gene:ONIVA09G15460 transcript:ONIVA09G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAYRRLTACESRRRGGGGGTAVAVAVAAAAAAARAWWAAVRRAAAAGLYCAAGRRRRAARRRLAAPARYEYDSDSYARNFDDGVWKAEEGVVWSAGAAAAAVASSSLAACRHAAIVPCPVNSELLIPL >ONIVA09G15450.1 pep chromosome:AWHD00000000:9:16305156:16306259:-1 gene:ONIVA09G15450 transcript:ONIVA09G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLVRAKVPIGVLGLPFLTGVSAGGGGDSRDLRFDLSTAFASGPALRLSYRPNDPLQPFALSVRTGLGPLGSPARAPFAISAEFNLLSSSPPAFSLLFKPRIGDFSLANSVISPPASASPAPPLPPPPPSHKLTDLANGGDDHRAFSFSGNGFAANVAAAGKSGGGVGALLSGMRLTTRSVLPLWSKASLRFQWGLRVPPELKAALADDGYGRKAGNLAINKLPLLVMNKITIEHTPRNPPHSDADKGKKKDAPEFQTEGFSLVKRQLEVLNAESIMLRRTVEDLRAEIGGNRAASMPGKGDARRMPSSLAPPPPQPFLAKPDRHGNGKEMVDSGPKPVSNEASEELKKALEARRK >ONIVA09G15440.1 pep chromosome:AWHD00000000:9:16300968:16303643:-1 gene:ONIVA09G15440 transcript:ONIVA09G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSDGPDVSPAAAAAAGGGGGDEIWGTLEELLLACAVSRHGTGSWDSVAMEVQTRSPLAARPGLTPTSCRLRFRHLHRRFSVGGAVEEDDDDEEAEEGGPDASAADGWMDELRRLRVAELRREVERCDLSIGTLQTKVKRLREEREQSIHGGGGGEGKPETANGDERLSSEEPGRSCRESNSTDLKPAARAGDHSVKAEEEDEDAAAAKQQASGESVAASKESSDLRSSASLRRRRRHKPGADEDADGEEASALRPPSQSPSSSSSSQPLAALLDTFAARFGPLLERLHESQESDAYRGAIRRHVDIEMVRRKLDASPAGGGGGAAAAAEFYRDLLLLCANALVFFPRAGPERGAAAEARALVYSSLRLREPKQEPGTAAAAAVAAAAGSPPAEDTRRAEGVVSVGGGGAGIVGSLIEKGGKPLIVCRKRSSIAKAAAAAKKEESAEKGEAAEEGEGSDDGKKKVSVSASASKDKAWGLRTKKGRGPGKNSASVGGRKMAKLSEATEAATDGSKKPDKKIAADAATPAKKRNAVDFLKRLNQGSSPSKKKKKGSPMGTRKRAAAATSPEQPQKTRKGPGRKDAGRGGSKKGGKSATPKRSVGRPPSKRGAAAATTPPPSKRAKVNRSEKTAATATAAKRGGRR >ONIVA09G15430.1 pep chromosome:AWHD00000000:9:16297339:16298367:-1 gene:ONIVA09G15430 transcript:ONIVA09G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATVVASSWIPLLCLVVVVLSACTAVSSAVECNGDDRAALLRVKAQLGDPVRLSSWRPSTNCCAWEPAVFCSGEPGRVTGLALFSLAGVAAPVPPALGELTGLAVLQIASVRGMSGPIPPSFANLSLLEDLDITGTSISGPVPASYLAGATNLRTLVIADSRLAGPIPPSLAGDHPNLRYLDLSGNFLTGAIPPGLVHGSFRFLILSHNQLTGEIPRCYGDVDTVDLSHNRLTGDPSPHLFGIAAPAAKIDLSWNELAFDMTGVRFPHHLRYLDLSHNRITGKVAKSLMDVRLEHLNVSDNELCGEIPAGRFMAAHGADCYARNRCLCGAPLPPCCDGGL >ONIVA09G15420.1 pep chromosome:AWHD00000000:9:16292045:16292587:-1 gene:ONIVA09G15420 transcript:ONIVA09G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGGKGRKSTEAAKADDGSSSGGGGEEVIPAYKRRGRPQQQKHHHLKDDHAAGDDEDEDDDSVAKTEEEEEEEEHDDIAKIDDDAAKTTAAAGAAPQASKAGSSKAAAQNPGRKRRRQLKRGSDNSNSNSASAIERRRRKDGGEPSSSRQQNGFRQHGSRRKNSTPRRAAEAGVECK >ONIVA09G15410.1 pep chromosome:AWHD00000000:9:16289783:16291404:-1 gene:ONIVA09G15410 transcript:ONIVA09G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREISLAPTAAVAAAEPAAAPARVRRRRQLHLFSVAADAHEIISLQNTIPRMFHQEAEEPTDDEGAAGKKQGMARDSDEVSPGCRGADGRCSRRWKEARNRALSRRIHHDGEKPVDGARAGGRKRVITRGGERF >ONIVA09G15400.1 pep chromosome:AWHD00000000:9:16270614:16273704:-1 gene:ONIVA09G15400 transcript:ONIVA09G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSGGGGGGGGGGDDVHGLKFGKKIYFEQDAAASASAAAVESSSTSSGGGGKKGKGVAAAAAPPPPPPPRCQVEGCGVDLSGVKPYYCRHKVCYMHAKEPIVVVAGLEQRFCQQCSRCSVHMVRFHQLPEFDQEKKSCRRRLAGHNERRRKPTPGPLSSRYGRLAASFHEEPGRSRSFVVDFSYPRVPSSVRDAWPAIQPSDRMSGSIQWQGGHELHPHRSAVAGYSDHHAFSSHGGSAAGAPMLHHPAFELTSGGCLAGVATDSSCALSLLSTQPWDTTQSTSSHNRSPPMSSTASAFGGGNNPVSPSVMASNYMAASPGWNSSSRGHDGARNVHLPPPHGVVLNEVPPGSVHHGHFSGELELALQGGAPSNRPEAEHGSGSGAFSHSTNAMNWSL >ONIVA09G15390.1 pep chromosome:AWHD00000000:9:16269384:16270121:-1 gene:ONIVA09G15390 transcript:ONIVA09G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGGGNGDTGGEGSTWTPWSGVDAAPPRRGGNGDTGSEGSTWTPWSGADATPPGRGGNGDTAGEGSTWTPLSGVDATPPRRGGNEDAGGEGSSWAPWTGVDATPLRHGIDATVDEIREALGLAPVSAAMARRRRAAEHLRRAAEYVELRARGGCHHDNARCRRVQSALAAQVRGACARCGSNFCVVPVVESTNVSGEQCVRCGCCGERVDLAAAAAPVMAPPAATRRQQGWQPWHQGYNM >ONIVA09G15380.1 pep chromosome:AWHD00000000:9:16257707:16260468:-1 gene:ONIVA09G15380 transcript:ONIVA09G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMGRRLLFTLFLGALFCNGVYAKFTRYSFPKDFIFGTGSAAYQYEGAYKEGGKGPSVWDNFTHIPGKILNNDNGDVANDFYHRYKEDVSLLKDMNMDAFRFSIAWTRILPNGSLSGGINKEGVAFYNSLIDDVIAKGMIPFVTIFHWDTPLALESKYGGFLSEDIVKDYVDFAEVCFREFGDRVKYWTTFNEPFTYSAYGYGKGVFAPGRCSSYVSKSCGAGDSSREPYLVAHHIHLSHAAAVQLYRTKYQPTQKGQIGMVVVTHWFVPYDNTDADRGAVQRSLDFIYGWFMDPIVHGDYPGTMRGWLGNRLPEFTPEQSAMVKGSYDFIGVNYYTTYYAKSIPPPNSNELSYDLDNRANTTGFRNGKPIGPQEFTPIFFNYPPGLRELLLYTKRRYNNPTIYVTENGIDEGNNSTLPEALKDGHRIEFHSKHLQFVNHAIRNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYVDRKTLKRYRKESSYWIEDFLKRH >ONIVA09G15370.1 pep chromosome:AWHD00000000:9:16240438:16241512:-1 gene:ONIVA09G15370 transcript:ONIVA09G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKEYFPCPSPAGMPVYSSKDQFKGKRAKLPSLGKKHQSRSRSVVVFGRRVATSTKVEAFCGVDCIRLRAVAYSHGSAAPSPAA >ONIVA09G15360.1 pep chromosome:AWHD00000000:9:16222342:16238477:1 gene:ONIVA09G15360 transcript:ONIVA09G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAAHRASFPLRLQQILSGSRAVSPSIKVESEPPAKVKAFIDRVISIPLHDIAIPLSGFRWEFNKGNFHHWKPLFMHFDTYFKTQISSRKDLLLSDDMAEGDPLPKNTILQILRVMQIVLENCQNKTSFAGLEHFRLLLASSDPEIVVAALETLAALVKINPSKLHMNGKLINCGAINSHLLSLAQGWGSKEEGLGLYSCVVANERNQQEGLCLFPADMENKYDGTQHRLGSTLHFEYNLAPAQDPDQSSDKAKPSNLCVIHIPDLHLQKEDDLSILKQCVDKFNVPSEHRFSLFTRIRYAHAFNSPRTCRLYSRISLLAFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEEFVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLSSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVDSHNSMVTSDALKSEEDHLYSQKRLIKALLKALGSATYSPANPARSQSSNDNSLPISLSLIFQNVDKFGGDIYFSAVTVMSEIIHKDPTCFPSLKELGLPDAFLSSVSAGVIPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDTFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLSSPREDKSNEPAASSDERTEMETDAEGRDLVSAMDSSEDGTNDEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLQALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSTPLARAFCSSLKEHLKNALQELDTVASSGEVAKLEKGAIPSLFVVEFLLFLAASKDNRWMNALLSEFGDSSRDVLEDIGRVHREVLWQISLFEEKKVEPETSSPLANDSQQDAAVGDVDDSRYTSFRQYLDPLLRRRGSGWNIESQVSDLINIYRDIGRAAGDSQRYPSAGLPSSSSQDQPRSSSDASASTKSEEDKKRSEHSSCCDMMRSLSYHINHLFMELGKAMLLTSRRENSPVNLSASIVSVASNIASIVLEHLNFEGHTISSERETTVSTKCRYLGKVVEFIDGILLDRPELCNPIMLNSFYCRGVIQAILTTFEATSELLFSMNRLQSSPMETDSKSVKEDRETDSSWIYGPLSSYGAILDHLVTSSFILSSSTRQLLEQPIFSGNIRFPQDAEKFMKLLQSRVLKTVLPIWTHPQFPECNVELISSVTSIMRHVYSGVEVKHTAINTGARLAGPPPDENAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEDDELARALAMSLGNSDTSAQEEDGKSNDLELEEETVQLPPIDEVLSSCLRLLQTKESLAFPVRDMLLTMSSQNDGQNRVKVLTYLIDHLKNCLMSSDPLKSTALSALFHVLALILHGDTAAREVASKAGLVKVALNLLCSWELEPRQGEISDVPNWVTSCFLSIDRMLQLDPKLPDVTELDVLKKDNSNTQTSVVIDDSKKKDSEASSSTGLLDLEDQKQLLKICCKCIQKQLPSATMHAILQLCATLTKLHAAAICFLESGGLHALLSLPTSSLFSGFNSVASTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKEKNKEKEKDKPADKNKTSGAATKMTSGDMALGSPVSSQGKQTDLNTKNVKSNRKPPQSFVTVIEYLLDLVMSFIPPPRAEDRPDGESSTASSTDMDIDSSAKGKGKAVAVTPEESKHAIQEATASLAKSAFVLKLLTDVLLTYASSIQVVLRHDADLSNARGPNRIGISSGGVFSHILQHFLPHSTKQKKERKADGDWRYKLATRANQFLVASSIRSAEGRKRIFSEICSIFVDFTDSPAGCKPPILRMNAYVDLLNDILSARSPTGSSLSAESAVTFVEVGLVQYLSKTLQVIDLDHPDSAKIVTAIVKALEVVTKEHVHSADLNAKGENSSKVVSDQSNLDPSSNRFQALDTTQPTEMVTDHREAFNAVQTSQSSDSVADEMDHDRDLDGGFARDGEDDFMHEIAEDGTPNESTMEIRFEIPRNREDDMADDDEDSDEDMSADDGEEVDEDEDEDEDEENNNLEEDDAHQMSHPDTDQEDREMDEEEFDEDLLEEDDDEDEDEEGVILRLEEGINGINVFDHIEVFGGSNNLSGDTLRVMPLDIFGTRRQGRSTSIYNLLGRAGDHGVFDHPLLEEPSSVLHLPQQRQQENLVEMAFSDRNHDNSSSRLDAIFRSLRSGRSGHRFNMWLDDSPQRTGSAAPAVPEGIEELLVSQLRRPTPEQPDEQSTPAGGAEENDQSNQQHLHQSETEAGGDAPTEQNENNDNAVTPATRSELDGSESADPAPPSNALQIEVSGASEHATEMQYERSDAVVRDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGASDRLPLGDLQAASRSRRPPGSVVLGSSRDISLESVSEVPQNQNQESDQNADEGDQEPNRAADTDSIDPTFLEALPEDLRAEVLSSRQNQVTQTSNEQPQNDGDIDPEFLAALPPDIREEVLAQQRAQRLQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSGSLFGMNSRGRRGESSRRGDIIGSGLDRNAGDSSRQPTSKPIETEGSPLVDKDALKALIRLLRVVQPLYKGQLQRLLLNLCAHRESRKSLVQILVDMLMLDLQGSSKKSIDATEPPFRLYGCHANITYSRPQSTDGVPPLVSRRVLETLTYLARNHPNVAKLLLFLEFPCPPTCHAETPDQRRGKAVLMEGDSEQNAYALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAENEITQAKLEAASEKPSGPENATQDAQEGANAAGSSGSKSNAEDSSELPPVDGESSLQKVLQSLPQAELRLLCSLLAHDGLSDNAYLLVAEVLKKIVALAPFFCCHFINELAHSMQNLTLCAMKELHLYEDSEKALLSTSSANGTAILRVVQALSSLVTTLQEKKDPDHPAEKDHSDALSQISEINTALDALWLELSNCISKIESSSEYASNLSPASANAATLTTGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAIQEASTSDMEDASTSSGGQKSSGSHANLDEKHNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIEFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPAYYKNLKWMLENDISDVLDLSFSMDADEEKRILYEKAEVTDYELIPGGRNIKVTEENKHEYVNRVAEHRLTTAIRPQITSFMEGFNELIPEELISIFNDKELELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >ONIVA09G15360.2 pep chromosome:AWHD00000000:9:16222342:16226761:1 gene:ONIVA09G15360 transcript:ONIVA09G15360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAAHRASFPLRLQQILSGSRAVSPSIKVESEPPAKVKAFIDRVISIPLHDIAIPLSGFRWEFNKGNFHHWKPLFMHFDTYFKTQISSRKDLLLSDDMAEGDPLPKNTILQILRVMQIVLENCQNKTSFAGLEHFRLLLASSDPEIVVAALETLAALVKINPSKLHMNGKLINCGAINSHLLSLAQGWGSKEEGLGLYSCVVANERNQQEGLCLFPADMENKYDGTQHRLGSTLHFEYNLAPAQDPDQSSDKAKPSNLCVIHIPDLHLQKEDDLSILKQCVDKFNVPSEHRFSLFTRIRYAHAFNSPRTCRLYSRISLLAFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEEFVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLSSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVDSHNSMVTSDALKSEEDHLYSQKRLIKALLKALGSATYSPANPARSQSSNDNSLPISLSLIFQNVDKFGGDIYFSAVTVMSEIIHKDPTCFPSLKELGLPDAFLSSVSAGVIPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDTFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLSSPREDKSNEPAASSDERTEMETDAEGRDLHFPCDGIGSSDNGELRNLPVICGERRPASTFDTPVAT >ONIVA09G15360.3 pep chromosome:AWHD00000000:9:16222622:16226761:1 gene:ONIVA09G15360 transcript:ONIVA09G15360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAAHRASFPLRLQQILSGSRAVSPSIKVESEPPAKVKAFIDRVISIPLHDIAIPLSGFRWEFNKGNFHHWKPLFMHFDTYFKTQISSRKDLLLSDDMAEGDPLPKNTILQILRVMQIVLENCQNKTSFAGLEHFRLLLASSDPEIVVAALETLAALVKINPSKLHMNGKLINCGAINSHLLSLAQGWGSKEEGLGLYSCVVANERNQQEGLCLFPADMENKYDGTQHRLGSTLHFEYNLAPAQDPDQSSDKAKPSNLCVIHIPDLHLQKEDDLSILKQCVDKFNVPSEHRFSLFTRIRYAHAFNSPRTCRLYSRISLLAFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEEFVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLSSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVDSHNSMVTSDALKSEEDHLYSQKRLIKALLKALGSATYSPANPARSQSSNDNSLPISLSLIFQNVDKFGGDIYFSAVTVMSEIIHKDPTCFPSLKELGLPDAFLSSVSAGVIPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDTFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLSSPREDKSNEPAASSDERTEMETDAEGRDLHFPCDGIGSSDNGELRNLPVICGERRPASTFDTPVAT >ONIVA09G15350.1 pep chromosome:AWHD00000000:9:16214935:16215442:1 gene:ONIVA09G15350 transcript:ONIVA09G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWRPEWRLRWCSGQSGAGVATRRLGDGGGASTDATGGVVAMRKRKGMMAVQRELAAAFDETFSNSGFSFCQNQRGGQRVAGRRRPGLAFRGGGKVQHIVWKLIGGGAPVRWGGGLMLPLPVRWFLS >ONIVA09G15340.1 pep chromosome:AWHD00000000:9:16202270:16212524:1 gene:ONIVA09G15340 transcript:ONIVA09G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILJ9] MRGEGRRVVPNWDKGKGEGAAPAADGDKAIGVEKGRRAGGGGLPMTAPRPRGASPAASASLARRLRRPHPPIRALRRGEIEADAVTGEAEAGAARTPPAPPTTPTPAARAAVGALAPASPLCESIPNDIHNCSCNVCMYEACTNAGDTGGKVGTDTFAVGTAKANLAFVCVVASDIDTMDGEDVVVARHPDGCRRVLLLPGATRRRENNALFLGSTAKLAGGGKTASTPFVNISGNDLSNYYKVQLEGLKADDAMIPLPPSGVLWDNYEVLLSRVSFFASQGSDVAQDDVLGMYKQTADAVMCILLPDSETAAFRTKGGLLYVDEWNSLQHPVASAFLAAVYSDYMQSSRKTELSCSGQGFSPSDLRKFAKSQADYLLGSNPMKISYLVGYGDRYPERVHHRGTSIPEDWLETSKPNPNVTTDALVGGLYKNNSFVDERDNVMHNEATTYNCALVAGLLSALVSTSSLERQHRTAGGGGGSGGGGGGRRRPTRPRRRHRQPASSRALPLLPTGRLVPIGCRHRPFSLPLVPVGQXIDTMDGEDVVVARHPDGCRRVLLLPGATRRRENNALFLGSTAKLAGGGKTASTPFVNISGNDLSNYYKVQLEGLKADDAMIPLPPSGVLWDNYEVLLSRVSFFASQGSDVAQDDVLGMYKQTADAVMCILLPDSETAAFRTKGGLLYVDEWNSLQHPVASAFLAAVYSDYMQSSRKTELSCSGQGFSPSDLRKFAKSQADYLLGSNPMKISYLVGYGDRYPERVHHRGTSIPEDWLETSKPNPNVTTDALVGGLYKNNSFVDERDNVMHNEATTYNCALVAGLLSALVSTSSRRPSRHPPRRQLAEKLLIRRFGVAEMNCETCQLKELELEPREIKDVLRCILHTIFFHGTLSLVRPKDVDCDFFEITYVQCGLPELEKEVDEKINQFVAWVEKHPNRSSQVCLSFFDEKNKLPSWFGNKTERIYWEQWFINLHVISPKRHGKSHSSKALTNIGGQALEESSSRRAALESSIHEVLFQIINFANEKKDHIPPIPDRIFNHEISIPR >ONIVA09G15330.1 pep chromosome:AWHD00000000:9:16201425:16201721:-1 gene:ONIVA09G15330 transcript:ONIVA09G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSHVKLFDNNQCARFAVEQDPDPESLSCENLKCGDDIGIGYIVAIVRVIFVHDRVLVIVGDLEEVKVFRLDKMTFTTATSCHPLVQCLIEWSWMMA >ONIVA09G15320.1 pep chromosome:AWHD00000000:9:16197623:16199937:1 gene:ONIVA09G15320 transcript:ONIVA09G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGGGGDGGGGGDCSKQEETERVMRSVDAEEACALLSSGRHQYLDVRMWEDFDKGHVAGARNVPYYLSVTPRAKEKNPHFVQQVAALYHAHDHIIVGCRSGVRSKLATADLVAAGFKNVRNLEGGYLSLLRAANQQ >ONIVA09G15310.1 pep chromosome:AWHD00000000:9:16164175:16166376:-1 gene:ONIVA09G15310 transcript:ONIVA09G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHTLLLSFLFVSILHVHTTSSTGTENFDASRLDTYIVRVRPPPNFSIDMSNIKLEKWYRSFLPPRMTSSNTRQAFIYTYKTTIFGFAVNITEAEKDYVMKNNGVLKVYKDSLLPLLTTHTPDFLGLRLREGSWKKTGMGEGVIIGVLDTGIDFTHTSFDDDGMQEPPTKWRGSCKSSLMKCNKKLIGGSSFIRGQKSAPPTDDSGHGTHTASTAAGGFVDGASVFGNGNGTAAGMVPRAHLAIYKVCSDKGCRVSDILAGMEAAIADGVDIMSMSLGGPAKPFYNDIIATASFSAMRKGIFVSLAAGNSGPSSSTLSNEAPWVLTVGASTIDRQMEALVKLGDGDLFVGESAYQPHNLDPLELVYPQTSGQNYCFFLKDVAGKIVACEHTTSSDIIGRFVKDAGASGLILLGQEDSGHITFADPNVLPVSYVDFPDATVIRQYINSSNSPTASIIFNGTSLGKTQAPVVAFFSSRGPSTASPGILKPDIIGPGVNVIAAWPFMEGQDANNDKHRTFNCLSGTSMSTPHLSGIAALIKGTHPDWSSAAIKSAIMTTAYVVDNQKKAILDERYNIAGHFAVGAGHVNPSEAIDPGLIYDIDDAQYISYLCGLGYTDVQVEIIANQKDACKGSKITEAELNYPSVAVRASAGKLVVNRTVTNVGEANSSYTVEIDMPREVMTSVSPTKLEFTKMKEKKTFSLSLSWDISKTNHAEGSFKWVSEKHVVRSPIAIF >ONIVA09G15300.1 pep chromosome:AWHD00000000:9:16160680:16160961:1 gene:ONIVA09G15300 transcript:ONIVA09G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGWRSQLHERSRRRSPCHPKLALSPPISAAMHARSSKLPTTKGGIRGVGRPQSSHDTDATAAVAASTKRATCAKLQLEVAAAAEEDSPEDE >ONIVA09G15290.1 pep chromosome:AWHD00000000:9:16142215:16150646:1 gene:ONIVA09G15290 transcript:ONIVA09G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKRAARPRPVAVAPADDDDDDDTGTSSDSDGAAKPQSFGDPIGGRYQRLAVIGSGSFGRVYRAVDNRTGEIVAVKCLFRAFNDPYGIVLESDVTDEVRALEACRGHPHIVQLIDHGRRPRHDGPVVGTGADAYIVMELVGPSLLDTICQRGTDAGARRYPESEVRHLMRQLLSAVGRMHVLGLMHRDLKPSNVLVDGRGVLKLCDLGMAFAMEESIPPYSNPVGSLPYKAPELLLRSSIYDETIDMWALGCIMAQLLGGQLLFRGMSHEDMLIRIIQVLGVDDIAGWRGYDDSMIPKTLRSGRRRHSRVLRIFSFLRVAVGAGVPEPVKRARRRSRLHRFFSILGKADGRAGLPELSEAGFEVLSGLLTCNPEKRMTAAQALQHRWFTVLLANELLCQALSTFRALATASSWEAPRRKPTASAHRQYRYWAPPHPPAAALPPHSAPSPPASAEAPLGDPIGGRYQRMSRIGSGTYGHVYRAVEISTGKVVAVKCLRRKDDDPDGLVLAGEVRALEACRGHPHIVQLIDHGRGAGAATGQEDYIVMELVGPSLDLTIRQRGDDAAARRYAEGDVRLLMRQLISGVRGMHEVGLMHRDLKPDNVLVDGSGNLKICDLGFARTMTKDKEESAPPYSNPIAALAYRPPEVILGSTTYDETVDSWGLGCIMAELLAGERLLIGTTDEELLVRIADVLGMDDISGWSGYEDCMIPKILTKIRRRSSRLRQMFALPGRGGGPGRRPELSKAGYQVLSGLLRCSPEKRMTAAQALQHRWFDV >ONIVA09G15280.1 pep chromosome:AWHD00000000:9:16139021:16140310:-1 gene:ONIVA09G15280 transcript:ONIVA09G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSGYRRLLPCAGAATEEPEEEEQQLPNVSPSSRPTCKARLFELYGMNVVGVESMTGRFELTGLTGVGVFGAVYKAWDNCCGTVVAVKRLSGRGRRGRHGGGGDEPYSLVHTGVRDLAREAMSLYACRGKRGVAHLRPNGAYAEVSGSCDSFLVMDYAGGFNLKDLMKRRRKEPGGGRPFSENEVRRIMRRLLVGVNAIVEAGLLHRDIRPENVVVDDGTEDLKQKPTAAATTGKKKAQSKKRKMKYTICDLGMSVPAVDSPGYSPDGVLTSIYNAPEILLGSRQYDSRVDTWGLGCIMAELLDGPGEPLFDGETNLAIMGSVLRVIGAEGVKSWPGLKRLADEPQALVRGFRDSSRLREKFPGAREARVARRPALSQAGFDVLSGLLEGNPEKRLTAIAALHMPWFEGSGGLRRVIGSCAGTSF >ONIVA09G15270.1 pep chromosome:AWHD00000000:9:16133857:16138327:-1 gene:ONIVA09G15270 transcript:ONIVA09G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNLNTRSTSVLPLPRRVIPSGVAAAAQQAVEANMRHCPDADGEKINKSTTLKDVVGGATEVLPANKVTPGRTPTRWRPPRRRTMRAD >ONIVA09G15260.1 pep chromosome:AWHD00000000:9:16132693:16132992:-1 gene:ONIVA09G15260 transcript:ONIVA09G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVPAGPIRRWRWHQWLTLANPVEVAAAVFPAGGSVGSGVSSGRIRRRLFRRTDPAVLYPFSSAPRPSFSCDGGGGIGDLSGRSGGGGGSGVSGGRI >ONIVA09G15250.1 pep chromosome:AWHD00000000:9:16122362:16122517:1 gene:ONIVA09G15250 transcript:ONIVA09G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNYPDEAVNDVAMPMDADIAEKRPNSVTDAKIEKLARLMQILVFMNSAH >ONIVA09G15240.1 pep chromosome:AWHD00000000:9:16102430:16102853:1 gene:ONIVA09G15240 transcript:ONIVA09G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSATAAESTNRGGENTRQWQRRQADGENDIVINNGGVYQSWRCKKLRKEVHKLSTSHHYEVEELMTILELPGHLEDEHLIDDAEERYDDNILLLDEFLDMQY >ONIVA09G15230.1 pep chromosome:AWHD00000000:9:16100913:16102177:1 gene:ONIVA09G15230 transcript:ONIVA09G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVEGTRARLHGARSTKQKVLGKLTTAEATAADGIGKANAVASLELELDEAEDREATLEAEFMTLWPSVLTLNKHRGVAKNRFEDEVEELMDIPELPGRLEDEHLVSDAEERYDDGVLLLDEFLDMQYRTYICDEIIPPTVETMDQLAATKAAGGKDDDAPQWRGIGEVTSRRQTRRLAVRTMTPINDGRVGSPLKRRNQSVAAKAAGSEDDDTH >ONIVA09G15230.2 pep chromosome:AWHD00000000:9:16100550:16100909:1 gene:ONIVA09G15230 transcript:ONIVA09G15230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRWPSFPRVASRRLDATTSLAYILHLVESLERLNFDDACMHQLDDDASDLFSLQRPVFTRVPDDIGSPTLVAYQREDIRERRALQEKKVRATMAEQSYVDGLVE >ONIVA09G15220.1 pep chromosome:AWHD00000000:9:16096281:16097001:1 gene:ONIVA09G15220 transcript:ONIVA09G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKSSTRRRWRTSGRHLVESLEHLTFDDACMLQLDGGENASDLFNLHRPVITGVPHDVASALNTLEEILSRGSPTLEAYQREDIRETRVLQEEKVRTTMAEVRYIDGLVDEHMDAVEGTRARLHAALSGSVLAVHKHRGVAKSRFEDEVVALMAIPQLPGHSEDQHLVGDAEERYEDSVLLLDEFLDMQY >ONIVA09G15210.1 pep chromosome:AWHD00000000:9:16079419:16086764:1 gene:ONIVA09G15210 transcript:ONIVA09G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAVAVLVAGTVWLCASSAGFMEGPYGGYRVQDVDVNKLWTTAGSNGWRASSAPRSYWPSPPAETNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWRDKSGFPGIYDVPHFLKTLKHDVHIVTSLPGIMSKGKTKKLKAHKIVPPRDAPLSWYTTLALEEMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKISNEIVNKLRSEGHFMSIHLRFEMDMLAFAGCIDIFTPEEQKILIEYREKNFAKKILVYRDRRIIGKCPLTPEEVGLILRAIGFDNSTRIYLASGEIFGGDRFMSPFRAMFPRLDNHSSVGPEKLEENTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDREEGHVTGFEDRVRLVMFNTHFGGPHKRIHPESFYTNSWPECFCHMNPMNPSDKCPSDDPHDVIGGQLQNEEIEDVDLKTTDNIESTTQIEETMI >ONIVA09G15210.2 pep chromosome:AWHD00000000:9:16079419:16086764:1 gene:ONIVA09G15210 transcript:ONIVA09G15210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAVAVLVAGTVWLCASSAGFMEGPYGGYRVQDVDVNKLWTTAGSNGWRASSAPRSYWPSPPAETNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWRDKSLPGIMSKGKTKKLKAHKIVPPRDAPLSWYTTLALEEMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKISNEIVNKLRSEGHFMSIHLRFEMDMLAFAGCIDIFTPEEQKILIEYREKNFAKKILVYRDRRIIGKCPLTPEEVGLILRAIGFDNSTRIYLASGEIFGGDRFMSPFRAMFPRLDNHSSVGPEKLEENTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDREEGHVTGFEDRVRLVMFNTHFGGPHKRIHPESFYTNSWPECFCHMNPMNPSDKCPSDDPHDVIGGQLQNEEIEDVDLKTTDNIESTTQIEETMI >ONIVA09G15200.1 pep chromosome:AWHD00000000:9:16030986:16034034:-1 gene:ONIVA09G15200 transcript:ONIVA09G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVENMEGREERSTDVERDGKQGKEVESDYEPARDSVSSQGEANSNEDTRAKRVSRVPKKLVKKDSKENSPRSGRINSNRQVQTKLQYISSNNLQSKSPKPNKTSDGAKTIEITKPETVTVPSCPSSEVSEEMDDKPIENVVTDDKSIEDVADDKATEGTASYDKATEGKAADDTTVEDNTTDERSIESGTDDRTIAGIAADVKSSEEAKEIDILDEAPNCDQSTATDEEIADTEESIAYDGKSAAYEKSEELESKCERLEQELREVAALEISLYSVVPEHGCSSHKLHTPARRLSRLYVHASKFWSSDKKASVTKNFVSGLVLVAKSCGNDVSRLTFWLSNTVVLREIIAQTFGISRQPSLAMKAFSTNVNAKMLGKNSSPTRRKNNYSGKHARPAIWPLPDDWRETGTLVAALEKIESWIFSRIVESVWWQALTPHMQTLVKDISSPKAGSLLGPALGDQQQGNFSIHLWKTAFQDAFSRICPLRAGGHECGCLPVLAKLVMEHCVARLDVAMFNAVLRESANEVPSDPISDPIVDSRVLPIPAGDFSFESGAQLKNSIGNWSRWLQDKFGMVAAAPEKHGQAGDESDDRSGAADFYSFKLLNELSDLLMLPKDMLLEKSIRKEVCPSIGIPLVTRILCNFTPDEFCPEPVPGMVLEELNAESLLERFTEKDVITTFPCVAAPVVYCPPSPEDVAEKVADAGGNAEPDLRASMVQRRGYTSDDDLDDLGNPLASLYDRSSPPSPCNGASRSTTRQGGSMSNARYELLREVYGRRGLV >ONIVA09G15190.1 pep chromosome:AWHD00000000:9:16029711:16030446:-1 gene:ONIVA09G15190 transcript:ONIVA09G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAVSGGRQSERDGGQSWRSGRALLPMLCSSCMEIPSRLRGGGGRKPARQLWHQEAEAEAAATAMACYREEAACWI >ONIVA09G15180.1 pep chromosome:AWHD00000000:9:16027480:16029687:-1 gene:ONIVA09G15180 transcript:ONIVA09G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGEEARTVSLSFPRLSSAPSLKREKQEARCLRQGCRRGLHQPHPRYLPTPTPPLDPHCCIVLLGEIWKLQWHGYHVRSSLLVDAPCSSVPDVHATGRHELLLPALHRLACIDEEYLRTPGVKKGVAFGSLAARSAVSSGPARPRHPSCRGLGCCDVVLPWSPFGISIYSVHSCRWMHILMETRKEHEKIMPVVVVPLECHPRHVLRQRSSPAANTKGQPRTTTPQLMTGFGGRRCDALWIVLFFWYRVYMCL >ONIVA09G15180.2 pep chromosome:AWHD00000000:9:16027480:16029367:-1 gene:ONIVA09G15180 transcript:ONIVA09G15180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCMVVLLQIWKLQWHGYHVRSSLLVDAPCSSVPDVHATGRHELLLPALHRLACIDEEYLRTPGVKKGVAFGSLAARSAVSSGPARPRHPSCRGLGCCDVVLPWSPFGISIYSVHSCRWMHILMETRKEHEKIMPVVVVPLECHPRHVLRQRSSPAANTKGQPRTTTPQLMTGFGGRRCDALWIVLFFWYRVYMCL >ONIVA09G15170.1 pep chromosome:AWHD00000000:9:16017284:16018651:1 gene:ONIVA09G15170 transcript:ONIVA09G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQHPRMADIDLDAVRAVRVLGRGAMGTVFLVAAAADDAAGGGACYYALKVFDKRSVVASAARQGDAARRARWEVSVLSGLAHPHLPSLLGRAETGDLVAWAVPYCHGGDLNELRHAQPDRVFSPAAIRFYVAELVSALAELHAAGIAYRDLKPENVLLRADGHVTLTDFDLSRLLPPVSPSASTSTSSSSCSATSSPPPQLQGHGRSQLRRIFARSESSVAATTSTSSPGQYTHNLAWFLKRSDGGGGAADHLKKAKSARVSPVSRGKKQASFCSAASASGGAAAACERSFSFVGTEEYVAPEVVRGEGHEFAVDWWALGVLVYEMAYGRTPFRGRSRKETFRNVLLREPEFSADSRRRWPELTDLIARLLDKEPTKRLGFAGGADEVRAHPFFAGVAWDLLGELSRPPYIPPPADDIAACEGFSVVEYFNKLHEPSPEPEEEELTEFLPEF >ONIVA09G15160.1 pep chromosome:AWHD00000000:9:16008173:16008732:1 gene:ONIVA09G15160 transcript:ONIVA09G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKDQEPTELRAPEITLCANSCGFPGNPATQNLCQNCFLAATASTSSPSSLSSPVLDKQPPRPAAPLVEPQAPLPPPVEEMASALATAPAPVAKTSAVNRCSRCRKRVGLTGFRCRCGHLFCGEHRYSDRHGCSYDYKSAARDAIARDNPVVRAAKIVRF >ONIVA09G15150.1 pep chromosome:AWHD00000000:9:16004205:16004675:1 gene:ONIVA09G15150 transcript:ONIVA09G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDMMDDEVASTAAWGRGKTDAAEVASELELEIMCSRFYSSGF >ONIVA09G15140.1 pep chromosome:AWHD00000000:9:16001986:16002543:1 gene:ONIVA09G15140 transcript:ONIVA09G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSYTQEHVYRHPWHRVTAAAWRKFTDADARAAPLSHILEVHTLSRRVDAGSGRIDAVRAIAGRAPPLPLLLRGLVAAADVVLCVEHTTVDGLARAMRVVSRNANLRALVHVEETCSYRPHPERPDEWTLFRQETSIRCAPLAAVAAWAAEMVERRCAERFRQNASQGREVVETICERLALADH >ONIVA09G15130.1 pep chromosome:AWHD00000000:9:16000042:16001471:-1 gene:ONIVA09G15130 transcript:ONIVA09G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMEIPEGVTVQVAAKVVTVEGPRGKLTRNFKHLNLDFQLLEGGRKLQVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGTITEDA >ONIVA09G15120.1 pep chromosome:AWHD00000000:9:15996731:15999504:1 gene:ONIVA09G15120 transcript:ONIVA09G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPALAPTRTVPVLPPRGPSRHRNAAAPPCRPATARIGASPGDGAAAAAAAAVEQGSRSEEKDRKRCLRCGSLYLDEDNSPTDCAFHGHGIDGEWSDKSGVIVYRWNDRGSRPSTGRDNWKGRWSCCQERDEGAPPCRRGRHVSYDDGFTLY >ONIVA09G15110.1 pep chromosome:AWHD00000000:9:15987861:15988732:-1 gene:ONIVA09G15110 transcript:ONIVA09G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAGISSFSEDQHRRSDPIGFQVGSFAKSYLMQELGVPESRLRELAITDYSSSLQSGVVAAIVDELPYVELFLSINCQFRTVGQEFTKSGWGFAFQRDSPLAVDLSMVAEEEKGDVAAEEEEAGERANFAYLLFDRCHPPLLDCSYDVCFGTFSPTLV >ONIVA09G15100.1 pep chromosome:AWHD00000000:9:15982008:15987397:1 gene:ONIVA09G15100 transcript:ONIVA09G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPYYASFLKNHHRRYCFSTPPSPSPSPAGAYSSSSFPFFPTAGVTSTAAATPPTTTAPPSPPLREALPLLSLTPASRGGTTAAQERARQRGEEDCTDDDDGAEEEGADEEDVPSSTPGGGGGGGGDRHHQLRRRRAGRLFADLNTKAAAGDPMDVEGSGSGCCAAEAAAAGDDDADVTVALHIGLPSPTAAADLISGLSSAAGRRSSTARRDEEEDEAEEAGGASRDDGDGGDAADAAAPLGFASTPIGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAAGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGALGGAAALDDDDDGAVSDLDHDSSSTAPAARSL >ONIVA09G15090.1 pep chromosome:AWHD00000000:9:15960894:15964268:1 gene:ONIVA09G15090 transcript:ONIVA09G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRSHWESSSEDVTRPLLPLHDDDGAAGRRSCAALRSLLANKYLAVASGPVACALICGLVDLGGHRAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPVFGISSSDAVAKAYMDDVISLVLGSFILALAIEHYNIHRRLALNITSLFCGDPVKPPLLLLGICGTTMFISMWIHNTPCTVMMMPVATGILQRFPRVDGASSSASSAADAREVQRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSTYFPEQPPITFSSWMSFGLPLALVLFVALWATLCVLYCSKNTGRALSAYLDRSHLRRELSLLGPMAFAEKMVLAVFGGLIVLWMTRSLTDDIPGWGSLFHGEVGDGTVTIMMATLLFIIPSGKNDGEKLMDWGKCRRLQWNIILLLGAGFAIADGFRASGLTDILSEGLGFLRGAPALAIAPVACVFSGAITEFTSDDATATLVLPLLAELGKSIGVHPLLLMVPGAVGAQLSYLLPTGSPGNVVGFSTGYISIKDMVIAGTPLKIVGVAALTILLPTLGKLTDK >ONIVA09G15080.1 pep chromosome:AWHD00000000:9:15952709:15955242:1 gene:ONIVA09G15080 transcript:ONIVA09G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50590) TAIR;Acc:AT1G50590] MTTSMEKPRQVVRKFLARPQHEGVGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSRGLQLWVNLSSHNKMIEPGYQEIQSKDIASTTSDGVTVRVIAGQSMGARSPVRTRTPTMYLDFTVRPHAAARQPVCATWNAFAYVLEGEGVFGGGGGDKAGAHHLLLLGQGDGVEVWNRSDKPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDMTINDFEFSINGFEKAKHWKSQALVALGLE >ONIVA09G15070.1 pep chromosome:AWHD00000000:9:15945640:15946819:1 gene:ONIVA09G15070 transcript:ONIVA09G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRPPRQSIVSPCHGSRTSCCHRKRRNHDAHNEEDESQVPIDSSHADGGGDGEEDDPLFADLQKLPPDVARDVEAVVGAAEGFHADIAWAGGLLERCGAIYITAAAAANHRREPPCLEQQQQPPRHHLRIHQRVGGERERVGKWNDVKRDEE >ONIVA09G15060.1 pep chromosome:AWHD00000000:9:15933384:15939319:-1 gene:ONIVA09G15060 transcript:ONIVA09G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin protein ligase 5 [Source:Projected from Arabidopsis thaliana (AT4G12570) TAIR;Acc:AT4G12570] MSDADAFGCEHRRPSKRRRDGPDHALLPVSREVLMGHPDDPAAAAAAAVGAESSSASSYLGVVAAAASASASAAGGFSCGAHFFVRATDSRTVSMHAAWGDTVGAVLAHLADRGYGRDLRLVYAGRQLAPETALADLRLPPDSTLHLLSRLRSTPYPDAWQLASYIASTAAAAKSDPAHTSSAANINELVKEFILCAHRANMRQRHDRDSPLFDAQPTGDHAAQYLEIFRQAGAPFALVRLYAANPSSASHHHAENAIKCFLTMDPSALPPDVLPVMAPVLLEFCGLLSFSVGKRDELYISSRSMLATVLSLPSGLPPCIKSPSKLIEQVLPFAEEIVGVVMDELASLDMTVSSKNLEDLSNFFKVLRQQALRWVPNGGPLPKNLYNSERGHNDTWVWKLHEMSMNLLNRVDECLKRLEMDLSLSSENRGVNISQSRWVARSHMLVMLTQLDFISMIYEDLVHNLRLVLLAHRDPLNALVRCSKRNEHLHWLVKHKDLLCFESRRNLVLMMLPEGKDEYGELHEMLIDRQHLLDESFEYIIQARPSELRSGLFMEFKNEEATGPGVLREWFCMVCQALFSPQQVLFSPCPSDRQRFFLNGTSAVDPLHLKYFIFSGRIIGLALMHRVQVGITLDRTLFLHLAGRSIKLEDISAADPVMYASCKRILEMDAAVVDGLELTFSRDVHELGSRRTIELCSGGKDLHVNIRNRELYIDLLIKSTFVDSISVQLTHFVRGFSDILVDPELQKVFFEFLDLEDFDGMLGGSNKTINLEDWKLHTQYNGYKEKDRQIIWFWKAVESLSIEQQRQLLFFWTSVKYLPSDGFGGLASKLYIYKVSESADRLPSSHTCFYRLCLPAYPSLKVTRNQLQKITQEHASIFHILSGEVWWEHLHRAMLTSSGSQLRLKPCHKVPAGSRNFLGISQKPVSQQLTSDMDHTLPEHMDSNDVFGI >ONIVA09G15050.1 pep chromosome:AWHD00000000:9:15930742:15936115:1 gene:ONIVA09G15050 transcript:ONIVA09G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVETPTTVLAEEAPVEATPAAAAAEVEAPKEETPAAPAEAVAEEAAPAEAEVAETKEAEPAAAEPAAEEVKEAEPEPAEPEAEPAKEEVAPEPAAAAEAEAKEAEPAAPVAEEVKEEEAAPAPAAEEVKVEEAAPVAEPEAEKASE >ONIVA09G15040.1 pep chromosome:AWHD00000000:9:15923357:15923563:-1 gene:ONIVA09G15040 transcript:ONIVA09G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRHRLVEDEVELKLCIRRCLVELEFHRQARFMEKMESWSSWRRQERWTFELVLVGGRRGREGWGCS >ONIVA09G15030.1 pep chromosome:AWHD00000000:9:15921018:15921413:1 gene:ONIVA09G15030 transcript:ONIVA09G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRPPPAAARPSAPRTPPPLALALIPSPRRQAGAPRLALRLAEPLGDGVPHGDEQPRRGDAERLRVMSSLVAWELAAEDEDDADAARESEVAARLARLLRRSPRRPTPWRPPARGRFAAGCDTAP >ONIVA09G15020.1 pep chromosome:AWHD00000000:9:15919918:15920779:-1 gene:ONIVA09G15020 transcript:ONIVA09G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSSTPAGSRALAAEGVFECKTCNKSFPSLQGLGGHRTSHTRLQAKLLSDPAAAAAERDRARIHECAACAGSSSPWGRRSAATCAGTGARRARRPSCSRPPTSTTRAAPPRTFGPLGQLPLRPCLPAS >ONIVA09G15010.1 pep chromosome:AWHD00000000:9:15918734:15919820:-1 gene:ONIVA09G15010 transcript:ONIVA09G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45880) TAIR;Acc:AT3G45880] MERAVRELWAESRDLLGLHSPDDAAAAAADAAMPRAEMPPTPLAFLRDHVSPGRPLLVSSAATSHWPAASLWPTDSYLTDALRSTAVSLHLTPDGRADALAPHPRPSHPGAKCFASAHVRQVDFPTAVRLIRSSDPASGLVAYAQQQDDCLRGEYAAVAGDVDAHVPWASDALGCLPEAVNLWIGSACSQTSFHKDHYDNIYVVVSGEKHFLLLPPTEHHRLYVRDYPAAHYAAEDETELRLKLELEEPERIVPWSSVDPYPPSPEEAAAQASSFPLYFEGPRPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFLRSLEIDGSSSKKTDALEDDLEETND >ONIVA09G15000.1 pep chromosome:AWHD00000000:9:15917473:15917886:1 gene:ONIVA09G15000 transcript:ONIVA09G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTIRSFVEDEGAFNSSVDGRFAALDTNRDGLLSYSEMAKELMSLRVLEKHFGVDEAAMSSDELVEMYRGLYARFDHDGNGTVDLEEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >ONIVA09G14990.1 pep chromosome:AWHD00000000:9:15914513:15916792:1 gene:ONIVA09G14990 transcript:ONIVA09G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFAFVAAAFPRPYIRAGDHDCGAAHHSTTTTTTPPIGESAGRSFDGGKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGSRGGYTIQEPTLRALALKYREKKKVCRKCYARLPIRSHHCRKKKCGHSKELRLKKKFINSLSIA >ONIVA09G14990.2 pep chromosome:AWHD00000000:9:15914513:15916792:1 gene:ONIVA09G14990 transcript:ONIVA09G14990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFAFVAAAFPRPYIRAGDHDCGAAHHSTTTTTTPPIGESAGRSFDGGKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGSRGGYTIQEPTLRALALKYREKKKVLCTPSHQVSPLPQEEVWPQQGAEVEEEVHQLAFDSVRHWKVFTS >ONIVA09G14980.1 pep chromosome:AWHD00000000:9:15910011:15914085:1 gene:ONIVA09G14980 transcript:ONIVA09G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDEGAFNSSVDGRFAALDANRDGVLSYAEMAGELMSLRVLEKHFGADEDEAAMGADELAALYRGLFARFDRDGSGGVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAGAAA >ONIVA09G14970.1 pep chromosome:AWHD00000000:9:15908894:15909310:1 gene:ONIVA09G14970 transcript:ONIVA09G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDEGAFNSSVDGRFAALDANRDGLLSYTEMAGELMSLRVLEKHFGVDDEAAMGADELVELYRGLFARFDRDGNGAVDLEEFRAEMKEMLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >ONIVA09G14960.1 pep chromosome:AWHD00000000:9:15906575:15906985:-1 gene:ONIVA09G14960 transcript:ONIVA09G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRIPRRAPTEGVRGRWGGHRCQYFFLIVNKNLASISKGENIYGYFINTQVPQLDVLCHLTTNTFVTHYGWNLVQKGIITGMPMLYWPLYVELTINKVLKVDYMGIDVEMEGWLVGLVIPEEVKVKVRLIIESEH >ONIVA09G14950.1 pep chromosome:AWHD00000000:9:15899815:15905049:-1 gene:ONIVA09G14950 transcript:ONIVA09G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILF6] MKKTVVLYPGLAVGHFNPMMVLADVFLDHGYAVAVALINPSVKDDDAAFTAAVARAVSSKSSATVSFHMLPRIPDPPSLAFDDDKFFTNYFDLVRRYDEHLHDFLCSVQGLHAVVVDASCGFAIQAVRKLGVPAYELYPCDAGALAVNIQIPSLLAGFKKLGGGEEGSAPLELLGVPPMSASHVTDLFGRSLSELISKDPEATTVAAGARVMAEFDGILINTFVSLEERALRALADPRCCPDGVVLPPVYAVGPLVDKAGAGAGDETSRRHESLVWLDGQPDRSIVFLCFGSIGGNHAEQQLREIAAGLDKSSHRFLWVVRRAPSTEHLDALLPEGFLARTSGRGLVVNTWVPQPSVLRHRATAAFVTHCGWNSVLEGITAGVPMLCWPMYAEQRINKVLMVDDMGVGSEHGRKLRERVEAHRDGTAMAWKDGGSSRVAFARLMTELDNAQR >ONIVA09G14940.1 pep chromosome:AWHD00000000:9:15884500:15885868:-1 gene:ONIVA09G14940 transcript:ONIVA09G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ILF5] MKKTVVLYPGLAVGHLNPMIELADVFLDHGYAVAVALIDPSVMENEANLAAAVVIDASCAHAHEASRNLGVPVLMFYPSNAGHLAVNLQAPLLVDGFKKHLGGDSTSPVEFLGVRPMSASHLAGLLGPISEVNKDFEAMIFAGARMKAEFDGILINTSVSLEERALRALADPRCCPDGVVIPPVRRGATAAAAAGDESSRHQCLVWLHGQPDRSVVFLCFGSIADACEQSDQQLKEIAAGLDKSGHRFLWVVRATSTQHLDALLPEVFFARTSGRGLVVXXXXXXXDKSSHRFLWVVRRAPSTEHLDALLPEVFFARTSGRGLVVNSWVPQPSILRHRATAAFVTHCGWNSVLEGITAGVPMLCWPLYAEQRMNKVLMLEDMGVGVEMEGWLEGLVTAEEVETKVRLVMESEHGRKVRERVEAHRDGVAMAWKDGGSSRVAFARLMSELLNV >ONIVA09G14930.1 pep chromosome:AWHD00000000:9:15883694:15884107:1 gene:ONIVA09G14930 transcript:ONIVA09G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVLSFVEDEGAFNSSVDGRFAALDTNRDGLLSYAEMANELMSLRVLDKHFGVDEAAMGADELVELYHGLFLRFDRDGSGAVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >ONIVA09G14920.1 pep chromosome:AWHD00000000:9:15873743:15873985:-1 gene:ONIVA09G14920 transcript:ONIVA09G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVEMEGWLEGRVTAGEVEAKVRLVMESEQGRKLRDRVEAHREATAMAWKDGGSSRAAFAQLLSDIDDARGKQSSVSV >ONIVA09G14910.1 pep chromosome:AWHD00000000:9:15873042:15873455:1 gene:ONIVA09G14910 transcript:ONIVA09G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGRTVESFVEDEGAFNSTVDDRFAALDGDRDGRLSYADMAGELMSLRVLETHFGVDGAAATDAELVDLYRGLFARFDRDGDGAVDREEFRAEMKEVMLAVASGLGFLPVQMVVEEGSFLKRAVERELAKAA >ONIVA09G14900.1 pep chromosome:AWHD00000000:9:15866446:15867249:-1 gene:ONIVA09G14900 transcript:ONIVA09G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKAAMFAAAVVAVLLSSPSPALAQKKSPPAAPSPVSLPPSLAPAPAPAPHYVDLAELLSVAGPFHTFLNYLEKTNVIETFQSQANKTKEGVTIFVPKDSAFAAIKQSTFSNLTGDQLKTLLLYHAFPKFYSLAEFKNLSELNPVNTFAGAPYTLNLTDDMGTISVQSMWSRPKISSSVYATRPVAVYALNKVLLPMQIFSKDPPLAPAPAPAPVSGASDLAPGPASGKTGAGGGKADSTSAACGVGAGVVNGLVMALAGSLMLLW >ONIVA09G14890.1 pep chromosome:AWHD00000000:9:15840501:15845132:1 gene:ONIVA09G14890 transcript:ONIVA09G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1664) [Source:Projected from Arabidopsis thaliana (AT1G04960) TAIR;Acc:AT1G04960] MAMQTGFATSKVLILVGAGLTSSIVLRNGRLSDVLAELQELMKGVNQGEGSSAYDIALLQSQIRNLAQEVRDLTISRPITILSGNSDSGGSLSSYILPAAAVGAMGYCYMWWKGLSLSDVMFVTKRNMTKAVESMSKQLDQVSSALAATKRHLTQRLENLDGKMDEQVEVSKIIRNEVNDVKDDLSQIGFDIAAIQQMVAGLDATNAGVWYLCQIAGGLKDGINAKFFQEANEKLKLTELAQSERKAVKGLESVLESRKEQKAIDSKQNTTAIIDAEKPVKTVDGPVKSGAVHRCSRISFRKEGLAL >ONIVA09G14880.1 pep chromosome:AWHD00000000:9:15832878:15837450:-1 gene:ONIVA09G14880 transcript:ONIVA09G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPLPTLPLLLLLLTFLSAASASPHISAVISQSGLDFVKDLLVSRAAEAIVPLEVPDIERSVSIPVIGTVDMVASGIVLHGVAVDDSTVAVGDDGIVVAASLSSVNLTMGWSYSYSAWVVTISDSGNASIQVDGMDVGISMGMKNQNGSLKLFVTECGCNMKSLDISLNGGASWFYQGFVDGFSNHIRSSVENAITKKIMEGASKLDSFLGSLPKKIDVDSIAAMNVTFVSDPLFKSSSVEFDIDGLFIPSDKTAVSRHMHFRGVKYVPPLGSSSKMLWISLDEDVFNSVSALYFKKYPNDDMLLNISATSPPSVRINVGRIDAAVDLDVTVNVLDFDEIVPVACISVSVTVSGAAVVSGNNLAGRVELDYFSFTLKWSKVGKLHTFIVQSVMQILLKKLFVPYVNSYLKRGFPLPIIKGFSISDAYILTSQSRIIVSSDVAFIGGSSLRP >ONIVA09G14870.1 pep chromosome:AWHD00000000:9:15829877:15832688:-1 gene:ONIVA09G14870 transcript:ONIVA09G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G04530) TAIR;Acc:AT2G04530] MAATSLLSLPSLRLTHRLLVPASSSAPASRSQFQTLAAKKAAVATGTGEGGGGGRGAGGRLLSVLDRAMADEEEYRRARAQVQRKGVEVEGYAIEGVSVGGHETCVTVPSLNVAFDIGRGPLFAVSQDYLFITHAHLDHIGGLPMYIATRGLYNLKPPVVFVPPCIKDDVEDLLQIHRRMSQVDLKVELVALDLGETFEIRNDLVARPFETHHAIPSQGYVIYSVRRKLKKQYAHLKGNQIMKMKQSGAEITDTILYPEVAFTGDTKSDFILDPRNADALRAKVLITEATFLDDQIDVDHAREHGHMHLSEIMEHSQWFRNKAIVLTHFSNRYSLEDIRQAVSKLQSKLSSKVVALTEGFKSDYR >ONIVA09G14860.1 pep chromosome:AWHD00000000:9:15828580:15829044:1 gene:ONIVA09G14860 transcript:ONIVA09G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSCCHAKALFNRMKPRCLQEEQQHNKTRTVKAEIRQNTLQDILYSPQSFQGEAIGAPSPRRHSPKVCPINPDCSYENNSPNIRDSFSIDRISIRSQNSMRRVSFRLPDESDIFIIPAREDPESCSTDDESVEHVSEEDIDARKIRYAKTRY >ONIVA09G14850.1 pep chromosome:AWHD00000000:9:15822090:15827304:-1 gene:ONIVA09G14850 transcript:ONIVA09G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLPLICFVLALLLAAGASGGGGAAAGGGNGGGGERRGVYVVYLGAVPPRTSPNILQQTHLRLIGAVLKRGQPVESVVVQQYKYAFSGFAARLSAAEAAALRRKPGVVSVFADPVYHLHTTRSWDFLQQQTTAAVDVKTGGSARRRRRSPRARAAAASASTSSSPTADTIIGLLDSGVWPESPSFDDAGFGPVPARWKGVCMAGDDFNSSSCNRKLIGARYYDVGGEAKRQSARSSGSSPRDEAGHGTHTSSTAAGNAVTGASYYGLAAGTAKGGSASSRVAMYRVCSGEGCAGSAILAGFDDAVADGVDVISVSLGASPYFRPDFSDDPIAIGSFHAVAKGIMVVCSAGNAGPDAATVVNAAPWILTVAASTIDRYFQSDVVLGGNNTAVKGGAINFSNLNKSPKYPLITGESAKSSSVSDTESASHCEPGTLDASKIKGKIVLCHHSRNSDTPKTEKVGELKSAGAVGAVLVDDLEKAVATAYIDFPVTEITSNAAADIHKYISSTSEPVATITPTITVTEYKPAPVVAYFSSRGPSPQTPNILKPDVAAPGVNILASWIPTSTLPAGEEKPSQFNLVSGTSMACPHVAGAAAAVRAWNPAWSPAAIRSALMTTAAQLNNDGAAVTTDSGSPATPYDHGAGQVNPAAALDAGLVYELGEEDYLQFLCDYGYDASQIKLVAASLPGGFSCGAGGNASDSKDLISGLNYPSIAVTGLGKAGGTRTVSRVVTNVGAQQEATYTVAVAAPAGLDVKVVPGKLEFTKSVKKLGFQVSFSGKNAAAAAKGDLFGSITWSDGKHTVRSPFVVTI >ONIVA09G14840.1 pep chromosome:AWHD00000000:9:15814290:15818115:1 gene:ONIVA09G14840 transcript:ONIVA09G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPVILVMAALFLQAATMAATTALTVSLPGCPSSCGSMVIPYPFGVGAGCHLAGFAVTCNRSYHPPKLFLRHADAAEVLEISLLNSTVIVSSAVRYDAAKGEGAWGRGLAGAFRLRERRNRLVVVGCNLQAVLLDGDDIAAACTTICGGAGATWPAAADGELADYSCAGVGCCQASIYLGLTSYGVRLSPFGTSTGPPPPPTSSASSSNQSDSALVFVADNKWFGGNASKLGSAAATMRPGGGGMPVAPAVLDWAIGKSGCPPHGPDDTAYIDECALPEEYPCYGECTNKPGSFSCMCPGGTHGDAMNEGGCEPTTLLVAIGGTIGIGIPSVFVIGMAMTNMIKARRAKKLRAVFFKQNRGLLLQQLVDKVIAERMVFTLEELEKATNRFDEMRKLGSGGHGTVYKGTLPDRRVVAIKKSNITVLLLVYEFISNGTLSDHLHVEGPTSLSWKNRLRIALEAASALAYLHSSASVSIIHRDVKSANILLDGRLTAKVSDFGASRGIPVDQGGVTTVIQGTFGYLDPEYYQTSRLTDKSDVYSFGVILVEMLTRKKPTVFESSDNVSLIALFNLLMVQDNIYEILDPQVISEGMENVKEVAALASACLRLKGEERPTMRQVEIRLERLLGGDILQGLSAELHCLPPQLSNTDTTSKLYNMELERDFLLSSSFPR >ONIVA09G14840.2 pep chromosome:AWHD00000000:9:15814290:15817432:1 gene:ONIVA09G14840 transcript:ONIVA09G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPVILVMAALFLQAATMAATTALTVSLPGCPSSCGSMVIPYPFGVGAGCHLAGFAVTCNRSYHPPKLFLRHADAAEVLEISLLNSTVIVSSAVRYDAAKGEGAWGRGLAGAFRLRERRNRLVVVGCNLQAVLLDGDDIAAACTTICGGAGATWPAAADGELADYSCAGVGCCQASIYLGLTSYGVRLSPFGTSTGPPPPPTSSASSSNQSDSALVFVADNKWFGGNASKLGSAAATMRPGGGGMPVAPAVLDWAIGKSGCPPHGPDDTACSSSNSYCRNSTSTSLGGYSCQMVQNFSKFSLSDIDECALPEEYPCYGECTNKPGSFSCMCPGGTHGDAMNEGGCEPTTLLVAIGGTIGIGIPSVFVIGMAMTNMIKARRAKKLRAVFFKQNRGLLLQQLVDKVIAERMVFTLEELEKATNRFDEMRKLGSGGHGTVYKGTLPDRRVVAIKKSNITVRKEIDDFINEVVILSQINHRNVVRLFGCCLETQVLLLVYEFISNGTLSDHLHVEGPTSLSWKNRLRIALEAASALAYLHSSASVSIIHRDVKSANILLDGRLTAKVSDFGASRGIPVDQGGVTTVIQGTFGYLDPEYYQTSRLTDKSDVYSFGVILVEMLTRKKPTVFESSDNVSLIALFNLLMVQDNIYEILDPQVISEGMENVKEVAALASACLRLKGEERPTMRQVEIRLERLLGGDILQGLSAELHCLPPQLSNTDTTSKLYNMELERDFLLSSSFPR >ONIVA09G14830.1 pep chromosome:AWHD00000000:9:15807387:15812682:-1 gene:ONIVA09G14830 transcript:ONIVA09G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTGSGRGERTRDDRVGGEEEEERPIDQARGGVGAAAGEMVAAAAAAVAAAPAPPHDAVAAVAVGMVGAAGTVDEQKAAGVGILLQISMLVVSFVLGHVLRRRKVYYIPEASASLLIGMIVGGLAKISNTQRSISLAPKPFFSNFGAIITFAILGTFIASIVTGLLVYVGGLIYIVYKLPLVECMMFGALVSATDPVTVLSIFQELGTDVNLYALVFGESVLNDASLILSNLFKYAALGVEKYMLAEGFGLSGIVSILFTGIVMKRYTFYNLSEDSQRFTARFFHLLSSLAEAFVAANVFSCAYILNLARPVHCQIPRQYQLALWYSGLRGAMAFALALQSIHDLPEGHGETIFTATTSIVVLTVLLIGGSTGTMLESLQHNFDGNNAAYPGQRYGEETSTSSNFTMKLKELQRRYSSSHLILKLYLLIALL >ONIVA09G14830.2 pep chromosome:AWHD00000000:9:15807387:15812682:-1 gene:ONIVA09G14830 transcript:ONIVA09G14830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTGSGRGERTRDDRVGGEEEEERPIDQARGGVGAAAGEMVAAAAAAVAAAPAPPHDAVAAVAVGMVGAAGTVDEQKAAGVGILLQISMLVVSFVLGHVLRRRKVYYIPEASASLLIGMIVGGLAKISNTQRSISLAPKPFFSNFGAIITFAILGTFIASIVTGLLVYVGGLIYIVYKLPLVECMMFGALVSATDPVTVLSIFQELGTDVNLYALVFGESVLNDAVMKRYTFYNLSEDSQRFTARFFHLLSSLAEAFVAANVFSCAYILNLARPVHCQIPRQYQLALWYSGLRGAMAFALALQSIHDLPEGHGETIFTATTSIVVLTVLLIGGSTGTMLESLQHNFDGNNAAYPGQRYGEETSTSSNFTMKLKELQRRYSSSHLILKLYLLIALL >ONIVA09G14820.1 pep chromosome:AWHD00000000:9:15805697:15806108:1 gene:ONIVA09G14820 transcript:ONIVA09G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTSRAVMGGLHRHGALTKHVMPAQDGKIDTVATLNDLSLLDMQMGSSAASPLSTGKLRGKRGERKRDGEEREEVRSQLTCEATSAKTDIYIILELDLNGFAKIKSEEYSIAI >ONIVA09G14810.1 pep chromosome:AWHD00000000:9:15801423:15804655:-1 gene:ONIVA09G14810 transcript:ONIVA09G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDLDEEKEEKEKKKKKIKEVSHEWNVMNKQKPIWLRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSEIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRQEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENSIMDELRKRADADKNDKSVKDLVMLLFETALLTSGFSLEDPNTFGTRIHRMLKLGLSIDEDESAEADADMPPLEDDAGESKMEEVD >ONIVA09G14800.1 pep chromosome:AWHD00000000:9:15798284:15800724:-1 gene:ONIVA09G14800 transcript:ONIVA09G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTSSASAAARGSAGAGDTLRNSCHDFARSLARLPASIMDGLSRSMARRSRRPRDTQPHPPLPPPVLPEELFFAEFERRYGGRHPFFYGCRLAEALGIARREGRLVFVYLHDAGGGGNPYADQFCTGTLCSDVVVEFLDANFVSWGAVAGRGEGAAMVAALRPGSFPFCAVVSPVSDESIVEGPVSPSELVDILQRTIDEQRASSRQSWPDEQLAAAVRASRADEEERMRSVALRLRQEQDAAYLESLRKDQEKERSRKSVQEGSAKPKASNGLRPRYPGQSARELNKAAQARAPAQNQNGTVASHRAEANTKIMIRFPNGERRQQAFHHTDTIREIYRYVDSLGIPGIGNYQLVRSYPRKTYGRQQLEMSLQDAGFYPSVTLYIEQLQ >ONIVA09G14800.2 pep chromosome:AWHD00000000:9:15798284:15800724:-1 gene:ONIVA09G14800 transcript:ONIVA09G14800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTSSASAAARGSAGAGDTLRNSCHDFARSLARLPASIMDGLSRSMARRSRRPRDTQPHPPLPPPVLPEELFFAEFERRYGGRHPFFYGCRLAEALGIARREGRLVFVYLHDAGGGGNPYADQFCTGTLCSDVVVEFLDANFVSWGAVAGRGEGAAMVAALRPGSFPFCAVVSPVSDESIVEGPVSPSELVDILQRTIDEQRASSRQSWPDEQLAAAVRASRADEEERMRSVALRLRQEQDAAYLESLRKDQVDTCMFIMLRWCSSSSVHALLIRVSSLTPLMQEKERSRKSVQEGSAKPKASNGLRPRYPGQSARELNKAAQARAPAQNQNGTVASHRAEANTKIMIRFPNGERRQQAFHHTDTIREIYRYVDSLGIPGIGNYQLVRSYPRKTYGRQQLEMSLQDAGFYPSVTLYIEQLQ >ONIVA09G14790.1 pep chromosome:AWHD00000000:9:15796570:15797206:-1 gene:ONIVA09G14790 transcript:ONIVA09G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVHARLAAAASSASPPLRSAASCTRLRALSTVTGTRLSIRHQQRRRWRRPRRAAAIAASLDLNEDNLGQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRATVLARIGNYLKNRIPVSMRWSIK >ONIVA09G14780.1 pep chromosome:AWHD00000000:9:15783692:15787152:-1 gene:ONIVA09G14780 transcript:ONIVA09G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWMPPPTPSPRTLMSSFLNEDFVSGSFSNIFSDHESNKPQDQFERSRELVDLSKEVPSQSARPAFQRDASLDHSLVSPTQRSNSHGGLAERRAARAGFSVPKIDTSRGGSSTVIRSPVAIPPGLSPTTLLESPVFLYNAMAQPSPTTGTLPFLMASNAKSTIPSATKMDEDCTFGNDTFSFQPHVGSRRPNFSASEKGPNACHQNQSLSNIHQRESSLQSSFTAVKDITDEKNIKTKTSDSMFGDNHSSDEQDDETNQNGENSMPPLNHRSGVPLSHTNDPEVNVLENRGSQTCHNSASLWDNAKNDCLQDVQSEVIETRTAACLPVSTNCDTSIMESQDAVDVSSTLSNEEDDRATHGTASIECNGDGDETDSKRRKLDALTAATAAITTTSNIDMGAAASRGVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHQGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHGSSGSGNAPSAPQSNGSQRRQEQGQASFSQFGGAAPFSSFVLPPRNQFGPSASNFPFGMVPPGMAIPMPSLGSLAPAKMAGHPSTMQGYQGLMIPEGEMKTEPMSQLGFPAVNQSSSSFQQMMNRPPSFGPQM >ONIVA09G14770.1 pep chromosome:AWHD00000000:9:15782298:15782876:1 gene:ONIVA09G14770 transcript:ONIVA09G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFVCAGEESFKMEVGFFDTVHDIKQKLQSRRGWPAAAVSLFHNGDALADAGGGEAAGGGAERYGIVEGSVIHVELGVGVAGRQQQLQQNEHKGRSKRRDDGGGAAAVRVNVVSRCGRGRAEVAVGARRAVAALRRELEERAFPLPRDGAYFFIHRQSVMDESRSFEWHGVAAGDEVVVFEGSVTRPPTY >ONIVA09G14760.1 pep chromosome:AWHD00000000:9:15778195:15781182:1 gene:ONIVA09G14760 transcript:ONIVA09G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEKVSPFLDVPKDIPIATKSLTIKTTNTNGGRGGGDWSNPISPAISFSPYLNSPSPPSSAFVSALQSPYVSPRLADPPPPQQPQPQTPRQRQHRETKASDVAAAAASTPTSCTDVSHSEDTDAPSASRGGGGGAPPRGSFTFPVPRVSFTRGMVASPMSTTKLRSCDVYIGFHGGAGAGAGAALTRFCKWLKSELELQGIASFMADRARYSDAQSHEVADRIICSVTFGVVVVTMASFLNPFSLEEIRFFAQKRNLVPILFDTEVLDIAGLFDDDKFEGNKEGVEAFEGLMRCHEFKLETDESNWRGCVSRTAAVLQSKLGRRCIGEKESHGVECLPFPRNKHFVGREKELSEIEGMFFGRADDAGEVFGCPRGAMTTGESSVGASDGFADEDSDTVRTSNGRFISLDLRKCKQPMLEAFVDPVIGKFSGKGRSILRQRSKNKKSRFRCSSKSHGNAGVICINGVSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMYLGLDISAEAEKERGRIRSFEEQEHDAFQRVKRELFRDVPYLLIIDNIDNERDWWEGKDLHDFIPRNTGASHVIVTTRLPVVMNIEPMQLLQLSFPEAVILMKRKMKEDYPSEEIEVLRKFDERLGGLSFGLWIVSSLLSELMIAPSTLFEAVDQISLSDTMLALGANDESLWQNNLFLIKVLVFCFALMDRVKGGSLALRMITAGSWLAPAPMSSTLLATMASKLPTKANSIQLWGESLKTALLCGTHCFLAPQAKKAEVESSLLLVKLGLARRTTHHPGFWIQFHPIMQLFGKIRGGLAPATAAVSGVIRSRNISVYSDHMWASAFLVFGFKSEPPVVQLKPGDMVLFIKKMALPLAIQAFMTFSRCGSALELLKVCTNILEDAEKSLASRIQDLKQGPLCWKKKLQTNSHADEFIWQEVTLLKATLLETRAKLLMRGGLFDSGEELCRTCISIRTVMLGHDHSQTLAAQETLAKLVRYRSKI >ONIVA09G14750.1 pep chromosome:AWHD00000000:9:15767639:15768792:-1 gene:ONIVA09G14750 transcript:ONIVA09G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAGEGKESAAVAGGGGGGSLHSKTLLKSEPLYQYVLESTVFPREPDCLRELRLATANHPMAVMAASPDQVQLFGLLIELIGAKNAIEVGVFTGYSLLATALALPDDGKIVAIDVSRESYDEVGAPVIDKAGVAHKVDFRVGLAMPVLDELVAEEGNKGRFDFAFVDADKVNFLGYHERLLQLVRVGGLIAYDNTLWGGSVAAPPAAADEAVPSGRDRSLAALAREFNAAIAADRRVKPCQLAIADGVMLCRRVA >ONIVA09G14750.2 pep chromosome:AWHD00000000:9:15767639:15768792:-1 gene:ONIVA09G14750 transcript:ONIVA09G14750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAGEGKESAAVAGGGGGGSLHSKTLLKSEPLYQYVLESTVFPREPDCLRELRLATANHPMAVMAASPDQVQLFGLLIELIGAKNAIEVGVFTGYSLLATALALPDDGKVRIATTIVAIDVSRESYDEVGAPVIDKAGVAHKVDFRVGLAMPVLDELVAEEGNKGRFDFAFVDADKVNFLGYHERLLQLVRVGGLIAYDNTLWGGSVAAPPAAADEAVPSGRDRSLAALAREFNAAIAADRRVKPCQLAIADGVMLCRRVA >ONIVA09G14740.1 pep chromosome:AWHD00000000:9:15763295:15766158:-1 gene:ONIVA09G14740 transcript:ONIVA09G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGNSVNSAVESVAESPAPASPASNPTAPAAVTKGRGLRRWRRIPREHHEEGSPGSGGGGGGGSVAAAAADEDLAQLHKRRHPLGADAPKGKEEAAAAAAAVEEVGSESPVASVESSFAPQEAPPSPPVQTKLDPDLGFLIASAGFSVGAGGADSDNSDDRASKSSTNAAAPRHDFSFGGGFGRERDRPRSRAPGAAAHAKGIRTARTRGAHGARAATPTPSIVEPENSRSSVESNLRSSAAAHARQSSAGINSNGVHKVLYDDDDDDDDDAEQSDGEPLSEEAARSGAGGFYRENGSVVGRLVKGSSDSDADDHGYDEKSIGKGENGEIHSGLDPYVQSIAMLRSAEEAIENEIQKFIEMRNETCENSANNHSETEWSSSCHFDESTEELSEKLKLLESRLNEASTLINDKDSEILELDVLNHKQPKQHVLCNTELLSLQSDMDQLFLEKMEAETQCFILTRASQAWNPLTEDQAAIFDIQKSLPEDHKQLEAKLRHTENRALMLEEMVEKLEAQCKDLARTSEILKLQARASRASLFCSVQFVLLFIAVGTFLVRLWPSSSEFVPT >ONIVA09G14730.1 pep chromosome:AWHD00000000:9:15756729:15763291:1 gene:ONIVA09G14730 transcript:ONIVA09G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHGEELEISPCDPNSEDDRRRRGMGSSLRRKAIRALRKRGGRRRRRRVDFRYPAAMSIEDVRDAEEELAVAAFRDRLAVHALLPDKHDDYHMMLRFLKARKFDSEKAMQMWAEMLRWRKEFGADTILEEFEFDELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVHGVGLKNFSKTARELVHRMQKIDSDYYPETLHQMYVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGTNYQSRLLEVIDKSELPEFLGGSCTCSEGGCLGSNKGPWNDHVILKGMMSDISNAESESDVDEFSLSAVLRSTDYSFLTPVSEEVKGSDSSTFCSCESCDRKGLPDVTPESSQSVQQSSEMVPNQLVSHEHSSTTRWMNNLGNMAISFHGTLTGRTLSNFVRVVGTLMIKILAVFSLFVSRRGNMLENVHPSNVEDEPQPRSAPEDNMSACLQRLEKLESLCNHLMSKPPDMPKEKECLLLQSFDRIKTIESDLERTKRVLHMTLVKQMEMMETLEAMQHHHQSSSVRRRLCCS >ONIVA09G14720.1 pep chromosome:AWHD00000000:9:15749175:15751280:1 gene:ONIVA09G14720 transcript:ONIVA09G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRAHLYKYGLLALLLYLHTRTSCKVLKANGLHLLLPAPPMASLVAIAIAMALMVVQPGRQMTAFAARTSPAAAAEAFWRAAMPGAPMPDAIVELLHHEHGVASAGGKANGGGDGPPPPMNFNYDDYRALPRSDAPSPDALNRVAAVQNADENGVSSPTVFFLEDAVRVGESLPLPRPAADSTAAGAAAATALPPLRLYTVRSVRAIEGSSFVVCRGETTAGAGVYGCRDAATGPARAYAVDAAGGGGGDAVIAAVVCHADTSRWDPDHAAFRLLGVRPGGAAVCRAVADAHILPTNKD >ONIVA09G14710.1 pep chromosome:AWHD00000000:9:15746641:15746886:-1 gene:ONIVA09G14710 transcript:ONIVA09G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSRMVSPCWTTCVSAQTTAAVEMSLAAARTAYGLCVAGLLPNRPAPASPPPPRRLSPAVGPSPLPSRPGDLTTRRLAW >ONIVA09G14700.1 pep chromosome:AWHD00000000:9:15745657:15747794:1 gene:ONIVA09G14700 transcript:ONIVA09G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSFCYYFVGHKEGQPAGRKVAGARRERRRPDGWRQATRRRRRRGSRPVGEEAGDTEAAVRAAARDISTAAVVWALTHVVQHGDTILLLAVMLLPHRAQLWQEVLGVSALCRGLCERPQERFNIPAQAQAQQKHDGSGGRRRGGGRHRAAAPGLILDGGGPARVSGRREGGEEADGGREGGGQAGGEDGMMRRRRERRKSEPEPPPPQRRLPSPPQHRPPPLAALPLSPASRGAVVSPSPASAIAGRRHPNIGRPPIHLAPVLGGETTARRSHRLTFSRVGRPAAGRPPSLSPRARGFGLTKGVT >ONIVA09G14700.2 pep chromosome:AWHD00000000:9:15745657:15747794:1 gene:ONIVA09G14700 transcript:ONIVA09G14700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSFCYYFVGHKEGKKSWEFPLFAGGCASGHRSVLIQNSDVAYLCNQMMLKLRDFYDPSKFNIPAQAQAQQKHDGSGGRRRGGGRHRAAAPGLILDGGGPARVSGRREGGEEADGGREGGGQAGGEDGMMRRRRERRKSEPEPPPPQRRLPSPPQHRPPPLAALPLSPASRGAVVSPSPASAIAGRRHPNIGRPPIHLAPVLGGETTARRSHRLTFSRVGRPAAGRPPSLSPRARGFGLTKGVT >ONIVA09G14690.1 pep chromosome:AWHD00000000:9:15744117:15744722:1 gene:ONIVA09G14690 transcript:ONIVA09G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKSSTPPPVMGAPVGFPPGAYPPPPPAGAAAAAYAQQLYAPPAAAAAQQAAAAQQQLQMFWAEQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDDAKDADAAAAAAAAAAAAGIPRPAAGVPATDPLAYYYVPQQ >ONIVA09G14680.1 pep chromosome:AWHD00000000:9:15740776:15741063:1 gene:ONIVA09G14680 transcript:ONIVA09G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAEMGAPWPVEFMGAAEGGFGGEAVYCAVILWLSVVAWIIFTSVGDGDEGGGRGSRRRRRRSSPVFVGAAGICDGTGPGCSGGFGPCGTCVD >ONIVA09G14670.1 pep chromosome:AWHD00000000:9:15738232:15738549:1 gene:ONIVA09G14670 transcript:ONIVA09G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCMVVVCSLTASFAVFLCGHSSGVHDGDLLRKKKPMKPPPPSSKKKVSTSMSGTVVDTTGMYTAAYGVAVVGGHGGHGGGGGGGCCGGGGGGGCGGGGGGGGC >ONIVA09G14660.1 pep chromosome:AWHD00000000:9:15733203:15738095:1 gene:ONIVA09G14660 transcript:ONIVA09G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARGRRCRGVVLLLLLASVLAPLVLYGGSPVSVSTLPDSTVASGVLDRDGEYDLVVAASDVSLTKDLTIERLGEHKNRVLSATEDWQVVEAASKNPAFEKPDASVSRKDPGSGDANVVITEGNGAAQSGRDGVIWEVVSRDRGADGFTQPWEINGGEERDGERVDRVKLGVSVEEQNDGTGETGVNNIAGTHTSGNLNSSLEKERSTGRLSEQVTKAIPKESYTPTTNSNSALPTSVSAGHSTTSPDATIRTIKDQLTRATTYLSLVASRGNHGFARELRARMRDIQRVLGDATSGGQLPQNVLSKIRAMEQTLGKGKRILDSCSGALNRLRATLHSTEERLQSHKKETNYLAQVAAKSLPKGLHCLPLRLTNEYYYTNSNNKKFPHIEKLEDPKLYHYALFSDNVLAAAVVVNSTIIHAKKPADHVFHIVTDRLNYAAMKMWFLANPLGEAAIQVQNIEEFTWLNSTYSPVMKQLESQSMIDYYFKSGQARRDENPKFRNPKYLSMLNHLRFYLPEIFPKLSKVLFLDDDTVVQQDLSAIWSIDLKGKVNGAVETCGETFHRFDKYLNFSNPLIASNFDPRACGWAYGMNVFDLSEWRRQKITDVYHNWQRLNENRILWKLGTLPAGLVTFWNRTFPLDHSWHQLGLGYNPNINEKDIRRASVIHYNGNLKPWLEIGLSRYRKYWSKYVDFDQVFLRDCNINP >ONIVA09G14650.1 pep chromosome:AWHD00000000:9:15724448:15726985:1 gene:ONIVA09G14650 transcript:ONIVA09G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSAPSMPRLPTRPALLILLLELSNPQLKEVQFFPKPAVLLATLPVLLLAELMVVLYKYTTTAKSDNIYTRGQSKDEEKQHKINNGQII >ONIVA09G14640.1 pep chromosome:AWHD00000000:9:15718309:15720542:1 gene:ONIVA09G14640 transcript:ONIVA09G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTVAAEVHRLLCPTSTNSNDAKCMDRRRRGQPERKAAAATTKKRGRDEEVAAAAPPPPCGAVDFPFEEGDEEAAAADVVGDQAPGVFRFPWQSCRGGLGVVAAGGGGGGGWEMRDVFFRSLVDGGAAAIGVPGDRLVSPPPPAELRRALFDDVGAWLAAAGDGEVDPVWRSALLIEGEPPAPATV >ONIVA09G14630.1 pep chromosome:AWHD00000000:9:15716430:15716636:-1 gene:ONIVA09G14630 transcript:ONIVA09G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCPSKACCICTLIVLVLVAVGIVFGFGVYTRGFHKLTSSIHDASSTAAGSLRAHQFFAPPPPPPPY >ONIVA09G14620.1 pep chromosome:AWHD00000000:9:15706406:15715288:1 gene:ONIVA09G14620 transcript:ONIVA09G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSLKNHPPAPLLALALLIGSLVLLQRPAYAEKKSFVVYLGGHSHGRGGAALASNQERAKNSHHEFLGSFLGSKEKARDAIFYSYTKYINGFAATLEEEEAMEISKHPSVISVFPNRGHRLHTTRSWEFLGMEKDGRIRANSIWAKARFGEGVIIGNLDTGVWPEAGSFSDDGMGPAPARWRGICQDQASDDAQVPCNRKLIGARYFNKGYLSTVGQAANPASTRDTDGHGTHTLSTAAGRFVPGANLFGYGNGTAKGGAPGAHVAAYKVCWRPVNGSECFDADIIAAFDAAIHDGVDVLSVSLGGAPAGYLRDGVAIGSFHAVRRGVTVVCSAGNSGPGAGTVSNTAPWLVTVGASTMDREFPAYLVLGNNKKIKGQSLSPVRLAGGKNYPLISSEQARAANATASQARLCMEGSLERGKVEGRIVVCMRGKNARVEKGEAVRRAGGAGLVLANDEATGNEMIADAHVLPATHVTYSDGVALLAYLNSTRSPSGFITVPDTALDTKPAPFMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGQAGPTGLAFDSRRVLFNAESGTSMSCPHVAGVAGLLKALHPDWSPAAIKSAIMTTARVKDNMRRPMSNSSFLRATPFSYGAGHVQPGRAADPGLVYDMNDTDYLGFLCALGYNSSVIATFMASGSGAQPPYACPPARRPEDLNYPSFALPHLSPSGAARTVTRRVRNVGAAPAAYVASVAEPRGVSVAVRPSRLEFTAAGEELEFAVTFRAKKGSFLAGEYVFGRLVWSDAAAGGRHRVRSPLVVRVVDKKGKNGLPIS >ONIVA09G14610.1 pep chromosome:AWHD00000000:9:15703848:15705245:1 gene:ONIVA09G14610 transcript:ONIVA09G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGETPMPSLELHKLPTLAGAAIPNPIARHPLYHPSPSFFISPTDVVLRDILFDASPASAAGERRRRHVAAYHRAGPRREVAFDPATVRAAIFTCGGLCPGTNTVVRELVVGLSELYGVRGGVFGVRNGYRGFYSDEVVPLDPAAVEHWHKAGGAALGTSRGGFDLARIVDAIERHGFNQVYAVGGDGTMRGAARIHREVRRRGRLAVAVAGIPKTVDNDVGVVDRSFGFHTAVEAAQQAIAAGHVEAESAANGVGLVKLMGRSAGHIALHATLSSRDVDCCLIPEEDFYLRGAGGLFDFLYRRIKDNGHAVVVVAEGAGQRLIPRTTTTLASGAGAGADESGNETFLDVGAWLKAEMRAWWEEEHAGEVFTVKYIDPTYMIRAVPANAGDNLYCTLLAHAAIHGAMAGYTGFVSGTINGNYAYIPMDEVAEAKNPVDTKDHKWAWVRSITNQPDFIRAGPTS >ONIVA09G14600.1 pep chromosome:AWHD00000000:9:15688284:15698133:-1 gene:ONIVA09G14600 transcript:ONIVA09G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVLFVIKKIGIAVAGDTLKLAIPLFAKKTELKKVELVTALPVNMRQIKKELEIINAFLKELGMNGYKGEVVETWIRQVRRLAHDMEDVVDEFMYVVGKNKHKKSWACVKKIIKKPKPLFSLDEIATKADMINTELVELSKRLDRWTRPLSSGIYVPPTNYNSEQQLYLPGYDYSINDNELVGIDKNRQTLIESLRLEDCSLRIIAVWGMGGLGKSTLVNDIYKNEAIVSNFNCHAWLCISQSSKMHDIWQNMLKELCGEDNRGVDAENMNNRELRLELAKILRQKRYLIILDDVWLAADLLKIREVLVDNGLGSRVIITTRIEEVASIAEDGCKIRLEPLNNHDAWLLFCRKAFPKTENHMCPPELHQCGMDIVNKCGEDYIIQRKRLIRLWIAEGFIEQKGTCSLEDVAEGYLTELVRRSMIQVVARNSFNRIQCLRMHDILRELAIFQSKKESFSTVYDDTHGVVQVGSDSRRVSVLQCNSEIRSTVDPSRLRTFLAFDTSMALSSASYFIFSESKYLAVLELSGLPIETIPYSVGELFNLRYLCLNDTNVKEFPKSITKLLNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESLEPFEGLWNLKELQSLCEVRATRDFVSKLGNLSQLRSLCITYVRSSHCAQLCNSLSKMQHLTRLHIRAMNEDEVLLLDDLMLPNPLEKLDLLGQLSKGTLESPFFTTHGNELLQLELSRWVSAEPANLPGESSTNPQWRMLGGSGWI >ONIVA09G14600.2 pep chromosome:AWHD00000000:9:15680874:15698133:-1 gene:ONIVA09G14600 transcript:ONIVA09G14600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVLFVIKKIGIAVAGDTLKLAIPLFAKKTELKKVELVTALPVNMRQIKKELEIINAFLKELGMNGYKETWVRQVRRLAHDMEDVVDEFMYVIGKNKERESRAYVKKIIKKPKPLFSLDEIATKADRINRQLMELSKRLGRWTQPILSGGSIPATKYDTEQQQLYLPGHDYSITDAELVGIDKNRQTLIESLCLEDCSLRIIAVWGMGGLGKSTLVNNVYKNEATVSNFNYRAWLSISQSCRVLDIWRNMLKELCGKESREFDAENMSSTELKVELTKILDQKRYLIILDDVWLATDFLKIREVLVDNGLGSRVIITTRIEEVASIAENGCKISLEPLDNHDAWLLFCRKAFPKIEDHICPPELEQCGMDIIDKCDGLPLALVAIGSLLSFKSKNNKDWRLFYNQLISEVHNNENLNWVEKILNLSYKHLPNHLKYCFLYCAMFPEDYLIHRKRLIRLWISEGFIEQKGACSLEDVAEGYLAELVQRSMLQVVACNSFDRVQCLRMHDIVRELAIFQSKKESFCTIYDDTHGVAQVGLDSRRVSVLRCNNDIRSSIDPSRLHTFIAFDTTMALSSWSSFIFSESKYLNVLDLSGLPIETIPYSVGELFNLRFLCLNDTNVKEFPKSVTKLSNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESMEPFEGLWDLKELQYLNEVRATKAFVSNLGNLSQLRSLCITYVRSSHCVQLCNSLSKMQHLTRLNIRARNEDELLLLDDFTLSNPLEKLELVGQLSEGTLESPFFSIHGYKLLQIELSWCKLTVNPVARLAEFSDLTELRLTRVYTGPWLYFPANWFPKLKKAVLWDLQQVKQIFIQEGALANLHYLHIDSLMELRDIPVGIEFLSSVKEAYFTRMHSDFVRNLQTGKISHIPKVHWSTQGVSTDLTGLANLPGASNMTNTNPEWRILGGSGWENSVP >ONIVA09G14600.3 pep chromosome:AWHD00000000:9:15684450:15688192:-1 gene:ONIVA09G14600 transcript:ONIVA09G14600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIIVLFVIKKIGIAVAGETLKLAKPLLANKTELKKVELVTALPVNMKLIKDELEVINAFLKELGMNGCKGEVVETWVRQVRRLAHDMEDVVDEFMYVIGKNKERESRAYVKKIIKKPKPLFSLDEIATKADRINRQLMELSKRLGRWTQPILSGGSIPATKYDTEQQQLYLPGHDYSITDAELVGIDKNRQTLIESLCLEDCSLRIIAVWGMGGLGKSTLVNNVYKNEATVSNFNYRAWLSISQSCRVLDIWRNMLKELCGKESREFDAENMSSTELKVELTKILDQKRYLIILDDVWLATDFLKIREVLVDNGLGSRVIITTRIEEVASIAENGCKISLEPLDNHDAWLLFCRKAFPKIEDHICPPELEQCGMDIIDKCDGLPLALVAIGSLLSFKSKNNKDWRLFYNQLISEVHNNENLNWVEKILNLSYKHLPNHLKYCFLYCAMFPEDYLIHRKRLIRLWISEGFIEQKGACSLEDVAEGYLAELVQRSMLQVVACNSFDRVQCLRMHDIVRELAIFQSKKESFCTIYDDTHGVAQVGLDSRRVSVLRCNNDIRSSIDPSRLHTFIAFDTTMALSSWSSFIFSESKYLNVLDLSGLPIETIPYSVGELFNLRFLCLNDTNVKEFPKSVTKLSNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESMEPFEGLWDLKELQYLNEVRATKAFVSNLGNLSQLRSLCITYVRSSHCVQLCNSLSKMQHLTRLNIRARNEDELLLLDDFTLSNPLEKLELVGQLSEGTLESPFFSIHGYKLLQIELSWCKLTVNPVARLAEFSDLTELRLTRVYTGPWLYFPANWFPKLKKAVLWDLQQVKQIFIQEGALANLHYLHIDSLMELRDIPVGIEFLSSVKEAYFTRMHSDFVRNLQTGKISHIPKVHWSTQGVSTDLTGLANLPGASNMTNTNPEWRILGGSGWERNLGIFHSSLIYP >ONIVA09G14600.4 pep chromosome:AWHD00000000:9:15680874:15688192:-1 gene:ONIVA09G14600 transcript:ONIVA09G14600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIIVLFVIKKIGIAVAGETLKLAKPLLANKTELKKVELVTALPVNMKLIKDELEVINAFLKELGMNGCKGEVVETWVRQVRRLAHDMEDVVDEFMYVIGKNKERESRAYVKKIIKKPKPLFSLDEIATKADRINRQLMELSKRLGRWTQPILSGGSIPATKYDTEQQQLYLPGHDYSITDAELVGIDKNRQTLIESLCLEDCSLRIIAVWGMGGLGKSTLVNNVYKNEATVSNFNYRAWLSISQSCRVLDIWRNMLKELCGKESREFDAENMSSTELKVELTKILDQKRYLIILDDVWLATDFLKIREVLVDNGLGSRVIITTRIEEVASIAENGCKISLEPLDNHDAWLLFCRKAFPKIEDHICPPELEQCGMDIIDKCDGLPLALVAIGSLLSFKSKNNKDWRLFYNQLISEVHNNENLNWVEKILNLSYKHLPNHLKYCFLYCAMFPEDYLIHRKRLIRLWISEGFIEQKGACSLEDVAEGYLAELVQRSMLQVVACNSFDRVQCLRMHDIVRELAIFQSKKESFCTIYDDTHGVAQVGLDSRRVSVLRCNNDIRSSIDPSRLHTFIAFDTTMALSSWSSFIFSESKYLNVLDLSGLPIETIPYSVGELFNLRFLCLNDTNVKEFPKSVTKLSNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESMEPFEGLWDLKELQYLNEVRATKAFVSNLGNLSQLRSLCITYVRSSHCVQLCNSLSKMQHLTRLNIRARNEDELLLLDDFTLSNPLEKLELVGQLSEGTLESPFFSIHGYKLLQIELSWCKLTVNPVARLAEFSDLTELRLTRVYTGPWLYFPANWFPKLKKAVLWDLQQVKQIFIQEGALANLHYLHIDSLMELRDIPVGIEFLSSVKEAYFTRMHSDFVRNLQTGKISHIPKVHWSTQGVSTDLTGLANLPGASNMTNTNPEWRILGGSGWENSVP >ONIVA09G14600.5 pep chromosome:AWHD00000000:9:15684948:15688192:-1 gene:ONIVA09G14600 transcript:ONIVA09G14600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIIVLFVIKKIGIAVAGETLKLAKPLLANKTELKKVELVTALPVNMKLIKDELEVINAFLKELGMNGCKGEVVETWVRQVRRLAHDMEDVVDEFMYVIGKNKERESRAYVKKIIKKPKPLFSLDEIATKADRINRQLMELSKRLGRWTQPILSGGSIPATKYDTEQQQLYLPGHDYSITDAELVGIDKNRQTLIESLCLEDCSLRIIAVWGMGGLGKSTLVNNVYKNEATVSNFNYRAWLSISQSCRVLDIWRNMLKELCGKESREFDAENMSSTELKVELTKILDQKRYLIILDDVWLATDFLKIREVLVDNGLGSRVIITTRIEEVASIAENGCKISLEPLDNHDAWLLFCRKAFPKIEDHICPPELEQCGMDIIDKCDGLPLALVAIGSLLSFKSKNNKDWRLFYNQLISEVHNNENLNWVEKILNLSYKHLPNHLKYCFLYCAMFPEDYLIHRKRLIRLWISEGFIEQKGACSLEDVAEGYLAELVQRSMLQVVACNSFDRVQCLRMHDIVRELAIFQSKKESFCTIYDDTHGVAQVGLDSRRVSVLRCNNDIRSSIDPSRLHTFIAFDTTMALSSWSSFIFSESKYLNVLDLSGLPIETIPYSVGELFNLRFLCLNDTNVKEFPKSVTKLSNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESMEPFEGLWDLKELQYLNEVRATKAFVSNLGNLSQLRSLCITYVRSSHCVQLCNSLSKMQHLTRLNIRARNEDELLLLDDFTLSNPLEKLELVGQLSEGTLESPFFSIHGYKLLQIELSWCKLTVNPVARLAEFSDLTELRLTRVYTGPWLYFPANWFPKLKKAVLWDLQQVKQIFIQEGALANLHYLHIDSLMELRDIPVGIEFLSSVKEAYFTRMHSDFVRNLQTGKISHIPKVHWSTQGVSTDLTGLANLPGASNMTNTNPEWRILGGSGWVFI >ONIVA09G14590.1 pep chromosome:AWHD00000000:9:15672069:15684095:1 gene:ONIVA09G14590 transcript:ONIVA09G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPEVGPAAAAAVAGGKKEVRALDGASAISEEEEVEVEVEEEEEAEEEREDEEEGEEDGGDEEEEEEEGVKWLKHYSSMQSILVVGDGDFSFSRALAVAFCSGENLVSTSLDSYEALRGKYANAESNIMVLKLMGATTLHGVDAKTMKHHTDLKMRRFDRIVFNLPHAGFKAKEGDMRMINLHKDLVRGFFRNARCLLRPSGEIHVSHKRGKVYENWEIEKLASESSLIMVEKVDFHIEDYPGYNHKRGDGPRCDEPFPLGPCCTFKFSIRNHKKQKKCHSKKIGSIPSLGGSHVHPEILASDWSPSQPFRPVNAVNMPVTFDPYSLRIAQSHQPGFPVNFVGLWTAAACSLQHCNIHPMLNIVRPSLHLLPIASIIAPQMGRITSTSLFAPQEQPKPVLRPLQSVSSYDLAREHQMNLRREFEMRGQTMPAGTSLDYFEFLEYLFRDPAEKEKWLQTMITLHATRCCKPNLWAGSTDTAHSNSTPLRRSCHTHARMAAVALLSPAAAAAVVTEGEEKPPLEEVEVVVRAIVADGDGREANAAAPGANGCGEEEVPAHAAEGGTVAAMVDENAAAPAAEGDTVAAAKGEAPAAEGDMVVAAKGAAHAAAEGDTVAAPAVAGENEAAHAPAAGGNTVAAAADVKGEALQAIPVADEAAAVAEGVNAIAAAEREEDDEGVKWLKHYSSLQSILTVGDGDFSFSLALATAFGSGDNLVATSLDTIEDLRGKYSKAESNIMELKRMGATVLHGIDAKRMKDHTSLKLRRFDRIIFNFPHAGFKGKEDDLHMINLHRELVWGFFQKARHLLRPYGEIHVSHKIGLPYDRWCIEHLAYESSLTMIAKVDFRKEDYPGYNQKRGDSAKCDQPFELGACCTFMFMRDLTRLKRARRNRIDASSLGIQAQHDMPFHPRPLVPAYPQPHFPSQVNAAHRQVPPEHYPLGIAHGQEPGFLDNFGGIERYPYQRGAIGTVIGMPGTPSPMRGITRSSFPAPQEQPWRQERYIMDPEVRDDHYHFAREYPRNLQEEYEMERQVMPGGTRLRYVDFLENRYEESVRRQEHLRRLIAEYGGYD >ONIVA09G14580.1 pep chromosome:AWHD00000000:9:15666783:15670592:1 gene:ONIVA09G14580 transcript:ONIVA09G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPVAAAAAAARHALLLASVAVAVALLLVSPCHGVSEQGQALLRWKASLRPSGGALDSWRASDATPCRWLGVSCDARTGDVVGVTVTSVDLQGPLPAASLLPLARSLRTLVLSGTNLTGEIPPELGEYGELSTLDVSKNQLTGAIPPELCRLSKLESLSLNSNSLRGAIPDDIGNLTALAYLTLYDNELSGAIPASIGNLKRLQVLRAGGNQGLKGPLPPEIGGCANLTMLGLAETGMSGSLPDTIGQLSRIQTIAIYTTLLSGRIPASIGNCTELTSLYLYQNSLSGPIPPQLGRLAKLQTLLLWQNQLVGAIPPELGRCRQLTLIDLSLNSLTGSIPATLGDLPNLQQLQLSTNQLTGAIPPELSNCTSLTDVEVDNNQLTGAIAVDFPRLRNLTLFYAWRNRLTGGVPASLAECPSLQAVDLSYNNLTGVIPKQLFALQNLTKLLLISNELSGPIPPEIGGCGNLYRLRLSGNRLSGTIPAEISGLKSLNFLDISDNHLVGAVPSAISGCSSLEFLDLHSNALSGSLPETLPRSLQLIDVSDNQLAGALSSSIGLMPELTKLYLGKNRLAGGIPPEIGSCQKLQLLDLGDNAFSGGIPPEIGTLPSLEISLNLSCNRLSGEIPSQFAGLEKLGSLDLSHNELSGGLDSLAALQNLVTLNISYNAFSGELPDTPFFQRLPLSDLAGNRHLIVGDGSDESSRRGAISSLKVAMSILAAVSAALLVAATYLLARMRRGGGAGGGGRVVHGEGAWEVTLYQKLDISMDDVLRGLTSANVIGTGSSGVVYKVDTPNGYTFAVKKMWSTDETTTAAFRSEIAALGSIRHRNIVRLLGWAANGGARLLFYGYLPNGNLSGLLHGGGAAAGKGGAPASDSEWGARYDVALGVAHAVAYLHHDCVPAILHGDIKAMNVLLGAAYEPYLADFGLARVLSKLDSAMPAPPRIAGSYGYMAPEYASMQRITEKSDVYSFGVVMLEMLTGRHPLDPTLPGGAHLVQWVRDHLQAKRDAAELLDARLRGAAGAGAGADADVHEMRQAMSVAALCVARRADDRPAMKDVVALLKEIRRPAPSAAGDDAKPPPPPQPTPPPSLPTTVTATPASPVSSCSFAAVTDYSV >ONIVA09G14570.1 pep chromosome:AWHD00000000:9:15655735:15659574:1 gene:ONIVA09G14570 transcript:ONIVA09G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGRSGRSQEQTMASKKALAMQPSKKICMTLLPQDIVELILLRLPVSTLLRCRGVCKQWDGIIRDPQFAMAHIQRAPRRPLFFFQRENLVHLLYPSEAILFDEAWSPPKWVVPVIEPDDFLCASCNGLICLYSDKSTIKIANLATGECMHLVKPVRNSKTDHFSYYSFGFHPVTKQYKVMHFLRDEHLHVGTSFSIIQVYTLGDEKWRDVRTPQALSLRCVERSGVVNVDGAMYWLTEDEESVWKHAVVTFDLSEELFQWLQLPAVDPANYVLRDPDQWLITEVDSNVSVSYYETGKLHIWTIDSKIEQSWSQKYNIRLSMLEVPGPHWICGDKIILHDFNKNLYFYELMGKNSEIESSKLVKQLRFSPRNNMQCFMFVKSLVRLDAFRKAGVVRRPKRREGWKLKKWEVWMDRLHRLENHCRSIHDMKHKIYENADKMGMEIKLDLQQTPDLDSSLRLINWLEYRRVLEILCVNLDNMHEVLTVMNNTTGAAHNKESHVADQGTSSSAVGISGS >ONIVA09G14570.2 pep chromosome:AWHD00000000:9:15655641:15659574:1 gene:ONIVA09G14570 transcript:ONIVA09G14570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGRSQEQTMASKKALAMQPSKKICMTLLPQDIVELILLRLPVSTLLRCRGVCKQWDGIIRDPQFAMAHIQRAPRRPLFFFQRENLVHLLYPSEAILFDEAWSPPKWVVPVIEPDDFLCASCNGLICLYSDKSTIKIANLATGECMHLVKPVRNSKTDHFSYYSFGFHPVTKQYKVMHFLRDEHLHVGTSFSIIQVYTLGDEKWRDVRTPQALSLRCVERSGVVNVDGAMYWLTEDEESVWKHAVVTFDLSEELFQWLQLPAVDPANYVLRDPDQWLITEVDSNVSVSYYETGKLHIWTIDSKIEQSWSQKYNIRLSMLEVPGPHWICGDKIILHDFNKNLYFYELMGKNSEIESSKLVKQLRFSPRNNMQCFMFVKSLVRLDAFRKAGVVRRPKRREGWKLKKWEVWMDRLHRLENHCRSIHDMKHKIYENADKMGMEIKLDLQQTPDLDSSLRLINWLEYRRVLEILCVNLDNMHEVLTVMNNTTGAAHNKESHVADQGTSSSAVGISGS >ONIVA09G14560.1 pep chromosome:AWHD00000000:9:15651714:15654603:1 gene:ONIVA09G14560 transcript:ONIVA09G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATRCALLFFSRESVSSEHPSDSVLIDEAFSQSTLAVPVIGPDDFLCGSSNIGLVCLYTQTTTIKIINLATGGCLHLEKPAKNLAGDYSSLYSFGFHPVTKKYKVTHFLGDSRKAHPRAKDSFYAIQVHTLGDEKWKDVGSPEALSLNCVKNSGVVNVDGIMYWLTEDQGASWQHAVISFDLSRESFGRIQLPTVVLEDFAFYGPHQYWIKEIDGKVCIATSQTTQNQPRELIGEIQIWTLHIHLEKRWIQKYMIQSSPQCILGPNIFHGDKILSQQYGSILYSCELLGKNLEVKMSNADRLLDFTPRKPGNMQSYTFVKSLVRLDAYKKASIVRRPKRQEGWELKKWEAWESNRCVLEDTWKDVTRKNFHTVTNWLLLRQPDDEALKCISMRIDQMLRCLSDCPNQVGCVDSHIWFFYLVASTFNTSAWWWPCEMMVTI >ONIVA09G14560.2 pep chromosome:AWHD00000000:9:15651714:15654603:1 gene:ONIVA09G14560 transcript:ONIVA09G14560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATRCALLFFSRESVSSEHPSDSVLIDEAFSQSTLAVPVIGPDDFLCGSSNIGLVCLYTQTTTIKIINLATGGCLHLEKPAKNLAGDYSSLYSFGFHPVTKKYKVTHFLGDSRKAHPRAKDSFYAIQVHTLGDEKWKDVGSPEALSLNCVKNSGVVNVDGIMYWLTEDQGASWQHAVISFDLSRESFGRIQLPTVVLEDFAFYGPHQYWIKEIDGKVCIATSQTTQNQPRELIGEIQIWTLHIHLEKRWIQKYMIQSSPQCILGPNIFHGDKILSQQYGSILYSCELLGKNLEVKMSNADRLLDFTPRKPGNMQSYTFVKSLVRLDAYKKASIVRRPKRQEGWELKKWEAWESNRCVLEDTWKDVTRKNFHTVTNWLLLRQPDDEALKVCGFPHLVLLLSGFDLQHFCLVVAMRDDGDDLKITEIHMAFWFYVAGILATIRSIV >ONIVA09G14550.1 pep chromosome:AWHD00000000:9:15641430:15641927:-1 gene:ONIVA09G14550 transcript:ONIVA09G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPAPKDSLVLYVLYNAVVSVAALAGVVRAALVFLGLPTPPSLLLLLGGEEGGEDAAVAVSVSAAAAAVGPSLADTFRARFRPARFGRRRCGGGATADCRVCLVRFEAEAVVNRLPCGHIFHRACLETWLDYDHATCPLCRSRLLVDSSSPPAAAPALART >ONIVA09G14540.1 pep chromosome:AWHD00000000:9:15627983:15631884:1 gene:ONIVA09G14540 transcript:ONIVA09G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPLQSMPGQAAKPKGTGEQGGDAEVVSEERTPSDQQQGSPASTLDKELSGLSSESGILDDSLVAEEEDSGELKEIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKSKPEAANQASLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPASNQKGSDNYLEFEFF >ONIVA09G14540.2 pep chromosome:AWHD00000000:9:15628873:15631884:1 gene:ONIVA09G14540 transcript:ONIVA09G14540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPLQSMPGQAAKPKGTGEQGGDAEVVSEERTPSDQQQGSPASTLDKELSGLSSESGILDDSLVAEEEDSGELKEIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKSKPEAANQASLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPASNQKGSDNYLEFEFF >ONIVA09G14540.3 pep chromosome:AWHD00000000:9:15627983:15632182:1 gene:ONIVA09G14540 transcript:ONIVA09G14540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPLQSMPGQAAKPKGTGEQGGDAEVVSEERTPSDQQQGSPASTLDKELSGLSSESGILDDSLVAEEEDSGELKEIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKSKPEAANQASLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPASNQKGSDNYLEFEFF >ONIVA09G14540.4 pep chromosome:AWHD00000000:9:15628873:15632182:1 gene:ONIVA09G14540 transcript:ONIVA09G14540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPLQSMPGQAAKPKGTGEQGGDAEVVSEERTPSDQQQGSPASTLDKELSGLSSESGILDDSLVAEEEDSGELKEIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKSKPEAANQASLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPASNQKGSDNYLEFEFF >ONIVA09G14530.1 pep chromosome:AWHD00000000:9:15619737:15621406:-1 gene:ONIVA09G14530 transcript:ONIVA09G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVHGPKSIGSRLTSGLTHFPFSRARVLSESPAAAAAAAAMLRSALRRGGAAVRHAASSSSAAAAAADGSPGSLLRRKVAERERARRRPRDPSRDEFFVATPESLAWLDSASLPMVLTAAAIALFTKLLMMEHDATDQERGERKIKNSHPDQGKVRMLTREEWDEIQEVRPRTPFESKLARPHARIRTGEPVRLEDVKDWATDMIMDAFTRAEESAKKK >ONIVA09G14520.1 pep chromosome:AWHD00000000:9:15584659:15603895:-1 gene:ONIVA09G14520 transcript:ONIVA09G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTERRRLFATEMVGGRAAATVAAGILLVLYYRATRVPAAGEGRAAWLGMAAAELWFAVYWVIAQSVRWRPFRRRTFRDRLAERYEQNLPGVDIFVCTADPQSEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASIFAKKWLPFCKRYNIEPRSPAAYFSESKVHHNLCIPKEWALIKNLYEEMRERIDTATMSGKIPEEMKLKHKGFDEWNSDFTLKNHQPIVQILIDGKNRNAIDDDRNVLPTLVYVAREKRPQYHHNFKAGALNALIRVSSVISDSPVILNVDCDMYSNNSDSIRDALCFFLDEEMGQKIGFVQYPQIFNNMTQNDIYGNSFNVEMCGLDSVGGCLYIGTGCFHRREILCGRIFSKDYKENWNRGIKERGKENINEIEEKATSLVTCTYEHRTQWGNDIGVKYGFPAEDIITGLAIHCRGWESAFINPKRAAFLGLAPSTLAQNILQHKRWSEGNLTIFLSKYCSFLFGHGKIKLQLQMGYCICGLWAANSLPTLYYVTLYGLYEALLSGDTLKGWWNGQRMWMVKSITSYLYGFIDTIRKCVGMSKMSFEVTAKVSGHDEAKRYEQEILEFGSSSPEYVIIATVALLNFVCLVGGLSQIMAGVWNMPWNVFLPQAILCGMIVFINMPIYEAMFLRKDNGRIPTAVTLASIGFTTTAAVGEGEYGRQGGVQIPFQAATVAAGKLLVLYYRATHMPAGGEGRAAWVGMEAAEVMYTVGRPVVCPVRRRTFKDRLAERLPTFKQLFTTISLNTFNMSFGACMKKKLDIFVCTADPHSEPPSLVISTVVSVMAYNYPSKKLRVYLSDDGGSILTFYALWEASVYNIEPRPPAAFFVESEGHHNLCTPKEWSFIKILIDGKSQNVVDDDGNVLPSLVYMAREKRPQYHHNFKSWAMNALICNNPIILNVDCDMYSNNSDSIRGTLCFFLDEEMGHKIGFVQYPQNYNNMTKNNIYGNSLLVLNEADIYEIEEKAKSLATCTCEHRTQWGNEIEIKYGCTIIFTELAINCRGWESVYINPQRAALLGVGPATLAQTILQRKRWGHPSIPRDYESMGYTLRICIILYEALLSGDTLKGCWNGQRMWMVRRITSYLYDLIDTIRKLLGLSKMTFAVTAKVSNRDEAKRYKQEIIELGSSYPEYVIIVIVALLNLVCLVEVILCGMIVITSIPIYEAMFLRKDKGRIPSSVTLASLGFVMLAFLIKY >ONIVA09G14520.2 pep chromosome:AWHD00000000:9:15584659:15603895:-1 gene:ONIVA09G14520 transcript:ONIVA09G14520.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTERRRLFATEMVGGRAVYRLQAATVAAGILLVLYYRATRVPAAGEGRAAWLGMAAAELWFAVYWVITQSVRWCPVRRRTFKNRLAERYKENLPGVDVFVCTADPHAEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASMFAKKWLPFCRRYNIEPRSSAAYFSESEGHHNLCSPKEWSFIKNLYEEMRERIDSAVMSGKIPEEIKLKHKGFDEWNSEMTSKNHQPIVQVLIDGKSQNAVDDDGNVLPTLVYMAREKSPQYHHNFKAGALNALIRVSALISDSPVILNVDCDMYSNNSDSIRDALCFFLDEEMSHKIGFVQYPQNYNNMTKNNIYGNSLNVINHVEMRGLDSAGGCLYIGTGCFHRREILCGKKFSKDYKEDWGRGIKERGHENIDEIEEKAKSLATCTYELRTQWGNEIGVKYGCPVEDVITGLAIHCRGWESVYMEPQRAAFVGVAPATLAQTILQHKRWSEGNFTIFLSKHNTFLFGHGKISLQLQMGYCIYGLWAANSLPTIYYVMIPALGLVKGTPLFPETLYGLYEALLSGDTLKGWWNGQRMWMVKSITSYLYGFIDTIRKCVGMSKMSFEVTAKVSGHDEAKRYEQEILEFGSSSPEYVIIATVALLNFVCLVGGLSQIMAGVWNMPWNVFLPQAILCGMIVFINMPIYEAMFLRKDNGRIPTAVTLASIGFTTTAAVGEGEYGRQGGVQIPFQAATVAAGKLLVLYYRATHMPAGGEGRAAWVGMEAAEVMYTVGRPVVCPVRRRTFKDRLAERLPTFKQLFTTISLNTFNMSFGACMKKKLDIFVCTADPHSEPPSLVISTVVSVMAYNYPSKKLRVYLSDDGGSILTFYALWEASVYNIEPRPPAAFFVESEGHHNLCTPKEWSFIKILIDGKSQNVVDDDGNVLPSLVYMAREKRPQYHHNFKSWAMNALICNNPIILNVDCDMYSNNSDSIRGTLCFFLDEEMGHKIGFVQYPQNYNNMTKNNIYGNSLLVLNEADIYEIEEKAKSLATCTCEHRTQWGNEIEIKYGCTIIFTELAINCRGWESVYINPQRAALLGVGPATLAQTILQRKRWGHPSIPRDYESMGYTLRICIILYEALLSGDTLKGCWNGQRMWMVRRITSYLYDLIDTIRKLLGLSKMTFAVTAKVSNRDEAKRYKQEIIELGSSYPEYVIIVIVALLNLVCLVEVILCGMIVITSIPIYEAMFLRKDKGRIPSSVTLASLGFVMLAFLIKY >ONIVA09G14520.3 pep chromosome:AWHD00000000:9:15590714:15603895:-1 gene:ONIVA09G14520 transcript:ONIVA09G14520.3 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTERRRLFATEMVGGRAVYRLQAATVAAGILLVLYYRATRVPAAGEGRAAWLGMAAAELWFAVYWVITQSVRWCPVRRRTFKNRLAERYKENLPGVDVFVCTADPHAEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASMFAKKWLPFCRRYNIEPRSSAAYFSESEGHHNLCSPKEWSFIKNLYEEMRERIDSAVMSGKIPEEIKLKHKGFDEWNSEMTSKNHQPIVQVLIDGKSQNAVDDDGNVLPTLVYMAREKSPQYHHNFKAGALNALIRVSALISDSPVILNVDCDMYSNNSDSIRDALCFFLDEEMSHKIGFVQYPQNYNNMTKNNIYGNSLNVINHVEMRGLDSAGGCLYIGTGCFHRREILCGKKFSKDYKEDWGRGIKERGHENIDEIEEKAKSLATCTYELRTQWGNEIGVKYGCPVEDVITGLAIHCRGWESVYMEPQRAAFVGVAPATLAQTILQHKRWSEGNFTIFLSKHNTFLFGHGKISLQLQMGYCIYGLWAANSLPTIYYVMIPALGLVKGTPLFPEIMSPWATPFIYVFCVKTLYSLYEALLSGDTLKGWWNGQRMWMVKRITSYLYGFIDTIRKLLGLSKMSFEITAKVSDGDEAKRYEQEILEFGSSSPEYVIIATVALLNFVCLVAGLSKIMAEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASIFAKKWLPFCKRYNIEPRSPAAYFSESKVHHNLCIPKEWALIKNLYEEMRERIDTATMSGKIPEEMKLKHKGFDEWNSDFTLKNHQPIVQILIDGKNRNAIDDDRNVLPTLVYVAREKRPQYHHNFKAGALNALIRVSSVISDSPVILNVDCDMYSNNSDSIRDALCFFLDEEMGQKIGFVQYPQIFNNMTQNDIYGNSFNVSYHVEMCGLDSVGGCLYIGTGCFHRREILCGRIFSKDYKENWNRGIKERGKENINEIEEKATSLVTCTYEHRTQWGNDIGVKYGFPAEDIITGLAIHCRGWESAFINPKRAAFLGLAPSTLAQNILQHKRWSEGNLTIFLSKYCSFLFGHGKIKLQLQMGYCICGLWAANSLPTLYYVTLYGLYEALLSGDTLKGWWNGQRMWMVKSITSYLYGFIDTIRKCVGMSKMSFEVTAKVSGHDEAKRYEQEILEFGSSSPEYVIIATVALLNFVCLVGGLSQIMAGVWNMPWNVFLPQAILCGMIVFINMPIYEAMFLRKDNGRIPTAVTLASIGFVMLAFLTTTAAVGEGEYGRQGGVQIPFQAATVAAGKLLVLYYRATHMPAGGEGRAAWVGMEAAEVMYTVGRPVVCPVRRRTFKDRLAERLVTFVRYNCLSSNWILVHA >ONIVA09G14520.4 pep chromosome:AWHD00000000:9:15590714:15596765:-1 gene:ONIVA09G14520 transcript:ONIVA09G14520.4 gene_biotype:protein_coding transcript_biotype:protein_coding METTAAATAAERRRPLFTTEELGGRAVYRVQAATVAAGILLVLYYRATRVPAAGEGRAAWLGMAAAELWFAVYWVIAQSVRWRPFRRRTFRDRLAERYEQNLPGVDIFVCTADPQSEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASIFAKKWLPFCKRYNIEPRSPAAYFSESKVHHNLCIPKEWALIKNLYEEMRERIDTATMSGKIPEEMKLKHKGFDEWNSDFTLKNHQPIVQILIDGKNRNAIDDDRNVLPTLVYVAREKRPQYHHNFKAGALNALIRVSSVISDSPVILNVDCDMYSNNSDSIRDALCFFLDEEMGQKIGFVQYPQIFNNMTQNDIYGNSFNVSYHVEMCGLDSVGGCLYIGTGCFHRREILCGRIFSKDYKENWNRGIKERGKENINEIEEKATSLVTCTYEHRTQWGNDIGVKYGFPAEDIITGLAIHCRGWESAFINPKRAAFLGLAPSTLAQNILQHKRWSEGNLTIFLSKYCSFLFGHGKIKLQLQMGYCICGLWAANSLPTLYYVTLYGLYEALLSGDTLKGWWNGQRMWMVKSITSYLYGFIDTIRKCVGMSKMSFEVTAKAILCGMIVFINMPIYEAMFLRKDNGRIPTAVTLASIGFTTTAAVGEGEYGRQGGVQIPFQAATVAAGKLLVLYYRATHMPAGGEGRAAWVGMEAAEVMYTVGRPVVCPVRRRTFKDRLAERLVTFVRYNCLSSNWILVHA >ONIVA09G14520.5 pep chromosome:AWHD00000000:9:15590714:15596765:-1 gene:ONIVA09G14520 transcript:ONIVA09G14520.5 gene_biotype:protein_coding transcript_biotype:protein_coding METTAAATAAERRRPLFTTEELGGRAVYRVQAATVAAGILLVLYYRATRVPAAGEGRAAWLGMAAAELWFAVYWVIAQSVRWRPFRRRTFRDRLAERYEQNLPGVDIFVCTADPQSEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASIFAKKWLPFCKRYNIEPRSPAAYFSESKVHHNLCIPKEWALIKNLYEEMRERIDTATMSGKIPEEMKLKHKGFDEWNSDFTLKNHQPIVQILIDGKNRNAIDDDRNVLPTLVYVAREKRPQYHHNFKAGALNALIRVSSVISDSPVILNVDCDMYSNNSDSIRDALCFFLDEEMGQKIGFVQYPQIFNNMTQNDIYGNSFNVSYHVEMCGLDSVGGCLYIGTGCFHRREILCGRIFSKDYKENWNRGIKERGKENINEIEEKATSLVTCTYEHRTQWGNDIGVKYGFPAEDIITGLAIHCRGWESAFINPKRAAFLGLAPSTLAQNILQHKRWSEGNLTIFLSKYCSFLFGHGKIKLQLQMGYCICGLWAANSLPTLYYVTLYGLYEALLSGDTLKGWWNGQRMWMVKSITSYLYGFIDTIRKCVGMSKMSFEVTAKVSGHDEAKRYEQEILEFGSSSPEYVIIATVALLNFVCLVGGLSQIMAGVWNMPWNVFLPQAILCGMIVFINMPIYEAMFLRKDNGRIPTAVTLASIGFVMLAFLTTTAAVGEGEYGRQGGVQIPFQAATVAAGKLLVLYYRATHMPAGGEGRAAWVGMEAAEVMYTVGRPVVCPVRRRTFKDRLAERLVTFVRYNCLSSNWILVHA >ONIVA09G14510.1 pep chromosome:AWHD00000000:9:15576588:15598744:1 gene:ONIVA09G14510 transcript:ONIVA09G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein / antitermination NusB domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G13180) TAIR;Acc:AT3G13180] MEGGALALPHFRSRVGIGSPILLSADPGAARRSSGASGRSRVSRVNPGAYPRSAGRGRTWKRDSPEAPPRRSGITRVPNHSPEMPTERAGRTKVSNVNLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKGNSSGENEMSYVERTLGFSIRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLLLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKEEALKLMKWNNSDPHFSIRVNTANGYTRADLIDRLESLQVHYEKSTMDEFVRIQEGMQNYLNLFHVQTVLQAGLLKEGMCAVQDESAGKFPCLSDSGTSHLSKHLILMLRHEESIVGLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDIHADLRLYAKETTATFDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMCLQDELLDSASMLVKPGGILVYSTCSIDPEENEHRIAAFVQRHPDFVLQSVHGYVPAEFVTDEGFYSSSPTKHSIDGAFAARLVRSVL >ONIVA09G14510.2 pep chromosome:AWHD00000000:9:15576588:15587947:1 gene:ONIVA09G14510 transcript:ONIVA09G14510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein / antitermination NusB domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G13180) TAIR;Acc:AT3G13180] MEGGALALPHFRSRVGIGSPILLSADPGAARRSSGASGRSRVSRVNPGAYPRSAGRGRTWKRDSPEAPPRRSGITRVPNHSPEMPTERAGRTKVSNVNLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKGNSSGENEMSYVERTLGFSIRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLLLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKEEALKLMKWNNSDPHFSIRVNTANGYTRADLIDRLESLQVHYEKSTMDEFVRIQEGMQNYLNLFHVQTVLQAGLLKEGMCAVQDESAGKFPCLSDSGTSHLSKHLILMLRHEESIVGLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDIHADLRLYAKETTATFDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMCLQDELLDSASMLVKPGGILVYSTCSIDPEENEHRIAAFVQRHPDFVLQSVHGYVPAEFVTDEGFYSSSPTKHSIDGAFAARLVRSVL >ONIVA09G14510.3 pep chromosome:AWHD00000000:9:15576588:15585099:1 gene:ONIVA09G14510 transcript:ONIVA09G14510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein / antitermination NusB domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G13180) TAIR;Acc:AT3G13180] MEGGALALPHFRSRVGIGSPILLSADPGAARRSSGASGRSRVSRVNPGAYPRSAGRGRTWKRDSPEAPPRRSGITRVPNHSPEMPTERAGRTKVSNVNLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKGNSSGENEMSYVERTLGFSIRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLLLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKEEALKLMKWNNSDPHFSIRVNTANGYTRADLIDRLESLQVHYEKSTMDEFVRIQEGMQNYLNLFHVQTVLQAGLLKEGMCAVQDESAGKFPCLSDSGTSHLSKHLILMLRHEESIVGLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDIHADLRLYAKETTATFDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMCLQDELLDSASMLVKPGGILVYSTCSIDPEENEHRIAAFVQRHPDFVLQSVHGYVPAEFVTDEGFYSSSPTKHSIDGAFAARLVRSVL >ONIVA09G14510.4 pep chromosome:AWHD00000000:9:15576588:15584546:1 gene:ONIVA09G14510 transcript:ONIVA09G14510.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein / antitermination NusB domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G13180) TAIR;Acc:AT3G13180] MEGGALALPHFRSRVGIGSPILLSADPGAARRSSGASGRSRVSRVNPGAYPRSAGRGRTWKRDSPEAPPRRSGITRVPNHSPEMPTERAGRTKVSNVNLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKGNSSGENEMSYVERTLGFSIRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLLLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKEEALKLMKWNNSDPHFSIRVNTANGYTRADLIDRLESLQVHYEKSTMDEFVRIQEGMQNYLNLFHVQTVLQAGLLKEGMCAVQDESAGKFPCLSDSGTSHLSKHLILMLRHEESIVGLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDIHADLRLYAKETTATFDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMCLQDELLDSASMLVKPGGILVYSTCSIDPEENEHRIAAFVQRHPDFVLQSVHGYVPAEFVTDEGFYSSSPTKHSIDGAFAARLVRSVL >ONIVA09G14500.1 pep chromosome:AWHD00000000:9:15574274:15575614:-1 gene:ONIVA09G14500 transcript:ONIVA09G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSSQQLAGDGELILAACHGRPVTAYDAVSGHVVAEFPAPVNTSRHGLAVVAAPGAAAPFVAASHVCPVTGAGSVLLLHWWSRAPARSLPVPEPVAPLVAAPRGGSHSHLLAGGLSGRVHAIALPSGDVARSFRAHGGSAPVSCLELSDDGSLLVSGGYDGEVAVFVLLSVLDVDADADDASVSADLSLYRVPAHAAPVTCVACGRGGCDAVVATASMDGTCKVWTLKDGSHLRTLTLPCTAFSLTLDHLAARLFAGGSDGRVHVASLSPAAITSSSWHASGNTNAALVGVGMANGSKNLVTCTEDGEVSVWDIPSGLLLAASFRISGAVTDVMVIKKSAAAAAAAAAAAGDMVRPRYGGVGFTGVRDGEAWRRAGEVARMEQTLRESEVEKARSVELVEMAVGGYRRCLRLMLREVTATVAGGGRRPNDVSSSDGHVSD >ONIVA09G14490.1 pep chromosome:AWHD00000000:9:15565007:15571309:1 gene:ONIVA09G14490 transcript:ONIVA09G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELFMQVFERRDWVAAQMRQQVESYDQSLACALLAAGRPPPPWLLPSRPAAPQGLNGKPAPSEFVFTGSHITTPAINRTVYQPSAVPSTSLRNVGLPSGYSHLWTACNSLDTDQHQEVQQEQTKVNEEFVNTRAEANMFSRIQRSRSRQRNIEDRLRERDEAANGGSSDGLQDRMERSKIAGVRLNRTTTSSSSEPCSGDANNSGATHPFRGQENDIYTNKRNSAEFLKCSKEGGLGSEGVHLDCSPSLVLENKIVSSDSLFKVPNDCSARDSSRTQVADSVCHPLPETHLFVEPKILQFEGVESVCMNFSSEKMGQPLESAHLDLAEAHPLNEDPSSTGCYHVPRSVGSSLVDGVELGLLSTDSATLKQHLQCGSPDLSPTHSRNKGPCPTISSEVPNYTSEPLGEQDTYCNPEINSLEGPCSKVSQLLEKEETKACPDANPLLKTDALHTIGSTERIRNLASRNSTPLEQRSSDPHVLPCQRSRSVQPADSSSRPPLSTGILPDSLLEAVGLDHLPHSNDTNSQCSPSRSAASPDLLPLRLVNSGDVYQPSFSCCKSQNNKDSNGCAVEGTTVSIEKPPSQEQYLLDRPPMELNGFADEDTPLGHTLGTHNEMLKGKKADDLVNCHSGKLNSSQKKPKGLTEASGFSSGKNESAGQKVESNISTCVMHTTERSRGFCAMNCTEDLQQDGTEQETSPFDNAVQINANRCTADNNKQIKSLRPSVRYSLRSLMSHEKINLLQSEGRSAACGQKRSDADGVQVNGGPSSKRRRIKRQSNAALSSSPNTNSLSVVHQVDIDNHVLPLGNFSGKSQPSGRYFLRDLGSSGSMSLKSEERNAVSHGKISVSSIHNKTSSSPERYNKASLDNENGNSPGQLQNTLDVVKTTAALPSCYGTLIDNEKSCAEEENPCLEGKHANDTCSSVVHQQMTLQIDNIASQSVILNSENYSRENSITISASFVSDQNGDQAHAPSALVRENLSYGSSVELDRRCKSNGSKGSLLSGAAITTQDGDESVNCDDTMPEFERFDVPIQFDSPCAETKTSEALCESRKLVTLSSKFSNYDTNTASGVSHLLSAMSGKPINFPDDLQQYRANNDRSITDIFGACGLGLDDSFSIYDVTASCSSNGSSAKENNDNPLTPSVEKYGLGKLSARSGSSSEHLGSIPELECFRIDEHSSIAEENEYQGMLHGSAGLSYSHQLPSGRKALQDITGLCQNTVNSASLSSIFLDTGNELNHQTDLINGHANDKPKNSLAASTKRERKMSDSLHPRLRRTELHNRNGRHQSEANIDKQSKPSNIVANVTSFIPLVKPKLQPTTACVKKDVRVKALEAAEAAKRLEEKKQNEREMRKAAAKLERERLKQEKELKQKQEEQKKKRDADVAAKKRQRGEEERKENQRKRKCTEEARKQQKQPTEKSLAVNDEKDVCRKTSDNIELTKPDGRTTEPAMTNIPNSLEESYQMSPYKDSDEEDDDDFEHEQESRRRRKFIPSWARLYLRKSCVFDVITDA >ONIVA09G14480.1 pep chromosome:AWHD00000000:9:15558179:15558409:1 gene:ONIVA09G14480 transcript:ONIVA09G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQQAAPPLALASALLLLLAAAAAVAPLGAAADGGLVQGGGEVARSAANTLAVGADPDPASADGIPADRAPDAHG >ONIVA09G14470.1 pep chromosome:AWHD00000000:9:15555780:15556004:1 gene:ONIVA09G14470 transcript:ONIVA09G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALIALASAVLLLVAAVAPPLAAADDGGAGVPGEGKLESAGSAIKSAAANAFGVGSDIGGVPVNPSPGGANA >ONIVA09G14460.1 pep chromosome:AWHD00000000:9:15551424:15551657:1 gene:ONIVA09G14460 transcript:ONIVA09G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAALFLAALLFVAMVVVPVARAAEESSAESPSSESSSSSSADAPAEGPDGPAAAPGPGEGIDGLSDDNDDDSSN >ONIVA09G14450.1 pep chromosome:AWHD00000000:9:15539620:15540432:1 gene:ONIVA09G14450 transcript:ONIVA09G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRLAAAPHGVLLLLLILLPLVAAAGPAAAKAPAAPPAPPNVTTAMAKGGCKAFADLIAASPDASSTYQSAAGGGITVFCPTDDAVRAFLPRYKNLTADGKAELLLFHAVPVYYSRGSLKSNNGVMNTLATDGAAKNYNFTVQNEGDAVTIKTAASGDAARVKSTVVDADPVAIFTVDAVIEPVELFKPAPSPTPAPSPAPAADAPKASKPAHHPAPVVADAPGPAATDSPPADQKKEAKKSAAAGAPPCVRWFAAALAAVAMASTLA >ONIVA09G14440.1 pep chromosome:AWHD00000000:9:15534384:15535991:1 gene:ONIVA09G14440 transcript:ONIVA09G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWQASVAMGGSGPMWHRSGAGMRAERRWAAARGATLRPARCSRRPARLSPPIYAACRHARLLSPLRAARSRTDPLSHFFPVHRRARIYSPHLSGKSLRRTKATPMAHANGSKVAAHLTRLLHRSPRRPVALARRGPAAVLPAGRRPTSLKKKIREVRKEATSGRTTAEVEKRERASWGAAVAGVLGEDGGFTEHVLQTPEQQNRQVLSPDLPVLHVNMPPSGVRDADATPATHSPACEGNSIVTEFT >ONIVA09G14430.1 pep chromosome:AWHD00000000:9:15530888:15531667:1 gene:ONIVA09G14430 transcript:ONIVA09G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPALAGVVLLLAVLLAMACAVHAASSQPPSPAASPESSEAESPESAESPESEESEWAAEGPGMLSEASEELGLGAGPLKTMGMDMDMLDDDDDGAAPSKSPAATAPAGAAAAPAEEGDEEEDASTASPASAPGASEEAEGEEEAPAGAPDAEAEEAASGPSEASSEEPSAAAAAAPEESGGGEEAEPASGEAPTAEAATAADVSPAAVTVSEGPAEGPGPSAADEEEEESGASATTQRGSLAAAAVLLVGAAVFAL >ONIVA09G14420.1 pep chromosome:AWHD00000000:9:15523498:15527432:-1 gene:ONIVA09G14420 transcript:ONIVA09G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGDGSFHRNEAISAVQDVDQYYGDDDDYDDLYNDVNVGDGFLQSSHPPPQPPPPPPQQQQPPPISQQPPPLQAPPPPPQQQHQQQQLQAPPSLPPPPPQRQPEKVHIPGVAAVPPAPVPDRPNPVHLPPQPQPPVAAAPPPPPHNQIQPGGGDGFHRQGGGNYGGGPIVVGNGGGGDGPGGTTLFVGELHWWTTDADLEAELSKYGQVKEVRFFDEKASGKSKGYCQVDFYDPGAAASCKEGMNGHLFNGRPCVVAFASPHTVRRMGEAQVKNQQSMAQQNSGVQKGGRGGGAAGGPGGAQVGGNYGGGRGGGGGGPGGGGGGGGGGNWGRGGGGMGGRGQAGNMRNRMGPVGGRGLMGNGGMVAPPPPMLHPGGMLGQGFDPTGYGAAMGRMGGGFGGFPGGPGAAPFPGLMQPFPPVVAPHVNPAFFGRGGGMGAGGVGMWPDPSMGGWGGEEQSSYGDDAASDQQYGEGGSHGKERPPEREWSGASDRRREREKDLPPPPDWPERRHRDERDAGRERERERDRDRERERDRDRERERERDRDRERERYRDDRDRHGDYHRHGKRESDRNEDWDRGRSSGRRSRSREVDHSKRRRMSPDNKSSWNNKM >ONIVA09G14410.1 pep chromosome:AWHD00000000:9:15519719:15522592:1 gene:ONIVA09G14410 transcript:ONIVA09G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAVGHGPRTRIRGGGLAAAPTAPSAAARRLSAVSYTAAPNLTKKVPDPKVVKPARRTTPVKKRPQVDQAQKQREELAALQEQLSGLQKKLLEKDEALRSAEHLISRISAANAAVDELRGQLTEKESQIESTGSELHGAKIQLAEKQAALEKLEWEAKVSSTKVEELQVDVASMDVEISALMKLFRKITENDRAPYSRERADDSSLECEPVQLDDMVGDIDMEKMEQEMSAYATALAAAKDNPTDEFLKAGEQKVSSVYLNDLKIDVLCGLSFGAN >ONIVA09G14410.2 pep chromosome:AWHD00000000:9:15519719:15522773:1 gene:ONIVA09G14410 transcript:ONIVA09G14410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAVGHGPRTRIRGGGLAAAPTAPSAAARRLSAVSYTAAPNLTKKVPDPKVVKPARRTTPVKKRPQVDQAQKQREELAALQEQLSGLQKKLLEKDEALRSAEHLISRISAANAAVDELRGQLTEKESQIESTGSELHGAKIQLAEKQAALEKLEWEAKVSSTKVEELQVDVASMDVEISALMKLFRKITENDRAPYSRERADDSSLECEPVQLDDMVGDIDMEKMEQEMSAYATALAAAKDNPTDEFLKAVTEARLRLQAFVL >ONIVA09G14400.1 pep chromosome:AWHD00000000:9:15517161:15517859:1 gene:ONIVA09G14400 transcript:ONIVA09G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAGRRPAPQFAGVDLRRPKGYPAAAQLTPAAEEAAAGVGDPCPRCESRDTKFCYYNNYNTSQPRHFCKSCRRYWTKGGSLRNVPVGGGSRKSSTSSSSSSSAAAAASSSSSPSSPAKSPKRSKNSKRRRVSPPPPQPAPAPPPPTTADAADVAAPTAPEDTTKKAPEDLTAAAATQPAVALGLGVADGGGGGKEHLDTSPFEWPSGCDLGPYWPTGVFADTDPSLFLNLP >ONIVA09G14390.1 pep chromosome:AWHD00000000:9:15506447:15513088:-1 gene:ONIVA09G14390 transcript:ONIVA09G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT1G69020) TAIR;Acc:AT1G69020] MLRRRHHLPAVLRLLSTSCRRGHPTQPPQHPSPAPLPLPPPVAKKVPFTASAHGRSWSDPYHWMRDTSDPDLAALLEAENAYADAFVDSAGEGGGGLRARLAAEMRARLPPSAASPPQPWGPWLYYQYVPEGKEYPVLSRRLRSSGGLARAALDFISGSKKEQVLLDWNEIAEKFGYVHIGSCRISPDHRFLAYTLDISGDEFFSLEVKDIQSTNTIFSSPHKGIVSLAWSRNSDNLFYTVCDETLRPNQVLCKDLQSDQAGFLVFMEKDINCCVDITSTKDFKYVHVMESGHVRGGLWPVQKRSDKVQYFLEHHNGFFYILTNAPLEGTETTNGGYYLARCRAEKSEMDKWQVVALPGSYYTFQDMDIFHEQLVLFIRKSGLPLICSINLPIDVDFQEQKELDDLDPWFFPVPSDLCSIVPGSNNDFMSSTYRLVLSSPVLPDLTVDYNMRMRTFAILHQEEVTGLSSNLCTVGLQSNITGIQQNLQLIEDSQSWSDLSKLFSCERVQVISHDGVSVPLVILYSREAHRRGESPGILYGYGAYGEDLDKSWCSDRLSLLARGWVVAFADVRGGGDSSWHLAGTKANKINSIKDFAACGTHLIKEGFVHKNRLCAIGCSAGGLLVGAVINMLPDLFSAAVLKVPFLDICNTMMDSTLPLTILDYEEFGDPNISTEFDTIRSYSPYDNLSPDMCYPPVLVTASFNDTRVGVWEAAKWVSKVRDITCQSCSWSVILKTNMQSGHFGEGGRFMHCDETAFEYAFLMKALGLDDNDSCKIVL >ONIVA09G14390.2 pep chromosome:AWHD00000000:9:15506447:15513088:-1 gene:ONIVA09G14390 transcript:ONIVA09G14390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT1G69020) TAIR;Acc:AT1G69020] MLRRRHHLPAVLRLLSTSCRRGHPTQPPQHPSPAPLPLPPPVAKKVPFTASAHGRSWSDPYHWMRDTSDPDLAALLEAENAYADAFVDSAGEGGGGLRARLAAEMRARLPPSAASPPQPWGPWLYYQYVPEGKEYPVLSRRLRSSGGLARAALDFISGSKKEQVLLDWNEIAEKFGYVHIGSCRISPDHRFLAYTLDISGDEFFSLEVKDIQSTNTIFSSPHKGIVSLAWSRNSDNLFYTVCDETLRPNQVLCKDLQSDQAGFLVFMEKDINCCVDITSTKDFKYVHVMESGHVVALPGSYYTFQDMDIFHEQLVLFIRKSGLPLICSINLPIDVDFQEQKELDDLDPWFFPVPSDLCSIVPGSNNDFMSSTYRLVLSSPVLPDLTVDYNMRMRTFAILHQEEVTGLSSNLCTVGLQSNITGIQQNLQLIEDSQSWSDLSKLFSCERVQVISHDGVSVPLVILYSREAHRRGESPGILYGYGAYGEDLDKSWCSDRLSLLARGWVVAFADVRGGGDSSWHLAGTKANKINSIKDFAACGTHLIKEGFVHKNRLCAIGCSAGGLLVGAVINMLPDLFSAAVLKVPFLDICNTMMDSTLPLTILDYEEFGDPNISTEFDTIRSYSPYDNLSPDMCYPPVLVTASFNDTRVGVWEAAKWVSKVRDITCQSCSWSVILKTNMQSGHFGEGGRFMHCDETAFEYAFLMKALGLDDNDSCKIVL >ONIVA09G14380.1 pep chromosome:AWHD00000000:9:15500037:15503009:-1 gene:ONIVA09G14380 transcript:ONIVA09G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGVKAAAAAEKAREAARAKVWAARASTTVVLWLCCALLLATSRELGRWSGCLTQPLIVVERRFEAVAAAGSERAAASASAAAAARGERAESSASEAAVAALPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFKDIFDVDYFISSLRDEVRILKELPPRLKRRVELGYVRSMPPVSWSDISYYQNQILPLIRKYKIVHLNKTDARLANNGLPMEIQKLRCRVNFAALRFTPEIEELGRRVVRILRRNGPFLVLHLRYEMDMLAFSGCTHGCSNEEAEELTRMRYAYPWWKEKVIDSNAKRNDGLCPLTPEETAMVLKALDIDSSYQIYIAAGEIYGGQRRMAALTSAYPNVVRKETLLPSDLRFFQNHSSQMAALDYIVSLESDIFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRKLIVELVDQYKNGTMSWNHFSSAVKASHSSRMGAPSRRQMIPDKPKEEDYFYANPHECLHQPEELSVL >ONIVA09G14370.1 pep chromosome:AWHD00000000:9:15489691:15497674:1 gene:ONIVA09G14370 transcript:ONIVA09G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGNKATTREHDFLSLYTTAAKDPSLQLHDAKPPPPSQGFFLRTHDFLQPLEKPTPAPAPPPTSQLQLQQQQQQQAFPGGIGTFSISHVAGARPVAAAVVKAEPTPFVLWGQPAAAAAAHPVAALGHHHHHHHQWTLPFAGVGQVAATAARQQQERKGRIGGGGFMDSGSRSSGGAGFDDDDGVAARREVSSSLKERRREGRGEEGRRRSRELMEMEMEMYSRCARPSHIHQLHARLVVSGRLRCTSPSLALALLRAACRVRASPCLRPLAHHLLDHIPRPHPHLLHAASRLAYRLRLPSLALRHYVALRTHHPVFLPPAAAIADMLKSVRGRAAHAHALRVTAHAGDTRFLDNTLIAMYFACGDVQRARLVFEGMCDRDVISWTSLISGLVQNGSPLQGIQQFATMMHCDVRPDFVVLVTIAKAFMELDNLPGAESAHSLVVKGGFHDEQDVMITLTAMYASFGCLVAARALFDMVPPQQDMKLAGVRPNDVTFLGLLSACNHAGAVEKGWSYFHSMKPDYGIEPQHQHYACVVDLLSRAGQLDRAYQFILNMPIKPEMTVWGALLHGCKMHGHSNMAMAECAAQHIFELEQSNAGHYVQLANMYASAGMWSQVAGMRVTMRERGVTKATGFSFIEVDGKVHSFHAWDHSHPRATEIFAMLCLLSPTPTGGEMRVDGKGGSCSGSGTDQRPSSPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKFEASVPEWNQENAKILPWSKGQNPGDDLPDPSQFIRNGSSSGYNFTGKPDDNHNMVTSAAASGAQELVETDHAASVSYRSAETPTNITNNVTSQAQAQWASPAGVDDCAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGTLTHALESSGVDLSQASISVQINLGKRAVKRPGADGSSSSKELPSTSANNENMGHQLTMLGGGTEELPHPTKRHKSGNS >ONIVA09G14370.2 pep chromosome:AWHD00000000:9:15489691:15497674:1 gene:ONIVA09G14370 transcript:ONIVA09G14370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGNKATTREHDFLSLYTTAAKDPSLQLHDAKPPPPSQGFFLRTHDFLQPLEKPTPAPAPPPTSQLQLQQQQQQQAFPGGIGTFSISHVAGARPVAAAVVKAEPTPFVLWGQPAAAAAAHPVAALGHHHHHHHQWTLPFAGVGQVAATAARQQQERKGRIGGGGFMDSGSRSSGGAGFDDDDGVAARREVSSSLKERRREGRGEEGRRRSRELMEMEMEMYSRCARPSHIHQLHARLVVSGRLRCTSPSLALALLRAACRVRASPCLRPLAHHLLDHIPRPHPHLLHAASRLAYRLRLPSLALRHYVALRTHHPVFLPPAAAIADMLKSVRGRAAHAHALRVTAHAGDTRFLDNTLIAMYFACGDVQRARLVFEGMCDRDVISWTSLISGLVQNGSPLQGIQQFATMMHCDVRPDFVVLVTIAKAFMELDNLPGAESAHSLVVKGGFHDEQDVMITLTAMYASFGCLVAARALFDMVPPQQVNVILWNAMISGYSKNGFASEAVHLYKHMQLVARNLAPDSITLRSVIFACAQLGSTELAAWMEDCVCCSEYREDVLVNTALIDMYAKSGSISHARAVFERMHVDDRDVVVWSALITGYGVQGLVNEACTLFQDMKLAGVRPNDVTFLGLLSACNHAGAVEKGWSYFHSMKPDYGIEPQHQHYACVVDLLSRAGQLDRAYQFILNMPIKPEMTVWGALLHGCKMHGHSNMAMAECAAQHIFELEQSNAGHYVQLANMYASAGMWSQVAGMRVTMRERGVTKATGFSFIEVDGKVHSFHAWDHSHPRATEIFAMLCLLSPTPTGVGG >ONIVA09G14370.3 pep chromosome:AWHD00000000:9:15489691:15497674:1 gene:ONIVA09G14370 transcript:ONIVA09G14370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGNKATTREHDFLSLYTTAAKDPSLQLHDAKPPPPSQGFFLRTHDFLQPLEKPTPAPAPPPTSQLQLQQQQQQQAFPGGIGTFSISHVAGARPVAAAVVKAEPTPFVLWGQPAAAAAAHPVAALGHHHHHHHQWTLPFAGVGQVAATAARQQQERKGRIGGGGFMDSGSRSSGGAGFDDDDGVAARREVSSSLKELTVRVDGKGGSCSGSGTDQRPSSPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKFEASVPEWNQENAKILPWSKGQNPGDDLPDPSQFIRNGSSSGYNFTGKPDDNHNMVTSAAASGAQELVETDHAASVSYRSAETPTNITNNVTSQAQAQWASPAGVDDCAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGTLTHALESSGVDLSQASISVQINLGKRAVKRPGADGSSSSKELPSTSANNENMGHQLTMLGGGTEELPHPTKRHKSGNS >ONIVA09G14370.4 pep chromosome:AWHD00000000:9:15493945:15497674:1 gene:ONIVA09G14370 transcript:ONIVA09G14370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSELTVRVDGKGGSCSGSGTDQRPSSPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKFEASVPEWNQENAKILPWSKGQNPGDDLPDPSQFIRNGSSSGYNFTGKPDDNHNMVTSAAASGAQELVETDHAASVSYRSAETPTNITNNVTSQAQAQWASPAGVDDCAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGTLTHALESSGVDLSQASISVQINLGKRAVKRPGADGSSSSKELPSTSANNENMGHQLTMLGGGTEELPHPTKRHKSGNS >ONIVA09G14360.1 pep chromosome:AWHD00000000:9:15464479:15465521:1 gene:ONIVA09G14360 transcript:ONIVA09G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPETTVPPESTHMGSPPPSHSPSPPPPLQRDHSLPTDAPPEPSPQPHHATLISPPSPGQATKERPRVEEPQPPIDGTPGAAGPPAQPSFFSSLELGTSAAPPAPAATRQPGSPSPHPSAEPSVEFYPGSAASSPSSSSYETAQDDWPAPPPRAHSPTTGLLAGFTLHRVFPCTRLLRRGGGLARCTRAAAADTIGDKAKGYLRPTGPKNSLSSATSARQVERRRREIKY >ONIVA09G14350.1 pep chromosome:AWHD00000000:9:15459074:15463133:-1 gene:ONIVA09G14350 transcript:ONIVA09G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLDCPVQTQMAVAVLDRSFSSEYPASSRTEGRSCSWKRVFVQTENGYVLGIELERGENAHTVKKKLQVALKVPTEESSLTFGDLVLNNDLSSIRNDSPLLLRKNQMHRSSSTPCLSPTAHDVQEQDHSEPIEILGCLSPSSRMKQLAKDVVEAIRNGVDPVPVNSGMGGAYYFKNIYGERVAIVKPTDEEPFAPNNPKGFVGKTLGLPGLKRSVPVGETGLREVAAYLLDHDNFANVPPTMLVKITHSVFNVNDTVSCKSKVFHNKLQAVSKLASLQQFIAHDYDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLGPGPDNFGVQTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFTEEELEYIANLDPVKDAEMLRLELPFIRGACLRVLVLSTIFLKEAAAFGLCLSEIGEMMSRQFTGKEEEPSELELLCMEARKWVKKRELFLPEAGVEDDNDGFTQFSIDSEDGSDASELPSFSKLGLMNASHRNPLSKLDECDEEDGEEEEDDDGDEEDDDEDMFKDDAGNLKNPFSKHIPSVSKLSASFKGLGFIGKARAYHKGVPKNKVTAKTNYSGKGSEHQSGSRSANELLPPSASFVKLSDMGSDEWSAFLDKFQELLPSAFRARKHAAADGPRPLQRLGTSCQF >ONIVA09G14340.1 pep chromosome:AWHD00000000:9:15455634:15456552:1 gene:ONIVA09G14340 transcript:ONIVA09G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFGTVGASQGEWDGLVPEEEYSSQIRDQSIRQNLADELVPPGTGSRREARFFTPFTRSHRQQAARATTTNGGLATTESVMGRTAAANPEAGRATTEDLEEGRAAAADLEARRATATTGEGECFDGGGGRRLGNGGFGGAATTTTTTSECECSGCSSVDDDGR >ONIVA09G14330.1 pep chromosome:AWHD00000000:9:15447513:15448600:1 gene:ONIVA09G14330 transcript:ONIVA09G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAGVLLLLLLLSLSALSASVSEANEERLLRENAMPITGRKWLRGRKAMAAAGRLGHGGVVVVEGKGGGEEKNKKNTGANTAHVHGNGSERAVDVAVVGKSGGKHGIKSPLNEGLKCVISVVPGAANQEADASAKAVNHEEKQSKGGASAAATTHVMFQEPSKHDDTAAAVSRMMSMDYKTQDARHHRPINNDAPLDHELVEKP >ONIVA09G14320.1 pep chromosome:AWHD00000000:9:15444391:15444684:-1 gene:ONIVA09G14320 transcript:ONIVA09G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRNMLRPAASGLCDEGDGEDEGSKGGEHLGLRDEEGGNEVGVEEPGGEVGDERGVEGEEAKLGVEEVELGERVDNDGEGGEGEADDKFGDEGGAT >ONIVA09G14310.1 pep chromosome:AWHD00000000:9:15441048:15443071:1 gene:ONIVA09G14310 transcript:ONIVA09G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPLDEQTFLSMLKSERRSVGKQVHVHVEVSGLHSSVYLRNSLIKMYLDAGDVEAVEAMFRCTPTADTVSCNIMLSGYVKGGCGGKALRFFCGMASRGIAVALLACCGRLKKAVLGRSVHGIIVRRIGIVDRGLILSNALLDIYAKCGEMNMAMRVFDEAGEKDGISPGKRKTNSGWQEENRLSLSSRRSIAKKKRASSSREDPHRRRFCLRRRRRGSRARPHVVSKAKVPVRRCGWTSGAQVPKACVPDVAGGGVGLHCGTGDAGALHGIAPVCASVAPAAAAAAAAS >ONIVA09G14300.1 pep chromosome:AWHD00000000:9:15435547:15439893:-1 gene:ONIVA09G14300 transcript:ONIVA09G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLGASSVAALRPTPSRGRGPTLRSAVAVQGRGAAAVAARGVRWEAGRRKGKGRMVGVRCEAAVTEKPAGEEEAAGEQFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSVLSDGGELEIRIKPDPEAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKADKQYVWEAMADSSSYVIKEETDPEKMLTRGTQITLFLRDDDKYEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEEEPKEGEEATEGEKKKKKKTITEKYWDWELANETKPIWMRNPKEVEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIAEKEDKEDYKKFWESFGKFVKLGCIEDTGNHKRLSPLLRFYSSKNETDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDKENESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLSAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRPEESEAATSESNVEVESSEGSATEVVEPSEVRPESDPWKD >ONIVA09G14300.2 pep chromosome:AWHD00000000:9:15435547:15439893:-1 gene:ONIVA09G14300 transcript:ONIVA09G14300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLGASSVAALRPTPSRGRGPTLRSAVAVQGRGAAAVAARGVRWEAGRRKGKGRMVGVRCEAAVTEKPAGEEEAAGEQFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSVLSDGGELEIRIKPDPEAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKADKQYVWEAMADSSSYVIKEETDPEKMLTRGTQITLFLRDDDKYEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTVEKKKKKNRKKGEKKKKKKTITEKYWDWELANETKPIWMRNPKEVEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIAEKEDKEDYKKFWESFGKFVKLGCIEDTGNHKRLSPLLRFYSSKNETDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEFWLRGTLFLFQVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDKENESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLSAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRPEESEAATSESNVEVESSEGSATEVVEPSEVRPESDPWKD >ONIVA09G14290.1 pep chromosome:AWHD00000000:9:15419182:15429333:1 gene:ONIVA09G14290 transcript:ONIVA09G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48910) TAIR;Acc:AT5G48910] MPPPTVPFFLTSTTLAAAAAKPQRPGPPSPPAQQQQPREARDGSRDACASYTARMRLNPQLALRLFDHLLRSGADPDHVAYALALGRCARGRDRRAAAQLHSHAAKRGAASHRRVCNGLIHAYAVCGSLLDARKVFDRGHEGDAVAWNSLLRGYAAAGDVNALREFFVGMQARDTVSWNTIIAWCVENGEYEEAIAVFREMLASMECLPDRVTLVSVISAITYLGALAQGLWAHAYVCRKGIEVEERLSSALINMYSKCGCIEGAVHVFENLGAQMNVDTWNAMLAGFTANGCSEKALELFARMEITGLVPNKITFNTVLNACSHGGFVEEGMGCFERMTKVYGIEPDIAHYGCMVDLFCRAGLFDKAEKMIQMMPMKPDAAVWKALVGACKTHRNFELGRKAGHMLIEAAPNDHAGSIEIDGVIHEFISGDKSHSSKEDIYEMLSEMCQQLKVAGYVPDTSHVLLDIDDEDVKESSLALHSEKLAIAFGLISTAPGTPIRIAKNLRRRIMLLRGFLESSALTSTRWPAADSSYPAPVDNKL >ONIVA09G14290.2 pep chromosome:AWHD00000000:9:15419182:15429333:1 gene:ONIVA09G14290 transcript:ONIVA09G14290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48910) TAIR;Acc:AT5G48910] MPPPTVPFFLTSTTLAAAAAKPQRPGPPSPPAQQQQPREARDGSRDACASYTARMRLNPQLALRLFDHLLRSGADPDHVAYALALGRCARGRDRRAAAQLHSHAAKRGAASHRRVCNGLIHAYAVCGSLLDARKVFDRGHEGDAVAWNSLLRGYAAAGDVNALREFFVGMQARDTVSWNTIIAWCVENGEYEEAIAVFREMLASMECLPDRVTLVSVISAITYLGALAQGLWAHAYVCRKGIEVEERLSSALINMYSKCGCIEGAVHVFENLGAQMNVDTWNAMLAGFTANGCSEKALELFARMEITGLVPNKITFNTVLNACSHGGFVEEGMGCFERMTKVYGIEPDIAHYGCMVDLFCRAGLFDKAEKMIQMMPMKPDAAVWKALVGACKTHRNFELGRKAGHMLIEAAPNDHAGYVLLSNIYALDGNWTGVHKVRKLMLDRGVQKVPGSSSIEIDGVIHEFISGDKSHSSKEDIYEMLSEMCQQLKVAGYVPDTSHVLLDIDDEDVKESSLALHSEKLAIAFGLISTAPGTPIRIAKNLRVCGDCHNAVKLLSKIYGRCIIVRDANRFHHFREGSCSCGDFWTVYSGSRESSALTSTRWPAADSSYPAPVDNKL >ONIVA09G14290.3 pep chromosome:AWHD00000000:9:15424452:15429333:1 gene:ONIVA09G14290 transcript:ONIVA09G14290.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48910) TAIR;Acc:AT5G48910] MPCVEAGQRCRAQYWQKEGLPSGDTRSWMAKEIYEEKKRRAGRDRRQALLKERKLGIRSLEPRLGDSMDMSESSEKGMESNASSGPGNGIPVEWQSQFSSAFACQPSVAAQHQQHAMMDSFAAASAGLWASSDVVSAMSSAAPPRGAGFLAPVPGFLQQGLGHFPVDSGFIERAARSTCFGGGMMAGGPYGAADQAMGDAFGGTAEGLMDHHRNVGNDKAEEFAGNGHDEVPSSEVAGGDCSSKGSDSKKRRRPNEVMGTDQVHSSNLPSDSANESVHSKDKGEESSPATTNGGKSKGKGAKETSESQKEEYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVPSSSIGFSPEMMHPQLQLSQPGLIHGGTAGMANPDVFRRIIQAQLGAKDGSQMPHSLNGSFSDVSQMAYPSLGSQDLSIRPSQDGFQM >ONIVA09G14280.1 pep chromosome:AWHD00000000:9:15407503:15413106:1 gene:ONIVA09G14280 transcript:ONIVA09G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSSLVWSSALSFLSRAFSQWTSLQPLIAWLSSSRRRRLASRRWTTCPLSSLSCRCLRMRDRRADSRSGHQRR >ONIVA09G14270.1 pep chromosome:AWHD00000000:9:15407449:15407970:-1 gene:ONIVA09G14270 transcript:ONIVA09G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHYHGEVASLHCLSPPSLPFSSHYHSNMITMAPSPFHFPAATCEPIQELLPVVAGNRPAGSGSTDDAYQMAAEEERRRRRMISNRESARRSRMRKQRQLSELRGQVVHLRDANRRLLDELNQAMRGCSDVHCENARLRKERAELQTKLEHLMQAQKNNTSPSSSQPCKNI >ONIVA09G14260.1 pep chromosome:AWHD00000000:9:15401680:15405994:1 gene:ONIVA09G14260 transcript:ONIVA09G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPWPPTTGAASAAAAAASPAPPEGAAGGAATPAAAPATTSEQRPVKEGGDAAAAGAAAAVQQEEEEEVEAKPQLLREDDSETEIQEHEQKINKYQAILAARLKAKYFSNKDFDGGNVFEEITVEGETIQSSRWPCTRSFADPVNFFRDKNSHERSDSPSLTADSAAKNNSPRTDSSPKNSASALATENNLTPGKRQPSKKI >ONIVA09G14250.1 pep chromosome:AWHD00000000:9:15391542:15399646:-1 gene:ONIVA09G14250 transcript:ONIVA09G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76280) TAIR;Acc:AT1G76280] MWLVEFTPERPQLVQTVLGFTPFRCTVMFSLWKAITVVSNLVTQFYACKLYMNEYDEFKWMTSLATRSIQADIVGALRRGDRQQASLLLSNLQQTNRALTSEDFSHILEYCATAPDPLFVTEALELMEENAVHMSQRVYRSVTRALSKGGYSKEAIHWLTLLVEKESSHNYLPIFNIFLSGCGSTTKQSDIEGCLEKMETYFLGKSEITYCELLKLAVSQRNLSAVHDIWKDCTRNYNPSIILQRKFVRALTTLGDLRSAYRIMQHMVVLAGRNTDHLRGKGRYQRSRLDIPVPALTELEDLKILLGCDLPSSFQGKVEESEKCSTDTQPELSQEENISFENLQLKGYAEFISTGDNLSDKSVLDNGRMARPLRLVPATVKKFLLWSFNDIVHACVQLNNCQIAEQLLLEMQRIGLQPSKFTYDGFIKAVMVGKGVAYAIKVIEAMERRGIEPYNDTLAALSVGSSRSLQLNLAEDFLARISKPQPKYIHAFNALLAGCDMMNEPERAVRVLAEMRHLNLKPNLRTYELLFSLFGNVNVPYEEGNVLSHADVSKRISIIETDMLNNEIQHSFVCMKNLIRAFGAEGMTEEMLKYLNVAENVLWNMDPYQKSDLYCIALHALVKAKDTHKAIKTFMTIRSYGLPANVAIYNIMIECCKLLPCVKSASAVLSLMLRDGFYPTILTFTSLVKVVLAREDFEGALDLLDACIIEGIQPDIEIFNTVLLEAFEKGQIHVVEYIVECIHRAKIRPDQSTLWYTFCAYVDQELYNTAIEALQVLSVRMISEEADVLKEKGVIVEDLILSEEPDAELKIMKTFEATEHLATALLNLRWCATMGSTISWSPEDSLWARRLASSYDGNRRPHIFTSIVPKQFVV >ONIVA09G14240.1 pep chromosome:AWHD00000000:9:15390300:15390455:-1 gene:ONIVA09G14240 transcript:ONIVA09G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAVFHGAQLMLDLAVAGLSLVVALGLFAVVTAVLCSAAFLHHSKPVAS >ONIVA09G14230.1 pep chromosome:AWHD00000000:9:15387990:15388451:1 gene:ONIVA09G14230 transcript:ONIVA09G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRLIEDDGEECNSNESGWTMYLASPTHSDDVRAIVSEGSNVEDGSGFSNERRRGKENKGHANDDGDYDSLASDASTGPAEVKMQEGKEEKDHQMNGGNRHQHAKDEQDEIPTKLSTSYSKKVGKIKKGDEKTSRRGQNKRRSSSRTSFFW >ONIVA09G14220.1 pep chromosome:AWHD00000000:9:15374305:15378174:1 gene:ONIVA09G14220 transcript:ONIVA09G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT4G08940) TAIR;Acc:AT4G08940] MARRLFSATRALLRAVPSPSPSSSAAAAAAAASLLPLLPCKRRRKLRKKLSSPRVAPIEPEAARRVPELDAVLDREAAFRFLARARSFLASLPPPHRIPLAEAGKLYRELGFPRGRSVSRAAARHPLLFHLPRVDSVPHLALTPLMCSLLEEERRLHDQLLPTRVRAVRKLLMLADHRRIPLAKLHHCRAVLGLPDDFRDRVREFPGDFRVAVDPDGRDVLELARWDPALAVSALERDFVVDERRVRRTFRFAVPHSRSMPLDAEDADRLDAATTFPLVSPYTSGALLRPWTPEAEKYRVGVVHEFLSLTLEKRALIHHIFEFKEELGLTRHMHASLRKQSRAFYLAGTEMNWAVFLRDAYGGDGDLREKDPLVLFNEKLRRYACMTKMDSSEARRS >ONIVA09G14210.1 pep chromosome:AWHD00000000:9:15368980:15374029:-1 gene:ONIVA09G14210 transcript:ONIVA09G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVAPMVDMRALSQSDLVALAAGSPYSADPRRGRDADVLPPPKIDRAVFNESAGSRKQTFSRHRVATNLSHSLTPATASAAAAPAPAPADEDSENRLIAFHLQRLFAGEDPSFASPPQIAPQPQPQTLITPAIAAAVTPAPSLPTPPPSNADMEVMNPNGVAVDLARLAELVDPYEEEMRRRTAGLGAESELLGFMNGLEGQWGSRRRRRKFVDASMFGDHLPRGWKLLLGLKRKERVAWINCRRYVSPSGHQFATCKEVSTYLMSLLGYVEAKPTAIQSSDAEVLELNAVNSVGHCQPNSTEEKQSAPPVTSVPFSSHHGDPQRQLDKNETQVEANGKECQKCNLTFQDQSAYVQHQLSFHQRKAKRRKVNKSGEVGANKNVTIVTQECHITSEDKLGNIDHSLATTKSQCQTPEKMPDETISGELGGRPSMAPEPVGFQETNGLTEQGKESSAGELLSGHCDPLHNMAGVPEKEKGSAGEPVTGNHEDPIDNFSDHKILDGACHNAEEPHAVEAASEFNIGNSANLQQTDSTKDLVLSNADCTQNDNITKDLAPNPTIPQGESKCIDDPMECTDMKPSKKVSEPCDLLDDKFSSFPEGANFNGQEENSPLSAALNEPDLNSIDMEVDNDNVECKYGNAGDSTSPENGKHIEDQIIDCRMTSLKDHEINTDVRIRDVNLNSCLDAMSPPVSGANYETSNAIDDNNRSSIIAQCFGANSADDNACKEENFVNNQSSVSKAESFNQNNDMMYQPNLTMDPISPAQINVDCFTSCSMTSEIKNNSNRREDNAKEQLVNPRNITSNDAGFDVEAYSNIFNGAITESSLAQLNNAINMKADYSSCYSLSDLNTLTGGPATDEIDIHSMRNSFVNSSTSRNEPNEHCTLDFDIKGSMLEALEKSDSDLENQYNGSTRPCGSLPTAGTSGSIDDFMSLQTNFGSLTSLVRSVEDGPMSRIIQDQCDLQLGFGVQKPQMYPTFEEQLRMASAGAPQFGTMNRHNHVPVPEPTLMLGYAPHIGSCPPVQLGWDMSMSKMVGGCVLQSSMCVWCNTQFQHFGTVADQQADSLGFICPACKEKISGHLSMLNNSSSQL >ONIVA09G14200.1 pep chromosome:AWHD00000000:9:15367248:15368053:1 gene:ONIVA09G14200 transcript:ONIVA09G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKIGSVVVAIVVSLAMVSLVAGSSGTATFYTPPYTPSACFGFQEQGTMIAAASDVFWNGGAACGKRLAVTCTGATNQGVPQPCTGRSVTVKIVDYCPAGCRGTIDLSQEAFAAIANPDAGKILVEYHEFIHDKLCSFAGSKIKIRMA >ONIVA09G14190.1 pep chromosome:AWHD00000000:9:15364389:15365530:1 gene:ONIVA09G14190 transcript:ONIVA09G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAKVITSVVIAAVVALAMVSLVAADSGTATFYTPPYTPSACYGFEDQGTMIAAASDVFWNGGAACGQQYVVTCTGPTNQGVPQPCTGQSVTVKIVDHCPSGCAGTIDLSQEAFAIIANPDAGKVFIDYQQV >ONIVA09G14180.1 pep chromosome:AWHD00000000:9:15355230:15356658:1 gene:ONIVA09G14180 transcript:ONIVA09G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMPKVTSVVMAAVVGLAMVSLVAGISGTATFYTPPYTPSACFGFQEQGTMIAAASDVFWNGGAACGKRYVVTCTGATNQGVPRPCTGRSVTVKIVDHCPSGCQGTIDLSQEAFAIIANPDAGKIKIDYRQV >ONIVA09G14170.1 pep chromosome:AWHD00000000:9:15351557:15353760:-1 gene:ONIVA09G14170 transcript:ONIVA09G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDATGTHALVLPWLDGERLGVVCLRHHREQQYGVRGKPTAAASSSAIFPLVKPTAAAAFLGLEAAARSEWSPATPSTEPLPSPPVVADSEFWIWAGGAWCYAAESPCPPELLMHGCTTPSTAPPPSHWSGDGGGARAELYRLVADGDQVFYATRRGTRMLNMSDFCDRSRTDAWDFSAFVRTYAAYLDDRLEYRMQAKHGGAARQGRPLREQLYASPGNRFNYDDFIMRDDEATNAEADKAMALVARETPTSEMTLEQLLAKAQLLLAPHCLLLPVAMVVVVLALEKKLLVVVLGGALVEAGGVGGHQASRGEGIRAVVVGDGEAIGVGRQLRLLPTIEMPMRP >ONIVA09G14160.1 pep chromosome:AWHD00000000:9:15338376:15344791:1 gene:ONIVA09G14160 transcript:ONIVA09G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQQPAAVPRWTPSPRRRQDDDEADRKGAAGMVSDLGASMRGTEGFPFGSGRSFQPPPFLPAAEAEQPSPEISVERNDENDRVAFVVARETSSLRRPEQGAVLAWEDLWVSTAGGSRRRVPILCGLNGYARPGEAYVTQDDVLMNTLTVREAVRYSAQLQLPSGMSAAAKRERAEETLREMGLEGAADTRIGGWAHKGISGGQRRRVSICMEILTRPALLFLDEPTSGLDSAASYHVVSRIARMARREGMTVVAAVHQPSTEVFGLFHGLCLLAYGKTVFFGPAADTAQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEVGLDGKTMTTTQAIDTLVNSYKSSVHLAKVMHQIEEIRANNEGQLVKKERQPTFLTQSWVLTKRSFVNMYRDLGYYWLRFAIYVALCLCVGTIYYDVGHSYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVASFVIANTLSSTPYLALISVVPGAIAYYLTGLQSSGEHFGYFAAVLFTTMMVVEGLMMIVASAVPDFLMGIITGAGVQGVMMLNGGFFRLPNDLPKPVWKYPMYYIAFHKYANQGFYKNEFLGLTFPKYNDQAGAGTVITGEEILTNYWQVQLGYSKWADLAILIGMVVLYRVLFFVIVKLIEKMKPMVQRLRFRSDMPSVQVTEQGFGSS >ONIVA09G14150.1 pep chromosome:AWHD00000000:9:15327663:15332780:1 gene:ONIVA09G14150 transcript:ONIVA09G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQHQPTVPRWKPSPPRPQGREADDVDQPPQFDAVSDMSASVRSTDGFPFGSGRSSFAPPPFLPARQPSLEISAAENGGGGGVAREPSLRRPDQGVVLAWEDLWVSAAGGKSGRVPILCGLNGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSSVSQKGDILINGRRQALAFGTSAYVTQDDVLMNTLTVREAVRYSAQLQLPSGMSAAAKRERAEETLREMGLEGAADTRIGGWAHKGISGGQRRRVSICMEILTRPALLFLDEPTSGLDSAASYHVVSRIARMARREGMTVVAAVHQPSTEVFGLFHGLCLLAYGKTVFFGPAADTAQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEEGMDGKKMTTAQAIDTLVNSYKSSVHLEKVTHHIQDIRATGGAVVKKEERPSFLTQTWVLTKRSFVNMYRDLGYYWLRFAIYIALCLCVGTIYYNIGHSYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGSFVIANTLSATPYLALISVAPGAIGYYLTGLQSSIDHFAYFAVVLFTTMMVVEGLMMIVASAVPDFLMGIITGAGIQGVMMLNGGFFRLPDDLPKPVWKYPMYYIAFHKYANQGFYKNEFLGLTFPNNQAGGAATITGHEILRDYWQVQLGYSKWVDLAILGGMVVLYRVLFFVIVKLIEKMKPMVQRLRFRSDAPSVHIAENSSAGSL >ONIVA09G14140.1 pep chromosome:AWHD00000000:9:15316786:15320532:1 gene:ONIVA09G14140 transcript:ONIVA09G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEVLLLRVLDMGLLLGDDSGCGEETGLAVTHTQSMDWPDLAEVIIITSSIAIDYTMEDRMAVAMEKAFLSPDQAP >ONIVA09G14130.1 pep chromosome:AWHD00000000:9:15315082:15315321:1 gene:ONIVA09G14130 transcript:ONIVA09G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLTGEARLIQTKAMLTGSCHHDHSSVIAVTIFTTLVITACILVKAAFLSPDLRHSRSQQQQQRNLTIKENLYPDSD >ONIVA09G14120.1 pep chromosome:AWHD00000000:9:15306173:15313804:1 gene:ONIVA09G14120 transcript:ONIVA09G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRGRTQRRHFKQGRENVWKHNPQRPPAAGGEGAEGGAAEGREGNPSWQPFATENPAFEDYYKAQQIIPEGEWDDFMNMLRKPLPATFRINASCQFYQDICSQLENDFRKSLETEVSDEHEEDAIRPLPWYPGNLAWHLNFSRMQLRRNQALEGFHEFLKRENEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSTKPGMLPNALVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCNLAKFSSETCTDESKLQRLEFDRVLCDVPCSGDGTVRKAPDMWRKWNAGMGNGLHRLQVEIAMRGIGLLKVGGRIVYSTCSMNPVENEAVVAEILRRCGDSVELLDVSNELPELVRRPGLSTWKVRDRGSWFGTHEDVPRYRKNVISPSMFPSGKGTMDSHVAIGSVEINTDVIDADMKDSTNMVEGEQETKTASDDVNNGGDPNTEETSKLESNEVPNDSDKKSNSASIRTEHSNFPLHRCMRIVPHDQNSGAFFIAVLQKISPINENQEAELIKGEHNISKDRAEKLEKGLGSDKVPHKENTVQQQGVDDGNVMDEQQNGDVDNETSNGKSSEEAKVIVNEAENDQAGPRDRRRKPQNQGRWRGVDPVIFFKDEATIRSIVSFYGIKDTFPLEGHLVTRNPDAGHVKRIYYVSKSVQEVLELNVKVGERLKITSLGLKIFERQSSKDGSPCTFRLSSEGLPLLLPYITKQILYASAIDFQHLLQYRTIKFPDFVDAKFGEEASALLPGCCVVVLWEGHQNIDSIAMDPSAIAIVCWKGKTNLCVMVSPLDGKELLERICLRYGLKIPKADDVKPSMKIDGSDEQPDLSTEAVDPEAVPESKASDMEIADAKEVE >ONIVA09G14110.1 pep chromosome:AWHD00000000:9:15303444:15303656:1 gene:ONIVA09G14110 transcript:ONIVA09G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWMRQPRCGGSRTRVDAMAPRWENRLDTAAPGKLRCNHDAAEWKRSGQHRRTPYWPSGRSLARAPHFH >ONIVA09G14100.1 pep chromosome:AWHD00000000:9:15295677:15300967:-1 gene:ONIVA09G14100 transcript:ONIVA09G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLHSACLLICISGAIGLAAAAAGRPGCQTRCGDVDIPFPFGIGDHCAIHEGFRLECDNATKGTSNQKPFWGDFEVIKISMEDGKVWVKAYMSRQCYNQSTGGMSYSDASANLSGSSFWLSDTDNKITVIGCKTLAYMTTDSYVIGCSSACDNKVNKLTPKNGSCSGAGCCQANVPKSIQYYQGYFNEGYNTTKIWMSSPCSYMAVMETAAFNFSTSYLTSSVFYDTYKGGVPVVYDWAITSKTCTEARRNKTSYACISNNSQCIDNLTNAQGYRCKCSNGYEGNPYIKDGCKDIDECLNNATYPCKGICTNTLGNFTCSCSPGSYMMNGDCMPKKKLRFDSVPVVVGASIISVVLVITIMCAYLIKERRKLQLIKQHYFRQHGGLLLFEEMKSQQGVAFKIFSHEELQEATNRFNEQQILGQGGHGTVYKGLLKGNMEVAVKRCMTINEHQKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFDLIHGNHGHQISLATRLQIAHESAEALTYLHSCASPPILHGDIKSSNILLDRNLIAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQLCQLTDKSDVYSFGVVLVELLTCQKAFNLNAPEHEKSLSMRFLNAMKNNKLADILDDQIKNSENMPFLEEIAELAAQCLEMSGVNRPSMKHIADNLDRLRKVMQHPWAEQNSEELESLLGESSMVSSRYTSTGNFSIERKGVMELDSGR >ONIVA09G14090.1 pep chromosome:AWHD00000000:9:15293875:15300058:1 gene:ONIVA09G14090 transcript:ONIVA09G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPERSAATSSRGARTS >ONIVA09G14080.1 pep chromosome:AWHD00000000:9:15280036:15288000:1 gene:ONIVA09G14080 transcript:ONIVA09G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSKARAHAAPPLQAGARGPLEAQSPSALQPLLLPISLPKHAYQAQFASQIQSAGARQIWEPSKCKLFSWLLLLNRI >ONIVA09G14070.1 pep chromosome:AWHD00000000:9:15273218:15294063:-1 gene:ONIVA09G14070 transcript:ONIVA09G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSDGDRKAMRAAGREAEVVDGGTVLASLFCVCLSAVWVAAATADIPAGQRPGCPERCGDVEIPFPFGIGKHCAMQTKYPFDLDCLDVNGTKKPFYNNNEVTKISVQEGKAWMKLGISSQCYDHVTGHILYDSNATAEFGDSPFWLSTENKVIVIGCQTMAYMESNAYVIGCFSTCNGSTPVNGSCSGGGCCQMDVPGHIYRYDGYFDEDYNDSKIWRSSPCSYMAVMEDKAFQFSTTYLNSTVFNDTYKEGVPVVLDWVITLDTCEKAKSKTTSYACVSTNSICNDDPSGGYRCNCSHGYEGNPYIKDGCEDINECLDNVTYPCPGICNNTMGSFTCSCHQGNYMENGTCIPNRKSGFLALPIVGWFPSSVITRTNDNANHLRKLQHIKNQYFRRHGGLLLYEEMKSKQGLAFKIFSEEELQQATNKFDEHQVLGQGGNGIVYKGHLKDNLEVAVKRCMTIDEQKKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPILVYEFIPNDTLYHLIHGNYNGWHIPLVTRLRIAHESAEALAYLHSCASPPILHGDVKSSNILLDSNLSAKVSDFGASILAPTDETQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKPFNLDALEHEKSMSMRFLSAMKENKLIDLLDDQIKNNENMGFLEEIAELSRQCLEMSGVDRPSMKEVRDKLDRLRKVIEHPWTHDNPEELESLLGESSCVVISEVESTGNFSIERKVVKGLESGRKLEAKAAGGRWGIVLPEDLAPLLEVAALRAPALYCLLAWSLPPSHSLARAAPAAASVTLSPGGGADEVGIGVRGGVLLGLGTATSDNMYSTNHTDNEMAKLLLSALLICISAIWVVAAADVPAGRRGCQTRCGNLDIPFPFGIGDQCAIHGGFGLDCKNDSGTYRTFIGPFEVTKISVPDAKAWMKMGISWQCYDPVTKQMNDSMVGQNFTNTPYRFSYEDNKIFVIGCNTMAYMRGVSYVIGCLSTCSDEPTNGSCSGAGCCSVDVPPDLGYVEAYFNKDYNTSQIWNYSRCGYLVVMEKAAFRYSTTYIPSINFWNDYNGTVPAVMDWVIRRETCEEAKRDMSSYACVSDNSDCHNSTNGHGYLCKCSKGFDGNPYIKDGCKDINECLDNTTYPCAGLCQNTMGGYDCSCHQGQHKVEDVCVPDQKNQKSSWEMPVVGASVGFVILVIIATCSYLIHERRKLQHIKQKYFKLHGGLLLFQEMNSNERKSFTIFSEAELQHATNKFDKNQILGHGGHGTVYKGLIKDNTEIAVKKCMTMDEQHKKEFGKEMLILSQINHINIVKLLGCCLEVQVPMLVYEFIPNGTLCNLIHGNHGQNISLVTRLRIAHESAEALAYLHSYASPPIIHGDVKSSNILLDVNFMAKVSDFGASILAPIDKSQLVTLVQGTWGYLDPEYMQTCELTDKSDVYSFGVVLIELLTRKNVFNLDAPENEKSLSMRFLSAMKENKLENILDDQISNNENMEFLEEVADLAKQCLAMCGEDRPSMKEVAEKLDRLIKVMQHPWTQQNPEELESLLGESSYIISSEASSTRNFSIEKKVVKDLASGR >ONIVA09G14060.1 pep chromosome:AWHD00000000:9:15270971:15271165:1 gene:ONIVA09G14060 transcript:ONIVA09G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYDALVADVEASLARAFRMLLEAVDRKIDGEVGATHGELAALLEESWSLRASSSGSTPGPMS >ONIVA09G14050.1 pep chromosome:AWHD00000000:9:15266045:15270027:-1 gene:ONIVA09G14050 transcript:ONIVA09G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHQLLLLPGCLLLYLGAIATLAAADVAIPAGGQPPGCRKRCGDVGIPYPFGIIDPDRPDCAYSRGFQLNCTSVNGAARPMFHNIEVTNISVPNGKAWMKTNISSQCFDPETNRTLYDDIWNSFRYSPYWLSNEDNKLIVVGCNSLAYMRSTSFITRQSMQYVIGCSSTCDNVDLKNGSCSGAGCCQADIPKGIRYYQGYFNANYNTTAIWRSSPCNYMVVMETSAFNFSTTYVDSTVFSDTYKGMVPTVLDWTVEWKKCEEAKENRTSYACVSSNSYCVDATNGRGYRCKCSDGYKGNPYITDGCEGPFPAILIPLPLQIKRCRKSGSIGVVTLVTIVTCAYLIQERNKLHSIKQKYFRQHGGRLLFEEMKGTAFKIFTEEELQKATNNFDEKKILGHGGHGTFYKGFLNGNTEVAIKRCKTIDEQQKKEFGKEMVILSQVNHKNIVKLLGCCLEVEVPILVYEFIANGTLFHLIHDGHGRHISISTRLQIAHQSAEALAYLHSWASPPILHGDVKSSNILLDCDFTAKVSDFGASILAPTDDAQFVTFVQGTRGYLDPEYMQTWKLTDKSDVYSFGVVVLELLTRKKPLNFDGLEDEKSLSVRFLSAVKENKLEEILDDQIKSEENMEILEEIAELARRCLEMCGENRPSMKEVAEKLDSLRKVLHHPWALHNLEEAESLLGESSIVSSEVVSTGNFSIEKKSLIGLESGR >ONIVA09G14050.2 pep chromosome:AWHD00000000:9:15266045:15270027:-1 gene:ONIVA09G14050 transcript:ONIVA09G14050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERHQLLLLPGCLLLYLGAIATLAAADVAIPAGGQPPGCRKRCGDVGIPYPFGIIDPDRPDCAYSRGFQLNCTSVNGAARPMFHNIEVTNISVPNGKAWMKTNISSQCFDPETNRTLYDDIWNSFRYSPYWLSNEDNKLIVVGCNSLAYMRSTSFITRQSMQYVIGCSSTCDNVDLKNGSCSGAGCCQADIPKGIRYYQGYFNANYNTTAIWRSSPCNYMVVMETSAFNFSTTYVDSTVFSDTYKGMVPTVLDWTVEWKKCEEAKENRTSYACVSSNSYCVDATNGRGYRCKCSDGYKGNPYITDGCEGGSIGVVTLVTIVTCAYLIQERNKLHSIKQKYFRQHGGRLLFEEMKGTAFKIFTEEELQKATNNFDEKKILGHGGHGTFYKGFLNGNTEVAIKRCKTIDEQQKKEFGKEMVILSQVNHKNIVKLLGCCLEVEVPILVYEFIANGTLFHLIHDGHGRHISISTRLQIAHQSAEALAYLHSWASPPILHGDVKSSNILLDCDFTAKVSDFGASILAPTDDAQFVTFVQGTRGYLDPEYMQTWKLTDKSDVYSFGVVVLELLTRKKPLNFDGLEDEKSLSVRFLSAVKENKLEEILDDQIKSEENMEILEEIAELARRCLEMCGENRPSMKEVAEKLDSLRKVLHHPWALHNLEEAESLLGESSIVSSEVVSTGNFSIEKKSLIGLESGR >ONIVA09G14040.1 pep chromosome:AWHD00000000:9:15264779:15268486:1 gene:ONIVA09G14040 transcript:ONIVA09G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSKPSLVVGLFGSCTLQLNMPCSDRVGRTQNPPNQILFIFFGGGISTYMYVMTSRTSFHMSNMSHLKN >ONIVA09G14040.2 pep chromosome:AWHD00000000:9:15264723:15268486:1 gene:ONIVA09G14040 transcript:ONIVA09G14040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPEEQTTILKEGGRGIKMAGKGPSHPSVMYGLPLYPSEHLQR >ONIVA09G14030.1 pep chromosome:AWHD00000000:9:15254512:15258611:-1 gene:ONIVA09G14030 transcript:ONIVA09G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPLLLQYSSLLLLCLAVSAPPAAAGNVPAPVAAVSKPGCPTKCGAVDIPFPFGIGEHCGLEAPYTNYPFKFDCKPVDGTSKPFFRGMEVTKISMEDGKAWMKMNISKNCYNQSTGTREDNTNTTSVSFSRSPFWISDRDNKIIVIGCETFSYMQINNVLTGCVPSCGNDPKDGICSGEAGCCKLDFPNGTWYYSTYFSKRNNNSSPCSFITVMETTTFNFNKNYFNSTTFYDTYNGLAKVSLDWIITMDSCDRVKRNTTSYACISGKSRCVDDPKGGYRCKCSDGYEGNPYVKDGCKDINECLDNATYPCPGICKNTLGNFTCSCYPGNYMMNGICIPNQKSGFPKNLVIGASVGAVLLVIIVTYACFIREKRKLQYVKKRYFRQHGGMLLFEEIKSQQGISFKIFSEEELQQATNKFDKQQVLGQGGNATVYKGLLKGNMEIAVKRCITIDMKQKKEFGKEMLILSQINHRNVVKLLGCCLEVEVPMLVYEFIPNGTLFSLIHGNHNQHISLDTRLRIAHESAEALAYLHSWASPPILHGDVKSSNILLDKDYVAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVILELLTRKKAFNLESPEDERSLAMRFLSAMKEKRLSDILDDQIMTGDNLEFLEEIAELAKQCLEMSGENRPLMKEVADKLDRLRKVMQHPWAQQNPEEMESLLGDSSYEINNSTVENTGNFSINSELQCLESGR >ONIVA09G14010.1 pep chromosome:AWHD00000000:9:15239670:15245184:1 gene:ONIVA09G14010 transcript:ONIVA09G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDHQSKVQRLYDACDAVFSSGSKAGLPTLKQIRWLQDLLDGMEAADVGIEGGGSGGERSSSSEDDDERSPPGRRFLSARAFTRITYVHIHECDDFSIGVFCFPAGATLPLHDHPQMVVLSKLLYGSMRVKSYDWANAPPCSGPRKSGLARVVAVDEMREAPCKASVLFPRSGGNIHSLTAVTPCALLDVLAPPYAEDLGRPSTYFSDIPIPSLPGFAVLEEADLPDGFRVAGAPYVGPELTIDMDSMYN >ONIVA09G14000.1 pep chromosome:AWHD00000000:9:15228560:15234080:1 gene:ONIVA09G14000 transcript:ONIVA09G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3550/UPF0682) [Source:Projected from Arabidopsis thaliana (AT4G40050) TAIR;Acc:AT4G40050] MADGEASSGAYREFKALTEAADRKFARARDVPLYGGGVDHHSRKAFKAYTRLWRLQQERRRELVASGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFAAAAQVVVGGGDRHQGLLIRYKELRFIARFLVVAMLMRRAEAVDHLASRLRSLVEETKSAYPKTNFKEWKQVLQELGRFLKADGAYKGSRSLRYDNLFDSFPSNLAPIARFHSKRVLKLKEAVLTSYHRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTISDQSGPSGLIDIHLSSEISDGNLPANPQKAIIYHPTVSHLLAVLATVCEELSQDSILLVYLSASGFSEQNITSQKYASSSSYARATSVYPIDKPNSNGNSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAEKGEPAALLLSPRIASAMPGVESTSNGSQFTYFLTAPMQAFCQLAGITSDIDSDTYANAEIILFSALEQYEGILCTSVGLNNVWGQILPDPFLRRLIVRFIFCRAVIFYFHPEENGEHIPICLPSLPESVAPNAEAIMAPILEFAENLVVSDRFHFRHSVRNNKK >ONIVA09G13990.1 pep chromosome:AWHD00000000:9:15214532:15217497:1 gene:ONIVA09G13990 transcript:ONIVA09G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPGGAGGGGGSPSLVTMANSSDDGYGGVGMEAEGDVEEEMMACGGGGEKKRRLSVEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDSLRLDHDALRRDKDALLAEIKELKAKLGDEEAAASFTSVKEEPAASDGPPAAGFGSSDSDSSAVLNDVDAAGAAPAATDALAPEACTFLGAPPAAGAGAGAAAAASHEEVFFHGNFLKVEEDETGFLDDDEPCGGFFADDQPPPLSSWWAEPTEHWN >ONIVA09G13980.1 pep chromosome:AWHD00000000:9:15204707:15206317:-1 gene:ONIVA09G13980 transcript:ONIVA09G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAYRRAGGCRRRTPAKRVRARCWSTRELGDVRRDRARKGKECSEANYHIFGVSSDKFTSLRLTILMLISSEIPDENGRCRVKEQRELGVGADGHGLSRRSGMVLSSEWIDAEQGGHGH >ONIVA09G13970.1 pep chromosome:AWHD00000000:9:15193282:15197300:1 gene:ONIVA09G13970 transcript:ONIVA09G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRIAASHRPPLLLPSPHQLRRRHIAAVPLSLPHTSLSLSSHHHHHHRLAPTPLRRRIPPLLASQTPNPEADSPAPAGAKLAPLLVSLAVGLAVRFLAPRPPEVSPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTASVATHTLPFAAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGGSTLGLSYGLTISEAFISPAMPSTTARAGGVFLPIIKSLSLSAGSKPNHPSSRKLGSYLVMSQFQAAGNSSALFLTAAAQNLLCLKLAEELGIIVANPWVAWFKAASLPAIASLLATPYLLYKIFPPETKDTPDAPALAAEKLERMGPVTKNEWVMIGTMLLAVSLWVFGDAIGVSSVVAAMLGLSILLLLGVLDWDDCLNEKSAWDTLAWFAVLVGMAGQLTNLGIVSWMSSCVAKLLESFSLSWPAAFCVLEASYFLIHYLFASQTGHVGALYSAFLAMHVAAGVPRVLSALALAFNTNLFGALTHYSSGQAAVYFGAGYLELPDVFRMGFVTALINILIWGVVGTFWWKLLGLY >ONIVA09G13960.1 pep chromosome:AWHD00000000:9:15185944:15192814:1 gene:ONIVA09G13960 transcript:ONIVA09G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine tRNA-ribosyltransferase catalytic subunit 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IL26] MALRFEVLGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLELRPGSQLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVKGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCPCMVCKNYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHMSILEGRFPEYVIIQLNFVSFVSFLTVLVNKKVSMPYCRFVRGFLRMQFPKGDVPKWVRNAMVVAGIDISECCTPAKYPRDAMDAPGTDIPELLPPTKCS >ONIVA09G13960.2 pep chromosome:AWHD00000000:9:15185944:15192020:1 gene:ONIVA09G13960 transcript:ONIVA09G13960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine tRNA-ribosyltransferase catalytic subunit 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IL26] MALRFEVLGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLELRPGSQLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVKGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCPCMVCKNYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHMSILEGRFPEYVIIQLNFVSFVSFLTVLVNKKVSMPYCRFVRGFLRMQFPKGDVPKWVRNAMVVAGIDISECCTPAKYPRDAMDAPGTDIPELLPPTKCS >ONIVA09G13950.1 pep chromosome:AWHD00000000:9:15169212:15179842:-1 gene:ONIVA09G13950 transcript:ONIVA09G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isoamylase 3 [Source:Projected from Arabidopsis thaliana (AT4G09020) TAIR;Acc:AT4G09020] MDSIGINRAPLGSSSSAAAVTARRGIALRPARRSVASTNRVGVATIGFGDASGLRARFDSVRSTTARAQSGNAGRSMTEERGCTMSDTEMPFKYSSGKAFPLGVSQVEGGLNFALFSQHASSVILCLKLPGRGTEDEKGADVVEFVLDQQKNKTGDIWHVIVEGLPASGVLYGYRVGGPQGWDQGHRFDSSTVLLDPYAKLVSGRKYFGVAEEKSSQHFGTYDFDSSPFDWGDDYRLPNLPEADLVIYEMNVRAFTADESSGLDSTSRGSYLGLIDKIPHLLELGVNAVELLPVFEYDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKELHKAGIEVILDVVYNHTNEADDAHPYMTSFRGIDNKVYYMLDLNKNAELLNFSGCGNTLNCNHPVVKELILDSLRHWVEEYHIDGFRFDLASVLCRGPDGCPLDAPPLIKEIAKDAVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGKYRDDLRRFIKGDPGMKGVFATRVSGSADLYQVNERKPYHGVNFVIAHDGFTLCDLVSYNLKHNDANGEGGCDGCNDNFSWNCGVEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTCINNFQWEQLEQRRDGHFRFFSEMIKFRHSNPILRRDRFLNKNDVTWHEDCWENQESKFLAFTVHDHNSGGDIYLAFNAHDYFVDAVIPPPPHHKCWNRVVDTNLESPNDIVPEGVPFTGPKYRIAPYSSILLKAKP >ONIVA09G13950.2 pep chromosome:AWHD00000000:9:15169212:15179842:-1 gene:ONIVA09G13950 transcript:ONIVA09G13950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:isoamylase 3 [Source:Projected from Arabidopsis thaliana (AT4G09020) TAIR;Acc:AT4G09020] MDSIGINRAPLGSSSSAAAVTARRGIALRPARRSVASTNRVGVATIGFGDASGLRARFDSVRSTTARAQSGNAGRSMTEERGCTMSDTEMPFKYSSGKAFPLGVSQVEGGLNFALFSQHASSVILCLKLPGRGTEDEKGADVVEFVLDQQKNKTGDIWHVIVEGLPASGVLYGYRVGGPQGWDQGHRFDSSTVLLDPYAKLVSGRKYFGVAEEKSSQHFGTYDFDSSPFDWGDDYRLPNLPEADLVIYEMNVRAFTADESSGLDSTSRGSYLGLIDKIPHLLELGVNAVELLPVFEYDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKELHKAGIEVILDVVYNHTNEADDAHPYMTSFRGIDNKVYYMLDLNKNAELLNFSGCGNTLNCNHPVVKELILDSLRHWVEEYHIDGFRFDLASVLCRGPDGCPLDAPPLIKGDPGMKGVFATRVSGSADLYQVNERKPYHGVNFVIAHDGFTLCDLVSYNLKHNDANGEGGCDGCNDNFSWNCGVEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTCINNFQWEQLEQRRDGHFRFFSEMIKFRHSNPILRRDRFLNKNDVTWHEDCWENQESKFLAFTVHDHNSGGDIYLAFNAHDYFVDAVIPPPPHHKCWNRVVDTNLESPNDIVPEGVPFTGPKYRIAPYSSILLKAKP >ONIVA09G13940.1 pep chromosome:AWHD00000000:9:15164021:15164446:-1 gene:ONIVA09G13940 transcript:ONIVA09G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQIVALAMAMLFAAASAQAPAATPTPAPKASPPPATPPPTPAPVSAPPAQAPATPPPAPAPAPKASAPAPAPKASAPAPVPAAAAPTPEISSPPAPSPAGLAPSPTAEVTPPPSAAAVVSPAAAWVAAAAVAAAAAFY >ONIVA09G13930.1 pep chromosome:AWHD00000000:9:15162957:15163284:-1 gene:ONIVA09G13930 transcript:ONIVA09G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRDEARPARHVPVVVPDPRGSDSPQTAEAEAQRERRRTRRDARVGFPVQAKGGSVTDGCVRRGKQQHSRCNAGSSAV >ONIVA09G13920.1 pep chromosome:AWHD00000000:9:15155192:15156834:1 gene:ONIVA09G13920 transcript:ONIVA09G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSNSIAEIGHLTSLSPSPSKCLTQLFPSLFLIIGQMAVSSAVLVGLLVVSCAAVAAATRYTVGDGEGWTTGVNYNNWANGKFFRQGDELVFNYQARAHTVTEVSQTNFDSCNGNSPLSNDNGGSTTIRLSYPGMHYFICTIPGHCSSGMKLAVNVNGDPSYSAASSPAAASAVAAAAAGALIKLALF >ONIVA09G13910.1 pep chromosome:AWHD00000000:9:15147691:15150061:1 gene:ONIVA09G13910 transcript:ONIVA09G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTLPATGAAAQPGQEDQSGIKNPGDGAGDSSGIDSGWVVLGKSDIVPADLAAAAADAGHRQLGFSPLPMLPIWVQMVLGGVVYTAVPFYNRARKAEDEVTKNVETALEVVEHAAEVTEKLAANVANALPENGTLHKLAEEVEYIAEIVDKDAQKVEIIIKKIEDVSNRIDAAVEPVIEELEKEFKP >ONIVA09G13900.1 pep chromosome:AWHD00000000:9:15141145:15146637:-1 gene:ONIVA09G13900 transcript:ONIVA09G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPERPSRVNGTATVDCSAYPLDCHPVCPGGSCYEIAEPPPPSPVVPRVDVAVDDHHLPVRLLLTVSLLSAFLFISLAVSTILLYRRRVVLRRRRRAATAPLPGDDGFGDGDEEAGGGGGDVHHVWYIRTVGLDEATITSIATAEYRAGVGWGGDCAVCLGEFRDGELVRLLPRCSHPFHAPCIDTWLRAHVNCPLCRSPVVVPSDLPATATEGEAEGGGQAEEHQVFDEISLSESRADVSEDSDTSSDTQSEDTAASPEDGGRVMPKPIRRSASMDSPLFLVVVPEAQDDAMRGDRKFPNGQEMKLFSVKEKDATGTSSSSCQAGRFGIGRSMSSSGQGFFFSRNGRSSSAVLPLFININMNMGNATMTYIDIHELKSNAVASPADLGRIHQIKSCTSFPSNLTFWANGWWLHFFILPDDASMEQNI >ONIVA09G13900.2 pep chromosome:AWHD00000000:9:15139190:15146637:-1 gene:ONIVA09G13900 transcript:ONIVA09G13900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPERPSRVNGTATVDCSAYPLDCHPVCPGGSCYEIAEPPPPSPVVPRVDVAVDDHHLPVRLLLTVSLLSAFLFISLAVSTILLYRRRVVLRRRRRAATAPLPGDDGFGDGDEEAGGGGGDVHHVWYIRTVGLDEATITSIATAEYRAGVGWGGDCAVCLGEFRDGELVRLLPRCSHPFHAPCIDTWLRAHVNCPLCRSPVVVPSDLPATATEGEAEGGGQAEEHQVFDEISLSESRADVSEDSDTSSDTQSEDTAASPEDGGRVMPKPIRRSASMDSPLFLVVVPEAQDDAMRGDRKFPNGQEMKLFSVKEKDATGTSSSSCQAGRFGIGRSMSSSGQGFFFSRNGRSSSAVLPLFININMNMGNATMTYIDIHELKSNAVASPADLGRIHQIKRTMWPALVMLRWSTVSRWPCSATTSRSSCLGRRRDSTRRLAWLSTMVTSTSATADGFDPDASASAVPAPPSSRPCTSFTTGATGAAAAAALIEYCGKVKKLANGAAAAAASWAAHCRELFRASSDWSSCSSSLTKLIAPPTMDA >ONIVA09G13890.1 pep chromosome:AWHD00000000:9:15138476:15140232:1 gene:ONIVA09G13890 transcript:ONIVA09G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVLLSRGDLFGRRRCAMEGGGGGGGGGGWSSPFSGFEGVMDLDGGNWDAAACSSMLLHGFQELEIPAAAAAAAAMAPPPPPVEPANCAENAGGVGGHQEDQAAATAVQSGRRKRRRARAAKNKEEVESQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYAQRGDQASIVGGAINFVKELEQLLQSLEARKSSRQCAAHDAAAAAAPFASFFTFPQYSMSAAAAAAPVAPVVNEVHGRDDGGAGTAEAEASGSKPSAVADVEVTMVESHANLRVLSRRRPRQLLRLVVALQGHRLTVLHLNMTSAGHMVLYSFSLKVEDDCQLTSVDEIATAAHQIIEKIQEEQGCSLD >ONIVA09G13880.1 pep chromosome:AWHD00000000:9:15134595:15135050:-1 gene:ONIVA09G13880 transcript:ONIVA09G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTDVVLSCVKAAAARRPDLRVFVCLDHYSTLCKGVKCDFLLQLLHARSMAGPDATLLADLDARAAWRLDQASLGAVMIPAFRYSGDPPDARLDVPFMLRLVRGFLREGGKASVGGTTATCRVARLVDAYPPSSFATARPELENGMELGN >ONIVA09G13870.1 pep chromosome:AWHD00000000:9:15116067:15117281:-1 gene:ONIVA09G13870 transcript:ONIVA09G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARVHSNGRLLMLLLLLAVAGFAAAQPSQDNPPAGYYATNFSPSMAIVIVVLIAAFFFLGFFSIYVRHCYGGRGDYSTTPLPRSGAARSRRQRGLDQSVLATFPTMAYADVKAHKSVKGALECAVCISEFDDDETLRLLPKCSHVFHQDCIDTWLASHATCPVCRANLVDGASEPASDVAAELPTAPAPRPEGATPSEAAAPGGEAAAAAVVIDVEETEEERIIREEAAELTRIGSLKRALRSKSGRTPAARFPRSHSTGHSLSSSAAASAGAERFTLRLPEHVLREVIAAGQLQRTTSLVAFRAGRQGSTRRGLRSGGGGGGEGSSRAGRSVRLGQSGRWPSFLARTFSARLPAWGSRSTRRGDGDGSSKGGRTAGSGAGAGAGGKSVVCDDQACVVGQRV >ONIVA09G13860.1 pep chromosome:AWHD00000000:9:15113548:15113973:-1 gene:ONIVA09G13860 transcript:ONIVA09G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYLGGADACAELAESGQLLVMAKRLGEEGLMQEFASGVRLLMDPAWTTPWRRPGGEAGHAVDVAAEEEEDAGHRTAAGSGGDEEDDDADKEERIPSGRAGTGHADADDHREKGENRGNKERRLTWITLTCRPHGNSAAT >ONIVA09G13850.1 pep chromosome:AWHD00000000:9:15111011:15112012:-1 gene:ONIVA09G13850 transcript:ONIVA09G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLRRLAATLLSSPGANSSPASAADAHQAVARATAHHPPAAPPAAHHLDALLAFGRGSRLSASALATALTDRLRAAASGNGDAAVALKCLVLLRILLARGAFILRDQLVAALVRHPASGRNPLALAAFPLGRSFAAATWVRFSARLLELLLLLPDSSHDAADADYLIALPNPHVIAELSAYASVADAVRQAPPPSSAPQHNGLIWELIRLAEEDRVAAERNIAARVHEMGERLATLTLADAVELVCVLRQVEESTSSPADWKWAGLDEAVVGEARRLRERAEEVVLRRTEQERRLVRRGTAGSMSVRVLTGGGGCGEAVRFGSTRWSSTRR >ONIVA09G13840.1 pep chromosome:AWHD00000000:9:15102399:15109957:1 gene:ONIVA09G13840 transcript:ONIVA09G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IL11] MASVPLLAEWPAGKEKEEGRVRRRLPALAREAWEESKKLWEIVGPAVFLRLVLYSFNIISQAFAGHIGDLELAAFSIANNVITGLNFGFLLGMASALETLCGQAYGAKQYSMLGIYLQRSWIILFVFAVLLVPTYVFTAPLLEALGQPAALARKAGMVSVYMLPSHFQYAVLLPLNKFLQSQRKNWVTVVTAAAAFPVHIAVSWLLVSRLRFGVLGAAMSLGVSGWLVTLLQLAYVVGGGCPVTWSGFSPLAFVDLWGFVKMSVSSGVMVCLETWYYKILILLTGHLKNSELAVNALSICMSFQSWEMMIPVGFLAGTGVRVANELGAGNGKGAKFATIVSTTTSFLIGLFFSALALAFHDKIALVFSSSNAVIDAVDNISFLLAVTILLNGVQPVLSGVAIGSGWQAAVAYVNIGCYYFIGVPIGVLLGWSFNLGVLGIWAGMIAGTAIQTIILAHMTIQCDWNEEVLQASERVQRWGNPK >ONIVA09G13840.2 pep chromosome:AWHD00000000:9:15102399:15110015:1 gene:ONIVA09G13840 transcript:ONIVA09G13840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IL11] MASVPLLAEWPAGKEKEEGRVRRRLPALAREAWEESKKLWEIVGPAVFLRLVLYSFNIISQAFAGHIGDLELAAFSIANNVITGLNFGFLLGMASALETLCGQAYGAKQYSMLGIYLQRSWIILFVFAVLLVPTYVFTAPLLEALGQPAALARKAGMVSVYMLPSHFQYAVLLPLNKFLQSQRKNWVTVVTAAAAFPVHIAVSWLLVSRLRFGVLGAAMSLGVSGWLVTLLQLAYVVGGGCPVTWSGFSPLAFVDLWGFVKMSVSSGVMVCLETWYYKILILLTGHLKNSELAVNALSICMSFQSWEMMIPVGFLAGTGVRVANELGAGNGKGAKFATIVSTTTSFLIGLFFSALALAFHDKIALVFSSSNAVIDAVDNISFLLAVTILLNGVQPVLSGVAIGSGWQAAVAYVNIGCYYFIGVPIGVLLGWSFNLGVLGIWAGMIAGTAIQTIILAHMTIQCDWNEEVLQASERVQRWGNPK >ONIVA09G13830.1 pep chromosome:AWHD00000000:9:15087786:15096549:-1 gene:ONIVA09G13830 transcript:ONIVA09G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEATKDVGHEQAAVPGRGRAARWLLVALNCGMLVVGTTGGPLISRLYFSKGGHRQWLSAWLQTAGWPLLLVPVAASYLSRRARDRRAPLFLTPTRVLLAGVGLGFLNGADDFIYAYGLAYLPVSTSAILISTQLAFTVFFACLIVRQRLTAATLNGVALLTIGAVVLGLHASKDRPAGVTSGKYWMGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMRLTAATLNAVALLTIGAVVLGLHVSKDRPAGVTNGKYWMGFFLIIGAAALYGLILPLVELAYKHVAARGRAVTYALVMEMQLVMGFFATAFCTVGMRRHRRCIQSYPLLLLCYPPKLES >ONIVA09G13830.2 pep chromosome:AWHD00000000:9:15081267:15096549:-1 gene:ONIVA09G13830 transcript:ONIVA09G13830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEATKDVGHEQAAVPGRGRAARWLLVALNCGMLVVGTTGGPLISRLYFSKGGHRQWLSAWLQTAGWPLLLVPVAASYLSRRARDRRAPLFLTPTRVLLAGVGLGFLNGADDFIYAYGLAYLPVSTSAILISTQLAFTVFFACLIVRQRLTAATLNGVALLTIGAVVLGLHASKDRPAGVTSGKYWMGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMRLTAATLNAVALLTIGAVVLGLHVSKDRPAGVTNGKYWMGFFLIIGAAALYGLILPLVELAYKHVAARGRAVTYALVMEMQLVMGFFATAFCTVGMAIPREAKQYELGEARYYVVLVFNAVLWEFFFVGAVGVIFCVHTLLAGIIIAVFIPITEVLGVIFLHEKFSSEKGVALVLSLWGLASYSYGEYADAKAKKKAALEAEEAS >ONIVA09G13830.3 pep chromosome:AWHD00000000:9:15081267:15087683:-1 gene:ONIVA09G13830 transcript:ONIVA09G13830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEATKDVVHEQAAAPGRGRAARWLLVALNCGMLVVGTTGGPILSRLYFSKGGHRKWLSAWLETAGWPLLLVPVSASYHSRRARDRGAPLFLTPRRVLLAGAVLGVLTGADDFVYAYGLAYLPVSTSAILISTQLAFTVFFACLIVRQRLTAATLNAVALLTIGAVVLGLHASKDRPAGVTTGKYWMGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGVIVNKDFQAIPREAKQYELGEARYYVVLVFNAVLWEFFFVGAVGVIFCVHTLLAGIIIAVFIPITEVLGVIFLHEKFSSEKGVALVLSLWGLASYSYGEYADAKAKKKAALEAEEAS >ONIVA09G13830.4 pep chromosome:AWHD00000000:9:15081267:15096549:-1 gene:ONIVA09G13830 transcript:ONIVA09G13830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEATKDVGHEQAAVPGRGRAARWLLVALNCGMLVVGTTGGPLISRLYFSKGGHRQWLSAWLQTAGWPLLLVPVAASYLSRRARDRRAPLFLTPTRVLLAGVGLGFLNGADDFIYAYGLAYLPVSTSAILISTQLAFTVFFACLIVRQRLTAATLNGVALLTIGAVVLGLHASKDRPAGVTSGKYWMGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMAIPREAKQYELGEARYYVVLVFNAVLWEFFFVGAVGVIFCVHTLLAGIIIAVFIPITEVLGVIFLHEKFSSEKGVALVLSLWGLASYSYGEYADAKAKKKAALEAEEAS >ONIVA09G13820.1 pep chromosome:AWHD00000000:9:15073947:15076420:-1 gene:ONIVA09G13820 transcript:ONIVA09G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAATTQRQPCKNAITTKQQQQQLSTGRLFRSPLLVVNFVLMVVGSACGPLLLRAYFLRGGNRKWLSSLLQTAGWPLLLAPLCFSYSSRRRRREVEDDGAGAGAAATPLFLMTPRLLVASAVVGLMTGVDDLLYAYGLAYLPVSTSSILISTQLAFTAAFALLLVRQRFTAFSVNAVVLLSVGAAMLGMNAGGDRPAGVSRAQYCAGFAMTLAAAALYGLVLPVMELSQAHHAAARGAVTYTLVMEMQLVIGFVATAFSAVGMLVNNDFHLESNQTQAIPGEAHEFGLGQAGYYLLLAGSAAMYQCFFLGTIGAIFYGSALLAGVIMTVLIPVTEVLAVMFFHEPFNGTKGVALALSLWGFVSYFYGEVRAAKAAHRRRHSDEPPKPDHLDP >ONIVA09G13810.1 pep chromosome:AWHD00000000:9:15067739:15075349:1 gene:ONIVA09G13810 transcript:ONIVA09G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin 7 [Source:Projected from Arabidopsis thaliana (AT3G21110) TAIR;Acc:AT3G21110] MGRMEYLSYRSTTLAVRPKPRAALPPPPKPQAKRGTPAAKPPNLSPFSSPMSPSAPPSALRLRGLPKASLPASSSFPPSARFPHHLSMSASSSRRPPPLAATAAAAGTGSGASPSLLAADPGHRDAVLLAARGAMANCLGETSLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGARNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDENSKFPLFDKQVHTPDSSRYWIADSYEERFSSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNTKFEIPKTQEPIHERISRNVAQALQNL >ONIVA09G13810.2 pep chromosome:AWHD00000000:9:15067739:15072104:1 gene:ONIVA09G13810 transcript:ONIVA09G13810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin 7 [Source:Projected from Arabidopsis thaliana (AT3G21110) TAIR;Acc:AT3G21110] MGRMEYLSYRSTTLAVRPKPRAALPPPPKPQAKRGTPAAKPPNLSPFSSPMSPSAPPSALRLRGLPKASLPASSSFPPSARFPHHLSMSASSSRRPPPLAATAAAAGTGSGASPSLLAADPGHRDAVLLAARGAMANCLGETSLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGARNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDENSKFPLFDKQVHTPDSSRYWIADSYEERFSSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNTKFEIPKTQEPIHERISRNVAQALQNL >ONIVA09G13810.3 pep chromosome:AWHD00000000:9:15067739:15071850:1 gene:ONIVA09G13810 transcript:ONIVA09G13810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin 7 [Source:Projected from Arabidopsis thaliana (AT3G21110) TAIR;Acc:AT3G21110] MGRMEYLSYRSTTLAVRPKPRAALPPPPKPQAKRGTPAAKPPNLSPFSSPMSPSAPPSALRLRGLPKASLPASSSFPPSARFPHHLSMSASSSRRPPPLAATAAAAGTGSGASPSLLAADPGHRDAVLLAARGAMANCLGETSLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGARNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDENSKFPLFDKQVHTPDSSRYWIADSYEERFSSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNTKFEIPKTQEPIHERISRNVAQALQNL >ONIVA09G13810.4 pep chromosome:AWHD00000000:9:15071349:15073701:1 gene:ONIVA09G13810 transcript:ONIVA09G13810.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin 7 [Source:Projected from Arabidopsis thaliana (AT3G21110) TAIR;Acc:AT3G21110] MAADKGVKVFGMWASPMAIRVEWALRLKGVDYEYVDEDLANKSEALLRHNPVTKKVPVLVHDGKPLAESTVIVEYIDEAWKHGYPIMPSDPFDRAQARFWARFAEEKEQRKLVHEAQQCLKTLETALEGKKFFGGDAFGYLDIVTGWFAYWLPVIEEACGVEVVTDEALPLMKTWFDRVLAVDAVKAVLPPRDKLVALNKARREQILSA >ONIVA09G13800.1 pep chromosome:AWHD00000000:9:15062174:15065249:-1 gene:ONIVA09G13800 transcript:ONIVA09G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoenolpyruvate carboxylase-related kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G12680) TAIR;Acc:AT1G12680] MESSLPRKRKGARSACSLVGSSHEPTTVARKRACREPRPEKKKKDPSSDDASGRGGGGGVVQTAPPASGRAAPESPSRGLKRKLGCIESATRMGRKKRLESEYELGAEIGQGKFGSVRICRAKVGGEEFACKALPKNGEETVHREVEIMQHLSGHPGIVTLKAVFEDADKFYLVMELCGGGRLLDEMAREGKFSEQRAAIVIKDLMSVVKYCHEMGVVHRDIKPENILLTKAGKIKLADFGLAARVADGQKLSGIAGSPAYVAPEVLSGCYSEKVDVWGAGVLLHVLLHGSLPFQGGSLDAVFEAIKTVELDFHSGPWESISSLARDLISRMLNRDVPSRITADEVLSHPWVVFYTECPLKAVTANLSITNNIVAPRITWDRIRLHCESISSDSSSQRSADQDECGIVDALTAAITNVRISEPKRSRLCNPAIPIQQECSSNLKSNLCTAF >ONIVA09G13790.1 pep chromosome:AWHD00000000:9:15058890:15060328:-1 gene:ONIVA09G13790 transcript:ONIVA09G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSREGNLKHVKGSLCLVPPLLLAVFFYLQFQTLGLFSPIFRCGGQSAGGGGSDDYVDRLRASATFLPLKDTREWAETWFISTLDDTSEPEGEAKNIVFPSAASAGRLLCMSAPSRRDGTRNAYALAWRDALPGGAELRPGLAYVSETAYDHSNLWHGISALIPFASWHARSGCRARPARWALFHHGEVRLGMSPWLTSLAEATTGVGMVVETFNASDVPVCFEEAVVFRRNMAGMTRERLLAAFDFMRCKARAQCGVVADVSDPDSAAVRVTILFRTGTRAFKDEAAVTRVFKSECARVAGCALTTARSDNLTFCDQVKLMSGTDVLISSHGAQMTNLVFMDRNSSIMEFYPKGWRERAGGGQFVYRWGADRSGMRHEGSWWDPHGEPCPGSLDILSCYKNRQIGHDEAYFAQWAARVFAAAKERKAGGSPASTRRREAPTCQCS >ONIVA09G13780.1 pep chromosome:AWHD00000000:9:15034139:15034978:-1 gene:ONIVA09G13780 transcript:ONIVA09G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDDGDEEMPPMPVSSSYETPPQHGLAGGGMAPKPPGEIGSHVKGPSCGGGRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGTIDALRCAACNCHRNFHRKESESLAGEGSPFSPAAVVPYGATPHHQFSPYYRTPAGYLHHHQHHMAAAAAAAAAAAGGHPQRPLALPSTSHSGRDDGDDLSGMVGPMSAVGPLSGMSLGAGPSGSGSGKKRFRTKFTQEQKDKMLAFAERVGWRIQKHDEAAVQQFCDEVGVKRHVLKVWMHNNKHTLGKKLP >ONIVA09G13770.1 pep chromosome:AWHD00000000:9:15023579:15025291:1 gene:ONIVA09G13770 transcript:ONIVA09G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEANPYAMPSSSRTETVKNALSRWARRVGETTRKAEDLSRNTWQHLRTAPSIGEAAVGRIAQGTKVLAEGGHDRIFRQAFSAPPDEQLRKSYACYLSTSAGPVMGILYLSTARVAFCSDSPLSYEAGGGSKEWSYYKVAIPLHRLRSASPSASKQRPAEKFIQLVSVDRHEFWLMGFVNYDSAVKHLQEALSGFHHLQA >ONIVA09G13770.2 pep chromosome:AWHD00000000:9:15023579:15025567:1 gene:ONIVA09G13770 transcript:ONIVA09G13770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEANPYAMPSSSRTETVKNALSRWARRVGETTRKAEDLSRNTWQHLRTAPSIGEAAVGRIAQGTKVLAEGGHDRIFRQAFSAPPDEQLRKSYACYLSTSAGPVMGILYLSTARVAFCSDSPLSYEAGGGSKEWSYYKVAIPLHRLRSASPSASKQRPAEKFIQLVSVDRHEFWLMGFVNYDSAVKHLQEALSGFHHLQA >ONIVA09G13760.1 pep chromosome:AWHD00000000:9:15014506:15015258:-1 gene:ONIVA09G13760 transcript:ONIVA09G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWLAKTLPPLAKFGQSKSLANGGPHVLLPPAASLSASSLSYPLSPFVSARRPGVNAGAADPTGRRLPCQPSSPLQAAIAFLLRRWPSAQLDKEDDGAARRLSNNAGAELVMADAAVPLAAADFEQVDQFTCGGFSLTVAMNHLLAGGESVCAIDSEEGRARRSGWRAWRRGRPARIRSRRRLMRLLSSSGAGHRRVKRWHRRRLPIHAPPRLKPPPPHPPVGKRATGAGWVEVGKGKGVTVEEERGRR >ONIVA09G13750.1 pep chromosome:AWHD00000000:9:15010514:15013488:1 gene:ONIVA09G13750 transcript:ONIVA09G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSYEMAASILLCAEDSSSVLGFGGEEEEEEEDVVAGKRARCAGPPPPPCVDVAGVDFAVPSEECVARLVETEADHMPREDYAERLRAGGGDGDLDLRVRMDAIDWIWKDGKDWMTQLLAVACLSLAAKMEETDVPQSLDLQVGEERYVFEAKTIQRMELLVLSTLKWRMQAVTPFSYVDYFLRELNGGDPPSGRSALLSSELILCIARGTECLGFRPSEIAAAVVAAVVGEEHAAFSHVNKERMSHCQEVIQAMELIHPKPASPSRVFVSSSIPRSPTGVLDAAGCLSYRSDDSAVASHYAASSWGYEHDSSPVSSKRRKISR >ONIVA09G13740.1 pep chromosome:AWHD00000000:9:14990324:14992420:-1 gene:ONIVA09G13740 transcript:ONIVA09G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAAAALVRLPLRPARATLPNQGTHARTHARRDTVHSPRIFRCMGDGRAKTLVLFTPQGFGRRRAGASSLAVRAKDSDDLRVLISEKPAEPAPAKREGWEGFGREVGDGDGEVQVQGESTSWNVLNQIGVEVPQVMEVVGLGFTVWFTSRYLIFKENRDELITRIGSIKKQILGSRGD >ONIVA09G13730.1 pep chromosome:AWHD00000000:9:14979036:14983737:-1 gene:ONIVA09G13730 transcript:ONIVA09G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IKZ3] MASISGAAAPPSSSAACRLRLRRQLLLRPSHLRLRAPHSIADLSRSSSSSSEQQPSSTPAAPLASRPGQNGSTRGAVEKDPIKLWERYVEWLYQHKELGLFVDVSRMGFTEEFLRRMEPRMQRAFAAMRELEKGAIANPDEGRMVGHYWLRDPGLAPNSFLRTKIETTLDRILAFSQDVVSGKIKPPSSPAGRFTQILSIGIGGSALGPQFVSEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLDFSKQGVAVTQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQVKENPAALLALCWYWASEGIGNKVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQVDIQLLRYRTMTFFSMNAMTEERNILQGTRSALYSNDRESISVTVQEVTPRAVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLTVLNEARHIEMIYKIIQHMAANDRALIAEGSCGSPRSIKVYLGECNVDEDMLAA >ONIVA09G13720.1 pep chromosome:AWHD00000000:9:14972747:14973712:-1 gene:ONIVA09G13720 transcript:ONIVA09G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFVEGGAACVVAGSCTHPLDLIKVRMQLHGEGPPAPALAFPGGGAHHHHHHHLLQQQPPRRPGPIAVCAQILRAEGPTGLLSGVSATMLRQTLYSTTCMGLYDTLKRRWERDDGGGGGPLPLHRKVAAGLFSGGVGAAVGNPADVAMVRMQADGRLPAAQRRNYRSVADAIVRMARDEGVCSLWRGSPLTVKRAMIVAASQLATYDQAKEAILARRGQGADGLATHVAAGLAAGLVAASASTPVDVVKTRVMNMKVVAGAPPPYSGALDCLIKTVRSEGAMALYKGFVPTVTRQGPFTIVLFVTLEQVRKLLKGVDF >ONIVA09G13710.1 pep chromosome:AWHD00000000:9:14975686:14979747:1 gene:ONIVA09G13710 transcript:ONIVA09G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSASSSPAAAPRRSAPTMRLRREALESVLEELRRALDELRECGELGVPLPDPEGAVNDGGGGEEPPDNEEEGGGGGGSGGGNDDDSAASLAGGSDGETDKLCDLLKSTFESPNFFQKVDEIQKSLYQNDAVEQDPSWDIVKAVDLWEDDDLGDGYVLVKNDDATEGMAFFIATYISSLKTANECSPDQIRKVAHHSFASPQEDIFILKEKRIYHNQAILKVATTAFRTSCSVISKFL >ONIVA09G13710.2 pep chromosome:AWHD00000000:9:14975686:14979747:1 gene:ONIVA09G13710 transcript:ONIVA09G13710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSASSSPAAAPRRSAPTMRLRREALESVLEELRRALDELRECGELGVPLPDPEGAVNDGGGGEEPPDNEEEGGGGGGSGGGNDDDSAASLAGGSDGETDKLCDLLKSTFESPNFFQKVDEIQKSLYQNDAVEQDPSWDIVKAVDLWEDDDLGDGYVLVKNDDATEGMAFFIATYISSLKTANPSRRHFHLEREKVNFGRHGMGQKIYHNQAILKVATTAFRTSCSVISKFL >ONIVA09G13710.3 pep chromosome:AWHD00000000:9:14975686:14979747:1 gene:ONIVA09G13710 transcript:ONIVA09G13710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSASSSPAAAPRRSAPTMRLRREALESVLEELRRALDELRECGELGVPLPDPEGAVNDGGGGEEPPDNEEEGGGGGGSGGGNDDDSAASLAGGSDGETDKLCDLLKSTFESPNFFQKVDEIQKSLYQNDAVEQDPSWDIVKAVDLWEDDDLGDGYVLVKNDDATEGMAFFIATYISSLKTANPSRRHFHLEREKVNFGRHGMGQKIYHNQAILKVATTAFRTSCSGNDSALLFDPASTARQDPCS >ONIVA09G13710.4 pep chromosome:AWHD00000000:9:14967083:14979747:1 gene:ONIVA09G13710 transcript:ONIVA09G13710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNAATSQQPAECEKRGAISLPNSGRRLRLLRLKQRRGAASRDLVLDRILQPRIPTGNRNRSGGLCDLLKSTFESPNFFQKVDEIQKSLYQNDAVEQDPSWDIVKAVDLWEDDDLGDGYVLVKNDDATEGMAFFIATYISSLKTANECSPDQIRKVAHHSFASPQEDIFILKEKRAMTALCYLIQRQRLGRILAAERQQQVISRNSGTT >ONIVA09G13710.5 pep chromosome:AWHD00000000:9:14975686:14980626:1 gene:ONIVA09G13710 transcript:ONIVA09G13710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSASSSPAAAPRRSAPTMRLRREALESVLEELRRALDELRECGELGVPLPDPEGAVNDGGGGEEPPDNEEEGGGGGGSGGGNDDDSAASLAGGSDGETDKLCDLLKSTFESPNFFQKVDEIQKSLYQNDAVEQDPSWDIVKAVDLWEDDDLGDGYVLVKNDDATEGMAFFIATYISSLKTANPSRRHFHLEREKVNFGRHGMGQKIYHNQAILKVATTAFRTSCSVISKFL >ONIVA09G13710.6 pep chromosome:AWHD00000000:9:14967083:14975597:1 gene:ONIVA09G13710 transcript:ONIVA09G13710.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNAATSQQPAECEKRGAISLPNSGRRLRLLRLKQRRGAASRDLVLDRILQPRIPTGNRNRSGGYPSNGLCIFLGDRHVASNSKTQKSTPFNSFRTCSRVTKRTMVKGPCLVTVGTNPLYSAIAPSERTHRVMRTFPFFNTWPSNHHKSDSDSSND >ONIVA09G13700.1 pep chromosome:AWHD00000000:9:14965861:14966211:1 gene:ONIVA09G13700 transcript:ONIVA09G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHASSLAVTRVHTSALTDTDDLASALAATDATPPPLAAARATPPTSPPGKSMPPTSVTACSGMVLAPAACGRMEEVVQPVADEAEIEVVERDRKKREKRGGWRKKMNLTSGSHI >ONIVA09G13690.1 pep chromosome:AWHD00000000:9:14945886:14946415:-1 gene:ONIVA09G13690 transcript:ONIVA09G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALVSEMCLHRAKIDAVVRMECERMRDGLEQARRGSARCWCAWRWRWLQWLREKEAELDAAHRHVVELEELLRHAATESQALACSNEAVAAGIRLTFDHLLLRNAPAQCFGDSDPLAVVADNASTLPTASK >ONIVA09G13680.1 pep chromosome:AWHD00000000:9:14933137:14941072:-1 gene:ONIVA09G13680 transcript:ONIVA09G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALSLAVAVVAVAVAAVVAVARGALRRAAARREEVRRLARLAAVEAEVAEREAYCYARGRGGVAGAPLWTVPEVASPREDEEEEEEEEEAAAVELEMPAARQAEAAAAAAAAAVKGVCVISYNFLCMRILLFDSDTFKCQISHWRQGHKDECHPPRVDARPDNITVVSSVKKGVGMYNSFEQSVKSSVEPAVEVNKSVAAVPELSEANLVSDGVDNERKKMRGQKASITAKVSEDVLDNNRIRSVDSSRLPTSGKACNIQDATVNENFSKTSAGSSSSRVERSTTSEPELNHSNKQASGTDNLKSSRGLPSVSTVGTISSIHGLEKEAAMPNNRSLVKNIPRQQAAAKVVRHYPSEMTLFPYEHFVKLYNFDKVELRPFGLVNLGNSCYANAVLQCLAFTRPLTAYLTEGLHSRNCNLILSQNCVTYHGSKKEWCFMCEFEKLILEGRRGKSHLSPTGILSHLRDIGSSFGPGREEDAHEFLRYAIDTMQSASMKEAKKNGVYGLPEETTLVQLIFGGYLRSKIKCTMCQGSSEQCERILDLTVEIDGDINTLEEALHRFTSTEILDGDNRYNCSRCKSYERAKKKLTISEAPNILTIALKRYQSGNFGKINKAVRFTEYLNLSNYMSTADDISPVYQLYAVVVHHDVMNAAFSGHYVCYVKDTQGKWHKMDDSQVKPVSLEKVLSKCAYMLFYARCSPRAPNSVRKMILAQDSSCTKKAKQMVDPGPPSLEGGSYLSRHQGGQSCRDHIVYDLTYTFGGSSYTVVESPSPSDSSSLFSNSDAGSTSTFSSDSTDSTRNSTSMEEYDYIFGSSDQMYPVSTVVIPEEHELSYSRQRSSLNPSTSSQYVDQAAEVEMLHQHQHQAGRGGWDEGDVMPFFYSNQGKHHDSSRSSNISSSNRKLTEQRRTIGEVDHGPGEGHGSVLLRRAARERIAQAIY >ONIVA09G13670.1 pep chromosome:AWHD00000000:9:14920902:14921909:-1 gene:ONIVA09G13670 transcript:ONIVA09G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCERDMNKESMYQERDDMAGIRFATPPPPQQQQQQQLVECFSDEVDSRGSGGEMKDAVGSGSGQLVVVGGGDGASIEVAKKRRGRPPGSKNKPKPPVVITREAEPAAAMRPHVIEIPGGRDVAEALARFSSRRNLGICVLAGTGAVANVSLRHPSPGVPGSAPAAIVFHGRYEILSLSATFLPPAMSSVAPQAAVAAAGLSISLAGPHGQIVGGAVAGPLYAATTVVVVAAAFTNPTFHRLPAADDASVSVSVSLSGSGDADEHRGHQHKPEPQEPRQLRRPPPHLSAAAAVSAAQPVEPCGAPMYACHPQPQEVMWPPPARTPHPPPPPPPY >ONIVA09G13660.1 pep chromosome:AWHD00000000:9:14910559:14911576:1 gene:ONIVA09G13660 transcript:ONIVA09G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGDVGQSGGRRSIAAEAASRPERWRWRVGLSGVQRRQHLEKTQRAVRWSIASLGRGAVVVAWRSNGRLAHGGITTCSSSLGFVSVEPVIFVGHRHRSISCASFPAAGCALSRPPQRRRRRHERRDAVAAATFEKGGGGGTCDLEVGPSERPRSGGTSELEVEDPGGGEVSDLDGIAPTVDDPRLPVDARAVPTSLPPPEAYLWPPSSSARLPPWFPAATATGHRRDAALLSYFAPLTGYRQRRLRERRNRGRERRGGER >ONIVA09G13650.1 pep chromosome:AWHD00000000:9:14901001:14904074:-1 gene:ONIVA09G13650 transcript:ONIVA09G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IKX9] MAPSLLRPASPCLNLAPPTADGPGRSCSAVTIGGSRPLSVSLRVGGSSRRDFPCTTMASRDHSGLTRQLLDFQHGTVDEIDGEHDPFMELKARFMDFKHRNCVDNISNYQNLAQQQTPKFMVVACADSRVCPSSVLGFQPGEAFTVRNIANLVPPYQVENVLVVGHSRCGGIQALMSMKSKQDDSQSRSFIRDWVSIAKSARLSTEAAAGNLNFELQCKHCEKESINSSLLNLLTYPWIEKRVNEGTLSLHGGYYNFIDCTFEKWKLVYRQGLEGGSKYAIKNRTTWS >ONIVA09G13650.2 pep chromosome:AWHD00000000:9:14901001:14904820:-1 gene:ONIVA09G13650 transcript:ONIVA09G13650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IKX9] MVTWPLRSAARRLAAAAAAARAAPASVAHSPPPAPMPPQPCPPEDAALQHLRRATGHDDGVPAAGEQPPSRRPIHRRDFPCTTMASRDHSGLTRQLLDFQHGTVDEIDGEHDPFMELKARFMDFKHRNCVDNISNYQNLAQQQTPKFMVVACADSRVCPSSVLGFQPGEAFTVRNIANLVPPYQVENVLVVGHSRCGGIQALMSMKSKQDDSQSRSFIRDWVSIAKSARLSTEAAAGNLNFELQCKHCEKESINSSLLNLLTYPWIEKRVNEGTLSLHGGYYNFIDCTFEKWKLVYRQGLEGGSKYAIKNRTTWS >ONIVA09G13650.3 pep chromosome:AWHD00000000:9:14904326:14905477:-1 gene:ONIVA09G13650 transcript:ONIVA09G13650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IKX9] MPSGWESTGEGAPFLAGACCVLWSPGPCDQQLGAWLLPPPPHAQLQLRSLTRHHLRRCLLSHVHLKTQRSSTCGAPPDTTTASLPPASSRRLVVQSIVFHAPTQRNSIVMRPMPLMGPSTWAHDNHSHSPSIEESNGQDPPTASQTDGRDVSPT >ONIVA09G13640.1 pep chromosome:AWHD00000000:9:14898252:14898978:1 gene:ONIVA09G13640 transcript:ONIVA09G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARSGDDAELGLDVECLAAAPRWTRARRSHSEAERKRRERINAHLDTLRGLVPSASRVRMDKAALLGEVVRYVRKLRSEAAGSAAVVPGEGDEVVVEEEEVEAARRVKASVCCADRPGLMSELGDAERSVSARAVRAEIATVGGRTRSVLELDVARTAAAGGGSNGASQLPALQAALRAVIMSQEELLAVESYKQRRFSADFA >ONIVA09G13630.1 pep chromosome:AWHD00000000:9:14889785:14890924:1 gene:ONIVA09G13630 transcript:ONIVA09G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAARGQAEQWMRVAEKLLVARDLEGCKEFVSQALCTDPHVPGANDLLAAADVLLAAQRRRIPNGHPDPYAVLGLDPSTPASRRPDAIHAQYRRLSFLLNRSHPDRPCSLSFAEAARLVADSWAFLSDPILKSALDAELDAAAAAAAAAAAAAAAAAARAYHPPAPMQQPQPQPPPQPTPPRAAPLPTPPRAPPQSTPPRAAPQSTPPQRVAPQPPATAAAPPIEPVAPPSPTFWTVCMSCCHIHQYDRLYEARKVLCPSCRQPFVAEEMAEPPPIVPGTDMYYCTWGFFPVGFPGCPGFEKLISSQQHGTDQPNTPWLGTTGGAEADGVAGAENGAPVSAAVEVQSAPKPAKPVRVKVGAKKRGRPKGSKNKKNL >ONIVA09G13620.1 pep chromosome:AWHD00000000:9:14883080:14883814:1 gene:ONIVA09G13620 transcript:ONIVA09G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVDGPTLRSLLRPSTNGRRTKASDGGGGGGGGGIFKMFKLMPMLTSGCKMVALLGRHNRALLADHATTVTLFGHRRGRVSLAIHEDTRAPPVFLIELPMLTSALHKEISSGVVKLALESDTRSARRRLVEEYVWAVYCNGRKAGYSIRRKEASDDERHVLRLLRGVSMGAGVLPAAPEKEGGVPAGPDGELTYVRARVERVVGSKDSEAFYMINPNEGGVGGDSAGDSSAPELSIFLVRMK >ONIVA09G13610.1 pep chromosome:AWHD00000000:9:14860598:14861570:1 gene:ONIVA09G13610 transcript:ONIVA09G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAPGIVYPRGACRRRNGGRAGTAIGINRCYGLEGLPRDGETVKGGDAWCSADKAEVASGRRHMKVFDACFPVSGGPQLPQWQRRQHDTPSTPSNLQLWTGSPAIWSLVAVRLHTQGVRRNVFGKKAERNGDFCWGLSLGCKETALELGVTKGEVAPLSLGVERRRAMATSMTPALVAAWAERLLRLRSMCERGMAHGLAVEWRTVK >ONIVA09G13600.1 pep chromosome:AWHD00000000:9:14841055:14844136:1 gene:ONIVA09G13600 transcript:ONIVA09G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRLNSSTADAAKSSKSTPHVAATARPVACGIPRHAAARAERSPALVEKTPSPSSADHRSPKISSRISTLPSAEKHRTAVKKQSMEQLAAIQEDLRRAKEQLAKKETEHRKVADDARRTADEANAKLRYALAELKKAEEASETEMFRAIELEQTTIESTQRKDELQRRLEATRRQQEADAAALRSMVAQLEEARLELADAIDAKNLALSHADDAIRAGEANAAQVELLNAEINRLKDSFNSELESKVKESAEKTRKLEAETSVLRIKLKKAKVAEEKVAELEGAVEGLRADVANAIKARREADGLVGEWKKKAQLLEIKLELANQSSILKAESMSSVMKELDAANALLQVKESQIALLHDKIESLKHEVVRQNEDINASGQRVDAAQRGALALRTEIQELRSRLGAMEQEKRGTIKDGSFTSSQIEAICEEKDKLAKELESSKYECEKVRKAMEDMASALQEMSAEARESQENYLHKEKEIEHTRAKLQELNISLNNTRDNYEVMLDEANYERICLKNRVEQLEAEAKTTSEEWRSKELSFVSSITKSEEEIMSMRTRLGKALETARDMENRNAQLEEKVRELEALMDKDNNYRGGKDTKAYKENDGLHLHVKESSGSEKIKDLYSLIGNDEGNTEKDGPVLLVSKMWENSYNLSKERDDGEPEVDLLDTDRDIAADGNGNRLSTEKTNSNTKLVVKQNQQKKALMKKFGGLLKKKSQH >ONIVA09G13590.1 pep chromosome:AWHD00000000:9:14832625:14834847:1 gene:ONIVA09G13590 transcript:ONIVA09G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQGGARVAPDNGAWARGGGRATPAGGGSEAGGSGASLPGVHQENMGLQRKIKILAKRTIQEAQAVTLHPAKTKRPRSEEEEEAAASTPTALCGGGGLEGALHCPPAPKKPRLVMGCSLNGFKVLSVVDLRFFLR >ONIVA09G13580.1 pep chromosome:AWHD00000000:9:14800056:14800403:1 gene:ONIVA09G13580 transcript:ONIVA09G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCATQSSVRPAPPSSVRHRLYPRQPRRCPFAAAIVVVLRSFRASKRGHRACLGRSSACGARRCSRAEPGAASRWRRYDAGSSLPGLVSSMPWTSTRRCDHQGRRGHRRRGLMPRP >ONIVA09G13570.1 pep chromosome:AWHD00000000:9:14797951:14799847:-1 gene:ONIVA09G13570 transcript:ONIVA09G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSENSRPPIRHPETPPPPLLIAPPPIAAGRRCILLLISFPCQAPRQPSPPHHRLLSIPFRRHRCTIQATPPVPHRTSSRAPDPGRTSADAASCPSLFWAPLGRHARAERRHCRRHDDDDILLSEMLQSTTPDGLLLPDLAVEERARRRQPFIHRHPQFCLFSGKEAIKLTGDTHKLSIPAKYAIGRPKNV >ONIVA09G13560.1 pep chromosome:AWHD00000000:9:14795470:14796012:-1 gene:ONIVA09G13560 transcript:ONIVA09G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMLLFAGAGRIEFVNDAISAEARACLVALLTISVQGVSAVEIETDSAILALAIKSSSHDLATGATIFTEIKTLLQFQFASFEVSFAPRSCNKVAHELAHLGTVYNEGLAANKDGLYIYT >ONIVA09G13550.1 pep chromosome:AWHD00000000:9:14788539:14796393:1 gene:ONIVA09G13550 transcript:ONIVA09G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQTSKLSCEHMYSWYFTREELEKFSPSRKDGITEIMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLYQSLAKNGWQTIATVCIFLASKVEDTPCPLDQVIRVAYGTMYRRDPATARRIHQKDVFEKQKALILTGERLVLTTVRFDFNIQHPYRPLLDAMEKLGISQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKFQNVKLPVHGGHVWWHQFDVAPKPLEAVLQQMREMVHMKAKLFAHPSPAKQKEVLFEGMLLISNSPDSVLTQSSLSVSSSSPEIGDPNDHLQVDSSQDIVHIEDRSKSYPERNLSNLTADMNNPGKTHNKESLDQALKIKHGGLISCNQQIPLDAIAKIDSSTAKCVEQNIGICCSSSNTFNGKILNPFSISQRSGDKTKLCSEGGSSLTDVDSKSTQSVEPPTTICNHTSDSLNVDSLCSDQRLANSTAGTTEKASFVLPVQIKVDHLCVERKKVDVARIKDLLMKRKRRRERQGRCIPSVDLSEEAWIERELESGIVFKKVDHVVASYDLSDEGWIERELESGIVIGQKNDQPVSLDGLTEDDWIERELESGIIVEPGPAGKKLKSKLLSEGHEIMNSRWEINGKSMQNQVT >ONIVA09G13550.2 pep chromosome:AWHD00000000:9:14788539:14795278:1 gene:ONIVA09G13550 transcript:ONIVA09G13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQTSKLSCEHMYSWYFTREELEKFSPSRKDGITEIMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLYQSLAKNGWQTIATVCIFLASKVEDTPCPLDQVIRVAYGTMYRRDPATARRIHQKDVFEKQKALILTGERLVLTTVRFDFNIQHPYRPLLDAMEKLGISQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKFQNVKLPVHGGHVWWHQFDVAPKPLEETKSYTAIAVLQQMREMVHMKAKLFAHPSPAKQKEVLFEGMLLISNSPDSVLTQSSLSVSSSSPEIGDPNDHLQVDSSQDIVHIEDRSKSYPERNLSNLTADMNNPGKTHNKESLDQALKIKHGGLISCNQQIPLDAIAKIDSSTAKCVEQNIGICCSSSNTFNGKILNPFSISQRSGDKTKLCSEGGSSLTDVDSKSTQSVEPPTTICNHTSDSLNVDSLCSDQRLANSTAGTTEKASFVLPVQIKVDHLCVERKKVDVARIKDLLMKRKRRRERQGRCIPSVDLSEEAWIERELESGIVFKKVDHVVASYDLSDEGWIERELESGIVIGQKNDQPVSLDGLTEDDWIERELESGIIVEPGPAGKKLKSKLLSEGHEIMNSRWEINGKSMQNQVT >ONIVA09G13550.3 pep chromosome:AWHD00000000:9:14788539:14796393:1 gene:ONIVA09G13550 transcript:ONIVA09G13550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQTSKLSCEHMYSWYFTREELEKFSPSRKDGITEIMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLYQSLAKNGWQTIATVCIFLASKVEDTPCPLDQVIRVAYGTMYRRDPATARRIHQKDVFEKQKALILTGERLVLTTVRFDFNIQHPYRPLLDAMEKLGISQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKFQNVKLPVHGGHVWWHQFDVAPKPLEAVLQQMREMVHMKAKLFAHPSPAKQKEVLFEGMLLISNSPDSVLTQSSLSVSSSSPEIGDPNDHLQVDSSQDIVHIEDRSKSYPERNLSNLTADMNNPGKTHNKESLDQALKIKHGGLISCNQQIPLDAIAKIDSSTAKCVEQNIGICCSSSNTFNGKILNPFSISQRSGDKTKLCSEGGSSLTDVDSKSTQSVEPPTTICNHTSDSLNVDSLCSDQRLANSTAGTTEKASFVLPVQIKVDHLCVERKKVDVARIKDLLMKRKRRRERQGRCIPSVDLSEEAWIERELESGIVFKKVDHVVASYDLSDEGWIERELESGIVIGQKNDQPVSLDGLTEDDWIERELESGIIVEPGPAGKKLKSKLLSEGHEIMNSRWEINGKSMQNQVT >ONIVA09G13550.4 pep chromosome:AWHD00000000:9:14788539:14795278:1 gene:ONIVA09G13550 transcript:ONIVA09G13550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQTSKLSCEHMYSWYFTREELEKFSPSRKDGITEIMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLYQSLAKNGWQTIATVCIFLASKVEDTPCPLDQVIRVAYGTMYRRDPATARRIHQKDVFEKQKALILTGERLVLTTVRFDFNIQHPYRPLLDAMEKLGISQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKFQNVKLPVHGGHVWWHQFDVAPKPLEETKSYTAIAVLQQMREMVHMKAKLFAHPSPAKQKEVLFEGMLLISNSPDSVLTQSSLSVSSSSPEIGDPNDHLQVDSSQDIVHIEDRSKSYPERNLSNLTADMNNPGKTHNKESLDQALKIKHGGLISCNQQIPLDAIAKIDSSTAKCVEQNIGICCSSSNTFNGKILNPFSISQRSGDKTKLCSEGGSSLTDVDSKSTQSVEPPTTICNHTSDSLNVDSLCSDQRLANSTAGTTEKASFVLPVQIKVDHLCVERKKVDVARIKDLLMKRKRRRERQGRCIPSVDLSEEAWIERELESGIVFKKVDHVVASYDLSDEGWIERELESGIVIGQKNDQPVSLDGLTEDDWIERELESGIIVEPGPAGKKLKSKLLSEGHEIMNSRWEINGKSMQNQVT >ONIVA09G13540.1 pep chromosome:AWHD00000000:9:14754375:14763588:-1 gene:ONIVA09G13540 transcript:ONIVA09G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 45 [Source:Projected from Arabidopsis thaliana (AT1G77140) TAIR;Acc:AT1G77140] MTLITLIRDYIDRMLHDIPGMKVLVLDPDTVGMVSVVYSQSDLLRKEVFLVETVDNASSSRESMAHLKAVYFLRPSSDNVQKLRRHLAAPLFSNVLKIPQIQVLADSDEQEVVQQVQEFYADFCAIDPYHFTLNICNNHVYMLPMVVDPPGMQSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLREYPNVPKDQKEVVLSSVQDEFFRANMFENFGDLGMNIKRMVDDFQHLSKTSQNIQSISDMSKFLSNYPEYRKTHGNVTKHVALVSEMSRIVEERKIMLISQTEQELACTSGQAAAFEAVTSLLNNESVSDIDRLRLVLLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMEGIVKARLRDADYPLVGNHFQQNRPQDVVLFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKRFLDDLGEAQRISKSSSLV >ONIVA09G13530.1 pep chromosome:AWHD00000000:9:14742014:14742502:1 gene:ONIVA09G13530 transcript:ONIVA09G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANWSRAAESGWRSRSASAATWRRRASGGGEVWENGSSRTTANWPRAVGSGEWVAGQIGVGSDLATEGFRRRGGTRFGEAMEGVGRDRRRRRWRGKGATTMVRRRRGAMGDTARADEGGEIGAIHGNHEIDGAIARRSRVDVGRSAEGEGSKLHTIWTVGL >ONIVA09G13520.1 pep chromosome:AWHD00000000:9:14738628:14741950:-1 gene:ONIVA09G13520 transcript:ONIVA09G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAVVQYVQCSLTPSSPAPRRHHASHRVAPSLRIVNCLRSYVSHQSPPLHLVNRLRASTCLGLFNNVSRLDLIHRKSIAHQLSDRSIAVIWQEQKRPIHLGIFLKSSYLLPVDTITQAYGTIQVYSDFRLQITDTSRAVIPTPGLLAPATASLPQHRQVLEILNG >ONIVA09G13520.2 pep chromosome:AWHD00000000:9:14738628:14741950:-1 gene:ONIVA09G13520 transcript:ONIVA09G13520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAVVQYVQCSLTPSSPAPRRHHASHRVAPSLRIVNCLRSYVSHQSPPLHLVNRLRASTCLGLFNNVSRLDLIHRKSIAHQLSDRSIAVIWQEQKRPIHLGIFLKITDTSRAVIPTPGLLAPATASLPQHRQVLEILNG >ONIVA09G13520.3 pep chromosome:AWHD00000000:9:14738626:14742351:-1 gene:ONIVA09G13520 transcript:ONIVA09G13520.3 gene_biotype:protein_coding transcript_biotype:protein_coding VSPIAPRRRLTIVVAPFPLQRRRRLSRPTPSIASPNRVPPRRRKPSVARSLPTPICPATHSPLPTARGQFAVVRLDPFSHTSPPPEALRRHVAADADLLRHPLSAARDQFALTPSSPAPRRHHASHRVAPSLRIVNCLRSYVSHQSPPLHLVNRLRASTCLGLFNNVSRLDLIHRKEQKRPIHLGIFLKITDTSRAVIPTPGLLAPATASLPQHRQVLEILNG >ONIVA09G13520.4 pep chromosome:AWHD00000000:9:14738628:14741950:-1 gene:ONIVA09G13520 transcript:ONIVA09G13520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAVVQYVQCSLTPSSPAPRRHHASHRVAPSLRIVNCLRSYVSHQSPPLHLVNRLRASTCLGLFNNVSRLDLIHRKEQKRPIHLGIFLKITDTSRAVIPTPGLLAPATASLPQHRQVLEILNG >ONIVA09G13510.1 pep chromosome:AWHD00000000:9:14725700:14727828:-1 gene:ONIVA09G13510 transcript:ONIVA09G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSFFASKKPSRSSNPFDSDSDDGGREQRPARASSVPPPADQRGSLFGGGDGFSASSAAARSRYRNDFRDTGGVEAQSVQELEGYAAYKAEETTQRVQGCVRIAEEMRDTASKSLVTIHQQGQQITRTHMMTLDIDQDLSRSEKLLGDLGGIFSKKWKPKKNGEIRGPMLTRDDSFIRKGSHLEQRHKLGLSDHPPQSNARQFHSEPTSALQKVEMEKAKQDDGLSDLSNILTELKGMAVDMGTEIDRQTKALGDSEKDYDELNFRIKGANTRARRLLGK >ONIVA09G13510.2 pep chromosome:AWHD00000000:9:14725702:14727627:-1 gene:ONIVA09G13510 transcript:ONIVA09G13510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSSLFFLPTTNSSDLSAEFHLCAETCLLRNLTQGVFEMSGRRSFFASKKPSRSSNPFDSDSDDGGREQRPARASSVPPPADQRGSLFGGGDGFSASSAAARSRYRNDFRDTGGVEAQSVQELEGYAAYKAEETTQRVQGCVRIAEEMRDTASKSLVTIHQQGQQITRTHMMTLDIDQDLSRSEKLLGDLGGIFSKKWKPKKNGEIRGPMLTRDDSFIRKGSHLEQRHKLGLSDHPPQSNARQFHSEPTSALQKVEMEKAKQDDGLSDLSNILTELKGMAVDMGTEIDRQTKALGDSEKDYDELNFRIKGANTRARRLLGK >ONIVA09G13500.1 pep chromosome:AWHD00000000:9:14701539:14706243:1 gene:ONIVA09G13500 transcript:ONIVA09G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAPEMNGRARRPAAAAHGAKAASTKSDRMMEKDQRKGAMPVKKGSSANAVTKGITNRIQARRERKLALQQDVLPEFCPNFSQFEKRIGSSELCFVTQFAAWILQVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLALLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIIFSSAKNTSLPGGEGCVPAQLMPSSPVPNSEVSPANCHSPPTRPSMNGVAGAKQTPRKPSPSAAVAQDDRSGAGKENQSCSNTPARNYRHSPLLQKASKSRLPTAAAPEKRRATAQTISTVPDRKRLADTVSNNSEKASQDDSSVPNRLSEELLRCLLAIFSQMGGSSASGQDEEQAALSPSVSGSCESSEDAYPQDPYGILEFGTRDVGSYKRFHVIDATSFDQTAMENDTMLTRKLKALIRRLSSVDLTGLSHQQKLAFWINIYNSCMMNAFLEQGIPTTPHMLVAMMPKATINVGGRTHSAMSIEHFILRLPYSVKHVNPGGVTKGAADDMTMRGVFGLEWPEPLVTFALSCGSWSSPAVRVYTARGVEEELEAAKRDYLQAAVVVSAPAKVAIPKLLHWYLLDFAKDVDSLMDWVCLQLPSELRQMAMRIVEDGRRGVAAESRRVQVLPYEFRFRYLLAS >ONIVA09G13490.1 pep chromosome:AWHD00000000:9:14678875:14689544:-1 gene:ONIVA09G13490 transcript:ONIVA09G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IKV5] MRHQITSSVLIIILLALGASVTDASSHSQEDQLIKFMESRALKRLRNRPNKNGPGEDDQWADPGRFSHLATRSVSSPESTKEDDRIAALPGQPRGVNFAQFAGYVTVDRKNGRELFYYFVESPYDASTKPLILWLNGGPGCSSLGFGAMKELGPFRVNPDGKTLSRNKHAWNNVANVIFLESPAGVGFSYSMNSSDYSDVGDQITAEDTYVFLLNWFNRFPEYKGRDFYIAGESYGGHYVPQIATIVTFINHLFDGDTPFNLRGIFQASKGAKRGGEGRLVVVHDGNNNRRGWLGQVGNPLLDEYKNGEGNLEFLWSHCVISDEVWGKILANCTFTSSDDWPCFVAAHSFQRGNIDRYNIYAPVCLHEQDGTFRSSGYLPGYDPCIDYYIPRYLNNPDVQKALHARADTNWSGCNLDLAWNDSPDSMVRTIKRLVENGLSVWIYSGDMDSICSLTATRYSVKDLNLTITHKWRPWYTPDNEVGGYVQQYEGGFTLASVRGAGHLVPSFQPKRSLVLLYSFLKGMLPPADIPNFVLIICVAALHANGSPEEARFREFVRSRRSSTVTVSGSNGYSAHEPGARVSSRLQEEYSVSDQSNLKAADKITALPGQPKGVGFNQYGGYVTVDEMNGRALFYYFVEATTDAAAKPLLLWLNGGPGCSSVGYGAMIELGPFRINSDNKTLSRNEYAWNNVANVLFLESPAGVGFSYSNTSSDYDKSGDQRTANDSYIFLVGNPCLDEFKNLKGQIDYLWSHGVISDEVLANITKNCRFSPSDGKACSDAMDAFDSGNTDPYDIYGPVCINAPDGKFFPSRIVPGYDPCSNYYIHAYLNNPVVQKALHAGVTTWLGCKNLHWKDAPVSMVPTLKWLMEHGLPVWLYSGDLDSVCPLTATRYSVGDLGLAVTEPWRPWTANREVGGYVQQYTGGLVFISVRGAGHQVPYFQPEKALIVVSSFLRGALPPYVEQQ >ONIVA09G13480.1 pep chromosome:AWHD00000000:9:14671289:14675667:1 gene:ONIVA09G13480 transcript:ONIVA09G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVANGCGGDVVQKPQQVVAAPPPPQAALPPPPHWVAMPFAPPGAAAMVMQHQMAPAPPQFAPHFVPFHAGGGGGQKENKTIWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFAGHIMPNTDQPFRINWASFSMGDRRSDIASDHSIFVGDLASDVNDTTLLETFSKRYSSVKGAKVVIDANTGRSKGYGFVRFGDDNEKTHAMTEMNGVYCSTRPMRIGPATPRKTSGTSGPTGSAARSDGDLTNTTVFVGGLDPNVSEDDLRQTFSQYGEISSVKIPVGKQCGFVQFVQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQLRSDNGSQWNNGMYYAASPFYSGYGYPAPFPADPGMYAAAYGAYPFYGNQQQVS >ONIVA09G13480.2 pep chromosome:AWHD00000000:9:14671289:14675667:1 gene:ONIVA09G13480 transcript:ONIVA09G13480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVANGCGGDVVQKPQQVVAAPPPPQAALPPPPHWVAMPFAPPGAAAMVMQHQMAPAPPQFAPHFVPFHAKENKTIWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFAGHIMPNTDQPFRINWASFSMGDRRSDIASDHSIFVGDLASDVNDTTLLETFSKRYSSVKGAKVVIDANTGRSKGYGFVRFGDDNEKTHAMTEMNGVYCSTRPMRIGPATPRKTSGTSGPTGSAARSDGDLTNTTVFVGGLDPNVSEDDLRQTFSQYGEISSVKIPVGKQCGFVQFVQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQLRSDNGSQWNNGMYYAASPFYSGYGYPAPFPADPGMYAAAYGAYPFYGNQQQVS >ONIVA09G13470.1 pep chromosome:AWHD00000000:9:14651423:14651713:1 gene:ONIVA09G13470 transcript:ONIVA09G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKLSSCVLVALLFVSSHVVRHGEARRLTAGVAAPASKGGEEEAPQYASARGGQPAAAAGGGVTAASKMASTDGRPTSPGHSPGIGNKATGNVR >ONIVA09G13460.1 pep chromosome:AWHD00000000:9:14644725:14649118:1 gene:ONIVA09G13460 transcript:ONIVA09G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IKV0] MQASHPSCSQITTLRNTIVSCVTYPQEIRNMESEKHIICRHPPTYGNLITVLSIDGGGIRGIIPAVVLTFLESELQKLDGEEARIADYFDVIAGTSTGGLVTAMLAAPNKKRRPLFAAKDIKAFYMNHAPKIFPQLRGPFGRMMRIFRSMSGPSYDGKHLHEVVREKLGSTRLHQTLTNVVIPTFDIKRLQPTIFSSYEVKKKNNNTMDALLSDICISTSAAPTYLPAHFFRTEDCHGNIKEFNLIDGGVAANNPALVAIGEVSKQIFKKNPDFFPVKPMDYGRFLVISLGTGSPKIEGKYNAQNAKSWGVLDWLLVGGSTPLVDIFTQASADMVDIHIAAVFKVLHSEQNYLRIQDDTLEGTLASVDVATRDNLEKLANVGEILLNKPVSRANLETGQMMPACDDSEITNGEALKR >ONIVA09G13460.2 pep chromosome:AWHD00000000:9:14646277:14649118:1 gene:ONIVA09G13460 transcript:ONIVA09G13460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IKV0] MESEKHIICRHPPTYGNLITVLSIDGGGIRGIIPAVVLTFLESELQKLDGEEARIADYFDVIAGTSTGGLVTAMLAAPNKKRRPLFAAKDIKAFYMNHAPKIFPQLRGPFGRMMRIFRSMSGPSYDGKHLHEVVREKLGSTRLHQTLTNVVIPTFDIKRLQPTIFSSYEVKKKNNNTMDALLSDICISTSAAPTYLPAHFFRTEDCHGNIKEFNLIDGGVAANNPALVAIGEVSKQIFKKNPDFFPVKPMDYGRFLVISLGTGSPKIEGKYNAQNAKSWGVLDWLLVGGSTPLVDIFTQASADMVDIHIAAVFKVLHSEQNYLRIQDDTLEGTLASVDVATRDNLEKLANVGEILLNKPVSRANLETGQMMPACDDSEITNGEALKR >ONIVA09G13450.1 pep chromosome:AWHD00000000:9:14641163:14642137:-1 gene:ONIVA09G13450 transcript:ONIVA09G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDIDGEVDFEVEHCIRIFKGGRVERYFGSDSVPASTDAATGVASKDRAISPDVSVRLYLPPVAGVSGEGEGKKLPLLIYFHGGGFCLHTAFNFVFHAYLTSLAARTRAIVVSVEYRLAPEHPLPAAYEDSWQAVLWAASHAPGAGEETWLTDHADFSRVYLAGESAGANIAHNMAMRAGAEGLPHGGRVNGVVLVHPYFLGRGKVPSEDWDPAMAENVVKMWSVVCPATTGVDDPWINPLADGAPGLEGLACGRVLVCLAEKDVIRDRGRAYCEGLKASGWAGEVEVVEVAGHGHCFHLMDFNGDEAVRQDDAIAEFVNR >ONIVA09G13440.1 pep chromosome:AWHD00000000:9:14639387:14642146:1 gene:ONIVA09G13440 transcript:ONIVA09G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHADEVVFDGPYFRIYKNGKVDRLHRPLLVAAGVDDATVVVSKDVVLDAGTGLFVRVFLPKVQDQETGKKLPVLVYFHGGGFIIESADSATYHNYLNSVAAVAGVLVVSVNYRLAPENPLPAGYDDSWAALQWAVSAQDDWIAEHGDTARVFVAGDSAGGNIVHEMLLRASSNKGPRTTRGGTRRRLQARRHWRSSGASGCSCARRRRTGWGCSGARRYSTETTMARVRAAREVR >ONIVA09G13440.2 pep chromosome:AWHD00000000:9:14641883:14643457:1 gene:ONIVA09G13440 transcript:ONIVA09G13440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAGAGGDGDEVIHDAPNFIRVYKSGRVERFLRIDFAPPSTDAATGVSSKDVVVVPGDGVSARIYLPSTPASGYGRRLPVLVFFHGGGFCLGSAFDAATHGHANRLAARAGVIVVSVEYRLAPERPVPALYDDAWAALQWVASHAAGEGQEPWLTAHADFGRVHVGGESAGANIAHHAAMRAGAEELGHGVKVNSLVLIHPYFLGGDGDGYSESDEMGMALLRELIRLWPVVCPGTSGCDDPWINPMADGAPSLAVLGCRRALICIGGKDAMRGRGRLYCEKLRECGWRGEVEIWEADGQGHGFHLLWPTCTQAEAQLRVIAEFLSHG >ONIVA09G13430.1 pep chromosome:AWHD00000000:9:14634989:14636905:-1 gene:ONIVA09G13430 transcript:ONIVA09G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLALLWGIIGPGVAGAVFGAGWWFWVDAVVCSSVQVSFLHYLPGIFASLAALMFNAVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGMLVQDALTDKGPSVWTGVAGVLQCVLVLISGLIYWTCHSED >ONIVA09G13420.1 pep chromosome:AWHD00000000:9:14627038:14630364:-1 gene:ONIVA09G13420 transcript:ONIVA09G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IKU5] MKPKNGGAAADRRLPPRWILLCAFSFGLGMLFSDQFGSVPEWQKPLAAQRRVQDRKLQILDEDFVAKPKPTDDRDVMSEVTKTHEAIQYLDKSIATLQMELAGKRSTLELLGNGNGISQQRKKAFVVIGINTAFSSRKRRDSVRQTWMPQGEELKKLEEEKGIIIRFMIGHSATSNNVLDKEIDAEDAAHHDFLRLDHVEGYHELSAKTKIFFSTAVALWDADFYVKVDDDVHVNLGMLITTLGRHKLKPRVYIGCMKSGPVLADKNVKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINRPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGNICVASFDWKCSGVCNPVERLKYVHSRCSEGDDAIWSASF >ONIVA09G13410.1 pep chromosome:AWHD00000000:9:14624080:14625441:1 gene:ONIVA09G13410 transcript:ONIVA09G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSVVLVLIACWLCGCPVAGEAAFAGDIRVDLTHVDAGKELPKRELIRRAMQRSKARAAALSVVRNGGGFYGSIAQAREREREPGMAVRASGDLEYVLDLAVGTPPQPITALLDTGSDLIWTQCDTCTACLRQPDPLFSPRMSSSYEPMRCAGQLCGDILHHSCVRPDTCTYRYSYGDGTTTLGYYATERFTFASSSGETQSVPLGFGCGTMNVGSLNNASGIVGFGRDPLSLVSQLSIRRFSYCLTPYASSRKSTLQFGSLADVGLYDDATGPVQTTPILQSAQNPTFYYVAFTGVTVGARRLRIPASAFALRPDGSGGVIIDSGTALTLFPAAVLAEVVRAFRSQLRLPFANGSSPDDGVCFAAPAVAAGGGRMARQVAVPRMVFHFQGADLDLPRENYVLEDHRRGHLCVLLGDSGDDGATIGNFVQQDMRVVYDLERETLSFAPVEC >ONIVA09G13390.1 pep chromosome:AWHD00000000:9:14616673:14617989:1 gene:ONIVA09G13390 transcript:ONIVA09G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLLVLLAALVSVDVAAAHSGGGFGFKATLTHVDANAGYTKAQLLSRAVARSRARVAALQSLATAADAITAARILLRFSEGEYLMDVGIGSPPRYFSAMIDTGSDLIWTQCAPCLLCVEQPTPYFEPAKSTSYASLPCSSAMCNALYSPLCFQNACVYQAFYGDSASSAGVLANETFTFGTNSTRVAVPRVSFGCGNMNAGTLFNGSGMVGFGRGALSLVSQLGSPRFSYCLTSFMSPATSRLYFGAYATLNSTNTSSSGPVQSTPFIVNPALPTMYFLNMTGISVAGDLLPIDPSVFAINETDGTGGVIIDSGTTVTFLAQPAYAMVQGAFVAWVGLPRANATPSDTFDTCFKWPPPPRRMVTLPEMVLHFDGADMELPLENYMVMDGGTGNLCLAMLPSDDGSIIGSFQHQNFHMLYDLENSLLSFVPAPCNLS >ONIVA09G13380.1 pep chromosome:AWHD00000000:9:14610278:14612341:1 gene:ONIVA09G13380 transcript:ONIVA09G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQGRKKLLTGSYLGMALAMFLIVYAISFPLDEGVSHGLSITGTLLYIFTFAIGAGPVTGIIIPELSGARTRSKVMGFSFTVHWCVVYMQFSGGTVFPGACEEVRRWSRLAGFGGVSFLSALFAYNFIVEMKGRSLEEIEMSLSPAAPGKRE >ONIVA09G13370.1 pep chromosome:AWHD00000000:9:14604846:14608737:1 gene:ONIVA09G13370 transcript:ONIVA09G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLLLLLLAAAAAAVAPARSKSTLESCSSSTACPALLSYTLYADLKLAELAALFSADPLAILAANSIDFAVPDPADRILPAGLPLRVPVPCACSDGIRRVTTVRYVARPDDTLASVASSVYGGLTTPDWISDSNGILGAKPDAAVDAGTTLFVPLHCACFGGVDNGLPAVYLTYVAGKGDTVAAVAQRYRTTATDLMSVNDMATPELAAGDIIVVPLPACTSSFPAFTADYGLAVANGTYAVTANRCVQCSCGPGNLDLFCVPAPLADSTCSSMQCANSSMMLGNFTLLMTSSGCSVTSCSYGGFVNGTILTTLTTALKPQCPGPHQYPPLIPPPTSSFFETYLGPSPTPMASEGGVMAGMAPTSTPAASSGPPPAGRHVVGDVLGAFALCLVGNLLW >ONIVA09G13360.1 pep chromosome:AWHD00000000:9:14600076:14601796:1 gene:ONIVA09G13360 transcript:ONIVA09G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLQPRRRGALGRPRRRRGEEQRIRRCHHRIRRPASATRRVAAGSDVSAAGSEPWWCGSTADAATPMARRPWLVGGGAEAWRRRGDAASAASATVGGADGRSRRTVAGSATRRPWLAGNGEAATAEIVPASWRLVAGLSWAAVVLVVVVTASWWFKAAGMASAEGAKAAVLVPARRRRGARRRRRRCPQIRASRPDLEGSRLWWSETLADLRRLATAVGDGSGVAAAVGSDGGAISAVSLCWSSGGWSRLATAGPVLAFSWACVLAMSVCGWCCFFLFPGYDPPGL >ONIVA09G13350.1 pep chromosome:AWHD00000000:9:14598080:14599466:1 gene:ONIVA09G13350 transcript:ONIVA09G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTRRKTTTTSCTTSRRQIVFSLGFMFHVCSSADCSLLVRCILTLHFQKNLQICNCSSTTNHMLS >ONIVA09G13340.1 pep chromosome:AWHD00000000:9:14591596:14594538:-1 gene:ONIVA09G13340 transcript:ONIVA09G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCVLGLLRPIIYWAGLPAHVATSGEPPTQNPATSQQPGGRGEERERGGVSKRHRRARPKTPATNLSSPPLRPPPAARAGGAASPPPSPPYLGGKRGPAVMTKSIRGKKCSSRQLRSHNRRLFSQCNFKRAANKELAATEKCAWKDSICPVCLECPHNAVLLLCSSHDKGCRPYICATNYHHSNCLDQLIDSRRSSKDCEDLDSIELTCPLCRGEVKGYTLVEPAREQLNQNKRSCMQDGCSYMGSYGELCKHVRKKHPSVKPHSVDPMEATFIPEFTARYDLCNEFTNGAEGFFEELMASVWHEGPHGAMQINEMNLADP >ONIVA09G13330.1 pep chromosome:AWHD00000000:9:14587848:14589161:1 gene:ONIVA09G13330 transcript:ONIVA09G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAEEADAAAAAAGGSASEGSDAEASAEAARGHGSSPSPSKTPPPANPNPKSAAAPPSAVAAPASAAGSDSGAASDSPRAAGNPSGPRSIEVNSDSEDSALPLASDAYADQAAAAGAGAGADSDDGNTSPLPPPRPSRAEAAAIKPISSRPMDPPPRRSAGGSEPRAKRPRSAAVASSAEHSKRPSRVWSQADELVILRGLITYRTKRGVLPGSTQDIGKLHSYIRGQLSAKVSTTQLSDKVRRLKQKYQMLATRAKTGKEVFPIPHDHNIYQLAKKVWGTMSTAGEGGGSGYDNADAGESEEEQYGRESDDDMESGRDNRHRKNQRSVPVTMANGNGTGIGAVNAIVRGRSEFEKGKDVYPYLWETVEELSSQHPTGAVFKKAFELLEGSKAQVMEEKLRKFRLTEMRQQLRRMDLMKDTLSMVLDALEMAD >ONIVA09G13320.1 pep chromosome:AWHD00000000:9:14581042:14582409:-1 gene:ONIVA09G13320 transcript:ONIVA09G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEAMADAGRELVLGLGMGRREEAAEAGRRDHEVRRELEFGSMSSRCGGSSPEPTVRLTLLPMVPGLGLPWPPPPPPPSSESSECGDGHLEASTRGFDVNRPPSSGGGGGGEEEQDDVAGAALSSSPNNSAGSFPMDDFSGHGLGGNDAAPGGGGGDRSCSRASDEDDGGSARKKLRLSKEQSAFLEESFKEHSTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELAELRALKTVHPFYMHLPATTLSMCPSCERVASNSAPATASSAATSSTAAPPAAPSSGGIAATSSSSAAAAAAPDHRPSSFAALFSSPRGFPLSVAPQAQPPTSS >ONIVA09G13310.1 pep chromosome:AWHD00000000:9:14567877:14568203:-1 gene:ONIVA09G13310 transcript:ONIVA09G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEFFPTQWKPRLSSIIISDVRSCFDLYISSYASGLAEEFELFHGDIGEPCGLRRFVVAVTLDRLLHLRFSLLKRGSERSEEVACSLTAQKYGCKTNEIYVGPASFS >ONIVA09G13300.1 pep chromosome:AWHD00000000:9:14566363:14567109:1 gene:ONIVA09G13300 transcript:ONIVA09G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSEQSVHAAAHDMALIERHQPWEMLDGMALSIIDDAAADPGSPVLTVHASRAHCLVALNDSPRGGGDSLVCAYRRYTSPKQKWRPRHRLGRASVSVSPGTLYLSRADGGGAAAVPRGHGDAAAAGGGVLAILDMIVARLGAAIGLEEALLAMARTSSYEGPKVDEILRVRNALDEIRSEMDLPALMRRLLHKRRGVTEITTCRQPPAPAPPRRSRTRPTRQRG >ONIVA09G13290.1 pep chromosome:AWHD00000000:9:14561022:14565788:1 gene:ONIVA09G13290 transcript:ONIVA09G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSVPPTNYPKSLSSIIKCHRRRAAINNCHPVVSHPPLSHSNHHHRSLRVRSSAAVAPPLPPPLVVPMTTPKPSPTIRRLDVASPVPADIDIANAVSPLPIADIAAELGLRPEHFDLYGKYKAKVLLSVLDELKGQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHEASQSDKALFNRLCPPNKEGKRRFADVMLRRLIKLGISKTDPNELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPDEKGMVRETGFDIAVASEIMAVLALTTSLADMRERLGRMVIGNSKAGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGYVVTEAGFGSDIGTEKFMDIKCRYSGLMPQCAIIVATIRALKMHGGGPDVVAGKPLDHAYVSENVALVEAGCVNLAKHIANTKSYGVNVVVAINKFASDTEAEMDVVRNASLAAGAFDAVVCTHHAHGGKGAVDLGLAVQRACESQADPLKFLYPLESGIKEKIESIAKFYGASGVEYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPSGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDVDTATGKVMGLS >ONIVA09G13280.1 pep chromosome:AWHD00000000:9:14559932:14560189:1 gene:ONIVA09G13280 transcript:ONIVA09G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSHEALVKMWVFVLVQALVYLILAQSSDVFSRAKSLQGGGAPRRPARSVSAVRRMLAVARGGRRRWPEEGLREERCQCLN >ONIVA09G13270.1 pep chromosome:AWHD00000000:9:14555597:14557198:-1 gene:ONIVA09G13270 transcript:ONIVA09G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQQQQQQQHYATFNNNQINRLVVVGGGDGTASWSVSVGGDHGGAVSSVRIGTLRRQTGQVFRSDSHCAMQWAWYRWPHGSLDAGDASWRASVQTAQAAAAAASSETVTVITAVASAGRDDDGPDTKEESWMEDVGGPPACGGVSGSEEMK >ONIVA09G13260.1 pep chromosome:AWHD00000000:9:14555184:14556104:1 gene:ONIVA09G13260 transcript:ONIVA09G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPPPPPPPPPFPDLETWDYFFGQYDHPSSPSSSATGMPHLPLSRVSSSSSFVSTELELPPPPPPPLPTLPAQPLLRRRVASPSSSSFSTELLPPPPPLLRREPSSSSSSSSSSFSTELLLLPPPPPPPPFLSSDYFISSDPDTPPHAGGPPTSSIHDSSFVSGPSSSRPALATAVITVTVSDDAAAAAACAVCTDALQLASPASRLPCGHLYHAHCIAQWLSLRNTCPVCRRSVPMRTEETAPPWSPPTETDQEAVPSPPPTTTTATDHRRRSLPGERRIRRICRRLLNYMEISRQRQQHTD >ONIVA09G13250.1 pep chromosome:AWHD00000000:9:14548705:14549155:-1 gene:ONIVA09G13250 transcript:ONIVA09G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPDRAPLLLPLLFSQPLQPGGTNSLISHLDSTTGTQPVTGILAARRFSPPSHPWRNHLH >ONIVA09G13240.1 pep chromosome:AWHD00000000:9:14546510:14547285:1 gene:ONIVA09G13240 transcript:ONIVA09G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSSELPPPPPTNAATSSSAMAVPYFCRKHVALATSRSSTVWTVAKQTGLRSVTVGSDTAVACAVCTDDLPPAATACRLPCGHLYHADCFVQWLSRRNSCPVCRRRVPLFPDHGAAYTDEDEDEDEEEEEIAPSPPPPHGPETTATDDHRRRSLPGASWIGRICRRLLGYTETSHPRQLNRCSGDTTQQW >ONIVA09G13230.1 pep chromosome:AWHD00000000:9:14539503:14543107:1 gene:ONIVA09G13230 transcript:ONIVA09G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:spindle pole body component 98 [Source:Projected from Arabidopsis thaliana (AT5G06680) TAIR;Acc:AT5G06680] MDDHQTQDLVKELVHRLISAESGGGGRDAGGALRFAHRLLSSRLAPAVLPDEHALAESVKRRLAASGRPDDALAFADLHAKLSARSRPASLWPLLYLLDSLSSHRRAAAAASCLPNLPTAAPPRAAGSGAAAAAAAAGGKPASRAPGAPPGGVVLVSKDPDNIREIALREYTELVLDETEVSEAALVRDVLYACQGIDGRYVRFDKGSDAYDLPDGVRVPRSTRTLVRKLCELGWLFRKVRGFISDNISRSLSDAATEVGTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGVSGNYLSLRRLAVWLAEPAVRMRLMAVLVDGCRGLRGGAMAGAIHGHAQHGDPTFQDFMGRLLRRVCSPLFEMVRSWVLEGELEDVFAEFFIVGQPVKAESLWQEGYLLQSDMLPAFISPVLAQRILRTGKSINFLKVCCDDNGWADAATEAAVCVGTTTSRGGLGYGQIDALEALVVEAAKRIDRHLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFHLAGLLETAIRASNAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKRYLKIFNFLWKLKRVDHSLTGIWKTMKPNCIVSSPFYKEGTNIRSQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEVSWARFSEEMDSAKDLDDLLLAHDKYLTSIVEKSLLGERSHGILRNLFALFDIILQFRSHADRWFERIYELQLRGKGKPKSKAKAKSKEVDSWVDGGRKAMIQLAGELFRKMGEDLDSIAKDYTSSLDAFIAQLPMQQHVDLKFLLFRLDFTEYYSRVSSNK >ONIVA09G13220.1 pep chromosome:AWHD00000000:9:14537309:14537809:1 gene:ONIVA09G13220 transcript:ONIVA09G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARPARSPPGPTEISAEITTPNRLMGRNQITPWVLRWGGERTGSRRTGLVSSATGRNGGFFFFFVGAPEEEDGVLPALVVVVTAAEEEERIEPEAEKKAPDTGEGLAKAAWALSLPADMAATGQRGFTPVWKVSVFFPFLQGVLLPLLHLNSQFEDINMKVF >ONIVA09G13210.1 pep chromosome:AWHD00000000:9:14535966:14537676:-1 gene:ONIVA09G13210 transcript:ONIVA09G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSERAHAAFASPSPVSGAFFSASGSILSSSSAAVTTTTSAGRTPSSSSGAPTKKKKKPPFRPVADDTKPVLRDPISRSDPVETEQAMLLPGFKNQVST >ONIVA09G13200.1 pep chromosome:AWHD00000000:9:14531479:14534659:1 gene:ONIVA09G13200 transcript:ONIVA09G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSQMWSLLGLLTILQNVLPTQLLSLLHSLWQSLQDSLTPYSYFDVPEFLGSAAVEPNALYRHVQLYLHRSLLLSSPPPPRLTLSLPRSVAVSGGGGGHDAGAAAAAATPSVSLSPNHSVADSFDGHRAVWTHHADTLQDSLEERRSFSLRLPKRHAAAVLPAYLAHLAAAADHLERSSRARRLHTNAASPRGAAAWSSVPFCHPSTFDTLALDPELKARLLADLTAFADGSEFYRRTGRPWKRGYLLHGPPGSGKSSLIAAMANHLRYDVFDLELTRVATNADLRALLIQTTNRSLIVIEDIDCSLHLTGDRKSRRNKRRRLLHATAASDDDSSDSDSDGGDNHHSKVTLSGLLNFTDGLWSCCGEERIIVFTTNHVDGIDPALLRPGRMDVHVRLGACGAHAMRELVGRYVGVEDHEMLDAAECCVRGGAEMTPAEVGEVLLRSRDDPDAAVTELAVELKARQSAAADELQWEDSAAELSDESPRKKGLGWEGKYPKAFLFSWPDYVQGQLQATSLFCIATMGGGDVCTMADERDDGTGTAASMAATRLAELCAMIDDHTAAGTMSEKRVATICAMIEECNDDVEEASRRRSSRRRSGRWRRRVGGTSSTRCYRQIGRISSGGFGVVVKAEHRDTGQTVAMKTLFRRRRSADDDAADLLREASFMAACRGNPYLVGLHGVARNPRTKQYSLVMEYVGPSLSAALAEHVERHGGEGYAEATVRRIMRQLLTGAAAMHERRIIHRDIKASNILVGGDGDVVKICDFGLAMSTAEAAAPYRRLLSGEAPFRGEGTSDQLYQIFDMLGVPGNKTREAFKSKSELLAYEVRRWQALRRPQPEQEAHGWLPELFPEKLLSRDGFDVLRGLLTFDPGERLTAAAALRHRWFAGADADESGVAALHRKTASIVAGAVISAGAFVGTWMIPWCDCRTAGTEA >ONIVA09G13190.1 pep chromosome:AWHD00000000:9:14527580:14527999:1 gene:ONIVA09G13190 transcript:ONIVA09G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYSNGGSPAAAAGYVQAPELPLHLCFFLVVLLVFLGFSWYTSYGSAAERFADQARLLLMASPLALLLAVRLLSGGGDGERRGVDQLRQLSLPMPERDSIHRAGGSPWGVGVLLALLVVMVSYQSNFRDRWFPLVSR >ONIVA09G13180.1 pep chromosome:AWHD00000000:9:14518916:14519872:1 gene:ONIVA09G13180 transcript:ONIVA09G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHDAKHVGDVPAAAGIESFSQLPFVRPRPAAMAGSSPASSIRLFGFELPPDGVVSAASSDVVTAASTTAAAAAPGQVTASGLGGGGGGGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQFQSAMAMHAHYPAYPAYASYYGSHRFGPSPPHMAPPPPPYPSWSNHHHLPPGGPAPMVAARYYGPAPPGSVSHPINGSPVVPAAAALWRVPAAAIAVAAAAAPLARQERQPPLSLAGGREEEDAMVEVRRGNGVGGAAAAVVQLQPGSRLSRSSSSSSSASSSSQHHHERRRLGDLAEINRENVSLDLTL >ONIVA09G13170.1 pep chromosome:AWHD00000000:9:14496915:14497274:-1 gene:ONIVA09G13170 transcript:ONIVA09G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRSKREIAPPTPQVLPPGVPWWGGAVKPGVAFPPSGEAMAVPGWWTAPPLQSNSFVSPYGAWMGAVPTPPDGQGSQNTSNDPLER >ONIVA09G13160.1 pep chromosome:AWHD00000000:9:14468818:14478446:-1 gene:ONIVA09G13160 transcript:ONIVA09G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTISPVFLISLLGVPLLYLLWSKASKSPSGAPAAPPPPPGPTPFPVIGNIPDLLRGGELHRALTGLAASYGPVMSLRFGMASTVVLSSPDVAHEALHKKDGAISSRWVPDNANVLGHQDVSMAWLPSSSPLWKHMRTLASTLLFTSRRLGASRGIRERKARELVDYLGARSGRPVRVGLAVFGSVLNFMSNVFFSEDVVELGSETGQEFQQLIADSVAETAKPNISDFFPFLSALDLSRRRRAAAKNLKKFYDFFDDVIDRRLSSGEKPGDLLDSLLELHANHTTTTTVEWALAELLRNPSKMAKARAELGEAFGRGAIEEGELARLPYLNAVIKETLRLHPPAPLLLPHRVSSDSEPAGGVTLGGYSVPSGARVLINAWAIGRDPAAWSPEPDAFSPERFLGREADYWGRTLEFIPFGSGRRACPGIPLAVAVVPMVVAAMVHSLEWRLPEGMAPGDVDVGDRFGAVLELATPLWAVPVKVTCKNALVFSLPYSSWNVGQSISSEENEKMEASTILWLLYVSLASCLLYKVFVSTKNGHPKIAARRPPGPTPVLLLGNVFDLRGELHLALARLAEEHGPVMSLKLGTATAVVASSAAAARDALQRYDHVLAARAVCDAARALGTHERSIVWLPGSSALWKRLRAVCTNHLFSARGLDATRAVREAKVRELVEHLRGHAAGAGEEEAAAVDVGRVVFSAVINLVSNVLFSEDVADLSSDRAQELEMLVRDTVEEATKPNLSDLFPVLAALDLQGRRRRTAVHIRKFHDFFDEIISRRQNAGGEGERKEDFLDVLLQLHSADQLSLDTIKTFLGDLFTAGTDTNSITVEWAMAELLRHPAAMSRARAELRDALGAKPHPDESDIGRLPYLSAVVMETMRLHPPSPLLMPHEAVADGAAVGGYAVPRGTKVIVNVWSIMRDPASWPRPEEFEPERFVAAGGSFRGGEMLEFMPFGAGRRACPGTPMATRVVTLVLASLLHAFEWRLPGGMRPCDVDVRGRFGTSLNMVTPLKAVPVPVPARP >ONIVA09G13150.1 pep chromosome:AWHD00000000:9:14462188:14467005:1 gene:ONIVA09G13150 transcript:ONIVA09G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNQGKRKVRDFDLNKEPSPDPVPVNAGKEAVGKNVIKQADNALVEKSNVHFVDTETYVQYIVKLDNKFDSNLMKKILSVIEVFELCKKKEQTYQKITTNMLEQMQGREKCCMTLGSWEEYNVDLDMETDDEEDGDNDSDGGNNEN >ONIVA09G13140.1 pep chromosome:AWHD00000000:9:14442583:14443484:-1 gene:ONIVA09G13140 transcript:ONIVA09G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWITLTCGAHVGPMLTQPPLEGPSVYLFLSPPLENPQISLAIHATTQTDLPPSLGQRPAPARGVHLRRPYPSQALKPGIRSPCDLGGVTRGRSLPSRTTIAGAGGAEGGRGQDGGRSA >ONIVA09G13130.1 pep chromosome:AWHD00000000:9:14440634:14442527:-1 gene:ONIVA09G13130 transcript:ONIVA09G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVINSWSRFMPPYIPDDVMFNILSWLPSKSLIRFKSVCKAWHAMISSPCFTDAHLECSKRNPSILMVPGAYEKQEDGENIAFMMVLYKYHGGKTMELVHMQNFPLGIGVWTRPVHCNGLLLIPTMNLEMMICNPSTRQIVFLPKVSGNICTGTRAGFGFDPHSNKYKVARSSYQRDSETQELVCKFEVLTLGTNAWRQTEDPPYPIDALTPVHVKGAIYWIVCSSLCPDPPNAFLRFCLTDEKFSLFPCPPSNVKSVRFTEVEGELCCACFFSETLALEIWNCSGGQNLEWTRRYVIQIPPDVVMKYPVERPPLIVFREKMLLLAFKKVYRYDIETCTIVELASKVSDFTCYEPYLEKEARDLHLFNYAESLLVLLLMCCCRGHDQEFVIVISQRERRK >ONIVA09G13130.2 pep chromosome:AWHD00000000:9:14440546:14442527:-1 gene:ONIVA09G13130 transcript:ONIVA09G13130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVINSWSRFMPPYIPDDVMFNILSWLPSKSLIRFKSVCKAWHAMISSPCFTDAHLECSKRNPSILMVPGAYEKQEDGENIAFMMVLYKYHGGKTMELVHMQNFPLGIGVWTRPVHCNGLLLIPTMNLEMMICNPSTRQIVFLPKVSGNICTGTRAGFGFDPHSNKYKVARSSYQRDSETQELVCKFEVLTLGTNAWRQTEDPPYPIDALTPVHVKGAIYWIVCSSLCPDPPNAFLRFCLTDEKFSLFPCPPSNVKSVRFTEVEGELCCACFFSETLALEIWNCSGGQNLEWTRRYVIQIPPDVVMKYPVERPPLIVFREKMLLLAFKKVYRYDIETCTIVELASKVSDFTCYEPYLEKEARDLHLFNYAETGAAVDVLLSRPRSGVRDRDFAEGETEIKFSWWFRRGPIFNFRKSILDNLLEGSIF >ONIVA09G13120.1 pep chromosome:AWHD00000000:9:14432176:14432828:1 gene:ONIVA09G13120 transcript:ONIVA09G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLGTTTCRLRMRGTKERGVPEEGRRNLLPPGSETRQEFQELIADSVAETGVSDFFRFVSALDLSSRRCAATRNLSRFYDFSTVSLIGGWAAYSQIFRLPRFNPDNFVFHQSVAGGINWAV >ONIVA09G13110.1 pep chromosome:AWHD00000000:9:14429276:14432055:-1 gene:ONIVA09G13110 transcript:ONIVA09G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGGRSQPEEEEGGGVEAPGFMGIGEARWKEDSGEEKVDEGGDGGDTRSTTTWVILWRVPRVYPADVPSKGSEFSLALAAPPRISSLSLAAPPHLSSAYLTAAPPQISANGRFLSGDLGPFAPFVLAADASGVILVHAYSARVLFDHSGSGSNSGSGSGSGGPFVAGDKGGSRIGPCLYVIDTFSAAADFLPDRNTNPGLTNFALVVHNHEGVVARSYSVMELVLEADSNKAQLWEFSSASGVCAEGEEELSMTLLPDGLHAEEVETLSSVCVSEGKITYVAVSGHPGGLPSEGNVLVWILVDPKHSQWKLRTVTPMSVIWDTICHALGLQRGAPPVISVLDRQDASVLYFFIQQHLVGFHLTRRLVKHSCICGHQGGTSRMVLSMGTPPIAPTQGEEQEDKEQHIVYAGITTAAEAALELFEARMDSIQDGPPAHIVVPPVHVVVCQVKNADSGSGPPSNTED >ONIVA09G13100.1 pep chromosome:AWHD00000000:9:14426521:14426964:-1 gene:ONIVA09G13100 transcript:ONIVA09G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTWAILGGVQMPAFMGCESVEIEVSFSTAPAENYGGGGGGLQASVEQVFSEDFFQPGRRRLHVAAPHADAHDGLVVRHAIASRTRGASGDDDDAVVVLAPSAPAGTAPLVAVLSPRRRRRPPLPERRGPPIARERERQRRREKKR >ONIVA09G13090.1 pep chromosome:AWHD00000000:9:14422634:14425012:-1 gene:ONIVA09G13090 transcript:ONIVA09G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRHSCRRATHSPAPVARAAGCAAAVARRVALLLLLPPRLPPPPGRAAAAAAARRRACSCCCRSPPGLLLLLPLAAGPAPAAAARRVALLLLLPQRPPPPPGHATPLLLPPLSTASRRAALLATSEAWNLRASVIEAHDLRVPAPSPGLPFDVRVKIKIGFQSARTQRSVASTSSGSAFAWEWEEDLMFVVSEPLDESLIVLVKDRTMIKEPARRGARPTSALLPAKEAAHVCSEYRPTAKQQWKPPVGVLELGIIGACGLLSTKTKGGAKYSTDAYCVAKYGKKWVRKRTVTDSPTASTRGGTSSARGRCTTRARCSRWRVFADDGDERQDYRIRKMHYLRPIGVAQQETLRAATVRLVAARLERSETPLGREVVRHMLDVDAHTWSVRRAKGNWFRILGVLTWAVGLARWRSSSTTVLVHVLYQSTSSSSGIRSWSCPRLRCTPVRLPHRHMVVQVPVA >ONIVA09G13080.1 pep chromosome:AWHD00000000:9:14421879:14422252:-1 gene:ONIVA09G13080 transcript:ONIVA09G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAAAGVVLLVCGTSLCCSALVAAKKLLSGRVSIEELCDLFDGEWVWEACPFLKVGFCCSKNGRPDDSIALVPLPLRSPQVGCFLFFDQFLLLPLIYYHACINSIVGLGWLSLEV >ONIVA09G13070.1 pep chromosome:AWHD00000000:9:14416542:14418713:-1 gene:ONIVA09G13070 transcript:ONIVA09G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKRCSDIQVSSTRPRKAMRIAINSWSTILLRYIPEDVLFKILSWLPSKSLIRFRSVCKAWHATISSSRFVNAHLECSKQRPSLLVIPGSFEMKKNGIRKWIRPVHCDGLLLISTRKHKMMICNPSTREIVSLPEGSHSLCGGMGLGFGFDPHSNKYKVTRAFYQRDYPTTRQVCKFEVLTLGTDAWRQTEDPPYPIDRLTPVHVKGAIYWKKKAAAGIQQGLPLQHPRNGVYQTFLKKAVMDLHLFNYADSLVAQWRLILQSAAAPAAALVTALLAVATLMSCNFKGADS >ONIVA09G13070.2 pep chromosome:AWHD00000000:9:14415759:14418713:-1 gene:ONIVA09G13070 transcript:ONIVA09G13070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKRCSDIQVSSTRPRKAMRIAINSWSTILLRYIPEDVLFKILSWLPSKSLIRFRSVCKAWHATISSSRFVNAHLECSKQRPSLLVIPGSFEMKKNGENIAFLMSLYKYQDPNIMHLQDFPRGIRKWIRPVHCDGLLLISTRKHKMMICNPSTREIVSLPEGSHSLCGGMGLGFGFDPHSNKYKVTRAFYQRDYPTTRQVCKFEVLTLGTDAWRQTEDPPYPIDRLTPVHVKGAIYWKKKAAAGIQQGLPLQHPRNGVYQTFLKKAVMDLHLFNYADSLVAQWRLILQSAAAPAAALVTALLAVATLMSCNFKDSADGEVIRADLALPTWSNDAQVGGGRRDS >ONIVA09G13070.3 pep chromosome:AWHD00000000:9:14416542:14418713:-1 gene:ONIVA09G13070 transcript:ONIVA09G13070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKRCSDIQVSSTRPRKAMRIAINSWSTILLRYIPEDVLFKILSWLPSKSLIRFRSVCKAWHATISSSRFVNAHLECSKQRPSLLVIPGSFEMKKNGENIAFLMSLYKYQDPNIMHLQDFPRGIRKWIRPVHCDGLLLISTRKHKMMICNPSTREIVSLPEGSHSLCGGMGLGFGFDPHSNKYKVTRAFYQRDYPTTRQVCKFEVLTLGTDAWRQTEDPPYPIDRLTPVHVKGAIYWKKKAAAGIQQGLPLQHPRNGVYQTFLKKAVMDLHLFNYADSLVAQWRLILQSAAAPAAALVTALLAVATLMSCNFKGADS >ONIVA09G13070.4 pep chromosome:AWHD00000000:9:14416905:14418713:-1 gene:ONIVA09G13070 transcript:ONIVA09G13070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMKRCSDIQVSSTRPRKAMRIAINSWSTILLRYIPEDVLFKILSWLPSKSLIRFRSVCKAWHATISSSRFVNAHLECSKQRPSLLVIPGSFEMKKNGENIAFLMSLYKYQDPNIMHLQDFPRGIRKWIRPVHCDGLLLISTRKHKMMICNPSTREIVSLPEGSHSLCGGMGLGFGFDPHSNKYKVTRAFYQRDYPTTRQVCKFEVLTLGTDAWRQTEDPPYPIDRLTPVHVKGAIYWKLDTGTGDLDLRKLLLASNKVYRYDIETCKLEKIASTFEDFTC >ONIVA09G13060.1 pep chromosome:AWHD00000000:9:14403241:14405650:1 gene:ONIVA09G13060 transcript:ONIVA09G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22260) TAIR;Acc:AT5G22260] MAPKMVISLGSSRRRKRGEMLFRFEAFCQPGYPANFAGAGGFRDNVRTLLGFAHLEAGVHGETKCWSFQLELHRHPPTVVRLFVVEEEVAASPHRQCHLCRHIGWGRHLICSKRYHFLLPRRESAAEADGLCFAINHGGGGGAEKASSKGTTTTTTATASSRGHLLHGVVHLNGYGHLVALHGLEGGSDFVSGHQIMDLWDRICSALHVRTVSLVDTARKGHMELRLLHGVAYGETWFGRWGYRYGRPSYGVALPSYRQSLHALGSMPLCVVVPHLSCFSQELPMVVTKYQAISGHKLLSLGDLLRFMLELRARLPATSVTAMDYRGIMSEASCRWSAKRVDMAARAVVDALRRAEPAARWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRTMNPVTKVLEYCLEDVSSVLPAVAAGGGVPAQGKMRVRFQLTRAQLMRDLVHLYRHVLKEPSQALTGGAIPVAVRMVLDIKHFVKDYHEGQAAASSNGGGGFGHPHINLCCTLLVSNGSPELAPPYETVTLPAHATVGELKWEAQRVFSEMYLGLRSFAADSVVGVGADQEGLPVLGLVDVGSAVVVQGSVGEQINGEDHERKEEAAAAAVCEGSGGGERVVDCACGAVDDDGERMACCDICEAWQHTRCAGIADTEDAPHVFLCSRCDNDVVSFPSFNC >ONIVA09G13050.1 pep chromosome:AWHD00000000:9:14398276:14402901:1 gene:ONIVA09G13050 transcript:ONIVA09G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKPFPFLAAAAAAASRSPLPLSPATRPAPASSRFGDRRAPTVVAATARRRGAHGVRALRPLLLPRASASPSAKTAAGGMSDPELRMVLELATDEELMEFEEILYGTSYEPQMAKPKPANLSKIKSYFSPLLKSIAKRPNSDYVDALDDIEERDIFISKLESRFLYLAADARSIIRGSRPSYRNVLLGVRRELGVRCSSKLCTADLEAEIFLHLLDEYSSRQKDPDLFPWNKQKSPKDNSSLGVNKWMVLTDSAWKIGAKGLESAFLKGGSALTLKMIYESLAKRLSGKLLMEAGKYEIKKELLKQGGRLAAVNLESRAGLLAARQGLARAASRYVGLRSVMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQVS >ONIVA09G13050.2 pep chromosome:AWHD00000000:9:14398276:14402901:1 gene:ONIVA09G13050 transcript:ONIVA09G13050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKPFPFLAAAAAAASRSPLPLSPATRPAPASSRFGDRRAPTVVAATARRRGAHGVRALRPLLLPRASASPSAKTAAGGMSDPELRMVLELATDEELMEFEEILYGTSYFSPLLKSIAKRPNSDYVDALDDIEERDIFISKLESRFLYLAADARSIIRGSRPSYRNVLLGVRRELGVRCSSKLCTADLEAEIFLHLLDEYSSRQKDPDLFPWNKQKSPKDNSSLGVNKWMVLTDSAWKIGAKGLESAFLKGGSALTLKMIYESLAKRLSGKLLMEAGKYEIKKELLKQGGRLAAVNLESRAGLLAARQGLARAASRYVGLRSVMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAFAQVS >ONIVA09G13040.1 pep chromosome:AWHD00000000:9:14394201:14394536:1 gene:ONIVA09G13040 transcript:ONIVA09G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPSSVVFHVVEPLAALLVAELPLHRSHRQRRATSSPSRHRSSVALRIRCQRQSSASRRRAAAPPAAPPRRRAAAPPQPPPPAEGRLVAELPLLFRIVPPSRRFSAVLW >ONIVA09G13030.1 pep chromosome:AWHD00000000:9:14383201:14385235:1 gene:ONIVA09G13030 transcript:ONIVA09G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAQERELQLLQLQGVSWPFHAMEAARSSSWDATTSSGSSSGASGGGGGDCFLLGWEPPFAAGCLGVLAADVHGLFPLCTCPARFLYLCSSLRIGELIRAPAWSADMESPPAPPQQDAVALPEELDDLLLNFWDASSDQQQQQQQVAFNSSCILQEKTSSTTATATTTNSNSNFFYDDDDLLGSIFSTGPTLPEKGVAEPLLSSSSSNCQADPQVSEVSGAQPQATPAAPGVARAPPRCSSSSSLKRAAPAEDAAAEAEYCRQSSSKRRREAETPTPEKSAAAAPAPACRVLCPFAVLKPDGLDGGATLADINARILMRPSRPVRHPVGEFACAPRVSADKPGLSGKAVAGFTRLHTPGRGTITIIRTRG >ONIVA09G13020.1 pep chromosome:AWHD00000000:9:14371733:14377105:1 gene:ONIVA09G13020 transcript:ONIVA09G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0IKQ1] MDLEAGSIRPRSDGEGGGPAAGRETDDSNVWKDLFLAYKTLGVVFGGLVTSPLYVYPSMNLSSPTEADYLGIYSIMFWTLTLIGVVKYVCIALNADDHGEGGTFAMYSLLCRHADIGILPSKRVYAEEDPLLHSQSAIARRPSRLGKFFEQSITARRVLLFVAVLGMCMLIGDGILTPAISVLSAIDGIRGPFPTVSKPVVEALSAAILIGLFLLQKYGTSKVSFLFSPIMAAWTFTTPIIGLYSIVHYYPGIFKAISPYYIVHFFLRNKRQGWQLLGGTVLCITGAEAMFADLGHFSKKAIQIAFLSSIYPSLVLTYAGQTAYLINNVNDFGDGFYKFVPRPVYWPMFVVATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPEINYILMVLCVGVILGFGGGKAIGNAFGVVVIMVMLITTVLLTLVMIIIWRTPLVLAGLYFVPFFIMEGAYVSAVFTKIPEGGWLPFAVSITLAMIMFGWYYGRQRKFEYEMTNKVSLEHLGELLARPEVQRVPGLCFFYSNIQDGLTPILSHYIKNMSSLHTVTIFVTLRSLLVAKVDQSERILINRLGPNGVYGCTVQYGYADNLSLEGGDDLAAQVTSCLQWHIQMDTDGRRSPEEEMAQLEAARLAGVVHVRGKMRFYVGEDAGWFDKIMLGFYEFLHGICRSALPVLGMPLQQRVEIGMLYKV >ONIVA09G13010.1 pep chromosome:AWHD00000000:9:14358174:14361786:1 gene:ONIVA09G13010 transcript:ONIVA09G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSCAPTTAGPPPDEATTPEPFRSLQIATASAGSAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPTCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNASRGQAAAVAEGGNVSTAACGGVAVLEQEKQLDLQAPAAASLSRTASSTSPSSDVVASPVAWPGAGAPSMPSPKAAAFRGRFDMAPSPPPPSYDHYRGGAGAHNLELQLMPPFNAGGAAAAPGGMGACFYAAAHQHHPTGVSQCNDASTQLQLSIGRGEVMGAAGTSDEASAAATAKEQAREQLRQAMAEKEAAGEARAQARRQVELAEQELATARRMRHQAQVELSRAHALRDHAVRQVNATLLQITCFSCRHKFRAAAAGAPLPAAMSSDVACSYVSSVVTEGGDADEPLDVVDATRRRLQHANSMGIM >ONIVA09G13000.1 pep chromosome:AWHD00000000:9:14334516:14334806:-1 gene:ONIVA09G13000 transcript:ONIVA09G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLVCPRKSCEACGLGAGEVTARVEGVGGAGQQCPSCAVDKCKEELSRCHD >ONIVA09G13000.2 pep chromosome:AWHD00000000:9:14334516:14334806:-1 gene:ONIVA09G13000 transcript:ONIVA09G13000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLVCPRKSCEAFGEVLVTCICGLGAGEVTARVEGVGGAGQQCPSCAVDKCKEELSRCHD >ONIVA09G12990.1 pep chromosome:AWHD00000000:9:14331238:14331441:1 gene:ONIVA09G12990 transcript:ONIVA09G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPKPVVALVLLVVCVVSSFEAATAQYGGSSSNGAAATGLTAAGGSCSLAVPAAVLAIAAFFWN >ONIVA09G12980.1 pep chromosome:AWHD00000000:9:14328413:14329131:1 gene:ONIVA09G12980 transcript:ONIVA09G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYINQNWSEMKPAIVVSAVLVQVECKAPIDKCLTEASQAINKTLEALMDKRLTEASQANNKALDVVVVAAPPAKKSEIEHAMWKQRMFVFAALGMAEGDEKKLATASLAYKNVANAVLPAAPAEKFKVMEESFKVAARQATAKSFEFFLNISME >ONIVA09G12970.1 pep chromosome:AWHD00000000:9:14316662:14321469:-1 gene:ONIVA09G12970 transcript:ONIVA09G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGLGMEATNCGALLRELQQIWAEVGESEGEKNKVLSEIERECLQVYRRKVDDANRTRVQLHQSVATKEAEVASLVATLGEHKLYLKKDKSVVPLKEQLAAVVPVLENLKGKKEERLKQFSDIQSQIEKIRSELSEYSDGDDKANSLIVDENDLSTRKLNNYQAQLHALQKEKSDRLHKVLEYVNEVHCLCGVLGIDFGKTVNGIHPSLHQNGLEQSTNISNSTLEGLANTISNLKAEQRSRIDKMRETMESLCKLWKLMDSPQEERRQFNRVLSVLISSEEEILSPGVLSQETIEKMGAEVERLTKLKARRLKEIFMKKRSELEEICRSAHIEPDASTAPEQTNEMIDSGMIDTSELLAKLESQILKAKEESLSRKDIMDRINKWISACDEEAWLEEYNQDSKRYSAGRGAHINLRRAEKARILVTKIPAMVDNLINRTFAWENARNKPFLYDGGRLISVLEEYRLNREQKEEEKRRYRDQKKLESILLKEKEAIFGSKGSPKRAVSLNRRTNGYRSSGTTSGLMTPNPRRSSLGGATPELLTPRSCSGHYNRYFSDSRRLSATQLNFGDDSLSTFTSISGQFIGLIAK >ONIVA09G12970.2 pep chromosome:AWHD00000000:9:14316858:14321469:-1 gene:ONIVA09G12970 transcript:ONIVA09G12970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGLGMEATNCGALLRELQQIWAEVGESEGEKNKVLSEIERECLQVYRRKVDDANRTRVQLHQSVATKEAEVASLVATLGEHKLYLKKDKSVVPLKEQLAAVVPVLENLKGKKEERLKQFSDIQSQIEKIRSELSEYSDGDDKANSLIVDENDLSTRKLNNYQAQLHALQKEKSDRLHKVLEYVNEVHCLCGVLGIDFGKTVNGIHPSLHQNGLEQSTNISNSTLEGLANTISNLKAEQRSRIDKMRETMESLCKLWKLMDSPQEERRQFNRVLSVLISSEEEILSPGVLSQETIEKMGAEVERLTKLKARRLKEIFMKKRSELEEICRSAHIEPDASTAPEQTNEMIDSGMIDTSELLAKLESQILKAKEESLSRKDIMDRINKWISACDEEAWLEEYNQDSKRYSAGRGAHINLRRAEKARILVTKIPAMVDNLINRTFAWENARNKPFLYDGGRLISVLEEYRLNREQKEEEKRRYRDQKKLESILLKEKEAIFGSKGSPKRAVSLNRRTNGYRSSGTTSGLMTPNPRRSSLGGATPELLTPRSCSGHYNRYFSDSRRLSATQLNFGDDSLSTFTSISGSEPESPSLG >ONIVA09G12960.1 pep chromosome:AWHD00000000:9:14312015:14320176:1 gene:ONIVA09G12960 transcript:ONIVA09G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQQRLGHTSKNIVQQPWLNYKKCGELTYYGGNDNSGCKHEDVSIEDNAWPGLLTKALVEVIEGSTPLPRRNPAAVRGLMRPPLLMVVVVVVSTAHRGTLMRFSLCRWLMLELLQVAKAQPCVLRRFTPASRRKCVAARGHGRQELRAGQYQLDDDEPLWLAVVRDITWGLRSFLAFLAEQPRQLKHLEWPGFRNTLRTATLTLILVAVFIVALSSVDAALCYILS >ONIVA09G12950.1 pep chromosome:AWHD00000000:9:14306603:14309776:1 gene:ONIVA09G12950 transcript:ONIVA09G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAIARVVDDNSPLLMANSDVSVQFAEPPRASILNVARRIHPDGFHPSRPYLPFILNIQSDHLLLYTTNGGHAGGGIYLCDAYTGVAIRLPPSPERPINPRRCVGLIEDPRHRGHFLIAQLHPTSTTQHSTFVSYSTGTSTWEIKRLSSSPHHQGCNGGVLAHNGRLWWADPHARSIRCRGVPPSTRRPSGRPRRPHGQLRRQAPVREGERGKAAVRGDRRLSRHTGRDHDYPDRSGWRCVEHGLQGGIGRDMGRRRLQASKGKLF >ONIVA09G12940.1 pep chromosome:AWHD00000000:9:14289964:14300326:1 gene:ONIVA09G12940 transcript:ONIVA09G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRQWWRRRSVLLARGDPRDEPRRAVGGLPERRAGVPVGADLAVAGAAHRVGARAARTADALADFRDRSAPRGKSPAFSAFVRAYFRFLNYRSLLAAEEDIAGDGDDHCVARLERITKLQFLLELLLQIRPYCDGMEVPLVLEAMDCALIEILQVYGEICTGVARFLVGVPAPTTRPRQTKSTAVAGIKVLRKAAEKSAQLSSYFELCRSLGVVNVRELEVRRQRRRRRSGWCSTMTRAAARRRGAVDKDVVGHATCREDLVDGPRVGEDFAGQPCHAQGRTSLVGRVAAGEDLAGRSGNARGRTSSIRRQWPWIMRSWVALESSMLNVEASDMGAPVEGSSSTLLELGIPEELGIGENDDEEEKATSWRDTRGRSAVGDPQSPELKVEAANTAVRAAVKRCAEVPISARPGKMMRIAIKSWSRFLPPYIPDDVIFDILLRLPSKSLIRFKSVCKAWHAIISNPCFISAHLECSKQKPSIFMVPGVYEKQNNGENTSFLMGLYQYQGGNIMEQIHVQDFPQGIGTWSRPIHCNGMLLISTMNHEMIVCNPSTREIVSLPKGSYNLHAGPRAGFGFDPHSNKYKVARFFYQRDDDTSELVCKFEVLTLGTNLWRQTEDPPYPISGLTPVHVKGAIYWMVNMPLCPDPPNAFLRFCLTNEKFSLLQYPPCNLKPTRFIEVEGELCCACFCSQVSALKIWTCNYAQNPEWTQRCTVQIPPDIVVNNPVARPPIVFLHGKKLLLTWNQVYQYDIQTCRMEKIASGVEDFTCYDPRNNKYWAYLEKEVTDMHLFNYAENVEPCISGPKSPHDRVPLKEMKSDWHACLDSNFKDNLLKSNSVVLAAICSYTNTSNPSVIIGAGLVAKKAFCEDVTPFHEG >ONIVA09G12940.2 pep chromosome:AWHD00000000:9:14290452:14300324:1 gene:ONIVA09G12940 transcript:ONIVA09G12940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRQWWRRRSVLLARGDPRDEPRRAVGGLPERRAGVPVGADLAVAGAAHRVGARAARTADALADFRDRSAPRGKSPAFSAFVRAYFRFLNYRSLLAAEEDIAGDGDDHCVARLERITKLQFLLELLLQIRPYCDGMEVPLVLEAMDCALIEILQVYGEICTGVARFLVGVPAPTTRPRQTKSTAVAGIKVLRKAAEKSAQLSSYFELCRSLGVVNVRELEVRRQRRRRRSGWCSTMTRAAARRRGAVDKDVVGHATCREDLVDGPRVGEDFAGQPCHAQGRTSLVGRVAAGEDLAGRSGNARGRTSSIRRQWPWIMRSWVALESSMLNVEASDMGAPVEGSSSTLLELGIPEELGIGENDDEEEKATSWRDTRGRSAVGDPQSPELKVEAANTAVRAAVKRCAEVPISARPGKMMRIAIKSWSRFLPPYIPDDVIFDILLRLPSKSLIRFKSVCKAWHAIISNPCFISAHLECSKQKPSIFMVPGVYEKQNNGENTSFLMGLYQYQGGNIMEQIHVQDFPQGIGTWSRPIHCNGMLLISTMNHEMIVCNPSTREIVSLPKGSYNLHAGPRAGFGFDPHSNKYKVARFFYQRDDDTSELVCKFEVLTLGTNLWRQTEDPPYPISGLTPVHVKGAIYWMVNMPLCPDPPNAFLRFCLTNEKFSLLQYPPCNLKPTRFIEVEGELCCACFCSQVSALKIWTCNYAQNPEWTQRCTVQIPPDIVVNNPVARPPIVFLHGKKLLLTWNQVYQYDIQTCRMEKIASGVEDFTCYDPRNNKYWAYLEKEVTDMHLFNYAENVEPCISGPKSPHDRVPLKEMKSDWHACLDSNFKDNLLKSNSVVLAAICSYTNTSNPSVIIGAGLVAKKAFCEDVTPFHEG >ONIVA09G12940.3 pep chromosome:AWHD00000000:9:14290452:14303137:1 gene:ONIVA09G12940 transcript:ONIVA09G12940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRQWWRRRSVLLARGDPRDEPRRAVGGLPERRAGVPVGADLAVAGAAHRVGARAARTADALADFRDRSAPRGKSPAFSAFVRAYFRFLNYRSLLAAEEDIAGDGDDHCVARLERITKLQFLLELLLQIRPYCDGMEVPLVLEAMDCALIEILQVYGEICTGVARFLVGVPAPTTRPRQTKSTAVAGIKVLRKAAEKSAQLSSYFELCRSLGVVNVRELEVRRQRRRRRSGWCSTMTRAAARRRGAVDKDVVGHATCREDLVDGPRVGEDFAGQPCHAQGRTSLVGRVAAGEDLAGRSGNARGRTSSIRRQWPWIMRSWVALESSMLNVEASDMGAPVEGSSSTLLELGIPEELGIGENDDEEEKATSWRDTRGRSAVGDPQSPELKVEAANTAVRAAVKRCAEVPISARPGKMMRIAIKSWSRFLPPYIPDDVIFDILLRLPSKSLIRFKSVCKAWHAIISNPCFISAHLECSKQKPSIFMVPGVYEKQNNGENTSFLMGLYQYQGGNIMEQIHVQDFPQGIGTWSRPIHCNGMLLISTMNHEMIVCNPSTREIVSLPKGSYNLHAGPRAGFGFDPHSNKYKVARFFYQRDDDTSELVCKFEVLTLGTNLWRQTEDPPYPISGLTPVHVKGAIYWMVNMPLCPDPPNAFLRFCLTNEKFSLLQYPPCNLKPTRFIEVEGELCCACFCSQVSALKIWTCNYAQNPEWTQRCTVQIPPDIVVNNPVARPPIVFLHGKKLLLTWNQVYQYDIQTCRMEKIASGVEDFTCYDPRNNKYWAYLEKEVTDMHLFNYAESLVPIREF >ONIVA09G12940.4 pep chromosome:AWHD00000000:9:14290452:14300751:1 gene:ONIVA09G12940 transcript:ONIVA09G12940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRQWWRRRSVLLARGDPRDEPRRAVGGLPERRAGVPVGADLAVAGAAHRVGARAARTADALADFRDRSAPRGKSPAFSAFVRAYFRFLNYRSLLAAEEDIAGDGDDHCVARLERITKLQFLLELLLQIRPYCDGMEVPLVLEAMDCALIEILQVYGEICTGVARFLVGVPAPTTRPRQTKSTAVAGIKVLRKAAEKSAQLSSYFELCRSLGVVNVRELEVRRQRRRRRSGWCSTMTRAAARRRGAVDKDVVGHATCREDLVDGPRVGEDFAGQPCHAQGRTSLVGRVAAGEDLAGRSGNARGRTSSIRRQWPWIMRSWVALESSMLNVEASDMGAPVEGSSSTLLELGIPEELGIGENDDEEEKATSWRDTRGRSAVGDPQSPELKVEAANTAVRAAVKRCAEVPISARPGKMMRIAIKSWSRFLPPYIPDDVIFDILLRLPSKSLIRFKSVCKAWHAIISNPCFISAHLECSKQKPSIFMVPGVYEKQNNGENTSFLMGLYQYQGGNIMEQIHVQDFPQGIGTWSRPIHCNGMLLISTMNHEMIVCNPSTREIVSLPKGSYNLHAGPRAGFGFDPHSNKYKVARFFYQRDDDTSELVCKFEVLTLGTNLWRQTEDPPYPISGLTPVHVKGAIYWMVNMPLCPDPPNAFLRFCLTNEKFSLLQYPPCNLKPTRFIEVEGELCCACFCSQVSALKIWTCNYAQNPEWTQRCTVQIPPDIVVNNPVARPPIVFLHGKKLLLTWNQVYQYDIQTCRMEKIASGVEDFTCYDPRNNKYWAYLEKEVTDMHLFNYAENVEPCISGPKSPHDRVPLKEMKSDWHACLDSNFKYCKKNKR >ONIVA09G12940.5 pep chromosome:AWHD00000000:9:14290452:14298115:1 gene:ONIVA09G12940 transcript:ONIVA09G12940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRQWWRRRSVLLARGDPRDEPRRAVGGLPERRAGVPVGADLAVAGAAHRVGARAARTADALADFRDRSAPRGKSPAFSAFVRAYFRFLNYRSLLAAEEDIAGDGDDHCVARLERITKLQFLLELLLQIRPYCDGMEVPLVLEAMDCALIEILQVYGEICTGVARFLVGVPAPTTRPRQTKSTAVAGIKVLRKAAEKSAQLSSYFELCRSLGVVNVRELEVRRQRRRRRSGWCSTMTRAAARRRGAVDKDVVGHATCREDLVDGPRVGEDFAGQPCHAQGRTSLVGRVAAGEDLAGRSGNARGRTSSIRRQWPWIMRSWVALESSMLNVEASDMGAPVEGSSSTLLELGIPEELGIGENDDEEEKATSWRDTRGRSAVGDPQSPELKVEAANTAVRAAVKRCAEVPISARPGKMMRIAIKSWSRFLPPYIPDDVIFDILLRLPSKSLIRFKSVCKAWHAIISNPCFISAHLECSKQKPSIFMVPGVYEKQNNGENTSFLMGLYQYQGGNIMEQIHVQDFPQGIGTWSRPIHCNGMLLISTMNHEMIVCNPSTREIVSLPKGSYNLHAGPRAGFGFDPHSNKYKVARFFYQRDDDTSELVCKFEVLTLGTNLWRQTEDPPYPISGLTPVHVKGAIYWMVNMPLCPDPPNAFLRFCLTNEKFSLLQYPPCNLKPTRFIEVEGELCCACFCSQVSALKIWTCNYAQNPEWTQRCTVQIPPDIVVNNPVARPPIVFLHGKKLLLTWNQVYQYDIQTCRMEKIASGVEDFTCYDPRNNKYWAYLEKEVTDMHLFNYAENVEPCISGPKSPHDRVPLKEMKSDWHACLDSNFKLHKHIKSQRYNWCWPSRKESL >ONIVA09G12940.6 pep chromosome:AWHD00000000:9:14290452:14298115:1 gene:ONIVA09G12940 transcript:ONIVA09G12940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRQWWRRRSVLLARGDPRDEPRRAVGGLPERRAGVPVGADLAVAGAAHRVGARAARTADALADFRDRSAPRGKSPAFSAFVRAYFRFLNYRSLLAAEEDIAGDGDDHCVARLERITKLQFLLELLLQIRPYCDGMEVPLVLEAMDCALIEILQVYGEICTGVARFLVGVPAPTTRPRQTKSTAVAGIKVLRKAAEKSAQLSSYFELCRSLGVVNVRELEVRRQRRRRRSGWCSTMTRAAARRRGAVDKDVVGHATCREDLVDGPRVGEDFAGQPCHAQGRTSLVGRVAAGEDLAGRSGNARGRTSSIRRQWPWIMRSWVALESSMLNVEASDMGAPVEGSSSTLLELGIPEELGIGENDDEEEKATSWRDTRGRSAVGDPQSPELKVEAANTAVRAADVEPCISGPKSPHDRVPLKEMKSDWHACLDSNFKLHKHIKSQRYNWCWPSRKESL >ONIVA09G12940.7 pep chromosome:AWHD00000000:9:14297571:14300324:1 gene:ONIVA09G12940 transcript:ONIVA09G12940.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFDDVEPCISGPKSPHDRVPLKEMKSDWHACLDSNFKDNLLKSNSVVLAAICSYTNTSNPSVIIGAGLVAKKAFCEDVTPFHEG >ONIVA09G12940.8 pep chromosome:AWHD00000000:9:14297571:14298115:1 gene:ONIVA09G12940 transcript:ONIVA09G12940.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFDDVEPCISGPKSPHDRVPLKEMKSDWHACLDSNFKLHKHIKSQRYNWCWPSRKESL >ONIVA09G12930.1 pep chromosome:AWHD00000000:9:14279413:14280519:-1 gene:ONIVA09G12930 transcript:ONIVA09G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSFPIIDMSLLDGAERPAAMGLLRDACESWGFFEILNHGISTELMDEVEKMTKDHYKRVREQRFLEFASKTLKEGCDDVNKAEKLDWESTFFVRHLPESNIADIPDLDDDYRRLMKRFAAELETLAERLLDLLCENLGLEKGYLTKAFRGPAGAPTFGTKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDDSVGGLQLLKDGEWVDVPPMRHSIVVNLGDQLEVITNGRYKSVMHRVVAQTDGNRMSIASFYNPGSDAVISPAPALVKEEEAGETYPKFVFEDYMKLYVRHKFEAKEPRFEAFKAMENETPNRIAIA >ONIVA09G12920.1 pep chromosome:AWHD00000000:9:14277554:14278133:-1 gene:ONIVA09G12920 transcript:ONIVA09G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGWIRWRRRQRWDLSGVRERRTAPRARAHLDPSTQLEGGETAAAAQWRLGGSLEQQPVDGSR >ONIVA09G12910.1 pep chromosome:AWHD00000000:9:14274436:14274960:1 gene:ONIVA09G12910 transcript:ONIVA09G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARLLLLLSLSLSLSLSFSSSRRRRERCRGAAAGANLHHWHVRWPAVRFPNDDEVEEEQEEGDPPAHSDSSHSEHVGWVCAAIVDVVVVGADVRYWPRRPSSSPAHAQSDELPLLRSLCSGRRDACHLLPWRRWSLSLSRCLPSPDGSSISNLISCPLELRPHHFLAAADP >ONIVA09G12900.1 pep chromosome:AWHD00000000:9:14273119:14273313:1 gene:ONIVA09G12900 transcript:ONIVA09G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREGEAVAGETPSPHPNPTLRHPVPKSPCSTGRGVMEGAPREEEGTGEREGKAVVGEEKPGR >ONIVA09G12890.1 pep chromosome:AWHD00000000:9:14272468:14273161:-1 gene:ONIVA09G12890 transcript:ONIVA09G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRRRPPPRALPCPAHLLPHPQALSAYFSSPPVAAVTLFCVGLHGAATTVASARKRPMDQWWEHLARTHSLKNQTLACDGKQARGSQMAIDLILPLSQPSTTSGRFVLLPGGLLFTAVCLLLNEAASKYCSKLKVVVVWCDEEARKLMIFCTIGTGHSFCIQIH >ONIVA09G12880.1 pep chromosome:AWHD00000000:9:14268066:14269374:1 gene:ONIVA09G12880 transcript:ONIVA09G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IKM8] MGKTWALISHLHAFAGPTLTLIYPLYASICAMESTSKVDDEQWLAYWILYSLITLMEMALHKVLYWIPLWYEAKVLFVAWLVLPQFRGASFIYDKFVREQLKKNRVKLHEHHGHGHGHADEHQSHVVRG >ONIVA09G12870.1 pep chromosome:AWHD00000000:9:14238716:14243875:1 gene:ONIVA09G12870 transcript:ONIVA09G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVAAALLLVAAAVAASPVSALYSAGSPVLQFNPNNFKSKVLNSNGVVLVEFFAPWCGHCQQLTPIWEKAAGVLKGVATVAALDADAHKELAQEYGIRGFPTIKVFVPGKPPVDYQGARDVKPIVEFALSQVKALLRDRLNGKTSAGSGGKKSGGSSEKTEPSASIELNSQNFDKLVTKSKDLWIVEFFAPWCGHCKKLAPEWKKAAKNLKGQVKLGHVDCDAEKSLMSKYKVEGFPTILVFGADKENPFPYQGARVASAIESFALEQLEANAAPPEVSELTGPDAMEEKCASAAICFVSFLPDILDSKAEGRNNFVWTAAGKQADLEKQVGVGGYGYPAMVALNVKKGAYAPLRSAFQLDEITEFVKEAGRGGKGNLPLDGIPTIVQSEPWDGKDGEVIEEDEFSLEELMADNSPVNDEL >ONIVA09G12860.1 pep chromosome:AWHD00000000:9:14233201:14234852:1 gene:ONIVA09G12860 transcript:ONIVA09G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSTFPVINMELLAGEERPAAMEQLDDACENWGFFEILNHGISTELMDEVEKMTKDHYKRVREQRFLEFATKTLKEGCDDVNKAEKLDWESTFFVRHLPESNIADIPDLDDDYRRLMKRFAAELETLAERLLDLLCENLGLEKGYLTKAFRGPAGAPTFGTKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDDSVGGLQLLKDGEWVDVPPMRHSIVVNLGDQLEVITNGRYKSVMHRVVAQTDGNRMSIASFYNPGSDAVISPAPALVKEEEAGETYPKFVFEDYMKLYVRHKFEAKEPRFEAFKSMETETSNRIAIA >ONIVA09G12850.1 pep chromosome:AWHD00000000:9:14217058:14217768:1 gene:ONIVA09G12850 transcript:ONIVA09G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITEYGGAQGNGAAAAVARGAAVPPAHRGRRICGGGCSLLLQWEDLWRRLLAVAAARREERWRRLLAPLGAERGVLAAAAHRRWARRGARGAGAWRRKSRRVAQLAAGRDAAQELGAAGARRRPTQREGHDQRRGEKEAVERRP >ONIVA09G12840.1 pep chromosome:AWHD00000000:9:14198318:14199247:-1 gene:ONIVA09G12840 transcript:ONIVA09G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCRNLKLTGGVPVRSDGDGGGLSWERRFMVAVATARALAYLHHDCKPQVLHLNIKSRSILLDKEHEAKLLDFGLAKLLPEPSNLPDYVAPELASSSSLSSRHGGDKCDMFSFGVVLIAGYGDGAEAGEQPPWTTGHGGGGDGPARLREGDGGEQHSLRLLRPEHEESRRGKSFQVSAGGVGPAGYAVEGWRRVAVVEGGGGGLLRVDPAKEARGAADGHSSLKGLRPTGGAQAGGRYGRRCSFRRRRRRLLLGRSMLRRRQPSSQAAPAGVVPMQPSSSTTAATKKRKMIEE >ONIVA09G12830.1 pep chromosome:AWHD00000000:9:14192934:14197636:-1 gene:ONIVA09G12830 transcript:ONIVA09G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02010) TAIR;Acc:AT3G02010] MHPSKTTATVVANVAAAALPLPLPRAATPLDARMVKTGFDVLTYRLNLGLRSLLSSGHLHRARAMFDQMPHKNIFSLNLILSAYSSSGDLPAAQHLFLSSPHRNATTWTIMMRAHAAAGRTSDALSLFRAMLGEGVIPDRVTVTTVLNLPGCTVPSLHPFAIKFGLDTHVFVCNTLLDAYCKHGLLAAARRVFLEMHDKDAVTYNAMMMGCSKEGLHTQALQLFAAMRRAGIPATHFTFSSILTVAAGMAHLLLGHQVHALVLRSTSVLNVFVNNSLLDFYSKCDCLDDMRRLFDEMPERDNVSYNVIIAAYAWNQCAATVLRLFREMQKLGFDRQVLPYATMLSVAGSLPDVHIGKQIHAQLVLLGLASEDLLGNALIDMYSKCGMLDAAKSNFSNRSEKSAISWTALITGYVQNGQHEEALQLFSDMRRAGLRPDRATFSSIIKASSSLAMIGLGRQLHSYLIRSGYKSSVFSGSVLVDMYAKCGCLDEALRTFDEMPERNSISWNAVISAYAHYGEAKNAIKMFEGMLHCGFNPDSVTFLSVLAACSHNGLADECMKYFHLMKHQYSISPWKEHYACVIDTLGRVGCFSQVQKMLVEMPFKADPIIWTSILHSCRIHGNQELARVAADKLFGMEPTDATPYVILSNIYARAGQWEDAACVKKIMRDRGVRKESGYSWVEIKQKIYSFASNDLASPMIDEIKDELDRLYKEMDKQGYKPDITCALHMVDHELKLESLKYHSERLAIAFALMNTPAGTPIRIMKNLTACLDCHAVIKMISKIVNRDIIVRDSRRFHHFKDGVCSCGDYWHQCDVKSGDSKGSACGWCERMKWMKSGERSMQVQMQMQQATTVLSSSSNRPWTLWCHPVPVVSSPSHAKNKKHGLRLRAGMAMASSELPDLSAIQRVVLDIEGTTTPISFVADVLFPYARDNVRRHLAATYGSSEETRADVALLRAQVEEDLAQGVDGAVAVPPDAEGGGEGAVVEALAANVESMIRADRKVTALKQLQGRIWRRGFDSGELRSEVYDDAADALRRWRAKAYIYSSGSREAQRLIFANTAAHGDLRDHLCGFFDTTIGAKREVSSYYEIWQTLGTDRPSQILFLTDVYQEAAAAKTAGLEVIISVRPGNAPLPDNHGFHTITSFAEISI >ONIVA09G12820.1 pep chromosome:AWHD00000000:9:14190329:14193804:1 gene:ONIVA09G12820 transcript:ONIVA09G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPHRPYKRPAISDQQRRRDLALQAQSARRADAQARARSLANSLLSPSSAAADTAVEGDSERDHEPTVAEAASKLRGSDARRWHVFARPAGKRCLVVSCNGITISRLRNGSILHRFPSALPNGSKRDISGPASSYSILDCIFHEPDETYYIIDMICWRGYSLYDCTAEFRFFWVNSKLMETTAGDPPSTYHRYRFSAVPIYECTLQGLQAAYSGSTPYVKDGLLFYNKHAHYLAGITPLALVWKDEACSQYVIDTDSKGQVPSEQHIVLDLQEDGKLTTSDDPPVVFGSLDNEFIQKSNLRPGNLLRFAVKDERVKLVDGKMEISELQFVGKPNRARAFADSHSKALFQYAARHAPLRIEDLVASIQSNNMELESTDVEMQG >ONIVA09G12810.1 pep chromosome:AWHD00000000:9:14189289:14190904:-1 gene:ONIVA09G12810 transcript:ONIVA09G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQETTKHRFPAVGGEVGRSVSHPLREHDLAGEPAARIGAAKLGSGLGDGGLMIALAVALHCCVGSGRGRGQKGVGEAAGARLGLHAVEPPMRLSSSLEVMPRPMTRWAETELVAMLGAWTKGIRWLAEKPTVETSAGPDASRRPPELTVEAPASPDASTC >ONIVA09G12810.2 pep chromosome:AWHD00000000:9:14189289:14190904:-1 gene:ONIVA09G12810 transcript:ONIVA09G12810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQETTKHRFPAAIAWTNRARSNHPPAIAVVATPHLASSRRHCRRRQPSCLASQLHAVEPPMRLSSSLEVMPRPMTRWAETELVAMLGAWTKGIRWLAEKPTVETSAGPDASRRPPELTVEAPASPDASTC >ONIVA09G12800.1 pep chromosome:AWHD00000000:9:14185679:14186592:-1 gene:ONIVA09G12800 transcript:ONIVA09G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKNSGHFLNQRSHKPSLLHTPEEEAALAASTTGGPSFVLHTSIAPNNPSSQITYGLTIRHAVTESEKTVASSAAIEPKKMSHAPIVDAHIEDTQKYDTSYIGNQQESNVDDDNA >ONIVA09G12790.1 pep chromosome:AWHD00000000:9:14178642:14182747:-1 gene:ONIVA09G12790 transcript:ONIVA09G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G23900) TAIR;Acc:AT3G23900] MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALSASAADDPDQDDDLHRPSSPAQASRDAYSDADDDDDDRPHAPPGPVDPSKSSAAGPGIAGGSAGAPATFTVVSKDRDSRRVPTGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYAVTYVVPKRGNYMVHVDLDGSPVMGSPFPVFFSASNTAATVVTSTFPPTLPAVSSAYPNMVNQTMPNMPNYAGALSAAFPSLLGLLPAASTGASGGVVLPGVGASLGEICREHINGKCTKATDCSKLNHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKAAGGEASGSTDKTDKGAVLKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTITDSKHIAYVEYSKQEEATAALALNNMDVGGRPLNVEMAKSLPPKTNLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEEKDARGKSRSPSPSARRSKSRSRSPIKYRRSRRSRSYSPPVRHTRGRRSRSPSRSYHSKYGSDRSYRDDRDKYGRSGRRESDRSRDHYSSSSRRNRSRSISPRHKKSSRSDSRSPKRHREESLSPSKSRRSARAGSRSPGQHKGSKLSPTRDHHSSRRSRRSRSRSQEKNRNSDKKDSKKSEMEDKKRRSDRGNRGDKDEKYLKDPMEDKKLDVSSVAHKRSSSASEDEMLNSNSKRSKHDAALECHERKDEDHIEEDRRDLDSVGSKSEKRSLGNGDHEKQNHDTNRKTDKSHDRDDSSRKDRKYKEDESRHSRDRRSRHSSSRSHRSSRHSREKYHGDTTDQHKSKKSEEGSKSRKDDCLLDDTLSSDRRKVQSEDSPRRKHNQLAASSDVHGINHDTGVKVPNDFSEADQGIQEAKQVVHETDMSSAPRLEDPFLAQDKQDKPIVAGLNGRHEPGVDGAFVGTEESAI >ONIVA09G12780.1 pep chromosome:AWHD00000000:9:14173441:14178494:-1 gene:ONIVA09G12780 transcript:ONIVA09G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSADRRSIREANHKLVYMRVGGGLLGIGRSLDGDVVLEAAGSARQRSATHSGDQFNLVLGFLLKPAMGAPLAELLQKKNKPNKNPKKKGRERERERERKKQSTGTGGSEAKVKA >ONIVA09G12780.2 pep chromosome:AWHD00000000:9:14173441:14178494:-1 gene:ONIVA09G12780 transcript:ONIVA09G12780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSADRRSIREANHKLVYMRVGGGLLGIGRSLDGDVVLEAAGSPAMGAPLAELLQKKNKPNKNPKKKGRERERERERKKQSTGTGGSEAKVKA >ONIVA09G12770.1 pep chromosome:AWHD00000000:9:14172048:14173424:-1 gene:ONIVA09G12770 transcript:ONIVA09G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ-like 20 [Source:Projected from Arabidopsis thaliana (AT4G13830) TAIR;Acc:AT4G13830] MPHLAASPTSAAAAAPASARVAFLRPGRVPRPPLQTARGLRPDLGTLRTAEQPTLYDLLGISSEGTLDEVRAAYRRMARKYHPDVSPPDAAAENTRRFIEVQEAYETLSDPSRRATYDRALARGVCRLAFSSSRRVAPYYYQDQEDKSGWRRTWGDQIEELKRRSMTKDSEENLSWGARMRRRTETSSSE >ONIVA09G12760.1 pep chromosome:AWHD00000000:9:14167329:14170536:-1 gene:ONIVA09G12760 transcript:ONIVA09G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQHLTSRRHAELLRHLLLDGGAAVKDLRLRRVVPLTSAPLDDSSPDPAGAAAKSGSAETTPPEAQDGRERKPVVQRSKLVHAPASFGYRRLLPFLNQLTNTNQESECPSGKDNSKIDAYAESESEAQPDPVHCSISTTKEEINISSSHLSSTKMCLSRCQRSRFVHHPSSFSYKRMLPFVTENEITSQEGHRTKIPRLVQEKQSSTDENLILTTGQHHFVMSGDSAEECKTAQVERLVEENESKSDRIHPLGGRLLQPAVSEAAHLELQVSTVEGQNLTQERVLASDAHLLSSDKGECTLKWNDVLPAGQHQPAASEDFSEESNKAGVEAVLEERKSVPDGNSVLDGRQLQTFVSKASPPEGTAEMQKATQKQAVTSDGDDDPLASCKGGSLAKEQPLLHATELSVKDNAEGDEVHQCQSPELGTSDVCFGGPTKVVIPSVNSHNALEQCDSMASLDEPLLDVEMTCIPLDPCATGVPYSVKETPAGVLCTSDHCSTGTPLTVEETSSSVSVVHIEPMSSKVSPVRQRVSPCLEKRGLSPKKLSPKKGILKRHTRGCKGICMCLDCSTFRLRADRAFEFSRKQMQEADDIIDNLLKEVSSLRNLMEKSAGQQETKQTACQRASQVEVVARERRRQMLMELNSHCRIPGPRVKFAQYVEERMASSPSPDSPSRRR >ONIVA09G12750.1 pep chromosome:AWHD00000000:9:14166708:14166926:1 gene:ONIVA09G12750 transcript:ONIVA09G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWGPVLIALVLFVLLTPGLLCQIPGSNGRVAEFHSMRTSVASIFVHALLFFAFCAIFMVAVGLHLYAG >ONIVA09G12740.1 pep chromosome:AWHD00000000:9:14164501:14166087:1 gene:ONIVA09G12740 transcript:ONIVA09G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C6 [Source:Projected from Arabidopsis thaliana (AT3G48610) UniProtKB/Swiss-Prot;Acc:Q8H965] MGRRLLLLFLMLAQAPNSNGDSKIKNVVVLALENRSFDHMLGWMQRLLGLPIDGLTGAECNPAPGPGPADSLLHCVSPDADLVVPDDPAHAFEDVLEQLLGFRPNDSAGAAASPSDMSGFVRSAVSVSALLTDAVMRGFTPSRLPAFSALASSFAVFDRWFSSIPGPTQPNRLFLYSATSHGAVAHDKWNLLRGYPQRTIFDSLAADALDYRVYFKTIPTTLFYRRLRTVANAARGTFRRYDAAFHDHARRGLLPALSVIEPRYFDLTGTPADDDHPAHDVANGQRLVKDVYEALRAGPQWNHTLLIITYDEHGGFYDHVPPPNVGVPSPDAIRGPLPFFFRFDRLGVRVPTIMVSPWIRKGTVVGRPPGGPTPTSEYEHSSIPATIKKIFNLSSDFLTRRDAWAGTFEHLFTDLDEPRTDCPETLPEIPPPSSSSSSTKKEDGGWLSDFQRELVQLAAFLNGDYMLSSFAQEYESRMTMTVKQADAYVRRAVKRFLEASKRAKRLGANDSAIVTMRPSLTTATTCCP >ONIVA09G12730.1 pep chromosome:AWHD00000000:9:14147768:14148712:-1 gene:ONIVA09G12730 transcript:ONIVA09G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumenal 17.9 kDa protein, chloroplast [Source:Projected from Arabidopsis thaliana (AT4G24930) TAIR;Acc:AT4G24930] MTSSLSSSTASAAACCKSRSRNPPPAPAPHTSTARVVRSSRRRLLLVFFSAEAAAAATSGLIQTPCGQAYPFAGTNVKKPQPPSTPYSQSQSQQQFGLDAKGRIRACPSTNPGCVSTNPTVGASCSLASPLIVPANTPTDKAAASLREAILKTQRNAVIKADEETAYGHYIQAEVDGGAGRDVMEFLLKESQSQSQEVVAAYRCVATKVIFVYPFTTAVGDSRGQSQRIAAVAQELGWYAPDLLNAATADDHSILDY >ONIVA09G12720.1 pep chromosome:AWHD00000000:9:14141596:14142873:-1 gene:ONIVA09G12720 transcript:ONIVA09G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLDVPRSLRLPTPKAQQQMDEFWRDRQKEIETTKDFSEHAIPMARLKKIASSQKGNMMMTFDMPAFLSKMCELFVQELAVRAWASAQSHNRCIILDTDIAEAIASTESYDFLVDILHNHREKHKSTPCSTLTTKRCRLVDQPSTSRPPYQHQLPLFAPTYTPAIPITPSLMPPISHYIPFQYPSLSQEVSTMMASAPIVNRSMLLIHNIARGLGLQGNNISTFANNNIPDNIIGCSSPAVLASMMSPALLDVAGASLNPPNSHSICTMNMINSTDPSGSSIGDINVANQASLAPSEHFNPAILQESSCPPFLYNNNNDTIVVVPEGVDISGTMDVASDVAGLVINGQEEEHERKTNVEQNEIYESIDIGIINASVVDGNKCSIRWDELGTADDSLLDKFLEEFQARNDGVLHSGIVLHEDHS >ONIVA09G12710.1 pep chromosome:AWHD00000000:9:14135434:14140926:-1 gene:ONIVA09G12710 transcript:ONIVA09G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSSSAAVAVAEAPSPAPAPPLLTWSTPDPDPPTSWTAVAALEDQQRRRLHRIWERGVAWKPPGTLPLPPLVFRLDHAGEVDADGNCLFTAARKAASAKPDARDLRHRIVRRFSHLYAAAQAPDRDAIDAAVRHLYAPDLKAGWGVHVVQELKLLAPKTLRHHLDAAINDLVDLGIQREMAAETIYRERCIAVNNGDSWAKYMSVSGSAEDEHNIITLQYTEEGLLTIDENRDGHAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEDNCVFFLPHRPRGEICEPPIFLFMKGTVAVYAVSCNVSGLKVLTVEEEIQSVRKTAEAVGDFRKTPIYIVGTDCTAKRNIAKLLANSIIYRYLCSEELLEDVLGGKDALNAFRESDLNGYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLYAAFTHHSLISHIRLLINSSYANSLRGLLRHGVSIWIDIPLELVVNDMLKTQATSDPDSFSEAMSRVRQRHDELKERYGVSDITVSVQNVASQLGYSSIDSVTLEDMVLEIVRQIERLIRAKSMMEAAGKPF >ONIVA09G12700.1 pep chromosome:AWHD00000000:9:14132733:14134862:-1 gene:ONIVA09G12700 transcript:ONIVA09G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon-associated protein beta (TRAPB) family protein [Source:Projected from Arabidopsis thaliana (AT5G14030) TAIR;Acc:AT5G14030] MAMARSILLLLLLAAAASASADAPFLVAHKKVSLSRPKPGVERLAVSLDLYNQGSATAYDVSINDDTWPKEAFELVSGEMSKTLERLDPGVTASHAFVLETKVQGRFQGSPAVITYRVPTKAALQEAYSTPILALDVLAERPPEKKFEWAKRLVAKYGSLVSVVGLVGVFIYLVASPSKSSGAKASKKRR >ONIVA09G12690.1 pep chromosome:AWHD00000000:9:14126855:14131258:-1 gene:ONIVA09G12690 transcript:ONIVA09G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MEDDDDDQRLLHSLGVTSADIHDIERRIISQATTDPADSSGPTINGGHQPDDALAKLHHKLRSVQIEIDAVASTIKGAKLKQPSGNKPHEHKGKDQPDHHGGGHLQQALAADRLTSLRKAKAQIQKEILQSHPSPSASNRKDKMLAMLVQDEPRRKKPPVGPKNIVKRPMKTVTYDDDNDFDAVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVELPEPSHRQDDSAGQTEEAMEASRIARVAQSLKQIAQNRPATKLLDSESLPKLDAPAAPFQRLGKPLKRPVSPSSDEQEKKRPRNKTKRPLPGKKWRKANSIKESSLDDNDVGEAAVSISDDDEDQVTEGSDELTDVTLEGGLRIPGTLYTQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHNSGLYKPSIVVCPVTLLQQWRREASRWYPKFKVEILHDSANSSSKKSKRSSDSDSEASWDSDQEEAVTRSKPAKKWDDLISRVVSSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFSVPITVGGYANATPLQVSTAYRCAVVLRDLVMPYLLRRMKADVNAQLPKKTEHVLFCSLTTEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLKVWKEQGHRVLLFTQTQQMLDIMENFLTACEYQYRRMDGLTPAKQRMALIDEFNNTDEIFIFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQARERAWRIGQTRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKDPQQRRFFKARDMKDLFTLQDDDNNGSTETSNIFSQLSEDVNIGVPSDKQQDQLYAASATPTTSGTEPSSSRHGQGKEDHCPDQADEECNILKSLFDAQGIHSAINHDAIMNANDDQKLRLEAEATQVAQRAAEALRQSRMLRSHESFSVPTWTGRAGAAGAPSSVRRKFGSTLNTQLVNSSQPSETSNGRGQSLQVGALNGKALSSAELLARIRGTREGAASDALEHQLNLGSASNHTSSSSGNGRASSSSTRSMIVQPEVLIRQLCTFIQQHGGSATSTSITEHFKNRILSKDMLLFKNLLKEIATLQRGANGATWVLKPDYQ >ONIVA09G12680.1 pep chromosome:AWHD00000000:9:14109871:14114239:1 gene:ONIVA09G12680 transcript:ONIVA09G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKSKDPPLSIQDATERINKRGESVDDKIKKLDEELGRYKEQIRKTRPGPSQDAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQESLGRSYNIPDDVDEEELMGELDALEADMEFESSAVPSYLQPDKESDFDAELNLPAAPTAPAAVPVSRQQVDELGLPAVPRASIRS >ONIVA09G12680.2 pep chromosome:AWHD00000000:9:14109871:14115650:1 gene:ONIVA09G12680 transcript:ONIVA09G12680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKSKDPPLSIQDATERINKRGESVDDKIKKLDEELGRYKEQIRKTRPGPSQDAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQESLGRSYNIPDDVDEEELMGELDALEADMEFESSAVPSYLQPDKESDFDAELNLPAAPTAPAAVPVSRQQVDELGLPAVPRASIRS >ONIVA09G12680.3 pep chromosome:AWHD00000000:9:14110723:14115650:1 gene:ONIVA09G12680 transcript:ONIVA09G12680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTATSSVVGLSAVLPAAVKGRSLQIQAPRRVALRVRAAAAAVAVEAAEVDYSSNISVFPMEACDLIGGEACHVQMYPEAKLSSSAAVAVSRAAAEEVDRDYLSYDEPTTVFPEEACDDLGGEFCKAT >ONIVA09G12670.1 pep chromosome:AWHD00000000:9:14102666:14104486:-1 gene:ONIVA09G12670 transcript:ONIVA09G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13400) TAIR;Acc:AT5G13400] MDHHPIPADENEMTSTSPQMQMRRNKLSSHFQGDIDDDGGVGVVDIRGSPMGSAELARTGGWVAAVFIFGNEMAERMAYYGLSLNMVIFMFNVMHRPFAASANAVNNFLGISQASSLLGGFLADAYLGRYWTIAAFTTLYLLGLVALTLCATMPALQAPGQDECDGFAKLLGKCQQPHPWQMAYLYAALYTTALGAAGIRPCVSSFGADQFEERSPVLDRFFNLFYLAVTVGAIAAFTLLVYVQRNHGWAAAFGALALAMAASNALFFMGTPLYRHRVPGGSPLTRVAQVLVAAYRKRHIKHTTELLYEVGGAKSAVRGSGKIEHTEELRWLDKAAVRVEGQEEINNPWRLCTVTQVEEVKILVRLAPVSACTVMLSVVLTEFLTLSVQQAYTLNTRGLPVACMPVFPCLAILLLLALYYRAFAPLARRLTGHPHGASQLQRLGLGLLLSTLSVAWAGLFERYRRAYAIRHGFLPLFLTPMPGLSAYWLLIQYCLIGLAEVFCLVALLEFLYQEAPDAMRSLASAYAALAGGLGCFLATAINTAVDSITGDIDAGRPSWLAQNINVGKFDYFYWLLAVLSTINLIVFIYFAKAYKYRIKPPTTPHN >ONIVA09G12660.1 pep chromosome:AWHD00000000:9:14093546:14094533:-1 gene:ONIVA09G12660 transcript:ONIVA09G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERTAARKSSSAEEVVSCDIVALISITDAEALKSSNVSHLPEMFLSFTTNCKDITFNRKIWVHISQPVPMSLSLHDIQQAIRLDTQMQEETFNVAVQVLAADEIQRFGGTDFVGWRHFLNQDFAMFATAGDDQWNPEDHLPSFKDDSLIPYDVPSCHLECMKLAFPDWDEDIPNWVSEFPSAIPAINNRFQFMLPI >ONIVA09G12650.1 pep chromosome:AWHD00000000:9:14088152:14089058:1 gene:ONIVA09G12650 transcript:ONIVA09G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKPSGGKGRMSSARSVASPSAARVGGGSSAPDVEQQKSVAAVGASSPVSSSKHHHMAKAEDGTITVNGDKQQNPAADRNGFVRCMDTAARSEVMNHSLQKYVIHFDGCHPLPMRNPRKRCAWCSLRDIRAACDMNFRSNEATRGNSNGCEHVSKENK >ONIVA09G12640.1 pep chromosome:AWHD00000000:9:14077658:14082619:-1 gene:ONIVA09G12640 transcript:ONIVA09G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IKK0] MGEEKSQQRRRQGHPLLRGGGAGKQAGRRYTHGFSASQMVALAALCGALAPSLPPDTRDDDDDDAGGGRYGGAGASDAKAVRDFLLASAADPPVPDEVAELMTRMCLREALALVRAVLWLLGTRLGTLALCGGRCVSWGRWPFVLTFAEMPVERREEALRRWSRVTVLPPLRAFFLVVKVFCLYVFYSWIDESSENPHWRAIGYSPPTDEPPAEEHTEATKRPLDDGVVETINLTDASLPSSLAEKGLAVTDDAARNVCRVECDVAIVGSGCGGGVAAAVLAGAGHKVVVIEKGNYFTSRDYTSFEGPSINQLYESGGFVTTMNGGGLLLAGSTVGGGSAVNWSACLKTPEFVRREWAAAHGLPLFASPDYAAAMDKVFERLGVTSGCTEEGLQNKVLRKGCEKLGYKVDAVARNSSEGHYCGSCGFGCRTGDKRGTDTTWLVDAVGRGAVILTGCKAEKLVLERGGARGRRCVGVVARSTNPAITKTLEVRAKVTVSAAGSLLTPVLLQRSGLTNPHIGKNLHLHPTALAWGYFPDTMPDLKGKAYEGGIITSMHKVETSGAGAPHRAILETPMMAVAATGTQMPWLSGRDSKERMLRFARTVHIFSLVRDRGSGTVHGERRVAYRLDAADREDIRDGLRRALRVLVAAGAAEVGTHRSDGQRLRCEGLTEEALEEFLDGVTVVRGPQSRSETWGLFCSAHHMGSCRMGATAGDGAVDARGESWEAERLYVCDGSVLPTAVGVNPMITIQSVAYCLANGIADSLSAKTT >ONIVA09G12630.1 pep chromosome:AWHD00000000:9:14069673:14076423:1 gene:ONIVA09G12630 transcript:ONIVA09G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP citrate lyase (ACL) family protein [Source:Projected from Arabidopsis thaliana (AT2G20420) TAIR;Acc:AT2G20420] MVRGSLGKLASRALSVAGKWQHQQLRRLNIHEYQGAELMGKYGINVPRGAAAGSVEEVKNTLKNVFPSEKEIVVKSQILAGGRGLGTFKSGLQGGVHIVKAEEAESLAAKMLGQILVTKQTGPQGKIVSKVYLCEKLSLVNEMYFAITLDRNTAGPLIIACSKGGTSIEDLAEKYPDMIIKVPIDVFKGITDDDAAKVVDGLAPKTADRQSSIEQIKKLYELFCKSDCTLLEINPLAETADNKLVAADAKLNFDDNAAFRQKEIFAMRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASVK >ONIVA09G12620.1 pep chromosome:AWHD00000000:9:14066428:14066889:-1 gene:ONIVA09G12620 transcript:ONIVA09G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICARAEPPRGGGTLGKRKERDRPSSEEQRAPPPPLFPAMSARPQPPRPAHPARFVKPMPPPPPPFPKGGGGSSFKLMAGYLAHEFLRSGTLLGERPESNSKAPAPAASAGPAAPDPRTRYAEASLLLMAGGARVPGVVNPTQLGHWLRIKE >ONIVA09G12610.1 pep chromosome:AWHD00000000:9:14056545:14060890:-1 gene:ONIVA09G12610 transcript:ONIVA09G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteolysis 1 [Source:Projected from Arabidopsis thaliana (AT3G24800) TAIR;Acc:AT3G24800] MASEDGCGGGNPPGDAAAAPPVWNAAPAAGAGCGDLEEDLRFQCCVCLELLYKPVVIACGHMSCFWCVHNAMHIIRESHCAVCRQPYKHFPSICQLLHHLLIKLEPVEYKRREKEVLEDEKRVDTYSPQIIEFLNSKSNNCEIDGENRPEESNSRPPQEVTSDGNTINGHPKKVKLEDVSCALCKELLYQPAVLNCGHVYCMSCLSSLDDGALKCQVCGGLHPGDFPNVCLDLDHFIEDYFPAEYDLRREKIKLLKGECNQGSSSGTSCTKEGRGRPTNKENRAHQDDDLSDVHIGVGCDSCGMYPIRGKRMELDHSALFNRLMRLQGIHEEGPGEIIIEGAFVAPDAVVHIIADDHEEIEDNGEDDHLL >ONIVA09G12610.2 pep chromosome:AWHD00000000:9:14056545:14060890:-1 gene:ONIVA09G12610 transcript:ONIVA09G12610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteolysis 1 [Source:Projected from Arabidopsis thaliana (AT3G24800) TAIR;Acc:AT3G24800] MASEDGCGGGNPPGDAAAAPPVWNAAPAAGAGCGDLEEDLRFQCCVCLELLYKPVVIACGHMSCFWCVHNAMHIIRESHCAVCRQPYKHFPSICQLLHHLLIKLEPVEYKRREKEVLEDEKRVDTYSPQIIEFLNSKSNNCEIDGENRPEESNSRPPQEVTSDGNTINGHPKKVKLEDVSCALCKELLYQPAVLNCGHVYCMSCLSSLDDGALKCQVCGGLHPGDFPNVCLDLDHFIEDYFPAEYDLRREKIKLLKGECNQGSSSGTSCTKEGRGRPTNKENRAHQDDDLSDVHIGVGCDSCGMYPIRGKRYKCKDCTELIGFDLCEECYNTESKLPGRFNQHHTPDHRMELDHSALFNRLMRLQGIHEEGPGEIIIEGAFVAPDAVVHIIADDHEEIEDNGEDDHLL >ONIVA09G12600.1 pep chromosome:AWHD00000000:9:14046063:14051717:-1 gene:ONIVA09G12600 transcript:ONIVA09G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWHRLGNFKYVVMAPVVAHGARRVMRNGWGDLDIAFSLILPSLLLRMIHNQIWISLSRYQTARSKHRIVDRGIEFDQVDRERGWDDQILFNGLVFYAGYLAMPSVRRMPVWRTDGAVVTALVHTGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHVVYFILFAIPILSTIYLGNVSAMGIVGYIAYIDFMNNMGHCNFELVPEWIFQIFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDELYESSLKGTEETPDLVHLTHMTNLQSAYHLRIGIASIASKPYSDSAWYMWTLWPLAWLSMVLAWIYGSSAFVVERIKLNKMKMQTWAIPRYNFQYGLTWEREPINDLIEKAILDADMKGVKVISLGLLNQAKQLNGNGELFRQKYPKLGVRIVDGSGLATAVVLKSIPSDAKKVFLRTGTSKIARAIAIALCDRGVQVIMNEKEVYHMLKSQIPENRASYLKLSSDNVPQLWIVHNIDDNEQKMAPKGTIFIPISQFPLKKLRKDCTYMSTPAMRIPEEMKNIHSCENWLPRRVMSAWHIAGILHALEGWNMHECGDEMMDIEKSWSAAIRHGFLPLTKA >ONIVA09G12590.1 pep chromosome:AWHD00000000:9:14034995:14045149:1 gene:ONIVA09G12590 transcript:ONIVA09G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAVTNPKHRVCFSRWQWIHTHTYRLQGDRPRSEGTRSAYRPNIAAAGGASNSSSSRPSPLAFPSRLLARRPPPATIPIPRAAAVPAVLCRTLAAVSSRSAVSTSQLRTGMAKPNGKEKTGDMGLSMAPPKISKDRFDAAIRAMADIGILKETAAPVLNNLLNLFDYNWVHIEADNYLALADAIFCDSDPKEGQKRQANETNLDADQSNKKLKTKKRSQNPTSKMHGNDNREFVEAPPQQGRGTLSARTVNGKKVTRAHLELPSSQLLIKEPHTCPSIAKNTTIVENNSAVLCHGQDLQTFEVPVATTCPQVVAPSTRKDARRTSGAHHDQKHEGVSGAHERNRAVACSNQEIVSSKDSPSNIEVVLSNYGAGKLSFTYNSSLANRSDFHLPDIKLICKKMEARCLRKYKSLEPNFSFKNLIKDTCQCIVESSGPRHEGIIQTVPALDILSKPSVPQILQSNQANSSFMPPNNVMSLGGTSSSCAVAGVSQNSSNMPVVPHQLHIGANRPPHDVNDITKGEERLRIPIINEYGNGILPPPFHYIPHNITLQEAYVNISLARIGDDNCCSDCFRDCLAQSLPCACAAETGGEFAYTTDGLLKGAFLDSCISMIREPLKHPHFYCKICPNERMKIEVNSDSSNTEMNPGPCKGHLTRKFIKECWRKCGCTRNCGNRVVQRGITRHLQVFLTPEKKGWGLRSTEKLPRGAFVCEYVGEILTNIELYDRTIQKTGKAKHTYPLLLDADWGTEGVLKDEEALCLDATFYGNVARFINHRCFDANIIGIPVEIETPDHHYYHLAFFTTRIIEPFEELTWDYGIDFDDVDHPVKAFKCHCGSEFCRDKTRRSKSRARV >ONIVA09G12580.1 pep chromosome:AWHD00000000:9:14028091:14028792:-1 gene:ONIVA09G12580 transcript:ONIVA09G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEEEEEEPNMYNSEAGEENHVGGFYECTGLPRDADGFVAAVHEVNPVQFLAGVFRYLGEDMAGELLRSDDDDVLHCPSIAASSSPEELLACAARAYTGRDRERCGGSVVEHLYMICACFCPHAAVAAAPVSAVDVAGADHLPAPCDYGVDLAFTQDELTAAAVAVGEVDEDEVAVAAAAATVDAAVDEIVLNALGFNEFARDLKETIEAKDREDALRAEGSSVSPGVRPPV >ONIVA09G12570.1 pep chromosome:AWHD00000000:9:14024038:14025630:-1 gene:ONIVA09G12570 transcript:ONIVA09G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33350) TAIR;Acc:AT1G33350] MPPAPPFSSHGDFVAALHRCATLAHLKQLHAHAVVTGRAAAQTTTFHLLRFASLRLSCLPYARRLFDATPGPNVFLYSAMLSAYAAASSHSQEHARDSLALFLRMLRRGRPAPNQFVYPLVLRAACAIGVQLVRSIHCHACKDGFYGHDFIRTSLLDGYSRYGMMGDARKLFDGLTDRNVVSWTALVSGYARAGKVGDAIVLFERMPQRDVPAWNAIIAGCTQNGLFVEAVGIFRRMVDEGFRPNGTTVSCLLSACGHLGMLKIGKVIHGYAWRSCVGFGSSVVNGLIDMYGKCGNLMEAKWIFDAFSDRGLTTWNSLINCLALHGCSESAIAVFNSMRNEGVQPDEVTFVGLLNACTHGGFVDEGLRYFELMCDEHGIEPEIEHYGCVVDLLCRAGRFQDAMNFINDMKVQPDEVIWGSLLNACRIHRHLELAEHAIRNLLDLNPSNANYVVMLANLYSEGGFWEEVRKVRKLMKEDVTGKKLPGCSWIEVDRKTHRFYSGDDGHPESDDIYDTLDKLATTMEMQATFA >ONIVA09G12560.1 pep chromosome:AWHD00000000:9:14018202:14019692:1 gene:ONIVA09G12560 transcript:ONIVA09G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0IKJ1] MATCADTLGPLLGTAAANATDYLCNQFADTTSAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGAPSNGFIGKHFFGLKQVPQVGFDYSFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGSLLFGSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALRGHSASLVVLGSFLLWFGWYGFNPGSFLTILKSYGPPGSIHGQWSAVGRTAVTTTLAGSTAALTTLFGKRLQTGHWNVIDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNALAARLKFDDPLEAAQLHGGCGAWGVIFTALFARKEYVDQIFGQPGRPYGLFMGGGGRLLGAHIVVILVIAAWVSFTMAPLFLVLNKLGLLRISAEDEMAGMDQTRHGGFAYAYHDDDASGKPDRSVGGFMLKSAHGTQVAAEMGGHV >ONIVA09G12550.1 pep chromosome:AWHD00000000:9:14014148:14014810:-1 gene:ONIVA09G12550 transcript:ONIVA09G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARARYVNRRAVEFTHFPRLRTSYLNQEHGNVAVVIGGPRNRNAREVGVPASICGGSTTERSGEGGRGSSTASREPHGKRGTCRCRQWRTAARPEALNRAENDALRLSESLPCEKPLPHGARGDAAMQDERGARGMAAGSGGVQAAVRSPQGMRS >ONIVA09G12540.1 pep chromosome:AWHD00000000:9:14010925:14012428:1 gene:ONIVA09G12540 transcript:ONIVA09G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0IKI9] MATCLDSLGPLLGGAANSTDAANYICNRFTDTSSAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNSMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSKGFIGKQFFGLKHMPQTGYDYDFFLFQWAFAIAAAGITSGSIAERTRFSAYLIYSAFLTGFVYPVVSHWFWSTDGWASAGRLTGPLLFKSGVIDFAGSGVVHLVGGIAGLWGAFIEGPRIGRFDAAGRTVAMKGHSASLVVLGTFLLWFGWFGFNPGSFTTISKIYGESGTIDGQWSAVGRTAVTTSLAGSVAALTTLYGKRWLTGHWNVTDVCNGLLGGFAAITAGCSVVDPWASVICGFVSAWVLIGCNKLSLILKFDDPLEATQLHAGCGAWGIIFTALFARREYVELIYGVPGRPYGLFMGGGGRLLAAHIVQILVIVGWVSATMGTLFYVLHRFGLLRVSPATEMEGMDPTCHGGFGYVDEDEGERRVRAKSAAETARVEPRKSPEQAAAGQFV >ONIVA09G12530.1 pep chromosome:AWHD00000000:9:14003518:14005205:1 gene:ONIVA09G12530 transcript:ONIVA09G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPDTMDTDPPGGGGTLSIAVERNPPESRLLQLGVKSWPKWGCPTGKFPVKFDARETCYLVKGKVRAHIKGSSECVEFGAGDLVVFPKGLSCTWDVLAAVDKYYKFDSS >ONIVA09G12520.1 pep chromosome:AWHD00000000:9:13994107:14000574:1 gene:ONIVA09G12520 transcript:ONIVA09G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDETAVEAALGLSASFFVNSVLNAVDDVRYGAFEYCLQEGAPEAVGAAMATQKSEELERGVISIHNLVKDVLDKRMSNWEKYCLRHCFAIPEGFLIREDDIPAKKSLNDGNSDSDLDAELVSLRKKLEDANNESEELQKELSSLERQAECQRNLDSSMAELLKVFESKAFQDNFQDLVNVIPLFQRKLEGMKRKIVENIDDQIDWNVNGHHKRLASGFTARTEDSQDVVNVLKKY >ONIVA09G12510.1 pep chromosome:AWHD00000000:9:13993134:13994094:1 gene:ONIVA09G12510 transcript:ONIVA09G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRETARLGSCLRRRRVLAADAVRGDSPAVVVRTRKRARPRQWLRTALLSTTLVPRQPPATPALLPAASKETERSSTESSVASGLDFEDTILTLCLPCSLAVAVPDPDHKRSSSKADTADNSSPLATSGYDQRLSHCGRCRQGDADGAADAGLPAPRRHRLGDADAGLPALPPCFPTTAAAANRAMPTPASPRLAATDWATPTPASPRLCRASQLRPLRRQGDIDAGLPAPRRHRLGDADAGLPAPRRHHLGDIDACLPALPPCFPTTAAAVAVAVLPSSSLVALASLQISERERDE >ONIVA09G12500.1 pep chromosome:AWHD00000000:9:13975692:13980575:-1 gene:ONIVA09G12500 transcript:ONIVA09G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMWRKAKKALGAGLCVRLPAVAGDWEDGVSERRASDALSHDASSAAAAHVSAPNTPAAALPGAGPLRRSKSGTKSAKGMCAICFDPMKSGHGQALFTAECSHMFHFHCISSSVKHGNYVCPVCRAKWKEIPFNRSLSSIVPRGRSGLNVNQARLPQQGTYMTLLRQVPSHHREASGSHTSEPVDFNDDEPLQLIESGDSCDARCSRAVEIKTYPEFSAIPQSSSEDDFAVLIHLKAPCANPEQVTGRPFNATSIGYPTSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQALQAVNLLGAGGGTNIADALKKAAKVIEDRNYKNPVCSIILLSDGQDTYNISSNVRGTRPDYRSLVPSSILNHTICTVPVHGFGFGADHDSDALHSIAESSGGTFSFIEDESVIQDAFAQCIGGLLSVVVQDMRLTVECVHPSVQLHTIKSGSYLSKVAGDGRNGSIEVGHLYADEERDFLLSLSFPQSRDQTMLLKVACAYRDSVTNEAIKIQADEVKILRPKSPTSEPVCMEVDRERNRVRAAEAIEAARAAAERGALSDAVAILEHCRRILSESFSRKSGDRLCISLDAELKEMQDRMASRQRYEASGRAYLLSGLSSHSWQRATTRGDSTDSTTLVYSYQTPSMVQMLQHSQNQCPSPPGPRPQLRQTRSLLEKPHPR >ONIVA09G12490.1 pep chromosome:AWHD00000000:9:13973439:13973972:1 gene:ONIVA09G12490 transcript:ONIVA09G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSREYLGERLVDSYDGGRGGEDCCEMMKGFCWNILPGILGALTALVLMSALLYYPYKWSFDDGKSPEFSVAVVGVSRLDPDRDLGRPTLDPTFDLTVRIKEPRRYSVACVERGTTAAVSYRGVQLASGPAPELCGRNENTTEARSVMAWGHAKAVPDFARSASPRSSAAGTRRWT >ONIVA09G12480.1 pep chromosome:AWHD00000000:9:13960665:13973216:-1 gene:ONIVA09G12480 transcript:ONIVA09G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHEYVLRRSPNLERRPWVRSRSKNSRLSLVDAAPFDRRKKKKTMSTPMHSVYASACFWSSSRSCWCLCIRRPGTEGMAASPPDYFVAIDAVSGLDPSTDLGRPALDPAFSLTVRVASQSSAHGACVRAGTSVLVSYRGVPLAGGRAPADPELCAGPMGAAEDGSVVARGSGVRVPGPQLDALAEDMRRGEALFEVTLAMPYYGQRKVASCWDRVGDAAALRVPCDVSLVDPRRLAGITGFSDLPSAIRGGGGASGPRAARERGRSQTSDPCLECCGMIVGIALFGVLFGALGWLAIMEKYYVPAMPQYSVEIASVSGLDPATDLHAGAALDPVFNLTVGIASKGEYRGVCIEPRTAVKYLGLPLAGGRVPEVCAGPKEPAEKRTVVARGVGVSVPGYMLDSLAEDMRSGEAVFEVKLIKGDGDRYSRTVATCWARVGGGLDDRHRMPRHGLHDPLIAVAFLAMMIVAIVKDWTQPASYSVAIDSVAGLDPETDLPRDTLNPEFNLTLRLASQRADMGVCFEAGTTVAVYYGGVLLAGAAVPALCAGPRPSAEEESVVAWGRGVPVPRLARDRLAGDLRGGGAAEFDVTLTVQRYTYAESWDVVLCSGKVGDAAALITPCSLYDENVQEPSLEPGYGGYSSQPESPPETGDDDQDRIRRLLRCFSGIAIAVLVVVAVLVLCALEASKAPRLSVAVTIVSGLDPATDLARPAVDPQFNLTLRVASRSLLSRACVGVSSTAVAVSYHGVRLASAPVAPRVCAARRKSADAGPFVAWGSSVRLPGFARDSLAPDMRNGAAAFDVALMDGHLVVCRGRRVGDADALQAPCVLTHVETGAAVPHTGTLQHIPFLRLQKLPICQINVYKNPGRRTPALLSIKNARTKRIEYKQRLKINVQ >ONIVA09G12480.2 pep chromosome:AWHD00000000:9:13953904:13960667:-1 gene:ONIVA09G12480 transcript:ONIVA09G12480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAPIQVQPAEPGPPPRRCSACVAVFITFVILSGLLFVLVLSAALFGGQKYYVAIDTASGLDPATDLPWPVLTPEFNLTLRVTSWSVVGAACLDPAMDVAVAYRGLALARASPPPRVCARGWGRTEQVPVVASSGNGVRLPGFALHGLEADAWGGAVAFDVALTMPPKGSDNRHQLWCRAVPVGDDGGLGIPCNANSDMPAATTIQMEASPADHWSPRHRRRCGCHGVNWCRVVSTAIVLLFVGLAVGGLFYLIAVSTRDLVYSASIDAVSGLDLDHPTLDPVFNLTVRLSSQNQVTNYPNCIWPGTTVEVTYRGVQLAIGSVEQLCVGARETKEQHVVAWGAGVRLPGSALDALAADARRGAEAFDVAVKIPTVIHSGYHSYDPRHVHLGTLVSCMSRRVGDDPVAALRIPCHASSTDIAASYPNKGRTQPGGAS >ONIVA09G12470.1 pep chromosome:AWHD00000000:9:13951818:13953894:1 gene:ONIVA09G12470 transcript:ONIVA09G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRSPARSMDTSLSIAVAAAAVVLLLLVRGADAEIRTTLIVSDARPLILFEQFGFERGGKATISIRRSFWNLRRGSRRTAVDPSLMGFVLISGTQFPKINNASAYAAADPGDNGDDGGGSYCVLTSEYALPVLRLGDVPPGGVTTTVSIDDPDQYAVVFSNCQDGVEVTVDVYTEMYNVRDGISDGPRDYLPVGLRPLPTIYTVVSEVYFAFLALWACVCVRHRATVERIHAVMGALLLFKALKMACAAEDSWYVERTGTPHGWDVAFYVFGFFKGVLLFTVIILIGTGWSILKPYLQEREKNVLMIVIPLQVVENLLLVVIGETGPTGQDWVVWNQMFLLVDVICCCAVFFPIIWSIRSMREASKTDGKAALNLQKLTLFKRFYLVVVGYLYFTRIIASAFLALLSYKYQWGVNVAIEAASLAFYLFVFYNFQPVAKNPYLYIGDTVEDAAVEREMDDEGRF >ONIVA09G12460.1 pep chromosome:AWHD00000000:9:13937559:13939122:-1 gene:ONIVA09G12460 transcript:ONIVA09G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 121 [Source:Projected from Arabidopsis thaliana (AT3G30210) TAIR;Acc:AT3G30210] MEGQQFAWGREEGGWRKGPWTAQEDKLLVEYVMQHGEGRWNSVAKITGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVILELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQQQSQLMQTGQQQQLGQDDDATSAVVDDNLAEVAPPAATSLTHDGELQIMQEMAPDMDDLLYYHPGDMSPYSYDDLLGSGGGECGAVAASAGAAASTSEGSSEELDGGAATWGSLWNLDDVVHDMMIDCAAGAGCCWGSFPPLQDQGLAFY >ONIVA09G12450.1 pep chromosome:AWHD00000000:9:13930446:13932055:-1 gene:ONIVA09G12450 transcript:ONIVA09G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleolar RNA-binding Nop10p family protein [Source:Projected from Arabidopsis thaliana (AT2G20490) TAIR;Acc:AT2G20490] MYLQYYINEKGDKVYTTKKESPLGVPTQSAHPARFSPDDKYSRQRYLLKKRFGLLPTQKPAPKY >ONIVA09G12450.2 pep chromosome:AWHD00000000:9:13930448:13931796:-1 gene:ONIVA09G12450 transcript:ONIVA09G12450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleolar RNA-binding Nop10p family protein [Source:Projected from Arabidopsis thaliana (AT2G20490) TAIR;Acc:AT2G20490] MYLQYYINEKGDKVYTTKKESPLGVPTQSAHPARFSPDDKYSRQRYLLKKRFGLLPTQKPAPKY >ONIVA09G12440.1 pep chromosome:AWHD00000000:9:13926125:13931590:1 gene:ONIVA09G12440 transcript:ONIVA09G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif -containing response regulator protein [Source:Projected from Arabidopsis thaliana (AT5G61380) TAIR;Acc:AT5G61380] MVGAGEGDRVGGGAAVGGGQQFVDRSKVRILLCDSDPSSSREVLRLLCNCSYQVTCAKSPRQVINVLNCEAGEIDIILAEVDLPVSKCFKMLKYIARNKELRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRMNELLNLWTHVWRRRRMLGLSEKNFFNDNFELALSEPSDANTNSTTLLSDDTDDKPKENINQETSTSNQHEYESNPSDAEPKQKGTPEGLLVSTEGGDQASSPGVMFSRPIKTNLRVAESSAFLAYVKSSTPTTSSFDSELQKGGNRLDSSDHRGNFSSTTDRSDTGTDVNIRDKEAFEMPVQYPVG >ONIVA09G12440.2 pep chromosome:AWHD00000000:9:13926125:13929994:1 gene:ONIVA09G12440 transcript:ONIVA09G12440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif -containing response regulator protein [Source:Projected from Arabidopsis thaliana (AT5G61380) TAIR;Acc:AT5G61380] MVGAGEGDRVGGGAAVGGGQQFVDRSKVRILLCDSDPSSSREVLRLLCNCSYQVTCAKSPRQVINVLNCEAGEIDIILAEVDLPVSKCFKMLKYIARNKELRHIPIISKLSSYSSDAHARRVVVVTILIFDANYAVMSNRDEVSVVVKCLRLGAAEYLVKPLRMNELLNLWTHVWRRRRMLGLSEKNFFNDNFELALSEPSDANTNSTTLLSDDTDDKPKENINQETSTSNQHEYESNPSDAEPKQKGTPEGLLVSTEGGDQASSPGVMFSRPIKTNLRVAESSAFLAYVKSSTPTTSSFDSELQKGGNRLDSSDHRGNFSSTTDRSDTGTDVNIRDKEAFEMPVQYPVVCFSSSNLHLERSNEGQNDASGTPPVYHFPFYYPGMMDHGMTHPPVQNFQGNINNAQVHTPQTLLPQYNVYPQCHGVSMMPPFQYNPAGMSIQSNQLPTQNMWPQASSTPMPEETCSRSERRAAALAKFRLKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQANYTDITSTGDDISEDEDDDPSSREVEMSVTVCSSSGINSPLLRQLDPSPAIASATIITEAKSDAATTGITD >ONIVA09G12440.3 pep chromosome:AWHD00000000:9:13928349:13929994:1 gene:ONIVA09G12440 transcript:ONIVA09G12440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif -containing response regulator protein [Source:Projected from Arabidopsis thaliana (AT5G61380) TAIR;Acc:AT5G61380] MDHGMTHPPVQNFQGNINNAQVHTPQTLLPQYNVYPQCHGVSMMPPFQYNPAGMSIQSNQLPTQNMWPQASSTPMPEETCSRSERRAAALAKFRLKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQANYTDITSTGDDISEDEDDDPSSREVEMSVTVCSSSGINSPLLRQLDPSPAIASATIITEAKSDAATTGITD >ONIVA09G12430.1 pep chromosome:AWHD00000000:9:13922158:13925419:1 gene:ONIVA09G12430 transcript:ONIVA09G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAQSSSSSSRPSDSEQLEEPSKPVMALDKAKEIVASSPVVVFSKTYCPFCARVKRLLAELAASYKAVELDVESDGSELQSALADWTGQRTVPCVFIKGKHIGGCDDTMAMHKGGNLVPLLTEAGAIATPSL >ONIVA09G12420.1 pep chromosome:AWHD00000000:9:13917045:13919900:1 gene:ONIVA09G12420 transcript:ONIVA09G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSFFFCPHENFMKFRRLIVLDHQSYIDRPFSDVLILAVHRYEPSPPRTHTRNAPPPPPPPPYSSSAPPFSSKPPDLPRLRIRSTPRCSGIIRKEEEDMPLGLILSSLGRSMRRKRLSSLGILSSKRAPRDYYKGKNCKPTGFHTRKGGYVMVDEKLPRFVVPDLTDFKLKPYVSQCARDITASSASSTSAETSENKK >ONIVA09G12420.2 pep chromosome:AWHD00000000:9:13917540:13919900:1 gene:ONIVA09G12420 transcript:ONIVA09G12420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLILSSLGRSMRRKRLSSLGILSSKRAPRDYYKGKNCKPTGFHTRKGGYVMVDEKLPRFVVPDLTDFKLKPYVSQCARDITASSASSTSAETSENKK >ONIVA09G12410.1 pep chromosome:AWHD00000000:9:13900820:13903401:-1 gene:ONIVA09G12410 transcript:ONIVA09G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNACQWRGARLLKSEYIDKFYHLAKKQGYQSRAAFKLLQLDAWFRFLPTARTVLDLCAAPGGWVQVAVNHVPVGAFVVGVDLVPIRGAHSLTEDITTTKCRAAERRLMDSNGVAVFDVVLHDGSPNVGGAWIIYMI >ONIVA09G12400.1 pep chromosome:AWHD00000000:9:13894921:13895877:-1 gene:ONIVA09G12400 transcript:ONIVA09G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVGHVAPGAGFILIGMWQLFNHIRLFALRPSSYAAPVWFPVRGVRHLELILVIVGAAISILMELVIGPARHQPFDDDGTIPSNHLHNFEHASISLALLVYAAVTIHMDRARAPMRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQTVIAVTLATTVLGIPCPRSFAISLVRSASLVFQGVWFVVMGVMLWTPALIPKGCFLNLEEGHDVVRCRTDEALHRAKSLVNLQFSWYLTATVVFVVVFYLHLTKLYPEEPRYLPLVKGGGGGGDGDSDGGRFSIGDDEDDLEAAKGGFGHVAGGGNAVEIER >ONIVA09G12390.1 pep chromosome:AWHD00000000:9:13885077:13886925:-1 gene:ONIVA09G12390 transcript:ONIVA09G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGTPRSSIGHILPGAGFVAVGVWHLFNHVKLFSQRPDTYVAPAWFPVPGARYLELALIIAGSGVEFAMEMFVGHSTLLPFAADGSIPSDRLHNHEHAIICLSLAVYAAAALHLDRARAPARGTLGLLLVAAVFAQELLVFHFHSTDHAGVEGQFHWLLQVVVAACLATSLLGVGYPRSFAVGLARSACVAFHGLWLAVIGAMVWVPSLVPRGCELVREDGRDTVRCRSKESLHRAKALANLQFGWYLSFMTVFVVALYLYVSNRYPAAEEAAYAPLRKAGAGDGDDDGDDDAHKGGGAGVHGN >ONIVA09G12380.1 pep chromosome:AWHD00000000:9:13881365:13882606:-1 gene:ONIVA09G12380 transcript:ONIVA09G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYYSLRGEAIDRREAPPPPKEAAAEKVDGSPQPQPQAELRLRLPAEIDWDRLDKWRFFVLGAGLFSAVSTALYPAVVLKTRLQVAPPLAHAAASSLPPSAAAAATAILRSEGPLAFYRGFATSLAGTVPARALYMGALEATRSAVGPTALALGAPEPVASAAAGAAAGLAAAVAAQVVWTPVDVISQRLMVQGNPCPASRYRGGLDAFRKIVAADGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKTIWSGIGCYLCEYGVGVQEIDAGEGDSSLQPGYKTVMVVQGVSAAMAGGASALVTMPLDTIKTRMQVMDGEGGEPITVGRTVRRLIKEGGWGACYRGLGPRWASMSLSATTMITTYEFLKRLSAKGHESSLP >ONIVA09G12370.1 pep chromosome:AWHD00000000:9:13873848:13879204:1 gene:ONIVA09G12370 transcript:ONIVA09G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQPGGGGGEPLHEPPEDEDAVDGGGGGGGATPSTRLAIKALTAQIKDMALKASGAYRHCKPCAGSSSAVGASRRHHPYHHRGGGGGFGDPDAASGSDRFHYAYRRATSSAASTPRFRGGALSSGDATPSMSARSDFPIGDEEDEEEDDDDEMVSTGAGGGGKEEDAKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPLPATPKSEDESSKEDSPVTPPLGKERLPRSFHRPLSGGGAVGSSSSDSLEHHSNHYCNGGHHHHGHQCYDSVGLVSTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHEQYL >ONIVA09G12370.2 pep chromosome:AWHD00000000:9:13873939:13879204:1 gene:ONIVA09G12370 transcript:ONIVA09G12370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQPGGGGGEPLHEPPEDEDAVDGGGGGGGATPSTRLAIKALTAQVGFSIKDMALKASGAYRHCKPCAGSSSAVGASRRHHPYHHRGGGGGFGDPDAASGSDRFHYAYRRATSSAASTPRFRGGALSSGDATPSMSARSDFPIGDEEDEEEDDDDEMVSTGAGGGGKEEDAKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPLPATPKSEDESSKEDSPVTPPLGKERLPRSFHRPLSGGGAVGSSSSDSLEHHSNHYCNGGHHHHGHQCYDSVGLVSTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHEQYL >ONIVA09G12370.3 pep chromosome:AWHD00000000:9:13873848:13882017:1 gene:ONIVA09G12370 transcript:ONIVA09G12370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQPGGGGGEPLHEPPEDEDAVDGGGGGGGATPSTRLAIKALTAQIKDMALKASGAYRHCKPCAGSSSAVGASRRHHPYHHRGGGGGFGDPDAASGSDRFHYAYRRATSSAASTPRFRGGALSSGDATPSMSARSDFPIGDEEDEEEDDDDEMVSTGAGGGGKEEDAKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPLPATPKSEDESSKEDSPVTPPLGKERLPRSFHRPLSGGGAVGSSSSDSLEHHSNHYCNGGHHHHGHQCYDSVGLVSTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHEQYL >ONIVA09G12370.4 pep chromosome:AWHD00000000:9:13873939:13882017:1 gene:ONIVA09G12370 transcript:ONIVA09G12370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQPGGGGGEPLHEPPEDEDAVDGGGGGGGATPSTRLAIKALTAQVGFSIKDMALKASGAYRHCKPCAGSSSAVGASRRHHPYHHRGGGGGFGDPDAASGSDRFHYAYRRATSSAASTPRFRGGALSSGDATPSMSARSDFPIGDEEDEEEDDDDEMVSTGAGGGGKEEDAKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPLPATPKSEDESSKEDSPVTPPLGKERLPRSFHRPLSGGGAVGSSSSDSLEHHSNHYCNGGHHHHGHQCYDSVGLVSTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHEQYL >ONIVA09G12360.1 pep chromosome:AWHD00000000:9:13864273:13870580:-1 gene:ONIVA09G12360 transcript:ONIVA09G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid:diacylglycerol acyltransferase [Source:Projected from Arabidopsis thaliana (AT5G13640) TAIR;Acc:AT5G13640] MSLLRRRKQPQPPPEQPNEDSSNGSDLDEKGKKKPGSSSSSAAPPPEAAAAAAKEATKRTRARWSCVDSCCWLVGCVCSAWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLRAKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGDVYKSNFHCFDVFVDGYKTTMIYGPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELLVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCAKHIKSVMNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPEVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGDLDWSPEDGFECKAKNQKINDSEVSKDANGKNEVHPEPVKYGRIVSFGKDVAEAPSSEIEQIEFRLVQLFHIYLQDAVKGNNIAHSNTSCRDIWTEYHELGWGGIKAVADYKVYTAGSIIDLLRFVAPRMMQRGSVHFSYGIADNLDDPKYGHYKYWSNPLETKLPNAPEMEIFSMYGVGIPTERAYVYKLAPQAECYIPFQIDASAEGGDENSCLKGGVYLSNGDETVPVLSAGYMCAKGWRGKTRFNPSGSKTYVREYSHSPPSNLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGEELGGDQVYSDIFKWSDKIKLKL >ONIVA09G12350.1 pep chromosome:AWHD00000000:9:13858958:13859656:1 gene:ONIVA09G12350 transcript:ONIVA09G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKGHPGGDVPRSLAILPPAPKKNQHGKAPTVESNSSLRKRVKTLTKKDLESYFHIKQKSAAHIGLSIARLENKFNNNLKKRITEWNLGKVQGVTKAFKLRKEKEQFYQKR >ONIVA09G12340.1 pep chromosome:AWHD00000000:9:13856746:13857707:1 gene:ONIVA09G12340 transcript:ONIVA09G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVGSIECLRKRCRQLRVLIHVNDHRKTVVVLHAGEDGKLDHILVQNNSPDAEASVQSTYRINVSGETPELQAEMLNDWYTSFRMDTTGVLYDSDQNGIN >ONIVA09G12330.1 pep chromosome:AWHD00000000:9:13851296:13855731:1 gene:ONIVA09G12330 transcript:ONIVA09G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSSSSSNVPSGGAAGGGAGSGKLPMVPVGSIECLRNRCRQLRVLIHVNDHRKAVVVLHAGEDGKPDHVLVQNVSPEGEASVQSTYRIDVSGETPESQAEMLNDWYTSFRMDTTGVLYDSDQNVIYGVPRGHPGGDVPRSLAILPPAPKKNQHGKAPATESNSSLVEEPLLLVQTDQPAAIGKRKKFTFPDQRKRVKTMTKKDLESYFHITQKSAAHIGLSIGTTALKNLCRANDLPRWPYRQIASLDNKFNNNLKKQITGWNLGKAVQGVTKAFKLRKEKEEFYQKIMSSMPEQLQGIDEIVNSLPEADDDIDIEDDEDNDDVIEDNDDDNSDEN >ONIVA09G12320.1 pep chromosome:AWHD00000000:9:13843263:13844279:1 gene:ONIVA09G12320 transcript:ONIVA09G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQCHGKNAPPTLAPPRRARGGAGGSFSASLLDAIYRSLDEGGGGDGAGAVVDDARRSEAEEMKAAAAAAVPPQFWWAKSKQAAGAAGRSRRESVARPRHSGYASSTASSSDASSSSYSSFTCSSASTTDTESTTHRRRHSQPPPQQPEDVDAAAAAAAAAPPNSKPKKKKKKSRPCFPGARLRPRGTVPPPPPSSSGPSPATFACVVKALFSSSRLPRKPKAPTAVPLPPASPPVPQPPCMSAAATTTSNTKASERRSVRFCPGAETSVVRRRVEELVRSLADVEEDEDGSDASSDLFELESLRGADGDELPVYGTTSLATNRAIILRREQLASS >ONIVA09G12310.1 pep chromosome:AWHD00000000:9:13835444:13836923:-1 gene:ONIVA09G12310 transcript:ONIVA09G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVAKLKEEVEKQRDLKETYMARLESTQAYLRFCLEVAQVHGFLHLVSNSNGGDDEPHRDAGDQEPATAAAADDDDEDAAEAPPCDPYFAATRDLAVQHGWSVAPDEIELHEMIGRGSTADVYRATWRGLDVAVKWMRAEFFAAADQRSRGEAFFAQELDALSRQRHPHVLRLMAACLRPPASCFLVTELLTGATLAQWLHGGDGGGRSRERRRQPSSPPPPPPPPPLVDRVSRALEIALAMRYLHEQTPAVVHRDLKPSNVLLDGDSRVRVADFGHARFLPDGTAALTGETGTYVYMAPEIICCEPYTEKCDVYSFGIILNELVTGEHPYIDTGYGPSKIALEVADGKLRPKLAERDVNSSVLNDLICGMWDAEPSKRPSFATITSALRKIKQQLM >ONIVA09G12300.1 pep chromosome:AWHD00000000:9:13831617:13834676:-1 gene:ONIVA09G12300 transcript:ONIVA09G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKWLMHWHPNPGATLNSQILAEACACAESLGGSKDGRWKTSIIFYRAMARDGASAAAAGGGGPPQQHPDVPRELLGVALHERPGLYFSILRAHRLVLQADSAFPQVMEKLQSYKARVTLNFEGFQYQLGDFCLRIGKCVPNNSETLRGIMMEVEYYPLSSIEKSRAVMEDFFDIWQETVAKKSLPGHFIHVESNFSEYGLSDHYSFQHTAVQYATCLQQLMAAVRPQ >ONIVA09G12290.1 pep chromosome:AWHD00000000:9:13822714:13825029:-1 gene:ONIVA09G12290 transcript:ONIVA09G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFVPLGNVTQVAKQFAASSVGQLARIEGLVTLSCLLVVILVFSNSRRRHQSNGFLGFLVWAAFMFNYPVISYTIGLMQSSSVRNELFVVWACFLLLLLGSADAMTAFSFNDTQQHARSMMNQALHIIYLLFLILYYKAQLRMNLKVPLFILWSLSVARLVLRINAYRTTSRDNGLIRENQIVFEYMKHKLLDGSIVGKYDPDPSSMKEYIYLVDGKEEESSSMAIHLRYDAPDTVSVDKVWECKGELLSCSSSAGSRGAARRRDLCLSFALFRLLRLRFGADHVGDLNFHSNNDLSRTLVVDRLLSDDRDLDRAFRVVEAELGFLFDFFYARYPSLKDNLVFDLILYLLTMVTSLFTLFSSVLLHYRPSTTAKVNIIIHSFNLDLFVTRLVVALYIFLESYQLLSLVLSDWHKVKLMCQYVLKVSWHRARVDTPLKVLCHFNVSRYWKNAINQYSLLDNAGYLYRVQLLLSTLTLQLLDPWIMASSIVLPPQVKQAVLCALKDALKPTNGKITDGRRWLHQNGILDRDLDYDLFSHKTYAPYILVWHIATSICCYGESKFDMAQADAELRYHYEVATVLSGYCSYLVAFAPDLIPDGTYTSQLLSCRVLKDAHAYLAECRTTSDKYDKLMKLGRDGWKEQEAGCPLLYEGAVLALNLVDRKKDAEERWKVLAHFWANLLLYIAPSDRASAHASKLATGGELLTIVWALLNHAGVINKLQENNGCQPLDTLPRAPRTPIFHRQGTIVDSNEDDGDGDYPMYEIMQEDYSV >ONIVA09G12280.1 pep chromosome:AWHD00000000:9:13818906:13821211:1 gene:ONIVA09G12280 transcript:ONIVA09G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGIPELPWELQDAILARLPLRDAARSSVLSSSWGRSWRHLGELDFVSSPPPAAASLSVAAATAVACDKAAIDAILLHQHPGPVQRVRLRVTDELLPGVPAWMASLSEKGIQSLDLTVRAMYRPPPHPMHRSIFACRALRRLSLGRFALPAAPEHFAGFPALATLSLTGTAFRNARDLEALVAMSPRLEELRMCCIAVDVDCREHGGDGRRKVRMVSSSLRFLRIDGMGNVEFVGARLPRVSQADFAQASYPSAPNLLSAMATSLETLDYYYYALPLSPTKLLKGLPSSYKNLKRLKVHLDFNHAPPILSTLNFLRTAPNLTQLVIQDFTDDICTISIPFGSGAIWKFMPQPSFSPNVLCHFAEQRNGFHSSDLIESENASGNGG >ONIVA09G12270.1 pep chromosome:AWHD00000000:9:13814605:13818027:-1 gene:ONIVA09G12270 transcript:ONIVA09G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPPHRHKKCRTAEAAVPGGEEEEEAKDALISLPPDVLDGVLTRLGLRDAVRTSALSRAWRRRRARRWPPSTASSSAACPGRVRSFSAYVDKLTARRAHDWILVLARRGVESLDLASPIHNHLAVHSSVFSCDRLAYLNLFACDIPPLPPGFAGFPNLRSLTLDHVWLRAGGEYQLEEIIENFPLLEMLVLSGIFIDGDDIINWVIRAPNLQHLTICSPNDYGWNLLDLPRLRSAVIDLWDYLGGRDFAEFLGKLLHVRKLYLFVSYQPSNGAKILETLPCTFDSLKSLKLYMDFCELPAILTIFCLLRNAPNLEKLKIMITDNEQKVEANGVFQNAEWTGGMCANLQIVQITRISWLPNEMSFIELILSKASLLRTISVTHGDKCLMSNEDALSELLKYKRASPQAQILFKGKADGY >ONIVA09G12260.1 pep chromosome:AWHD00000000:9:13813642:13814283:1 gene:ONIVA09G12260 transcript:ONIVA09G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFHKEMRRLADELLELFLRAERRIAKTMTATMHWYPRCPDLRRALGLIAHTDSGLCSRASCQGCSYSGEDQTGGWRCRRSFRATSSSTSATSLPHAYSPTAASTAYTTAPSSTATATGYRSDTSSARPPDVKVAPLTDAVSPGRSAAYRAVMWPGYKAVRKKAFTTSGSALKMISTAAATDDRTQRRNRRCRDVKYTPNLS >ONIVA09G12250.1 pep chromosome:AWHD00000000:9:13808317:13813095:1 gene:ONIVA09G12250 transcript:ONIVA09G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G35570) TAIR;Acc:AT5G35570] MGYHQPVHGGAAAGAGGSGGGGGGDHLHQHHHPRLHSPRISGGGSMTRRANSFKRGEIELQIGSPRSPRGDGVGSPLAESSSASEASAGGGGAVHHHHHQSQQQQLRFRLFKRPGSGAGEVVLGLGIRERRRIGNLLFLAFCGVCLLLGVAKIWAGGWFALPGDDKDADLQDLSISFSSDGGYQFDSHFGHVGGKESDRMLMTVGSEDSAPDVWSQPSSGKFRQCIISNSHKKEDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSFWADDSEFKDLFNWRHFIESLKEDIDIVEMLPPAYKHIEPVAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYSQTIEDLGATLVSRMHQDGSPYLALHLRQLSKGNPDFLNFEGFPQAAASIILNPSKNYACVDETITVPFEIRLTEAGVFEKDMLAFTGCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALMDDFPNIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLIDEFDEGRMSWDDFSSEVKRIHRDGERIGAPYLREPGEFPKLEESFFANPLPGCICEKLNDE >ONIVA09G12250.2 pep chromosome:AWHD00000000:9:13808317:13813095:1 gene:ONIVA09G12250 transcript:ONIVA09G12250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G35570) TAIR;Acc:AT5G35570] MGYHQPVHGGAAAGAGGSGGGGGGDHLHQHHHPRLHSPRISGGGSMTRRANSFKRGEIELQIGSPRSPRGDGVGSPLAESSSASEASAGGGGAVHHHHHQSQQQQLRFRLFKRPGSGAGEVVLGLGIRERRRIGNLLFLAFCGVCLLLGVAKIWAGGWFALPGDDKDADLQDLSISFSSDGGYQFDSHFGHVGGKESDRMLMTVGSEDSAPDVWSQPSSGKFRQCIISNSHKKEDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSFWADDSEFKDLFNWRHFIESLKEDIDIVEMLPPAYKHIEPVAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRFEKDMLAFTGCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALMDDFPNIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLIDEFDEGRMSWDDFSSEVKRIHRDGERIGAPYLREPGEFPKLEESFFANPLPGCICEKLNDE >ONIVA09G12240.1 pep chromosome:AWHD00000000:9:13802117:13805849:1 gene:ONIVA09G12240 transcript:ONIVA09G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPPTARLRPSQAASSASSSSFPTSICGLGSLGDASRVSTVSFRRRPPASPLVRCSQDPGKIEVFNTEGTEQSQGGSTGSINHGKYSTRSFSSKDANITTYNHYLHCFCVSLLERLKRYGAAGVLSYGLLNTVYYVTTFLLVWFIFSPAPGKMGYAAAVERFLKLMAMVWAGSQVTKIFRAGGALALAPFVDRGLRWFTVRFNFKSEGKAFATIVGFCFALAALLFFGLTILWA >ONIVA09G12230.1 pep chromosome:AWHD00000000:9:13793236:13798535:-1 gene:ONIVA09G12230 transcript:ONIVA09G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVRSVPGMKVEASPAAAAAATVDSPTSVLEDDEISECKNGDVLDTTEAIKQEEDHLDVLIEEKVDGFVDASSSLNVEPAANNSDLSPLTVPVKEEGQLLEPVKEEKADDFVDAVPSLPIDLEAKNGDASLITDAMKEEEEKLHEARVKAEEEEVARKREEAARLAFDPNARFNKLDELLSQTQLYSEFLLEKMETIADVEGVQTHAEEEPVEEKKNGRGRKRKATSAPKYNDKKAKKAVAVMLTRSHEDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGLIYHGDKAARAEIRRKFMPKTTGPDFPLIVTSYEMAMSDAKHLAHYKWKYVIVDEGHRLKNSKCLLLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSAKGGEEEQEDSEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTDHQKQIQNHLVEQTFDQYLHEKSEIVLRKPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGLYPPVEKLLEQCGKFQLLNRLLSLLLSRKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQGDEDRMIQTDISDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >ONIVA09G12230.2 pep chromosome:AWHD00000000:9:13793236:13798535:-1 gene:ONIVA09G12230 transcript:ONIVA09G12230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVRSVPGMKVEASPAAAAAATVDSPTSISECKNGDVLDTTEAIKQEEDHLDVLIEEKVDGFVDASSSLNVEPAANNSDLSPLTVPVKEEGQLLEPVKEEKADDFVDAVPSLPIDLEAKNGDASLITDAMKEEEEKLHEARVKAEEEEVARKREEAARLAFDPNARFNKLDELLSQTQLYSEFLLEKMETIADVEGVQTHAEEEPVEEKKNGRGRKRKATSAPKYNDKKAKKAVAVMLTRSHEDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGLIYHGDKAARAEIRRKFMPKTTGPDFPLIVTSYEMAMSDAKHLAHYKWKYVIVDEGHRLKNSKCLLLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSAKGGEEEQEDSEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTDHQKQIQNHLVEQTFDQYLHEKSEIVLRKPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGLYPPVEKLLEQCGKFQLLNRLLSLLLSRKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQGDEDRMIQTDISDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >ONIVA09G12220.1 pep chromosome:AWHD00000000:9:13786695:13790147:1 gene:ONIVA09G12220 transcript:ONIVA09G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAISLYTSPPPGAVYSSEFDPSSRGSSPPCSTAPPSTSHRPPAAAGGLSCLFSSPAAAASPPRAPPHDELGALWQDRSDEPAFAGGGGGYSSSPLKWRDLHHHHHHSPVSVFQGPSSSPAASRSPPASWLAGRDRDRERLFAGFVRNALGSCVDYAPALSPRSEVGGGELAFELDENLAEASPACEPCARELLAGAQARHRIFLEELVVKAFFEAEKAHRGQTRASGDPYLQHCVETAVLLANIGANSTVVSAGLLHDTIDDSFIDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRIVEADRLHTMLLAMADARAVLIKLADRVHNMKTLEALPLGKQQRFAKETMEIFVPLANRLGISSWKDQLENLCFKHLNPEEHKDLSSKLTKSFDEVLITSAVDKLDRGLRDAGLSYHNLSGRHKSLYSIHNKMLKKNLTMDEIHDIHGLRLVFEKEEDCYRALDVVHELWPQVPGRFKDYISRPKLNGYRSLHTVVMSENVHPFEVQIRTKEMHLQAEYGFAAHWRYKEGTCRHSFVLQMVEWARWVLTWQCEAMNKERPASLGDSDAIRPPCPFPMHSEDCPYSYTRQCDHDGPIFVILLEHDKMSVQEFQANSTVMNLMDRVGTNTPRWSPYRIPMKEDLRPKVNHEPISDLNRKLSMGDVVELTPALPHESLPNYREEIQRMYDRGGFALATRGGSSRR >ONIVA09G12210.1 pep chromosome:AWHD00000000:9:13775798:13779981:1 gene:ONIVA09G12210 transcript:ONIVA09G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHAGTIILLLILACLWLCPGRSSGFSWNIFSSSSSSSPPTAGESRAAPMLELDGAVADFLMDGADDPRAVKLLENARSKLAGPSNCWQEAYRRLFASCGDIMADKEMQSRLAWHLSSCFQEDSGRPPFPRCGEVSDMVHCRKRLGVSEDQVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTRTSKSAEEKLEVIEERSDQIIQESRKVQETISSIEMQADHLAEASKNVGDQIDDVLAHSKAIFEQSKEIADSQAKLREGQSEMRETIDAGMTHIQESYESLGNGMDKLKEEAVDIQREIKTVGDSMSTKMQDLQSTANDIGSVAGKSLENQMQLLDGQSKAMDGLNNLYSFQAQALEESRETVQKLAQFGQRQQEELLSRQEEIRQAHEHLIHNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGHLYFGLCITLLLEIGLIKLGADDIDKQFWVISKVFLVRSVFLALATVQMLHTIFTFRDYELLNHHLLQTLVEKVRALEETAAAGEKMLPYGGGGAESERSLMDYSWVFDELADEVDSNADPSYALPGDEQRQVAVVAPRRRHCASPEEVVGENSITTSAGRRYNLRPRSSYRQT >ONIVA09G12210.2 pep chromosome:AWHD00000000:9:13776503:13779981:1 gene:ONIVA09G12210 transcript:ONIVA09G12210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHAGTIILLLILACLWLCPGRSSGFSWNIFSSSSSSSPPTAGESRAAPMLELDGAVADFLMDGADDPRAVKLLENARSKLAGPSNCWQEAYRRLFASCGDIMADKEMQSRLAWHLSSCFQEDSGRPPFPRCGEVSDMVHCRKRLGVSEDQVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTRTSKSAEEKLEVIEERSDQIIQESRKVQETISSIEMQADHLAEASKNVGDQIDDVLAHSKAIFEQSKEIADSQAKLREGQSEMRETIDAGMTHIQESYESLGNGMDKLKEEAVDIQREIKTVGDSMSTKMQDLQSTANDIGSVAGKSLENQMQLLDGQSKAMDGLNNLYSFQAQALEESRETVQKLAQFGQRQQEELLSRQEEIRQAHEHLIHNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGHLYFGLCITLLLEIGLIKLGADDIDKQFWVISKVFLVRSVFLALATVQMLHTIFTFRDYELLNHHLLQTLVEKVRALEETAAAGEKMLPYGGGGAESERSLMDYSWVFDELADEVDSNADPSYALPGDEQRQVAVVAPRRRHCASPEEVVGENSITTSAGRRYNLRPRSSYRQT >ONIVA09G12200.1 pep chromosome:AWHD00000000:9:13770565:13775600:1 gene:ONIVA09G12200 transcript:ONIVA09G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRIILLLLAAAAVAATSAVAAASSGFDDSNPIRSVTDQAASALESTVIAALGRTRDALRFARFAVRHGKRYGDAAEVQRRFRIFSESLELVRSTNRRGLPYRLGINRFADMSWEEFQASRLGAAQNCSATLAGNHRMRDAAALPETKDWREDGIVSPVKDQGHCGSCWTFSTTGSLEAAYTQATGKPVSLSEQQLVDCATAYNNFGCSGGLPSQAFEYIKYNGGLDTEEAYPYTGVNGICHYKPENVGVKVLDSVNITLGAEDELKNAVGLVRPVINGFRMYKSGVYTSDHCGTSPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMCGIATCASYPIVA >ONIVA09G12200.2 pep chromosome:AWHD00000000:9:13770565:13775600:1 gene:ONIVA09G12200 transcript:ONIVA09G12200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRIILLLLAAAAVAATSAVAAASSGFDDSNPIRSVTDQAASALESTVIAALGRTRDALRFARFAVRHGKRYGDAAEVQRRFRIFSESLELVRSTNRRGLPYRLGINRRTSSRAPPNPPNPPLTHPRRGAFAGFADMSWEEFQASRLGAAQNCSATLAGNHRMRDAAALPETKDWREDGIVSPVKDQGHCGSCWTFSTTGSLEAAYTQATGKPVSLSEQQLVDCATAYNNFGCSGGLPSQAFEYIKYNGGLDTEEAYPYTGVNGICHYKPENVGVKVLDSVNITLGAEDELKNAVGLVRPVINGFRMYKSGVYTSDHCGTSPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMCGIATCASYPIVA >ONIVA09G12200.3 pep chromosome:AWHD00000000:9:13770565:13775600:1 gene:ONIVA09G12200 transcript:ONIVA09G12200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRIILLLLAAAAVAATSAVAAASSGFDDSNPIRSVTDQAASALESTVIAALGRTRDALRFARFAVSWEEFQASRLGAAQNCSATLAGNHRMRDAAALPETAAYTQATGKPVSLSEQQLVDCATAYNNFGCSGGLPSQAFEYIKYNGGLDTEEAYPYTGVNGICHYKPENVGVKVLDSVNITLGAEDELKNAVGLVRPVINGFRMYKSGVYTSDHCGTSPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMCGIATCASYPIVA >ONIVA09G12190.1 pep chromosome:AWHD00000000:9:13753967:13756508:-1 gene:ONIVA09G12190 transcript:ONIVA09G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSWRSFMCCGGGAAVHDDDDSAPRRRRIARRGDSPRSSSRMSFTSLSSSGTLSPEDLSLTLSGSNLYAFTYAELRAATGSFSRANYLGCGGFGPVYKGAVDDGLRPGLAAQDVAVKYLDLDCGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEAEHRMLVYEYMSGESLEKHLFKTVNGSLPWMTRMKIALGAAKGLAFLHDADPPVIYRDFKASNILLDLDYNTKLSDFGLAKDGPQGDATHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGRKSVDRSRRPREQSLVDWARPYLKWADKLYKVMDPALECQYSCQGAEVAALVAYKCLSENPKSRPTMREVVKALEPVLGMDDFFPVGPFVFTVIVEDEKVVNMKVDMKVEVEEKKNTHQNHQDRHRQKYPDSAIHAGIVLHDRDGVIGGGYTGALRRHRRTASYNKERGA >ONIVA09G12180.1 pep chromosome:AWHD00000000:9:13749576:13750451:-1 gene:ONIVA09G12180 transcript:ONIVA09G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGDAGDRRWPESQGNEEKSQVPSSLDPNNTEDEHQEENNSEDEEEEQEEFLYEIDDDHYVPETYGFMGCKHSDGSIYRPDSHPFHRHYRLGDTRETRLWPKRLTSPTDRCRPCWNACEVHVGCRMMQIFSVKIAALSAAADDNSGAPVQIYGFMAARDLYEPLRNYVFNRSRDDPFVLPGHYSGPDSLIKMSGPKRGISLQNPALIEYDLKIKKGEEEKDDLQLIDGVTSFSDLTPFHGVYSRRIHGIHGAVDISLALIRDGKEGTIQVRILRLINVGIHQALRWHRC >ONIVA09G12170.1 pep chromosome:AWHD00000000:9:13742663:13747259:1 gene:ONIVA09G12170 transcript:ONIVA09G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVVMEAPRPKSPPRYPDLCGRRRMQLEVQILSREITFLKKRRAWAWTTDLSGLVRESHSSGRVCLRHCFVDTGRADGMAMRALHDGWCRVGVMGATMDELHFLEGAQPVSRSGCIKEINEFVEREGGTDLAVFFGGSDQNCVSAFHVFAAAASAHPSAKDQGASIVLAAHAATSHAVSQTAVRAALGHAVVQTAAHAVNLTAVAARPLLAANRTARAPVQAAAHAAIHRAANRAAPASTSFHASNPCTAASRSLHASSPSATALAPIAALAPIQAVAARAVPVQAVDATAVAVQAADATVVAVQAAVATAVAFQAAVATAAARALAPNANPIVARALPIAVAASQAATAAAASSAAAARTASPARALVAPAASTSSNAPALAAARACASAPARRSASAASRHAASGSLRAASASRLAARGSLPAARDTAAASRNRRALNVPVGVSGLARIVQRVVDAHGVVTHAVSVLLGL >ONIVA09G12170.2 pep chromosome:AWHD00000000:9:13742663:13747259:1 gene:ONIVA09G12170 transcript:ONIVA09G12170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAVVMEAPRPKSPPRYPDLCGRRRMQLEVQILSREITFLKDELHFLEGAQPVSRSGCIKEINEFVEREGGTDLAVFFGGSDQNCVSAFHVFAAAASAHPSAKDQGASIVLAAHAATSHAVSQTAVRAALGHAVVQTAAHAVNLTAVAARPLLAANRTARAPVQAAAHAAIHRAANRAAPASTSFHASNPCTAASRSLHASSPSATALAPIAALAPIQAVAARAVPVQAVDATAVAVQAADATVVAVQAAVATAVAFQAAVATAAARALAPNANPIVARALPIAVAASQAATAAAASSAAAARTASPARALVAPAASTSSNAPALAAARACASAPARRSASAASRHAASGSLRAASASRLAARGSLPAARDTAAASRNRRALNVPVGVSGLARIVQRVVDAHGVVTHAVSVLLGL >ONIVA09G12160.1 pep chromosome:AWHD00000000:9:13735385:13739595:-1 gene:ONIVA09G12160 transcript:ONIVA09G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALCASFVAILLTTMLFLKAISTRCRRRKYNLPPGPKPWPIIGNLNLVGALPHRSIHELSRRYGPLVYLRFGSFPVVVGSSVEMARFFLKTRDAAFIDRPRTAAGKHTAYNYRDITWSPCDAYWRQARRVVLTELFSARRIESYEHIRREEVHALLRDLHYASSSGGRRAIVIKDYLSTASLNMITRMVMGKRYVQGEVVHEEPGSARTTLAQFKELLEELFFLNGVFNVGDQIPWLEWLDLQGYVKRMKKVSKALDQLLEHVVDEHSERRQREGNGFVAGDMVDVLLRLADDSSLEVKLSRDSIKAFTQDLIAGGTESSSETIEWAISELLRKPEMFAKATEELDRIVGHRRWVNEKDILDLPYIEAIVKETMRLHPIGPLLAPRLSREDTSVGGYDIPTGTRVFVNVWAIARDPTLWDASEEFVPERFLGKKIDVKGQDFELLPFGSGRRMCPGYNLGLKVIQLSIANLLHGFTWRLPKDMVKEDLSMEEIFGLSMPRKFPLEVVVEPKLSSHLYKGD >ONIVA09G12150.1 pep chromosome:AWHD00000000:9:13727904:13732890:1 gene:ONIVA09G12150 transcript:ONIVA09G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLWVSYLTITLATILLFLRTLILRHNRRVYNLPPGPKPWPIIGNLNLMGSLPHRSIHSLSKKYGPLMHLRFGSFPVVVGSSVEMAKFFLKTHDVVFADRPKTAAGKHTTYNYSDMTWSPYGAYWRQARKLCLAELFSAKRIESYEHIRREEVRALLRDLHAASGRVVALKDYLSAASLNVISRMVLGKKYLDKDAGGSVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWLDLQGYIRRMKKLSKMFDQFLEYVLDEHENRMCREGESFVAKDMVDALLNVASDPSLEVKFSRDSVKAFTQDLIAGGTESSSATVDWAIAELLRKPEVFAKATEELDRVVGRGRWVTEKDIPSLPYIDAIMKETMRMHPVAPMLAPRLSREDTSVDGYDIPAGTRVLVGVWSIGRDPKLWDAPEEFMPERFIGSKIDVKGQDFELLPFGSGRRMCPGYSLGLRVIQVSLANLLHGFAWRLPDGMTKEQLSMEEIFGLSTPRKFPLEVVVEPKLPADLYVSAC >ONIVA09G12140.1 pep chromosome:AWHD00000000:9:13722480:13725534:1 gene:ONIVA09G12140 transcript:ONIVA09G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQLPPHARALYYRHANPRTTDRLPMELMLPPWASFVAVVLATVLFLKAVLGRSRRVYNLPPGPKPWPVIGNLNLVGTLPHRSIHNLSKKYGPLMYLRFGSFPVVVGSSVEMAKFFLKTHDVVFTDRPKTAAGKHTTYNYSDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRGEEVRALLRDLHGAAGGVVVLKDYLSTVSLNVITRMVLGKKYLDKDAGGSVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWLDLQGYIKRMKKLGKMFDRFLEHVVDEHNERRRREGESFVAKDMVDVLLQFADNPNLEVKLKREGVKAFTQDLIAGGTESSAVTVEWALSELLKKPEVFAKANEELDRVVGRGRWVTEKDVPSLTYVDAIVKETMRLHPVAPMLVPRLSREDTSVDGYDIPAGTRVLVSVWTIGRDPKLWDAPEEFMPERFIGNKIDVKGQDFELLPFGSGRRMCPGYSLGLKVIQLSLANLLHGFAWRLPDGVTREQLSMEEIFGLSTPRKFPLEAVVEPKLPAHLYAAA >ONIVA09G12140.2 pep chromosome:AWHD00000000:9:13722728:13725534:1 gene:ONIVA09G12140 transcript:ONIVA09G12140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELMLPPWASFVAVVLATVLFLKAVLGRSRRVYNLPPGPKPWPVIGNLNLVGTLPHRSIHNLSKKYGPLMYLRFGSFPVVVGSSVEMAKFFLKTHDVVFTDRPKTAAGKHTTYNYSDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRGEEVRALLRDLHGAAGGVVVLKDYLSTVSLNVITRMVLGKKYLDKDAGGSVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWLDLQGYIKRMKKLGKMFDRFLEHVVDEHNERRRREGESFVAKDMVDVLLQFADNPNLEVKLKREGVKAFTQDLIAGGTESSAVTVEWALSELLKKPEVFAKANEELDRVVGRGRWVTEKDVPSLTYVDAIVKETMRLHPVAPMLVPRLSREDTSVDGYDIPAGTRVLVSVWTIGRDPKLWDAPEEFMPERFIGNKIDVKGQDFELLPFGSGRRMCPGYSLGLKVIQLSLANLLHGFAWRLPDGVTREQLSMEEIFGLSTPRKFPLEAVVEPKLPAHLYAAA >ONIVA09G12130.1 pep chromosome:AWHD00000000:9:13700614:13702376:-1 gene:ONIVA09G12130 transcript:ONIVA09G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELPSWASVLVIVLAAVVFLLATILRHGRRAYRLPPGPNPWPIIGNLNLIGALPHRSIHELSKRYGPLMQLRFGSFPVVVGSSAEMARFFLKSHDIVFTDRPRTAAGKHTTYNYTDILWSPYGAYWRQARKMCVTELFSARRLESFEHIRGEEVRALLRDLHGAAAPVLLRDYLSTATLGVISRMVLGKKYVGVEGASAGNGGGGEGTSPAATPEEFKMMMDELFLLSGVLNIGDFIPWLDWLDLQGYIRRMKRVGKKLDRFMEHVLDEHDKVRRQQGDRFAARDLVDVLLQLADDPNLEVQLRRDNVKALTQVIDPFSSSYCSFFTQVIVLSMAHGDLIAGGTDTSAITVEWAISELLRKPEILAKATEELDRVVGRDRLVTETDMPSLPYVEAIVKETMRVHPVAPLLAPHVAREDASVGGYDIPAGTRVLVNVWTIARDPALWDSPEEFMPERFIGSKIDVKGQDFQLLPFGSGRRMCPGHSLGLKVIQLSLASLLHGFEWRLPDGVSAGELSMEEVFGLSTPRKVPLEVVVKPKLPAHLYTGP >ONIVA09G12120.1 pep chromosome:AWHD00000000:9:13695089:13699837:1 gene:ONIVA09G12120 transcript:ONIVA09G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGGDRTLPLLLAATSPFPLAWWGSGESGDSEVSVKVSNDQECMLLYLHEGVHMIKLELGWEDVVNDEDGEAGGVDSDNIGGGDVGVQTVTMLVAVMSARSSCGGCW >ONIVA09G12110.1 pep chromosome:AWHD00000000:9:13691611:13694383:1 gene:ONIVA09G12110 transcript:ONIVA09G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPFLLALAVLVVVSSCVRLVSGAGDGAATYIVYLNPALKPAPYATHLHWHHAHLASLSVDPSRHLLYSYTSAAPSAFAARLLPSHVAALRGHPAVASVHEDVILPLHTTRSPLFLHLPPYDAPDADGASTDVIIGVLDTGVWPESPSFGDVGMGPVPSRWRGSCETNATDFPSSMCNRKLIGARAFFRGYGAGGGGNGSHVSLEFSSPRDHDGHGTHTASTAAGAVVADAGLLGYAEGTARGMAPGARVAAYKVCWRQGCFSSDILAGMEKAIDDGVDVLSLSLGGGAFPLSRDPIAVGALAATRRGIVVACSAGNSGPSPSSLVNTAPWVITVGAGTLDRNFPAYAELGNGETHAGMSLYSGDGLGDEKLPVVYNKGIRAGSNASKLCMEGTLDAAAVKGKVVLCDRGGNSRVEKGLVVKQAGGVGMVLANTAQSGEEVVADSHLLPAVAVGAKSGDAIRRYVESDADAEVGLTFAGTALDVRPAPVVAAFSSRGPNRQVAQLLKPDVIGPGVNILAGWTGSVGPTGLTVDERRSPFNILSGTSMSCPHISGLAAFVKAAHPDWSPSAIKSALMTTAYTVDNTGSPIVDAASNTTATPWSIGAGHVDPVKALSPGLVYDTSVDDYVAFLCSVGTSPPQVQAITAAPNVTCQRKLSSPGDLNYPSFSVVFGRRSSSSRSTTVKYRRELTNVGDGRSVYTARVTGPSDIAVAVKPARLAFKKAGDKLRYTVTFKSTTPGGPTDAAFGWLTWSNGEHDVRSPISYTWGM >ONIVA09G12100.1 pep chromosome:AWHD00000000:9:13682061:13682510:1 gene:ONIVA09G12100 transcript:ONIVA09G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKDVTILFDGWRTATWTGYLLSLVALLLASAFYQYLEAFRIRVKLLAGAKPASIPPPASSDAARAPLLLPSSAAGRWPARLATAGLFGVNSGLGYLLMLAVMSFNGGVFVAVVVGLAAGYLAFRSSDGEDLVVVDNPCACA >ONIVA09G12090.1 pep chromosome:AWHD00000000:9:13674184:13679150:1 gene:ONIVA09G12090 transcript:ONIVA09G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRAARRADVDVFLASLGIDPGELTGLELPATIDVMRERVEFLHSLKRVEFMHSLGLSARRDDGGDIYPRRPHAAPAPAARRSCPRLRACRPCGGGG >ONIVA09G12080.1 pep chromosome:AWHD00000000:9:13661872:13669014:-1 gene:ONIVA09G12080 transcript:ONIVA09G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 7B4 [Source:Projected from Arabidopsis thaliana (AT1G54100) TAIR;Acc:AT1G54100] MGSFARKEHQFLAELGLAPRNPGSFACGAWGGSGPVVIAEVVEASAREYEEGMRACYDAAKTWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVLERNNLPGSIFTAFCGGADIGQAISLDTRIPLVSFTGSTKVGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYRTFLDQLVEVYKQVRIGDPLENVRSTMEASCL >ONIVA09G12070.1 pep chromosome:AWHD00000000:9:13656671:13662711:1 gene:ONIVA09G12070 transcript:ONIVA09G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGVEVVVSRGCSRLVLPGMQPSSASAASSSSFSRGGHGGGGDRRPLLDGPFAGLVICVTGLSKGRHMLFLSAQHSFAGRKFEHAVKHGAKNGLFVVTLGWFVDCVRRSMRLDESLYSIKNIGENGMPLGEFNRLVGAPVSGNSCLPPMMFQEKTFSDTTEKHRLQTSRKEHDHDEFLFTNDSIYIDPGISGEMRKKVSDAATREGAKLLDHWFIGCHATYVVCEDASVKRYVGHSDNIVTPLWILKTAKEKGLQRLVHLSSDLARQVATILENAQTFQENRKIGDVPSVNSNSSGVPSTQGEIDEIHQERQKFVEVAKKNVRDRRARRMQFSWSDDAFEQQSTTFFDANGDGKDDQSSDSFTRPLRESEKSEVIFKNHFLTVLFPIDRFGELGPSSRTFFSNGGFTRIQVLDHIYNFYQENMSSDEINVALQTDSRHADRLRSLYASTESAERGFVTFKRIDFLGSRRSFEGLKRLSRENNSNVYELVIRA >ONIVA09G12060.1 pep chromosome:AWHD00000000:9:13651597:13652203:1 gene:ONIVA09G12060 transcript:ONIVA09G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKYSAIPLQPNQYGTATFLPERVGDCAVQMNRPIHLVRCRLNKGEVADYCVYVLPFAPSLVRGRWVPLVGEMARTGPLSPARESAAAAAARRSSADDPAAAARVSRCLTWYQVCEAEFPKKECEVNQFAEESSDWEEIIASIAVQFWFVCWSVAFSVSDSVNCQDHMPQMSGAVDNLQNRSPLFRKA >ONIVA09G12050.1 pep chromosome:AWHD00000000:9:13648048:13648321:1 gene:ONIVA09G12050 transcript:ONIVA09G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLVRIGCVGNRWRKPRRAIWLTDGGDALWRRSPPWRRRFSVPLSFPYRSPSENLALASERAVAAIHVASSLGAPLWRNLFVQGCR >ONIVA09G12040.1 pep chromosome:AWHD00000000:9:13647619:13647858:1 gene:ONIVA09G12040 transcript:ONIVA09G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEMATTAAGRGACRDAGRARQGPRRHDLRRAALVDAPSMTPTTRAAHCTSRSAPPRPDLAGWRRWQLTVADGDGGG >ONIVA09G12030.1 pep chromosome:AWHD00000000:9:13639249:13641823:-1 gene:ONIVA09G12030 transcript:ONIVA09G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSWVANKISGKQEANRFPANSSAPYRANVSDCRKDEFSDWPQSLLAIGTFGNKQIEEVAQVENSSDNVQSVQDTVKFTEEEVDKIRKEFETLLAIKDQAEAQRSHDDDQVGLQKRADGEDNEKHIRQLINKRIIVSKSKNSLGKKGNTLKPRSVASLLKLFMCKGGFTSVVPEPRNTFPQSRMEKLLKAILQKKIHPQNSSTLVAKRHLDWKPDETEINECLEDALRDLDDDGAKWVKTDSECSKGPGKLGVYGVTT >ONIVA09G12020.1 pep chromosome:AWHD00000000:9:13632500:13636113:-1 gene:ONIVA09G12020 transcript:ONIVA09G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAAAARLHHLLSLCVAVAGLLLMLLGGGEASVHEYRGLGFLNKGNAFILHAGSEGLYAPSSPANATTAEDDEDAAAAAVADAFIRFDKITFRRPKDAAKETGSAMVQILVFEIEDREMIGGSAYGGQKAICCTSDLAKLGACTEGSVIYRPSQVNPGWPQLLFASFDGSDTIATLPSRIIPITKTGMYNMYFIHCDPSLAGLEIEGQTVWKNPTGYLPGRMAPLKNFFGIMSFAFVVLGIYWFYQYMKFWREVLPLQNCITLVITLGMLEMSLWYFEYAEFNETGVRPKGITFWAVTFGTVKRTVARVIILIVSMGYGVVRPSLGGLTSKVVMLGGTFFLATEILELVENLGAVNDLSGKARLFLVYPVAILDAAFVVWIFISLAKTLDKLQARRSMAKLDIYRKFTIALAVTVLVSIGWIGYEIYFKSTDVFNERWQYAWIIPAFWHVLSFSLLCVISYLWAPSQNSMRFTYDASENFDREDSLSLIRPGPIASKNGWSLSSSPDTKATKNVTVTSFDGDDEENKRE >ONIVA09G12010.1 pep chromosome:AWHD00000000:9:13626070:13627983:1 gene:ONIVA09G12010 transcript:ONIVA09G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTAAAAELEAAERVVMRWDSTASASYGGGGGDEQMLFDGGGDRVEAERFLRAVDDLRRLAPPSPATVGSPRRTSSASGGGGAASNAVQVAMARLEDEFRHVLSSRALDLEIEALADLTSLSMCSDRTNSADVAEEAAAADEDDSVSSSVGRRSSYRSLRSIREIDLLPADAISDLHAIASRMAVAGYGRECVQVYASVRKPAVDSALRRLGVEKLSIGDVQRLEWEVLEAKIRRWIRAARAAVRGVFASERRLCFLIFHDLPLSSSTITTATHDAPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDAIADLLPDVSDIFAASKAGESIYVQAAEIRSRLADAVRGILSEFENAVLRDPSKTPVPGGTIHPLTRYVMNYSSLISDYKTTLSELIVSRPSACSRIAPEGNENAPSFPDLDLADPDSQLPLAAHLIWIIVVLEHNLESKASLYKDAALSHLFVMNNVHYIAHKIKDSPELRGLIGDEYLKQLTGKFRLAATRYQRTAWLKILNCLRDEGLHVSGGFSSGVSKSALRERFKSFNAAFEEAHRVQSAWYVPDTQLREELRISIAEKLLPAYRSFLGRFRHHIENGRHPELYIKYSVEDLETSVTNFFEGCPPSLHNRRRSHG >ONIVA09G12000.1 pep chromosome:AWHD00000000:9:13619134:13622118:1 gene:ONIVA09G12000 transcript:ONIVA09G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 6 [Source:Projected from Arabidopsis thaliana (AT1G19150) TAIR;Acc:AT1G19150] MALPSGSFAACSIQPRVRAALRAPTLPSQNAAVARMAGHRAGATKGGVSAVCEPLGPDRPLWFPGSSPPPWLDGSLPGDFGFDPLGLGSDPELLRWFAQAELMHSRWAMLAVAGILVPEVLEKWGFMEDYSWIDAGARDYFADPWTLFVSQMALMGWAEGRRWADYLNPGCVAVEPRLPNRRNPVPDVGYPGGLWFDWGNWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGEGPIDNLLHHLADPGHCNVFSAGQFVLRDLCLR >ONIVA09G12000.2 pep chromosome:AWHD00000000:9:13619134:13622102:1 gene:ONIVA09G12000 transcript:ONIVA09G12000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 6 [Source:Projected from Arabidopsis thaliana (AT1G19150) TAIR;Acc:AT1G19150] MALPSGSFAACSIQPRVRAALRAPTLPSQNAAVARMAGHRAGATKGGVSAVCEPLGPDRPLWFPGSSPPPWLDGSLPGDFGFDPLGLGSDPELLRWFAQAELMHSRWAMLAVAGILVPEVLEKWGFMEDYSWIDAGARDYFADPWTLFVSQMALMGWAEGRRWADYLNPGCVAVEPRLPNRRNPVPDVGYPGGLWFDWGNWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGEGPIDNLLHHLADPGHCNVFSVRRRAVCLT >ONIVA09G11990.1 pep chromosome:AWHD00000000:9:13614074:13618456:1 gene:ONIVA09G11990 transcript:ONIVA09G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGVAVASSPRAAASSPRAAASSASVASSPRAGGVGGRHHHRRWGGAAAISPSYRAVLLALWLVGFALVFLWQSTSVGRARLYTRPPLLPKRAPSAQGMGQWVAAPPVYDLREFGGVGDGRTLNTEAFVAAVASIAERGGGRLVVPAGRWLTAPFNLTNRMTLFLAAGAEILGVQDERYWPLMSPLPSYGYGREHRGPRYGSLIHGQDLKDVTITGQNGTINGQGQSWWSKFRKKVLNHTRDTTILAPIVGAPNTDGIDPDSCENVVIKNCYISVGDDGIAIKSGWDQYGIAYGRPSTNIIIHNVTIRSMVSAGVSIGSEMSGGVSNVLVENVHIWDSRRGVRIKTAPGRGAYVSNITYRNITLEHIRVGIVIKTDYNEHPDEGFDPKAVPIIENISYSSIHGHGVRVPVRIQGSAEIPVKNVTFHDMSVGLVDRRNHVFQCSFVQGQVIGYVFPVPCRNLDLYNERRELVKQSALQNISDIDYSF >ONIVA09G11990.2 pep chromosome:AWHD00000000:9:13614074:13618456:1 gene:ONIVA09G11990 transcript:ONIVA09G11990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGVAVASSPRAAASSPRAAASSASVASSPRAGGVGGRHHHRRWGGAAAISPSYRAVLLALWLVGFALVFLWQSTSVGRARLYTRPPLLPKRAPSAQGMGQWVAAPPVYDLREFGGVGDGRTLNTEAFVAAVASIAERGGGRLVVPAGRWLTAPFNLTNRMTLFLAAGAEILGVQDERYWPLMSPLPSYGYGREHRGPRYGSLIHGQDLKDVTITGQNGTINGQGQSWWSKFRKKVLNHTRGPLVQLMRSSNITISNITLRDSPFWTLHIYDCKDVTISDTTILAPIVGAPNTDGIDPDSCENVVIKNCYISVGDDGIAIKSGWDQYGIAYGRPSTNIIIHNVTIRSMVSAGVSIGSEMSGGVSNVLVENVHIWDSRRGVRIKTAPGRGAYVSNITYRNITLEHIRVGIVIKTDYNEHPDEGFDPKAVPIIENISYSSIHGHGVRVPVRIQGSAEIPVKNVTFHDMSVGLVDRRNHVFQCSFVQGQVIGYVFPVPCRNLDLYNERRELVKQSALQNISDIDYSF >ONIVA09G11980.1 pep chromosome:AWHD00000000:9:13605238:13606518:-1 gene:ONIVA09G11980 transcript:ONIVA09G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRATATATAAGKDRSSFAVTCSLLSQFLKEKKGGGGGLQGLGLGLRPAPAAPPAAGAGGAFRPPPTTMNLLSGLDAPAVEVEPNTAETAADELPLIKAPADQQSDESASEAAGEKAQQLTIFYGGKVVVFENFPSTKVKDLLQIVSTGDGVDKNTGTAATQSLPRPAHNSLPDLPIARRNSLHRFLEKRKGRMNANAPYQANCTAAPSKQANGDKSWLGFGQEMTIKQEI >ONIVA09G11970.1 pep chromosome:AWHD00000000:9:13591094:13597951:1 gene:ONIVA09G11970 transcript:ONIVA09G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAATGLPFSPRPACCRLPSSPGSGHGFVFPPRFAPGVFLFFPLDSAGGSGVAHRRAYPRIEATARHGARKENPKVRNHRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHNKKILFYGSTLQKAICGDPPCGAVEAAGRIGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPDLVELECIDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKIIPFLVHNPKIGLVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGTAAVWRVSATINEAGGWKDHTTVEDMDLAVRLYGQASRDGNSCMLRVNSQVPSKPTDIGSIDGLVGVSVWKKLHLLYSFFFVRRVVAPILTFLFYRVVIPLSVMVPEVSIPVWGMVCIPTAITIMNAIRNPGSLHLMPFWILFENVMAMHRMRAALTGLFETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELVVAFYLLVCASYDLVLGAKHYYLAKVAGAVTVAGAALRWHGERARVAGSARRGPSHSHLVASEDRGRRIRAPLSLPRTGGGGFVRRHPCPYTLPFPTAWGYGGGAVVTQGCATESGGRTDDIGGSGWRADGSRGVPR >ONIVA09G11970.2 pep chromosome:AWHD00000000:9:13591094:13597951:1 gene:ONIVA09G11970 transcript:ONIVA09G11970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAATGLPFSPRPACCRLPSSPGSGHGFVFPPRFAPGVFLFFPLDSAGGSGVAHRRAYPRIEATARHGARKENPKVRNHRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHNKKILFYGSTLQKAICGDPPCGAVEAAGRIGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPDLVELECIDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKIIPFLVHNPKIGLVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGTAAVWRVSATINEAGGWKDHTTVEDMDLAVRLYGQASRDGNSCMLRVNSQVPSKPTDIGSIDGLVGVSVWKKLHLLYSFFFVRRVVAPILTFLFYRVVIPLSVMVPEVSIPVWGMVCIPTAITIMNAIRNPGSLHLMPFWILFENVMAMHRMRAALTGLFETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELVVAAKVAGAVTVAGAALRWHGERARVAGSARRGPSHSHLVASEDRGRRIRAPLSLPRTGGGGFVRRHPCPYTLPFPTAWGYGGGAVVTQGCATESGGRTDDIGGSGWRADGSRGVPR >ONIVA09G11970.3 pep chromosome:AWHD00000000:9:13591094:13597951:1 gene:ONIVA09G11970 transcript:ONIVA09G11970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAATGLPFSPRPACCRLPSSPGSGHGFVFPPRFAPGVFLFFPLDSAGGSGVAHRRAYPRIEATARHGARKENPKVRNHRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHNKKILFYGSTLQKAICGDPPCGAVEAAGRIGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPDLVELECIDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKIIPFLVHNPKIGLVQTRWEFGKQVFSLFHSNLVRAVLPWPLLGNFAVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGTAAVWRVSATINEAGGWKDHTTVEDMDLAVRLYGQASRDGNSCMLRVNSQVPSKPTDIGSIDGLVGVSVWKKLHLLYSFFFVRRVVAPILTFLFYRVVIPLSVMVPEVSIPVWGMVCIPTAITIMNAIRNPGSLHLMPFWILFENVMAMHRMRAALTGLFETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELVVAFYLLVCASYDLVLGAKHYYLAKVAGAVTVAGAALRWHGERARVAGSARRGPSHSHLVASEDRGRRIRAPLSLPRTGGGGFVRRHPCPYTLPFPTAWGYGGGAVVTQGCATESGGRTDDIGGSGWRADGSRGVPR >ONIVA09G11970.4 pep chromosome:AWHD00000000:9:13591094:13597951:1 gene:ONIVA09G11970 transcript:ONIVA09G11970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAATGLPFSPRPACCRLPSSPGSGHGFVFPPRFAPGVFLFFPLDSAGGSGVAHRRAYPRIEATARHGARKENPKVRNHRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHNKKILFYGSTLQKAICGDPPCGAVEAAGRIGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPDLVELECIDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKIIPFLVHNPKIGLAVLPWPLLGNFAVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGTAAVWRVSATINEAGGWKDHTTVEDMDLAVRLYGQASRDGNSCMLRVNSQVPSKPTDIGSIDGLVGVSVWKKLHLLYSFFFVRRVVAPILTFLFYRVVIPLSVMVPEVSIPVWGMVCIPTAITIMNAIRNPGSLHLMPFWILFENVMAMHRMRAALTGLFETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELVVAAKVAGAVTVAGAALRWHGERARVAGSARRGPSHSHLVASEDRGRRIRAPLSLPRTGGGGFVRRHPCPYTLPFPTAWGYGGGAVVTQGCATESGGRTDDIGGSGWRADGSRGVPR >ONIVA09G11970.5 pep chromosome:AWHD00000000:9:13591094:13597951:1 gene:ONIVA09G11970 transcript:ONIVA09G11970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAATGLPFSPRPACCRLPSSPGSGHGFVFPPRFAPGVFLFFPLDSAGGSGVAHRRAYPRIEATARHGARKENPKVRNHRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHNKKILFYGSTLQKAICGDPPCGAVEAAGRIGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPDLVELECIDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKIIPFLVHNPKIGLVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGTAAVWRVSATINEAGGWKDHTTVEDMDLAVRLYGQASRDGNSCMLVKSELPSTFKAYRHRQHRWTCGTANLFRKMQRKLPKTRQAFFNMTEWNMLQDCHAISFFTRLYVIQGVSVWKKLHLLYSFFFVRRVVAPILTFLFYRVVIPLSVMVPEVSIPVWGMVCIPTAITIMNAIRNPGSLHLMPFWILFENVMAMHRMRAALTGLFETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELVVAFYLLVCASYDLVLGAKHYYLAKVAGAVTVAGAALRWHGERARVAGSARRGPSHSHLVASEDRGRRIRAPLSLPRTGGGGFVRRHPCPYTLPFPTAWGYGGGAVVTQGCATESGGRTDDIGGSGWRADGSRGVPR >ONIVA09G11970.6 pep chromosome:AWHD00000000:9:13591094:13597951:1 gene:ONIVA09G11970 transcript:ONIVA09G11970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAATGLPFSPRPACCRLPSSPGSGHGFVFPPRFAPGVFLFFPLDSAGGSGVAHRRAYPRIEATARHGARKENPKVRNHRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHNKKILFYGSTLQKAICGDPPCGAVEAAGRIGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPDLVELECIDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKIIPFLVHNPKIGLVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGTAAVWRVSATINEAGGWKDHTTVEDMDLAVRLYGQASRDGNSCMLVKSELPSTFKAYRHRQHRWTCGTANLFRKMQRKLPKTRQAFFNMTEWNMLQDCHAISFFTRLYVIQGVSVWKKLHLLYSFFFVRRVVAPILTFLFYRVVIPLSVMVPEVSIPVWGMVCIPTAITIMNAIRNPGSLHLMPFWILFENVMAMHRMRAALTGLFETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELVVAAKVAGAVTVAGAALRWHGERARVAGSARRGPSHSHLVASEDRGRRIRAPLSLPRTGGGGFVRRHPCPYTLPFPTAWGYGGGAVVTQGCATESGGRTDDIGGSGWRADGSRGVPR >ONIVA09G11970.7 pep chromosome:AWHD00000000:9:13591094:13597951:1 gene:ONIVA09G11970 transcript:ONIVA09G11970.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAATGLPFSPRPACCRLPSSPGSGHGFVFPPRFAPGVFLFFPLDSAGGSGVAHRRAYPRIEATARHGARKENPKVRNHRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHNKKILFYGSTLQKAICGDPPCGAVEAAGRIGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPDLVELECIDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKIIPFLVHNPKIGLVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGTAAVWRVSATINEAGGWKDHTTVEDMDLAVRLYGQASRDGNSCMLVKSELPSTFKAYRHRQHRWTCGTANLFRKMQRKLPKTRQAFFNMTEWNMLQDCHAISFFTRLYVIQGVSVWKKLHLLYSFFFVRRVVAPILTFLFYRVVIPLSVMVPEVSIPVWGMVCIPTAITIMNAIRNPGSLHLMPFWILFENVMAMHRMRAALTGLFETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELVVAFYLLVCASYDLVLGAKHYYLAKVAGAVTVAGAALRWHGERARVAGSARRGPSHSHLVASEDRGRRIRAPLSLPRTGGGGFVRRHPCPYTLPFPTAWGYGGGAVVTQGCATESGGRTDDIGGSGWRADGSRGVPR >ONIVA09G11970.8 pep chromosome:AWHD00000000:9:13591094:13597951:1 gene:ONIVA09G11970 transcript:ONIVA09G11970.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAATGLPFSPRPACCRLPSSPGSGHGFVFPPRFAPGVFLFFPLDSAGGSGVAHRRAYPRIEATARHGARKENPKVRNHRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHNKKILFYGSTLQKAICGDPPCGAVEAAGRIGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPDLVELECIDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKIIPFLVHNPKIGLVQTRWEFGKQVFSLFHSNLVRAVLPWPLLGNFAVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGTAAVWRVSATINEAGGWKDHTTVEDMDLAVRLYGQASRDGNSCMLRVNSQVPSKPTDIGSIDGLVGVSVWKKLHLLYSFFFVRRVVAPILTFLFYRVVIPLSVMVPEVSIPVWGMVCIPTAITIMNAIRNPGSLHLMPFWILFENVMAMHRMRAALTGLFETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVESAKVAGAVTVAGAALRWHGERARVAGSARRGPSHSHLVASEDRGRRIRAPLSLPRTGGGGFVRRHPCPYTLPFPTAWGYGGGAVVTQGCATESGGRTDDIGGSGWRADGSRGVPR >ONIVA09G11960.1 pep chromosome:AWHD00000000:9:13583718:13589107:-1 gene:ONIVA09G11960 transcript:ONIVA09G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANPKLAAKPSPSPPTAAAAASRPKATAKPPLGAGYRDNDDDDDDFQSPPRASSRAARALKPSGNGAASRRPSKRLKPSSSCCSGKENLPAAAGSGRASAGRAASKGAGVGETLGVVSRVSSGVPGGDKARGGGICGLLRCGSDDFSSVSNGKKGLDRYWRRDGGLHSRPNPMDSTVSMPDATCDLENGGSQVAQMLSSNDRISVQLEGNAKVDLGKSESDPTTMRKERNGSGACESDHPARLIEPRLLTLVTNCDFGGADSMDSKELGSAIHPSVSKDRNVENESGGASVCTFALHNRNCHSSCVESELELLNAKYDLGPRDCKESQEGPGLCSLISEERTVAAEGDATFTFEERGNTSSGLEACKGSHCLDPVEPKLMDSCATHALEGDGCDDFEIGTQLNELINLCMEDYTEGPLSNKVACLEGNGMDCGSFNSSCEVQCPLCGSNISDLSEELRLVHTNSCLDGDKPAKEPNSDNQNEPCGESNVEKRRVMEWLRNLGLSKYEEIFIKEEVDWETLQWLTEEDLLGMGITSLGPRKKIAHALCELRKKNDDANDLAADMLNLENTKKAKIPMNGNKLITEYFRCPSSDQRQKKACKVNTPSNLNSQKNSNAKATGGRRTVKGKVKDTPIWCCIPGTPFRVDAFRYLRGDCCHWFLTHFHVDHYQGLTKSFCHGKIYCSSVTANLVHYKIGIPWDRLHVLPLNEKITIAGVNLTCFDANHCPGAVIILFEPSNGKAVLHTGDFRFSSEMANNRVLQSSPIHTLILDTTYCNPRYDFPTQEIVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLYMEVARLLQKKIYVGAAKLQILKHLGLPQEIMHWFTANEAESHIHVVPMWTLASFKRMKYLSTQYADRFDLIVAFCPTGWSFGKGKKRTPGRKWQQGAIIRYEVPYSEHSSFTELREFVRFISPEHIIPSVNNDGPDSANAMLAQLLND >ONIVA09G11950.1 pep chromosome:AWHD00000000:9:13568025:13571859:-1 gene:ONIVA09G11950 transcript:ONIVA09G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:20 kDa chaperonin, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20720) UniProtKB/Swiss-Prot;Acc:O65282] MASVQLSAGPRVSVSPAAALVAMPSVAAAASRGRRGYRGLVVRAATVVSPKYTSIKPLGDRVLVKIKTSDDKTVGGILLPTSIQSKPQGGQVVAVGEGRSMGSDSIEISVPVGAQVVYSKYAGTELEFDGSDHLILKEDDIIGILDTDDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTQATKEKPSIGTVTAVGPGPLVEDGSRKPLSITPGNTVMYSKYAGSEFKGEDGEYIVLRVSDVMAVLS >ONIVA09G11940.1 pep chromosome:AWHD00000000:9:13561131:13562296:-1 gene:ONIVA09G11940 transcript:ONIVA09G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGHAALWVKLYELELQLRLMRAARGEEGAAAAADDDDEVGDVASRAGAEGACRGRQYDAYMRRRDARRHTTDGVAAAASERQTTRPRGGARAAGGGGGGEEVAAGGVYSDHPEEGELGRGAAQGEDGEHRRGRGEAGAPEAEQPRGGAVRLRRLRHAAAVPPARQRHGRRHDDDVDDAAAACAADAEGARRPTDQRRGHGQPEATSSSARPARAGGGASPPPLPVRVGASTPPPPPPPHGGAGLPEVGGGAAIAAAATGEEAVGQPGDDDDAADSAGDPLLRLRRLAQGFRQGDQEAAQLREEEQQQERRRPAAFPGASGRRKARDGHGMARRRGGASGDSLAQLAPVLMAPWLRLAWRWFAMTQPAGISG >ONIVA09G11930.1 pep chromosome:AWHD00000000:9:13556388:13561414:1 gene:ONIVA09G11930 transcript:ONIVA09G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26830) TAIR;Acc:AT2G26830] MGSEGRSWSGAAAMGGGVEGEAAIAAVVAPAPGDVPASGASVDIALPLPEMTPRIMRRKGSRESREGICKELVRGWSSLDSSRFSIETVSGGITNMLLKVSAEDGKGNKSSVTVRLYGPNTDLVIDRKRELQAIPHLSAAGFGAQLLGTFENGMVQSFIYARTLTPSDMKEPRIAAEIAKEIRRFHQVDIPGSKEPQLWDDIFKFMKKASILEFEDKEKQKRYETISFRKIQDEVKELKDLSDLLHAPLYFIDFEYGSYSYRGYDIANHFNEYAGYDCDYSLYPDKNSQYHFFRNYLQPDRPSEVQLQDLDALYVETNTYRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYDEYKKQRESCLSLAESSLSALKNG >ONIVA09G11930.2 pep chromosome:AWHD00000000:9:13556388:13561414:1 gene:ONIVA09G11930 transcript:ONIVA09G11930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26830) TAIR;Acc:AT2G26830] MGSEGRSWSGAAAMGGGVEGEAAIAAVVAPAPGDVPASGAGICKELVRGWSSLDSSRFSIETVSGGITNMLLKVSAEDGKGNKSSVTVRLYGPNTDLVIDRKRELQAIPHLSAAGFGAQLLGTFENGMVQSFIYARTLTPSDMKEPRIAAEIAKEIRRFHQVDIPGSKEPQLWDDIFKFMKKASILEFEDKEKQKRYETISFRKIQDEVKELKDLSDLLHAPLYFIDFEYGSYSYRGYDIANHFNEYAGYDCDYSLYPDKNSQYHFFRNYLQPDRPSEVQLQDLDALYVETNTYRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYDEYKKQRESCLSLAESSLSALKNG >ONIVA09G11930.3 pep chromosome:AWHD00000000:9:13561190:13561957:1 gene:ONIVA09G11930 transcript:ONIVA09G11930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26830) TAIR;Acc:AT2G26830] MAPSIREQAELSCHRWRRRGGGPSRAHHGLSGGRTRRGMLLVAAVLAAALPHEAEQLLDPLGEILAEERIARGVGGVVVVSGLPHCFLARSGCGDGSSTADLGESSAAVWWWWWWWSGSSDTDREWWRRRAAACASWSRGGGGRLGLAVAASLIGGTSCTLGVGGARSRGVVDVVVVAPPVPLPRRRNGRGVTQSPKSDGTAPRLLRLRCAGFAAAAPVFTVLALGSTTAELSFLGVVGVDTPGGDLFT >ONIVA09G11920.1 pep chromosome:AWHD00000000:9:13541654:13545193:1 gene:ONIVA09G11920 transcript:ONIVA09G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQRRIAQGSEDMSQGSSFRSPGRQLSRFLLGPSGLSLVKCPRYGNAVVECKSWRQGGRVFFKCEKNEQYVPDACTFFKWYDSYQRMVEGMELDFNEEVATPVAIAAAGEADRVDEGKMDKLTKWMQLLVLINIGQGILVLIGVIYRSRITNYNI >ONIVA09G11910.1 pep chromosome:AWHD00000000:9:13537121:13537813:-1 gene:ONIVA09G11910 transcript:ONIVA09G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDMVPADAIALRLYSLPAAAAAVGSLWAWLVAALAAAVGLWRIRAAAGVRSALVDDDDHKQRKAKQPRGALRPAGVGEARPARAEAAEAEATTPTSPSEPSTPSKVRFTAYYGGEGDGADEGVVDSVRRCVENDGDGEEETPTAPVRRTASGRRRWSTTTTTTAPFMATPWEEREMAVRRRGDLGWYRHLDMAALDGSVVRLWDGEVTAASPGRRDRRALSELHLSL >ONIVA09G11900.1 pep chromosome:AWHD00000000:9:13522173:13533709:1 gene:ONIVA09G11900 transcript:ONIVA09G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRGSNAARRSGHRRIADYLADDQTTNTDTSDNESYTTAYGDEFFAAAAAAAGSGGGGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTSATLYGGGGGQMPQPLPPPPRTPEGGGGARSLSRCSSTSSRIRKKFAWLRSPSPSPSPRPPTPAELQREAAMAARERRRIQARLNRSSTGAKRALKGLRFISRTTGTVQAAELWRRVEDRFNALARDGLLSRDDFGECIGMVDSKEFAVGIFDALARRRRQNLERITREELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDSYMNYSRPLSTASGAQWSQNLGGAAVAAGAAAATGGGAHAAVAARGGQQQQQQQQEEGRRGGWGVRKAAARVRVAAEENWRRAWVVALWFAAMASLFVWKFVQYRRTPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRLIASGPEEYRLVADAFGPEKPTYVGLLSGVEGITGVAMVVLMTVSFTLATHPFRKGEKGGSGGGAAATVLPTVARLPSPFNRLAGFNAFWYSHHLLGIVYALLIAHGYFLFLVRRWYLKTTWMYISVPLMLYVGERMLRALRSNAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGAAEPRSLPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLAEELMDLAMETSRSEDSANSFSVSTASSNKKRAYRTSRAHFYWVTREPLSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPTLAKELKTLSHEMSHRTGTRFHFHKEYF >ONIVA09G11900.2 pep chromosome:AWHD00000000:9:13522173:13533709:1 gene:ONIVA09G11900 transcript:ONIVA09G11900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRGSNAARRSGHRRIADYLADDQTTNTDTSDNESYTTAYGDEFFAAAAAAAGSGGGGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTSATLYGGGGGQMPQPLPPPPRTPEGGGGARSLSRCSSTSSRIRKKFAWLRSPSPSPSPRPPTPAELQREAAMAARERRRIQARLNRSSTGAKRALKGLRFISRTTGTVQAAELWRRVEDRFNALARDGLLSRDDFGECIGMVDSKEFAVGIFDALARRRRQNLERITREELYDFWLQISDQSFDARLQIFFDIYMNYSRPLSTASGAQWSQNLGGAAVAAGAAAATGGGAHAAVAARGGQQQQQQQQEEGRRGGWGVRKAAARVRVAAEENWRRAWVVALWFAAMASLFVWKFVQYRRTPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRLIASGPEEYRLVADAFGPEKPTYVGLLSGVEGITGVAMVVLMTVSFTLATHPFRKGEKGGSGGGAAATVLPTVARLPSPFNRLAGFNAFWYSHHLLGIVYALLIAHGYFLFLVRRWYLKTTWMYISVPLMLYVGERMLRALRSNAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGAAEPRSLPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLAEELMDLAMETSRSEDSANSFSVSTASSNKKRAYRTSRAHFYWVTREPLSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPTLAKELKTLSHEMSHRTGTRFHFHKEYF >ONIVA09G11890.1 pep chromosome:AWHD00000000:9:13506031:13511611:1 gene:ONIVA09G11890 transcript:ONIVA09G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARVSRLGLHALRRATAPGQNSLASRRHEAEAVTSHVNHLGKTFFCSNTNATSSDRSSESEAKISVTFVDKDGEEKLVKVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVTDVDYYNKLEDPVDEENDMLDLAFGLTETSRLGCQVIASPELDGMRLALPSATRNFAVDGYVAKSH >ONIVA09G11880.1 pep chromosome:AWHD00000000:9:13498958:13501802:1 gene:ONIVA09G11880 transcript:ONIVA09G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSSSSTMAASPSPISAAAAAADAPAVTRSITILRPPALSVTSPRGSESGPSTPSSPASVPDSPFGSAPTPKGSEGWKKLRRGAARMADGVDASAGGQPRSPTVYDWVVISSLDR >ONIVA09G11880.2 pep chromosome:AWHD00000000:9:13498959:13501802:1 gene:ONIVA09G11880 transcript:ONIVA09G11880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSSSSTMAASPSPISAAAAAADAPAVTRSITILRPPALSVTSPRGSESGPSTPSSPASVPDSPFGSAPTPKGSEGWKKLRRGAARMADGVDASAGGQPRSPTVYDWVVISSLDR >ONIVA09G11880.3 pep chromosome:AWHD00000000:9:13498958:13501802:1 gene:ONIVA09G11880 transcript:ONIVA09G11880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPDSGLGKLRNTDAEGIGGLEEAAPWGGEDGRWRGRQRRRPAEKPHRIRLGGDQLTRQVKA >ONIVA09G11870.1 pep chromosome:AWHD00000000:9:13489842:13490408:-1 gene:ONIVA09G11870 transcript:ONIVA09G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGGGVKVAAAATTATGMKQITRLRELLQKWQAMALGANKGDVATAGDEEDEEVVEVAPAAAAAAIPPFVMRRLQRTVTVDSDDESCQSPEPPADVPRGYCPVYVGPEQRRFVIPTRYLGHPVFRLLLEKAEEEFGFCHQGALAIPCETEAFKYILQCVERHDNGLAAGDVVDGVVDVNDRALPRD >ONIVA09G11860.1 pep chromosome:AWHD00000000:9:13475377:13475613:1 gene:ONIVA09G11860 transcript:ONIVA09G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPKFTQIELRSGLVLLPPGYTPSKVARGGGKKKRGTAAGAGTSRPRSTPTPVRAESVGSSVYPAVNAVDMVAYGA >ONIVA09G11850.1 pep chromosome:AWHD00000000:9:13471911:13472408:1 gene:ONIVA09G11850 transcript:ONIVA09G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLSKLKCMIKRWHSSSRISRTPSGCSASAGSTSARSSHGGGRVGGEEWGRSVVASGGGGGGGGGGRGGSVSFHGADGVPPGLHPVYVGKSRRRYLIAADLVGHPMFQNLVDRSGGGGVGGGGGGGTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >ONIVA09G11840.1 pep chromosome:AWHD00000000:9:13446820:13451858:1 gene:ONIVA09G11840 transcript:ONIVA09G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1350) [Source:Projected from Arabidopsis thaliana (AT3G43540) TAIR;Acc:AT3G43540] MAAAAATAAAHLLSTPPSASPVHPPPHARILCAHRTKGVATLSCRASLGPDGSLAGLAAAAAAAPRVEPRGRPYLREHSCLIFPPSPRGRRPLAVVKFLGGAFIGAVPEVTYSHFLKLLAQEGFLVVSVPYNVTFDHEAAAREVYERFHGCYGALLSSGLPAAGLSAMDIAELPLYSVGHSNGALLQLLVGSYFSEKIPKANAIVSFNNRPASEAVPYFEQIGPLFSQVMPMMEASPVYSAARNASGDAWKALFDLAGGLIQVYDQEAMLSLSKFVDQLPSVMNQVTEGVSEFKPTPPENREFCKNSYNVPNTLLVKFSIDAIDDTEIVEDVLKPRVESICGQIKKVILSGTHLTPCIQVGSEYTPADALAQGLKSLALNETRVLSRTIADCRTSAYKADQASKNRSSSGFAKIGSSQIRYPGNKSRCKSIALAIACHDLKPYCCEIDMNES >ONIVA09G11840.2 pep chromosome:AWHD00000000:9:13446820:13452558:1 gene:ONIVA09G11840 transcript:ONIVA09G11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1350) [Source:Projected from Arabidopsis thaliana (AT3G43540) TAIR;Acc:AT3G43540] MAAAAATAAAHLLSTPPSASPVHPPPHARILCAHRTKGVATLSCRASLGPDGSLAGLAAAAAAAPRVEPRGRPYLREHSCLIFPPSPRGRRPLAVVKFLGGAFIGAVPEVTYSHFLKLLAQEGFLVVSVPYNVTFDHEAAAREVYERFHGCYGALLSSGLPAAGLSAMDIAELPLYSVGHSNGALLQLLVGSYFSEKIPKANAIVSFNNRPASEAVPYFEQIGPLFSQVMPMMEASPVYSAARNASGDAWKALFDLAGGLIQVYDQEAMLSLSKFVDQLPSVMNQVTEGVSEFKPTPPENREFCKNSYNVPNTLLVKFSIDAIDDTEIVEDVLKPRVESICGQIKKVILSGTHLTPCIQVGSEYTPADALAQGLKSLALNETRVLSRTIADWIAVATGKETLESKIY >ONIVA09G11830.1 pep chromosome:AWHD00000000:9:13434578:13446527:1 gene:ONIVA09G11830 transcript:ONIVA09G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G03140) TAIR;Acc:AT2G03140] MLPRPACAPPPRLLPAAAAATFPLILRRRQRRRHHRHRPRPCPTLRASLSDLLASIPSSLALVGPAAAAAVAAVASSFSSSSSYVRNGLPPPSSSSPPEPDSGYAAACGDAAGDWILFTSPTPFNRCVLLRCPSVSFEDGGVLLDGVNERLLTEERHYVNLSRGSIPAARGGYGGAGDIFYQRVCIPAEDGGVIALDWPDNLDLGKEHGLDSTVFIVPGTPEGSMERGIKVFVLDALKNGYFPIVMNPRGCGGSPLTTPRLFTAADSDDIGTAIRFINNKRPWTTLMGVGWGYGANMLTKYLVEVGESTPLTAAVCVDNPFDLQEATRSFPHHIALDRKLTTGLVDILRANKELFQGKDKDFNVQKALSSDCLRDFDGAISMVSHGFSTVDDFYAESSTRLSISHVKIPVLFIQSDDGTVPLLSVPRSSISENPFTSLLLCSCVHSTVFTFERYAVLWCQNLALEWLSAVEFALLKGRHPLIKDVDITINPSKGLAFVEPQANDRKAPNNNNFRQQSQFILYNSMPHGINGLLLDSAKQHSVSNEKKNGQIKDNGDMDRARKDVNEEESEETPEDDEKGHALQSASLVMNMLDATMPGTLDDDQKKKVLVAVEQGETLVKALEEAVPEDVRGKLTTSVTEILQSKRGNFSLDALKRLGWTNGRPNTKTAVQEKIKDSDHESGLKDAKMHDQNKSASAIGDVDQKDGNLTSNDNSSGEGIESSQGKPSQTSGPVGAVTEMVTEQIQPNRSEKSTPGINESSEDHQHKTDQGTETAPKQVSDDLSPSEKKNSDDQSPGEKKVSDDQSTANLNGAPRERVQSADATAESPQVHVVEKDGDAVRASEDKATHNVTDQSMQVSKTEEPKPPPVNVTQALDALTGFDDSTQMAVNSVFGVIENMIDQFEKQHESENGDKSDGSTDEASVNKTESQVTGDMNNESSGKSINPSSYQPENSISGKGDSIMSEDRMIGEINSNLSIISSAKEKMGNYERNIIENYVDADVAKQDSGLPDYLLDIAVNSYLKAQYAMYLHEFLSTQLQLKPPDSNSATDLFLDPHEGKWKIADQMDSEHDYNSKSDKDGNYTKNIGISGSSRDQFRTENVIDTPYLVLSHYPVSRDKKSNELKQTVATKLPDIALRETLTSFIRDELENALKIEVGRKVGITNTEQLERNLAHDVERLAAQVSRAVVLDCELYSAACVERNPTTVKFGTTHGENVIEAVSNAIQQSHDLRNILPVGVIVGVILASLRNYFHVDISKHDKHTKTIVKSGVLSEDPDFKNSYLKKEESTDDASSKTEETTNNASLQKEEKVNDSSKNAENADNPIEKTVAPKGQEIRRSEGQGMMVGAVTAALGASAFVAHHQQLHSVFIFQQKKVEKHDNMDSTRPDETAQEKSQNNLVTSLAEKAMSVASPVVPTKGDGEVDQERLVAILAELGQKGGALRFVGKIALLWGGIRGAMSLTDRLISFLRISERPLFQRIMGFSFMVFVLWSPVVIPLLPTLVQSWTISSSTGIVGYACIVGLYVSIMILVILWGKRIRGYENPVEQYGMNLASVSRVQEFLQGLAGGITVVGLVHSVSILLGFAALRAGSYSFVTRPLDLLKSSSNVLLLALRGFVTATSIAVVEEVVFRSWLPEEVAVDLGYYSAILISGVAFSLIHRLKEQTKLLDMPW >ONIVA09G11820.1 pep chromosome:AWHD00000000:9:13428493:13433508:-1 gene:ONIVA09G11820 transcript:ONIVA09G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNEISGSNELCAQDPEVMTFYNLRGSDKTTILRKKELQRLRKERIENKCFRKKEKIRKAKEIVDNALKIREELHQKYLRAEPWLKEQERQRLERNKRFTTEIINQPPDYRGEWDPYVSDDEFGTSFELKRTGWEVEKFALDLARSTVGLESFTGENHLFSCSGTIIEFLNGICSVVTSASLIRCMDKDEQADELKINVWLPSGEKCEGFISNVDLYYNICLVTVHCTSNLPKKSFNDDTGFFDLYGNHSKDVVALGRSCEPWSLKVASGKLIPRRHRFDCEELLVSSCKITKIGVGGPLMDFNGNIVGMNFYDKKETPFLPSFIVLKCLQHFKEFGKVVRPLHGLRVGNLHKESLASLEKICHEFPKVCGVIVEKVEPSAEHSEIKVGDIITHLDGMAFSNAAEFGGILLDRCVTQMLEKQNLSEDCNQMISLKFSVKTRGGISEATTRTINTDKFTPSGLNRWPLPRPIIVRQYARGVLFSEDWYS >ONIVA09G11810.1 pep chromosome:AWHD00000000:9:13419751:13427543:1 gene:ONIVA09G11810 transcript:ONIVA09G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMERLTGSPDGRIDHVLQSESSGFQEKTFQHLYLSALGSHTFILVAIIGEIMILLSSFSDICTEFSRKVMTYSRNQRTIQAVNLPDGCWNSGSCGGSTQMLIEMVLELGAVVLNCRDLSVSDHESVVAVNIFLALGLITGGANADPRKHREPLLDFPEQSSLRPDPTPATRIDPAPPPAAAVNRPASPSSVVTTAPATRSYPRAAPSFSKLLFPIPHCFVPFDSNTLAHHGRKDYILDFNANCERCVVSLSFYKDNDEEKKETYFATGIIFASGKRSCCVLTTIDDASKRKDESCVVKFFDGTSKIIDWKRIKVRPEFKCATIYIHNIDMIHGATVTFSDQEIDHSQKVFTVGYNTNQRDRTFISGNLVNGVGIRNKDAIVFVHGCSTGNSGHLGSAVFNENKNLVGMNVSYTRSRGTHYISSSSTSTSDYGGIVSALNLQSIQLGLSLLYKKEGETIGEIVRHKRNGPKNVAEAAGVDLEAAMRICIDDELRPSRAMVKAITI >ONIVA09G11810.2 pep chromosome:AWHD00000000:9:13419751:13427543:1 gene:ONIVA09G11810 transcript:ONIVA09G11810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMERLTGSPDGRIDHVLQEKTFQHLYLSALGSHTFILVAIIGEIMILLSSFSDICTEFSRKVMTYSRNQRTIQAVNLPDGCWNSGSCGGSTQMLIEMVLELGAVVLNCRDLSVSDHESVVAVNIFLALPLLDFPEQSSLRPDPTPATRIDPAPPPAAAVNRPASPSSVVTTAPATRSYPRAAPSFSKLLFPIPHCFVPFDSNTLAHHGRKDYILDFNANCERCVVSLSFYKDNDEEKKETYFATGIIFASGKRSCCVLTTIDDASKRKDESCVVKFFDGTSKIIDWKRIKVRPEFKCATIYIHNIDMIHGATVTFSDQEIDHSQKVFTVGYNTNQRDRTFISGNLVNGVGIRNKDAIVFVHGCSTGNSGHLGSAVFNENKNLVGMNVSYTRSRGTHYISSSSTSTSDYGGIVSALNLQSIQLGLSLLYKKEGETIGEIVRHKRNGPKNVAEAAGVDLEAAMRICIDDELRPSRAMVKAITI >ONIVA09G11810.3 pep chromosome:AWHD00000000:9:13419751:13427543:1 gene:ONIVA09G11810 transcript:ONIVA09G11810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMERLTGSPDGRIDHVLQEFSRKVMTYSRNQRTIQAVNLPDGCWNSGSCGGSTQMLIEMVLELGAVVLNCRDLSVSDHESVVAVNIFLALPLLDFPEQSSLRPDPTPATRIDPAPPPAAAVNRPASPSSVVTTAPATRSYPRAAPSFSKLLFPIPHCFVPFDSNTLAHHGRKDYILDFNANCERCVVSLSFYKDNDEEKKETYFATGIIFASGKRSCCVLTTIDDASKRKDESCVVKFFDGTSKIIDWKRIKVRPEFKCATIYIHNIDMIHGATVTFSDQEIDHSQKVFTVGYNTNQRDRTFISGNLVNGVGIRNKDAIVFVHGCSTGNSGHLGSAVFNENKNLVGMNVSYTRSRGTHYISSSSTSTSDYGGIVSALNLQSIQLGLSLLYKKEGETIGEIVRHKRNGPKNVAEAAGVDLEAAMRICIDDELRPSRAMVKAITI >ONIVA09G11810.4 pep chromosome:AWHD00000000:9:13419751:13423929:1 gene:ONIVA09G11810 transcript:ONIVA09G11810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMERLTGSPDGRIDHVLQSESSGFQEKTFQHLYLSALGSHTFILVAIIGEIMILLSSFSDICTEFSRKVMTYSRNQRTIQAVNLPDGCWNSGSCGGSTQMLIEMVLELGAVVLNCRDLSVSDHESVVAVNIFLALVQMLIQGNTVSNPNYHVFFQHHYGQATRQALV >ONIVA09G11810.5 pep chromosome:AWHD00000000:9:13419751:13423929:1 gene:ONIVA09G11810 transcript:ONIVA09G11810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLMERLTGSPDGRIDHVLQVYSCSNYWRDHDTALFILRHLYRDIPKEPPTDDPERMPIRLFYEFSRKVMTYSRNQRTIQAVNLPDGCWNSGSCGGSTQMLIEMVLELGAVVLNCRDLSVSDHESVVAVNIFLALVQMLIQGNTVSNPNYHVFFQHHYGQATRQALV >ONIVA09G11810.6 pep chromosome:AWHD00000000:9:13419751:13423929:1 gene:ONIVA09G11810 transcript:ONIVA09G11810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLMERLTGSPDGRIDHVLQSESSGFQEKTFQHLYLSALGSHTFILVAIIGEIMILLSSFSDICTVIYLKSLQLMILKGCLFDCCWNSGSCGGSTQMLIEMVLELGAVVLNCRDLSVSDHESVVAVNIFLALVQMLIQGNTVSNPNYHVFFQHHYGQATRQALV >ONIVA09G11810.7 pep chromosome:AWHD00000000:9:13419751:13423929:1 gene:ONIVA09G11810 transcript:ONIVA09G11810.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLMERLTGSPDGRIDHVLQSESSGFQEKTFQHLYLSALGSHTFILVAIIGEIMILLSSFSDICTEFSRKVMTYSRNQRTIQAVNLPDGCWNSGSCGGSTQMLIEMVLELGAVVLNCRDLSVSDHESVVAVNIFLALATRQALV >ONIVA09G11810.8 pep chromosome:AWHD00000000:9:13419751:13423929:1 gene:ONIVA09G11810 transcript:ONIVA09G11810.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLMERLTGSPDGRIDHVLQVYSCSNYWRDHDTALFILRHLYRDIPKEPPTDDPERMPIRLFYEFSRKVMTYSRNQRTIQAVNLPDGCWNSGSCGGSTQMLIEMVLELGAVVLNCRDLSVSDHESVVAVNIFLALATRQALV >ONIVA09G11810.9 pep chromosome:AWHD00000000:9:13424958:13427541:1 gene:ONIVA09G11810 transcript:ONIVA09G11810.9 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAVDPVTPSSILVAIAASYCFLFPTASSLLTPILWHIMVGKAGSSFYGDYILDFNANCERCVVSLSFYKDNDEEKKETYFATGIIFASGKRSCCVLTTIDDASKRKDESCVVKFFDGTSKIIDWKRIKVRPEFKCATIYIHNIDMIHGATVTFSDQEIDHSQKVFTVGYNTNQRDRTFISGNLVNGVGIRNKDAIVFVHGCSTGNSGHLGSAVFNENKNLVGMNVSYTRSRGTHYISSSSTSTSDYGGIVSALNLQSIQLGLSLLYKKEGETIGEIVRHKRNGPKNVAEAAGVDLEAAMRICIDDELRPSRAMVKAITI >ONIVA09G11800.1 pep chromosome:AWHD00000000:9:13417643:13419312:-1 gene:ONIVA09G11800 transcript:ONIVA09G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDTEAESPPTTSKPQPDEEEEADLEEEEKQEPKPPPVVERVWTMADIDKGEGFLRSIGKTAEEYAVSKASRPRRRMETAEEWEAKVRKNVEEQNKAYEETIRSQDEDESNLEAIQYIANSGTMCIPLIMVHSKTPERNLLLVGPTRAIVLSMPEPVIVDVELKVKGTTESEDKHLSYLAVPLLCHGKRYSRMLLNSGSYTSKLSTLEFRLGYIVSSVEATIFVRVICGSWPDDFRGQFAAFTTGVRWKDLASEKNIAGVDDERILLLDSRGDQKVVVTGDDGKIVLSRCVVSVEDKGELKVHVRAWKVDDSFVEAEMVFTALKAGLSNGELDMGFCKLGVSVAWSLISRKPVYADSVM >ONIVA09G11790.1 pep chromosome:AWHD00000000:9:13405999:13407570:-1 gene:ONIVA09G11790 transcript:ONIVA09G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDANPAAAYAAFAADVEPFRPLDADDVRSYLHKAVDFVYDYYKSVESLPVLPGVEPGYLLRLLQSAPPSSSAPFDIAMKELREAVVPGMTHWASPNFFAFFPATNSAAAIAGELIASAMNTVGFTWQAAPAATELEVLALDWLAQLLGLPASFMNRTVAGGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSNGVAGITRLTVYAADQTHSTFFKACRLAGFDPANIRSIPTGAETDYGLDPARLLEAMQADADAGLVPTYVCATVGTTSSNAVDPVGAVADVAARFAAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLYVRDTHRLTGSLETNPEYLKNHASDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGAGKLQEHIRSDVAMAKTFEDLVRGDDRFEVVVPRNFALVCFRIRPRKSGAAIAAGEAEAEKANRELMERLNKTGKAYVAHTVVGGRFVLRFAVGSSLQEERHVRSAWELIKKTTTEIVADAGEDK >ONIVA09G11780.1 pep chromosome:AWHD00000000:9:13402892:13403710:1 gene:ONIVA09G11780 transcript:ONIVA09G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEAACGGLVLARWPCRWHYEVCWRTKAQRRVTAKRTASRLATSVVAGTSNTMGNAISGIRSTASMKVGVLVHPRERHRRVAAVREAPPQRRGGRDVRGPGEHRCERDIVGGSEERGGGGESACENWVGRLMEATGRTEEDERVDREGEHEEERVVAEREGHAAGDPSLWRALDLLHNLLAHLMSWDALAEALARLHDDVHRRTCTTFVPSARPPCHCTSPCHPHGHREPQPDAPRTASPRATVAATTPRHAARTAFTPMPVVRTLPLLSE >ONIVA09G11770.1 pep chromosome:AWHD00000000:9:13392789:13394333:1 gene:ONIVA09G11770 transcript:ONIVA09G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPTAFSAFPAGEGETFQPLNADDVRSYLHKAVDFISDYYKSVESMPVLPNVKPGYLQDELRASPPTYSAPFDVTMKELRSSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQMLNLPTSFMNRTGEGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSDGVAGLHRLAVYAADQTHSTFFKACRLAGFDPANIRSIPTGAETDYGLDPARLLEAMQADADAGLVPTYVCATVGTTSSNAVDPVGAVADVAARFAAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLYVRDTHRLTGSLETNPEYLKNHASDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGVAKLQEHIRSDVAMAKVFEDLVRGDDRFEVVVPRNFALVCFRIRAGAGAAAATEEDADEANRELMERLNKTGKAYVAHTVVGGRFVLRFAVGSSLQEEHHVRSAWELIKKTTTEMMN >ONIVA09G11760.1 pep chromosome:AWHD00000000:9:13364721:13365134:-1 gene:ONIVA09G11760 transcript:ONIVA09G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVAPFLALSLLLVAVIVQGCTPNCSGEQAVPTPPIAVPTPSHHGGHGEHGHCPINTLKLRVCANVLNGLVDAKIGHGTDDCCSLLSGIADLDAAVCLCTAVKANVLGIRVNLPVDLSIMLNKCGKTCPSDFTC >ONIVA09G11750.1 pep chromosome:AWHD00000000:9:13361479:13362051:-1 gene:ONIVA09G11750 transcript:ONIVA09G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSATVFVALTLLVAGSVVVVVVDACDGVPRMSAVEACKQASVGPAMSRTCAETLGTSADEQEATDFMVAAANAATESYKAGKEAVGKVLSNPLAPDGERLPCLVCANKYDDASMLVASTADDAKRCKLSADSLPNLVTAVSAVDECATKMFEESGNTTSVYATAITNRDWTVLVLRLATLVVPRQQLS >ONIVA09G11740.1 pep chromosome:AWHD00000000:9:13358900:13359457:-1 gene:ONIVA09G11740 transcript:ONIVA09G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASATGFLATVAMALAVAAVVVDGCDNVPSMSMDDACLKASTSQPLLALCHGELLNAPESGEVTVYAVISARWAQWAYEATSRAAAGLLGNNNASLAAGERAAYEACVARYASAKARVMAVQTQLLECSYASPKQELIDARVDVEACGGELSRFAASPLHAMNADDQLKATLAYELTGLIIGK >ONIVA09G11730.1 pep chromosome:AWHD00000000:9:13348978:13353701:-1 gene:ONIVA09G11730 transcript:ONIVA09G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTAFMWEGASRAFNHRPTFTNLVLVLGAASSGGLVAYADSNSDDVVGKPQGPPKKKIVVLGTGWGGTTFLRNLDSRLYDVQVISPRNYFAFTPLLPSVTCGTVEPRSVVEPIRRILEKKGGDIKFWEAECFKIDSSNKKIHCRSNIGTNLDGNGEFLVDYDYLVIAVGARSNTFNTPGVEENCFFLKEVEDAQKIRRNVMDCFERASLPYLDEEERKKNLHFVVVGGGPTGVEFAAELHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITEFAEDKFGRDGIDVKTGYKVVKVAKDAITMQNPATGDIAVPYGMAVWSTGIGTRPFISEFMKQIGQGKRRVLATDEWLRVRECDGVYAVGDCATINQRRVMDDISEIFRVADKDNSGTLTVKEIQDVLDDIYVRYPQVELYLKSRQMNGIADLVRTAKGDAEKESVELNIEEFKKALSLVDSQVKNLPATAQVASQQGQYLARCFNKMKDAEENPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSTQWLWYSVYATKQISWRTRALVISDWSRRFIFGRDSSCI >ONIVA09G11720.1 pep chromosome:AWHD00000000:9:13344153:13350805:1 gene:ONIVA09G11720 transcript:ONIVA09G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISEGRSVVGPTKQERGVWGPPCRATHSSTTTTTIREDELTIPPPPALCFASGLRSPPPNSGRSPARRSRAPTIRRGEADDGAPLLLPGEPPPVAAAAAAAACSEEKGAAGTLGLCRMPLWGTGSSAPSAAAEGSAAGGDGAARSSSGGAAVIRSLLPTRRRLRLDPPSKLFFPYEPGKQVRSAVKIKNISKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVEHPENNEKPLDQKCKVKFKIVSLKVKGPMEYVPELFDEQKNQVAVEQILRVVFLDAERQTPQMDKLKRQLAEAEAALEARKKPPEDTGPRIVGEGLVIDEWKERRERYLARQQIEGVDSA >ONIVA09G11710.1 pep chromosome:AWHD00000000:9:13328988:13331657:-1 gene:ONIVA09G11710 transcript:ONIVA09G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAEVDRKSDGKTAATGKGSSSGATARRRSRGRVLGKRRLQADDDEDEEEEAELEEEEERQDPKPASVQRVWTSADFEKSEGFLRFIGKTAEEYAASKAAFASRPRRIETGEEWEARVRKNIEEQDKAYEEMMRSQDEDESNWDYIAYRNSWNDTWSGSRGSFEDATRIPAMRFTHKPALGYYSASALDTLQIFSVKVAATSGGLQWPLDVFGIVSIRDSVDRNRNVVFHRTRDNCQTLTEQERNLVLVGPTRAVVLSMPDPLIIDVELKVKGTTESEDKRLSLLAVPLLCADKYYSHVLKSGSYTSKLSTVEFRLGYIAASVEATISVRVIRGSWPDGFHGQFAACTTGARFRHLARGDKLAGIQHEKIVLLDSSGDQNVVTVSGDGMIELSRRVVSVEKVGKLKVFVRAWEEVDHNNVVEQVKVFSPLDAGLSNGELDIGFCQLEVSVAWSLISENPVLAKSVL >ONIVA09G11700.1 pep chromosome:AWHD00000000:9:13322812:13326174:-1 gene:ONIVA09G11700 transcript:ONIVA09G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAAVAPDWWNDVNNSPMWQDRSFHALATLYGAVSFVALVQLIRIECRVPEYGWTTQKVFHFMNFIVNGVRSIVFVLRRDVQLVQPEVFQHVLIDFPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPAFYTINGVVYAIQIILWMALWWKPVRAMVILSKMFFAATSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLNEVGYVTTICFSGFLIRCVMMCLNAFDKEADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >ONIVA09G11690.1 pep chromosome:AWHD00000000:9:13312329:13315309:-1 gene:ONIVA09G11690 transcript:ONIVA09G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPGTIACSGGFSPAKLRAMLLGLEKNQHNGEDTSPEANDSGELDDQRSMECSTSTEMSSNSGHRSRNRAQDDDSFDSESSSSGPPTVKRPAAVTALLPPFSRPTPSKWDDAEKWISSPTANRGGRVGSAAGAAPKKSALAFPEHVSRPPAVAKVVAEVPINTGTLVKNSVALAQPISFNPAQSASIVDEPAPAVRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRNAEISIGEFGPNKMEMSEEELQMNTRKEIMDLGQRLGKTTIAAWASKEEKSTTSFANVITDKAVEIDREARAADWEEAEKAKYLARFQREEVKIQAWENHQKAKIEAEMKRMEAKIEIKRAREQDRLSSKLAAARHKAEARREAAESRKNQEAARTEEQAAQIRKTGHIPSSISCWCWCL >ONIVA09G11690.2 pep chromosome:AWHD00000000:9:13312329:13315831:-1 gene:ONIVA09G11690 transcript:ONIVA09G11690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHGPPLQRQSGGFSPAKLRAMLLGLEKNQHNGEDTSPEANDSGELDDQRSMECSTSTEMSSNSGHRSRNRAQDDDSFDSESSSSGPPTVKRPAAVTALLPPFSRPTPSKWDDAEKWISSPTANRGGRVGSAAGAAPKKSALAFPEHVSRPPAVAKVVAEVPINTGTLVKNSVALAQPISFNPAQSASIVDEPAPAVRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRNAEISIGEFGPNKMEMSEEELQMNTRKEIMDLGQRLGKTTIAAWASKEEKSTTSFANVITDKAVEIDREARAADWEEAEKAKYLARFQREEVKIQAWENHQKAKIEAEMKRMEAKIEIKRAREQDRLSSKLAAARHKAEARREAAESRKNQEAARTEEQAAQIRKTGHIPSSISCWCWCL >ONIVA09G11690.3 pep chromosome:AWHD00000000:9:13312329:13315309:-1 gene:ONIVA09G11690 transcript:ONIVA09G11690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPGTIACVANSARILLKTSTKIFPSFLFLLQSGGFSPAKLRAMLLGLEKNQHNGEDTSPEANDSGELDDQRSMECSTSTEMSSNSGHRSRNRAQDDDSFDSESSSSGPPTVKRPAAVTALLPPFSRPTPSKWDDAEKWISSPTANRGGRVGSAAGAAPKKSALAFPEHVSRPPAVAKVVAEVPINTGTLVKNSVALAQPISFNPAQSASIVDEPAPAVRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRNAEISIGEFGPNKMEMSEEELQMNTRKEIMDLGQRLGKTTIAAWASKEEKSTTSFANVITDKAVEIDREARAADWEEAEKAKYLARFQREEVKIQAWENHQKAKIEAEMKRMEAKIEIKRAREQDRLSSKLAAARHKAEARREAAESRKNQEAARTEEQAAQIRKTGHIPSSISCWCWCL >ONIVA09G11680.1 pep chromosome:AWHD00000000:9:13307101:13310712:-1 gene:ONIVA09G11680 transcript:ONIVA09G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLELGLTLSAHVNNFSDWVDACHCERNVLPRVLSLSHSRRRSPPFSTAHPHRRSPITGASPPPPPPRHQAEGVIGVAAMDPLESEGGSQKSNNKPKYSKFTQQELPACKPLLTPGIVVATFLLIGIIFVPIGLASLSASQEIVELVDRYDTNCVSTPDKVGFIQNTDTDKTCTRTLTVPKHMKSPIQIYYQIGDFYQNHRRYVKSRSDKQLRYKNAVHLTKDCDPEGNTVDGAPIIPCGLIAWSLFNDTYTISVNKKAIEVNKKDIAWKSDKTDKFGSDIYPSNFQKGSLIGGAKLNESIPLSKQEDLIVWMRTAALPTFRKLYGRIETDIMANDQLTVVIQNNYNTYSFGGSKALVLSTTSWIGGKNNFIGVAYLTIGGLCIFLAVGFVVLLYMVKPRTLGDPSYLSWNRDTPDRPN >ONIVA09G11670.1 pep chromosome:AWHD00000000:9:13289216:13297730:1 gene:ONIVA09G11670 transcript:ONIVA09G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGVVHHHVEQPQWMTSSIDRSPTLDVSCDGRGDDFESSSFSFPTETPMDSMHGGFGMQMSAPHEDGKWMQFLSEDAFNATNPFLTNPVSANFSCLPSKVDVALECARLQHRLTLPPLEVEDFPQDVSLDTKIGILRSNPNEVDILQEFLSVATASQELINGSTSSYPEMWLGASTSSASYVNELSSLVEMGGVGTSNHHESARLQVEIADMEVFKDDKKRVENLRGVKLVNNDLGEIVVEGDESNPTEDIIAQYPIKVTADNSGEAGHRMTDPTDVGGIDTAPIFSQSQPDDFAAGFDDVNPNASFDLYEKVDVNHRLFVSRVAAAKTFFHRIEPSKKVSFHSNPAATAVSKATEKFHFPVTTKVSGRVSIFSKFKALIRDKFLMMRPSHSYQRLGSKETTVNELLQIVSLLLAPKQINGCPTEQELVKKKAKEVMKPGWGREGSNKLWLPLSKGKGISSMFLSGKWTFLTSALAISTPAECDH >ONIVA09G11670.2 pep chromosome:AWHD00000000:9:13290080:13297730:1 gene:ONIVA09G11670 transcript:ONIVA09G11670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGVVHHHVEQPQWMTSSIDRSPTLDVSCDGRGDDFESSSFSFPTETPMDSMHGGFGMQMSAPHEDGKWMQFLSEDAFNATNPFLTNPVSANFSCLPSKVDVALECARLQHRLTLPPLEVEDFPQDVSLDTKIGILRSNPNEVDILQEFLSVATASQELINGSTSSYPEMWLGASTSSASYVNELSSLVEMGGVGTSNHHESARLQVEIADMEVFKDDKKRVENLRGVKLVNNDLGEIVVEGDESNPTEDIIAQYPIKVTADNSGEAGHRMTDPTDVGGIDTAPIFSQSQPDDFAAGFDDVNPNASFDLYEKVDVNHRLFVSRVAAAKTFFHRIEPSKKVSFHSNPAATAVSKATEKFHFPVTTKVSGRVSIFSKFKALIRDKFLMMRPSHSYQRLGSKETTVNELLQIVSLLLAPKQINGCPTEQELVKKKAKEVMKPGWGREGSNKLWLPLSKGKGISSMFLSGKWTFLTSALAISTPAECDH >ONIVA09G11670.3 pep chromosome:AWHD00000000:9:13286216:13290397:1 gene:ONIVA09G11670 transcript:ONIVA09G11670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAYWNSLQLCFATYHHFDNCPEPNLSISGCLIQHENISQLSTGWWVGMTVSTTRYEAGKQNCSSLNWKLIEVNDNLCCVFWLSNAILPLSNAVRVSPQTWLIRFSVVFRFLSLSGYKKILVEAYLNSNYFRIQVEVLCLPLAEATDLSVDDNVGTQGLIPTPPSMF >ONIVA09G11660.1 pep chromosome:AWHD00000000:9:13284894:13285205:1 gene:ONIVA09G11660 transcript:ONIVA09G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59690) TAIR;Acc:AT5G59690] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONIVA09G11650.1 pep chromosome:AWHD00000000:9:13282873:13284722:-1 gene:ONIVA09G11650 transcript:ONIVA09G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKWTGMIGYGLGGRIGDVAGRRGDCGLVAETANYAAVAGRRSGNSGILTIFAAISASGSGNQKPEATRSSSWALDLTEEGRGRGPDAAAIDEGGGDGGGDSGD >ONIVA09G11640.1 pep chromosome:AWHD00000000:9:13282125:13282632:-1 gene:ONIVA09G11640 transcript:ONIVA09G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGTERQMRWEGEQKLEPLSPPAPARPRACTHASPACTRSARMRSEAQIDRFSRAWLGSPICTRGARLGWPCRQPNTKGLHPWMRARCDAGNQTHPKSIQCQVTDLRQDLLTSTSQNISGRGHQDKIHCADSACTVSF >ONIVA09G11630.1 pep chromosome:AWHD00000000:9:13280146:13281420:1 gene:ONIVA09G11630 transcript:ONIVA09G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLPPLHRAAAVFLRLRLASPRATFSSKPANPRTSSVASAAAPAAAAVSDAIVRLVAAGGRSLEADLDRLDPALSHPIVSATLRALTDRGLPAARFFDWLALRRGFSPSAHAHNLLVENAGSLADYRAMSRAMESMSTRRVPLTERAFAFLNTSQGSARDTAIAILATLDEVGGPCRASGVFSLVKALASICEFDAAMSVIQETARGARYYNALIAVKCKTGDFHGAREVFDEMRRSGFGPNSNSWNYLLGCLLKNGRVAEACELVEAMERSEHNDIPNSLTYEILAYHACKEGRMDSAMRILDQMFLEKLTPRITIHTAFIKGYLYAGRIDDACRYVSAMSTRDRRSVNRNYSLLAKLLCKAGMIVDAGRILYELMEKEALLPDHSAYIRVIKDLHKIGKGDLAAELKLILQKLSVHAESAG >ONIVA09G11620.1 pep chromosome:AWHD00000000:9:13252863:13279743:1 gene:ONIVA09G11620 transcript:ONIVA09G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan synthase-like 10 [Source:Projected from Arabidopsis thaliana (AT3G07160) TAIR;Acc:AT3G07160] MARAAANWERLVRAALRGERLAGAFGVPVTGIAGNVPSSLGNNVHIDEVLRAADEIQDEDPTVARILCEHAYTLAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREGGAIDRSQDVAKLQEFYKLYREKHKVDELCEDEMKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEEITREISPEDAEKLISEEMKRVMQKDAERTEDVVAYNIIPLDALSTTNDIVNFPEVRAAISALQYHRELPRLPATFSVPDARNSDMLDLLHCVFGFQKDNVTNQREHVVHLLANEQSRLGKLPGNEPKIDEGAVHVVFSKSLDNYIKWCNYLPLPPVWNNTESLTKEKKLLYVCLYYLIWGEAANLARELEEIIRRQTAEPAESCISNGGVSFLDQVISPMYEIIAAEAANNDNGRAPHSAWRNYDDFNEFFWSPKCFQLGWPWKISNPFFSKPSRKEKGLMIIAFKDRKFDKKTVLTLLSLGPTYVIMKFIESILDILMMYGAYSTSRRSAITRVLWRFCWFTTASLVICYLYIKAFQDGTNSATFKIYVFVIGAYVGAKIIIGLLMSVPCCHGLTDYCYRWSVVRLGKWMHQENNYVGRGMHERPSDYIKSVEAVHRFFEKFPEAFMDKLHVAVPKRKQLLSSSQHPELNKFDASKFAPFWNEIVRNMREEDYINNTELDLLLMPKNNGSLPIVQWPLFLLASKVFLAKDIAIDCKDSQEELWLRISKDEYMQYAVVECYHSIYYILTSILDKEGRLWVERIYVGIRESISKRNIQSDLHFSRLPNVIAKLVAVAGILKETESADLRKGAINAIQDLYEVVHHEVLSVDMSGNIDEWEQIKQARAEGRLFNNLKWPTDSGLKDLIKRLYSLLTIKESAANVPKNLEARRRLEFFTNSLFMQMPVARPVSEMLSFSVFTPYYSETVLYSKDELQKRNEDGISTLFYLQKIYPDEWKNFLARINRDENTTDSELFSSPNDMMELRLWASYRGQTLARTVRGMMYYRKALMLQSYLEKLQSEVATTGLGLADIHFELSPEARAQADLKFTYVVTCQIYGVQKAERKPEAADIALLMQRNEALRVAYVDIVESVKNGKPSTEYYSKLVKADIHGKDKEIYSIKLPGNFKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFYQNHGKHKPSILGVREHVFTGRVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRLGNITHHEYVQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTIGFYFCTMLTVWTVYIFLYGKTYLALSGVGESIQNRADILQNTALNAALNTQFLFQIGVFTAIPMILGFILEFGVLTAFVSFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGLEVALLLVIFLAYGFNNGGAVGYILLSISSWFMAVSWLFAPYIFNPSGFEWQKIVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIHNVGGRILETVLSLRFFIFQYGVVYHMDASESSKALLIYWISWAVLGGLFVLLLVFGLNPKAMVHFQLFLRLIKSIALLMVLAGLVVAVVFTSLSVKDVFAAILAFVPTGWGVLSIAVAWKPIVKKLGLWKTVRSLARLYDAGTGMIIFVPIAIFSWFPFISTFQTRLLFNQAFSRGLEISLILAGNNPNAGV >ONIVA09G11610.1 pep chromosome:AWHD00000000:9:13236623:13238887:-1 gene:ONIVA09G11610 transcript:ONIVA09G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRILLAVVVSMVVSSAMLAVVSCARERKNYVVHLDPREDGGVADSVELWHRSFLPEATPEAAGDDGPRIIYSYSHVLSGFAAQLTDDEAEAMRKKEGCIRLYPEEFLPLATTHSPGFLGLHLGNDGFWSRSGFGRGVVIGLLDTGILPSHPSFGDAGMPPPPKKWKGTCEFKAISGGGCNNKIIGARAFGSAAVNATAPPVDDAGHGTHTASTAAGNFVENADVRGNAHGTASGMAPHAHLAIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGASPGAPFNYDLVAIATFKAMEHGIFVSSAAGNDGPVAATVGNGAPWMLTVAAGTMDRAIRTTVTLGNGQVFDGESLYQPRNNTAGRQLPLVFPGLNGDSDSRDCSTLVEEEVSGKVVLCESRSIVEHVEQGQTVSAYGGAGMILMNKPVEGYTTFADAHVLPASHVSYAAGSKILSYIKSTPKPTASVTFKGTVMGSSPAPSVAFFSSRGPNKASPGVLKPDITGPGMNILAAWAPGEMHTEFADGVSLSFFMESGTSMSTPHLSGIAAIIKSLHPTWSPAAIKSAIMTSSDVADHAGVPIKDEQYRSASFYTMGAGYVNPSRAVDPGLVYDLHTNDYIAYLCGLGIGDDGVKEITHRRVSCAKLKAITEAELNYPSLVVKLLSQPITVHRIVTNVGKANSVYTAVVDMPKNVAVTVHPPLLRFSRAYEKQSFTVTVRWAGQPAVAGVEGNLKWVSDEHVVRSPIVIPPAKAVV >ONIVA09G11600.1 pep chromosome:AWHD00000000:9:13230074:13233970:-1 gene:ONIVA09G11600 transcript:ONIVA09G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0E0IK53] MQYDKWALESTYRSDGICPWAHGPFILPSISGEAAINREERRTCDVHEAHATRSSIDQGKTARTVDAKSSNWSGMDVVWPHGHGVWGSSSASRRSAMLHGSLDIWIHEARNLPNMDIVSKTVVDILGTKKKKKAANGAMTSDPYVTVQLASATVARTYVVNDDENPVWEQHFLVPVAHEAPAVHFLVKDSDVFGAELIGEVVVPAEQLEAGEHVEGVYPVLDPAAGKPCAPGAVLRLSVQYIPVARLTMYHHGVTPGPDFAGVPNTYFPLRRGGRVTLYQDAHVPEGSLPEIRLGNGALYRQGQCWHDVYDAISQARRLIYITGWSVFHTIQLVRDGGAGVSLGDLLKRKSQEGVRVLLLVWDDPTSRNVLGIQMEGYMGTRDEETRRFFKHSSVQILLCPRSAGKRHSWVKQQETGTIFTHHQKTVILDADAGNHKRKIVAFVGGLDLCGGRYDTPTHPLFRSLQTLHKDDYYNPNFAVLDAQGPREPWHDLHSKIDGPAAYDVLTNFEERWLKASKRSGVKKLSKANNDTLLWIGRIPDIASIDDEVYSSDNDPERWDVQIFRSIDSNSVKGFPKNPREATSKNLVCGKNVLIDMSVQTAYVNAIRGAQHFIYIENQYFLGSSFNWDSHKDVGANNLIPIEIALKIANKIYANERFSAYIVIPMWPEGNPTGAPTQRILYWQKKTMQMMYEVIHKALKEVGLDNTYEPQDYLNFFCLGNREAGGSPSTCSGSSSANNPQDQAKKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPQYTWANMLSAPRGQIYGYRMSLWAEHIGAVEESFSCPESLECTRQVRHIGEQNWRQFASSEVSEMRGHLIKYPVSVARDGKVKPLPGCAAFPDLGGNICGTFLPIQENLTI >ONIVA09G11590.1 pep chromosome:AWHD00000000:9:13227212:13229045:-1 gene:ONIVA09G11590 transcript:ONIVA09G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51880) TAIR;Acc:AT5G51880] METAGGDAAPPPQQQRRNKSSAANKGKKGGASGGGGGGRWPPVKPKKDLQVNRLKGTHLLTVPNFFTSAEAKAFVDIAENIGFTHQGSLGPLKGEAYRDNDRISVTDPLLAQTIWESGINKIFEDISISGKVATSLNPNIRFYRYTEGQRFGRHIDESVDLGDGSRTLYTLLIYLSGKGSAKESSGQALVGGETVFYDHRGGVVAEVAPVQGLALLHLHGAKCMLHEARVVKKNIKYVLRSDVVFA >ONIVA09G11580.1 pep chromosome:AWHD00000000:9:13223782:13229082:1 gene:ONIVA09G11580 transcript:ONIVA09G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00231) TAIR;Acc:AT4G00231] MWEAEEIEDKETLEALLEASRSAQGRAALSDALADTLHLLPASTHRLLLLRLRLLRNLLAGDDLNQGTFVLLSGPAAVVSSALSSPSDSSDVARAGLQALGNAALAGEHHRAAVWDALFPGSLLELARVREKGVLDPLCMVIDTCCSGEGGRGRLEELCHEELGLPILVEIVTTAWQVGHDEEWLEWLLFKICVEEQKFETLFVALCSRNDAEHSDGDECKTEFNAKHAYLLGKLSKCLANRPKEVSVSISFALDIFNAQKHAAEIVDFTCRVNSPLPTGHPAIDVLGYSLVLLKDICAWESPPSDTQAPVDSLMQTGLVKHLLTYLRELEPPSMIRKSMARGQGDHQPALGTAKVCPYIGYRRDVVAVIANCLHRSKKVQDEVRHLDGIILLLQQCVVDEENPYLREWGLFAVKNLLEGNEENQKEVSGLKMQEAVITPEIADIGLRVEIDKETGHPKLVNN >ONIVA09G11570.1 pep chromosome:AWHD00000000:9:13217303:13222699:1 gene:ONIVA09G11570 transcript:ONIVA09G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxymethylglutaryl-CoA synthase / HMG-CoA synthase / 3-hydroxy-3-methylglutaryl coenzyme A synthase [Source:Projected from Arabidopsis thaliana (AT4G11820) TAIR;Acc:AT4G11820] MAAERKDVGILAMDIYFPPTCVLQDELENHDGVSKGKYTIGLGQDSMAFCTEVEDVISMSLTVVKSLLENYKIDPKCIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPVSFESKYRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKLEGKQFSIHDADYFVFHSPYNKLVQKSFARLYYNDFLRKCSTVEDGSREKLEPYSGLSSEESYQSRELEKASQQVAKHLYDSKVQPTTLIPKQVGNMYTASLYAALASVMHNKNETLAGQRIVMFSYGSGLTSTMFSFKINEGQHPFILSNIAGILDVSKKLESRHVVAPEKFVAALKLMEHRYGAKDFTTSQDTSLLAPGTYYLTHVDSMYRRFYAVKGQAVTEVSNGH >ONIVA09G11560.1 pep chromosome:AWHD00000000:9:13210632:13212761:1 gene:ONIVA09G11560 transcript:ONIVA09G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGHRHGSVPPVVLLLLVATVLGSLCLSLTASPEAQKRYNFRFARHARDAPLVSYYNYIVVGGGTAGCPLAATLSERSRVLLLERGGLPYGNRNVSSEYHFADALADTSPRSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASSGYVRAAGWDPRLVNASYRWVESELVFRPDVPRWQCALREGLLQAGVTPDNGYTLEHVQGTKIGGTIFDRAGRRHTAADFLRRAHPRRLTVFLRATVSQILFRRTGTSKTDTTKGTATPVAYGVVFTDPAGVRHHVYLRGGAKSEVIVTAGTLGSPQLLMLSGVGPRGELEKHGILPVLDQPRVGQGVADNPMNSVFVPSPVPVALSLVQIVGVSRFGTFIEGVSGSQFGIPLHGRAASRRARSFGMFSPMTGQLGTVPPKERTPEAMRRAAEAMRRLDRRAFRGGFILEKILGPMSTGHVALRSADPDANPAVTFNYFRDPRDVERCVRGIETIERVVRSRAFARFTYANVTAMEAAVLGRRAGHLPVNLLPRRATDTRPLQQYCRETVMTIWHYHGGCHVGAVVDQDYRVLGVRGLRVVDSSTFKYSPGTNPQATVMMLGRYMGLKIQKERWTRNDETH >ONIVA09G11550.1 pep chromosome:AWHD00000000:9:13206601:13209613:1 gene:ONIVA09G11550 transcript:ONIVA09G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCHSAHTRTFGKIGRRNAAKVLCSTQMPPSQSTIKVVIIGATKEIGRTAIAAVSKARGMELAGAIDSQCIGLDAGEISGMEEALEIPVLNDLTMVLGSIAQTRATGVVVDFSEPSTVYDNVKQAAAFGLNSVVYVPKIELDTVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSQDAIQIANNITDLGQIYNREDMDFDNPARGQILGEDGVRVHSMVLPGLASSTSIHFSGPGEIYTLRHDVTNVQCLMPGLILAIRKVIRLKNLIYGLEKFL >ONIVA09G11550.2 pep chromosome:AWHD00000000:9:13206601:13209613:1 gene:ONIVA09G11550 transcript:ONIVA09G11550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCHSAHTRTFGKIGRRNAAKVLCSTQMPPSQSTIKVVIIGATKEIGRTAIAAVSKARGMELAGAIDSQCIGLDAGEISGMEEALEIPVLNDLTMVLGSIAQTRATGVVVDFSEPSTVYDNVKQAAAFGLNSVVYVPKIELDTVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSQDAIQIANNITDLGQIYNREDMDFDNPARGQILGEDGVRVHSMVLPGLASSTSIHFSGPGEIYTLRHDVTNVQCLMPGLILAIRKVIRLKNLIYGLEKFL >ONIVA09G11550.3 pep chromosome:AWHD00000000:9:13206699:13209613:1 gene:ONIVA09G11550 transcript:ONIVA09G11550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCHSAHTRTFGKIGRRNAAKVLCSTQMPPSQSTIKVLTATSSEGKIWWYIEKVVIIGATKEIGRTAIAAVSKARGMELAGAIDSQCIGLDAGEISGMEEALEIPVLNDLTMVLGSIAQTRATGVVVDFSEPSTVYDNVKQAAAFGLNSVVYVPKIELDTVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSDLPSQDAIQIANNITDLGQIYNREDMDFDNPARGQILGEDGVRVHSMVLPGLASSTSIHFSGPGEIYTLRHDVTNVQCLMPGLILAIRKVIRLKNLIYGLEKFL >ONIVA09G11540.1 pep chromosome:AWHD00000000:9:13199642:13206011:1 gene:ONIVA09G11540 transcript:ONIVA09G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKEEKIGEGTYGVVYRARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHGNIVRLHDVIHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQDLATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMHGKESSSPPSDGSRFTSVKVVHSERAGVASRLLGWVSGVSQQQPRGHRKGLVMDRETPLGPSLGPLLHCAIKMLAISARQ >ONIVA09G11540.2 pep chromosome:AWHD00000000:9:13199642:13203346:1 gene:ONIVA09G11540 transcript:ONIVA09G11540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKEEKIGEGTYGVVYRARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHGNIVRLHDVIHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQDLATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMER >ONIVA09G11530.1 pep chromosome:AWHD00000000:9:13193235:13197243:1 gene:ONIVA09G11530 transcript:ONIVA09G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALRCHAAGIRQSNRSFPRTPRQRARWRLFKAKESSRQPAQQRVIKKRQLLVVGVHAHAIRVQKFIRKPFPRSRVSIHLRASACVREREAAMTGGEEQGRRLFGVSLTDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSFGWYFTFVQGFVYLGLIRLQGFTVKQMVNPWRTYVRLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISAVMLVIGLILFTLADAQSSPNFSMIGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLVVPMVLTGELMRAWTACSQHMYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVRTLVLLLKVTTARKAVTLLLSYLIFTKPLTEQHVTGLLLISMGIVLKLLPENKENVPRRQVRKTVQHWDDKQREIREVEEEKAPLV >ONIVA09G11530.2 pep chromosome:AWHD00000000:9:13193235:13197243:1 gene:ONIVA09G11530 transcript:ONIVA09G11530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHALRCHAAGIRQSNRSFPRTPRQRARWRLFKAKESSRQPAQQRVIKKRQLLVVGVHAHAIRVQKFIRKPFPRSRVSIHLRASACVREREAAMTGGEEQGRRLFGVSLTDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSFGWYFTFVQGFVYLGLIRLQGFTVKQMVNPWRTYVRLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISAVMLVIGLILFTLADAQSSPNFSMIGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLVVPMVLTGELMRAWTACSQHMYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHVTGLLLISMGIVLKLLPENKENVPRRQVRKTVQHWDDKQREIREVEEEKAPLV >ONIVA09G11520.1 pep chromosome:AWHD00000000:9:13188080:13192055:1 gene:ONIVA09G11520 transcript:ONIVA09G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPARRMTPLTLRDFLEQSSSEGFRAYPRFPVADEGVAGGDLAPPVRLLIEAGLRRSPSRLPSFYNFFHKSPGTLAKISRLSRSLSRRFRDGLWRRRGEDDGEEDDDIAVDERDSLGLPSPVVSSCSSSECEYMAESEAELAKTEEEKCASASVGVRKDSLGLPSPVVSSCSSSECEYMAESEAELATTEEEKCASASEYEKTSQSSTGSVAFHGAADAGGDGHKEDVGDEPVGRKLEMEDKQQLSPVSVLDFPFDDDDGEEGSDAGMCSPSFQQCLAELQRSKAELLHKIRRLEGLTQVVVPVDLEAQFTESDSSERTHLNANSTSSSDDTATTAPTTPRQCTDDQDAVNHGEEEEEEEEHSLLARLLESVVVTDEVSEWLLLDFFAEGVDRLRSSASSCPLNDCEEAALLRAAGDWARGAGQRWGVGDVVFSGWAAVADMERSRRWMCVAEEERDVGAEVDGLVMDALVDELVADLALGGATTVGVEVCTCRR >ONIVA09G11510.1 pep chromosome:AWHD00000000:9:13181015:13181614:1 gene:ONIVA09G11510 transcript:ONIVA09G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDESLGTQPLTGRRVRADTRHPVYRGIRLRSGKWVSEIREPGKSSRIWLGTYPTPEMAAAAYDAAALALRGADAALNFPGTATSRPAPASGSPDDIRAAAAAAAAMIGSGHRGNQRAADASTSRAAPAPEAAVAAGAGDQKRVVDEDDVFEMPRLLVSMAEGLMMSPPRLSPSTDGVGGVSPEDDEDEDGMSLWNHS >ONIVA09G11500.1 pep chromosome:AWHD00000000:9:13176669:13180929:1 gene:ONIVA09G11500 transcript:ONIVA09G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61080) TAIR;Acc:AT3G61080] MANVALLSAASPSTSSAAPRLRHVARRRPSRRSACPRSAASRLSIMAALGEDPIRQWILTEGKATKITGVSSIGGGCINSAQCYKTDAGSFFVKTNGRIGPSMFEGEALGLKAMYDTNSIRVPLPYKVGSLPTGGSFIIMEFIEFGCSRGDQSALGRKLAEMHKAAKSDKGYGFYVDNTIGSTPQINTWTADWIEFYSKHRLGFQLELITQRFGDSAIYDKGQRLIENMHPLFEGAVMEPCLLHGDLWSGNISSDTDGEPVILDPACYYGHNEAEFGMSWCAGFGGEFYSSYFEVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >ONIVA09G11490.1 pep chromosome:AWHD00000000:9:13172715:13173653:1 gene:ONIVA09G11490 transcript:ONIVA09G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESECVAVAEPPHVHVHLHPDGTEPLAHIAVDYCPEACHHASEDGEIHVTYDDRGGARWRSRCRFLPGGAVAATIRAPAGDTAGLNYNLYLSSLEGSRDMDEIDFEFLGHDKCAVQTNFHVAGGGGREQIHVLPFDSSDGFHHYAIAWGADAIEWRIDGELIRREERVAGEPWPEKPMFLYASVWDASHINDGKWTGTYHGRDAPYVCSYRDIRVPLALSLEDEEDPYKCACVGDASAAIAAADAAEQVDAGDAPAAAAAADAAEEVDAGDAPAATAATDVAEQVDAGDVPASAAAADAVKEVDAGAGKD >ONIVA09G11480.1 pep chromosome:AWHD00000000:9:13168873:13171197:1 gene:ONIVA09G11480 transcript:ONIVA09G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYRNHLAFYHTNGSLDWGSLLCATDMASSLVSRTHLTPRPAAAASTASPCSARFAVRRRRGLVGGVRCQAQASDMDGHYMRRCVELARKAAGHTSPNPMVGCVVVRDGRVVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALINAKVKDVVVGMTDPNPIVASKGIERLRSAGIDVRLCVDEEASCRKLNEAYIHRMLTGKAFATLRTTLSMNGVVINQIGSGADQPEGYYSQLLKEYDGVIISSNFAKTNTLPVSREAGAKQPLYIIIAQGENSQLNIPFLKEERAAEAVVLSDSPITVEPAGVDVLVLDQMNLDAILQLLAQRGLCSVLVDFREAGGGIASLLNNFQDDKLVQKVVVELLPVWAVSKGPSDLAFGGSQSFPLKDVEHSEVNGCVLLQGYV >ONIVA09G11470.1 pep chromosome:AWHD00000000:9:13166844:13168201:-1 gene:ONIVA09G11470 transcript:ONIVA09G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQDVDRSTTLARKVFRLLKWVNDLHGLISPPAKGTPLTLVLLGKSKNALLSTFLFLDQFVWLGRTGIYKNKERTDRIVRISLYCWMASSVCAGLVELGELKRLSKSMRKLARELRDTDKYENDQYKSKMKQSDERLLALVKAAMDVVVAVGLLQLSPKKITPRVTGAFGFVTSLISCYQQLPSRAPAIKVKA >ONIVA09G11460.1 pep chromosome:AWHD00000000:9:13164251:13166685:1 gene:ONIVA09G11460 transcript:ONIVA09G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWKHPAAAGDGEVVETVVELLARVRGMVPPALGAAGAAEGFPGRWKAIAAKLEGLPACLSDLSSHPCFAKNALCRELLQSVAATLAEAAELAARCREPPAAGKLQMQSAIDALAGKLDLNLRDCALLVKTGVLSDAFTPPPPTDEATSTATAAQADVRELLARLQIGHTEAKSRAVDGLLEALNKDEKSVLSVLGRANVAALVQLLTAPATKVREKAATVICQLAESGGCEGLLVSEGALPPLIRLAESGSLLGREKAVITLQRLSMSSDTARAIAGHGGARPLIEMCQTGDSISQSAAAGALKNLSAVPEVRQALADEGIVRVMVGLLDCGTVLGSKEHAADCLQNLTSSSDSFRRAVVSDGGLRSLLVYLDGPLPQESAVSALRNLVSAVSPDSLVSLGVLPRLAHVLRVGSTGAQQAAAAAICRISTTTDMKRVVGEHGCVPLLVRMLDAKSNGAREVAAQAMASLVGYPPNAREVRRDGKSVPCLVQLLDPSPANTAKKYAIACLLSLAAAKRCKKLMISHGAIGYLKKLSDMDVAGAKKLLERLERGKLRSLFIYGTRGLCSANAHLD >ONIVA09G11450.1 pep chromosome:AWHD00000000:9:13161495:13162146:1 gene:ONIVA09G11450 transcript:ONIVA09G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWMMLIHGPKPKLALTENGWPSPTATRCQNPNIGTGIRGTCRGSRVQTPEAAALFGLLCVLAIAVVEGRKKSRREE >ONIVA09G11440.1 pep chromosome:AWHD00000000:9:13158886:13161215:-1 gene:ONIVA09G11440 transcript:ONIVA09G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLNLRFPVISVFRTKAWLLYATLFIPEHPERLAGEMQQQRSSAQRRCRHLRSQSSSTVTCSEGKIWWYIEKVVIIGATREIGRTAIVAVSKARGMELAGAIDSQCVGLDAGEINGMEEALEIPVLNDLTMVLGSIAQVSYPPTIWGKKLSYIVKWDCEAAEFGLNSVVYVPNIELDTVTELSAFCEKASMPGRICHHKMQYRLQIIYQILVRYTTGKISDNPARGQILGEDGVRVHSMVLPGLASSTSINFSGPGEIYTLRHDVTNVQCLMPGLILAIRKVVRLKNLIYGLEKFL >ONIVA09G11430.1 pep chromosome:AWHD00000000:9:13154537:13157784:1 gene:ONIVA09G11430 transcript:ONIVA09G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVEMEVDDPRGWDFVDWVGPDASASIFRSLDDPADIVRAAAVSRSWRRFVVENEFSKSICLRICPEIANFTSAEEVSRSPPQPPHAESSHGVQRKALERDYRIYSYLSGALVSNSPSMDCILQCIGASSTDNFPDETIENTLIPHDRVKHRPSYWSSGGHDDPDTPETLTYRLNCDMCIVDEIKLQPFKAYFQYGHPIYSSKAVRFRMGHSKLPHGSDSFVTVEDENLMAIADENYVWTYTSPEFPMLQENVLQSFKLPRPVLCIGGIVKVELLGRVQKQEADDRYYICICHAQVRGRSLSPVFMVDTSDPAGYSVLKYLPDAKILRSEDAMLDDGSESLEWHSLVARYRRMRHLAIMNVLLGPEEFMDEDDIIGGVLMDEDDLGGMLEDDPFV >ONIVA09G11420.1 pep chromosome:AWHD00000000:9:13151250:13153695:1 gene:ONIVA09G11420 transcript:ONIVA09G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSDLAAGMEAVGKVAGGAGLGELPELCAAEVLLHLDAPEICRLARLNRAFRGAAAADFVWEAKLPENYGYLLDFVDGAMEGGGGGRERSVMGKKEVYARLAKAVPFDGGKKELWLEKSKGGVCIALSSKSLVITGIDDRRYWSHMPTTESRFHSVAYLQQIWWFEVVGELEFCFPAGTYSLYFRLHLGKASTRFGRRVCSSEQIHGWDKKPVRFQLSTSDGQHALSQCYLDEPGSWILYHVGDFVASTTEQPIKLKFSLAQIDCTHTKGGLCVDSVLIYPKGFQQEKVISSQK >ONIVA09G11410.1 pep chromosome:AWHD00000000:9:13148125:13148397:1 gene:ONIVA09G11410 transcript:ONIVA09G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRGEVVSSKPVALNKAARVFSLFAASDDYGLPSDGGALLLCAAEAAMELHVYRRYGLASGQSEEKRPKKRKRKNDACSTSGVASRVV >ONIVA09G11400.1 pep chromosome:AWHD00000000:9:13144172:13147802:-1 gene:ONIVA09G11400 transcript:ONIVA09G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome, regulatory subunit Rpn7;Proteasome component (PCI) domain [Source:Projected from Arabidopsis thaliana (AT3G61140) TAIR;Acc:AT3G61140] MDVEGEVPAAAAEAVANGLGGAEPSPAPVSAEQLDVEAYAAQYTGRTRLARLLFIAERCGVEAVELEALRMAYDEIKRGEDTMFHREVTNKINGRLGPKYALDQAWTDSVNRRAEQRKEKLESELNGYRTNLIKESIRMGYNDIGDFFYAHGHLSDAFKSYIRTRDYCTTSKHIVQMCMNVILVSIELGQFPHVSNYVSKAEQTPDTLDPIIVAKLRAAAGLAYLATKKYKLAARKFVETGHELGNNYSEVIAPQDVAVYGALCALASFDRSDLKSKVIDNSNFRNFLELVPEVRELVNDFYSSRYGSCLEHLEKLKTNLLLDIHLHDHVETLYMDIRHKAIIQYTLPFISVDLNTMAAAFMTSVSMLEKELAALITENKIQARIDSHNKILYARHADQRNATFQRVLQTGNEFERDVKSLLLRANLIKHDFNQRAGQRKM >ONIVA09G11390.1 pep chromosome:AWHD00000000:9:13140765:13143572:1 gene:ONIVA09G11390 transcript:ONIVA09G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IK28] MATSHADVEKGARRKEVGKVPSPLYPQHEGEREWVPWIVPSFLVANIVVFVLTMYANNCPLHTPPRSGKCIARFLGRFSFQPLHENPLLGPSSATLQKMGALVWDKVVHEHQGYRLITSIWLHAGVLHLVANMLSLIFIGLRLEQQFGYVRIGAIYLLSGLGGSVLSSLFIRNHISVGASGALFGLLGAMLSELLTNWTIYTNKVAAVITLLFVIAVNLALGILPHVNNFAHIGGFLTGFLLGFVLLMRPHFGWMERYSLPSGSPCSSKKYLVYQWILLAIATALVIVGFAVGMTMLFRGENANDSCHWCHYLSCVPTSRWTCSN >ONIVA09G11380.1 pep chromosome:AWHD00000000:9:13131409:13136985:1 gene:ONIVA09G11380 transcript:ONIVA09G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSMPQVWDEEGVAKGSVVTPAPATALLGSLAGWMSRAVEPPAPRPCGTEGGPPVTATRLRLRDGRHLAYCESGVPKEEARFKVVFSHGFTGSREDSVRASQYSAKLAPPTYPASKNETWPAPEPAGAMELLTTAPMLNCAAKRAGGSALGPLAGALGSWIARAVVPPPPPPRICGSPGGPPVAAPRVRLRDGRHLAYAESGVRKEDARYKVVFSHGFTGSRLDSVRPSPEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPERIAGAAMMAPVVNYWWPGFPTDLAAEVYNKQEVGDQWALRVSHHAPSILHWWMEQSWLPTSTVVAGTTPLPNKRDAEIRKNMKADGSFQKKMDLATQQGIHESYYRDMMVMFGKWEFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRYLVSRLSWANYHELPGTGHFLSAVPGLGDTVLRTIFG >ONIVA09G11380.2 pep chromosome:AWHD00000000:9:13131409:13136985:1 gene:ONIVA09G11380 transcript:ONIVA09G11380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSMPQVWDEEGVAKGSVVTPAPATALLGSLAGWMSRAVEPPAPRPCGTEGGPPVTATRLRLRDGRHLAYCESGVPKEEARFKVVFSHGFTGSREDSVRASQEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPERIAGAAMMAPVVNYWWPGFPTDLAAEVYNKQEVGDQWALRVSHHAPSILHWWMEQSWLPTSTVVAGTTPLPNKRDAEIRKNMKADGSFQKKMDLATQQGIHESYYRDMMVMFGKWEFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRYLVSRLSWANYHELPGTGHFLSAVPGLGDTVLRTIFG >ONIVA09G11370.1 pep chromosome:AWHD00000000:9:13120909:13124872:1 gene:ONIVA09G11370 transcript:ONIVA09G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSARRSLASGLSRHLSRRLHPSVSHLLPSHHDDHSENPSPPAQPPPLPSALRSPSRSQALGLPLPFGLLHASRRSLSTSPRSNDELDASADVLSDAASSVSVPADVLADAAASVPVSAPAPFPGEVAAAAADSFAPVAALQHLIDGVHSLTGLNWWACIALTSLLIRTLTVPLLLNQMKATVKLNAMRPEIEAINLEMRTSTDPQSMLEGKRKLDELFLRHGVTPLTPLKGLFIQAPIFMSFFFAISNMVEKVPSFKGGGIYWFTDLTTPDELLILPMLTSLTFLVTVELNMQDGMEGNPMLKTMKNFSRVMAVLTIPFTMSFPKAIFFYWVTSNLFSLGYGFVLRKPAVRSFLDLPPIETQFAPAQQPTFNLFGASKSVPAAGSSIAESDRSSSVLSQRFSDLENRAKSRRESQD >ONIVA09G11360.1 pep chromosome:AWHD00000000:9:13098366:13100477:-1 gene:ONIVA09G11360 transcript:ONIVA09G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRTAKTVFFSLIESYRSARHLPSKLSQTIEPQCLLLEACGSPLLFHGITDISNGVFDRAIARLPHDLKSCGWISQGGQSLRCQTSISSALATPDCGKFSYSAGGRKSSSFEVAGHSLRWIWMASTDFRDPFVHLILGAVPFLSDALSRVVSGEGGLTHAQIVVIFGGLGGAELAELLEQFVLADSSHASIPNIVSTDSTSINLLLCLNQLSKQQHKSWASKEHAMNPLHHAGNQQATQQGKAGPGKGNEVSFSILTHGIHLKFVRLYHRHHLASLKLKVSWVLDASSISFLCSSIHVEVIVRVEHRLSVRLWSAGMLLVFLRFDDDFHGNHWLSPVKPSTYLRLNSKAQLVPNPWRQPEGSPAGQMGSTFEEALGCNRRGNAADLR >ONIVA09G11350.1 pep chromosome:AWHD00000000:9:13096005:13097774:-1 gene:ONIVA09G11350 transcript:ONIVA09G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAKYLLGSPGANGFGSKSTAEDVCPDLGCITAIITGATSGIGAETARVLAKRGARVVIPARNVKAAEDMRARIRGECPGADVLVLPLDLSSLASVRAFADRFLSLGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAATGVQGRIVNVSSSVHSWFAGDWAEYLDLVTRRKIAYDATQAYAVSKLANVLHTKELAVRLKEMGANVTVNCVHPGIVRTRLNRDRDGLVTDLVFLLLSKLLKTIPQAAATTCYVAAHPRLAGVSGRYFADCNEALPSPAATNRHEAERLWQISESMLLCTNKHSKDASAP >ONIVA09G11340.1 pep chromosome:AWHD00000000:9:13094023:13094244:-1 gene:ONIVA09G11340 transcript:ONIVA09G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAVAEADEIGGDSCGGQGRRQRHTEATSTVDKDEDSGGHNGQRRQRRRTRTCATMVARPWTWCSDAGNGE >ONIVA09G11330.1 pep chromosome:AWHD00000000:9:13089774:13092920:1 gene:ONIVA09G11330 transcript:ONIVA09G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAASVGRQCPLEALHLLPTRVFPLTDDLLGCLDICWYFTDTWEQFRISGFIDVIDGSNPEPVKLQLRERAWFGSSVKSRLQYLGPCPGLPIPDDDLIKDAHLDPSAGPVDAFCLLVLDPEKQSFDPAIVILYLGRLFELEKQSKLQICLWIYHGMLFSENANPSSHFLAGYELAELQLPVASERTNFHVQVFIFYKTREPFLKFPYKHLNLFRSTSTSLFRGNFRGTVMCTRVPDLPKGSDKQRFGQSRDTNRSGFLNAHALEPRNRSTTSIRVSDVRIKLHMLFVNRGRKKSQYSTNKSEINGQCK >ONIVA09G11320.1 pep chromosome:AWHD00000000:9:13081382:13087834:1 gene:ONIVA09G11320 transcript:ONIVA09G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMARPRFEEDDEDEFFDSREVMSPASVSSPASSGRYDGPMLEVWATDPCSVHERRQRFIKSLGLSDSSPSAGGGGDRPDEEPCSRSSAAEEILPCSPTVELVSAVPSFACRGEEPGASGGGGGAEVLDCVFKNLDDGTVFVVDEMGKDGSFRSLRDRRSNRTVTAAEFERTYGSSPFICELMRRVDDSDESSAVEKALVRGRRRRRRFGWLRRLGIRGCVVDVEEDDETNSTSSSSCRSCSGKVDRVKVRHYKKRSKELSAVYRGQDIKAHEGAIVTMKFSSDGQYLATGGEDGVVRVWRVVEGERPNELDFAEDDPSCVFFTVNENSELAPVNSSEGSKSKHYKNSKVSTDPACVVIPHRTFALSQEPVHEFYGHDDAILDLSWSKNRDLLSSSMDKTVRLWQVGCNSCLKVFSHTNYVTCVQFHPTSDNYFISGCIDGLVRIWDVRRCQVVDWADTKEIITAVCYRPDGKAAVVGTITGNCRHYDASENHLELESQVALNGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGLHVISNYKGLRSSSQVAASFTPDGDHIISASDDSSIYMWNYANQIAPVTNHVKTVWSNEHFSCHDVAIAIPWNASQTRNSISLACSITSSRQEVLDEFHNEHDSSSCSHTEDSPDGDSLYQLPSGNFTLSSAFFAESAPRGSATWPEEQLPSNSTTQSTLRKSQYKFLKASCQNAATHAWGQVIVAAGWDGYIRSFQNYGLPVQV >ONIVA09G11320.2 pep chromosome:AWHD00000000:9:13081382:13087834:1 gene:ONIVA09G11320 transcript:ONIVA09G11320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMARPRFEEDDEDEFFDSREVMSPASVSSPASSGRYDGPMLEVWATDPCSVHERRQRFIKSLGLSDSSPSAGGGGDRPDEEPCSRSSAAEEILPCSPTVELVSAVPSFACRGEEPGASGGGGGAEVLDCVFKNLDDGTVFVVDEMGKDGSFRSLRDRRSNRTVTAAEFERTYGSSPFICELMRRVDDSDESSAVEKALVRGRRRRRRFGWLRRLGIRGCVVDVEEDDETNSTSSSSCRSCSGKVDRVKVRHYKKRSKELSAVYRGQDIKAHEGAIVTMKFSSDGQYLATGGEDGVVRVWRVVEGERPNELDFAEDDPSCVFFTVNENSELAPVNSSEGSKSKHYKNSKVSTDPACVVIPHRTFALSQEPVHEFYGHDDAILDLSWSKNRDLLSSSMDKTVRLWQVGCNSCLKVFSHTNYVTCVQFHPTSDNYFISGCIDGLVRIWDVRRCQVVDWADTKEIITAVCYRPDGKAAVVGTITGNCRHYDASENHLELESQVALNGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGLHVISNYKGLRSSSQVAASFTPDGDHIISASDDSSIYMWNYANQIAPVTNHVKTVWSNEHFSCHDVAIAIPWNASQTRNSISLACSITSSRQEVLDEFHNEHDSSSCSHTEDSPDGDSLYQLPSGNFTLSSAFFAESAPRGSATWPEEQLPSNSTTQSTLRKSQYKFLKASCQNAATHAWGQVIVAAGWDGYIRSFQNYGLPVQV >ONIVA09G11310.1 pep chromosome:AWHD00000000:9:13072190:13073727:-1 gene:ONIVA09G11310 transcript:ONIVA09G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53170) TAIR;Acc:AT3G53170] MARGGRRHKPPSSAPEAEQPEALSRILRTEAAVSGVSRKAAAASRQHSTRLWPRAVLEALDSAVASCRWEPALEIFELLRKQQWYKPRSQTYARLLMMLGKCRQPGAATALFKVMLSERLKPTVDVYTALVGAYGYSGLLDQALATVEQMKGVADCKPDEYTFSVLINCCSKLRRFDRIPAILDEMSYLGLQCNAVIHNAIIDGYGKAGMLEEMENALTSMLEDGDSVPDIYTMNSIIWAYGNHGNRIHEMERWYSEFQLMGVEPDTQTFNIMIKSYGNAKMHDKMMSVLKYMKKHFFSPTVVTFNIIIESFGRAGNIEKMEYYFRLMKIQGVKPNPITYCSLVNGYSKAGFLDKVPGIIRQTENTDVVLDTPFFNCVIDAYAKSGDIKIMEEMLQLMKEKKCKPDKVTYTTMIQAYNVHGMDEAANLLKMEVGMVDGKLLESVSEVDKK >ONIVA09G11300.1 pep chromosome:AWHD00000000:9:13068463:13070542:-1 gene:ONIVA09G11300 transcript:ONIVA09G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAAGGVEKPRFEALMPSEMSGGRPQFRKVPVPQHRFAPLKKAWMDIYTPVYEHMKIDIRMNLKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >ONIVA09G11290.1 pep chromosome:AWHD00000000:9:13062996:13067581:-1 gene:ONIVA09G11290 transcript:ONIVA09G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-fucokinase/GDP-L-fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) TAIR;Acc:AT1G01220] MEPAERHRRRRRRAHTADEAAAVLRKAWCRLRLSARDPSRVPPWDAVVLTAASPEQAALYDRQLARARRLGRFPASTAALAVPDPDAARIGSGAATLHAVASLVRHLIAQASKEEIAELLPEASDSSADDIPLSSVVRFMANKHVLLLHAGGDSKRVPWANPMGKAFLPLPYLAGDNPDGPVPLLFDHILAISSSARQAFKNQGGIFIMTGDVLPCFDASNLVLPDDAACIVTVPTTLDVAANHGVVVAAKDGTDGENYSLCLVDNLLQKPTVHELVEGQAIRDDGRALLDTGIISARGKAWQELVRLAYSSSHVMIKELITGRKEMSLYEDLVAAWVPSRHEWLRTRPFGMELIAALGKHRMFSFCSYDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMSSIPETTACDIAATAVILSSKISAGVSVGEDSLVYDSSLSGRIRIGSQCIVVGVNIHELHGNRSQIISTSSYFTLPDRHCLWEVPLVNSVERVMVYCGLHDNPKVSMKKDGTFCGKPWRNVLEHLKIQDTDLWSSTNEDNCLWNAKLFPVMSLPETLKVGMWLMGSTCDLDGKVASLWKESRRISLEELHRSIDYHQLCVNSSKHQADLATNIAKACMTYGLLGRNLFQLCEEMLQKENSCVEVCNELLSLCPSHGDQYSGVLPQSRRYQVKMDLLTASGDLSTAAIVEDKVWASIASETASAIKYGSKEPSSDSKCSSNGNLHPKKAIVELPVRVDFVGGWSDTPPWSLERPGCVLNMAIRLEGNLPVGAMIETTMDHLGVLIEDDAGRNVCIDDLSSITSPFKENDSFRLVKSALIVTGVLNHERLSKLGLNIRTWANVPRGSGLGTSSILAAAVVKGLFQLIEGDESDATVARAVLVVEQVMGTGGGWQDQIGGLYPGIKCTQSFPGQPLRLHVVPLLASPQLIQELQQRLLVVFTGQVRLAHRVLQKVVTRYLRRDSLLISSIKRLAELAKIGREALMNGEIDELGGIMSEAWRLHQELDPFCSNKLVDELFAFADPYCCGYKLVGAGGGGFALMLGKNLNSAKELRQALENSATFDVKVYNWNVAMTP >ONIVA09G11280.1 pep chromosome:AWHD00000000:9:13061037:13062225:1 gene:ONIVA09G11280 transcript:ONIVA09G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:early nodulin-like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G64640) TAIR;Acc:AT1G64640] MRGASALASLVAAAAVALLLLIDGCGGAMYKVGDLDAWGIPPPSKPDVYSRWAKSIHFALGDSIWFLYPPSQDSVVQVTPVAFAACQASDPVLKLDDGNSVFNLTTPGRVYYISAAPGHCRKGQRLAVDVPMANGTYLPPTANDLAAFAPMPAEAPAGFESAALGPAGARQSAAPRAAAAGGAGSVLLAALAFAVFLL >ONIVA09G11270.1 pep chromosome:AWHD00000000:9:13058930:13059514:-1 gene:ONIVA09G11270 transcript:ONIVA09G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLFSSGSGTRDDRTDASGRRLYNPYQDLNIPYKQLYDLPTSPEFLFQEESLAQRRSWGENLTYYTGIGYLSGAVAGAAVGLRDAARNAEPGDTAKIRANRVLNSCGSNGRRMGNTLGVIGLLYAGIESGMVAVRDRDDWINSVTAGLGTGALFRAANGPRSAAVAGAIGGVLAGAAMAGKQAAKRYVPAL >ONIVA09G11260.1 pep chromosome:AWHD00000000:9:13035598:13058706:1 gene:ONIVA09G11260 transcript:ONIVA09G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNNQNTQVEGASATKKRKTSYSNSNREDSSPVVDGLTRILRRNLLPRGYPMPSEFTDGMHMVNNFEESFGDLDDCNEEYSKVNLVALRISQSVVSLASFKGRTRLFACTGTIMEYGPSKMSILTSASLVRCTKDENKTDEKLKIKVRLPNGKLTEGKLWNYDLYYTIAVVKIKYFPELSTAQIHNQGQSNVKLSQSKLVAVGRGYESGELMATGGTLLYKPSKLDCKELMTSTCKITKAGIGGPLVGFDGNFVGMNFCDKKETPFLPINIIRKCLKHFDMFGRVVQPWLGLRIGSLKHEKLDIREQIHGSFSNTGGIYVKEVFDGSPAADSGINVGDVITKLDGVDLFHAQEFYELILGKSEDILRRDEEMLFKVSILRPSNGLTFRAIVNAEVVDMSRKNRWPVPKAEWLYPCTDDRCDEIVAPDPIVIRTCEDSYYLPALDESELRLYYGEE >ONIVA09G11260.2 pep chromosome:AWHD00000000:9:13035598:13058706:1 gene:ONIVA09G11260 transcript:ONIVA09G11260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNNQNTQVEGASATKKRKTSYSNSNREDSSPVVDGLTRILRRNLLPRGYPMPSEFTDGMHMVNNFEESFGDLDDCNEEYSKVNLVALRISQSVVSLASFKGRTRLFACTGTIMEYGPSKMSILTSASLVRCTKDENKTDEKLKIKVRLPNGKLTEGKLWNYDLYYTIAVVKIKYFPELSTAQIHNQGQSNVKLSQSKLVAVGRGYESGELMATGGTLLYKPSKLDCKELMTSTCKITKAGIGGPLVGFDGNFVGMNFCDKKETPFLPINIIRKCLKHFDMFGRVVQPWLGLRIGSLKHEKLDIREQIHGSFSNTGGIYVKEVFDGSPAADSGINVGDVITKLDGVDLFHAQEFYELILGKSEDILRRDEEMLFKVSILRPSNGLTFRAIVNAEVVDMSRKNRWPVPKAEWLYPCTDDRCDEIVAPDPIVIRTCEDSYYLPALDESELRLYYGEE >ONIVA09G11260.3 pep chromosome:AWHD00000000:9:13035598:13058706:1 gene:ONIVA09G11260 transcript:ONIVA09G11260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNNQNTQVEGASATKKRKTSYSNSNREDSSPVVDGLTRILRRNLLPRGYPMPSEFTDGMHMVNNFEESFGDLDDCNEEYSKVNLVALRISQSVVSLASFKGRTRLFACTGTIMEYGPSKMSILTSASLVRCTKDENKTDEKLKIKVRLPNGKLTEGKLWNYDLYYTIAVVKIKYFPELSTAQIHNQGQSNVKLSQSKLVAVGRGYESGELMATGGTLLYKPSKLDCKELMTSTCKITKAGIGGPLVGFDGNFVGMNFCDKKETPFLPINIIRKCLKHFDMFGRVVQPWLGLRIGSLKHEKLDIREQIHGSFSNTGGIYVKEVFDGSPAADSGINVGDVITKLDGVDLFHAQEFYELILGKSEDILRRDEEMLFKVSILRPSNGLTFRAIVNAEVVDMSRKNRWPVPKAEWLYPCTDDRCDEIVAPDPIVIRTCEDSYYLPALDESELRLYYGEE >ONIVA09G11260.4 pep chromosome:AWHD00000000:9:13035598:13052956:1 gene:ONIVA09G11260 transcript:ONIVA09G11260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNNQNTQVEGASATKKRKTSYSNSNREDSSPVVDGLTRILRRNLLPRGYPMPSEFTDGMHMVNNFEESFGDLDDCNEEYSKVNLVALRISQSVVSLASFKGRTRLFACTGTIMEYGPSKMSILTSASLVRCTKDENKTDEKLKIKVRLPNGKLTEGKLWNYDLYYTIAVVKIKYFPELSTAQIHNQGQSNVKLSQSKLVAVGRGYESGELMATGGTLLYKPSKLDCKELMTSTCKITKAGIGGPLVGFDGNFVGMNFCDKKETPFLPINIIRKCLKHFDMFGRVVQPWLGLRIGSLKHEKLDIREQIHGSFSNTGGIYVKEVFDGSPAADSGINVGDVITKLDGVDLFHAQEFYELILGKSEDILRRDEEMLFKVSILRPSNGLTFRAIVNAEVVDMSRKNRWPVPKAEWLYPCTDDRCDEIVAPDPIVIRTCEDSYYLPALDESELRLYYGEE >ONIVA09G11260.5 pep chromosome:AWHD00000000:9:13035599:13054549:1 gene:ONIVA09G11260 transcript:ONIVA09G11260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVNNFEESFGDLDDCNEEYSKVNLVALRISQSVVSLASFKGRTRLFACTGTIMEYGPSKMSILTSASLVRCTKDENKTDEKLKIKVRLPNGKLTEGKLWNYDLYYTIAVVKIKYFPELSTAQIHNQGQSNVKLSQSKLVAVGRGYESGELMATGGTLLYKPSKLDCKELMTSTCKITKAGIGGPLVGFDGNFVGMNFCDKKETPFLPINIIRKCLKHFDMFGRVVQPWLGLRIGSLKHEKLDIREQIHGSFSNTGGIYVKEVFDGSPAADSGINVGDVITKLDGVDLFHAQEFYELILGKSEDILRRDEEMLFKVSILRPSNGLTFRAIVNAEVVDMSRKNRWPVPKAEWLYPCTDDRCDEIVAPDPIVIRTCEDSYYLPALDESELRLYYGEE >ONIVA09G11250.1 pep chromosome:AWHD00000000:9:13025896:13032002:-1 gene:ONIVA09G11250 transcript:ONIVA09G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKKKRSAEDAALYVESLLFGDDPSDSEADVDKYDASDLKGAINKDGSSDEEDFTEEGLSAKEVALSISKSIVSLISSVDGKVLFTCSGTMVDHVGSATWILTSATLVRKCDNDYDAYQEGDVKIEVLLHNKTITEGFLAMCSLQYNIAVVTIEPQFDLPLVKLHDVPVCYSMLCRPVIAVARNFKSKTLLVRCGEMTRERSELDCDELLVCTCPVSKVFIGGLVMDLERRILGITFYDKDTVPFLPIEIAVRCLEHFKNFSIEAGDIICSVDDIVLYSLSQLTSIFLDKMAAAMPTQDKVTVQAEIRRPRDNTKFVAKLNIGIASGEHNNCFNNRWPLQ >ONIVA09G11250.2 pep chromosome:AWHD00000000:9:13025896:13032002:-1 gene:ONIVA09G11250 transcript:ONIVA09G11250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKKKRSAEDAALYVESLLFGDDPSDSEADVDKYDASDLKGAINKDGSSDEEDFTEEGLSAKEVALSISKSIVSLISSVDGKVLFTCSGTMVDHVGSATWILTSATLVRKCDNDYDAYQEGDVKIEVLLHNKTITEGFLAMCSLQYNIAVVTIEPQFDLPLVKLHDVPVCYSMLCRPVIAVARNFKSKTLLVRCGEMTRERSELDCDELLVCTCPVSKVFIGGLVMDLERRILGITFYDKDTVPFLPIEIAVRCLEHFKNFRTLKQPSLCIRGQAIHKLEICNLEKICYMYPELSSGSGIVVEKLTSIFLDKMAAAMPTQDKVTVQAEIRRPRDNTKFVAKLNIGIASGEHNNCFNNRWPLQ >ONIVA09G11250.3 pep chromosome:AWHD00000000:9:13025960:13032002:-1 gene:ONIVA09G11250 transcript:ONIVA09G11250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKKKRSAEDAALYVESLLFGDDPSDSEADVDKYDASDLKGAINKDGSSDEEDFTEEGLSAKEVALSISKSIVSLISSVDGKVLFTCSGTMVDHVGSATWILTSATLVRKCDNDYDAYQEGDVKIEVLLHNKTITEGFLAMCSLQYNIAVVTIEPQFDLPLVKLHDVPVCYSMLCRPVIAVARNFKSKTLLVRCGEMTRERSELDCDELLVCTCPVSKVFIGGLVMDLERRILGITFYDKDTVPFLPIEIAVRCLEHFKNFSIEAGDIICSVDDIVLYSLSQLTSIFLDKMAAAMPTQDKVTVQAEIRRPRDNTKFVAKLNIGIASGEHNNCFNNRFSFETL >ONIVA09G11240.1 pep chromosome:AWHD00000000:9:13014504:13020207:-1 gene:ONIVA09G11240 transcript:ONIVA09G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGIISVCEFEKELRGEQQVSTFVHTCPIGDGLMGAPICSRFGKVFGMNVARTALCSSINFALNNSQLKVELAKLLQNEDYEMSALIEKYDSEKTSASKKRKGRGFANVKVTSEEKGGWQFFVKKGEGCCQFANVKFTSEEKGGWQFFVKSDIKESQAARLSGK >ONIVA09G11240.2 pep chromosome:AWHD00000000:9:13014689:13020207:-1 gene:ONIVA09G11240 transcript:ONIVA09G11240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGIISVCEFEKELRGEQQVSTFVHTCPIGDGLMGAPICSRFGKVFGMNVARTALCSSINFALNNSQLKVELAKLLQNEDYEMSALIEKYDSEKTSASKKRKGRGVSSSQMSRGVCHTF >ONIVA09G11230.1 pep chromosome:AWHD00000000:9:13008842:13013690:1 gene:ONIVA09G11230 transcript:ONIVA09G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAAPIHDEAEDDLPYETIVTRKIKANHRKSVVKLLIPVMNKLGKPGTVGGTGYIVYNAGRKFLILTCSHGLDTWICPEPIRVLFDNLVVAQALVKVCERKKEVAILSVDVSLKHRELTDAGEYPPVAFDHQPVRKGDPLVLLGYPWPSSKTGSTNLGSFFGSVTAGHQAHLFLEAKNGKVVGTNVHGGKEVLCFVTVSWIQDTLRNLQEVKKSGLGPNATIEEILESCFALLKSDEAGPSSRITRRFYLDCIRDSEYVVPEHIYEGAQLISAAQACLYLFN >ONIVA09G11220.1 pep chromosome:AWHD00000000:9:12994484:13002829:1 gene:ONIVA09G11220 transcript:ONIVA09G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNKSSPARNESGGDGDGDIAVDLFPFLRVYKDGRIKKFVRHATVPASPVERSPSGVVTKDVVAVHDETGVSVRLFLPVDAAAAAVAAGRRLPLVVYVHGGAFCSGSASAPPFHRYAESLAARAAAVVVSVDYRLAPEHPMPAGYDDAWAALRWAASSRHSDPWVSNYADTACVFLAGESAGANIVHNVALRAAAAAAAGEDDDDGGGGIDIEGIILLQPCFWGTERLPCERPAAWRRAAPPMFLPERLDALWPFATAGAAGNGDPRIDPPAEAVASLPCRRALVSVATEDVLRGSGRRYAAALMRGGAWGGEATLVESGGEDHCFHLSPRPNPNAAALMDHVAEFIAKGNTSTSSPMAKRRRRRRRCTLHGAGEEKTTSMHALRGQTAPKVQHAGSGIMANKADKYVAGTIIVISPEASVVYVIATPMLVIGRSTPPKAHSDKSGAPERLQHENKQQELAVGNIAVDLRPFLVEFNDDRRWILVRHETVAASSDDKTRSANGVATKDVVIDDETGVSVRVFLPVDAAAAAAAAGRRLPLVVYVHGGAFCTGSASARMFHDYAESLSARAAAVVVSVDYRLAPAHPVPAAYNDAWAALRWAASRRLSDDTWVGDYADLSCVFLAGESVGANIVHNVAVRAEAATRNAGEVFDDDDDIDIEGMILLQPYFWGTKWLPCETPYACWRTRGSPPMLLPERIDALWPYVTAGNNNNGGDDPRIDPSAEAIASLPCRRALVSMATEDVLRDRGRRYAAALRGGAWGGEATLVESRCVEHCFHLLPEFSSHAETGVLMDRVAMFIAKGKTPPPISMLMEEERATKKTRSSAVVPACWRVPRGPRCTAQAVVGLRRAGFGVGNMIRLPSKAQKYHRVPAIALRRSVLQTRTREGPASHEHKQDHIAVDLFPFLRANVQGRPRQEVYVRHTSVPASSSDEIRSPNGVVTIPGVSVRLFLPAAAACRRLPLVCLRPWRRVLYRERLRAPRCSTATRSHSPRAPRQSPSPLTTASRRSTPVPAAYDDAWAALRWVASRHTVQYPWVANHATCSSPARAPAPTSYGSAPPAVAPAAARTKASTSKVGWGTDRLPSETPAAWRATELKLRRPEMRDGAWGGGATLVESDGEDHCFHLSSKSGVKCGANLESF >ONIVA09G11210.1 pep chromosome:AWHD00000000:9:12987080:12988234:1 gene:ONIVA09G11210 transcript:ONIVA09G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSSGRRGRQSCEESCNNGAHWPPPQSARCLCLYLALSVVFFALVAAVLLVVFVARLKKPTFLLQSVQMDRSFSLIQSSLSSSAAANGTGGGGANANGTVCSVATLVFAAQNANGIGIRYGAAALGVAYANESVGAVGVPEFYQPPRSANVTVPVHAVFSQPDVTRLVVGELSAQRKYLEIRIAGSIDARTHIMNFALPKIQFSIDCRIGTNYTDIVHREGIESVITRKALLVSELPHVSQKCSIKIDLRSRGKRTSLDELGC >ONIVA09G11200.1 pep chromosome:AWHD00000000:9:12976171:12981806:-1 gene:ONIVA09G11200 transcript:ONIVA09G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKPHPAATGGGASSSHHAAAAAAADVAVDEAFARDLYVSQLMELGDDDWSSFAPPMDRVGSTSSLAAGAAGSRAQPIVIDDDDDAPAAAAAARTVQLYVPPPLRSGGRRTVATRQQLAPARSATAFLPRPPGGAATADIGTSSTATLPQGLPAAMAPSTELSLRPGGLIAGGAAAPRHGGRRPAARMAARAAPGTGHARGAGNGRWARAENLMAALQANPRPNVAQFGRIWTRIAAADRQLTAAAAADTPAAVTATTGEGSHAAPAVSAGKCGQHSTPAISAGKGGAAGGMEEEEEVHRNVANENDSVSSKRQALLADRDTPAVFAGMEDGHDNDWYDSVIRDAVIAELQEDPELHGPLPVQYLTKSPVVAQSPPRATAAAIAGEEEEEEGEFSMPNFYKKWGLRPSDLDPDEAGPSTRRPRVLPLADGDLPTFDCGICFDTLPMLDLFRGLPCDHKYCLECMTTYIDGKVREGAVPVACPDPECADGGDGGAGVLHPEGCKKAIDFAAFTDWGLRLAEGAVPHDRRAYCPNRRCGILLETSGEAEPAMAACPACQHLLCATCGGEWSTADDADHRDCSKGPEAAMVKKLADERRWKACPKCRMLVERTAGCRVMSCRCRMVFCYLCGLQIGAVLEGKEKCQCLDNLGVVLIKALCRFSKRRTASYPPIKAIQIKGVVVSHLRSSQRHRCHPVADYQAREEESCRQHLYTKVVNPHLPPIAVSATNTASCRHEGVATATAPTHPRTSTQAVSPLPSVAIAMPREHSSTTRWSRRSSLEATGSSITSARSTTGTVFNTIGHSCRRFNRVAAITVTIMARSILQIYHLVLATSGSSWEKRPRTTTIFVTARFPTDGSDGGEEVGGRKVEWHGGMAHCPSHSSVIE >ONIVA09G11190.1 pep chromosome:AWHD00000000:9:12967397:12968795:-1 gene:ONIVA09G11190 transcript:ONIVA09G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCRNMAAEAALEPNQEFLEACMPSAEASRHVPPLDQRRRHRVAPLREICDEPRLLYFCTTAAACPTGARTYASSASPASSSATSRARPRVAAEEERCPCAALPFPGLPLRLRRRRAPRRTARINLLYLYIGGFDVRVVASRSASSPSVSGSGAFAR >ONIVA09G11190.2 pep chromosome:AWHD00000000:9:12967397:12975559:-1 gene:ONIVA09G11190 transcript:ONIVA09G11190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASASASAAQELDREQYLQELIRGSMLDPPSSSSSRAGRVRPLTDDEIGRFYCEVCMEWKLVFDRFRVSDGCPHAFCVACVVGHIESRVAAGSVPVLCLLAGGGGCSGGGVMHPERCKKLLDIDVFDRWCVALCERAVGPARARCPYRDCGEMAALEGEAAAAALPLRAAASKASCPTCSRAFCLQCEEPWDDRHGGGGDGGARCALTQLAKGREWRRCPSCRAMIDKIDGCKRMTCRCGTVFCYDCGSSFNPRMYSCKCTPRKSSQSEEEDGFIDLTCSDQTWRPRRRWSPTRSSSRPACRRRNRSAREASRHVPPLDQRRRHRVAPLREICDEPRLLYFCTTAAACPTGARTYASSASPASSSATSRARPRVAAEEERCPCAALPFPGLPLRLRRRRAPRRTARINLLYLYIGGFDVRVVASRSASSPSVSGSGAFAR >ONIVA09G11190.3 pep chromosome:AWHD00000000:9:12969152:12975559:-1 gene:ONIVA09G11190 transcript:ONIVA09G11190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASASASAAQELDREQYLQELIRGSMLDPPSSSSSRAGRVRPLTDDEIGRFYCEVCMEWKLVFDRFRVSDGCPHAFCVACVVGHIESRVAAGSVPVLCLLAGGGGCSGGGVMHPERCKKLLDIDVFDRWCVALCERAVGPARARCPYRDCGEMAALEGEAAAAALPLRAAASKASCPTCSRAFCLQCEEPWDDRHGGGGDGGARCALTQLAKGREWRRCPSCRAMIDKIDGCKRMTCRCGTVFCYDCGSSFNPRMYSCKCTPRKSSQSEEEDGFIDLTCSDRPLNLGNHC >ONIVA09G11180.1 pep chromosome:AWHD00000000:9:12965497:12965771:-1 gene:ONIVA09G11180 transcript:ONIVA09G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISVNTITQNRAKSLRRLLASLRNTYYVDDEVVPISFNMDSRVDAATLNAVNSSDAEPVLILCYSN >ONIVA09G11170.1 pep chromosome:AWHD00000000:9:12943940:12948201:1 gene:ONIVA09G11170 transcript:ONIVA09G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISGFIPPSAAAAAAAAAAAKKQQGRRVTADVLWPGMLRKGKAAAAEEDFEADFREFERGMSDDEAEGGGGEEEEEEEDDVVVVVPPPAAARFVVRAAAKAAPPTADGMLTTKLVQHDGPTARSAKRKRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTAEEAARAYDAEARKIRGKKAKVNFPDEPAVAQKLSLKQNAAKQEKLAPPLKTCGDDAFFQLNSSDNDLFAMLAKVPAKPAEPVDLMPPVKPLASTETFEMNMLSDTSSNSFGSSDFGWEDDTLTPDYTSVFVPNAAMPAYGEPAYLTGGAPKRMRNNYGIAVPQGNGMPNLAQNMPAVDPEMKYLPLPYVESSSDESMDNLLQNDATQDGASNEGIWSLDELLMAAGAY >ONIVA09G11160.1 pep chromosome:AWHD00000000:9:12929882:12932833:-1 gene:ONIVA09G11160 transcript:ONIVA09G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20910) TAIR;Acc:AT5G20910] MSAPAAVEQRLQALRQKLGRKQHFEEAVADLAAAVRDHHAAASPALRDLMYSTVCRVATVLQTRYTAPGFWRAGLNLFLGTEKLVTNPSEKEQLKTFILRAREHLDEKENEESMPNNRETDTRFLFEGHLTVGPEPPPPAWLVAQNLARELSILAEPSGDQGANNNGESRAEEMAPAAAIMNFLNTMTVDGDLEAALEESLQNVMANPKVPPASKEVVANLPVVTVTEEIIARLGKETQCAVCRESLLVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHVYESRKEREREEEEDRKGAANAVRGGEFMYV >ONIVA09G11150.1 pep chromosome:AWHD00000000:9:12922375:12927725:-1 gene:ONIVA09G11150 transcript:ONIVA09G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSFSRQNCVLLAVLCGKHAEKRAQARSGPEAKWLRPSYPFPELSSSGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGQQLEDEKEIGSLVWGDNDVSDPQAFSCLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALMSVVQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINITPPENEMVEEEGSSDVFPAIKIYDDDINMKFLLCGVPSTPDPCLLGSLEDGLNALLNIEIRGCKLQNRISASPPPLHAASLPRGMVTMRCDITTCSSSHVSLLVSGSAQTCFDDQLLESHIKDEIIEKSQLVHALPNNDDKLSSSVPFTSMSTACGASTFEVWMTLPKWAAQVLKHLAPDISYRSLVALGIGCINGTPVASFDRRDADRLLFFCTNQHKHLAIENGPYFHLPRWSASLTKERVKVGLESKPNLLGANGIPEDKKRLIEGPSSSSKAKLKPATMRPIPHSRKQQMHPFMGFLEATVHETSQVKPNLPAAPPVKHNSVPAAPATHRKSTSGPSHAQSIIQLNPLPLKKHGCDRLPIQICSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPEAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPQKVANGFANTVSVSRNV >ONIVA09G11140.1 pep chromosome:AWHD00000000:9:12915533:12921705:1 gene:ONIVA09G11140 transcript:ONIVA09G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKAPSITAETINQKVRIFTYEPCGEIVRHARRLEKEIYENPGSLPFQEIIYCNLGNPQALGQRPINFFREGVRGLREAVADGIAARDGFPSKPDNIFLTDGASSAINMMMQILIRSHEDGILCPLPEYPLYSASIILHGGTMVPYNLTEDSGWGLEIFEVKRCLEDARASGLTIRAMVVINPGNPTGQVLSITNQEEIVEFCRKEGLVILADEVYQENVYTENKRFNSFKKVARSLGYDHHDLSIVSFHSVSMGYYGECGRRGGYMEICGFGDDVIDEMYKLASLTICPNIAGQILISLVMDPPKLGDEAFEIFMVEKEETCSSLLKRAKALQKAFSGLEGVSCNKFEGAMYLFPRLRLPQAAIKAAQLEGVSPDVFYAHRLLGATGIAVVPGSGFHPVSGTSHIRCTILPGEETITAMVPRLQAFHEAFMDEFRG >ONIVA09G11130.1 pep chromosome:AWHD00000000:9:12911939:12913774:1 gene:ONIVA09G11130 transcript:ONIVA09G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRQRSIFHLGEEGGGAADAEHLGADHGDGHGDHGIISIHQQRLRVVGLQIVLAQTRHHHRQQHGHVVLKQMQMVSPPPAARHRRRRRPCGDFLSACSLCRRELGPDKDVYMYRGDQGFCSEECRWQQIMTDEARERDAMAKKERLGLHHRTPRPPPAAIRGGSPRRLLAVA >ONIVA09G11120.1 pep chromosome:AWHD00000000:9:12907033:12908949:-1 gene:ONIVA09G11120 transcript:ONIVA09G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJZ0] MSSAFGDFGPLTERRRAEKARQQRRRIMIALGTVSIIIILIVMGAAAITYSGKKSEEDEGGSKGSSKGKSKGGGGGDDEDGGGGGGKADLRAVSKSIKMMCAQTDFADSCATSIGKAANASVSSPKDIIRTAVDVIGGAVDQAFDRADLIMSNDPRVKAAVADCKELFDDAKDDLNCTLKGIDGKDGLKQGFQLRVWLSAVIANMETCIDGFPDGEFRDKVKESFNNGREFTSNALALIEKASSFLSALKGSQRRLLAGEEDNGGGGADPHLALAEDGIPEWVPDGDRRVLKGGGFKNNLTPNVIVAKDGSGKFKTINEALAAMPKTYSGRYVIYVKEGVYAEYVTITKKMASVTMYGDGSRKSIVTGSKNFADGLTTFKTATFAAQGDGFMAIGMGFQNTAGAAKHQAVALLVQSDKSVFLNCWMDGFQDTLYAHSKAQFYRNCVITGTIDFVFGDAAAVFQNCVLTLRRPMDNQQNIATAQGRADGREATGFVLQKCEFNAEPALTDAKLPPIRNYLGRPWREFSRTVIMESDIPAIIDKAGYMPWNGEFALKTLYYAEYANKGPGADTAGRVAWPGYKKVISKADATKFTVDNFLHAKPWIDPTGTPVKYDFFT >ONIVA09G11110.1 pep chromosome:AWHD00000000:9:12900426:12901382:-1 gene:ONIVA09G11110 transcript:ONIVA09G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAHGVDRGSELAAASEEDDGYSTSTTDDDDDDDVEPHGPASSGLRWVPYAAAVSAVRALLGASHHDLRLRAHQLSRSLSAVFFAGAGLGPEGAVLVCADVPPLGPALQDAQRTMVRVAAEEADHAACDCYYDAVRDVMRLLVGDAGLFRSAFSSHWVFFSNVEFQSRFRGYNPAPAVAAASALRWVPHAAAVSAVRALLGASHEDLRLRVHGLSRSLSGAFFAVGAGAAPFASGARFPEGKLFVCADLPPLGPALVAAQRAMMQVAVKDASHGPCDWYFDTVGELMRLLVGDTGVGPAVFDRASFESAFALEWEN >ONIVA09G11100.1 pep chromosome:AWHD00000000:9:12899859:12900170:1 gene:ONIVA09G11100 transcript:ONIVA09G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVF8] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONIVA09G11090.1 pep chromosome:AWHD00000000:9:12899066:12899477:1 gene:ONIVA09G11090 transcript:ONIVA09G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAGGGTDGEEPESEERAAARDRSDDESSAAPRLASPPPELGSEAGRERFWEATVTPSSVSCTRGCRRGCNGVHQSSQAEQRSGNRPFLSGLAEGLFLHPRGQARRPKQATKHQEFAWTGAGQPW >ONIVA09G11080.1 pep chromosome:AWHD00000000:9:12895896:12897180:-1 gene:ONIVA09G11080 transcript:ONIVA09G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRDDLAGAMWNNRGMTEAGGGNGGHGGHGGHHGALHWTTNMSSLMLRRMVELIATGVRTDKGFKEAHLNQVARSLSDHYGIEISGTQVYNHLRKWRQRWVRITRLKDLSGALWDDQTSTIILEEEHYMGHVKEHPKDAEQATGRFAMGSNEALGNPAGEADSGLGPLDGTIGDGIAAGPSGVGAEGPGIAARASGVGPTGEDSTSDKKRKRASALNEGEVALISNMTDSVNNMASAIGATAHTEVHPDLCNTVMDLPGFSEDQLDLVLAYLTKEKAESLVYIQKNEARRARWVRKFLNEHHPESI >ONIVA09G11070.1 pep chromosome:AWHD00000000:9:12893223:12894164:-1 gene:ONIVA09G11070 transcript:ONIVA09G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJY5] MKPQRLPLPPVPAAALLLLPVALLAALLLVVYPNEFALQASLAGAAACGDHQGGGGGGGGVQAAPEFRLLIGVLTLPARYERRHLLRMVYALQQPAVASRARVDVRFVFCRVGSPEDRVLVSLEAMAYGDVVELDCPENMDNGKTHAYFSSVPRLFGGGEAAYDFVMKADDDTFFRLPELAESLSRAPRRDLYYGCMVPCDYVRGSNEYMSGMGYLLSWDLVEWIVAAAAEIEGRTGGPEDRTLYSWLRRGGRGRNRVDVKPAMYNFPGRHPCSHEFIPDTIAVHQLKDNRRWARTLQYFNFTAALKPFYPVI >ONIVA09G11060.1 pep chromosome:AWHD00000000:9:12891348:12891979:-1 gene:ONIVA09G11060 transcript:ONIVA09G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWEQAPPHDTDYGGGTGGHGRRWRPSPAWIRRWLPSPAPIRWRRPSPAWIRRPVPSPTLIRCLLTVTGGGRRRRWLRRLKDDGDDWRMRTMAAATGGRRRTDVLT >ONIVA09G11050.1 pep chromosome:AWHD00000000:9:12889283:12890232:-1 gene:ONIVA09G11050 transcript:ONIVA09G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJY3] MKQATSSRFPATSFCSLCLRLLLPLGLVAMALSSLVVLSVSGCLSAPRSRPVVDNTNNDGGLGAETTAAREPEFRLLVGVLTTPSRYERRGILRLAYALQPAPGAQVDVRFVLCDVTDAADAVLVAAEAARHGDILVLDGCSTENMNDGKTHAYLSSVPRLFAPCPYDYVMKADDDTYLRVAALADELRGKPQRTSTSAGATPSATTRCRSCTAWATSCPGTSRAGGTRGHEDRLVGRWLNAGGRGRNRYNLKPRMYDINWDMDEFRPNTIAVHRLKNNRRWAAVFRHFNVTVGIKPSTAARPHN >ONIVA09G11040.1 pep chromosome:AWHD00000000:9:12882502:12883443:-1 gene:ONIVA09G11040 transcript:ONIVA09G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJY2] MAMKAPASSNSYLLLAPLALLLLAAVVFLLPSLNGARVGSDGGLGVLCARRSAGAEDYTVAAPAAPKEEEKPELSLLVGVLTMPKRYERRDIVRLAYALQPAAARARVDVRFVFCRVADPVDAQLVALEAARHGDVVVLGGCEENMNHGKTHAYLSSVPRLFASSPYDYVMKTDDDTYLRVAALADELRGKPRDDVYLGYGYAMGGQPMPFMHGMGYVVSWDVATWVSTAEEILARNDTEGPEDLMVGKWLNLAGRGRNRYDLKPRMYDLSWDMDNFRPDTVAVHMLKDNRRWAAAFSYFNVTAGINLHHLSP >ONIVA09G11030.1 pep chromosome:AWHD00000000:9:12877714:12878715:-1 gene:ONIVA09G11030 transcript:ONIVA09G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJY1] MKTASSSSSSSHGFPATASLCTPYLLLVPLGLLAVVLVVPSLGSSHVRSDGLGVLCHAGPSAADGYLVTPGGDAASAAAAAAETKAVVRPELRLLVGVLTTPKRYERRNIVRLAYALQPASPGVAQVDVRFVFCRVADPVDAQLVALEAARHGDILVLNCTENMNDGKTHEYLSSVPRMFASSPYDYVMKTDDDTYLRVAALVDELRHKPRDDVYLGYGFAVGDDPMQFMHGMGYVVSWDVATWVSINEDILRYNDTHGPEDLLVGKWLNIGRRGKNRYSLRPRMYDLNWDMDNFRPDTVLVHMLKDNRRWAAAFRYFNVTAGLQPSNLYHFP >ONIVA09G11020.1 pep chromosome:AWHD00000000:9:12874467:12875874:1 gene:ONIVA09G11020 transcript:ONIVA09G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPATMKVLSLVQGLILVMLAAVLTDASIELLVWFSRAVGATSYGEAMGDAFDPLKYTPAVSVALAVVFVVITVGIATIKLMKGQIPMPKLFPDVHDWSSTWRLPTAAPVLDHSLIRPIVRASLLLGLVVFFGFLLFGEATLDDMLVFPIVFRALRFNMDGLLFPSARPFSCDNRRFGAITAELLTVIFLAANFVPNIWDAFQFTGTSCTGDDVDCGGGGDSCLTAVILVAAAVSPMRPRSSSASSTPVAGKKEKTATRWCSQSTAPRCEKAAAGHGMPPPARHHQRPTLSGPSLAT >ONIVA09G11010.1 pep chromosome:AWHD00000000:9:12863460:12864636:1 gene:ONIVA09G11010 transcript:ONIVA09G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLADLEVVAAGTLGQRAKEEVATAWGRPDIVVFVHLETRSGAKELETVERRWPVTLGWREKEATAGAWGASRSCGPQPPGEQIWQLGARGDEETVTNDRPWAVHEGGGLLELLEASGERRRRRHGCGREAAAAGGQREGGDGQVEEERREEEERIAERMEDMGRE >ONIVA09G11000.1 pep chromosome:AWHD00000000:9:12856502:12858073:-1 gene:ONIVA09G11000 transcript:ONIVA09G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYWTSLASLMGAVAFLQGVVHAVFPAELRAAVARLLGRATRAFSPYCYFDVTETEGMGTNEIYDAVQLYLSSSAAPAAGARLTLSRPHNASSFTFGLAASDRVLDAFRGAAVTWEHVVAPRQAQGFSWRPLPEEKRRFTLRIRRGDRGVLLPAYLDHILAAAADIRRRSQDRLLYTNARGGAMDARGLPWDPVPFKHPSTFDTLAMDPERKAAIMADLRDFADGSAFYERTGRAWKRGYLLYGPPGTGKSSMIAAMANHLGYDVYDLELTEVGSNAELRKLLMKTTSKSIIVIEDIDCSVDLTNRATAAAAAQPPKPRASIDGGAIDQDAAAAPAGAAARSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCTFPALKILLRNYLDDDSSASSSSAAAAATMAGLETWIDAAEITPADVSEVLIKNRRNGREQAMEQLLEVLKARAEKRPPSAAAGNATGGGDNEEEEEEEEKRALESPKEGGGEDGQDEETEAKKQLSE >ONIVA09G10990.1 pep chromosome:AWHD00000000:9:12825277:12825789:-1 gene:ONIVA09G10990 transcript:ONIVA09G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTFSAFSQEGRAVAATSGGGGPFTGWCSSRRRRPEAPAAMDRYNGGGGGGEEGDEQLDLNLSLQPSQANEPPGYFTCTYCDKKFYSSQALGGHQNAHKFERSVAKRTRELAAARRQQAADEEARRGAGTTTTREPTGNASSSYQRASPPAEARRRDLLTDDIDLSLKL >ONIVA09G10980.1 pep chromosome:AWHD00000000:9:12806277:12806684:-1 gene:ONIVA09G10980 transcript:ONIVA09G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNRGEEEDVNLELTLCYTSASSPEPIGFFLCMYCDRKFYSSQALGGHQNAHKYERSLAKRRREIAAALRAHGAPPPPPAPGGAGAAAAQKAVGVEAQQQHQHAPVVGGFARGGGKSSPPAAEYGDGLDLSLRL >ONIVA09G10970.1 pep chromosome:AWHD00000000:9:12786568:12796836:1 gene:ONIVA09G10970 transcript:ONIVA09G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein / CBS domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G10690) TAIR;Acc:AT5G10690] MDEVGAARRRAVDRGAGAGAGLNTIVMNAVLEACVRCGDVGLALSLFDEMRGPGGCGVDGVSYGILLKGLGIARRIDDAFEILESIEKDTSIGSPRLSPHLICGFLNALIEAGWYKIYLFIFQYIIVIRILGCTGDMRRANALVARFRQVLYEGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDMAIRFLEDMKEEAKRDNNPELLPDAVTYTTLLKGLGNSQDLYSVLKIVVEMKSAPISIDRTAYTAMVDALLACGSINGALCIFGEIIKQAGNNKDLRPKPHLYLSIMRAFATIGDLDMVKRLNKRMWPDSVGSISRSAKEEADELLMEAALNNNQIVLNDPVEKYMIPFQETQPLHADLILEEVVMRFFKDPVVPIVDDWGSCVGIVHRQDCTKIDAPLLSMSRGPPLCVPTSTSVEHVIDLLLREKSEMVVVVKRGNMYEGSYASSSRPLGVFSLAILWKFTADATDIDGMDAVHQLQQDVEASNCG >ONIVA09G10960.1 pep chromosome:AWHD00000000:9:12777540:12780566:-1 gene:ONIVA09G10960 transcript:ONIVA09G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor II 15 [Source:Projected from Arabidopsis thaliana (AT4G31720) TAIR;Acc:AT4G31720] MGSNSAGGGGGGAMVPGGMGGGGPMGAAAAGGGGDGRHDDEAVLTEFLSSLMDYTPTLPPLLGFVSDAVVVVVVVVVRQIPDELVEHYLGRSGFHCPDLRLTRLVAVATQKFISDIASDSLQYDRRLVLTMDDLSKALQEHGVNLKHPEYFADSPSAGMAPAAREE >ONIVA09G10950.1 pep chromosome:AWHD00000000:9:12767408:12768740:-1 gene:ONIVA09G10950 transcript:ONIVA09G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAPEEDAALKAYVDAHGTGGNWIALPHKIGTCRARRRRLEMTVLMSIWLIAHRPELVVVVYAGLNRCGKSCRLRWLNYLRPNIRHGGFTEDEDRLICSLYIAIGSRWATIAAQLPGRTDNDIKNYWNSKLKRRLLGGGRRPRGAPPRLVLTGPGPAVTAAATSRNAMAASAIERMQLSVRLRRLEAAAPPPPQPFAFYGSNNLAAPPWQQPISPAASGSSEMPRRLHHHHPSGAAATSSYSGLISSWPSSRSHIIHDAWLDASSTPPLSTTSMGDAATTTTTAGGESSSSTPTVSTATTPFIGGSIDMDDEIDMLLQQIRCFDENGDDDADQRLIVGDEAAAGAENYLRALIDEAAANGGDVGVGSWSSCSTPGVDSVFHEYAQLDYGQYN >ONIVA09G10940.1 pep chromosome:AWHD00000000:9:12749213:12766912:1 gene:ONIVA09G10940 transcript:ONIVA09G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHTPNPLFLLLFLGYLLFAAAQPQPLTVTVGLIIDGGSPVGKIANTTIPMALDDFYAAFPRSPARVRLLHRDSRGDVVAAASAALELMEGRGVRAILGPQSSVESAFVADLATRAEVPVVSFSATSPSVSPGGGRFFARAALSDAAQAGAIAALARRFGWRRVVPVYQDDDYGAAFVPFLVDALTAEGSEVPYRCALPAGADADAVAAAMYRMESLQTRAFVLHARPDLAGRVLAAAEAAGMMGEGFAWVITDGLTGLLGSINAPQGVIGLAPYVPTTPRLRDVRRRWVRRFMAEHPAADAEHAEIGSYAVWAYDAAWAVASAAEHLTAGDLSPPQGGLVGGKGGPTDFAGLGKSRSGKKFLEAITSTTFDGLGGRFQLVDGELAVHAFRVLNIMDRGKERSIGFWTKDGGLTRHLGVGGGGGGELAPVIWPGESTVVPRGWVVPTSARRLRVAVPGSVNPGYRAIVHLDVDAATNRTTAGGFVVEVFEAAVRLLPYALPVEYAFDAAVADMTITAARSSYVDFTLPYMASGIAMVAPLRDVGRGGERTWVFLKPLRYDLWLASAAFLLLTGFAVWFVEHRGNAEFRGPPWHQLGTLLYFGFSTLVFAHREDLRSNLARLAAVVWFFVVLILQSSYTASLTSMLTVPRLEPSIAGYAALWRGAERVGIMNNSFMRGAMTRSGFPPARLVPYGAAQSFHEALLNGTIGAVVDETPYLRIFLKSYCDRFAMAGGGGGGQPNKTGGFGFAFPKGSPYVADLSRAILALTESEEMNLIERKWFGESDGCAAAQAAGGPFTSDSLSFGSFWGLFLITGATSLLCCAVHLATFVASNRGAIRDIVATSTHPFRRLAELYDGMDLSAHTFKAKDGGAAASPPVVHDAAGSPISLHMGAWSPQPSSTMAGGEIEPAGGEANEEEATTTTAARDPDGAGENGRGQFITINMNMRNAKMTYIVKRREHLSFLGSLSMEAGARLAFVMPLVVVLLLMIFSLGVRGVDVVVDGGGGGAAAARRRRVEVGVILDRRTWLGNISWACMELAVEDFYADEERASYTTALRLHLRDTRLDAVDAASAGVDLLKNVHVQAIVGPQTSAQAKFLAELGEKSSVPVVSFSANSPCRTASQTPYFIRTAWNDSSQAEAIASLVQRFNWRDVIPVIEDDDSNTRFIPDLVDALRNAEIRVTHRCKIHPSAGADDIKKVVLSLKEKWTSVFVVRMSYQLALSFFKHAKDEGMMGQGFVWIAAYGLTDIFDVVGSPAFDVMQGVIGMKPYVNDTKQLQNFRQRWRKMYKSENPGTTLSEPTISGLYAYDTVWALALAAEKAGYVNSDFLLSEKNNGSTDFDRINTSNAAKKLQSTLLNIDFQGMSGKFQFQDMHLLSMTYEIINIVGEEQRVVGFWTPEFNISRGLNTKADVNEIIWPGGETTVPRGWLFPMNKTLKIGVPAKPGFSGFIKKEKDNFTGLCIEVFEEVLNGLPYKIPHDYVEFGNGKGESNGTYDELIYKVYQKDFDAAVGDITILANRSLYVDFTLPYTESGVRMLVPVQDQRQKTAWTFLQPLTADLWLGTAAFFVLTGFVVWFIEHRTNEDFRGPPVNQIGSVFYFAFSTLVFAHRQKIVNNLSRVLLVIWLFVVLILQQSYTASLSSILTVEQLQPTVTNLDEVIRKGANVGYLNDSFMPKLLKRLKIDESKLIALDSPDEYNEALSTGRVAVVVDEIPYLKVFLSKYCHNYTMVGPTYKFDGFGFAFPLGSPLTAEISRGILNFTSSNRMAQLERELYNNRTCPDKDDSQTSSSLTLRSFLGLFIITGASSLLALFLHVVITLYNHRHDLSSASSSQSSWCGWFAILLKIFHEGDRPNAPQLDEPAVSNANTTADTPWSTPDHHIIENVDSGSDVESVREEDRENFVQGPDPPSFAYMHSERGQ >ONIVA09G10930.1 pep chromosome:AWHD00000000:9:12729523:12731263:1 gene:ONIVA09G10930 transcript:ONIVA09G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDSLLDHMLLQTAFSLLKPPSHLQAPLSPYPSRERTTPPRLPDREPPPPATGSARGRNRCHRPSSPHMEESTATRSHRTWEKPPPPVVAARREKSPPPPPVVVARGRCHRRPSSPHDGRSHRHHHHTP >ONIVA09G10920.1 pep chromosome:AWHD00000000:9:12729404:12729976:-1 gene:ONIVA09G10920 transcript:ONIVA09G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRRESRNMPPMTGHARCRGRRCTPPLLALPPQPATAVEVVVFSSPLSPHRSSEHEAGSGKLEVHELRGHTSSWPRCLRSHRSSREGALIHADRGDRRRGGGRSISVVERRESSRSSATTWSSPPLILSSSVGRELVAAAGREERPVGAHHSGREGACHRHHRRSGKSAPSPERSKPSSGTSIHAGYDD >ONIVA09G10910.1 pep chromosome:AWHD00000000:9:12716931:12717389:1 gene:ONIVA09G10910 transcript:ONIVA09G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNREEEGEMNLELTLCYTPPPSPEPPLVGFFLCMYCDRKFDSSQALGGHQNAHKYERSLAKRRREIAAALRAHGAPPAADGAGAAGYSSPAAAQKAVSVEAQQHRAAPKVREEAHQGASAPELGGIARGNRSPEYGVECPHGLDLSLRL >ONIVA09G10900.1 pep chromosome:AWHD00000000:9:12656404:12657008:-1 gene:ONIVA09G10900 transcript:ONIVA09G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSSSQLVFARKPVTCRRPAAGYAASDGMVEHTWGFEAVRVALSVADSFQDFVLREYCGSEESGWMGGRASAPAHG >ONIVA09G10890.1 pep chromosome:AWHD00000000:9:12646974:12647775:1 gene:ONIVA09G10890 transcript:ONIVA09G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSREEEAEMNLELTLCYTPPPSPPPPPPFVGFFFCMYCDRKFHSSQALGGHQNAHKLERSQAKLRREAIAAEILAHRAVVLQAGAAANHDGGYGAGSDPLPAAQKVRAEEVQRGAAASAPEFGGFARGESSPEYGVQQAHGLDFSQTDMV >ONIVA09G10880.1 pep chromosome:AWHD00000000:9:12637443:12637748:1 gene:ONIVA09G10880 transcript:ONIVA09G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTELVRRHEPNRKPHRAYDSLGVHMKMKAHNPFTANRQAQVATHMALQHRRIDPNSVIACSVAATRMRRDCRSKFHAAVTGEVTIQLATLSGSGDGPRL >ONIVA09G10870.1 pep chromosome:AWHD00000000:9:12629483:12629707:1 gene:ONIVA09G10870 transcript:ONIVA09G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNREEAEIKELTLRFTSSASPPDQPAILRFFLCMYCDRTFCSSQQALGGHQNTHRFECSLAKRRRGRRSPPR >ONIVA09G10860.1 pep chromosome:AWHD00000000:9:12626663:12627237:-1 gene:ONIVA09G10860 transcript:ONIVA09G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTRSEGCHPCSLLLFLSGPPLLLSTLFLPLSAPLGGRSKGLFGLL >ONIVA09G10850.1 pep chromosome:AWHD00000000:9:12606408:12621341:-1 gene:ONIVA09G10850 transcript:ONIVA09G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPERAAIFFLLLSLTVAQYTTESGTGTLNVGVILHLKSLVGKMAHTSIMMAVEDFYAVHSSFKTKLVLHIRDSNGDDIQAASEAIDLLENYNVKAIVGPQKSSEATFVSDLGNKSQVPVISFTATNPTLSSIDVPYFLRGTLSDVAQVNTIAALIKAYGWREVVPIYEDTDYGRGIIPYLADALQEFGAYMPYRSAISKSANTDQVEQELYKLMTMQTRIYVVHMSVNIASILFTKAKELGMMSKGYAWILTDGISNIVNSLSPSILEEINGAIGVRFYLPASKELHDFTARWNKRFKQDYPNDPPSQLSIFGLWGYDTTWALAQAAEKVNMADAIFQKQKDTKNTTSLGTLGISTIGPKLLDSILHSKFRGLSGEFDLRNRQREFSTFQIINVVGSRSKEIGFWTAKQGIFRQLNENKTTNINSVPDLDPVMWPGEVYTVPKGWQIPTNGKKLRVGVRTSGYPELMKVEKNPVTNEVTASGYAIDVFEEVLRRLPYAIPYEYVAFDNGQGVNSGSYNDFVYQVHLGVYDTAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRDKNTWVFLKPLTTDLWFGSIAFFIYTAIVIWLLERRINNAELTGSFFRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDVHELLKNGEYVGYHNGSYVGDLLKGLGFDRTKIRAYDNSDDFAEALTKGSQNGGIAAVVHEVPYIKIFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPLVYDFSREILSILEGDSIIHIEKKWIGDQHACQNDGTVVGSSSLNFNSFSGLFLVTGVASTSALLIALLMFLYKNKHRIRNSISRDQTRSRYGPEHINEQNEERVIDSSQVQNLQLTVPDDSEYTCQQEEEISIELSPASGFQPSPDFASQEHRAVASSTIIAIAQNITKNGAGTLDVGVILHLKSLVGKIARTSVLMAVEDFYSVHRNFKTKLVLHIRDSNGDDVQAASEAIDLLENYNVRAIVGPQKSSEATFVSDLGNKSQVPVISFTATNPALSSISVPYFLRGTLSDVAQVNTIAAVIKAYGWREVVPIYEDTDYGRGIIPYLADALQEFGAFMPYRSAISESATTDQLERELYKIMTMQTRVYVVHMSTNIGSILFKKAKDLGMMSEDYAWILTDGISNIANSLCPSILEEMSGAIGVRFYVPASKELDDFTTRWNKRFKEDNPIDPPSQLSIFGLWGYDTIWALAQAAEKVRMADAIFQKQKDTKNTTCLGTLRISTIGPKLLDSILHSKFRGLSGEFDLRNRQLEFSTFQIINVVGSQLKEIGFWTAKHGIFRQINENISKTTNVNSMPGLNQVMWPGEVYTVPKGWQIPTNGKKLRVGVRTSGYPEFMKVERNTATNEITASGYAIDVFEEALKRLPYAIPYEYVAFDDGQGVNSGSNNDFVYQVHLGVYDAAIEDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRDKNTWVFLKPLTTGLWFGSIAFFIYTAIVIWLLERRIKNAELTGERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDIHELLKSGEYVGYRNGSYLSDLLEGLGFDRKKMRAYENPDEFADALAKGSQNGGIAAVVHEVPYIKIFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPLVYDFSRAILNITEGDSIIHIEKKWIEDQHACQNDGTMIGSSSLNFNSFSGLFLVTGVASTSALLIALMMTLYKNKHRIRDSIRRGQTQKEYERETINEQNQERTIDSNQVQNLQLTVPDDSNEYTCQQEGEISIEISPASGIQTSQDIASHRTSRNG >ONIVA09G10850.2 pep chromosome:AWHD00000000:9:12606408:12621341:-1 gene:ONIVA09G10850 transcript:ONIVA09G10850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPERAAIFFLLLSLTVAQYTTESGTGTLNVGVILHLKSLVGKMAHTSIMMAVEDFYAVHSSFKTKLVLHIRDSNGDDIQAASEAIDLLENYNVKAIVGPQKSSEATFVSDLGNKSQVPVISFTATNPTLSSIDVPYFLRGTLSDVAQVNTIAALIKAYGWREVVPIYEDTDYGRGIIPYLADALQEFGAYMPYRSAISKSANTDQVEQELYKLMTMQTRIYVVHMSVNIASILFTKAKELGMMSKGYAWILTDGISNIVNSLSPSILEEINGAIGVRFYLPASKELHDFTARWNKRFKQDYPNDPPSQLSIFGLWGYDTTWALAQAAEKVNMADAIFQKQKDTKNTTSLGTLGISTIGPKLLDSILHSKFRGLSGEFDLRNRQREFSTFQIINVVGSRSKEIGFWTAKQGIFRQLNENKTTNINSVPDLDPVMWPGEVYTVPKGWQIPTNGKKLRVGVRTSGYPELMKVEKNPVTNEVTASGYAIDVFEEVLRRLPYAIPYEYVAFDNGQGVNSGSYNDFVYQVHLGVYDTAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRDKNTWVFLKPLTTDLWFGSIAFFIYTAIVIWLLERRINNAELTGSFFRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDVHELLKNGEYVGYHNGSYVGDLLKGLGFDRTKIRAYDNSDDFAEALTKGSQNGGIAAVVHEVPYIKIFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPLVYDFSREILSILEGDSIIHIEKKWIGDQHACQNDGTVVGSSSLNFNSFSGLFLVTGVASTSALLIALLMFLYKNKHRIRNSISRDQTRSRYGPEHINEQNEERVIDSSQVQNLQLTVPDDSEYTCQQEEEISIELSPASGFQPSPDFASQEHRAVASSTIIAIAQNITKNGAGTLDVGVILHLKSLVGKIARTSVLMAVEDFYSVHRNFKTKLVLHIRDSNGDDVQAASEAIDLLENYNVRAIVGPQKSSEATFVSDLGNKSQVPVISFTATNPALSSISVPYFLRGTLSDVAQVNTIAAVIKAYGWREVVPIYEDTDYGRGIIPYLADALQEFGAFMPYRSAISESATTDQLERELYKIMTMQTRVYVVHMSTNIGSILFKKAKDLGMMSEDYAWILTDGISNIANSLCPSILEEMSGAIGVRFYVPASKELDDFTTRWNKRFKEDNPIDPPSQLSIFGLWGYDTIWALAQAAEKVRMADAIFQKQKDTKNTTCLGTLRISTIGPKLLDSILHSKFRGLSGEFDLRNRQLEFSTFQIINVVGSQLKEIGFWTAKHGIFRQINENISKTTNVNSMPGLNQVMWPGEVYTVPKGWQIPTNGKKLRVGVRTSGYPEFMKVERNTATNEITASGYAIDVFEEALKRLPYAIPYEYVAFDDGQGVNSGSNNDFVYQVHLGVYDAAIEDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRDKNTWVFLKPLTTGLWFGSIAFFIYTAIVIWLLERRIKNAELTGSFFRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDIHELLKSGEYVGYRNGSYLSDLLEGLGFDRKKMRAYENPDEFADALAKGSQNGGIAAVVHEVPYIKIFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPLVYDFSRAILNITEGDSIIHIEKKWIEDQHACQNDGTMIGSSSLNFNSFSGLFLVTGVASTSALLIALMMTLYKNKHRIRDSIRRGQTQKEYERETINEQNQERTIDSNQVQNLQLTVPDDSNEYTCQQEGEISIEISPASGIQTSQDIASHRTSRNG >ONIVA09G10840.1 pep chromosome:AWHD00000000:9:12605536:12628184:1 gene:ONIVA09G10840 transcript:ONIVA09G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSPPAVRRSAAPGDESDADGGRRRRRRGREGFERSAAENMADTGISPHPFRRKHSPDLAAPRPLSSPSLCRPHLRLVSPPDASGTAEKNRYATGQSVPDAAALRRWRRGCRLLANGSGREAAMRFAVQVRVIIRAIKRAEVEATPVTRKSPEKLLKFRLLEPIMLTFDCQMLFLEVSRTIQNISRAIKRAEVEATPVTRKSPEKLLKLRLLEPTTALS >ONIVA09G10840.2 pep chromosome:AWHD00000000:9:12605536:12626882:1 gene:ONIVA09G10840 transcript:ONIVA09G10840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSPPAVRRSAAPGDESDADGGRRRRRRGREGFERSAAENMADTGISPHPFRRKHSPDLAAPRPLSSPSLCRPHLRLVSPPDASGTAEKNRYATGQSVPDAAALRRWRRGCRLLANGSGREAAMRFAVQVRVIIRAIKRAEVEATPVTRKSPEKLLKFRLLEPIMLTFDCQMLFLEVSRTIQNISRAIKRAEVEATPVTRKSPEKLLKLRLLEPTTPLGSATERCGEREEEGREEQWRTGEEEEKRAGVAAFTSGRDHQFRRPSRQTCNNQAARIGRSREM >ONIVA09G10840.3 pep chromosome:AWHD00000000:9:12605536:12626882:1 gene:ONIVA09G10840 transcript:ONIVA09G10840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSPPAVRRSAAPGDESDADGGRRRRRRGREGFERSAAENMADTGISPHPFRRKHSPDLAAPRPLSSPSLCRPHLRLVSPPDASGTAEKNRYATGQSVPDAAALRRWRRGCRLLANGSGREAAMRFAVQVRVIIRAIKRAEVEATPVTRKSPEKLLKFRLLEPIMPLGSATERCGEREEEGREEQWRTGEEEEKRAGVAAFTSGRDHQFRRPSRQTCNNQAARIGRSREM >ONIVA09G10830.1 pep chromosome:AWHD00000000:9:12591608:12602473:1 gene:ONIVA09G10830 transcript:ONIVA09G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQCRTTLLVRHHANWRRVSSCRPREPRLSGFWLEPSRTSPSRRPQLEVNRRSNLIWRRRRLLLLLKRRRRTVKALLLSSDLSPPYSGRRRVGARPRDASGTAAADRQAGSRASERGRDGAAARAASSRSSRAAAAP >ONIVA09G10830.2 pep chromosome:AWHD00000000:9:12591608:12602473:1 gene:ONIVA09G10830 transcript:ONIVA09G10830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQCRTTLLVRHHANWRRVSSCRPREPRLSGFWLEPSRTSPSRRPQLEVNRRSNLIWRRRRLLLLLKRRRRTVKALLLSSDLSPPYSGRRRVGARPRDASGTAAADRQAGSRASERGRDGAAARAASSRSSRAAAAP >ONIVA09G10820.1 pep chromosome:AWHD00000000:9:12580021:12602711:-1 gene:ONIVA09G10820 transcript:ONIVA09G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAAASETAAAHCKGPATFLGLESPLQRATARRRKAARRERHGGSQQADGQQSVGARPAPQTMLFLLLLVHFTVAQNANKTGVVDGFPVGVILDLQTMVGKIARTSILMALDDFYAAHTNYSTKIVLHIRDSGSNNVQAASAALDLLENHNVQIIIGPQKSSQASFVSDLGNRSQVPVISFTATSPSLYSASLPYFVRATLNDSAQVQSIACLIKTYGWREVVPIYEDTDYGRGIIPYLVDALQDIDARVPYRSVIPLSATSEEISQELYKLMTMQTRVFIVHMSSTLAASLFTKAKEVGMMSKGFVWIMTDGITNIVDSMSTSVVEAMNGALGIQFYVNNSELDSFTIGWNRRFQIDNPNDPPLKLSIFGLWGYDTIWAVAQAVENVGVNNRTSFKKPSVARNSTSLENMETSVYGPELLKVILRNKFRGKSGYFDLSNRQLQVSIFRIINVFGKGWKDIGFWNEGNGISRQLNLGKSTTKYADSVSDLNPVTWPGKSTEIPKGWEIPASGKKLQVGVHKSAYKEYMTNQRDPITGATKASGFSIDIFEEAVKRLPFALPYEYVAFDTSRDTSTGSYDEFVHQVYLKKYDVAIGDITIRHSRMAYVDFTVPYTESGVAMIVPSKGTVDKTWIFLQPLSCDLWIATILMFFYTGCVVWLLELLGNKRNTSFFYDYYRIELNVVKLTGWKGKMNYMPLGVRLETSFANQLKESYTANLATMLTVQQLKPTINSIDELRKSGENIGYHDGSFVKNLLEDLNFNTSKIKAYDTPDDFYNALSKGSNNGGIAAFVHEVPYIKLFLAKHCKEYTMVGPFYKTAGFGYAFPKGSPLLGDISKAILSITEGDIIMQLENKWIGYQNDCKSVDSAVGSVSDPDKLHVDSFKGLLILTGAASTSSLLIAGMIYFYEKKKSMTSMQPDQNGEGLEENHNPQEVNEGNRAEENNQLGASTGQSGQQQQQTGAREMSNINLQTSSVRRNSSIFIWHERNLGARVAPISSSTGRAAIFFLFLSLTVAQNITGSGEDTLNVGVILHLKSLVGKMARTSILMAVEDFYKAHRNFKTKLVLHIRDSNGDDIQAASEAIDLLENYNVRAIVGPQKSSEATFVSDLGNKSQVPVISFTATNPTLSSINVPYFLRGTLSDVAQVNTLAALVKAYGWREVVPIYEDTDYGRGIIPYLVDALQEFGASMPYRSAISESANTDQIERELYKLMTMQTRVYVVHMSTNIGSILFKKAKDLGMMSEDYAWILTDGISNIANSLSPSILDEMSGAIGVRFYVPASKELDDFTTRWNKRFKEDNPNDPPSQLSIFGLWGYDTIWALAQAAEKVRMADAIFQKQKDTKNTTCLGTLRISAIGPKLLDSILHSKFRGLSGEFDLRNRQLEFSTFQIINVVGSQLKEIGFWTAKHGIFRQLNKNKSKTTNMNSVPDLNPVVWPGEVHTVPKGWQIPTNGKKLRIGVRTNAYPEFMKVESNPVTNEITASGYAIDVFEEVLKRLPYAIPYEYVSFDNGQGINSGSYNDFVYQVYLGVYDAAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRNKNTWVSLKPLTTDLWFGSIAFFIYTAIVIWLLERRSNNAELTGSFLRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDVHELLKNGEYVGYPNGSYVADLLRGLGFDRTKLRAYNDLDGFADALAKGSQNGGISAVIDEVPYIKIFLAKHCKGYTMIGPIYKSEGFGFAFPKRSPLVYDFSRAILSITEGDSIINIEKKWIGDQHACQNDGTIISSSSLNFNSFSGLFLVTGVASTSALLIALVMFLYKNKHRIRNSIRRDQTQKGYEAERINEQNQEMTIHSNQVHNLQLTVPDDSDEYRCQQDGEISIEQSPASEAMDELVQLAESMCQAASLLLGDDDPSDESSPRRPSTFLNAVDSKPRRIFESAQRHMQCQI >ONIVA09G10810.1 pep chromosome:AWHD00000000:9:12574363:12579268:-1 gene:ONIVA09G10810 transcript:ONIVA09G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJV5] MEKAPHSILFLLLVVNFCAADQDTTRGRAEEFHVGVILDLGSLVGKVARTSISLAVEDFYMVHRNYSTRLVLHFRDSMASDVRAASAAVDLLENYKVQAIIGPQKSSEAVFVSNIGNETQVPIVSFTATSPSLTSNSMPYFVRATSNDSVQVNSIASLIKAYGWREVVLVYEDTDYGRGILPYLIDALQEIDARVPYRSVIPFSATSENIQEELYKLMTMQTRVFVVHMSSTTTSHLFTKAKEVGMMNKGFVWIITNGVANIIDSLSPPVIEAMNGVIGVRFHAPKTKNLDRFSIRWNRMYQRDNPDESPFDKLSIVGLWGYDTIWALAQAAEKVGISTAKKRKQIPSKNSTCLESMVISTNGPDLLTTIVQNKFRGLSGDFDLTDRQLQVSMFQIINVVGRGWREIGFWTAKSGLSQQLNQTGLQITGTASKLNLNPVIWPGESTEIPRGWEFPTNGKKLRVGLHTSGYPEFMKTIKDPVTNATRVSGLSIDIFEEVVKRLPFALTYDYLAFDTEDTASTWSYNDFVYQVYLQNYDIAVGDITVRYNRTSYVDFTMPYTESGVAMIVPVKENKNNDMWIFLKPLSRGMWCGSTIFFIYTGFVVWLLERLNGNGHLHEDKLERFLSRLVLLVWMFVLLVLTSSYTASFASMLTVQQLSPAVNDVHDLQKQGEYVGFHRGSYIEGLLEDIGFDRSKIRPLDTPDDFHSALSNGSKNGGVAALVLEVPYIKLFLAKYCQGYTMVGPIYKTAGFAFALPKRSPLLTDISRAILNITEGDAIIQIEKKWIGQNSCQNDDKVGGSGSITLGSFGGLFLLTGVVTTCSLIIALLTNWHNTNQKSGTEGDDQNQHRHGEKRENGHAQGDQKNEDNRDYSDTENQTKLSVPQSLNTNDDEMRDDRPKNSNLTF >ONIVA09G10800.1 pep chromosome:AWHD00000000:9:12572703:12579905:1 gene:ONIVA09G10800 transcript:ONIVA09G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDADQISPPRPLLHAVASPYPASSNNLHLKLAAGRGRRWQKKRPATGRTGPNSIASSAGPAHASAVGRSLGKYTCRNLPLPTKCWFAPLCPPVIPGPGSHQHGIPLLYLWWYPDQLHRNSQLVTRRGWNERKRVLTNDASGLGSSGI >ONIVA09G10800.2 pep chromosome:AWHD00000000:9:12572502:12579048:1 gene:ONIVA09G10800 transcript:ONIVA09G10800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDADQISPPRPLLHAVASPYPASSNNLHLKLAAGRGRRWQKKRPATGRTGPNSIASSAGPAHGHGAPQRGTDTYLLMQLGHHWPWNP >ONIVA09G10790.1 pep chromosome:AWHD00000000:9:12563878:12572750:-1 gene:ONIVA09G10790 transcript:ONIVA09G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJV2] MEERTRRRDLRAPQIILFLLLFIHFGVAQNATRTRVDEFPVGVILDLQTLVGKIARTSILMALDDFYSVHKNYSTKIVLHIRDAKSDNVQAASEALDLLENHNVQIIVGPQKSSQASFVSDLGNRSQVPVISFTATNPSLYSASLPYFIRATLNDSAQVQSIACLIKAYGWRRVVPIYEDTDYGRGIIPYLIDALEEIDTRVPYRSVIPLSATSEEISQELYKLMTMQTRVFIVHMSSTLAASIFSKAKEVGMMSKGFVWIMTNGITNIIDSMNTSVVEAMNGALGIQFYVNKSELDRFTIGWNRRFQIDNPNEPPLKLSIFGLWGYDTIWAVAEAVEKVGVKNRTLFKKPSVATNSASLEIMETSVFGPELLKVILKNKFRGKSGYFDLSDRQLQVSTFRIINVFGKGWNNIGFWNEESGILQQLNLGKSKTKYASSVSGLNLVTWPGNSTETPKGWEIPGSGKKLQVGVHKSAYKEYMTNERDPITGAIKASGFSIDIFEEAVKRLPYALPYEYVAFDTSRDTSSGTYDDFVREVSLKKYDVAIGDITIRYSRMAYVDFTVPYTESGVAMIVPAKGSANKTWIFLQPLSRDLWLATILMFVYTGSIVWLLELLGNKKDVREPIPRKIGIMIFFSLFGDSYTANLATMLTVEQLKPTINSIDELRKSGVNVGYRNGSFVRNLLEDLNFNTSKIKAYDTPDDFYSALSKGSKNGGIAAFVHEVPYIKLFLAKHCKEYTMVGPFYKTAGLGYAFPKGSPLLGDMSKAILNITEGNTIMQIEKKWIGYQNDCKSADSAVSYVSDPEKLSIDNFKGLFILNGIASTSSLIIAVIIYLYEKNKSMIKMQPDQNGDGLEEINKPQEQSEGSTTEENNQSEPGTGQSGQQQEETEGNEIGNRNIQTRSVKRSGSIFLWRERNTKVAPISSSSRF >ONIVA09G10780.1 pep chromosome:AWHD00000000:9:12559461:12569594:1 gene:ONIVA09G10780 transcript:ONIVA09G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQAAVAATLAAVAAAAAVLSSQLYRRRCRRLASRVRELEASLAAATEKAAAERRGRVRAQQSLRKALSEQELSSDEKKKLSKSPKSFPMASIGVVQSCFSTRNGTPRQPLVVPLARATVVLDPARVPAEALEGLADYSHCWILYVFHLNTDLDKMWNDPARSKLKAKVRVPRLKGGKMGVLATRSPHRPNPIGLSVAKVEAVDGHSILLSGVDLVDGTPVLDIKPYLPYSDSVKGAAIPNWLEVDGALAVESIHFSEHFISALSDCWMHVQKQSLYASADEFQDLVKEVLSWDIRSLSQRIRPHEVTIKDVTDNGRSKIDNGCNNDEDRQSVDSSTSVVYHLHLEGIDVSYRIDQDSNIVVENAALLSSAVNQHQYNYLTWREKFLLVAGHFGLYLIKKQSKWWAAS >ONIVA09G10770.1 pep chromosome:AWHD00000000:9:12548394:12553379:-1 gene:ONIVA09G10770 transcript:ONIVA09G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAPQAILFLLLTTVHLGIAQNTNINGENEVHVGVILDLGSLVGKIAKTSILLAMEDFYAMHPNYTTKVVMHIKDSVGSSVQAATAALDLLANYNVKAIIGPQKSSEAFFMSEIANMSKVPVISFTATSPSLTFDNIPYFVRATINDSLQVNSIASLIKYYKWREVVPIYIDTDYGRNIIPDLLDALEGNDARIPYRSIIPQSATSEQIIKELYKLMTMQTRVFVVHMTSSMASVLFTKAKEVGMMTRGYAWIITFGVASLIDSLNSSVLEAMNGALGVEVYVPKSTELDNFTVRWTTRFRMDNPNDPLLKLSIFGLWGYDTMWAVAQAAEKVKSTKENSEDGHEFLNAILQYKFRGLSGYFDLSSRQLQPPRFQIINVVGKGWREIGFWTAQDGFSQKFSKQKSNKTYLNIEPDLNPVIWPGESTDIPRGWEIPTSGNKLQVGVCTSSGYPEYINADKDPTITGTTKASGLAVEVFEEAVKRLPYALPYEYVFYNTTGSISSSYDDFVYQVYLKKYDIAIGDITIRYKRSSYVDFSLPYTESGVAMIVPVRESINMTTWIFLKPLTPGMWFGSIILFIYTGVVVWLLEFLGNSKTALSQIPTQMHINSTFCTSDIAELLV >ONIVA09G10760.1 pep chromosome:AWHD00000000:9:12546454:12548378:-1 gene:ONIVA09G10760 transcript:ONIVA09G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERLLSRIVLIIWLFFLLVLTSGYTASLTSMLTVRQLQPTVNNVDELLKNGEYVGYQRGSYVKGLLEELGFDKSKIKQYDSTDDSREALSRGSRDGGISALVDEIPYIKLFLAKHCEGYTMVGPIYKTAGFGYAFQKESPLQGDISKAILNITGGDTINQIEKKWIGDQNKCRNVGTITSSGSLTFAGFKGLFILTGVVSTSSLSIALIIYFYKNKQVESGSGDAQQNFPQDIKGDTIEEQKQQEETRAKQIHDMNPQKSMVMRCGSIDIHRGDRPRGPKVVPISSSARF >ONIVA09G10750.1 pep chromosome:AWHD00000000:9:12545574:12556677:1 gene:ONIVA09G10750 transcript:ONIVA09G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTGGTAANRRRSLAVIVQGGGRSPTKPRMVRVLRELRALLSSVERGSKVRAQEIGRSFDWYTVPLFEFFHQNLFDQKEDGLGSGIAWDDENMMTTSIGGWLARWVDGSGLPQWTTRAWPAEDEHPRRGQ >ONIVA09G10750.2 pep chromosome:AWHD00000000:9:12545475:12556677:1 gene:ONIVA09G10750 transcript:ONIVA09G10750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTGGTAANRRRSLAVIVSKCKAAVGRRRSRGWSGFSGNCGHCFPPSSAAARFDWYTVPLFEFFHQNLFDQKEDGLGSGIAWDDENMMTTSIGGWLARWVDGSGLPQWTTRAWPAEDEHPRRGQ >ONIVA09G10750.3 pep chromosome:AWHD00000000:9:12545475:12557278:1 gene:ONIVA09G10750 transcript:ONIVA09G10750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTGGTAANRRRSLAVIVSKCKAAVGRRRSRGWSGFSGNCGHCFPPSSAAARFDWYTVPLFEFFHQNLFDQVRLLLPLEDEKATSSDDDCLPAGSGDRVDWAQRRATVDAAGGGQIWAAPSLPAEPPRRLRRPVCARALAPPDPSPRRRAFAGSTLSVSPLLLVKSSLLLLSGRCRRSLPPQASVAAPSLREPAPRGSPPSAPRGRRHGRSLSPLAAAAASTRREKDGIRYG >ONIVA09G10750.4 pep chromosome:AWHD00000000:9:12545574:12557278:1 gene:ONIVA09G10750 transcript:ONIVA09G10750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTGGTAANRRRSLAVIVQGGGRSPTKPRMVRVLRELRALLSSVERGSKVRAQEIGRSFDWYTVPLFEFFHQNLFDQVRLLLPLEDEKATSSDDDCLPAGSGDRVDWAQRRATVDAAGGGQIWAAPSLPAEPPRRLRRPVCARALAPPDPSPRRRAFAGSTLSVSPLLLVKSSLLLLSGRCRRSLPPQASVAAPSLREPAPRGSPPSAPRGRRHGRSLSPLAAAAASTRREKDGIRYG >ONIVA09G10750.5 pep chromosome:AWHD00000000:9:12545574:12558021:1 gene:ONIVA09G10750 transcript:ONIVA09G10750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTGGTAANRRRSLAVIVQGGGRSPTKPRMVRVLRELRALLSSVERGSKVRAQEIGRSFDWYTVPLFEFFHQNLFDQVMTHDGRSRSSGC >ONIVA09G10740.1 pep chromosome:AWHD00000000:9:12541926:12543257:1 gene:ONIVA09G10740 transcript:ONIVA09G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPSHRLAALLLLLLVVVSPCHAAAAAAGGGPRATRPKAVAMPVGRDGATRQYVATFQQRTPRVAVKAVVDLSGGATLWVDCDAAAGYASSSYAGVPCGSKPCRLVESPSCSYIASCLGSPPSPACLNRTCTGHAENTVTSSVGRGNVVTDVLSLPTTFPSAPVRQGPLATSPAFLFTCGPTSLTQGLAAGATGMASLSRARLALPAQLAGTFRFSRKFALCLPSVDAGVVVFGDARYVFDGMDHSNSLLYTPLITRTTDRSSEYFISLKRVVVDDRAVPLNATLLDVGTKLSTVSPYTVLETSIHEAVTRAFAASMATAGIPRVPAVAPFELCYDGSKVESSAITGEPAVPVVFELYVQSEARSKVAPWMVSGANLMARADGGALCLAVVDGGAAPETPVVIGGHMMEEILLVFDLEKSRLGFSPNLGAFGLSCSKFRLG >ONIVA09G10730.1 pep chromosome:AWHD00000000:9:12531572:12532436:-1 gene:ONIVA09G10730 transcript:ONIVA09G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPGFMDPMRSSGYYDFLKDPDLAHPFGEAATQGDFSQPSEDFPYAHGQFPLFSTQPPTTVAMNGGRTAATRSRVRQRVQANPAGQDDGRGRMYYTRDEDLRLI >ONIVA09G10720.1 pep chromosome:AWHD00000000:9:12523905:12526369:-1 gene:ONIVA09G10720 transcript:ONIVA09G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGVGVGVAYLWGKGRGGRKGTPVVVTMESPNYSVVEVDGPDAEAELRAAAVAMDKGGGRGRSRSRTARQLTWVLLLRARRAAGRLASLAAAAARRFRRSPSAEAADELGRGRGRLMYGFIRGFLALSLLALAVELAAYWNGWRLRRPELRVPEAVEIEGWAHSAYMSWMSFRADYIRRPIEFLSKACILLFVIQSMDRLVLCLGCFWIKLRKIKPRIEGDPFREGSGYQHPMVLVQIPMCNEKEVYEQSISAACQLDWPREKFLIQVLDDSSDESIQLLIKAEVSKWSHQGVNIVYRHRVLRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPTPDFLKKTIPHFEGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIQALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMHLFRLCLPDILTAKISSWKKANLILLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPVCMSFLNILPSPRSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWIVTKKSGRSSESDLSTAVERDTKDLTLPRLQKQISESELIDLKMQKERQEKAPLGAKKANKIYKKELALSLLLLTAATRSLLSAQGIHFYFLLFQGVSFLFVGLDLIGEQID >ONIVA09G10710.1 pep chromosome:AWHD00000000:9:12521672:12523815:-1 gene:ONIVA09G10710 transcript:ONIVA09G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYFILDKLLVRTDMQRYCKGSISYIGHVVQNSGTNERPSISASRLEALLPIHLQLLFFLAKEFVHLIPTTFHGDLTSKRVNTTLPVSNVSTKDQHNASTIIVITQVPFHLCLLQDEKLEGHWHFGTACNWQNDVFVLYEI >ONIVA09G10710.2 pep chromosome:AWHD00000000:9:12521672:12523232:-1 gene:ONIVA09G10710 transcript:ONIVA09G10710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIQAQTRGHPFLPVEFVHLIPTTFHGDLTSKRVNTTLPVSNVSTKDQHNASTIIVITQVPFHLCLLQDEKLEGHWHFGTACNWQNDVFVLYEI >ONIVA09G10700.1 pep chromosome:AWHD00000000:9:12505461:12511765:1 gene:ONIVA09G10700 transcript:ONIVA09G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALGAMASLAPKLGELLMQEYVVQKGLKPDIESLSTELVMMNAALIDVSRVPPDQLSEVEKLWARQVRELSYDTEDAVDDFILRVAGYDSPAADDANVFKKIIRKATAEMKKVKNSHQISEKVKDIKKLSNHLAELRAKYTVRGVGANLAASTGIDPRVLNLYKNESDLVGIEEARNRVIRMLLKGTKDHAHESDQSLKIVSIVGIGGLGKTTLAKTVHDMLKKKFFDCSAFNSVGRTPNLAMTFEKMLVELDQKYKEADMARWDVERFCNELHKFLQHKRYFIVVDDIWDKGSWEAIKYALKDNNCGSRIIMTTRNFEVVTKAEEVYRLKPLSYGKSKELFYKRIHNQEGESLDDLSGEVSIKIIDKCEGVPLAIIAIASLLVDKPCEDWSKVYDSIGFGNGDNTMKILSYSYYDLPSYLKPCLLHLSIFPEDNILDTNGVIWMWIGEGFVHLEEGEGSLFEVGERYYKELVNRSMIQPTENQLYPFTQWFHIHDIVLDLIRKFLKDENFFTILGSSEQHASSNSLRREKKTGMPRVDKVRRLAVLNHDVQQISKDTMNIPEVLRSLNIVSSTIEIMAPLHSFRACRVLYIQESNVPPISLMHLGRLLHLKYLEINETHVDELPKEIGHLKSLQALLLINIGLHELPPTVCLLTQLMCLIIEGFKRLPANRMGNLTSLEELRLKSVVGQRATEDLVVELAKLTRLRVLTITFSEELEESLQEALVQSLCNMRKLQELVLSSKMSQLQGATVWEGWEPPRQLRRLLIQGITFSRPPGWVNRSHLPCLCFLSLNVYVVVEEDLDNLVRLPELCYLELDSLSWPPGYTVGTDGFKNLRFCRVGTAFKIHVGAMPRLEELHFGVFAGHARFEVNNMPLEQYPTKDVIEALDLGLDNLLSLEQVSVLVDCLGATTAQVQEVEVVVRRAVKNHPNRPTIEMDRVYEEDMLSDEDQETLVSQCAEQHIEELVDVLEWKDKPDALFTSRLMSYRRLQDAVITINCAGASMCEVEKVEAAVRHAAEVHGNHATIQLIRINTNEMVSSSNQEAAGSAVSNALE >ONIVA09G10690.1 pep chromosome:AWHD00000000:9:12489626:12492868:1 gene:ONIVA09G10690 transcript:ONIVA09G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHRHLTPSPSHEEHETPNPSLTPPPMQLAALASDEPPPPPPEQSPRRIVVAHRLPVNATPDPGSPFGFAFSLSADAHALQLSHGLGLAHVVFVGTLPAEAARALRRSDELDRHLLGCFSCLPVFLPPRAHDEFYAGFCKHYLWPRLHYLLPHAPAANGYLHFDAGLYRSYASANRSFAARVVEVLSPDDGDLVFVHDYHLWLLPSFLRRGCPRCRVGFFLHSPFPSAEVFRSIPVREDLLRALLNADLVGFHTYDYARHFLSACSRLLGLAYTSRHGRVGINYHGRTVLIKILSVGVDMGLLRTAMASPEAAAKFREITEVEYKGRVLMVGVDDVDIFKGVRLKLLAMESLLETYPALRGRVVLVQIHNPTRCGGRDVERVRGETAKIQARINARFGGPGYQPVVVVDRAVPMAEKVAYYAAAECCVVSAVRDGLNRIPYFYTVCREEGPVDAKGAAGGQPRHSAIVLSEFVGCSPSLSGAIRVNPWNIEAMAEAMHGALTMNVAEKQARHVKHYTYLKLHDVIVWARSFAADLQLAFKDRSTMRTIGMGIGPSYRVVAVDAAFKKLPPELVNLSYRAAAAAAAGGGGGRLILLDYDGTLEPTGAFDNAPSDAVIVILDELCSDPNNVVFIVSGRSKDDLERWLAPCANLGIAAEHGYFIRWSRDAPWETMASKQLAAAMEWKAAAKNVMRHYAEATDGSYIEAKETGMVWRYEDADPRLAPLQAKELLNHLATVLASEPVAVRSGYKIVEVIPQGVSKGVAAECIVSAMAARRGGAPGFVLCVGDDRSDEDMFGALASLCGGGKNGGASSSTTTTTALLAAAQVFACTVGNKPSMASYYLNDKEEVVDMLHGLAFSSPSSRLRAAAAPRRPADFDIKSLLRCE >ONIVA09G10680.1 pep chromosome:AWHD00000000:9:12483131:12484751:-1 gene:ONIVA09G10680 transcript:ONIVA09G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEFAANGGIHGSLTGISIGVSVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQIFWFFNLSLLTVMSSEFAANGGIHGSLTGISIGVSVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKSATRLSVVTTTVFYMYMLCGCMNYALPDNLLRRRSARGEGFPWGKHHQSGIGWIPSHHPIPFHVGC >ONIVA09G10670.1 pep chromosome:AWHD00000000:9:12470186:12470419:-1 gene:ONIVA09G10670 transcript:ONIVA09G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSMFTVGKNKGVALSVHRKPLQHMVQRRLRELKKIVPDAHEDNVDVLLRQTAEYICILELKVAVLRKLAAIYGA >ONIVA09G10660.1 pep chromosome:AWHD00000000:9:12466655:12472424:1 gene:ONIVA09G10660 transcript:ONIVA09G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRPRDFQVSDVHAKARPICNSPPPTPSSPLVDAPPPPLNHRSHRADEMAVAPGPGWAPPPRRFPAAAALPSGSDPLPSRSPAKQLRVDYYEASTHKSLLQIAASFRKTATFSSRMQMYSAVCRSSTSTLSSWASGSMITFWIFCIAFYAWSYQLQKGNEGETWIGSRDKTCESFKRCWRRTWACTEAQPAETGDRRKCLHISSSTKRSWITGKMHIHVERAASTN >ONIVA09G10660.2 pep chromosome:AWHD00000000:9:12466655:12472441:1 gene:ONIVA09G10660 transcript:ONIVA09G10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRPRDFQVSDVHAKARPICNSPPPTPSSPLVDAPPPPLNHRSHRADEMAVAPGPGWAPPPRRFPAAAALPSGSDPLPSRSPAKQLRVDYYEASTHKSLLQIAASFRKTATFSSRMQMYSAVCRSSTSTLSSWASGSMITFWIFCIAFYAWSYQLQKGNEGETWIGSRDKTCESFKRCWRRTWACTEAQPAETGDRRKCLHISSSTKRSWITGKMHIHVERAAST >ONIVA09G10660.3 pep chromosome:AWHD00000000:9:12466655:12472424:1 gene:ONIVA09G10660 transcript:ONIVA09G10660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRPRDFQVSDVHAKARPICNSPPPTPSSPLVDAPPPPLNHRSHRADEMAVAPGPGWAPPPRRFPAAAALPSGSMITFWIFCIAFYAWSYQLQKGNEGETWIGSRDKTCESFKRCWRRTWACTEAQPAETGDRRKCLHISSSTKRSWITGKMHIHVERAASTN >ONIVA09G10660.4 pep chromosome:AWHD00000000:9:12466655:12472441:1 gene:ONIVA09G10660 transcript:ONIVA09G10660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRPRDFQVSDVHAKARPICNSPPPTPSSPLVDAPPPPLNHRSHRADEMAVAPGPGWAPPPRRFPAAAALPSGSMITFWIFCIAFYAWSYQLQKGNEGETWIGSRDKTCESFKRCWRRTWACTEAQPAETGDRRKCLHISSSTKRSWITGKMHIHVERAAST >ONIVA09G10650.1 pep chromosome:AWHD00000000:9:12462790:12470033:-1 gene:ONIVA09G10650 transcript:ONIVA09G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAPIKEFVWLEKPAIVSDNAMSGVHHENNGHQSFDNCAVYKKLKKILKKDLSDLIVQLNGSEWMVTCVKTAGFSTFSKETNISGIFPDHQMTSERKARGSQELIESNIRIDTTLLIHVAASKGSSYAPPMLHPHAADAPPPATPPRPSCQPPPTGTATSIADSPSRPIVACIADLPRPAPPPASSTPCLHVNLHRLPGLETVAVIGKTNGANLFAWDDRRGLLAVGCWKRLTIFRLDNENSAPHCLFFLGCDLSVYGYGLTVTSFGFRWKLRWPVGSAQFGEWEPLSHDEARSLFAFVAPYVNEAKETKSSSSLPQKTTEMQRSGIGSRVIRQGIGRQGGR >ONIVA09G10640.1 pep chromosome:AWHD00000000:9:12457426:12462282:1 gene:ONIVA09G10640 transcript:ONIVA09G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAFLSSWPWDNLGAYKYVLYAPLVGKAVAGRAWERASPDHWLLLLLVLFGVRALTYQLWSSFSNMLFATRRRRIVRDGVDFGQIDREWDWDNFLILQVHMAAAAFYAFPSLRHLPLWDARGLAVAALLHVAATEPLFYAAHRAFHRGHLFSCYHLQHHSAKVPQPFTAGFATPLEQLVLGALMAVPLAAACAAGHGSVALAFAYVLGFDNLRAMGHCNVEVFPGGLFQSLPVLKYLIYTPTYHTIHHTKEDANFCLFMPLFDLIGGTLDAQSWEMQKKTSAGVDEVPEFVFLAHVVDVMQSLHVPFVLRTFASTPFSVQPFLLPMWPFAFLVMLMMWAWSKTFVISCYRLRGRLHQMWAVPRYGFHYFLPFAKDGINNQIELAILRADKMGAKVVSLAALNKNEALNGGGTLFVNKHPGLRVRVVHGNTLTAAVILNEIPQGTTEVFMTGATSKLGRAIALYLCRKKVRVMMMTLSTERFQKIQREATPEHQQYLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGACEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVDRIDVVWEAALRHGLRPV >ONIVA09G10620.1 pep chromosome:AWHD00000000:9:12452156:12452626:1 gene:ONIVA09G10620 transcript:ONIVA09G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIKDSCPRVAPRAGATRVATTTTAALPPRLLPFFASPPPERAAGNCVAARTAAAGPPFFPRGRGLEPTTPPRSGVPTASTELGEGLAPSGGGNGESGSVDGEAETTGTTGRDGVGKGDDSDAASPDLASPGQIRPPSSESGLPGAGGQLSSRLR >ONIVA09G10610.1 pep chromosome:AWHD00000000:9:12429467:12433158:-1 gene:ONIVA09G10610 transcript:ONIVA09G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJS7] MGAGWEEYKPCAAMVAAQCIYAALALWAKAVFTGGMSTMVFVVYRQAIATVFLVPIAIIANRRKKKETRLGMTGFSLIFVASLFGATVNQYVYYQGLHLGSSSMATAMSNLIPAITFVMAASVGLEKVDLRRVRSLAKIFGTTVCVGGAMAMAFFKGPRLLNSSSLIVDLNFLLHSSASSKWVMGALFLICSSCCWSLWLILQVPICKSYMDPLTLSAWMCFLSTLQSAVLVSFLVPDINAWKIHSLFELGCCLFAGVFGSGVTFYLQSWCISVRGPLYSAMFNPLCTVIATVVAAAFLHEELHIGSLFGATAIVAGLYIVLWGKAADGGGKSGGSVPEHSHDVEKAAMRSESQLDVGEGITEPLLEAGNTAEK >ONIVA09G10600.1 pep chromosome:AWHD00000000:9:12418619:12420131:-1 gene:ONIVA09G10600 transcript:ONIVA09G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJS6] MAMAFFKGPKLLNYTLGDLNMASSKWVLGALCLVASSSCWSLWLISQVPMCKSYADPLSLSAWTCFFSALQSAALAVFLAPDLDTWKIHSLFELSGYIFAGAFGSGVNFYLQSWCTSVRGPLYPAMFTPVCTVLTTAVAAAVHREALHIGSLLGAAAVIAGLYVVLWGKADDMKQPATGTTKPCSSDSRRDDVATEPLLGDASSRAFDPAAER >ONIVA09G10590.1 pep chromosome:AWHD00000000:9:12411405:12416748:-1 gene:ONIVA09G10590 transcript:ONIVA09G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLAAAAREWTPCAAMVAAQCIYAAMTLWAKAMFGRGVSPVIFVVYRQAIGTHHPPRQQKSRAKVKETRSLGTTGLFLVFVTALLGHGDGKPEPDLPRAASGLVIHGDGHDQFDTRDNFLDGSVSRERVNVRERGTMAKISGTIVCVGGAMAMAFFKGPKLLNYTLGDLNMLLHSPAISKWVLGALCLVVSSSCWSLWLILQVPICKFYVILCLCRHGPASSRRCKAQRSPSSWSRTRTHGRSTSSSSSRATPSPLMGAAAVITGLYVVLWGKADDMKRGSEPATAAAKPCSDSCRDVERTAAEEPLLADAVSSDQL >ONIVA09G10590.2 pep chromosome:AWHD00000000:9:12412343:12416748:-1 gene:ONIVA09G10590 transcript:ONIVA09G10590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLAAAAREWTPCAAMVAAQCIYAAMTLWAKAMFGRGVSPVIFVVYRQAIGTHHPPRQQKSRAKVKETRSLGTTGLFLVFVTALLGHGDGKPEPDLPRAASGLVIHGDGHDQFDTRDNFLDGSVSRERVNVRERGTMAKISGTIVCVGGAMAMAFFKGPKLLNYTLGDLNMLLHSPAISKWVLGALCLVVSSSCWCQSANFM >ONIVA09G10580.1 pep chromosome:AWHD00000000:9:12408713:12410560:-1 gene:ONIVA09G10580 transcript:ONIVA09G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJS3] MAGGGGGWWVERYGPCVGMVMVQWFYAAVDMALKAAYGMGMRPIVFVAYRQGIAAATLLLASLAARGWDLRRHMAVGAPAFALLFAASLARYTKLTSWLILVRTPLDRIGRRPLISRLILVGSATGQYFYFLGLQLASPSMARATTNLAPGITFAIAAVIGLEKVDLRSSRSLAKIAGTVVCLAGAMAMAFFKGPKLLGAVLVAATTDDDWVKGGIYLIGNAFCVSIWYILQVPVCRSYLDPLSLATWMCFLATLQCAVMAFFLESNYLQIWKLASIWELPCILYGGVFASGANFFLQSWCISVKGPLYSAIFTPLSAVITTILSTIFLHEELHIGSILGAIAIIIGLYVVLWGKADDAKSEGLTILSNDSKRITEPECTGVKVECGTNLSVPLLSGNANANT >ONIVA09G10570.1 pep chromosome:AWHD00000000:9:12404709:12407812:1 gene:ONIVA09G10570 transcript:ONIVA09G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNSLLGILNAVTFLLSVPVLGGGIWLATRADGTECERYFSAPVIAFGVFLLLVSLAGLVGACCRVNCLLWFYLVAMFVLIVVLFCFTVFAFVVTNKGAGEAVSGRGYKEYRLGDYSNWLQKRMENSKNWNRIRSCLQDSKVCKKLQDKNWDRIQFFKADLSPLESGCCKPPSSCNFLYVSGTNWTKVPTNSSDPDCNTWVDDGTQLCYNCQSCKAGAVATLKRDWKRVAVVCIVFLVFIVIVYSLGCCAFRNNRRDNRGAYRGAAWKGGYA >ONIVA09G10560.1 pep chromosome:AWHD00000000:9:12401536:12401982:-1 gene:ONIVA09G10560 transcript:ONIVA09G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHHLSFSFFSPFLSPLFSLGSELLVDAEEIDHCHADDVVACADARGDTEDEGDPLLGLPGADADVPGAEEGRGVERPAEEGDHLLIVSADVVPAGCSARHQAASSWVGELAVDISSCKHTQRNTQGGSILIAMQKQPLMHASYLAG >ONIVA09G10550.1 pep chromosome:AWHD00000000:9:12399841:12402319:1 gene:ONIVA09G10550 transcript:ONIVA09G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWRQGGAVGCGRRPAGEQLGTGVGSTRRRRPHPQPLQFPPASATSVFACHCRMVDPTSRMRIRPARDGHIAAVVDASNGSESWAAASAEEHPSSRSDEQSEEADEDGDGNAEAVGAAVLLFSPPPPAVAVATLLLFPSSDAGAAAAGT >ONIVA09G10550.2 pep chromosome:AWHD00000000:9:12400226:12402319:1 gene:ONIVA09G10550 transcript:ONIVA09G10550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWRQGGAVGCGRRPAGEQLGTGVGSTRRRRPHPQPLQFPPASATSVFACHCRMVDPTSRMRIRPARDGHIAAVVDASNGSESWAAASAEEHPSSRSDEQSEEADEDGDGNAEAVGAAVLLFSPPPPAVAVATLLLFPSSDAGAAAAGTSLFKTVMVRCGHCSSLLTVNIRGLLLPTSAAATVATAPPPPPPPPPPAAAHFPHSLNLAPPANPPHHHSLLDEISTASSPTQLLAA >ONIVA09G10540.1 pep chromosome:AWHD00000000:9:12395633:12396106:1 gene:ONIVA09G10540 transcript:ONIVA09G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKACNLAAALLLLLMVAFMCMSSGVLVEGRPVARREDLSIGLGGGGGGGSGGVGIGVGVGVGVGLGPGGVSVSGSGSGSGSAAGVGSASGSRSGSVSVGGASSSAGSSAGSSTGSGGSRTGSSAGSSAGSSGGSGLGIGFGQGSGSGSGLSGNP >ONIVA09G10530.1 pep chromosome:AWHD00000000:9:12391324:12391851:1 gene:ONIVA09G10530 transcript:ONIVA09G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKGGAVAALVVVALLCVMSGGCVESRRVARMGLDIGLGSGQGIGLGIGLGLGVRAGTGGVSASGSGSGSGSVAGAGSTSGSRSGSVSIGGASSSAGSSAGSYAGSGGSGAGSSAGSRAGSGGGQGYGYGGGSGSGSGSGYGEGRGYGRGSGNGSGLGFGEGYGYGSGSGGNP >ONIVA09G10520.1 pep chromosome:AWHD00000000:9:12387341:12389369:1 gene:ONIVA09G10520 transcript:ONIVA09G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGIGVLDSTLEAALDPTLDPALEPANDPALEPELEPVEDDVLELAEDPALEPLPDLDPEPEVEAELEAELELELLVPPIPTPAPSPIPRPLELDPEPEAETDPEADPKPGLDPEPDTDVEPDLELEPEPPPPRPMPIPTPSPRPRPPDPEPKPDPEPDPELEPEPDHEPDPELEPEPDPEPEPEPPTPKPIPTPIPSPPPRLIPRSFLAKRLSPTGASDAATATKTTKAMDFVQTIVLYCLQHATATILRASNGDEMMQVFDRPHGNLYIDRDQCKIELAMWLVKVSQSGVVELCLRVCS >ONIVA09G10510.1 pep chromosome:AWHD00000000:9:12385717:12386520:-1 gene:ONIVA09G10510 transcript:ONIVA09G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMKTFALGIVVLATLVLASESRISRKDLSIDLGGDGGIGIGTGISIGIGGGAGGSGSGSGSGSGSFSGSGSSSGSGSASGSGSRSSAGSSAGSSAGSSVGSRAGSYASSGAGSGSGYNQGQGTGEGEGEGQGQGYGSGHGAGTGSGYGEGHGEGNGFGSGYGEGHGEGYGEGNGSGSGYGEGHGEGHGQGNASGSGYGDGHGEGHGYGQGSGYGEGHGSGHGQGSGSGYGEGYGKGSGNGYGNGSGSGYGEGHGYGYGSGSGRGK >ONIVA09G10490.1 pep chromosome:AWHD00000000:9:12373449:12380446:-1 gene:ONIVA09G10490 transcript:ONIVA09G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamete expressed 2 [Source:Projected from Arabidopsis thaliana (AT5G49150) TAIR;Acc:AT5G49150] MGSPRSSLPPLWLLLLCVVAAARRASMARAQQPMPPSFAFSWLDYRSSFVAGDTAVIKITPLDLPPGDEARRSLSFTATVNGRRGNSTYIADVAAHHAGEPAAWNITFVPLRAGDFVVLVGEERFGVAESTLEFAVAAAGVHPSASLASWTYSGACVAGSKASVSVALRDAFGNGVARGADMPGGNGNLKVSVSRSNGAIVEFKDFRYNGWAEDGRISLEFVPVVAGAFLVRVQSDDNTLRGSPLLLTVNPGPVDIAKSTCSWKYGTNVLQIFSKLEIFIHQKDYFGNAVPDIHPFDARIVKRATNLSVPVADLLIEVVDDGTRLLSFKAVDPGEFVLTIFDPKLNQKISNMDYVYNVFVGYCDGSNSFANGSGLAHSVAGSVSHFMVYLQDHYSYPSPIESAWLKVQILSKNGASVNSTISPGELNEETFVGGHFSTFVGGHFSGGPTGHQEKIIAGNMRPNSFNVSYTPKFAGEYEIWVQCGNIVINSGNPYKMTVSTGVVSTDLSTVVTFVRKVKTSVHNEVVVQLVDPFMNPMIHLASKLRIQLTSADSTTPMNAPSFTAGEFVDNKDGSYTTYYVAKNTGLYRICIQFEDAQLKPCPFEVHVVQGIHDNFVPTFLKPLHGSVLQYNQKKFRYTPFEGFFGNDTFWYIIFDKHDNIAYGTVFISVLCRPPQFISLPQQLHATEDTIAPQFGGFPGIKIAYSDAAENISVMLQAQSGNVSLAPMPMKFHQTSYDVLSISTGDRYGKDLIFNGTVEAINGALRFVKYIGNEDFYGNDIIKIYAMSRSGREDAQVPIFVEPINDPPVILAPESIFLGGKKSIEGYQIFDKQRDPFESSIVEPDLQSFPGNKSHLQLVLSLEVHEGALMVTLTAGIVATAEVKIEGNNYWQPLQTSSADRIVLRVAGIRFRGSVSDCNNAMQRLFYQVNILSSLVTSLVHSMNYLSPFLSEYVVPRPGKLNFSDNPNELLFGKIELVPDFVNDYLSVQTKMGRSNETTLVIIVNDLGYFGCYPDCSMKSGTPLSTIKTIRLLIRKSVKSRDLLLRTALTIELSLGCVLLYYILKCICALKGKGKNHNKKTRKLKKTASHQNTSTSSSDDAGYLSAPATVLSSGGNRSSLRQRSPRSRRQELELQPLTMSRNNGDQDDQLAEHKDK >ONIVA09G10480.1 pep chromosome:AWHD00000000:9:12371005:12372435:-1 gene:ONIVA09G10480 transcript:ONIVA09G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWYLADEKTDEGSEPERRGNGGELEGDLTEAAPSSSSSAVGDDDDDPFLSTGFVSTFSAFSRERERLEGRGRQRGVRWHERLCASSPPPLTAWVSVEEEEVAVVRTTTTTSRRHGRSARCLLGTREKKDGDGGRAIGPLDVLETENHACQTEIILK >ONIVA09G10470.1 pep chromosome:AWHD00000000:9:12365545:12371463:1 gene:ONIVA09G10470 transcript:ONIVA09G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase [Source:Projected from Arabidopsis thaliana (AT2G23890) TAIR;Acc:AT2G23890] MAAARLRLLSAGLLSPAVSRARHSSSLQGLRALSTLSTTLGSGAGEDEIERIRREFEDAKRNYLSIPVAIKDMAKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNELKYPESCLKYEYDHGFPIRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRHKLSLSEIKELYGTRHIGRDQARQLVGLMDVFCFSEACLLADIVQHFVDARLEFDASYVYEDVNQSIQHVHRSGLIHRKILSEPQKYLIKNSQVFRFLKMLREKGKNLFLLTNSPFYFVDGGMSYLLEDEHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTQKDTLAFTAVDKFLPNEVYYHGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPAKAGWRTAAVIRELEDEIEIQNGDSYRFQQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNAERRQCRSAMRDLFNSSFGATFLTDTGRESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFSGS >ONIVA09G10460.1 pep chromosome:AWHD00000000:9:12360195:12360710:-1 gene:ONIVA09G10460 transcript:ONIVA09G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVISNVSAPASGANFFAIVASGSTWLIDMVSAYTTLLGKRVDQDFDKNNSIQIMWHHRRPEFQQGHALI >ONIVA09G10450.1 pep chromosome:AWHD00000000:9:12352400:12354245:-1 gene:ONIVA09G10450 transcript:ONIVA09G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSSSMYEAPLGYKIEDVRPAGGIKKFQSAAYSNCARKPS >ONIVA09G10450.2 pep chromosome:AWHD00000000:9:12352402:12353621:-1 gene:ONIVA09G10450 transcript:ONIVA09G10450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPGNIKEHFVDVLMESKGGKKKSSSSSSMYEAPLGYKIEDVRPAGGIKKFQSAAYSNCARKPS >ONIVA09G10440.1 pep chromosome:AWHD00000000:9:12351057:12352235:-1 gene:ONIVA09G10440 transcript:ONIVA09G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJQ8] MSMSLADSWGSAPASPIGFEGYEKRLEITLSDAPVFVDPCGRGLRALSREQIDSFLDLAKCTIVSHLSNKHFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAAELSLPVLSVKYSRGMFIFPGAQPSPHRSFSEEVSVLNSFFGGLKSGGNAYVIGDAFKPKKKWHVYYATEEPEQPMVTLEMCMTGLDAKKAEVFFKDSTDGSCSSAKEMTMLSGISEIIPEMEICDFEFDPCGYSMNGIYGPAVSTIHVTPEEGFSYASYEAMNFNPSSLVYDDLIKKVLACFCPSDFSVAVTIFGGHGFAKSWAKGAEVDSYMCDDLVEQELPGGGVLMYQSFTAVTPGAVSPRSTLDGWNSDGAEMVAKSKEMSVCWEGEKAVKKKDADA >ONIVA09G10430.1 pep chromosome:AWHD00000000:9:12346693:12349522:1 gene:ONIVA09G10430 transcript:ONIVA09G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIVAAAEGGVRRRRRYALLLAARDSDYVRKVYGGYLEVFVRAFGDDGDVGDGGGEEWDMFRAVDGELPGADEVDGYDGFVISGSPHDAYADDLWILRLCLLVRDLVAMRKRLLGICFGHQVICRALGGRVGKARGGWDIGIREVAMAESLPPYRFLDDALQGITAAAAAPYAKITEVHQDEVWELPAGAEVLASSSKTGVEMFCAGDRVLGIQGHPEYTADILLNLVDRLSSAGSITMAVAEGVRRQLEDTGPDREFWIKLCKSFLKTEEE >ONIVA09G10420.1 pep chromosome:AWHD00000000:9:12323379:12334657:1 gene:ONIVA09G10420 transcript:ONIVA09G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNSTTTNTSSSGVAAAAAAAAVKPKRTRKSVPRESPSQRSSVYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPDTILNFPLSAYEGELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGAGAAQNPHPMLGALSAQDLPAIDLDAMASSFQHDGHGAAAAAAQLIPARHSLGHTPTTSALSLLLQSPKFKEMIERTSAAETTTTSSTTTSSSSPSPPQATKDDGASPQCSFPKDIQTYFGCAAEDGAAGAGYADVDGLFFGDLTAYASPAFHFELDL >ONIVA09G10420.2 pep chromosome:AWHD00000000:9:12323379:12334657:1 gene:ONIVA09G10420 transcript:ONIVA09G10420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNSTTTNTSSSGVAAAAAAAAVKPKRTRKSVPRESPSQRSSVYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPDTILNFPLSAYEGELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGAGAAQNPHPMLGALSAQDLPAIDLDAMASSFQHDGHGAAAAAAQLIPARHSLGHTPTTSALSLLLQSPKFKEMIERTSAAETTTTSSTTTSSSSPSPPQATKDDGASPQCSFPKDIQTYFGCAAEDGAAGAGYADVDGLFFGDLTAYASPAFHFELDL >ONIVA09G10410.1 pep chromosome:AWHD00000000:9:12313849:12320542:-1 gene:ONIVA09G10410 transcript:ONIVA09G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:monogalactosyl diacylglycerol synthase 1 [Source:Projected from Arabidopsis thaliana (AT4G31780) TAIR;Acc:AT4G31780] MPAPTASSLAAAADPALPAAFLSLPSPLLPASPPLPAAPAPSSNAFCVPRGPARAVAVSVSVSAYGAGSTAAASRLHRMWAEFSRFVRLHGNQIAPLGFASLGLGVGGGGGGSGEGAGGGGGGGGGEVDGLVEEEGVARAEAPKKVLILMSDTGGGHRASAEAIKAAFIQEFGDDYQVFVTDLWTDHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRRGCKRSHEIPTRCNYQCPSFNAACPTPNPKVKRFHKLVTRCYCPSAEVSKRALKAGLQPSQIKVYGLPVRPSFVKPIRPEDELRRELGMDEYLPAVLLMGGGEGMGPIEATARALGDALYDEVLGEPTGQILVICGRNKKLTSRLQSINWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVDNGCGKFSKSPEQIAKIVADWFGPRSDELKMMSQNALKLARPDAVFKIVHDLHELAHGKRAYDGPHHFLRTESAVAKI >ONIVA09G10400.1 pep chromosome:AWHD00000000:9:12309616:12311854:1 gene:ONIVA09G10400 transcript:ONIVA09G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGACTLLLLLLVLGAGGGGGVHCLEVTRSRRALQRRHHLRSRAESGATILELRHHGGGGGGGGGSGKSGGRSREEELGGLFSSDAARVSSLQRRAGGGSWAEDEAAAAAATGRVPVTSGARLRTLNYVATVGLGGGEATVIVDTASELTWVQCAPCASCHDQQGPLFDPASSPSYAVLPCNSSSCDALQVATGSAAGACGGGEQPSCSYTLSYRDGSYSQGVLAHDKLSLAGKIIDGFVFGCGTSNQGPFGGTSGLMGLGRSQLSLISQTMDQFGGVFSYCLPLKESESSGSLVLGDDTSVYRNSTPIVYTTMVSDPVQGPFYFVNLTGITIGGQEVESSAGKVIVDSGTIITSLVPSVYNAVKAEFLSQFAEYLQAPGFSILDTCFNLTGFREVQIPSLKFVFEGNVEVEVDSSGVLYFVSSDSSQVCLALASLKSEYETSIIGNYQQKNLRVIFDTLGSQIGFAQETCDYI >ONIVA09G10390.1 pep chromosome:AWHD00000000:9:12297588:12303558:1 gene:ONIVA09G10390 transcript:ONIVA09G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G10820) TAIR;Acc:AT5G10820] MPEEQQEAEEGIAGGGGGWASTALQPVRWLRMLCRELGATFVAGVVLVYGLSQGFAGSFFRVASDYYWKDVQRVQPATVQLLSAVFFIPWVLKPLWGIMTDVFPVRGYRRRPYFLFAGVLGTASAAIVTMVNGLPMTSAILSFVGISTAVAIADVTIDACIAKNGIDKPSLVPDMQSLCAFSSSLGALIGYATSGMFVHHLGAQGALGVMALPPATLVFLGFFIYELKMYQHNVKEKVLNKVHMAVKGMAQTIKYPVVWKPSLYMFLSLALSISTHEGQFYWYTSKEPPNPGFSQEFVGMVHAIGAVASMVGVLVYHKYLKDYPFRSILFYAQLLYGVSGLLDLTFVLRWNLLLGVPDAAFVTLEECCARVVGRVRLMPMMVLSTKLCPPGAEGTFFALLMCIDSAGMLAAKAGGAAVLRALRVTRTDFARLWLAVLVRNLLRLSTLAAISLVPTADQTDVLLPRDLLAVAGDGSPPAAGDGDDEERLQLAKFADHVDDDDDDD >ONIVA09G10380.1 pep chromosome:AWHD00000000:9:12295801:12296935:1 gene:ONIVA09G10380 transcript:ONIVA09G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30825) TAIR;Acc:AT4G30825] MVLFGAPEWLAPSFNRTALASLAPSPCRRGRAMLRWLGGRNRNGGGSASHAGALLPGAAPAPATSVRVRHGGRRTAPERLAPSYWMTAPATLALKKDHFWNKGLFAK >ONIVA09G10380.2 pep chromosome:AWHD00000000:9:12292415:12295936:1 gene:ONIVA09G10380 transcript:ONIVA09G10380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30825) TAIR;Acc:AT4G30825] MAALRICTPGGGAPEARRGSLAAAGSAVQHGPDLIGFSSWVLPISAGYAVDRRHAAAGGVAACHGLSCADSGRRKNHPRASLVNGVVSSLEDSSGGEPALCVSDSPEDASSSGKVLSDLRRDMVDGISGIPRISAGKKGMKFRKRGQGGNRLTPRSAPRRASGKSGQDQRILLSEDDIAAILSSVTHESSIEECNSVLIRLEKHSDKTALGFFEWMKANGKLKGNAEAYHLALQAIAWKEDWEAAGQLLHEMVADSGCALDAQAFNGLIYVCAKRRLVDWGTKWLHMMLERDVQPNVSTVGMLMGLYQRIGNLPEAEFTFAKMRKCGIKCVNAYSAMVTLYTRLGHFAKSEEVITLMNNDEVVPNMENWLVRLNAYCQQGKMEEAELVLKSLVDEGIALNVVAYNTVITGYGKVSDMQKAMEVFDRLKSAGLAPDETTYRSMIEGFGRADKYKQAILYYRKLRNSGFKPNASNFYTMINLLARHDDSEGATEILEDMRAAGCQCSSIVTVLVRAYGSVGRMHKVLQILKACFYKKILFDATSCSILVTGFVQNSLVEEAMRVLREKKWKDSDFEDNLYHILICSCKEAGCCDDAVRIYNQMPKSATHPNLRIYCSMIDVFSIMERFTDAEALYLELKASSCVLDMIAYSVIVRMYTKAGRPEDACLVLEDMEKQKEIVPDKYLFLDMLRTYQKCGLLEKLSDTYYWILKSQVELDEAMYNCIINCCGRAIPVDELSRIFDEMIQQGHLANTVTLNVLLDIYGKAGLFNKAEKVFLMARKQGMADIISYNTIIAAHAKNGDFRSMIYFVQRMQEAGFPVSLEAYNCMLDAYGKAGQLEEFAAVLQKMERAGCEFDHYTYNIMINIYGRKGWIEGVANVLAELKSRGGEPDLYSYNTLIKAYGIAGMPEDAVKLMQEMRIKGIAADRVTYTNLIAALQRNENFLEAVKWSLWMKQTGVAATRT >ONIVA09G10370.1 pep chromosome:AWHD00000000:9:12281298:12287991:1 gene:ONIVA09G10370 transcript:ONIVA09G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G24130) TAIR;Acc:AT2G24130] MALGERARVRAPPMVVRRTAVLLLLLHLVFVIAAAAAAVDRRRPAEAIVGGWRQRRRLQALMQEKATLLALKRGLTLLSPKLLADWNDSNTDVCGFTGVACDRRRQHVVGLQLSNMSINGSIPLALAQLPHLRYLDLSDNHISGAVPSFLSNLTQLLMLDMSENQLSGAIPPSFGNLTQLRKLDISKNQLSGAIPPSFGNLTNLEILDMSINVLTGRIPEELSNIGKLEGLNLGQNNLVGSIPASFTQLKNLFYLSLEKNSLSGSIPATIFTNCTQMGVFDLGDNNITGEIPGDASDSLSDRFAVLNLYSNSLTGRLPRWLANCTILYLLDVENNSLADDLPTSIISGLRKLRYLHLSNNVHFASGDGNTNLGPFFAAVSNCTSILEIEAGALGIGGRLPSLLGSLLPPNMSHLNLELNAIEGPIPADIGDVINITLMNLSSNLLNGTIPTSICWLPNLQQLDLSRNSLTGAVPACISNATSLGELDLSSNALSGSIPSSIGSLKLSYLSLHRNQLSGEIPASLGQHLGIVRLDLSSNRLTGEIPDAVAGIVQMSLNLSRNLLGGRLPRGLSRLQMAEVIDLSWNNLTGAIFPELGACAELQVLDLSHNSLTGVLPSSLDGLESIERLDVSDNSLTGEIPQTLTKCTTLTYLNLSYNDLAGVVPTAGVFANFTSTSYLGNPRLCGAVLGRRCGRRHRWYQSRKFLVVMCICAAVLAFVLTILCAVSIRKIRERLAAVREEFRRGRRRGGGGSSPVMKYKFPRITYRELVEATEEFSPDRLIGTGSYGRVYRGTLRDGTMVAVKVLQLQSGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLYAGPPAGELSLVQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLINDDMTALVSDFGISRLVMSVGGVANAADVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVLEMVTRKKPIDDMFDAGLSLHKWVKNHYHGRADAVVDPALARMVRDQTPEVRRMSDVAIGELLELGILCTQESAAVRPTMMDAADDLDRLKRYIGGETTATFASSLGFSSSTFEDLDD >ONIVA09G10360.1 pep chromosome:AWHD00000000:9:12278608:12280996:1 gene:ONIVA09G10360 transcript:ONIVA09G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGSCPCMPGPGSASVLVDLLEVVAREVDMSATEELEEATATWELDEAGEKGARHAVLQFGPHLPQSPPPCSLAGNAFTEPPVDLLFRAQTHTPSIT >ONIVA09G10350.1 pep chromosome:AWHD00000000:9:12269220:12272760:-1 gene:ONIVA09G10350 transcript:ONIVA09G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKSDVKKAGILNETLRPPLVPSEKHNASPVNRGRDVAYRYKNGLSAHSAATTARRCTSPSPGRTSANECTPEQKRAQSAERRRPSTPSSRVSTPSTPASRSVTPVRNTVTEGHKSSRRITSTRNTDGLWPAMRNLSSSFQSESVVTPGNKKDKVVSSGSLDQTKGQASIIAERKRSPLRRKNIGEQCENAQPSEDQPRRVIEQHRWPAMQSGRVASNILSRSIDMSDKAGRSVPSTNISRGVSPRKTLASEGTGKRFNKSLDEVARGLAIHAGGRDDKVDSRCHAYSQSTERCKSVSRPSRAVTLPVPVLHRSSSPSKASSVTSSISRSFQSPSRTRPSTPSRSQSAGSIQSGVASPIISYMVDAKKGKKNSSQIENIHQLRLSYNRYLQWIFVNAYAEDTMSFQKVTAESIIYNVWRNTSNLRDVVNMRRIMVQCIQQELKLHGILKEQIDYLEQWPALEKENSISLFRATEALKASTLRLPVTSGAKADVVALKNAVSSAVDVMQGLGSAVRCVLPKVEDRTYLVSELSVIARQEKAMLDECRELLAMAAKLQVQESSLRTHLTQLRPGIAHMI >ONIVA09G10340.1 pep chromosome:AWHD00000000:9:12263793:12270001:1 gene:ONIVA09G10340 transcript:ONIVA09G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G17420) TAIR;Acc:AT5G17420] MEASAGLVAGSHNRNELVLIRGHEEPKPLRALSGQVCEICGDEVGRTVDGDLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVPGDEDEEDIDDLEHEFNIDDEKQKQLQQDQDGMQNSHITEAMLHGKMSYGRGPDDGDGNSTPLPPIITGARSVPVSGEFPISNSHGHGEFSSSLHKRIHPYPVSEPGSAKWDEKKEVSWKERMDDWKSKQGIVAGGAPDPDDYDADVPLNDEARQPLSRKVSIASSKVNPYRMVIILRLVVLGFFLRYRILHPVPDAIPLWLTSIICEIWFAVSWILDQFPKWYPIDRETYLDRLSLRYEREGEPSLLSAVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFESLSETAEFARKWVPFCKKFSIEPRAPEFYFSQKVDYLKDKVHPNFVQERRAMKREYEEFKVRINALVAKAQKVPAEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQVGRKVCYVQFPQRFDGIDVHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGRKKRKHGKDGLPEAVAADGGMDSDKEMLMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKNGNLKWLERFSYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPPISTFASLFFIALFISIFATGILEMRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLILNIIGVVAGVSDAINNGSEAWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFTIKARGPDVRQCGINC >ONIVA09G10330.1 pep chromosome:AWHD00000000:9:12249333:12249813:-1 gene:ONIVA09G10330 transcript:ONIVA09G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAESAWDPRGPHMSGCHTEQAGRRWGGGHRGKEVRRPTSDAAVETREKGKAVAGRGGVSERAQWQRGGGGCDAGGEAGADPEVEAEGDGVGERGGRWMPHWTSSSPSAPNLEGLAAKAGCLRPSPTGVPAILRDPDI >ONIVA09G10320.1 pep chromosome:AWHD00000000:9:12232063:12235997:-1 gene:ONIVA09G10320 transcript:ONIVA09G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVVETTLVAPSEATPQHALWLSNLDLAVPKTHTPLVYYYPAPSPPPADAGAEAEAEAEGFFAPERLREALARALVPFYPLAGRLAAGPGGRLEIDCNGEGALFVVARADFTGDEMFTDFEPSPEARRLLVPFAASGEPPCVLAMVQVTFLKCGGVAVGTGMHHVTMDGAGAFQFIRTWTGLSRGLDAAAASPSLPSHDRTLLRARSPPHVPFEHPVYSPSYLNGLPRPFVTRVYSVPPKLLADIKAACAPGVSTYGAVTAHLWRAMCVARGLPHDAESRLRVPANIRQRVRPPLPSPYFGNAIVRDLVTVPVRDILSQPLGFVAERIKHAVARVDDAFVRSVIDFLELESEKGNQAARGQFMPETDLWVVSWLGMPIYDADFGWGRPAFVAPAQMFGSGTAYVTQAPDKDDGSGGGVSVLFALEPEYIQCFEKAFYGTE >ONIVA09G10300.1 pep chromosome:AWHD00000000:9:12226641:12227340:-1 gene:ONIVA09G10300 transcript:ONIVA09G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPRRGQSSHPADADDHDRRRLRFPDSTPPSGREASPAPAQGDAVTAALGRRREELLWELHKTKIHREMLLCQLVETERAMAARLAAAHGHPATPPLPWPQGDLLPAREYAWRSTPWEEQANANAHAHGALSAPRSGDEEITPWWRRSPSAVTPGVPARGALSVAADCAGMAGRR >ONIVA09G10290.1 pep chromosome:AWHD00000000:9:12225088:12226600:-1 gene:ONIVA09G10290 transcript:ONIVA09G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVRHAPHVEQSTPVPIKSPAAEAVCMPSGSSAMAPPVQPAPHVEQSTPLPAKEPAAVAKVEADAIVQTAANADADQALLGKGATPGGQGCIGQKGEEGDFAIDGHGRQLLGEMNVSKSTEQPKPTESISGGHTDELVQKRYQDNKPADQEIATLDKQKRVGSNDELTPERRSSGVKRQLASGTSLAKKPRSQGSSITCSLCKVTMTSPRALVEHRASLLHRSNLAPLRSGNKATTEAAQPAEKKTEKPEASEWNSSAHHHQNRMYYCDICEVRCSSEKMMASHFAGKRHRERHNSIFM >ONIVA09G10280.1 pep chromosome:AWHD00000000:9:12220570:12222350:-1 gene:ONIVA09G10280 transcript:ONIVA09G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFADAPSHGDSLVVVRDALLSQLQQDRLRKDIIVAELAKIERAMALRDVSQSPTPRHAAAAAAGKTITTVATPAKKPSPSEKSEPAVQKSMPPSAWSCAVCQVRTTSERNLRDHCGGQKHQSKVAALEKTTKAMARTTAKPSPGAAARWGCSICNISCNGEWDFDTHLKGKKHQANTQALLEQSKKSSVNPESQGTKAAAATLICRVCQAKFTCQSDLQSHLKVMKHQLNLRAPSSDGSSFTSATSESLSLELYSCKVCSVKCTGERMLAYHLTGKKHLKQENLQLSCEICKLQCNSEKVLSDHRYGKKHQAKLEKVLQAKLNATE >ONIVA09G10270.1 pep chromosome:AWHD00000000:9:12215582:12217845:-1 gene:ONIVA09G10270 transcript:ONIVA09G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPTAGGSRFADGHMNNNNGFVQAVAGDPLAVVRDALLSQLQHDRLRQEIIVAELAKIERAMALRDASPSPSPTPRHAAGKTAASQTWSCAVCEVQTSSERNLRDHYGGQKHQSKVAGLELKAKTATVKTTAKPSPGREHTLPDGAAAFARYIHCNGEWHFDTHLKGKRHQANTQALLEQSNKNSGNSESHDGTKAQPSNVSHHAEKKKRKKKKEEEEEATWICRACQAVCTCESDLQNHLRGRRHQLKVQALPEAAKQEKNNPPKLAKNPNKQPSEWVCSLCQAKCNSESQLEHHRRSTRHQQKVESLGWNAKESDLGTLQGMSSDGSSSKSVKISATMDKQKATYFCEVCSLKCTSQRMLADHLSGKKHIKQLELQLFS >ONIVA09G10270.2 pep chromosome:AWHD00000000:9:12215582:12217845:-1 gene:ONIVA09G10270 transcript:ONIVA09G10270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPTAGGSRFADVAGDPLAVVRDALLSQLQHDRLRQEIIVAELAKIERAMALRDASPSPSPTPRHAAGKTAASQTWSCAVCEVQTSSERNLRDHYGGQKHQSKVAGLELKAKTATVKTTAKPSPGREHTLPDGAAAFARYIHCNGEWHFDTHLKGKRHQANTQALLEQSNKNSGNSESHDGTKAQPSNVSHHAEKKKRKKKKEEEEEATWICRACQAVCTCESDLQNHLRGRRHQLKVQALPEAAKQEKNNPPKLAKNPNKQPSEWVCSLCQAKCNSESQLEHHRRSTRHQQKVESLGWNAKESDLGTLQGMSSDGSSSKSVKISATMDKQKATYFCEVCSLKCTSQRMLADHLSGKKHIKQLELQLFS >ONIVA09G10260.1 pep chromosome:AWHD00000000:9:12213298:12217255:1 gene:ONIVA09G10260 transcript:ONIVA09G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGDGEVRLVRRKGKKRLAPPPPPAAERGERDRLDELRRDYRDVLKDNEMKRRKLESINKRKLVLLSEVKFLQKKLNSFKKNDSQQVRLKKKAPRVPSHVGINDASAFYGASTEVPSTSKRTDLDLNQDAAMNDELSDFPGHHNHLELKKAEQAGVDEDIMTADVNLSACRDTGNSPASDDKRSVSWQDRVALKV >ONIVA09G10250.1 pep chromosome:AWHD00000000:9:12209959:12210691:1 gene:ONIVA09G10250 transcript:ONIVA09G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQSNTGGCGSSAGSSKRRCRRLRLARRQQRAPPPPLRRESSEIICESFDSAVALFNGGEFHACHDVVEELWYTADEPTRTLPAAPRILQCAVGFHHLFNQTHDRAQTQNHRGAMMELGESLCKLRKLRLDDTTSPFSRFEKEVAAALNFIYRTQKELAACTDDLYLTMDGSVTSHQLLGNFAAD >ONIVA09G10240.1 pep chromosome:AWHD00000000:9:12202993:12206461:-1 gene:ONIVA09G10240 transcript:ONIVA09G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipase D alpha 4 [Source:Projected from Arabidopsis thaliana (AT1G55180) TAIR;Acc:AT1G55180] MGSRGAAADHLHGVLELTVYEADDLHNAIHGRIIKAAESLKESLGVYRLAHRIYVDVDVGAARVARTREVEFHPTNPVWNQSFRLHCAYPAAPVAFTVKSQHLVGAGVLGAARVPAARVATGEPVEGWLDLRGGEHGHATHTPKLRVRLRFLGVESDPWWDAGVRLPGFAGVTPAFFPERSGCRVTLYQNSHLSGGFDPGVRLAGGGAYRPARLWEDMYVAIRDARRFVYVAGWSVNAEITLVRDASRMVPGAEGVTLGELLRRKADEGVAVLVMPWQDKTSVSFLGNGGLMRTHDEETRRFFEGTNVRCFLCPRNADASLTMVQSIEVAAEFTHHQKTVTLDAAAASPGDADGSRRHIVSFIGGIDLCDGRYDDENHTLFRDLDTTYRHDFMQNNFKHAGLRRGGPREPWHDVHCRLEGRAAWDVLANFEQRWRKQAPPEMAGCLLDLSQAELPDPGSFGDDEPWNVQVFRSIDDASVVGFPAEPVAAAAMGLTNGKDVTIDRSIQAGYVEAIRRARRFIYVENQYFLGGCASWAEDRDAGCLNLVPVEIALKVAAKIRRGERFAAYVVTPMWPEGEPAGDSVQAILRWNRLTVEMMYGIVTKAIDDAGLRGQAHPCDYLNFFCLGNREAPRPGEYSPPETPDVDTDYWRAQVNRRFPIYVHAKLMIGKPSSLHCHGSSHLCRRLTTVFFSAVDDEYVMVGSANLNERSLAGNRDSEIAQGSYQPAHLNGGPSGRARGLVHAFRMSLWHEHLMGHAGGGGGVFLEPESAECVRAVRRAAEATWDAYTRDTMEDLPGHLLPFPITVSEFGEVADLTADGCFPDTTAPVKGRRSLKLPAILTT >ONIVA09G10230.1 pep chromosome:AWHD00000000:9:12188902:12201694:-1 gene:ONIVA09G10230 transcript:ONIVA09G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10180) TAIR;Acc:AT3G10180] MERIHVAVRARPLTAEDAGSSPWRVSGNAIALSTQPSIRFEFDRIFGEECRTADVYGARTKHIVDSAVRGFNGTVFAYGQTNSGKTYTMRGSGNEPGIIPLAVHDLFRTIEEHLDREFLLRMSYMEIYNEEINDLLVPEHRKLQIHESIERGIYVAGLREEIVTCPEQVLEFMSFGESHRHIGETNMNVYSSRSHTIFRMVIESREKVDESEAGESCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRSELEKERISLELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRTTVSSKNKRRLTWCPGLLSRQFDGQVLESVQEDPPSSTVRHGRNMEMPLHFEELIQESCESSIKHYTDAYSSGSLSCEDDSLPDSHALLHVTSRRKPNTMKKSDQEQLMGLASERIIPQELNDWKYTTQSQENIKACVNGLSARESEAILVIKQLEDQIKLLELEKSSFQNNLDDVLELATQQKASFHEKYEELQQNALVAQEQAKIANEKLSKQEAAYEFLTGIFVETESIAVQMDQSTRSVDNALSFIEELFQNLFMMAKNFTEAKQFVCGDITQFSSVIRDYENISNCLREKLSKLEMEKKILDEQSLDQKDELQRLKSSLESCEKAMEDCNIQNELEKDSILSELLTLQKEVVYLSSSSLMKEKESIRKELDRTKTKLKETENKLKNSIQEKIKLESEKAEAQREIKKLQSQRILLERDLRKRDSFTVDKRHEQSVKSKELAGIYDQAVQIQEDYGKLEMHAFDMEAEIASLQEALVTTIAEKEEALSRVELLTSAVEDLESRLNSAESETSSLLEETAVLELTDVLLEMESERSTWTAKEKAYLEAKQKLNICNKNNCKLSEDLIKVRQELACCREQYSILEAKMIFSKNDTNEEKYWWHLVASVADTMFGWDSEVKALLGLPMLATATPSGVVHLVEGVAIGALVQLHIKGFLRETFEESERLLKKERNIDTGVNENLIQAKAAIDELSSRISIVEAKMKNSYWLPLSNLGIDECFDASAYNKENTKLRMQIRWMQPELDAHRGRLKEAINEMKLMDTKYLEASTKLKKDLSFYCREVLRLKEQLKESQVKAT >ONIVA09G10220.1 pep chromosome:AWHD00000000:9:12184590:12188065:-1 gene:ONIVA09G10220 transcript:ONIVA09G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGGSGGSGGGGARDMDALQGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLSGMLASLATTGFQASNLGDAVDVVNQMLEWRLSHEKPREDCDEPELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPIFYQMLQEQSRENVWTPSKVIGRLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADFAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVAATFARKLHDTKQTY >ONIVA09G10220.2 pep chromosome:AWHD00000000:9:12184590:12188065:-1 gene:ONIVA09G10220 transcript:ONIVA09G10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGGSGGSGGGGARDMDALQGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLSGMLASLATTGFQASNLGDAVDVVNQMLEWRLSHEKPREDCDEPELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMGLNRIGNLLVPNDNYCKFENWIMPIFYQMLQEQSRENVWTPSKVIGRLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADFAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLLVAATFARKLHDTKQTY >ONIVA09G10210.1 pep chromosome:AWHD00000000:9:12158852:12163864:-1 gene:ONIVA09G10210 transcript:ONIVA09G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSDGADVRYVISDLATDVIVHVSEVKFYLHKFPLLSKSSKLQRLVIKATEEGTDEVHIDGFPGGVTAFEICAKFCYGMVVTLSPHNVVAARCAAEYLEMTEDVDKGNLIFKIDVFINSSILRSWKDSIIVLQSTKALLPWSEELKVIGRCIDAIASKTSVDPANVTWSYSHSRKGMSCTEIVESTGRASIAPKDWWVEDLCELDVDLYKRVMVAVKSKGRMSPELIGEALKAYAVRWLPDSYDALVAEDYMRRNQCLVETIIWLLPSDKTSGCSCRFLLKLLKVAILVGAGQHVKEELMRRISFQLHKASVKDLLLPAASPSDGAHDVKLVHNLVQRFVARTAMSHNGGFVEKSDDKMIELNFEQESTLALGELVDGYLSEVASDPDLSLSTFVELATAVPEAARPVHDSLYSAVDAYLKEHPNISKADKKKICGLIDVKKLSTDASMHATQNDRLPLRLVVQVLFFQQLRAGSSNALALTDGGGHTCAKPIMKDQSDICERRIPRHPNSLNKQATSLSAREVEHRKSEHRGGGRNSFKDQLGGFLLQSRSRRIFDKIWSSKGQGENGKGSETSGSSQSPPLSAKPADVKPSPLPPLRNRRYSVS >ONIVA09G10190.1 pep chromosome:AWHD00000000:9:12152201:12154658:1 gene:ONIVA09G10190 transcript:ONIVA09G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVHEAAGDPRPDGHGEGGDDGVHLRLHRRPPVHQPHRLRLQVRRHHCFPLPLSLSLGCCCFSCKRVSDADSRGGIIRCRVVLAVRSNSRGPLDPGRTVRIGRRRGSLPFLLLSSGTLSRAAAAAVSARPPLPHPPPGARDPRWGTLHLGRLKLQIRRKKQNKLLQLTQNQKRRSAVLAQTPRS >ONIVA09G10180.1 pep chromosome:AWHD00000000:9:12150095:12152338:-1 gene:ONIVA09G10180 transcript:ONIVA09G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNLKAETVGLMDRRAAVEAEMDAIIAALSVPVGPGITGGLVDAEGFPRSDIDIPAVLAQRRKLAELRNDHKDITNKIEKNLEVLHSTKLSRNEASIPASSGTPASLHSGLSQNDPMEEDAVTRLPFAIIDELTDGSPAAVDGLQLWDEIVKFGNVEAGDRLQERLVSEALSNEDCQVSLVIIRQGSSMNLTVTPRKWHGRGLLGYAPLH >ONIVA09G10170.1 pep chromosome:AWHD00000000:9:12144875:12146847:1 gene:ONIVA09G10170 transcript:ONIVA09G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPCAAVPQLPSHAPITRLPTSSPPSWIDLLGQQAGAASPPYKNVPGWICSASKKLLLHTQIPGLICSVNKGVVPLPLLADLRCFSALAIFSTTINDASSSNSLYGPCLLLFYLPNIYLTS >ONIVA09G10160.1 pep chromosome:AWHD00000000:9:12139916:12143584:-1 gene:ONIVA09G10160 transcript:ONIVA09G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVASSRVAAGAGAARRSSPSPGPRPAAGSSVVRDKDATTMMRRRLYQQQHPPSSSGAREPGVPSMLLRRAAGGGGGGPRSLNVSCASEASNDSFCSRASTGRIGRRPVGPPGVGAAHTRRRAAGSAGLPAARPVARKAAASVAPDVAASLIAVANGEAAPALTGPPRWYRSTIKDALKCLLADPCYAAFHDHEWGVPVHDDKKLFEMLVLSGALAEMTWPAILSKRETFKEVFMDFDPLLVAKLSERKILGPCSPARSLLSEHRLRIIIENAQEVLKVIEEFGSFDNYCWGFLNSKPMVGRFRHPREVPMKTPKADAMSQDLLRRGFLGVGPTVIYAFMQAVGMANDHLVTCYRFGECCSCSSSTEAPAAAAMDGADNHSKSMVKDQEVNMICGLVECVSLEPSRARATTVLSIS >ONIVA09G10150.1 pep chromosome:AWHD00000000:9:12134638:12135000:1 gene:ONIVA09G10150 transcript:ONIVA09G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAALKMAALCVLAMIAHASSTAKHDAAAGALLRELVRNVVAEELGLSGGGAGGAGGRGNVGDACPAACQNCLILCAIKCVLKPTPVACYADCISKDACFNAGEVTES >ONIVA09G10140.1 pep chromosome:AWHD00000000:9:12126349:12134383:1 gene:ONIVA09G10140 transcript:ONIVA09G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSADDAAAAAGGADVIYISSDDEDEEIRILSADPYSPEEIQIQEVILLSLDYSRAAAADADTAQSSASSSRPSAAASTFGEPSSLPDHKGKSKLLSEDGPSESTTTRRWRKRGFTCIICMDKVQASEEFLVNVCSHAFCKSCIGGYVAAKVSDNVAAIGCPDPGCEEGSVEIGQCRDIVPPELFGRWSVSLWESSMGETTKCYCPFKDCSAMLINDNGDGGDAEEIAETECPHCHRMFCASCRVPWHDGIDCKEFRKLGNDEKGKEDLMLKKLAGKKKWQRCPQCRMYVEKSAGCTFMRCRCGFFFCYNCAAPMTKLVTPNLCRVWRRWWQHSPLLSYMAIGDEMAVLPGPEGLFAAVVAALPLMHCVRLTMESNAQPTAAAAEHHSSPAMETSAAAGGAHLIYVSSDDEEDETRVLLAESYSAEEIQIQQAILLSLDPSSDADAAHSSASSSRPSGAASTSDEPSSLPDRKGKRKLSSEEDGPIESTRKKRRKRGRFKCSVCMEKVQVSEQFTVSFCAHAFCNSCIGRYVAAKISENVAVIGCPDPGCEEGFVEMGTCRDIIPPELFDRWSVSLCELALGEKKYYCPFKDCSALLINDNDGAEKKIRETECPHCHRMFCARCRVPWHDGIKCKEFRKLGDDEKGEEDLMFKTLAGKKKWQRCPNCKMFVSRIDGCLQIKCSSESQLKMHYFTDNGTKNFGAF >ONIVA09G10140.2 pep chromosome:AWHD00000000:9:12126349:12134383:1 gene:ONIVA09G10140 transcript:ONIVA09G10140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSADDAAAAAGGADVIYISSDDEDEEIRILSADPYSPEEIQIQEVILLSLDYSRAAAADADTAQSSASSSRPSAAASTFGEPSSLPDHKGKSKLLSEDGPSESTTTRRWRKRGFTCIICMDKVQASEEFLVNVCSHAFCKSCIGGYVAAKVSDNVAAIGCPDPGCEEGSVEIGQCRDIVPPELFGRWSVSLWESSMGETTKCYCPFKDCSAMLINDNGDGGDAEEIAETECPHCHRMFCASCRVPWHDGIDCKEFRKLGNDEKGKEDLMLKKLAGKKKWQRCPQCRMYVEKSAGCTFMRCRCGFFFCYNCAAPMTKLVLPGPEGLFAAVVAALPLMHCVRLTMESNAQPTAAAAEHHSSPAMETSAAAGGAHLIYVSSDDEEDETRVLLAESYSAEEIQIQQAILLSLDPSSDADAAHSSASSSRPSGAASTSDEPSSLPDRKGKRKLSSEEDGPIESTRKKRRKRGRFKCSVCMEKVQVSEQFTVSFCAHAFCNSCIGRYVAAKISENVAVIGCPDPGCEEGFVEMGTCRDIIPPELFDRWSVSLCELALGEKKYYCPFKDCSALLINDNDGAEKKIRETECPHCHRMFCARCRVPWHDGIKCKEFRKLGDDEKGEEDLMFKTLAGKKKWQRCPNCKMFVSRIDGCLQIKCSSESQLKMHYFTDNGTKNFGAF >ONIVA09G10130.1 pep chromosome:AWHD00000000:9:12108005:12111274:1 gene:ONIVA09G10130 transcript:ONIVA09G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTGMENKRVDVDAMNIDDALAEELQLQEAILFSAFQEMIIQDTDDDDSIGNLILIGQDQGQESKKPFSVADHGESSSPSPLTMTTTTGGGGAGEFYCSICMETVPGALKFSVSPCLHAFCVCCISQYVAAKIGENTADVRCPDPGCGGGVEPESCRGVVPSEVLDRWGLLLCEAAIVARRLHCPFRDCSEPLLADADGEGGGVAEAECPSCHRLFCARCMVPWHDGVGCEEFQELGEDERGREDVMVRRLAGRERWQRCPQCRMYVEKSEGCMFMKCRAAGEGPPDMSANVDLDAKRLVPLKAWGRCRGCWSSSSSYPRSKMVWQWRRLRSSSASFSKSLGKLLRVTGEAEVGLEFGGGGGGALEELAWPVPVKSAQAFHVDLATGDLGFVEWLLECATRPTNRGPPRSYWVCSTTIPTGATTLDFGSTQPKRLV >ONIVA09G10130.2 pep chromosome:AWHD00000000:9:12105707:12108309:1 gene:ONIVA09G10130 transcript:ONIVA09G10130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPMSRPATADGSARGGGLALAIADELPQEAVAKPALMSQVMAGNSSMVAKTDEVSRVADDLMFAEDLQLEEVIRFSAHSAGPNCAVCGQATPSVDASWKPDNCDHVMCITCFGQLASDSHADELPKCPLASCQSSPDIISVSNEETGGGKGKELATYVVLEEHGECSRGAAATASSSASSEFYCTICMETVDAIERFAIPGCTHAFCASCVRQYIAAKVEENVLSIGCPDPGCKDSGGGALHPEACRDVIPPQLFQRWGDALCDSALSSLKFYCPFSDCSALLVDDPGDGEEAITDAECPHCSRMFCAQCKVPWHGGATCVEFQKLGKDERGRDDLLLRKVAKDSKWQRCPKCKMYVERVEGCVFIICRCGHCFCYLCASPMSRDNHHCKKCKRTW >ONIVA09G10120.1 pep chromosome:AWHD00000000:9:12091108:12091625:1 gene:ONIVA09G10120 transcript:ONIVA09G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSVRRTHRVIGDGRGRVEHAVAAVEGGADGVVVEEVGLAEDQPLVGAVQRLQVGVLRVIYTETHHVDGRRQVKHTATQPLSSSSLTSHDAMYPAAPVTHTVWPCPGGSSSCCCAAAAAAAASSATTTTVIVAC >ONIVA09G10110.1 pep chromosome:AWHD00000000:9:12088765:12091610:-1 gene:ONIVA09G10110 transcript:ONIVA09G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVVADDAAAAAAAAQQQEELPPGHGQTVCVTGAAGYIASWLVKLLLERGYTVKGTALDGADERLVLCKADLLDYDSIRAALDGCHGVFHTASPVTDDPEQMVEPAVRGTEYVIKAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLEFCKKTKNWYCYGKAVAEQEACKAAEESGVNLVVVNPVLVVGPLLQPTVNASAVHILKYLDGSAKKYANAVQAYVDVRDVADAHVRVFEAPEASGRYLCAERVLHREDVVHILGKLFPEYPVPTRCSDEVNPRKQPYKMSNKKLQDLGLHFIPVSDSLYETVKSLQEKGHLPVLSKEIPEELNGAPA >ONIVA09G10100.1 pep chromosome:AWHD00000000:9:12057867:12060683:-1 gene:ONIVA09G10100 transcript:ONIVA09G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITPLLSQSLPYPSPDRTRALAATGALRVPAPTLPTAPPKPPAPAVGDGGADEVAGTSPGYAPASPGTELFCKIVLVLLFLLQIIGKAS >ONIVA09G10090.1 pep chromosome:AWHD00000000:9:12050084:12050431:1 gene:ONIVA09G10090 transcript:ONIVA09G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDAYVALQTWRREAIFSDPNNLTTDWVGSDVCNYSGMFCALLPWDRQVVAVAGVEPGRSGIGWRRGGQIWPRAAMAGAWRIWHQVAAAAAIARRHRQQPNDDSDSSTTAAVEEV >ONIVA09G10080.1 pep chromosome:AWHD00000000:9:12046358:12047560:1 gene:ONIVA09G10080 transcript:ONIVA09G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJL8] MEGKGVLEGRYEMGRVLGHGNFGRVHAARDVRTGRAVAMKVVSKDKVERAGMAEQIKREIAVMKMVSHPSVVELHEVMATRTKVYLALELVRGGELFDRIARHGRVGEGVARRYFRQLVSAVDFCHGRGVYHRDLKPENLLLDEAGNLKVADFGLSALACHARPDGLLHTACGTPAYVAPEVLAGNGYDGAKADLWSCGVILYVLLAGALPFQDDNLVCMYRKMRRGDFCCPPWVTTDARKLIKTLLDPNPDTRVTVAGLLETPWFRKTAPVPRPIIADPAPAPVDPRGNAGDDKDEPPEVLNAFHLISLSEGFDLSPLFEHDPAASPGRATARAGGTREAASGVVARLEALAMGGARVAPSLLMVDVKKDGGDAMEYRPFFSEELRPALKDIVWSPAAT >ONIVA09G10070.1 pep chromosome:AWHD00000000:9:12029569:12030939:-1 gene:ONIVA09G10070 transcript:ONIVA09G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJL7] MARRAREEEADQVERKLVLGRYELGRLLGQGTFAKVYYGRDLRSGESVAIKVIDKARLRRTEGMVEQLRREISIMRMVRHPNVVGIREVLASRARVFVVMEYARGGELFAKVARGRLTEEHARRYFQQLVAAVGFCHGRGVAHRDLKPENLLLDEEGRLKVTDFGLAALPEQLRQDGLLHTQCGTPAYVAPEVLRKRGYDGARADLWSCGVVLYVLLCGFLPFQHENYAKMYQKIFKAEYQVPPWVSGDARRLIVRLLVVDPAKRISIPEIMRTPWFKKGFVPPVPTSPVSPKKWEEDDVLLDGGDSGAMSPRTCNAFQLISSMSSGFDLSGMFESEQKAATVFTSRAPAATVIQKLEAVGRSLGYSATRGKGWKLRLEATADGANGRLAVTVEALEVAADVAVVEFAHDAGDELEFNKFCAVDVRPGLADIVWAWQGDRPAAPDVAAATVECSPA >ONIVA09G10060.1 pep chromosome:AWHD00000000:9:12024366:12024858:1 gene:ONIVA09G10060 transcript:ONIVA09G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQEDRAAGADAVAERGGEHEANRVMDERGEAKEREVARPRDAVHNPFRCRMELGRRLPKTMMPPPPSFLDFDWDEAAKDEGVGSGGGDPAGGRPLRPLVEEAATQRVGSDGSKSVQGIKEREEEKVWAPRMASRLWLAKFVSKGVSLAN >ONIVA09G10050.1 pep chromosome:AWHD00000000:9:12013418:12015323:-1 gene:ONIVA09G10050 transcript:ONIVA09G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENAKISFVGLIYMWITIILAQSSRFGPPPVRRRATYGATISNVPYCCHAVLPPAHRAASLAAAMDDDGDGSSSPTDDSAAAGLLPLFSRSPAEDLEEKLRRAMEENARLTRALDAILAGHHAHQRALLAPSLSPPPPSATARAPSVSTSCAAREDAAPAVAAAAASTACPSRQQPPTAEPRPKVRTVRVRADAADATDANSMAETVKDGYQWRKYGQKVTRDNPYPRAYFRCAFAPSCPVKKKLQRCAEDRSMLVATYEGEHNHALSTQTTEFVASGCTTSQHAGGSSSSPLPCSISINSSGRTITLDLTNQAGSGSIASCGVEAAAVSGELVTVLSPELRRHLVEEVVQVLKNDAEFVEAVTNAVAARVVDQIPHIPVHL >ONIVA09G10040.1 pep chromosome:AWHD00000000:9:11999642:11999974:-1 gene:ONIVA09G10040 transcript:ONIVA09G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSPHDGADKLANGVASLAAAGSPNLAMGATTQWIRTRPRRRRPAPPTTARTSSPRARARRILRRGTAVATDLTTTRKTAKRWRGVATTTAADLARRRRPQRDGDLG >ONIVA09G10030.1 pep chromosome:AWHD00000000:9:11996943:11998294:-1 gene:ONIVA09G10030 transcript:ONIVA09G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDSQLARAPITRSRSRRLYVAPPIPHEPFKCLYLALLACFCFDYSSSRAQQQRDASCSWSSSSSMDAAWRGGVGCSPVCLDLCVGLSPVREPSAARHELLDRPAGCRGGGDSKSMTNDEAKILEAKVTQMSEENRRLTEVIARLYGGQIARLGLDGSASPPRPVSPLSGKKRSRESMETANSCDANSNRHQGGDADHAESFAADDGTCRRIKVSRVCRRIDPSDTSLVVKDGYQWRKYGQKVTRDNPSPRAYFRCAFAPSCPVKKKVQRSAEDSSLLVATYEGEHNHPHPSPRAGELPAAVGGAGGSLPCSISINSSGPTITLDLTKNGGAVQVVEAAHPPPPPDLKEVCREVASPEFRTALVEQMASALTSDPKFTGALAAAILQKLPEF >ONIVA09G10020.1 pep chromosome:AWHD00000000:9:11991897:11996129:1 gene:ONIVA09G10020 transcript:ONIVA09G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPLRHLLSFRRRPLAPRFLLLPRRFSASASASALQAATPPPSSSSAARLAAAVHGSAASGDFAHAIRLTKHLVRASSSPSHRPGAAGAAAAAALASTSASPAPALGVLVIALSQMALPDEALSVFGRLRELPALPACNAILDGLVKAHMLARVWELFDEMLGRGMVPSVVTYNTLINACRHQGDVAKAWEVWDQMVARRIDPNVVTYTTMIYALCEEDCIGDAEGLFLEMKEAGMRPNLYTYNALMSSHFKRDNIKHALVFYYDLLKCGLVPNDVIFTTLIDGLCKANRITEAKNIFLDMPRYEVAPTVPVYNSLIHGAFRSGYAQEALAFFQEIIRKELRPDEFTCSIVVRGLCDGGQMQVATRFLEVMQQSGIALNAAAYNVLIDEYCKSGNLDEALVTCTRMSEVGVEPNVVTYSSLIDGHSKNGEMEIAMAIYTEMVAKGVEPNVVTYTALIHGHAKNARHALCEKLGDGRSCRTRTAVIHYLLQKE >ONIVA09G10010.1 pep chromosome:AWHD00000000:9:11980547:11983669:-1 gene:ONIVA09G10010 transcript:ONIVA09G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTTREMQAMAAAAAAGQISLDDLRNGGGVAANAGGGGGGVHDDFLDQMLSSLPPSAWPDLAAGKAAEDDAEGMHHHHHHHQQQFGGPYDESAMLASRLRQHQISGGGGGGGGGAAAVKQMVLQQLADLRQGHHMMLQGLGGRSPAGGGGGGGDGGLLLPLTLGSGGSGGDVQALLKAAAANSAGGGDAGGVYGGGFAGSLHQQQQHFQPHPQTAPTIPTQSFGGGGGGGGGGTASGGGAAQPQAGAAGGGAPAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKASTYTKLLIHVLSMSRLGGAAAVAPLVADMSSEGRGGGAANGGAPAAAAAAAGSDSLTVTEQQVAKLMEEDMGTAMQYLQGKGLCLMPISLASAISSATCHLRPPVVAAAAAQQFPAGLGAAAAAAHHHQLSAAAAAAAAMRGHLPGLNADGSVPASPSMSVLTAQSAMANGGGGAADGEGSQLKDAASVSKP >ONIVA09G10010.2 pep chromosome:AWHD00000000:9:11980547:11983669:-1 gene:ONIVA09G10010 transcript:ONIVA09G10010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTTREMQAMAAAAAAGQISLDDLRNGGGVAANAGGGGGGVHDDFLDQMLSSLPPSAWPDLAAGKAAEDDAEGMHHHHHHHQQQFGGPYDESAMLASRLRQHQISGGGGGGGGGAAAVKQMVLQQLADLRQGHHMMLQGLGGRSPAGGGGGGGDGGLLLPLTLGSGGSGGDVQALLKAAAANSAGGGDAGGVYGGGFAGSLHQQQQHFQPHPQVLINSLADISHGSPRLKTLKHRPCVCLQTAPTIPTQSFGGGGGGGGGGTASGGGAAQPQAGAAGGGAPAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVLSMSRLGGAAAVAPLVADMSSEGRGGGAANGGAPAAAAAAAGSDSLTVTEQQVAKLMEEDMGTAMQYLQGKGLCLMPISLASAISSATCHLRPPVVAAAAAQQFPAGLGAAAAAAHHHQLSAAAAAAAAMRGHLPGLNADGSVPASPSMSVLTAQSAMANGGGGAADGEGSQLKDAASVSKP >ONIVA09G10010.3 pep chromosome:AWHD00000000:9:11980547:11983669:-1 gene:ONIVA09G10010 transcript:ONIVA09G10010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTTREMQAMAAAAAAGQISLDDLRNGGGVAANAGGGGGGVHDDFLDQMLSSLPPSAWPDLAAGKAAEDDAEGMHHHHHHHQQQFGGPYDESAMLASRLRQHQISGGGGGGGGGAAAVKQMVLQQLADLRQGHHMMLQGLGGRSPAGGGGGGGDGGLLLPLTLGSGGSGGDVQALLKAAAANSAGGGDAGGVYGGGFAGSLHQQQQHFQPHPQVLINSLADISHGSPRLKTLKHRPCVCLQTAPTIPTQSFGGGGGGGGGGTASGGGAAQPQAGAAGGGAPAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKASTYTKLLIHVLSMSRLGGAAAVAPLVADMSSEGRGGGAANGGAPAAAAAAAGSDSLTVTEQQVAKLMEEDMGTAMQYLQGKGLCLMPISLASAISSATCHLRPPVVAAAAAQQFPAGLGAAAAAAHHHQLSAAAAAAAAMRGHLPGLNADGSVPASPSMSVLTAQSAMANGGGGAADGEGSQLKDAASVSKP >ONIVA09G10000.1 pep chromosome:AWHD00000000:9:11977931:11978500:1 gene:ONIVA09G10000 transcript:ONIVA09G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGSLADPAIVRFLLAPGTWDLWKGRREWGMPSVLGIMAVSPLAKGGGIDWSGGVEGGRKVDDKNNESERKEDEKTDPGKKKLIWVVLIK >ONIVA09G09990.1 pep chromosome:AWHD00000000:9:11966522:11969585:1 gene:ONIVA09G09990 transcript:ONIVA09G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPEIYPSALGFFAERRWEAHGGREEMEAARWWRSRRIYDGDDLRTAKGEGRATRANELGKRPTGSECGMMAAMTELCASVEDDVSEYTSNTNVFLPPPSLCDDELSHNLLHFLPFSSLPPPSLCEDELRHNLLPIYHRWPSPPSPPSANALKDGGRATSALMATSGDGRRERVDGAEGSAVGRSQRRRTREREGERCGQMERGKGATKEECEEDRSSRHRGRIGNTTPVALWVGTSRISGANSSPSSPSPSSLSRLHCHNDVHVEGQKDFVDNLLDTAVKCMRTASLIYTLSTGEEPEDERPILDMAQFRQEMEVLDDGTAMPMDSAPNSSLDVDKILKVLDVNCLTEDGVPL >ONIVA09G09980.1 pep chromosome:AWHD00000000:9:11958546:11961754:1 gene:ONIVA09G09980 transcript:ONIVA09G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARCAHADVGGGFRLWPIFSAAALRRKLLEVLTCGGGGGGGAGGGSCRSKNGYRSPQPRPRPRSDRLAELLRAEPSECGDEADDADAAVKKVEALEKLKVVVGALQACDGDNAGIGGGGDMCRVEAATVVRRKAKDDAGAREMLAMLGAIPPLVAMLDESDGGGGGEEMVAAALYALLNLGIGNDTNKAAIVQAGAVHKMLRIAEGASGDLTEALVANFLCLSALDANKPIIGASGAAPFLVRAFEAAPTTEQARHDALRALLNLSIAPANAPHLLSAGLAPSLVAAVGDAPAAADRALAALCNLVAACPEGRRAVSRAPDAVPAFVDVLNWSDEPGCQEKAAYILMVLAHRSYADRAAMAEAGATSALLELTLVGTALAQKRASRILEILRADKGKQVADAAGIVATMSAPQERGGGGGARQEEADEAGMSNEKRAVRQLVQQSLQSNMRRIVRRARLPQDLAPPSPSPPPPPPRAYPSEAHHRKQNTAEGVMGRRLWKKLLLVVVAVDREGLEPEINVVPFP >ONIVA09G09970.1 pep chromosome:AWHD00000000:9:11948161:11950815:1 gene:ONIVA09G09970 transcript:ONIVA09G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSESLLCLDHPNSLRFAGMRGSLTSCRKLDLIRDVQHDLTFCPAIAAKCVLLLLHFQSADLDLQRIPQS >ONIVA09G09960.1 pep chromosome:AWHD00000000:9:11947263:11948105:1 gene:ONIVA09G09960 transcript:ONIVA09G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAEKPDGVEIREVWEDNLEAEFAVIREIVDDFPYVAMDTEFPGVVCRPLGTFKSNADFNYATLKANVDMLKLIQLGLTFSNEHGGLPSLGPEGRPCVWQFNFRGFDPRTDVAAADSIDLLRRSGIDFTRHSADGADARRFAELLMSSGVVMNSEVRWVTFHSGYDFGYLLKLLTGTYLPDTITGFFDLIRIYFPVVYDIKHLMRFCNSLHGGLNKLAELLDVERVGICHQAGSDSLLTALSFKKLKEAYFNGLTEKYAGVLYGLGTEGGETSSAAH >ONIVA09G09950.1 pep chromosome:AWHD00000000:9:11939880:11943952:-1 gene:ONIVA09G09950 transcript:ONIVA09G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJK3] MEASSWDALRKQARRLEAQLDDQMIAYRKLVSMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRVKQQHASLLDLRDFDRAKFDVESGDSADQALLREQAAISRSSGQMDNVISHAQATLGTLMSQRSTFGGITTKISNVSSRLPTINHILASIRRKKSMDTIILSLVASVCAFLILVYWLSK >ONIVA09G09940.1 pep chromosome:AWHD00000000:9:11935235:11936536:1 gene:ONIVA09G09940 transcript:ONIVA09G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGGIPACFRGAPGGGAGGGGGGGVGVAGQSSGGVGTSLATSVYETRLGVAALSWSRAALGLSLRVVLRAASSSAASDYGCYDEGAECYGGEEEEEEEEATVAVRVRPWLLWRRRGSKRFRVRDRRVDLAWDLTRARFACPGSPEPSSGYFVAVVVDGEMALVAGDMAEEAYRKTKARRGPGPDAVLISRREHVSMRDAGHGRGHKTFVNVRGKEREISVDLVSRGHGKDRDKDKDKERDKADVGMSVTVDGERVLHIRRLRWKFRGTEKVDLGGGDGVQVSWDLHHWLFPNRDTAPADASAVTPPPQPAHAVFIFRFELADIAGDDRDSAEVKDEHLLENAGSGGGGGAWAGYLGRWGRGDWSESSSNGENRRKRGQARRLAKASSSSSASVASSSASWASGSTVMDWASPEEAELQRGHGFSLLVYAWKC >ONIVA09G09930.1 pep chromosome:AWHD00000000:9:11907209:11912906:1 gene:ONIVA09G09930 transcript:ONIVA09G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARPITVHKLRSITRSTLSRCPDPGPALAARLPPPPIRRVAHAAAAPASRRRRRASPGRRALLPPPDEGFLVMATPVNQDSITPVNTWEEEELELEEEECLNSSCFCKGLLCKRKRAKFSDSTVRQQQGIEAAAMDMVMEEAAALSLGVSASKEPVHVATGRVEQSNCGAKHANGTTDNEPARLRLHKICSATHWKEPSYDFEEQGPSHLKLFTCKVTIHVDTFTTTIVECISEPKRSKKAAQEHAAQGALWYLKIFGHAN >ONIVA09G09930.2 pep chromosome:AWHD00000000:9:11907209:11912906:1 gene:ONIVA09G09930 transcript:ONIVA09G09930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKARPITVHKLRSITRSTLSRCPDPGPALAARLPPPPIRRVAHAAAAPASRRRRRASPGRRALLPPPDEGFLVMATPVNQDSITPVNTWEEEELELEEEEGLLCKRKRAKFSDSTVRQQQGIEAAAMDMVMEEAAALSLGVSASKEPVHVATGRVEQSNCGAKHANGTTDNEPARLRLHKICSATHWKEPSYDFEEQGPSHLKLFTCKVTIHVDTFTTTIVECISEPKRSKKAAQEHAAQGALWYLKIFGHAN >ONIVA09G09930.3 pep chromosome:AWHD00000000:9:11907209:11912906:1 gene:ONIVA09G09930 transcript:ONIVA09G09930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKARPITVHKLRSITRSTLSRCPDPGPALAARLPPPPIRRVAHAAAAPASRRRRRASPGRRALLPPPDEGFLVMATPVNQDSITPVNTWEEEELELEEEEQQGIEAAAMDMVMEEAAALSLGVSASKEPVHVATGRVEQSNCGAKHANGTTDNEPARLRLHKICSATHWKEPSYDFEEQGPSHLKLFTCKVTIHVDTFTTTIVECISEPKRSKKAAQEHAAQGALWYLKIFGHAN >ONIVA09G09920.1 pep chromosome:AWHD00000000:9:11906263:11907045:-1 gene:ONIVA09G09920 transcript:ONIVA09G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTLRPTDCLRGGGGGVQCAAAAAAATDHPTRASKLARPPRRGGRANGRQPRGRGSSSHSHRAAAPRPSQSQSQMRAMEKVVILKRGDRFAPEIGAAVAVEAPAADQCGAAAAAAEEHAEPVTAAGQRVAPAKKAEPVAEADRYIAPAEMAPVQCVTPTKMDQPAVAAAAEQCISPANSAEPAVAAEQCIALAAAKIPRPVAVAVAAAGQRRAPATKPKTSRVLYGGPSFVIPPDPSELPIPVLLLESRGRRSAACA >ONIVA09G09910.1 pep chromosome:AWHD00000000:9:11898247:11904133:1 gene:ONIVA09G09910 transcript:ONIVA09G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sugar transporter protein 7 [Source:Projected from Arabidopsis thaliana (AT4G02050) TAIR;Acc:AT4G02050] MAGGGVAALGVKKERAAEYKGRMTLAVGMACLVAAVGGAIFGYDIGISGGVTSMDPFLKKFFPVVFRKKNDDGQNNYCKYDNQGLSAFTSSLYLAGLVSSLAASPVTRNYGRRASIVCGGLSFLAGATLNAAAVNLVMLILGRILLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQHIRPWGWRLSLGLAAAPALLMTVGGLLLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMAEASELANSIEHPFRNILEPRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGSASLYSSVLTGAVLFSSTIISISTFGTDKELTRSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQAFLSLLCALKFGIFLFFAGWITVMTVFVHVFLPETKGVPIEEMVLLWRKHWFWKKVMPDLPLEDGDSHHK >ONIVA09G09910.2 pep chromosome:AWHD00000000:9:11898576:11904133:1 gene:ONIVA09G09910 transcript:ONIVA09G09910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sugar transporter protein 7 [Source:Projected from Arabidopsis thaliana (AT4G02050) TAIR;Acc:AT4G02050] MGVIGEDNNFCVALFHEHVPWGVTSMDPFLKKFFPVVFRKKNDDGQNNYCKYDNQGLSAFTSSLYLAGLVSSLAASPVTRNYGRRASIVCGGLSFLAGATLNAAAVNLVMLILGRILLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQHIRPWGWRLSLGLAAAPALLMTVGGLLLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMAEASELANSIEHPFRNILEPRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGSASLYSSVLTGAVLFSSTIISISTFGTDKELTRSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQAFLSLLCALKFGIFLFFAGWITVMTVFVHVFLPETKGVPIEEMVLLWRKHWFWKKVMPDLPLEDGDSHHK >ONIVA09G09910.3 pep chromosome:AWHD00000000:9:11897707:11904133:1 gene:ONIVA09G09910 transcript:ONIVA09G09910.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:sugar transporter protein 7 [Source:Projected from Arabidopsis thaliana (AT4G02050) TAIR;Acc:AT4G02050] MAGGGVAALGVKKERAAEYKGRMTLAVGMACLVAAVGGAIFGYDIGISGGVTSMDPFLKKFFPVVFRKKNDDGQNNYCKYDNQGLSAFTSSLYLAGLVSSLAASPVTRNYGRRASIVCGGLSFLAGATLNAAAVNLVMLILGRILLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQHIRPWGWRLSLGLAAAPALLMTVGGLLLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMAEASELANSIEHPFRNILEPRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGSASLYSSVLTGAVLFSSTIISISTFGTDKELTRSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQAFLSLLCALKFGIFLFFAGWITVMTVFVHVFLPETKGVPIEEMVLLWRKHWFWKKVMPDLPLEDGDSHHK >ONIVA09G09900.1 pep chromosome:AWHD00000000:9:11882370:11889385:-1 gene:ONIVA09G09900 transcript:ONIVA09G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRKVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMQASLSSGQIDVCLIPEVSFTLDGEHGVMRHLEHLLEKKGFCVVCVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKSHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGICNTHYAFLPITEVITKPKRVNPNSRMWHRCLTSTGQPDFH >ONIVA09G09900.2 pep chromosome:AWHD00000000:9:11882370:11889385:-1 gene:ONIVA09G09900 transcript:ONIVA09G09900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRKVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMQASLSSGQIDVCLIPEVSFTLDGEHGVMRHLEHLLEKKGFCVVCVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKSHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGICNTHYAFLPITEVITKPKRVNPNSRMWHRCLTSTGQPDFH >ONIVA09G09890.1 pep chromosome:AWHD00000000:9:11878493:11882916:1 gene:ONIVA09G09890 transcript:ONIVA09G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKASSADNRTRSTVSLCIVIGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTILPNLSFDTHLAKQARPRDLVSPAKKFKPCPDRYTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQFEGNVFRFPGGGTQFPQGADKYIDQLASVVPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANGGIYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNKIEEIADLLCWEKVKEIGEMAIWRKRLNTESCPSRQDESSVQMCDSTNADDVWYKKMKPCVTPIPDVNDPSEVAGGAIKPFPSRLNAVPPRIANGLIPGVSSQAYQKDIKMWKKHVKAYSSVNKYLLTGRYRNIMDMNAGFGGFAAAIESPKSWVMNVVPTISKMSTLGAIYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCNMEDILLEMDRVLRPEGAVIMRDDVDILTKVNRLALGMKWNTRLVDHEDGPMVREKVLYAVKQYWVGGNQTAAAAA >ONIVA09G09880.1 pep chromosome:AWHD00000000:9:11875866:11876159:1 gene:ONIVA09G09880 transcript:ONIVA09G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWGRGDDDAESPGDDGAEDDTEARGDDDAESAGGDNGASSLPPSAASSAQPPSSAADAPLPPTPATAIAWTSSGWLYWGKRRRGERERRIDSRG >ONIVA09G09870.1 pep chromosome:AWHD00000000:9:11853506:11857222:-1 gene:ONIVA09G09870 transcript:ONIVA09G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVGAEDAAADASTGGGSRRGSVPGGSAAAASRAAPPASPTSAAAATTLGASPAAAPPTGPASSPAASPALQTSAAAAASLVVVELPASPTSAAATILGASPAPALPTSPETSIPAPPPPPSLVKVLDMDRAKTLIKEQDWDAVEGYISSILCDNQEHCVDDFARLHPALYLMFREEKLFQLLAENKIDEAHIFYQHSIVSLEDRDGIFLPVDLGVTIKNLDPSNSSSDPIRRSTQEELSRYVKLYFPKSIGSLKEKKRREEYTTCEQFVEKHQLQNNSSEKDCMICLACGWEVLGMCKMRPHFVYSHHVKHCSGVTQDLLNRLKNIDGKPILDFTQLFGKFEMTASSMSSKYSSATKKLKRKKTDMVACRSGSKRSRGKRSEEKSEFCDEFVLEKAKLIHGFCVDLANLMKTYVPEEVHVCYKKILDIQLVVSSLESNINQMKLKDVFGSSNEDLFGDDFDDVMLKKLSELYKLFIDLGKFTKTSGVREEDLAHFEEVLLRIESKVGCTLRVARLKRAIWL >ONIVA09G09860.1 pep chromosome:AWHD00000000:9:11850405:11851307:1 gene:ONIVA09G09860 transcript:ONIVA09G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRLLALLLLLLALSFSHGVVVATVAKARDEQLVKLVRRYVRWRSVFQAGIGMVSTVADYSDPKTNTNPRGGVLPRPTLTRRRRTDCRPPPSIYGLLPPRVQHEPIIEVET >ONIVA09G09850.1 pep chromosome:AWHD00000000:9:11842430:11845528:1 gene:ONIVA09G09850 transcript:ONIVA09G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGKRADGFLRRFRRRRLLAFLRREHLYATLDALGGETRLFLNARTLQTMLADCRWEEARRYVGRFLPRRQIGVEARAVLRLIAYLSAVDDVAQGRRPGSEFAGDHLEREFEADATSSNTMVCADFVRKISRKRSSFPGRWDSVEWQFLRIQAALIVKELVINTPEFSHLLRLPRYPVNPECMMPVWFGCRRKHQRKIIGRMPASLLAHCFLPKERCPSPKKQGIPGAPVVPCIGRNCGLLSANAVTEHLSQEDCHSESVASTEHSPTTRELCPRRRKKTTVVPDGE >ONIVA09G09840.1 pep chromosome:AWHD00000000:9:11838514:11840142:1 gene:ONIVA09G09840 transcript:ONIVA09G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAST1 protein homolog 4 [Source:Projected from Arabidopsis thaliana (AT5G15230) TAIR;Acc:AT5G15230] MALAGRLLVLFAIALLAISIAEHKALAKGSTSEHDDNVYQVSKGGQGSLKSYQCSPQCAYRCSQTQYKKPCLFFCNKCCNACLCVPSGLYGNKGECPCYNNWKTKRGGPKCP >ONIVA09G09830.1 pep chromosome:AWHD00000000:9:11820875:11821900:-1 gene:ONIVA09G09830 transcript:ONIVA09G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDVKYKPLVFPNGAIKKAAKPAAVAPAVGGGGGGETVYRECLKNHAASLGGHALDGCGEFMPSPAANPADPTSLRCAACGCHRNFHRRLPEGSPPPPPPPALLPAPPMPPHRGEETPEVRLPGVDGDESDSDSDGSEYDDERSVSPPPPPLAAAVAHQAYYPSAPHMLLSLGSSGQAQRLPPQVMSPAAAAAPPPGGGGGGGMPRKRFRTKFTAEQKQRMQELSERLGWRLQKRDEAIVDEWCRDIGVGKGVFKVWMHNNKHNYLGGHSARRSASSAAAAAAPPFNPPTNHTSPPPPPPPHATDFNINGTATAATAVAAATVAAGNHQENGASSPQSA >ONIVA09G09820.1 pep chromosome:AWHD00000000:9:11802141:11802482:1 gene:ONIVA09G09820 transcript:ONIVA09G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLVVLRRREPAVRFSCCGVRYGECRRNHAASTGGHAVDGCREFIAAEDGGGGNSTGAVGVAAAALKCAACGCHRSFHRRVQVYEVAWDDDCASGDTSSSSPSSSSSLSSE >ONIVA09G09810.1 pep chromosome:AWHD00000000:9:11783231:11785172:1 gene:ONIVA09G09810 transcript:ONIVA09G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDLMLVSYIQEHGAGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTEQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKMQAAGGGEDGGAASEGGGGRGDGDGGGKSVKAAAPKGQWERRLQTDIHTARQALRDALSLDHPDPSPATAATPAGSSAAYASSADNIARLLQGWMRPGGGGGGNGKGPEASGSTSTTATTQQQPQCSGEGAASASASASQSGAAAAATAQTPECSTETSKMATGGGAGGPAPAFSMLESWLLDDGGMGLMDVVPLGDPSEFF >ONIVA09G09800.1 pep chromosome:AWHD00000000:9:11778199:11778456:1 gene:ONIVA09G09800 transcript:ONIVA09G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAVAEETCTMLDGVMGEMVSTMLRMVATTDLARDVARLRRHDSERVCALATGVVRGGGRPLCPVLPLYLLHWQSGHLASPSP >ONIVA09G09790.1 pep chromosome:AWHD00000000:9:11757254:11758186:-1 gene:ONIVA09G09790 transcript:ONIVA09G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNSGGKEAFSKFYMDYPRTELMVHSSQDFSKNESLNVVVETCVHGEYHFALASEGIGGRKLLHRDQLDLIDKRRNVTKELVRGGRNTYEKCRLPLYLQNFLNGEIECDPRLHPSSGYVLKLVASP >ONIVA09G09780.1 pep chromosome:AWHD00000000:9:11755606:11756321:1 gene:ONIVA09G09780 transcript:ONIVA09G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDGVKARCILGQGFSHRNHRRRRNRLTASSDLDTREGKDEAAVTTEDGVEGDSRRLLSPRQVDLDDVGWCGRRTAYLPNGSSVAEARTAGSGAPKLRMVGSATSEFMVSGSGAPEFMVAGTIRGRVGDVEARRRPEISGDGRRGLSMALGSGVVPRSPMVLTPALSSSLARYDPDLAWWRREGGGDPDLEWWRHGGDRGRWVKEAATVGGGRRRQRPGGGGRRS >ONIVA09G09770.1 pep chromosome:AWHD00000000:9:11733511:11739963:1 gene:ONIVA09G09770 transcript:ONIVA09G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74640) TAIR;Acc:AT1G74640] MDATKRRQRTLESRVDGSTRRSVPLHSNSTVAPTKPPTPSSPTMRPTAAAATAAFASPVVAVPSRAAPLAARRRLRRARRFAVRSVASPPTVPKPAAPPSKTGKWQWTFEDKPINIYYEEHEHETAENVKNILMIPTISDVSTVEEWRVVAKDIVARKGELGYRATIVDWPGLGYSDRPSLNYNADVMENFLVQLINSPNSPVANTDGEVVVVGGGHAATIAVRAAGKGLIRPSGIAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPNIVESRYELTKRKGARFVPAAFLTGLLDPVQTREEFLQLFAKLDGDVPVLVASTVNAPKRSKAEMEALRGAKGVTKFVEVPGALLPQEEFPSPVAEELYSFLKESFSSGR >ONIVA09G09770.2 pep chromosome:AWHD00000000:9:11733511:11739138:1 gene:ONIVA09G09770 transcript:ONIVA09G09770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74640) TAIR;Acc:AT1G74640] MDATKRRQRTLESRVDGSTRRSVPLHSNSTVAPTKPPTPSSPTMRPTAAAATAAFASPVVAVPSRAAPLAARRRLRRARRFAVRSVASPPTVPKPAAPPSKTGKWQWTFEDKPINIYYEEHEHETAENVKNILMIPTISDVSTVEEWRVVAKDIVARKGELGYRATIVDWPGLGYSDRPSLNYNADVMENFLVQLINSPNSPVANTDGEVVVVGGGHAATIAVRAAGKGLIRPSGIAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPNIVESRYELTKRKGARFVPAAFLTGLLDPVQTREEFLQLFAKLDGDVPVLVASTVNAPKRSKAEMEALRGAKGVTKFVEVPGALLPQEEFPSPVAEELYSFLKESFSSGR >ONIVA09G09760.1 pep chromosome:AWHD00000000:9:11716847:11720858:-1 gene:ONIVA09G09760 transcript:ONIVA09G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEGGGAPAAAAAVSNGFVHAVVRWCFSPLFFWLFTVALVAAIHLASTYISPSRDEEDKEKKARRGGDFAGAGEEREEEEVGRNDDKILEMMRSFSFMHASEEDFMEGMATYDHVVARMAPEPLPLTPPAAPPPSTFSFRFQHQLPEILRETAVVSGEIPVQVLEEHEPEKKPAIAMESKQERERDAEEREVVMEEEEEEEEQSREVVEAAAAAPMIVSTTHNYRFLTERDFRGFVKEPEVITVRVQESFVPSPELEAVAVAAAAQPEERRVVDGAPRRGFLTTNDFRPANEPDSARQSVASQLGRKPEASSPSAASRGSAAAAVSGRTSFASEFSGFGGDSDSESTASDGYSVKDLVVDSDSDWFLSEKDFPAAAAAAAAAGHDAGSLRGYYKAKVLKALEALDASASNLERSFQDSATTVSPGSVVGQASPDIIGAADDPAKYPEDMWSRSPSPDVEYNEDEHKGNEATNMAEEVSFDMSDDERPPAYGGKKTTAMAAASSSSSPVHDAESEDENSLDHSEKETITIHDHSYESVSDVKRRSPEAISDAELDDASSRHAAVLDAKVRSPDVHSSEPIFDNYEEHEVSNDQSQAAVSDKKRSTPHSEREFAGTDDRSHELISDVWKDIVGANDQSLASAHDEERSPDQSVAIISDDHKAIVSATNDSSNGEVSDHKSTPETAEQEFSGNDDPYELVADARIISERAEEDDIPNERPGNATRHVTFSVAEKGKVLDVVEEDQEDKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSIGLPTILEESETPKAPMEDLKPWRIDAKFLREDPMDELNKFFKSYRERMRKFDILCYQKMYAIDFLQFRGPQQSANSLKSLSPTVTSILSHNFRSSRRKSPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQAHDLPESDPYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNYVITSEELEEIMEECMRVFWEFIRSDRVETTSVLKGLSSTHVELQDPLDHDLMMHIHSTLQKKEKRLKDLLRTGNCIVKKFKKPKEDTLNQSLFFSQVDMRLVARVLRMPRITSEQLQWCKAKLDKISLVDRRIHREASFLLFPC >ONIVA09G09750.1 pep chromosome:AWHD00000000:9:11713535:11715149:-1 gene:ONIVA09G09750 transcript:ONIVA09G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKSASK >ONIVA09G09740.1 pep chromosome:AWHD00000000:9:11708193:11709194:1 gene:ONIVA09G09740 transcript:ONIVA09G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHEFRLPSLTEPSLSKIPIDKKPAKDIWAICKILKKPNSMAQRALSHPWGPQSTATTSSQFASESSSCSEEVAIPITQLNSQQCLQGRQQKPNNRQDGSSSKVINFKCSPSLTHQSDKDNHNCPVTLPFKTQTLQHMSGATSLLLSITPGIINSIYEASPNIRFGQTEPCNGYEVDWVIGTNGGIENSDEDPYTRTGTEYSTGSECGIRQKIKFPFDLLGDPSDNWTSNINMPCEFPLTPNSYSHVQ >ONIVA09G09730.1 pep chromosome:AWHD00000000:9:11706633:11712247:-1 gene:ONIVA09G09730 transcript:ONIVA09G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G74600) TAIR;Acc:AT1G74600] MPPRLLRSSTPLAAAHLRALSAFAPRAGEGAPHLFGGMRPRAPDLLARLPAELVSFARARAAGRAAPSQFAYGNALAACARAPAPALAEQVYCAAWKDGLSGNAYVCTGMVDLLAKSGRLRDALRVFADGDPSSAVCWNAAVSGAVRNGEGGLAVEMFRDMVWGSCEPNSFTYSGALSACAAGEELSVGRAVHGLVLRRDPEYDVFVGTSLVNMYAKCGDMGAAMREFWRMPVRNVVSWTTAIAGFVQDDEPVSAMLLLREMVRNGVAINKYTATSILLACAQMSMVREASQIHGMVLKTEMYLDCVVKEALISTYTNFGFIELSEKVFEEAGTVSNRSIWSAFISGVSNHSLLRSVQLLRRMFHQGLRPNDKCYASVFSSVNSIEFGGQLHSSAIKEGFIHGILVGSALSTMYSRCDNVQDSYKVFEEMQERDGVSWTAMVAGFATHGHSVEAFLTFRNMILDGFKPDHVSLTAILSACNRPECLLKGKEVHGHTLRIYGETTFINDCFISMYSKCQGVQTARRIFDATPCKDQVMWSSMISGYATNGCGEEAISLFQLMVAASIRIDSYICSSILSLCADIARPFYCKPLHGYAIKAGILSDQSVSSSLVKVYSRSGNMDDSRKVFDEISVPDLVAWTTIIDGYAQHGSSQNALAMFDLMVQLGVRPDTVVLVSVLSACSRNGLVEQGFNYFNSMRTAYGVEPELQHYCCMVDLLGRSGRLAEAKYFVDSMPMKPDLMVWSTLVAACRVHDDTVLGRFVENKIREGNYDSGSFATLSNILANSGDWEEVARIRKTMKGVNKEPGWRVMLRSSDVAPDICCRN >ONIVA09G09720.1 pep chromosome:AWHD00000000:9:11702861:11707477:1 gene:ONIVA09G09720 transcript:ONIVA09G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAAAAALSPAISLHLLRPLPCRAVLLSRQRRPFPSPRAGCLAAVRAQRRWLRSPEIGRWRRRGGYACLSFNSGNKPPPPSSENSDEWPILRRWDVPWEWQTVVLTMVGCGVSFVLTGLVEQSVLTYVGFRAVEATVDQKAEILFLGQLYESKHLLSVTAVVLGVVYGITNTFRPLPDDIFRYDIKEPFELQNGWLLWAGVGLFGAIISIALVGVAMTYLNGEPPERETDSLVLLLPLIGSSSASTAFLVGITGVLAPILEETVFRGFLMVSLTKWFPTPVCILVSAALFAFAHLTPGQFPQLFILGVALGFSYAQTHNLLTPITIHAFWNSGVILLLTFLQLQGYDIKELLGAS >ONIVA09G09720.2 pep chromosome:AWHD00000000:9:11702861:11707978:1 gene:ONIVA09G09720 transcript:ONIVA09G09720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAAAAALSPAISLHLLRPLPCRAVLLSRQRRPFPSPRAGCLAAVRAQRRWLRSPEIGRWRRRGGYACLSFNSGNKPPPPSSENSDEWPILRRWDVPWEWQTVVLTMVGCGVSFVLTGLVEQSVLTYVGFRAVEATVDQKAEILFLGQLSVTAVVLGVVYGITNTFRPLPDDIFRYDIKEPFELQNGWLLWAGVGLFGAIISIALVGVAMTYLNGEPPERETDSLVLLLPLIGSSSASTAFLVGITGVLAPILEETVFRGFLMVSLTKWFPTPVCILVSAALFAFAHLTPGQFPQLFILDS >ONIVA09G09720.3 pep chromosome:AWHD00000000:9:11702861:11707978:1 gene:ONIVA09G09720 transcript:ONIVA09G09720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAAAAALSPAISLHLLRPLPCRAVLLSRQRRPFPSPRAGCLAAVRAQRRWLRSPEIGRWRRRGGYACLSFNSGNKPPPPSSENSDEWPILRRWDVPWEWQTVVLTMVGYIKEPFELQNGWLLWAGVGLFGAIISIALVGVAMTYLNGEPPERETDSLVLLLPLIGSSSASTAFLVGITGVLAPILEETVFRGFLMVSLTKWFPTPVCILVSAALFAFAHLTPGQFPQLFILDS >ONIVA09G09710.1 pep chromosome:AWHD00000000:9:11699884:11703023:-1 gene:ONIVA09G09710 transcript:ONIVA09G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription regulators;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT3G47610) TAIR;Acc:AT3G47610] MASVAWTGVRLCTGAAGAVLAGSPKKSHVSFGIRVEFMAATASTSGEWLKGALQELRERMGGALELDADLISGLVSFCELAPPPDAADYLANIIGVEAAQDLIQEYLQRRGYIDPLKGNENLQSSNLQPYVKPSAEAGPTQTKKQTRTQKDAAASSSGQSSKNQPETTEPRVASKRGSKKKAVKAISLAEAAKGSIVFKQGKPCSCQARQHNLVSNCLSCGKIVCEQEGEGPCSFCGALVLMEGSTYAGLSDVGIPLSEAEAEAEAYAKRLVDYDRNSAARTKVYDDQSDYFEMEGNSWLSSKEKSDLKKQHGEAQEAAEKDKGKVVVTFDLVGRKVILNKDGATVLESEHRILGPPEEKDQIHRIQANPTIREQPVFIETGPVKPKTDRARQSKRLAKNGLCLEVTGRLQHDDKDPQSFLGGKTKKGDHLAYSSFGQPREGDDFDCSQDFD >ONIVA09G09710.2 pep chromosome:AWHD00000000:9:11699884:11702910:-1 gene:ONIVA09G09710 transcript:ONIVA09G09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription regulators;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT3G47610) TAIR;Acc:AT3G47610] MRRMRFFALSSSARWLTEEVAPFSGIVYSFRVEFMAATASTSGEWLKGALQELRERMGGALELDADLISGLVSFCELAPPPDAADYLANIIGVEAAQDLIQEYLQRRGYIDPLKGNENLQSSNLQPYVKPSAEAGPTQTKKQTRTQKDAAASSSGQSSKNQPETTEPRVASKRGSKKKAVKAISLAEAAKGSIVFKQGKPCSCQARQHNLVSNCLSCGKIVCEQEGEGPCSFCGALVLMEGSTYAGLSDVGIPLSEAEAEAEAYAKRLVDYDRNSAARTKVYDDQSDYFEMEGNSWLSSKEKSDLKKQHGEAQEAAEKDKGKVVVTFDLVGRKVILNKDGATVLESEHRILGPPEEKDQIHRIQANPTIREQPVFIETGPVKPKTDRARQSKRLAKNGLCLEVTGRLQHDDKDPQSFLGGKTKKGDHLAYSSFGQPREGDDFDCSQDFD >ONIVA09G09700.1 pep chromosome:AWHD00000000:9:11693449:11698887:1 gene:ONIVA09G09700 transcript:ONIVA09G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHLMVNVDDLMAPECFETTGAAKNSSGEAAAQAPTTVHTFLAVGESMAPEEEPLLQLVECRICQEEDSIKNLESPCACTGSLKCLKPDSSGYYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPTRPHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHIMEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAMFSLFLLRAAGFLLPFYIMAWAVSILQRCRQRQEAAALAATEVAFILQSGQGTGVHFTIAPDSPTTPQHEPQP >ONIVA09G09700.2 pep chromosome:AWHD00000000:9:11693449:11698887:1 gene:ONIVA09G09700 transcript:ONIVA09G09700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHLMVNVDDLMAPECFETTGAAKNSSGEAAAQAPTTVHTFLAVGESMAPEEEPLLQLVECRICQEEDSIKNLESPCACTGSLKYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPTRPHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHIMEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAMFSLFLLRAAGFLLPFYIMAWAVSILQRCRQRQEAAALAATEVAFILQSGQGTGVHFTIAPDSPTTPQHEPQP >ONIVA09G09690.1 pep chromosome:AWHD00000000:9:11688328:11689839:1 gene:ONIVA09G09690 transcript:ONIVA09G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36730) TAIR;Acc:AT2G36730] MAALHLHALIAGGGAATTVPHLRQIHAHLLTSGRLPSLGPVLLRRLISLPNPHLHLAHRLLLSLPSPSLDLFNLLLPPLASSPDPSTAAALFLRLRRGGLRPDAHTLPHVLKALARLAPGSLPVVGSVHSEAVKDGLASAVVYVPNALMAAYSACGQLERAVQVFDEMPRRTVVSWNTALTACAGNGRHELCVELFAEMLEAGSVPDPTTFVVMLSAAAELGNLALGKWAHGQVVSRRLDMTLQLGTAAVNMYSKCGAVSYALHLFERMPARNVWTWTAMIVGFAQNGLAQEALELFDRMKLSKIIPNYVTFLGLLCACSHAGLVDEGRRFFHEMQHVYGIVPMMTHYSAMVDVLGRNGLLQEAYDFVVGMPVEADPVVWRTLLAACQLHSSKDCIEIIDKVQGKLLELEPRRSGNYVMVSNIYCEIGSWDEAAKARRVMREGGMKKVAGESCVEVGGRVHRFVFGDDSCPEFHGACRILHELNLNMRKCEPIDPILFTDDAD >ONIVA09G09680.1 pep chromosome:AWHD00000000:9:11682863:11685488:1 gene:ONIVA09G09680 transcript:ONIVA09G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVQLFSGDQHRRTVFFPWYSVFRLLGAAVVFLFGQGSFNLHCGGPDWVCSSALSFAARHGVILPIAVGTRWLTVPVEKVGSDCVLSFLSPLLHILCYKVNLPIS >ONIVA09G09670.1 pep chromosome:AWHD00000000:9:11675197:11675569:-1 gene:ONIVA09G09670 transcript:ONIVA09G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSPGASHRWHVYLASSSSSSFVARRGSRWSRAVLPAPRQRPDQPRHRRRVDGASGIGGVVDDPRSLVCAYAFVPCGYSMNALDGHSYASYECVAAAALASIRRAIAAFR >ONIVA09G09660.1 pep chromosome:AWHD00000000:9:11672988:11673349:-1 gene:ONIVA09G09660 transcript:ONIVA09G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRLLALLLLAALLLALSFSHGEAKDVQLVKPARRYGRWRSALQAGDGMVSTVADYSDPKPNTNPRGGVLPPTDPNSPPAH >ONIVA09G09650.1 pep chromosome:AWHD00000000:9:11659599:11660120:-1 gene:ONIVA09G09650 transcript:ONIVA09G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAYSAPSTSSTYSFHFSMAQAVLTISLNVIAIGLSALVKSSSSSSSSSSSRRRAAAAPAVAPPAAIDLDTVLGLMGGAGGAAPSVGFEEASALFEEEEATLGEAAAAFRVFDRNGDGFIDAGELGSVLASLGFAAGAGHAECQRMIDAYDADKDGRVDFREFLKFMETAAA >ONIVA09G09640.1 pep chromosome:AWHD00000000:9:11652965:11656828:-1 gene:ONIVA09G09640 transcript:ONIVA09G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSPSPPPARGPALAAAAAVVVLLPSIFPAIFTPLGRAFPSLFSEWNAPKPMHESLLNEALRRTISNEQKRELWSPLPYQGWKPCLKSSSVHGVPLEPTGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWKDTSSFEEIFDVDHFINTLKAEVSIVKVLPKEFSWSTREYYGTGIRATRIKTAPVHASASWYLENVSPILQSYGIAAIAPFSHRLAFDDLPVDIQHLRCKVNFQALVFLPHIISLGETLVKRLRSPVQGQSGELIQEVGEDTNQAGKYAVLHLRFDKDMAAHSACDFGGGRAERLALAKYRQVIWQGRVLNSQLTDEELRNLGRCPLTPEEIGLLLAALGFDSRTRIYLASHKVYGGEARISSLRKLFPLMEDKRSLASEEELTNVEGKASVLAALDYYISMHSDIFISASPGNMHNALMAHRTFENMKTIRPNMALLGRIFVNKSMEWLEFQEAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQGGKMVEEIRAEFNIANDFTPEEEAEIRKENAWAFQD >ONIVA09G09630.1 pep chromosome:AWHD00000000:9:11647477:11648108:1 gene:ONIVA09G09630 transcript:ONIVA09G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCLAPRSTFGKSFPKSNPSLRFAARFDRLCRRWRRRRGRAFSSRELAAALDGIVNVNSLFTITAFIGLAWRPSGLRRWSRARLAEGADRQCAAAVSCTAMINRVALRVGSLAMAVGSVCLGAGS >ONIVA09G09620.1 pep chromosome:AWHD00000000:9:11642501:11643578:-1 gene:ONIVA09G09620 transcript:ONIVA09G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGDRAPSSSSTAMISRLLPPGFRFRPTDGELVAHYLARKAADAGFTSAAIRDADLYRAEPWDLLPPPRCDAAAEEEEEEEEEEERCGYFFCTRSFRWPSGTRTNRATAAGYWKSTGKDKAVLHGGGGGGGRPVGVKKTLVFYRGRAPRGEKTSWVMHEYRLLHGGAAATASSSPTPTTVVARSEWVICRVFVRKTPDGNNDRGTTEHHLPSDDAHLRSSPAPANSVDGAGHASCSFFSGANESMAPSDHFNIGDDMILHGHDEEELLMMNCSSAFDLPELLDYESFSLDL >ONIVA09G09610.1 pep chromosome:AWHD00000000:9:11641471:11641735:1 gene:ONIVA09G09610 transcript:ONIVA09G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGSSGSGGEGNGCGPLADPMRQQHSPALSCTDLAAGSDVGGRMDYGEYHDVGGGCVEDISL >ONIVA09G09600.1 pep chromosome:AWHD00000000:9:11635839:11637848:-1 gene:ONIVA09G09600 transcript:ONIVA09G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G26550) TAIR;Acc:AT1G26550] MGKDSKPKDKGKGKQAAGSSGGDDAGGGGKGGKGKGGKSADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLDNGDKVPPAEFAKIAQEYSECPSGKKGGDLGWFPRGKMAGPFQDVAFSTPVGATSAPFKSTHGYHFILCEGRKN >ONIVA09G09590.1 pep chromosome:AWHD00000000:9:11632303:11634499:-1 gene:ONIVA09G09590 transcript:ONIVA09G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G14030) TAIR;Acc:AT1G14030] MAAAIHHHHLLPPRLLSVHPQPPRLRLRRPLPRRAAASGAAAGTSSSTAAAPPPTDAALQEFRRWVSSHGADAGAGAAAPAAVPEGGLGLVAARDLPRGEVLAEVPKKLWLDADAVAASDLGGAVGRGGLRPWVAVALLLLREAARGAGSPWAPYLAILPRQTDSTIFWSEEELLEIQGTQLLSTTMGVKEYVQSEFESVEAEIISENRELFPGTVTFNDFLWAFGILRSRVFAELRGDKLALIPFADLVNHSDDITSKESSWEIKGKGLFGRDVVFSLRTPVNVKSGEQIYIQYDLDKSNAELALDYGFTESNSSRDAYTLTLEISESDPFYDDKLDIAELNGMGETAYFDIVLGESLPPQMLPYLRLLCLGGTDAFLLEALFRNAVWGHLELPVSQDNEEAICQVIRNACKSALGAYHTTIEEDEELLGSENLQPRLQIAVEVRAGEKKVLQQIDDIFKQREEELDGLEYYQERRLKDIGLVGDNGEIIFWES >ONIVA09G09580.1 pep chromosome:AWHD00000000:9:11627996:11631785:-1 gene:ONIVA09G09580 transcript:ONIVA09G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDGDGHGRRRPPPPPPPGHPPNLPPHVPYSRALQQRLYLLAQHRRRRIPPGDGDASAARRALDQLHAQVVLNGFPRKRFLLAKLLSLAAAAADLPRAESLFLAAPAAECSSSTSSSTPTLANLVLRAAASSRAPPDRLVALFSRLVSRHGFRPNAFSFSTLLAALADAGAAALPHGRALHARALACGLVLSSGHVLTSLLDLYAAAGQLGEARRVFDEMPGRTVAAWNCMLAAYVRCCEMDAALWFFNEMPGRDSVAWTTMIAGCVNAGRAAEAVELFWRMRKANAKVDAVTMVALLTACAEQGDLRLGRWVHAHVEQEGRQWRTVLLDNALINMYVKCGAVEDAHRLFLVMPRRSTVSWTTMISGLAIHGRAEEALDLFHRMQERPDGATLLAVLLACSNAGRVDDGRQYFQSMERFYGITPGIQHYGCMVDMLCHGKQLREAIEFVETMHLQPNDAVLGALLSGCKREGNLELAAQVIEKLIRLQPERAAGHLVLLANMYAGVGQWEQAGKVRERVAALNAGKPAGTSRVNPNQSSMLFLISLKKLVTCTDAKRSGEMPCSMDIGNWIIKNL >ONIVA09G09580.2 pep chromosome:AWHD00000000:9:11627859:11631785:-1 gene:ONIVA09G09580 transcript:ONIVA09G09580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDGDGHGRRRPPPPPPPGHPPNLPPHVPYSRALQQRLYLLAQHRRRRIPPGDGDASAARRALDQLHAQVVLNGFPRKRFLLAKLLSLAAAAADLPRAESLFLAAPAAECSSSTSSSTPTLANLVLRAAASSRAPPDRLVALFSRLVSRHGFRPNAFSFSTLLAALADAGAAALPHGRALHARALACGLVLSSGHVLTSLLDLYAAAGQLGEARRVFDEMPGRTVAAWNCMLAAYVRCCEMDAALWFFNEMPGRDSVAWTTMIAGCVNAGRAAEAVELFWRMRKANAKVDAVTMVALLTACAEQGDLRLGRWVHAHVEQEGRQWRTVLLDNALINMYVKCGAVEDAHRLFLVMPRRSTVSWTTMISGLAIHGRAEEALDLFHRMQERPDGATLLAVLLACSNAGRVDDGRQYFQSMERFYGITPGIQHYGCMVDMLCHGKQLREAIEFVETMHLQPNDAVLGALLSGCKREGNLELAAQVIEKLIRLQPERAAGHLVLLANMYAGVGQWEQAGKVRERVAALNAGKPAGTSRVNPNQSSMLFLISLKKLVTCTDAKRSGEMPCSMDIGTITWMLYRMRFYNGRASRTRACAATIACSLQQRYMNVRLSKPKGINF >ONIVA09G09580.3 pep chromosome:AWHD00000000:9:11629343:11631785:-1 gene:ONIVA09G09580 transcript:ONIVA09G09580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDGDGHGRRRPPPPPPPGHPPNLPPHVPYSRALQQRLYLLAQHRRRRIPPGDGDASAARRALDQLHAQVVLNGFPRKRFLLAKLLSLAAAAADLPRAESLFLAAPAAECSSSTSSSTPTLANLVLRAAASSRAPPDRLVALFSRLVSRHGFRPNAFSFSTLLAALADAGAAALPHGRALHARALACGLVLSSGHVLTSLLDLYAAAGQLGEARRVFDEMPGRTVAAWNCMLAAYVRCCEMDAALWFFNEMPGRDSVAWTTMIAGCVNAGRAAEAVELFWRMRKANAKVDAVTMVALLTACAEQGDLRLGRWVHAHVEQEGRQWRTVLLDNALINMYVKCGAVEDAHRLFLVMPRRSTVSWTTMISGLAIHGRAEEALDLFHRMQERPDGATLLAVLLACSNAGRVDDGRQYFQSMERFYGITPGIQHYGCMVDMLCHGKQLREAIEFVETMHLQPNDAVLGALLSGCKREGNLELAAQVIEKLIRLQPERAAGHLVLLANMYAGVGQWEQAGKVRERVAALNAGKPAGTSRVNPNQSSMLFLISLKKLVTCTDAKRSGEMPCSMDIGSLWEAIISDEQRAS >ONIVA09G09570.1 pep chromosome:AWHD00000000:9:11627442:11629459:1 gene:ONIVA09G09570 transcript:ONIVA09G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKPSPGVLDGLYGVQLGRRPSPGDGGGGEEEEAVRTTVVEYSAISDSEAKFGDGTTLQRLPIRRLWQHRPSFLKPVHCRISCGDKHAGETIANDELKHGALCEFASRSRDSFKLVPFLQGRNQKTPACLSRALRNENPRLLMAASALLLPFQPLMVSVVHTGMMEATFSMCQVSFAKRASIEPELRMAHNLHKMSSLLGGALFIADDCFPETPYIHAAWHLAAALGIGTCNKLLE >ONIVA09G09560.1 pep chromosome:AWHD00000000:9:11623774:11624872:1 gene:ONIVA09G09560 transcript:ONIVA09G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALALVIRAATDLQLTHHHPSSSSAAAHAHPRWGARRPATLAYKAMSFVQRWCIMLKEGDQAAMKGWGDLLMAKLQQLKPCCLPASV >ONIVA09G09550.1 pep chromosome:AWHD00000000:9:11608522:11610893:-1 gene:ONIVA09G09550 transcript:ONIVA09G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGSHDAAAQLLPWFVGEPAAAAVGGYGGCVDVVGQGGVFGFGFEAAAAPVVTRQQRGGAAAAEGSSRGGGGKPAVVSGLLGSLQAELGRVTAREIMDAKALAASRSHSEAERRRRQRINGHLARLRSLLANTTKTDKASLLAEVIEHVKELKRQTTAIAAAAAAGDYHGNDEDDDDAVVGRRSAAAQQLLPTEADELAVDAAVDAEGRLVVRASLCCEDRPDLIPDIARALAALRLRARRAEITTLGGRVRSVLLITADEQQQQHCDDVDDDEDGHRLLLRHGIDGAGAAAGDDDECAASHRRHECIATVQEALRGVMDRRAAASSGDTSSSGGAVVAGGGGGSIKRQRMNYGVHEQCSV >ONIVA09G09540.1 pep chromosome:AWHD00000000:9:11590779:11592366:1 gene:ONIVA09G09540 transcript:ONIVA09G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQLDQQQQQQQQHQYDHFFSGHGQFNSETLEAVLCRPPRGAAADPAVPAAAAAAAVLTAARNGGGGHGRARKRPFRTDRHSKIRTAQGVRDRRMRLSLDVARDFFALQDRLGFDKASKTVDWLLTQSKPAIDRLAADPSSSSHRAAGDTRMSSAERGGDHHMVAVGAAGSGKGDADKARGPRGGRSAPMELGCELGRLVPAPVLGEYYYELAEMMSNNTGGEGDDDGDYDDDGDFLDDSGFLCHYRSKKKFSIKDIS >ONIVA09G09530.1 pep chromosome:AWHD00000000:9:11572546:11582096:1 gene:ONIVA09G09530 transcript:ONIVA09G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAGAPRGAAATTSGGGGGAPEAGAELGSSGAPVTWGRVWRAGQEAAAHALLLCFTALLALKLDGLFRGSWWMCGALMPGHGETMTDEAIWERLPGTPSNAKFFPLRAVFLPILLLQVTTVSFAIWIFFERLVTKLRAKKITDGYISFSSKIDELFMMMQHGSRLIAWWSIDEDSKEEQAHLCYANNSGAMGSNIPGNNIVGKQMSVL >ONIVA09G09530.2 pep chromosome:AWHD00000000:9:11572546:11582096:1 gene:ONIVA09G09530 transcript:ONIVA09G09530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAGAPRGAAATTSGGGGGAPEAGAELGSSGAPVTWGRVWRAGQEAAAHALLLCFTALLALKLDGLFRGSWWMCGALMPGHGETMTDEAIWERLPGTPSNAKFFPLRAVFLPILLLQVTTVSFAIWIFFERLVTKLRAKKITDGYISFSSKIDELFMMMQHGSRLIAWWSIDEDSKEEQAHLCYANNSGAMGSNIPGNNIVGKQMSVL >ONIVA09G09530.3 pep chromosome:AWHD00000000:9:11572546:11582096:1 gene:ONIVA09G09530 transcript:ONIVA09G09530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAGAPRGAAATTSGGGGGAPEAGAELGSSGAPVTWGRVWRAGQEAAAHALLLCFTALLALKLDGLFRGSWWMCGALMPGHGETMTDEAIWERLPEMQLLWGGSSLGGLLMKIAKRSKLIYAMQIILERALISAGHAQFVE >ONIVA09G09530.4 pep chromosome:AWHD00000000:9:11572546:11581426:1 gene:ONIVA09G09530 transcript:ONIVA09G09530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAGAPRGAAATTSGGGGGAPEAGAELGSSGAPVTWGRVWRAGQEAAAHALLLCFTALLALKLDGLFRGSWWMCGALMPGHGETMTDEAIWERLPGTPSNAKFFPLRAVFLPILLLQVTTVSFAIWIFFERLVTKLRAKKITDGYISFSSKIDELFMMMQHGSRLIAWWSIDEDSKEEQAHLCYANNSGMRFLLVLSNDEGNYRLRMSNTPYVHFYAVYTGKITFAVRGFSLQGQHLWLQPFRFFGHVAMICHALWPAMIYLLWRACCLTSHGHPIQYLYAWCKMLAKKLPGACMLAPGDTRCHPPIFFPSFLVLIHVAFMYV >ONIVA09G09520.1 pep chromosome:AWHD00000000:9:11554483:11571571:1 gene:ONIVA09G09520 transcript:ONIVA09G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) TAIR;Acc:AT1G73960] MAKARKQKGEEQKPDGGGAGGGGGGATVLHQKLCLSIDMENRLIYGYTEIKVQAENDTFALHADNMTIRNILVDGQAAEFEYSPQWKNAGDQQSWSSVSCSKTAADAACSVYISSLNSEAAPNLIISSERSSKAITEPQYEENGENHEENGEKHEENGEKQNENGEKCEENGGKPAQISDDQAVNGCNGSADKKDKEEETEKDNEKEKEDKEEETEKDNEKEKEDKEEETKKDNEKEKEQLMGTDEKEKEKEKEKEKEKEKEDENEEEKLEEEKKDKEEKLEEKEKENEEENGNEKDKENDNEIEKVKNTKLVHIDYILEKAETGLYFTGNILHSNNQIRRAHCWFPCIDSATQRCPFDLEFTVSTNLVAVSNGDLLYQVLSKEDPPRKTYVYKLSTPVSAQWISLVVGPFEVLPDRNDISVSHMCLSQSLSKLENTISFFHSVYSCYEDYLAASFPFGLYKQVFLPPEMIVSPTSLGASTCIFNSDILHDEKVIDQIIDTRIKVAYALARQWFGIYTSAEEATDEWLLDGLAGFLTEHFVKRYLGNNEARYRRFKDVAADLTIFTLLLKPVHESYVAHSFGVDSHINFSFTFQPNSLANYVFASCLAFIHLNLNGWCRAANYIVCEFDVSGATALSSPSASSDLFGTQTIGSYGKIRSLKAVSVLQMLEKQMGPDSFRKILQMIVAPTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESSGCPVMRLGISYSKRRNLVELAVSRGCTTKVDPGPDIRTNGDSREGDTGWPGMMSVRVHETDGVYDHPIVPMAGEALQVVEIQCHSKVAAKRFQKTKKGSKPDGSDENIDASNQDNRASMDAPLLWIRVDPEMEYLAEIHFHQPVQMWINQLEKDKDVISQSQAISVLEKSPQLTFAVTNALNNFLNDTKAFWRVRVEAAYALAVTASEGTELTGLLHLVKFYKSRRFDADIGLPRPNDFHDIPEYFVLEAIPHAVALVRSADKSSPKEAIEFILQLLKYNDNNGNVYSDVYWLSAMVQAIGELEFGQQGVGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIAQRVSSSICLDRVCELIVPYRNMDKPWKVRMEAGRVLIDLEFHHKGLDAALLLFLKYANEERSLRVGLLCLLAGKKAYNNVYLRHNVFCILQIAAGRSPTLHGVPKVVTPPQVVLEISSDQHTKADSSVPQQSRPQEPSTSTPSVREVLPTSGPLKDADNISNCSERRNVIFIPTKDADNISNCSERRNVISIPTKDADNISNCSERRNVVKIRVKRASSSSKADDADHRDHSHGRNENEAGPCSSMSVDAPMTEAPEPVNVSNHNIEEQNSCHDREQNSCHDRESRMSASIGNVKLMDKHEVSKELQCTADSRLDALPKDHFSPVVNGQEVLDKPRSQLEVVSTSYDGNQAPDSMNGLETKEKKKKDKKDKKRHRDKKDDPEYLEKKRLKKEKKRMEKEKGKKQKEGEGVSSSEQKNTAKPSDSQGTSSARPPAPMRTPEPKISNVGTPVDTTRTLTTTKIRIKVKPLQR >ONIVA09G09510.1 pep chromosome:AWHD00000000:9:11520676:11521038:-1 gene:ONIVA09G09510 transcript:ONIVA09G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVKMAVVCLLLLSAGHLMASAAAARPDTVDDAAALLRLKDRIELQEEEALALAEELALLDDGAGDAVGAGCSCSTTKCKTCIATCGIKCFPKGIKGFPTCFFACVFTTSKCFAFGA >ONIVA09G09500.1 pep chromosome:AWHD00000000:9:11514766:11515146:-1 gene:ONIVA09G09500 transcript:ONIVA09G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSAAVKMAAAVLCLMVALSVAAGQLTTTAAAATSTRVDDHVVEDDDDATPTTLQQLETRLADDQDLADLLDGGDGAATICPSNCQKCLVKCAGTCVADIVSPPTFVACFLKCAVVKLCFAKV >ONIVA09G09490.1 pep chromosome:AWHD00000000:9:11505689:11511032:1 gene:ONIVA09G09490 transcript:ONIVA09G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G70740) TAIR;Acc:AT1G70740] MWMTRVVDKYSNASSPHRYPRRRRLNICEKPIAVERVGRKYVDTHVAPNLLPCGLGARGEQTDAGFSGLHTVGGLPGGWIRGSTAEKAACAHVFSRCANEPGSSSDGERRRQREELTWARRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVPKIADFGMARLFPEAGDGRSHVQTRVAGTNGYMAPEYLMHGALSAKADVFSFGVVVLEIVSGHKNSSFVPPPDSDADNLLDHAWRLYKKGRSIELLDPAVKSAAATEQVELLVRIGLLCVQADPRMRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRSYGTRGGGGGSHFSVGSTSGTSSPSTSTTASHATTSAASNAMTTTTSSTHTMRSQGLPLHREERGVELKKDDESG >ONIVA09G09490.2 pep chromosome:AWHD00000000:9:11504312:11511032:1 gene:ONIVA09G09490 transcript:ONIVA09G09490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G70740) TAIR;Acc:AT1G70740] MKPRELLERIARPFSSSSSSRRGGGAERRRREEEEADLEAIAAREQRAFRYEALSAATRGFSERQKLGQGGFGPVYRGRLADGREVAVKRLGAGSRQGAREFRNEATLLSRVQHRNVVNLIGYCAHGPDDKLLVYEYVPNESLDKILFSSPPPPPRNSHSGSSSDGERRRQREELTWARRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVPKIADFGMARLFPEAGDGRSHVQTRVAGTNGYMAPEYLMHGALSAKADVFSFGVVVLEIVSGHKNSSFVPPPDSDADNLLDHAWRLYKKGRSIELLDPAVKSAAATEQVELLVRIGLLCVQADPRMRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRSYGTRGGGGGSHFSVGSTSGTSSPSTSTTASHATTSAASNAMTTTTSSTHTMRSQGLPLHREERGVELKKDDESG >ONIVA09G09480.1 pep chromosome:AWHD00000000:9:11503868:11504173:-1 gene:ONIVA09G09480 transcript:ONIVA09G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDVAVGVGWGARRVASRHGTAKHSTGEERESRRLRVDKMGGGHTAHRRVCGWNRSGVEGLKTTRKQMEEDSNPGELSRIRLSLGCVQFAKKNLSVMSDI >ONIVA09G09470.1 pep chromosome:AWHD00000000:9:11494367:11497718:-1 gene:ONIVA09G09470 transcript:ONIVA09G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJD8] MLLPPLVVGSTMASLASLSLPVSTASQGRARGAGPVTAAPRRRRVSVVRAKVREIFMPALSSTMTEGRIVSWTAAEGDRVAKGDPVVVVESDKADMDVETFYDGIVAVVLVPAGESAPVGAPIALLAESEEEVAVAQARAQALPRGPGQEPPPPHVPKAAPPPPPPPPPHAPPGPPPTKGVATPHAKKLAKQHRVDISMVVGTGPHGRVTGADVEAAAGIKPKLKGPKGAPPPPPPPPPSPHKHPAAHPPPPPHHPAPRPPPPMAAAPRQPAALPPVPGATVVPFTTMQAAVSRNMMESLSVPTFRVGYAVCTDKLDALCEKVKSKGVTKTLLLVKAAAMALTQHPVVNASCRDGKSFSYNSSINIAVAVAIEGGLLTPVLEDVDKLDVYLLAQKWRGLLKKARMKQLQPDEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGGSRPTLVANKDGFFSIKNEMLVNVTADHRIIYGADLAAFLQTFAKIIEDPESLTLYPESLILPGSHIQHSYLGKFYLTPKQNKPTHHS >ONIVA09G09470.2 pep chromosome:AWHD00000000:9:11495075:11497718:-1 gene:ONIVA09G09470 transcript:ONIVA09G09470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJD8] MLLPPLVVGSTMASLASLSLPVSTASQGRARGAGPVTAAPRRRRVSVVRAKVREIFMPALSSTMTEGRIVSWTAAEGDRVAKGDPVVVVESDKADMDVETFYDGIVAVVLVPAGESAPVGAPIALLAESEEEVAVAQARAQALPRGPGQEPPPPHVPKAAPPPPPPPPPHAPPGPPPTKGVATPHAKKLAKQHRVDISMVVGTGPHGRVTGADVEAAAGIKPKLKGPKGAPPPPPPPPPSPHKHPAAHPPPPPHHPAPRPPPPMAAAPRQPAALPPVPGATVVPFTTMQAAVSRNMMESLSVPTFRVGYAVCTDKLDALCEKVKSKGVTKTLLLVKAAAMALTQHPVVNASCRDGKSFSYNSSINIAVAVAIEGGLLTPVLEDVDKLDVYLLAQKWRGLLKKARMKQLQPDEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGGSRPTLVANKDGFFSIKNEMLVNVTADHRIIYGADLAAFLQTFAKIIEDPESLTL >ONIVA09G09460.1 pep chromosome:AWHD00000000:9:11485963:11494168:1 gene:ONIVA09G09460 transcript:ONIVA09G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRHHNYARRQEGLASIRHKVVTNLLFLYYSNPNSVSSMIDVTSDEVAICNNDGENAKLANISTTKDSSSSAAGNDSSGFKWLGPESHSKKREELQILLAKGIYIHVIRRRGDRIKVRYQHLQDPETPRANLEEWLLVTRTANPDPLCIRLSGRTRIRPHNMSERENPSTIGVGTVIDGWLYDGWWEGILLKLVAMSTEFFPLSEFAGEKKMVLFHRDQLRHSLEWIDSKWKAFAHREDLRISHYCTRSSYKRRSNEANGRPSNKQRWFKLCNTSYALNSPGSPQGLCSLILNHSSVSSSSSSPSPRKSSFSMDYRLYYMTLRMNIDCNGCYHKIRRALLQMQELESHLIDRKHGRVSVFGAFSPQDVAIKIRKRTNRRVEILEVREAAPPPPPAGDEGGGGGGGHAA >ONIVA09G09450.1 pep chromosome:AWHD00000000:9:11481712:11482158:1 gene:ONIVA09G09450 transcript:ONIVA09G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQTTAVATPALTCGGRDGAGSGTWKEKGCRGSRASALTRHAKGARARRDGAAVLAPVLRERREGMAAPAWLPLLQACRLGSGRRRYRWRVAADGLAQQWNSVAAMAEQKWNSVAATTKQQQRNPAVAAMAGDTPARVGSGSGSSGT >ONIVA09G09440.1 pep chromosome:AWHD00000000:9:11474672:11480737:1 gene:ONIVA09G09440 transcript:ONIVA09G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGEKASKLCKEAGGPSLDSNLAPTIVLALTTVPMGWDNRRRKQASTIMNARGRGARNGNGPNRGRGGGRAHSDVVVGANTTVLATRRWVGLEIDSVPGNEGQRDIVNYYLRCATGVGNGERELAVVGTHHSNRRVTYVVHEPFLQSLKELQVAAVVGVERLMWKSRKDVVHWLNMLISDVASDEVAICNNDGKDAKLANISTTKGSSSSTAGNDSGDFKWLGPESHSKKGKSYKSFWRRGFTFMVHDFVYILVQHGNKLVAYVEELYEDNHANKMVQIRRFHTLNSTGIQLSPGVNDREILHSDNLQDIGVECIDGLASVLNEEHFEMFQAIANNTNRQPYLCIRHIDNNSNVKTFDIAQLQGYSEQEIFRIVSGTPPVTVHPDASEGSKNTPRSSARGHHHHRTMENPTASDETNVQATTINVLARNAAPTESASALINSALEKYLEQYFSHGCLVECLSQDSGIRGCWFIGSVIRRRGDRIKVRYQHLQDPETPRANLEEWLLVTKTANPDTLRIRLSGRTRIRPHNMSERENPSTISVGTVIDGWLYDGWWEGIVLKLVAMSTEFFPSSEFAGEKKMVLFRKDQLRHSLEWIDNEWKNFAHREDIARRIPSAEDLRIRVITAREVPTREEVMKQLEGLKTNKGGSNSAKPAAEKGSSSSATKKTTPDLIRHATNDLGSSNFKHVGVPASEEIRTDNKGSQVNLENVLKSDSLKWTERKARGSFGPRM >ONIVA09G09430.1 pep chromosome:AWHD00000000:9:11466627:11467006:1 gene:ONIVA09G09430 transcript:ONIVA09G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTRLTYDGFTRSTTLVFNLLQFQTSVHNTNWEPHLCIRLIDNDDNFKYFDIVQLQGYSEQEIFSTILDTYAIMAHSDASNNIIISFLAH >ONIVA09G09420.1 pep chromosome:AWHD00000000:9:11444880:11457027:1 gene:ONIVA09G09420 transcript:ONIVA09G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G50590) TAIR;Acc:AT3G50590] MLQIVSSSKLVQPAGPLPPQHKSTDRRSPAPPDLTPERPDPPPAAMEWATVQHLDLRHAGGRRGASARPLQPHAAAFRASQAIVAVAIGTHVVEFDALTGSKIASIDLGARVVRMAYSPTASHIVIAILEDATIRSCDFATEQTLVLHSPEKKTDHVSIDTEVHLALTPLEPIVFFGFHKRMSVTVVGTVEGGRPPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIQTYVVHYTLQLAVDSTIKLVGAGAFGFHPTLEWIFIGDRGGTLLAWDVSTERPSMIGITQAGSQPITSVSWLPTLRLLVTISKDGALQVWKTRVIINPNRQPMETHFFEHAAIETMDITKILTLQGGEAVYPLPRIRNLAVHPKFNLAAVIFADMSGTEAAKNKAAYTREGRRQLFAVLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQSQLTISDIARKAFLHSHFMEGHAKSGPISRLPLITISDSGNLLRDVPVCQPFHLELNFFNQENRVVQYPVRAFYLDGFNLMAHNLSSGADNLYKKLYSTIPSNMECHPKNIVYSPKQHMFLVVFELSGPNGVAHEVVLYWEQTDLQTVNSKGSSIKGRDAAFLGPDDNQYAILEEDRTSLNLFNLKAVATKEALENNAAVLEENTFADNVTNPTERQGPMQFTFESEVDRIFSAPLESTMLYVISGKHIGLAKLLQGYRLSADNGVSITTKTEGKKFIKLKPNESVLQVHWQTTLRGPVVGILTTQRVMIASADLDILSSSSTKYDRGLPSYRSMLWVGPALIFSSATAISMLGWDNKVRSILSTSFPRSVLLGALNDRLLLVNPTDINPRQKKGVEIRSCLIGLLEPLLIGFATMQQYFEQKLDLSEVLYQITSRFDSLRVTPRSLDILAKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALRFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVISDHESMLDLFICHLNPSALRRLSQKLEESATDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTNMKSIPQWELAGEVMPYMKTTDAGIPSVTADHIGVYLGVMKGRGTVVEVSEKSLVKAIAAASGDNARPASSESTQKNVANAGGDSVGDTLARQLGVQIASADEQAKAAEEFKKTLYGVVDGGSSDEDESTSKTKKIHIRIRDKPAASTVDVNKLKEATKQLGLGPPITRTRSLSGRPQELNQAPMQPPGLAPPAGPAIPNAAVDLFGTNALVEPQASSGATCPVIGGMGVTAGPIPEDFFQNTIPSQQLAARLPPPGIILSRIAQPAPGMSAVRPVHNQNMMANVGLPDGGVPPQAPMQQAQFPQQPGMPMDPISLPDGGVPPQSQPLPSQPQALPPQPHGFQPAIPAMSQPIDLSALEGPGQGKQAPRPPAPTAVRPGQVPRGAPAAECYKMGLAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGALSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNFAYAKQMLDLLYSKAPPSKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCAVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGPVPSPFG >ONIVA09G09410.1 pep chromosome:AWHD00000000:9:11435227:11439338:-1 gene:ONIVA09G09410 transcript:ONIVA09G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDLSSPARKRGRDEEEEEEEEEVVDGEAAQKRARGEDPEGGALLGLANYEEDEEDEEAAAAAGRRRANGRHEEEEEEEDDDDEVDNDVRRAPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYYQGRGLKSHAYTHSLEAGHHVFINLQTEKAYCLPDGYEINDPSLEDIRHVLNPRFTTEQVRNLDRNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRITPLRNFFLIPENYRHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASDKRFQIGVQSDPVEFMSWLLNTMHSKLKSRKRNRSIIHDCFQGELEVVKEFHKKHIVEKKEDGDEQNGDAGSDIVTETSRVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVIRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKDSKKLRSKYDLIANVVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >ONIVA09G09400.1 pep chromosome:AWHD00000000:9:11431204:11433042:-1 gene:ONIVA09G09400 transcript:ONIVA09G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTTGFPRRRSPPVDADLLPRLRLAAGQSSPWRVLLQSLALVVTSGLSASASHSHRGRALSSRLLNSLLPHAPRRLLPALLRLLPGDHLTLLLLVSSKHHSHSLPAASALHALAVSSGHLPSDLRIANSLLSLYLSLGSPASARRLLADIPRPDAVTWNTLLRACLRLGLLPAARRLFDEMPERDVVSYNSMVAGYVAEGDLAGARNLFDGMARRDVVTWNSMISGYSRHGDMENARKMFDAMPERDVVSWNSMLDGYAQAGDVEMARLVFDGMPKRSIVSWNVILALYAKLRDWRECLGLFDVMIAEGNTAPNEKTFVSVLTACANLGDLEKGRWVHDLVQERWDRLVPDVLLLTTLLTMYAKCGVMETAREIFNSMGEKSVPSWNSMIIGYGLHGQSEKALELFLEMERDGPRPNETTFICVLSSCAHGGLVLEGWWCFDRMVRFYSIEPKSEHFGCMMDLLGRAGLLEQSENLIENLQGKVSEALWGILMSASQTQNNIKLGEFVGKKLIEMRPTEVGPYILLSNIYAAEGRWDDVEKVRKVMEEKGVEKDAGLSLVGSREGGHFINESGASAPRNDVMLCMLGEMSVHMKQPSEGSNCRKRSPSAP >ONIVA09G09390.1 pep chromosome:AWHD00000000:9:11427874:11429907:-1 gene:ONIVA09G09390 transcript:ONIVA09G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38740) TAIR;Acc:AT2G38740] MAAATPNGIPASRPLASSVPIEAVLFDIDGTLCDSDPLHHIGYNNGVPIDEEFFINNIAGRSDVEAAQNLFPDWPLEKGLKFLEDKEAKYRSLAKERLEPVKGLAKVVQWVKDHGYKRAADSASGTRAGVAAGIPVVAVATRNPEKSLLDAGATLIIKDYEDPKLWSALEEIDREEAKLKKADA >ONIVA09G09380.1 pep chromosome:AWHD00000000:9:11418134:11428066:1 gene:ONIVA09G09380 transcript:ONIVA09G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS homolog 6 [Source:Projected from Arabidopsis thaliana (AT4G02070) TAIR;Acc:AT4G02070] MASSSSRRLSNGRSPLLRKQSQITAFFSSPTAKPSPSPLNPRATKPPLAVPSPPPPNPPSPPQEEETAVGRRLRVYWPLDDAWYEGRVEGYDVGSRRHRVRYDDGEEEVVDLASERYEWAAAADEEEVTPQPSRKLRRLRRMSDAATAKSPGAVDGGGGGDETADSAEEEDEDWKNDAAAENDSEEVELDDEEDDEEEVVAVKTRKGKKNNSLSMSASTPKLASGLGSVSISGSTLSKKRRKVDAGALDCAKKFSFEPPNTTGKVELKVPISCSQREQPLENALTALTGEVAERFAQRQAEKFKFLGEGRKDAKGRRPGNPNYDPRTLSLPSQFVNSLTGGQMGKFYELFEMDAHVGAKELDLQYMKGDKPHCGFPEKNFELNLEKLAKKGYRVLVIEQTETPEQLDLRRKETGVKDKVVRREICAMVTKGTLTEGESLLANPDPSYLFSVAESYQCGSEKDQDGHTIGVCIVDVSTSKFIVGQFQDDAERHGLCSILSEIRPAEIIKPAKMLSPGTEKALNSNTRDPLINNLLPSMEFWDAEKTIHEIKQYYCSLDTPGAGAQISSAYLPELLSELIEAGDKTYALSALGGSLFYLRQSLLDEKLLPCAEFERLTCSGLTNPIRKHMILDAAALENLEILENARNGGLSGTLYAQLNHCVTGFGKRLLKRWIARPLYERQAILQRQSAIATFKGSGHECAIQFRKDLSRLPDMERLLARLFSSCDKNGRSSKSVVLYEDASKRLLHQFTAALRGCQQMFQACSSISMLTSTDGSSLLNDLLSPGKGLPHVSSILDHFRDAFDWSEADRNGRIIPHEGCDPQYDAACIAIEEIESSLKKYLKEQRKLLSDSSVKYVDVGKDTYLLEVSENLRGSVPQHYELQSTKKGFYRYWTPEVKELISELSKAEAEKEAKLKCILQNLIQLFVGHHSKWRQLVSVVAELDVLISLAIASDFFEGPTCCPIIKESYGPDDTPTLHARNLGHPTLRSDSLGSGSFVPNDIKMGGPGNASFIVLTGPNMGGKSTLLRQVCLTIILAQIGANVPAESFELSLVDRMFVRMGARDHIMAGQSTFLVELMETASVLSSATKNSLVALDELGRGTSTSDGQAIAASVLEYLVHRVQCLGLFSTHYHRLAAENKDSKVSLCHMACEISKGEGGLEEVTFLYKLTPGSCPKSYGVNVARLAGIPASVLQRANEKSSDFEASYGKRPGITKNKPSCAQEDKFAAIKDLFRVVKAMHHREDHASSLGMLHEVQKRAKVQVIGE >ONIVA09G09370.1 pep chromosome:AWHD00000000:9:11406997:11412236:-1 gene:ONIVA09G09370 transcript:ONIVA09G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEDPDLEDPNPDVGELFSHYDGLYFRGALAGSGFSVQWSSPPSRMAGSFGSCTFGKPDNTITLSETVLKYRSSIDMKNALLHQMIHAILFVKHHRKDCRGHGPIFRAWMTAINTCSIDDHQRPPNGYNITTRHDFSPDKSTRSLSGFLWKCEYCGNTLVRATNIGAPSDACCIENVDNCSTCGNMLCHWHNHKMNCGGTYTKMGTSTSAEVQNNVQGTKRCPTDMKMAKSQRTIRKPESPDSDGLQEKATVTKRKAEGELLALVAGSNVKLTGSNSSKKGVKRHRPEDTQDTNAMLSTPLKNLKLGLDLVSSGKHRVSSIVGSNNTKSSRGSASRKQRKRHSPENVQKSSVLPALSQKKLKLKEDLVVSGKNEPLSLVNCSNGKSEGSNSSKKVSKQHELEGVQKSCVQPASPPRKPRQDLVASVKTEISCLASHSDAKVLRGSSSKCAGNQHEPADIQKSIALPSASESKLKRQNEISSSTKAGMQDKPRGTQKTIDLPASPQTKLKQSVLQKQKRQCGTRKSANEQFAVISAWLNYYESEGSSGSTEPLVNKRTERRRIARNRITCTRSRKQNARGNASIKSQPSEDDSSQAKAAAPCLEIVVSTPSEQVVNQSPGCQSQSPSPYLAIVPFDAAHDMVPLQSADPPGLTDDPTITSGIIDISDDD >ONIVA09G09360.1 pep chromosome:AWHD00000000:9:11399528:11403744:1 gene:ONIVA09G09360 transcript:ONIVA09G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISVKTLKGSTFQIEVDSAQKVADVKRIIETTQGQHIYPAEQQMLIHQGKVLKDDTTLDENKVLENSFLVIMLRQGKGSSSSAPATSKAPSNQAPPTQTVPAAPASQAPVAPATTVPVTVSAPTPTATASPAPAVAVSSEADNYGQATSNLVAGSNLEATIQSILEMGGGIWDRDIVLHALSAAFNNPERAVEYLYSGVPEQMDIPVPPPSIQPANPTQASQATQPAAPSILSSGPNASPLDLFPQALPNASTDAAGLGNLDALRNNAQFRTLLSLVQANPQILQPLLQELGKQNPQILQLIQENQAEFLHLINEPAEGDDEENLLDQFPEAMPQTIAVTPEENEAILRLEAMGFDRALVLDVFFACNKDEQLAANYLLDHMNEFDDEGPP >ONIVA09G09350.1 pep chromosome:AWHD00000000:9:11395723:11396010:1 gene:ONIVA09G09350 transcript:ONIVA09G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLATCVLVLLLVLSCDAHTAAAPAGVAGNLTAALVHLHEELQEQDPLGCDDTCQGCLVRGAQLCFGEYFLHPLGLAECFIEHIVVDRCFGNK >ONIVA09G09340.1 pep chromosome:AWHD00000000:9:11389038:11391666:-1 gene:ONIVA09G09340 transcript:ONIVA09G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETTAGEEAKGAGRKGHASGGKPESNRRLWWRLSHACPIALARCTSSSRRCGTRQGQGDRRRASESVRSDSHGAIDPSFALLPLGDRDEEEGGRDEGVILRLLVSSPILSFYPICNGMNQLILGIKLDDGSVGSELLFADSEQWKSIKRKKGQQTCVQKDNKVLAQYGIACDVNIENLELA >ONIVA09G09330.1 pep chromosome:AWHD00000000:9:11374212:11375188:1 gene:ONIVA09G09330 transcript:ONIVA09G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVGRSPSPEQYQPIPRAIELASMREIHFSPFPSSSTSESNLTGALSLLPPLKSRRLELGTLRLPWGRSRFSIPDVEPLVVKSGINQTQGRSAAPGTPRLDAYSGRSFTIPSTSTARRTVSSRALCDPSRSAATHGCRSNTSALLNLHPPPIPSSRYAIC >ONIVA09G09320.1 pep chromosome:AWHD00000000:9:11352166:11354394:-1 gene:ONIVA09G09320 transcript:ONIVA09G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVSLMVIAASSPLVALLLRAAWVTLSCYWLTPMRIRRAMAAQGVRGPPPRPLVGNLREVSALVARATADDMPSLSHDIVGRLMPHYVLWSGTYGKLFVYLYGSEPRLCLTDTALIKEFLSSKYAHATGKSWLQRQGTKHFIGGGLLMANGARWAHQRHVVAPAFMADKLKARGRVGRMVECTKQAIRELRDAAAGRRGEEVEIGAHMTRLTGDIISRTEFNTSYDTGKRIFLLLEHLQRLTSRSSRHLWIPGSQYFPSKYRREIRRLNGELEAVLMESIRRSREIADEGRAAVATYGRGLLAMLMSEMEEKEKNGGGGGGEFSYDAQLVIDECKTFFFAGHETSALLLTWAIMLLATNPAWQEKARTEVAAVCGDHPPSADHLSKLTVLQMIIQETLRLYPPATLLPRMAFEDIQLGGLRLPRGLSVWIPVLAIHHDESIWGPDAHEFRPERFAPGARRPSAAGAARFLPFAAGPRNCVGQAYALVEAKVVLAMLLSAFRFAISDNYRHAPENVLTLRPKHGVPVHLRPLRP >ONIVA09G09310.1 pep chromosome:AWHD00000000:9:11326080:11326820:-1 gene:ONIVA09G09310 transcript:ONIVA09G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALAGKRRVAKVMTVDGATFRYRAPATAGAALRGHPAGHQLLESEEVRRLGVRARPLDRDAPLKPGKLYFLVQLPRGAAGYGGDDDPRAPRKTWSGALHVGARERLESLMLSRRTVSDMASVVPAARAVAGGGGEPARRPSSVEVGVDGAVRLRMRLPKSEVARLMKDSKDAAEAAERIMQLCVARDQGGAGAGAAVTLAASGPVSAMSGRKTSAMKKEVGIFFLSLLPLPMLTSLELPRDVIL >ONIVA09G09300.1 pep chromosome:AWHD00000000:9:11315623:11316222:-1 gene:ONIVA09G09300 transcript:ONIVA09G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTELSSYLHHRKRKNGPDSAVSSSSDPASELGDMENNEFLVYSYVSKKLDNIENLLDKMEALRKESDEADARFAQLRPYKAFACVSGIFAFCYCASLWLR >ONIVA09G09290.1 pep chromosome:AWHD00000000:9:11297847:11299123:1 gene:ONIVA09G09290 transcript:ONIVA09G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVIIPAPRCGRFRRGVSCKLQVQTCSFSGGWAGVRGEHRHISTFFLVSDYAVNNDIAVRYDILASMRTKCFGENYLVERGKIANCLEYYRNGYHCRVRFLLFTFLSRALASALLSRFPFTSSSNDKRLRPLANNLERRGLGGDDELLVAQQQQHKAVAALAAPNVVAAAKDHRWPLHERACSLVVTVT >ONIVA09G09280.1 pep chromosome:AWHD00000000:9:11282522:11290199:-1 gene:ONIVA09G09280 transcript:ONIVA09G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVEKVRSIVASHAHQHKGGGGDGDGEARRRYQGEGAPRPRSPESRSAFEPPTPPRARAPDARSGTKGDAAPCSPPPCRDIRRADEEIDEPRVQFFAPGTYFSHDSSDSDSSVSVANSMYRSVTPSPSESPTVRQNDASDHGATTMSDSDDAREHVGASIASWCEEEHKSFRIVDFDDDIWYPPPPEDESDDVESKLCAFDDEDDEYGDSSNFVVPNCFSADKFAGVDSSVNGSHIENVQNDLLRHFQALVAQLLTGEAISLARDKESKGWLEIVSSLAWQAANFVKPDTKKGGSMDPSDYVKIKCIASGKPSERFVLVCIVAYLFHASSHHHYKTLMDLIACSNFVKGIVCSKNVKHKRMVSEHQNATLLILGGALEYQKVSNKLASIGTILEQEKEHLRMIVGKIESRRPNVLLVEKSVSSFAQELLAKDISLVLNVKRPLLDRISRCTGGQIASSIDNIASARLGHCDTFKVEKVLESSTEHSEKKSTKTLMFFEGCMKRLGCTVLLRGACRDELKRIKRVMQLAVFAAYHLSLETSFFADEGATLPRVPSTSSVGAPEMQTNREHLSSCYANQGSPVSLRTEEEKYAHNASISQIFNGISGPPTFLPLDGESQGVVSEHREVESPANHIKGDNSFHSHHPNALCNVHSVSLGNDLGSMGVTPYYDPCNSLQSPVGVSANILGEVHNLENCGSPISLDDFHVGGLNDQNKLSGGYFPGTDNHQSILVSFSSTCIPKSLACERSHLFRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKEHSESHVRCYKHQHGSLTISVRRLVSQKLPGEHDGRIWMWHRCTRCKLEDGMPPASHRVIMSDAAWGLSFGKFLELSFSNHATANRIASCGHSLQRDCLRFYGYGNMVAVFQYSPMVTLSVNLPPSMLDFNCHSTQEWVKGEAVAVFGEMESLHAEVYGFLSNTEKSIITMDESLKTGIERQIIEMKDLLNMERNEYEVTLLLPVIRGSTHSMKSSIDILELNRLRRGLLLDAYIWDCRLCNISSMKKGGRASRIKGSEAELHQAITNHGEMHQEPSTCPQCSSGSLRKSLLSREGHSMDTEIILPEIDLPVGMVDSFVHDIGGLDLVFSKFDVSGIAQSLSKDSIKTEPVERLPSLASILSDKIDMAWSGSCELHNNLPESTTKGNENPSYSKAIPPVRIHSFDSILRLHQRESTGLLPASLHLSSKSVDSFRDLTSLVKDPLTNMRRAFSHISPRERGNLNVRTHLPTYIRSAAHMVSDGARLLLPHIDFEGGVVVAVYDDEPTSIVSYVMTSQEYIEHITHKMDTKSSFHHPVNCAVASNNQFEESFLPQEGHSEFKGTHFSFSFDDEAFSADNTKFSVTCYFARQFAALRKKCCPGDIDYIRSLSRCKRWSAQGGKSNVYFAKTMDERFIIKQVTKTELDSFIGFAPHYFRHLAESLTSRSPTCLAKIMGLYQVNIKGLKGGREVKMDLMVMENIFFQRTISRVYDLKGSVRSRYNSDTSGHNKVLLDSNLIEAQHTNPIFLGSKAKQRMERAVWNDTSFLASLDVMDYSLLVGVDERKNELVIGIIDFLRQYTWDKQLETWVKASGILGGSKNEAPTVISPVQYKKRFRKAMSRYFLAVPDDWSSS >ONIVA09G09270.1 pep chromosome:AWHD00000000:9:11278540:11279697:-1 gene:ONIVA09G09270 transcript:ONIVA09G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGCSRECHACAHRHVTDPRAPLLSLPPSPSDPDALSPIPTVHFLPKPLTAQAGQKNSKKKTTPQNPQTPHLTTHDSPHTHRQVGPTPPWPTCQRAFVDILSSSIYTRPHLFLSTTWRRRRVLVVFFPGSKSKISLPLFTPRLTPMATEEDASTMAAAEADPKPAATPSYPEMILAAIEALDDRNGSNKTAISQHIEGKYEGLLPPAHPSLLTAHLARMKQTGELAFSKNNYFRGDDPSLPPKRGRGRPPKPKDAAAAAAAPAPAPAAPAASTPRPRGRPPKPKDPLAEAVAKATSGMPRARGRPPKKAKVEQEDPIGAPAAASAPAAAAEAAPPVKRGRGRPPKVRPAAPVGEPAAA >ONIVA09G09260.1 pep chromosome:AWHD00000000:9:11274222:11274891:-1 gene:ONIVA09G09260 transcript:ONIVA09G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRAAASPQPTAHSRQTRPTRPLLPRRPHSPFSLAASSSWSRASPLPPRMAASHRAPNHRVAPPYSTEMGGEHDFDMWRILLVLIGILCVLMLPRHPITCQPLDLHQTIDWPSIRTTPTHIMSPHSSSSSTPAPPTTDQVPLPSKACAFVTDMWARRTLGSPVSDKGMVHLKVEDRLSLPCFPSPATASPPCPKAHGAHLFLSRDAPPHQLKGLRLQET >ONIVA09G09250.1 pep chromosome:AWHD00000000:9:11268829:11272243:1 gene:ONIVA09G09250 transcript:ONIVA09G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGGGGVGVGGIGGGEQILWDWQAAEHCESNAASHDVSRFMWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTLGTSELTEILASDTIDSLSTGAFYQSSNSHSKNCSDENQMHFRHDQMHSSQESVTYTNDQSGISGTTENDSVTESLVMQETRKLSTLKVSKGASLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVVGKTRIRTDGGRGSITILRTKG >ONIVA09G09250.2 pep chromosome:AWHD00000000:9:11268829:11272243:1 gene:ONIVA09G09250 transcript:ONIVA09G09250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGGGGVGVGGIGGGEQILWDWQAAEHCESNAASHDVSRFMWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTLGTSEVSEISLLCTDEPQSFNWDSQNNSNNFGTTENDSVTESLVMQETRKLSTLKVSKGASLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVVGKTRIRTDGGRGSITILRTKG >ONIVA09G09240.1 pep chromosome:AWHD00000000:9:11261430:11265533:1 gene:ONIVA09G09240 transcript:ONIVA09G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoplasmic reticulum retention defective 2B [Source:Projected from Arabidopsis thaliana (AT3G25040) TAIR;Acc:AT3G25040] MNAFRLAGDMTHLMSVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDIFTDFISLYNTVMKMIFLGSSFSIVWYIRRHKMVRRSYDKDHDTFRHQFLVLPCFLLALLIHEKFTFREVMWTFSIYLEAVAILPQLVLLQRTRNVDNLTGQYVFFLGAYRALYILNWAYRYFTEPHYVHWITWISGFVQTLLYADFFYYYLNSLKNNVKLTLPD >ONIVA09G09220.1 pep chromosome:AWHD00000000:9:11251893:11252799:1 gene:ONIVA09G09220 transcript:ONIVA09G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGDGRATRHRNCGYGGGWWVGSGSGCASHLRWGMRDRAGKHTGVIEEGDGIGAEEQHEKEGRRRCAWVRILPHRRHDFGEVARMKAWRVGVGTICGGGVERCGITIGLASGVLSWEIKEERSVRGIWLEPEEDDEMSRRGFGMRVSRRWA >ONIVA09G09210.1 pep chromosome:AWHD00000000:9:11243642:11250434:-1 gene:ONIVA09G09210 transcript:ONIVA09G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPRRRRRRSAPPRPASSRGEGDDRLSELPDELLLIVMRGLDTRSALGAAALSRRWARLPRELPALDFHVSDALQRGAGLPPARRRVPRPPRRAPRRRRRVEARGIPGAVRAPRHAGPGRAHHRLPRRRRVSRLGVEFFATNDAHRIGCVHRLINTAVGSWGVEELEVAIKPAPWRRRKAGLPVVGADMPPLRLTKLTLRNCPPRRRRCRSRSPFSSWRTCRGFRLRPPLLLSGFPHLKKLHLKNCHCRDPALVVDAPPPSSSSAVVPIQLNHAAVLEDLACVHDANPVVVLFRDVPRLRRVHLSFSLDSGTADDAQHPLPGPDKYKLDWHVRSEQMASLVLRFTGPERWILPWRVGTRLRSLRRLLVADGSPTWDVSWPRRPWSPCTRARRLRRVELLRHGEVRYDGLWEWEVVRRRPQEGGGGERRHWSRMEEIGIKR >ONIVA09G09200.1 pep chromosome:AWHD00000000:9:11242492:11243049:1 gene:ONIVA09G09200 transcript:ONIVA09G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMTIPPVLRKRPRCIDNFKSTKKRGRPYKSSIKPEPTIVDQDYGIEEESAPLLPSSMQQPSSSLGLSMGGSQIAPAQVATSFASVPNISPPLNNLMNQLSFDAWMEQSGAPFIN >ONIVA09G09190.1 pep chromosome:AWHD00000000:9:11241467:11241796:1 gene:ONIVA09G09190 transcript:ONIVA09G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYEVAAHMLGECERRVVETEIYLQAKIDALQIEYDLLAKQVPKKEKEEVCLEKIIIETGARFKAILVGINMLQRRNEEFLVDSQRCMDEVEPNLKSAITVVKVLQNM >ONIVA09G09180.1 pep chromosome:AWHD00000000:9:11240046:11240481:-1 gene:ONIVA09G09180 transcript:ONIVA09G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAHKLEQRRGHLLISPSLIGSDLIKIHANQSATANRAQFNTPRLHPRCLLRLPIIALAAWQDSEPHTHSLPYLLLLLLHASIVAHFNSSSKLPHPRMSSVSSTGSCRRISCV >ONIVA09G09170.1 pep chromosome:AWHD00000000:9:11239801:11240026:-1 gene:ONIVA09G09170 transcript:ONIVA09G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGVTTVPGHAHHSCPGGPAVVDGFVNAHLFSSGFPYPKKLHLKNRHSKDLALLVDALLLAAATMIMELVVE >ONIVA09G09160.1 pep chromosome:AWHD00000000:9:11232150:11235783:-1 gene:ONIVA09G09160 transcript:ONIVA09G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASANPGDPPSPASARSGQRKHVPDWLNSPIWSAPPPPARHRAPSPPRPPPPPPPPAQPAPPPPPPARSGGGGGRSDDGSDGDGDDEGAASSSRPHLVPEFTVALGRKVVDLAELRRLACQGVPDAAGVRPVVWKLLLGYLPTDHALWAYELEKKRSQYSAFKDELLVNPSEVTRRMEEMTISKGNRHNSEGTGVLPRAEIVHDEHPLSLGKTSVWNQFFQESETIEQIDRDVKRTHPEMQFFNGDSSDALSNQESLKRILTIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPEENNAESAEPDAFFCFVELLSGFRDNFCKQLDNSVVGIRSTISKLSQLLKRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCIHIWDALLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQSYPPTNIDHLLHIANKLRGPIPY >ONIVA09G09150.1 pep chromosome:AWHD00000000:9:11226905:11230705:1 gene:ONIVA09G09150 transcript:ONIVA09G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKHHPDKQASLILAEATEEAKQAKKDEIESHFKAIQEAYEVLIDPTKRRIFDSTDEFDDDIPTDCAPQDFYKVFGPAFMRNGRWSVNQTIPSLGDDATPVEEVDKFYNFWYNFKSWREFPHADEYDLEQAESREHKRWMERQNAKLQEKAKKVEYARVRTLVDNAYKKDPRIQRRKEEEKAEKQRRKEAKYLAKKMQEEEAAKAAEEERKRKEEEAKRAAEAALNQKKLKEKEKKLLRKEKTRLRNLVAPVVAESHFSLSEDDVETACSSLDMERLKKLCDSMENKDTTEKARLLRGALSKEGSSGTSNEGKKIQANGVDGSKAKSTSSGEKVTQGNTLSNYEKKEEKPWGREEIELLRKAIQKYPKGTSRRWEVVSEFIGTGRSVEEILKATKTVLLQKPDSTKAFDSFLEKRKPAPSIASPLSVRTETVGLPTEKASGDASSKAPAQPASSKTSDEKAPAPAPVSNGTPSGTADPEAWSEAQVLALVQALKAFPKDASQRWERVAAAVPGKTMVQCKKKVAEMQKNFRSKKGAE >ONIVA09G09140.1 pep chromosome:AWHD00000000:9:11211035:11215423:-1 gene:ONIVA09G09140 transcript:ONIVA09G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADQISTGRKRSIHDRIDGDQPAARAGAGGRGARNPPSKRQRQTDEKWKHDLYREDDEPASKSIDPRDLRLKLQKKSSQQSFAGQRGSGVRDLREMLSGTMHPQPVNADPPKAKPASEIVKVTRRENADVMPVRQSKKVPKPTSSKKTSQPKADSPLDIFLKSLGLEKYSITFQAEEVDMAALRHMTDSDLKALGIPMGPRKKIMLALESRA >ONIVA09G09140.2 pep chromosome:AWHD00000000:9:11211033:11215236:-1 gene:ONIVA09G09140 transcript:ONIVA09G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFASSTPLCPFVAGALQRQTDEKWKHDLYREDDEPASKSIDPRDLRLKLQKKSSQQSFAGQRGSGVRDLREMLSGTMHPQPVNADPPKAKPASEIVKVTRRENADVMPVRQSKKVPKPTSSKKTSQPKADSPLDIFLKSLGLEKYSITFQAEEVDMAALRHMTDSDLKALGIPMGPRKKIMLALESRA >ONIVA09G09130.1 pep chromosome:AWHD00000000:9:11202843:11203436:1 gene:ONIVA09G09130 transcript:ONIVA09G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVVLIQEEAVCGETFTESSDGAVLLGVVDILRGNPSAAACRDSGARGRPSATETTSSIGSGHPGLGSHNNISRSSAWMKRAMKGGNRTGWKSGRPCRRPSWQTFYGDRVICCCSSRGRVLPRRGVAREDVDGDGCGGRRGSGRDVSLADTGRGMWALGVGSRAKSPRSGGGGAAGGAAQDRAITTTRRNRRRGNA >ONIVA09G09120.1 pep chromosome:AWHD00000000:9:11200898:11203527:-1 gene:ONIVA09G09120 transcript:ONIVA09G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVGKDAEMERKEFGANMIWEKFEFDELKGVIEYYPQSYHATDREGWPVYIEKPGKERETVAAVTLSQGGDASEQAQT >ONIVA09G09110.1 pep chromosome:AWHD00000000:9:11196915:11198189:-1 gene:ONIVA09G09110 transcript:ONIVA09G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFRFHLLQQATNYFDEARVVGHGGFGKVYVGELEGKKFAMKRRSLESSQGQKEFQAEIELLSGLNHRNLVSLIGFCDEENELILVYEYMEKGSLMSHLYGSGKPSLLNWKQRVEVCIGTAKGLHYLHTKAIIHRDVKSSNILLDEKLQSKVTDFGISKPGPELDQTYVITQVKGSFGYLDPEYCKTMLLTQKSDVYSFGVVLLEVLCGRPAIDQTLPLKEVSLADWGKEMLRNGQLEQIVDQEISGTVKQRSLMPFGQIVARCLEDKGADRPSMGDVLRYLEYVHSLEAKKNALTRSVAMICVKISNVADGFSGPSTPHRVSPVLDDSIISVAGNSDEPVHDISAGSSDDETDHGISAGNSDEHDHDVSSQLIKPGKSKALIRSNAKIFERYEDPDHGVSSELIGPGKRKALTRSDAKIFER >ONIVA09G09100.1 pep chromosome:AWHD00000000:9:11174882:11178688:-1 gene:ONIVA09G09100 transcript:ONIVA09G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFASKSVAVSAISMIVRKSFDYLEKYAKAEGMKSVQERLERTLPQVQVVFDAIDMERIRDQSEALDAWLWQLRDAIEEAEDALDEVEYYKLEKKVKTRGNKVSSSLYKCKRVVVQQFNSTFKAGTFKRLLDAIRKLDEVVVGVERFVRLVDRLDSCTSRHICHQEVSNPRETSSFSVDEIVIGRDTERDQIVEWLVEQDNVQDHDVCSVNALSIVGIGGMGKTTLAQAVYNDQRVKQCFDQAMWICVSNDFDVPALTKKIIQEITREGTNVTNFNTLQEIVRENLKSKKFLLVFDDVWNDERRPDWEKLVAPLKFGQKGSKILLTTRMESVVDIVERVLGGRTKSLRLEGLHEKDLLAIFNRHAFFEVNPNDYFNLQEIGKKITRKLSGCPLAAKIMGGLLNNSLDSIYWNRMLRENISNIEHNSEGIMKILRLSYHHLAPHLQACFRYCGMFREDYWFRKDELINFWMGSGLIQLSANENQRPEDIGEFYLGILTKKSFFELRLKKSTNLYEGYGECTNEYYVMHDLLHELARTVSRKECMRISSDEYGSIPRTVRHAAISIVNHVVITDFSSLKNLRTLLISFDKTIHERDQWIVLKKMLKSATKLRVVHIQNSSLFKLPDKFGNLMHLRYLYHSESQKKVGKYSFWCPCSIYKLYHLQMIQLNRCLLVSWRLGNLISLRHIYFSGTIYGFSPYIGHLTSLQDLHDVNVPPKCGFIASELMDLKDLRYLCIRCLENVNADEATLAKLGEKENLIMLSLTWKNSQQESDTEERVLNNLQPHMNLTKLKIKGYNGSRSPCWLGNTTIINLTYLYISNCSYWQHLPPLGELPSLKYLYLICLNSVKRIDSSFYGCERPFGFPSLEYLFIEHLPALEEWVEMEGEHLFPRLKALVVRHCKELRNVPALPSTVTYLEMNSVGLTTLHEPYVPSETAETQKPSLSRLKICHCPYLETLEQLNQFLSLEELHIEHCENLLQLPMDHLQMLPFLKHMTVLGCPKLMVPPATIRLPLPMKKLHVGSCGTYETWLVNSLCGLTSLTTLMLYGCDIAALPPVEVCKSLIALSCLEIVSCHELADLNGMEELTSLTELKVIGCNKLEKLPVVSSQQFQASEHNQVVTACTSYLRKLKRLQISDPFVLQWAPLRSVTSVTNMTINSCRCLPEEWLMQNCNHLQRFGVTDASHLEFLPSIMASLTSLESLQFSRAMLIQSLPELPSSLWRLQILGCNPVLMRRCRKSRGRDWHKIAHIPDLRIVEDVPLAYSWYSYM >ONIVA09G09090.1 pep chromosome:AWHD00000000:9:11173153:11174706:-1 gene:ONIVA09G09090 transcript:ONIVA09G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRTQLTRFGVFDASHLVFLPSIMASLTFLESLQFAEGMLIQSLPELPSSLRALQIFGCQESKGHDWHKIAHIPYLRIEQDSASQCKVAAASTHRQFITTKRNLDGCFSGV >ONIVA09G09080.1 pep chromosome:AWHD00000000:9:11166953:11167213:1 gene:ONIVA09G09080 transcript:ONIVA09G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGNSPSNELLCFLCAAAGVAAGVSAYRRKRRRREEEERRRVEAAVEEMEGWEFEAMRANYLALMDDALAVLSAAAAADAAGGRS >ONIVA09G09070.1 pep chromosome:AWHD00000000:9:11161411:11165500:1 gene:ONIVA09G09070 transcript:ONIVA09G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLCFCAAMMVSYCAAWLVDLALIGYQAAADEEAARFKDDVAGELRRRGGVGEDECEVEMAIAKQMAILNQLGVYDAYTHTKRTTTAAPPALEKVVIAVAAGGVLLYHLARLAALVARGRREERRRAARRREQYVAEARAVRLEGLKREIRGKAVDWWSAHKKAAAAADWS >ONIVA09G09060.1 pep chromosome:AWHD00000000:9:11148548:11157927:-1 gene:ONIVA09G09060 transcript:ONIVA09G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G31740) TAIR;Acc:AT2G31740] MATTPAAAAAGGAAILDTLGDFTSRENWDKFFALRGTGDSFEWYAEWDDLRAPLLSLLHGRDGGAEGGGRAPEILVPGCGSSVLSERLYDAGFRRVTNVDFSRVLVADMLRRHARARPEMRWRVMDMTDMQFTDGSFDVILDKGGLDALMEPEAGTKLGMKYLNEAKRVLKSGGKFVCLTLAESHVLALILSEFRFGWDMSIQAIGNESSKSAFQTFMVVMVKGKMGVVHPIQSLLDQSGKFCNMKQANDVIHSLEKENTIRESYSSGVDVTLSLRDLQLGAVGDLKVIIPGRRRMFILGDQGNSLYCYKAVLLDARKRTETFVYHCGVFIVPKVRAHEWLFASEEGQWHVVESAKAARLIMVFLDSRHANADMDVIKNDLSPLVKDLEPGNPEEEARIPFMMAGDGVKQREILQEVTSEITGPMVVEDVVYENSDEDQSSMTEKMFRRLIFKRNSGLVQSEALLVKDSTSDKADENNKKSPSASKKRRNQKKGPSGSKTVLRIDHSYLGSSYHSSIISGLSLIASALDSAAVAGTKVSTTIIGLGAGTLPMFLRGCLPFLDIKVVELDPLVEEVAKKYFGFSTDEQLQVHLGDGIKFIDDIAVANSGATTQQLMSTGNENNAVKILIVDVDSSDVSSGLSCPHANFVEDSFLLAVKKFLDEGGLFIINLVSRSSAVREMVVSRLKAAFEHLYSLHLEEDLNEVLFATPSERCLDNSNMDEAVAKLKAMLKFPVNVESDMKKLQKLQ >ONIVA09G09050.1 pep chromosome:AWHD00000000:9:11134526:11140716:-1 gene:ONIVA09G09050 transcript:ONIVA09G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAFTQDTTQRNISQCFRDGKTKEGCSPASDDAVEAGGRRAQDGDRRKQAAGEETKLEAGCGGVGERMRREQENAGATSALLAGRGDGTDKEGRRRSCHVYAPHVLGKIVDRGFFVICGRQGAFSQKNIHYRIAARSPSPSPAPSPPLSPRAVPVAERRRRLPIAQPRLPGTVPIAGRLRLRLPLAPPSPPSRCRRRRRQAQQSLPPPPPPPRVFAVSFVAIANGRRNGDGHRADDGGCAMGRRRRYRCNGTFGCLLLVTSPSGCWNSGSYGGSTQMLIEMVLDLGAVVLNCRESDHEFVVTVNIFLALRGLLWFLSHGNVFLVMVFVKKKNLLTSMQANKTRVSLSVVISGVWHMTYLWQLNIDAAPLQMVETSFSVDYLQMMEELMSEIKVMDMVWNCKFFGTPLLIMDITILRTAQVIDQDFGFCSPAWLGFRIGCLPGNLIFTCFSRGAFVDPRKHREHQYGQAARHCRAPDSSISKARS >ONIVA09G09050.2 pep chromosome:AWHD00000000:9:11134526:11140716:-1 gene:ONIVA09G09050 transcript:ONIVA09G09050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAFTQDTTQRNISQCFRDGKTKEGCSPASDDAVEAGGRRAQDGDRRKQAAGEETKLEAGCGGVGERMRREQENAGATSALLAGRGDGTDKEGRRRSCHPLALPLPLPRRRLRFPLAPCPSPSAAAASPSRSLVCLAPSPSPAASASDSPSRLRLPPRVVAVAVAKRSSLCLRHRHRRASSLCPSSPSPTVEEMAMATEPTTVAARWGGGGGTDAMELLAACCSSLLPQVVVVFVYPPCCWNSGSYGGSTQMLIEMVLDLGAVRGLLWFLSHGNVFLVMVFVKKKNLLTSMQANKTRVSLSVVISGVWHMTYLWQLNIDAAPLQMVETSFSVDYLQMMEELMSEIKVMDMVWNCKFFGTPLLIMDITILRTAQVIDQDFGFCSPAWLGFRIGCLPGNLIFTCFSRGAFVDPRKHREHQYGQAARHCRAPDSSISKARS >ONIVA09G09050.3 pep chromosome:AWHD00000000:9:11134526:11140716:-1 gene:ONIVA09G09050 transcript:ONIVA09G09050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAFTQDTTQRNISQCFRDGKTKEGCSPASDDAVEAGGRRAQDGDRRKQAAGEETKLEAGCGGVGERMRREQENAGATSALLAGRGDGTDKEGRRRSCHPLALPLPLPRRRLRFPLAPCPSPSAAAASPSRSLVCLAPSPSPAASASDSPSRLRLPPRVVAVAVAKRSSLCLRHRHRRASSLCPSSPSPTVEEMAMATEPTTVAARWGGGGGTDAMELLAACCSSLLPQVVVVFVYPPCCWNSGSYGGSTQMLIEMVLDLGAVVLNCRESDHEFVVTVNIFLALRGLLWFLSHGNVFLVMVFVKKKNLLTSMQANKTRVSLSVVISGVWHMTYLWQLNIDAAPLQMVETSFSVDYLQMMEELMSEIKVMDMVWNCKFFGTPLLIMDITILRTAQVIDQDFGFCSPAWLGFRIGCLPGNLIFTCFSRGAFVDPRKHREHQYGQAARHCRAPDSSISKARS >ONIVA09G09050.4 pep chromosome:AWHD00000000:9:11134526:11140716:-1 gene:ONIVA09G09050 transcript:ONIVA09G09050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAFTQDTTQRNISQCFRDGKTKEGCSPASDDAVEAGGRRAQDGDRRKQAAGEETKLEAGCGGVGERMRREQENAGATSALLAGRGDGTDKEGRRRSCHPLALPLPLPRRRLRFPLAPCPSPSAAAASPSRSLVCLAPSPSPAASASDSPSRLRLPPRVVAVAVAKRSSLCLRHRHRRASSLCPSSPSPTVEEMAMATEPTTVAARWGGGGGTDAMELLAACCSSLLPQVVVVFVYPPCCWNSGSYGGSTQMLIEMVLDLGAVVLNCRESDHEFVVTVNIFLALRGLLWFLSHGNVFLVMVFVKKKNLLTSMQANKTSGVWHMTYLWQLNIDAAPLQMVETSFSVDYLQMMEELMSEIKVMDMVWNCKFFGTPLLIMDITILRTAQVIDQDFGFCSPAWLGFRIGCLPGNLIFTCFSRGAFVDPRKHREHQYGQAARHCRAPDSSISKARS >ONIVA09G09050.5 pep chromosome:AWHD00000000:9:11134526:11140716:-1 gene:ONIVA09G09050 transcript:ONIVA09G09050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAFTQDTTQRNISQCFRDGKTKEGCSPASDDAVEAGGRRAQDGDRRKQAAGEETKLEAGCGGVGERMRREQENAGATSALLAGRGDGTDKEGRRRSCHPLALPLPLPRRRLRFPLAPCPSPSAAAASPSRSLVCLAPSPSPAASASDSPSRLRLPPRVVAVAVAKRSSLCLRHRHRRASSLCPSSPSPTVEEMAMATEPTTVAARWGGGGGTDAMELLAACCSSLLPQVVVVFVYPPCCWNSGSYGGSTQMLIEMVLDLGAVVLNCRESDHEFVVTVNIFLALRGLLWFLSHGNMMEELMSEIKVMDMVWNCKFFGTPLLIMDITILRTAQVIDQDFGFCSPAWLGFRIGCLPGNLIFTCFSRGAFVDPRKHREHQYGQAARHCRAPDSSISKARS >ONIVA09G09050.6 pep chromosome:AWHD00000000:9:11134526:11140716:-1 gene:ONIVA09G09050 transcript:ONIVA09G09050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAFTQDTTQRNISQCFRDGKTKEGCSPASDDAVEAGGRRAQDGDRRKQAAGEETKLEAGCGGVGERMRREQENAGATSALLAGRGDGTDKEGRRRSCHPLALPLPLPRRRLRFPLAPCPSPSAAAASPSRSLVCLAPSPSPAASASDSPSRLRLPPRVVAVAVAKRSSLCLRHRHRRASSLCPSSPSPTVEEMAMATEPTTVAARWGGGGGTDAMELLAACCSSLLPQRGLLWFLSHGNMMEELMSEIKVMDMVWNCKFFGTPLLIMDITILRTAQVIDQDFGFCSPAWLGFRIGCLPGNLIFTCFSRGAFVDPRKHREHQYGQAARHCRAPDSSISKARS >ONIVA09G09040.1 pep chromosome:AWHD00000000:9:11134368:11143984:1 gene:ONIVA09G09040 transcript:ONIVA09G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRLIAAVAPTPPPPPPPRPRRAPPSAVRLASGGVAFAVVAAVAAASPPALAALVAEPANALSLPTWAVHISSVAEWVTAMALVWDYGERTGLQGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQVVMVNQVHESWTGQPVSMTKFAAAMTNGALPMCRKVKHRNETSTLLDMDPPGRHRTEQLASSKILSFPKLGGSGPCAAGCAAATSPVAGGWGGGRTRGEGGVAGKVDDDAAPVGLRRFIVRTYRGLPPLSRRLLRPPDPAAAELDPAAGGLDLTTAAPKTRPRAAPSHGLQGEATESGGRTDDDGGGGWRADDDGGDWGRADGGGGSPAPSTGSRARVGRRPRGGGVGNSPWLGFGRYP >ONIVA09G09030.1 pep chromosome:AWHD00000000:9:11126098:11128845:-1 gene:ONIVA09G09030 transcript:ONIVA09G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLHIGQYINAWEKPLQPDIPAFGNWDTTGNTPYKQKFENARKNKKAGISSHPNDPRRHPEPPTKSPLHPAYTPDAQGQSPMNPQHGRRQEADPHRRHSLSQQREVGGGTGSAPRSPYRMVHGSASPAQPNNPSKPKHKSSGMQTPERRASSEGHGQHTPRRSRGKQGGRGYDAPEDDVAVPPFGEWDEGNAASGEKFTGIFNRVRDDKLSPNTSTRQPDTNRSQENKVKQGVI >ONIVA09G09030.2 pep chromosome:AWHD00000000:9:11126098:11128845:-1 gene:ONIVA09G09030 transcript:ONIVA09G09030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPDIPAFGNWDTTGNTPYKQKFENARKNKKAGISSHPNDPRRHPEPPTKSPLHPAYTPDAQGQSPMNPQHGRRQEADPHRRHSLSQQREVGGGTGSAPRSPYRMVHGSASPAQPNNPSKPKHKSSGMQTPERRASSEGHGQHTPRRSRGKQGGRGYDAPEDDVAVPPFGEWDEGNAASGEKFTGIFNRVRDDKLSPNTSTRQPDTNRSQENKVKQGVI >ONIVA09G09020.1 pep chromosome:AWHD00000000:9:11123087:11128448:1 gene:ONIVA09G09020 transcript:ONIVA09G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-photochemical quenching 1 [Source:Projected from Arabidopsis thaliana (AT1G08550) TAIR;Acc:AT1G08550] MMPRQCGNRALLAEGSSTVGVVHGRKTRGGISTVTSSRRRSHGGVRYHRCCPPRAHLWRKGDHLPLHHAKIPARCSEIKVHTVLQASDALSSTREWSRSHLVTMTGLVACAVLVVPSADAVDALKTCTCLLKECRIELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENTVVDEFNECAVSRKKCVPQKSDVGEFPVPDPSALVKNFNMADFNGKWYISSGLNPTFDTFDCQLHEFRVEGDKLMANLTWRIRTPDSGFFTRSAIQRFVQDPAQPAILYNHDNEFLHYQDDWYIISSKVENKDDDYIFVYYRGRNDAWDGYGGAVLYTRSKVVPESIVPELERAAKSVGRDFSTFIRTDNTCGPEPPLVERIEKTVEQGEKTIIREVQEIEGEIEGEVKELEEEEVTLFKRLTDGLMEVKQDLMNFFQGLSKEEMELLDQMNMEATEVEKVFSRALPIRKLR >ONIVA09G09020.2 pep chromosome:AWHD00000000:9:11123255:11128448:1 gene:ONIVA09G09020 transcript:ONIVA09G09020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-photochemical quenching 1 [Source:Projected from Arabidopsis thaliana (AT1G08550) TAIR;Acc:AT1G08550] MQGLQGVEMMPRQCGNRALLAEGSSTVGVVHGRKTRGGISTVTSSRRRSHGGVRYHRCCPPRAHLWRKGDHLPLHHAKIPARCSEIKVHTVLQASDALSSTREWSRSHLVTMTGLVACAVLVVPSADAVDALKTCTCLLKECRIELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENTVVDEFNECAVSRKKCVPQKSDVGEFPVPDPSALVKNFNMADFNGKWYISSGLNPTFDTFDCQLHEFRVEGDKLMANLTWRIRTPDSGFFTRSAIQRFVQDPAQPAILYNHDNEFLHYQDDWYIISSKVENKDDDYIFVYYRGRNDAWDGYGGAVLYTRSKVVPESIVPELERAAKSVGRDFSTFIRTDNTCGPEPPLVERIEKTVEQGEKTIIREVQEIEGEIEGEVKELEEEEVTLFKRLTDGLMEVKQDLMNFFQGLSKEEMELLDQMNMEATEVEKVFSRALPIRKLR >ONIVA09G09010.1 pep chromosome:AWHD00000000:9:11117457:11122273:-1 gene:ONIVA09G09010 transcript:ONIVA09G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLAEDAADAPLDAAAIRSRLERLALSRRGEEEVASAAAAAADAVRRLPSVEDVEPLQGLEFEAWASSAAPMESDFDAFMEWLSKEISLAEEENRKLSVEISSVAETTLKDSIQLDADIAELESSLKKIDSEGLKHLEASHIAELSVSTDSCRDQIKFDKDYKYEVLELNQQLEKYENDLKLLENQKSAEAMWELESMLSEANVLDFKDNCLRVFLKEAVLTPECLMYGKESDCSVNSFVSDHELLIEVGENMEPKKVQIFPDDTCVDILLDKLKASREIISTTSLGWIIRQFQHHIIINTLRRSLVKDANNSRHSFEYIDKDGTILAHLAGGIDAFIKISADWPLSSCGLKLISIQSSRAQSADISLALLCKTKELANGLELQTRRHLVKFVDAIEDILFREMRS >ONIVA09G09000.1 pep chromosome:AWHD00000000:9:11112353:11116460:1 gene:ONIVA09G09000 transcript:ONIVA09G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] desaturase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJ83] MAFAASHTASPSYCGVAQGGRRSNGMSPVVAMASTINRVKTAKKPYTPPREVHLQVKHSLPPQKREIFDSLQPWAKENLLNLLKPVEKSWQPQDFLPDPSSDGFYDEVKELRERAKEIPDDYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKISMPAHLMYDGKDDNLFEHFSAVAQRLGVYTARDYADILEFLVQRWKVADLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQAPVIPFSWVYDRKVQL >ONIVA09G08990.1 pep chromosome:AWHD00000000:9:11084279:11086166:-1 gene:ONIVA09G08990 transcript:ONIVA09G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRFRNKAAGDGNRPASSPPNAGKFAAPVAVGGGGAADVADESPDQDSTRNGSKDESFFEARPWLDSDSEDDFHSVRGDFTPSRGTTPDHQRQSSFAGRISVDRSEPSLIEKKQRLLELLQEKQQYDDDSVADVGSEIENGAVHAEEYLKSSRKGAKANRASKSKGGCFPSSFWKIKFRSCRKKRKEQND >ONIVA09G08980.1 pep chromosome:AWHD00000000:9:11075836:11082438:1 gene:ONIVA09G08980 transcript:ONIVA09G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTLVGARERFVKAQTSLPATMQLKFPTRTQGDSYGALIPGLPEDLAKVCLALVPRSYFPVMGAVSKRWMSFIGSKEFIAVRKEVGRLEERIYALITGDGGKGPCWEVLGSLEQQNRMLPPMPGLTKAGFSVVVLDGKLLVMAGYVVDYGKECVSDEVYQYDARLNRWAALAKMNVARRDFACAEVNGAVYVAGGFGSDGDGLSSVEVYDPQRNKWTIIESLRRPRWGSFACSFNGKLYIMGGRSSFTIGNSRFIDVYDPILHSWTEIKKGCVMVTSHAVIDKRLFCIEWKNQRSLAIFNPSDSSWQKILVPLTGSSITLFSLGVLDGKLLLFSQEEEPGYQTLMYDPTAPAGYEWHTSTLKPSGLCLCSVTFES >ONIVA09G08980.2 pep chromosome:AWHD00000000:9:11075836:11082438:1 gene:ONIVA09G08980 transcript:ONIVA09G08980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTLVGARERFVKAQTSLPATMQLKFPTRTQGDSYGALIPGLPEDLAKVCLALVPRSYFPVMGAVSKRWMSFIGSKEFIAVRKEVGRLEERIYALITGDGGKGPCWEVLGSLEQQNRMLPPMPGLTKAGFSVVVLDGKLLVMAGYVVDYGKECVSDEVYQYDARLNRWAALAKMNVARRDFACAEVNGAVYVAGGFGSDGDGLSSVEVYDPQRNKWTIIESLRRPRWGSFACSFNGKLYIMGGRSSFTIGNSRFIDVYDPILHSWTEIKKGCVMVTSHAVIDKRLFCIEWKNQRSLAIFNPSDSSWQKILVPLTGSSITLFSLGVLDGKLLLFSQEEEPGYQTLMYDPTAPAGYEWHTSTLKPSGLCLCSVTFES >ONIVA09G08980.3 pep chromosome:AWHD00000000:9:11077828:11082438:1 gene:ONIVA09G08980 transcript:ONIVA09G08980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTLVGARERFVKAQTSLPATMQLKFPTRTQGDSYGALIPGLPEDLAKVCLALVPRSYFPVMGAVSKRWMSFIGSKEFIAVRKEVGRLEERIYALITGDGGKGPCWEVLGSLEQQNRMLPPMPGLTKAGFSVVVLDGKLLVMAGYVVDYGKECVSDEVYQYDARLNRWAALAKMNVARRDFACAEVNGAVYVAGGFGSDGDGLSSVEVYDPQRNKWTIIESLRRPRWGSFACSFNGKLYIMGGRSSFTIGNSRFIDVYDPILHSWTEIKKGCVMVTSHAVIDKRLFCIEWKNQRSLAIFNPSDSSWQKILVPLTGSSITLFSLGVLDGKLLLFSQEEEPGYQTLMYDPTAPAGYEWHTSTLKPSGLCLCSVTFES >ONIVA09G08980.4 pep chromosome:AWHD00000000:9:11078651:11082438:1 gene:ONIVA09G08980 transcript:ONIVA09G08980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTLVGARERFVKAQTSLPATMQLKFPTRTQGDSYGALIPGLPEDLAKVCLALVPRSYFPVMGAVSKRWMSFIGSKEFIAVRKEVGRLEERIYALITGDGGKGPCWEVLGSLEQQNRMLPPMPGLTKAGFSVVVLDGKLLVMAGYVVDYGKECVSDEVYQYDARLNRWAALAKMNVARRDFACAEVNGAVYVAGGFGSDGDGLSSVEVYDPQRNKWTIIESLRRPRWGSFACSFNGKLYIMGGRSSFTIGNSRFIDVYDPILHSWTEIKKGCVMVTSHAVIDKRLFCIEWKNQRSLAIFNPSDSSWQKILVPLTGSSITLFSLGVLDGKLLLFSQEEEPGYQTLMYDPTAPAGYEWHTSTLKPSGLCLCSVTFES >ONIVA09G08970.1 pep chromosome:AWHD00000000:9:11064377:11064835:-1 gene:ONIVA09G08970 transcript:ONIVA09G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPATSSRRSGDFPDWVFLDTVAHTGRCHDNATTARAKSSDGYPIEVSFVFADPPALTRCFVHCPAGLTAGEFSMSPPSITGADGAFLLLRVIFPHRSDRCMVTDWFVYKSGPGTPSLELLIQRPNPLDVVSRRAGVLSCGDHCLVVDPEW >ONIVA09G08960.1 pep chromosome:AWHD00000000:9:11055702:11064358:-1 gene:ONIVA09G08960 transcript:ONIVA09G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHLHIFSSKTKRWSNKVAKLGRGMEAFNPFFLPTKVDFRNSILLLDSVPGNCPEVSLIRLPPLMPINNVDSGGSPDGPCVDLVRDVTCRDGWFKFIEMGFPYLDPNDAQLNRGWEATMFKRKIRSDNYWQWEPCGTVDSASLLPADSCVACLFPEIFDCNEHKLALNNVVSSFPTLDLYCDDVVYMMTKIKADDPDGWIFAINTENNRLEEISPFSQENCHLHRIYLQCDLSKHLMNKALGSHLANDMDKCTNSYCIDTLQQEARRRRIWPPFRPYAHGATSAAASLDPGGYGGTAVATSTTRRHRCRSAAPGTPCLDAYRGRSFTIPSTSIARRTVSSRALCDPSCSVATHGCRSNTFASLNLHKPPIPLAGTSPEDRENDAQYHVVANDGDKFAFLTNRNAPKNKLVRVDRSRSQNCGQRCKIIMLTLLKCSH >ONIVA09G08960.2 pep chromosome:AWHD00000000:9:11055702:11064358:-1 gene:ONIVA09G08960 transcript:ONIVA09G08960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHLHIFSSKTKRWSNKVAKLGRGMEAFNPFFLPTKVDFRNSILLLDSVPGNCPEVSLIRLPPLMPINNVDSGGSPDGPCVDLVRDVTCRDGWFKFIEMGFPYLDPNDAQLNRGWEATMFKRKIRSDNYWQWEPCGTVDSASLLPADSCVACLFPEIFDCNEHKLALNNVVSSFPTLDLYCDDVVYMMTKIKADDPDGWIFAINTENNRLEEISPFSQENCHLHRIYLQCDLSKHLMNKALGSHLANDMDKCTNREDSVGTSPEDRENDAQYHVVANDGDKFAFLTNRNAPKNKLVRVDRSRSQNCGQRCKIIMLTLLKCSH >ONIVA09G08950.1 pep chromosome:AWHD00000000:9:11042487:11046688:1 gene:ONIVA09G08950 transcript:ONIVA09G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAPKGVDPMSGSKYDSAETLSDVPFIGSDADSKDEGNTFAMTFPLGKQPLDVAPLNAIPFNEVQGSNRKEVSSKEVVSIPQWLKEHKLYKDGDWEVSISIRATGQKDWSYHHREYQATIRSKPEVELFMETTLQNRTNIFKGRKLQKKWRMDSCAEGSTGGSKSTKRKKINSSTEKKKPLSIGNEPLKLTLPHGFV >ONIVA09G08940.1 pep chromosome:AWHD00000000:9:11031875:11032727:1 gene:ONIVA09G08940 transcript:ONIVA09G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAPKSIAPMSDSEYDSDATLTDNPFVGIDADSEDEENTSAMTLPLGNQPLDVVPLSAIPFSQVQALNRKVVSGKEEVFVPHWLKVHKLYKDGDWKVSISIRANGHKDW >ONIVA09G08930.1 pep chromosome:AWHD00000000:9:11005407:11015986:1 gene:ONIVA09G08930 transcript:ONIVA09G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAAAAAAAEAPATVGQAVIPLVNRLQDIVARLDGGGGGGGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHSAPEEWGEFLHAPARRFHDFDQIKREIQLETDKEAGGNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPLGDQPSDIESRIRSMIMQYIKHPSCIILAVTPANADLANSDALQLAKLADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFKRSVKDALAFEEKFFSTLPAYHGLTHCCGVPQLAKKLNTILLKHITYMLPGLKSRINSQLVAVAKEHAAYGDTAESTAGQGVKLLNILRKYCEAFSSMVEGKNKVSTDELSGGARIHYIFQSIFVKSLEEVDPCKSITDEDIRTAIQNSDGPKGPMFLPELPFEILVRRQISRLLDPSLQCANFIYDELVKISRGCLTSELQKYPILKKRMGEAMDYINTSHPNFVGGNKVVELARQEILPPKAPTSVTIPKDGTAISPEIQLTSDRSQKSRAIFARDATRGATSDQGVQPDADTGTSVAGRNQRGHSLVAGSSSSKSVARVHSLDNLISIIQLREPPITLKPSENQPAQDATEVAIVKLLIKSYYDIVRKSIEDAVPKAIMHFLVNHTKRELHNVLIRKLYRENLLDEMLRETDEVIIRRQRIQETLQVLEQAHRTLEEFPLEAEKVEKGYSPAEYATGLPKIHGLSNGDPSIIYASSPNHNRKKASHEDQHGSVASYSSTSYPDANGGLLST >ONIVA09G08920.1 pep chromosome:AWHD00000000:9:10996967:10999322:-1 gene:ONIVA09G08920 transcript:ONIVA09G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRPPAAPHRTPIHHDKRLHLFSTHNTRHRATVSSLPVTCLRIRYSSSNPVRHLCGIPSSRCHAAADPAPSKIPGGGSGALEAGVVGWRDLLLQVGEVLSLGFPVWVASACAVALWRPPAFLWVSPMAQIVGISFTMLGMGMTLTLDDLKTALLMPKELASGFLLQYSVMPLSGFLISKLLNLPSYYAAGLILVSCCPGGVHTL >ONIVA09G08910.1 pep chromosome:AWHD00000000:9:10974129:10977777:1 gene:ONIVA09G08910 transcript:ONIVA09G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQREELMRQREEYFHLCGGQLLRNMMSRDNNIPFMLYDRDQIESATNGFDNMLVIGQGGQGTVYRGCINLHPDNPVAIKKCKGFDEDSWAEFTDELLILSRVNHENIVKLLGCCLQFDVPILVYEFVQNKTLYNLIHIQNDPSIRTLEIRLKVAAESAEALAYLHSSVDHPIILHGDVKSTNILLNKNFIAKVSDFGCSKIRTANENYDVVKGTMGYLDPEYLRNFQLTDKSDVYNFGVVLLELLTRRMPLSVDKVSLTSIFQEAMREGRFLELIDAEILHEDNMGLISDLATLASRCLIMTSESRPTMSTVADELRRRMAGQVQQDQGVLTEMAAGWKIFMCVGFSSEHPTGDTDEEESKFRRAGGDGGSNRDDNGDGGEDGEEEKGEDVLGVAQVLGAAELRPELMWEKKAWEMAMGASATR >ONIVA09G08900.1 pep chromosome:AWHD00000000:9:10958397:10959326:1 gene:ONIVA09G08900 transcript:ONIVA09G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACHRGRTLLWLTIAFASELGLIKGGAEAQCQDKCGPVDIPYPFSIGPRSCAMAAGFNLSCKNSRPFHGDFEVLNISLQLSQLRVLNKISSFCYNPSSQKMENHTWEKNLEHSPFMLSDSGNKFTVIGCRTLAYITDKNNVGKLMSGCVSACRRGDVTSATNGTCSGIGCCQTTIPKGLNYYKVSFDQAFNTSDSIYNTTLCSYAVLMDSSDFKFSTSYLTSPEFNTSAPMLLDWAIRTANNCDEAQKNLTLYACKSDKSECFNSSNGPGYICNCTNGYQGNPYRQDGCQGKIPSNLIIARILKLRLP >ONIVA09G08890.1 pep chromosome:AWHD00000000:9:10950802:10951718:1 gene:ONIVA09G08890 transcript:ONIVA09G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAWLEAAEAIMFGAVDDLLHQTGVSAKDIGVLVVNCSLFNPTSSLSAIAANHYKLHCSRQHHQLQPGQDGLQRRLALHRTRQLSLPDGQRGDPAVQQAIEEEEIKYELVYTVRTHKGSNGSRKMAMALAGNALKMNIATLCPLVLPLSEQLLLFASTLIGKKLAQAIFSRKSGVSAAAHPIIAIFPHEFDTGRRSPSPRASLAPPAAPPTIAIFPCKSVQRQLPLPRAREDEARPVSLTGRPPLRRLAAAGARLVLGLCWCAGLLLGLRRERYKEV >ONIVA09G08880.1 pep chromosome:AWHD00000000:9:10944586:10948736:1 gene:ONIVA09G08880 transcript:ONIVA09G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHILAVLTWLSMAVSADDPPPNCERSCGDVEIPYPFGLEPACALPGFNLTCNTTGHGKPYFNDVEVLGISLLEGQVRMRMDISNYCYNSSSGEMNGTSWELDLRDTSFRLSDSGNKFTAIGCETLAYLDVDGKLTTGCVATCQAEDLVTLTDGVCSGIGCCQTAIPKGLQYYAVLFDSGFNTTEIYNMSRCSYAALVEASSFNFSKNYSTSSSFTDHYGGQAPLLVDWAIGNETCKVAQEKTNYTCISKHSECVDSLNGPGYICNCSKGFHGNPYLKPNDPGSCQDIDECKEPNKYPCYGKCRNKHGGYNCTCPFGTRGNAYIGPCDKGLAIGLCASVLVALTFLLGIEWIKYKHRIKRQDLMQKRGEPHGDVKSTNILLNNNFIAKISDFGCSKIRAADGHDDVVKGTIGYLDPEYLVKFQLTDKSDVYSFGVVLLELLTRRTPLSKQKVSLASVFQEAMKEGMFLELIDTEILHENNVGLIGDLARLAYQCLAMTSESRPTMSRIAEELRRIEKQVQQHRGVLTSISSFSLSASSAADTSEHFTGETNGYDSLRRVAAMSIEFAR >ONIVA09G08880.2 pep chromosome:AWHD00000000:9:10944586:10948921:1 gene:ONIVA09G08880 transcript:ONIVA09G08880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHILAVLTWLSMAVSADDPPPNCERSCGDVEIPYPFGLEPACALPGFNLTCNTTGHGKPYFNDVEVLGISLLEGQVRMRMDISNYCYNSSSGEMNGTSWELDLRDTSFRLSDSGNKFTAIGCETLAYLDVDGKLTTGCVATCQAEDLVTLTDGVCSGIGCCQTAIPKGLQYYAVLFDSGFNTTEIYNMSRCSYAALVEASSFNFSKNYSTSSSFTDHYGGQAPLLVDWAIGNETCKVAQEKTNYTCISKHSECVDSLNGPGYICNCSKGFHGNPYLKPNDPGSCQDIDECKEPNKYPCYGKCRNKHGGYNCTCPFGTRGNAYIGPCDKGLAIEWIKYKHRIKRQDLMQKRADGHDDVVKGTIGYLDPEYLVKFQLTDKSDVYSFGVVLLELLTRRTPLSKQKVSLASVFQEAMKEGMFLELIDTEILHENNVGLIGDLARLAYQCLAMTSESRPTMSRIAEELRRIEKQVQQHRGVLTSISSFSLSASSAADTSEHFTGETNGYDSLRRVAAMSIEFAR >ONIVA09G08870.1 pep chromosome:AWHD00000000:9:10930260:10933123:-1 gene:ONIVA09G08870 transcript:ONIVA09G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDTVAELAQLSGANLVRHLQTTNRMADYEVAAHVLSEREHRAAETEACLQAKIDALQMECDLLAKECAYSEGGKKTNIDSNKNEQPPSMGLMSTGGSPIAPALVATSFASVSDMSPHLVEESNKGNIEMQNQLSHLHLNNFMNQLSFDAWMEESGGPFMSEQVLSLVLPSSSKSASLLSKIETSLWESEENMVIRFMENVELCMGAICALYRQKKLMVELTCEERTMFTSPNESQAYRATQLAEFLLDGDINGPMKKNKEDLVNHDATGPKFIQEYAIQHEKREHVFTTLVKQSKINLYRVLASNVLLCHTPSP >ONIVA09G08860.1 pep chromosome:AWHD00000000:9:10920443:10920631:-1 gene:ONIVA09G08860 transcript:ONIVA09G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPTPDVAPSPHMDGGPARGARLTTRRGDGGSGAAAAGSGLPAVGSGGSTPDLAGGGGG >ONIVA09G08840.1 pep chromosome:AWHD00000000:9:10916708:10919683:-1 gene:ONIVA09G08840 transcript:ONIVA09G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLMRESRTTGESLAFGPATATPTGAVFPLGHCRVKTSSWLPRTNGGGAFVVIFLLGGIRHIQLYSTALELIAITIFKEGFRGSPFSAVQALDRNMSDQVGIQGKRGWISNWLEREALGTLAGQRHGQPCWLLAHGIFSFIDVLDDAECERLGR >ONIVA09G08830.1 pep chromosome:AWHD00000000:9:10898258:10901159:-1 gene:ONIVA09G08830 transcript:ONIVA09G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAATPPAMMRAVQYDACGGGAAGLKHVEVPVPSAKKNEVLLKLEAATINPVDWKIQKGMLRPLLPRRLPFIPVTDVAGVVAGVGPGVNDFAVGDQVVAMLNSMNGGGLAEYAVAAANLTVKRPPNVSAAEGAGLPIAAGTALQALRSIGAKFDGTGEPLNVLVTAASGGVGLYAVQLAKLANLHVTATCGARNAELVRGLGADEVLDYRTPEGAAMRSPSGRRYDGVVHCTVGVGWPAFEPLMAPRGKVIDITPNFSAMLTSALHAVTLRRKRLVPLLLSPNKADLEFLVGLVGEGKLRTVVDSRFPLGDAAKAWQKSIDGHATGKIVVEMEG >ONIVA09G08820.1 pep chromosome:AWHD00000000:9:10892829:10897962:1 gene:ONIVA09G08820 transcript:ONIVA09G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPPSAAAPGSPPSAQVVGNAFVHQYYNILHQSPDLVHRFYQDGSRIGRPASPAAAEMDTVTTMEAINAKIVSMDIVRAEIKAVDAQESLGGGVTVLVTGHLTGSDDVRREFSQSFFLAPQEKGYFVLNDILRYVGGEGDQEVEPEPELELSFPPSQQPDSVPAPSANGTSVPREQEAFSQPEQHVADPAPNAQEADLNGEEVYNPPNNTEGPVVEETPIPEVIDEVPNNVAVAMPTPSAPAPAPAPVPQEEAPKKSYASIVKVMKEIPPQISAIPSRPAPPKQEKQVAPAPVAPVADAPTFSPNPESSNIQEAEVDAHAIYVRNLPLSATPEQLEEAFKKFGAIKPDGIQVRSHKIQGFCYGFVEFEDPSSVQSAIAGSPVTISDRQCYVEEKRTAGSRGGGRGRFAPGRGGNFRGEGMRGRGNYTGGRGYGRGEFNYRSDYGGRGAGRGGGSSRGGDVGYQRVDHSAGRAARAPSGTSAVANAMVVSVR >ONIVA09G08820.2 pep chromosome:AWHD00000000:9:10892829:10900454:1 gene:ONIVA09G08820 transcript:ONIVA09G08820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPPSAAAPGSPPSAQVVGNAFVHQYYNILHQSPDLVHRFYQDGSRIGRPASPAAAEMDTVTTMEAINAKIVSMDIVRAEIKAVDAQESLGGGVTVLVTGHLTGSDDVRREFSQSFFLAPQEKGYFVLNDILRYVGGEGDQEVEPEPELELSFPPSQQPDSVPAPSANGTSVPREQEAFSQPEQHVADPAPNAQEADLNGEEVYNPPNNTEGPVVEETPIPEVIDEVPNNVAVAMPTPSAPAPAPAPVPQEEAPKKSYASIVKVMKEIPPQISAIPSRPAPPKQEKQVAPAPVAPVADAPTFSPNPESSNIQEAEVDAHAIYVRNLPLSATPEQLEEAFKKFGAIKPDGIQVRSHKIQGFCYGFVEFEDPSSVQSAIAGSPVTISDRQCYVEEKRTAGSRGGGRGRFAPGRGGNFRGEGMRGRGNYTGGRGYGRGEFNYRSDYGGRGAGRGGGSSRGGDVGYQRVDHSAGRAARAPSGTSAVAK >ONIVA09G08810.1 pep chromosome:AWHD00000000:9:10876519:10879379:-1 gene:ONIVA09G08810 transcript:ONIVA09G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJ59] MVMSIDNVRGFALATSSSAFIGSSFVIKKIGLKKAGDAGVRAGSGGYSYLYEPLWWIGMTAMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKERLHMFGIVGCILCVVGSVSIVLHAPKEKKINSVNEIWHLATQPGFIVYSCMAVVVALILIFWVVHRTEQRKMLAYIAICSLMGSLTVISVKAVAIALKLSFNGVNQFIYVPTWFFIVVVVICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIIANMIMYKDWASQNATQIATELCGFVTIVAGTFLLHKTRDMGNEQSESSSLRGECELQNH >ONIVA09G08800.1 pep chromosome:AWHD00000000:9:10870123:10872136:-1 gene:ONIVA09G08800 transcript:ONIVA09G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPTVRDVLAFHRVDRAAYDQLLSLGVPPPPARNAVALLMWLGRRGGVAGVDAVDRARRLVRTRHDAARLASEARAVLHGGAAALDLARRWAGAGETLISSVLGGGGVDVRRFFALVPDDAPRRGVAEVLDGVGALVFDDRLYALLRRHEEGGGAVLPAELAAPYRRPLAPALAPVGDGGCRSLFITFSKGSPLTREEIEEYFTERWGDCLEKVMMERTPAGEPPTYGRIVFRHAATAAAVLGGEHLVKLVINGRQLRARKYFPRKASAFSHGLN >ONIVA09G08790.1 pep chromosome:AWHD00000000:9:10865877:10869041:1 gene:ONIVA09G08790 transcript:ONIVA09G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJ57] MSPSMPQPLPLPSPPSSPRSGATTTTTTSVRARPRPPTAPPDAPWLALSGGGAGSSDVAGSSSGSGSNVDETLAAMRDAAWARFYAVMGKGKGKAGERGSFSFPDLGAPHDVVDAAAVVDHFATVEAERRAGARAQFLDATMEATASARLGRVKRELLVDRRVLDLAGLERWLRRGEAVAELAWFAELCAGEGGEPVPPLELFESAFRALQAARSDELHRGAGFRKRWVGPAAVPEFFLCPISNKVMVNPVVISSGKTVEVLALEKWWSENRRLCPVTDEILDNSIFIPNILIMLCTALWRTRNGITDVTTIAEPPKISSEEEALFREINLLALSPSLSDKTFDAILRLHELISNAQSSLLHLLGQSPGMIAKLACLLPETCLDPDPGLDDIILKIIAKTASYNPNKVILGDDQYAIPVLIARALLGPVDTRVKSAQILGLLADNYYNKIKIGELGGFAALMELLLLVGDREVKRTVAMAIASLCEAQENWSRFVREGVADAAISLLRDDNLVDEARSIFLKATGFELAMTQVLDKLMSFGDDANCLKMVESIWNTFIRTKLRRRRPNVTHASSSTRASDVFSDTSSDGSVELPMHVELTDKAEDDVRTIVSWLQKRTCYPRTYKYED >ONIVA09G08780.1 pep chromosome:AWHD00000000:9:10850976:10851503:1 gene:ONIVA09G08780 transcript:ONIVA09G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQDHDEPRRSRCRRRDVASTITISEILESPAIVRHRHVALKDATELHFMINDSGFTVVVEDALSWANYHGLHDDIKDVGGDGAVHGDVDSGVLLRPDPSSVERRRRLERDEDGSLLGVVRTLHVKDGRHVCLEVWDAESSSAAPTTTRVRRRWRESTNSILHGQRDRQGRRDA >ONIVA09G08770.1 pep chromosome:AWHD00000000:9:10850535:10859046:-1 gene:ONIVA09G08770 transcript:ONIVA09G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IJ55] MAAPPSMEEPLLGGGNGEEKKGGSSRLAVVAEVRKQLYLAGPLIAGWLLQNVVQMISVMFVGHLGELELSSASIATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHRLVGVYKQRAMVVLGLASVCVAAVWAYTGELLLLFGQDPEIAAAAGSYIRWMIPALLAYGPLQCHVRFLQTQNAVMPVMLSSGAAAACHLPVCWLLVYGAGLGSKGAALANAVAYLANAAALAAYVRLSPACRSTWTGFSSEAFHDLVGFMRLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVLSICLNSGSLAFMIPFGLGSAISTRVSNELGAGRPEAARLASRVVMALGLVVGVAIGLAMILVRHLWGYAYSNEEEVVQYVAKMMPILAVSFLFDDLQCVLSGVARGCGWQKIGAIVNLGAYYLVGIPAALCFAFVYHLGGMGLWLGIMCALIVQMLLLLAITVCTNWEKEGLWLGIMCALIVQMLWLLATNWEKEALKANKRVFSSSLPTDMTT >ONIVA09G08760.1 pep chromosome:AWHD00000000:9:10819541:10819855:-1 gene:ONIVA09G08760 transcript:ONIVA09G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGAGGLPLVDLGLTLTAAMGRAVEVASCPPDAVLLLPRIEQHKCEHQTGWPTFRVTPLQSAA >ONIVA09G08750.1 pep chromosome:AWHD00000000:9:10795490:10812457:-1 gene:ONIVA09G08750 transcript:ONIVA09G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTTCLPGNSVLFNHESSRHVTALMNSSSATSLASASGARQISTAMLPPPPRPSYTVIFPSSLFPAPLRTPTGLPHPKSLPNTSNRGEPEITTTPAFCRLATVTNLGVSGHAGSMRLTLASSPADATARFSSHAAQPSPLPRSSPAVARATAPTTVLPMRRRVGVGDGEAAGQRVEAGQRASERRDKVFDDVLTSAGRLGQDDALLDVVAGDHPEIQRREVVHRLMLRQLAGTEMAMGAGELLDPTGTVMGRSRRSDYPPLLQCLGYHSFGMHLVGSAQLAMDKVIGILRGAAGLAADANAFWEFFSWVTPHVLAAVCSQQQQQQLVDSAGIGSASAGERRTATLHQIQDDLQKLEHNLWVIQTTIAPTMHDLIDRLEWHSHKETEAGHLRQIKDVVYDAEDLLDEYNYYALKVKVKASKNLGQDHLHEPFLEFLDNVNFGGKFSKVMEIQERLKHVFDQSNSLGLHKTPKKFDKIVRPETCRVLEEPDEIFGREKELEDSKQKLRVRGHKRGRPVACSTTAEARRTELLVLPIVGMGGVGKTTMAQQICEDGVVRNHFNNCIIWICVSDEFEVNRLTRDVLKSLGVKLQDSDTRDTLMVNLRDSVKSKKFLLVLDDMWDDVLKDEKGWRTFHRTLSNGLEGSMILVTTRSSKVANLVSNSDPYELKGLQDDVFWDFFKLCAFKSNGSRNDPEMEHIRPELERIGRAILPKLKGSPLAAKTLGRLLKSNLHIEHWEDILRRIVDIGTRGD >ONIVA09G08750.2 pep chromosome:AWHD00000000:9:10795490:10816106:-1 gene:ONIVA09G08750 transcript:ONIVA09G08750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKECFSICAIYPKDHIFQKEFLADIWVAQGYVEPQDASSCFDDLVNRSFFQQAAHQYDNQYVIHDLLHDTAQLVSKDECFIIKHVSDLAKIPPKVRHLSIFTNGNIRCSDLLYICAQNKKLCSLVCNDSYINWEPFAPMIDGWFNELLNIRVLSFDLSTVRKLPESIGNSIHLRYLGLLGNSTFETLPSSVSCLYHVQTINAKGCVFKRYPQGFSDLISLKKIESKGFIYNEDKDKQCLRWPIMRTPDRGEARTRLSPPYAPNLALPLTPEEQLQMTEEQIELLPHWNLQHLTIEYYLGQSCPSWLRPDCLKMLTSLKLSYCKNIQSISFFDPLFPDSEDSKNICHLEVLDIQHCPNINWQGLVALPSSLRKIILGNFGHSTDHFVSCFRGLALLKCLQIQCEFLMSIPLQVCKNNLQALEDLHIYQCSSLTSIYVSEASSRHPVGVFSSLSSVTISLCNALLSLDEFLMPAYMPVVKTILVESCRQLALLPIDELHRFSCLEVLRIESCPNLNTRRIMTLPSSLRKLSLLGCPSIEFIDNSHLASSVTLKGLNLKLVSCPDLISIVGAISVSEIQSGYIHDCPKLMEMTQPFTRVTALMNSSSATSLASASGARQISTAMLPPPPRPSYTVIFPSSLFPAPLRTPTGLPHPKSLPNTSNRGEPEITTTPAFCRLATVTNLGVSGHAGSMRLTLASSPADATARFSSHAAQPSPLPRSSPAVARATAPTTVLPMRRRVGVGDGEAAGQRVEAGQRASERRDKVFDDVLTSAGRLGQDDALLDVVAGDHPEIQRREVVHRLMLRQLAGTEMAMGAGELLDPTGTVMGRSRRSDYPPLLQCLGYHSFGMHLVGSAQLAMDKVIGILRGAAGLAADANAFWEFFSWVTPHVLAAVCSQQQQQQLVDSAGIGSASAGERRTATLHQIQDDLQKLEHNLWVIQTTIAPTMHDLIDRLEWHSHKETEAGHLRQIKDVVYDAEDLLDEYNYYALKVKVKASKNLGQDHLHEPFLEFLDNVNFGGKFSKVMEIQERLKHVFDQSNSLGLHKTPKKFDKIVRPETCRVLEEPDEIFGREKELEDSKQKLRVRGHKRGRPVACSTTAEARRTELLVLPIVGMGGVGKTTMAQQICEDGVVRNHFNNCIIWICVSDEFEVNRLTRDVLKSLGVKLQDSDTRHFNNCIIWICVSDEFEVNRLTRDVLKSLGVKLQDSDTRDTLMVNLRDSVKSKKFLLVLDDMWDDVLKDEKGWRTFHRTLSNGLEGSMILVTTRSSKVANLVSNSDPYELKGLQDDVFWDFFKLCAFKSNGSRNDPEMEHIRPELERIGRAILPKLKGSPLAAKTLGRLLKSNLHIEHWEDILRRIVDIGTRGD >ONIVA09G08750.3 pep chromosome:AWHD00000000:9:10795490:10812457:-1 gene:ONIVA09G08750 transcript:ONIVA09G08750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTTCLPGNSVLFNHESSRHVTALMNSSSATSLASASGARQISTAMLPPPPRPSYTVIFPSSLFPAPLRTPTGLPHPKSLPNTSNRGEPEITTTPAFCRLATVTNLGVSGHAGSMRLTLASSPADATARFSSHAAQPSPLPRSSPAVARATAPTTVLPMRRRVGVGDGEAAGQRVEAGQRASERRDKVFDDVLTSAGRLGQDDALLDVVAGDHPEIQRREVVHRLMLRQLAGTEMAMGAGELLDPTGTVMGRSRRSDYPPLLQCLGYHSFGMHLVGSAQLAMDKVIGILRGAAGLAADANAFWEFFSWVTPHVLAAVCSQQQQQQLVDSAGIGSASAGERRTATLHQIQDDLQKLEHNLWVIQTTIAPTMHDLIDRLEWHSHKETEAGHLRQIKDVVYDAEDLLDEYNYYALKVKVKASKNLGQDHLHEPFLEFLDNVNFGGKFSKVMEIQERLKHVFDQSNSLGLHKTPKKFDKIVRPETCRVLEEPDEIFGREKELEDSKQKLRVRGHKRGRPVACSTTAEARRTELLVLPIVGMGGVGKTTMAQQICEDGVVRNHFNNCIIWICVSDEFEVNRLTRDVLKSLGVKLQDSDTRHFNNCIIWICVSDEFEVNRLTRDVLKSLGVKLQDSDTRDTLMVNLRDSVKSKKFLLVLDDMWDDVLKDEKGWRTFHRTLSNGLEGSMILVTTRSSKVANLVSNSDPYELKGLQDDVFWDFFKLCAFKSNGSRNDPEMEHIRPELERIGRAILPKLKGSPLAAKTLGRLLKSNLHIEHWEDILRRIVDIGTRGD >ONIVA09G08750.4 pep chromosome:AWHD00000000:9:10814165:10816106:-1 gene:ONIVA09G08750 transcript:ONIVA09G08750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKECFSICAIYPKDHIFQKEFLADIWVAQGYVEPQDASSCFDDLVNRSFFQQAAHQYDNQYVIHDLLHDTAQLVSKDECFIIKHVSDLAKIPPKVRHLSIFTNGNIRCSDLLYICAQNKKLCSLVCNDSYINWEPFAPMIDGWFNELLNIRVLSFDLSTVRKLPESIGNSIHLRYLGLLGNSTFETLPSSVSCLYHVQTINAKGCVFKRYPQGFSDLISLKKIESKGFIYNEDKDKQCLRWPIMRTPDRGEARTRLSPPYAPNLALPLTPEEQLQMTEEQIELLPHWNLQHLTIEYYLGQSCPSWLRPDCLKMLTSLKLSYCKNIQSISFFDPLFPDSEDSKNICHLEVLDIQHCPNINWQGLVALPSSLRKIILGNFGHSTDHFVSCFRGLALLKCLQIQCEFLMSIPLQVCKNNLQALEDLHIYQCSSLTSIYVSEASSRHPVGVFSSLSSVTISLCNALLSLDEFLMPAYMPVVKTILVESCRQLALLPIDELHRFSCLEVLRIESCPNLNTRRIMTLPSSLRKLSLLGCPSIEFIDNSHLASSVTLKGLNLKLVSCPDLISIVGAISVSEIQSGYIHDCPKLMEMTQPFTRGRYCKLSC >ONIVA09G08750.5 pep chromosome:AWHD00000000:9:10795490:10819097:-1 gene:ONIVA09G08750 transcript:ONIVA09G08750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVQTGVQQQNLIRPLNRCSCAERALNSPEVKATSAVLAHQGCQLLQQKLLISSQMQGSAQLAMDKVIGILRGAAGLAADANAFWEFFSWVTPHVLAAVCSQQQQQQLVDSAGIGSASAGERRTATLHQIQDDLQKLEHNLWVIQTTIAPTMHDLIDRLEWHSHKETEAGHLRQIKDVVYDAEDLLDEYNYYALKVKVKASKNLGQDHLHEPFLEFLDNVNFGGKFSKVMEIQERLKHVFDQSNSLGLHKTPKKFDKIVRPETCRVLEEPDEIFGREKELEDSKQKLRVRGHKRGRPVACSTTAEARRTELLVLPIVGMGGVGKTTMAQQICEDGVVRNHFNNCIIWICVSDEFEVNRLTRDVLKSLGVKLQDSDTRHFNNCIIWICVSDEFEVNRLTRDVLKSLGVKLQDSDTRDTLMVNLRDSVKSKKFLLVLDDMWDDVLKDEKGWRTFHRTLSNGLEGSMILVTTRSSKVANLVSNSDPYELKGLQDDVFWDFFKLCAFKSNGSRNDPEMEHIRPELERIGRAILPKLKGSPLAAKTLGRLLKSNLHIEHWEDILRRIVDIGTRGD >ONIVA09G08740.1 pep chromosome:AWHD00000000:9:10794168:10795443:-1 gene:ONIVA09G08740 transcript:ONIVA09G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCFSICALYPKDHRFEKEFLADIWVAQGYVEAEDASSCFDDLVNRSFQKADLSDKYVIHDLMHDTAQLVSEGECFIIQHVSDLAKIPSNVRHLSIFTNGNISCTELESICTQNKKLLSLVCNESYRSSKHFAPVIDCWFKELPNIRVLIFNLSTVRKLPESIGNSKHLRYLGLFGSSTFKTLPSSVSCMYHVQTMKANGCKFKRYPQDEFIYNKDNFDRQRLRWTQVGTSDEDLQMMEEHIEWLPHWNLQHLAIDSYRGKSCPSWLRPDCLKMLTSLELISCKNIQSLSFFGPPFPDSEESKNIYHLEVLHIRRCPNINWQGLVTLPSSLRRITLVNFGRSTDHFVSCFHDLTLLTYLKIQCELLTLIPLQVWISNLQSLEYLWVCQNLLQPPRSPFQLFGLYILMTLG >ONIVA09G08730.1 pep chromosome:AWHD00000000:9:10788255:10793191:1 gene:ONIVA09G08730 transcript:ONIVA09G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQEPAGGGGGGGGGVRVVARICPYAPPPPDAALNFQVAALNDPALISFLPRRPTASAAAAAASGRGDGPKDKQQQQKYRVDGCYLRDDPNHRVFHNEVKPLIDGRGGGGGRGGVKACVVSCGDAAAKRHLFMGSPDQPGLFTMAMAQLLDSSKAIGAAVTVSSYQVLQDTHILDLLEPKNHEVLILEDADGQTHLKGLSRVGVKSIEEFSQLCCCAANQQRHHPAKDSTQLQDWGHQGLIIYVSSIDQQGKEYALAKINFLNLAGYVDPKQKKNEGLAVPTGNKSMHALMNVVQALNSNQKFVPYRQSKVTRILQDSLCKSKTSGSVLIACLAEDCCQDSVSTLGLASRSSQVVNEQYYSLSLSAKKTSKSNMNLPTDAKTLSRTFMHKTMSMQEKNARPEFNNSGVKGGQTPTANRRTQPIISSTKKSGSSICTSIKMENYAKPKISGRKLFCPSNNSLKEENAMDVASTVVTQTKSATVRIQAEEVQPLVGMEIRAALPNEGSSETGNTGDVKSSEMQKVVHCSTQELLPSTIQEEDYALSNMEPEHSCTTDMGLTCSSITDNLVEKTPASNTQSSPKLSDRLREISNSLKLLSTRPVSVRAEKWDIECVRRINTIAPEPKTPEVHLKFEQAEDPKDILTARSTGIKKSLAQECLTFLNSANKEQLKSLKGIGEKRANYILELREESPELFKEISDLRDIIGMNSKELLLKSKCFGQELAFCERICALQWFAAIMCFV >ONIVA09G08720.1 pep chromosome:AWHD00000000:9:10782560:10784638:1 gene:ONIVA09G08720 transcript:ONIVA09G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKNKAELQAQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAVKA >ONIVA09G08710.1 pep chromosome:AWHD00000000:9:10778007:10782103:1 gene:ONIVA09G08710 transcript:ONIVA09G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT3G25120) TAIR;Acc:AT3G25120] MATPASEPAGAGTPEPAAPFSADWKERILLPAAVAGVVGAGFGLLSRHRVRLGAARATATYAANLAIVAGCYGGARELARDARASTPDDPMNSVVGGLASGAVLGRIQGGHFGAVKYAVTFAAAGTASDYAALKLRPQLNGKWHALKEHFSGGKDWFTLPEWSPIQVLDEEALAKKRAREEKLFAQRALGKLSKEEP >ONIVA09G08690.1 pep chromosome:AWHD00000000:9:10766421:10772016:1 gene:ONIVA09G08690 transcript:ONIVA09G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATAAAAAAVASPAAAPRGAAVAAVARRGFVSFGAAAAARSRAVRSGGFSGVRTHVAAVEQALVQDATKLEAPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSKEIEACGGQAITFGGDVSKEADVDSMMKAALDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKIMMKKKKGKIINIASVVGLVGNIGQANYSAAKAGVIGLTKTVAREYASRNINVNAIAPGFIASDMTAELGEDLEKKILSTIPLGRYGKPEEVAGLVEFLALNPAANYITGQVLTIDGGMVM >ONIVA09G08680.1 pep chromosome:AWHD00000000:9:10759848:10761769:1 gene:ONIVA09G08680 transcript:ONIVA09G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKQCSIPTHPHPSPLHSPMAAKNGLLLLSTIAAVTLSSLSLAAVASPATRRSQESREAVRAGYYLAADAHLRPLAALDASLYTHLYYYAVAVHPARRTLLLPPDPAAASLLGDFSRAVKAKNAAVKTVLSIGRGGGAGGAAAVAGSGSDPAFAAMAADPASRAAFIGAAVKVARENGFDGLDVAWRFPASAVEMAEFGFLVAEWRAAVPRGFLLTATVYFSNHVFDAPFAGVDYPSETVARSLDWVNVMAFGLRPPGAANANATAFDAPLYDRASHYSASYGVVSWLDAGVPASKVVMGIPLYGRSWFLRNKANNGVGAPVVAAGPKQRGSNATGAMSYAEVQWLAATATRGSRAVITAYDNASVASYVSVGDVWVAFDGVAVVAEKLAFAARCGLLGYFLWPVNYDDANLTVSRRASQVWTQTKISPEFKNVTGGARQTQAPVQRPPALQSPAPTTAPMSSSSTTSSFSRLSWRMLDVRLHLGALLLLLLLVCYQI >ONIVA09G08670.1 pep chromosome:AWHD00000000:9:10748446:10753436:1 gene:ONIVA09G08670 transcript:ONIVA09G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translation initiation factor 3 subunit H1 [Source:Projected from Arabidopsis thaliana (AT1G10840) TAIR;Acc:AT1G10840] MANPAAAAGPSGGARSFLQAVSTVTEEAPSPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPMREDDEEADADGANYQLEMMRCLREVNVDNNTVGWYQSCLLGSFQTVELIETFMNYQENIRRCVCIVYDPSRSNQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMTELEPESPVSQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNVSRQQSQQQAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGVAGQNFNRLYLMKALQED >ONIVA09G08660.1 pep chromosome:AWHD00000000:9:10742944:10747018:1 gene:ONIVA09G08660 transcript:ONIVA09G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTMGTSEVSEISLLCTDEPQSFNWDSQNNSNNFVASDTIDSLSTGAVYQPSNSHSKNCSDENQMHFRHDQMHSSQESVTYTNDQSGISGTTENDSVTESLLMQETRKLSTLKVSKGTSLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVIGKTRIRTDGGRGSITILRTKG >ONIVA09G08660.2 pep chromosome:AWHD00000000:9:10742944:10747018:1 gene:ONIVA09G08660 transcript:ONIVA09G08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTMGTSEVSEISLLCTDEPQSFNWDSQNNSNNFVYQPSNSHSKNCSDENQMHFRHDQMHSSQESVTYTNDQSGISGTTENDSVTESLLMQETRKLSTLKVSKGTSLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVIGKTRIRTDGGRGSITILRTKG >ONIVA09G08660.3 pep chromosome:AWHD00000000:9:10743037:10747018:1 gene:ONIVA09G08660 transcript:ONIVA09G08660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLESDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTMGTSEVSEISLLCTDEPQSFNWDSQNNSNNFVASDTIDSLSTGAVYQPSNSHSKNCSDENQMHFRHDQMHSSQESVTYTNDQSGISGTTENDSVTESLLMQETRKLSTLKVSKGTSLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVIGKTRIRTDGGRGSITILRTKG >ONIVA09G08660.4 pep chromosome:AWHD00000000:9:10743027:10747018:1 gene:ONIVA09G08660 transcript:ONIVA09G08660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLESDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTMGTSEVSEISLLCTDEPQSFNWDSQNNSNNFDSLSTGAVYQPSNSHSKNCSDENQMHFRHDQMHSSQESVTYTNDQSGISGTTENDSVTESLLMQETRKLSTLKVSKGTSLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVIGKTRIRTDGGRGSITILRTKG >ONIVA09G08660.5 pep chromosome:AWHD00000000:9:10743037:10747018:1 gene:ONIVA09G08660 transcript:ONIVA09G08660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLESDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTMGTSEVSEISLLCTDEPQSFNWDSQNNSNNFVYQPSNSHSKNCSDENQMHFRHDQMHSSQESVTYTNDQSGISGTTENDSVTESLLMQETRKLSTLKVSKGTSLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVIGKTRIRTDGGRGSITILRTKG >ONIVA09G08660.6 pep chromosome:AWHD00000000:9:10743027:10747018:1 gene:ONIVA09G08660 transcript:ONIVA09G08660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLESDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTMGTSEVSEISLLCTDEPQSFNWDSQNNSNNFDSLSTGAVYQPSNSHSKNCSDENQMHFRHDQMLATLCSHRKQYLLYHYISMMTC >ONIVA09G08660.7 pep chromosome:AWHD00000000:9:10743027:10747018:1 gene:ONIVA09G08660 transcript:ONIVA09G08660.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLESDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTMGTSEVSEISLLCTDEPQSFNWDSQNNSNNFGLSFVFHIILFDNLNLLAN >ONIVA09G08650.1 pep chromosome:AWHD00000000:9:10739795:10740349:-1 gene:ONIVA09G08650 transcript:ONIVA09G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCWVDSYSLSSSLPATKWLPPKWTSAPTDDLGLGSQWDSTWRSAQSLKPVERSSRKSPKKLRLPHPTERKRTTFLLNDDDDEPSLRRPGLSGSTIASSFCPSDADNAEATDTDFLLADTPPPPPLANDAEPWWPPLDDKDGVNYAAAAGLPPGISERKREGALGAWRKRRLRQGSNSATPPP >ONIVA09G08640.1 pep chromosome:AWHD00000000:9:10735873:10740542:1 gene:ONIVA09G08640 transcript:ONIVA09G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEAVAGDHSSLRAAASRPRHTVAPPGGQRAGSGRYFGGGNARQKHEHSRQQPAASGEAGSGSSSSRQASAAHGSNNSSRKLQQRSSSRQQQQAGRVAARPAIAGAGSSSGRHGHILVLIFMKTHLLTFTPSLSSSGGHQGSASLANGGGGGVSARRKSVSVASALSASLGQKLEAIVEPDSPGRRSEGSSSSSFRRKVVRLRSVGCGSRSFLGDFLELLSTGFSDCALRHVESHCEPKPKSSVGALVHLGGSHFVAGNDDDNEYESTQQHRIKCVGFFGGLGAALPLTSSSYWLSTPPLTLSSPAMTSSCFGFSMPGRLAEVGKRHGVRKLMGSG >ONIVA09G08630.1 pep chromosome:AWHD00000000:9:10731560:10734817:-1 gene:ONIVA09G08630 transcript:ONIVA09G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEKMKDLMRKVTSSSSSSSSSSFKGTAHVLGSGPDPSSRPSNPTPSRPAAPRREAAASARPPSSGFAPYSPLISTSSRRTDPPAGAGAGEDDAVACPSCAEPFPSELAVSDHLDGCLAAAGGARPRAAAYLAGDPPASAVKVVKRLLGNLLSDPRNDKYRKVRLGNPRIKEALADREGGVDLLEAVGFRVADEGGELFALMDEVPGDARLGGIRQAVLLLERARPSTPPQTQADAKETCPNGVSEEQGIKKPVDRQIRVFFSVAASSVAENDLPDSFYSLSNEEIRNEAKMRRERLEQSRLLIPKSYKEKQALAARQKYKQALIRIQFPDGVILQGVFLPAEPISSLYEFVASSLKQPSLEFDLICPAGPRTRVIPPFPKPGEQARTLRDEDLVPSARLTFKPKETDSVVFTGLLDELLETSEPFTSASS >ONIVA09G08620.1 pep chromosome:AWHD00000000:9:10726220:10726564:1 gene:ONIVA09G08620 transcript:ONIVA09G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIGEVIAGGVPRAAANDAMVETRLGMEAHTPPRFLHHDLQRRLPYDGALHTTADDAMVETRSRIETCIASSSPPPSQPTTPRRVLELAPYVLSSMSPSDARELPELKNKGRH >ONIVA09G08610.1 pep chromosome:AWHD00000000:9:10708788:10712578:-1 gene:ONIVA09G08610 transcript:ONIVA09G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGAIGKDEEQRRHAEEKKESDYFGAGGGAAAAAMDWSFASRAALMSFRSSSSSAAAAAREETRELAFPHFSALDGAKMQQASHVLARQKSFGAESHGIPQYAAAAAVHGAHRGQPPHVLNGARVIPASSPFNPNNPMFRVQSSPNLPNAVGAGGGAFKQPPFAMGNAVAGSTVGVYGTRDMPKAKAAQLTIFYAGSVNVFNNVSPEKAQELMFLASRGSLPSAPTTVARMPEAHVFPPAKVIVPEVSPTKPMMLQKPQLVSSPVPAVSKPISVVSQATSLPRSASSSNVDSNVTKSSGPLVVPPTSLPPPAQPETLATTTAAAIMPRAVPQARKASLARFLEKRKERVTTVAPYPLAKSPLESSDTMGSANDNKSSCTDIALSSNRDESLSLGQPRTISFCEESPSTKLQI >ONIVA09G08600.1 pep chromosome:AWHD00000000:9:10702502:10708338:-1 gene:ONIVA09G08600 transcript:ONIVA09G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraticopeptide domain-containing thioredoxin [Source:Projected from Arabidopsis thaliana (AT3G17880) TAIR;Acc:AT3G17880] MIIFFLWPPDAATPASPRLRPSPRRPLIVALQLAGEGKAEQRRRQEDRSKAVDMATAGASSFEDEIMESDIELEGEAVEPDNDPPQKMGDPSVEVSNEKRDQAQLCKNKGVDAFSEGKVYLPVLIEISHYNKMNCKLDEAIEHLTEAIVLNPTSAIAYATRAVIFVKSKKPNAAIRDADAALKINPDSAKGYKSRGMAKAMLGKWEEAAQDLRMAAKLDYDEEIGAELKKVEPNVLKIEEHRKKYERLRKERDIKKAEMEKQRKHAEEVSAASAALKDGDVIAIHSSSELDTKLKAASSLSRLVVLYFTAAWCGPCRFIGPVCKSLAEKHRNVVFLKVDIDELNSVAYRWNVSSVPSFFFVRNGKEIDKVVEPQDNIEEEAIEDTPCKQDGNINPDAVNGDVQRGEHVLPHQRARRVAGVELPPEAAGLEPPERPRREVGAVAGIAPAPLELAHQQRLERCSHLHPTGMSVRLRKKPQVRKKSAVVAMTTA >ONIVA09G08600.2 pep chromosome:AWHD00000000:9:10703642:10708338:-1 gene:ONIVA09G08600 transcript:ONIVA09G08600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraticopeptide domain-containing thioredoxin [Source:Projected from Arabidopsis thaliana (AT3G17880) TAIR;Acc:AT3G17880] MIIFFLWPPDAATPASPRLRPSPRRPLIVALQLAGEGKAEQRRRQEDRSKAVDMATAGASSFEDEIMESDIELEGEAVEPDNDPPQKMGDPSVEVSNEKRKLDEAIEHLTEAIVLNPTSAIAYATRAVIFVKSKKPNAAIRDADAALKINPDSAKGYKSRGMAKAMLGKWEEAAQDLRMAAKLDYDEEIGAELKKVEPNVLKIEEHRKKYERLRKERDIKKAEMEKQRKHAEEVSAASAALKDGDVIAIHSSSELDTKLKAASSLSRLVVLYFTAAWCGPCRFIGPVCKSLAEKHRNVVFLKVDIDELNSVAYRWNVSSVPSFFFVRNGKEIDKVVGADKNGLERKVAQHGSS >ONIVA09G08600.3 pep chromosome:AWHD00000000:9:10703642:10708338:-1 gene:ONIVA09G08600 transcript:ONIVA09G08600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraticopeptide domain-containing thioredoxin [Source:Projected from Arabidopsis thaliana (AT3G17880) TAIR;Acc:AT3G17880] MIIFFLWPPDAATPASPRLRPSPRRPLIVALQLAGEGKAEQRRRQEDRSKAVDMATAGASSFEDEIMESDIELEGEAVEPDNDPPQKMGDPSVEVSNEKRDQAQLCKNKGVDAFSEGKVYLPVLIEISHYNKMNCKLDEAIEHLTEAIVLNPTSAIAYATRAVIFVKSKKPNAAIRDADAALKINPDSAKGYKSRGMAKAMLGKWEEAAQDLRMAAKLDYDEEIGAELKKVEPNVLKIEEHRKKYERLRKERDIKKAEMEKQRKHAEEVSAASAALKDGDVIAIHSSSELDTKLKAASSLSRLVVLYFTAAWCGPCRFIGPVCKSLAEKHRNVVFLKVDIDELNSVAYRWNVSSVPSFFFVRNGKEIDKVVGADKNGLERKVAQHGSS >ONIVA09G08600.4 pep chromosome:AWHD00000000:9:10702502:10703405:-1 gene:ONIVA09G08600 transcript:ONIVA09G08600.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraticopeptide domain-containing thioredoxin [Source:Projected from Arabidopsis thaliana (AT3G17880) TAIR;Acc:AT3G17880] MLLWVRYSEPQDNIEEEAIEDTPCKQDGNINPDAVNGDVQRGEHVLPHQRARRVAGVELPPEAAGLEPPERPRREVGAVAGIAPAPLELAHQQRLERCSHLHPTGMSVRLRKKPQVRKKSAVVAMTTA >ONIVA09G08590.1 pep chromosome:AWHD00000000:9:10699229:10702910:1 gene:ONIVA09G08590 transcript:ONIVA09G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQRRPERATAGEPATPNHHTERAGEPAAATTTTTTTTRRPPPTTTERKESLESLLDATDAARGGGGVKAAVASRQGLEFKNLSYSVVKKQKKEGVKVKKEVYLLNDISGEAPRGQVTAILGPSGAGKSTFLDALAGRIAKGSLEGSVRIDGRAVTTSYMKQISSYVMQDDQLFPMLTVLETLTFAAEVRLPPSLSRAEKLKRVWELIDQLGLQTTAHTYIGDEGTRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAHSVVEKVKDIARGGSIGKVDLPRKPKHTANTPGWIWQAGAGCIEYLLDVIKEYDESTSGLEPLVAYQRDGTKPDGAAKTPVPRTPRTPHQKSVQFRQIQLKSNQFSLNSGAANGNTFSNFESSYNVDGGGDDDDEDFDNSLERKLQTPMHAGGPASGYQPRLASQFYKDFSVWVYHGVTGSTPHRRPTWTPARTPVSSFQRGRAVTMTPTPQNNPQRRPPPPPSPHVPVFKPEEPTYHEYELDLEPPLDAPEEDYNGGHRPKFANPWPREVAVLSWRTVLNVVRTPELFLSREVVLAAMAVILSTMFRRLGAGDVPTVNRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVVASLVVYLPFFAVQGLTFAVITKLMLRMESSLLHFWVILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTLIPVGWRWLHYASAIKYPFEALLVSEFKGGRCYAGDRADLSPGPLGGFKPSSLRRELNASDAACPLMGQDVLSTLDITIDSIWVDVAILLAWGVLYRLLFYVVLRFYSKNERK >ONIVA09G08570.1 pep chromosome:AWHD00000000:9:10675217:10678232:1 gene:ONIVA09G08570 transcript:ONIVA09G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNTIRFLTGTARAMGEAAASGVGGVTGCSDEGSLQLRPVRKKCVTKNANFNLENNLALEDLEMEEQMDFFHDESSIHAAGDDQQNVKRGVVMECVRSSRSADR >ONIVA09G08570.2 pep chromosome:AWHD00000000:9:10675216:10678544:1 gene:ONIVA09G08570 transcript:ONIVA09G08570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQYMQQVMINKMSKEVWLWSVSGAQGVPTGESDLDMGMEVWLWSLDPLAKFFTLSYRMFGHMYRVLNINEKNN >ONIVA09G08570.3 pep chromosome:AWHD00000000:9:10675216:10678232:1 gene:ONIVA09G08570 transcript:ONIVA09G08570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNTIRFLTGTARAMGEAAASGVGGVTGCSDEGSLQLRPVRKKCVTKLALEDLEMEEQMDFFHDESSIHAAGDDQQNVKRGVVMECVRSSRSADR >ONIVA09G08560.1 pep chromosome:AWHD00000000:9:10673238:10674486:-1 gene:ONIVA09G08560 transcript:ONIVA09G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLEFVPELGWSLVRSGSPDRCAADQTAPCSTLSRRSSASCPAPDYRPEACLPVHLRSNLLGISLLSPRTQVVRRNAGAGQDPAARVIEDDPQEPRVADSRRGPRPDHPRHGGAPVAADAGWCPAGVHFDVYGFGIVVLKIASGRRHVSQPCQGRSASFLLAATWRSGDAKPMDPSSSRSTAVSATPGGETTGQTQTIDITVNSMSAWTGIRY >ONIVA09G08560.2 pep chromosome:AWHD00000000:9:10673453:10674486:-1 gene:ONIVA09G08560 transcript:ONIVA09G08560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLEFVPELGWSLVRSGSPDRCAADQTAPCSTLSRRSSASCPAPDYRPEACLPVHLRSNLLGISLLSPRTQVVRRNAGAGQDPAARVIEDDPQEPRVADSRRGPRPDHPRHGGAPVAADAGWCPAGVHFDVYGFGIVVLKIASGRRHVSQPCQGRSASFLLAATWRSGDAKPMDPSSSRSTAVSATPGGETTGQTQTVSYLLALQPCQRVAEERRAGE >ONIVA09G08550.1 pep chromosome:AWHD00000000:9:10672757:10673179:1 gene:ONIVA09G08550 transcript:ONIVA09G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRSAPMEERDQLQGARRSAVGDYLEQQQQQDGVYLIHSQVMRIKKEEEEARELLLKLQLLETRPAGGGRCPATTLRASRSLSPLRRAGGAIPVGE >ONIVA09G08540.1 pep chromosome:AWHD00000000:9:10660118:10662064:-1 gene:ONIVA09G08540 transcript:ONIVA09G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAVGVVVALLWCAALIGGGAGAGQDLAADTAALLAFRDAVGPRLPWASSSSSPCGWRGVRCDAGGGRVVALQLPGAKLVGRVPTGTVGNLTALRTLSLRSNALSGGIPADIGNCGELRALYLQGNQLAGEVPEGFFSLLLLQRLDLSRNRITGSISPEFNKLRRLATLYLENNGLNGTLPADLDLPKLQLFNVSNNDQLTGAVPASLAGKPASAFSGTGLCGGPLSPCTNTSPPSPSPSPSPPIPPPPAASQDSKSSKLSGGAIAGIAVGAAAALLVALAVIVLLCFKRGRRKEGRPADVDEDASPVSVTVARTDKVEVKRSRSRPSQQTTTASGAKKLVFVGGEPDVPYDLDTLLHASAEVLGKGWLGTTYRATLEGGAAVVAVKRLREAPIAEREFRDSVAELAALRHENLAPLRAYFYSRDEKLLVSDFVGAGALSSLLHGGGGAVRRARLGFTSRARIALAAARGVAFIHGAGSSHGNIKSSNIVVNRTHDGAYVTDHGLAQLLGAAVPLKRVTGYRAPEVSDLRKASREADVYSFGVVLLEMLTGRPPANAVPGFDGVDLPQWVRAVVHEEWTAEVFDASIADEAHAEEEMMRLLKLAVECTEQRPERRPTMAEVAARIEHIVDTVIRNADVDDFDSVSQ >ONIVA09G08530.1 pep chromosome:AWHD00000000:9:10653219:10655319:1 gene:ONIVA09G08530 transcript:ONIVA09G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNGTAALGWAARDTSGHLSPFSFTRRVQQEDDVTIKVLYCGICHTDLHIIKNEWGNAMYPVVPGHEIVGVVTGVGAGVTKFKAGDTVGVGYFVDSCRACDSCGKGYENYCPTMVITSNGTDYGGATTQGGFSDVMVVRQDYVVRVPASLPPDGAAPLLCAGVTVYSPMVEYGLNGPGKHLGVVGLGGLGHLGVKFGKAFGMKVTVISSSPAKRGEALGRLGADAFLSSRDGEGMAAAAATMDGIIDTVSAGHPLVPLLSLLKPKGQMVVVGAPATPLQLPAYAIIEGGKRVAGNGVGSVAECQAMLDFAGEHGIAADVEVVAMDAVNAALGRLERNDVRYRFVVDVAGTMHAAAAAAASS >ONIVA09G08520.1 pep chromosome:AWHD00000000:9:10645670:10651614:1 gene:ONIVA09G08520 transcript:ONIVA09G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHDHVNLILSPAAAAARCRPPPPLASTSTSSTGYLSWCAIVSYRWWSWSSRGTLLLPCEVKREEGSVVGGKEVV >ONIVA09G08510.1 pep chromosome:AWHD00000000:9:10642729:10645353:1 gene:ONIVA09G08510 transcript:ONIVA09G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHFRTHTTSLLTFPSSSGGLAITRLPFSSTSSKLLLQQLSSTSPAAAATAVTITTSSPARNLQRARASAAEQGMEEQGKAAVGWAARDDSGVLSPYSFSRRAQKDDDVTIKVLYCGICHTDLHIVKNDWGNAMYPVVPGHEIVGVVTGVGAGVTKFKAGDTVGVGYFVASCRGCECCGNGYENYCAKMVTTCNGVDHDHGGGAATQGGFSDAIVVNEHYVLRVPAGLPLDSAAPLLCAGVTVYSPMVIHGLNAPGKHVGVVGLGGLGHVAVKFAKAFGMRVTVISTSPGKRQEALEHLGADEFLVSRDAGQMAAAAATMDGILNTVSAWHPIAPLFSLMKPMAQMVFVGGPTRPLELPAYAIVPGGKGITGNCVGGIRDCQAMLDFAGEHGITAEVEVIKMDYVNTAMERLEKNDVRYRFVIDVAGSSLAGSGDAKI >ONIVA09G08500.1 pep chromosome:AWHD00000000:9:10635498:10637219:-1 gene:ONIVA09G08500 transcript:ONIVA09G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHFRTHTTSLLTFPSSSGGLAITRLPFSSTSSKLLLQQLSSTSPAAAATAVTITTSSPARNLKRASATAAAAEQGDGGAWQGGCRLGGQGRLRRSLPVQLLQEKDDDVTIKVLYCGICHTDLHVVKNDWGNAMYPVVPGHEIVGVVTGAGAGVTKFKAGDTVGVGFFVGSCSTCDSCGKGYENYCPTMVITSNGKDYGGAATQGGFSDAIVVNEHYVLRVPAGLPLDGAAPLLCAGVTVYSPMVIHGLNAPGKHVGVVGLGGLGHVAVKFAKAFGMRVTVISTSPGKRREALEHLGADEFLVSRDVGQMAAAAGTMDGILNTVSAWHPVAPLFALMKPMAQMVFVGAPTRPLELPAYAIVPGGKGITGNCVGGIRDCQAMLDFAGEHGITAEVEVIKMDYVNTAMERLEKNDVRYRFVIDVAGSSLGGSGDDKI >ONIVA09G08490.1 pep chromosome:AWHD00000000:9:10634680:10634919:1 gene:ONIVA09G08490 transcript:ONIVA09G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIRASGCPAAAPPSAVAALLGVVAASITSSPRHRCREGRVDIPDTPQAPCHPRMHAVLSSSLLRSADVGGRESDEGG >ONIVA09G08480.1 pep chromosome:AWHD00000000:9:10631492:10632829:-1 gene:ONIVA09G08480 transcript:ONIVA09G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGTAALGWAARDASGHLSPFSFTRRVQEEDDVTIKVLYCGICHTDLHTIKNEWGNAMYPVVPGHEIVGVVAGVGAGVTRFKAGDTVGVGYFVDSCRACDSCGKGDENYCPTMVITSNGTDYGGATTQGGFSDVMVVRQDYVLRVPASLPPDGAAPLLCAGVTAMLDFAGEHGIAADVEVVAMGDVNAALGRLERNDVRYRFVIDVAGTLHAAAAAAAAPS >ONIVA09G08470.1 pep chromosome:AWHD00000000:9:10626693:10626977:1 gene:ONIVA09G08470 transcript:ONIVA09G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATAVKIAAIFMVALTIGQLMAEASSSSSPQPRRLLEVDDDDDGEVVEAELDEATLLADELATIVQGCRSICHRHPKSWRCRCCREQLPPCP >ONIVA09G08460.1 pep chromosome:AWHD00000000:9:10607035:10607292:1 gene:ONIVA09G08460 transcript:ONIVA09G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAVMRVIMAALAVAAIILSATLHEAAAARPVHGWNPQAEGAAAATTTQHGGFAAVQLAGSVPSCCTNGGGAGNCPPGVTCP >ONIVA09G08450.1 pep chromosome:AWHD00000000:9:10601027:10602483:1 gene:ONIVA09G08450 transcript:ONIVA09G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGGGNCNGGGAAGGRATATAALLVALLLVVAASQPHAGVAAARLLAPQPPALATAAAQSSSPLATSAAGSSKSCPSNCTNNPNNPSDRIEMFARSPASTPLVCNIAAGVPLPAGCNLRQLARIEALVLRRPRAPRLPRLFQELQQQRHGPVRPMGRLRGVLPARRRPHAAAPEAVAGEEAAPTKRRRLW >ONIVA09G08440.1 pep chromosome:AWHD00000000:9:10596579:10596812:-1 gene:ONIVA09G08440 transcript:ONIVA09G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKGAAALLVLLLVAASLNAGVAVAAARRLGEDGGGRRQQPPPLVSVSKASSGPSGCSNDPHISGRPCAPPKMP >ONIVA09G08430.1 pep chromosome:AWHD00000000:9:10590265:10590522:1 gene:ONIVA09G08430 transcript:ONIVA09G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAAAVMMVLVLLVTAAASLHAGDAAAAVAPPRRLLAGADAGGAVESPPPPPPLVSASETSAGASDCTHDPTKPSTGRCPPNAP >ONIVA09G08420.1 pep chromosome:AWHD00000000:9:10584109:10584327:1 gene:ONIVA09G08420 transcript:ONIVA09G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAAMVLVVLLVTAASLHATDGAAAAPRRLLGADGGGGDQSESKVKHKSGCTNNDNTPPSGVCPPNAPGP >ONIVA09G08410.1 pep chromosome:AWHD00000000:9:10581795:10582022:1 gene:ONIVA09G08410 transcript:ONIVA09G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGSSSATTAVMMMAVLLLVAAASDAAAAPRRLLGADGGGGGGSPALVSESKAASTCTYDPNTHSNGPPCPHN >ONIVA09G08400.1 pep chromosome:AWHD00000000:9:10574467:10575591:1 gene:ONIVA09G08400 transcript:ONIVA09G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLTDYRRVKQLDFGSKFLIRHSVHTLQVSQIDLKDKAKHEESKFQPNEFVRSSNDRNLASSVKLKLNKLLQAITRAKSQLYIREQKMKRGGSSSSSATAVTMTMVVLLLVVAAASLRAADAAAAAPRRLLGADGGGGGGSRALVSESKASAGASTCTHDPNTPPSGTPCPPHN >ONIVA09G08390.1 pep chromosome:AWHD00000000:9:10571821:10572154:-1 gene:ONIVA09G08390 transcript:ONIVA09G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKEELKETKAELADTKRVAYVAILKDAKRRAMEAERTKAKEAKDKEYVKNRIKLSWMSASALKLAGEAILSIFFGGP >ONIVA09G08380.1 pep chromosome:AWHD00000000:9:10557027:10558608:-1 gene:ONIVA09G08380 transcript:ONIVA09G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLLRSAGGVLRRSIHGAREQSSLFFFPAATVLAGRRQSVYKQAAFARPSLAVADSPRRLFSSSGCSGRTEPAEKLLSMDEFLEHRRQFGKNEEEDTFRDAEISEHERQEEKLLRKRLSSTVKKLSRCLHEKKPLILEIGVQIENNNRYDQVKYFLVLIPSFVSIGLILDKLHVFG >ONIVA09G08370.1 pep chromosome:AWHD00000000:9:10547490:10548593:-1 gene:ONIVA09G08370 transcript:ONIVA09G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLVLRSAARELRRRRSIFRPPRLAAPPVEPGSRLLTTDGAAKNTTPPSSSTPNATQFQLHRLEDALALRSEYAHCVETIKKLQVCRDEVIAYKRYLLKKKKESDLDYLLTLDQFSDTMEEWSSILRQTKEVLEAKNKESAEM >ONIVA09G08360.1 pep chromosome:AWHD00000000:9:10540072:10548664:1 gene:ONIVA09G08360 transcript:ONIVA09G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 73 kDa subunit-II [Source:Projected from Arabidopsis thaliana (AT2G01730) TAIR;Acc:AT2G01730] MRQPNQLATSLAAERASGLRAGGGGGGAARKVAERQLRRGIPAWSSAGGEGRSGGGAMDIECLEVGKSCVVVTFGGEKRVMFDCGMHMGHHDNRRYPDFDRSGTRRCAPRPPPPRSSSPSSPRAGQEVGKSCVVVTFGGGKRVMFDCGMHMGHRDSRRYPDFDRLLADGAADYTAAISCVVITHFHLDHIGALPYFTEVCGYHGPVYMTYPTKALAPLMLEDYRKVMVDHRGEEEQYSYEDILRCMRKVIPLDLKQTIQVDKDLSIRAYYAGHVLGAAMIYAKVGDAAIVYTGDYNMTPDRHLGAAQIDRLKSTYAKTVRDSKHAREREFLKAVHKCVSGGGKVLIPAFALGRAQELCILLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKIKNSYTVHNPFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEVFKKWAPSEKNLVTLPGYCVAGTIGHKLMSGKPTRIDIDKDTHIDVRCQIHQLSFSPHTDSKGIMDLTEFLSPSHVILVHGEKPQMAFLKERIESELGMQCCYPANNETVSIPTSQNLKINATEKFIASFCMDETENDPQKQNLNFGGDMPQGCRTEGVAEGVLLMEKSKTPKILREDELLHSLGMETHFVHFEPLHPSSIEVKHTGESAVQQSSLEHLDCE >ONIVA09G08360.2 pep chromosome:AWHD00000000:9:10540072:10548664:1 gene:ONIVA09G08360 transcript:ONIVA09G08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 73 kDa subunit-II [Source:Projected from Arabidopsis thaliana (AT2G01730) TAIR;Acc:AT2G01730] MRQPNQLATSLAAERASGLRAGGGGGGAARKVAERQLRRGIPAWSSAGGEGRSGGGAMDIECLVLGAGQEVGKSCVVVTFGGGKRVMFDCGMHMGHRDSRRYPDFDRLLADGAADYTAAISCVVITHFHLDHIGALPYFTEVCGYHGPVYMTYPTKALAPLMLEDYRKVMVDHRGEEEQYSYEDILRCMRKVIPLDLKQTIQVDKDLSIRAYYAGHVLGAAMIYAKVGDAAIVYTGDYNMTPDRHLGAAQIDRLKSTYAKTVRDSKHAREREFLKAVHKCVSGGGKVLIPAFALGRAQELCILLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKIKNSYTVHNPFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEVFKKWAPSEKNLVTLPGYCVAGTIGHKLMSGKPTRIDIDKDTHIDVRCQIHQLSFSPHTDSKGIMDLTEFLSPSHVILVHGEKPQMAFLKERIESELGMQCCYPANNETVSIPTSQNLKINATEKFIASFCMDETENDPQKQNLNFGGDMPQGCRTEGVAEGVLLMEKSKTPKILREDELLHSLGMETHFVHFEPLHPSSIEVKHTGESAVQQSSLEHLDCE >ONIVA09G08350.1 pep chromosome:AWHD00000000:9:10540053:10540250:-1 gene:ONIVA09G08350 transcript:ONIVA09G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLLPSPPALLHAGIPQPRLSCLSATFLAAPPPPPPARRPLALSAANEVASWLGCLMGFCGP >ONIVA09G08340.1 pep chromosome:AWHD00000000:9:10537385:10539813:-1 gene:ONIVA09G08340 transcript:ONIVA09G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbon-sulfur lyases [Source:Projected from Arabidopsis thaliana (AT5G16940) TAIR;Acc:AT5G16940] MSAAAAADVVHSGGCHCGRVRWRAEAPASVVVWICNCSDCAMRGNAHFVVPASKFTLAAGAGESLTTYTFGTHTAKHTFCRVCGITSFYTPRSNPDGVAVTAACVDPGTLAHVEYRHADGRNWEKWFSRSDISDFSKPKAPPPPPPNATRVGDLSFGV >ONIVA09G08330.1 pep chromosome:AWHD00000000:9:10535931:10536673:1 gene:ONIVA09G08330 transcript:ONIVA09G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVRDGDFFIVGRVFSLPSVSPFFGRTLFWSWGMLGGGRRLRLTVEVYGGWMAFRGWPRAHAGEILAVLLAGSTTTASVGVVSLLGGVVMALFHILTPGENLVPIFGRAAAASHVVSSLGASFRRSSNASMTADGPFRFKSFHILCSARLRLLGSASFLWWVTCSSSKLLADGGAATLGNDDMLQSLLRSSGVGRVKEVAPRWLG >ONIVA09G08320.1 pep chromosome:AWHD00000000:9:10530987:10532541:-1 gene:ONIVA09G08320 transcript:ONIVA09G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEEAPVLTIFSSTPEKLKVLSQGFLQQQEQEHLEDSTARQNENCNYAKGKWVADKKRPLYSGNECKQWLSKMWACRMMQRADFSYENFRWQPHGCQMPEFTGPNILKRYFTSIFLFVWISLRTGLILAFSEACRLRHKTLAFVGDSLGRQQFQSMMCIATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAYWFPGTNSTILFYWSASLSELELLNTTDSVTSYALHLDRPVTFLKKYLHSFDVLVLNTGHHWNRGKFNGNHWELYADGKPVGKGRLADLNRAKNLTLYSIARWVDSELVRYPQVKAFLRTISPRHFVNGDWNTGGSCGNTVPLSNGSEVLQDHSSDLPVESAVNGTRVKILDITAVSQLRDEGHISNSTFKGRASTGINDCLHWCLPGIPDMWNELLFAQI >ONIVA09G08310.1 pep chromosome:AWHD00000000:9:10525090:10529589:1 gene:ONIVA09G08310 transcript:ONIVA09G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELAAGGGGGGGGEGPLPSLGRRRIPRVVTASGIVPDLDYSDDDAASAASSSDHSSAHSHAPRERAIIVANQLPIRASRRGGGGGGWEFSWDEDSLLLQLRDSLRAHADRADDMEFVYVGGLRDDVPAAEHDEVAHHLLEGFRCVPTFLPADLRSRFYHGFCKQQLWPLFHYMLPLSPELGGRFDRALWQAYVSVNKIFADKILEVISPDEDYVWVHDYHLMILPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRSLLNADLIGFHTFDYARHFLSCCGRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLEQLRSVLNLPETGVKVAELLKQFCDQNRLMLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGRVVLVQIANPARGRGKDVKEVQDESYAMVRRINEAFGQPGYQPVILIDRPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYVIARQGNEKLDGILGLGPSARKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALEMPEGEKVLRHEKHHKYHLVSAYRRTTTRIILLDYDGTLMPQTSFGKSPSSKTIDMLNSLSRDQNNMVFLVSTKKRSTLEEWFSSCDNLGLAAEHGYFLRLKRDAEWETCVPVTDRSWKQIAEPVMKTYTETTDGSTIEDKETTIVWSYEDADPDFGSCQAKELHDHLESVLANEPVTVKAGLNHVEVKPQGVSKGLVAKRLLSIIRENSLLPDFVLCIGDDRSDEDMFEVITTAAQDNCLSPDAEVFACTVGRKPSKAKYYLDDPADIVRLIQGLANVSDEMHSTMPTPVDTADTALRCTYSKGCNKNAIKTHHPPSSSLRVFASKYQTFHRQIRLQSLAGMNPQPLEPEPLLLLAWCRCQMVGAYYPLVEALIISSSFFLFFRARAVLCPCTAAAHCCGALDWIRSLPFQLVW >ONIVA09G08310.2 pep chromosome:AWHD00000000:9:10525757:10529574:1 gene:ONIVA09G08310 transcript:ONIVA09G08310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELAAGGGGGGGGEGPLPSLGRRRIPRVVTASGIVPDLDYSDDDAASAASSSDHSSAHSHAPRERAIIVANQLPIRASRRGGGGGGWEFSWDEDSLLLQLRDSLRAHADRADDMEFVYVGGLRDDVPAAEHDEVAHHLLEGFRCVPTFLPADLRSRFYHGFCKQQLWPLFHYMLPLSPELGGRFDRALWQAYVSVNKIFADKILEVISPDEDYVWVHDYHLMILPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRSLLNADLIGFHTFDYARHFLSCCGRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLEQLRSVLNLPETGVKVAELLKQFCDQNRLMLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGRVVLVQIANPARGRGKDVKEVQDESYAMVRRINEAFGQPGYQPVILIDRPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYVIARQGNEKLDGILGLGPSARKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALEMPEGEKVLRHEKHHKYVSTHDVGYWANSFLQDLERTCLDHSRRRCWGIGFGLRFRVVALDPNFKKLAVEHLVSAYRRTTTRIILLDYDGTLMPQTSFGKSPSSKTIDMLNSLSRDQNNMVFLVSTKKRSTLEEWFSSCDNLGLAAEHGYFLRLKRDAEWETCVPVTDRSWKQIAEPVMKTYTETTDGSTIEDKETTIVWSYEDADPDFGSCQAKELHDHLESVLANEPVTVKAGLNHVEVKPQGVSKGLVAKRLLSIIRENSLLPDFVLCIGDDRSDEDMFEVITTAAQDNCLSPDAEVFACTVGRKPSKAKYYLDDPADIVRLIQGLANVSDEMHSTMPTPVDTADTALR >ONIVA09G08300.1 pep chromosome:AWHD00000000:9:10502155:10503041:1 gene:ONIVA09G08300 transcript:ONIVA09G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANLRSQRRQLTPLAPPSPTSAVTSLGRSLSPGLTPSLVRVWEGEEEPRMGGIEKKRGGEKQEEKKAGTRDVSFIDSVLFPFLPPPKKEKQRRKKAMASSSLLRSAGGALRRWIPRRLFPSTSYRVWFGRRQSGYEQAFAEETI >ONIVA09G08290.1 pep chromosome:AWHD00000000:9:10497704:10499365:1 gene:ONIVA09G08290 transcript:ONIVA09G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLLRSAGGALRRSIPRTQSGYNQAFPSPSSAAAPSLAAANPRRLSSSDCGGSSTDPNKKLNTRKLEKNKEVGTSSRDADISDEELRKRMSSTADKLSRYLHEQTHLIRDIEVQLQDSNRYDQVKYFLVLVPSFVCVGLILDKMHVFG >ONIVA09G08280.1 pep chromosome:AWHD00000000:9:10489931:10494046:-1 gene:ONIVA09G08280 transcript:ONIVA09G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPTASPAAAAGRAAIPSLRRWPAAAAGVRIVVAVAPVKARAPRRLRLCLAVPPPASEMAAAAAAAAEEEEEEEEEEEEGWSKAVELDAAARREMAIRRLQEEAGTGSSRREFAVFETARGDALFTQSWTPVAAADRVNGRYNHFAKLLNDHGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDVVLEENYGLPCFLFGHSTGGAIVLKAVLDPCVEVHVEGVILTSPAIHVQPSHPIIKVVAPIFSVLAPKYRVAALHRRGPPVSRDPEALKIKYADPLVYTGPIRVRTVNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPGASQRLYQSSASAHKSIKLYDGYLHDLLFEPERDDIAKDIINWLSSRLDVLQRW >ONIVA09G08270.1 pep chromosome:AWHD00000000:9:10482910:10485297:-1 gene:ONIVA09G08270 transcript:ONIVA09G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSDLDLEDWMDSTANSYDIYVLGFQEIVPLNARNVLGPRNSCISTKWNSLIGEALNKRRRRGAVLHQEITNSSATERSAQEEHFRCIMNKQMVGIFVSVWVRSNLRPYIHHLNVSCVGSGIMGYLGNKGSVSIRFVLHETSFCFVCCHLASGGKQGDVLLRNFDAADILVRTRFPGGATQELPKKILDHDQVVLLGDLNYRISLEEAETRLLVEDKNWSILLENDQLLIEFSTGRHFDGWQEGLITFSPTYKYHPNSDQYYWCFDGALGKKKRAPAWCDRILWRGKGLKQIQYDTCNYRLSDHRPVRAVFHAECVIRGDADCACGCIALSSSSE >ONIVA09G08270.2 pep chromosome:AWHD00000000:9:10482910:10485297:-1 gene:ONIVA09G08270 transcript:ONIVA09G08270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSDLDLEDWMDSTANSYDIYVLGFQEIVPLNARNVLGPRNSCISTKWNSLIGEALNKRRRRGAVLHQEITNSSATERSAQEEHFRCIMNKQMGSVSIRFVLHETSFCFVCCHLASGGKQGDVLLRNFDAADILVRTRFPGGATQELPKKILDHDQVVLLGDLNYRISLEEAETRLLVEDKNWSILLENDQLLIEFSTGRHFDGWQEGLITFSPTYKYHPNSDQYYWCFDGALGKKKRAPAWCDRILWRGKGLKQIQYDTCNYRLSDHRPVRAVFHAECVIRGDADCACGCIALSSSSE >ONIVA09G08270.3 pep chromosome:AWHD00000000:9:10482910:10485297:-1 gene:ONIVA09G08270 transcript:ONIVA09G08270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSDLDLEDWMDSTANSYDIYVLGFQEIVPLNARNVLGPRNSCISTKWNSLIGEALNKRRRRGAVLHQEITNSSATERSAQEEHFRCIMNKQMVGIFVSVWVRSNLRPYIHHLNVSCVGSGIMGYLGNKGSVSIRFVLHETSFCFVCCHLASGGKQGDVLLRNFDAADILVRTRFPGGATQELPKKILDHDQVVLLGDLNYRISLEEAETRLLVEDKNWSILLENDQLLIEFSTGRHFDGWQEGLITFSPTYKYHPNSDQYYWCFDGALGKKKRAPAWCDRILWRGKGLKQIQYDTCNYRLSDHRPVRAVFHAECVIRGDADCACGCIALSSSSE >ONIVA09G08260.1 pep chromosome:AWHD00000000:9:10459902:10464992:1 gene:ONIVA09G08260 transcript:ONIVA09G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPPPPHPAALLPLPLPLPFCLPAAPRVRGRGGTAVAALGALWPPRLVAVESRPPPPSSPASASAPPPLPESAAAGLDAGIGGGGGGDGGGGADLGWLRVFPHVLTASMANFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLGSSALVDNFGCKRTLQIDSIPLILGALLSAQADSLDEMLLGRFLVGIGIGINTVLVPLYVSEVAPTKYRGSLGTLCQIGTCLGIIAAFSLGIPSESDPHWWRTMLYAACVPGVLIVAGMQFAVESPRWLAKVGRIDDARNVVEHVWGPSEVEKSMEEIQSVVANDDSQASWSELLEEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGILASLYVGITNFAGAIVASILMDKQGRKKLLTGSYLGMALAMFLIVYAISFPLDEGVSHGLSITGTLLYIFTFAIGAGPVTGIIIPELSGARTRSKVMGFSFTVHWICNFLVGLYFLELAKKLGVGAVYAGFGGVSLLSALFAYNFIVETKGRSLEEIEMSLSPAAPGKRE >ONIVA09G08260.2 pep chromosome:AWHD00000000:9:10459902:10464992:1 gene:ONIVA09G08260 transcript:ONIVA09G08260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPPPPHPAALLPLPLPLPFCLPAAPRVRGRGGTAVAALGALWPPRLVAVESRPPPPSSPASASAPPPLPESAAAGLDAGIGGGGGGDGGGGADLGWLRVFPHVLTASMANFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLGSSALVDNFGCKRTLQIDSIPLILGALLRFLVGIGIGINTVLVPLYVSEVAPTKYRGSLGTLCQIGTCLGIIAAFSLGIPSESDPHWWRTMLYAACVPGVLIVAGMQFAVESPRWLAKVGRIDDARNVVEHVWGPSEVEKSMEEIQSVVANDDSQASWSELLEEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGILASLYVGITNFAGAIVASILMDKQGRKKLLTGSYLGMALAMFLIVYAISFPLDEGVSHGLSITGTLLYIFTFAIGAGPVTGIIIPELSGARTRSKVMGFSFTVHWICNFLVGLYFLELAKKLGVGAVYAGFGGVSLLSALFAYNFIVETKGRSLEEIEMSLSPAAPGKRE >ONIVA09G08250.1 pep chromosome:AWHD00000000:9:10418914:10424790:1 gene:ONIVA09G08250 transcript:ONIVA09G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IIY4] MASLTLPPAPTNPRQDAIDLHKAFKGRTVVVGVLDCQMLKTWTGRAEVGNGAAFKGSSGDWKAMLLWILDPAGRDATVLREALSGDTIDLRAATEIICSRTPSQLQIMKQTYHAKFGTYLEHDIGQRTSGDHQKLLLAYVGIPRYEGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRIFTERSWAHMASVASAYHHMYDRSLEKVVKSETSGNFELALLTILRCAENPAKYFAKVLRKSMKGMGTDDSTLIRVVVTRTEIDMQYIKAEYYKKYKKSLAEAIHSETSGNYRTFLLSLVGSH >ONIVA09G08240.1 pep chromosome:AWHD00000000:9:10411446:10411985:-1 gene:ONIVA09G08240 transcript:ONIVA09G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLHHQPLLRRTAIYTSSTSWIRRPCRGAEHVRRSRHRSLEVEKVDGAEGRKGAGGDERGEGDGRATEATIEVNGRPTMASKEAARAGEADGDGGGQRRRRGRRRRTATEEAEKAATTSDTDGDGGGQGGEVGGGIGGRYPHLRCEPKMRTNANRIKHPIPKLPLITYLPFKIKGLD >ONIVA09G08230.1 pep chromosome:AWHD00000000:9:10404572:10405926:-1 gene:ONIVA09G08230 transcript:ONIVA09G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IIY2] MVVVVAMPPALSLLVLLVLALHGGAGDATPPPPLRLVRGARRVAFDEGYTRMFGDGNLAVLRDGRRVRLTLDESTGAGFASQDVFLHGFFSAAVKLPAYYAAGVVVAFYLSNGDTYEKTHDEVDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYDLPFDPTDELHHYSILWTRRRIIFYVDETPIREVVRTAAMGAAFPAKPMSVYATIWDGSAWATLGGRYRVNYRYAPFVAEFADLVLHGCAVDPLAVEHSASCGDEEEEAAEAVVSSAAMAAFRRGHMSYSYCHDRRRYPVALSECALTGGAASLGRLFGPDGMKRRRARRARDASS >ONIVA09G08220.1 pep chromosome:AWHD00000000:9:10381677:10389240:1 gene:ONIVA09G08220 transcript:ONIVA09G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRELELMTSWSNSMGRHRYPTRILVDSFGHKYSASDKGVRTSCSIRAPLQGRGSFRRGANICFGSLPSSAAVATSGGGRGGGGVVVGGGGGDPWRRLDGSTASTELSLSPPPAQAAGGGGGGGGADALPWRHRPSPPSSAVATTSAAAAAALMAPMMLQPLDAGGGASAPPPPIRGIPIYNGPGGFPFLQPSPAAGDVGHHHHHHPKMGFYSSYHHPSTWPSTSPSPLAAPPGAASSPLDPTAAFLSSPHHRMLSAASGRLNGMLSVSDTLRSYGVPGAAAPGVIGGAHHHHHHLHGGQPFVGALASRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPADGGSGDEEFAGGGQAASGGGDSMCLRGGGGGGVAAAAFAEHGRSASEGAASSVGGGGGGDMDQSSAGNTSTTRWSNSSRDPWLSSNSCNMDAHRSVGLSSPIENLEPCRSSSSQVSNHELSSPSLEFTLGRPDWHGADHD >ONIVA09G08210.1 pep chromosome:AWHD00000000:9:10365892:10367835:1 gene:ONIVA09G08210 transcript:ONIVA09G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEFVQDEEKQRLLLDEHTEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANAPSALVLTAGLAEVAAGAISMGLGGYLAAKSDADHYHRELQREQEEIDTVPDTEAAEIADILSQYGLGPEEYGPVVNSLRSNPKAWLEFMMKFELGLEKPEPRRALMSAGTIALAYVVGGLVPLLPYMFVPTADRAMATSVVVTLAALLFFGYVKGRFTGNRPFISAFQTAVIGALASAAAFGMAKAVQSI >ONIVA09G08200.1 pep chromosome:AWHD00000000:9:10358563:10359182:-1 gene:ONIVA09G08200 transcript:ONIVA09G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSAWWNREASALPPSPSCMATESDLLRSWLTGSTGMDCKCYNLYRRRFFFTDRQRYHAKLVLIRKPMLACIGLDKLNYSSFSR >ONIVA09G08190.1 pep chromosome:AWHD00000000:9:10347659:10351076:1 gene:ONIVA09G08190 transcript:ONIVA09G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESSIAERENGSSAQGQVIWIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRAPIVPSDGGISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALS >ONIVA09G08190.2 pep chromosome:AWHD00000000:9:10348062:10351076:1 gene:ONIVA09G08190 transcript:ONIVA09G08190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESSIAERENGSSAQGQIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQVAGSSIYSSLSIYIDTVFYRFDGSMQRAPIVPSDGGISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALS >ONIVA09G08190.3 pep chromosome:AWHD00000000:9:10348975:10351076:1 gene:ONIVA09G08190 transcript:ONIVA09G08190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESSIAERENGSSAQGQIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRAPIVPSDGGISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALS >ONIVA09G08190.4 pep chromosome:AWHD00000000:9:10348975:10351076:1 gene:ONIVA09G08190 transcript:ONIVA09G08190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESSIAERENGSSAQGQIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQVAGSSIYSSLSIYIDTVFYRFDGSMQRAPIVPSDGGISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALS >ONIVA09G08180.1 pep chromosome:AWHD00000000:9:10336790:10341335:-1 gene:ONIVA09G08180 transcript:ONIVA09G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTLLHSTAVAIDLAAPFSEILCKESRGDSRASGSVCKTVACAKGGMREGIRMPAKGLSVFRRTSVTSTADCAESPRSV >ONIVA09G08170.1 pep chromosome:AWHD00000000:9:10336523:10339782:1 gene:ONIVA09G08170 transcript:ONIVA09G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVILHLSPRQKEAIEGSGLGNLLKIDNIHIDRNLCNEIARSYDKEKKAFNINEYLNLVDDVDKMRELNWSSLTLNQLLKGIIKFREKTTNIEGNVCLLQVVDDIRGTIHCNEIPDEKAHDNDSETRSNEDFQGTSEEDVEEEQNDPKEHVSNHNEESYINQNVNMTCETKDNSNQSNQSKKRLTGPTGRTYKPTNWTDFIYETRGKKKDIIRTQAQTKKTIVYIEKEDLTQQIIDKGPPKNALRGQKKTKTNGQTPLKNSEEVEVKRKKMKVLTQPAFLNDDVLDAYIQCLRYKEKGIRGDGKAFLEMAIKTGLLNVEGAHVKASEPRDKRWIRDMARDYLPFDMKEVKTFRQDLAGILINSELNNIKDRPLLPTTT >ONIVA09G08160.1 pep chromosome:AWHD00000000:9:10332331:10333579:-1 gene:ONIVA09G08160 transcript:ONIVA09G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSAANHPTGDSSAARSRASKTSKDDSTCTVSDDPVVTGVIDAFRSPPILQKTAVSGLQTQILLSLGDLSSQICETQKMLIKMAHDNTEFQENMNDRMTNVEHIQQLQLQQVTDITHGEQHFPRKRYIEVEYPSTIGKRVRGVNGRAVTYPYDNYEKKLAQRSLRRLSSILDKVDQLNDINICVCQAFWTK >ONIVA09G08140.1 pep chromosome:AWHD00000000:9:10294294:10305963:-1 gene:ONIVA09G08140 transcript:ONIVA09G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal recognition particle-related / SRP-related [Source:Projected from Arabidopsis thaliana (AT5G61970) TAIR;Acc:AT5G61970] MSKPADQPPPSDMEVDAAAEEKPLVRFSINVLELMREAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFLHGRGKYTKRNITESTVTDVRFLHIVFYMAERAWSHAMEKKTAGPNAQQRIYMLGRFRKAVKWATLFSHLCSLKGDSRTSLEAEAYASYMKGTLLFEQEKNIEAAMTNFKNTRAVYEELGKYGSIENQLLCRQRIEEVEPMIGFCSRKLGGSALQAHELLDLEKEGPAYDLFKAKIEAVLSETRSQQAASMTEFSWLGRRFPITNAKTRVSILKAQQLEKDLNGANTESVPADKKLGIFDKIFSAYHDARSCIRNDLASAGNAENIRDELNGLDKAVSAVLGFRTIERNQLLVSIAKSKFTKHRDEKNEKITKPEELVRLYDLLIQNTTDLTDLISSGRDKNKEENTFIQEYELKSLAFRAERCFYLAKSYSSAGKRAEAYALFCHARSITDSALQQLTNSPDKALVQDLKALSDSCRSNSFIEHATGIMEEENVPERLSKGVSTLSLGERKEAFLLDMLESYESALGESNTKTPCRIARFPPPFQSVPCNPIVLDMAYNAIEFPNIENRMKKEKKGLLSRFWG >ONIVA09G08140.2 pep chromosome:AWHD00000000:9:10294294:10305963:-1 gene:ONIVA09G08140 transcript:ONIVA09G08140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal recognition particle-related / SRP-related [Source:Projected from Arabidopsis thaliana (AT5G61970) TAIR;Acc:AT5G61970] MSKPADQPPPSDMEVDAAAEEKPLVRFSINVLELMREAQMQHGLRHGDYTRYRCALPDFLPDLSTISPLRPPVRYCTARLRRLYKSLKFLHGRGKYTKRNITESTVTDVRFLHIVFYMAERAWSHAMEKKTAGPNAQQRIYMLGRFRKAVKWATLFSHLCSLKGDSRTSLEAEAYASYMKGTLLFEQEKNIEAAMTNFKNTRAVYEELGKYGSIENQLLCRQRIEEVEPMIGFCSRKLGGSALQAHELLDLEKEGPAYDLFKAKIEAVLSETRSQQAASMTEFSWLGRRFPITNAKTRVSILKAQQLEKDLNGANTESVPADKKLGIFDKIFSAYHDARSCIRNDLASAGNAENIRDELNGLDKAVSAVLGFRTIERNQLLVSIAKSKFTKHRDEKNEKITKPEELVRLYDLLIQNTTDLTDLISSGRDKNKEENTFIQEYELKSLAFRAERCFYLAKSYSSAGKRAEAYALFCHARSITDSALQQLTNSPDKALVQDLKALSDSCRSNSFIEHATGIMEEENVPERLSKGVSTLSLGERKEAFLLDMLESYESALGESNTKTPCRIARFPPPFQSVPCNPIVLDMAYNAIEFPNIENRMKKEKKGLLSRFWG >ONIVA09G08130.1 pep chromosome:AWHD00000000:9:10278236:10280436:-1 gene:ONIVA09G08130 transcript:ONIVA09G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0IIW9] MALLRAAAAADLLRRHRRAPLPLLLSALSPPPPTTPESCPDGPSPVAPYFAPPPPPLCRRRRSWPPPPPPPRVSSSSYVGRGILLPATFSIYSPLSTSSSASPDGSPDEDSSPPHPSPAAAPPSSWVDRWLPQAARPYAMLARLDKPIGTWLLAWPCFWSISMAAMPGELPDMRMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGILTPTQGVGFLGLQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKESLDPAIILPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKHWISGFGVACIGSLALSGYSADLAWPYYPFLAAASAQLAWQISTVDLSDRLDCNRKFVSNKWFGALIFGGVLCGRLVS >ONIVA09G08120.1 pep chromosome:AWHD00000000:9:10267161:10269502:1 gene:ONIVA09G08120 transcript:ONIVA09G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYQMLAAILQALLIAQMIHGQSDKEVLVQLKNFLQVQNPINHGAYVSWSESEASPCHWKGVGCDDAGHVNSLDLSNSNIAGPLFRNFSRIMRLTHLNLSSNSITGELQDDLKQCQSLQHLNISNNLIGGILDLSSLTNLQTLDVSQNRFQGRIDRNFPGICGNLTFLSVSSNSFTGRIDKLFDGCPKLKHVDLSWNGFTGMVWPGIERLRQFKANNNNLAGRISPGMFTEGCKLRSLNIAINSLHGSFPSSIGNCSNMKFLSLWENSFYGSIPPGIGSIARLEELVLASNSFDGIIPMELTNCTNLKYLDISDNNFGGEVQDVFGKLTCMRSLLLQENNYTGGITSSGILQLPNLIVLDLCYNQFSGDLPSEISSMKNLKVLMLAENNFSGKIPPTYGQLLRLQVLDLSFNSLSGEIPPDIGNLSSLLLLILAGNQISGEIPREIGNCTSLVWLNLAGNQLMGQIPPEMANIGSNPSPTFMENRKNPELLESITSKCVAVEWLPSSYPEFNFVQSLMMSQKNCQTIWNRLAMGYDVLPISSPLRTALGYVQLSGNLLSGEIPSAIGTMKNFSLLLLDGNRLSGHLPAEIGHLQLVALNISSNFISGEIPSEIGHMVTLESLDLSSNNFSGALPSNLNQLTKLSRFNLSYNPLLSGNVPSSGQLSTFDEQSFLGDPLLSLNVTAGSSSDSSPREFSSSDTEEHPTNEEIMVTTIAFLAFFSVTLLTREFHIFMYLYFTASRKIANCRIICT >ONIVA09G08110.1 pep chromosome:AWHD00000000:9:10250506:10255243:1 gene:ONIVA09G08110 transcript:ONIVA09G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDCGAPPVLVGWAYGRGGVEEETETEEEEEEEDSSSDEMRTVAAMGSAPLLGTTVDEEDFSPPSTSGRGRGGRKQGRGRGGNSCHQCKRVKPRPEEMVRCQLCGDKVFCAACIKNKYPEMQQAEVRDECPFCRNICNCTRCNPSDKSDGPRNPFVRRCNSSSSVKRRVKTAASGLRCRVDTAALQAKAIDKLEANSRINNESAMLDKADTLDVRTDEVDTETKSKYANYMLHYLAPHLTKLNKDQMSEIEKEAKIQMTIAKLQSLICIEAVQAAPMNYASSVELREGKLMGSCKEELFSYPNRGPDYMHGGDGDSVPELINYRQGDLSSNQSKDIQWRVDSDKIYCPPTELGGCGNHILQLRRIFSKDWLSKLEMDAFQMCKQLEPSDIIGRDTCECSCSTDHASSRKAASREDSTDNYIYCPTLDNGKPEDLTHFQKHWVKGEPVIVQQVLKKMSCLSWEPPDMWSKVHGTGTSPEMKNVKAIDCLSCCEVEICTQDFFNGYYEGRMYQNLWPEMLKLKDWPTSNHFEELLPSHGVKYMNSLPFQPYTNLKSGLLNVSTLLPDDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDAVNVLMHTAEVDPSEEQIDAIKSLKRRHTAQNEKECSGNADGNYTSPKICGDANELSCPINSETNKGGALWDIFRREDVPKLKLYLDKHSKEFRHIYCSAVQKVCNPVHDETFYLTEEHKRKLKEEHGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENVKECLSLTEDFRRLPKNHRAKEDKLES >ONIVA09G08110.2 pep chromosome:AWHD00000000:9:10250506:10256438:1 gene:ONIVA09G08110 transcript:ONIVA09G08110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDCGAPPVLVGWAYGRGGVEEETETEEEEEEEDSSSDEMRTVAAMGSAPLLGTTVDEEDFSPPSTSGRGRGGRKQGRGRGGNSCHQCKRVKPRPEEMVRCQLCGDKVFCAACIKNKYPEMQQAEVRDECPFCRNICNCTRCNPSDKSDGPRNPFVRRCNSSSSVKRRVKTAASGLRCRVDTAALQAKAIDKLEANSRINNESAMLDKADTLDVRTDEVDTETKSKYANYMLHYLAPHLTKLNKDQMSEIEKEAKIQMTIAKLQSLICIEAVQAAPMNYASSVELREGKLMGSCKEELFSYPNRGPDYMHGGDGDSVPELINYRQGDLSSNQSKDIQWRVDSDKIYCPPTELGGCGNHILQLRRIFSKDWLSKLEMDAFQMCKQLEPSDIIGRDTCECSCSTDHASSRKAASREDSTDNYIYCPTLDNGKPEDLTHFQKHWVKGEPVIVQQVLKKMSCLSWEPPDMWSKVHGTGTSPEMKNVKAIDCLSCCEVEICTQDFFNGYYEGRMYQNLWPEMLKLKDWPTSNHFEELLPSHGVKYMNSLPFQPYTNLKSGLLNVSTLLPDDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDAVNVLMHTAEVDPSEEQIDAIKSLKRRHTAQNEKECSGNADGNYTSPKICGDANELSCPINSETNKGGALWDIFRREDVPKLKLYLDKHSKEFRHIYCSAVQKVCNPVHDETFYLTEEHKRKLKEEHGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENVKECLSLTEDFRRLPKNHRAKEDKLEESVHSFCYGRCIDEMITPLRKPVTKLSQREMGH >ONIVA09G08100.1 pep chromosome:AWHD00000000:9:10242246:10243776:1 gene:ONIVA09G08100 transcript:ONIVA09G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFHYNYYMPLEESDNEDNEESGDDDDVSDEDEFSETSDEDESTEETDDDRISEDNEIDVNGDDNTTDEAKEVNDTLLLYNISSKQLVAHDKLYDLKYHFYWITPQGWLLMLHRDSHEIFLWNPSTSQRISLPFDQDRFLRKNYTRCLLSHSPTDPNCIVLVLSLHNIIIWYCHIGGTQWSMHEYHARRFHRHRLTVIKSMSLFTAVGGKFYTTFGDHIVTL >ONIVA09G08090.1 pep chromosome:AWHD00000000:9:10241428:10241658:-1 gene:ONIVA09G08090 transcript:ONIVA09G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDWASLPLDVLAAILERLRWSSHPSVALTCRHWRSAVPPFYPAWITPLLLSTARVGAANLRYYSPYYQPLLPL >ONIVA09G08080.1 pep chromosome:AWHD00000000:9:10240506:10241327:-1 gene:ONIVA09G08080 transcript:ONIVA09G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLDADLVTGVVRKVPHAHKDMFNFIIYSDDAHRMFGIDAVLPLSVAYVNQNNDGDWEDWTLTEFDPTRPRLRASPITNPVIHGGLIYLLGEQGRLAVYDPCKHEEGFEILDKPMSFGFKHYDSHDIYMFESDQDELMVVLVGQRGAPVHVVKLNENTMEWDKVDSLQGRALFTGTHASMMKKVELEWMQNRVFLPMFYKWPETVHVDLVSCDGELAFVPKSSSNTDYSKAKNGGENSVDMWSYKLGQQEAAREFWGAEKVDYSIWIELH >ONIVA09G08070.1 pep chromosome:AWHD00000000:9:10209447:10217956:-1 gene:ONIVA09G08070 transcript:ONIVA09G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IIW0] MSRGRARLQPPPPGTRTTTLAAVLVLVLLAVVALPLRCDAVSAGGEEEEEQQPLDYREALEKSLLYFEAQRSGRLPYSQRVTWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIDFAADIAAAGEWRHALEAIKWGTDYFVKAHTHPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRVDRDNPGSDLAGETAAALAAASIVFRRSDPHYSHLLLHHAQQLFEFGDTYRGSYDSSIEEVRSYYASVSGYHDELLWAALWLHRATGKEEYLRYAVDNADSFGGVGWAITEFSWDVKYAGLQVLAAKACKFSVDHDIGFATELIDHWINQLRANLINQCLNLSSCCMQLLLDGDPQAAAHRGVLEKYREKAEHYLCACLGRNINGADNVDRSPGGMLYVRQWNNLQYASSAAFLLTAYSHYLSSSSASASAALRCPSGAAAAAEMVSLARSQADYILGRNPLRLSYMVGYGRRYPARVHHRGASIVSHKEDGRFIGCVQGFDDWFGRGRANPNVLAGAIVGGPSRRDEFRDDRANYMQTEACTYNTAPMVAVFARLHRLTTAITTAAAAEDPDGGSPDRRSVDRR >ONIVA09G08070.2 pep chromosome:AWHD00000000:9:10209447:10217956:-1 gene:ONIVA09G08070 transcript:ONIVA09G08070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IIW0] MSRGRARLQPPPPGTRTTTLAAVLVLVLLAVVALPLRCDAVSAGGEEEEEQQPLDYREALEKSLLYFEAQRSGRLPYSQRVTWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIDFAADIAAAGEWRHALEAIKWGTDYFVKAHTHPFVYWAERLTGGGHGGVQVGDGDTDHYCWQRPEDMTTSRQAYRVDRDNPGSDLAGETAAALAAASIVFRRSDPHYSHLLLHHAQQLFEFGDTYRGSYDSSIEEVRSYYASVSGYHDELLWAALWLHRATGKEEYLRYAVDNADSFGGVGWAITEFSWDVKYAGLQVLAAKLLLDGDPQAAAHRGVLEKYREKAEHYLCACLGRNINGADNVDRSPGGMLYVRQWNNLQYASSAAFLLTAYSHYLSSSSASASAALRCPSGAAAAAEMVSLARSQADYILGRNPLRLSYMVGYGRRYPARVHHRGASIVSHKEDGRFIGCVQGFDDWFGRGRANPNVLAGAIVGGPSRRDEFRDDRANYMQTEACTYNTAPMVAVFARLHRLTTAITTAAAAEDPDGGSPDRRSVDRR >ONIVA09G08070.3 pep chromosome:AWHD00000000:9:10209447:10217956:-1 gene:ONIVA09G08070 transcript:ONIVA09G08070.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IIW0] MSRGRARLQPPPPGTRTTTLAAVLVLVLLAVVALPLRCDAVSAGGEEEEEQQPLDYREALEKSLLYFEAQRSGRLPYSQRVTWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIDFAADIAAAGEWRHALEAIKWGTDYFVKAHTHPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRVDRDNPGSDLAGETAAALAAASIVFRRSDPHYSHLLLHHAQQLFEFGDTYRGSYDSSIEEVRSYYASVSGYHDELLWAALWLHRATGKEEYLRYAVDNADSFGGVGWAITEFSWDVKYAGLQVLAAKLLLDGDPQAAAHRGVLEKYREKAEHYLCACLGRNINGADNVDRSPGGMLYVRQWNNLQYASSAAFLLTAYSHYLSSSSASASAALRCPSGAAAAAEMVSLARSQADYILGRNPLRLSYMVGYGRRYPARVHHRGASIVSHKEDGRFIGCVQGFDDWFGRGRANPNVLAGAIVGGPSRRDEFRDDRANYMQTEACTYNTAPMVAVFARLHRLTTAITTAAAAEDPDGGSPDRRSVDRR >ONIVA09G08060.1 pep chromosome:AWHD00000000:9:10199965:10207185:-1 gene:ONIVA09G08060 transcript:ONIVA09G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNHAPKSLAVLLRARMHPDPVSPAPPPPAPTAAAAAAAPDPDPSAPPAAAAVRHWLHASVSSSASPALDRFSDGYRSLDRPGRREILRSLAADYDVPRARVRDLMRQYLSAAAAGGEEEEEEHPEAGGGGGSASAMYRMERGLREALRPKYAGFLEAMNAQPGGLKLLAVIRADLLALLGEENLPALRALDGYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEVCDQLTCFDLAAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALLKDTAASIQEVLWDDPPTPESEARCALFYSISSTQIFATLSPIPGFMQWLLAKLASQIKLAEAESQDGSLLEGTSSTFRESILFPEEERMIHDAVEHAGGKSGIELLQDILKSSQWVKSDKLSSALKSPLMRLCARYLAREKKRGKALDAVANFHLQNGANNALLFWQMIERINWMADQSEKGIQQSGGIMVNYMYRLENIEEYALSYLGTGLAHTSSNLLQYIEDLIQFLFAQGTLLDSSGLVPETNAEAIRVARSRGVQTIIATGKSRAAVIEVLGKNCLQEYFFRLAGCNSLALFYASRVWFVSLRRGGQKLYQKNLDIEVCREALLYSLEHRVALVAFSQDDCYTTFDDNPLVDFFLVYHELKKFVFLETPEAILSILRPHWARRVDGMAQVILIQAQSDVLEVVPLRTSKGNGVKILLESLCASPDEVMALGDGENDKEMLQLADLLVSCSPMAAR >ONIVA09G08050.1 pep chromosome:AWHD00000000:9:10194008:10200866:1 gene:ONIVA09G08050 transcript:ONIVA09G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELRREPWTVEKGVRRPPARQQRTRARMAASSDARRRTTSRSLVKPRTAIARAVGWNSLPGSAGHLANVHEGAGMFYQPKFKLSTFFVIWMNRLQEYFFRLAGCNSLALFYASRVWFVSLRRGGPKVISKEFGYEALLYSLEHRVALVDFSQNDCYTTLDDNPLADFFLVDHEPKVRRHGKMQKFVFLETREAISSMLRPHWARRIDGKAHVILIQAQSDVLEVVPLGTSKVNGVKILLESLWASPNEVMALGDGENDKEMLQLVGLLVSRSPTAAR >ONIVA09G08040.1 pep chromosome:AWHD00000000:9:10166738:10168992:-1 gene:ONIVA09G08040 transcript:ONIVA09G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IIV7] MECETGVNGSGPRGSDPLYWGKAAEGVAGSHLEEVKRMVVEYRAPLVKIDGAMLSVAKVAAVAGEAARVQVVLDESARPRLEASREWVFESTMNGTDTYGVTTGFGGAAHRRTKEFAALQKELIRYLNAGVFGASRSDGYTLPTEVTRAAMLVRINTLTQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRHNSAAVAPDGTKVDAAEAFRMAGIEHGFFALQPKEGLAIVNGTAVGSGLAAIVLFEANVLAVLAEVLSAVYCEVMAGNPEYTDHLIHALKHHPGQIEAAAIMEHILEGSSYMKKLAKDKEQGQGELDRLTKLKQDRYAIRTAPQWLGPQVEVIRFATKSIEREINSVNDNPVIDVARRKALHGGNFQGTPVGVSMDNTRLAIAAIGRLMFSQFSELVSSFYSNGLPSNLSGGRNPSLDYGFNGAEVAMASYCSELQFLANPVTNHVQTAEQHNQSVNSLGLISSRMTAEAVTILKLMSSTFLIALCQAVDLRQLEESIKAAVNKCVTNVAKKSLTLAMDDDDHLLALLGAAIDRVAVFTYAEDPCRSSLPLMQKLRAVLMEHALANNGDILLAKVAEFEQQLRAVLPDEVEAARAAVESGTAPNRISDCRSYPLYRFVRKELGAEYLTGEKTRSPGEEVDKVVIAMNQHKHIHPLLECLSEWKGAPLPLS >ONIVA09G08030.1 pep chromosome:AWHD00000000:9:10149230:10152897:-1 gene:ONIVA09G08030 transcript:ONIVA09G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRPLRALTLPLLRPHLSTAAAAEVSPADHHPDLLSPPFGYLPGHPRPDAKHDELILAVPRASPGRHVAAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLQVWSEHAGQGELIESVRVLPRKVHLHAGTDEPLNVTFMRAPSSALLKIDVPLMFIGDDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALKLLQSPEQPICSIIGSRAPEQKKSK >ONIVA09G08020.1 pep chromosome:AWHD00000000:9:10142603:10146138:1 gene:ONIVA09G08020 transcript:ONIVA09G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTAAVPTANTNGNHALSMDSHSSQDVRRRTVVVARKKASPELLADGGFNGTSSVDKITDKKDLSHTFRGESVLGKSKYPLEARKDAIASAAAADRRKKSGAKQEKAKWEIALSVLMKLCLLISAVAWMGQLFWRWQNGDLSFTTLDMESRLSKVEGFKKTTKMLQVQLDILDKKLGNEIDKTRRDITKQFEDKGNKLEIKMKALEGKTDKLDKSLAELRDMGFVSKKEFDEIVEQLKKKKGLDGTVGDISLDDIRLFAKEIVEMEIERHAADGLGMVDYALASGGGKVVKHSEAFRKAKSFMPSRNSLLEPAKKMLEPSFGQPGECFALQGSSGYVEIKLRTGIIPEAVSLEHVDKSVAYDRSSAPKDFQVSGWYEGPEDDSDKESRVVTNLGEFSYDLEKNNAQTFQLERTADSRVINMVRLDFSSNHGNSELTCIYRFRVHGREPGSP >ONIVA09G08010.1 pep chromosome:AWHD00000000:9:10135568:10136581:1 gene:ONIVA09G08010 transcript:ONIVA09G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDNNECPSSLDPKLAPLLLFGGDDDATFMYSVRTRALLPRRSTTTTTWTPRREPTGGGPRHRAGCSWRRAAVRPHRARRLSYGTLSPAAGSPCLPTTTHGTLLTHSCDRMCLLSRRRPTDPGCVVVVVDFDDTVLWYCRPGDLHGVVHHYLQPGTPHHEHRDCVGWAIGNLTAIDGKFYTDFTDHVAVLEFSPEPVFTVTAVDGDHGCPAGYTRLTGNLVESNGDLHHVFFSHPIGCSRIVARVSVYKLSVATQKQRSAWVKVDSLDGRVFFVGIDSLGVGASLDAKETGLKGNCIYYWGINGKVLNVYDMKRGTTVVINPGENLPPQVLMPTR >ONIVA09G08000.1 pep chromosome:AWHD00000000:9:10134129:10135087:-1 gene:ONIVA09G08000 transcript:ONIVA09G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERDWSSLPSDMLALVLERLGWSSHPRLRADVPALALRRVALLSSVDHPAPTQLRGCRRPNQHQGVLRQHDPGATAHPLDRTEFNVEAGTQLIPAARSATRCSTMACFDSHLFESDQGELMAVLVGYNGAPIHVTKLNETTMEWDKLETWEGRALFTGTYTTMMRKTKFKSMQNKVFLPKLYEWPETIHLTLLFVMVKQHLYQSHTHHLA >ONIVA09G07990.1 pep chromosome:AWHD00000000:9:10129664:10130461:1 gene:ONIVA09G07990 transcript:ONIVA09G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVSPKCLVFNVKNRQMLTNLNGHSVMFLRGLLVKVFLQELPVKVFVSFMVQYLCPVSTMQLFHANPFV >ONIVA09G07980.1 pep chromosome:AWHD00000000:9:10118238:10118531:1 gene:ONIVA09G07980 transcript:ONIVA09G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRGVGAVAAHQRGLDGGSAELACTLKRRRVEQRPSGWQRRRLRAPFSPWSVAVFSIISQWVLRVKTSSWLSRTDGGGAFGVVFFLGGVV >ONIVA09G07970.1 pep chromosome:AWHD00000000:9:10117369:10117917:1 gene:ONIVA09G07970 transcript:ONIVA09G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRPTPDGAPSPRVDGGPVRGGKADDAAWRRRIRCRRGRIQPPRDWIRRIHAGSGWSKPSGAYDRSGGGGGWRLEAGACGGRGGDGEAMVTEAAKDGARVGAAGGRRARRPRTAMRPEAAEAGARGGAAGGWRAWRRWRPAAEAAVAAAAEARPMTADGDVAQPATGDGCTVRRSGRGRW >ONIVA09G07950.1 pep chromosome:AWHD00000000:9:10090625:10091065:-1 gene:ONIVA09G07950 transcript:ONIVA09G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPVSPKPAPAALASVAQGVEDDEEKAEVDDGGGGGGYARTPDLGCPYYYAPPKKEVAAVAISNEDMRMMREREGIPELEEAETRGQRRRRTPRPPKQQKLCSEWPIKKRPKTCAG >ONIVA09G07940.1 pep chromosome:AWHD00000000:9:10018890:10024785:1 gene:ONIVA09G07940 transcript:ONIVA09G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQRQRDTSSEEELPREPWTVEKDVPAHGGEFQREPWVEKDDAASWTVEKDDVPLVNNIVAHGDPEGSSNSLARSGGHLANVHEGAGMFYQPNFKYIFCDMDGTLLDSSGLVPETNAEAIRVARSRGVQTIIATGKSRPAVIEVLGKVNLAGTGGIVSESSPGVFLQGLLVYGEGGQKLYQQNLDIEVCREALLYSLKHRVALVAFSQDDCYTTLDDHPLVDFFHVMYHEPKAKIISDVDHFLSTIDIQKFVFLETPEVISSVLRPHWARRVDGKAQVVQAQGDVLEVVPLGTSKGNGVKILLESLCASPDEVMALGDGENDKEMLQLAGLGVALCNGCEVTKVVADVIGASNDESGVAQAIYKYL >ONIVA09G07930.1 pep chromosome:AWHD00000000:9:9983985:9986080:1 gene:ONIVA09G07930 transcript:ONIVA09G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSEAHGISTSPLMKKVKVEICTQHFFNGYYEGRMYQNLWPEMLKLHDWPISNHFEELLPSHGVKYINSLPFQAYTNLKSGLLNVSTLLPDDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDALSRENYTSKICEDANDLACPIKSESAEEGALWDIFRREDVPKLKLYLDKHSKKFRHIYCSAVQKVCNPVHDETFCLTKEQKRKLKEEHGEFLYLLM >ONIVA09G07920.1 pep chromosome:AWHD00000000:9:9954551:9959965:-1 gene:ONIVA09G07920 transcript:ONIVA09G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPDLLTAFCGAVDTYDWVLEEAKHHDDGDFVVGWGLRGVAGCYCCCLLICSKPTNDTVAFAFLLFLLFWASQRHIGVSKDSVGDSARRDELRAAGPGRRTRAAAVIREWPIFGFVVEPFSPGHYTICSYVQVFSGWYTVMLISGHVLFYNKVQSHPIEEEGGRCDDENGIDFVLMMMSRWKMTIAYLLQVLALMPMDKVIPWAVKEMILDDLHPTGVIIALFTVMAMLFGRVNLRWGEVRSSNHKR >ONIVA09G07920.10 pep chromosome:AWHD00000000:9:9960466:9961117:-1 gene:ONIVA09G07920 transcript:ONIVA09G07920.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNISRCSSGSVKLGHGAKAHVPCSGWMVHRSTDVSMSSPVTLAHPSARRKSYIWASMS >ONIVA09G07920.2 pep chromosome:AWHD00000000:9:9955101:9959965:-1 gene:ONIVA09G07920 transcript:ONIVA09G07920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPDLLTAFCGAVDTYDWVLEEAKHHDDGDFVVGWGLRGVAGCYCCCLLICSKPTNDTVAFAFLLFLLFWASQRHIGVSKDSVGDSARRDELRAAGPGRRTRAAAVIREWPIFGFVVEPFSPGHYTICSYVQVFSGWYTVMLISGHVLFYNKVQSHPIEEEGGRCDDENGIDFVLMMMSRWKMTIAYLLQVLALMPMDKVIPWAVKEMILDDLHPTGVIIALFTVMAMLFGRHLEMVI >ONIVA09G07920.3 pep chromosome:AWHD00000000:9:9954551:9959965:-1 gene:ONIVA09G07920 transcript:ONIVA09G07920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPDLLTAFCGAVDTYDWVLEEAKHHDDGDFVVGWGLRGVAGCYCCCLLICSKPTNDTVAFAFLLFLLFWASQRHIGVSKDSVGDSARRDELRAAGPGRRTRAAAVIREWPIFGFVVEPFSPGHYTICSYVQVFSGWYTVMLISGHVLFYNKVQSHPIEEEGGRCDDENGIDFVLMMMSRWKMTIAYLLQVLALMPMDKVIPWAVKEMILDDLSSNHKR >ONIVA09G07920.4 pep chromosome:AWHD00000000:9:9955767:9959965:-1 gene:ONIVA09G07920 transcript:ONIVA09G07920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPDLLTAFCGAVDTYDWVLEEAKHHDDGDFVVGWGLRGVAGCYCCCLLICSKPTNDTVAFAFLLFLLFWASQRHIGVSKDSVGDSARRDELRAAGPGRRTRAAAVIREWPIFGFVVEPFSPGHYTICSYVQVFSGWYTVMLISGHVLFYNKVQSHPIEEEGGRCDDENGIDFVLMMMSRWKMTIAYLLQVLALMPMDKVIPWAVKEMILDDLCP >ONIVA09G07920.5 pep chromosome:AWHD00000000:9:9955783:9959965:-1 gene:ONIVA09G07920 transcript:ONIVA09G07920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPDLLTAFCGAVDTYDWVLEEAKHHDDGDFVVGWGLRGVAGCYCCCLLICSKPTNDTVAFAFLLFLLFWASQRHIGVSKDSVGDSARRDELRAAGPGRRTRAAAVIREWPIFGFVVEPFSPGHYTICSYVQVFSGWYTVMLISGHVLFYNKMEDDYCVSPPSPSLDANGQSDTVGCEGDDS >ONIVA09G07920.6 pep chromosome:AWHD00000000:9:9954547:9959965:-1 gene:ONIVA09G07920 transcript:ONIVA09G07920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPDLLTAFCGAVDTYDWVLEEAKHHDDGDFVVGWGLRGVAGCYCCCLLICSKPTNDTVAFAFLLFLLFWASQRHIGVSKDSVGDSARRDELRAAGPGRRTRAAAVIREWPIFGFVVEPFSPGHYTICSYVQVFSGWYTVMLISGHVLFYNKLEAATTKGN >ONIVA09G07920.7 pep chromosome:AWHD00000000:9:9955101:9959965:-1 gene:ONIVA09G07920 transcript:ONIVA09G07920.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPDLLTAFCGAVDTYDWVLEEAKHHDDGDFVVGWGLRGVAGCYCCCLLICSKPTNDTVAFAFLLFLLFWASQRHIGVSKDSVGDSARRDELRAAGPGRRTRAAAVIREWPIFGFVVEPFSPGHYTICSYVQVFSGWYTVMLISGHVLFYNKHLEMVI >ONIVA09G07920.8 pep chromosome:AWHD00000000:9:9955767:9961117:-1 gene:ONIVA09G07920 transcript:ONIVA09G07920.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNISRCSSGSVKLGHGAKAHVPCSGWMVHRSTDVSMSSPVFSGWYTVMLISGHVLFYNKVQSHPIEEEGGRCDDENGIDFVLMMMSRWKMTIAYLLQVLALMPMDKVIPWAVKEMILDDLCP >ONIVA09G07920.9 pep chromosome:AWHD00000000:9:9955101:9961117:-1 gene:ONIVA09G07920 transcript:ONIVA09G07920.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNISRCSSGSVKLGHGAKAHVPCSGWMVHRSTDVSMSSPVFSGWYTVMLISGHVLFYNKHLEMVI >ONIVA09G07910.1 pep chromosome:AWHD00000000:9:9950783:9951571:-1 gene:ONIVA09G07910 transcript:ONIVA09G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGDTALAVGLEAARWPRWRRPRRRKPQGGVAVASTVEASRRRHGGLGSGGPRGSAERGAAAAMEVNGDQIRVLFLSLPFAGGSARWQWWRSPRQHGDIPGDALVAANY >ONIVA09G07900.1 pep chromosome:AWHD00000000:9:9943511:9946214:1 gene:ONIVA09G07900 transcript:ONIVA09G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSMVQSYLNYTLKGAPLHDLSYMSSTE >ONIVA09G07890.1 pep chromosome:AWHD00000000:9:9933511:9937103:-1 gene:ONIVA09G07890 transcript:ONIVA09G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IIT4] MEDPPPPPLPPQRLRCAVQHYEWGRRGAASIVARLADQQDPDLARPYAELWMGTHPSGPSTLLDGDGDLMLLRDWLARTPDALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKKRAEALHALRPSVYKDDNHKPEMAIAITEFRALYGFAAIQELKDVLRTVPEVEGLIGHEHAAKLMSFKEYDAGNQVKSTLQSAFANLMLASKDMVSEALSKLISRLNIESKIRTLTDKEELVLSLERQYQEDVGVLAALFFNYVKLSPGEALHIGANEPHAYLSGECIECMATSDNVVRAGLTPKYKDIQTLCSMLTYKQAFPEILQGVPVQPHVRRYSPPFDEFEVDWCLVPADEVVTISSVPGPSVFLVITGEGELHAESLSGGKKEAKEGDVFFVPAYTEINLSTHKSMQLYRAGVNSRFFS >ONIVA09G07880.1 pep chromosome:AWHD00000000:9:9884052:9886247:-1 gene:ONIVA09G07880 transcript:ONIVA09G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQVWYYHGYLEMISFCFAYVRSQRNILFLQIRISLLLHGLCDLISMFFDSWFFIRYMILPCSKHGIQGKPFGGILDSWVSCMEEARFFGFYRSSS >ONIVA09G07870.1 pep chromosome:AWHD00000000:9:9876612:9880033:-1 gene:ONIVA09G07870 transcript:ONIVA09G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPPPCRPLILFFPQVTASPAHSLLSCPPPPGTRAAAVAASLSCGRHPLALAAASANEEAGTTGSGDSGLLAGRSGHSEARGSRPAVAAARSLRSAVAAVRSARYAVAIARSKGMPSMPAFLAAPSLPPALLATPAPAPARRGGRGCRIRQPRCPRRPIWSPGVDGKRYHSRLIGTVSSTRKVPLSSTRFGGGQKGIVTIERNNVWIRISVSTSRQRIQHQPSTSHDASMGLNSSSVLITREDNEIKIRNHLFGCIKLTSLSCSKILCSNQCLNYRLADWAQELGIFIELSFYFIAYISPHTMETVIQFHLYHYT >ONIVA09G07870.2 pep chromosome:AWHD00000000:9:9876612:9880033:-1 gene:ONIVA09G07870 transcript:ONIVA09G07870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPPPCRPLILFFPQVTASPAHSLLSCPPPPGTRAAAVAASLSCGRHPLALAAASANEEAGTTGSGDSGLLAGRSGHSEARGSRPAVAAARSLRSAVAAVRSARYAVAIARSKGMPSMPAFLAAPSLPPALLATPAPAPARRGGRGCRIRQPRCPRRPIWSPGVDGKRYHSRLIGSNEGAVSSTRKVPLSSTRFGGGQKGIVTIERNNVWIRISVSTSRQRIQHQPSTSHDASMGLNSSSVLITREDNEIKIRNHLFGCIKLTSLSCSKILCSNQCLNYRLADWAQELGIFIELSFYFIAYISPHTMETVIQFHLYHYT >ONIVA09G07860.1 pep chromosome:AWHD00000000:9:9871927:9873941:1 gene:ONIVA09G07860 transcript:ONIVA09G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATASMLQARDWSSDSSLPTDVLVLILERMRWSTHPSVVLVCQQWRSARLFGIELTFTIEIASSIRNNSGEWEDWKLAENHLDWSRFRASPVTNPVIAVYDPCRHDEGFKILDKLNSFGFKGEDSYLLESNQGELMVVLIERHGKMVHVVKLNEQRMEWEKYSLHGQTVFTGSQTTMMKKTKFNWMEIKVFFLRYVSRYICYDRLGHGATIEYWGTERADYKGIAIFSRREKAAPNPCAPPEGGRARGWSGGCLPCQGLGRPNPLHALSRVCGLGGCSQRPPTTFIKAASARHIAFNATCRRTNRPLAAGMTDVTVARETCARTYC >ONIVA09G07850.1 pep chromosome:AWHD00000000:9:9858944:9859669:-1 gene:ONIVA09G07850 transcript:ONIVA09G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGCTASTRPWRYSSPARRKMATASGGLGTEWEFNVEAGTQLIPAAPISNPVLHDGLLYVLGGDGKLAVYDPCNHADNFRLLGKPDNFGIDHQEVDSHLFESDQGELMAVLVGYNGAPVHVAKLNEATMEWDKLETLEGRALFTGTYTTMMRKTRFKLMQNKVFLPRLYEWPETVHVDLIVRDGEAAFVPKSYSPSSIKKITSSMNIWSYGIGRQEEEAREFWGLERVDYSIWVDFSTS >ONIVA09G07840.1 pep chromosome:AWHD00000000:9:9849264:9855883:-1 gene:ONIVA09G07840 transcript:ONIVA09G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G38880) UniProtKB/Swiss-Prot;Acc:Q9FMB4] MPASSVSGGSGPGGVSPEAIIEWLQDEMGYPSAPPAPDQLRKICRGNMLPVWSFLLRRVRSERTVSTARKNILVHGVAARRAREGGAGAGAGAGGDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQVMLEAYEQQCDEACKIFAEYQRRLHQFVNQARDVRRSSIGAGGPADAVEDMQLQSEREELYSTVKSNRLSDDLVETSRERSIRKACETLAGDMIEMIRSSFPAFEGSGINSSSQLDAAKLGIDLDGEIPQDVKAVALDSLKNPSLLLQSIITYTSRMKILIHKETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSELSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKAKSQKLLQRLHGTSDAAGSKKMPTGNTSQNMTNSRHLELDVWAKEREVAGLKASLNTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYNALLRANMEASTFWEQQPLSARGYASRTIIPACNAVVDMSTNSRDLIERELSAFGQSLDNSLCRLPATPQALLEALGSNGATGSEAVAAAEKHAALLTARAGARDPSAVPSICRISTALQYNSGKFTAVVIVVSSRKKMVVSSSWLGYEALSQVVSPGTEGTDSGLASVLNSLEFCLKPCGSEASILEDLSKAINLVHTRRNLVENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKMVSERWLPELRNAVQEAQRCFEDCRRVRGLVDEWYEQPAATVVDWVTIDGQSVGAWINLATVFTVAQRSTLMLVKLCFYRTDGNDSCRNVFLGDI >ONIVA09G07830.1 pep chromosome:AWHD00000000:9:9845398:9848898:1 gene:ONIVA09G07830 transcript:ONIVA09G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKKDVESYTIKGTTKIVRVGDCVLMRASDTEKAPYVGRVERLETDGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDTQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKLDHFLCADCVKENGTKRPSNSYPASSNSDSKVEPKKRKR >ONIVA09G07820.1 pep chromosome:AWHD00000000:9:9838661:9842058:-1 gene:ONIVA09G07820 transcript:ONIVA09G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome family protein [Source:Projected from Arabidopsis thaliana (AT2G19560) TAIR;Acc:AT2G19560] MAAYLSMGEAHRRIADYLSRVADSVSSSDGAALASLLAVSSAQAPAPLSDALSAFPDFPRLAADRYPHLSDLLPPLLRAIHSHSLRRFADAYSSFEKAANAFLQEFRNWETPWAMEAMHTVALEIRLLAEKADRELATSGKNPDKLQSAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVHLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALVHCNPQYESNLRRILKFLIPVKLSIGVLPRITLMERYNLLEYADVVTSLKRGDLRLLRQALERHEDQFLKSGVYLVLEKLELQVYQRLVKKIHIIQRQKEPAKAHQIKLEVVVKALKWLEIDMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPV >ONIVA09G07810.1 pep chromosome:AWHD00000000:9:9834258:9835233:-1 gene:ONIVA09G07810 transcript:ONIVA09G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCWVDQLGIWEIGSMHSCVLCVCSKNVGSWACIKMRPLFAIGSIEQKNMRGVLEYSTKEKENMWSQMGTFCW >ONIVA09G07800.1 pep chromosome:AWHD00000000:9:9828634:9830283:-1 gene:ONIVA09G07800 transcript:ONIVA09G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IIS4] MGAGRPRRWKLPFHRSAPSSPSSPPEPEPHSPARSAVVVVVAEEEAPPAEFVCSILGALMADPVILPSGQTYERACLQACAELAFLPPGMGSASDAVIPNAALKAAIGTWCARSGRVVPAPPSAEAAREAVLRAMPPDAAKSVRTRPRAALASSSNSSYSSPASAASTSSYTSSSEIIPAEDEVGVKPVKEGINKDAVREQVEMAVDPLEDVVVAKVMDAEEEEEVVLAVAGLREATRESAERRRALCTPRMLAALRRVLLIPRHASARVDATAALVNLTLEPANKVRIVRAGAVPPLVEVLRSSTSPPEAREHAAGALFGLALNEDNRAAIGVLGAVPPLLDLLTSPAHAAPARRDAGMALYHLSLAAVNQSKIARFPGAPKALLAVASSAAERMPIRRLALMVVCNVAACTEGRAALMDAGAVAAVTAILSHDTRSAELDEWCVAAMYAMSRGSLRFRGLARAAGADAALRRVAEECAPGVVRRDMARKTLRAMRNEADDAADLTGSSLECGDGDDCAGSIVSDGLMSFRRRQRELGSSSCGNTAEF >ONIVA09G07790.1 pep chromosome:AWHD00000000:9:9821405:9821959:-1 gene:ONIVA09G07790 transcript:ONIVA09G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (AN1-like) family protein [Source:Projected from Arabidopsis thaliana (AT3G28210) TAIR;Acc:AT3G28210] MARRGTEAFPDLGAQCDREDCNQLDFLPFDCDGCGRTFCAEHRTYRDHGCARAADQGRTVVVCEACGDAIERRAGDGGGDDAAVLEAHARSRRCDPARKRKPRCPVPRCKETLTFSNTSGCKGCGQKVCLKHRFPADHACAGAASKAAGAAAAARSAGQCGRDAQKKEGGGWKLPQSVRNMKIF >ONIVA09G07780.1 pep chromosome:AWHD00000000:9:9809631:9816142:-1 gene:ONIVA09G07780 transcript:ONIVA09G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAELTHVGGEGINLLLQFGIPLRGRASGSKRTAMGEAAADRALSHLHDVREFIRNSVSTHVNELVSIFQGFDRTMSLVVLD >ONIVA09G07780.2 pep chromosome:AWHD00000000:9:9809631:9814169:-1 gene:ONIVA09G07780 transcript:ONIVA09G07780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARDVKAAATRASGSKRTAMGEAAADRALSHLHDVREFIRNSVSTHVNELVSIFQGFDRTMSLVVLD >ONIVA09G07770.1 pep chromosome:AWHD00000000:9:9801679:9805103:-1 gene:ONIVA09G07770 transcript:ONIVA09G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPAQKNRGLEHRRPATTWSGGPLLCCYQSDNRGLGVRHTFGYQTGQEDIRLGLAAWHRTSTGILDLYSLRPKKKTNPGFPCQRGREYDAMTNNAWIEDIRQGLTIQLIQEYVCVWKVLHREEIVFQEGTEDTIHWLWTATGSAQLNYSMQFTGRTKSESADLFWHEIGQKIRPQHFLNVTSDHLRINLWHEQTGQSDKLHKKGLCARLFYWHYGKFLRIH >ONIVA09G07760.1 pep chromosome:AWHD00000000:9:9798182:9799427:-1 gene:ONIVA09G07760 transcript:ONIVA09G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHSIGGCKEDQEHLALLDPKFAPVLLLVAYYINDTDDEDNTEDEYCPIDGEDEELDHEDENSHDEDTVDNNKDDCDLGDKDDDHTCERDYDGSDDAGTEESDDSDDQEEDDTLFFYSIAKRELLSKRVDEFGSHLYWITAQGWLLMVHLESYEIFLWSPITNQKINLPFDEDNFLANNNVVKCFLSHKPSDPNCIVLVVNCRDTMFWYCHPKGDVWFKHEYQSSMISTGEDREDVIATAILTLEFLPKPTFTTTPVKDAPDPSYWCKFSTCFLLESGGELFTLSFKHPIECVDKVMQIEVHKLNLSERIWMKVSTIDNKAFLVDRTGFGASLNAEDVGLKRNCIYFVRPKDKGLYVYNMERGITTIHNPGEDLPDNIALEIVMPPS >ONIVA09G07750.1 pep chromosome:AWHD00000000:9:9789637:9790860:1 gene:ONIVA09G07750 transcript:ONIVA09G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHSIGGCKEDQEHLALLDPKFAPVLLLVAYYINDTDDEDNTEDEYCPIDGEDEELDHEDENSHDEDTVDNNKDDCDLGDKDDDHTCERDYDGSDDAGTEESDDSDDQEEDDTLFFYSIAKRELLSKRVDEFGSHLYWITAQGWLLMVHLESYEIFLWSPITNQKINLPFDEDNFLANNNVVKCFLSHKPSDPNCIVLVVNCRDTMFWYCHPKGDVWFKHEYQSSMISTGEDREDVIATVKHLTAVGGRFHAYLNNDKAILTLEFLPKPTFTTTPVKDAPDPSYWCKFSTCFLLESGGELFTLSFKHPIECVDKVMQIEVHKLNLSERIWMKVSTIDNKAFLVDRTGFGASLNAEDVGLKRNCIYFVRPKDKGLYVYNMERGTTTIHNPGEDLPDNIALEIVMPPS >ONIVA09G07740.1 pep chromosome:AWHD00000000:9:9779352:9781439:-1 gene:ONIVA09G07740 transcript:ONIVA09G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATASTSQPRDWSSLPTDVLLLILGTLRWSSHPSVALVCQQWRYAVSLSPFYPVWITPLLLNTTDVGTTNIRYYSPYCDKNFEVDDTLKVPGAKICCSTGRHLKMRVDKSSVFDINLVSGVLVEVLPQSPYALFNFVVSDHDERLFGIEAMFTIEVASAIRTNSDEWEDWNLAENSPDWSQLQASPGTNPVLHNSLLYLLAQDGRLAVYDPCRHHEGFKILDKPNSFGFKCEDSYLLESNQGELMVVAIERRGKKVHLVKLNEQSMEWEKVDSLHSQTVFTGSLTTMMKKTKFNWMQNMIFLPRFYQWPETVHVDLVARDGELAFVPKLPFCADMYLDTCGTNIWSYELAHEAATKEFWGTERADYSIWVDFGVIDCTYNPHYLEK >ONIVA09G07730.1 pep chromosome:AWHD00000000:9:9766965:9768089:-1 gene:ONIVA09G07730 transcript:ONIVA09G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSQARGWSSLPTDVLVLILGSLRWSSHPSVALVCRHWRSAASLCPFYPAWITPLLLNTAEVGTANIRYYSPYYDKNFEVDDTLKVSGAKICCSTGRHLKMCADKALVYDIDLVTGALVEVLPQEPYMLFNFVVSDRDDERLFGVKATITIKVASSIRHTSDEPDEGFEILNKPNGFGFKCEDSYLVESNKGELMVVLIGRRGKVVHVVKLNDQTMEWEEVESLQGQTIFTGSLTTMMKRSKFKWMQNMIFLPRFYKWPETVHVDLVAHDGQLAFVPKLPFCADTYLETCGSNIWSYELAYGAATKEYWGTERADYSIWVDFGDN >ONIVA09G07720.1 pep chromosome:AWHD00000000:9:9754899:9756140:-1 gene:ONIVA09G07720 transcript:ONIVA09G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHSMEISKEGQEYLALLDPNIVPVLLFVDYNVDDSEYNTDGEDYSIDDEGEELDHASNNSLDEDINEDAEDEEDGKLGEVDDRCEEDNEGSEEDVGTDEVTDNDDQEEDDTVFFYSITKRQLMSERVEEFNTHFYWTTPQGWLLMVHPESHKVFLWSPFIDQRINLPFDEDETLYFGTAILKDIHGSSMSINQDVIKTMKLLTAINGRFYTYLCNDKAIVTLEFLPKPTFRTTPVEDAPNPSYWSIYTSYFLESCGDLFMLSYKHPVLCAQKVSQIEVHKLDLSRRIWVKVSTIGNMAFFVDSTDSGVSASLNAEDVGLKRNCIYYVRPKDKGLYIYDIERGTTSVHNPGVDLADYLTPDIMMTPLS >ONIVA09G07700.1 pep chromosome:AWHD00000000:9:9749977:9750174:1 gene:ONIVA09G07700 transcript:ONIVA09G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQPAASTLVGIEPRPAHVADAVVGDRGGADEEQSPGIVGMTQSPGIVDYRIDDPTVQISVFWK >ONIVA09G07690.1 pep chromosome:AWHD00000000:9:9742763:9747351:1 gene:ONIVA09G07690 transcript:ONIVA09G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G59990) TAIR;Acc:AT1G59990] MALHHLRHAPLALRLARLPRLAPSPPPPPAARRRLLLLLAPSQHPAPPWRLLSRPRALATAAAEADDAGAGGDGFFSEESTSWESLGVSDRLASALHGAGLARPSLVQAACIPHVLTTNDVIVAAETGSGKTHGYLVPLIEKLCSKSISAEDGNSQDITSGSPNIALVLCPNVMLCEQVVRMANSLIDEYGEPLKSAAAVCGPKGWPTVRPDILVATPAALLNYLFDYDPEKRRRERFLRNVKFIVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRMEDSGKEISLGDTNEYREDSDSESAELSAADEENEDGLVQHRPVNAENAHIGAHKKDWRRVRKVYRRSKQYVFVAATLPQSGKKTAGGVLKRMFPNAVWVSGAHLHRHNPRLEQRWIEVTADTQVSALLDAVKYGLKSEVHDTKLGPNRTMVFTNTVDAANSVSNILQRVGVPCILYHRDSSLEERAKNLQSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTEANRDLVRAVRQAEELAQPVEKAFSRKRSFRNKLKKQALHESTALLS >ONIVA09G07680.1 pep chromosome:AWHD00000000:9:9733182:9740460:1 gene:ONIVA09G07680 transcript:ONIVA09G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGRVGGHFRHFAAPQTPKIQNPRSREPPMRRDDAGGGGFGDLFDSVRRSIAFRTSTAPETPGPLGGGGGIGVRISSCLRKSRGMGLLGLISKSPSPPRRLLPPAPEFSGGGGGGGRGGGGGEESPQIRWRKGELIGSGAFGQVYLGMNLDTGELLAVKQVLIGSNNATREKAQAHIRELEEEVKLLKNLSHPNIVRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEAGANILVDNKGCIKLADFGASKQVAKLATITAAKTMKGTPHWMAPEVIVGSGHNFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLKHPFVTGESENLQPLNCAAQQETCVNELPAHDVSSGLGLNHSVNWPTISSNRSSKIKPLWEGSCDEDDMCEFADKDDCPAVGSSYNPMSEPFDNWESKFDASPEQTSHQSMEFGGLAKHAESSMTENDFTFPCEGSCEDDDVLTESKIKAFLDEKALDLKKLQTPLYEEFYNTVNAGNSQVADHTSNGIFSNSPKLPPRGKSPTSKMRGGAAAASTCDNSNNTRPESCSNQLSEDTVQSSRILREIASPQLDELGNEIHSDVQDSPRPTCFARTDAPNSDDASKKNDLAERAHHLQSSTLEGRALFTGTFTTMLMRKTKFKWMRNKIYLPRLYDWPETIHVDLVTKDGETTFVPKLQGANTMEDTYGIHLCSYELGQQQEAREFWGTERVEYSIWVDFGGNYV >ONIVA09G07670.1 pep chromosome:AWHD00000000:9:9717066:9719124:1 gene:ONIVA09G07670 transcript:ONIVA09G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRNRHHPYPKALLRKKKAGNKEGEMEWNCKNCGRIKIKSLREGDVQPPSPGSQGAPAQRLDQGQVGMESFGTNVAGNIDKQRAETSTCNIVQMD >ONIVA09G07660.1 pep chromosome:AWHD00000000:9:9710552:9711224:-1 gene:ONIVA09G07660 transcript:ONIVA09G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLTTIYSVDHLQKHFLVVALEFSPVDGAAPQFTAVATNDTEHTPAGHSRTVFRAVESDGELFLVAMYYVKPRDRVASKILVLKLDLLKRARVEVMSTLGERSFFLAASLKFGASVRARQVGLKENCIYYLKPDDKGLKDCMFIIGNAIHTTVTYDSCPTSS >ONIVA09G07650.1 pep chromosome:AWHD00000000:9:9699804:9702136:-1 gene:ONIVA09G07650 transcript:ONIVA09G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAFLSALRSRLRSPQPQAPALPHLQPPRRGFHVELGAREKALLEEDTALKRFKSYKNSVKQVSKVGNILTGVVLFACAYEIVALANS >ONIVA09G07640.1 pep chromosome:AWHD00000000:9:9697165:9698951:-1 gene:ONIVA09G07640 transcript:ONIVA09G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLWAAAVAPATLNPPLLTLSASSSPSSSRLRRSVLGRLRSRAPRPADFVCRRAKNAAYDDYKFPDPIPEFAAQETSKFKEHMMWRLEQKKDDYFGEHVEEIVDVCTEARTFLEHDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDKDWKAWTGEY >ONIVA09G07630.1 pep chromosome:AWHD00000000:9:9690762:9693501:-1 gene:ONIVA09G07630 transcript:ONIVA09G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDDDASYLLCAEDAGAAVFDVAVDISTCTTEDDECCSVGGEELYSAASIAELIGGEAEYSPRSDYPDRLRSRSIDPAARAESVSWILKVQEYYGFLPLTAYLAVNYMDRFLSLRHLPEGQGWAMQLLAVACLSLAAKMEETLVECSRYVFEPRTICRMEFLILTALNWRLRSVTPFTFIDFFACKVDPKGKHTRYLIARATEMVLATIHDIQFLDHCPSSMAAAAVLCATGETPSLAFVNPELAVNWCIGLAEEGISSCYQLMQQLVIGNVQRSAAAAAAVNLFSDEGLSYDSSSPPPPKRRKRSPPGT >ONIVA09G07630.2 pep chromosome:AWHD00000000:9:9690764:9693501:-1 gene:ONIVA09G07630 transcript:ONIVA09G07630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDDDASYLLCAEDAGAAVFDVAVDISTCTTEDDECCSVGGEELYSAASIAELIGGEAEYSPRSDYPDRLRSRSIDPAARAESVSWILKVQEYYGFLPLTAYLAVNYMDRFLSLRHLPEGQGWAMQLLAVACLSLAAKMEETLVECSRYVFEPRTICRMEFLILTALNWRLRSVTPFTFIDFFACKVDPKGKHTRYLIARATEMVLATIHDIQFLDHCPSSMAAAAVLCATGETPSLAFVNPELAVNWCIGLAEEGISSCYQLMQQLVIGNVQRSAAAAAAVNLFSDEGLSYDSSSPPPPKRRKRSPPGT >ONIVA09G07630.3 pep chromosome:AWHD00000000:9:9690764:9693501:-1 gene:ONIVA09G07630 transcript:ONIVA09G07630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDDDASYLLCAEDAGAAVFDVAVDISTCTTEDDECCSVGGEELYSAASIAELIGGEAEYSPRSDYPDRLRSRSIDPAARAESVSWILKVQEYYGFLPLTAYLAVNYMDRFLSLRHLPEGQGWAMQLLAVACLSLAAKMEETLVECSRYVFEPRTICRMEFLILTALNWRLRSVTPFTFIDFFACKVDPKGKHTRYLIARATEMMFLLGDHVLQHISNAMVQNANSDIQFLDHCPSSMAAAAVLCATGETPSLAFVNPELAVNWCIGLAEEGISSCYQLMQQLVIGNVQRSAAAAAAVNLFSDEGLSYDSSSPPPPKRRKRSPPGT >ONIVA09G07620.1 pep chromosome:AWHD00000000:9:9687194:9687623:-1 gene:ONIVA09G07620 transcript:ONIVA09G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRMVRFLLLLLLLLVDMVMGGSRGEEGSWGHGGVVKSWHDRGGIFGEGRRSSNFLEMTTVFVYVAVTLEEIVLSPSP >ONIVA09G07610.1 pep chromosome:AWHD00000000:9:9672398:9685127:-1 gene:ONIVA09G07610 transcript:ONIVA09G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVASKEPRRVHPVVLACVHNGMDDQECGGPSGGVGKQEDGEMNGTENEVDDADDMVEQEESSGSAPSPLLLGTRPKRLRSKVWDDFTPIFVDGKVARAECMHCHRVFNSGTSNLLKHQAKCSPRAQKRPMQQELPVSLSVENRSPKELDAVEQDIPTDKNTKNLEVEQAETNKLVRTLAMYGDIPLRVSNHGEFSRFVASLNPMVEIPPADNLYLYFTGLFEEEKAKLKKRLASLNSRVSLSVYVWHYDTLLPFLCLSVHYIDDQWQKDKKIIAFQAVDSSCHAKELSMVILTAIRDWGLFGKVFSIALDDAFIDDSVASDVKDILQKWNSLHADESLSGNQSLFVIIQVGLDELDKITEKSRKFSKLDKFMERLQSTLALRQKDLHREPVYYSDEESSYVREKMQRKFKEQWKFNCLHICMPMIMDPKYRLEIIKSRIMYNFNSDMEDYIEEVNDMLLRLFREYSGQTEDPNCTSSFITSGWNYLYKDDRLLDHYHYSEFPERKRPMTEFDQYLEDPCLSNDGTSVLKWWKEHSMIYPTIARIARDILAIPYRTDCKVATRTTRVAIAKSDGNHYVEERILVQHDAVRKEQRLNYSKSTQVQNWSSSASLLGRTKMVKWALGFQAWAPWKSGAQTKREEKSSLPKRVAAAAEVAARNPALRPGIGMEQDCDDAANQVGAGEERILNVVLLKTCVKHNFIGMGDNVDNANDMAEQEESSGSAPSPLFLGTRPKRLRSKAWDDFTPIYIDGKVAKAECMHCHQVFVSNSTSGTSSLLKHQSKCNPHAQKRAMQQKLPFLPSSQKNLTTLNSDPRQKKLLFLPISQKKCSDTADVMPHKKDPALPNSMNDTNRKSQEVDKSGSREELATPEQKNLTLRHVPTNNNDQSHDEHPVPEQKNNPIGTNMKNPETDQNGSNGLIQTMAMCGYLPLMMHNDRFRKCLPCFDSMVNMPANINIYLDFIQPFDKEKAKLKESVHYIDEERERQQKIIRFCHVGPSCDAGELSSVILGAIEKWGLRDKVFSIVLDDEFVDDSVASNVKAHLQKWNFHRAKQSFQESNSHCKTELPGIEFTLQNRASRNRIHTAKQSLFVIRYGTHLLDQVIQVGLDELDKIMEKSVMCSKFMEGLTSSAVKYSNNNYAASGKDWTCARRICDTLEDFHRCIGIMPNFPCPVDLFDMVWKVKRDLQREVDNNRDDSFSTVVKKMQEKFKNCWKLCCLHFYLAMVVDPSHRLEHIKFRVRLHTDTDYIHYMHDIFLNLFDEYSGKVEDTNCTSETRTEVGVDGGDDRLKYYRQYENPICERPMTELDQYLQESRLSGGERDVLRWWKGHNLTYPTVARMARDILAIPCRTYFNIATRTAKFAIRESRRNFYIEELVCFQDWLTSDGRSRLVVLLSEINIQVSKGKGFHDLSMTKCTNDNFVQAILGIMPSSFAKYD >ONIVA09G07590.1 pep chromosome:AWHD00000000:9:9657488:9661749:-1 gene:ONIVA09G07590 transcript:ONIVA09G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPFFQFRHGLYSLEIGAHEGMDQDCDGANHGGTEEERALKGMADKLENADDMVEQEESSGSAPSPLFLGTRPKRLRSKVWDDFTPIYIDGKLARAECMHCHQVFNSNSTNGTSRLLKHQAKCSPHPQKRPMQQKLPFPPSSQKSLMEHNSDPTQKKLPFLPISQKRCSGTDDAMPHRKDPALPNTLNDINRRSQEIGKSLAPKKLATREQKNPTSPDVTNNDQKDQWDDEHPVLKQKCTPAGTNLKNPEVDQNGLIQTLAMCGYLPLMMHNDSFRKCVPCFDSMGKMPANTNIGGGFLQLFDKEKAKVKEKFSALSSRVCLSAHVWHYDPFLAFLCLTLTYDNYGMPIQAKGKLPKIANPFDYGAGFLNPNMATDPGLIYDIDPSDYFKFFNCMGGSGSGDNRTTAKGSLADLNLPSITIPNLRTFQAATRTVTNVGQPNAVYKAFLQPPAGVEMAVMPAVLVFSKEKKV >ONIVA09G07580.1 pep chromosome:AWHD00000000:9:9650785:9651813:-1 gene:ONIVA09G07580 transcript:ONIVA09G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSSPSAAAGYPLLVQRSNAGSPAMAFSLSDGKTHDDVSLPEMHSSTYLQTPQGWVLVLSSSSALEMSTFLLDPRDGRKVGLPPLDESELPTARKCVLSDNAPDAGAGVVVLSLQGPAVWFCRVGGERWSTHTYDMGYFSLPVEYRAPKKRHLFDVAGVGGRFYFCEDKDFSLGTLDFTGGDGEVALGAVAVPGGIDDMFPSPDSSGIAATYLVESRGDLYLAAVVFLGFRAEGPPHRFSVYRMDLSAAGPAWRRTADIGCDRAFVLGGGGNFGASCSASGCGVRANCLYWFNSFSPDDNNLHVLSVGDGGVETVAPPPFEHASCVHKPFWLVPTTNNTA >ONIVA09G07570.1 pep chromosome:AWHD00000000:9:9637403:9641981:-1 gene:ONIVA09G07570 transcript:ONIVA09G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVGRVRVPQPCSPDAAGQYLRCHRCDTFDRFTYEDAVSHPAWCEVAKAINIPGEAGPGPQQEPGGAQGPGTLRNKSDLCVSNAASPLISVDFENAGQNEHLDVHGGVIFSYIEMSSLVNLSRIGAEDAPTYANSDEVNAMSTLVSWSDHMEVNDNPTPESRGEVDKTYNM >ONIVA09G07560.1 pep chromosome:AWHD00000000:9:9636470:9650672:1 gene:ONIVA09G07560 transcript:ONIVA09G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRPSLMASFVGWMMIGDTVDLILLAPEMAPDLVHRLASGGFVHRLEEERSYWGTAPKITGNISCGVLCQGGENKKLSYGTVTGVNCKWLAAQPGVDGFLVSGASLKKTWVILESNHQGYLGNGNAS >ONIVA09G07560.2 pep chromosome:AWHD00000000:9:9636470:9650648:1 gene:ONIVA09G07560 transcript:ONIVA09G07560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRPSLMASFVGWMMIGDTVDLILLAPEMAPDLVHRLASGGFVHRLEEERSYWGTAPKITGNISCGVLCQGGENKKLSYGTVTGVNCKWLAAQPGVDGFLVSGASLKKTWVILESNHQGYLVQN >ONIVA09G07560.3 pep chromosome:AWHD00000000:9:9636476:9650648:1 gene:ONIVA09G07560 transcript:ONIVA09G07560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPGPVPVARRVCFKSCDTVDLILLAPEMAPDLVHRLASGGFVHRLEEERSYWGTAPKITGNISCGVLCQGGENKKLSYGTVTGVNCKWLAAQPGVDGFLVSGASLKKTWVILESNHQGYLVQN >ONIVA09G07560.4 pep chromosome:AWHD00000000:9:9636470:9650672:1 gene:ONIVA09G07560 transcript:ONIVA09G07560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRPSLMASFVGWMMIGDTVDLILLAPEMAPDLVHRLASGGFVHRLEEERSYWGTAPKITGNISCGVLCQGGENKKLSYGTVTGVNCKWLAAQPGVDGFLVSGASLKKTWVILESNHQGYLGNGNAS >ONIVA09G07550.1 pep chromosome:AWHD00000000:9:9634253:9635716:1 gene:ONIVA09G07550 transcript:ONIVA09G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAMLVALLTILAAAAAAAVASSSLRRRKNQPPGSLGLPVVGHTLALLRALRSNAAEDWLHRRAAAYGPVSTISLFGRPTAFLAGASCNKLLFSSDKLAAMSSASFLRMVGRRNIREVAGDDHRRVRAMMARFLRLDAVKNYVSAMDDEVRRHLRAEWGGRAAVAVMPSMKSLTFDVMCTVLFGLERRGDHAAVRRELSSEFQQLVRGIWAVPVNLPFTTFGKCLAASRRGRRAVARIVEERRRAMPRGGGGGDLVTHMLAEGMDEEEIIDNVVFLMVAAHDTTAVLLTFLLRHLDGNRAAYERVAAEQEAIATQRRRRGGSGSGSGSALTWDDLAGMRYTWAAAMETLRMVPPTFANMRKAVADVEVGGYVIPKGWQVITAATMTHLDPAIFPDPGRFEPARFEAAAAKSAPPPFSYVPFGGGARACPGNEFARAETLVAMHYIVTGFRWRLAAGCDGGFSRHPLPCPNQGLLLDIEPKEYNEL >ONIVA09G07540.1 pep chromosome:AWHD00000000:9:9628357:9631489:1 gene:ONIVA09G07540 transcript:ONIVA09G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAALFLVRPLPRPHYRCLHGLRGGVSLAPPRRLVARGPRCSMSLSIGGGAGAGAGGDRGFSYEHVPVFPRYRIRDPYKLLGVDRDAAEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALLGYFEVPQMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLMRASTTGFGVLVGGWIIGSLLVPLIPTFIIPPSWSLELLTSLVAYVFLFLGCTFLK >ONIVA09G07530.1 pep chromosome:AWHD00000000:9:9626089:9626352:1 gene:ONIVA09G07530 transcript:ONIVA09G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAKLVGGIKARLRRRKMLTAAAAESSSSSSSCYDKMEKTNSMKVEITSRRAQKLITKNLAIVDAMVAGSNSNSSSKAKKRAFFP >ONIVA09G07520.1 pep chromosome:AWHD00000000:9:9619396:9624275:1 gene:ONIVA09G07520 transcript:ONIVA09G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-activating enzyme 17 [Source:Projected from Arabidopsis thaliana (AT5G23050) TAIR;Acc:AT5G23050] MGHAAAAAAAAHVPLGAITVDDLLAAGVAGGAAAELHEAVRRAVGARGGDGDAAAVWGELCRAALRPGVPFAVHRMLYYGCFAGFPSATPPAWTPDPEEAVLTNVGRVLEARGREFLGDKYKDPIASFTDFHKFSIENPEAYWKMVFEEMGITFSVEPSCILRENDAYPGGEWLPGAVLNAAANCLTAKPGRSSDDVAIVWRDEGKDSEPLNFVTLEELRKKVCLVANALDALNLAKGSAIAIDMPMNVNAVVIYLAIVLAGYVVVSIADSFAAPAISMRLKISEAKAIFTQDYILRDDKELPLYSRVVEAKAPMTIVIPVRGSTPIKGLRADDLSWEDFLAKVNHAKADNYTAVEQPAYAFTNILFSSGTTGEPKAIPWTHLTPLKSAADGWCHMDIRRGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSLNSSGFAKFVQDAKVTMLGLVPSIARSWKSTDCTAGFDWSTIRCFSSSGEASSVDDYLWLMGRVCYKPVIEYCGGTEIGGGFVAGSLLQPQALSAFSTPAMGCNLFILDNNGNPLPQDSVGTGELALDPTFLGASTTLLNADHHEVYFSGMPEWNGKVLRRHGDEFERTPDGYYRAHGRADDTMNLGGIKVSSIEIERICNRVNDAILETAAIGVPPLGGGPEQLTIAVVFKDQSSQTEDLNQLKLAFNTALKKLNPLFKVSSVVVVPSLPRTASNKVMRRVLRKEFTQQPKHSKI >ONIVA09G07510.1 pep chromosome:AWHD00000000:9:9606989:9607354:1 gene:ONIVA09G07510 transcript:ONIVA09G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSFVAQARWPPLPIDELYKDYSSSWATDEIPVSMYASFLIRYSVTNIAHMPFCLPAVPLKAGLSYLEPMHWIAIATFGSNDKPLDLKLQLESSSIAGVEDACVPPEDYLRSIDRPAPLH >ONIVA09G07500.1 pep chromosome:AWHD00000000:9:9600057:9604331:-1 gene:ONIVA09G07500 transcript:ONIVA09G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G60160) TAIR;Acc:AT1G60160] MDDDDGGIQEEPAPPPPPPPPPPPLRRLLTATRSGGSRWVDGSEVGSSESAPWSLDGDRSLRLSVDSAASAGGASGGGGGGGPLSRASSGAFRRRFGKQPRRVDSLDVEAMSVRGAHGHSSKEISMLSTVAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRYAKVSLLPNQQRVDEDISSFRLKLPTPELERALSVKESLEKNPVFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGRVPGFGTDAVVIVSILFLILLFSVQRFGTGKVGFMFAPILALWFINLGTIGIYNLAKYDISVVRAFNPVYIYLFFQTNGIKAWSALGGCVLCITGAEAMFADLGHFSVKSIQVAFTAVVFPCLLIAYMGQAAYLMKYPFAVERIFYDSVPEILFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTALVTLVMLLIWQTNLFLVMCFPVIFGSVEFVYLTAVLSKIQEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGSTLGTVRVPGIGLVYNELVQGIPSIFGHLLVTLPAMHSTIVFVCIKYVPVPYVPFEERFLFRRIGQKDYHMFRCVARYGYKDVRKEEHGFFEQLLVETLEKFLRKESQEMALEASAMAVERDDVSVVSDIPSSPVEAGDLHVPLLSDQRLGDGTQTFITEGNTPVLPTSSISEEDPSLEYELESLREAIASGFTYLLAHGDVRARKESFFTKKFIINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >ONIVA09G07490.1 pep chromosome:AWHD00000000:9:9556260:9556496:-1 gene:ONIVA09G07490 transcript:ONIVA09G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSATATSKMAVVICMLALILGHQQLMAVDASPAPEQHGLRLLGDCSFCQSDAGACCAAAGCGWTCDGGVAACVCG >ONIVA09G07480.1 pep chromosome:AWHD00000000:9:9548954:9549891:-1 gene:ONIVA09G07480 transcript:ONIVA09G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEKAMGSPAVLEPVKTPPPPATDGPISDLMQRQYKEDADATHGTLVGDDVEEARRLFLADVVERLDAATSIARNQPWAAQFIGTMGELACGIGTIKVESVWHRVPTNMASSRIPPSPLVLADGGAAAPMLHTVCLQVKRLEARIHEVCAAAAVAAPPFSPAHCLAVYSLPVGPAKDLG >ONIVA09G07470.1 pep chromosome:AWHD00000000:9:9547969:9548277:-1 gene:ONIVA09G07470 transcript:ONIVA09G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRQRQGPHSEDGSRSSGWRIAKSSEDGDGAEKGGELGGKAAVVAGGGKAVAALADSFRGLTFSFPLRNLHELASINPKVSTAGTASSLRPPPLARLDKL >ONIVA09G07460.1 pep chromosome:AWHD00000000:9:9531666:9537091:-1 gene:ONIVA09G07460 transcript:ONIVA09G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYTNLLDMSGEDVFDFQQPFRSLPRFVTSPSITSNPDWDTSNADDSVGPASCCVRKIIVSNFLPLNCTKDEATGQWSFSMDDNQLLVQLKDGFPMESEVVYVGSLNAEVDPGLNYESKRGHIGIEYFGRTVSLKILAVGVHVGRLESVLRLPATISKVQEIEQRYKGKMVMLGVDDMDIFKGISLKLLGLEFLLERTPKLRGKVVLVQIINPARSTGKDVEEAINEAVSVAERINIKYGSAEYKPVILIDYPIPSYEKIAYYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEEIDKLRGVDKSSHHTSTLIVSEFVGCSPSLSGAFRVNPWSIEDVADALYKAMDLTQSERKLRHDKHYRYVKTHDVAYWARSFSQDLDRACKDHYSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKTNRRLIFMDYDGTLVPQSSVNKVPSAEVISILTSLCNDPKNCVFIVSGRDRTTLSEWFASCDKLGIAAEHGYFIRWNKEGEWETSSSAQDCEWKNITEPIMEVYKETTDGSAIETKESGLVWHYQDADHDFGSCQAKELVSHLERVLANEPVVVKRGHQIVEVKPQGVSKGIAVDTVIRTLINNENAPDFLMCIDVFACSVGQKASKAKYYVDGCSEVIRLLKGVTAITPRREVISQSQVTFRDILEVVS >ONIVA09G07460.2 pep chromosome:AWHD00000000:9:9531666:9537091:-1 gene:ONIVA09G07460 transcript:ONIVA09G07460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYTNLLDMSGEDVFDFQQPFRSLPRFVTSPSITSNPDWDTSNADDSVGPASCCVRKIIVSNFLPLNCTKDEATGQWSFSMDDNQLLVQLKDGFPMESEVVYVGSLNAEVDPGEQDQLSQKLFREYKCIPTFLPADLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKIFGDKVMEAINSDDDCVWVHDYHLMLLPTFLRKKLHRIKIGFFLHSPFPSSEIYRTLPSKRGHIGIEYFGRTVSLKILAVGVHVGRLESVLRLPATISKVQEIEQRYKGKMVMLGVDDMDIFKGISLKLLGLEFLLERTPKLRGKVVLVQIINPARSTGKDVEEAINEAVSVAERINIKYGSAEYKPVILIDYPIPSYEKIAYYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEEIDKLRGVDKSSHHTSTLIVSEFVGCSPSLSGAFRVNPWSIEDVADALYKAMDLTQSERKLRHDKHYRYVKTHDVAYWARSFSQDLDRACKDHYSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKTNRRLIFMDYDGTLVPQSSVNKVPSAEVISILTSLCNDPKNCVFIVSGRDRTTLSEWFASCDKLGIAAEHGYFIRWNKEGEWETSSSAQDCEWKNITEPIMEVYKETTDGSAIETKESGLVWHYQDADHDFGSCQAKELVSHLERVLANEPVVVKRGHQIVEVKPQGVSKGIAVDTVIRTLINNENAPDFLMCIGNDRSDEDMFESINEAVSRSVFPTAPDVFACSVGQKASKAKYYVDGCSEVIRLLKGVTAITPRREVISQSQVTFRDILEVVS >ONIVA09G07460.3 pep chromosome:AWHD00000000:9:9531666:9537091:-1 gene:ONIVA09G07460 transcript:ONIVA09G07460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYTNLLDMSGEDVFDFQQPFRSLPRFVTSPSITSNPDWDTSNADDSVGPASCCVRKIIVSNFLPLNCTKDEATGQWSFSMDDNQLLVQLKDGFPMESEVVYVGSLNAEVDPGEQDQLSQKLFREYKCIPTFLPADLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKIFGDKVMEAINSDDDCVWVHDYHLMLLPTFLRKKLHRIKIGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLNYESKRGHIGIEYFGRTVSLKILAVGVHVGRLESVLRLPATISKVQEIEQRYKGKMVMLGVDDMDIFKGISLKLLGLEFLLERTPKLRGKVVLVQIINPARSTGKDVEEAINEAVSVAERINIKYGSAEYKPVILIDYPIPSYEKIAYYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEEIDKLRGVDKSSHHTSTLIVSEFVGCSPSLSGAFRVNPWSIEDVADALYKAMDLTQSERKLRHDKHYRYVKTHDVAYWARSFSQDLDRACKDHYSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKTNRRLIFMDYDGTLVPQSSVNKVPSAEVISILTSLCNDPKNCVFIVSGRDRTTLSEWFASCDKLGIAAEHGYFIRWNKEGEWETSSSAQDCEWKNITEPIMEVYKETTDGSAIETKESGLVWHYQDADHDFGSCQAKELVSHLERVLANEPVVVKRGHQIVEVKPQGVSKGIAVDTVIRTLINNENAPDFLMCIGNDRSDEDMFESINEAVSRSVFPTAPDVFACSVGQKASKAKYYVDGCSEVIRLLKGVTAITPRREVISQSQVTFRDILEVVS >ONIVA09G07450.1 pep chromosome:AWHD00000000:9:9524903:9526057:1 gene:ONIVA09G07450 transcript:ONIVA09G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFLLGGREIVRTGDDGPLDDGPAVAPAAGSSAPATSAAAARVTPAVLFITVVLAVVLLASGLLHVLRRLFLKSHRANASAEAVERQLQQLFSLHEDGAGGAGPGLDQAAIDALPAFTYAELLAGAAAPNGGGGNGKRQFDCAVCLCEFDGGDRLRLLPLCGHAFHAACIDTWLRSSSTCPLCRAALSARALAALAAAAADTPAAAQHRQPDVEDQKLDHHHPPPPSDEPATSFVLSVRLGRFKNTQRSDGDADASGGGSRCIDARRCYSMGSYQYVLADDNLLISVHWRPGDGISAATAAAAAGANVATARTGIKQGGGGGGVKKVFGRGDSFSMSKIWQWRGGDRRLPVLHSDASPPADDGLPWATAAAASTRTRQESDT >ONIVA09G07440.1 pep chromosome:AWHD00000000:9:9514642:9517116:1 gene:ONIVA09G07440 transcript:ONIVA09G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKVVVFAGRVLAIVALLLACCCMAAAAQGGEGARVRESLIGFLTELAGGDKERARGIGWDASVEPCDGNRTVWPGVGCNGAAAGDGRITAIVLERKGLDGTINAASLCAAAPALRVLSLEGNALRGDLPAAISGCARLTHIYVGDNRLSGSLPPSLAELASLHVLNVSRNSFSGEIPAELSKLGLVRFCGNDNRFNGAIPEFELSRFEHFSVANNNLTGPIPDDAGDFGLDSFSGNSDGLCGRPDFPLCPPPPSSGENDGKRRRRARTIVMCLGYVLLGAGVAAFVLYMMCSKRRRRPSGVGGKTAATTETSSSVTPGKSAYSLPMSEERMNATAAAAAAVARATPASLVVLQRSGTAASTVMTLNTAAAAAAEAARKLRFEDLLRSPAELLGRGRFGSAYKVVVPGGAALAVKRVKDAAGAEEEEEFRRRMERVGKARHPAVLPPLAFYCAMQEKLVVYEFLGHGSLAKLLHGSIESSQVALDWPARLHIASKVADGMAFMHGALRGGDGDGDGANANLSFSSSYEEDEAGGAIAHGNLKASNILFTATMEPCISEYGVTAPPPPSSAPAEALRADVRAYGVLLLELLTGKATAADGAELARWVTAVIREEWTAEVFDRAMLSSAGAGGDTVASEQRMVRLLQVAMRCIDDASSPSPPPTMREVAGMVNAIREEDDMSLSSEA >ONIVA09G07430.1 pep chromosome:AWHD00000000:9:9495245:9495523:-1 gene:ONIVA09G07430 transcript:ONIVA09G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAATTTTTRRRRRRSSSTMRRLRAAAVARRVRELRRLVPGGEAVPAGRLLLRAAGYVAELRARVELLRALAALLTASCAAADDDGGACT >ONIVA09G07420.1 pep chromosome:AWHD00000000:9:9489551:9491905:1 gene:ONIVA09G07420 transcript:ONIVA09G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGEHGARGGGGGDDARAPHRRRRPDERLLPGLPLRVPGAGHRHVRGDHAPPRRPPQPPRGGQSGQGVRHGDRGVGRRRRRRVAVRVGVGRLRLGHRVAGEALAGAADRRRPRRLLRRPRPVLPPRPARAGGEARRRRPLPRHRRLPRQRRRPLRWEEFCVTVVFFSSELRSPSYAV >ONIVA09G07410.1 pep chromosome:AWHD00000000:9:9470194:9478896:-1 gene:ONIVA09G07410 transcript:ONIVA09G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPAERRLTVADLLRIRRPSTGAASLVSSSPSTSTAPPPRKKPRLPAAAPTPTPRSTAPFAPIPHRVLLAGVLSLPASGSPVACRSHCLSLSDSPPPASSASVCCYLLDFDPDAVDREIHVLAWNYLPSLHHGGAGVLEVVRWRLAEEGTPAPGSGFLKTIPLDCVDAEPDSGTHGHVFGVVRSVSVVFSVPRAGQKSNAGGGDNSVGFIAEMMCCACRRCRVLPPESDQDHKFELEKFVYFVDSASRWRPVLARMVGRPVSVSGLKKRLVSIDRKGSYTMLVSTRKTMLRWCPSYPAVLKLDGSPGDCGGVYTGVVTGIYMQRMLIELDKIVWLLIDDQHLAPSHSLRVGAVISVKNGRAICLKLAWTRTLLLGTCIKTSITINSFSLVDSKSYIKAEDKGLLGKFVDSFELPARFWMLILIPCFKQKFTKLFSEKEILGSKNHDFFMKFSNHNCGSPRAELNLETFKLVIPFANFICKCESLWILTMLKIWNGTEEMDKNQGAHQYLCDGISYPGTAKKLISSSDLSSVLVGRIKRSSVSGTLQLVDATGCIDVVIPDLPPNVCMDSIYEINDYKVVLEGPMAYLDPYDVTDPLSCKAICEHLSFRKRLNHLKIYVIINWSELNRIGPSSIPLQINACAKMFHLLKLTHIFPANKTFQHQNLSGPSLYAEAVILPYDLKFTELDECSEHAESFRISCIPSLGNSKVYTAKPCNILCTLSFGTTNLCGSLVSIYSCGSVSTIVNDTVCGERDHTFRILLEFKDGRFKYQSLRIGGYYLLECSTESMNYSMKGCGCLQISKVSLGYQSRFWSLAITFNGTINIKQTIGDQSIGVSSVKMDEPFSRKAVNNEIKLVHTWNDFHQYCDFHLKFHCDEKMDEYNSFCDVFNELCSYSNEVLSISSFIKTRVPKMPSGSSNLQRDKLVQGDLISLQGKVENIHPYGCKKEKFMVGNEKSSICIHVTDDNHRVRLFGYLSKYGYPVGLGPGASATFHRVLLTHKHELFVTPLTYIEVSCISLADLNEECVVTPPISDCFKDGSLGRVSSCLLFLSQKHLAENRAIQFQCRVVTIHVLVLDGLQPSKSRCETINVKVRLAGFIVDDGSSLCCCWADDARAELLLGLQEVAVMNSSVTSRFSKDGVNIQQTVGSFLESLLKKHKSIIARNCGIPPDISCRDLELSSVLNKVLSCSEEKLLKSIILNACWKGTLNVIASALNANTLNGFNLELPNLHPVRNMPNYWE >ONIVA09G07400.1 pep chromosome:AWHD00000000:9:9467837:9471418:1 gene:ONIVA09G07400 transcript:ONIVA09G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSTAAGGRSSTPPPPMYTDEFALEGKKPVKNPFVPIGALVTAGVLTAGLISFRYGNSKLGQKLMRARVVAQGATVALMIGSAYYYGDQIKLFKKGSSP >ONIVA09G07390.1 pep chromosome:AWHD00000000:9:9453824:9456448:-1 gene:ONIVA09G07390 transcript:ONIVA09G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G24030) TAIR;Acc:AT1G24030] MFNNIVSSWNKRRRSKSLDQLNPWVYRPAELWHWQMKEQGTAAAALPPPPPAKKRSSCSMVFTLKEMEEATNMFSERNLIGKGGFGRVYRGVLKDGQIVAIKKMDLPTSKQADGEREFRVEIDILSRLNHPNLVTLIGYCADGKHRFVVYEFMPKGNLQDILNGIGEVRMDWPVRLRIALGAARGLAYLHSTTAVGVPVVHRDFKSSNILLTEHFEAKISDFGLAKLMPQDIDLYATTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGTPEQNLIVRMQQVSGDRKRLRKVVDRDMVRSSYTPESVSMFAGLAARCVCFESAGRPSMADCVKELQFIMYANMKI >ONIVA09G07380.1 pep chromosome:AWHD00000000:9:9451606:9451965:-1 gene:ONIVA09G07380 transcript:ONIVA09G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFAALVSGRRAASAAAAAAAEVEVEEERDKAYLRIRLEEIVIVKNDAHDDALSAAASASAARVANNGGGAVAASSMEKRCACGDAAIDAAPAPGWGSATAAAARGAWTTVTRIVGLD >ONIVA09G07370.1 pep chromosome:AWHD00000000:9:9445991:9449912:-1 gene:ONIVA09G07370 transcript:ONIVA09G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTAPSAGGGAAVPTPSAAAAVSTTTTTPPGTPRATAASPQAGYYAVELYFDPALENQVLKAWNALARRQLSSRLIDAASRPHLPLLHLPAASLPDPLRLAPSLRALASRLDPLPLALSSLASPPSSLDAGVLFLAPTPSAALLGIHAQLCELLRKDAGVEVPDVFRPDHWVPRCAVAVDVPRGRMAEAFCVLRELKLLPVSGYGMDIALVEVGPVVRELVSYPLGGSGGAGAD >ONIVA09G07360.1 pep chromosome:AWHD00000000:9:9443681:9445021:1 gene:ONIVA09G07360 transcript:ONIVA09G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASTPRHHLPLPKRIFAYALYALLPLAALHYLLLSPPPPPLATTSTSTTPPVAAVAVARKAAPRCDYSEGEWVRSASAPRYNGTSCGATIKGGQNCMAHGRPDTGYLHWRWRPRGGGGCALPPFAPGEFLELVRGRHVAFVGDSLARNQCESLVCLLASGFPAELVRGGNGGDGGDGDEARKFRRWVFPSHNATVSVFWSPFLVNGTEKSSSAAAAAGAGGLDHNRLYLDQPDERWAGELDGIDVVVLSAGHWFLHPAMYYERGEVIGCHHCPEPNRTETGFFGVFRLAVKNALREVITRAARSPSQQRKLAVVTTFSPAHFEGEWDARDACARSEPYAPGEKEVGYMDREMWRAEAEEVAAAAADARVRAPGRVEVEALEVTAMAALRGDGHPGPYMNAFPFAGGERARVPNDCVHWCLPGPIDTWNEILLQLVKRWRDSSSK >ONIVA09G07350.1 pep chromosome:AWHD00000000:9:9433838:9438000:-1 gene:ONIVA09G07350 transcript:ONIVA09G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G52640) TAIR;Acc:AT1G52640] MRSAARPLAALRRAPPRPILLLLGRLFCASPQAGFGGGDPGPADADAEADADAAGAGVDVDARLVGSLCRVLSDFRGPRHDLPAALRGFAPRLTPGDAAAVLRRCRHLPLPSLRFFLFAGELPGFSHHPDSLLVLANSLAGARLFPLLRSLLSDLPPSALSRGLFPLLFRAYSRARLPEDAIRAFSSMAGFGFPPTIADFHSLLFALSRNGLVEYGERFFRESSAQFDVSAKAYTILISGWAVVKKPENARSLFDEMVERGVELDVHVYNALIDALCRGGDITSAQEQLSNMQKSHGLVPNAATYGPFLHAACASKDVRAALRVLDRMHTHALTPNVFTYNAVIRLLCDLGEINEAYNILDEITTQGEKPDVWSYNTLLNAHCKLKEVNKALRLISRMDKELCPPDRHSYNMLLKMLIGVGRFDTAIEVWDGMEKRGFHPGAATYAVMIHGLASKKGRAEDACSYFVRMVDEGIPPYQATCEVLRDRLLMLGLRDQLGVLTDRMRRSTSYTIQEMSTIMCRNQYGLRALIAEPGRYDSICTKPPNPDDEMGTKIDELEQSVNDLKAEMGTDVPTKKADEAKPADST >ONIVA09G07340.1 pep chromosome:AWHD00000000:9:9428867:9432669:-1 gene:ONIVA09G07340 transcript:ONIVA09G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enolase 1 [Source:Projected from Arabidopsis thaliana (AT1G74030) TAIR;Acc:AT1G74030] MAHRLLLPTNPLLPPGTGTATPRRRPVAATVRAALATSAEKARAAAGAEVVRSIRARQIVDSRGNPTVEVDLVAGDGRLHRSAVPSGASTGIYEALELRDGDGAAYGGKGVLNAVRNINEVIAPKLVGVDVRNQSDVDAIMLDIDGTPNKSKLGANAILGVSLSVCRAGAGAKEVPLYKHIQELAGTKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGASSFSEALRMGSEVYHALKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMNAIEKAGYSGKIKIGMDVAASEFLTKDGSYDLNFKNQPNDGAHVLSAQRLCDLYKEFFKDFPIVSIEDPFDQDDWSSWASLQSSVNIQIVGDDLLVTNPKRIAEAIGKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >ONIVA09G07330.1 pep chromosome:AWHD00000000:9:9424465:9427736:1 gene:ONIVA09G07330 transcript:ONIVA09G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPIVMEAKKKCPSAAMWPCLSPRAAVLIALLLLPAAMAAAAAAAGGHGEEMKSIYAGPKVVPVRLGRPAFGPESLAFDHRGGGPYTGVSNGRVLRWRADRRRPGWTEFAHNYKHATVAECAARKKAAAAESVCGRPLGVQFDRRTGEMYIADAYLGLMRVGRRGGMAEVVAAEAGGVALNFVNGVDVDQATGDSVKLINPDYLLVVLSGDATGRLLRYEPRTGNVTVLESGLAFPNGVAVSADGTHLVVAETASCRLLRHWLRGSNAGATEVLADLPGYPDNVRPAAADGGRGASYWVALNRDKAWTVNGTTPASVAAVRVVVDDGGGKVDVALRGFGGATVSEVVERNGSLWFGSVDTPYVGLLKLTSL >ONIVA09G07320.1 pep chromosome:AWHD00000000:9:9400504:9402446:1 gene:ONIVA09G07320 transcript:ONIVA09G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGERDTVLVMMRVAGWLTQQPPDQPELLKGKQIWEAQEHFRILDELRDSCFRPSLPALATSSFSPAPATPILRQRTPRVRFPGGGVGWGWEEFASSRGMAGGGGDPAVGGEAPEPRGWRRAGGFEYLIRIGGAEVTNIISRSRSNTKKTRPLIKRCVNLMNPFI >ONIVA09G07310.1 pep chromosome:AWHD00000000:9:9382404:9391032:-1 gene:ONIVA09G07310 transcript:ONIVA09G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAPLLPWLSLRLLLLLCHYSLSAPAAALDALAPAVVGKPGCQTRCGVVDIPFPFGIGDDRCALEARHTRYPFKLDCMSVGGTSKPFFRGMEVTKISLADGKAWMKMNISQNCYNQSTGTMERNREPVDFTGTPFWISDKDNKIFVIGCRTFSYMQINNVVTGCVSKCERALKDGECSGDGCCQVDFPTKGWRYSTTFDSENYNTSLVWRNNPCSYMAVIETTAFKFSTTYVNSTIFYDTYNGAAPVVLDWIISMDVCDIAIKNTTSYACISGNSNCVDDIKGGYRCKCSHGYEGNPYIKDGCKDINECLDNATYPCMGICKNTIGSFDCSCYPGSYMKNGFCLPNQKSTFPARHRRKLQHIKNNYFQQHGGLILFEEMKSQQGHAFKIFSEEELQQATNKFNEQEILGQGGNGIVYKGLLKSNSEVAVKKCMTIDEQKKKEFGKEMLILSQINHKSIVKLLGCCLEVEVPMLVYEFIPNGTLFHLIHENHGNHISLITRLQIAHESAEALAYLHSCASPPILHGDVKSSNILLDNNFSAKVSDFGASILAPTDEMQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKAFNLDAPEHEKVLSMMFLSAMKENKLEDMLDDQIKNNENMEFLEEMAELAKKCLDMSSINRPSMKEIGDELGRLRKVMEHQCARQNPEEMESFLGDSSYVINSTVESTKSFSIEKNAMKRLKSGR >ONIVA09G07300.1 pep chromosome:AWHD00000000:9:9371129:9372585:1 gene:ONIVA09G07300 transcript:ONIVA09G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVELLESVEVPDSVDALDSVEGLDSEMVLDSVEVPDSTSSQFGGPFSYCLLPTSGGGGFIALGVPNSSNTAAGFSFTLMRRIPSVSTFYVVALTGISVGGALLAIPPSAFSSGMVIYFGTVITGLLATAYAAVTAASDVAAMRVVAKVLRAHKALGWSTGDPCSPSKAWRPCSRRHPAVAEPSRLGPAAVAPLLCCEERRKGKNRERKNGVQGYFGTYTTLSFSISTGNNKIMGGVSSS >ONIVA09G07290.1 pep chromosome:AWHD00000000:9:9341863:9366675:1 gene:ONIVA09G07290 transcript:ONIVA09G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGAAGMACTCSAAAAASALVKLLVLVAAVAATTSAGGGDEPTYETKSIDPSLAVMTLPAPVTGPESLAFDGRGDGPYTGGSDGRILRWRGGRLGWTEFAYNSRHKSVGVCSPEKKLVVPESVCGRPLGLQFHHASGDLYVADAYLGLLRVPARGGLAEVVATEAAGVPFNFLNGLDVDQRTGDVYFTDSSTTYRRRYPKLNQSFNHVHTAHQYLLVVAMGDETGRLLRYDARRRRVTVLHSGLPYPNGVAVSDGGTHVLVAHTGLCELRRYWLRGLRAGKSETFAEVPGYPDNVRRDGDGGYWVALSRGADNDDVAPTVAVRVTAARKKKGGGAAVVVEALAGFSFVTVSEVAEKNGTLWIGSVDTPYAGAAVRGRRRAFTCSAAAAAAASALVKLLVLVAAVAATTSAGAGDEPTYETKSIDPSLAVMMLPAPVTGPESLAFDGRGDGPYTGGSDGRILRWRGGRLGWTEFAYNSRHKSIGVCSPEKKLVVPESFHHASGDLYVADAYLGLLRAPAHGGLAEVVATEAAGVPFNFLNGLDVDQRTGDVYFTDSSTTYRRSYDTRANIPIFTCWWWPRATRRGRLLRYDARRRRVTVLHSGLPYPNGVAVSDDGTHVVVAHTGLCELRRYWIRLKLSLEPKNIQAAKEEPKNSEAAREQPKNSEAARAKVVARYVCGMASMLPFEREPPAADGNKKLCYICGDDDGSHEELSCPFNYMYYHMSDEDASEGTTCEGSCSAGKHPMAVVSGSGRHGEFLRCVVRVNNFPTKLWPWDLSWLCKPFGPLRMYHLVMRNSKFSRGFGYTIFSSRQHAESAIEQLNGRIIHGRKLRGV >ONIVA09G07290.2 pep chromosome:AWHD00000000:9:9341863:9366675:1 gene:ONIVA09G07290 transcript:ONIVA09G07290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGAAGMACTCSAAAAASALVKLLVLVAAVAATTSAGGGDEPTYETKSIDPSLAVMTLPAPVTGPESLAFDGRGDGPYTGGSDGRILRWRGGRLGWTEFAYNSRHKSVGVCSPEKKLVVPESVCGRPLGLQFHHASGDLYVADAYLGLLRVPARGGLAEVVATEAAGVPFNFLNGLDVDQRTGDVYFTDSSTTYRRSQYLLVVAMGDETGRLLRYDARRRRVTVLHSGLPYPNGVAVSDGGTHVLVAHTGLCELRRYWLRGLRAGKSETFAEVPGYPDNVRRDGDGGYWVALSRGADNDDVAPTVAVRVTAARKKKGGGAAVVVEALAGFSFVTVSEVAEKNGTLWIGSVDTPYAGAAVRGRRKAARRAFTCSAAAAAAASALVKLLVLVAAVAATTSAGAGDEPTYETKSIDPSLAVMMLPAPVTGPESLAFDGRGDGPYTGGSDGRILRWRGGRLGWTEFAYNSRHKSIGVCSPEKKLVVPESFHHASGDLYVADAYLGLLRAPAHGGLAEVVATEAAGVPFNFLNGLDVDQRTGDVYFTDSSTTYRRSYDTRANIPIFTCWWWPRATRRGRLLRYDARRRRVTVLHSGLPYPNGVAVSDDGTHVVVAHTGLCELRRYWIRLKLSLEPKNIQAAKEEPKNSEAAREQPKNSEAARAKVVARYVCGMASMLPFEREPPAADGNKKLCYICGDDDGSHEELSCPFNYMYYHMSDEDASEGTTCEGSCSAGKHPMAVVSGSGRHGEFLRCVVRVNNFPTKLWPWDLSWLCKPFGPLRMYHLVMRNSKFSRGFGYTIFSSRQHAESAIEQLNGRIIHGRKLRGV >ONIVA09G07290.3 pep chromosome:AWHD00000000:9:9364104:9370239:1 gene:ONIVA09G07290 transcript:ONIVA09G07290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDHTVNMESPHLEPDKGRLLWYDARRRHVTVLHSGLPYPNGVAVSDDGSHVVMAHSGLCELRRCWLCGPSAGKSETFAEVPGYPDNVRRDDSRGGYWVALSREADSDDMAPTVAVRVVAPAAKNGSAAVVAEALAGFSFVTVSEVAERNGTLWVGSVDTPYADAAVRGHR >ONIVA09G07280.1 pep chromosome:AWHD00000000:9:9334598:9337058:1 gene:ONIVA09G07280 transcript:ONIVA09G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAGPTRRAAAAGSGQAWDGTAILGTSKRYCAYARKARLATDGRGSPRVGGERRGGKPAADVEKTADS >ONIVA09G07270.1 pep chromosome:AWHD00000000:9:9332901:9333381:-1 gene:ONIVA09G07270 transcript:ONIVA09G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHEPISPAHIQSPPHILGCWLGWFPIFSQPLAASRPRRRRPTTSDMRVRFGGVVWAQVRLNLLED >ONIVA09G07260.1 pep chromosome:AWHD00000000:9:9331095:9332890:-1 gene:ONIVA09G07260 transcript:ONIVA09G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0041) [Source:Projected from Arabidopsis thaliana (AT5G20090) TAIR;Acc:AT5G20090] MSTAVKAFLNSPVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTAGLFMRFAWMVQPRNYLLLACHASNESVQLYQMSRWARAQGYLEKKEPEAQQ >ONIVA09G07250.1 pep chromosome:AWHD00000000:9:9318692:9326775:-1 gene:ONIVA09G07250 transcript:ONIVA09G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTGPHPIYRRPSCRRRRLEIRAPHGRLDTATPPPRDERFASSLLTKRSIYKYQSTPPLLLLFPPPSETTKSNRRGGSGERRIRRRRPPIGGQGGSTMGGKKAKTVERNDHRLLCSDVLTEVFHRLPARTLASCRLVCKSWMSELTDPHFVHEHLKRSQQKLLLFANDKANDRSLAMVLADDTGATYQLTRPMASRSLFVHNSCNGLLCLGDSTGAVQLLNPTTGESATLPMPMYTAGSSQFSSCNWHCLGFCPSTKEHKVIGSFHGAPTDRGVHVNGAVYYLTKFRYIASSRINCLNLESENFDVMMLPPRKSYGGHCSLAELEGKLCLLVVEGGHDNPPRTMDILMLDSGDKTTWTHRYHISLPWLMPSCYFTPKHTLFHEGKIWVQLLARNLYCYDPSSSSTELKMACPESEFPFSTHTFIESIVPLRKDYFIKQIQRRKVIFIPLVGGPAEPNRGSPETRGRARWSSPAVSEGMGCSISGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVREHQASADAARGRSPSLASEDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFNHPNLLPLLDHAVIAVKGDWNHEAYLLFPVYIDGTLFDNAKVMQSRKEFYSTIDVLRIFQQLCEGLKHMHSFDPPYAHNDVKTGNVLITHRKGQAPLATLMDFGSARPARKEIRSRAEALRLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMYNVSPFEYALGESGGSLQLAIVNCTLKWPAGPSPPYPDALHQFITWMLQPQPAMRPHIDDIILHVEKLMEKYSS >ONIVA09G07250.2 pep chromosome:AWHD00000000:9:9318692:9326775:-1 gene:ONIVA09G07250 transcript:ONIVA09G07250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTGPHPIYRRPSCRRRRLEIRAPHGRLDTATPPPRDERFASSLLTKRSIYKYQSTPPLLLLFPPPSETTKSNRRGGSGERRIRRRRPPIGGQGGSTMGGKKAKTVERNDHRLLCSDVLTEVFHRLPARTLASCRLVCKSWMSELTDPHFVHEHLKRSQQKLLLFANDKANDRSLAMVLADDTGATYQLTRPMASRSLFVHNSCNGLLCLGDSTGAVQLLNPTTGESATLPMPMYTAGSSQFSSCNWHCLGFCPSTKEHKVVHFYLGAHFDSFNVCCEIFTIGDKSWRQIGSFHGAPTDRGVHVNGAVYYLTKFRYIASSRINCLNLESENFDVMMLPPRKSYGGHCSLAELEGKLCLLVVEGGHDNPPRTMDILMLDSGDKTTWTHRYHISLPWLMPSCYFTPKHTLFHEGKIWVQLLARNLYCYDPSSSSTELKMACPESEFPFSTHTFIESIVPLRKDYFIKQIQRRKVIFIPLVGGPAEPNRGSPETRGRARWSSPAVSEGMGCSISGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVREHQASADAARGRSPSLASEDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFNHPNLLPLLDHAVIAVKGDWNHEAYLLFPVYIDGTLFDNAKVMQSRKEFYSTIDVLRIFQQLCEGLKHMHSFDPPYAHNDVKTGNVLITHRKGQAPLATLMDFGSARPARKEIRSRAEALRLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMYNVSPFEYALGESGGSLQLAIVNCTLKWPAGPSPPYPDALHQFITWMLQPQPAMRPHIDDIILHVEKLMEKYSS >ONIVA09G07240.1 pep chromosome:AWHD00000000:9:9315955:9318321:1 gene:ONIVA09G07240 transcript:ONIVA09G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAEHLLGLSSAPVDWEAESYPGYGDFAVLPFLVAFFPAVRFLLDRFVFELLARRLVLGKGYDKLAETDESRKKINKFKESAWKFVYFLSAELLSLSVTYNEPWFKNTRNFWVGPGEQIWPDQKTKLKLKAVYMFAAGFYTYSIFALLFWETRRSDFGVSMSHHLATVVLIVLSYIFRFARVGSVVLALHDASDIFLEIGKMSKYSSCEGLAVVAFLLFVASWILLRLIIFPFWILRSTSYEVLLTLDKEKHKFYGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVKQIQSRGRIGDDVRSDSEGEDHED >ONIVA09G07220.1 pep chromosome:AWHD00000000:9:9304211:9304483:1 gene:ONIVA09G07220 transcript:ONIVA09G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYEYEHWHHHHQSVGVDEEEAPPVHLLAVDAFLEEAVPADMVAAARREEEARLRRGGRPRSREDGMKEMLRLWAKSVARKAIASVVVN >ONIVA09G07210.1 pep chromosome:AWHD00000000:9:9294587:9300749:1 gene:ONIVA09G07210 transcript:ONIVA09G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGTKRIFDELTEAAMKLMENGEYNVYSDDRETFEREAAGYERLARARLGLPEAEEDMFADSPKDKTTASLLDMEPGPSAAHTSTTTTTSKEDDSDFDMFGDDDDKTDVKQGENGSVSSDYVYDPTSGYSYDEQTGEYKEIQSEQASTVNETPGDGIKE >ONIVA09G07200.1 pep chromosome:AWHD00000000:9:9285799:9291818:-1 gene:ONIVA09G07200 transcript:ONIVA09G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRVQDPCPNAWTDEMVKKDLSHMSLVAHYKENRFATCVMSSMLPFQRELPADGKKLCYICGDDDGSHEELRCPFNYMYYHMSDEDASAGTCEGSCSAGKHPMAEAVVVYDSGRCREFLRCVVRVNNLPTKLRPWDPLLEKTNKTSFRKRATGLPVPQGLQKS >ONIVA09G07190.1 pep chromosome:AWHD00000000:9:9285225:9285413:1 gene:ONIVA09G07190 transcript:ONIVA09G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAPGSGGAPRLGPLPRSGQREGEGGGGDGYRCWWWRWRLRLQAMATHPGSVPSLDLA >ONIVA09G07180.1 pep chromosome:AWHD00000000:9:9282085:9284966:-1 gene:ONIVA09G07180 transcript:ONIVA09G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLFRADLSRLYYPESEHNTRTETSGSGDISLGGACEEHEAVVRELACRHELTTLLRALRGQGQGQVEATTTFILGEPLLIAIRPVFLVNGDGGDAARALAWLDAKPARSVVYICFGSLTRFPHEQVAELGMGLADSGVNFVWVVGDKNASASLLPVERQRVTLLASESALRLLQQPISPPNSLARSREVSEERCEEVDDNGEGPHELAAGSQQWRLGFRGHGWLGCGGKAGRLGFRGRGPLGRDGDDSLLGFRGPGRLGRGGDAQ >ONIVA09G07170.1 pep chromosome:AWHD00000000:9:9281880:9282411:1 gene:ONIVA09G07170 transcript:ONIVA09G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELANEKPKAAAPTAAEEPKAEVRQRRRQSRASWNWNRRKRLSWSCHAKGNLCSSPRTKGPIQSRLLSVAAASEPAGAAEAEETVVAVASERAAAAEAEATSFAAASEPAVAAEAEAPLLATDDLDLLMLRIRVEETEKKQRVRRKCTCSDGNLNGSGMFQILQIFSGMHPIS >ONIVA09G07160.1 pep chromosome:AWHD00000000:9:9276357:9280248:1 gene:ONIVA09G07160 transcript:ONIVA09G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPAPGKGKEVVVAEEEERKEEEEEEATCDELEEQMEFLRRLDIGEEREQEAARWWRRREDAATTSGAAGWWRREDVATTSAAAANRRVVPAFGGVAMTPGRIWADGNAVAGPPAPTPPWTPRPRRHGGMLGDDRHARGGHVLLGSHELQVPAASASPSSSISRRAAAAANAGWRFAGADTPQLVVYLANNEQMVLHTLFHAPLNEAHLVAEVIVDHAADIMESIHGQRLLSCVLHNCCCELHEAIVAKITQHRDRSDGVVTMIRSCRSLKSCQLVRNAIVPWVGRRSKMQSLVTDSDKLRVIQACIQCFPADIAKVLVDAVVENCIEIACHLNGLLFLQNCLGHITLEEKYKIFTQVCINSVYLAKHRSGNYIVQDVLEFGHPFHLEIITSCFKTHYVDLARQKYSSRVVEKCLKVFGDLEQYLIVCELVLDLDHFRDLVTDEVANYVISTALLACTVPVRDILANTIISLQDVNRHHPHCLKIFDILSRLGYMQ >ONIVA09G07150.1 pep chromosome:AWHD00000000:9:9268936:9275474:1 gene:ONIVA09G07150 transcript:ONIVA09G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPRDRASRAGRKNRAVRAGPASASASAAAVAAAAAAPTASDPDPSGEDAAPWGRASADELEDRLLRRLEDAYAAALARLADLGYCEEAALHAVLRAGHCYGKLGDPVANIVANARVFLSDPDHAGGAGGFADLRRLEEYSLAGLVCLLQSSRPTLSRAEAMWCLLSCDLRLDQAISMGANLNEKPTPAIASAESDELPPPAAAAPGQRGYCHYHTTTASATPDTALFDPDNFMRLAMRQSPGSVSGVISCIKTTWSRSNGIASDAQTNQPVTMKLSTEEIIDSIVKELKLLDIDKKDAPDVKPDPKNEMVRDLIKQTREMEAQLKERKEWAQQKAIQAARKLGTDLTELRVLRMQHDENQRRKKDKQEMEDETMKRLTQLENELKKKSGQLDRSNATVQKLEMENAEIRAEMEAAKLSASESERQCQKLVKKEKKDSKRLEMWDRQKAKLQEDIAECKTKITQVDRELAEINKAIRNMEMKIREDTKAKEENLALAEQEHAKRESAKANAERRLEEIRQKTEVESRCFKDDIKRLEDELARLQKSMGVNHPTVPSTHPPGVADRNSTRAPKQPTNQRPSPASNKQSQAPTQKASRRRDCVICKREEACVILLQCAHQVLCVGCNKRHEEKGVARCPCCNAKVEERIRVFGASSN >ONIVA09G07140.1 pep chromosome:AWHD00000000:9:9264073:9265326:1 gene:ONIVA09G07140 transcript:ONIVA09G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGRRVAVVMMLALLVLCFHAAVCASASPAVVSRKANLSGRKGGLAAAATAERALKKRMVVAVAAAAKDQSGVLKHGKKLSSGDVVTAKTATTKTPVTANTKLLKEDKAAKLTRKSPEYMANTKTKKAFESNAVDVMKIEESGEKAQAAAATKTRKSAEEVAAALAEQDGAEDLISEFRELPARLQETLVPDLARLSSTSRAYLSAANAGIADGVRPLLGGRWAPVAATAASAAVLLLPLCLLAALVRRVGAYLPLLRRALLLAQAYLAIYFATLAVAAAATGLEPLRFFHAASPAAYAWTQAAQSLGYVAYLVLQMVDLVAAFSSSPGGGAGAGEDASLSSRALSLAQMMVGLAVGLHYYAAVFHRAAAGEAPRATWRVHAVYAACFVVVCACARAERRKKAYLAGAAEPWKKS >ONIVA09G07130.1 pep chromosome:AWHD00000000:9:9257984:9258973:1 gene:ONIVA09G07130 transcript:ONIVA09G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAALNGVGLALQIPAIYAFAAGAVVGVSIRSFAAGNDAAAAAAASTTTTAKPVRQELQEFAREAKAVLRVPSFQVMVAQGLTGSFPWSALSFTAMWLELVGFSHGETAALMALFKVATSLGALLGGKMGDAMARRFKNSGRIVLAQVSSGSAVPLAAVLLLALPGNPPAAAKHGAALFALGLMASWNPSSTNGPILAEIVPPRSRTSVYALDRTCEAVLASFAPTVVGVLAERLYGYDLAGGAAVEAERRNAASLARALYTAIAVPMVLCCLIYSFLYCTYPRDREAAARAEAAVAARGDGGARPDGGEGSDTEDEGEDERKLLPQ >ONIVA09G07120.1 pep chromosome:AWHD00000000:9:9229563:9244068:1 gene:ONIVA09G07120 transcript:ONIVA09G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAEAGRRTLALVNMAAIMERADEALLPAVYREVGAALHATPMGLGALTLCRSFVQAACYPLAAYAAVRYNRAHVVAAGAFLWAAATFLVAVSDTFAQYNFDALVTPAIQSLVADCSDDTTRGSAFGWLQLTGNIGSVIGGLFSLMLASTTIMGVAGWRVAFHIVALISVIVGALVRLFAVDPHFCSNIQDDGGGDQLPPRKSPLEEMKDLVVEARAVVRIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHEMTGLLTTSFALASSLGGLLGGKMGDRLAVRYPDSGRIVLSQISSASAIPLAALLLLALPDDSSSGFLHGFVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPIVGFLAEHAYGYNPVSYGAGSSSDRENATALAKALYTAIAIPMLLCCFIYSLLYGTYPRDRERARMDTLIASELQQIELERCHRAGIGRRSKDGTVIDVEYGEEESGDVVDDDDDEKALMRYHVEQSGSVGRKQITRPERERERFLTGEDDGGVGMTAQQPEVDVERERRRTLVLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSAVQAACYPVAAYAASRHNRAHVVAAGAFLWAAATFLVAVSGTFLQVAISRGLNGIGLALVIPAVQSLVADSTDDGNRGAAFGWLQLTSSIGSIIGGFSALLLASTTVLGVEGWRVAFHLVAAISVAVGVLVWLFAVDPHFPAGAPGDGGELRRRRRSAWDEARELAGEARAVCRIPTFQIFVAQGVSGSFPWSALSFLSMWLELVGFSHGETAVFTTVFAVATSLGGLLGGKMGDALARRYPDDGRIVLSQISAGSAVPLAAVLLLALPDDPSTGVAHALVLFVMGLIISWNAAATNNPIFAEIVPEKSRTSIYALDRSFESILASFAPPAVGYLSQHVYGFKPSGVGGGGGQPEVDVERERRRTLVLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSAVQAACYPLAAYSAARHNRAHVIAAGAFLWAAATFLVAVSDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDGGTRGSAFGWLQLASSLGFISGGFVGLLLAQTTVFGIAGWRIAFHLVAIISVFVGILNWFFAVFLTFRQAMLARAIDQSEMIKEAKFVVQIPTFQIFVAEGVSGSFPWSALSFASMWLELIGFSHKDTAFLMTTFWVASSFGGLLGGKMGDFLALRYPNSGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGIAYGIVLFIMGLFISWNGPATNLPICAEIVPEKSRTSIYALDMCFKSVLSSFAPPIVGILAQRVFGYRADDKGKIIPGIESVHVFDSANEVLMPGEEREREIRDSSPEKTTEVRG >ONIVA09G07120.2 pep chromosome:AWHD00000000:9:9229563:9244068:1 gene:ONIVA09G07120 transcript:ONIVA09G07120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAEAGRRTLALVNMAAIMERADEALLPAVYREVGAALHATPMGLGALTLCRSFVQAACYPLAAYAAVRYNRAHVVAAGAFLWAAATFLVAVSDTFAQLTGNIGSVIGGLFSLMLASTTIMGVAGWRVAFHIVALISVIVGALVRLFAVDPHFCSNIQDDGGGDQLPPRKSPLEEMKDLVVEARAVVRIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHEMTGLLTTSFALASSLGGLLGGKMGDRLAVRYPDSGRIVLSQISSASAIPLAALLLLALPDDSSSGFLHGFVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPIVGFLAEHAYGYNPVSYGAGSSSDRENATALAKALYTAIAIPMLLCCFIYSLLYGTYPRDRERARMDTLIASELQQIELERCHRAGIGRRSKDGTVIDVEYGEEESGDVVDDDDDEKALMRYHVEQSGSVGRFLTGEDDGGVGMTAQQPEVDVERERRRTLVLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSAVQAACYPVAAYAASRHNRAHVVAAGAFLWAAATFLVAVSGTFLQVAISRGLNGIGLALVIPAVQSLVADSTDDGNRGAAFGWLQLTSSIGSIIGGFSALLLASTTVLGVEGWRVAFHLVAAISVAVGVLVWLFAVDPHFPAGAPGDGGELRRRRRSAWDEARELAGEARAVCRIPTFQIFVAQGVSGSFPWSALSFLSMWLELVGFSHGETAVFTTVFAVATSLGGLLGGKMGDALARRYPDDGRIVLSQISAGSAVPLAAVLLLALPDDPSTGVAHALVLFVMGLIISWNAAATNNPIFAEIVPEKSRTSIYALDRSFESILASFAPPAVGYLSQHVYGFKPSGVGGGGGQPEVDVERERRRTLVLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSAVQAACYPLAAYSAARHNRAHVIAAGAFLWAAATFLVAVSDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDGGTRGSAFGWLQLASSLGFISGGFVGLLLAQTTVFGIAGWRIAFHLVAIISVFVGILNWFFAVFLTFRQAMLARAIDQSEMIKEAKFVVQIPTFQIFVAEGVSGSFPWSALSFASMWLELIGFSHKDTAFLMTTFWVASSFGGLLGGKMGDFLALRYPNSGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGIAYGIVLFIMGLFISWNGPATNLPICAEIVPEKSRTSIYALDMCFKSVLSSFAPPIVGILAQRVFGYRADDKGKIIPGIESVHVFDSANEVLMPGEEREREIRDSSPEKTTEVRG >ONIVA09G07120.3 pep chromosome:AWHD00000000:9:9229563:9244068:1 gene:ONIVA09G07120 transcript:ONIVA09G07120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAEAGRRTLALVNMAAIMERADEALLPAVYREVGAALHATPMGLGALTLCRSFVQAACYPLAAYAAVRYNRAHVVAAGAFLWAAATFLVAVSDTFAQYNFDALVTPAIQSLVADCSDDTTRGSAFGWLQLTGNIGSVIGGLFSLMLASTTIMGVAGWRVAFHIVALISVIVGALVRLFAVDPHFCSNIQDDGGGDQLPPRKSPLEEMKDLVVEARAVVRIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHEMTGLLTTSFALASSLGGLLGGKMGDRLAVRYPDSGRIVLSQISSASAIPLAALLLLALPDDSSSGFLHGFVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPIVGFLAEHAYGYNPVSYGAGSSSDRENATALAKALYTAIAIPMLLCCFIYSLLYGTYPRDRERARMDTLIASELQQIELERCHRAGIGRRSKDGTVIDVEYGEEESGDVVDDDDDEKALMRYHVEQSGSVGRKQITRLGLQDALHFVDSKGYDGGVGMTAQQPEVDVERERRRTLVLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSAVQAACYPLAAYSAARHNRAHVIAAGAFLWAAATFLVAVSDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDGGTRGSAFGWLQLASSLGFISGGFVGLLLAQTTVFGIAGWRIAFHLVAIISVFVGILNWFFAVFLTFRQAMLARAIDQSEMIKEAKFVVQIPTFQIFVAEGVSGSFPWSALSFASMWLELIGFSHKDTAFLMTTFWVASSFGGLLGGKMGDFLALRYPNSGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGIAYGIVLFIMGLFISWNGPATNLPICAEIVPEKSRTSIYALDMCFKSVLSSFAPPIVGILAQRVFGYRADDKGKIIPGIESVHVFDSANEVLMPGEEREREIRDSSPEKTTEVRG >ONIVA09G07120.4 pep chromosome:AWHD00000000:9:9243967:9257953:1 gene:ONIVA09G07120 transcript:ONIVA09G07120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQQLQQPEVVEVDVERERRRTLVLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSAVQAACYPLAAYAAARHNRAHVIAAGAFLWAAATLLVAVSDTFLQVALARGLNGIGLALVVPSIQSLVADSTEDGTRGTAFGWLQLASSLGLISGGFVGLLLAQTTVFGIAGWRIAFHLVAIIGVFVGILNWFFAVDPHFPRSNAGTCDRLVTKQSAWQVIEEMIKEAKFVVQIPTFQIFVAQGVSGTFPWSALSFASMWLELIGFSHKETAFLMTIFWVASSFGGLLGGKMGDFLALHYPNAGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGFAYGIVLFIMGVFISWNGPATNFPIFAEIVPEKSRTSIYALDRSFESVLASFAPPIVGILAQRVYGYRPDNKGQSVQLDRENAASLAKALYTSIAIPFTICTSIYSFLYCSYPRDRERARMQSLIESELQQMEQEGSCLEEGDCRFQVVDSPHDDEIATIEVTNDVKGLSETEKDTAKLLANRESGEEEAPAAGWMGLVPAAAAAATTWEERRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLCRSAVQAACYPVAAYAASRHNRAHVVAAGAFLWAAATFLVAVSGTFLQVAISRGLNGIGLALVIPAVQSLVADSTDDGNRGAAFGWLQLTSSIGSIIGGFSALLLASTTVLGVEGWRVAFHLVAAISVAVGVLVWLFAVDPHFPAGAPGDGGELRRRRRSAWDEARELAGEARAVCRIPTFQIFVAQGVSGSFPWSALSFLSMWLELVGFSHGETAVFTTVFAVATSLGGLLGGKMGDALARRYPDDGRIVLSQISAGSAVPLAAVLLLALPDDPSTGVAHALVLFVMGLIISWNAAATNNPIFAEIVPEKSRTSIYALDRSFESILASFAPPAVGYLSQHVYGFKPSGVGGGGGVERDRENAASLAKALYAAIAIPMTACSAIYSFLYCTYPRDRDRARAMQSLVAANAAGGDTQATTELRHVELEEGSCGGGGGDTRRFELVGSGEEEEGGGERGDGDGDGDAGVYGSGEAVADMPEQQQTKKRRRRPRRGGARAATLLLAYAALAMERADAALLPAVYREIGAALLASPSALGSIALSRSVVQAACYPLAAYLAARHDRLTVVALGAFLWAAATLLIAVSTTFPQASDRDLSNSTTLLPWKAHLAVLLHG >ONIVA09G07110.1 pep chromosome:AWHD00000000:9:9226389:9226673:-1 gene:ONIVA09G07110 transcript:ONIVA09G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGSAGAAAFIVRGDGSASVAEVVTRCGRSAWAVEVDARGGSRRRSSRAVDENTSASAVLTNPTALRLLCSKHFARLPKDASATTAPVGRTR >ONIVA09G07100.1 pep chromosome:AWHD00000000:9:9207308:9208490:1 gene:ONIVA09G07100 transcript:ONIVA09G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGGSLQSSSGGDDEFDSRGGGGGGGVDSSPLSALLRPSSSSGFSLHGGSMYGFQELGSVGTSLQHQQGVQLQPWSAAQFAAGAPSSSSPRVAADAGVAGAHQQQQQQQQGDPSSEGAGAGAAVAAAPARGGRTRFDHLFPSPASALRSAAAGDPASSLPPYLLRPFAQKLPTAASPFPPYTSSSSSTPLSTSTPSSSNLAAANANATTTSTAAATTATSVNPTAAAGAGDTFQLTPAALLRMQHDATSSSGSYLSFPSVLAAASQPMFGGFAQGGGGGARLHDASPSPSFSEFLGGGISLTDGGGLMSSDALHHHLPTRNDAHHHGGDELSGVVASGSCKLNYTSHAGAPSSSQAAAADKPPDGSTAAARPARGEGLDPWICTSE >ONIVA09G07090.1 pep chromosome:AWHD00000000:9:9190370:9191182:1 gene:ONIVA09G07090 transcript:ONIVA09G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRERRNGGGWRRGGTARYRLVPGTWYLWYRLGIDRYLMPGTGWYLGIKPWYQGIKPDTYGVSEGTLKKRRRRGGGRAATAKDGVEEARRGEGRGLSQRAREVSRRSRGGGRHRLLASIPETQKQQAAAGMRDAEAEGEDEGSSRFCPPRGDDSPAHTRPTRAASQSGAARGGKLEGDPAEGWPTSPTQCRRRPPPRPSETTTTTPSSQPASSLLGLLERERDRGEGEREREGCSAV >ONIVA09G07080.1 pep chromosome:AWHD00000000:9:9184397:9185332:-1 gene:ONIVA09G07080 transcript:ONIVA09G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:succinate dehydrogenase 2-3 [Source:Projected from Arabidopsis thaliana (AT5G65165) TAIR;Acc:AT5G65165] MMLRRTLPRLASAKDGGGGGFADGHFPSLRGHPAARANARDAAEKQAALAAKEEEIRDHRRGDAAAASPAPSTVKEFRVYRWSPDAPSRRPHLQSYHVDLATCGPMVLDVLQKIKAEHDATLAFRRSCREGICGSCSMCIDGVNTVACLRPVDTDTSSATTVTPLPHMYVVRDLVVDLTSFYQQYKSVEPWLKRKTKTKTETTEHAQSPEERKRLDGLYECILCACCSAACPSYWWNAEAFLGPAALLHAYRWVSDSRDEYAAERVQALAEGWDKLYRCRMIKSCTATCPKSLDPAAAISAMKTLHQLGKP >ONIVA09G07070.1 pep chromosome:AWHD00000000:9:9178804:9183568:-1 gene:ONIVA09G07070 transcript:ONIVA09G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39740) TAIR;Acc:AT4G39740] MLTPRVLKLSLLRRLGAASAARAAERPPPCRPRVFPARSNHSRGYSSEGGSKYNRPMRQFAEENEANPQPLIYYVVPSALLVFAGLVTFVHYNDEKRAVTQEAQQTSVPKRCTTNRPAIGGPFKLYDTENNEVTESKLRGNWTLMYFGYTSCPDIGPAEVQKMADVVKLLESKYGTKITPLFITIDPQRDSPAQLKAYLSEFDPRIIGLTGSINAVRQIAQEYRVFFKKVDDIGQDYLVESSHNMYLLDPCLETARCFGAEYEASDLAEAITLEIQKASKSSTNYSATN >ONIVA09G07070.2 pep chromosome:AWHD00000000:9:9179665:9183568:-1 gene:ONIVA09G07070 transcript:ONIVA09G07070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39740) TAIR;Acc:AT4G39740] MLTPRVLKLSLLRRLGAASAARAAERPPPCRPRVFPARSNHSRGYSSEGGSKYNRPMRQFAEENEANPQPLIYYVVPSALLVFAGLVTFVHYNDEKRAVTQEAQQTSVPKRCTTNRPAIGGPFKLYDTENNEVTESKLRGNWTLMYFGYTSCPDIGPAEVQKMADVVKLLESKYGTKITPLFITIDPQRDSPAQLKAYLSEFDPRIIGLTGSINAVRQIAQEYRVFFKKVDDIGQDYLVESSHNMYLLDPCLETARCFGAEYEASDLAEAITLEIQKASKSSTN >ONIVA09G07060.1 pep chromosome:AWHD00000000:9:9177631:9178302:-1 gene:ONIVA09G07060 transcript:ONIVA09G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDRSAPLRPPAAGRRGAERRTPPTADPAPPEGGAAGSAACRCRPPTLSPRIEAVAAVEPAAAARRRDPAAPRPAPPDSLVTVSRTSSPRAAEPPPSPHDVGSRGSGTANPGSSHHEARVAVRRTPSPRAATPQSSPLPHNVRLGRSGASTVESARRRPLHAVASRRGAHDVGHPEAGVAIRRTLSWEEGWRLGEWDCGSCRVGLGLYIPLSKWANGPKN >ONIVA09G07050.1 pep chromosome:AWHD00000000:9:9172829:9173953:1 gene:ONIVA09G07050 transcript:ONIVA09G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKAAAGGGGGGGGKGVVATTTAPTDLLVCFPPRQHLALMPKPICSPSRTTVDKAVAARRRRQQQQQLPAARSGGGGGGRGRGSTSSPLFRGSKAKQAAVEVDDEPQSPKVTCVGQIKVARPKKQRKVAGKPGNGGGGGGGGGGGRSWITVVEEIERLHEQRKKVSWLEAVGIRRDALPFLGGALRSLRLKVRCFGSLHGAVESSTDDEDDDDDDGRGAEEHEAVSAGCGGSAASSVFSKWLMVLEGSEETPEQDSGDDEEEPEREDDDECSNAPPSAPPANALLLMRCRSAPAKGLARRRTEEPPPPVGEAVHDEGSAAAAAAGDDGAEEERDELVFMRTAPDFLKLSIDIAKETWIVGGVDPLARSRSWKR >ONIVA09G07040.1 pep chromosome:AWHD00000000:9:9169764:9170715:-1 gene:ONIVA09G07040 transcript:ONIVA09G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDCDAWCSASGGGGGGSVGSGSNEGGGAGRFCKLQWLYCGVVSGVPLAAIQKGVGVAIASSFVLLFCPVSCSTSYKCLGDGDWMVRRGDNYNKERHWQDEGKLVGNASDGWGATLDLGPKCCCIVSELSIGIWWGKERIKYGCNVDEEYRSLDSISRSTWCGSWYGRAR >ONIVA09G07030.1 pep chromosome:AWHD00000000:9:9162256:9162801:1 gene:ONIVA09G07030 transcript:ONIVA09G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDGGDMARNGDGGGADMVGAGGMLSYADADGAAEPSSLPQPTSAPSPSPAAPAPPTSSPTPISILLDDLLLECLAGVPYASLPQLPTTSPRSSPPSRARRAPLLSPRPLRHAHGEPTDVGVVVVMRTRSRRPPTRSYAPSPPPPPLRSTAGDWMGIDPLSPFPCKVNKMTRGKYVRE >ONIVA09G07020.1 pep chromosome:AWHD00000000:9:9152370:9155458:-1 gene:ONIVA09G07020 transcript:ONIVA09G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATREGGGGGGGRPPLRVGRTQEYRMGRETQLLAAEGSPPVSLFVLCGDRFEAARLFRSGGLSVRMARVEGHPVSMASCAVGDHHWMLSRDALVARLDARVFVFEMPGFFYAVVVPSDAGVGGGGAERKCATLAEIFSRFCSYHDLSTTQQGEDEAGGDMNQHSNPWVRAHARIQRLKKPTSPPAGAGQATADAAAERAGAGAVVGLASQLERAVRTSAVVKLLSRSLLAGALQPARHLMITLAAGAGGAAANNAAGTSAGAGGSAAALPSKSVVSDLLEAIETSRTSPRREAARRAGGGAGEPGWWSLNVEGVMLLLRVVQAVRGRKLPAPEKRTRDEASDGGGIMGGGGGGAARRWCGGRPKKLGNTVGACGSS >ONIVA09G07010.1 pep chromosome:AWHD00000000:9:9140740:9142916:1 gene:ONIVA09G07010 transcript:ONIVA09G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0III2] MAKASVVVPEQVGAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHQRLEQATSSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCRDVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWNRLSRTRLRL >ONIVA09G07010.2 pep chromosome:AWHD00000000:9:9140740:9142916:1 gene:ONIVA09G07010 transcript:ONIVA09G07010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0III2] MAKASVVVPEQVGAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHQRLEQATSSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGTYYSTTLPFLVGKKTHWLCSAAGFADCRDVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWNRLSRTRLRL >ONIVA09G07010.3 pep chromosome:AWHD00000000:9:9140740:9143085:1 gene:ONIVA09G07010 transcript:ONIVA09G07010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0III2] MAKASVVVPEQVGAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHQRLEQATSSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGTYYSTTLPFLVGKKTHWLCSAAGFADCRDVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWNRLSRTRLRL >ONIVA09G07010.4 pep chromosome:AWHD00000000:9:9140740:9142916:1 gene:ONIVA09G07010 transcript:ONIVA09G07010.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0III2] MAKASVVVPEQVGAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETVSLSSPPLSPTLLLHSSSSHTSLLPHQMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHQRLEQATSSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGTYYSTTLPFLVGKKTHWLCSAAGFADCRDVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWNRLSRTRLRL >ONIVA09G07010.5 pep chromosome:AWHD00000000:9:9140740:9143085:1 gene:ONIVA09G07010 transcript:ONIVA09G07010.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0III2] MAKASVVVPEQVGAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETVSLSSPPLSPTLLLHSSSSHTSLLPHQMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHQRLEQATSSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGTYYSTTLPFLVGKKTHWLCSAAGFADCRDVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWNRLSRTRLRL >ONIVA09G07000.1 pep chromosome:AWHD00000000:9:9120520:9121394:1 gene:ONIVA09G07000 transcript:ONIVA09G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRGEAAGRLRRPVTTEAREARSAARPRQLGVASERRRRVRQLEVAGEQQREEETSGSPSPLRSLFGTEAEAVALLMLPSLETAKEEEERRVVPSPQPPPALEGDGEERGGGDSNEWELAIFF >ONIVA09G06990.1 pep chromosome:AWHD00000000:9:9113804:9114662:1 gene:ONIVA09G06990 transcript:ONIVA09G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPATGQGGRVGLICNCSPVTTTVGDINTIVCYRSSPSLPQRPRSSYSSSSPCPHAFTTSTVAPSHPGSSGSVDPPVTGMLDSRTHCGATRRRIPPRGELAAESSWERS >ONIVA09G06980.1 pep chromosome:AWHD00000000:9:9108953:9109830:1 gene:ONIVA09G06980 transcript:ONIVA09G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDLSGEELMRALEPFIRDASGSPPVCSQFSPTSPFSFPHAFAYGGGLAQQPELSPAQMHYIQARLHLQRQAAQAGPLGPRAQPMKASSSSASAAGAAATPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRGPLHASVDAKLQTLCQNIAAAKNAKKSSVSASAAATSSAPTSNCSSPSSDDASSCLESADSSPSLSPSSAATTAETPATVPEMQQLDFSEAPWDEAAAFALTKYPSYEIDWDSLLAAN >ONIVA09G06970.1 pep chromosome:AWHD00000000:9:9094588:9100650:1 gene:ONIVA09G06970 transcript:ONIVA09G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVSKQDDDTALLICKDRLRHIEQAIDARYALSAAQLAYEQSLRSLGIALRQFVEAHKDDDDIERSPSSSYAIVSSSPPHRSDVNHMKSEASTSVTVTINTSQASSVQKEQSVTAFLPPPLQLEFCSSWDFFDPTVVSENVASDASVNSQTFELRTLEDLSNPNEMGLASSIGNTSEIVEVQEVFGAPGWKQVHKNDNLPDLHHSNSNEIQMSGTHLPNDSSLEEELEQVQTQAIGGQNSNDVSDNIKSEANHINVNAPKNEEAKAIFITDSDSSKDFLSCVKDLERQFSRAAVSCHEVSRMLETKKIRLSISSQTKGKSSDVLFRPTFLIGCKAGTAASDGSEKRVTKAITWNRSLSSRSSASKNPLTPAQMDDEFSEICSDFVEEFCMISGSHASSLDRLYAWEMKLYNELKGTESLKKIYDKKCVQLRHQFERDASARQVDKTRVIVKDLYSRLKVETEVLYSISKIIEKLRDEELQPQLLELLKGLTRMWAMMHEIHRVQQTIVSSSDIVYVLRSPRGEPYKQPLVNLVNEMGFFYSSLTNWIAAYKCYVDGLHSWLQKCVLQPYDHTRGRRLTLSPRRHLAPPMFVLLDDWSSAIASLPGEDTLGSIKNIMSDLKKMFKNHQAEGNKPETGSKLATLQAGLATMFDRLSKFSTAMSSLSESVKNSTEAAREAYAVGRSG >ONIVA09G06960.1 pep chromosome:AWHD00000000:9:9088132:9089728:1 gene:ONIVA09G06960 transcript:ONIVA09G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRDFAKRYEADCRHLNQFFSGNVSPNNARPVLEIFTARSSQEMKQICRAYSSMYRQDLIQLLSQQKTTFAVIPASDPLVSKHISILSGSIAIRVACLRASEPCVRDADIARDALFGRRIDGDVLVEVVCTRPSGEVALIRQAYQARYSASLERDVSSRTSGSLNEVLLAFLGSSGSGYHGGRVDATMAMCDAKTLYEAVEISAARVDQRSVLQLLRHRSGDQLRAVLASYRRLYGQELARALKRKDGDTSGGGGGRRGESSSFPGILRAALRCAQLPERHFARAVRAALERGGGAAREALVRTVVTRAGVDVRRVNQAFAAKTGWTLESVVRNEFGSGGTGKSDDGLTGDLLVELLKLA >ONIVA09G06950.1 pep chromosome:AWHD00000000:9:9082927:9085520:1 gene:ONIVA09G06950 transcript:ONIVA09G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAVEVRCAAGGGGGVNAAAFGRRMMRPAPAGHCRVIRAAATSTVASGRGEDDYYKMLSLDRAGDVGAEEIRRAYRRLALRYHPDACPPSRRAESTRLFLQLRRAYETLSDPALRVRYDAELMMRVRRPASAARPAAEEDASSSSSSLARDVWEAQLRTLRARSDERRRHGAAGTARRGRWFEVGSSAPYSKENGQSRDKPNSIKNL >ONIVA09G06940.1 pep chromosome:AWHD00000000:9:9060747:9068594:-1 gene:ONIVA09G06940 transcript:ONIVA09G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLSIVLLSMAQLPSLVAGTGRPRVIIIGAGISGISAGKRLSEAGITDILILEATDHIGGRMHKQRFAGVNVEIGANWVEGVNGEKMNPIWPIVNSTLKLRNFLSDFDSLAQNVYKDGGLCDAAYVQKRIDLADEADKSGENLSATLHPSGRDDMSILSMQRLNNHLPNGPSSPVDMVVDYFTYDYEFAEPPRVTSLRNTVPLPTFTDFGDDNYFVADQRGYEAVVYYLAGQYFEADKSGNIVDARLQLNKVVREISYSSTGVTVKTEDNSTYQADYVMVSASLGVLQSDLIQFKPQLPSWKILAIYQFDMAVYTKIFEFEKQYTDANVLLVTVTDEESRRIEQQPDSQTKAEIMEVVRSMFPDEDVPDATDILVPRWWSDRFFQGSFSNWPIGVSRYEHDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGIDSAEILINCVQKNMRKHFHDGMRNVRFHNLLIEVCRAFCGKLWCLH >ONIVA09G06940.2 pep chromosome:AWHD00000000:9:9060747:9068594:-1 gene:ONIVA09G06940 transcript:ONIVA09G06940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLSIVLLSMAQLPSLVAGTGRPRVIIIGAGISGISAGKRLSEAGITDILILEATDHIGGRMHKQRFAGVNVEIGANWVEGVNGEKMNPIWPIVNSTLKLRNFLSDFDSLAQNVYKDGGLCDAAYVQKRIDLADEADKSGENLSATLHPSGRDDMSILSMQRLNNHLPNGPSSPVDMVVDYFTYDYEFAEPPRVTSLRNTVPLPTFTDFGDDNYFVADQRGYEAVVYYLAGQYFEADKSGNIVDARLQLNKVVREISYSSTGVTVKTEDNSTYQADYVMVSASLGVLQSDLIQFKPQLPSWKILAIYQFDMAVYTKIFEFEKQYTDANVLLVTVTDEESRRIEQQPDSQTKAEIMEVVRSMFPDEDVPDATDILVPRWWSDRFFQGSFSNWPIGVSRYEHDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGIDSAEILINCVQKNMCKCNVRGQQVSGGKRKHFHDGMRNVRFHNLLIEVCRAFCGKLWCLH >ONIVA09G06940.3 pep chromosome:AWHD00000000:9:9060747:9068594:-1 gene:ONIVA09G06940 transcript:ONIVA09G06940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLSIVLLSMAQLPSLVAGTGRPRVIIIGAGISGISAGKRLSEAGITDILILEATDHIGGRMHKQRFAGVNVEIGANWVEGVNGEKMNPIWPIVNSTLKLRNFLSDFDSLAQNVYKEYVHSMNGGGLCDAAYVQKRIDLADEADKSGENLSATLHPSGRDDMSILSMQRLNNQYVPLPLNLPNGPSSPVDMVVDYFTYDYEFAEPPRVTSLRNTVPLPTFTDFGDDNYFVADQRGYEAVVYYLAGQYFEADKSGNIVDARLQLNKVVREISYSSTGVTVKTEDNSTYQADYVMVSASLGVLQSDLIQFKPQLPSWKILAIYQFDMAVYTKIFEFEKQYTDANVLLVTVTDEESRRIEQQPDSQTKAEIMEVVRSMFPDEDVPDATDILVPRWWSDRFFQGSFSNWPIGVSRYEHDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGIDSAEILINCVQKNMCKCNVRGQQVSGGKRKHFHDGMRNVRFHNLLIEVCRAFCGKLWCLH >ONIVA09G06930.1 pep chromosome:AWHD00000000:9:9053689:9056789:1 gene:ONIVA09G06930 transcript:ONIVA09G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVSDNNGRGVSLPPSWICGWLASRGADPTVVVLGEADPVVVGGRLGGGGRGRHILLPKHTLDWWPTLPHNINKSKIKNQPLPPPTTPSQASAADGRVISGRRRPSSRQIWRRESASSRPLLLPPNAAAAPRCYSGGDGTVGPPPPFLLSDLEEGGRLRAAAAPPAAHRRRRHTLLMTPTPTPLLLPPLFLPPGEEKERRKRREEELDELVQTDEELVKLATNPLAAQHALLLRDTPLSPHLISYLIGPPLAR >ONIVA09G06920.1 pep chromosome:AWHD00000000:9:9042246:9048011:-1 gene:ONIVA09G06920 transcript:ONIVA09G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQSFAGVNVEIGANWVEGVNGEKKNPIWPIVNSTLKLRSFLSDFDSLAQNVYKDGGLCDEAYVQKRMDRADEVDKSGQNLSVTLHPSGRDDMSILSMQRLNDHLPNGPSSPVDMAVDYFTYDYEFAEPPRVTSLQNTVPLPTFTDFGDDTYFVADQRGYESVVHHLAGQYLNADKSGNIADARLKLNKI >ONIVA09G06910.1 pep chromosome:AWHD00000000:9:9035998:9039167:1 gene:ONIVA09G06910 transcript:ONIVA09G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAMVAPTMKTSGRVGGDRARGLLKRRLHGKLFPPLSSTGYQGPPSWTVSAGKLRGGGGGDGNSARSPPAGRSDMSGDSPRRSHRKPWPAFLCGLIFCFSAYASMRKQWRGWGAPMWHRGTRSQSGNSGASLVSQQREQIDGDDCAMASLAMVAPTTKMRRSVGGGRSSTGAPQAAAAQQALPAAALTGYEGPPSWQSPQANSAGAEAAMGTLHALLRWAGATTSGSP >ONIVA09G06900.1 pep chromosome:AWHD00000000:9:9020656:9022878:-1 gene:ONIVA09G06900 transcript:ONIVA09G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRADEVDKSGQNLSVTLHPSGRDDMSILSMQRLNDQYVPLPLNLPNGPSSPVDMAVDYFTYDYEFAEPPRVTSLQNTVPLPTFTDFGDDTYFVADQRGYESVVHHLAGQYLNADKSGNIADARLKLNKVDHITSDAMIFSVRKDELIKHAIIMVVVREISYSSTGVTVKTEDNSTYQADYVMVSASLGVLQSDLIEFKPQLPSWKILAIYQFDMAVYTKIFVKFPKKFWPEGAGREFFLYASTRRGYYGVWQEFEKQYPDANVLLVTVTDEESRRIEQQPDSQTKAEIMEVVRSMFPDEDVPDATDILVPRWWSDRFFRGSFSNWPIGVSRYEYDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGIDSAEILINCAQKKMCKYNVGGKHG >ONIVA09G06890.1 pep chromosome:AWHD00000000:9:9005689:9007814:1 gene:ONIVA09G06890 transcript:ONIVA09G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGKRGRGGSKNNPMRRTTSMTEFSPPDVLAAVVEEEGEDLEAMMMVGQPGGGGGDGAQDWLASFGGEGGGGGGGGGAPGQDWLAAYRARAAPARAGLRRNSADYCAVETASFLRACGLCRRRLGPGRDTFMYKGEAAFCSLECRQQHMTQEEWQDKCGVTSMKKEAPAPPNGRRRSSKTTTGGGTVAAA >ONIVA09G06880.1 pep chromosome:AWHD00000000:9:9003087:9003482:1 gene:ONIVA09G06880 transcript:ONIVA09G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWPVVVTGVAHCRRGGGVAEESRRWVVEPSGAKCPIGLLALGLSKVALSCKGVGLGLLDRPTKRDGLPYNTVVLAFSRESSKSTRGGGPRGIPPITARHVWDPRRQVGPTLAVPVRPAFPKPTALQRPS >ONIVA09G06870.1 pep chromosome:AWHD00000000:9:8999935:9000621:-1 gene:ONIVA09G06870 transcript:ONIVA09G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLLDLWVSPFGQRCRIALAEKGVEYEYSEQSLADKSDLLLRSNPVHKKVPVLLHAGRPVCESLVILEYIDETWPPEPEKKKESPRLLPSDPYARARARFWADYVDKKLFDCQTRLWKLRAGDAAHEQAKRDMAEALGTLEAELGEGDYFGGEAFGYLDVVLVPFVAWFHAYERLAGFAVAEICPRLVAWGERCKGRDSVAKTLTDPEKVYEFALYLKAKFGAK >ONIVA09G06860.1 pep chromosome:AWHD00000000:9:8990522:8990978:-1 gene:ONIVA09G06860 transcript:ONIVA09G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRSNPVLMITLCCFITLSSSLAIVCFVLPYAACLLDGDDDAGLTTVWPPVLIACTGADGDDTLMHNVAGTDDCCRVHPSTGGVVAVPTFVSTGAESPGHQLLEEQVKLHEILEC >ONIVA09G06850.1 pep chromosome:AWHD00000000:9:8984540:8986061:-1 gene:ONIVA09G06850 transcript:ONIVA09G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSNPALISTLCCLTALLSLAFACFLLPYVCPLDGDDDAGLTSVWPVLVRTDVAATLMHNDDYCRRVHPSTTAGVVVGAVPTLVSAGSESQHHQLVTMIIIVLADLAADQRKKKKSGSMAPLLIVLLLIAVAAATGCAAADARGPRCSNDPNLPRRIRACGPPSIKPHN >ONIVA09G06840.1 pep chromosome:AWHD00000000:9:8972666:8977052:-1 gene:ONIVA09G06840 transcript:ONIVA09G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFFHQLKVIIIILCFFPTFPSFASGRYSPHDGATATWAGHHHQLQVARDHPRGCGGGGGLAEYCWVARPQAGVASVATFVSTAGAASPHQASLQPGDFNCSVLSGFVTRPGCSPLPAFAAAAGKSSSHRTVTGGLPELDSELALLAMIIVLADRAADRRKKKSGRARYSVAAIGALLIMLLLAAAAGSASTAPVADATRSNCTNDPNMPKHFRCNPNRN >ONIVA09G06830.1 pep chromosome:AWHD00000000:9:8961065:8962175:-1 gene:ONIVA09G06830 transcript:ONIVA09G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSDQALLFVCLRRVLLLSVALSAVAGQNIAEVGGGIDDDVLSHRKVIMISSVVTEVVADEVQGAITELVGGGGVHSVRTITEVDGGDVVRHRKVHSITEEDGRADDDDEVHAITNESVGADGKLSSASQVLYPARADANTAAADRLIATTMIVMLMVVTSERKKRSGRATWFSPAAVLTMLLLVVAASANSSAAARPLPTAAAAGHNEAAVAAVASETMPVKAAAPGHSSCTTDPNTQQPVRCIPH >ONIVA09G06820.1 pep chromosome:AWHD00000000:9:8938496:8943368:-1 gene:ONIVA09G06820 transcript:ONIVA09G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLLCSLFLAAALFGVASAATRRHDWDISYQFTSPDCVRKLAVTINGHTPGPTIRAVQGDTIVVRVKNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFAYTFVVDRPGTYMYHAHYGMQRSAGLNGMIVVEVAPGAAGDGEREPFRYDGEHTVLLNDWWHRSTYEQAAGLASVPMVWVGEPQSLLINGRGRFVNCSSSPAMAASCNVSHPDCAPAVFAVVPGKTYRFRIASVTSLSALNFEIEGHEMTVVEADGHYVKPFVVKNLNIYSGETYSVLITADQDPNRNYWLASNVVSRKPATPTGTAVLAYYGGRRNSPRARPPTPPPAGPAWNDTAYRVRQSLATVAHPAHAVPPPPTSDRTILLLNTQNKIGGQIKWALNNVSFTLPHTPYLVAMKRGLLGAFDQRPPPETYAGAAAFDVYAVQGNPNATTSDAPYRLRFGSVVDVVLQNANMLAANSSETHPWHLHGHDFWVLGHGAGRFDPAVHPAAYNLRDPIMKNTVAVHPFGWTALRFRADNPGVWAFHCHIEAHFFMGMGIVFEEGVDRVGDLPPEIMGCGKTRGGH >ONIVA09G06810.1 pep chromosome:AWHD00000000:9:8930969:8933699:1 gene:ONIVA09G06810 transcript:ONIVA09G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGRRRRTEGVVAAPRRLLSCLFLLGALFGLASAATRPRRDLRCQFISSGCVGNRMVSVDVTNDGGETLVGTIFPAAVQGNWNTVVAVANDSLVVTTASCTATYPERAPLMGFLYPLNFQAQRPKGDGATRFNLSVMSASGLHGATRTGSSPQSAPPPPAADNSSHQVAAELNTDHLFDHLQYSSNNGLEVAAVTTTMIAVLIDLAEKKRRGRGRGSPAAVVAMALVMLLAVAALVNPTAAARPLYGGGGAGGHDEAAAAAAAPAMTVAVVNDAVTVTGHSGCTNDPNTLEPWRCVHH >ONIVA09G06800.1 pep chromosome:AWHD00000000:9:8918158:8923329:-1 gene:ONIVA09G06800 transcript:ONIVA09G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRELDHLANFLQAAVDYKKNGFRAYNRNLPFYSSRRLWYHDCAYATKLSYGPLNEARDAVIASYGTVMGGLLSDKFLDTNTSV >ONIVA09G06800.2 pep chromosome:AWHD00000000:9:8918158:8923329:-1 gene:ONIVA09G06800 transcript:ONIVA09G06800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRELDHLANFLQAAVDYKKNGFRAYNRNLPFYSSSYGTVMGGLLSDKFLDTNTSV >ONIVA09G06800.3 pep chromosome:AWHD00000000:9:8918162:8923292:-1 gene:ONIVA09G06800 transcript:ONIVA09G06800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFIGTAAQRLKCMLMVLLKLRKLWRSLTTCAVKTMFSVEEGRVTKLFLILT >ONIVA09G06800.4 pep chromosome:AWHD00000000:9:8918155:8923292:-1 gene:ONIVA09G06800 transcript:ONIVA09G06800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFIGTAAQRLKCMLMVLLKLRKLWRSLTTCAVKTMFSVEEGRVTKLFLILT >ONIVA09G06790.1 pep chromosome:AWHD00000000:9:8904658:8917241:1 gene:ONIVA09G06790 transcript:ONIVA09G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDPAGAANAAAAASAAKPPRLPRWTRQEILVLIEGKHAVEGRGSGMGRAAAASYGEAAAALETKWAAVAEFCRRHGVERGTAQCRKRWSNLARDYNKIERWERGAAGGWEPSFWDMHNDARREMRLPGFFDREVYDILDSRRLAFVNEMVFDSGRPAPEELMFSDDEEEPPPPPVNPAGSTVEEEEVEDTEVFDSGRPAAEESLFSDDEEEDWVFQDDEEEEAPPVTAAASASPAQAPHGQLIALPISEKSEASRHQRTEQRESFSSMEPLSYTTPEMKMETDAVQLNCDWPMQTLQGHGSSEPSEKSAVQQSDDTNSRLHRDKQWAVQDKRLVLQRTLTEMHTFIKHAEWWFHKDVFAQLLQDAKDAVNCAEDLLDEINYHEFQNKVEGHAVLFHVQDYHETKIDKIQRKLEHLVRQMEQLGLYDERQQLIIESISREDNLFAEEQTIFGRQKEMSELIELIVLQENSPTDKQVTEVHAVPDCKRAKLENVSVLPIVGSGGVGKTTLANLVFNERSVRDHFDLLIWICVSDGFDEKKLMKRLAWSVAENEMKTDDLGCLQRILTNGIIHHTRRVLLVLDDVQTDACREDCHGWKNFLAPLKYARSGSMVLVTTRYHRVAERVGTLKHMFLEGLPEETIWEFFRMLTFGSRNSNSNAVLEPIGRSIVARLDGSSLGIKIIGRLLSLKLDAKYWKIILESELWGWPHQEEASIFTALQLSYQYLPFHLKRCFSFCSLYPRGYEFDAETLVDSWVAVGFVMPSRSILAVDIGHVYFNQLYVARNDCFIIKSRCGMSRIPPKVRHVSILGNGELSSTDIECLNTYKTLRSIVCIGVGCDIITNSVLETWFDHLTRIRMLRFISCRLKELPCNVGKLIHLRYLDISACDFDKLPTDLFCRLYKLEILDAQNCTLHAVPKDIIKLVNLQRLRLKDDLISQLGRVPEELKNMNHLHGGLEIDGLRNVTSREEVAGAELAKKIYFDTLVLKWHESIRPQKHNSTKEMEVLEALRPSSNIKHLEVKFYMGDGLSPMWLRHDELSSLASLSINSCPNTTTLFLIEPSETGSSRSSSISNCEELASLPTNNLVHFVHLEDLEICHCWNLNWEPGLALPPSLKSLKLEACGEFSDSTLSCLHNLTALTILNLRFCPSIESISAQIWSGLWSIENLKIVCCQGLVTVGGSESIAGIKNVDIRHCSKLQDLEQPFRSGQADSQ >ONIVA09G06790.2 pep chromosome:AWHD00000000:9:8904658:8917554:1 gene:ONIVA09G06790 transcript:ONIVA09G06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDPAGAANAAAAASAAKPPRLPRYGEAAAALETKWAAVAEFCRRHGVERGTAQCRKRWSNLARDYNKIERWERGAAGGWEPSFWDMHNDARREMRLPGFFDREVYDILDSRRLAFVNEMVFDSGRPAAEESLFSDDEEEDWVFQDDEEEEAPPVTAAASASPAQAPHGQLIALPISEKSEASRHQRTEQRESFSSMEPLSYTTPEMKMETDAVQLNCDWPMQTLQGHGSSEPSEKSAVQQSDDTNSRLHRDKQWAVQDKRLVLQRTLTEMHTFIKHAEWWFHKDVFAQLLQDAKDAVNCAEDLLDEINYHEFQNKVEGHAVLFHVQDYHETKIDKIQRKLEHLVRQMEQLGLYDERQQLIIESISREDNLFAEEQTIFGRQKEMSELIELIVLQENSPTDKQVTEVHAVPDCKRAKLENVSVLPIVGSGGVGKTTLANLVFNERSVRDHFDLLIWICVSDGFDEKKLMKRLAWSVAENEMKTDDLGCLQRILTNGIIHHTRRVLLVLDDVQTDACREDCHGWKNFLAPLKYARSGSMVLVTTRYHRVAERVGTLKHMFLEGLPEETIWEFFRMLTFGSRNSNSNAVLEPIGRSIVARLDGSSLGIKIIGRLLSLKLDAKYWKIILESELWGWPHQEEASIFTALQLSYQYLPFHLKRCFSFCSLYPRGYEFDAETLVDSWVAVGFVMPSRSILAVDIGHVYFNQLVSRSFFQRSPTSSRYVIHDLLHDMAQYVARNDCFIIKSRCGMSRIPPKVRHVSILGNGELSSTDIECLNTYKTLRSIVCIGVGCDIITNSVLETWFDHLTRIRMLRFISCRLKELPCNVGKLIHLRYLDISACDFDKLPTDLFCRLYKLEILDAQNCTLHAVPKDIIKLVNLQRLRLKDDLISQLGRVPEVGKLTLLQNMPYYAVDDKPGRGIQELKNMNHLHGGLEIDGLRNVTSREEVAGAELAKKIYFDTLVLKWHESIRPQKHNSTKEMEVLEALRPSSNIKHLEVKFYMGDGLSPMWLRHDELSSLASLSINSCPNTTTLFLIEPSETGSSRSSSVSFQSLTKLSITWCRSLTSLDNFLQPECLPMIKVIQISNCEELASLPTNNLVHFVHLEDLEICHCWNLNWEPGLALPPSLKSLKLEACGEFSDSTLSCLHNLTALTILNLRFCPSIESISAQIWSGLWSIENLKIVCCQGLVTVGGSESIAGIKNVDIRHCSKLQDLEQPFRSGQADSQ >ONIVA09G06790.3 pep chromosome:AWHD00000000:9:8904637:8917554:1 gene:ONIVA09G06790 transcript:ONIVA09G06790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDPAGAANAAAAASAAKPPRLPRWTRQEILVLIEGKHAVEGRGSGMGRAAAASYGEAAAALETKWAAVAEFCRRHGVERGTAQCRKRWSNLARDYNKIERWERGAAGGWEPSFWDMHNDARREMRLPGFFDREVYDILDSRRLAFVNEMVFDSGRPAAEESLFSDDEEEDWVFQDDEEEEAPPVTAAASASPAQAPHGQLIALPISEKSEASRHQRTEQRESFSSMEPLSYTTPEMKMETDAVQLNCDWPMQTLQGHGSSEPSEKSAVQQSDDTNSRLHRDKQWAVQDKRLVLQRTLTEMHTFIKHAEWWFHKDVFAQLLQDAKDAVNCAEDLLDEINYHEFQNKVEGHAVLFHVQDYHETKIDKIQRKLEHLVRQMEQLGLYDERQQLIIESISREDNLFAEEQTIFGRQKEMSELIELIVLQENSPTDKQVTEVHAVPDCKRAKLENVSVLPIVGSGGVGKTTLANLVFNERSVRDHFDLLIWICVSDGFDEKKLMKRLAWSVAENEMKTDDLGCLQRILTNGIIHHTRRVLLVLDDVQTDACREDCHGWKNFLAPLKYARSGSMVLVTTRYHRVAERVGTLKHMFLEGLPEETIWEFFRMLTFGSRNSNSNAVLEPIGRSIVARLDGSSLGIKIIGRLLSLKLDAKYWKIILESELWGWPHQEEASIFTALQLSYQYLPFHLKRCFSFCSLYPRGYEFDAETLVDSWVAVGFVMPSRSILAVDIGHVYFNQLVSRSFFQRSPTSSRYVIHDLLHDMAQYVARNDCFIIKSRCGMSRIPPKVRHVSILGNGELSSTDIECLNTYKTLRSIVCIGVGCDIITNSVLETWFDHLTRIRMLRFISCRLKELPCNVGKLIHLRYLDISACDFDKLPTDLFCRLYKLEILDAQNCTLHAVPKDIIKLVNLQRLRLKDDLISQLGRVPEVGKLTLLQNMPYYAVDDKPGRGIQELKNMNHLHGGLEIDGLRNVTSREEVAGAELAKKIYFDTLVLKWHESIRPQKHNSTKEMEVLEALRPSSNIKHLEVKFYMGDGLSPMWLRHDELSSLASLSINSCPNTTTLFLIEPSETGSSRSSSVSFQSLTKLSITWCRSLTSLDNFLQPECLPMIKVIQISNCEELASLPTNNLVHFVHLEDLEICHCWNLNWEPGLALPPSLKSLKLEACGEFSDSTLSCLHNLTALTILNLRFCPSIESISAQIWSGLWSIENLKIVCCQGLVTVGGSESIAGIKNVDIRHCSKLQDLEQPFRSGQADSQ >ONIVA09G06790.4 pep chromosome:AWHD00000000:9:8904658:8917554:1 gene:ONIVA09G06790 transcript:ONIVA09G06790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDPAGAANAAAAASAAKPPRLPRWTRQEILVLIEGKHAVEGRGSGMGRAAAASYGEAAAALETKWAAVAEFCRRHGVERGTAQCRKRWSNLARDYNKIERWERGAAGGWEPSFWDMHNDARREMRLPGFFDREVYDILDSRRLAFVNEMVFDSGRPAPEELMFSDDEEEPPPPPVNPAGSTVEEEEVEDTEVFDSGRPAAEESLFSDDEEEDWVFQDDEEEEAPPVTAAASASPAQAPHGQLIALPISEKSEASRHQRTEQRESFSSMEPLSYTTPEMKMETDAVQLNCDWPMQTLQGHGSSEPSEKSAVQQSDDTNSRLHRDKQWAVQDKRLVLQRTLTEMHTFIKHAEWWFHKDVFAQLLQDAKDAVNCAEDLLDEINYHEFQNKVEGHAVLFHVQDYHETKIDKIQRKLEHLVRQMEQLGLYDERQQLIIESISREDNLFAEEQTIFGRQKEMSELIELIVLQENSPTDKQVTEVHAVPDCKRAKLENVSVLPIVGSGGVGKTTLANLVFNERSVRDHFDLLIWICVSDGFDEKKLMKRLAWSVAENEMKTDDLGCLQRILTNGIIHHTRRVLLVLDDVQTDACREDCHGWKNFLAPLKYARSGSMVLVTTRYHRVAERVGTLKHMFLEGLPEETIWEFFRMLTFGSRNSNSNAVLEPIGRSIVARLDGSSLGIKIIGRLLSLKLDAKYWKIILESELWGWPHQEEASIFTALQLSYQYLPFHLKRCFSFCSLYPRGYEFDAETLVDSWVAVGFVMPSRSILAVDIGHVYFNQLVSRSFFQRSPTSSRYVIHDLLHDMAQYVARNDCFIIKSRCGMSRIPPKVRHVSILGNGELSSTDIECLNTYKTLRSIVCIGVGCDIITNSVLETWFDHLTRIRMLRFISCRLKELPCNVGKLIHLRYLDISACDFDKLPTDLFCRLYKLEILDAQNCTLHAVPKDIIKLVNLQRLRLKDDLISQLGRVPEVGKLTLLQNMPYYAVDDKPGRGIQELKNMNHLHGGLEIDGLRNVTSREEVAGAELAKKIYFDTLVLKWHESIRPQKHNSTKEMEVLEALRPSSNIKHLEVKFYMGDGLSPMWLRHDELSSLASLSINSCPNTTTLFLIEPSETGSSRSSSVSFQSLTKLSITWCRSLTSLDNFLQPECLPMIKVIQISNCEELASLPTNNLVHFVHLEDLEICHCWNLNWEPGLALPPSLKSLKLEACGEFSDSTLSCLHNLTALTILNLRFCPSIESISAQIWSGLWSIENLKIVCCQGLVTVGGSESIAGIKNVDIRHCSKLQDLEQPFRSGQADSQ >ONIVA09G06780.1 pep chromosome:AWHD00000000:9:8878747:8883144:-1 gene:ONIVA09G06780 transcript:ONIVA09G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHVYNCDAFSIGIFCLPTSVAIPLHDHPGMTVLTKLLYGSMHVKSYDWVEPAVLASNSNSKPVRLGKLHKDDVMNAPCPTAGLHRKKQQHLVATMVIVDSFY >ONIVA09G06780.2 pep chromosome:AWHD00000000:9:8878747:8883144:-1 gene:ONIVA09G06780 transcript:ONIVA09G06780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHVYNCDAFSVGSSSTWIISLPVALLRPKPLLITQQMVVFHIGIFCLPTSVAIPLHDHPGMTVLTKLLYGSMHVKSYDWVEPAVLASNSNSKPVRLGKLHKDDVMNAPCPTAGLHRKKQQHLVATMVIVDSFY >ONIVA09G06780.3 pep chromosome:AWHD00000000:9:8881680:8883144:-1 gene:ONIVA09G06780 transcript:ONIVA09G06780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHVYNCDAFSVGSSSTWIISLPVALLRPKPLLITQQMVVFHIGIFCLPTSVAIPLHDHPGMTVLTKLLYGSMHVKSYDWVEPAVLASNSNSKPVRLGKLHKDDVMNAPCPTAVLYPQSDGNIHCITSVNFCAFS >ONIVA09G06780.4 pep chromosome:AWHD00000000:9:8882626:8883144:-1 gene:ONIVA09G06780 transcript:ONIVA09G06780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHVYNCDAFSVGSSSTWIISLPVALLRPKPLLITQQMVVFHIGIFCLPTSVAIPLHDHPGMTVLTKLLYGSMHVKSYDWVEPAVLASNSNSKPGYFLYSVSNISFSNKKYAPWVLPKKNFVYLLSAINIY >ONIVA09G06770.1 pep chromosome:AWHD00000000:9:8869391:8876116:1 gene:ONIVA09G06770 transcript:ONIVA09G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G53520) TAIR;Acc:AT1G53520] MRWSEKVGIETFDASSVFVSIFKAPVVKSLSIILIRDVDGKTFVKALDDIIARQIKKPSAEEEQGLSTFQKTFLGRSLKQGITVYLTWLEPSRLLISISGNQDPCQVDAEITSATVNYALYDGFFGSSPVSPTLRSSTAQLLEAILTK >ONIVA09G06770.2 pep chromosome:AWHD00000000:9:8870669:8876116:1 gene:ONIVA09G06770 transcript:ONIVA09G06770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G53520) TAIR;Acc:AT1G53520] MAHADAKRQEKHKSPLEFLTTSLGGCLGSLGGKSATGCEVGDAFVIEDTTNVKFPREIAVPGYTEPLVILGTGYREKFFLKIYAAAFYVDCSIGVDTMRWSEKVGIETFDASSVFVSIFKAPVVKSLSIILIRDVDGKTFVKALDDIIARQIKKPSAEEEQGLSTFQKTFLGRSLKQGITVYLTWLEPSRLLISISGNQDPCQVDAEITSATVNYALYDGFFGSSPVSPTLRSSTAQLLEAILTK >ONIVA09G06770.3 pep chromosome:AWHD00000000:9:8869385:8870688:1 gene:ONIVA09G06770 transcript:ONIVA09G06770.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G53520) TAIR;Acc:AT1G53520] MSVVPVVSSALAGPAIAVARPASGSVTRLRAPHGVPAGSVPLASGLANCHRGAAVAAARRLPISSAAGGGTVFPLAAAKAT >ONIVA09G06760.1 pep chromosome:AWHD00000000:9:8867572:8868838:-1 gene:ONIVA09G06760 transcript:ONIVA09G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKGGFMNFLNQGSSSQHSSQNSPSTQFLSTFFKSQFPKSPQFTQASPPNFQTFHPFGPPVNYHLYSSSPPNFQGFQQQASWLQSAPISFQEVVLKSTEETTKSVEAKKEQTRMEKYHTYLKLLEKDTANFSDAKLKRHEAVLKRLATELAEEQMIPNLCLYP >ONIVA09G06750.1 pep chromosome:AWHD00000000:9:8859963:8864166:-1 gene:ONIVA09G06750 transcript:ONIVA09G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARSTPESLPPAFLEFLQENGLDPMMYSMADTIPRYIRLKPGMEAQIPEIQSELKCHLDKVSWLPDFYAIPPQIYGIDAASGAAVLALDVQPGEHVLDLCAAPGAKLCMLADMLGGRGSLTGVDVAKHRLAACRTMLQKYSLGDCCRLFVADGTSFSILPVNSSLGSGEGSTCLKDNGSTLSEWTSKRSWKDRQKSKKARTAGSPHLTSTSEPELIYYGKHSGLVGLRKCDALRPSADDEAQTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDQRVLDAERTDDLHHLQLRLLTNGFKLLKTGGSLVYSTCSLTVAQNENVVQQFLCKHSSAELQKIDSADSWPCRSGSIFKTLRFDPATSQTSGLFVAKFTKLQI >ONIVA09G06740.1 pep chromosome:AWHD00000000:9:8842154:8855287:-1 gene:ONIVA09G06740 transcript:ONIVA09G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVFSYGTVMGGLLSNKFLDTNAARQGRAPASSVGNGGDNGRLPGRCASIPLFSYLDVT >ONIVA09G06730.1 pep chromosome:AWHD00000000:9:8838569:8840842:1 gene:ONIVA09G06730 transcript:ONIVA09G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESPFQQGEIDYAKAAELLSQMLHEEAMLARRVEGFVAASAMTMFLLIILSPWRRWSSNSSIHGVVWLAYTLSFPLVTYTLGLMQYSSVKTLFFPIWATSLFLVSGCTNSMTVYDLDENKQWMRHLFELLQHYFYFMQMSKMFPYVMFHGHEVKTIFATCSALAFIVLSSNILRVKAGWMVNYSYQSKVVADYMRDHSKQQYLQQIDPVSMKGCKYLVRWHDYMVSWNGNSTNSSKHPEEDIITIEMIWEKCNLSSSKDGDTRLKDVCLSFALSHLLKRRFFGMDCAEASLQQTSEFVLEGLLSNDSNNYARAFSIIEVELSFLYDFFFTKYACIFQSDISSFAMVVLKITSTSVLLVLFFFKRPTIDMPSEFPVSLTDMETDESTIDVQVIVILILATFLIMETLQFLFYLGSDWAIISFACNHTRARRFRSIYKHFHCLTRFRFSRSWQDKIGQYSVVRGCWTFPPISGMFEHLFNLGYNRTFTQREKVPLKKKITGMIHSHSFPRKSLHHVKLPGVVKSQIVSTLKSSISRKYLSNGKDSLEQNGVLEMFNWTFQRPTHEETMLIWHIATDYCEIATSAEPDAQHATEQYQYREVATTLSRYCISLMYSAPELLPGNYADTRVTFHSTVLEAKWAMLESATDEGETGERKTKGQRLQEAIRSCSKIERETIFVSGARLGTDLEDMEDGHLRWKVMADFWVETTLYIAPSDNVKAHMQCLAKGGQFLTHIWTILSHAGILSRDQGRRTPEENV >ONIVA09G06720.1 pep chromosome:AWHD00000000:9:8831816:8835093:-1 gene:ONIVA09G06720 transcript:ONIVA09G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCVVTWDVTLSSWSLLTNCSSAEEAEAIAFSQGVRLLVEWIRLPAILETDYANLLDMSLLTNCSSAEEAEAIAFSQGVRLLVEWIRLPAILETDYANLLDMSLLTNCSSAEEAEAIAFSQGVRLLVEWILETDYANLLDMLSSSHFDPVAKEEGDRGRCSGEGEAPQAGAQEGEGKGQEHRSRAPWPAPGGGHGGGGENSVTALAGAATGTMRRMNAMWRHREWTSKLEVEGNSAAPRGRLGDLGGPVVEDPAAPHSATRMPREGEDISTTSVVRAFEWKGATPEGGVAASLATTVYDKVAGIGTVVVGKVQQGISQDTNSSSLASNEPATGQHLEKGVTVTAYIADKLRPSNEDHALSEAISGAVQWRKKVVAVAASGDTMTKAREAVTSLTDGKRVSNTMQPVLVRS >ONIVA09G06710.1 pep chromosome:AWHD00000000:9:8818554:8821814:1 gene:ONIVA09G06710 transcript:ONIVA09G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRPAAMDLFLSATSIVSNANEWFEFLGTAFSAASLLLSRWRRRRQGDEAERRQLQWKGQDAKMKQLHYCMLQLPDLIHHAEWLSFVKDDKEVAKLLPELKARVHDAYDLLEEFNHHHHHQQQLQLDLGQDAAAEKAGDDFLQSIAGGNTVREILDDLNCLRNTLEGVIDRHARSEPHQIGKLLRPAMTSFYDKSKFRSLEDEVNELLELLGVKICSARPHKRRIRGETASAIKRNRRNSGVASCSNQEIASDNVTVLAISGIGGVGKTTLARQVYNDERVKGYFDVRIWISVSDDFNVKRLTKEFIEFALANWMQSDNLCNLQQSLTESIVKFRFLLVLDDVWDDVYANQDNRWQNFLEPLKSAQQGSAILLTTRSQRVADLVNENRHFRLEGLPPTIFDEFFEACAFGSDRCGVNPELNPIGKRIIPQLKRCPLAAETLGRLLKPMLDREHWNQIAGSELWELKQEKYDILPVLRLSYLYLPSHLRNCFLFCSMYPKNHQFDKDTLVNSWIAAGLVESCKGGKLESDGYRYFEDLLHRSLLQKESSSPTDSKYVMHKLIHDMAQLVSERECFIVKGETDLTKIPQGVRHLSIIGSSSLSETNLIMVCKYKTLRSIVCHGVETYILTAVAKYWFEELTKIRMLGFLSCELNSLPENIGNLKLLRYLNISDCTFEELPRSFWRLQNLQIVDAQKCRVQQIPDDFNRLVLRPKYPYRGERGREERRRPCSLPAGSKRAGEGGEKGRRTAPPKGGGLRRLHRGRAPTWGRRTGGGGWHGEQRLGGDGDDGMRLHRRRLFLRPVLLAS >ONIVA09G06700.1 pep chromosome:AWHD00000000:9:8810117:8813530:-1 gene:ONIVA09G06700 transcript:ONIVA09G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNIGGSLQSSSGGDDEFDSRGGGGGVEGAGAAVAAAPATARRSRKRTRASWRTVTTDPSNFRAMVQEFTGIPSPPFVAGVGAPAASLRTRFDHLFPSPASALRSAAAGDPASSLPPYLLRPIAQKLPTASAQNSTMQQTRDPSALPHSSSFVTWTPDEFHSPGFNSSKQDERFVLENTLRGMYELIKLAECWVRKDYSIANLLQEAKDTVYCAEDLLDELNYYELQDGVGFSANRSSCPEFSDIKMTEIHGKLNSLKEQMGHLGLHDMQPQHFIFESVSQKYGNLMYDRTNFGYQEELQVLIDSFILDKNSLTSEQVSEVPSSGRAGQKNLSVLTIVGDGGIGKTALAHCSFNDQKVQDHFDLLVWICVSDGFDDKKLIKRLAWAIAESEMKSDDLICLQRVLTNGMIHHSRRLLLVLDDLQEDVCQEYYLGWERFLAPLKCASPGSMVLVTTRSMKVAEHISSVCLQLEGLPNEINWHLFSMHAFDLPISDSDQEVECIGRKIAARLNGSPLGAKIVGCLLNLKLDAVYWKSILESELWELGHHKETRIWPALHLSYQYLPFHLKRCFSFCSMYPKSHEFDAETLVDSWVAVGLVVSNGSVPAVDIGHEYFDQLVRRSFFQISPTSSSSRHAYVMQGLLYETAQKISTNECFVIKDSSDLLRIPPKVRHVSILHFSGLSSSDLESLHKYKTLRSVVCISIDSDVITTSVLETWFCHLTNIRMLRFISCRLKELLGNVGNLILLRYLDISSCDFEALPDSFWRLRNLEILDAQNCRFDSVPKDIVKLVKLRKARLRSDLNNQLGHVPGVGNLIYLQDMPYYAVDDTPGRGIQELKNLNNLRGALEISGLHNVTSKEQAVEADLDKKTHLNTLTLSWHDSIRPDKHNGEQEMEVLESLRPSPSIKNLEVRFYMGSGFHPSWLLDDEPISSRLESLSISSCPNIASLFITVTGSSSRGSSPVVFRSLTKLSVTWCRKLMSLDNLLQPELLPEIKVIRISNCEELASLPTNQLIKFTHLEDLEVSHCWSLSWEQGLTLPRSLKSLKLEACGELTDSVLRCGLRELPVLVSLELQFCSGVECIGGEIWSEMPSLQRLKIFCCQELSSIGGEESIARVESVDIRHCPKLRELEQPFQRG >ONIVA09G06690.1 pep chromosome:AWHD00000000:9:8806080:8813412:1 gene:ONIVA09G06690 transcript:ONIVA09G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQVRGDHEGINVVGFEVPTSPDSSYNNPVPGNEDEAREPPLVPPHLQHTLLSFPPSQDDSSSLPPPQNVVLNHLYIEKENSRSVVALGITHRFRAKFVTVVLYKPVQRR >ONIVA09G06690.2 pep chromosome:AWHD00000000:9:8806450:8813412:1 gene:ONIVA09G06690 transcript:ONIVA09G06690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGDNSAGASCSPLISSWRLDLRQLNKRPDAFCFGDSARDVIRIGGVHRKAEVHHCLIFITVGTNRQLLSITEHRYTLGNNRTYIHTYSYITRGDYRLKLFCLDKNTIHWYIAAGLTAVYIYRHFGSWQQQAAADDSSVSLSETAAPALSALGNA >ONIVA09G06680.1 pep chromosome:AWHD00000000:9:8796292:8798369:1 gene:ONIVA09G06680 transcript:ONIVA09G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMDYLSDLLGGGGSSSRRRYKKRKQFQTVELKVRMDCDGCELKVRNALSSMKGVQSVEINRKQYKVTVQGFVEPHKVVKRVQATGKKAEIWPYVPYTLVAHPYAAPAYDKRAPPGHVRRVDAVMPVASYGSAAAAAAPEERLTTMFSDENPNACSIM >ONIVA09G06670.1 pep chromosome:AWHD00000000:9:8783643:8786865:1 gene:ONIVA09G06670 transcript:ONIVA09G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10620) TAIR;Acc:AT4G10620] MLSRARRLHPTLQRILRPVPPPAHPPPPPSPPHRPVFSQTPKPFFPFLRRHLSTKPPPPQAPPEKSLAPAKVSSDPPAVSANGLCPGCGIAMQSSDPSLPGFFSLPSPKSPDYRARLAPVTADDTRISASLKSGHLREGEAAAAASSSSAAVGVGVEVEKEGKKENKVVVCARCHSLRHYGVVKRPEAEPLLPDFDFVAAVGPRLASPSGARSLVLLLADASDFDGSFPRAVARLVAAAGEAHGSDWKHGAPANLPRALLVVTKLDLLPTPFLSPDDVHAWAHSRARAGAGGDLRLAGVHLVSAARGWGVRDLLDHVRQLAGSRGNVWAVGARNVGKSTLLNAIARCSGIEGGPTLTEAPVPGTTLDVIQVDGVLGSQAKLFDTPGLLHGHQLTSRLTREEQKLVRVSKEMRPRTYRLKPGQSVHIGGLVRLDIEELTVGSVYVTVWASPLVPLHMGKTENAAAMVKDHFGLQLQPPIGQQRVNELGKWVRKQFKVSGNSWDVNSKDIAIAGLGWFGIGLKGEAVLGLWTYDGVDVVSRNSLVHERATIFEEAGFTVSKIVSQADSMANRLKNPKKINKKKDNKANSSPSTDPESSNPVEAVDA >ONIVA09G06660.1 pep chromosome:AWHD00000000:9:8781695:8782489:1 gene:ONIVA09G06660 transcript:ONIVA09G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWWWWWPLPAWLMPGSTAAWFVVLNVVVCAVAVLSSRARESLSPRRGGGGLARRASSALERVRSSFSIFSFPSASFYAFHPDAAEPRTPTPRKRSPVAAPPAASEPQTPTPPPRPSVAAPDTPPAQRPETEEEDANYMSMDEAYALVMAARQRPPPTEEEVRRSEVDAKAEELVAEFQDDEQRRQRLDSIFNYTQMLKRPSPTEEGARRSEVDAEAEELVAELPDEQRRRRLDSIFNYTQMLKQRAAAGRRPQPAPAAAQL >ONIVA09G06650.1 pep chromosome:AWHD00000000:9:8775981:8778132:-1 gene:ONIVA09G06650 transcript:ONIVA09G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLLVILTWSLTQKTSWKLLHAMSAKLQPGLHFTPTDASMENLPTSPKLHEPFLVFHIHVSAVETKTESFQVQIRPNPCAITILKTALYNAPIFLGWGCSDEEIGVFFSNSGHWLPTSIPRSPIVCRSTPSPFARCPQCRQAVPDALAIVQTATNLVGPWS >ONIVA09G06640.1 pep chromosome:AWHD00000000:9:8773404:8773869:1 gene:ONIVA09G06640 transcript:ONIVA09G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:structural constituent of ribosome [Source:Projected from Arabidopsis thaliana (ATCG00800) TAIR;Acc:ATCG00800] MDSGSSSEVITHIEIQKEIDTIHVIIHIGFPNLLKKKGAIEELEKDLQKEVNSVNQRLNIGIEKVKEPYRQPNILAEYIAFQLKNRVSFRKAMKKAIELTKKTDIKGVKAVSREKKLRVPNASKKVDFPSKQFALKLIIAAIQFELSMEY >ONIVA09G06630.1 pep chromosome:AWHD00000000:9:8772445:8773063:1 gene:ONIVA09G06630 transcript:ONIVA09G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEKETIVTWSRASSILPAMVGHTIAIHNGKEHIPIYITNPMKKKSGLRKLARKVPTDRLLKFERVFKAQKRIHMSVFKVQRVLDEIRWRYYEETVMILNLMPYRASYPILKLVYSAAANATHYRDFDKANLFITKAETSGSRT >ONIVA09G06620.1 pep chromosome:AWHD00000000:9:8765647:8767301:-1 gene:ONIVA09G06620 transcript:ONIVA09G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAIAVTPWMAGGEVMVEMEEKQRACTAEMQRVLEGSNVEGEESRDAAGDGEGVSVARGAEEFEAKMRAPREEAEFHTFSDFVIVLVIYRQESSQIKEKPTQSPGCRLTIWTGMLLLSAVIRGGRCIIAGDCDADRAGSEIDVHDPDAEIWVTRDVQRSSGVVDR >ONIVA09G06610.1 pep chromosome:AWHD00000000:9:8749699:8754412:1 gene:ONIVA09G06610 transcript:ONIVA09G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G29750) TAIR;Acc:AT4G29750] MALLFPPPPHALSPKPPFPSTLRSTRSLRLRRATAAAAAAGAGVSSSSPSTSSPEKAPALDVASGKRGGKKRRSLKPSFEKQAIRRWSARAPSQRASFPWQQQQQQQPAGGEGEAAGDQESGWSGSSTLQSIVDYFDFDYDSSDGDGDGDGDGVVVGGEAAEAQEDGPRPEPSFLLGSRPVSAPWMHGEEEPMTNQLVSDEEGLDGDGASEDEMGLVDGDGDEDEDLGSEEETLSESSDGEFSEDYAAPAANSSSMMDSVLDHVSSGGGFYRGTRRSSVNSIVNTMRNSMEESSRNAAIECPETEDFVQKLGPVLLPWEREGDVDRPRKRSNTELAERTIPEHELRRLRDVALRMKERMRVGPGGVTQLIVESIHQKWRVEEVVKLRFEGPPSLNMKRTHDILEERTGGIVIWRSGRSVVLYRGMNYNLRCVQSYTQTTEVNFDKRVSSNSVEPIHVEHKFQKSGADGLNRSAYIVNSSEKPTETFDIDSFLDQLGPRYKDWSGRGPIPVDADLLPGVVPGYKTPFRLLPYMVKSTLRNKEMTALRRLARQTAPHFALGRNREHQGLATAIVKLWEKSSIAKIAIKRGVPNTCNDRMAEEIRKLTGGVLLSRNKEYIVFYRGNDFITPKVRQVLVEKQEQAITWQDEEELARLKASASISVKPKVFKNPPVAGTLAETREAKSRWGDSINAELRKKEKNHMILTKHTSLLRNLKRKLILAKTKVIKAEKALAKVQEFLSPAELPTDLETVTDEERFLLRRIGLKMKAFLMLGRREVFDGTVQNMHLHWKHRELVKVLVKGKSFPQVKHIAISLEAESGGVLISVDKTTKGYAIILYRGKNYKTPQILKPRNLLSRRKALARSIELQRREGLNHHISNLRDKIWKLKSQLVRMQVAGEKPDAELLQTVEADLSKDDDKIEDEGEEAYLQTYISEDEEEPEDDQNEYL >ONIVA09G06610.2 pep chromosome:AWHD00000000:9:8749699:8754412:1 gene:ONIVA09G06610 transcript:ONIVA09G06610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G29750) TAIR;Acc:AT4G29750] MALLFPPPPHALSPKPPFPSTLRSTRSLRLRRATAAAAAAGAGVSSSSPSTSSPEKAPALDVASGKRGGKKRRSLKPSFEKQAIRRWSARAPSQRASFPWQQQQQQQPAGGEGEAAGDQESGWSGSSTLQSIVDYFDFDYDSSDGDGDGDGDGVVVGGEAAEAQEDGPRPEPSFLLGSRPVSAPWMHGEEEPMTNQLVSDEEGLDGDGASEDEMGLVDGDGDEDEDLGSEEETLSESSDGEFSEDYAAPAANSSSMMDSVLDHVSSGGGFYRGTRRSSVNSIVNTMRNSMEESSRNAAIECPETEDFVQKLGPVLLPWEREGDVDRPRKRSNTELAERTIPEHELRRLRDVALRMKERMRVGPGGVTQLIVESIHQKWRVEEVVKLRFEGPPSLNMKRTHDILEERTGGIVIWRSGRSVVLYRGMNYNLRCVQSYTQTTEVNFDKRVSSNSVEPIHVEHKFQKSGADGLNRSAYIVNSSEKPTETFDIDSFLDQLGPRYKDWSGRGPIPVDADLLPGVVPGYKTPFRLLPYMVKSTLRNKEMTALRRLARQTAPHFALGRNREHQGLATAIVKLWEKSSIAKIAIKRGVPNTCNDRMAEEIRKLTGGVLLSRNKEYIVFYRGNDFITPKVRQVLVEKQEQAITWQDEEELARLKASASISVKPKVFKNPPVAGTLAETREAKSRWGDSINAELRKKEKNHMILTKHTSLLRNLKRKLILAKTKVIKAEKALAKVQEFLSPAELPTDLETVTDEERFLLRRIGLKMKAFLMLGRREVFDGTVQNMHLHWKHRELVKVLVKGKSFPQVKHIAISLEAESGGVLISVDKTTKGYAIILYRGKNYKTPQILKPRNLLSRRKALARSIELQRREGLNHHISNLRDKIWKLKSQLVRMQVAGEKPDAELLQTVEADLSKDDDKIEDEGEEAYLQTYISEDEEEPEDDQNEYL >ONIVA09G06600.1 pep chromosome:AWHD00000000:9:8732100:8733200:1 gene:ONIVA09G06600 transcript:ONIVA09G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSSTTNSSSSVSTADTSSSNPPPQHALHRQHIARSSASSGGGGAAAPLPPRAPPRVGVGGGGGGGGGVGQSQACAACKYQRRKCNADCPLARYFPADEQRRFLNAHHLFGVSKIQKTLRDTPPELHADAMQALTFEANARASDPVGGAARVVVELCRQYEMLHAELAAVQHHLKLCRQQHAAAAAAAANDQLVANVDPLADPAAEMLFAGAVVPNQNDDAMVDAFYADQQTAGDGDQEQYLVKDEALAAQPPPQQPYEYLNYGTAGDEGSSHAWYTGNGGDADASPPMGLSDQLQQCQIGAAPPFDVKPELPATMEHGGSVFVEQPEQKILPAAGSSSSAAAHCQLELGCSSNAWKVGTHVIN >ONIVA09G06590.1 pep chromosome:AWHD00000000:9:8730474:8730863:1 gene:ONIVA09G06590 transcript:ONIVA09G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTSPAFAHRAPCGWLQRIGWSPPVMEMMDGCYCATSSSSLDQTATSFHDSIVDYYSPTGCPLHYQSQDTYHPLHPYSKIQQSH >ONIVA09G06580.1 pep chromosome:AWHD00000000:9:8730168:8730356:1 gene:ONIVA09G06580 transcript:ONIVA09G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDDDTLAVDGGDRRLLRRRHCLGPRYRTLGSTLTSKEVFTWANSKNQRLLHVGDIDRTNK >ONIVA09G06570.1 pep chromosome:AWHD00000000:9:8723520:8729732:1 gene:ONIVA09G06570 transcript:ONIVA09G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein / zinc finger (CCCH-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G56900) TAIR;Acc:AT5G56900] MAAAAASPSPATPPRILLAGDANGRLHQLFKRVTSVNQSTGPFHALLCVGQFFSPDAGDGDGGGGGEVADYLEGRAAVPIPTYFTGDYGPAAPRLLAKAASSARGFSPGGIQICPNLFWLRGSARFTLHGLSVVYLSGRKGPGGPGCYSQDDVDALRALAEEPGIISDPHGYDPVVAELVAEIKPRYHIAGSKGVFYAREPYVNDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSVDIHARPPNTTLSPYISPAKSVPVEETPKRPAEDADLQYWRYDVKKQRHGEAGGNRLCFKFTSSGSCPRGSKCNYRHDEEAREHYNRNVCFDFLNKGKCEKGPECRFAHSLSDEGAVRDTKPRSERRRVESSCWFCLSSPDVESHLVISIGEGYYCALAKGPLVPNHVLVIPVEHCSSTLKMPVEAEAELGRYKDALAKYFEKQGKIAIYFEWVSQQSRHANLQAVPVPLSKASSVKKIFHLAAQRLGFEFSVVNPDGDANRARELLRSECDSKSSLFYVELPEGSVLLHLVDSNEKFPAQFGREVLAGLLSMADRADWRNCKVSKEEEIQMVDDFKQGFREFDPAE >ONIVA09G06560.1 pep chromosome:AWHD00000000:9:8714240:8719726:1 gene:ONIVA09G06560 transcript:ONIVA09G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARSYMYEHLCYEDRRCHRGALIHLAGAVYYTHMAVTVHDDFPRIVACTVRRTCKCKYCWQHTVRRDVSCAIRCALK >ONIVA09G06550.1 pep chromosome:AWHD00000000:9:8710544:8715288:-1 gene:ONIVA09G06550 transcript:ONIVA09G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKRMLFIFQAMVCLCSFSLSQGNQQFSLRNLPTLQKASSFPVMRHETYDYIVVGGGTAGCPLAATLSLKYKVLLLERGGSPYGNRNVSYMENFHIGLSNMAPDSASQAFISTDGVINARARVLGGGTCINAGFYSRASSNFIQEVGWDEDLVNESFPWVEDKIVQWPKIAPWQAALRDGLLQAGVSPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGDPNNLRVLLHASVNRIVFNSQRGQLKPRATGVQFTDENGGLHQAFLNSNCDSEIIVSAGAIGSPQLLLLSGIGPKNDLRSHKIPVVLHNKYVGKGMADNPMNSIFIPTKSPPRQSLIETVGITEAGVFIEASSGFGQSPESIHCHHGIMSAEIGQLSTIPPKERSLEKAQKYANTKLNLPKEIFHGGFILEKIDGPLSTGHLALIDTDVKKNPAVTFNYFSHPQDLTRCVYGIKTIERILKTNRFSELSANTDGHSMERVLNMSVQANVNLIPKHTNDTESLEQFCRDTVITIWHYHGGCHVGKVVDQQHRVLGVSGVRVVDGSTFSRSPGTNPQATVMMMGRYFGVMILRGRLGRAAGV >ONIVA09G06540.1 pep chromosome:AWHD00000000:9:8705938:8709814:1 gene:ONIVA09G06540 transcript:ONIVA09G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAERTERSGTFCAVTRGPPVSVARVAPSPTPPRATSEQPVAETSFDRPRFARRRRRPPPQPAGEPWPYLSLSSPEMAGAGAGAGAIAALLRAAHVPPAPRARSIRGLAAARGLPPLVRATAAAIAPSSFCPYLPRLSSARSFSSSTSCSAGASLGGAVSTSPSEQEKQRQQSELIFLGTGTSEGIPRVSCLTNPSKTCTVCTKAAEPGNRNRRRNTSILLRHATPSGTANILIDAGKLRTIDAVIITHSHADAIGGLQILLLKQATLLILIETTHHARFALELSKRNKNSFGTGLDCLRDWTNNVQPTIPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIIKEEPFTVRNLEADTCDHRFVGQKYVNYKVIPLPVWHGQGYRSLGFRFGRVCYIRMLLDPIVLLQHTLDYHGPLRKLGKSNQRKHCLLNAQFTMRKGMMHLMDHEKVNNELAKLMETEGLDIQLSYDGLRVPVWL >ONIVA09G06530.1 pep chromosome:AWHD00000000:9:8703487:8704967:-1 gene:ONIVA09G06530 transcript:ONIVA09G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKVHDKETHGTSNDISHKTSVDKVKAPNLFERAKEEVEALVGAVHDKMEHNSSPHGNNADLHKDSKDESKVSMNKIETHKNETHGTSDDINENTPVERVKGPNVFERAKEEIEAIVEAFHPKKGSDK >ONIVA09G06520.1 pep chromosome:AWHD00000000:9:8698810:8702132:-1 gene:ONIVA09G06520 transcript:ONIVA09G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWD (DDB1-binding WD40 protein) hypersensitive to ABA 1 [Source:Projected from Arabidopsis thaliana (AT2G19430) TAIR;Acc:AT2G19430] MAAAALAGMDARGWDEAAYRRGILRERDLSCRTLFRAVFFDHHDDDPDVLLAAASSDGSLASFSLSSCISSSSSHPTPQTHPDAAVSLVDPVCIVQAHSGPAYDVRFYPDSQQPLLFSGGDDGRLRGWRWHEMQSCLVPLSLQGDHLEPVLDLVNPQHEGPWGARSPIPENNAIAINKQEGSVYAAAGDACAYCWDVESGKCKMTFKGHTDYLHSIAVREANRQVVTGSEDGTARIWDCRSGKCTQVIRPVKNKTFEGSWVSCVAIDASESWLACGTSSGISVWSLLSNECIFNVDCHAPVQDLLFDRNQILAVGAEPLLSRFSINGTLLSQIKCAPHSAFSVSIHSSGMAAVAGYGGLVDVISQFGSHLCTFGCRSLDK >ONIVA09G06510.1 pep chromosome:AWHD00000000:9:8693287:8698079:1 gene:ONIVA09G06510 transcript:ONIVA09G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETRAAWQRAANRCRVQEDAKRAPKLGCCPPSGQQHETNNGNQTNPQDCHIPNFMPLNWNAMNSNLPKDTQWWLQLQPNFGCQNVLASEDLNYMCGEVDVKKVESFAPVSKLEDINPKKTADPFEPPWIVSTAFMKQTYETGFEELKSLPAYSEMTLKCRGSATYLHEDKEHMDFKTFDPLYPKKPQTACYEMDAPWQENRKSRPWWQVAEADGLASVVAESEMHNVGKNELPRPTQRAHGSKLNNHENKDDYGPYTGKESPPVQYDTMLCSYSISSTNETNSSDGGGWQHQRNDARGGTQDSCSSDDRTPGSKPTYRSAAERTQLLDALRHSQTRAREAEMAAKKAYDEKDHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKEHQIAAMFPELPWIMLKEKVTPGQERKDGTRKKGRKQNKDSHLRKAVVFAVGVGIVGAGLLLGWTLGWLLPRL >ONIVA09G06510.2 pep chromosome:AWHD00000000:9:8693770:8698079:1 gene:ONIVA09G06510 transcript:ONIVA09G06510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETRAAWQRAANRCRVQEDAKRAPKLGCCPPSGQQHETNNGNQTNPQDCHIPNFMPLNWNAMNSNLPKDTQWWLQLQPNFGCQNVLASEDLNYMCGEVDVKKVESFAPVSKLEDINPKKTADPFEPPWIVSTAFMKQTYETGFEELKSLPAYSEMTLKCRGSATYLHEDKEHMDFKTFDPLYPKKPQTACYEMDAPWQENRKSRPWWQVAEADGLASVVAESEMHNVGKNELPRPTQRAHGSKLNNHENKDDYGPYTGKESPPVQYDTMLCSYSISSTNETNSSDGGGWQHQRNDARGGTQDSCSSDDRTPGSKPTYRSAAERTQLLDALRHSQTRAREAEMAAKKAYDEKDHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKEHQIAAMFPELPWIMLKEKVTPGQERKDGTRKKGRKQNKDSHLRKAVVFAVGVGIVGAGLLLGWTLGWLLPRL >ONIVA09G06500.1 pep chromosome:AWHD00000000:9:8685525:8691910:1 gene:ONIVA09G06500 transcript:ONIVA09G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAEPRRWAATYTKHVKQKRKAYQDGAIVLHRASGNLVLLDDAGGTVECRTLRAGEEVFPGASLAFQRHLVDVGEPEPHPGSGSSSAAASPASRGVHRGGASARARPSAVNSRPPRAFADPNTKGGGGGGGKDEAVGSSFQEWTALYTTQLTQKAKKFHDGVVRLAQVSSHVKQIILLDEEGGVLATRYLKSGESLETGKKCHFPNYLIEICEAKSVNKGCYFCIANTQVYKLIPRRNLWCKQDQGVGRIQVTKQDQVQRVNHSNLPVHRNFMCEMFVSTSIDLEDSKSSNTAGSSKPETSKIGVVDAGSSGSIMGSTDSEFKEWSALYTTQLTQKAKKYHDGVIKLVQVGSHAKQIVLLDEDGGVLGSRYLKSGESVESGMKYQLPNYLIEVCEIRKQKNDVESKHPSEVVLSQTGSANGHNTTDRTDGRNKSPKFVSPLKFNHFQESRLQGSNGFNRPTVAKSIHTNMIDTLKFHDTQKAKPDFTVGYKTDLGKSTFSNLDDPHQFNDLQDGKSGSSTSFLRREAGRTTFGNTDDSLRTARDADHSKTASNISVINSSNRTIGVNMNSRMSHCATQLRASVLACLNLETLQPRNSICTTLQSELSGSAHPTYDHQTVMRPTTFDSLELDMVDTPTSDVSNAKEQSQGSTRNHQTESSKDSAPAMCTTSSDPPSGKGETADQVCILYNLSWKKTAVCEFKLSSDYRVVEEKCGSYPFLSAGDLTLTDDDCPSFDLGF >ONIVA09G06490.1 pep chromosome:AWHD00000000:9:8648280:8677831:1 gene:ONIVA09G06490 transcript:ONIVA09G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAGSADQFRGQARLPRFAAPRRYELRLRPDLDACVFTGDASVVVDVSAPTRFLVLNAADLAVDRASIRFQGLAPTEVSLFEDDEILVLEFDGELPLGEGVLAMDFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFEAVDARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVACETIAGPIKTIHYEESPLMSTYLVAIVVGLFDYVEGVTSEGNKVRVYTQVGKSSQGKFALDIGVKSLNFYKDYFDTPYPLPKLDMVAIPDFAAGAMENYGLVTYREVSLLFDEQSSSASFKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLSVDSFFPQWNIWTQFLDSTTSALKLDSQAESHPIEVEIHHASEVDEIFDAISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKDLMTTWTKQQGYPVISVKLKGHDLELEQDQFLLNGTSGAGIWIVPITLGCCSHDKQKRLLLKHKHDNIKAIVSQCDSRQKGGNFWIKLNIDETGFYRVKYDDELTAALRNALQAKKLSLMDEIGIVDDAHALSIACKQTLSSLLHLLYAFRDEADYSVLSHINSVTSSVAKISIDATPDLAGDIKQLFIKLLLPPAKKLGWDPKDGESHLDAMLRPMLLVALVQLGHDKTINEGFRRFQIFFDDRNTSLLTPDTRKAAYLSVMHNVSSTNRSGYDALLKVYRKSAEGEEKLRVLGTLSSCQDKDIVLESLNLIFTDEVRNQDAYRVLGGVIIEARETAWSWLKFTSKEKEAEISQFFATRTKPGYERTLKQSLERVLINARWIEGIRAAEAEAVMAAAAAEFRGQARLPRFAAPRRYELRLRPDLAACVFSGEASVAVDVSAPTRFLVLNAADLAVDRASIRFQGLAPAEVSVFEEDEILVLEFAGELPLGEGVLAMRFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFESVDARRCFPCWDEPSFKAKFKLTLEVPSELVALSNMPIVNEKIAGPIKTVEYEESPVMSTYLVAIVVGLFDYIEGVTSEGNKVRVYTQVGKSNQGKFALDVGVKSLNLYKEFFDTPYPLPKLDMVAIPDFTNGAMENYGLVTYREIYLLFDEQSSSASTKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSYLAVDSFFPEWNIWTQFLDSTTSALKLDSLAESHPIEVEIHHASEIDSIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKNLMTTWTKKQGYPVIGAKLKGHDVELEQDQFLLDGSSDSGMWIVPITLGCNSHDMQKRFLLKHKFSDIKGINSQYDDQDRQNSGNFWIKLNIDETGFYRVKYDDELTTALRNALQMKKLSLMDKIGIVEDAHALSIAGKQTLSSLLHLLYACRDEDDFSVLSHINSVTSSVAKISIDATPELAGEIKQLFIKLLLPTAEKLGWDPKNSESHLDAMLRPVLLVGLVQLGHDKTISEGVRRFQIFFDDRNTSLLPPDTRKAAYLSVMHNVSSTNRSGYDALLKIYRESTEVEERLNVLGILSSCQDKDIVLESLNFIFTDEVRNQDAYLVLRSVIIDARETAWSWLKENWDRITKTFAASAILSDYVKSIVTLFTSKEKEAEISQFFATRTKPGFKRALKQSLENVRISARWVDGIRGEAELAQTQPPHAAATRPVRGLRAEQQSTPSRLIRPAYHISIPSPSPPPPVSAAEGVEGVVANQGGAEGVAVSPDRSVPLSGSPTAASSLPPPPSIIAGDVGDNSVEGRGDVGDKGVHGVTEGNEEEQVASAAVSSAACNGALSHGPSQPEAERMEVDEGEGRETGEAQLPNDTDARLSDDQGMGEVLDVMPLAVAAPVSCGANVSNGSAGNVRDVASLLMDREGGKGGCEFERKEVTSDRDGRETESRVGVGQLERTNDVHDGGRKKRWLMLVLNPPPKRRAISAIRKFPRDCGRAASTLAESGASMEELPLEATPISVATGGASMEDSLARTPISVQGASLVCGLDHSSEAIDGKTIEDDESSKVENRIQEFQVATNVALDDFEGAKNGSTHPNDSIAKPSPSHGFVERVNGKGSQQEKKLVARSAWDGKMVSKYEERLQKGTPETRMRDLVDVKAKKKILKSDKMNGALQNDARSSGDGKMKTKASSTQRGVVRSDMSLKQGDIARKVDATGKCKGGVNSLIKEATSRKHATTNGIEENDDRDLVSDRIIVQALMAPDKCPWTRRRKSIGGSSESRTPKLKKKFGRPRKELKDTTPREEVSPEVASCKAIKHEAIEDKEDSYFEDEGNSKASYSDGEGNSKELVRGGKALVVCGGKEELCVTLPPSAPSGTDPRSKIRNLLIKFHAACRKLVQVEEQHKGNIGRIDIEAGKALKQNGFIKPGPIVGNVAGVEVGDEFNFRIELSFVGLHRPYQGGIDSTKVNGILVAISIVASGGYHDELSSSDELIYTGSGGKAIGNKAAGDQKLERGNLALKNSIETKTPVRVIHGFKGHSKGEASHSKSKQISTYIYDGLYMVVDYWKEGPEGSMVYKYKLQRIPGQPELALHIIKATRKSKVREGVCVPDISQGRERIPIPAINTIDDTQPTAFKYTTEVIYPHSYAKEPPKGCDCTNGCSDSNRCACAVKNGGEIPFNSNGAIVEAKPLVYECGPSCRCPPTCHNRVSQHGIKIPLEIFKTGNKGEVLQENGDEHVETDEYLFDIGHHYHDEVWEDPKFEGILGLESSTSKTTEDTEGSKTTEDTEGSTIDASKCSNVGRFINHSCSPNLYAQNVLWDHDDMKKPHIMFFATENIPPLQELTYDYNYGKVEDKNGKEKVKPCFCGSPDCSRRLY >ONIVA09G06490.2 pep chromosome:AWHD00000000:9:8648280:8677831:1 gene:ONIVA09G06490 transcript:ONIVA09G06490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAGSADQFRGQARLPRFAAPRRYELRLRPDLDACVFTGDASVVVDVSAPTRFLVLNAADLAVDRASIRFQGLAPTEVSLFEDDEILVLEFDGELPLGEGVLAMDFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFEAVDARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVACETIAGPIKTIHYEESPLMSTYLVAIVVGLFDYVEGVTSEGNKVRVYTQVGKSSQGKFALDIGVKSLNFYKDYFDTPYPLPKLDMVAIPDFAAGAMENYGLVTYREVSLLFDEQSSSASFKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLSVDSFFPQWNIWTQFLDSTTSALKLDSQAESHPIEVEIHHASEVDEIFDAISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKDLMTTWTKQQGYPVISVKLKGHDLELEQDQFLLNGTSGAGIWIVPITLGCCSHDKQKRLLLKHKHDNIKAIVSQCDSRQKGGNFWIKLNIDETGFYRVKYDDELTAALRNALQAKKLSLMDEIGIVDDAHALSIACKQTLSSLLHLLYAFRDEADYSVLSHINSVTSSVAKISIDATPDLAGDIKQLFIKLLLPPAKKLGWDPKDGESHLDAMLRPMLLVALVQLGHDKTINEGFRRFQIFFDDRNTSLLTPDTRKAAYLSVMHNVSSTNRSGYDALLKVYRKSAEGEEKLRVLGTLSSCQDKDIVLESLNLIFTDEVRNQDAYRVLGGVIIEARETAWSWLKFTSKEKEAEISQFFATRTKPGYERTLKQSLERVLINARWIEGIRAAEAEAVMAAAAAEFRGQARLPRFAAPRRYELRLRPDLAACVFSGEASVAVDVSAPTRFLVLNAADLAVDRASIRFQGLAPAEVSVFEEDEILVLEFAGELPLGEGVLAMRFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFESVDARRCFPCWDEPSFKAKFKLTLEVPSELVALSNMPIVNEKIAGPIKTVEYEESPVMSTYLVAIVVGLFDYIEGVTSEGNKVRVYTQVGKSNQGKFALDVGVKSLNLYKEFFDTPYPLPKLDMVAIPDFTNGAMENYGLVTYREIYLLFDEQSSSASTKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSYLAVDSFFPEWNIWTQFLDSTTSALKLDSLAESHPIEVEIHHASEIDSIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKNLMTTWTKKQGYPVIGAKLKGHDVELEQDQFLLDGSSDSGMWIVPITLGCNSHDMQKRFLLKHKFSDIKGINSQYDDQDRQNSGNFWIKLNIDETGFYRVKYDDELTTALRNALQMKKLSLMDKIGIVEDAHALSIAGKQTLSSLLHLLYACRDEDDFSVLSHINSVTSSVAKISIDATPELAGEIKQLFIKLLLPTAEKLGWDPKNSESHLDAMLRPVLLVGLVQLGHDKTISEGVRRFQIFFDDRNTSLLPPDTRKAAYLSVMHNVSSTNRSGYDALLKIYRESTEVEERLNVLGILSSCQDKDIVLESLNFIFTDEVRNQDAYLVLRSVIIDARETAWSWLKENWDRITKTFAASAILSDYVKSIVTLFTSKEKEAEISQFFATRTKPGFKRALKQSLENVRISARWVDGIRGEAELAQTQPPHAAATRPVRGLRAEQQSTPSRLIRPAYHISIPSPSPPPPVSAAEVPSNMPQQGESAWYQNSAGNIQDGEQRLGCKISELYLFRQFREVLQENGDEHVETDEYLFDIGHHYHDEVWEDPKFEGILGLESSTSKTTEDTEGSKTTEDTEGSTIDASKCSNVGRFINHSCSPNLYAQNVLWDHDDMKKPHIMFFATENIPPLQELTYDYNYGKVEDKNGKEKVKPCFCGSPDCSRRLY >ONIVA09G06490.3 pep chromosome:AWHD00000000:9:8648280:8677831:1 gene:ONIVA09G06490 transcript:ONIVA09G06490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAGSADQFRGQARLPRFAAPRRYELRLRPDLDACVFTGDASVVVDVSAPTRFLVLNAADLAVDRASIRFQGLAPTEVSLFEDDEILVLEFDGELPLGEGVLAMDFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFEAVDARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVACETIAGPIKTIHYEESPLMSTYLVAIVVGLFDYVEGVTSEGNKVRVYTQVGKSSQGKFALDIGVKSLNFYKDYFDTPYPLPKLDMVAIPDFAAGAMENYGLVTYREVSLLFDEQSSSASFKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLSVDSFFPQWNIWTQFLDSTTSALKLDSQAESHPIEVEIHHASEVDEIFDAISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKDLMTTWTKQQGYPVISVKLKGHDLELEQDQFLLNGTSGAGIWIVPITLGCCSHDKQKRLLLKHKHDNIKAIVSQCDSRQKGGNFWIKLNIDETGFYRVKYDDELTAALRNALQAKKLSLMDEIGIVDDAHALSIACKQTLSSLLHLLYAFRDEADYSVLSHINSVTSSVAKISIDATPDLAGDIKQLFIKLLLPPAKKLGWDPKDGESHLDAMLRPMLLVALVQLGHDKTINEGFRRFQIFFDDRNTSLLTPDTRKAAYLSVMHNVSSTNRSGYDALLKVYRKSAEGEEKLRVLGTLSSCQDKDIVLESLNLIFTDEVRNQDAYRVLGGVIIEARETAWSWLKFTSKEKEAEISQFFATRTKPGYERTLKQSLERVLINARWIEGIRAAEAEAVMAAAAAEFRGQARLPRFAAPRRYELRLRPDLAACVFSGEASVAVDVSAPTRFLVLNAADLAVDRASIRFQGLAPAEVSVFEEDEILVLEFAGELPLGEGVLAMRFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFESVDARRCFPCWDEPSFKAKFKLTLEVPSELVALSNMPIVNEKIAGPIKTVEYEESPVMSTYLVAIVVGLFDYIEGVTSEGNKVRVYTQVGKSNQGKFALDVGVKSLNLYKEFFDTPYPLPKLDMVAIPDFTNGAMENYGLVTYREIYLLFDEQSSSASTKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSYLAVDSFFPEWNIWTQFLDSTTSALKLDSLAESHPIEVEIHHASEIDSIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKNLMTTWTKKQGYPVIGAKLKGHDVELEQDQFLLDGSSDSGMWIVPITLGCNSHDMQKRFLLKHKFSDIKGINSQYDDQDRQNSGNFWIKLNIDETGFYRVKYDDELTTALRNALQMKKLSLMDKIGIVEDAHALSIAGKQTLSSLLHLLYACRDEDDFSVLSHINSVTSSVAKISIDATPELAGEIKQLFIKLLLPTAEKLGWDPKNSESHLDAMLRPVLLVGLVQLGHDKTISEGVRRFQIFFDDRNTSLLPPDTRKAAYLSVMHNVSSTNRSGYDALLKIYRESTEVEERLNVLGILSSCQDKDIVLESLNFIFTDEVRNQDAYLVLRSVIIDARETAWSWLKENWDRITKTFAASAILSDYVKSIVTLFTSKEKEAEISQFFATRTKPGFKRALKQSLENVRISARWVDGIRGEAELAQTVPSNMPQQGESAWYQNSAGNIQDGEQRLGCKISELYLFRQFREVLQENGDEHVETDEYLFDIGHHYHDEVWEDPKFEGILGLESSTSKTTEDTEGSKTTEDTEGSTIDASKCSNVGRFINHSCSPNLYAQNVLWDHDDMKKPHIMFFATENIPPLQELTYDYNYGKVEDKNGKEKVKPCFCGSPDCSRRLY >ONIVA09G06480.1 pep chromosome:AWHD00000000:9:8635628:8635873:1 gene:ONIVA09G06480 transcript:ONIVA09G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEERIRMRMTRFFLADHIRCACENRGACENRFSQADSEHGYLAPRVMSDCENKTSTSGKMHFLVA >ONIVA09G06470.1 pep chromosome:AWHD00000000:9:8635143:8635563:1 gene:ONIVA09G06470 transcript:ONIVA09G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPAEAARREAGGARRRSSWPAAEGCGSARTSSWREPAARTRRRTDLERREPLAASRSSSSSRREVAGGEEGCGGSRTSSWREPAVRTWERREPAARGSRVEGAVGGVAVVVVVEEGGGGARTWERREPGDSS >ONIVA09G06460.1 pep chromosome:AWHD00000000:9:8622912:8624595:-1 gene:ONIVA09G06460 transcript:ONIVA09G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mitochondrial distribution/morphology family 35/apoptosis (InterPro:IPR007918); Has 214 Blast hits to 214 proteins in 102 species: Archae - 0; Bacteria - 0; Metazoa - 110; Fungi - 69; Plants - 29; Viruses - 0; Other Eukar /.../- 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G33100) TAIR;Acc:AT4G33100] MVFGRSKSSSSTASPPPAAAASSAAAAACSELRAAYHECFNRWYAEKFAKGQCHKDDCVGEWHKYRACLEEHLEDKNLRQILLESETSAYYAQFDADSSSRKGGTSTK >ONIVA09G06450.1 pep chromosome:AWHD00000000:9:8616390:8622285:-1 gene:ONIVA09G06450 transcript:ONIVA09G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGKNNSTRRASASSSSSSAAADGDGSPWLRLTAFAVLTLHSAFSAYLARDDARLVALVVVGYLLMLVLLFYGLAVPVQQKRD >ONIVA09G06440.1 pep chromosome:AWHD00000000:9:8609901:8621367:1 gene:ONIVA09G06440 transcript:ONIVA09G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGLYPEIGKKARDLLYRDYQTDHKFTLTTYTSNGVAITATSTKKADLIFGEIQSQIKNKNITVDVKANSDSNVVTTVTVDELTPGLKSILSFAVPDQRSGKFELQYSHDYAGVSASIGLTASPVVNLSSVFGTKALAVGADVSLDTATGNLTKYNAGLSFSNDDLIASLNLNNKGDSLTASYYHIVNHSATAVGAELTHSFSSNENSLTFGTQHTLDPLTVVKARFNNSGKASALLQHEWRPKSVWTISAEVDTKAIDKSSKVGIANLLLSLRAFPRDANSSARAWGTPHLTLTHPLLPPHDHSELTTKPMAPPPPPRTLSPSSPSPSLRSSGCRPLPSARLVGRWVQRPSCSLSMNGCGAAVAGAGAVAVRETRALPAAGAAGDAVGQLRAAVAALEADPPPASPSGIIRIEVPVRQRGDAVEWLHAQGDHLRARCFFSARAAAPLPEWPALAIGNGNGSGGGVGGGEQRQRPVSVAGVGSAVFFRGTEPFLPRDCPLIRAYGAIRFDATSDYSVEWEEFGSFYFIVPQVEYNELEESSVLATTIAWDDSLSWTWQNAVKELQSTLQKISSSPIKVNNSTLQTTIVKLNHVPTKASWDLAVTKALQMIKGKQRELVKVVLARCSRYITDTCIDPVELLACLKVEGQNAYQFCIQPPDAPAFVGNSPEQLFHRKYLNISSEALAGTRARGKTRADDFQIGQDLLLSSKEDNEFTIMICDDVVVHPSKALRKLPRVQHLSAQLAARMRNEDDEFDILNTLHPSPAVCGLPTEEARQFIQDYEIFDRGMYAGPVGWFGGAESEFAVGIRSALLGKGHSTLVYAGAGIVEGTNPSFEWDELDLKASQYQEQHICLQEAENMGTDMLGIF >ONIVA09G06440.2 pep chromosome:AWHD00000000:9:8609901:8621367:1 gene:ONIVA09G06440 transcript:ONIVA09G06440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGLYPEIGKKARDLLYRDYQTDHKFTLTTYTSNGVAITATSTKKADLIFGEIQSQIKNKNITVDVKANSDSNVVTTVTVDELTPGLKSILSFAVPDQRSGKFELQYSHDYAGVSASIGLTASPVVNLSSVFGTKALAVGADVSLDTATGNLTKYNAGLSFSNDDLIASLNLNNKGDSLTASYYHIVNHSATAVGAELTHSFSSNENSLTFGTQHTLDPLTVVKARFNNSGKASALLQHEWRPKSVWTISAEVDTKAIDKSSKVGIANLLLSLRAFPRDANSSARAWGTPHLTLTHPLLPPHDHSELTTKPMAPPPPPRTLSPSSPSPSLRSSGCRPLPSARLVGRWVQRPSCSLSMNGCGAAVAGAGAVAVRETRALPAAGAAGDAVGQLRAAVAALEADPPPASPSGIIRIEVPVRQRGDAVEWLHAQGDHLRARCFFSARAAAPLPEWPALAIGNGNGSGGGVGGGEQRQRPVSVAGVGSAVFFRGTEPFLPRDCPLIRAYGAIRFDATSDYSVEWEEFGSFYFIVPQVEYNELEESSVLATTIAWDDSLSWTWQNAVKELQSTLQKISSSPIKVNNSTLQTTIVKLNHVPTKASWDLAVTKALQMIKGKQRELVKVVLARCSRYITDTCIDPVELLACLKVEGQNAYQFCIQPPDAPAFVGNSPEQLFHRKYLNISSEALAGTRARGKTRADDFQIGQDLLLSSKEDNEFTIMICDDVVVHPSKALRKLPRVQHLSAQLAARMRNEDDEFDILNTLHPSPAVCGLPTEEARQFIQDYEIFDRGMYAGPVGWFGGAESEFAVGIRSALLGKGHSTLVYAGAGIVEGTNPSFEWDELDLKASQDMLGIF >ONIVA09G06430.1 pep chromosome:AWHD00000000:9:8600144:8600998:-1 gene:ONIVA09G06430 transcript:ONIVA09G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKQQQEEEEMVAGGGEAAALRAPADVIARVFSQLDCVDLLSCSLVCRQWYRDSAELREEWRKEYMEAWNQFGLYVKQQPQQPCPTCSSSIRTLRSLCS >ONIVA09G06420.1 pep chromosome:AWHD00000000:9:8596755:8599822:1 gene:ONIVA09G06420 transcript:ONIVA09G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKPQSKSKPQPLSNHLLPVFASANLNSSSDSPLPRSKIDQSTVAIKENFGKFSEVLEPGCHFLPWCIGQQIAGYLSLRVKQLDVRCETKTKDNVFVTVVASVQYRALADKASDAFYKLSNTREQIQSYVFDVIRATVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGYEIVQTLIIDIEPDVHVKRAMNEINAGKLRVAANEKAEAEKILQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSTSVFIPHGPGAVKDVAAQIRDGLLQANAERKD >ONIVA09G06410.1 pep chromosome:AWHD00000000:9:8594670:8595031:1 gene:ONIVA09G06410 transcript:ONIVA09G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDFSPNQATAKESMNSEDDAKVQPSSGQTANKGNYYMGHANAIMMMFQFIRDGCWRVESSMEDYKCKKVEEGRGEKRRWEKDMILAGLLPSR >ONIVA09G06400.1 pep chromosome:AWHD00000000:9:8584905:8590004:1 gene:ONIVA09G06400 transcript:ONIVA09G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPPELGQFDGWESSGEEERERWGWCRRSRSRSGSRSGSGSGSSRRRLPRKGSGGGGGGEDATVATGCCIRLWPMGSCPPPPRSKVDTSTSSASTHGAEKSTENGSRNHPVVSVVSGSTTTSNAESSSSASKAGEEIKVASQLRKFAFNDLKCATRNFRPESILGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWSIRMKVALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLEGNFSVKGAQKAAQLARACLNRDPKARPLMSQVVEVLKPLLNLKDMASSSYFYQTMQAERMAHSSSMNGRSHALKVQGSFARNGQQPMRSLSDGPRASPFRYSPKPNVK >ONIVA09G06390.1 pep chromosome:AWHD00000000:9:8574949:8580373:1 gene:ONIVA09G06390 transcript:ONIVA09G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARFLWFNHAALRRNLKTNVGITVFPILICVLLVVLQNVINGELDKPKYQCGCECTESDLEGTCLRKECGIQHSTLEQVWSCEVPSPPRWPALIQVPWPGFRAVGTASQPFDDLPNPLCRGDGSCPVTLLVTGENQTLAERRVFPALYPLPNVTDYLMPPPFSPSQVTDYLDILSRIVVGSDTQPWYTQLLEPAFSSGKTLHLLQPRCMPFMFGTIPYNAGGVPLHIDIQCIEVQMLWRESASVINYELFKGYVQRGGETNEFVAGYDFLNTTGYDLNINVWYNSTYNDNTAYSFIAALRVPRLICNAYLKFIRGNGVDMLLEYVKEMPKVGTRFRLDLSSLLSVLFFTWIVELLFPVMLTYLVYEKEQKLKIMMKMHGLKDGPYWLISYAYFFALSIALAFFVASFFSSVKTATDGWLLVMEIVPGFSLYRGLYELGQYAFSGSAMGASGMTWGNLRDPINGMCGIFIIMTVEWAFLLMLAFYLDQVSPVGGDVRKRPLFFFRCLQKKHTPSLQKPSFVQQGSKVIVYMEKPDVAQEREVVEQLLVGRNANQAIICHNLKKIYPGRDGNPDKLAVRGLSLAVPKGQCFGMLGPNGAGKTSFISMMIGFVKPTSGTSYVHGMDINMDMDHIYTNMGVCPQHDLLWEPLTGKEHLFFYGRLKNLKGAALVKAVEDALKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNRAIVLTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGAYIFTMTTSPEQEQEVEQLVHDLSPSANKIYHLSGTQKFELPKQEVKIAKVFRAVEDAKKRFTVHAWGLVDTTLEDVFIKELQNISIQCVLLSDAYTH >ONIVA09G06390.2 pep chromosome:AWHD00000000:9:8574708:8580498:1 gene:ONIVA09G06390 transcript:ONIVA09G06390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDSARRRSPASFSTQASALLRKNLCFQRRNLKTNVGITVFPILICVLLVVLQNVINGELDKPKYQCGCECTESDLEGTCLRKECGIQHSTLEQVWSCEVPSPPRWPALIQVPWPGFRAVGTASQPFDDLPNPLCRGDGSCPVTLLVTGENQTLAERRVFPALYPLPNVTDYLMPPPFSPSQVTDYLDILSRIVVGSDTQPWYTQLLEPAFSSGKTLHLLQPRCMPFMFGTIPYNAGGVPLHIDIQCIEVQMLWRESASVINYELFKGYVQRGGETNEFVAGYDFLNTTGYDLNINVWYNSTYNDNTAYSFIAALRVPRLICNAYLKFIRGNGVDMLLEYVKEMPKVGTRFRLDLSSLLSVLFFTWIVELLFPVMLTYLVYEKEQKLKIMMKMHGLNFFRLNDYSIQFAFFFIYINLQIALAFFVASFFSSVKTATDGWLLVMEIVPGFSLYRGLYELGQYAFSGSAMGASGMTWGNLRDPINGMCGIFIIMTVEWAFLLMLAFYLDQVSPVGGDVRKRPLFFFRCLQKKHTPSLQKPSFVQQGSKVIVYMEKPDVAQEREVVEQLLVGRNANQAIICHNLKKIYPGRDGNPDKLAVRGLSLAVPKGQCFGMLGPNGAGKTSFISMMIGFVKPTSGTSYVHGMDINMDMDHIYTNMGVCPQHDLLWEPLTGKEHLFFYGRLKNLKGAALVKAVEDALKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNRAIVLTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGAYIFTMTTSPEQEQEVEQLVHDLSPSANKIYHLSGTQKFELPKQEVKIAKVFRAVEDAKKRFTVHAWGLVDTTLEDVFIKVAKGAQASSDNS >ONIVA09G06390.3 pep chromosome:AWHD00000000:9:8574708:8580498:1 gene:ONIVA09G06390 transcript:ONIVA09G06390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDSARRRSPASFSTQASALLRKNLCFQRRNLKTNVGITVFPILICVLLVVLQNVINGELDKPKYQCGCECTESDLEGTCLRKECGIQHSTLEQVWSCEVPSPPRWPALIQVPWPGFRAVGTASQPFDDLPNPLCRGDGSCPVTLLVTGENQTLAERRVFPALYPLPNVTDYLMPPPFSPSQVTDYLDILSRIVVGSDTQPWYTQLLEPAFSSGKTLHLLQPRCMPFMFGTIPYNAGGVPLHIDIQCIEVQMLWRESASVINYELFKGYVQRGGETNEFVAGYDFLNTTGYDLNINVWYNSTYNDNTAYSFIAALRVPRLICNAYLKFIRGNGVDMLLEYVKEMPKVGTRFRLDLSSLLSVLFFTWIVELLFPVMLTYLVYEKEQKLKIMMKMHGLKDGPYWLISYAYFFALSIALAFFVASFFSSVKTATDGWLLVMEIVPGFSLYRGLYELGQYAFSGSAMGASGMTWGNLRDPINGMCGIFIIMTVEWAFLLMLAFYLDQVSPVGGDVRKRPLFFFRCLQKKHTPSLQKPSFVQQGSKVIVYMEKPDVAQEREVVEQLLVGRNANQAIICHNLKKIYPGRDGNPDKLAVRGLSLAVPKGQCFGMLGPNGAGKTSFISMMIGFVKPTSGTSYVHGMDINMDMDHIYTNMGVCPQHDLLWEPLTGKEHLFFYGRLKNLKGAALVKAVEDALKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNRAIVLTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGAYIFTMTTSPEQEQEVEQLVHDLSPSANKIYHLSGTQKFELPKQEVKIAKVFRAVEDAKKRFTVHAWGLVDTTLEDVFIKVAKGAQASSDNS >ONIVA09G06390.4 pep chromosome:AWHD00000000:9:8574949:8580498:1 gene:ONIVA09G06390 transcript:ONIVA09G06390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPARFLWFNHAALRRNLKTNVGITVFPILICVLLVVLQNVINGELDKPKYQCGCECTESDLEGTCLRKECGIQHSTLEQVWSCEVPSPPRWPALIQVPWPGFRAVGTASQPFDDLPNPLCRGDGSCPVTLLVTGENQTLAERRVFPALYPLPNVTDYLMPPPFSPSQVTDYLDILSRIVVGSDTQPWYTQLLEPAFSSGKTLHLLQPRCMPFMFGTIPYNAGGVPLHIDIQCIEVQMLWRESASVINYELFKGYVQRGGETNEFVAGYDFLNTTGYDLNINVWYNSTYNDNTAYSFIAALRVPRLICNAYLKFIRGNGVDMLLEYVKEMPKVGTRFRLDLSSLLSVLFFTWIVELLFPVMLTYLVYEKEQKLKIMMKMHGLKDGPYWLISYAYFFALSIALAFFVASFFSSVKTATDGWLLVMEIVPGFSLYRGLYELGQYAFSGSAMGASGMTWGNLRDPINGMCGIFIIMTVEWAFLLMLAFYLDQVSPVGGDVRKRPLFFFRCLQKKHTPSLQKPSFVQQGSKVIVYMEKPDVAQEREVVEQLLVGRNANQAIICHNLKKIYPGRDGNPDKLAVRGLSLAVPKGQCFGMLGPNGAGKTSFISMMIGFVKPTSGTSYVHGMDINMDMDHIYTNMGVCPQHDLLWEPLTGKEHLFFYGRLKNLKGAALVKAVEDALKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNRAIVLTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGAYIFTMTTSPEQEQEVEQLVHDLSPSANKIYHLSGTQKFELPKQEVKIAKVFRAVEDAKKRFTVHAWGLVDTTLEDVFIKVAKGAQASSDNS >ONIVA09G06390.5 pep chromosome:AWHD00000000:9:8574949:8580498:1 gene:ONIVA09G06390 transcript:ONIVA09G06390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPARFLWFNHAALRRNLKTNVGITVFPILICVLLVVLQNVINGELDKPKYQCGCECTESDLEGTCLRKECGIQHSTLEQVWSCEVPSPPRWPALIQVPWPGFRAVGTASQPFDDLPNPLCRGDGSCPVTLLVTGENQTLAERRVFPALYPLPNVTDYLMPPPFSPSQVTDYLDILSRIVVPRCMPFMFGTIPYNAGGVPLHIDSFVGLPRLYSDVLLNEPDIQCIEVQMLWRESASVINYELFKGYVQRGGETNEFVAGYDFLNTTGYDLNINVWYNSTYNDNTAYSFIAALRVPRLICNAYLKFIRGNGVDMLLEYVKEMPKVGTRFRLDLSSLLSVLFFTWIVELLFPVMLTYLVYEKEQKLKIMMKMHGLNFFRLNDYSIQFAFFFIYINLQIALAFFVASFFSSVKTATDGWLLVMEIVPGFSLYRGLYELGQYAFSGSAMGASGMTWGNLRDPINGMCGIFIIMTVEWAFLLMLAFYLDQVSPVGGDVRKRPLFFFRCLQKKHTPSLQKPSFVQQGSKVIVYMEKPDVAQEREVVEQLLVGRNANQAIICHNLKKIYPGRDGNPDKLAVRGLSLAVPKGQCFGMLGPNGAGKTSFISMMIGFVKPTSGTSYVHGMDINMDMDHIYTNMGVCPQHDLLWEPLTGKEHLFFYGRLKNLKGAALVKAVEDALKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNRAIVLTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGAYIFTMTTSPEQEQEVEQLVHDLSPSANKIYHLSGTQKFELPKQEVKIAKVFRAVEDAKKRFTVHAWGLVDTTLEDVFIKVAKGAQASSDNS >ONIVA09G06390.6 pep chromosome:AWHD00000000:9:8574949:8580498:1 gene:ONIVA09G06390 transcript:ONIVA09G06390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPARFLWFNHAALRRNLKTNVGITVFPILICVLLVVLQNVINGELDKPKYQCGCECTESDLEGTCLRKECGIQHSTLEQVWSCEVPSPPRWPALIQVPWPGFRAVGTASQPFDDLPNPLCRGDGSCPVTLLVTGENQTLAERRVFPALYPLPNVTDYLMPPPFSPSQVTDYLDILSRIVVGSDTQPWYTQLLEPAFSSGKTLHLLQPRCMPFMFGTIPYNAGGVPLHIDIQCIEVQMLWRESASVINYELFKGYVQRGGETNEFVAGYDFLNTTGYDLNINVWYNSTYNDNTAYSFIAALRVPRLICNAYLKFIRGNGVDMLLEYVKEMPKVGTRFRLDLSSLLSVLFFTWIVELLFPVMLTYLVYEKEQKLKIMMKMHGLNFFRLNDYSIQFAFFFIYINLQIALAFFVASFFSSVKTATDGWLLVMEIVPGFSLYRGLYELGQYAFSGSAMGASGMTWGNLRDPINGMCGIFIIMTVEWAFLLMLAFYLDQVSPVGGDVRKRPLFFFRCLQKKHTPSLQKPSFVQQGSKVIVYMEKPDVAQEVGYLLYFAIPDLMEYICSKFIHYTNSIQREVVEQLLVGRNANQAIICHNLKKIYPGRDGNPDKLAVRGLSLAVPKGQCFGMLGPNGAGKTSFISMMIGFVKPTSGTSYVHGMDINMDMDHIYTNMGVCPQHDLLWEPLTGKEHLFFYGRLKNLKGAALVKAVEDALKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNRAIVLTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGAYIFTMTTSPEQEQEVEQLVHDLSPSANKIYHLSGTQKFELPKQEVKIAKVFRAVEDAKKRFTVHAWGLVDTTLEDVFIKVAKGAQASSDNS >ONIVA09G06390.7 pep chromosome:AWHD00000000:9:8574949:8580498:1 gene:ONIVA09G06390 transcript:ONIVA09G06390.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPARFLWFNHAALRRNLKTNVGITVFPILICVLLVVLQNVINGELDKPKYQCGCECTESDLEGTCLRKECGIQHSTLEQVWSCEVPSPPRWPALIQVPWPGFRAVGTASQPFDDLPNPLCRGDGSCPVTLLVTGENQTLAERRVFPALYPLPNVTDYLMPPPFSPSQVTDYLDILSRIVVPRCMPFMFGTIPYNAGGVPLHIDSFVGLPRLYSDVLLNEPDIQCIEVQMLWRESASVINYELFKGYVQRGGETNEFVAGYDFLNTTGYDLNINVWYNSTYNDNTAYSFIAALRVPRLICNAYLKFIRGNGVDMLLEYVKEMPKVGTRFRLDLSSLLSVLFFTWIVELLFPVMLTYLVYEKEQKLKIMMKMHGLNFFRLNDYSIQFAFFFIYINLQIALAFFVASFFSSVKTATDGWLLVMEIVPGFSLYRGLYELGQYAFSGSAMGASGMTWGNLRDPINGMCGIFIIMTVEWAFLLMLAFYLDQVSPVGGDVRKRPLFFFRCLQKKHTPSLQKPSFVQQGSKVIVYMEKPDVAQEVGYLLYFAIPDLMEYICSKFIHYTNSIQREVVEQLLVGRNANQAIICHNLKKIYPGRDGNPDKLAVRGLSLAVPKGQCFGMLGPNGAGKTSFISMMIGFVKPTSGTSYVHGMDINMDMDHIYTNMGVCPQHDLLWEPLTGKEHLFFYGRLKNLKGAALVKAVEDALKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNRAIVLTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGAYIFTMTTSPEQEQEVEQLVHDLSPSANKIYHLSGTQKFELPKQEVKIAKVFRAVEDAKKRFTVHAWGLVDTTLEDVFIKVAKGAQASSDNS >ONIVA09G06380.1 pep chromosome:AWHD00000000:9:8536364:8541411:-1 gene:ONIVA09G06380 transcript:ONIVA09G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0II91] MAATTTTMSSSMAASLVTSLRSLPAHALVPLVASALLFVVAVVLRRRRRPVYLLNYSCHLPDVDRKVNLEVCEYFGQRCRHYSDDTADFMRLIYRKSGLGQETYAPPFIFSGEFQKTQAFAVQEAEEGLFATVAHLLAKSDVRPRDVGFVVVACSMFSPAPSLASMIVRRFGMPPGTRTYSLAGMGCSAGTVGIDMAARALRVSRRGGYALVVVTENMSLNWYFGENKHMLVTNCIFRVGSAAALVTDVAARRGDAKYELVRTLRTHHGGDDAAYNAAVQMEDEEGNVGVALTKDLVRVAGAGLRQHIATLAPHVLPVSELLRYVWRVARAYVTGNPKAAAAIVPDFQRAFEHMCIHSGGKAVIDAVAKLMAFGPQVVEPARATLHRFGNTSSSLVFYELAYFEAKRRVRAGDRLWMLAFGTGFKACSNIWRALRDSAPDADNPWNACAHRYPAALPPPSTRRSSGGAPAMDFTHLKNDKLP >ONIVA09G06370.1 pep chromosome:AWHD00000000:9:8527674:8532618:1 gene:ONIVA09G06370 transcript:ONIVA09G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKRKAEAARLEETDRALYGAFRGAANSLSQLYTLAMGGQKLSFQAGERHAMEKLYEWILRQHENGLRLTVADIASHIQHEIQYGGDNASASPRSQHASQSTQATVPIPNTMSQQPSPNLFALGNTGLVQSKNSAVFSNALSSPVRRSLQPFHLEQGGDAGYFANGVNRDQNSTASNDSSMDMHSDSPAHDSY >ONIVA09G06360.1 pep chromosome:AWHD00000000:9:8507189:8511848:1 gene:ONIVA09G06360 transcript:ONIVA09G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G14000) TAIR;Acc:AT4G14000] MEGKETKNTVAAAASSSPLFSFSNSNASFGFGFGFSASSGPPPPPPPPAVEVLLSEESPVAAGELEPVVIDDSLSIYKGRASTSDVFGVKNSDLVPGKYEGGLKLWEGSLDLVKTLNSDIKEDRLLLEGKRVLELGCGHGLPGIYAILKGAVLVHFQDFNAEVLRCLTIPNVKANLLKESSEEKFTSGCVGFFAGDWSEIDSLLLRGDADLDKSTNSHENDTAYNGYDIILMAETVYAVSSLPNLYRLIKKCLHYPGGIVYMAGKKHYFGVGGGTRHFVRLVTEDGAMQSDLLAEVADGSSNVREESSIGGRTGSFFHYQRLECRDDGGPPRPSRWRWLPALDSKPAATPCLFHVKKLKWSRITSVLLPRKVVAELSSKIRRAGATTMEGATDICPTIIFASQWGLPVLSRPLLAGNKARYLHHGKGF >ONIVA09G06350.1 pep chromosome:AWHD00000000:9:8503142:8506183:-1 gene:ONIVA09G06350 transcript:ONIVA09G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKGSGSDANGGLAEATASRLRFEDPDEVMEENPAAAAATVGAEEEGGEGGGGEEVIGSDKTSADYYFDSYSHFGIHEEMLKDVVRTKSYQNVITQNSFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVRTNGYSNVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLADGGVVLPDKASLHLTAIEDAEYKEDKIEFWNNVYGFDMRCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLVAERNDYIHALVAYFNVSFTKCHKMMGFSTGPRSKATHWKQTVLYLEDVLTICEGETITGSMTVTPNKKNPRDIDIKLCYALSGHRCQVSRTQHYKMR >ONIVA09G06350.2 pep chromosome:AWHD00000000:9:8503142:8506183:-1 gene:ONIVA09G06350 transcript:ONIVA09G06350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKGSGSDANGGLAEATASRLRFEDPDEVMEENPAAAAATVGAEEEGGEGGGGEEVIGSDKTSADYYFDSYSHFDVVRTKSYQNVITQNSFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVRTNGYSNVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLADGGVVLPDKASLHLTAIEDAEYKEDKIEFWNNVYGFDMRCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLVAERNDYIHALVAYFNVSFTKCHKMMGFSTGPRSKATHWKQTVLYLEDVLTICEGETITGSMTVTPNKKNPRDIDIKLCYALSGHRCQVSRTQHYKMR >ONIVA09G06340.1 pep chromosome:AWHD00000000:9:8500466:8502616:1 gene:ONIVA09G06340 transcript:ONIVA09G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDVLIRVDAICKKYDKYDADKHRNGAAGDPFSRLYAAVDADIDAAVERSERAATETNRAAAVALNADVRRTKARLTEEVVKLRKLAAKKVKGLSPEEALRGDLVLALPHRIQSIPDGGGGAADQYGGGNVRPGIKFDSSGFVVFLKLDEGLEFISEGLDTLKSLAEDMNEFRSTRNFMIDLILICIILGIAAYLYEQHTQSMNLTGSVITCSGITCSKIPGVND >ONIVA09G06330.1 pep chromosome:AWHD00000000:9:8482932:8495089:1 gene:ONIVA09G06330 transcript:ONIVA09G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVPFFAAFVLAVSPAVGQRPGFVSIDCGLEANYSGGYTDDGNYGIVYEPDGAYVDGGQNGRVAAQYESGRIRADLTLRSFPSGVRNCYTLPTVAGAKYLIRVVAFYGNYDGKNSSSTLQFDLHLGVNFWSTVIPNEDETYEALFVAWGNLAPVCLVNTGQGTPFVSTVELRPLVDTLYPDHVKANQSIAMYDRRIMGTTNAYVTAYPLDPYDRYWWAEDSNPMWGYLNSERNIQPESITEVPSAVLQKAVQVAGNGRMLNITWEGNTPDLQVTVFLHFADFQKSQPRQFNIYFNSHDKPYLYSPPYLAAGVVYSPSWYGESDGEFNVTLVATAKSVLPPMLNAFEIYTPIKHKTPMTFSKDVEAIMAIKLEYGVLNKNWMGDPCYPTQYAWEGVKCKNSSENIPRIISIDLSNSNLHGVISSNFSLLTALECLYESNGDMCNKTTSLTRSKNRAAILAISVAAPMLVVIALFVGYLMWKAKRKPNTSAYNPPRVPEPMNAPVSEKYHWDHLEKNENRQFTYEELEKFTNNFQRLIGQGGFGCVYHGCLEDHTEVAVKIHSENSRHGFSEFLAEVQSLSKVHHKNLVSLVGYCSEKAHLALVYEYMSGGTLFDHLRDKTGVGESLNWASRVRILLEAAQGLDYLHTGCNRPIIHRDVKTSNILLGQNLQAKIADFGLSKVYVSDTQTHMSATAAGSMGYIDPEYYLTGRITESSDIYSFGVVLLEVVTGERPIIQGQGHIIQRIKMKVVAGDISSIADARLRGDYDVNSIWKVVEIAMLCTEPVAAQRPTMASVVAELKDSLVPDPPPHHAVAMSPTFGPSAR >ONIVA09G06320.1 pep chromosome:AWHD00000000:9:8481263:8481610:1 gene:ONIVA09G06320 transcript:ONIVA09G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPSSARLILKVGWCPLPSACWAGLYCMWWPWCPKMELLVDGDAKALMGLVEDADGGHRVVAGCVGSAAGIGLTALGDDLPTLGSCAPPGTPSLVPLPSRGGSHVIHGYSAEYP >ONIVA09G06310.1 pep chromosome:AWHD00000000:9:8479649:8480207:1 gene:ONIVA09G06310 transcript:ONIVA09G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTLISFSNSNSTLHLEGEIELAILPPVRRGEGSSGHHDGSAKLIEPRFSHSGSPFDRVLTFAEEDTKGASGFPYPWCANTHKIRDGSNTHHHSH >ONIVA09G06300.1 pep chromosome:AWHD00000000:9:8440861:8460302:-1 gene:ONIVA09G06300 transcript:ONIVA09G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVLFFAAFVFAVVSPAVGQLPGFLSIDCGLEANYSGGYTDDGSYGIVYVPDGRTAHTSMEERTAGSPPTGAKYLIRVVAFYGNYDGKNSSSTLQFDLHLGVNYWSTVIPDVTNTYEALFVAWANMAPVCLVNTGQGTPFVSTVELRPLADALYPDHVKANQSMAMYDRRIMGTTNADVTAYPFDPYDRLWWAEDSNPLWGYINSTRSIQPESSTEVPSALLQKAVQVAGNGTMLDITWEDTTPTLQFTVFLHFADFQKSQPRQFDIYFNGHDKPYLYNPPYLAAGVVYSPSWYSDIDGQYNVTLVATAESYGVKKNWMGDPCYPTQYAWDGVKCKNTSENIPRIISIDLSNSNLHGVISSNFSLLTALEYLYDSNGETYCNKTTSLTRSRNRSSAAILAISVAAPVLVVIALFIAYLMWKAKRKPNTSEYNPPRVPEPMNAPVSKKYHWDHLEKNENRQFTYEELEKITDNFQRLIGQGGFGYVYHGCLEDHTEVAVKIHSENSRHGLSEFLAEVQSLSKVHHKNLVSLVGYCTEKAHLALVYEYISGGNLFDHLRDKTGVGESLNWASRVRILLDAALGCNRPIIHRDVKTSNILLGHNLQAKIADFGLSKVYVSDTQTHMSATAAGSMGYIDPEYYLTGRITERSDIYSFSVLLEVVTGERPIIQGQGHIIQRVKVKVVAGDISFIADARLRGDYNVNSIWKVVEIAMLCTEPVAAQRPSMANH >ONIVA09G06300.2 pep chromosome:AWHD00000000:9:8441007:8460302:-1 gene:ONIVA09G06300 transcript:ONIVA09G06300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVLFFAAFVFAVVSPAVGQLPGFLSIDCGLEANYSGGYTDDGSYGIVYVPDGRTAHTSMEERTAGSPPTGAKYLIRVVAFYGNYDGKNSSSTLQFDLHLGVNYWSTVIPDVTNTYEALFVAWANMAPVCLVNTGQGTPFVSTVELRPLADALYPDHVKANQSMAMYDRRIMGTTNADVTAYPFDPYDRLWWAEDSNPLWGYINSTRSIQPESSTEVPSALLQKAVQVAGNGTMLDITWEDTTPTLQFTVFLHFADFQKSQPRQFDIYFNGHDKPYLYNPPYLAAGVVYSPSWYSDIDGQYNVTLVATAESYGVKKNWMGDPCYPTQYAWDGVKCKNTSENIPRIISIDLSNSNLHGVISSNFSLLTALEYLYDSNGETYCNKTTSLTRSRNRSSAAILAISVAAPVLVVIALFIAYLMWKAKRKPNTSEYNPPRVPEPMNAPVSKKYHWDHLEKNENRQFTYEELEKITDNFQRLIGQGGFGYVYHGCLEDHTEVAVKIHSENSRHGLSEFLAEVQSLSKVHHKNLVSLVGYCTEKAHLALVYEYISGGNLFDHLRDKTGVGESLNWASRVRILLDAALGCNRPIIHRDVKTSNILLGHNLQAKIADFGLSKVYVSDTQTHMSATAAGSMGYIDPEYYLTGRITERSDIYSFSVLLEVVTGERPIIQGQGHIIQRVKVKVVAGDISFIADARLRGDYNVNSIWKVVEIAMLCTEPVAAQRPSMASVVAELKNSLALELSRDDGGHKAPDPPPHDAVAMSSTFGPSAR >ONIVA09G06300.3 pep chromosome:AWHD00000000:9:8441007:8460302:-1 gene:ONIVA09G06300 transcript:ONIVA09G06300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVLFFAAFVSVSGSRTAARYPFDPYDRLWWAEDSNPLWGYINSTRSIQPESSTEVPSALLQKAVQVAGNGTMLDITWEDTTPTLQFTVFLHFADFQKSQPRQFDIYFNGHDKPYLYNPPYLAAGVVYSPSWYSDIDGQYNVTLVATAESYGVKKNWMGDPCYPTQYAWDGVKCKNTSENIPRIISIDLSNSNLHGVISSNFSLLTALEYLYDSNGETYCNKTTSLTRSRNRSSAAILAISVAAPVLVVIALFIAYLMWKAKRKPNTSEYNPPRVPEPMNAPVSKKYHWDHLEKNENRQFTYEELEKITDNFQRLIGQGGFGYVYHGCLEDHTEVAVKIHSENSRHGLSEFLAEVQSLSKVHHKNLVSLVGYCTEKAHLALVYEYISGGNLFDHLRGLDYLHTGCNRPIIHRDVKTSNILLGHNLQAKIADFGLSKVYVSDTQTHMSATAAGSMGYIDPEYYLTGRITERSDIYSFSVLLEVVTGERPIIQGQGHIIQRVKVKVVAGDISFIADARLRGDYNVNSIWKVVEIAMLCTEPVAAQRPSMASVVAELKNNPPPHDAVAMSSTFGPSAR >ONIVA09G06300.4 pep chromosome:AWHD00000000:9:8441007:8460302:-1 gene:ONIVA09G06300 transcript:ONIVA09G06300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVLFFAAFVFAVVSPAVGQLPGFLSIDCGLEANYSGGYTDDGSYGIVYVPDGRTAHTSMEERTAGSPPTGAKYLIRVVAFYGNYDGKNSSSTLQFDLHLGVNYWSTVIPDVTNTYEALFVAWANMAPVCLVNTGQGTPFVSTVELRPLADALYPDHVKANQSMAMYDRRIMGTTNADVTAYPFDPYDRLWWAEDSNPLWGYINSTRSIQPESSTEVPSALLQKAVQVAGNGTMLDITWEDTTPTLQFTVFLHFADFQKSQPRQFDIYFNGHDKPYLYNPPYLAAGVVYSPSWYSDIDGQYNVTLVATAESYGVKKNWMGDPCYPTQYAWDGVKCKNTSENIPRIISIDLSNSNLHGVISSNFSLLTALEYLYDSNGETYCNKTTSLTRSRNRSSAAILAISVAAPVLVVIALFIAYLMWKAKRKPNTSEYNPPRVPEPMNAPVSKKYHWDHLEKNENRQFTYEELEKITDNFQRLIGQGGFGYVYHGCLEDHTEVAVKIHSENSRHGLSEFLAEVQSLSKVHHKNLVSLVGYCTEKAHLALVYEYISGGNLFDHLRGCNRPIIHRDVKTSNILLGHNLQAKIADFGLSKVYVSDTQTHMSATAAGSMGYIDPEYYLTGRITERSDIYSFSVLLEVVTGERPIIQGQGHIIQRVKVKVVAGDISFIADARLRGDYNVNSIWKVVEIAMLCTEPVAAQRPSMASVVAELKNSLALELSRDDGGHKAPDPPPHDAVAMSSTFGPSAR >ONIVA09G06300.5 pep chromosome:AWHD00000000:9:8441007:8460302:-1 gene:ONIVA09G06300 transcript:ONIVA09G06300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVLFFAAFVFAVVSPAVGQLPGFLSIDCGLEANYSGGYTDDGSYGIVYVPDGRTAHTSMEERTAGSPPTGAKYLIRVVAFYGNYDGKNSSSTLQFDLHLGVNYWSTVIPDVTNTYEALFVAWANMAPVCLVNTGQGTPFVSTVELRPLADALYPDHVKANQSMAMYDRRIMGTTNADVTAYPFDPYDRLWWAEDSNPLWGYINSTRSIQPESSTEVPSALLQKAVQVAGNGTMLDITWEDTTPTLQFTVFLHFADFQKSQPRQFDIYFNGHDKPYLYNPPYLAAGVVYSPSWYSDIDGQYNVTLVATAESKFVRQPIEWTNSRFPIYDSNGETYCNKTTSLTRSRNRSSAAILAISVAAPVLVVIALFIAYLMWKAKRKPNTSEYNPPRVPEPMNAPVSKKYHWDHLEKNENRQFTYEELEKITDNFQRLIGQGGFGYVYHGCLEDHTEVAVKIHSENSRHGLSEFLAEVQSLSKVHHKNLVSLVGYCTEKAHLALVYEYISGGNLFDHLRGCNRPIIHRDVKTSNILLGHNLQAKIADFGLSKVYVSDTQTHMSATAAGSMGYIDPEYYLTGRITERSDIYSFSVLLEVVTGERPIIQGQGHIIQRVKVKVVAGDISFIADARLRGDYNVNSIWKVVEIAMLCTEPVAAQRPSMASVVAELKNSLALELSRDDGGHKAPDPPPHDAVAMSSTFGPSAR >ONIVA09G06290.1 pep chromosome:AWHD00000000:9:8415939:8421707:-1 gene:ONIVA09G06290 transcript:ONIVA09G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATGPMGSLLLKLGEVAMDEYKLQNGVKRNVEDLRTELASMQSALRKVGDVPSEQLDEQVKLWAHDVRELSYDAEDIIDAFMVRVEQRHDDDDDRPATGCSKVLLEKVTAGCLKIKKGRTRHDIAGEIKDIMDRVREAAARRDRYKMDSLVVRSDNSLSHRAATVVDPRLSALYRSDAELVGVRKAKEELMDLLTVDDGSSNQHLKVISVVGLGGLGKTTLAKAVFNKLQVRFDCTAFVSVSRNPDLRKVLNDMLYELDKGKYRDIHSLIRDEKQLIDELREFLETKRYLIVIDDIWDIPSWRIIRNALVENYCGSRIITTTRDFDIAELVGVSYKQKILTPESSKVLFYRRIFGHEYKCPEQFAEISEMILKKCGGVPLAIITIASLLAVSSKRRNTTEWYKVYSSIGSGLGNSNDVKDMRMILSLSYYGLPPHIRTCLLHLSIFPEDYRIMKCRSIRMWIAEGIIQHEKDGDNLFEVGESCFNELINRSLIQPSIDDDGKLRYCRMHDMILDLVRSLSCEQNFVTLLGDIEQHTYSSKARRLSLHNSSKKSYLIANTNQKMSQVRSLTIFGISGINLVPSLLTFQVLRVLDLECYILGESCHFLLRHVWKLVHLRYLRLDSCGYDSELLDGIERLKFLQTLVLTRSTVRLPSTLVKLRQLMFLHVDSSTVLPDGIGNLTSLEELSSVDMNKSPTFAQELRNLTKLRELKIWSSEMDEALKEVFIESLCSLHKIQTLKVFSYDDSLDFMGERWMPSGNLRRFLVGEGTSRFSRLPACIKRKPCHLTNLSVLSINLEELQQEDLKALGRLPSLRSLSMFVNKSDQFLIIGDEEFHCLISFTLWSDMFRVKFQHGAMPMVQVLNLHFHVRYTKDGGNGNFSFGLENLLSLERVSVVLSRSNAREAEIEEAETALRRTIQSHPNHPTIQIKGVTNTPIVAARLV >ONIVA09G06280.1 pep chromosome:AWHD00000000:9:8404304:8407452:-1 gene:ONIVA09G06280 transcript:ONIVA09G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATGAMGSLLLKLGELAMDEYNLQKGVKRNVEALRREMESMQAALRKVGDAPSDQLDEQVELWARDVRELSYDADDVVDTFMVRVRVDDDNHGSKWMVMNKVAGFLRKAKTRHDIADEIKGIMERAREVAARRDRYKVDAVVARFNNGAAAAATGGCDPRLYGLYRDEAELVGIDEASDELMNALATETVVSVVGGGGLGKTTLARAVCNRLKPQFDCTAFVSVSSAPDIKKVFKDMLLELDKTKYKDIHNLVRDEKQLIDELRDFIQNKRFPHACRYLIVIDDIWDIASWSAIRCALVENNDGSTIIATTRDFDIAEQIGSHKLKTLPPKSSKKLFYGRIFGSEDKCPQELVEVSGKILKKCGDVPLAIITIASVLARIRNMAEEWYKVYNSIGYGLGNNHDMKNMRKILSLSYHNLPSHLRTCLLYLSIFPEDYEIERSRLIRMWISEGFIHPEKDGDNLFELADNYFNELINRSLIQPSGYVSGMPHSCRVHDMIHDLIRSLSSKENFVTVLDGISQQTSPASKVRRLSLQNNKLDNSTAQTNLKMSQVRSLSIFSSSGVSLLPSLSSFQVLRVLDLENCYLTEGCHLDLRHVCNLFHLRYLRLYECNFDRELLKEIENLKFLQTLIVKREVRLPSTIVELKRLMFLHVHTDTILPEGMDNLTLLEELSLIDINKSPNFAKELRNLMKLRELELFWGEMNESLEEALIESLCNLHRIQNLQIFPFGNSSLDFIGERWMPSVYLQRFVATGSSRFTIVPAWIRKNPSLLTNLTNLSIKLQELRQEDLKALGRLPALLNLRLYADRSECLLTCVGEFCCLRSFNLRSRDSLRLTFHQGAMPRVQRVLLSFHVQDGNGDFDFGLENLLSLEHADVFLSQTTGTIDNDMEMERAKSALRHAALIHPNHPTLEIH >ONIVA09G06270.1 pep chromosome:AWHD00000000:9:8391920:8395095:-1 gene:ONIVA09G06270 transcript:ONIVA09G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATGAMGSLLLKLGELAMDEYNLQKGVKKNVEALRRELESMQVALRKVGHVPYDQLGEEVNLWARDVRELSYDAEDVVDSFMVRVDDQGSKGMVKKVAGRFGKAKARHDIADEIKDIMERAREAAARRDGTRNKAELVGIDEACDDLIKALTMEAEGLSNQQLKVISIAGPGGLGKTTLAREVWDRLKPKFECTAFVAVSSTPNIEKVFKDMLLELDKTKYKDIHNLVRDEKQLIDELRDFLNNKRYFIVIDDIWDIPSWTAIRCALVENNAGSRIIATTRDFSIAEQIGIPHGLKALTPESSKKLFYGKIFGSEDKCPTDFVEVSEKILNKCGNVPLAIVTIASVLAAANTMRNPTNEWNKVYTSIGSGLGNNHDVKNMRKILSLSYYSLPSHLRTCFLYISIFPEDYKIERSRLVRMWIDEGFIEPEKDGDNLFELGYSYFYELINRSLIRPLDYDSCMINSCSVHDMILDLVRSLSTKENFVTVLGDILQQTSPASKTHRLSLQNSKLELTTTQTNLKMSKVRSISIFSGSGISLLPSLSSFQVLRVLDLENCDLKEGCHLDLKHVCNLFHLRYLRLHECNYDRELPKEIEKLKFLQTLIVTSDVRLPSTIVELRRLMFLQVTEDTILPEGMGNLTSLEVLSSIDIGKSPNFGKELRNLTKLRELELWWDEMDKSLEEVWIESLCNLHEIQNLRIFAVGDSSLDFLGERWMPSGRLWRFVTGVSCLFTIVPVWIRKNPSLLTNLTDLDISLQQLRQEDLKALGRLPTLLSLDLDADKSECLLTCAGEFCWLRSFRLCIKDSLQLTFQLGALPRVEIVHLGHLSVQDTRDGGNVDFDVGLENLLSLELVYVYLRRSTGTTDSDMESVKSALRHAAQIHPNHPTLEIMESS >ONIVA09G06260.1 pep chromosome:AWHD00000000:9:8382215:8390233:-1 gene:ONIVA09G06260 transcript:ONIVA09G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDKQEKGEEEGDAVKIVTKWAYSQWFINHIGILSSSIYLVTRAKRLENDSILFQKITSYKLVHGLREQLK >ONIVA09G06260.2 pep chromosome:AWHD00000000:9:8384744:8390233:-1 gene:ONIVA09G06260 transcript:ONIVA09G06260.2 gene_biotype:protein_coding transcript_biotype:protein_coding LQSIAIHISPAQLKSDELDRRRRHRRHCVRDFARVPPPIPGSTTSSLTHELRTPPSTPTTTAPSEATAVRRSAVKRSSGFTKPKKKNTPDPLSNSSLALPPYFNEV >ONIVA09G06250.1 pep chromosome:AWHD00000000:9:8364398:8374432:-1 gene:ONIVA09G06250 transcript:ONIVA09G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGTASVGGQLVGAAHEKWREMEVAAVVAAVWRTLDGTSNLSAMDWLVMAAGYNELFICLISSEDMKGVPLPMSIKQTDIEFTSATTIISAIYLWPEVAGDKVAGATGKPTIDAYKSFIYKGRESAEPFALHMLIRRLANRIIIIVMEE >ONIVA09G06250.2 pep chromosome:AWHD00000000:9:8364398:8374432:-1 gene:ONIVA09G06250 transcript:ONIVA09G06250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGTASVGGQLVGAAHEKWREMEVAAVVAAVWRTLDGTSNLSAMDWLVMAAGYNELFICLISSEDMKGVPLPMSIKQTDIEFTSATTIISAIGETSEVAGATGKPTIDAYKSFIYKGRESAEPFALHMLIRRLANRIIIIVMEE >ONIVA09G06250.3 pep chromosome:AWHD00000000:9:8364398:8366990:-1 gene:ONIVA09G06250 transcript:ONIVA09G06250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAVVAAVWRTLDGTSNLSAMDWLVMAAGYNELFICLISSEDMKGVPLPMSIKQTDIEFTSATTIISAIYLWPEVAGDKVAGATGKPTIDAYKSFIYKGRESAEPFALHMLIRRLANRIIIIVMEE >ONIVA09G06250.4 pep chromosome:AWHD00000000:9:8364864:8374432:-1 gene:ONIVA09G06250 transcript:ONIVA09G06250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGTASVGGQLVGAAHEKWREMEVAAVVAAVWRTLDGTSNLSAMDWLVMAAGYNELFICLISSEDMKGVPLPMSIKQTDIEFTSATTIISAM >ONIVA09G06250.5 pep chromosome:AWHD00000000:9:8364398:8365013:-1 gene:ONIVA09G06250 transcript:ONIVA09G06250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLVMAAGYNELFICLISSEDMKGVPLPMSIKQTDIEFTSATTIISAIYLWPEVAGDKVAGATGKPTIDAYKSFIYKGRESAEPFALHMLIRRLANRIIIIVMEE >ONIVA09G06240.1 pep chromosome:AWHD00000000:9:8374051:8389403:1 gene:ONIVA09G06240 transcript:ONIVA09G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRMAPAGAALALAATVCFLLVAPAPAHRPADLPPQAVLLLPEPVDYREAAAEPLLPPKPGDIQDIPGTHFHISTVEDFISIDCGLPSGSSYVDEKTNITYISDDQYIDTGENHKISSEHQGQKYLIRGMFMHGNYDNKSQNLISSPLLFDICIGLNFWNQVNISSATMTYTSEAIVLATVNSISVCLLDNGKGTPFISSLEMRPMKSSNYPAATPNHPLLLQDRRSMGANSTIRYPDDPYDRLWWPSQNTSEWIKISTTSMVRRYPDDVYEVPAAVLKTAATTSSNSTALNFLWLAPASWAAAPGYLLGLHFTDFQQEQLREFHIYYNGESFVPDGKSYSPPYLLANYWNDSSPTVSDNGLSYKISIVATNASVLPPMLNAIEVYYQVQQDEKMTSSEDVDAMMTIKIEYQVKKNWMGDPCLPEKYTWNGLKCRGQGDTSRIISLDLSGNHLNGTFPEGLCKNRALILRYDTANGDPCSSRSSKKKKKTVLAVAIVVPVVIVSAILMFIFCKKQSIVKSRGQEHCGDHAHIPDNREFTYDELAKITNNFSTFIGEGGFGPVFHGQLKDGTQLAIKMCSPTSTPGKGMPEFLAEVESLTTVHHRYLVLLVGYCTDKDHLALVYEYMPNGSLYDHLRGKNAIIQKLSWQHRGRIALEAAQGLDYLHTGCVLPIVHRDVKSHNILLGCDLNAKISDFGLSKSYLHVAQSHITATAAGTPGYIDPEFVLICIQSFSSIKKTTIQILRILSAKNANKYQRTSFIVTLDAINKTNCVFCSNYFRYCRSGRLTVSSDVYSFGVVLLEIVTGEPPVIPTTGHIVQRIKEKVNMGNIEAIADPRLHDEFDVSSIWKVVDTALMCTKEASSERPTMSMVVAQLKDALALEQARLRYSISDISQGGANAELSYSMPMPR >ONIVA09G06240.2 pep chromosome:AWHD00000000:9:8374051:8389403:1 gene:ONIVA09G06240 transcript:ONIVA09G06240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRMAPAGAALALAATVCFLLVAPAPAHRPADLPPQAVLLLPEPVDYREAAAEPLLPPKPDFISIDCGLPSGSSYVDEKTNITYISDDQYIDTGENHKISSEHQGAEQFRSGLNLRSFPTGGRNCYTLYPAIKGQKYLIRGMFMHGNYDNKSQNLISSPLLFDICIGLNFWNQVNISSATMTYTSEAIVLATVNSISVCLLDNGKGTPFISSLEMRPMKSSNYPAATPNHPLLLQDRRSMGANSTIRYPDDPYDRLWWPSQNTSEWIKISTTSMVRRYPDDVYEVPAAVLKTAATTSSNSTALNFLWLAPASWAAAPGYLLGLHFTDFQQEQLREFHIYYNGESFVPDGKSYSPPYLLANYWNDSSPTVSDNGLSYKISIVATNASVLPPMLNAIEVYYQVQQDEKMTSSEDVDAMMTIKIEYQVKKNWMGDPCLPEKYTWNGLKCRGQGDTSRIISLDLSGNHLNGTFPEGLCKNRALILRYDTANGDPCSSRSSKKKKKTVLAVAIVVPVVIVSAILMFIFCKKQSIVKSRGQEHCGDHAHIPDNREFTYDELAKITNNFSTFIGEGGFGPVFHGQLKDGTQLAIKMCSPTSTPGKGMPEFLAEVESLTTVHHRYLVLLVGYCTDKDHLALVYEYMPNGSLYDHLRGKNAIIQKLSWQHRGRIALEAAQGLDYLHTGCVLPIVHRDVKSHNILLGCDLNAKISDFGLSKSYLHVAQSHITATAAGTPGYIDPEYCRSGRLTVSSDVYSFGVVLLEIVTGEPPVIPTTGHIVQRIKEKVNMGNIEAIADPRLHDEFDVSSIWKVVDTALMCTKEASSERPTMSMVVAQLKDALALEQARLRYSISDISQGGANAELSYSMPMPR >ONIVA09G06240.3 pep chromosome:AWHD00000000:9:8374051:8389403:1 gene:ONIVA09G06240 transcript:ONIVA09G06240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELRMAPAGAALALAATVCFLLVAPAPAHRPADLPPQAVLLLPEPVDYREAAAEPLLPPKPDFISIDCGLPSGSSYVDEKTNITYISDDQYIDTGENHKISSEHQGAEQFRSGLNLRSFPTGGRNCYTLYPAIKGQKYLIRGMFMHGNYDNKSQNLISSPLLFDICIGLNFWNQVNISSATMTYTSEAIVLATVNSISVCLLDNGKGTPFISSLEMRPMKSSNYPAATPNHPLLLQDRRSMGANSTIRYPDDPYDRLWWPSQNTSEWIKISTTSMVRRYPDDVYEVPAAVLKTAATTSSNSTALNFLWLAPASWAAAPGYLLGLHFTDFQQEQLREFHIYYNGESFVPDGKSYSPPYLLANYWNDSSPTVSDNGLSYKISIVATNASVLPPMLNAIEVYYQVQQDEKMTSSEDVDAMMTIKIEYQVKKNWMGDPCLPEKYTWNGLKCRGQGDTSRIISLDLSGNHLNGTFPEGLCKNRALILRYDTANGDPCSSRSSKKKKKTVLAVAIVVPVVIVSAILMFIFCKKQSIVKSRGQEHCGDHAHIPDNREFTYDELAKITNNFSTFIGEGGFGPVFHGQLKDGTQLAIKMCSPTSTPGKGMPEFLAEVESLTTVHHRYLVLLVGYCTDKDHLALVYEYMPNGSLYDHLRGKNAIIQKLSWQHRGRIALEAAQGLDYLHTGCVLPIVHRDVKSHNILLGCDLNAKISDFGLSKSYLHVAQSHITATAAGTPGYIDPEFVLICIQSFSSIKKTTIQILRILSAKNANKYQRTSFIVTLDAINKTNCVFCSNYFRYCRSGRLTVSSDVYSFGVVLLEIVTGEPPVIPTTGHIVQRIKEKVNMGNIEAIADPRLHDEFDVSSIWKVVDTALMCTKEASSERPTMSMVVAQLKDALALEQARLRYSISDISQGGANAELSYSMPMPR >ONIVA09G06240.4 pep chromosome:AWHD00000000:9:8363012:8374237:1 gene:ONIVA09G06240 transcript:ONIVA09G06240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSLPSYLFTLVTGLLPLLVHSQTASLDEGFISIDCGLSSGSSYLDEKTGLNYTSDDGYIYTGENHNISAEYNGQELFKTGLNLRSFPTGGRNCYTLSPATSGHKYLVRAMFMHGNYDGKGNDLVSSPLVFDVYMGLHFWERISVNNTTKTYIAEMIVVAEVNSISVCLMDIGNGTPFISSLEMRQMKSSLYPAAMTNQSIALQERHSMGASGLLRYPDDPYDRLWWPWEDNSGLLNISTNETIKHYSYDKFEVPSKVLQTAATTAATSISLHFSWAAPTSWPPTEAVPAYYHNMHYTEFLKPHEHEFNTYYNGHLWSTYDNPVMPPYLLAGYKYSTSQSTTDDGFYNMSIIATNTSILPPILGAFEIYYLVQHDDTMTSPEDVDAMMTIKTEYQVKKNWMGDPCLPENYRWTGLNCQSDGVTSGVISLYDTTNGDPCNEKSPKKKKTAVLSVAIVVPVLMVALLVSTLLVYYFCRKQDTASKEECDDHIHISESDGREFTYKELMEMTNNFSVCIGEGGFGPVFHGRLKEGTQVAVKMQSPTSTIGKGTTEFLAEVKSLTTVHHRFGLLVHSDLKSHNILLGHDMVAKISDFGLSKSYLNTAQSHISVTAAGTLGYIDPEYCLSGRLTISSDVFSFGVVLLEIVTGEPPIIPTTVHIVQRVKEKVAAGNIEAIVDPRFGGEYDTNSVWKVVDIALLCTKEASHERPTMSTVVAELKVALALEKARASGSISDISQGGANFELSINSLLSAR >ONIVA09G06230.1 pep chromosome:AWHD00000000:9:8358806:8360044:-1 gene:ONIVA09G06230 transcript:ONIVA09G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTDSWAKILVGAISIGGPIAHTGNPIPGTVPRCTAPPRNSCDAPPPRQDGSSDSAPPLAPSLAASLTPNPNPALAPLPPAPLLLPLVPHPGKQVQQENAEEGEEPRPTPTAMEKKVVEPSEKKTFVDLKSMWGRAEKKLKPIVDSNNISEHSVVRKDTEPTTHAPATENIGCSRACEVGFAETETHVPYESIEVSRPQDVDGNIEISKPHVANSESKEEDWLEDVDEDVGFLPHDPGKRIAISDYSVNQQDEVRMKYIALGPCRPPLEQFPQRNYGGKRRFISSWFDKYSWLEYSEEKDVAFCFVCYLFKDRTNYVGGDSYVNEGFRQ >ONIVA09G06220.1 pep chromosome:AWHD00000000:9:8343562:8351249:-1 gene:ONIVA09G06220 transcript:ONIVA09G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSLAMLRRRNMAANNFIRYPDDPYDRYWWPMNADPAWANLSTTSTIKTGSTFAVPSSVLQTAVTPSGNSTVLNVISWQDTTAKEYVVYLHFADFQSSKLREFDAYPDANQVVYNYTPHYLLSSSVYTPLFRAIAGEYNITLAATANSALPPMLNAFEIYFLITYDGTTTFSKDFDAIMAIKLEYGVKKNWMGDPCFPPEFAWDGIKCRNTSGNIMRIISLDLSNSNLFGVISNNFTLLTALENLNLSGNQLNGPIPDSLCKNNAGQFVFRYVAKTNPELLVLYNYYSYGSDGNMCNKTIVPAYVSPQVPDIKTSTERKTNPFDPLQITESRQFTYEELKKFTNNFQQFIGRGGFGNVYYGCLENKTEVAVKMLSEFSENGLDQFLAEVQSLTKVHHKNLVSLVGYCWEKDHLALAYEYMARGNLCDHLRGKFGVGDTFNWVTRVRVVLDAAQGLEYLHKGCNLPIIHGDVKTNNVLLGENLKAKIADFGLSKTYISETQTHISTSNAAGTMGYIDPEYYHTGRLTESSDVYSFGVVLLEVTTGEPPILPGSGHIIQRVKQKVASGNISLVADARLKDSYDISSMWKVVDTAMLCISEVATQRPTMSTVVLQLKESLALEEARDGRDITTSSVSDAMDVLSKFGPSAR >ONIVA09G06210.1 pep chromosome:AWHD00000000:9:8335011:8340833:-1 gene:ONIVA09G06210 transcript:ONIVA09G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHTSSCNTVTAQLKSDDLDGRNLFPCLRRRRRRRCRHRVCDFGRVPTPALLPPLATHFLDRPRSPLVPPAAGEAAATQLPLSSPEVSHGSVPAITCPAIYRASQKVSDHG >ONIVA09G06210.2 pep chromosome:AWHD00000000:9:8330847:8340833:-1 gene:ONIVA09G06210 transcript:ONIVA09G06210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHTSSCNTVTAQLKSDDLDGRNLFPCLRRRRRRRCRHRVCDFGRVPTPALLPPLATHFLDRPRSPLVPPAAGEAAATQLPLSSPEVSHGSVPAITCPAIYRASQKRCPHESHGIKDTAIAHLQKMWFSPKETHQGKEGGTLRQCPKYDARRRSCCWPDEPLS >ONIVA09G06210.3 pep chromosome:AWHD00000000:9:8330852:8334505:-1 gene:ONIVA09G06210 transcript:ONIVA09G06210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHSVMYTGVPQKRGRGADGRPASLRRRRQFGGDGGTLSFRHTTIPQRRDSESDAPTRVTASRTPPSPIYKRCGFHPKKLTKERKGVPSDNAPSTMLEGVAAAGPMNH >ONIVA09G06210.4 pep chromosome:AWHD00000000:9:8335011:8340833:-1 gene:ONIVA09G06210 transcript:ONIVA09G06210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHTSSCNTVTAQLKSDDLDGRNLFPCLRRRRRRRCRHRVCDFGRVPTPALLPPLATHFLDRPRSQFSRKNAIVRNCGSSRPDVYPEATGKLKNKQQVSDHG >ONIVA09G06210.5 pep chromosome:AWHD00000000:9:8330847:8340833:-1 gene:ONIVA09G06210 transcript:ONIVA09G06210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHTSSCNTVTAQLKSDDLDGRNLFPCLRRRRRRRCRHRVCDFGRVPTPALLPPLATHFLDRPRSQFSRKNAIVRNCGSSRPDVYPEATGKLKNKQQRCPHESHGIKDTAIAHLQKMWFSPKETHQGKEGGTLRQCPKYDARRRSCCWPDEPLS >ONIVA09G06200.1 pep chromosome:AWHD00000000:9:8327460:8338544:1 gene:ONIVA09G06200 transcript:ONIVA09G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDYDGGERNLASTPVRFNLNIGLDFWYEVTVSDAARTYTREAIAVAVASSVSVCLLETGHGTPFISSLELRPMGIDMYPEAVANRSLGLFTRLNMGANNFLRYPNDVFDRFWGTPVYITEWLNISTNGTFMSYYSTDHIRVPTDVLRTAITTSATSVHLNITVYAASVGQLPPPTERAYFHFLHFASFEQQQRQFEMYFGKVKWKHNNISVHESYSMQPSYSSKGVYMLSNVSLVATDDSVLPPLLNAIEVYYSIPRDDTITSPDDVDAIMAIKTQYQVKKNWMGDPCLPKESKWTGLQCRQDGVESKIISLDLSGNHFDGTIPQALCTKESLNLRYDTNDGDLCNGKSPKKKNISVLTVAIVTPIAAVLLVSAILFFCFCHKKRKQQITLGLVHQYSVQPTGISNSVSHVDIKGHILMSDDHEFTYEELVKITNNFSECIGEGGFGPVYLGKLQGSIQVAVKMCSRKSVHGQGIREFLAEVDSLKTVHHKYLVLLIGYCTNKNHLALIYEYMSNGSLFDHIRGKKANVQTMSWLQRTRIVHEAAQGCVLPIIHRDVKSHNILLGEDMHAKISDFGLSKCYINEAQTHISVTAAGTIGYIDPEYYFSSRLTMKSDVFSFGVVLLETVTGEPPIVPGVGHVVQRVKQKVSDGDISAIVDPRLEDAYDMGSVWKVTDIALLCTKEVSDDRPTMTEVVEQLKDALALEEARHIDGYSDNGQGSIKPDSSANWAPLAR >ONIVA09G06200.2 pep chromosome:AWHD00000000:9:8338453:8339909:1 gene:ONIVA09G06200 transcript:ONIVA09G06200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNYSEASDERPTISTVVAQLKDALALEEARNVSISDISQKGANLGLSFNSMPSERRKSTLKWLSMSQS >ONIVA09G06190.1 pep chromosome:AWHD00000000:9:8318565:8319846:-1 gene:ONIVA09G06190 transcript:ONIVA09G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSAAQLVFFSVLALLLVNVHAEPTSLGFVSIDCGLSGRPYVDEITNISYVSDDAYIATGEKHEISSEYKNLALYRSGLSLRSFPSGGRNCYAVAAAARGRSKYLVRAWFMHGDYDGGGGSLASTPVRFDLYIGLAFWFEMTVSDAATTYAFEAITVAAAGGSSPLSVCLVDTGHGTPFVSSLEVRPMSSDMYLDAVANQSLGLFTRGNMGASYFLRSVDHDLFVSNSYYSGQ >ONIVA09G06180.1 pep chromosome:AWHD00000000:9:8307966:8313949:-1 gene:ONIVA09G06180 transcript:ONIVA09G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRATWASSLETGAILRYPEDPYDRLWWTPSYGASSWLNVSARDTSSISYAQTDHIRVPVAVLRTAITTANTSVPLVVNTYSTSIGRVPPPADAAYFHFLHFADFDQQQQQRQKRRFDIYYGSSTRYVYRNEPVQLNPIHNRTTPSYYASGAYSLSNVSLVATNGSVLPPLLNAMEVYYSIPHDGIATAPHDVDAIMAIKTEYQVKKNWMGDPCLPKEFIWTGLQCRREGTEYKIISLDLSGNHFNEPVDGVMDAWLVGLPLACCRRLLGAARLHCCCAIRPTLPLPLLFLRCTPAPLGPGGWSRRRLAGADAAAAALEAWWPRLLTSPCPPARGAHRSSRRPPMGGGGGALAGGARYGERHTSPSAPELNIYDTSNGDPCNGMKSPKKKNISVRTLTVAIVTPVVAVLLVSAVLILCFCKKKRKQNVTEGLVQQYSPCSIQPTGTPDSGSHVDLKDHIQMADDHEFTYEELVRITNNFSDCIGEGGFGPVYRGQLQDSVQVAVKKSSRASLHGQGIREFLAEINSLQTVHHRHLVLLIGYCTNRDHLALIYEYMPNGSLFDHIRGLSYLHSGCVLPIIHRDVKSHNILLGQDMHAKISDFGLSKSYINEAQTHISVTAAGTIGYIDPEYYFSSRLTMRSDVFSFGVVLLETVTGEPPIMPGVGHVVQRVKQKVSDGDISAIVDPRLKGAYDMGSVWKVVDIALLCTREVSDDRPTMTEVVEHLKDAFALEEARHIDPINDNSQGNINTDLSVNWGPSAR >ONIVA09G06180.2 pep chromosome:AWHD00000000:9:8307966:8313949:-1 gene:ONIVA09G06180 transcript:ONIVA09G06180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRATWASSLETGAILRYPEDPYDRLWWTPSYGASSWLNVSARDTSSISYAQTDHIRVPVAVLRTAITTANTSVPLVVNTYSTSIGRVPPPADAAYFHFLHFADFDQQQQQRQKRRFDIYYGSSTRYVYRNEPVQLNPIHNRTTPSYYASGAYSLSNVSLVATNGSVLPPLLNAMEVYYSIPHDGIATAPHDVDAIMAIKTEYQVKKNWMGDPCLPKEFIWTGLQCRREGTEYKIISLDLSGNHFNGTLPEALCTKSSLNLRYDTSNGDPCNGMKSPKKKNISVRTLTVAIVTPVVAVLLVSAVLILCFCKKKRKQNVTEGLVQQYSPCSIQPTGTPDSGSHVDLKDHIQMADDHEFTYEELVRITNNFSDCIGEGGFGPVYRGQLQDSVQVAVKKSSRASLHGQGIREFLAEINSLQTVHHRHLVLLIGYCTNRDHLALIYEYMPNGSLFDHIRGKIANVQTLSWLQRTRIVHEAAQGQGISYIGLSYLHSGCVLPIIHRDVKSHNILLGQDMHAKISDFGLSKSYINEAQTHISVTAAGTIGYIDPEYYFSSRLTMRSDVFSFGVVLLETVTGEPPIMPGVGHVVQRVKQKVSDGDISAIVDPRLKGAYDMGSVWKVVDIALLCTREVSDDRPTMTEVVEHLKDAFALEEARHIDPINDNSQGNINTDLSVNWGPSAR >ONIVA09G06180.3 pep chromosome:AWHD00000000:9:8307966:8313949:-1 gene:ONIVA09G06180 transcript:ONIVA09G06180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRATWASSLETGAILRYPEDPYDRLWWTPSYGASSWLNVSARDTSSISYAQTDHIRVPVAVLRTAITTANTSVPLVVNTYSTSIGRVPPPADAAYFHFLHFADFDQQQQQRQKRRFDIYYGSSTRYVYRNEPVQLNPIHNRTTPSYYASGAYSLSNVSLVATNGSVLPPLLNAMEVYYSIPHDGIATAPHDVDAIMAIKTEYQVKKNWMGDPCLPKEFIWTGLQCRREGTEYKIISLDLSGNHFNGTLPEALCTKSSLNLRYDTSNGDPCNGMKSPKKKNISVRTLTVAIVTPVVAVLLVSAVLILCFCKKKRKQNVTEGLVQQYSPCSIQPTGTPDSGSHVDLKDHIQMADDHEFTYEELVRITNNFSDCIGEGGFGPVYRGQLQDSVQVAVKKSSRASLHGQGIREFLAEINSLQTVHHRHLVLLIGYCTNRDHLALIYEYMPNGSLFDHIRGLSYLHSGCVLPIIHRDVKSHNILLGQDMHAKISDFGLSKSYINEAQTHISVTAAGTIGYIDPEYYFSSRLTMRSDVFSFGVVLLETVTGEPPIMPGVGHVVQRVKQKVSDGDISAIVDPRLKGAYDMGSVWKVVDIALLCTREVSDDRPTMTEVVEHLKDAFALEEARHIDPINDNSQGNINTDLSVNWGPSAR >ONIVA09G06170.1 pep chromosome:AWHD00000000:9:8290970:8291185:-1 gene:ONIVA09G06170 transcript:ONIVA09G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLPRDGLRRDKSTTSSSSVSSICPGSDDRTRAPRKHHCRAVLCGRPPPPLAAARGGRRERACRRASLAG >ONIVA09G06160.1 pep chromosome:AWHD00000000:9:8282874:8295451:1 gene:ONIVA09G06160 transcript:ONIVA09G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINDDSNRDIITEAAAMAFADPHLQIPDRYIRAVGGSGVVVVGDGESLELPVVDMARLLDPEHREAEVALLGSACRSWGFFQLINHGVDEAVIQKMKDNTVQFFELPLEDKNTVAVRPGGIEGFGHHFRSSAGKLDWAENLMVETQPFQQRNLEFWPSKPPTFRDSIDKYAMEMWNLTTRLLRFMASDLGVEQETLLAAFRGKRQTFGLHHYPPCRHPEKVIGISPHSDGFGLTLLLQVNDTLGLQVSKDGRWHPVRPLPGAFIVNVGEILEVLTNGRYKSVFHRVAVDAERGRVTVVVFQDACINGLVKPLPELGETPRYRAIGKSEYFKGHTAEVLGQGERFIDTLKKGFKKEHMPPAATLPAPPPSSTLAVVAAWGGCGRCRLPTSSPGAGSSGGELAASPPVGSGGGEGAAAAAELATLRRTEMSGALTHSHRRKRAMQWPPLSSATMPLAYYSATADSLAPNFWPAATAPAPTPHRQPKECPRPGCYTLTPLREPWSSLEIGFLKRSCKERSTSLGQKANWSLDKSMEGVKAMNRDIITQDAAMAFADHHLHIPDRFVRADEVPAAGEVVVVGGDDESSELPVVDMARLLDPEHREEEIAWLGSACRSWGFFQLINHGVDQAVIQKMKENTVQFFELPLEDKNTVAVRPGGIEGFGHHFRSSAGKLDWAENLIVQTQPFQQRNLDFWPSNPPTFRDSIDKYTVEMSNLTMRLLRFMASDLGVEQEPLLAAFRGKRQSTALHHYPPCRHPEKVIGIAPHSDGFGLTLLLQVDDTPGLQVSNGGRWHPVRPLPGAFIINIGETLEVLTNGLYRSVFHRVVVDTERDRVTVVVFQDVCIDGVLKPLPELGEPLYHAIGKLEYFKGHTTEVVGQGERFIDTLKK >ONIVA09G06160.2 pep chromosome:AWHD00000000:9:8282874:8295130:1 gene:ONIVA09G06160 transcript:ONIVA09G06160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINDDSNRDIITEAAAMAFADPHLQIPDRYIRAVGGSGVVVVGDGESLELPVVDMARLLDPEHREAEVALLGSACRSWGFFQLINHGVDEAVIQKMKDNTVQFFELPLEDKNTVAVRPGGIEGFGHHFRSSAGKLDWAENLMVETQPFQQRNLEFWPSKPPTFRDSIDKYAMEMWNLTTRLLRFMASDLGVEQETLLAAFRGKRQTFGLHHYPPCRHPEKVIGISPHSDGFGLTLLLQVNDTLGLQVSKDGRWHPVRPLPGAFIVNVGEILEVLTNGRYKSVFHRVAVDAERGRVTVVVFQDACINGLVKPLPELGETPRYRAIGKSEYFKGHTAEVLGQGERFIDTLKKGFKKEHMPPAATLPAPPPSSTLAVVAAWGGCGRCRLPTSSPGAGSSGGELAASPPVGSGGGEGAAAAAELATLRRTEMSGALTHSHRRKRAMQWPPLSSATMPLAYYSATADSLAPNFWPAATAPAPTPHRQPKECPRPGCYTLTPLREPWSSLEIGFLKRSCKERSTSLGQKANWSLDKSMEGVKAMNRDIITQDAAMAFADHHLHIPDRFVRADEVPAAGEVVVVGGDDESSELPVVDMARLLDPEHREEEIAWLGSACRSWGFFQLINHGVDQAVIQKMKENTVQFFELPLEDKNTVAVRPGGIEGFGHHFRSSAGKLDWAENLILLIYQGSFRDSIDKYTVEMSNLTMRLLRFMASDLGVEQEPLLAAFRGKRQSTALHHYPPCRHPEKVIGIAPHSDGFGLTLLLQVDDTPGLQVSNGGRWHPVRPLPGAFIINIGETLEVLTNGLYRSVFHRVVVDTERDRVTVVVFQDVCIDGVLKPLPELGEPLYHAIGKLEYFKGHTTEVVGQGERFIDTLKK >ONIVA09G06150.1 pep chromosome:AWHD00000000:9:8260878:8261361:1 gene:ONIVA09G06150 transcript:ONIVA09G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGGCFLRHLDELAKYVLVVLRADDGGKGSTFALYFLIYRHVRAGSSSLAPAPPVRSSRSRVRRWCGRGRVGAAAGAAGEELVVAGQCVDGTGVGVAAGAAGEEVVVAGQCVDGAGVDAAAPPPMSAMRASWSVTVSCRGCCCCCSGRAWSGITPL >ONIVA09G06140.1 pep chromosome:AWHD00000000:9:8260195:8260715:-1 gene:ONIVA09G06140 transcript:ONIVA09G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPATSSGVGRGRWDHRRRRFGARLLISGRYRPIPRKYHLLHGKNHMISDTLEVSPDTWYHPIPVRYQDLIPRKYHPLRGKNRMIPDRIPDMYHLIPVRYHDLIPHKYHSLRGKNRIIPDRYHLIPRKYHLIRGRNASYRSGIKPDT >ONIVA09G06130.1 pep chromosome:AWHD00000000:9:8256725:8260009:1 gene:ONIVA09G06130 transcript:ONIVA09G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKLIINRDIITEAAAMAFADPNLQIPDRYDRSGEVPAGAVVGGGDDESYELPVVDMARLLDPEHREAEVAWLGSACQSWGFFQLINHGVDEAVIQKMKDNTVHFFELPLEDKNAVAVCPDGGIEGFGHHFRTSADKLDWAENLIVQTQPIEGRKLEFWPSNPPTFRDSIDKYAMEMWNLAMQLLGFMASDLGVEQETLLAAFRGKRQSMTLHHYPPCHHPEKVIGIAPHSDGFGLTLLLQVNDTPGLQISKDGRWHPVRPQTGAFVINVGEILEVLTNGHYKSVFHRVVVDTERGRDTIVVFHDACIDGVVKPLLELGEARYHAIDRLEYSKGHATEIFSRGERFVDTLKK >ONIVA09G06120.1 pep chromosome:AWHD00000000:9:8236185:8245066:-1 gene:ONIVA09G06120 transcript:ONIVA09G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLLFAALMLASLSEAAADDGIGGQSGFVSIDCGREANYSDYKDPKTGIVYVSDEPYIDAGAGENHRISATATATAADSYLLQTLRSFPSGPRNCYALPTVAGTKYLVRLGFLYGNYDGENSSSSSASSLRFDLHLGAQRWATVDDVVVQTGGISRMYEVVFMGWARWAPACLVNVGGGTPFVSSVELRPIDDELYPSVKTSESLSLFKRSDMGADTTTLTRYPADEHDRIWKGTGNPGSTDISTQEKIQSENSFEVPLPVLQTAITTPGGNGTTLTVAWQDTRSSSEYMVFLHFADFQKIQPRQFNVTLNDIPIGSNGRSLMFSPSPLDSSSVYSSDGYRADDGNYNLVLRRTAASALPPMLNAMEIYTVITHDSPRTFHKDFDAIMDIKYEYGIKKNWMGDPCFPSEFIWDGIKCSTAGDDNTSRIISLDLSQSNLQGVVSINFTFLTALNYLNLSGNQLNGPVPDSLCKNIAGLYIFSYTSDGDICNNRTSSSRSTNRSTTILAISIVTPVLAVAILLAFLLWRAKGKHNGLTSFGISLISYNWFMQKPVSTFDPPRVPDPKKAPGSTTDHWSHLPINGSRQFTYEELKNFTLNFQRFIGQGGFGHVYYGCLEDGSEVAVKMRSESSLHGLDEFLAEVQSLTKVHHRNLVSLVGYCWEEHYLALVYEYMPSGSLCDHLRGKRDVGETLNWAKRVRIMLEAAQGLEYLHKGCNLPIIHGDVKTNNVLLGENLKAKLADFGLSKMYISDSQTHISVTAAGTVGYIDPEYYQTGRLTESSDVYSFGVVLLEVVTGELPILAGHGHIVQRVERKVTSGSIGLVADARLNDSYDISSMWKVVDTAMLCTTDVAIQRPTMSTVVLQLKECLALEEAREDRNRAGPTNDAVDVVSTFGPSAR >ONIVA09G06110.1 pep chromosome:AWHD00000000:9:8234195:8234410:-1 gene:ONIVA09G06110 transcript:ONIVA09G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATKSSFSLCLEASRRGCGNVTPADGEWRRMRQGEGTSQRRAASGRYGWVALSVAGVDGREKHVTEDIAV >ONIVA09G06100.1 pep chromosome:AWHD00000000:9:8231250:8232771:-1 gene:ONIVA09G06100 transcript:ONIVA09G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVLRNVAQWPARRLAPGVSPVYKRPLYSFVFQQLSPGVRKVVAWPLLFDRVIGVRGVRGIDSCGGSYHDQVEQWLTEWLSGFCGTWLNGRHGG >ONIVA09G06090.1 pep chromosome:AWHD00000000:9:8227856:8228852:1 gene:ONIVA09G06090 transcript:ONIVA09G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTVNSHLPCAGHSTTYLPETSRETGRMAMTPLSPSQSPESSFFRSSACGGARELPAPVIDLPAREHPEASSSGNKPAKRDERRSSNRREGSASGIDDLEKVVSAQMTVNVDMPTQTMNAPMQRWNLISGNDGTTRWQTGLHPILLLARRTKLSTPSTSFHDLVPPSGRKSIEEEVPAVNAGARRHGAGVATGRRKGLSVTQ >ONIVA09G06080.1 pep chromosome:AWHD00000000:9:8224981:8226135:1 gene:ONIVA09G06080 transcript:ONIVA09G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDSGFFRFSAAAPVPVPAHQARRVLSDLQTILGLGAAPPQRLPQTSSGQRRVMPIAPPQQRRQVNGGTAVPMASLPVNQVRANGLVVNTFLMTTTRRQQGLLYPNAGVHPMVATIPVSPQTPTVLNTIPTTVAPVADQRIINHGTVHFMGATLATWGLWDVVSPVAIHANGNPLACICCARVFALRLWEIPRLLSSLGFSYSEPIGPPPLRLPLPPARYASLTTAMCSSPHHFILTMLQMPRQAIADLIWSSQIGNMQIGVPSPAGGQHVAMALSSTSITGTTVLPTLSVMQMPTIHREQRILSPIMLSSSTSLVDITSTTPSMLNMMPMQPIHREQCALPPTTSSSSASSLHCEYVMPEHEDMVSLTLGQSCTMDLDLCL >ONIVA09G06070.1 pep chromosome:AWHD00000000:9:8214006:8218131:-1 gene:ONIVA09G06070 transcript:ONIVA09G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Bystin (InterPro:IPR007955); Has 475 Blast hits to 467 proteins in 210 species: Archae - 0; Bacteria - 9; Metazoa - 155; Fungi - 139; Plants - 55; Viruses - 0; Other Eukaryotes - 117 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G31660) TAIR;Acc:AT1G31660] MAGKKRKSASSDKQPKQQQQRLPLGADADAVADAAKRRRSGASKKHQAEEEASIPSSLSAKILREALTQQQEESLADQRPAAAATAAPSPSFSFPVPKKDGEEDEDDDDVDEFDGFDAQSEYDGGVPEIDEEDEKALAAFMSKDTSSKRSLGDIILEKIREKDAELSTEGRTPVKLDSSIIELYKGVGEFLSRYTSGKIPKGFKRIPSLECWPDVLQLTEPENWSPNAVYQATRLFSSNMNAKNAVRFYEAILLPRVRNDIRKNKRLHFALYQSLKKCLYKPAAFFKGILLPLCQERNCTLREAVIIGSIISKVSIPPLHASAALMKLAEMEYCGTTSYFIKLFLDKKYALPYRVVDAVFAHFMRFIDEERVMPVIWHQSLLAFVERYKNELEKKDKEKLARLLDHQKHYLVTPEIRRELRMSCNRVSVITKPIEEDRWNVPEVPMEE >ONIVA09G06060.1 pep chromosome:AWHD00000000:9:8187111:8197348:-1 gene:ONIVA09G06060 transcript:ONIVA09G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFGFLSIDCGLDQDHNTDSLVGDITYVSDGAYVDAGENRRVTTVYKDDWKGPRYQTLYTLRSFPSSVTGDRNCYSLPTNKGDKYNVRLEFLYGNYDGLDSASLTFNLTLGVNHWDTVILDTAIHYGYKAYAAVFVAWAMWAPVCLVNTGGGTPFVSTVELRPFESLAYPTDNQSLSLYERKSMRSGADVDIIRFPDDQYDRYWYAWELTGNDPYSNISTQSAIELNTTFMVPLRVLQTAFVPDNKTREFTVSIDSGVQSRPISPPYLKGWSIINWSSDSEDLSIKLVATAASALPPILNAYEVYSRIIHEYPMTFSQDFDAIMAIKHEYGIRKNWMGDPCYPSNSVWDGVECTNPGDDKTMRIISLDLSNSELQGQISYNFTLFSALKYLNLSCNQLTGTIPDYLRKSNGSIVFSYESDGDMCKKPITSSSRNRAATLAVYVAAPVLVVAMLVVAYLIWRAKRKPHFSTDDSPTVPEQISPPGHWTNHWDHLQKPENRRFTYEELAKFTDSFKCLIGHGGFGNVYYGCLEDNTEVAVKMRSESSSHGLDEFLAEVQSLTKVNHRNLVSLIGYCWEKDHLALVYEYMSSGNLSDYLRGKTSMGGTMNWATRVRVVLEAAQGLDYLHKGCNLPIIHGDVKTNNILLGRNLKAKIADFGLSKTYHSDSQTHISATAAGSMGYIDPEYYITGRLTESSDVYSFGVVLLEVTSGEPPIIPGNGHIVERVKQKMVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTADIAAQRPMMSAVVMQLKESLELEEAHGDMGDMENVARDNMPSMSMFASWIIQHNCKG >ONIVA09G06050.1 pep chromosome:AWHD00000000:9:8148558:8156917:-1 gene:ONIVA09G06050 transcript:ONIVA09G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEPVTIHWCTGLDFLSIDCGLEGDSYPENLVGGITYVPDGTYVDAGENRRVTTVYKDDWKGPRYQTLYTLRSFPTSVTGERSCYSLPTKKGDKYNVRLEFLYGNYDGLDSASLTFNLTLGVNHWDTVILDTAIHYGYKAYAAVFVAWAMWAPVCLVNTGGGTPFVSTVELRPFESLAYPTDNQSLSLYERRSMRSGADVDIIRFPDDQYDRYWYAWELTENDPYSNISTPSAIEPNTTFMDNKTREFTVSIDSGVQSGPISPPYLKGWSIMNWSSDSDFLSIKLVATATSALPPILNAYEVYSRIIHEYPMTFSQDFEAIMAIKHEYGIRKNWMGDPCYPSSSVWDGVECTNPGDDKTMRIISLDLSNSELQGPISYNFTLFSALKYLNLSCNQLTGTIPDYLRKSNGSIVFSYESDGDMCKKPITSSSSRNRAATLAVSVVAPVLVVAMLVVAYLIWRAKRKPHDDSPMVPQLICSPRHATNHLDHLKKPENRRFTYEELEKFTDSFKRLIGHGGFGNVYYGCLEDGTEVAVKMRSESSPHGLDEFLAEVQNLTKVNHRNLVSLIGYCWEKEHLALVYEYMSSGNLSDYLRGKAGLGGSLNWARRIRVMLEAAQGLDYLHKGCNLPIIHGDVKTNNILLGRNLKAKIADFGLSKTYHSDSQTHISATVAGSVGYIDPEYYVTGKLTERSDVYSFGIVLLEVTSGEPPIIPGNGHIIQRVMQKMVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTADIASQRPMMSAVVTQLKESLELEEAPGNKGDMENVARDDTSSMSMFSPSAR >ONIVA09G06040.1 pep chromosome:AWHD00000000:9:8111419:8116882:-1 gene:ONIVA09G06040 transcript:ONIVA09G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHINIMPFFIPPSVCALGFLSIDCGLEGDDSYPDDQTGITYVPDGPYVDSRENHRVTTVYRNYWGQDYRTLKTLRSFPSASGKRNCYSLPTDVGDKYLVRLEFLYGNYDSMDSSLLKFNLSLGVNHWNTVNLDTTDDQDGYNFYEAVFVAWASWAPVCLINIGQGIPFVSTVELRLLGTLPYPAIIGNQSLSLYVRRSIGSSADDDMRYPDDQYDRYWIMGETTGAADMSNISTPTIIPPSVPFAVPSSILQKAVVPADNSMKLVFHSDQLDAQLRDHLVILHFADFQNNKSREFTVSIDSGVQSGPFSPPYLKVLSITTDWSSDTEGKYNFTLTATSTSSLPPILNAYEVYGRIIHDNPMTFSQDFDAIMAIKYEYGIRKNWMGDPCFPPEFAWDGVECSSDGKTMRIISLDLSNSELHGLISNNFTLLTALKYLNLSCNQLNGAIPDSLRRKNGSMVLSYESGGDMCKKPVSPSSRNRAAALAVSVVVPVLAVAILGLAYLFWRAKRKHNNDPPTVLELTGAPGHKTNHWDRLQKPENRLFTFEELQKFTDNFKRLIGHGGFGHVYYGSLEDSTEVAVKMRSESSLHGLDEFLAEVQSLTTVHHRNLVSLFGYCWDDDHLALVYEYMSSGNLCDYLRGLDYLHKGCNLLIIHGDVKTNNILLGRNLKAKIADFGLSKTYHSDSQTHISASIAAGSMGYIDPEYYTTGRLTESSDVYSFSVVLLEVTTGEPPIIPGNGHVVQRVKQKIVTGNISSIVDTRLGGSYNVSSMWKVLDAAMMCTTDIAAERPTMATVVMQLKESLELEEAHGDRGDMENQARDNTYLMSTFGPSAR >ONIVA09G06030.1 pep chromosome:AWHD00000000:9:8107016:8107620:-1 gene:ONIVA09G06030 transcript:ONIVA09G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHSDSSRPRPPSARPSAARPPASRPPRLEKGNFALPPPFGFPPPPPPSSTFVPPPQSGVPPPPPLGSFFVPPPQSRVPPPPPQPGVPPLPQFGMMPQYGLNLSTAPLRPTATASSRLGFAPHQSAQLHPRSSKRPIENEEGTDPVDNDYAGVDLRQDWSAGEEEVDRRCSFGVPLTYFVSNEVH >ONIVA09G06020.1 pep chromosome:AWHD00000000:9:8103141:8104405:1 gene:ONIVA09G06020 transcript:ONIVA09G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATKNQSEVGLSLDMQRLTEKGWLVIGDCTMTIFQKTLHIFLFNLDADKTVHNQLQEDLIEHLWQRHGDQY >ONIVA09G06010.1 pep chromosome:AWHD00000000:9:8074999:8084078:-1 gene:ONIVA09G06010 transcript:ONIVA09G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSESVQFDLFLGVNKWDEVYIANKDKEYSSEAMFVAWASWASVCLVNTYQGTPFVNTVELRQLDSMLHFRKIMGNSSIYLYERRNMGPSSRDNPIIRYPNDTYDRFWYPWGSEDDPTYSNLSAPSTLNIAPSLSFAVPSLVLETAVVPADNKSVLSIIQTNDKPIHEYLVLVHYADFQSTLRRQFQVYTDGYPSNDGPYVADYTGQTVGTIDWISAETSGKYNITLAATDSSQLPPIVNAFEVYGRIPLDNPSTFPTDFDAIMTIKFEYGIKKNWMNDPCFPSNLVWNGVRCSTGSDNTMRIISLDLSNSNLHGSISNNFTLLTALEYLNLSGNQLSGTIPSSLCENNAGSFVFRFSYLFNVDIGDNFVHLDSTYGPEFLNAPGSTKNHWDHMQKTENRRFTYEELEKYTDNFERLIGHGGFGQVYYGCLEENIEVAVKMRSESSQHGLDEFLAEVQSLTKVHHRNLVSLVGYCWENDHLALVYEYMSGGNLCDHLRGKISVGESLNWATRLRILLEAGQGLDYLHKGCNLPIIHGDVKTNNILLGQNLKAKIADFGLSKTYHSDTQTHISATAAGSVGYIDPEYYNTGRLMESSDVYSFGVVLLEVVTGEPPIIPGHGHIVQRVKQKIVTGNISSIADARLDAYNVSSMWKVVDTAMMCTADVAAQRPVMATVVAQLKEGLALEEAHEERVDLENIASDIVSSVSTFGPSPR >ONIVA09G06000.1 pep chromosome:AWHD00000000:9:8008007:8034308:-1 gene:ONIVA09G06000 transcript:ONIVA09G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVSLSLYVRSNVGSSPDDDDLVRYPDDQYDRFWSTDEAHPLSTNISTQTTIQPSTEFAVPSPVLQKAIVPSGNSMKLVFFSDQLDVLLHDHFVILHFADFQNNKSREFTVSIDNGVQSSLYSTPYLNGLSVTGSWSSDSEGKYNFTIAATATSALPPILNAYEVYGRIVHDNPTTFSQDFDAIMAIKYEYGIKKNWMGDPCFPHEYVWDGVKCSDAGDKIMRIISLDLSNSELHGSISNFFTLFTALKYLNLSCNQLNGTIPYSLLKNNGSIDFSYESDGNMCKTPVTPSLSRNRAVTLAVSVVAPVLLLQLILPWYQNSGVLLDISQIIGTIYKNLKIADSRFGHVYYGCLEDSTEVAIKMRSELSSHGLDQFLAEVQSLTKVHHRNLVCLVGYCWEKEHLALVYEYMSRGNLCDYLRGKIGMGENLNWKTRVRVALEAAQGLDYLHKGCNLPIIHGDVKTNNILLGQNFKAKIADFGLSKTYRSDTQTHISAVAAGSMGYIDPEYYTTGRLTESSDVYSFGVVLLEITTGEPPIIPEKGHIVQRVKKKIVSGNISSVADAHLGGAYNVSSMWKVVNIAMMCTTDIATQRPKMADVVVQLKESLDLVEVQGDRGDKENLASDTMSSMSTFASSQVITHQVSMALLVLVAVLVFEAAVRADGQTGFLSIDCGLEADDSYPDDLTGITYVPDGRYTDAGENHKVTTVYREAWWGPDTRTLYTVRSFPSAEGQRNCYSLPTDVRSKYLVRLEFLYGNYDGLDSSSLKFNLTLGVKHWDTVSIDTTDGNDGYNVHEAVFVAWASWAPVCLINIGQGTPFVSTVELRPLGILPYPAVMGNVSLSLYVRSNVGSSPDDDKLVRYPDDQYDRFWFTDTYTEADPLTTNISTQSTIQPSTEFAVPSPVLQKAVVPSGNSTKLVFFSDQLDALLHDHFVILHFADFQNKKSREFTVSIDNGVQSSPYSTPYLKGLSVTGGWSSNSEGKYNFTIAATATSALPPILNAYEVYGRIIHDNPTTFSQDFDAIMAIKYKYGIKKNWMGDPCFPPEYVWDGVKCSDAGDKIMRIISIDLSNSKLNGSISNSFTLFTALKYLNLSCNQLNGTIPDSLLKNNGSIDFSYESDGNMCKTHATPSLSRNTLAVSVVAPVLVLAILVLAYLIWRAKRKLNTSSTDLAMVPELMGAPGHITNHWDHLQKPENRRFTYQELEKFTENFKHLIGHGGFGHVYYGCLEDSTEVAVKMRSKLSSHGLNEFLAEVQSLTKVHHRNLVCLVGYCWEKEHLALVYEYMSRGNLCDYLRGKTGMGEILNWKTRVRVALEAAQGLDYLHKGCNLPIIHGDVKTNNILLGQNFKAKIADFGLSKTYHSDSQTHISAAAAGSMGYIDPEYYTTGRLTESSDVYSFGVVLLEITTGEPPIIPENGHIVQRVKQKIVSGNISSVADAHLGGAYNVSSMWKVVNIAMMCTTDIATQRPKMGDVVVQLKESLDLVEVHGDRGDMENLASDTMSSMSTFGPSAR >ONIVA09G06000.2 pep chromosome:AWHD00000000:9:8008007:8034308:-1 gene:ONIVA09G06000 transcript:ONIVA09G06000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVSLSLYVRSNVGSSPDDDDLVRYPDDQYDRFWSTDEAHPLSTNISTQTTIQPSTEFAVPSPVLQKAIVPSGNSMKLVFFSDQLDVLLHDHFVILHFADFQNNKSREFTVSIDNGVQSSLYSTPYLNGLSVTGSWSSDSEGKYNFTIAATATSALPPILNAYEVYGRIVHDNPTTFSQDFDAIMAIKYEYGIKKNWMGDPCFPHEYVWDGVKCSDAGDKIMRIISLDLSNSELHGSISNFFTLFTALKYLNLSCNQLNGTIPYSLLKNNGSIDFSYESDGNMCKTPVTPSLSRNRAVTLAVSVVAPVLLLQLILPWYQNSGVLLDISQIIGTIYKNLKIADSRFGHVYYGCLEDSTEVAIKMRSELSSHGLDQFLAEVQSLTKVHHRNLVCLVGYCWEKEHLALVYEYMSRGNLCDYLRGKTGMGEILNWKTRVRVALEAAQGLDYLHKGCNLPIIHGDVKTNNILLGQNFKAKIADFGLSKTYHSDSQTHISAAAAGSMGYIDPEYYTTGRLTESSDVYSFGVVLLEITTGEPPIIPENGHIVQRVKQKIVSGNISSVADAHLGGAYNVSSMWKVVNIAMMCTTDIATQRPKMGDVVVQLKESLDLVEVHGDRGDMENLASDTMSSMSTFGPSAR >ONIVA09G06000.3 pep chromosome:AWHD00000000:9:8034318:8035047:-1 gene:ONIVA09G06000 transcript:ONIVA09G06000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVERRKCNGVDEVGEISFISIDCGLEADSSYLGDLTGLTYVPDGPYIDGGENQKVTTVYRNRWWGPDTRTLHTVRSFPSAKGQRNCYSLPTHVGSKYLIRLDFLYGNYDGMDNPSLKFNLTLGVKHWDTVSLDTTDGNDGYNVHEAVFVAWASWAPVCLINIGQGTPFVSTVELRPLGILP >ONIVA09G05990.1 pep chromosome:AWHD00000000:9:8007137:8007523:-1 gene:ONIVA09G05990 transcript:ONIVA09G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAIFSNYVTDRLTDSSDVDSFGVVLLEVTIGEPPIIPGNCHIIQRVMQMVTEGSRCCDDISSQRPTMAGSHAIEGKPGTRGSSLGHVFNVHVWSIGKMKKPLIPGFGT >ONIVA09G05980.1 pep chromosome:AWHD00000000:9:7998531:8005549:1 gene:ONIVA09G05980 transcript:ONIVA09G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVLVAALVLNTALHAAAQPADFLSIDCGLEANYSGYKDADTGIAYVSDEPYVDSGENHRIAADQESRWGDTNLRTLRSFPSGVRNCYALPTRAGTRYLVRLSFVHGNYDGSNADAGGGGGVGGGGWSTLSFDLYLGVDRWATVDKDYAHEAVFVAWASWAPVCLINTGSGTPFVSVVELRPLDDALYPSVMANQSMARYVRCSIGDNKEFITRYPGDQYDRFWWQLGYSSPTWKNLSTVSAITQDSIYTVPLTIIQTAVEAVGNNTMLNITWQDQTPRGRGLKFFMYFADFQNSQLRQFNVSFNDVEPYQYSPPYLTTGVLYNSGWSIATDGNYNISLVPTAASKLPPMINALEIYTLISHDNPTTFPVDFETIMAIKLEYGIKKNWMGDPCFPVKFAWEGVKCSNSSSNTARIISFFNSDGNMCNKPIIVPSPPGKRSNRAATLAILIVVPATLIVVLVLVFLIRRQQRKSNYSTEDPPRDQSELENALQTRQNHGDVLQIVENRQFTYSELEKVTNKFERHIGQGGFGPVYFGCLEDNTKVAVKMRSELSSHGLDEFFAEVQSLTKVHHRNLVSLIGYCWEKDHLALVYEYMDQGSICDRLRGNNGASETLNWRTRVRVMVEAAQGLDYLHKGCSLPIIHRDVKTSNILLGQNLQAKIADFGLSKTYLSETQTHISVTPAGTAGYIDPEYYQTSRLTESSDVYSFGIVLLEIATGEPPIISGQGHIIQRVKNKIVAGNISLIADARLDGAYEVSSMWKVVDTALQCTVDVVAQRPSMATVVAQLKESLALEESREDSGFMGSTSTVSDNTFSTSGFGPSAR >ONIVA09G05970.1 pep chromosome:AWHD00000000:9:7987030:7996790:1 gene:ONIVA09G05970 transcript:ONIVA09G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEPTFQKASSRCTGDTSHLHRNQHQPLPASRYSSVRIYAAAASSSPRFPIRSCEFLRVSAIAAPPWVLHRSLFPSPDRHIPFTLGKIGTIVSFSQVVTVSRTLEPKSLPLLISTQSPTKVVHSRWLAVLSTAASVRIRPDSQSPTESTAASGCGHWPDPPLQTYRGNGGCPVVRFHSIGWCSYSVRVVNAAGMLDAVVMPAYHQRTHRHVTTAASLQAFFQFVRVLCDAVHLPAYRSHLAVVCALAYYGNLMNILDQMLLQLLKRDVGFK >ONIVA09G05970.2 pep chromosome:AWHD00000000:9:7987030:7996744:1 gene:ONIVA09G05970 transcript:ONIVA09G05970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKEPTFQKASSRCTGDTSHLHRNQHQPLPASRYSSVRIYAAAASSSPRFPIRSCEFLRVSAIAAPPWVLHRSLFPSPDRHIPFTLGKIGTIVSFSQVVTVSRTLEPKSLPLLISTQSPTKVVHSRWLAVLSTAASVRIRPDSQSPTESTAASGCGHWPDPPLQTYRGNGGCPVVRFHSIGWCSYSVRVVNAAGMLDAVVMPAYHQRTHRHVTTAASLQAFFQFVRVLCDAVHLPAYRSHLAVVCALAYYGNLMNILDQMLLQLLKRDVGDIASRQKSKTTYYVIPLLTDG >ONIVA09G05960.1 pep chromosome:AWHD00000000:9:7970856:7972323:-1 gene:ONIVA09G05960 transcript:ONIVA09G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide-nucleotide adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0II25] MEEEVELPLPTEKLAVDPGREGGEQGVAVLVATGSFNPPTYMHLRMFELAKDELQQRGYCVLGGYMSPVNDAYKKKGLLSAAHRIRLCELACESSSFVMVDRWEAMQKGYQRTLTVLSRIRNALCKDGLADGGSLKVMLLCGSDLLESFSTPGEWIPDQIRTICKDFGVICIRREGKDVEKIISSSVTLSECRDNIIPVDEIVPNQISSSRVSLSWSIIVFPTSSIGYWHASPAFMHKSNYTENA >ONIVA09G05950.1 pep chromosome:AWHD00000000:9:7964220:7969973:1 gene:ONIVA09G05950 transcript:ONIVA09G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone acetyltransferase of the GNAT family 2 [Source:Projected from Arabidopsis thaliana (AT5G56740) TAIR;Acc:AT5G56740] MALKQKGTDAAAEPKKRRRVGFSGIDAGVEANECMKVFIARNPDEAGSANSTSLQPFDLNHFFGEDGKIYGYKNLKINVWISAISFHAYADISFEETSDGGKGITDLKPVLQNIFGENLVEKDEFLKTFSKECEYLRVAGLNCFPYRYSNVVTDGNVIKHDASIDEDSAVEIVRVELQGSTPIDITEHGWEMLLVVKKSAQASSSSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLRLLETINSISESENIYDVTIEDPSDYLQYIRSSIDCLRLLTFDPIKPALCSMVSSLKDTNLSKRTSSLKMVPPSDLAETVRQKLKINKKQFLRCWEILIYLNLDAEDRKSMDNFRACIYDRIKGEILGTSTGPNGKRLVQMPSNFDEETCFAVYWTQDGGDADDQTVEQQPEDLKTQEQQLNEVVDSQMEEIVEVAKNVTSRGKDKLSVSCSV >ONIVA09G05950.2 pep chromosome:AWHD00000000:9:7964220:7969973:1 gene:ONIVA09G05950 transcript:ONIVA09G05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone acetyltransferase of the GNAT family 2 [Source:Projected from Arabidopsis thaliana (AT5G56740) TAIR;Acc:AT5G56740] MALKQKGTDAAAEPKKRRRVGFSGIDAGVEANECMKVFIARNPDEAGSANSTSLQPFDLNHFFGEDGKIYGYKNLKINVWISAISFHAYADISFEETSDGGKGITDLKPVLQNIFGENLVEKDEFLKTFSKECEYLSNVVTDGNVIKHDASIDEDSAVEIVRVELQGSTPIDITEHGWEMLLVVKKSAQASSSSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLRLLETINSISESENIYDVTIEDPSDYLQYIRSSIDCLRLLTFDPIKPALCSMVSSLKDTNLSKRTSSLKMVPPSDLAETVRQKLKINKKQFLRCWEILIYLNLDAEDRKSMDNFRACIYDRIKGEILGTSTGPNGKRLVQMPSNFDEETCFAVYWTQDGGDADDQTVEQQPEDLKTQEQQLNEVVDSQMEEIVEVAKNVTSRGKDKLSVSCSV >ONIVA09G05940.1 pep chromosome:AWHD00000000:9:7947456:7959933:-1 gene:ONIVA09G05940 transcript:ONIVA09G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFVLLGRLFMDVIGELKGFTLSDPAAAAAKLPLASAAAPASQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >ONIVA09G05940.2 pep chromosome:AWHD00000000:9:7947456:7959933:-1 gene:ONIVA09G05940 transcript:ONIVA09G05940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFVLLGRLFMDVIGELKGFTLSDPAAAAAKLPLASAAAPASQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >ONIVA09G05940.3 pep chromosome:AWHD00000000:9:7947456:7959933:-1 gene:ONIVA09G05940 transcript:ONIVA09G05940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFVLLGRLFMDVIGELKGFTLSDPAAAAAKLPLASAAAPASQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLLLLVNLLLHSENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >ONIVA09G05940.4 pep chromosome:AWHD00000000:9:7947456:7959933:-1 gene:ONIVA09G05940 transcript:ONIVA09G05940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >ONIVA09G05930.1 pep chromosome:AWHD00000000:9:7938875:7940509:1 gene:ONIVA09G05930 transcript:ONIVA09G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWPEAAPFVPQIASTGGDGGAAVAGGGWSSGSSSPAPSSCSSSSSWREGDCCYDVCWCSSSTVHELRSIAERMVRDGYIEGLIRAFGGAATAGAAGRRGPPDELLLHNWFSQLDVEWVLLLHTCSEEEEDEHVRRPPPLPVEDLMALMERWIRALLTMVQVLCITQLELRAKKPTVAGVRRAIQFFLLRRDSKTAHADYVQQDDDDDHRVAEALPGMLQVYACISEASPTVLAMFKEASDLLASGSSRHGQEAQVFDGMDGIFLRKRKKLSDAIWNMMEKVRASFLQDGCWQVSREASGVHETTVLMMNYIALLWRNDDVLTFILQDHHFSVFVSHTQGFSSVVNLITDIISCLGHKLEEIASSLSNSILDPALRCIFLLNNWQLVLHRIESLDLPSWALIDRCRTRRYIDTYIDVSWSPLLCCIFIGNSSDTPRKKTYRPAFGFRRYLSLENFEIEFRKTYAKHKFFKVPDPKLRQRLRQAIIQKIIPHYSMYLEERAARGMHNRPPKITPEQ >ONIVA09G05920.1 pep chromosome:AWHD00000000:9:7923663:7930807:1 gene:ONIVA09G05920 transcript:ONIVA09G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTITVEGRRHAEAQVGDVVAYSYRRTQRQRHGRQRRLIGVAARGGAGDGRWEAIWATSTHKYPLSLGHFRLLRRLGYGNISAACTLLELRGGGGGALFTLVELVRRHGRPTGRRRNKEKEEGEEKKRRNKTEGMENVTAMAQFQFCKISIAPNGITNSNERERESGDSTSSESTANSGEVRGGVMVVGHEAMARARE >ONIVA09G05910.1 pep chromosome:AWHD00000000:9:7921509:7922280:1 gene:ONIVA09G05910 transcript:ONIVA09G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTGIPSRRDRIDTNQSTLLLPSYSFYNISLTRAAATKSATSSGVAWVWMTEDAVTGDELRRGRSRRAPGIVDSGDELQRGDAVAGDELRRDRPRRAPGIANSGDELPPPPPAIHGAAVNAHLRLHPRGQLVCPPPAPQLCRGPSLVIAFVAGRRSRCESRPSSYPSAASREQRDKTGCKELVDLVMVGCGVW >ONIVA09G05900.1 pep chromosome:AWHD00000000:9:7915956:7920884:-1 gene:ONIVA09G05900 transcript:ONIVA09G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: sequence-specific DNA binding transcription factors;sequence-specific DNA binding (TAIR:AT3G18380.1); Has 89 Blast hits to 86 proteins in 16 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plant /.../; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G15215) TAIR;Acc:AT1G15215] MERRSSVRFAPSEIARMEKLVTHKKEQVLDEIFCRKLAEEFNCSPGRVGSKALQAVQVQEWFRQKFPASTVIPPCLPTGSEEKALASQASAPVSEEKPPSSEENALAVDTSISNDIGEVSPDLPIDNIDKLPEIEDMQFEARSSKDFAWYDIATFLAYRKLSSGEFEVRVRFQGFGAEEDEWINVRKAIRLQSIPLESSECKLIREGDLVLCFKESNDEALHFDAHVLEIQRKQHDIRGCRCVFLVEYDHDGTQERVNLRRLSRRPKHS >ONIVA09G05890.1 pep chromosome:AWHD00000000:9:7900632:7906262:-1 gene:ONIVA09G05890 transcript:ONIVA09G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFRESRRDSSSSNGSSAAAAATASTGGLPSPFPDLGVPLSAADLREAAYEVLVASSRTTGGKPLTYIPQAAASAGGGGGPASPASASSLSSANASSSPSLQRSLTSAAASKMKKALGLRSSASSKGGSPGSGGGGKSVPPRRPATVGELMRVQMRVSEPADARIRRGLLRIAASQLGRRAESMVLPLEFLQQFKASDIPDPQEYEAWQSRNLKLLEAGLLVHPLVPLNKSDVSAQRLRQIIRGAYDRPLETGKNSESMQVLRSAVMSLAGRSDDGTSDGCHWADGFPLNLHLYQMLVEACFDNDDGTVVDEIDEVMELLKKTWGILGINQMLHNLCFAWALFNHFVMSGQVDIELLSAAENQLAEVAKDAKTTKDPNYSKVLSSTLSSIMGWTEKRLLAYHETFNTSNIESMQGIVSIGVSAARVLVEDISHEYRRRRKEETDVARSRIETYIRSSLRTAFAQRMEEADSKRSSRNPTPVLSILAKDIGDLAIKEKNLYSPILKTWHPLASGVAVATLHSCFGNELKQFIAGLTELTPDTVQVLKAADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKVWIKERIDRLKGWVDRTLKQETWNPAANRENIAPSCVEMLRMVGETLDAFFQLPIPMHPVLLPDLMFGLDRSLQLFVSKAKSGCGTRNSFMPQLPPLTRCEVGSNILFKKKEKPQNPQYRGSQNGTTNGSDPLALPQLCVRLNTLQFVRGELENLEKKIKTGLRNVESAQADVTDGLDIKFELCQTACQEGIQQLCETTAYKVTFYDLGHVLWDILYIGDIASSRIEILLRELDPILETISGMVHNKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQIIEDDFKALKDLFLADGDGLPEELVDKASSQVKNVLPLLRTDSESLIDRFKRMMAESNRSGAKNRLPLPPTTGHWSPNEPNTVLRVLCYRYDETATKFLKKTYNLPKKI >ONIVA09G05880.1 pep chromosome:AWHD00000000:9:7893375:7896309:-1 gene:ONIVA09G05880 transcript:ONIVA09G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMVCSREFWRMAVLWTVSLLYSYILLFFLRRGAPVPRLRPMPEPDDDAARRRRRRPVCVITGATSGLGKAAAAALAREGYHVILAGRSSQLLSETVQQIRDQQPDAHLEAFQIDLSSYKSIKKFETSLNQWIKDSNVEHSIQLLVNNAGILAKSYRITEDGLDEMIQANYIGPFVLTNILLPLLKNSSTPSRVVNLTSFTHRCVSEINLSEKGLSGVRFGHWPARRSYLLASTYEYTKFCLLMFSYELHRQLHLSSGVSVMAADPGVVQTGIMRELPPCLSWLALSVLRLLNLLQQPDTGVDAVLDAALAPPDSSGKYFFGGKGRTITSSQLSYNVEVAKKLWAESLALFNELQNRVCELRTS >ONIVA09G05880.2 pep chromosome:AWHD00000000:9:7893375:7896309:-1 gene:ONIVA09G05880 transcript:ONIVA09G05880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMVCSREFWRMAVLWTVSLLYSYILLFFLRRGAPVPRLRPMPEPDDDAARRRRRRPVCVITGATSGLGKAAAAALAREGYHVILAGRSSQLLSETVQQIRDQQPDAHLEAFQIDLSSYKSIKKFETSLNQWIKDSNVEHSIQLLVNNAGILAKSYRITEDVKLTTHDFQNDSGKLHWSICPDQHSVTIAEEQLNTFSGVSEINLSEKGLSGVRFGHWPARRSYLLASTYEYTKFCLLMFSYELHRQLHLSSGVSVMAADPGVVQTGIMRELPPCLSWLALSVLRLLNLLQQPDTGVDAVLDAALAPPDSSGKYFFGGKGRTITSSQLSYNVEVAKKLWAESLALFNELQNRVCELRTS >ONIVA09G05880.3 pep chromosome:AWHD00000000:9:7893375:7896309:-1 gene:ONIVA09G05880 transcript:ONIVA09G05880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMVCSREFWRMAVLWTVSLLYSYILLFFLRRGAPVPRLRPMPEPDDDAARRRRRRPVCVITGATSGLGKAAAAALAREGYHTVQQIRDQQPDAHLEAFQIDLSSYKSIKKFETSLNQWIKDSNVEHSIQLLVNNAGILAKSYRITEDGLDEMIQANYIGPFVLTNILLPLLKNSSTPSRVVNLTSFTHRCVSEINLSEKGLSGVRFGHWPARRSYLLASTYEYTKFCLLMFSYELHRQLHLSSGVSVMAADPGVVQTGIMRELPPCLSWLALSVLRLLNLLQQPDTGVDAVLDAALAPPDSSGKYFFGGKGRTITSSQLSYNVEVAKKLWAESLALFNELQNRVCELRTS >ONIVA09G05880.4 pep chromosome:AWHD00000000:9:7893375:7896309:-1 gene:ONIVA09G05880 transcript:ONIVA09G05880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMVCSREFWRMAVLWTVSLLYSYILLFFLRRGAPVPRLRPMPEPDDDAARRRRRRPVCVITGATSGLGKAAAAALAREGYHTVQQIRDQQPDAHLEAFQIDLSSYKSIKKFETSLNQWIKDSNVEHSIQLLVNNAGILAKSYRITEDVKLTTHDFQNDSGKLHWSICPDQHSVTIAEEQLNTFSGVSEINLSEKGLSGVRFGHWPARRSYLLASTYEYTKFCLLMFSYELHRQLHLSSGVSVMAADPGVVQTGIMRELPPCLSWLALSVLRLLNLLQQPDTGVDAVLDAALAPPDSSGKYFFGGKGRTITSSQLSYNVEVAKKLWAESLALFNELQNRVCELRTS >ONIVA09G05870.1 pep chromosome:AWHD00000000:9:7889409:7890206:1 gene:ONIVA09G05870 transcript:ONIVA09G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0II09] MAAATMALSSPVMARAAPSTSSALFGEARITMRKTAAKPKPAASSGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEVVDPLYPGGAFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >ONIVA09G05860.1 pep chromosome:AWHD00000000:9:7870725:7887744:1 gene:ONIVA09G05860 transcript:ONIVA09G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCPEGYPTIIHPARIMRMPTHQGRRYCPLFQGEGEPEKIVPAAEALLMACVKLSNTRDPSIRRSAPPSPRATSDHRGGGLHPQRPIEVLLSSTATSTISSSRLVLLRAPASLSPRLGFHALRSRGGGEASTRLGGGAGQQLILSLVMKPCQMLSDSAEYLSCSDWIIGVTGQPQGDMGMEVVGTEAAPAEVKITDGEVNLFQENESKATAKEREEAVLFGSDNSTATANGAANGADLAPPKDAEEDWPEARKTHSFFFVKIRLLEDPKLKMKIDQAEKDFQKKIQARSQIFEAIKAKKNERFGIISELKPLAAENKQYNEAVSEKLKAIEPLRNRLGKFRDENNAIRAQGAGICSSIEELEKSIKRLNDRISHESIPLDEEKRLIKQIRELEKTRPKVISTSANRAQIQDTVVERDAIQDQVKIIGEGIDGVKKERQAVRSKIKVLEDELKAIDMEMGSLQEDLTAATARKDKAHESLVQLRHARDAYNASFHQNRQLLSKARDLASRSELAQVQELYKTQVDEFVAEWCNSKAFREDYEKRILSSLNSRQLSRDGRMRNPDEKPIFIETEAAAPPVEQEPIQSKMPAKQAKEAPAPQAEVSPKDESRVKATAKPSKAKSSLDADDDYEAESPKEKPKPKEVDVAKLKEIKRQEEMEKNRLALERKKKLAEKQAAKAAARAQKEAEKKLKREEMRARRRAGAADTEASTESDNRSDGAAEAQAEDNSAPASAPVMREQRESVRYSRNVVTKSKAPLPKAILRRKKAQSYWSWAGPAAAVAAALVALLAVLGYYQYYLPASASN >ONIVA09G05860.2 pep chromosome:AWHD00000000:9:7876560:7887744:1 gene:ONIVA09G05860 transcript:ONIVA09G05860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCPEGYPTIIHPARIMRMPTHQGRRYCPLFQGEGEPEKIVPAAEALLMACVKLSNTRDPSIRRSAPPSPRATSDHRGGGLHPQRPIEVLLSSTATSTISSSRLVLLRAPASLSPRLGFHALRSRGGGEASTRLGGGAGQQLILSLVMKPCQMLSDSAEYLSCSDWIIGVTGQPQGDMGMEVVGTEAAPAEVKITDGEVNLFQENESKATAKEREEAVLFGSDNSTATANGAANGADLAPPKDAEEDWPEARKTHSFFFVKIRLLEDPKLKMKIDQAEKDFQKKIQARSQIFEAIKAKKNERFGIISELKPLAAENKQYNEAVSEKLKAIEPLRNRLGKFRDENNAIRAQGAGICSSIEELEKSIKRLNDRISHESIPLDEEKRLIKQIRELEKTRPKVISTSANRAQIQDTVVERDAIQDQVKIIGEGIDGVKKERQAVRSKIKVLEDELKAIDMEMGSLQEDLTAATARKDKAHESLVQLRHARDAYNASFHQNRQLLSKARDLASRSELAQVQELYKTQVDEFVAEWCNSKAFREDYEKRILSSLNSRQLSRDGRMRNPDEKPIFIETEAAAPPVEQEPIQSKMPAKQAKEAPAPQAEVSPKDESRVKATAKPSKAKSSLDADDDYEAESPKEKPKPKEVDVAKLKEIKRQEEMEKNRLALERKKKLAEKQAAKAAARAQKEAEKKLKREEMRARRRAGAADTEASTESDNRSDGAAEAQAEDNSAPASAPVMREQRESVRYSRNVVTKSKAPLPKAILRRKKAQSYWSWAGPAAAVAAALVALLAVLGYYQYYLPASASN >ONIVA09G05860.3 pep chromosome:AWHD00000000:9:7870725:7887744:1 gene:ONIVA09G05860 transcript:ONIVA09G05860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCPEGYPTIIHPARIMRMPTHQGRRYCPLFQGEGEPEKIVPAAEALLMACVKLSNTRDPSIRRSAPPSPRATSDHRGGGLHPQRPIEGFTPSDPAAAAKLPLASAAAPARDMGMEVVGTEAAPAEVKITDGEVNLFQENESKATAKEREEAVLFGSDNSTATANGAANGADLAPPKDAEEDWPEARKTHSFFFVKIRLLEDPKLKMKIDQAEKDFQKKIQARSQIFEAIKAKKNERFGIISELKPLAAENKQYNEAVSEKLKAIEPLRNRLGKFRDENNAIRAQGAGICSSIEELEKSIKRLNDRISHESIPLDEEKRLIKQIRELEKTRPKVISTSANRAQIQDTVVERDAIQDQVKIIGEGIDGVKKERQAVRSKIKVLEDELKAIDMEMGSLQEDLTAATARKDKAHESLVQLRHARDAYNASFHQNRQLLSKARDLASRSELAQVQELYKTQVDEFVAEWCNSKAFREDYEKRILSSLNSRQLSRDGRMRNPDEKPIFIETEAAAPPVEQEPIQSKMPAKQAKEAPAPQAEVSPKDESRVKATAKPSKAKSSLDADDDYEAESPKEKPKPKEVDVAKLKEIKRQEEMEKNRLALERKKKLAEKQAAKAAARAQKEAEKKLKREEMRARRRAGAADTEASTESDNRSDGAAEAQAEDNSAPASAPVMREQRESVRYSRNVVTKSKAPLPKAILRRKKAQSYWSWAGPAAAVAAALVALLAVLGYYQYYLPASASN >ONIVA09G05850.1 pep chromosome:AWHD00000000:9:7859285:7859823:-1 gene:ONIVA09G05850 transcript:ONIVA09G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGQEPRPRRSSMPWIWRPLQATVSSVAPWSSPTSAPAVVNLAALLNPGAQGEGVLITCCWSPPHNPVDRLSFSTVSRHVRRERIDGWCEDIQPIALVDLVVTCVRFKWL >ONIVA09G05840.1 pep chromosome:AWHD00000000:9:7858330:7859136:1 gene:ONIVA09G05840 transcript:ONIVA09G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSRCSRTVKDKTSHQVCQTRGQLGTNVRAGVANTARARQGTTMNHTPMSEETSREGLRDGRGARTAVTTVAAWRCGGDARSRGRRPRAADDDQCRRRGCRCANGDEDDESGEAAVREDNTAAAPYRCSGEKSTGDVAMLRRAPLSTATTSGGMDHRRQHCGPRGRRSGNPKFDGVDVCSMTIGCRSRCSRAPERVIKPKMHGTER >ONIVA09G05830.1 pep chromosome:AWHD00000000:9:7857970:7858231:1 gene:ONIVA09G05830 transcript:ONIVA09G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTIYSNIPPQSKREQRGHLDWRKNRQECSTRMIALCAIVDVAKAKEPREPWSMKPCVDRSREADVEVAEPQGAHGAP >ONIVA09G05820.1 pep chromosome:AWHD00000000:9:7853842:7856507:1 gene:ONIVA09G05820 transcript:ONIVA09G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGKNGEAKSDAGGEVERRWQGRDGDGSAAANQKQQKASIGRRAWRLLRLAVLWARKGSTVHSLCLFSNLRRAGVGLGVVDGGSRSERLRYGELEYSIEETSSARVLRLIPFIAPAVPDTPGFYGDEDRYFFCRWDTEPECSGVGCYDYIENDVLKTEQIVQVGHGARGDVTILPTLVINNVQYRDLFCYDYNGEPSECGGVEDESFHDGAMDEQLLELSMPTPASAPALRTLKQNLSLLLSVLVDRAQPAAESIMALMGQTAE >ONIVA09G05810.1 pep chromosome:AWHD00000000:9:7827724:7831091:-1 gene:ONIVA09G05810 transcript:ONIVA09G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPHPPKEQLASVSFCITSPPPWPEAIILGFQHFIVMLGTTVIIPSALVPQMGGGNDEKARVIQTLLFVAGINTLFQTFFGSRLPVVMGGSYTFVAPTISIILARRYNNEADSREKFLRTMRGTQGALIIASTIQMILGFSGLWRNVVRLLSPLSAVPLISLVGFGLYELGFPGVAKCVEIGLPELILLVAFSQYLPQVLHFGKPIFGRFGVLFTVSIVWLYAYILTISGAYKNAPPKTQVHCRVDRSGLISGAPWIRVPYPFQWGAPTFDAGEAFAMMMTSFIALVETTGAFIAASRYASATMIPPSIISRGIGWQGISILIDSFFGTANGTSVSVENVGLLALTHVGSRRVVQISAGFMIFFAILGKFGALFASIPLPIFAGMYCIFFAYVGACGLSFLQFCNLNSFRTKFILGFAFFMGISVPQYFNEYTAVAGYGPVHTGARWFNDMINVPFSSKPFVAGLVAYFLDNTIETHNNTVRKDRGYHWWDKFRSFKKDARSEEFYSLPFNLNKFFPAV >ONIVA09G05800.1 pep chromosome:AWHD00000000:9:7818349:7821615:-1 gene:ONIVA09G05800 transcript:ONIVA09G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMDGDFGPLLELIKLHRMYGLLLVMDVAHSSHLFVVVMVVPWTVGDHCDVGVIGLTKCSGTHGLLPCFDTFLLVSIQRETICVHPLDFMIWPQVKGKCTNLATKATPTRIAIDGDRCSIDPSSPVCYSHFTATIMSSAVFASMRLNATNQSYLPVPITLATAYKMQHGDNLKLKTSHGLKIKIKIKEVASTLMPSRSKACVMILNRQGLIRCPVKTPSNSSSANKRSPDPSGQLTRASTFDHASSSKSVPFLRNGTVTKENLADTSFCHQIKLTAELKSYIKEIADCLEESNKFYVVRMNRTFMEQDRVVIFDTTFPSSYTHTEHINVTFLFQYFATEFSKKYIVNLVRGKTANIRVQIAGRPSTT >ONIVA09G05800.2 pep chromosome:AWHD00000000:9:7818349:7821615:-1 gene:ONIVA09G05800 transcript:ONIVA09G05800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMDGDFGPLLELIKLHRMYGLLLVMDVPWTVGDHCDVGVIGLTKCSGTHGLLPCFDTFLLVSIQRETICVHPLDFMIWPQVKGKCTNLATKATPTRIAIDGDRCSIDPSSPVCYSHFTATIMSSAVFASMRLNATNQSYLPVPITLATAYKMQHGDNLKLKTSHGLKIKIKIKEVASTLMPSRSKACVMILNRQGLIRCPVKTPSNSSSANKRSPDPSGQLTRASTFDHASSSKSVPFLRNGTVTKENLADTSFCHQIKLTAELKSYIKEIADCLEESNKFYVVRMNRTFMEQDRVVIFDTTFPSSYTHTEHINVTFLFQYFATEFSKKYIVNLVRGKTANIRVQIAGRPSTT >ONIVA09G05800.3 pep chromosome:AWHD00000000:9:7818349:7821614:-1 gene:ONIVA09G05800 transcript:ONIVA09G05800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPQVKGKCTNLATKATPTRIAIDGDRCSIDPSSPVCYSHFTATIMSSAVFASMRLNATNQSYLPVPITLATAYKMQHGDNLKLKTSHGLKIKIKIKEVASTLMPSRSKACVMILNRQGLIRCPVKTPSNSSSANKRSPDPSGQLTRASTFDHASSSKSVPFLRNGTVTKENLADTSFCHQIKLTAELKSYIKEIADCLEESNKFYVVRMNRTFMEQDRVVIFDTTFPSSYTHTEHINVTFLFQYFATEFSKKYIVNLVRGKTANIRVQIAGRPSTT >ONIVA09G05790.1 pep chromosome:AWHD00000000:9:7816475:7819255:1 gene:ONIVA09G05790 transcript:ONIVA09G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFMACASPPVLTLALLASCGALLATSMLPARATAGSCLDVGDMVMMDRFRAWQGAHNRSYPSAEEALQRFDVYRRNAEFIDAVNLRGDLTYQLAENEFADLTEEEFLATYTGYYAGDGPVDDSVITTGAGDVDASFSYRVDVPASVDWRAQGAVVPPKSQMSTCSSCWAFVTAATIESLNMIKTGKLVSLSEQQLVDCDSYDGGCNLGSYGRAYKWVVENGGLTTEADYPYTARRGPCNRAKSAHHAAKITGFGKVPPRNEAALQAAVARQPVAVAIEVGSGMQFYKGGVYTGPCGTRLAHAVTVVGYGTDASSGAKYWTIKNSWGQSWGERGYIRILRDVGGPGLCGVTLDIAYPTLTL >ONIVA09G05780.1 pep chromosome:AWHD00000000:9:7801592:7802397:-1 gene:ONIVA09G05780 transcript:ONIVA09G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGKVERLYWPTTAADVMRANPGHYVALVILRISADEAASAAAAGDNKTNAGGATGGGGGGAKITRVKLLKPKDTLLLGQVYRLITSQEVTKALRARKNEKMRRCEAIRQQHEQLRRGDPLAGVAEEEEEEESASDDQLTPRDVLAAAQDGKRDRHRSSGAGAPPAAGGRGRHWRPSLQSISEAASQSGGGGGGSSISESAAR >ONIVA09G05770.1 pep chromosome:AWHD00000000:9:7778031:7780126:-1 gene:ONIVA09G05770 transcript:ONIVA09G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G78590) TAIR;Acc:AT1G78590] MALRRVLLFVKPFDVYPPRPLAAAASSPPPPPPPLRVSNPKVLNYLDDRCRVHKETINLCKSVLQRKSIDWISVQRNDMSNPIHDVDLVISVGGDGTLLRASHFLNSSIPVLGVNSDPTCPDEVDELTDEFDARRSTGHLCAATAANFEQILDATLDGSRQPSELSRISVKLNGLQLPTYALNDILVSHPCPASVSRFSFRSSGLRVATPAGSTAAMLSAGGFVMPISSHELQYMIREPISPRDADKPLLHGLVKQGQHILVVWYNEEGAVYFDGSHVMHSIQHGDTLEISSDAPILKVILPENLLKQGS >ONIVA09G05760.1 pep chromosome:AWHD00000000:9:7773797:7775962:-1 gene:ONIVA09G05760 transcript:ONIVA09G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKPIRKHIYYCLNPESNVHNLMIAADDLRDTIDTIEERILVGECEGKKPKAQATSWIRSAQSVRDESDKIKNGYEARRIHALGCSWNFFFNYSVSNSATKMHANADEIKKRAPENDGMFSSLPLVGRELPLPPYIVGQDEYKDKIVGSIKQGTTGTIGICGMGGSGKTTLLKQLNNFFSCAAETHEFDHVIYVEVSQQQNLETVQQNIASQLGIMLTQNKDATFRSASLYNFLKERSFLLLIDDLWQTLDLVKVGIPQGGRQLGPQNRQMIVITSRLQQVCYGMDGHCQMIVLQRLKFNEAWSLFESNAGIRITNNVQVKCHAESIVEKCGGLPLALKIVGQAMASKGTEHEWELAVNLLEQSQFHKVPDVENDLYSVLYISYDNLPDERTKQCFLFFAFASYGTSPTRSFWMGHGLLDEDDDIGNSNLRGYSVVACLKRACLLEGHPLGEKYLRMHDCIQDLALWITATKRANGSNKKWLVVSDQRKLIDPKEWSMAERIRLLHNKNVTIPNSCYCPHLLTLIMRQASQICMLTRLGSLESLYLLPTWICIVLILNNLQHLEQYCTNIHLDLSYTPIQSLPVEFRLLKKLRYLYLRYTRKLQTVPDGTISALSMLRVLDIHGSVFFTKVKARSYLEELESLTSLQLLRVTVVDFQSLRRIFNLSRVSLRDRIGTPPSFVPTYQQSKGTTSRSSGSELYEEFGEVDDRW >ONIVA09G05750.1 pep chromosome:AWHD00000000:9:7769800:7771283:1 gene:ONIVA09G05750 transcript:ONIVA09G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVTSFNLLMSQTAPPVLKAPFGAALRSAGKPAAALALQRKSPRLLVRANNSPKSPADTGHPFGIAPFALVHPKFPPTSGNKWRITEDDDYVKLWFHVGEKIDRKKLKVRIEHDTVLLVSYGGAGDETSTTENSLDVRLLLPNKPYDTAKVEAELTFGTLLVTVAKRKPQGRDKVEIPITPAPSNEKTTTATGQTGSET >ONIVA09G05740.1 pep chromosome:AWHD00000000:9:7766281:7767275:1 gene:ONIVA09G05740 transcript:ONIVA09G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAAAISCYISCLEAAAAAVSFSSPSMRRRQRRLTTPAALFPFSTAPDKYGLPRHRFAPISAAINFSPDALVHPARESERQKEKAGRNMGGQEMIVEAGDSVTLQFPVTEIVGGDESKVNNAIEVAIDHGDVLTISLRDREQQKKQQPSLLDVRLLMTPGYDEKKVEWRSKKVDDKVWLEVTIKKKAPTKDGTRIVDISAIEEN >ONIVA09G05730.1 pep chromosome:AWHD00000000:9:7753899:7762886:1 gene:ONIVA09G05730 transcript:ONIVA09G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase [Source:Projected from Arabidopsis thaliana (AT1G07650) TAIR;Acc:AT1G07650] MPWRLVPCGRGQLFLVVLGLLLLLLGEVHHGSGARTASLPRLLPAELRTLRRIAQKMGILRWNFSVDPCNSGGNGGFGGTVNCDCSFYNQTFCHVTNITLEGQNFTGELPPDFAEFPNLLQLDLSRSLLHGGVPDQWARMKLQGLSLMGNNLSGPFPIALTKITTLTNLSIEGNNFYGPIPSDIGHLMQMEKLRISGNNFSGRVPVFLGKLKKLGKLQIEGSLLEGPIPSEFSKLINLYDLRISDLRGRGSVFPDLRELVSMKTIILRNCSINGSIPSYIGNMDNLKHLDLSFNKLTGEIPASFANMGHVDHINMVESYSPEMSSLTNVESCLKRNFPCGSSNGKYRYSLNINCGDKEVTINGTKYETDVEPKGASLLYQSPGSNWAFSSTGNFMDNNINDDSYIATSASKLTVPNSELYAKARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDSTYCSLGKRRFNVFIQGRMVLEDFDIEQSAGGAAKAVIKTFTANVTNHTLEIHFYWAGRGTTGIPKRGYYGPLISAISVVPNFEVPLAVEPPQIGGSKKLSRISKAFLVAMPILAMCAALFVGIYWIKWRRKNSMHKDLRAFDLQTGSFTLRQIKVATRNFDAANKIGEGGFGSVYKGLLSDGTIIAVKQLSSRSKQGNREFVNEIGMISALQHPNLVKLYGCCTEGNQLLLVYEYMENNCLARALFGTVEQYRLSLDWPTRRKICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLSAKISDFGLAKLNDDDHTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTSYRPKEDFVYLLDWACVLHERGNLLELVDPELGSDYSTEEALLMLNVALLCTNAAPTLRPKMTKVLSLLEGHTPLQPFLSDLSLAANSLSSSGQRRNFWQTLSDQSQSMTAAQASSSNTNESSSLDIDGSLRP >ONIVA09G05720.1 pep chromosome:AWHD00000000:9:7738222:7738705:-1 gene:ONIVA09G05720 transcript:ONIVA09G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLTKMREEFWDTAPHYGGRKEIWDALRVAAESEVSLAQAIVESAAIIVSNTDLTLCYDERGAKYELPKYVLSEPTNLIQDS >ONIVA09G05710.1 pep chromosome:AWHD00000000:9:7736278:7738026:1 gene:ONIVA09G05710 transcript:ONIVA09G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRPDGSYGPEYGPQPPEHEYGLYHHWPSRGRAPWPLYHGRDYPWRSLEQRVRREPFVFSSRIRHINGGGNPRPRQEDPGLTDAEFKKAMEQLNKQAYRSLDPHKMVESNRGGRNHAKSARSKPAPNNTEEEKACTICLETFLAGEQVVATPCNHIFHQECITPWVKGHGNCPVCRFALCERNTVSDNSQSGVGEVEVDLDLLEMMRAMEEIFSRVTFSNFMPYN >ONIVA09G05700.1 pep chromosome:AWHD00000000:9:7730590:7736205:1 gene:ONIVA09G05700 transcript:ONIVA09G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTWQWHAIAALGVACAAAAAVAADRRAFSVTSAAGGGAAAAAAVVAATPEEVGILRKVANFLWQTDGNSYHHVWPEMELGWQIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVLFPDWLVTVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQTSEEPEYAPLPTGPGAVADAKRPSDEAASLMKNIYWKEFGLLAFVWMAFLVLQVTKNYTATCSSWYWILNLLQIPVSVGVTLYEALGLMSGKRVLSSKGNEQTTLKFHQLCIYCFFGITAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYALYFVIVAFIAAIIGQHVVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMIHKINQHEYMGFENLCKYDA >ONIVA09G05700.2 pep chromosome:AWHD00000000:9:7731304:7736205:1 gene:ONIVA09G05700 transcript:ONIVA09G05700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTWQWHAIAALGTDGNSYHHVWPEMELGWQIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVLFPDWLVTVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQTSEEPEYAPLPTGPGAVADAKRPSDEAASLMKNIYWKEFGLLAFVWMAFLVLQVTKNYTATCSSWYWILNLLQIPVSVGVTLYEALGLMSGKRVLSSKGNEQTTLKFHQLCIYCFFGITAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYALYFVIVAFIAAIIGQHVVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMIHKINQHEYMGFENLCKYDA >ONIVA09G05690.1 pep chromosome:AWHD00000000:9:7722665:7723628:-1 gene:ONIVA09G05690 transcript:ONIVA09G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKTQAGDLTFYYQWGTLITYLGRMQGVPFFFMVECYLDSVALGIQMKIMPQNIHLVETNWEYVLVIAEAFNIFNLPLTIPFLDGSKMYFHSNPEALPILAALQPKKGEE >ONIVA09G05680.1 pep chromosome:AWHD00000000:9:7718945:7719508:1 gene:ONIVA09G05680 transcript:ONIVA09G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGELLAGGEPVRPPRLEDAGLEDCALPPESIAEAFSLAAMAVSSRLTHFSLSDDDDDDDEDLLLPPRGGGAGGCVEDSGPTCGDIPDALVGVGGDRGSGADEVVVVGGGAGEGGDEVVVGGRGDEEDRVVVVGEERGEKLGSDNGCVEGIREGIADSDRGEGNGEEGKEVVVGVEKAILVEDFA >ONIVA09G05670.1 pep chromosome:AWHD00000000:9:7716973:7717278:1 gene:ONIVA09G05670 transcript:ONIVA09G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRVLLPLPFAAAGPSPSLHHRPPPPGAVAFPLPPPAAPASPPAEGAAAGMSPSLPPPPPPPPPPGRRRRVRKARGGCERAEGRRREEREETTSPCLRCR >ONIVA09G05660.1 pep chromosome:AWHD00000000:9:7708494:7709682:-1 gene:ONIVA09G05660 transcript:ONIVA09G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNVQENEQVMSTEDLLQAQIELYHHCLAFIKSMALRAATDLRIPDAIHCNGGAATLTDLAAHVGLHPTKLSHLRRLMRVLTLSGIFTVHDDDGEATYTLTRVSRLLLSDGVERTHGLSQIVRVFVNPVAVASQFSLHEWFTVEQAAAVSLFEVAHGCTRWEMIANDSKDGSMFNAGMVEDSSVAMDIILRKSSNVFRGINSLVDVGGGYGAVAAAVVRAFPDIKCTVLDLPHIVAKAPSNNNIQFVGSDLFEFIPAADVVLLKCILHCWQHDDCVKIMRRCKEAISARDAGGKEMQLLFDVFMMYTDGIEREEHEWKKIFLEAGFSDYKIIPVLGVRSIIEVYP >ONIVA09G05650.1 pep chromosome:AWHD00000000:9:7701352:7702854:1 gene:ONIVA09G05650 transcript:ONIVA09G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEKDCSNKTSSGKATVRTKSKSPAVSPTTLGDLPDKLLEHILVRVASPVWLARAAATCKRWRRIVANDNFPFHMDHRLPNPVAGHYHSRRRPDGRDRSSRLITFVPSSSAAALGVDARRHFSLDFLPGGRSSWELVDSHGSLLLLAATSSTRRRGHRRRLFPDLVVCEPVTRRYKLIPRMEEMKHQRCLGVFLQGYLTSSSSNRSSIMSSLRVICVVYIEYSGVSDGMGTVRACVFDPNGSNSWKPRPRSACWYMFKPSWNMAKRGIHLRGSEHARLLGHAAGAVFWAIGGDDTLLVLDKRRTEFEVLRLPGSVRASELRAIVDGGNGDNDGKLRVVCLDEENVVRVFATWRGQHSNGEWVLQKSLRLEESTMGLAGYKAGRGGAAMVVAAATAGSVVLAPVEEMTWMFSVDLETMEIAECKEV >ONIVA09G05630.1 pep chromosome:AWHD00000000:9:7660751:7662124:1 gene:ONIVA09G05630 transcript:ONIVA09G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPKEAQSRAGAGVRMISSEELRAHASRDDLWISISGDVYDVTAWVPHHPGGDIPLLTLAGQDATDAFAAYHPPSARPLLGRFLVGRLEDYTVSPASADFRRLLAQLSSAGLFERVGPTPKVQVAGMLLLLCAALYCVLACASAWAHLLAGGLIGFIWIQSGWMGHDSGHHRITGHAALDRLLQVLSGNCLTGLSIAWWKCNHNTHHIACNSLDHDPDLQHMPLFAVSSKLFGLWSYFYQRTLVFDAASKFLISYQHWTFYPVMCFARINLLIQSAVFLLSSRKVPQRGLEIAGVAAFWVWYPMVVSCLPNWWERVAFVVASFVITGIQHVQFCLNHFSSEVYVGPPKGNDWFEKQTAGTLDIQCSPWMDWFHGGLQFQIEHHLFPRLPRCHLRKVSPFVRDLCKKHGLPYAAASFWQANVLTWKTLRAAALQARKATSGAAPKNLVWEAVNTHG >ONIVA09G05620.1 pep chromosome:AWHD00000000:9:7650963:7655480:1 gene:ONIVA09G05620 transcript:ONIVA09G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrogen fixation S (NIFS)-like 1 [Source:Projected from Arabidopsis thaliana (AT5G65720) TAIR;Acc:AT5G65720] MALSRRLLPLLLRRGSTPSLSPARALSTAAVTADAPAAAAAAAAEEEAMTIKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDAAVEEARARVASLVGADPREIFFTSGATECNNIAVKGVMRFYRDRRRHVVTTQTEHKCVLDSCRYLQQEGFEVTYLPVRPDGLVDVAQLADAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNQMGIGLMSLSAHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAKEMDYDHRRASVLQQRLLDGIRGQVDDIVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVHQVKKLRDMSPLYEMAKAGIDLKSIHIIDFKSKWPSNS >ONIVA09G05620.2 pep chromosome:AWHD00000000:9:7650963:7655502:1 gene:ONIVA09G05620 transcript:ONIVA09G05620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrogen fixation S (NIFS)-like 1 [Source:Projected from Arabidopsis thaliana (AT5G65720) TAIR;Acc:AT5G65720] MALSRRLLPLLLRRGSTPSLSPARALSTAAVTADAPAAAAAAAAEEEAMTIKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDAAVEEARARVASLVGADPREIFFTSGATECNNIAVKGVMRFYRDRRRHVVTTQTEHKCVLDSCRYLQQEGFEVTYLPVRPDGLVDVAQLADAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNQMGIGLMSLSAHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAKEMDYDHRRASVLQQRLLDGIRGQVDDIVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVHQVKKLRDMSPLYEMAKAGIDLKSLSGVGEDISMNHVIVLSRQSASIVHLFTCQVASSQAGN >ONIVA09G05610.1 pep chromosome:AWHD00000000:9:7644047:7644438:-1 gene:ONIVA09G05610 transcript:ONIVA09G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDVTVAVDGGVRDGQRRLRRRHYFGPRCRTQDNTLTSKEVFTWANSNNQRLLHVGDIDRTSKFYICTSCSMWLAVEDRDR >ONIVA09G05600.1 pep chromosome:AWHD00000000:9:7628399:7630269:1 gene:ONIVA09G05600 transcript:ONIVA09G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPCKLVSCGDNRQFMFRVDGAAIRRPVGRIVKETCEIGNGYTCEASLFVPSLLGERKVMVILAVTVNSDRAQSLARAHKVFIDMALLDDTKSPVLPPFARSVMSPSAAAGSSPKLPPPVAGSNLAASCKLVASRDHLLANCVKGGILAALCWVVFVPSSPRSPYSLLAHRLATMSNRRDLTDVCFDVDGKSFHVHRLIMARQSEVFRAELLGSMAESKMECITISDMSASTFKHMLHYIYCNDLPTCLKDTDDQSSWIFELQHLLVTADRYGVDTLKDLCEDTLCADITTDTVTSTLELAETRSYPKLRTSCLSYPSVLSEIRNRFKRPRPSLMLAPSTVTENQNKRPRLSPKLTPSADTKDENNP >ONIVA09G05590.1 pep chromosome:AWHD00000000:9:7611419:7612570:1 gene:ONIVA09G05590 transcript:ONIVA09G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTTDQGIAVIDDSPLCKLLSSGDGRHVTFRVDYAAARRPAVAGHTLRTTCAIGDSGAYRCEASFRLLIGRRKLAAFAVVVSGPRYRDVHKVVVDLVLVDNARSVALQPPTRSMAIQAAAGSNQGGCGLLVSKDYLEENCVQDGVLVAVCSVLFLPELPPCLWLDSLGHRLAAMSNKQDSTLTDVCFDVDGERFNAHRLVMAAQSEVFRSLLFGSDDAETKTETAVITIDGISATTFKHMLHYIYCNQLPPPATGDGDDDDGEADHVTRIAELQRLLVAADAYGVEALRQACEDTLCAGINMDTVASTLALTEKGSYPKLRGSCLEFLSNTQIYSVATNDECYEVVQSYPDVLTEIRDRFKKPRLTPKFPSTDTKDQNNP >ONIVA09G05580.1 pep chromosome:AWHD00000000:9:7604078:7609591:1 gene:ONIVA09G05580 transcript:ONIVA09G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNNGEKPPHTAAAAAAATANEAVPSSATTEQQEARPDDERAPKDHTGCECKPKVVMLPELLRAASHGDLQRLRVLLGVLHDDESPAPTTTTSQDDDAVVLEVYRSLPLLPPPSTTAGEGEDEGTLSLLEGTTFQGDSALHVVASSGDDGDFLKSARLIYGKARHLLEATNNNGDTPLHCAARAGNVKMVTHLLELAGGDGAGDQRKKLILRKKNHQHETVLHEAVRLGNKDLIDKLMTEDPELARHPSNGATSPLYLAVILPNPQVAMQLHGYDKMLSYSGPDGQNVLHAAVLRQRDATGMLLNWNRDLTGKGDSHGRTPLHFAVSIEPPTKIPYYHMILFSILRHIDIYTLCLDRFLYPRKTRGDSLTLTGMLMDADESSAYQPDDKGSFPIHVAAAEGNDGTINILLNKSPNCATLRNAQGRTFLHIAVENGRYTIIMFVRRRRRLAAKIMNLQDNDGNTALHLAIQDGDLHAVLCLLMNPVVKVDCLNKEGLTPLDISRKLIPEGLLHGSHQRIWIKRSLRLANAHHANPSLDHRQEKCICRTVREERDSKIVEKDDDEQEDSKTITESTQVMAVCSTLIATVAFAAAFTLPGGYSADDHTNGGTPTFVGSYGFDAFVLAITFAFVYSLLATFSLVYSGMTKVDYSIRLEHLNSANSLVWLSIRCLLAAFALGLYVVLVPVAHKTALLICLMCSVVLLHGHTSMKTQIRMAVLLQGRIGFKVWWILGRKILRNFLHSFWPFLIIFGWPAYLKWRHQQ >ONIVA09G05570.1 pep chromosome:AWHD00000000:9:7576900:7577213:-1 gene:ONIVA09G05570 transcript:ONIVA09G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVIPKSEMVLAMADEQRWCSSARKKHSPALVNCPTKSCRECLLPAGIKSPAVICRRYSKAFTADNIVSGSHLP >ONIVA09G05560.1 pep chromosome:AWHD00000000:9:7572355:7574243:-1 gene:ONIVA09G05560 transcript:ONIVA09G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDERMPGDVRRKACDVNADPGDAACDGELAAAWTRAGRGGASVGGDKIRVRVRGLHDFGIRKGNHAIEIGGGETTDATTAERRRSRAVAPRERERLGLERGARLGRGGGARIDERRGGGNDWEEVGRRPTSRGGIGGGGVRSRWRCVESRWRWSRGFWRRRGWSGVQRLSAEESTSQRGGARGAAAVAHKREALEEAAEEVGKMMESTAAMGRHWAEEEPACGGVQVEMTRMRNWVRHDGSGTVCGSDDNSVDGCDAPRRVRGTWVVAWMETALRVELKAEREGSEGKSGVGGGIPSRGGDRAKDMEAREHDDPTADVEAADRRGCGQGEHESPWQPSCSSHD >ONIVA09G05550.1 pep chromosome:AWHD00000000:9:7569112:7570761:-1 gene:ONIVA09G05550 transcript:ONIVA09G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSSHRLLFTQLHLCNSLLLIHVHHATSLNFRFDFSEPGSYCTPGSDIACAGDAYPYARTIELTKTDISDRNLRSIGRAWYARPVQLWNNTTGEVASFRTTFSFQIKPVNLDVSADGMAFFLGHYPSGIPHRSYGGNLGLFNGSSNNRNATGTARIVAVEFDTYMNKEWEKDGNHVGIDFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEASHCLSLPLPNPSKPSMVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKADKPAKASKDKAAKSPKKQARS >ONIVA09G05540.1 pep chromosome:AWHD00000000:9:7564869:7568295:1 gene:ONIVA09G05540 transcript:ONIVA09G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANITKLLHIFTNKSGKGAALNGKKGSKQRGPKKREVQYSSLSLSLCRSSSSSCFCSCSSLKASNPPFSLCVVAKPLLFSCLLGFPLPRTAPPRLFVPRFRSTPTPTTKVVAMAPAVEAVEKKTGSAPVKAPALNERILSSMSRRSIAAHPWHDLEIGPGAPTIFNCVIEIPRGSKVKYELDKKTGLIVVDRVLYSSVVYPHNYGFIPRTLCEDSDPLDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAYEAIKHSMDLYATYIVEGLRR >ONIVA09G05530.1 pep chromosome:AWHD00000000:9:7550185:7553027:-1 gene:ONIVA09G05530 transcript:ONIVA09G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAAPVPPETVSSEPIMSPGAAVPPEKVSSPRSQVSFVLVCPFMGFLLRRRLAWKRSNGISDGNCQVELDEIEFAKGVGPRRYNYRELAAATGNFAEEKKLGKGGFGHVYHGCLKIDDQERLVAIKKFSPDSSAQGRKESSLAWALHSATFSKSGSSASSTATSSQATSYSARPTTPSLGTSAWRARLSRTTKVVLGTAGYIDPELVNTRRPSTESDVYSFGIVLLEIVSGRRPVEEPDDSDELFVLSRWVWGLYSKNAVVEAVDERLGCSDDADDRRAADGARARRGAVVPAP >ONIVA09G05520.1 pep chromosome:AWHD00000000:9:7549886:7550701:1 gene:ONIVA09G05520 transcript:ONIVA09G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPCTSAAEEPATLPPPTAGRACIPCAMDGRSLRSGCGHHSPTASTRSICSSSIVGIVAAPEPLVHRLHDGVLAVEAPDPSRQHEELVAVVRFLHRATAGDDLEEDDAEAVDAEVPKLGVVGRAEYDVAWLDVAVDDALLPLLLKVAECRAQANDDLVPVDERLA >ONIVA09G05510.1 pep chromosome:AWHD00000000:9:7548138:7548461:1 gene:ONIVA09G05510 transcript:ONIVA09G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGCCRRVAHGIRRTSPLPSPPLRSLPRSGGGEGRRRRCDRGSGGGQRGGWQEAGQRSVGGAEAGRRQAGGRRGGAEANVVDSWVANTVASISGIIDTYGDVALW >ONIVA09G05500.1 pep chromosome:AWHD00000000:9:7547954:7548418:-1 gene:ONIVA09G05500 transcript:ONIVA09G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVLATHESTTLASAPPRRPPACLLPASAPPTDRWPASCHPPRCPPPDPRSHRRRRPSPPPDLGRERRGGEGRGDVLRIPCATRRQQPRAAIAAVVAGAAHRLPACLPPAWSVPPPRHPPSSSAAAAPPAGSTPPPPAPPRLHRATEERREE >ONIVA09G05490.1 pep chromosome:AWHD00000000:9:7540845:7541897:-1 gene:ONIVA09G05490 transcript:ONIVA09G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRQLLSVLLISLLSTHPPTGVSSLSFSYDFSSQPHYNTKDLSLFYLKTTTSILDLHGRLHGDSTTTVWSKGIRSVGRVLHTQPVLLWDNATGAAASFTMTFCLRTQQQTGAGAGGSPPRMSVFLVPYYPSSNRNSRSVTTDGDDQIEEVEFETTLIARSSSMSHPSSSTSCRSSTPPWSLAGDTNHGSAGGGEGTVFVHIGYDHRTQVLTKSVRIGGAPCRSINSTVDLRRSLPSEVAVGFSSTTGHPIQLHNILLWSFNSTLETKTRSSPLTQPDEETLVHQAPVTSNERRSWVSWKQLLVRLDPWNRSVELGLGFQFFERSWVRLKLVLNSNFNISLEYGIGNEWD >ONIVA09G05480.1 pep chromosome:AWHD00000000:9:7529525:7533578:-1 gene:ONIVA09G05480 transcript:ONIVA09G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVAGSKRPPRARRPASWPADGRDDGLRRRSGGVAGRRHPPLLAADPLLTSGNNPHHSSTRNPHNPGRVSGGSSSVSAAAVCAGLCPVALGVDGGGSVRMPAALCGVVGFKPTAGRLSNAGVLPLKLDAIVDQSRSQPSWRHGVRKLTGKDGKRDGVKPPQVKACVEYERSARLEITKRDSENVRCIDVVYPHNLRFTVGKVAGDDLVSFLLRRVVRRHGRAPPRIPMGVELHGDRLLIAAACWRVLAGAGDHRQVGREEECQPALSAVHEEGEGGGEARYLAGGVAPEMARLLVQYSSGLWVDFAGALLGQDDRGVAEVCITFKM >ONIVA09G05470.1 pep chromosome:AWHD00000000:9:7529399:7538852:1 gene:ONIVA09G05470 transcript:ONIVA09G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNTRAVLLLLSAWPYLIQLCYASTLSYNFSDPQSFTSGDLHFEGDAYLGDTSVVLAKKGTGEVNPKAGRILYKQPCHLWSNTTGEVTSFTTTFSFLMNGGQGGLAFFLTSYLPMVAGAGQNAPAGGGDQQTVAVEFDTHGNPGWSPTMSTHNSSEKKAYKVVAGDLANGESKIVRINYINTSNVLAVTLGDLKTSTSLILNTSFDLRRFLPQEATMGFSATTATATGSSQLVSREVLWWSFSTYLTEGTPADTAKKSNKSLVIGIVVGTVLLIVTMLIAASLCRLSRWITSRPPPMPLGEYCAGGLRPSYGNVGMHTGEYGFALPSQTPFSFEVLSKATNNFSEERLLREEGQFGAFYKGDLTLGISEAAAVKWLKIKSGQAFAVENYVKKFATISLAIRHRNIVPFLGWSSEQDNLCLVYKYVKNWTLHHHLYSPGTLLTWPTRYKIVLAIGSGLKHLHEDVRFSFPHGNIKPSNVMLDEEMNAKLGDFGLPRHFFQYDGETASSSYGQMPVSSRGYVEPRLLHRDQLATTSSDVYSFGVVLLEIACGQPPIILQQDQAEANSLVEFVWECQEKGSIIEAADKRLNGEFNQEEMERVLLVGLWCAHRDSSRRRPSIVEAMRSLKFVVPAPNLPPRMPIPAPTAADHEGRTSPAGRTSPSAS >ONIVA09G05460.1 pep chromosome:AWHD00000000:9:7526255:7527306:-1 gene:ONIVA09G05460 transcript:ONIVA09G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRWEVVRRAIVEAAHYPLGPAERRPPATVEATGCRRDRPPRPHSWEAACDIREPTYRSLLWRLRPRRLSGEERRVYTLSSDAISTASPICNDLYHATASLSDFHAASPPQPTIQREPK >ONIVA09G05450.1 pep chromosome:AWHD00000000:9:7514190:7518981:-1 gene:ONIVA09G05450 transcript:ONIVA09G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRAFEEAVDIVKEEEVVVVGRMETARAGTSVGARSGLGITVRTKEEENGASLTTPPPVAATIVVAEGDTSKQEIMQDPKDMLSKVNPMAKEIRDLEDVTTVLLTSVVCKYIMGATYLASTC >ONIVA09G05440.1 pep chromosome:AWHD00000000:9:7509647:7512004:-1 gene:ONIVA09G05440 transcript:ONIVA09G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVAGVQFAEQIEQALRKADELGDDDLRAALRRARNSPADTAFQLPLLQLPVEDPVHAGAAATQPEQQNAGRRLMCPELYRAAFSGSVDKLQELLVSPSGTAAEEQGRRHDGQCVLDETTAGLNTVLHLAAGQGKIGLVRKLCDGDDTAAAAVAALLPKETTKSETALHHAARAGRRDMVSLLIRLAQMHGSVAPGLLVTKNSAGDTALHVAARHGRVAVVKVLMVAAPALSCGVNNFGMSPLYLAVVGRSIGAVKAIVQWKHASASGPKRQNALHAAVLQSVEITRELLSWNSNLAKEPDESESTPLHYAASDGVREIISMLIQSMPSAMYIPDKEGLTPLHVAAKMGHLDVIQDMLKECPDSAELVDNEGRNILHLAIDRGHEPVVSYILGDPSLAELFNEQDKKGNTPMHYAVKAGNPRLAILESRNIKLNIVNNEGQTPFDLASNTTGFLHMIGFLLRLSANGARFGAQRQDCISQWSSKNVKEWNEKTTKNLGIVAMLIATIALTAMFNVPGGYNSDGVANLRATTPYNAFLVLDTVAMASSVIATMLLTYGRGAARSSTAWICMSLIFLWMALMSMILAFMAAVVSGLDSTTTKYILWSIFVLPFAFLVALSFVWAVPAPTFTTLLLLPRALAGEDSLWTRRRIGRRFRSVGVYLLVLYLFWFLNAVAFFLTVYVVVNTI >ONIVA09G05430.1 pep chromosome:AWHD00000000:9:7503992:7508616:1 gene:ONIVA09G05430 transcript:ONIVA09G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDELPDPDNVSPLRVVDQTAPAFPGMCTPTPCSSGFCYSTFVGSALQLWCACPGGAGSDRRSFSQASAVAAMSSHRLLFTQLHLYNLLLLIHVHHATSLNFRFDFSEPGSYCTPGSEIACAGDAYPYAHTIELTKTDISDGNLRSIGRVWYARPVPLWNNTTGEVASFRTTFSFQIKPANLGVSADGMAFFLGHYPSGIPHRSYGGNLGLFNGSNNKNATGTARIVAVEFDTYMNKEWEKDSNHVGIDVNSIVSVAATSPDKNLASGTTMTADISYDSSAEILAVTFWINGTSYHVSASVDMRRCLPEVVAVGFSASTGSSIEVHRVLSWSFNSTLTWMNSSVMPPGAAPVPPETISSEPIMSPGAAPVRTETVSSQSQVSFVLVCAFMGFLLRRRLVWKKSNEISDGDCQIELDEIFYSKKELDEIEFAKGVGPKRYHYSELAAATGNFAEEKKLGRGGFGHVYQGFLKTDDQERLVAIKKFSPDSSAQGRKEFEAEIKIISRLRHRNLVQLIGWCDSCMGLLIVYELVSEGSLDKHIYKNARLLTWAERYKIIIGLGSALHYLHQEWEQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHGAKSRTTKVVLGTAGYIDPELVNTRRPSTESDVYSFGIVLLEIVSGRHPVEEPDDSDELFVLSRWVWDLYSKNAVVEAVDERLRCSDDGDDELQMERVLAVGLWCAHPDRSERPSMAQAMHALQSEEARLPALRPQMYKGVPFLAMGEHRYSDLSIGTTTSSSASGTGCTAHSEPTKL >ONIVA09G05430.2 pep chromosome:AWHD00000000:9:7503992:7508616:1 gene:ONIVA09G05430 transcript:ONIVA09G05430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDELPDPDNVSPLRVVDQTAPAFPGMCTPTPCSSGFCYSTFVGSALQLWCACPGGAGSDRRSFSQASAVAAMSSHRLLFTQLHLYNLLLLIHVHHATSLNFRFDFSEPGSYCTPGSEIACAGDAYPYAHTIELTKTDISDGNLRSIGRVWYARPVPLWNNTTGEVASFRTTFSFQIKPANLGVSADGMAFFLGHYPSGIPHRSYGGNLGLFNGSNNKNATGTARIVAVEFDTYMNKEWEKDSNHVGIDVNSIVSVAATSPDKNLASGTTMTADISYDSSAEILAVTFWINGTSYHVSASVDMRRCLPEVVAVGFSASTGSSIEVHRVLSWSFNSTLTWMNSSVMPPGAAPVPPETISSEPIMSPGAAPVRTETELDEIEFAKGVGPKRYHYSELAAATGNFAEEKKLGRGGFGHVYQGFLKTDDQERLVAIKKFSPDSSAQGRKEFEAEIKIISRLRHRNLVQLIGWCDSCMGLLIVYELVSEGSLDKHIYKNARLLTWAERYKIIIGLGSALHYLHQEWEQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHGAKSRTTKVVLGTAGYIDPELVNTRRPSTESDVYSFGIVLLEIVSGRHPVEEPDDSDELFVLSRWVWDLYSKNAVVEAVDERLRCSDDGDDELQMERVLAVGLWCAHPDRSERPSMAQAMHALQSEEARLPALRPQMYKGVPFLAMGEHRYSDLSIGTTTSSSASGTGCTAHSEPTKL >ONIVA09G05430.3 pep chromosome:AWHD00000000:9:7503992:7508616:1 gene:ONIVA09G05430 transcript:ONIVA09G05430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRLLFTQLHLYNLLLLIHVHHATSLNFRFDFSEPGSYCTPGSEIACAGDAYPYAHTIELTKTDISDGNLRSIGRVWYARPVPLWNNTTGEVASFRTTFSFQIKPANLGVSADGMAFFLGHYPSGIPHRSYGGNLGLFNGSNNKNATGTARIVAVEFDTYMNKEWEKDSNHVGIDVNSIVSVAATSPDKNLASGTTMTADISYDSSAEILAVTFWINGTSYHVSASVDMRRCLPEVVAVGFSASTGSSIEVHRVLSWSFNSTLTWMNSSVMPPGAAPVPPETISSEPIMSPGAAPVRTETVSSQSQGKLHGIIAISVAVSFVLVCAFMGFLLRRRLVWKKSNEISDGDCQIELDEIFYSKKELDEIEFAKGVGPKRYHYSELAAATGNFAEEKKLGRGGFGHVYQGFLKTDDQERLVAIKKFSPDSSAQGRKEFEAEIKIISRLRHRNLVQLIGWCDSCMGLLIVYELVSEGSLDKHIYKNARLLTWAERYKIIIGLGSALHYLHQEWEQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHGAKSRTTKVVLGTAGYIDPELVNTRRPSTESDVYSFGIVLLEIVSGRHPVEEPDDSDELFVLSRWVWDLYSKNAVVEAVDERLRCSDDGDDELQMERVLAVGLWCAHPDRSERPSMAQAMHALQSEEARLPALRPQMYKGVPFLAMGEHRYSDLSIGTTTSSSASGTGCTAHSEPTKL >ONIVA09G05430.4 pep chromosome:AWHD00000000:9:7503992:7504882:1 gene:ONIVA09G05430 transcript:ONIVA09G05430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFDELPDPDNVSPLRVVDQTAPAFPGMCTPTPCSSGFCYSTFVGSALQLWCACPGGAGSDRRSVPPFGSICLSSLA >ONIVA09G05420.1 pep chromosome:AWHD00000000:9:7495001:7497958:-1 gene:ONIVA09G05420 transcript:ONIVA09G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEDTLKFRSYTKKEVSTHNTRKDCWIIVKDKVYDVTSYVEEHPGGDEILNNAGGDSTEGFLGPQHGFRVFEIIEDFCIGKLKD >ONIVA09G05410.1 pep chromosome:AWHD00000000:9:7492090:7494403:1 gene:ONIVA09G05410 transcript:ONIVA09G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEGNGGGRLVVTELSHIKELVRQLEGHLGGSGSPDLCKHLASQIFSVTERSIGMIRSGHFDGHRKRSAAAVAAGDLDSATPSPLSDVSDLPFKATKKRKTSTEKKRHQIRVSSTGGVENPPVDDGHSWRKYGQKEILGAKHPRGYYRCTHRHSQGCMATKQVQRTDEDAMVFDVIYHGEHTCVHKAVAAGAGKPETETDTNAAAESRLHELSSGLTVKIEGLTAPPQQQQGGGGWNAMPPFCLSSPVSGLAPPDQHNPFSAPSTPENRLAAAASSAASPATSDSMAAPPFHQAAAAGGDAAWRDAELQEVVSALVAATTATATAQPAPATAMVDADLSALDAFEFDPGFTIDITSFFA >ONIVA09G05400.1 pep chromosome:AWHD00000000:9:7464221:7464929:1 gene:ONIVA09G05400 transcript:ONIVA09G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAEIQLQITGVRGQEDVVAECDMGTSRADATAAAPPPVSSKRLRWWAVVLANIVIVLGGQSVATLLGGGGLWLVTMLWSCGAPLARPAAALLPNRLTGPSLTEPSEWWRRQSSARAGLDGRLSPSAAGCVEKRGEEKK >ONIVA09G05390.1 pep chromosome:AWHD00000000:9:7463789:7464109:-1 gene:ONIVA09G05390 transcript:ONIVA09G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTITAARQLLPAAAASASAIGVGAHVAAPPPPTPTCAPLCRPPASGFFPHRLRPPATAGATAPPPVPVKKRGERKRGGEGMGKKDANTWGPCGSHAESAHIG >ONIVA09G05380.1 pep chromosome:AWHD00000000:9:7429372:7429884:1 gene:ONIVA09G05380 transcript:ONIVA09G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDEGGGWIHCRDDGGGRIHRHENGGGWIRYCDDGGGRICHPNDGGDWIRRRGDGGGRIRRRDDVEAGFATATMGRRDPLAAVTTGEANREGGDGGGRVGADLTASVLGRPSLVVKEVDPAAAGTTTTAAPVMTMMVTVTMMTMATATPTHSPLLPGDHGVDFGRRRPW >ONIVA09G05370.1 pep chromosome:AWHD00000000:9:7386365:7421597:-1 gene:ONIVA09G05370 transcript:ONIVA09G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGETYGYGGGRREGGWAWLAAAAAAADGVFSRSSSSAREDDEEDLRWAALEKLPTYDRARTALLALPPDGELREVNVRRLAADEQRALLERVAGVADDHAGFLCMFKELTTLTSKQGLGNALHITRKKKQKISILHNVSGIVKPHRMTLLLGPPGSGKTSLLMALAGTLPSTVKVSGTITYNGHTMDEFVPQRSAAYVSQHDLHMAELTVRETVSFSAKCQGVGHHYDMLMELLRREKEENIKPDPEIDLYLKAATTGEHKAEVVTNHILKILGLDICADTIVGNNMVRGISGGQKKRLTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTVHILGGTTIIALLQPAPETYELFDEIIILSDGQVVYNGPRDHVLEFFQSIGFKCPERKGVADFLQEVTSRKDQKQYWTHGDSTYRYISAAEIAEAFQSFHVGQAVRTELVVPFGKGKSHPAALRTSKYGVSMKELLQANIDREILLMKRNSFLYIFQAIRLTVVAINTMTVFMRTNMHRDSIENGRIYMGAQFYGMLMIMFNGLAEMGLAIAKLPVFFKQRDLFFYPAWTYSLPSWILKTPISFLNTIVWVFLTYYVIGFDPNIERQFLALFVMSEATSGLFRFIASLTRDPVVASTMGSSCILISMLSSGFILSREEIKKWWIWGYWISPLMYALNTLAVNEFLGNSWNKTISGFSEPLGRLVLESRGFFPEAKWYWIGVGALLGYVILLNVLYTICLIFLTCTVDVNNDEATSNHMIGNSSSGIKGMVLPFVPLSITFEDIKYSIDMPEALKTQATESRLELLKDISGSFRPGVLTALMGVSGAGKTTLLDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTIYESLMFSAWLRLPTKIDSATRKMIIEEVMELVELYPLKDALVGLPGVSGLSIEQRKRLTIAVELVANPSIIFLDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGQHSCELIRYFEAIEGVSKIKHGYNPSTWMLEVTSPMQEQKTGVNFTQVYKNSELYRRNKNLIKELSTPHESSSDLSFPTQYSQPFLTQCLACLWKQRLSYWRNPRYIAVKYFFTIIVALLFGTMFWGIGQKRNNKQALFSAMGSMYSTCLTMGVQNSASVQPIVSIERTVFYRERASHMYSPLPYALGQVAIELPYIFLQTIIYSMLVYAMIGYEWSGAKFFWYLFFMYFTLSYYTFYGMMAVGLTPNYNMSTVVSTGFYTMWNLFSGFLIPLTFGDVSDKFDDGERVSDFVKNYFGFHHELLWVPAMHSHSHRSMDDAGEIHALGGSLRREASSSWAASSARSGDAAVFFSRSSTSRDEDDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQRLGPQERHALLQRLAWVGDDHARFLSKFKDRVDRVGIELPTIEVRYENLNVEAEAYVGSRGLPTILNTYANVLEGLANTLHITPNRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTTLLLALAGNVPSGLKVSGQITYNGHTMDEFEPRRSAAYVSQHDLHMGELTVRETVNFSAKCQGIGHRYDLLMELSRREKEENIKPDPEVDIYLKAAATGEQKAEVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMIVTPGRALFMDEISTGLDSSTTYNIVGSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESVGFKCPERKGVADFLQEVTSRKDQRQYWMHGDETYRYVPVKEFAEAFQSFHVGQAIRSELAIPFDKSRSHPAALKTSKYGASMKELLKANIDREILLMKRNSFVYIFKATQLTLMTFIAMTVFIRTNMHHDSITNGGIYMGALFFGILMIMFNGLAEVGLTIAKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLLLLVMNETSSGLFRFIAGFARHQVVASTMGSFCILIFMLLGGFILSRENVKKWWIWGYWISPLMYAQNAISVNEFLGHSWNKTIPGFREPLGKLVLESRGVFPEAKWYWIGVGALLGYVLLFNILYTICLTFLNPFDSNQPTISEETLKIKQANLTGDVIEASSRGRITTNTNTADDSNDEAISNHATVNSSPGKKGMVLPFVPLSITFEDIRYSVDMPEVIKAQGVTESRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPAEIDSATRKMFIDEVMELVELSPLKDSLVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPVGQHSCELIRYFESIEGVSKIKHGYNPSTWMLEVTSTVQEQITGVNFSEIYKNSELYRRNKSMIKELSSPPDGSSDLSFPTEYSQTFITQCLACLWKQSLSYWRNPPYTAVKYFYTIVIALLFGTMFWGVGRKRSNQQDLFNAMGSMYASVLFMGVQNSSSVQPVVSVERTVFYRERAAHMYSPLPYALGQVAIELPYILVQSLIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLSYYTFYGMMSVGLTPSYNVASVVSTAFYAIWNLFSGFIIPRTRIPIWWRWYYWVCPVAWTLYGLVTSQFGDVTDTFDNGVRISDFVESYFGYHRDFLWVVAVMVVSFAVLFAFLFGLSIKIFNFQKR >ONIVA09G05360.1 pep chromosome:AWHD00000000:9:7385761:7393858:1 gene:ONIVA09G05360 transcript:ONIVA09G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPQNQFPQAIGAMQRMQETRCNKAMTAVPPTMWMVCRMEPTILYVVELSSPVDISSMKRARPGVTIISAATPISGSGALCLEYQSGKSAVYQDVQEKSCYQLQPENLISLLFRITGLTVLTVAQNFAALQEAL >ONIVA09G05360.2 pep chromosome:AWHD00000000:9:7385761:7393804:1 gene:ONIVA09G05360 transcript:ONIVA09G05360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPQNQFPQAIGAMQRMQETRCNKAMTAVPPTMWMVCRMEPTILYVVELSSPVDISSMKRARPGVTIISAATPISGSGFVII >ONIVA09G05350.1 pep chromosome:AWHD00000000:9:7376449:7376949:1 gene:ONIVA09G05350 transcript:ONIVA09G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGDLLCDARVPAGVDLCHLPRPVLPCCRVLACCCSSTIAAATSTSLACPNTKAMTKAWIEKRKGRDKIERYPLILIYLVLTEHRSTVFLMVLI >ONIVA09G05340.1 pep chromosome:AWHD00000000:9:7371354:7372062:-1 gene:ONIVA09G05340 transcript:ONIVA09G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVDAGEIYAGDRQREEGSASAAAFSRSPSTGRVDDDDDDLLTYGRSARRMAALPAPAMPEGTELRRPVGGDVVGDDDYLRFLYKFKERFDRKPPLEIYIFAQTVFQGNHQG >ONIVA09G05330.1 pep chromosome:AWHD00000000:9:7357230:7365412:-1 gene:ONIVA09G05330 transcript:ONIVA09G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGISGGQKRRLTTAPNVDSAAEMLVTLGRALFMDEISNGLDSSTTFQIVNTIQQTIHVLGGTAVIALLQPAPETYELFDDIILLSDGQVVYSGPRDHVLEFFKSLGFKCPERKGVADFLQEVTSRKDQKQYWIHGDDTYRYIPVTVIAEAFQCFHVGQAIRSELAIPFDNSKSHIAALKTSKHGVNLKKILKANIDREILLLKRKSFLYIFNALQLTLVAIIAMSVFIRTNMHHDSIENGRMYMGVQFFGTLAIMFKGLAEMGAALANLPVFFKQRDLLFYPAWTYSLPSWIIKTPISFLNTIIWVSITYYVIGFDPNIERQFLVLFVMSEAICGLFRFIAALTRHPVVASTVSEFCILIVMVSSGFILSRDEVKKWLIWEYWTSPLMYALNALAVNEFLSPSWNEALPGFREPLGRLVLESRGVFPEAKWYWIGLGALLGYVLLFNILYTICLSILTYAEGGNNDEATSSNANHNSSPARKGSILPFVPVYMTFEDIRYSIDMPKALKVQGMAGSRLELLKDLSGSFRPGVLTALMGISGAGKTTLLDVLAGRKTSGHIHGNITVSGYPKKQETFSRVSGYCEQNDIHSPNLTVYESLMFSAWLRLPAEIDSMARKRFIDEFMELVELFPLKDALVGLPGLSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNIVDMGRTVVCTVHQPSIDIFESFDELFLMKRGGEAIYVGPLGQHSCELIKYFESIEGVRKIKHGYNPSTWMLEVTSTLQEQITGVNFTQVYKNSELYRRNKNLIKELSTPHGGSSDLLFPTKYSQTFVIQCLACLWKQRLSYWRNPPYIAVNFFFTVVIALLFGTMFWGVGRKRLPLHHAYPKYSFLIGKVNKPCSVPWVPCIPHNSSSVQPVVNIERTVFYRERASHMYSPLPYALGQVVVELPYIFLQTLIYGVIVYSMMGYEWTCTKFFWYMFFMYFTLSYFTFYGMMAAGLTPNYTMSSIRIPIWWRWYYWICPVAWTINGLVTSQFGDVDDKFDNGVRVSDFVESYFGYNLDLLWVAAMAVVSFAILFAILFGFSLKLFNFQKR >ONIVA09G05320.1 pep chromosome:AWHD00000000:9:7345812:7346294:1 gene:ONIVA09G05320 transcript:ONIVA09G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPRFLAVAAVLAGAMYSAATVAADTPGGSCPYPCLPPPIAGGAVNSYPPPPPAGSSSSSSSGGGDGGAGGLFGGTYPPPPPGVMPGAFAPPFGGGFPYGPAPPPPNPILPWFPWYYQHNNPITGSTTSAAAVGRTPASMVTMVVLLALFLVALLRVL >ONIVA09G05310.1 pep chromosome:AWHD00000000:9:7340426:7341034:1 gene:ONIVA09G05310 transcript:ONIVA09G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPGSNTGLGLLAELRQRSRSKACTAVAMSILVSITVTISIFHERGSVQARGREGRGRLPIHWNRCPRSLRRRIHLQTGWLPMDPLPNGVAASGSASERGCRCRICLQTELQPPDPSPLNGVAQSPLLPMDAATVGKGDGGRACGEWGRPSFARGRCRPCERRRRAHPWGRRKSPSSERRQWGRRQKKGEGGRNGEGGEGT >ONIVA09G05300.1 pep chromosome:AWHD00000000:9:7334374:7336890:-1 gene:ONIVA09G05300 transcript:ONIVA09G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTPNKSVQSFAGSRTEKSTGSSHHREAEQNDSFTRREYTCADFIEALRLIDQEAFKCSIVLNDFSMRANITEPSYRTVKLDGICSTFVSSVLAGGYTYTGEVATTDGDAKENAARVAIKSILVDIIAVTRSNHMLESIRSNKPTGTTIQGEQSSQQTSAHPAVIFTPPASNNIPCAPHHHYMLHAPFAPLEQMQWRHPGTPQMVPVFPHEQIQWRHPTPVHMPFHPHEQMQCRQSPAPMPFLPREQMQWRHPAAPMPTFLPHEQMQGHNPVAQMAYLPPEQMQRNVPIAHTPSEVMQMWQLPQSISGSNPVLQNGLYSNTGRDDDMVVEVGSAEETMTLSGTKRKMDQTEEALGKQARTTK >ONIVA09G05290.1 pep chromosome:AWHD00000000:9:7320059:7324363:1 gene:ONIVA09G05290 transcript:ONIVA09G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGYVLLKRLQRERRRRRRRHALVPDESIASPEKRKGSCYQQDDSPRSSKKMRYSGPDLPEEMWQHIHSLMPMKDAARVACLSSAFLYSWRNRPKLSFSTETMGIVEGTTDFIRKIDRVMKKHSGIGVKALTIEFNGLFSTKARSYLERWLQIAVTPRIEELSLSMSKGKSYYDFPCSLLSDGSGSSIRLLDLYRCTFRPTAEIGCFQSLTRLHLEYVSITGDELGCVFSTSFALEWLKLRLCRHIKYMKLPCVLQRLTYVEVRGCSRLRVIENKAPNLHSLHIFYQPYHPIKLSFGESSLVKNLRIGYSSVLDHACAELPYIFPNLETLTIGLLGEMVNTPMVPNTFLLLKYLCITLSAVTLSPSYDYLSLVSFLDACPSLDTFIVDVSAKHPKNDSIFKNPSHLRQLPEQRHDNLRNVKITGFRSAKSLFELTYHILENTSVECLTLDTSFESFRCSPGKPGRCLQTSKDDPMEASKALFAIRTYIEGKVPSTVRLNVVEPCSRCHVMEPFTVESNSGNEMQ >ONIVA09G05290.2 pep chromosome:AWHD00000000:9:7320092:7324363:1 gene:ONIVA09G05290 transcript:ONIVA09G05290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGYVLLKRLQRERRRRRRRHALVPDESIASPEKRKGSCYQQDDSPRSSKKMRYSGPDLPEEMWQHIHSLMPMKDAARVACLSSAFLYSWRNRPKLSFSTETMGIVEGTTDFIRKIDRVMKKHSGIGVKALTIEFNGLFSTKARSYLERWLQIAVTPRIEELSLSMSKGKSYYDFPCSLLSDGSGSSIRLLDLYRCTFRPTAEIGCFQSLTRLHLEYRLTYVEVRGCSRLRVIENKAPNLHSLHIFYQPYHPIKLSFGESSLVKNLRIGYSSVLDHACAELPYIFPNLETLTIGLLGEMVNTPMVPNTFLLLKYLCITLSAVTLSPSYDYLSLVSFLDACPSLDTFIVDVSAKHPKNDSIFKNPSHLRQLPEQRHDNLRNVKITGFRSAKSLFELTYHILENTSVECLTLDTSFESFRCSPGKPGRCLQTSKDDPMEASKALFAIRTYIEGKVPSTVRLNVVEPCSRCHVMEPFTVESNSGNEMQ >ONIVA09G05290.3 pep chromosome:AWHD00000000:9:7320092:7324363:1 gene:ONIVA09G05290 transcript:ONIVA09G05290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGYVLLKRLQRERRRRRRRHALVPDESIASPEKRKGSCYQQDDSPRSSKKMRYSGPDLPEEMWQHIHSLMPMKDAARVACLSSAFLYSWRNRPKLSFSTETMGIVEGTTDFIRKIDRVMKKHSGIGVKALTIEFNGLFSTKARSYLERWLQIAVTPRIEELSLSMSKGKSYYDFPCSLLSDGSGSSIRLLDLYRCTFRPTAEIGCFQSLTRLHLEYVSITGDELGCVFSTSFALEWLKLRLCRHIKYMKLPCVLQRLTYVEVRGCSRLRVIENKAPNLHSLHIFYQPYHPIKLSFGESSLVKNLRIGYSSVLDHACAELPYIFPNLETLTIGLLGEMVNTPMVPNTFLLLKYLCITLSAVTLSPSYDYLSLVSFLDACPSLDTFIVDVSAKHPKNDSIFKNPSHLRQLPEQRHDNLRNVKITGFRSAKSLFELTYHILENTSVECLTLDTSFESFRCSPGKPGRCLQTSKDDPMEASKALFAIRTYIEGKVPSTVRLNVVEPCSRCHVMEPFTVESNSGNEMQ >ONIVA09G05280.1 pep chromosome:AWHD00000000:9:7289476:7292795:-1 gene:ONIVA09G05280 transcript:ONIVA09G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sugar transporter 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) TAIR;Acc:AT1G77210] MAGGFGGGGGEAIAGRAEQYEGKITGYFILACIVGSFGGSLFGYDLGVSSGVTAMDDFLIKFFPEVYARKSAHLHETDYCKYDNQVLTLFTSSLYFAGLVSTFAASHLTRRRGRRATIMVGAVSFFLGGAVNAAAANVAMLIAGRLLLGVGIGFGNQAVPLYLSEIAPYNIRGAVNQLFQLTTCLGILVADVINYFTDKIHPWGWRLSLGLAMGPATAIFVGALFLPETPNSLVEMGRLEEARRVLEKVRGTRKVDAEFEDLREASEAARAVRGTFRSLLAARNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGNSAALYSSIITGSMLVVGALVSMVVVDRLGRRFLFIEAGIQMISSMVVVAVILALKFGHGEELSKGVGTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAAMCHLRWGVFILFAALIVVMSIFVILLLPETKQVPIEEIWMLFDKHWYWKRIVRKDPKYQGHHHHQMAAMPTAAAAAAKSGSSEV >ONIVA09G05270.1 pep chromosome:AWHD00000000:9:7280739:7286799:1 gene:ONIVA09G05270 transcript:ONIVA09G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFKIKGQKKEEAANTNGRPPAKKQSPGELRLHKDIAELNLPKKTNITFPNGKDDLMNIEITLRPDEGYYVGGAFVFTLQVPPTYPHEPPKVKCTTKVYHPNIDLDGNVCLNILREDWKPVLNINTIVYGLNLLFIQPNDEDPLNHDAAAVLRDDPQKFRRNVQTAMSGGYVDRVHFPRFLYEQAVQQSATK >ONIVA09G05260.1 pep chromosome:AWHD00000000:9:7256780:7257100:-1 gene:ONIVA09G05260 transcript:ONIVA09G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAADPVATVAGGVVSATDPTTDQEATVSALGRAGSEATPTVGFLVDGSMMVCAVLGMFLDLWWCGCNEERLDVSA >ONIVA09G05250.1 pep chromosome:AWHD00000000:9:7254027:7256181:-1 gene:ONIVA09G05250 transcript:ONIVA09G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEEVCSGESGRVFAAGLNGFGQLGIGSSVTHPLPTFAQEAKAGWTYIRIAIFTPVKFLLCANHFPVQLQVPVFRLETGTY >ONIVA09G05240.1 pep chromosome:AWHD00000000:9:7202076:7205884:-1 gene:ONIVA09G05240 transcript:ONIVA09G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTASLHPCVCKASPAFRPASSLGARTQPKSTATNPKRPLFQELQRRLSFRIDEASKALETAKQGLLDALVDSTFKFSDQPMLPSENNFAPVNEISEAIEILQIEGEIPEDFPEGSNPLFGALHSTVSIFGKSSEIWVEGEGMLHAIYFTKNSSDTWSVSYANRYVQSETLKIEKTRQKPCFLPAIMGDSAAIVAAYILNYMRFGKVNKNISNTNVFEHAGKVYAVSENHLPQEISIQNLDTGDSWDINGEWKRPFTAHPKVAPGSGELVIFGSDAKRPFLMVGVVSADGTQLKHKVDLKLDRCILCHDIGVTVKYNIIMDIPLTIDISRLIRGNQLIKFEKDSYARIGVMPRYGDAESVMWFDVEPFCMFHFINCFEEGDEVVIRGFRAADSIIPGPRISLNKNDLLSDPSKCSVKQGINEEFFSRLYQWRLNTKTKAVSGQYLSGTEFSMEFPVINDHYTGLHHSYAYAQVVDSLESSYGVNEKVILKYGGLAKLCLEEADNVIAETSEDLIKTEYHGFGEDQFFSGAAFVPRVGGSHEDDGWIISFVHIIDAQTFEGAPVAKIVLPQRVPYGFHGTFRSSLANTMT >ONIVA09G05230.1 pep chromosome:AWHD00000000:9:7177241:7178093:1 gene:ONIVA09G05230 transcript:ONIVA09G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDHISIILNKMAAQGKIDKEKLEMFNLPIYEPCSEEVVEAVSAEGSFEIVHLELFMTMPGSSSQSKNKAAFAARALCAPHEDVMARHFGGGVVSDFVKTAEEHIDSLADHDNFKVALVEVGCHTIKRRYSLRFTIINMNVKNAKMTYIVKRRKY >ONIVA09G05220.1 pep chromosome:AWHD00000000:9:7163339:7163887:1 gene:ONIVA09G05220 transcript:ONIVA09G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLLPPLRRGVGVCGGCFLAGGSLSQLYAVTTTECTQCSCIHRLWWWSLQLLRRWGFYPAATTTLSTAAQCSVLVVGGLLLSRATVRQEHRQRPPRLPSGSGRRQLRLSSNVPAGNQLRRRQLGREDRARHYVWGHGSASAHLLGGGATAILDVYLVGGLVKDASNRPRHRAIHGLAKPS >ONIVA09G05210.1 pep chromosome:AWHD00000000:9:7158069:7162840:-1 gene:ONIVA09G05210 transcript:ONIVA09G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSTPKIINLGLSPKISNIDDVALTVMWPSTSTPITLVKEGVIEEGTRRTTKEGGGWQSGGGSGPVEMAAAVIAPSPLPDVAGGEAADLGGCRATARRRRQRRGKAAVAVIAPSPLPDVARGEVRPGGGGCSRDSALPSARCSRMGGGGPRRLLGDGPVEAVAAWEGHRHLPSFSPSHHLPIGARAARSGMPHPHWRSGTAKSGIAPPHRRLGVARSGGGGQGGWAQGTLAVVEAGRGWRLPQRCSGLPPQQCSLLVFDFVVVV >ONIVA09G05200.1 pep chromosome:AWHD00000000:9:7151567:7153166:1 gene:ONIVA09G05200 transcript:ONIVA09G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIYYSLLIILPFLFLIKFYKAMFSSRKQARRLPPCPWQLPIMGSIHHLIGDLPHRALRDLSRRYGPVMLLKFGQVPFIIVSSPEAAKDIMKTHDSIFATRPQSEIMKIITKRGQGLVFAPYDDQWRQLRKICIRELLCAKRVQSFCAIREEEAARLVKSISSDQAHLVNLSKKLADYATDAAIRIITGTRFENQEVRDKFQYYQDEGVHLAASFCPANLCPSLQLGNTLSRTAHKAEIYREGMFAFIGGIIDEHQERRAQDMSHKEDLIDVLLRIQQEGSLESPVSMETIKFLIFDILAGGSETVTTVLQWAMAELMRNPTVMSKVQDEVREVFKWKEMFVIKETLRLHTPGPLFMRECQEQCQVMGYDMPKGTKFLLNLWSISRDPKYWDDPETFKPERFEDDARDFKGNDFEFISFGAGRRMCPGMLFGLANIELALANLLFYFDWSLPDGVLPSELDMTENFGVTVRKKEDLLLHASLYAQLSC >ONIVA09G05190.1 pep chromosome:AWHD00000000:9:7143502:7143777:1 gene:ONIVA09G05190 transcript:ONIVA09G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASTGGRGIDGQDANPARHDCGRGIGGWEARRLHLYRRAAGGAMKADPAQHGCGRGDDGRLRAGGAAAPPPSLIGGGRDVGGSSAALL >ONIVA09G05180.1 pep chromosome:AWHD00000000:9:7135090:7137390:-1 gene:ONIVA09G05180 transcript:ONIVA09G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLAAKAIRRLLLVSIHVLGAVAFAGAGAGRRSAVRPSEITQQVPAVFVFGDSTMDVGNNNYLSGEIVPRADKPYYGVDYPTSRPTGRFSNGYNVADFIAKALGFNESPPAYLSLAPRSNSLVVAAVSRGVSYASAGAGILDSTYAGENITLSKQVRYFESTMAHVEARHGSRATSKFLSRSLFLFGIGSNDLFTYAEDQSGINDVATLYASLISNYSAAITDLYKGGARKFAIINMGPLGCVPVVRLLSGTGGCDDDLNQLAIGLDDAIKPMLTGLTSRLHGLVYSLGNFYDQAMDNFAHPMAFADTHPRYPWYQINIPSITS >ONIVA09G05180.2 pep chromosome:AWHD00000000:9:7135277:7137390:-1 gene:ONIVA09G05180 transcript:ONIVA09G05180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLAAKAIRRLLLVSIHVLGAVAFAGAGAGRRSAVRPSEITQQVPAVFVFGDSTMDVGNNNYLSGEIVPRADKPYYGVDYPTSRPTGRFSNGYNVADFIAKALGFNESPPAYLSLAPRSNSLVVAAVSRGVSYASAGAGILDSTYAGENITLSKQVRYFESTMAHVEARHGSRATSKFLSRSLFLFGIGSNDLFTYAEDQSGINDVATLYASLISNYSAAITDLYKGGARKFAIINMGPLGCVPVVRLLSGTGGCDDDLNQLAIGLDDAIKPMLTGLTSRLHGLVYSLGNFYDQAMDNFAHPMAFGKSFILQHIVVI >ONIVA09G05170.1 pep chromosome:AWHD00000000:9:7117049:7117444:1 gene:ONIVA09G05170 transcript:ONIVA09G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSDLQWRQGWLAVGLPPAPVVAVSAIVGFFLYLTWQMDEYEEQLRRRTQAGLWVLLVLGAVALLLLGSHALVDAGGRVAVPVSWRWGSGDDGGGGASPWAVAALVAVLLVLASHKPSFQMFRPPWHYK >ONIVA09G05160.1 pep chromosome:AWHD00000000:9:7095949:7101572:-1 gene:ONIVA09G05160 transcript:ONIVA09G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPKSHLLEMLLRLAVVAILTMAAVGANQQRPITLPGCPDRCDNISIPYPFGVKEGCYFDGSFSVICDERTAFQATLGVPQVYNMTGYYLGNSDDPAVGIVTNKTWSTVDLVDIDVVGGEARVSMPVSSDCSANDTYHALSIFVMTVNFTDTFLFSSTRNALVGVGQSVQARVEGGLTSSNYSASCTLLFDAPSAAQNGSCSGLGCCEADFPPGLSELGVGVRRQRNTMWETFPCTYAMAVDRSWYNFSLQDIYGQRDYHKFPRGVPIVLDFAIRNDSCPADGKTLPTACRSGNSRCVNATYGPGYLCKCKDGFDGNPYLPDGCQDLATHISGVGGLTSITVIIVLFNLLLAEKRKTREFFLKNGGPILEHVNNIKLFKKEELKPIIQKSNVMGKGGFGEVYKGLLDNQVVAIKKSIRVDKFQEQQFANEIIIQSRVIHKNIVKLIGCCLEVDVPLLVYEFVPQGSLHDILHGSNKMSLNLDKRLNIAAGAAEGLAYLHSKTSSTILHGDIKPGNILLDSNFDPKISDFGISRLIAIDRTHTTRVAGDICYMDPIFLQSGLLTKQNDVYSFGVMLLELLTRRKAATGENNRLVKMFLDAYSDGEAAIIELLDKDIIVERDMELLHKLVRVIAECLKLEVNKRQEMTEIAECLQGMKRSQIKHPDA >ONIVA09G05150.1 pep chromosome:AWHD00000000:9:7053926:7077414:1 gene:ONIVA09G05150 transcript:ONIVA09G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKHPNPSRYVHPSMIRVLILLTLGFLEAIAEKPAAQQPTELQGCPAPDMCGNITIPYPFGIKPGCYLAGFEVICDRTFSPPRAFLAGDPPLFGDKWPPDVWSSDRTFMLTGNFHYSGTDAGMPSKIVNYTRAPLELLDVLVRVYAAISSDCSTNGTNHVLFEQSIKLQPSGPFTLSANENTLVGVGQNVVATFADSFTGEEYSNICLSFLSSVSKARNGSCEDATGLGCCQQTLPPGINTTLVRFQHKNNSKWETYPCSYAMLVQKSWYNFSTEDLYGHLGLPKKYNRGVPLVLDFAIRNGSCPQENGSHACVSGNRTCVNAGNDQGYKCNCMEGYDGNPYIVNGCQDIDECALRSLSALIVIVFLILMMKQHLKLKKLYEQNGGPVLKGVRNIKIYTKKELKQITRNYSSTIGEGAFGKVYMGTLKGGQQVAVKKSKTVNQERKNEFTQEVILQSEMKHKNILRLFGCCLEVDVPMLVYEFATEGSLYVVLFKCNDRIAVDKLLGIAIESAEGLSYMHTARETPIRHGDLKSGNILIDNNFIPKISDFGTSRLLAAGDKNGPDKFIPADPNYIDPVYMEDHILTEKSNVYSFGIVLIELITRKPAKYDEKKSYVGNFVQAYVDKQERGIGDNEITADNILEMISKVAVACLEPDQDKRPDMRDVERQLYQIRQIQMSPATTVAAAAQRPITLPGCPDKCGNISIPYPFGTRGDCCFDGSFLSAATVNVPFVLTTRGFYFGEQQNPATTTNTSWWLVNLVDIDVARGEARVAAPVSSDCSKNETYHEVSYFSLNLNGSGFLFSSTRNAVVGVGQSVVPLLMGKMISGQNYSAACRSLFDAPAAAAWDGTCAGLGCCESAELAPGLGLISVGMYQQVNSMWKTFPCTYAMAVDKSWYSFSLQDLYGYDVLGTKFSDGVPVVLDFAVRNDSCPADGKTLPMACRSDNSRCVNATYGPGYLCKCKDGFDGNPYLPDGCQDIDECKLRDEQPELRDQYPCHGICKNTIGGYHCQCKFGTRGDAKAGTCREVFPLPAMVATLGIIGVTFIVVITVLFKLLFEERKKTKDFFIKNGGPILEKVNNIKIFKKEELKPIIQSCNVIGKGGFGEVYKGLLDNQLVAIKKSISVDKSQEKQFANEIIIQSRVIHKNIVKLIGCCLEVDVPLLVYEFVPQGSLHDILHGSNKVSLSLDKRLKIAVGTAEGLAYMHSKTSTTILHGDIKPGNILLDNSFDPKISDFGISRLIAIEKTHTRYVIGDMCYMDPIYLQTGLLTKQSDVYSFGVVMLELLTSQKASFGEDITLVKNFLNAYQDKRVLDLFDKEILLDTDIEVLHELAMLIVECLKLEVDRRPEMTHVAEQLHIMKRSHEKGLYGAKIV >ONIVA09G05140.1 pep chromosome:AWHD00000000:9:7016190:7018658:-1 gene:ONIVA09G05140 transcript:ONIVA09G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDAAAALSAALHLPAGRFSVHCAQPDHFLIVFANSGDRDLALSRSPIPAPPYQLILRPWTRLVTATSFSMPFRVSLDLEGVPAHAWNASTAAALVAPGRFISVDTPVRPDEYRFLRVTISSHNPAAIPKARLLLIPELTLGSQCLLRYRVLLHVRSVVCLSAPSASGDVDPAGGGGASGEGGGAANDGGGDLGARSSRGLDGGSRRDGSRRDGAPQRRSSGVLGGVRLAEVAGAEARSPALAACPAGSANVAVRFPVLPACPTVGPTIQTASPDLVPPLASAAVAISPFEAVSPFDHSLFASSFVSSRDARALDPMLIPPSLSTSLTGSLDAVMAGAESAAGVESAAPPPHVAAVALSPRAASPAGLLSPGPVSSSPAGSLGGPSPPLRLVDSAGPTCDLEESPPLVPLGSPPALAHAAHAATSFSPLADDGRGLLLALPDATGSPATADAVTASPVDVVPPVDAGSLAADVATTCSPVAAGMPATAVAAAGSTAPAVVAPDSPVAAVAVFSSPTAVAASSSPAASPVDIVAASSSPAATVAAFGSPAVVVASNSLVAGSLAAVVSLVCATSPVAACHPPTASSLLSGPSGGGPAFPASPLCSRRSPLLADGIAASYAEPTGLFFDELDALAAEFGPAVPSPPRVSPVPPSASGAGTSLPRTACTPPTGGEWLRPESPLPQQAPTLVVDVPDGVLYDVPMVVLDLDGRPLAHSLPSSVADNAALRAFLTSCSRPLPPALLSPPLPLPPLAAKAVEVVPKRSERIAAKMALEALEGPIHAVSRAQRNLMRKLGLVPERGPVTTEAVAAYNALFSKPLS >ONIVA09G05130.1 pep chromosome:AWHD00000000:9:6998568:6998903:-1 gene:ONIVA09G05130 transcript:ONIVA09G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDFVRGYLNHTSGANDGTWREDGQLQDGGGGGFGTARGCSRDQARWAEMAVDDGARIFVEAVPRRLQATAIWRHGGGHTKIGGVANESKGRAAGIGVTAAERRGAGGDEL >ONIVA09G05110.1 pep chromosome:AWHD00000000:9:6903208:6904771:1 gene:ONIVA09G05110 transcript:ONIVA09G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEAVDSRNAASTFNILNEEGRPVAAALLPCVVTS >ONIVA09G05080.1 pep chromosome:AWHD00000000:9:6853335:6854126:1 gene:ONIVA09G05080 transcript:ONIVA09G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPGYGYGGYGYGYGYGYGGAGYDMAGYGGGGGGYYTSDPYNAAPAAYEDPLAVAGRRQHDFPAPLTGVEFQPSDTCPKNYVIFDQTYDRSRVMFHPSLANNLGNSGGGYDHHHHCGYGGFEQDYASKSAYYGVEDDGGGGCSIRQKEDTDEIDALMSTEDGEEEDDVLSTGRTPGCRAGGSPDSTCSSGASRSDCGGGRKPEAGGGERKKERMKKMMRTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGVRGSSS >ONIVA09G05070.1 pep chromosome:AWHD00000000:9:6841576:6843009:-1 gene:ONIVA09G05070 transcript:ONIVA09G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRNSTDCCFIINLQPPLAFVSHIASSPTVVQQELNLWPLPVAHATISDGSGGLHRASSAVGNQEDGGGHDMSPKIYSSNPSKPIYLEP >ONIVA09G05060.1 pep chromosome:AWHD00000000:9:6836782:6837215:1 gene:ONIVA09G05060 transcript:ONIVA09G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKLNGKNFQEWELSVCMLRMLIGQACHLADDPPDDRTDATKLSGQVQVVHNARRERRTTRKIYCTNLSCNFPPNLSPFKSSCLDDVHILPWPEVLADIYND >ONIVA09G05050.1 pep chromosome:AWHD00000000:9:6814924:6818623:-1 gene:ONIVA09G05050 transcript:ONIVA09G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYSSSIFDETRNKNRKKEMEDEFSKIQKEGYGKDRANFKRMRGIEISMHVGAKNIAWPAIFRVHYHLRTIEFQIFNAGKNMSFREA >ONIVA09G05040.1 pep chromosome:AWHD00000000:9:6795714:6797105:-1 gene:ONIVA09G05040 transcript:ONIVA09G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRAIIRIEHSCRFLLQSKCPHCSRLDCGGMLEYMVVRATLGYDWFVWIPSIYVILLYLFPMYSYIYRPPEAQYSGPIFAVSSLPILSNNMHLRGSE >ONIVA09G05020.1 pep chromosome:AWHD00000000:9:6769860:6777028:1 gene:ONIVA09G05020 transcript:ONIVA09G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTAASSNNLGESSIDKCVPEAKVISDTAGLEESKDNVGSSTSSPAIDPECLKAAISGDATSMHDMASQDPNVLLGTTAAGNTCLHISCTQGHEEFCKTVVVLKPSLLAAVNAHNETPLITAAKHGSRASLSLASLLLKFCQCHQLSEAITQKDKKGCNALHHAIRSGDSKLALELIKAEPALSRVPNNDQESPMFIAAVRNLTDVVGRLLEISDAAHGGSGKQNALHAAVRNGNPDIAKRIMEVHPWMAREEIGDDKPAATPMWRAVNDGKIDVVTVLLKYDPSLGYLMNREGSSLLCTAGRNGHVAVARELLKHCPDTPYCSEAGWTCLHAAAYTDRIEFVRFVLGSEQLRHLVNIQDKYGRTALHLAAEKLNSRIISALLLHQGIDVTLISNNGQTATSVLTAALASRKDKDKDKDDDINAFWGNTCLHIASVHGHEEFCKDILKLDPSLLCTVNADGETPLLAAIESDNVYLASFLLSHCCRRHDDLDMREAMVRQDKQGCNALHHAIRRGHRKLALELIEKEPALTKAVNKHDESPMFIAVMRNFTDVFDKLLEVPDSAHGGTSGYNALHAAFRNNNTDIAKKIIETRPQLAREENSARVTPMQFGVLENKINVLNVLLEHDFSLGYIISTSGDPLLCTAAYRGHVGVATELLKHCPDAPFLDEKDGTTCLHTAVEQGHIKFVEFVLQSKELRKLINMRDSDGETALHYAIRKCHPKIVSLLLQCKAQLDLTMLDSNGNPPIWVPDDATDHAKTLNWGEVSMRMLKADPQDKGEIYNLIKTIKDQVTEKARKDIRTLTQTYTSNTSLVAILLATITFAAAFTLPGGYSNDAGSEGLPIMGRKLAFQAFLISDTLAMCTSLTVAFVCIIARWEDLEFLLYYRSFTKKLMWFAYFATTTSFATGLYTVLAPHLPWLAIAICVVSVLVPILTKLIGEWPVLKLRIRLLGSFESDLIDMV >ONIVA09G05010.1 pep chromosome:AWHD00000000:9:6749406:6752822:1 gene:ONIVA09G05010 transcript:ONIVA09G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALFLLLVTSKQQSYKSSGRRVDQGRGRGEEGGGCGERQGGRRTGEREEARDVAERVVPGERGGCQEGRFQVIFDLAEAVPSGPPPSGLPLPSGPCPL >ONIVA09G04990.1 pep chromosome:AWHD00000000:9:6715404:6720067:-1 gene:ONIVA09G04990 transcript:ONIVA09G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPVEVKAKPPMNTCFEKWKYFYFLVAEAEYKKRKAKRKSFNITSGATPFICQEDASSQVQKKGNHHRSPLSWGELPGHSSSSPLIALSISQVRTISVVKGIYSFRIGSCGVEILIYISFPNSMAKIQKLYEVCKVSLSANGSLSPEAVDSNFLADNVMPSDVGLETEAQSVRSWRSPRVLNRKAVFHSSTTIRYRHIYECKNFSIGIFCIPASSIIPLHNHPGMTVFSKLLYGTVHVKSYDWVEDTTQLLKLSKVRPAKIVRDGEMSAPCGAMVIQPKDGGNIHAFKAITPCAILDILSPPYSSEDGRHCSYFRRCRKADPSGILSNRSREPEFVWLEEHQPPNSFVIRRDLYKGPALNL >ONIVA09G04990.2 pep chromosome:AWHD00000000:9:6715404:6717295:-1 gene:ONIVA09G04990 transcript:ONIVA09G04990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQKLYEVCKVSLSANGSLSPEAVDSVCSVLDNVMPSDVGLETEAQSVRSWRSPRVLNRKAIGIFCIPASSIIPLHNHPGMTVFSKLLYGTVHVKSYDWVEDTTQLLKLSKVRPAKIVRDGEMSAPCGAMVIQPKDGGNIHAFKAITPCAILDILSPPYSSEDGRHCSYFRRCRKADPSGILSNRSREPEFVWLEEHQPPNSFVIRRDLYKGPALNL >ONIVA09G04990.3 pep chromosome:AWHD00000000:9:6715404:6717295:-1 gene:ONIVA09G04990 transcript:ONIVA09G04990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQKLYEVCKVSLSANGSLSPEAVDSVCSVLDNVMPSDVGLETEAQSVRSWRSPRVLNRKAVFHSSTTIRYRHIYECKNFSIGIFCIPASSIIPLHNHPGMTVFSKLLYGTVHVKSYDWVEDTTQLLKLSKVRPAKIVRDGEMSAPCGAMVIQPKDGGNIHAFKAITPCAILDILSPPYSSEDGRHCSYFRRCRKADPSGILSNRSREPEFVWLEEHQPPNSFVIRRDLYKGPALNL >ONIVA09G04990.4 pep chromosome:AWHD00000000:9:6717388:6720067:-1 gene:ONIVA09G04990 transcript:ONIVA09G04990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPVEVKAKPPMNTCFEKWKYFYFLVAEAEYKKRKAKRKSFNITSGATPFICQEDASSQVQKKGNHHRSPLSWGELPGHSSSSPLIALSISQGDQA >ONIVA09G04980.1 pep chromosome:AWHD00000000:9:6705074:6711508:-1 gene:ONIVA09G04980 transcript:ONIVA09G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGHHHHLAEVALLASASEDLAAVGAGEREGWLDDPAVLPSLAPRARALAVASAARSVLAVVPVAGVGGGVTVRPALGPDDGRISALEWVPLVGEDAEEAGGEGVAVAVGTDAGWLLFYSLAGDLLHKQSIYPSKILKLNFRERKENAWEDSGSDELSVVFPGVIARFDGADLQNMLQKSLHEVKSHLWKDKSEQEDAEEDSSFGRIPFQIWNVSKFSSCADAAIVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRSRSIVGAILSRGVAATFSTISSLSKIIWRSEPSPTKKSRPKPQSFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTHALVAVRLWKGYRDASCLFVEMLLNKDKASSSLHTEYTKSDYCLCLAIHAPRKGIIEIWQMRTGARLLTIPCPKGSRILQPSTRFMSSPFSSLYSPLEVYLFNGDSGQLSVLNRHIG >ONIVA09G04970.1 pep chromosome:AWHD00000000:9:6688617:6694852:-1 gene:ONIVA09G04970 transcript:ONIVA09G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGGGGVGKVERLSSIDAQLRQLVPAKLSEDDKLIEYDALLLDRFLDVLHGLHGDDLKDLVQECYEVAAEYETKHDVQKLDELGNMITSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAMTESDIEETLKRLVFDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNEELRSRADDLHRSSKKDAKHYIEFWKKVPPNEPYRVILSDVRDKLYNTRERSRELLSSGYCDIPEETTLTNVEQLLEPLELCYRSLCACGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQEWLLSELNGKRPLFGPDLPRTDEVADVLDTFHVIAELPADSFGAYVISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLESAPAALTRLFSISWYRQRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEQLVKVAKDFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPSAPKPEWRALLDEMAVVATKEYRSVVFQEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHALQKDIRNLHMLQEMYNEWPFFRVTLDLIEMVFAKGNPGIAALYDKLLVSEDLQPLGEKLRANYVETQKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHVTLRPHLSKEVMDGSKPAAELVKLNPGSEYAPGLEDTLILTMKGIAAGRKESQLAMLPSSLLLFFFLLFLILHATKLDADSDQFICNGFKDTDLSLNGEASVTRGLLNLGNIPQKSSHASRSFPSSAGKIPSFSTSFVFVISSDYANRSANGFALVISTNIGSQNNLQGMYMGLDPFDDENFLFAVEFDTKRDSEFFDINNNHVGLDSSSLISLQPQPAEYYTPDDLFKELTL >ONIVA09G04960.1 pep chromosome:AWHD00000000:9:6687489:6688538:-1 gene:ONIVA09G04960 transcript:ONIVA09G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPRRPLISLSESSLISMISQDNQLASIGFSSATGPTHSGHYILGWSFTTDGEAQPLNHSALPLEVAHDFAKQDNLKPPNNQRQEQDRCQLPNHNILAIVILSVLVAMSVLVAVIVVLLCRKKKAGKCEDWEAKCGPRSFRLLPIKKELLLVYDYMPNGSLDKHLHDQDNIPTIGWAMRLGIIKGITSGLFYLHEDWEHVVIHRDIKTSNVLLDTDMNGRLGDFGLARLHDHGADAHTTHFAGTWGYIAPELSRLGKATKATDVFALQGVLMTTL >ONIVA09G04950.1 pep chromosome:AWHD00000000:9:6687175:6687463:-1 gene:ONIVA09G04950 transcript:ONIVA09G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWFDHRHHRPETGGLCSRGRRVSSKDWVTVLASISQCEAKNATSCVVPREDYSPSFFTTEVHSDDTAAYDQYVMSCPETTLTGLSGGR >ONIVA09G04940.1 pep chromosome:AWHD00000000:9:6674742:6680750:1 gene:ONIVA09G04940 transcript:ONIVA09G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGAEEARTSRVVVAAAGRSLNPNAKEFVPRWHRHAAAADDDDAARRTKLSADAPEFVYEGFWRGVDGLTGYGYGYGDGHDGAPEELVVVVSERLNPDAPEFTAAASIRRRRSPGSGNGISSTRHWSRRGSRNFSRQGRSAPFSSRVRRAQKEEFVRRTIFVSDIDHTVTEDMLAELFGSYCSVVVDCRICGDHSSGLRFAFIEFQDESDAYAALDLDGYVLGICPLRVSPSKTAIMPVNPSFLPQSEAEREMCSRTIYCTNIDKSVNVTDLKYFCEEHFGQVFRLKLLGDDGHPTRIAFIEFAEVDGAINALNSSGIFASGQLIRVCPSKTPIRSVASYYSTSANTMTSN >ONIVA09G04940.2 pep chromosome:AWHD00000000:9:6674742:6680114:1 gene:ONIVA09G04940 transcript:ONIVA09G04940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGAEEARTSRVVVAAAGRSLNPNAKEFVPRWHRHAAAADDDDAARRTKLSADAPEFVYEGFWRGVDGLTGYGYGYGDGHDGAPEELVVVVSERLNPDAPEFTAAASIRRRRSPGSGNGISSTRHWSRRGSRNFSRQGRSAPFSSRVRRAQKEEFVRRTIFVSDIDHTVTEDMLAELFGSYCSVVVDCRICGDHSSGLRFAFIEFQDESDAYAALDLDGYVLGICPLRVSPSKTAIMPVNPSFLPQSEAEREMCSRTIYCTNIDKSVNVTDLKYFCEEHFGQVFRLKLLGDDGHPTRIAFIEFAEVDGAINALNSSGIFASGQLIRVCPSKTPIRSVASYYSTSANTMTSN >ONIVA09G04930.1 pep chromosome:AWHD00000000:9:6664803:6670284:-1 gene:ONIVA09G04930 transcript:ONIVA09G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT1G18030) TAIR;Acc:AT1G18030] MAHQKREATSDNGGGDEEWASKRPKVVGAAAEKEHILTSDASHETNGDEAQGGDASRKENTVSTNPCVSDEKAATNSNVSSGHGVILTSVEADAAEDKGCRHTMEDAWVLLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQKHLHQNVIAAGLPRELMDVKASKKAIIEGFRRTDECLLQESTKGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSTSADGEGAVDDAKSQLKAIVLTREHKAIFPQERARIQKAGGSVGPNGRLQGRIEVSRALGDRQFKKVGLIATPDVHSFEVTRKDHFIILGCDGLWGVFGPGDAVEFVQNQLKETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKH >ONIVA09G04920.1 pep chromosome:AWHD00000000:9:6656015:6658234:-1 gene:ONIVA09G04920 transcript:ONIVA09G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYYATSSLVIGYALCSSLLAIINKYAVTKFGYPALLTALQYLTSAGGVWILGKLGFLCHDPFNLENAKKFAPAAIVFYLAIFTNTNLLYHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFLSLVVILGGAVGYVITDSAFSLTAYSWALAYLYKSLFTAIESRGERWFQVDAFVAVALSCVFGLLISFFGFATRKAISATAFTVTGVVNKFLTVAINVLIWDKHSSPFGLICLLFTIAGGVLYQQSVTKKGITAPQHEPESSEQTKDDNEGIELDEEKQSLVPTSKSSNA >ONIVA09G04920.2 pep chromosome:AWHD00000000:9:6656013:6658234:-1 gene:ONIVA09G04920 transcript:ONIVA09G04920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYYATSSLVIGYALCSSLLAIINKYAVTKFGYPALLTALQYLTSAGGVWILGKLGFLCHDPFNLENAKKFAPAAIVFYLAIFTNTNLLYHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFLSLVVILGGAVGYVITDSAFSLTAYSWALAYLVIITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMMAPFFWFLTGEYKSLFTAIESRGERWFQVDAFVAVALSCVFGLLISFFGFATRKAISATAFTVTGVVNKFLTVAINVLIWDKHSSPFGLICLLFTIAGGVLYQQSVTKKGITAPQHEPESSEQTKDDNEGIELDEEKQSLVPTSKSSNA >ONIVA09G04910.1 pep chromosome:AWHD00000000:9:6651136:6654743:1 gene:ONIVA09G04910 transcript:ONIVA09G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASARGFWGRHRRKILVSLGVAGAGYAAYRYLDSHRRQLVRVEQRALEERAAEEIIKNQLQTHFENVQKISDTTTLPFAMHYLRSRIMEELDISHLTEKLMHGKGESSAPALTPKEKYDTWEKIKILSFTRTVSSIWAMTLLSLYVRVQVTILGRHLYLDFARVTDGAQLQEGSDTFSKSGHKDFLATADYLATYGINALITKMQHAATEILKEKQLKDPMGIDEVLETILQILKQFMGLCEDNSWINYLVPENANVYAQLMAVSSSGFDDSSLLKDVRKLDQLMSETRIVLSRNIMDRSLKKIASVVVEDLAVQIGAPIPPPGLPLAKLLAKVAQLSLPLLEEPDKNKHIQIIRSMPEVELFYTFLYANMPPET >ONIVA09G04900.1 pep chromosome:AWHD00000000:9:6630084:6645338:1 gene:ONIVA09G04900 transcript:ONIVA09G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTGSVLGTSLRREYPGLVKEYAGPGQRKRKRLAMSWGHYFGEKDHNGMTAGNRVKDEFWSFFTTQEEDMEELDKNIDNYCQARVPKIICQARVDAMKKYYDKYKEKRKRGQDARFANEDYAQQQGGSLPFCTIQQNLVYQLGPENASGLDTYRVQMAGFKASLKGSGQIRSEKNIYCQVYHEEHGEEHQPVSSELDGNVVYKAFGGLKHGRFAMGNGVFKKTEVLAAVKHKKSGISGSTNSYNAVVRENAQLRHEVTEQRGMIREQRGMIQEQRGMLKKNPISKFNLHAYRQYMRSLEWTYLRRYFQGKECNSNAASRGQYQNFHEIPPDDADFNNLTIYLKSASPAQINFKFWFFCSLQFMMASSLFSYFFGMKSRILSPALPQQSYLSSAELPSLTDHVNEEVAKLDRTVHRITAVLVDADEREIADETMKLWISELKQVTWEAEGILEDYSYELLRSTTVQEEKVTDYTDFRPNNPSFQQNILDRISKVRKFLDEICRDRVDLGLIDQEGLCRKESRISRCTSSLLDPLEVYGREDEKKLIISSLLDGCLTFKKRRLKEHEYETCKAGAVRLISIVAMGGMGKTTLARLVYNDARVQNHFDIQAWVWVSEVFDEVRLTKAAIESVTAKPCDLTELEPLQRQLHEEVKGKKILLVFDDVWNEDTIKWETMKRPFSAVATGSHMIITTRNENVSTIVQAKKVIHLGGLQKDDSWALFCKLSFPDNACRETELGPIGRKIVEKSDGVPLVLKTLGAMLSLDTSLEFWNYVLTSDLWELGPGWDHILPILKLSYYSLPAILKRCFTFLAAFPRGHKFDLEELVHMWCALGFIQEDGAKRMEEIGHLYVNDLVRRSFLQNLQLAGSREKFVVVHDLIHDLAKSIGGKEILVKKCCGSSVGGCNTSANNHLRYLAVLVGTTPFYSDNKLVPFTLPVAGHFPLRSLSFQSKWRTYLRSCVRNNLRTSFQVLVQSQWWYNLEGCLLHSPHLKYLRILDVSSSDQIKLGKSVGVLHHLRYLGICQREIPEAICKMYKLQTLRNTYPFDTISLPRNVSALSNLRHLVLPREFPVTIPSGIHRLTKLQSLSTFAVANSGSGAATLDEIKDINTLQGQLCIMDLQNITHDRIWEPRSANLSKKKLTRLELVWNPLPSYKSVPHDEVVLESLQPHNYIRQLVISGFRGLNFCSWLGDRSLFSLQELELCKCYYTDHLPPLGQLPNLKQLKLTSLWKLRSIGPEFYGDCEAPFQCLETLVVQNLVAWEEWWLPENHPHCVFPLLRTIDIRGSHKLVRLPLSNLHALAGITVSSCSKLETIVGLKERCEVTAGNGGLQAGQTNVLPSLRRVKITACPSLEEPLISMLRRQTEIGFSYWEQSPSTSPTDIADFGKTPWKDSGKIGNELLFPKYRAGFQNNNIAKMVRVMQNHCNMKRCNDIMDMPTRRGLYELLEGESEMHCDRLVHRTELNEILQIVGYTIPLGRKLYNFQK >ONIVA09G04890.1 pep chromosome:AWHD00000000:9:6607900:6613014:1 gene:ONIVA09G04890 transcript:ONIVA09G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLTSSSSSRTTFSSSSFQILASPSSPRRLGYLSRPPPPPELMPIAVAAGASHFDRRRRCRIYWGPASASLLSVDEGRKTPKTLIFPRDERNASSVCRCCREVGVRYKAANDIQELNKKLERITPTLLQELCREDRQSNITTPQHDEFITIGRNIANECDNLFRLLRGNQAGQCLFAIVGAVGVGKTTLAQKIYHDTKNNFRTRLWVHVSNDSRNLGIWRGESFLGTGETAVQRVVLHEYLINDRYRRLLLVIDNVWEENGWNQFLGQDFCRGGDTVLLVTTRHECVARTMGIARCHRIRRLSEDDGWLLLRTTANLRETEATGNIQDVGRRIVQKCSGLPVAVRTIGYHLRGKTLEDEWESVYLEDFVATYPEIRNSIDASYMKLSYRLKRCFLYCSLYPEGNVIEKQCIMQQWIAEGFFSEVPLQVQEEEAERCYQELIDRCLLLPEDEAHGVTGAKMLNLFRSFAIYRSQDENYVSNPRNIGRNFKPWRLCVTNGGRVEDIPDDATSLRSLFLFGSPQINGKSLEFIFSKLTSLRVLDLRHTQVDNISTYLKKLHKLKQLRYLNLSNTRISSIPASIGSLTMLQFLILKNCPLLESLPRCVGHLKKLRSLDISGTPMLNVIQFNLLELTELNCLQGFVPTTSVQQNNNGDGWKFEEVRPLGNLRNLQMVKLERASSSRGDLGQLNLHEKPNLKELELCCSSADPQNRDRDAEHIKAVFEALKPAQCLVSLKIANYYGDQFPSWFSNSHLTVLQRLTLDLDDCLPSWDLPPLGQMMNLKFLKITASNLLPDANNRQLRGEPRNGKAFPRLEQLVLGKMESLAPWSVLQEGDLPLLRVFHLDGCSQLNSIPSWLQSCSKLTSMKIKNIDTLQEIASLPSLKELEVHNSGRLQTVLNIRRLEDLTISDCPVLAAVDGVPLLCSVHIKEQSAQLPQWLQQKSFVLRRLDIIGTEVLLDRCSSPIAQYGSIIQAAAEHVYAKLVDGSFYFSYNKSTSSFQRSRRCIERLTVDGLHNNAVPPDNWRAWMVYTLYAILVIASSFLFSGLLRPMDETPQHLQ >ONIVA09G04880.1 pep chromosome:AWHD00000000:9:6530974:6532452:1 gene:ONIVA09G04880 transcript:ONIVA09G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALVEGLDESMRNLDLKGKGIVPSSEYHISMVKSGIRNVDSSQYAPHSILVGPYHCDPDQRMEPVKLEALHGALPGDEQERRSTLHRYLGEIAAANFLAEVRRYYADGANKFEDLALSKLLLVDGFYILHCFGIGRFGGGSSSSGGGMCAQDNIEHIRDVLYLLENQIPFFVLVKIHDLFFPPETSPIKSTAEIVLDDLEKSLRPLLTFLGYTQLEIRGVSPWHLLHLLYMHFKPTAVPEPDDKMPATGATAAASNVQQVARPPTSHSWRMWLTGTAATTPAPVGEGGDAAAGNKPHPAYRWHGATQYHAAGMTFEKRRLDSSSKARNILDVELRRLTVYIPTITVDNNTFRILRNLLALEQQSPALGVDVTAYCLFMSHLAGTAKDVDLLVRKEVIVHFMGSDEEVAQGFADLCKGLSVNISDTGRNYLHKTWEKMEKRYNSRHINWIKQLQRKHLSNSALVFALLVAMIPFVCTILQTVYAVKSYKASN >ONIVA09G04870.1 pep chromosome:AWHD00000000:9:6495041:6498498:1 gene:ONIVA09G04870 transcript:ONIVA09G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAEGQAEICIETLLTNLTACWERSRTGSNRPECKIPLLCPPQRPAAVCIGPNHHNPFYHLMEQEKKVMLYGILILVDEQHKAAVLRRLVDAVTALESVAKEHYYMEQVPCDAMRRTAGFVQMLLLDGCYILGKFVLHDLLLVRANGAGTSQQQQHGTGSAMQNMELVRDVFYRLDNQIPFCVLRAIYGVLRECRTTPGVMARELDETLAVQVQALLKHFGYSIRNQVPREIWHLHHMLHKHFVPQDDPIPTGDAVRLPVDVVDTGRRSATAAAPTLYRWRAATFYHATGVIFMKRHLRHGASSGAWRWFVDGGGARSVLDVKFHPLTLRLSIPPLMVDMNTSTVLRNLMMLEQHNPSLGSQVTAYCYFLSQLAGTASDVALLAKKGIIVSLLASDGDVARMLGELCVGITINPADERSHNYLLDTRKGLERMYKTRVIRWIAQLYHRHLSNPFVLMVLVAAMVGFVCELIQAIYAVKSFKRQP >ONIVA09G04860.1 pep chromosome:AWHD00000000:9:6482700:6484003:1 gene:ONIVA09G04860 transcript:ONIVA09G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPRFPSTTPGSASTRWYSPNSPPLGFTRRISSTPGSSSTKAKVTPADVDVNDDDKDDIADVARINKLRVKVKELGVALKRKTK >ONIVA09G04830.1 pep chromosome:AWHD00000000:9:6448875:6451673:-1 gene:ONIVA09G04830 transcript:ONIVA09G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGGRVCVAAETICGGRATLADLSSIASGFCIQIESPTMSQTSKSRTGRTAIVEDADVFFEEEDLNDPSSQSIKLTGEIWDVWINCKGSKERWVKANVDKVAYKAYITNNKNTSDGVESNGSSDMPETEWPSHARKITKHTQDGKQVGRGTLKGLSASAKRLKSSSRKLKVEFSAKLGGPCGDNRRTFVDEVIMYTRLRTPLIGVRKWKDVKQDVKNSIADCVMKVSTQNSTNRHQMKTMHLMGSKPFSQCSWEQNNTARKLAMTDSHEAGDEGSRSVTFEGQVRRCFPEHIQGNNRNKINTETWTRILWETNKTPTS >ONIVA09G04820.1 pep chromosome:AWHD00000000:9:6423094:6423273:-1 gene:ONIVA09G04820 transcript:ONIVA09G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGSHHGHGTARKQRRRLASMNERGAMETNGVDVEGTALAIFGLDEEADTMGLALRT >ONIVA09G04810.1 pep chromosome:AWHD00000000:9:6422398:6423091:-1 gene:ONIVA09G04810 transcript:ONIVA09G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGTSSWWEQRPEEGNGDGATSLGPWGQRGGVAEDEDDEMTPTTVMAQRGNAPARREACRRTRMKATSPLLTSEDEFPAVSRRNGGEAGGEEVAAKRMVLTPGSEEVPTAGEGRPDLRDGGGTRRRRRSGQGERRGRAVAKLRGENVGELMIRGEEPIFGIQFGGEQVGREIGMPAALDFGRER >ONIVA09G04800.1 pep chromosome:AWHD00000000:9:6408807:6416583:1 gene:ONIVA09G04800 transcript:ONIVA09G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDIESLVKDVKICWQRAEGAKSRSGCKIPSLDQRDRPAAMCIGPNHHNPFYRQMEEEKKAMLYSILTQVDEQHKAAVLTRLMDAIKALENEARDHYLDRAESMSSSEFVQMLVIDGCYILGKFVLPHSCCPSTSDDGAQNGSAMQNMELVRDVFYRLDNQIPFCVLDEIHKVLHGKIIRSCTAVADVLVTHVGDLLENLSYSRVHALDVHASPWHLLHLLHTRLQPTAEWGSEKPTKGAAAHVVVSCASTPGFYRWRPATQYDAAGVRFRKFDGSSCILDVKLDGATLRVPSLVVDTNTYALLRNLMMLEQHNPDQLGSHVTAYCVFLSQLAGTPGDVALLARKGIIVHLLPSDSDVAVMFAGLCVGITIGMDEPKHNYLHKERNDLERIYNSRLMVQHTRNCMTLPHRNPMLVVALLAATLGLVCLLLQAIYTMKSYYSHRSTGWHHHHRHAHRDVKREEVAGGYAGGDGATEEGGGRPTPMTLLYAPSSLKQRYKQKQVGSIPLKKLNGALVD >ONIVA09G04800.2 pep chromosome:AWHD00000000:9:6410162:6416583:1 gene:ONIVA09G04800 transcript:ONIVA09G04800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSPRPPRCEEGGGCRRLRGWRRGNRRGRRTPDADDTAVRTIIAEAKVQAEAGGKHPSQEAKRRPRRLIDPTPTRKSERQKVMANVDAPVANRAEFLKKIHNLEVVAGYLHVFLLAPLLVYDAATGGAGYYA >ONIVA09G04790.1 pep chromosome:AWHD00000000:9:6393017:6393448:1 gene:ONIVA09G04790 transcript:ONIVA09G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLCLAAHRLWQRFGRMGRRRRGSHRRNDEAAAALIAHGWKWRRQLELGKRKRERRWWLFIEDDELGLEADLEGGGSGFGGDLEGIWRQHGAARPQVATVWAEGGGVWRRRGAAGTRRSTWTRGWPPWVELLGWRRELRLLA >ONIVA09G04780.1 pep chromosome:AWHD00000000:9:6378120:6378732:-1 gene:ONIVA09G04780 transcript:ONIVA09G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACAVSTGDCGREAMRRWIRLPLCAPCARMQLRRRGSSAVDALVPAPPSSRVDPAWVKTMGRRQDGGNLHGDASRHLAKKLQSTYQYIDN >ONIVA09G04770.1 pep chromosome:AWHD00000000:9:6349496:6351639:-1 gene:ONIVA09G04770 transcript:ONIVA09G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEERNKDDAGNPEMQVIGNGGTKAIVIDVEPGDDSSPTSNGSPAAPEAEGQRYSSQEERGDGGGSSCGFHVVDLTSNMVVPDDTTTEDQPRPDAGTINGDDCPCPITRVHGRVRDIDPGAYDPMVVSLGPYHADRKDLRPMQKEKWRCVEYLCDLTGTPSYVDYLPVMDRVCPDAKTYYLDETGHGRSRGGNGAGGLALAVEHANFLHMLLRVPPLDKLKKADEGGAEQRSQDRWKDVAIAHDMLLLENQVPFVVVEDLYRAAIDTAGRRGCDVPSLSTVMGKFIRGVIQEAEEQDLPLPHHLNGKTPHHLLHLCHTLLEPTPKEPASPVPDNVAARVKRRWHRAAQYHVNGVGLKKRLFSGGVDHSHHSLLDVKFKGGALEIPVLHVYDNTCSLLRNLIAMEQASSDSGVGHYVTAYCIFLSRLMCTAEDVTLLAKKGIVVHHLGSDEVVAGLFADLCKNVVFNEDDDECNYHRAACKAADERYQKRVWNWMTLLKHKHFSNPWLAMATVAAVLVTICTVVQTFFTVFPRK >ONIVA09G04760.1 pep chromosome:AWHD00000000:9:6325173:6329358:-1 gene:ONIVA09G04760 transcript:ONIVA09G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYMSRGPPNGSVYVCNLPPGTDETMLADYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKNKDTYDNSASLNNSAGLGGQDELDNGAGRGRGHGDGPGKAWQQDGDWLCPNTSCGNVNFAFRGVCNRCGAARPAGVSGSGAGGGGRGRGRGSDDAKGGSRAAAVGGPPGLFGPNDWSCPMCGNINWAKRMKCNICNTTKPGHNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQQTENAPTLPGSGRAGWEVQLEEKAGKGAEIEAETMTTMNGIAGTEIEAVMGGSGAEAEVEVETVRRKEGGTGAETTAMRGAGSEEPSVTVIAIGEYFMPESSHAACRFLVFRYFCFVAG >ONIVA09G04750.1 pep chromosome:AWHD00000000:9:6313818:6317748:-1 gene:ONIVA09G04750 transcript:ONIVA09G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPLLSPLSPSPHLLHPLPEHAEVSTFSPPLSPCPSPASSYKERIIFGAHPPPPPPPPPPPPRGRRYYRRVSGDDLDVPSCSSSPSPPSDEENPPPNPPSLFDFIGGRTNLHRSRTAPAMAPLNAAAIAAAAASGDSRNPPPPPRRPAIVLHAFLFLLAYLAMGVTFYAALPGNFTSSAGPTHPVADALYFCIVTLCTIGYGDITPATPAAKLFSISFVLIGFGFVDILLSGMVSYVLDLQEHLLITALKNPRSVRKHRHNYIFDLKKGRMRVRMKVALALTVVAICVGVGAAVLKRVENLGWLDAVYLAVMSVTTVGYGDHAFRTLAGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVTKSEFVVYKLKEMGKISEKDIMMICDQFQRMDSGNCGKITLSDLLESHQLVTDLNEKKKGKKS >ONIVA09G04740.1 pep chromosome:AWHD00000000:9:6311679:6312494:1 gene:ONIVA09G04740 transcript:ONIVA09G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Chromosome transmission fidelity protein 8 (InterPro:IPR018607); Has 127 Blast hits to 127 proteins in 63 species: Archae - 0; Bacteria - 0; Metazoa - 70; Fungi - 17; Plants - 31; Viruses - 0; Other Eukaryotes - 9 (source /.../ BLink). [Source:Projected from Arabidopsis thaliana (AT5G52220) TAIR;Acc:AT5G52220] MQIRVRCGCGEAGCPEWAIVEVQGVVQPQPCFSGRIQGLHIGRLCAAAAAAAAPQEPTSAGGGLPPHSLPAAFTFTVGYHELAGTKVALKKPLLVLRKKKTTAVAAETELEVIGVIRHKILFKDRPKALISRLIVHATQLNMVKEKKTLPLPAAGAAPPPQSS >ONIVA09G04730.1 pep chromosome:AWHD00000000:9:6307376:6311106:1 gene:ONIVA09G04730 transcript:ONIVA09G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGNNMGPDNGANNNSNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVQGLTIYHVKSHLQKYRLAKYIPDSSADGNKAENKDPGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLGGVKSETPAAGASVTLPSDQFPDSERTDPSTPAPTSESPTQGVPSNRDNGGQNEATKSPQRDDSLSRHEPLTPDSNCQPGSPTASPKHGRAAKRQRGNGAEFSETDFALPHSIFESSSGSEFQQCSMSYSGH >ONIVA09G04730.2 pep chromosome:AWHD00000000:9:6307365:6311106:1 gene:ONIVA09G04730 transcript:ONIVA09G04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGNNMGPDNGANNNSNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVQGLTIYHVKSHLQKYRLAKYIPDSSADGNKAENKDPGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLGGVKSETPAAGASVTLPSDQFPDSERTDPSTPAPTSESPTQGVPSNRDNGGQNEATKSPQRDDSLSRHEPLTPDSNCQPGSPTASPKHGRAAKRQRGNGAEFSETDFALPHSIFESSSGSEFQQCSMSYSGH >ONIVA09G04720.1 pep chromosome:AWHD00000000:9:6293645:6300124:1 gene:ONIVA09G04720 transcript:ONIVA09G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGLIHHRPDGGEAGRAAGGGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTILRTMGVKGLTLFHLKSHLQKYRLGKQSGKEASEQSKDASYLLDAQGGMSVSPRVSTQDVKENQEVKEALRAQMEMQRRLHEQVEVQKHVQIRMEAYQKYIDTLLEKACKIVSEQLASSGFSISDNDLPELSGGVMCGSADTLSSSIFHQLSVSPINLHSPEGKPTPSGIEGQMILQKSPELKRKSC >ONIVA09G04710.1 pep chromosome:AWHD00000000:9:6272447:6278467:-1 gene:ONIVA09G04710 transcript:ONIVA09G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGRGGGGGGGRGRFGGGGGSRFSAARDDPPPRRSSSGWGVAPPSRHLWVGSLSPGVAAADLSELFLRCGDVEGISRDPGRSFAFVTFAREEDAVAAVRELQGIHLRGAPIRIEFSKGDKGSSSSMDDRYSQHADQRRFTERGRNQQSSPEKSTDKSKRSRPAEPSEVLWIGFPVGLKVDEATLWEAFSPFGEVVKITTFPGRTYAFVQYTTIAAACRAKETLQGNLFNNPRVSICFSRSDSVSAEFGKGSLDAPYSPHLNSSVRPIFREQDFEDFPRARPFDSPPRDMYMPSPHYGPKRLSRDHDDVGFSRDNYLRYGPGVEPDPRSNFEPFRIRGLGPERRMSEDPYEQHRRSPAGDAPWHNIPFERSQGALPVEDSRYAREDPYPFSKKLRTGEAHDSELPEYPFSEFDRGKVGSAYPRRPFYGVPDDDIHPRGYQLAPMHGRNHVDPLRNPTPLVDRHIPGHAQDSFSRHVEVERSTPEYHEPLLKEEWKWDGTIAKGGTPICRARCFPVGKVLNFMLPEFLDCTARTSLEMLSKHYYQAASSWVVFFVPENDADMAAYNEFMNYLGDKQRAAVCKLGERSSLFLVPPSDFSEQVLRVPGKVSISGVILKFEQSDPEVSSPTRKPETFVSHLNHDVRAHEDLDALRRINPPDIRPLPQGSDYLGLSPGSYNPASAHLVPPYKFGNAPSYLESELAHQKHPPDSHREIAHDKQQQHPDVLPSRWSDNIYNPSPGSGNLNYLAESAIPHTSTDRTPEAYSFAPQGVPKVSTSGYAPVADEASNMSYPPMQPASQQVVRPQQPPSLPLSLPPEQLAQLATLLAQQNQPGKEPVDSLNKESGFIRNPHGHSSMMPHSSGSIPVQNSLPPAPSSASQLQVHAPPVQGSVPPNPSIMHTPNAPMPSHNTLPLPPMHPSGNPAHSSMPLRSFVPPLPEGPPPLRQHTSSALQAQPALPSGPQTSQQPSAQEDHHGDPQKRLQATLQLAATLLQQIQQQSKPGGQK >ONIVA09G04700.1 pep chromosome:AWHD00000000:9:6255738:6260271:-1 gene:ONIVA09G04700 transcript:ONIVA09G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCAGGGDGGGEGMLARLRRAAARRIGLSCASFFSHAATSPSPPPKTISCSALNAPADSTDEDQEKLEEPTSTRMADKNLCAICLEPLSTGSVDIDNGDRPAIFTSQCSHSFHFLCIASNIRHGNVTCPICRAQWSQLPRDLKVPPLLQNNQSDPILRILDDNIATSRFNRRSSIRAARYNDDDPVEPYTLTEHVDPCLRFALIPSPVAAHHHALGHYPCGRVMPLQQHCQYSSSSMLSPPQIASPSGQRRAYLSVSLAPQPAMDLVLVASPNGPHLRLLKQAMALAVFSMRAIDRLAIVTNATTATRAFPLRRMSSHGKRMALQVIEHLCCVGGTDPVGALQKGLKILEDRAHQNPSSCILHLSDHPIRSCFGVDMNRFNIPVHQFHVGLGFGVQNGFVMHEFEELLARLLGGVISDTQLRIGEHGGVVRLGELRGGEERRIPLDLVSDCGFILVGYSYLEGGREDQFRTGEVAVGFEEKGDNRYCGVRDAGGLSIGGERRSSCCAERWDYLDPFMARRWAKHFNVYRA >ONIVA09G04690.1 pep chromosome:AWHD00000000:9:6249260:6255137:1 gene:ONIVA09G04690 transcript:ONIVA09G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEDDRRRGGGGGSSTPGMNLKNLVSREYFGHKKKVHSVAWNCLGTKLASGSIDHTARVWSIDPHGHSKVKDIELKGHTDCVDQLCWDPKHPDTVATAAADKSIRLWDARSGKCQVVELSGENINITYKHGGTQIAVGNKEDELTIVDVRKLKALHKFKFNYEINEIAWNKTGDLFFITTGLGNVEVFGDPSLDDTLHVVGKLNAHTAGCYCIGMDPLDRWPVRTVSFNHTGEFLAYASEDPFIDIANVQTGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFEST >ONIVA09G04680.1 pep chromosome:AWHD00000000:9:6241123:6241320:1 gene:ONIVA09G04680 transcript:ONIVA09G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPNARPHISFEAAPVADEATTPSRCIAPKVVPLARSSEPEARSGELVRAAAAEEEEEEVDLMT >ONIVA09G04670.1 pep chromosome:AWHD00000000:9:6219258:6222988:-1 gene:ONIVA09G04670 transcript:ONIVA09G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IHM7] MAMMAMGAASWAPIPAPARAAAAFYPGRDLAAARRRRGAAAAARRPFVFTPRAVSDSRSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNISGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEERATAFGLMKIDDEGRIIEFAEKPKGEKLKSMMVDTTILGLDTERAKELPYIASMGIYVFSKDVMLKLLRQNFPAANDFGSEVIPGATEIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAAIYTQPRYLPPSKVLDADVTDSVIGEGCVIRHCTINHSVVGLRSCISDGAVIEDSLLMGADYYETETDKKALSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINVDNIQEASRETDGYFIKSGIVTVIKDALIPSGTVI >ONIVA09G04660.1 pep chromosome:AWHD00000000:9:6213478:6219385:1 gene:ONIVA09G04660 transcript:ONIVA09G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0IHM5] MQQWNLGSNFCWCHQRAKKLQEHQKVSLSSSSSIVSLQKGLQEHKEKKKNCTKNKLQTRRARREMSMNADLGKPRELTGLQQRRALYQPELPPCLEGKAIRVEFGDSTTTIDPTCANMVVQEFPNTFGQPLVHFLKPNKMDAQANDEHPPIRVGVVFSGRQSPGGHNVIWGIYDAMKTQNLQSVLLGFIGGTEGLFANQTLEITDDVLSAYRNQGGFDFLGRTVDQIHTTEQVNAAMSTCCDLDLDGLVIIGGVTSNSDAAQLAETFANHNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKYYHFVRVMGWKASHVALECALQSQPNMVILGEEVAFSKLTLKEIISKICDGVQARAAQEKYHGVLLISEGLIESIPEMFALIQEINILHSNKVPENNIPSQLSPWATALYNYLPPFIRRELLLHQDSDNSAQLSQIDTEQLLAHLVEAEMNKRMKEGKYIGRKFSSVCHFFGYQARGSLPSNFDCDYAYVLGHICMHILAAGLNGYMAFATNLKEPTNKWRCAAVPLTAMMSVKRHSRSPGAVPTGKPVIHPSPVDLQGKAYALLREKASSFLLDDFYRTPGGIQFDGSGTNVKPITLTVEDQDYLGDIELLQDYLEKVRNIVKPGCSREILKAAISSMSSVKDVLKSLVNWQHGINHSVKS >ONIVA09G04660.2 pep chromosome:AWHD00000000:9:6213478:6219385:1 gene:ONIVA09G04660 transcript:ONIVA09G04660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0IHM5] MQQWNLGSNFCWCHQRAKKLQEHQKVSLSSSSSIVSLQKGLQEHKEKKKNCTKNKLQTRRARREMSMNADLGKPRELTGLQQRRALYQPELPPCLEGKAIRVEFGDSTTTIDPTCANMVVQEFPNTFGQPLVHFLKPNKMDAQANDEHPPIRVGVVFSGRQSPGGHNVIWGIYDAMKTQNLQSVLLGFIGGTEGLFANQTLEITDDVLSAYRNQGGFDFLGRTVDQIHTTEQVNAAMSTCCDLDLDGLVIIGGVTSNSDAAQLAETFANHNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKYYHFVRVMGWKASHVALECALQSQPNMVILGEEVAFSKLTLKEIISKICDGVQARAAQEKYHGVLLISEGLIESIPEMFALIQEINILHSNKVPENNIPSQLSPWATALYNYLPPFIRRELLLHQDSDNSAQLSQIDTEQLLAHLVEAEMNKRMKEGKYIGRKFSSVCHFFGYQARGSLPSNFDCDYAYAMMSVKRHSRSPGAVPTGKPVIHPSPVDLQGKAYALLREKASSFLLDDFYRTPGGIQFDGSGTNVKPITLTVEDQDYLGDIELLQDYLEKVRNIVKPGCSREILKAAISSMSSVKDVLKSLVNWQHGINHSVKS >ONIVA09G04650.1 pep chromosome:AWHD00000000:9:6208773:6209172:1 gene:ONIVA09G04650 transcript:ONIVA09G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIATTILVVSGISGEVVHGRDDDEAVTQIWANGDDVDSGKWVVQDLLLAPARAGAAAYGDLAIGLPLRGDQVPCLRMVIGDLAILKLPPSATTSPLWPLCSRTEKK >ONIVA09G04640.1 pep chromosome:AWHD00000000:9:6207204:6207821:-1 gene:ONIVA09G04640 transcript:ONIVA09G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLAAATTTVPAIGVLILLLALAPSPATATVPARRSAVSYYASVENRLPAAAGMELVCRALGPGFDVYPELSVVPRGRVPRGGARVAEVLIEPGPERVAWVLCSWGYEGNYLANLKLFDTEWPEAAACQDPEASGGELCRLVFEDDAVSVVAPGGERRVVGDLPVKRCRRHWLLFSTGCTYPDHPNPYAGRLLRNALEFFAV >ONIVA09G04630.1 pep chromosome:AWHD00000000:9:6205575:6206172:-1 gene:ONIVA09G04630 transcript:ONIVA09G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHARVALFAAALAAVLAASTAGFISNEAVGASSAASGGAGRSLLQAKKDCPVNFEEANYTVITSRCKGPMYPPALCCQALKDLACPFTAYINDAQTTCAASMFSYINLYGKYPPGLFANTCKEGANGLECPEDTPQMKPGEDKAASSAAAIVAAVARPVLAAVSAFLMLIVS >ONIVA09G04620.1 pep chromosome:AWHD00000000:9:6201099:6202909:-1 gene:ONIVA09G04620 transcript:ONIVA09G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRSGPDPNSPLCREAADSLLRRGDAEREREEPDPEPPCGQCTGEMLRGKGMRKAMTTRPRRLAEICYSIGVKNILPESAFHDELAGHRRRRTYAKLGPHLTVSPSYSSLSLLHHPSDNHTVTDKWAPQPLPTTAPRWTTVLPVHPAAGKRGPSQRHSRQHTDMLVHKISGAHVSLSMQTRPVPAQLGRVAA >ONIVA09G04610.1 pep chromosome:AWHD00000000:9:6197944:6200969:-1 gene:ONIVA09G04610 transcript:ONIVA09G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAVGLLRPCGAATAAAPLQLRNPSPRGFGVRVGQPLLPPRGLRLSAVAPRAGISARRIGLVPASPEQEDERRRGARDVAVAATAAAAGEAGAEEGGGLAKTLQLGALFGLWYLFNIYFNIYNKQVLKVFPYPINITNVQFAVGTVIALFMWITGILKRPKISGAQLAAILPLAMVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSALFLGEMPTPFVVLSLVPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNITLFSIITVMSFFLLAPVTLLTEGVKVTPTVLQSAGLNLKQIYTRSLIAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTGVALAGVFLYSQLKRLKPKPKTA >ONIVA09G04600.1 pep chromosome:AWHD00000000:9:6194368:6195939:1 gene:ONIVA09G04600 transcript:ONIVA09G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGAMVAASGAGRPEYPGGLTMFVSMACLVAATGGLIFGYDIGVSGGVTSMDPFLSRFFPSVYRAQSAAAAAAGGNQYCRFDSQLLTMFTSSLYLAALASSLGAATVTRVAGRKWSMFAGGLVFLAGCALNGAAANVAMLIVGRVLLGVGIGFANQSVPVYLSEMAPARMRGMLNNGFQMMITTGVLAANLINYGTARIAGGWGWRLSLALAAVPAAVMTAGALFLPETPNSLLERGRRGEARRMLQRVRGEGVDVEDEYNDLVAAGEASHAVASPWRDILRRRNRPPLVMAVAIPLFQQLTGINVIMFYAPVLFRTLGFGGGASLMSAVITGGVNMAATLVSVLAVDRVGRRALFLEGGAQMVASQAAVGALIGARLGWSGTAAIPAGYAAAVVAAMCVYVAAFAWSWGPLAWLVPSEVMPLEVRPAGQSITVAVNMAMTFAVAQAFLPLLCRLRFVLFFFFAGWVAAMTAFVALFVPETKGVPIEDMAAVWSDHWYWKRFVDGDGDGARRRGDIEMGHK >ONIVA09G04590.1 pep chromosome:AWHD00000000:9:6187014:6189620:-1 gene:ONIVA09G04590 transcript:ONIVA09G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSSGAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMSCVNHETGAVDSRKFGVLANWQREYTMETILTQLKKEMATPQNRKLVQPPEGTFF >ONIVA09G04580.1 pep chromosome:AWHD00000000:9:6180188:6186291:1 gene:ONIVA09G04580 transcript:ONIVA09G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferrochelatase 1 [Source:Projected from Arabidopsis thaliana (AT5G26030) TAIR;Acc:AT5G26030] MECVRSGSGVLDPRCSPRFLGKKGGSLTSCGKATSTNLAICTKHEQNLHGNVKPSQLAASGSSYSVHRSPVLKQRQNLSARSTSADVYTTFDENVRAVSSHAAEEKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKVALKKKNLNANIYVGMRYWYPFTEEAIDQIKQDKITKLVVLPLYPQYSISTSGSSIRVLQNIVKEDSYFAGLPISIIESWYQRDGYVKSMADLIEKELSIFSNPEEVMIFFSAHGVPLTYVTDAGDPYRDQMEDCIALIMGELKSRGILNSHTLAYQSRVGPVQWLKPYTDEVLVELGQQGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSSFISDLADAVVEALPSASALVTKKVDESDSDMDLMHYLSKMFFGSILAFVLLLSPRLISAFRNTLL >ONIVA09G04570.1 pep chromosome:AWHD00000000:9:6170852:6178666:1 gene:ONIVA09G04570 transcript:ONIVA09G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHRQEDWGKGLNHNPCIGGLPGIVFSCLLQGQPLQLHSVRMGEHLHPHNKQIASIAFHAKGELLAVASGHKLFIWDYNKRDEASDPPMILRTRRSLRAVQFHPNGAPYLLTAEVNNLDSADSELTHATSSGYSNSPSAVFFAIMNSACCPYSESRFSSPCLIWPAYVRDDGSICLLRNDWVSGSSDVQQPSDSETQQAGHMVTPMDVCPGEPGVNNYDDEDSASLSNRIEMHTPSWQNSSRFHNSSAATDLHRIDIRQVSDLSSDTPNPEMPAHSRIDVPNSMPMDLFASSNTIDVQMFLRDVEAGHHHNNYTGGSHSWELPFLQGWLMAQNRTGLRATLPNNEVIGDLPIGGTAGTDNVMNESSNMYSFERVGPSSSIPITTDSLRGLSKHRHMLASVPGGAGTSLQGAQNGEVHVNVVSLGVGSEFATSLFAGDGAELPCTVKLRIWRHNIDNPCAVLAPEACCLTISHAVLCSEMGTHFSPCGRFLVACVACLLPQTEVGEHVSQSPVQYDSTGAGTSPTRHPLPSRRVIYELRVYSLEEETFGTVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSLLRGIFMDGKTTIPVYTVLEVYRVSDMELVRVIPSAEDEVNVACFHPSPGAGLVYGTKELISTDSYAQ >ONIVA09G04560.1 pep chromosome:AWHD00000000:9:6161676:6164768:1 gene:ONIVA09G04560 transcript:ONIVA09G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding family protein [Source:Projected from Arabidopsis thaliana (AT1G76570) TAIR;Acc:AT1G76570] MPPPLLLRLRSPAPPAAGSLSRRRRRRAGLAPVRASWQELAGVLVFSAVPFTAVKALANSPLGARLRRRLDDRKAAAAAEADALRSAARQARTASSWYGDERPRWLGPVPYEYPAHLTGEYPGDYGFDIAGLGRDPVAFANYFNFEILHCRWAMLAALGVVVPELLDLFGVVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGLYLPGDINYPGGALFDPLGLSKDPVAFEDLKVKEIKNGRLAMVAWLGFYIQAAVTGKGPIQNLVEHLSDPLHNNILSSFV >ONIVA09G04550.1 pep chromosome:AWHD00000000:9:6156750:6157970:1 gene:ONIVA09G04550 transcript:ONIVA09G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10630) TAIR;Acc:AT3G10630] MAPFASGGGYCSEAWSYVASLEEHAADAAAANFTLAIAHHGDLESPEFWLGLPEESKNMAYRLATARCELSRAVVVCHSEPGAWYPPMYESLPCPPTGYDEPAFVIGRTMFETDRVSPEHVRRCNQMDAVWVPTEFHVSTFVKSGVDPSKVVKVVQAVDVGFFDPAKHAAIPLPIGVPVMVPDDSRLDPVNSKGKGFVFLSVFKWEQRKGWDVLLRAFLQEFSGADDVVLYLLINAYHSDTDFDRKIRSFVKDSSIEKPMDGWAEVRLIDEHIPQSALPRLYKAADAFVLPSRGEGWGRPVVEAMSMELPVIVTNWSGPTEYLNEENGYPLDIDRLTEVTEGPFKGHLCAEPSVDRLRTLMRHVFSDREEARRKGKKAREDMVERFSPAIVATIVADKIQQALAST >ONIVA09G04540.1 pep chromosome:AWHD00000000:9:6148935:6149522:1 gene:ONIVA09G04540 transcript:ONIVA09G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRSAHVDAAVIAAAALLLLAATAAEGAVSSWESNSDEDYHIFVDNRMSDNMHLSCYAVQGGGRSEFYHSFRADPGREVQLPYLQPAPNARLVCKWACAGNYLKGVTLFSSSWREATSGECRRRGGGCNVVFDGHEMFVDGRSGGGGRRLLGDLPQHECQKMLLVFNRRCWFKSHRHPYVGRAMNGLTDYLMA >ONIVA09G04530.1 pep chromosome:AWHD00000000:9:6142953:6147923:1 gene:ONIVA09G04530 transcript:ONIVA09G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) TAIR;Acc:AT2G31340] MAAASAGAAGRRRAWRVIPRPVLETVLHNHALRPRVPQPLILHGPRGVGKSTLLLRRLLPQWSEPPHAAAFVDFLRPGPDAPWSLLLPAAEGAAPSLPDLRLRLESALEGLARDAVLRGAVGSKDVLAALSRSHGLHTALTRLAGPAARRGGRGGGGYPVPTLWARAVLAASSSARGDDSTFCIGEGEATNCSMEEKAYMQEAMAALRVAKEVLRMQEGWRKEAVREMNRTGRFSRPLANSATDWPCLLLDVLSGAAEVDFFQPKLVLNNVDVLRKATCKDDTMVPAAMYHDSLIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISCETFGWTPQEAKLHMVPEFFSEKEVWKVVDEVLGTNPRQLSEIYMLKQNADSTGVLHDQNIEEIIDIYLAHLQVSVVNPAMEAALGMVQKFASDVREGKVPENRLSFGAPWRHPPRGGNPDASYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEIFDDPAALAMSEACSAEVTIELSRINSILMATCDTWAKLSTLDERSRLQVEISMEQDRSPLSILALHMQLT >ONIVA09G04520.1 pep chromosome:AWHD00000000:9:6124258:6126266:-1 gene:ONIVA09G04520 transcript:ONIVA09G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALELEAIRWGTAKLQGAGKRHPPLPLARPSSAEAASCRGTGVTAGQVAPRRTSSQPSSDLKKCSMIAAFIAEPVMGAGGVIAPPKTYFEKVTRITC >ONIVA09G04510.1 pep chromosome:AWHD00000000:9:6100870:6101634:-1 gene:ONIVA09G04510 transcript:ONIVA09G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKGDHEWMRNSLLDVGAELGTFVWDHLSREIAKPYKAEDEALSEQLDKSAAVMAAIAALIFSTALNIFFNVESVYHNAANIAIQENHQANVVKTLTGFSLVGSAAAIVLCGLAGFPILHARLRMPGLILGLYILIISFVCTLMALAARLHLASTPLASAFTWSLVCISGLCVVYCCMKASNLFSRFDVHVRARYNRLGFVAFCRSLFKHSSLHSTVPIIQVGVYVELTILIAAIVFLWTLVGINVTPYVFH >ONIVA09G04500.1 pep chromosome:AWHD00000000:9:6095044:6095787:-1 gene:ONIVA09G04500 transcript:ONIVA09G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAAEDSVPLLLSSSDMSRSATSPSSSSTSALLLSHQLWYRGKLASWDSLAVKHKRRASRSATSPACSSTTQCLSLICKSRSVIWSLGTSRENQCHIAESASLDAILDFLESVTEEYEMKYVWMHPEQRYTLIRVKKRGYWEPLDDPNPRKSNGKRSATIINASSFF >ONIVA09G04490.1 pep chromosome:AWHD00000000:9:6085291:6086793:-1 gene:ONIVA09G04490 transcript:ONIVA09G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADADACPAVFASRHPTEQELISSYLHPRLLLTTTKPAAAVAAGGVPSFIHHADAYAADPADLTARHLPARAADGSRAWYFFSPVRTTTERGTRRARAVESGDGCWHSESGVRAVVDAAGRRVGHRQFFSFVKKREEDGKRVRTGWLMVELGVDNDAASASSSNELVLCKIYMTPRMPPPSPPSAVTSSAAATMELMPRAPPPSAPSAVTSPAVTTMELMAGGVHKRRKISDEIAAAATPPHPQQQRRQRCVPDNDGSKESSGESSYVVILDDDDDDADAPEDGGAVRSKLRSDDGVMLADARDDEQHAATSDSMAGTSGGAVTGGGHGKLLPDLNVVATVAHDDEGRHARGAPRPQDGGTSTTTTMVASAGAERGSTTGHLPAATAGYRRTLMLFLEEEDDDAVEDEQQQQQAPPLPPATSTATTTTRTAAEANVQRQRQPPCCTFVVHPCAAHAKMRHGAAYGCGCRVTGAVRRGGYHLPRRAVHTTTTTTTTTGQ >ONIVA09G04480.1 pep chromosome:AWHD00000000:9:6056437:6068330:-1 gene:ONIVA09G04480 transcript:ONIVA09G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEYQTMPSSAHYKRYSGLSNGGDKEQLPSQESEVPLTTRFDGNAMQVDGLLKNVSPTTKIVVKPNEELKSPFLVKRHLFLKRPDPIILDELYSLTTKVTDEESRQSVWVSGNLPINLKLVDIQETIKPEGVMGINCMDLAVRIMARQDVEIFKNTKCLGWRHYVDSNWKQYINDPNNLRNEYSRLSTMYDPSGSHLVLIPVSSDGHWTLYAFNMHDKKLCILDSRRDTSEGGDQDPVKRHEKIRKEVCHALNETMDVDFNFLSWKHEFPKVPRQQNSCDCGFFVFNFMRLWDGHRLIRWFSTETKELRKNFLAYILSSLDDHSVLPTNVSELIKKLPGETMMNVELLEAARAGNANAFCELVIDPARSINHEPFRSASCSCLLFRSTSSGSYCLCFECTSSRNSDQEKSPNKHNALTRSDAPSTFTRDTRDMLHAIQGVTVEGDGVLHIAASFGVLEPTCLHEAVRHGHEDVVKYLVSKDADLGDVPLPLVQIVDNEGTSPLYLATTLRRDSIVKVLTEAAPSGMPRAASYSGPAGKTALHAAVLFSAELSRTLVNWNHSLIKIRDESGSTPLHYLADGKYTTEPSCISVTELLLKKDPSSGYCEDSEGSLPIHIAAANGTLGIIDQLIKLCPGCESSCNASGQTILHIAVQTESHDVVRFVCSNEMFKMVLNMKDYDGNTALHLAVQKGHNKTFGILMGCKNVSLSIRNRNGYTPLDHAVLNKTSGLTYATYWPGHQRWVCNSLLAAGADFGTFRADHLSSKIPEQAKADREAFSDTLSKSAAVMATCAALLFNAALNIFLNVQAIYHNNNTSSNNSNASQGSDQLKLIQKVKKLSGDSLSISACAILLFAIAGFPILPGVIGRTFALILGLGVLIGSSMISLQALAARLDLAKVYGTGIGAFCVIFSLLCVTLCTNLLRKIVQHARPLWARCGARGFFRSILNVRRAQNYSAIPLLQVVNLKTVEEITEWELRGGKGDGTFFFLNGEVEGKRMYTNGLMGGEQWGSSRLMGFLGPAQNYSAIPLLQVCALMEVLLLTCLVMSSSIEIVTKFFFLYHYN >ONIVA09G04470.1 pep chromosome:AWHD00000000:9:6040551:6044286:-1 gene:ONIVA09G04470 transcript:ONIVA09G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHEPSGLLNSDDIPSEGISHCKIFQSCVRLLKGGCSLRSGGSLPSSSMEIQWVGGSEVAPPSVSTGGNTELVEQGNDRVPAMTKIVVEPNAELQSPFVVKHHLLLKRPDPSILDELYSLTMAFTDSESKQTDWVSIKHPSFPIRLKLEDIQETVKPGGLMDTDCLNLAVRNMATEDAENFKNTECLGWRHYVKSDWTQLVASPTNLRIAYSCESTLYDASGSHLVFIPVLHCDHWTLYAFNMCDKKLSILDSLPDASEGGQDRLKRHEEIRKIVCGALNKTMDVAFCFFSWEYEFPKVPRQQNSYDGGFFVFNFMRLWDGHRLIRWFSTETMDMRKSFLACILSSKNNDAAMPTNVSELIKTLPDCKSSCRSDEQENQIMRSPLRLDATSTVTSDRGPPEPYSIRGVTVDGDGVLHIAASFCHFELAKSILEGQEDKALIVMLLQENKRGDRPLHCAAATESKEMVQLIVERAKCITEPSNFTTSLLRARNLEGQTCLHKAILLGHTEIVKYLVSQDEGLAQIVDNEDISPLYLAIALRRGDIVQELTIKASCGPAGAVSYCGPAGKTVLHAAVLFSEGTDAISSFVVKF >ONIVA09G04460.1 pep chromosome:AWHD00000000:9:6006001:6015068:1 gene:ONIVA09G04460 transcript:ONIVA09G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIPAAPATMNPKLLMAARYGDIETLKRLLAVNTAQPPPQVVLQVDRPAAAAPSAAANTLLEGVTSEGDSALHVVAAAAVAAACGEDDDDVFLDCAGVIHGAARHLIRARNSNGDTPLHRASRDGSVNMVRRLIAMAKDEAGDDDHDDHDDGGERRRQRAAVELLLRAQNKRGETALHEAIRSNSRDLVVDELLSHDPELARVPGEEGGTSPLYLAISLRRFEVAKKLHERDEQLSYSGPQGRNALHVAVLIGKGPTEMILGWNGGLAKQGDEKGRTPLHFAASTNRLSMRAMVKLLLEHDRSCVYQPDDEGSYPIHVAAALGGVAGLFAVRLMIEFCPDSAGLRDGTGRSFLHVAVDNLCPSVVALARFSPGLRSAVMNMQDGNGNTALHQAVHVCDIMIFFFLLIDRRVLLDVKNNMGYTPRVEKEERGELSTIYKDAAQNLTIGAVLIVTVTFAATFTMPGGYVSSSDDDGERRGTPTLAGTCAFDAFVVANTLAFMLSGMATFSLMYAGYTPLDFAFRERCVKLSMGLLHSSVRSVGAAFLTATYVMLARVAPKLVIAVYAAAAVGLVYINFEVWMLGWMTLALLSRGDILAALIVGLQTVAVAFWFSWPFAVIFVLPLILKGH >ONIVA09G04450.1 pep chromosome:AWHD00000000:9:5989792:5992790:-1 gene:ONIVA09G04450 transcript:ONIVA09G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEGSSSRRWALGDPDVVEVSPEAAAAAHHRIGFCSDSTKSIVVAGCVRTVAHEIIDLDANDDPDGVMIICEKASSHKSNHSVSHPSDWPKHPKSGLAEDVPGPSHQPGTSTVLPWGCTTPGRPRKHKEIKIVDNKIDEKYKAFKQFDTVTDHSDHYYSMSGKGNVPEVKKPSKDWVRRIQHEWKVLEKDLPGTIFVRVYEDRMELLRAVIVGPAGTPYHDGLFFFDVYFPSQYPKKPPLVNYRSGGLRLNPNLYDSGKVCLSLLNTWSGHGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAASANTPQGEKMSMAYNESTFLLSCRTMLYSLRNPPKHFEDFARVHFCKYGRNILVGCKAYMNGAQVGCLAGNGVQDVDEGDKSCSANFKGSLKTLFDELIKEFTRIGVHCHEFQPQRVNPGSTRAKADTTLRL >ONIVA09G04450.2 pep chromosome:AWHD00000000:9:5989792:5992790:-1 gene:ONIVA09G04450 transcript:ONIVA09G04450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEGSSSRRWALGDPDVVETVAHEIIDLDANDDPDGVMIICEKASSHKSNHSVSHPSDWPKHPKSGLAEDVPGPSHQPGTSTVLPWGCTTPGRPRKHKEIKIVDNKIDEKYKAFKQFDTVTDHSDHYYSMSGKGNVPEVKKPSKDWVRRIQHEWKVLEKDLPGTIFVRVYEDRMELLRAVIVGPAGTPYHDGLFFFDVYFPSQYPKKPPLVNYRSGGLRLNPNLYDSGKVCLSLLNTWSGHGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAASANTPQGEKMSMAYNESTFLLSCRTMLYSLRNPPKHFEDFARVHFCKYGRNILVGCKAYMNGAQVGCLAGNGVQDVDEGDKSCSANFKGSLKTLFDELIKEFTRIGVHCHEFQPQRVNPGSTRAKADTTLRL >ONIVA09G04450.3 pep chromosome:AWHD00000000:9:5989792:5992790:-1 gene:ONIVA09G04450 transcript:ONIVA09G04450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEGSSSRCPPLHTPRSTRGRSLLGSRGGRWAIRMSSRCRRRRRRRRTVAHEIIDLDANDDPDGVMIICEKASSHKSNHSVSHPSDWPKHPKSGLAEDVPGPSHQPGTSTVLPWGCTTPGRPRKHKEIKIVDNKIDEKYKAFKQFDTVTDHSDHYYSMSGKGNVPEVKKPSKDWVRRIQHEWKVLEKDLPGTIFVRVYEDRMELLRAVIVGPAGTPYHDGLFFFDVYFPSQYPKKPPLVNYRSGGLRLNPNLYDSGKVCLSLLNTWSGHGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAASANTPQGEKMSMAYNESTFLLSCRTMLYSLRNPPKHFEDFARVHFCKYGRNILVGCKAYMNGAQVGCLAGNGVQDVDEGDKSCSANFKGSLKTLFDELIKEFTRIGVHCHEFQPQRVNPGSTRAKADTTLRL >ONIVA09G04450.4 pep chromosome:AWHD00000000:9:5989792:5992790:-1 gene:ONIVA09G04450 transcript:ONIVA09G04450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEGSSSRCPPLHTPRSTRGRSLLGSSLMRFFVRFGGVAMRCWGVFGRRRWALGDPDVVEVSPEAAAAAHHRIGFCSDSTKSIVVAGCVRTVAHEIIDLDANDDPDGVMIICEKASSHKSNHSVSHPSDWPKHPKSGLAEDVPGPSHQPGTSTVLPWGCTTPGRPRKHKEIKIVDNKIDEKYKAFKQFDTVTDHSDHYYSMSGKGNVPEVKKPSKDWVRRIQHEWKVLEKDLPGTIFVRVYEDRMELLRAVIVGPAGTPYHDGLFFFDVYFPSQYPKKPPLVNYRSGGLRLNPNLYDSGKVCLSLLNTWSGHGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAASANTPQGEKMSMAYNESTFLLSCRTMLYSLRNPPKHFEDFARVHFCKYGRNILVGCKAYMNGAQVGCLAGNGVQDVDEGDKSCSANFKGSLKTLFDELIKEFTRIGVHCHEFQPQRVNPGSTRAKADTTLRL >ONIVA09G04440.1 pep chromosome:AWHD00000000:9:5967088:5981146:-1 gene:ONIVA09G04440 transcript:ONIVA09G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAVTSPLPPAAAVRRRPRASASGREVISQCWKCEINQDQPLGNSLRIGHSQGSLQRHGSRNLLAAAAAISIEQAEVSTYLPKGDMWSVHKFGGTCMGTPQRIQNVADIVLGDSSERKLIIVSAMSKVTDMMFNLVHKAQSRDNSYVTALDEVFNKHMAAAKDLLDGEDLARFLAQLHSDISNLRAMLRAIFIAGHATESFSDFVVGHGELWSAQMLSYAIKKSGVPCSWMDTREVLVVKPSGSNQVDPDYLESEKRLQKWFSRQPAEIIIATGFIASTAENIPTTLKRDGSDFSASIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNLSAPGTTICKQPANENADLDACVKSFATIDKLALVNVEGTGMAGVPGTASAIFSAAKDVGANVIMISQASSEHSVCFAVPEKEVAAVSTALHVRFREALAAGRLSKVEVIRGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAVHSRFFLSKTTLAVGIIGPGLIGGTLLDQLKDQAAVLKENMNIDLRVIGISGSRTMHLSDIGVDLNQWKELLRKEAEPADLDSFVRHLSENHVFPNKVLVDCTADTYVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSNVIILARESGLRLELSDIPVKSLVPEALRSCSSADEFMQKLPSFDQDWDRQRDEAEAAGEVLRYVGVVDVANRKGRVELQRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >ONIVA09G04430.1 pep chromosome:AWHD00000000:9:5962582:5966163:1 gene:ONIVA09G04430 transcript:ONIVA09G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IHJ9] MAFWGVEVKAGKPYTHRHDPSHGRLRICQATLGSCDSATRTIVQCNVGSKTPIILCSLNPKLAEMCHLEVELEEDDEVVFSVLGQSSIHLSGYYIRSSGRSNAGDDESESYGEDVGESDTDEEFNASDDSYESDFIDDGDVEVSEDKSRSDSVDDGDACSTPDHHKKKDKVQKRRRLKKKHPADSSDDNNDDSSHRPVVRRKAYSMFDSCSEDEDNMSVPVSLAKKENTKDVDETKYPNGELNDDTTKKSNGAKKRKGDAISQDHAPLMDLTNADEPLVSKEGRTKKKSKKKGGKQLEVGDGKHSNKIRTLEDGLIVEDLSTGNLDAEMASNGSKVSIKYVGTLQDGKIVESNVGEKPYKFKLGMRVGDKRKLTVPPAMCYGSKAIGEVPKNSSIIYEIELVKVRSKSTS >ONIVA09G04430.2 pep chromosome:AWHD00000000:9:5962582:5966302:1 gene:ONIVA09G04430 transcript:ONIVA09G04430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IHJ9] MAFWGVEVKAGKPYTHRHDPSHGRLRICQATLGSCDSATRTIVQCNVGSKTPIILCSLNPKLAEMCHLEVELEEDDEVVFSVLGQSSIHLSGYYIRSSGRSNAGDDESESYGEDVGESDTDEEFNASDDSYESDFIDDGDVEVSEDKSRSDSVDDGDACSTPDHHKKKDKVQKRRRLKKKHPADSSDDNNDDSSHRPVVRRKAYSMFDSCSEDEDNMSVPVSLAKKENTKDVDETKYPNGELNDDTTKKSNGAKKRKGDAISQDHAPLMDLTNADEPLVSKEGRTKKKSKKKGGKQLEVGDGKHSNKIRTLEDGLIVEDLSTGNLDAEMASNGSKVSIKYVGTLQDGKIVESNVGEKPYKFKLGMRVGDKRKLTVPPAMCYGSKAIGEVPKNSSIIYEIELVKVRSKSTS >ONIVA09G04420.1 pep chromosome:AWHD00000000:9:5959760:5965830:-1 gene:ONIVA09G04420 transcript:ONIVA09G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQKLMMGGACITIINRVRSGLILRYFNITIINKKHSRTITAIIRRREGPDEPGKCGGGHVRDRGGGCRASQPSPPHLHRQTHALLGRTPTTTTGIRRQESGGGLPQNAIGDSEGKGRRADAEGNGRCGRRRRWLARSLRRRRRRVVVSRRQRVGRRRSRLEIGCLISPSPPLLARLSEGGDGGGGAESGGGGSGGSPPSQIWPEGEGGGGRTAAAEGGRCGEWRGWRFPSLPDLAEGGGGRAAPVSRMEAMTAIWPKGGGERAVVARRVWRRAAEAGVGAESRGSGAGGSPPSQIWPEGGGGSTFSSTVEVAVEGSGGKLEVEVAAGPSSGGFPLIPPSRRWWSGSRQRLPPSQI >ONIVA09G04410.1 pep chromosome:AWHD00000000:9:5947219:5949539:1 gene:ONIVA09G04410 transcript:ONIVA09G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDTHVVVAATIARETLDNEVDNDHGHSCNVNGFPRKPDSNKGLPSEEEDDDEGKGDNRFDRIAALVPNHVLGLLLDDDHDRPLLHHDPRHLVCMVLHYVLHYISYPECQTEETNDGEAPKVLTAASLQYFLVQVMNELG >ONIVA09G04400.1 pep chromosome:AWHD00000000:9:5931896:5934759:1 gene:ONIVA09G04400 transcript:ONIVA09G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPLFSNRRNIGSQTDDSTAVRTVAATGDDSNIGWLDRSYYIAPHPCVRDGVASLATTDMQHLHGDVLESVVERVPAPDLAAAALVSREWLRAVRAALRRRMLRLPWLVVHVIHLRGQRRLAAAYDPRSGAWLAVPTAPPARHGATSPPQPHSHVRLMRGASGDRVCALSLSGLAVARDALGMDDDALVVALKAPGVWRVDPLLAAVGDRVVAMGGACRLALGDGEDTSAVEVYERGGWTHCGAVPAALRESAAAAATWLSTAATDQRVYVADRATGTASWFDPAKQQWGPTSRLRPDAAVSTWGLAAGRAGAEKIILFGVKHADSRVVIRSWEVDGDSLSLSHGAAAAHDTMPSEMSERLFPHGDDGEEETSSPSIGVCGNTAGGYVYNAAVPATGAVLYELRRGGVEGGGVERWAWVACAPVVAEAEALGRVILACSPVGLHELADERLAH >ONIVA09G04390.1 pep chromosome:AWHD00000000:9:5887815:5890282:1 gene:ONIVA09G04390 transcript:ONIVA09G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSKVDHALAKAAQKKNSPKGHPTKKHGGSPIEKTRASWNPALEKILVELLHEHNTLEYRGQNGWTSEAWNKIVKEFHEKDRYVCLTKSQIQEKEKELKREYRMLKEARKQSGASWNNQRCIIEAEPAIWNNIIISFPKAKNFRTKSFPLFEALGELYDDYEDTLAYQVQDDADATKDDNANAERLKEMPHRRVVAVPRNKEEKNPRGKRRVLA >ONIVA09G04380.1 pep chromosome:AWHD00000000:9:5858668:5859124:-1 gene:ONIVA09G04380 transcript:ONIVA09G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGRVSTDCVGHIQLRWRWRGGLGSDDGGAESWQRAEHEWRRHATVCSGSGGSSGFVF >ONIVA09G04370.1 pep chromosome:AWHD00000000:9:5835901:5836269:1 gene:ONIVA09G04370 transcript:ONIVA09G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLPTVGSRRLPAHRRIWPTTHRFGHRGLSPDRQPLPPPPPHHQPLDPATAASPPTVGHFLLRHPTANHGSGHRDFSLDRRPLLPALQHRQPPDPATTTSPPIVGRFLLCHCTANRQILP >ONIVA09G04360.1 pep chromosome:AWHD00000000:9:5834522:5834953:1 gene:ONIVA09G04360 transcript:ONIVA09G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRMSLVGAAMLALLYVVASFQGASGATSGGPPSSSGARTPTSGGSPGGSGTRSSAASTSSARAGSTPAGVRGSPAGAGGSPGGGSGSTPARGGRSFAGGGSADDGDDGTGISSSGGRMLSRSYDVEHFVIYFMLVVLAAF >ONIVA09G04350.1 pep chromosome:AWHD00000000:9:5795905:5800635:1 gene:ONIVA09G04350 transcript:ONIVA09G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGGGRATRRGQGTAPRRAAPALPRSSCDAPPPRREGASDPAPPLAPSLTPNPNPAMAPLLPAPLLLPLVPHPREQEQQENAEEGEEQRPRPTPTTMEKKVKTETQVPYESIEVSRPQDVDGSIETPRFLQDRKALQHRSVTLQFPAID >ONIVA09G04340.1 pep chromosome:AWHD00000000:9:5788615:5789687:1 gene:ONIVA09G04340 transcript:ONIVA09G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVIMALVAMFAIFSTCHAVSTTNCIALYCITESRLHCFKEIAKVLPVLKSVALIKMILTLLVEVEATTAGVVVVAVADSLAMVTVVAVSLVVVKVVVLVPLVVGAEGLASAGGGRGGGAGGSHRGGGGGRGGGLPGRGHGSGGGGGSSGGGHRSLPGHGHGGQGMNQDGDVS >ONIVA09G04330.1 pep chromosome:AWHD00000000:9:5713173:5713676:1 gene:ONIVA09G04330 transcript:ONIVA09G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRMRLVGAAMLALLYMVASFQGASGAAAGGSPSSGGGRSSGGSSSSGSSSGGSGTRSPVGSASPSSGRNPATTGSPGGVRSPATARAGSPGGGTGATPAGAGSPGGGRSPVGGGTIPAGAGNQGSGSWSGDYGSRSSDGRGRMLCRPYNIEHIAIFFMLVLAAF >ONIVA09G04320.1 pep chromosome:AWHD00000000:9:5682247:5682714:-1 gene:ONIVA09G04320 transcript:ONIVA09G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSIRGVIRHHLAWLLLRINKHQKLIQSKMMSSQTQRHSITTALGRLDLAAQPRLDVRPPH >ONIVA09G04310.1 pep chromosome:AWHD00000000:9:5669243:5682139:-1 gene:ONIVA09G04310 transcript:ONIVA09G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPSTPSPNHVAKLSANSAAYRQHDEQSGAKKAAWVVSPNGQVKREKAVEAARGEGAAAGAGEEEEKRIDLAVLLRGGALGVQQLPWRRPGKQCHACGGAAKILCPNDLFGCWSYVAYYDVAGHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADMWLVSIWSGVKWTGKPHYLNCFFIPMIVEIAAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDNYRDINPFIKCDCTFNNNTLCHITRLNLFRNYLTGPIPSFIGKFTSMQYLSLSFNPLSGLLPKELGNLTNLLSLGISSDNFTGSLPEELGNLTKLQQLYFDSSGFSGPFPSSFSKLQNLKILSASDNVFKGKIPAYLGTMTNLEDMFLGNNSLTGKLPDGIWWQTTSYSIPPVKDYSFAVDCGSNASIRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQRPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSSYAWSSNPELSRQKEITEVSLQVR >ONIVA09G04310.2 pep chromosome:AWHD00000000:9:5669243:5682139:-1 gene:ONIVA09G04310 transcript:ONIVA09G04310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPSTPSPNHVAKLSANSAAYRQHDEQSGAKKAAWVVSPNGQVKREKAVEAARGEGAAAGAGEEEEKRIDLAVLLRGGALGVQQLPWRRPGKQCHACGGAAKILCPNDLFGCWSYVAYYDVAGHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADMWLVSIWSGVKWTGKPHYLNCFFIPMIVEIAAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDNYRDINPFIKCDCTFNNNTLCHITRLNLFRNYLTGPIPSFIGKFTSMQYLSLSFNPLSGLLPKELGNLTNLLSLYFDSSGFSGPFPSSFSKLQNLKILSASDNVFKGKIPAYLGTMTNLEDMFLGNNSLTGKLPDGIWWQTTSYSIPPVKDYSFAVDCGSNASIRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQRPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSSYAWSSNPELSRQKEITEVSLQVR >ONIVA09G04310.3 pep chromosome:AWHD00000000:9:5669243:5682139:-1 gene:ONIVA09G04310 transcript:ONIVA09G04310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPSTPSPNHVAKLSANSAAYRQHDEQSGAKKAAWVVSPNGQVKREKAVEAARGEGAAAGAGEEEEKRIDLAVLLRGGALGVQQLPWRRPGKQCHACGGAAKILCPNDLFGCWSYVAYYDVAGHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADMWLVSIWSGVKWTGKPHYLNCFFIPMIVEIAAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDNYRDINPFIKCDCTFNNNTLCHITRLNLFRNYLTGPIPSFIGKFTSMQYLSLSFNPLSGLLPKELGNLTNLLSLGISSDNFTGSLPEELGNLTKLQQLSASDNVFKGKIPAYLGTMTNLEDMFLGNNSLTGKLPDGIWWQTTSYSIPPVKDYSFAVDCGSNASIRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKQKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGPVYKGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQRPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSSYAWSSNPELSRQKEITEVSLQVR >ONIVA09G04300.1 pep chromosome:AWHD00000000:9:5657529:5657883:1 gene:ONIVA09G04300 transcript:ONIVA09G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSTEHFASLSYGLCARKLVELRGWSGRCPGSGSLDGGSVRHSVEQQTSRRGFAFGPVSLGQPRATDSDLSSPRRPPSPADRPVNVERWGIRVVVLTG >ONIVA09G04290.1 pep chromosome:AWHD00000000:9:5638473:5655895:-1 gene:ONIVA09G04290 transcript:ONIVA09G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIEMANGTSQDDNIEGNFKLLWSLRKYLILLGTIAVGVTYNAGLTPPGGFWTLNKDKHQAGNPVLPVGYFQRYEVFFYCNATAFAASLVLIILLLSKSATKHVLWLRSMQFTMILDLFSLMGAYAAGSCRALKSSIYTWILVFAVFLYVGVHVLVFMRVIPDKLKEMIQKLWGVHDRQSDRHQDKDVEDARKFILILVTFTATVTYQAGLSPPGGFWAENEYDPLSKLPPAFPPYKHQPATSVLRSNYLDRYKLFVSCNSTSFVASLVTVILLLSTELSKHGIRSKAVIVCVVADLLCLVGAYAAGCCRDVATSFYVMSIIMIVLICFALLVGIFAYKPVAIWLQNFKKVSLRCVSATGWMLSSSSRSNGFSNGDHNHDTEIVGTNDDTEPVANGHIHSNQAAPIQNVNGNQIEEHLNKARKNLLLLAILAVSLTYQSGLNPPGGFWSGNEFRHADGDHILEEYHHSAGDRILEDTYHSRFIAFFYLNAVAFVASVVMIILLLNKVMIMKVTKQCTLQIVMIVNLLSLTGAFVMGSCREANKSIYISVLLCLVLAYVLVHVLIAIHVLCGMASPASSVSPQNRTEDTKELGRRRNLLLTLSVLAATVTYQAGMNPPGGVWSDDKDVSGKPGNPILQDTHPKRYDVFYYSNSLSFVSSVVTTILLVNKESCEHGIKSHALRVCLVVGLVGLLIAYAAGSCRKAIQSIYLIIIAVAVLISVVIQVFLLSSTNSNTLQTFLSIRDVNQDSSSGPQESTDPQEKKERKRKKYLMLLAVLAASIAYQAGLNPPGGFWPDDGGHKAGNPILHDVNHRRYKTFFCFNAFSFMSSIVVIMLLLSRTIREKDVHIDVLYLIMILDLLGLMTAFAAGSCRRFRTSVYVYGLWNCKISEIEEVVVFDEMLARAELPAVRPREDARTLSSSAIPNARGSLRVAKRKGTWDGIDAHNSHQDIAKYCMIFERGHKLEIKRMHVYEDLCKNKMMGHRPLHSNCWRLPAFAVHDCDVQPSAWQIGERF >ONIVA09G04290.2 pep chromosome:AWHD00000000:9:5638473:5645161:-1 gene:ONIVA09G04290 transcript:ONIVA09G04290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVSDMQPHWGSESIAEADGGHPQSEIVESNSLPTPESRDDGQMADEAADIESQQDSKMVEIKDQDSGNSSSRSASLNSHEVRIKTDFVFLWRLRKYLLLLAVLAVSVTYNAGLSPPGGFWTDDSPVHHAGDPLLPSKFFQRYEAFFYCNATAFAASLVLIILLLSRGVANQHLWLRAMQVTMILDLFSLMGAYAAGSCRALKSSVYILVLVLSVFFYVGIHILVFIRVVPKWLKEGVQTFMHQTVQKLQRMLKQVLTICHLPKKQRSNQNDKEEIEEARKFILMLSTFAATITYQAGMSPPGGFWAENSHGYRPATFVLRRHNLRRFNIFTCSNATSFVASLVTIILLLSTELSRHGIRTQALFVCVIAELFGLIFAYAAGSCRDVATSLSVIFIIVVVLICALILVMFFQSRTVTIWIDNALRPRFDHFLEMLSWPRENRLSDGNREGPLSSSRQDTDHGNLGDQSTEDVKSAPTNDLESIKDSIPNMANQLHDQKDNLAIATVHSSSADVPSRKGPLPEQVLSEPISALGDRTVSADVPDTEHNIAKCQRDHEEQTQELSGHHDSSEADGEVRKSEDGIVSNNDGTRDKGRISGDSEKNPDDVRLKKSRTYLLLLAILAVSLTYQAGINPPGGFWTSNTPSHSAGDPILEDNYHKRYLAFFYFNAIAFLASLVMLIMLLNRKMSNKVIKRRALQTAMITDLLALLGAFVVGSCREKTKSIYISVVIFFVAVAYTFLHVLASKYAVPEQWKQLFKRRQDVLQEHHVDNDAKDAHEKDLERRRNLLFILAILTATVTYQAGLNPPGGIWPDGSGKPGNPVLQDSHPKRYDVFYYSNALSFVSSVAVIILLVNRESCEHGIKSYALRVCLIAGLLGLLIAYSAGSFRKVKSIGYLIIITAAVLICLLIQVLVLSSTNDALEPPARSGRWLQKIFGLADSQKSLASPGQSKNESDKSDPLINEKKEKRHKYLMLLAILAASIAYQAGLNPPGGFWSEDSRDGYKAGNPLLKDIHSRRYMVFYVSNSISFMASIAVIMLLLSKSVRKNKVPLQALFLIMILDLLALMTAYAAGSCRKIRKVKENDCSCVDFLHFSRTLSSSAIPNARGSLRVAKRKGTWDGIDAHNSHQDIAKYCMIFERGHKLEIKRMHVYEDLCKNKMMGHRPLHSNCWRLPAFAVHDCDVQPSAWQIGERF >ONIVA09G04290.3 pep chromosome:AWHD00000000:9:5645168:5655895:-1 gene:ONIVA09G04290 transcript:ONIVA09G04290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIEMANGTSQDDNIEGNFKLLWSLRKYLILLGTIAVGVTYNAGLTPPGGFWTLNKDKHQAGNPVLPVGYFQRYEVFFYCNATAFAASLVLIILLLSKSATKHVLWLRSMQFTMILDLFSLMGAYAAGSCRALKSSIYTWILVFAVFLYVGVHVLVFMRVIPDKLKEMIQKLWGVHDRQSDRHQDKDVEDARKFILILVTFTATVTYQAGLSPPGGFWAENEYDPLSKLPPAFPPYKHQPATSVLRSNYLDRYKLFVSCNSTSFVASLVTVILLLSTELSKHGIRSKAVIVCVVADLLCLVGAYAAGCCRDVATSFYVMSIIMIVLICFALLVGIFAYKPVAIWLQNFKKVSLRCVSATGWMLSSSSRSNGFSNGDHNHDTEIVGTNDDTEPVANGHIHSNQAAPIQNVNGNQIEEHLNKARKNLLLLAILAVSLTYQSGLNPPGGFWSGNEFRHADGDHILEEYHHSAGDRILEDTYHSRFIAFFYLNAVAFVASVVMIILLLNKVMIMKVTKQCTLQIVMIVNLLSLTGAFVMGSCREANKSIYISVLLCLVLAYVLVHVLIAIHVLCGMASPASSVSPQNRTEDTKELGRRRNLLLTLSVLAATVTYQAGMNPPGGVWSDDKDVSGKPGNPILQDTHPKRYDVFYYSNSLSFVSSVVTTILLVNKESCEHGIKSHALRVCLVVGLVGLLIAYAAGSCRKAIQSIYLIIIAVAVLISVVIQVFLLSSTNSNTLQTFLSIRDVNQDSSSGPQESTDPQEKKERKRKKYLMLLAVLAASIAYQAGLNPPGGFWPDDGGHKAGNPILHDVNHRRYKTFFCFNAFSFMSSIVVIMLLLSRTIREKDVHIDVLYLIMILDLLGLMTAFAAGSCRRFRTSVYVYGLVICVVVYLLLVTVLSSGIAKYLRSRKEPSCIR >ONIVA09G04290.4 pep chromosome:AWHD00000000:9:5640212:5645161:-1 gene:ONIVA09G04290 transcript:ONIVA09G04290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVSDMQPHWGSESIAEADGGHPQSEIVESNSLPTPESRDDGQMADEAADIESQQDSKMVEIKDQDSGNSSSRSASLNSHEVRIKTDFVFLWRLRKYLLLLAVLAVSVTYNAGLSPPGGFWTDDSPVHHAGDPLLPSKFFQRYEAFFYCNATAFAASLVLIILLLSRGVANQHLWLRAMQVTMILDLFSLMGAYAAGSCRALKSSVYILVLVLSVFFYVGIHILVFIRVVPKWLKEGVQTFMHQTVQKLQRMLKQVLTICHLPKKQRSNQNDKEEIEEARKFILMLSTFAATITYQAGMSPPGGFWAENSHGYRPATFVLRRHNLRRFNIFTCSNATSFVASLVTIILLLSTELSRHGIRTQALFVCVIAELFGLIFAYAAGSCRDVATSLSVIFIIVVVLICALILVMFFQSRTVTIWIDNALRPRFDHFLEMLSWPRENRLSDGNREGPLSSSRQDTDHGNLGDQSTEDVKSAPTNDLESIKDSIPNMANQLHDQKDNLAIATVHSSSADVPSRKGPLPEQVLSEPISALGDRTVSADVPDTEHNIAKCQRDHEEQTQELSGHHDSSEADGEVRKSEDGIVSNNDGTRDKGRISGDSEKNPDDVRLKKSRTYLLLLAILAVSLTYQAGINPPGGFWTSNTPSHSAGDPILEDNYHKRYLAFFYFNAIAFLASLVMLIMLLNRKMSNKVIKRRALQTAMITDLLALLGAFVVGSCREKTKSIYISVVIFFVAVAYTFLHVLASKYAVPEQWKQLFKRRQDVLQEHHVDNDAKDAHEKDLERRRNLLFILAILTATVTYQAGLNPPGGIWPDGSGKPGNPVLQDSHPKRYDVFYYSNALSFVSSVAVIILLVNRESCEHGIKSYALRVCLIAGLLGLLIAYSAGSFRKVKSIGYLIIITAAVLICLLIQVLVLSSTNDALEPPARSGRWLQKIFGLADSQKSLASPGQSKNESDKSDPLINEKKEKRHKYLMLLAILAASIAYQAGLNPPGGFWSEDSRDGYKAGNPLLKDIHSRRYMVFYVSNSISFMASIAVIMLLLSKSVRKNKVPLQALFLIMILDLLALMTAYAAGSCRKNLIKFCNPKRKRISEGSKKKRNMGWYRCT >ONIVA09G04280.1 pep chromosome:AWHD00000000:9:5626608:5627029:-1 gene:ONIVA09G04280 transcript:ONIVA09G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLGSARGKRRWEEGRSLTADETSSSSPATTDTGRSSTVEGMNSMQLCRHLEETSSS >ONIVA09G04270.1 pep chromosome:AWHD00000000:9:5622563:5624820:-1 gene:ONIVA09G04270 transcript:ONIVA09G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAMPRAPPAAPNLQSLKLCSQNDSSLETTSPSKRSALVPGRSAESSKPNSEVVQKEQKSTQHQNESIDLTGSNDPAEVKAEGNLVPKRLADEEKGVVEDGIANGSLKSSSALGKEHGIASASGSARLVGRSETGERGFSSSRCRPSTSSDVSDESACSSISSVTKPHKANDSRWEAIQMIRTRDGILGLSHFKLLKKLGCGDIGSVYLSELNGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQRGKYFPEQAVKFYVAEILLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIRSSNPDAEALRKNNQAYCVQPACVEPSCMIQPSCATPTTCFGPRFFSKSKKDRKPKPEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKDPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEVPRPVEIERPPKQPVSTSESAAAPSDAAQKSSDSYLEFDFF >ONIVA09G04260.1 pep chromosome:AWHD00000000:9:5616838:5621809:1 gene:ONIVA09G04260 transcript:ONIVA09G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESREEGGGGGVVQDGDEAGGRPGKDGGSGGAGRGRDGNNGISTWEIEEMEDEAGPASLAPPAAAAAADVYVAVGKGGSSMEALSWALRRLASPRSFVYLVHVFPVVISIPTGLGMMPKSQANPEQVETYMNQERSKRRVMLQKYLDHCRNFQVNVDVYLIESDHVADAILELIPVFHVQQLVLGVSKSNLRKFKRGNTIAGQVQKNAPLYCEVKIVCDGKEPNATVTCTRS >ONIVA09G04260.2 pep chromosome:AWHD00000000:9:5616838:5621809:1 gene:ONIVA09G04260 transcript:ONIVA09G04260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESREEGGGGGVVQDGDEAGGRPGKDGGSGGAGRGRDGNNGISTWEIEEMEDEAGPASLAPPAAAAAADVYVAVGKGGSSMEALSWALRRLASPRSFVYLVHVFPVVISIPTGLGMMPKSQANPEQVETYMNQERSKRRVMLQKYLDHCRNFQVNVDVYLIESDHVADAILELIPVFHVQQLVLGVSKSNLRKFKRGNTIAGQVQKNAPLYCEVKIVCDGKEVTTVPTADPTPPISPSPVNNKSNSISPTPLSPAPDHNNRAVADDDEKETNPNERNKIIKYLKCFSF >ONIVA09G04250.1 pep chromosome:AWHD00000000:9:5613690:5614183:-1 gene:ONIVA09G04250 transcript:ONIVA09G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFLISVQVSSVRNQRGEGQCRYERAAHNSMAAVTAPHYHHDVRVEMGSVDPAIAILFKPWVEPFLDDILREKPAWFTPENLRKFTGG >ONIVA09G04240.1 pep chromosome:AWHD00000000:9:5610531:5613005:-1 gene:ONIVA09G04240 transcript:ONIVA09G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMGEYCSNAPCKWLLDLGKGVAHDTDTHNLFDGMPSQSEMPKENQRISKPVPINSTMNKKEKWLDKALDRILEKATTVILKGASSPTPMAPPLLVHTNCLMECPNDSSSSTISRSIYINEGTAPTVILEHEDGEGKDHMPFIVIKDLPEFTPTMCSMICSSSDTKPDLTVAAVVTCATSVESSMEMVATGSTTDDTHIDTLDSTKVMPANCSTVGLDVKGGADHTRVTCRTMMGVPEGVLVPDVSSKVFSPWLMAEMNLIPLLPRSKLYLEGLPLMPPWPPLARVSFLAWEPFDIRVLVIGTVILTQEMAGLKPWPPPSLVSSLAWGMEGREVYGLAMQGHHMNSQSMELARIISKELARIMKERQLSNKELQCIFEGASPRQMCINPKALIHDGSLRSLLSKLQVHSIPNALSFTKQEHIKSLSLSQCSDIMVRFDLTWYLEVHLDSGGVLLQFLNAAALLYHRRVAQGYRSTLKLSICESISMLQVIKSIAANLIWDVEARNRLVVKKQDEDFNGNHWASFQTKMQRVLTPRLAKLCNRDNTHLTMTGVRGTRGYVALEL >ONIVA09G04230.1 pep chromosome:AWHD00000000:9:5600479:5601909:1 gene:ONIVA09G04230 transcript:ONIVA09G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IHH2] MPPPTVLNSGEPDARCRARPHVVFVPSAGMGHLLPFFRFIGTLSAHDVDISVVTVLPTVSAAEADHFARLFQDFPSIRRVDFNLLPLDASEFPGADPFLLRWEALRRSMHLLAPAIAGVSPRATAVVTDVTLVSHVNPIAKDLRLQCHVLFISSATMMSLCSYFPIYLDNKDAEADVGDIDIPGVRRLKRSWLPQPLLDLDKLFTKQFIDNGREVVKTDGVLINTFDALEPVALAALRDGKVIRGFPSVFAVGPYSSLASETKAADAESSALAWLNQQPARSVVYVAFGNRYHVSNDQLREIAAGLEASGCRFLWIVKTTAVDRDEAAGVRDVLGDGFVDRVRGRGMVTKAWVDQEAVLGHPAVGLFLSHSGWNSVTEAAAAGVPLLAWPRAGDHRVAATVVASSGVGVWMEQWSWDGEEWLVSGEEIGRKVKEMMADAGVRERAAKVGEQAAKAVAEGGTSRTSMLEFVAKLKAA >ONIVA09G04210.1 pep chromosome:AWHD00000000:9:5532802:5533987:-1 gene:ONIVA09G04210 transcript:ONIVA09G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGALIPNDYGDKPPPPPSESSEWDATTKMKKKKKRGGGGDDDWEAAFREFIAGDVDDDDDGVSMFPSGAGTMETTTEVAVVERPRRRRRVRRSYPYRGVRQRPWGRWASEIRDPVKGARVWLGTFDTAAEAARAYDAEARRIHGHKARTNFPPDEPPRPAPSQAPFCFLLDDDDDGVARGNSPASSSAPDSTSACTTSSTVASGERGDELILLECCSDDVMDSLLAGFDVSSESRSILGMVN >ONIVA09G04200.1 pep chromosome:AWHD00000000:9:5519632:5519826:-1 gene:ONIVA09G04200 transcript:ONIVA09G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVVIVVVASALSVGRREEGADGIEGIEPAAEAGRRGAGGSTASRRCEGRRRRRGGRRRRGGS >ONIVA09G04190.1 pep chromosome:AWHD00000000:9:5515102:5515516:1 gene:ONIVA09G04190 transcript:ONIVA09G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIRRAAAAPPTPIRRRGGASAAVQPEGSPEAGGSAGFGSTEAGDDDDNDEEEEAAAARSGGATGGLTLGCVRAAHPQAKVRFNRMENSFSY >ONIVA09G04180.1 pep chromosome:AWHD00000000:9:5512329:5513036:-1 gene:ONIVA09G04180 transcript:ONIVA09G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVSSSPSSSSSSSPARHHKARRSRRKLVADEDWEAAFREFLSRDDDDDDDDDDGHHVVVAPLIRSSNKCVHGHEVVASTVGGGASGGRRRADDDDGERRRRRRRERRSYPYRGIRQRPWGRWASEIRDPVKGIRVWLGTFDTAEGAARAYDDEVRRIYGGNAKTNFPPSPPPPEQPAAPVAAERSPSTTTTTTPSAEDSGDSRILIECCSDDLMDSLLAAFDMTTGDMRFWS >ONIVA09G04170.1 pep chromosome:AWHD00000000:9:5510518:5510745:1 gene:ONIVA09G04170 transcript:ONIVA09G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGGGSMRDGGGGLDARRRRLDTQQQRRARCVAAAAGSMGDDGGSLDARRRQPRCATAAAISDLEERMRRFLT >ONIVA09G04160.1 pep chromosome:AWHD00000000:9:5510053:5510334:1 gene:ONIVA09G04160 transcript:ONIVA09G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWARRGSIWPMTFGLACCAVEMMHAGASCYDLDRFGVIFRPSPRQSDCMIIAGTLTNKMAPALGKSVPSSSPRLLRFGVPVLRAGNLVFPCF >ONIVA09G04150.1 pep chromosome:AWHD00000000:9:5494772:5505297:-1 gene:ONIVA09G04150 transcript:ONIVA09G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSASPPGGLAASPPPPAAIPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTQSGFSLSPKPFFANFGAIVTFAILGTFVASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSLVRSQAAAGENFFMMVFQFLETFVGSLSSGCITAQNILNYFKGTFGIVLELDLSLLLYPFPKFYMETLFAKHERLCMLSIDQYMLAEGLGLSGIVSILFTGMVMKHYTFSNLSNNSQRFVSAFFHLLSSLAETFVAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVIGDENRSIENYDDNNGYIPPTYEEGSSSGGGLRMKLKEFHKSTTSFTALDRNYLTPFFTSQTDEDDDVFVLRNTSSGCAAAAACADQYSAATPDNSSVTFGDDEADNESHSSEGYEPEAKCWKEDADNEGSSGGMGGGAGGKPVRKPRLVVHTLSDIDINIDILDAGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERALHDTRAVITTYAGAVVQRDPAVGSANGAGAAFQRTKDKPRDDLFVESLLC >ONIVA09G04150.2 pep chromosome:AWHD00000000:9:5494772:5505297:-1 gene:ONIVA09G04150 transcript:ONIVA09G04150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSASPPGGLAASPPPPAAIPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTQSGFSLSPKPFFANFGAIVTFAILGTFVASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSLVRSQAAAGENFFMMVFQFLETFVGSLSSEGLGLSGIVSILFTGMVMKHYTFSNLSNNSQRFVSAFFHLLSSLAETFVAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVIGDENRSIENYDDNNGYIPPTYEEGSSSGGGLRMKLKEFHKSTTSFTALDRNYLTPFFTSQTDEDDDVFVLRNTSSGCAAAAACADQYSAATPDNSSVTFGDDEADNESHSSEGYEPEAKCWKEDADNEGSSGGMGGGAGGKPVRKPRLVVHTLSDIDINIDILDAGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERALHDTRAVITTYAGAVVQRDPAVGSANGAGAAFQRTKDKPRDDLFVESLLC >ONIVA09G04150.3 pep chromosome:AWHD00000000:9:5496605:5505297:-1 gene:ONIVA09G04150 transcript:ONIVA09G04150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSASPPGGLAASPPPPAAIPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTQSGFSLSPKPFFANFGAIVTFAILGTFVASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSLVRSQAAAGENFFMMVFQFLETFVGSLSSGCITAQNILNYFKGTFGIVLELDLSLLLYPFPKFYMETLFAKHERLCMLSIDQYMLAEGLGLSGIVSILFTGMVMKHYTFSNLSNNSQRFVSAFFHLLSSLAETFVAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVIGDENRSIENYDDNNGYIPPTYEEGSSSGGGLRMKLKEFHKSTTSFTALDRNYLTPFFTSQTDEDDDVFGEQPQNQRRGFYDQ >ONIVA09G04150.4 pep chromosome:AWHD00000000:9:5496605:5505297:-1 gene:ONIVA09G04150 transcript:ONIVA09G04150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSASPPGGLAASPPPPAAIPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTQSGFSLSPKPFFANFGAIVTFAILGTFVASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSLVRSQAAAGENFFMMVFQFLETFVGSLSSEGLGLSGIVSILFTGMVMKHYTFSNLSNNSQRFVSAFFHLLSSLAETFVAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVIGDENRSIENYDDNNGYIPPTYEEGSSSGGGLRMKLKEFHKSTTSFTALDRNYLTPFFTSQTDEDDDVFGEQPQNQRRGFYDQ >ONIVA09G04150.5 pep chromosome:AWHD00000000:9:5494772:5495638:-1 gene:ONIVA09G04150 transcript:ONIVA09G04150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRAGSRPVLRNTSSGCAAAAACADQYSAATPDNSSVTFGDDEADNESHSSEGYEPEAKCWKEDADNEGSSGGMGGGAGGKPVRKPRLVVHTLSDIDINIDILDAGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERALHDTRAVITTYAGAVVQRDPAVGSANGAGAAFQRTKDKPRDDLFVESLLC >ONIVA09G04140.1 pep chromosome:AWHD00000000:9:5490239:5492879:-1 gene:ONIVA09G04140 transcript:ONIVA09G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPPPPPPQPQRTDMPAAADLPPPPPPLAIPLPETTRRPRRRTREVSSRYLSSTTPGPVPSSPRLSTSSSRTPSPRAHRPRAATPFANENHPPPPPPPSTASRRRAVLKLFDDGSGGANPRASAAAAAGTPRALHRSTSGPAAAAASTARRGYPRMPTPARAASCPSSSSAAAADDAASCCSSDTGSTFTDLSEVDGIALPAAPCESPPLLGPASCRGGRLSSELRSSVPESGGSVRALNPLCYRSLNSALSGCPAPAGKAAVNAARPPQPHGVKAAESKKVAMIGGRKVPGKQEDVHQLRMLENSYLQYRFMNARAEAVARAKASVAEKSLFGLEERITALRVSVAEKKMEVERMRREQTLRSVVDAQVPHLDQWCDLEGDHSSSLIGLTSALYNSSLRLPVIGNVRANSEEITEVLNSSVQLLEPVSSCVKNFLPKVQEVDDVAAKLAQVIASERVAIEECGNLLYQAHNLQMREYSLRSQVMQLKQQDEPK >ONIVA09G04130.1 pep chromosome:AWHD00000000:9:5441903:5443401:-1 gene:ONIVA09G04130 transcript:ONIVA09G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAATPPPKRRTDASTPTAPSWSIHIDGGLEGAACRSPHAPGLSNQTDREWMQNALGCRSANITGDRCVLLFANADDICRWPIKSVRALHQV >ONIVA09G04120.1 pep chromosome:AWHD00000000:9:5435283:5438794:-1 gene:ONIVA09G04120 transcript:ONIVA09G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAEKSRQKELESAQEKNTMGNPTISTTKVFSSEKQITKSIQMEESTNPRANLSSAGTNSPEIAYGGQMLHEEYALLSLQTELGRLAEMNYHLQSPIGYSNSMILTTNRCIDNFYQAVLEEEQEVQKTKNKRTS >ONIVA09G04110.1 pep chromosome:AWHD00000000:9:5425902:5432391:1 gene:ONIVA09G04110 transcript:ONIVA09G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGINMSKRKVLEQGTSNVFDRPQYPFASPEISDGSDENFREDDTESDDDFDMDYIVQSMKRKMKKNSNVLLENQKMKKQTCLTKANSSFSRFSAKYFSEVIADLSGPQRFIIEKYGFANLLLFDVKSAPKKLASWVAKRFDLSSSEIILRDKVIPVTEHSVTVVLGLPTGRRDFGKNFDIGKEIILSRFGLSVLPSVKFFGDLLKHNKDMAEDKVITCFLIVALACFLCPNSSLVPSVKYLTIFEDIKALDSYNWSKFVYEWLLIHIKKFQKSKNLGGCLHIWAILYLDFVDFGQRSVPKGIPHISAWNDDLIATFSDLDKIDDTTYGLRPLKDISCTSYFESVPLQEEMLSFRHKLDSAIGNFFMFHDSSSSETVQLDSHNIAHTAAANASFQTDKLHVGFNNHSFQFNEKRTPHNAEHSVRNHPLSTEDFAPSTFCCGQASASLSAQAQMYHTPEVGLIKNKKVCLDESFNASAATAAIDAVKDVAKKIRSRMAHLKSKEKFLIPKILEIVTIALNSNSFHPNVRTYNNLKTLSNNKDKGKFVAEFVRKRAFQDLTNSPDVVCLGSNTCSNKTKNMCIKSEHIYNKSNQFDSNYKVFGSGFNNSGASSSGGRLPPHGPRRPLKPSRHASDPFVPVRRRFPVSEQENKYFTAICCLAHTRWQSLFAVNIDNVRITFSNFGNSLRIGGDVSNYVISAFCRLMFHNNHPSKSKKNYFFSSIGYQLLKEIECIEMVKIKKCFDGAASARKLHLCDMLFFFPINHLDHWFLFVVDIKDRMLVFLDSLHHKSDPYFDPIIPMMIKNIQTLWDKFEGTAIDFSKFRVFFPLVPRQEFSCDSGIFVMKCIELWSPRVVLPNEFSKDDINNIRVQYTNKIFFHHKSIMLQSESEQLVVNWVENEEFQYEDEVATD >ONIVA09G04100.1 pep chromosome:AWHD00000000:9:5406712:5411683:1 gene:ONIVA09G04100 transcript:ONIVA09G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IHF6] MGTSDLDRQIEQLKRCEPLTEAEVKALCLKAMEILVEESNVQRVDAPVTVTAPLPPPFTFHLSFGLFGTAPAPAPPLLELEPSQTVSALPKMGVELGGALSQNELERWSWICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHTNNIDYICRAHQLVMEGFKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHESRGVPAKRPAPDYFL >ONIVA09G04090.1 pep chromosome:AWHD00000000:9:5355376:5363327:-1 gene:ONIVA09G04090 transcript:ONIVA09G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IHF5] MAMSRLSFRPRPLDIHKKLPILKSAREFEDDDPTAAAVAVARAGVLLRQSAPELTAATTATEGEGNPTPTKKNIQEIPTPQFDAVDTYERDYTRTFAQPTCYIRGRGARAEIGEFVEYDLDNEDEDWLEDFNNERKNLNPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQLDAAMEALQYLSVRYGVFQAVYSYWKDKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRMVRRNLDQAKALMDALVKREETKREAMECEVNLRRIQMKYKHEAQLVDEGTALSGFQQVSSRFGSSEDDYADSDDTTTEQPYIRPPVFRPRFADHKLSVIPTLRIKRERELKRRPQQNGWVFKRDPEEPVLLFTRPLDPEKLLAAGIKPPPDPPIENGATMPPFRCRGRIGRGGRIIFDRWNPLLQTPIGQETSYYVPYSRRPPSPES >ONIVA09G04080.1 pep chromosome:AWHD00000000:9:5353726:5354379:1 gene:ONIVA09G04080 transcript:ONIVA09G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRLPPLRSKKNSQQKRRMPPLYTAAARPPQECWSIRERVNFRIAIGRFGQDWPRVAQFISTKSTGQICVYAEEYFLKRHTHSPVKNKRILIISSGC >ONIVA09G04070.1 pep chromosome:AWHD00000000:9:5349203:5352344:-1 gene:ONIVA09G04070 transcript:ONIVA09G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0IHF3] MVAASRLLARASRQCVAAVAASAARRRRCPAAALSLPVVTAAKAAAAEPWLGVPYMLNQPLRYSTTIFQRFGFSSTSPELSDKEENQRKDQENATNVSNEGTEDIDLSKEDLVQLVLEKDGLLKSKDEEINDMKDKVLRSYAEMENVIARTKRESENSKKYAVQNFSKSLLDVADNLTRASSVVKESFSKIDTSKDSTGAVPLLKTLLEGVDMTDKQLGEVFKKFGVEKFDPLNEKFDPSRHCAIFQIPDPSKPSGTVASVVKVGYMLHDRVLRPAEVGVTEGGPTTTEEAAENSEQKSSEV >ONIVA09G04060.1 pep chromosome:AWHD00000000:9:5345564:5348603:1 gene:ONIVA09G04060 transcript:ONIVA09G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVGARLSRSSTRYGPVGNTASSFSGPVRKWRKAWVPIAAGGAGYAGMMGPMGVSRGNKVVLFRWAPVNGGAAGGGGGSGDGDESAAVAAAAAATRRRFVPASGVAQNSTKKSGSTELNLNLGLEDPDDDSDADLSADEQRDSGSNQRSDNRLKRKAF >ONIVA09G04050.1 pep chromosome:AWHD00000000:9:5331279:5331806:1 gene:ONIVA09G04050 transcript:ONIVA09G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRSLLSLATLSSLSATLLPVIATAPETTLGLRTHCFPLLRRAFVPLTRGDSQCLSSKAIAKLRAAAAPTMSTHAGAVERTTETVATVPPVFLLTHTRGIPLHSSPRVALRFHDQEGCISPNEQQPRGRSLTRGHGGIT >ONIVA09G04040.1 pep chromosome:AWHD00000000:9:5322496:5324099:1 gene:ONIVA09G04040 transcript:ONIVA09G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAASLLLEYVSRSLRWPIPRALKELLLSPHCLKESPRWLYSSRRCDEWTLRVVVGLTVFSVSVLHFILD >ONIVA09G04030.1 pep chromosome:AWHD00000000:9:5311701:5312042:1 gene:ONIVA09G04030 transcript:ONIVA09G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPEDTCMKWLDTKPLSFVAYFSFGSFASLGTAQTEELTRGLHAAGKPFLWVVRATEEAQLPRHLLDAAMASGDTLVVRWSPCATGCFVTGMTAFLHGEIEQCVRTVMDGKE >ONIVA09G04020.1 pep chromosome:AWHD00000000:9:5306599:5308266:1 gene:ONIVA09G04020 transcript:ONIVA09G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSALLRSAARSIPRSLGAELRFSSRPTENAPRRLYSAAATAGPQGPQPNMTAQQGYLAEKGMSPEVMAYEANMNRALDKLEAKLDRLSAILKEREEQEKRYTR >ONIVA09G04010.1 pep chromosome:AWHD00000000:9:5303186:5304716:1 gene:ONIVA09G04010 transcript:ONIVA09G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAASLLLRYAGRSLQRPIPRALEELRLSPRGLKDSPRRLYSSDGIRPMDTKGGGGSHRLKLSFAMSLT >ONIVA09G04000.1 pep chromosome:AWHD00000000:9:5293844:5296804:-1 gene:ONIVA09G04000 transcript:ONIVA09G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRLLIKGVASPMKAKVVGGKGAGGGQRLTLAPGASANLWSQPAVPKETWGVAQGEEEDERNWWPEVLAATPCRTSPEVSTWDKKGCSRGGFSAPTKYYAVEPLCVPKPVALGSRFWALGDESSEDEVDREERRLEEEVSSCSMNSGFVGNRKLAKKMVEKVVNQKTTTCKPWKGPLPKARVSQTLTFGDVIGVALKKKKRASVASPVRFTTVNRVNSPVAEENLDRVNKSLASMRLPVVHTCSIMEKAAAAPPPLPPAAVRSSLDDNAKTLKFLMNLDKREKEGRIVLMSPGGQCTSDIEASKRSVELLSKGGSEHKFVSFGGERSRREVTIAWRGEGSGRSDGRGRESGDGFWEEEEEFFGARFDAGRLGFEPGYGFGQQGNHGWGQQRSGFRPRGSRSFGPRRGGFAGRPGRGGWENNRFSTKRFGERPLLKNEGRPGGGINKAGGGSKFAAKGEVGGGVSGGGGGASAGKGKVKVGDMEVVVNQMDGAVVVTDDPETNSELAILDCDPSLFFDQHVREDISKIQAQLKIELQRAITYGGADVKENGVLTGARLKFEEWNEKEEGILLPKVWVRVFGLRKKLREYLNLWAVGSLLGATQTVDMKMTRKNDFGRIFVAVLNPRIIPKNLDVVIGDHFFELEIVVEKMGFDENGEEVEIEQDDRDGDGLEENKEDKHDGDGRDGRVTKRAKNDDMLVDGKENGTAEGKDDAALNGNQCQHKMKESEISAIASGILDVAVGKVMNEVCDMVMEEIE >ONIVA09G03990.1 pep chromosome:AWHD00000000:9:5283197:5283671:-1 gene:ONIVA09G03990 transcript:ONIVA09G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAVTAAAAMCTVLVVLSTGGQPPAAAAQTDYCATACSSSSNGTVTCYNNAINTCSSNCSITPQYCDQCRRESNQQCQNYCYNGCLYGCSLQHPCDFDYNLATII >ONIVA09G03980.1 pep chromosome:AWHD00000000:9:5277029:5277310:1 gene:ONIVA09G03980 transcript:ONIVA09G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRTVVLVVVVAAVCAAVVLVNVPPVEAQQRDCHSICNQSCASSCRPAPISACGKACSLAGPQACSQCQYSVYQQCTGLCFNYCFNSFSHT >ONIVA09G03970.1 pep chromosome:AWHD00000000:9:5275058:5275445:1 gene:ONIVA09G03970 transcript:ONIVA09G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVVEAILIDTTKHNDDEQCVWDSQGLLHRKCLGRGTKITILKDGQLEGALPQALKDLIKKNSELINYPSTSRTSAACVQSSRSSGTGGTDNSSAAMTWTLFPLVEFYYCTVDLGWNLFNQNL >ONIVA09G03960.1 pep chromosome:AWHD00000000:9:5257543:5257836:1 gene:ONIVA09G03960 transcript:ONIVA09G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRGAAVVVVIVAAVLAAALTMSSVEGQKPNCDSVCKNYCASTASSCKSAPSSGCSKACSLAGPQACAQPRSASTASTRNAPVSATTIVSPHAHG >ONIVA09G03950.1 pep chromosome:AWHD00000000:9:5233635:5237148:1 gene:ONIVA09G03950 transcript:ONIVA09G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDELLLIAISHGNNEDGSGGVVGISDEVYAAELQLQELIMSSAMAATAAAAADQLDSGSAVHASSEDAAAAAHAKTSPSSALVPAAECSSSSAAAMTLVASVVKCSCSSSAMAPSATTTSFLFCKICMEDVPASDAHRGSHGCAHAFCAACLAGHIAAKLHSGGGVYCPEDGCASAVDPELCQPILPEDTFERWCAALCRAMVLGGRHVYCPFTDCAEIIADERGGDSDGQPTECPACRRRFCERCGVAWHGGVSCGEYGELAVGDRGEGDLAVVEMAKGSRWRRCPRCKFFVDRYEGCPHITCRCGLEFCYGCGQEWGSTGHSSCQPP >ONIVA09G03940.1 pep chromosome:AWHD00000000:9:5226904:5227185:-1 gene:ONIVA09G03940 transcript:ONIVA09G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRDDARLPCGLRRCSLAPRGRSAALHCRRHHAPVARDPAHCRFGAKPHAPRPCLSVNALPCHRRTPCCTAFPPAAGRQRFTVGLRSPVSS >ONIVA09G03930.1 pep chromosome:AWHD00000000:9:5226077:5226489:-1 gene:ONIVA09G03930 transcript:ONIVA09G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNYKTTSQNFTRLRRIRSRPSPPPPSAVATLSICGRRRRCHRHRREPSPLDPPAAAADTATAAGSTAGGRGGGGSRRRWTRLPPPDLPAAAANAATVAGSAAGGRREGAKGAAAAGSARRRRLELIRAEATSP >ONIVA09G03920.1 pep chromosome:AWHD00000000:9:5201508:5206988:-1 gene:ONIVA09G03920 transcript:ONIVA09G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASEGKANIISDQYAAVSSSSLPHAPAIARSSTVFCSGTASTSHRQGCPRGLQIIPSDGDEHILSPLTRLTYQGDTALHMVAASGSDDAENFLKSADIICRSGRAMELLVTPNCNGDTPLHSAATAGNLAVVRKLIHLRKCTADGSAAAVTAAMLRRENKTGETVLPGAIRFGSVDMMRELLEEDPELVCVPRSGTGTSPLYLAVLLGHTKIVEEIHTKLLLKLPIAYPSVARMAKLPCMLLFSAEKLISLTRKVSYACSMSGELRDAILNMQDKDGNTAIHLAVQLGDMDLASCLMMNHKVRLNLANNK >ONIVA09G03910.1 pep chromosome:AWHD00000000:9:5199415:5199851:-1 gene:ONIVA09G03910 transcript:ONIVA09G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRLLLVYCDAPSGNLSGVTTFLSRTSPAENEAEESKKIIESTQILGIGSVLVAAVAFAGAITMPGGYRADDHHHGGALTLAGKGYAFSAFVVANALAFVCSRCSPRSSVDFTNRSRHFTVAVGLVRSSIRSLAIA >ONIVA09G03900.1 pep chromosome:AWHD00000000:9:5195154:5195465:1 gene:ONIVA09G03900 transcript:ONIVA09G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAWEWPTFALEGVDPPPLPSGAMMVVMASGAMVADPGTITVVAALGTTTTVALGTTTAAVQRWLSSNDGIGSRYDDGDGFWHDDNGGGSGGDDGGGFGS >ONIVA09G03890.1 pep chromosome:AWHD00000000:9:5184031:5187165:-1 gene:ONIVA09G03890 transcript:ONIVA09G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAEAFLTSCVDRIVNLLEEHAVMILGVKDDLKKLQAKVELIKAVLEDAERKKLQYRTIEIWLNSLKDVLYEADDIIDLCRTKGRELLEEQPSSSIQQRKMHCSLLSFFSTVRLRHKIGSKIRNLSDRLTDIENNSLVLSLCHLKPCEQQDTTVNVRQTSPLIDLDIVGTEIEDSTRKIVDMIFSHEDNFKIVAVTGMGGIGKTTLAQRVYNHVKIKNFYPTTIWICVSRKFSEVELIQETIRQARGDYGQAKTKAELLPIMANTVANKCLFLVLDDIWSADVWNALLCTPLHSTPRCGCVLVTTRHQDVARGIKAMYIHEVQKLHARSSLELLCKKARVSREDDIERLVKIGEEIVRKCDGLPLAIKLIGSLLSRKDYDLAIWDLRALWVAEGFLHPKEQLIAEELAENCYAELVSRSLLQPIVLYADQRKCRMHDLLRSLAQYLSRGESLCGDPRKLDAFSLSKIRRLSVLMDEEIEEEAYPLTRSQRKNLPLRTLMLLEGTSIFQRETIFSFPCLRVLVLNGKVIENLPSSIENLLHLRMLNLNYTSIASLPMSIGSLKNLQILYLIRCLCLHSLPASITQLDDLRCLGLNGTPVTHVPKGLGKLKLLNDIGGFVAGGHTTCQTELQEGWGLEELEYLAQLRWLSITRLERAMISKPMLKSKCFLRHLILSCTMPQYKLSFEEINTIEAIFEGLFPPPSLEKLQIINFCGQSLPGWLISSSLETNLPCIEYIHLISCSFCTQLPPFGKLPQLRYLNIEDAFAIVNIGTEFVGMHGVSTAFPKLEYLTFNGMPNWEEWSMSGNEEEEEPSMPHLVELQILGCPKLRSLPTTLQKITTIQTIGITKCDSLTCVTNFRYLHNQLVIEKSSGVEIISNLPALNKLVITDVHALKHIEHLPSLRYMELCSSSLDKLPEWLQGLADTNRKLANDLQLTLRCSITLMRRCVRKGPDWPTIRRFPHVSVYTHDRSALMEYNHEAGYFLTNLQ >ONIVA09G03880.1 pep chromosome:AWHD00000000:9:5167734:5170358:1 gene:ONIVA09G03880 transcript:ONIVA09G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAHKVFDEMFMEGIEKAERGGRARGGSLGPCDGSQDGVGRKGDGRGDVTTSLLAASSKCVSMVSAALQYVQALHGVGGLRLQLVGPSRAVGSVPRLISTGWNSSHGNGTPPQLLLGGGVGLGGSRDARGRVLFRVVVIDIESRISSNNLAAWIYRSVWPRRCHGPCRVIVEPSSPAAASSAAARSPSVCPSGRDRRPVEAMTTVGPRQLGRRSSADVEDEVDDGRPDGDTRAPRTEVDRGDAICCCCLTTARVDKERSWRSISPRRRRQRRQKTKKKLVTALGRLEPSRGRRWRKRERRL >ONIVA09G03870.1 pep chromosome:AWHD00000000:9:5153375:5153677:-1 gene:ONIVA09G03870 transcript:ONIVA09G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIMAKLVVVFSRAAVVGVNDGGSASGGVLRGEVPFRACMCLYGTPGDSLEVVCAPTKLGQRWRTEERSGGGGKQQCDDVGFLRSSSCQGEKGNGLEMP >ONIVA09G03860.1 pep chromosome:AWHD00000000:9:5144985:5150256:-1 gene:ONIVA09G03860 transcript:ONIVA09G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mnd1 family protein [Source:Projected from Arabidopsis thaliana (AT4G29170) TAIR;Acc:AT4G29170] MSKKRGLSLEEKREQMLQIFYDSQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRTTYSKLESDLSSSKKRFIELVEQRENLKRGREDSDEREAALEELKAVEQHHKKLKVSTTQLFRIVFQEELAAYADSDPAALEAMNDAIEVAHAAANRWTDNIFTLQQWCSTTFPQAKEQLEHMYREVGITEDFEYLQ >ONIVA09G03850.1 pep chromosome:AWHD00000000:9:5126895:5140703:1 gene:ONIVA09G03850 transcript:ONIVA09G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSREEDRQHHNHLPSELPLGFRSSSPTTMIASSSMSKESSNYDMADFDQASLFLYLDSHDQQSIQEQRQTLNIFPSQPMHVADPAHEAKSAGVAMATLPNGNQLQVLPSHPSKKPDQQGGQKINSSVPTNPPGPNLPLPNSAKDNKNSSLIKGPKKCGLQKEGSSSGKGATTSNDPEREGRRTLDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLSQLEQQVHVARVQGAMLGAGDQHQGLPSGPSAASLFDLEYGRWVEEHSKLIFQLRAALNEQMADNQLQVFVNGAMAQHDELLSLKGAIARADIFHLLCGVWATPAERCFLWLGGFRPSEAIKVMLKQVEPLSEGQLMSIYELQQAAKGTEDALSHAMNGLQQSLSDTVAAPDVAAAGGFMGHMSLAMNKISAMEDIVRQADGLRQQTLHKLQHMLTIRQAARCFVAISDYFHRLRALSTLWVARPRPEEGPAM >ONIVA09G03850.2 pep chromosome:AWHD00000000:9:5126895:5140703:1 gene:ONIVA09G03850 transcript:ONIVA09G03850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSREEDRQHHNHLPSELPLGFRSSSPTTMIASSSMSKESSNYDMADFDQASLFLYLDSHDQQSIQEQRQTLNIFPSQPMHVADPAHEAKSAGVAMATLPNGNQLQVLPSHPSKKPDQQGGQKINSSVPTNPPGPNLPLPNSAKDNKNSSLIKKEGSSSGKGATTSNDPEREGRRTLDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLSQLEQQVHVARVQGAMLGAGDQHQGLPSGPSAASLFDLEYGRWVEEHSKLIFQLRAALNEQMADNQLQVFVNGAMAQHDELLSLKGAIARADIFHLLCGVWATPAERCFLWLGGFRPSEAIKVMLKQVEPLSEGQLMSIYELQQAAKGTEDALSHAMNGLQQSLSDTVAAPDVAAAGGFMGHMSLAMNKISAMEDIVRQADGLRQQTLHKLQHMLTIRQAARCFVAISDYFHRLRALSTLWVARPRPEEGPAM >ONIVA09G03840.1 pep chromosome:AWHD00000000:9:5109514:5114352:1 gene:ONIVA09G03840 transcript:ONIVA09G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G20790) TAIR;Acc:AT3G20790] MAGDGLPRIAVVGAGIFARTQYIPRLREIAHLVLLKTIWSRTKESAEAAAELARDFAPEIQPRWGDAGLEEIMGDASISAVAVVLAGQVQVDLSLKMLKAGKHVIQATMEAETALSVYNSFPNQFPYKPIWALAENYRFEPAFVESRKLMSDIGDMMNIQVIVEGSMNSSNPYFNSSWRRNFVGGFILDMGVHFIAGLRMMVGSEIATVSSISRHVDKALPPPDNICSLFQLENGCAGVFVFAVNSRTPKILWRVDGTRGTVQIERGIASGKHGYQVLFTNENGQCQTTFYPFCGVNEELKAFVHDIVQANKDGDHKAEPRSSYVEGARDVAVLEAMLESSAKQGTMVQVKKF >ONIVA09G03830.1 pep chromosome:AWHD00000000:9:5101634:5103002:1 gene:ONIVA09G03830 transcript:ONIVA09G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAHIQGGDRRTWSSRLARRGSGEWCEAQDMTRLASTCSACRAAPLSEGGRPHPWPWLATAHPPAERQQDGLEVEWRPRRVATARRPRRVASSRRSDVRSHGFELERTQRDPKAETGDYDYTISLDYSVARGCIIRHQHETLSETKVEEG >ONIVA09G03820.1 pep chromosome:AWHD00000000:9:5077958:5078305:-1 gene:ONIVA09G03820 transcript:ONIVA09G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRERENDDDNAATLEEDRADTGEGSSRSIRAAAWATSPPRRWGWRRGRRHCSARPPPLESSDRRHRRRHPATAPPDAGAVELPLGSLWLEKEERERERRGEGKEKSGIGGTY >ONIVA09G03810.1 pep chromosome:AWHD00000000:9:5069211:5077609:1 gene:ONIVA09G03810 transcript:ONIVA09G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:topoisomerase 6 subunit B [Source:Projected from Arabidopsis thaliana (AT3G20780) TAIR;Acc:AT3G20780] MDDDAGDGAASGGTKRKVTAASSSAAAKGKAAGKGKAASKASALATAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEIIIEEITKSKFNTMIGLVDRQRIDEELYDDFESAKAREKRLAKEARFQETQAKNAALGKKVKEAPAARGKGRGEAAFFRVTCKDNGRGMPHDDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIEIKSSMKGQNFISFCRLDIDIHKNVPHVHLHEKRENKDRWHGAELQVIIEGNWTTHRSKILHYMRQMAVITPYAQFLFRFLSDSPDKNLTIQFARRTDVMPPIPLQTKHHPSAVDLLLIKRLISETTKQNLLQFLQHEFVNISKSHAEREMGPDFSAKTTVKSLTSQQLVRIHQLFRQAKFDDPSGNVFEGHPFIVEAGISIGGKDVKHGLNIFRYANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVQSALKQCCLQLKSKIVKKLQARERQDRKRNLNRYIPDVARAIMETLGEIADDSPPKRPRYDKEDEELLEKVNSEEVTEMTFRDCLTQHVEQVDYEMALEYAMQSGVSEEPREALYLNSLEGSYKFIDFQSPVFVFRFIP >ONIVA09G03800.1 pep chromosome:AWHD00000000:9:5064822:5067469:-1 gene:ONIVA09G03800 transcript:ONIVA09G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G52150) TAIR;Acc:AT3G52150] MATTTTTTISSSLITPPAAALHHRSSFCRCPSRLTVGAARWWARRRQPAVVVRVVASSSVLEAPEEVAARKLYVGNIPRTVTNDELAAMFADHGTVERAEVMFDKYTGRSRRFGFVTMSTPEEANAAIESLNETEVGGRKIKVNVTESFLPNIDRSAPEPEPVFVDSQYKVYVGNLAKSVTTEMLKNFFSEKGEVLSATVSRIPGTAKSKGYGFVTFSSEEEVEAAVSTFNNAELEGQPIRLEILNHYSARA >ONIVA09G03790.1 pep chromosome:AWHD00000000:9:5060840:5067350:1 gene:ONIVA09G03790 transcript:ONIVA09G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42220) TAIR;Acc:AT2G42220] MAVLGLSTAFSPPRGSCIAVRIRHGARPARSNLSLRRRSAGGGAIGVRAEVSFVDGDEAKRLVAEEGYTVLDIRDRTQRERAHIKNSAHVPLFVENDDGDIGTIIKRTVHNNFAGLFFGLPFTKRNLEFTKMVKDKFSPESKLLVVCQEGLRSTGAADVLEREGFQNLACIKSGLQTLKPGAYLFITLFPDQAEKLFDLAGIKL >ONIVA09G03780.1 pep chromosome:AWHD00000000:9:5056612:5060029:1 gene:ONIVA09G03780 transcript:ONIVA09G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNLRNSGLDEVVMKTGKAAGIGLASGTVWGGVVAMHFNGPHVGSNVKYPELVRIGKVSGNYAASFALLGATYVGIEQSLENCRKKKDYINGAVAGFTAGATVLGFRARSLPTAVLSGCAIALTSVLLDVTGMKTTDEEAKTGKAHH >ONIVA09G03770.1 pep chromosome:AWHD00000000:9:5050905:5051498:-1 gene:ONIVA09G03770 transcript:ONIVA09G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLLPPPHSGELKLVKIKHLLHAFVLKHSGRLAAALGGAKALLLTIAARGSIAGLRNKIRRRRGRNTKKPRCRGSGGDAMMTMQLKLLLPAAVAVPPPTEIAGGGVEPFDAELAYYDSSWNTMIPAEEQLLRPITGYLSWPEQEAEEDDDQGEEEEDEKNEIDRLADKFIERCHERFILEKQESYRRFHEMLARSL >ONIVA09G03760.1 pep chromosome:AWHD00000000:9:5032976:5036651:-1 gene:ONIVA09G03760 transcript:ONIVA09G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IHC1] MSKLRWLIMAFLVCLLLLTPKDLEGLQLVGAIRNHLFWSTSSPLHHLPDLLEEESVQVNEIELWGDDDGTRRMMGEEVRRQAGAGMMSEVKMGGDRPLVAVMKKEKHGAKKKKDDDSSGMVVVGLSAACVALVTLVGICFCACHDSESSSSPYDLRDEKPLLSLNLSDGPSRKSCATTIDVSRLGALTAECEQHLHGGAGAGDHNTTNYNLRKPAGVGSMSMNKVSMQSQAMRMSSHEITTIAGAGRVENKVSTIAPSAAAAAVASAGGGQVPAAPPPPAGPPPPAPPPLPPSHHHHHGHHPPPPHPLPPGAGAGAPPPPPAHPAAPAPPPPAPSPSAAGAGSGPPPPPPPAAPAAPRPPGPGPGPPPPPGAAGRGGGGPPPPALPGGPRARGPPPFKKSPGAAAAAAQADPNKAKLKPFFWDKVTANPNQAMVWDQIKAGSFQFNEEMIESLFGAQSTEKKSTDAKKESGKEATQFVRILDPKKAQNLAISLKALSVSAEQVRAAVMEGHDLPPDLIQTLVRWSPTSDEELRLRLYAGEPAQLGPAEQFMRAIIDVPYLYQRLDALLFMAALPEEAAAVEQSFATLEVACEELRGSRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGVDGKTTLLHFVVQEIIRSEGVRAARAASGGGGGSSISSISSSDDLILLQSQSSIGSNSGRSSVDASSLEQEQDETERYRQLGLGVVSSLGDDLQNVRKAASFDADALTITVASLGHRLVKANEFLSTGMRSLEEDSGFQRRLASFVQQSQEQVTRLLEDEKRLRSLVRATVDYFHGSTGKDEGLRLFVVVRDFLGILDKVCREVKEQAAANAKAKKQQQPTPAPRSRQSSQSSFRDPRQQIQDRRAAALSRNNSSSSSSDSDD >ONIVA09G03750.1 pep chromosome:AWHD00000000:9:5016861:5019089:-1 gene:ONIVA09G03750 transcript:ONIVA09G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT5G06750) TAIR;Acc:AT5G06750] MFSWLLRIASACLGPAQRYARTRKDEDGGDNGGGVADGLLWSRDLGRHAAGEFSFAVVQANEALEDHSQVETDSAATFVGVYDGHGGADAARFISDHLFAHLIRLARESETVSEEVVRGAFSATEEGFLTLVRRTQFLKPMIAAVGSCCLVGIIWRGVLYVANLGDSRAVVGYLGRTNKITAEQITRDHNACKEEVRQELISRHPDDSQIVVLKHGVWRIKGIIQVSRTIGDAYLKRREFALDPSITHFRLSEPLRRPVLTAEPSICTRVLSLQDQFVIFASDGLWEHLTNQQAVDIVYKNPRAGIAKRLVNTALKEAARKREMRFVDLKKVEKGVRRFFHDDITVVVVYIDHELSGEKCFCS >ONIVA09G03730.1 pep chromosome:AWHD00000000:9:4998429:5000856:-1 gene:ONIVA09G03730 transcript:ONIVA09G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMACLQGNAMATDENGADDRAGGESTVDHLRSHMNYGDMDLSGEEHVPKARKPYTITKQREKWTDEEHRLFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVVRESSGSNTGSGGASAAAAAAAIQIPPPRPKRKPAHPYPRKVDGAAKKHVPALRQLEKPPLWMQSLSEQEEGSPTSVLTAAQIGTEALGGGFSNNSSGSGSLAPSAAGTDEHVDGGGSPASSVDREDGCLSPSIPTAELAMQAPNTKMSIATTDAKEASSEASVFRLFGKSVVVKDSDQLHLLNGSNIATSGSVERATRNILVPSFAAAPEGSSSNPWPSSMQQFLYFLPRSDGFAAQPVMPWFSYNGSLPCALFYPAAAAAAANQQCHRDSEGVEFRVSQREGSLTGSNTASSVVLGSSAAVPAAAAAAQNSDVAESRGQGNSREAAASPRLTKCESSASVTLLQRGFMPYKRCAAESELLRSEAAGGEEAVADGELTRLCL >ONIVA09G03720.1 pep chromosome:AWHD00000000:9:4987836:4991081:-1 gene:ONIVA09G03720 transcript:ONIVA09G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKQFMPREQPSGLEGRTVDVGNVRVHVREPVAEGGFSCVYLARDAANPAKQYALKHVVIQDEESLNLVRKEIMVMRSLKGHPNVVALVAHAVLDTGGRAREALLVMEFCEKSLVAALESRGAAHFDEQQVALIFRDVCNAVFAMHCQTPPIAHRDLKAENILLGGGGAWKLCDFGSVSTNHKCFDKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYLKSAFDGESKLQILNGNYRIPELPKYSSPITSLIKDMLQSSPDVWFRVNELLPLELQKDLPDGSPSGSAFESHITEDEAPSRATFSPSTDNTRSTSSEDPSNLRSQGLSKAAESKGSMGAFWSTQHAQELAFVDDKGPAFDQETVHQVSSMQLQSKNHNTPTHNTYRQSLSASVDSSPGDFEIRFSPNGSEYGLEKTKETKSENKTNVHATNFNSFVADFDNLKVNFQNSVSSLNATRRLKEQQLEAEVTLLKEQLKIANLEKEEIALKFDKLSGICSSQRREIQELKQALATASATQSVKEFKENSKAELSPPSTSLDTPPREKIEGTPPELRQGLFTSSPGTPSPDPKPWSAFPEEPKAQAAVTVKGAHPRSVRTLRASNSNKASSLGQSNTSSSADPFAFGQDSFKAAPSRALPSKMSNLGNGSQSSKMSNLGNGSQSLNALKAEAKQDSSYQPAGWTGF >ONIVA09G03710.1 pep chromosome:AWHD00000000:9:4977824:4983719:-1 gene:ONIVA09G03710 transcript:ONIVA09G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQIHHLEQEAYCSVLRAFKAQSDAITWEKEGLITELRKELRVSDVDHRELLNRVNSDDIIRSIREWRSAGGPQAMLPNNAQPMHDLAPSPTTSGRKRQKTSQSFPALPAPPPVMHSQQLALQGPPSSSTAKKGASSGAKGKKTKPGQKVPGGPSVKAMTSSAGTSGRGPHMNRNFPVGLVSFEPSEALHINPLINRKVMSRWPEDNSFYEATITDYNPETDLYALAYDINTANESWEWVDLKQMGPEDIRWQGDDPGIYQGVRGAPGSGGKKSSSRGGPTPGTGRGRGLPKHVSRKDFPPSQNGVGKRSSDDIDILHTESLIKEVERVFSVNNPDPLEVEKAKKVLKEQEQSLIDAIARLAEASDGESDEHNRVRRNAPYAGSQHQANYADAMAVDGGHMLGGADAV >ONIVA09G03700.1 pep chromosome:AWHD00000000:9:4973967:4983531:1 gene:ONIVA09G03700 transcript:ONIVA09G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKRILVEKKGGQNPGDFLVARDLQTRGTEHPPHQLGLISDENYMVDWLMIVQIIGGDEERHLLAQLKRDSSGGEAIVLHGISASKHVATINSHSVCIVCLMLAPSIWCIPSHTIVLI >ONIVA09G03700.2 pep chromosome:AWHD00000000:9:4973967:4983531:1 gene:ONIVA09G03700 transcript:ONIVA09G03700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKISYHLKTKNILSQKQKLFHIKSSKTKQNMKLHFTSIQLKKQNEKLPSSVTGGYSRYDISPEPQKVPPSKLTPTVSCHKAMKEAPTKQVPN >ONIVA09G03690.1 pep chromosome:AWHD00000000:9:4965826:4966650:1 gene:ONIVA09G03690 transcript:ONIVA09G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASVKLREDGAAPLLRAKLPVALFSVPAVASLTAGDPANLRLSLATAAPALPSIRLSYAPNRATSPLSLAVVLGSGPGGSPSSSGAAASAITMAVEVNTAGAVSFSLALKPSLGDFSVRKRFDSAAAGGGGGSGSSASAASEVTMRSAIPVHGGAAAVSVRWGVRIPAEVTAGGEEGAAALALRRLPFLVLGKVTVERRPPPPPASTAEETTTTTVEKTRRENERLTRELDELRAAATEKTERKMTSAAAGRRSSGWRSPEMAGDRKTVDLGR >ONIVA09G03680.1 pep chromosome:AWHD00000000:9:4935943:4947601:-1 gene:ONIVA09G03680 transcript:ONIVA09G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDDGLICSTSCYKMCGHADKSSIIDGEIGWPSMEISPCSTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQREADSVQVEEYQVSHSAVVNDDSSISIPTNQNNSSGQLQLEIHLDATNEKSVPSNAILDANVTDPHQEVISNGGLIEACYGVPVDDIDLKQSNILDGEEITSLPMADNEMTPLDDMKEISSIVYNSTISAEQHVNSGSEFEKGNESSDKLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNAAEGLSLSDGEADKNWLDIVASLSWRAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVIIKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEGLKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDRQLLVPCTAAPSSKICSDIAQNSDPTQQALNSLASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDISLDFAKLTSCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTETRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHSCLSCGEPPEAHMYSYTHHNGTLTVIVKSLPLDVTLSGKDQGRIWMWTRCLRCNDKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGRLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKRECLEVEFNNVLRKWRLLFSEAENKVQILKSGDSSQALGENTKASVHDELFLEVNRILAQEKNEFEVYPKTFDLLVKSGTSAHGILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASSDSTIHIKTPENKPKNYEITSVHGDTLSLTNVGMERQEERIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHGEDGGSHEVDKYAHISDSFCLEKSIDLPVKNELPELVRGKEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGMYSEAAIVKDEVIAGRKNDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISHCKEWNAQGEKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSHVSVNPTCLAKILGIYQVKEIRNGKETRTNFMVMENFLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMTVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQSPLQRLYIMGLELPSGGHVSCRYCTLSGKLSSTSIFIEKLSYKVNPQIGYVNKLEERAKISTPRFSYVVGAHTPERDFTRMRIIADKCVAVLMCDPTHISGLVTTTVSSPKTTTGLELPSGGHVSCRYCTPSGKLSSASVFIEMEMSYMECRSPFDHCDVVTSINKNLTSPRGGTVFFRRGKKLRGLFFFLKGMKMNCEFEDRINFVVFHSIQLCQDRRTPW >ONIVA09G03680.2 pep chromosome:AWHD00000000:9:4935943:4946541:-1 gene:ONIVA09G03680 transcript:ONIVA09G03680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVMNGQFKILVTRFLAAEGLSLSDGEADKNWLDIVASLSWRAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVIIKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEGLKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDRQLLVPCTAAPSSKICSDIAQNSDPTQQALNSLASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDISLDFAKLTSCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTETRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHSCLSCGEPPEAHMYSYTHHNGTLTVIVKSLPLDVTLSGKDQGRIWMWTRCLRCNDKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGRLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKRECLEVEFNNVLRKWRLLFSEAENKVQILKSGDSSQALGENTKASVHDELFLEVNRILAQEKNEFEVYPKTFDLLVKSGTSAHGILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASSDSTIHIKTPENKPKNYEITSVHGDTLSLTNVGMERQEERIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHGEDGGSHEVDKYAHISDSFCLEKSIDLPVKNELPELVRGKEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGMYSEAAIVKDEVIAGRKNDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISHCKEWNAQGEKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSHVSVNPTCLAKILGIYQVKEIRNGKETRTNFMVMENFLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMTVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQSPLQRLYIMGLELPSGGHVSCRYCTLSGKLSSTSIFIEKLSYKVNPQIGYVNKLEERAKISTPRFSYVVGAHTPERDFTRMRIIADKCVAVLMCDPTHISGLVTTTECRSPFDHCDVVTSINKNLTSPRGGTVFFRRGKKLRGLFFFLKGMKMNCEFEDRINFVVFHSIQLCQDRRTPW >ONIVA09G03680.3 pep chromosome:AWHD00000000:9:4935943:4946541:-1 gene:ONIVA09G03680 transcript:ONIVA09G03680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVMNGQFKILVTRFLAAEGLSLSDGEADKNWLDIVASLSWRAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVIIKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEGLKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDRQLLVPCTAAPSSKICSDIAQNSDPTQQALNSLASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDISLDFAKLTSCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTETRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHSCLSCGEPPEAHMYSYTHHNGTLTVIVKSLPLDVTLSGKDQGRIWMWTRCLRCNDKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGRLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKRECLEVEFNNVLRKWRLLFSEAENKVQILKSGDSSQALGENTKASVHDELFLEVNRILAQEKNEFEVYPKTFDLLVKSGTSAHGILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASSDSTIHIKTPENKPKNYEITSVHGDTLSLTNVGMERQEERIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHGEDGGSHEVDKYAHISDSFCLEKSIDLPVKNELPELVRGKEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGMYSEAAIVKDEVIAGRKNDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISHCKEWNAQGEKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSHVSVNPTCLAKILGIYQVKEIRNGKETRTNFMVMENFLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMTVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVSSPKTTTGLELPSGGHVSCRYCTPSGKLSSASVFIEMEMSYMECRSPFDHCDVVTSINKNLTSPRGGTVFFRRGKKLRGLFFFLKGMKMNCEFEDRINFVVFHSIQLCQDRRTPW >ONIVA09G03680.4 pep chromosome:AWHD00000000:9:4935943:4946541:-1 gene:ONIVA09G03680 transcript:ONIVA09G03680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVMNGQFKILVTRFLAAEGLSLSDGEADKNWLDIVASLSWRAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVIIKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEAYHLILETSFFADQRLFATGKNAMEKGNCLKTDRQLLVPCTAAPSSKICSDIAQNSDPTQQALNSLASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDISLDFAKLTSCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTETRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGCLSCGEPPEAHMYSYTHHNGTLTVIVKSLPLDVTLSGKDQGRIWMWTRCLRCNDKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGRLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKRECLEVEFNNVLRKWRLLFSEAENKVQILKSGDSSQALGENTKASVHDELFLEVNRILAQEKNEFEVYPKTFDLLVKSGTSAHGILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASSDSTIHIKTPENKPKNYEITSVHGDTLSLTNVGMERQEERIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHGEDGGSHEVDKYAHISDSFCLEKSIDLPVKNELPELVRGKEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGMYSEAAIVKDEVIAGRKNDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISHCKEWNAQGEKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSHVSVNPTCLAKILGIYQVKEIRNGKETRTNFMVMENFLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMTVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVSSPKTTTGLELPSGGHVSCRYCTPSGKLSSASVFIEMEMSYMECRSPFDHCDVVTSINKNLTSPRGGTVFFRRGKKLRGLFFFLKGMKMNCEFEDRINFVVFHSIQLCQDRRTPW >ONIVA09G03680.5 pep chromosome:AWHD00000000:9:4946551:4947601:-1 gene:ONIVA09G03680 transcript:ONIVA09G03680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDDGLICSTSCYKMCGHADKSSIIDGEIGWPSMEISPCSTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQREADSVQVEEYQVSHSAVVNDDSSISIPTNQNNSSGQLQLEIHLDATNEKSVPSNAILDANVTDPHQEVISNGGLIEACYGVPVDDIDLKQSNILDGEEITSLPMADNEMTPLDDMKEISSIVYNSTISAEQHVNSGSEFEKGNESSDKLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNGNWVQSSFNISFDAKRNKTSCEDQ >ONIVA09G03670.1 pep chromosome:AWHD00000000:9:4928918:4929367:1 gene:ONIVA09G03670 transcript:ONIVA09G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVVPTVAREEEWAVSSSIALKATRRRRRDTRRQGTVARTGTGGSGLSGTCTCRRATRCSLHSEASSPSMPSSGLPSTDAAAEISTRRSDTARLRRWLRAKLAVAKNRLAGMAAEISLLKSVVGSTKDAIVTRKKRAAVEELCRRCGR >ONIVA09G03660.1 pep chromosome:AWHD00000000:9:4927107:4927358:1 gene:ONIVA09G03660 transcript:ONIVA09G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAETASTWEGAAAGGGEGRGGSGEVAGSPPMAGGWLAEVAAAATLSPPPDPAGGEAAGSRLAEAAAVQLGGGSGHALPMRR >ONIVA09G03650.1 pep chromosome:AWHD00000000:9:4925191:4925769:1 gene:ONIVA09G03650 transcript:ONIVA09G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRSASAVEAAKEAAANVGASAWAGKEKTKAVVEATVDKARAPDTAARDAADARKADRIREVEATKRHAMRANAAAKERATAATYHPSSAAAPPPPAQAQPVGVGGRAIDSSAAPAPAHTAAGAGVVNSGVAPPGAIAGAGGALGRPAAAAGGDGSAVDAPGGGDVEGHAGGVPVAATEGAGAGYPPAHV >ONIVA09G03640.1 pep chromosome:AWHD00000000:9:4916011:4920316:1 gene:ONIVA09G03640 transcript:ONIVA09G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVTTGLQMAAARPCIPACQRLLGSRAALPSFARALSTQTGFASCRKTASAGPFVSLNHKRFAVRAMSAQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVFDSPEDVPDDVKANKRYAGSSNWTVKEVAETVKNDFGTIDIFVHSLANGPEVKNSLLETSRKGYLAAVSASSYSFISLLQHFLPIMNPGGATISLTYIASERTIPGYGGGMSSAKAALESDTRVLAYEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLASPLASAITGSTIYVDNGLNTMGLALDSPTLST >ONIVA09G03630.1 pep chromosome:AWHD00000000:9:4911202:4911555:1 gene:ONIVA09G03630 transcript:ONIVA09G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHKWRFLQRAPTVPLSDFVDAVRAVEQRARCCYSESTAILNDDGDGFAEMLLLDGCFILECSRWPPSHHRCPAEMTRAS >ONIVA09G03620.1 pep chromosome:AWHD00000000:9:4898678:4899655:1 gene:ONIVA09G03620 transcript:ONIVA09G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGFSFLDMMPTQIVAAIHTYGLAPSTNLRAEDIPDPHPDLLPAIFSAFLAPMGFDAVLALDNPKHHIEAIQVLHIHCLLKSIQFPGEYFHNIDR >ONIVA09G03610.1 pep chromosome:AWHD00000000:9:4880635:4885681:1 gene:ONIVA09G03610 transcript:ONIVA09G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWSNEESVQRTKMATEMMINKPVRMVMGGGPPISVQPSALHRHAELSAHAIQGLLPVPAWELPACAAVAVSCLSCACLGLAGQADLGLAARAGRALASRATGVAGLGMASRAAGAARPSRDGLASRAAGVGRPGEPAGANGCSGGRHLRRSADSPSSLPGGSPSMLPAMGAARALASRDAGAALSCRRPRPHVPPAMASRPTGAALPCRDGLASRDAGAGRPGEPTGANGCPGGRHPRCPADSPSSPPGGSPSMGAAAI >ONIVA09G03600.1 pep chromosome:AWHD00000000:9:4879280:4882141:-1 gene:ONIVA09G03600 transcript:ONIVA09G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLKFPKAKAWTFQVQTREIMGTLTPEPPKGQGVAQISPMKQLKEKRKGRAKARKRSSTRYGSCNKSKVRIKPAFGYPIVRHVAQIYTIAAYNLFVEESPFSPETARRSRGWRQRARDDFGSTASDDASVKSGSCEMSRSISAACSADAGHTTTGNTGAAEEDADDAAEKADEPRAAALRHMWRMK >ONIVA09G03590.1 pep chromosome:AWHD00000000:9:4871426:4871704:-1 gene:ONIVA09G03590 transcript:ONIVA09G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVSIHYFIRHMCHHAAARGSSAFSAASSASSSVAPVLPVVVRPTSAEHAAEMERLISQLPLFTLASSLAALPKSSCACCRHPLLLRRGR >ONIVA09G03580.1 pep chromosome:AWHD00000000:9:4871685:4877741:1 gene:ONIVA09G03580 transcript:ONIVA09G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDATILSHVLHLRRRPPPAQPPSAPSSPATAAESQPKTTSIPPDSFSTQWMPLLIFAVVRDREELRSSEMTKGNLVVAVGLGNDFWSGSGDAGGGLAGVLGMLGLETTPPAPQRSRGRASAAQENGAAGGSDREGWRRRLRRVWVAATEITGSRGMPLATQQCWGRAPTAQEGGLAGVLGVSPGDWRGGRRFRPGSAGVEQARRAAACARAHLGDAGAEVGEEAARRGGTSEARSRPSAILGDLHRGKNVGSLGKIVNSDIT >ONIVA09G03580.2 pep chromosome:AWHD00000000:9:4871100:4871681:1 gene:ONIVA09G03580 transcript:ONIVA09G03580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDLPIDSTIRKTVSIFTPLFDGDSHLPRRRSKGWRQQAQDDFGSAASDDASVKSGSCEMSRSISAACSADVGRTTTGSTGATEEDADDAAEKADEPRAAAWWHMWRMK >ONIVA09G03570.1 pep chromosome:AWHD00000000:9:4842378:4844233:-1 gene:ONIVA09G03570 transcript:ONIVA09G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFYQSLLLSVAAVTVLQLLKLLLVRHRRPRTPPGPWRLPVIGSMHHLVNVLPHRKLRELAAVHGPLMMLQLGETPLVVATSKETARAVLKTHDTNFATRPRLLASEIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVMLRVNEIRAAGPTTPVNLSVMFHSVTNSIVSRAAFGKKRKNAAEFLAAIKSGVGLASGFNIPDLFPTWTGILATVTGMKRSLRAIYTTVDGILEEIIAERKGIRDEKISGGAENVDENLVDVLIGLQGKGGFGFHLDNSKIKAIILDMFAGGTGTSASAMEWGMSELMRNPSVMKKLQAEIREVLRGKTTVTEADMQAGNLRYLKMVIREALRLHPPAPLLVPRESIDVCELDGYTIPAKSRVIINAWAIGRDPKYWDNPEEFRPERFEDGTLDFTGSNYEFIPFGSGRRMCPGFNYGLASMELMFTGLLYHFDWSLPEGVNEVDMAEAPGLGVRRRSPLMLCATPFVPVVSAN >ONIVA09G03560.1 pep chromosome:AWHD00000000:9:4833860:4834549:-1 gene:ONIVA09G03560 transcript:ONIVA09G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNDTVAVDGGGWRHLRRRHCFRPHCRSICFRSMLKRRLAHGERGGRRGDDNLTSIGLSTHLGVLLYSPLHGRYPVCDVYDSDAVTIATMTSIFGFVPRFGSYRGHNGQTWCCLGSGLHLCRFVFLLSLAGQKLHSAVMRSWRWHILLCLCVKFLFLCFYYDVHVKSLFFVP >ONIVA09G03550.1 pep chromosome:AWHD00000000:9:4829887:4831064:1 gene:ONIVA09G03550 transcript:ONIVA09G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTIAVDGGGRRRRHCFGPHYRTLGSTLTNKEVFNWANSNNQRLLHVGDIDRTSKSYIFTSCSMWLVTEDRVESAGDGGMTSYDVEAFMRVNLLLLNEQMWEAVSK >ONIVA09G03540.1 pep chromosome:AWHD00000000:9:4811216:4822593:1 gene:ONIVA09G03540 transcript:ONIVA09G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPEEVKAVEPKSNDLVHKTWRNIGKRTRQYNNEGTKTDREAMDRNTLGHSILLEESKEPIKQMKGKQEDSFAGTAKNDHVDHSEKNVPKDLEALARATKNVPEDVEITEIKSNDLDNKTGRNIGKRNRQDDSGSKTKILLNTHGEGGQLDSNFHTVLCSCYWRI >ONIVA09G03530.1 pep chromosome:AWHD00000000:9:4806487:4806981:1 gene:ONIVA09G03530 transcript:ONIVA09G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGTTGEEVKKTIDLVSKDGERFEVARDAALLCKTLRWMIKGGYGRIPLPNVASPILARVVDYLARHAAAAAAAAAAMDDDGLDRFDRDFLAGVDQDTLFDLLLAANYLQADGLLDLACKKVAAMMTGKSPEQMREIFHIVNDLTPEEEKEIREDIAWALN >ONIVA09G03520.1 pep chromosome:AWHD00000000:9:4794706:4796192:1 gene:ONIVA09G03520 transcript:ONIVA09G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLYYYYFTQFFKKFSRLKVNFSKSNFFCSLGKSRKKRINIFCHYYFKTNNMWHSHGGPKFGRLPKSRARCAPLR >ONIVA09G03510.1 pep chromosome:AWHD00000000:9:4764945:4765136:1 gene:ONIVA09G03510 transcript:ONIVA09G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQGARGGGGGGGGYGWRWGEKAGLVVSLLDDALFHVLYAAEAVVLSAALCSFFLCCGCNI >ONIVA09G03500.1 pep chromosome:AWHD00000000:9:4759629:4762531:-1 gene:ONIVA09G03500 transcript:ONIVA09G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEVHKNKWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPILVYKGIVREFHMQDEDAGRPYRKFLLIAGHTCLVMNGDTSIEATAQKKTEVSLILCIKLSTYIIGSQVLCRYLTRSTWLMLHLHKKKIHD >ONIVA09G03490.1 pep chromosome:AWHD00000000:9:4747230:4750202:-1 gene:ONIVA09G03490 transcript:ONIVA09G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQAPSAPVTPAAPPPQTPPVTPPTPVAPPPVPPSPPPPTPTPTPVTPSPPPPVTPSPPPPVASPPPPDVPTAPPPSNNPPSPPPSPSNVPASPPPPRISLSPPPPPSTPTQSGASSGSKSSNNGTVVAVGVAVAAVVVLGLAAGLIYFFVSKRRRRRQHPPPPHHPGYPPFPAEFYDPHRPPSQQQSHALSPSPSSTPPLLLQPHSFVSSGGASEAASAVPGIAMMGGAFGYDELAAAADGFSESNLLGQGGFGQVYKGTVRGQEVAIKKLRSGSGQGEREFQAEVEIISRVHHKNLVSLVGYCIYGEQRLLVYEYVPNKTLEFHLHGSGRPALDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYTFEPKVADFGLAKYQATEQTAVSTRVMGTFGYLAPEYAATGKVNDRSDVFSFGVMLLELITGKKPIMVSHGDQPDTLVSWARPLLVRAVEEENFEELVDPRLENNYDAYDMGRLIACAAAAVRHTARSRPRMSQIVRYLEGELAAEDLNAGVTPGQSAMQRTSGGTTDQMKRLRKMAFGSATGTGTVSEYTSSEFSEPTSEYGLNPSTEYTTSAAGGDTGEVTVDVQMTAGASGEAAGTERLSRRTTARRGGRV >ONIVA09G03480.1 pep chromosome:AWHD00000000:9:4739013:4742732:-1 gene:ONIVA09G03480 transcript:ONIVA09G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYYKARPDAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKVSSAHVYLRLKKGESIDSICDGLLEDCAQLVKAHSIQGNKMNNVEVVYTPWSNLKKSPSMDVGQVGFHNTRMVRVVIVEKRVNEIINRLNKTRVERRPDLKAEKDASNAAEKAERKMQLKEKRRREEMERLEKERRAEIRSYKGLMVAEKMTSNRQIASAGNSMQEMEDDFV >ONIVA09G03470.1 pep chromosome:AWHD00000000:9:4723823:4727314:1 gene:ONIVA09G03470 transcript:ONIVA09G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFAAALLALLLAAMAAPPAEAAVGVNWGTLSSHRVPPPVVVDLLRANRIGKVKLFDADPAVLRALAGSGLQVMVGVTNAELAAVAGSPAAADAWVAQNVSRYVGRGGVDIRYIAVGNEPFLTSYQGQFQSYVIPAMTNIQQSLVKANLASYVKLVVPCNADAYQSASLPSQGVFRTELTQIMTQLAAFLSSSGAPFVVNIYPFLSLYQSSDFPQDYAFFEGSTHPVVDGPNTYYNAFDGNFDTLVAALGKIGYGQLPIAIGEVGWPTEGAPSANLTAARAFNQGLMNRVMNNKGTPLRPGVPPADVYLFSLFDEEQKSILPGNFERHWGIFSFDGQAKYPLNLGLGNPVLKNAREVPYLPSRWCIANPAQNLDNVANHLKLACSMADCTTLDYGGSCYGIGEKANVSYAFNSYYQQQKQDAKSCDFDGNGMITYLDPSMGECRFLVGIDDSKSSAVSSCGCGCGVCCGVWVLFFWVFMYLRMMGSV >ONIVA09G03460.1 pep chromosome:AWHD00000000:9:4683832:4683990:1 gene:ONIVA09G03460 transcript:ONIVA09G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTCKVAPRPKTGWAEPARAAADRMMGVSAELAVRWQQKVEHDSQQEQKE >ONIVA09G03450.1 pep chromosome:AWHD00000000:9:4679048:4679581:-1 gene:ONIVA09G03450 transcript:ONIVA09G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAPQETNAAAGEMVTLISSDGARFEVPEAAARLSQTLLDEMKKDDYRASNGIPLPNVAGDVLAKVIEFCTKHAATAAAINADTPAKTSKEEEELMVKSFDDEFILVDNHMLYGLLTAADAMRIQGLMDLACQRLVDMLKGKTSEQMRQTLGITNDFTPEEEEEFRREDEEQWL >ONIVA09G03440.1 pep chromosome:AWHD00000000:9:4674222:4674644:-1 gene:ONIVA09G03440 transcript:ONIVA09G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGGGGGKTVIETVVKRMNNGDHHAGDNGITIPNVTDNVLAERYCMKHAALSSGTDDVKAMHEEELHKFDRVFVKVDNDRLRRLISAANVMGIDGLIDLACQRMANMLKGKRLKQMRQTSGIDNHVREGGGDPQVGGE >ONIVA09G03430.1 pep chromosome:AWHD00000000:9:4669792:4670814:1 gene:ONIVA09G03430 transcript:ONIVA09G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNTILALFIWAMVMVIFAAAMPAKARMEGIHPQGCRCCYFRLRPMIQCAKACCGSDDENCCLVNN >ONIVA09G03420.1 pep chromosome:AWHD00000000:9:4660487:4666103:-1 gene:ONIVA09G03420 transcript:ONIVA09G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTVSALSTVQSHRTVLSCLLGPSGPAPKPLCSLRGGDADEAYASSPPPPPPPSVRPPGRPAGNGHPYPTMGISKTEVNLLRLLDSAPRQQNQAKLIHYVTTSRELLEKLAAENTSEGISSIAKGRLNEYSERIEELAARLASLVPGYENAVEAIRKEESYLEGEQIRSPIALSPGLRRRLTALQEIEQPTNAKERNVAEPLRLDEAAQANIEKYRNLQEDLTDEIVELARQLKDSSLMMNQSVQATEKILDSTERAVAYSLAGTDRANARAVEIVHSGH >ONIVA09G03420.2 pep chromosome:AWHD00000000:9:4660487:4665471:-1 gene:ONIVA09G03420 transcript:ONIVA09G03420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTEVNLLRLLDSAPRQQNQAKLIHYVTTSRELLEKLAAENTSEGISSIAKGRLNEYSERIEELAARLASLVPGYENAVEAIRKEESYLEGEQIRSPIALSPGLRRRLTALQEIEQPTNAKERNVAEPLRLDEAAQANIEKYRNLQEDLTDEIVELARQLKDSSLMMNQSVQATEKILDSTERAVAYSLAGTDRANARAVEIVHSGH >ONIVA09G03410.1 pep chromosome:AWHD00000000:9:4653642:4655186:-1 gene:ONIVA09G03410 transcript:ONIVA09G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFQIGVWTASSRRNGVDGEDRAGEGEGCCVPLLPQRRRHRGCELAVMEGPHGREKTAAFPESIAQRPPSPTAVTPAWRRWSWGKWGVGDSGVEAMTVGQRGGKGGGKEGQRAGNVGMKAVELGKVRGRNGGMEAVTVARRGGKGGERGDMKITYLSSECSRGTMRKKSSLGILNSGVVVVVQLCRYDSIATMCTVRFFSRKIDFAITLQMLLAPIGVQCPVSSLGLAGDANVLHFGAWGCTADSRIRRVISSYLSGLSGFALALSRSTRSTGPTITVVITPPLPSCDAIKPDIGPN >ONIVA09G03390.1 pep chromosome:AWHD00000000:9:4646526:4648205:-1 gene:ONIVA09G03390 transcript:ONIVA09G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKPNSAQARGGNGGMSSHSTSWTDVVIDRIHGEAKSAYESIPPYFRRFDAFGNDEHPSIFKPRLKPQVNQLELFAAAAATTTSPAHAPGQPPLDSAGGERGQLRRPPTPETDKDHIVVAAVGPYHHRTASAQQQPPPLITCAKKCGIVNYLSGSFNLDVVGFLEWAQKNEERARRCYERESFQMNSEEFAEMLLLDGCLLLFAIFLLRPSIREDKLPAELAADADHGREFRNLSAHISFHMKQTRLDLLVLHNQIPFFVLTELHSRLKNTFFAGVNYSLEELALSCFQDVHPFGLKEGDLSPTTQRDGGGNGGGSGTERFPQRVHNLLHLFHWSLVPGQKYGVDINSIPPREPESHLPSATELEESLTIFTKHKDAKGSRSSCCLDITFESSRMATRGVMRLPALHIHGYSEAVFRNLIAFEQNHLRCGHGVTTYAICMARLLQSDADARLLRNSGILPYTQRTDKEIVDFFRQLVDECRNTCMPDDLIALCKDVAAHHQSTGVRVMKGFVLQCFPKQTITFFVIFGAIISIATLINTVHSMYRYYHPRGNLPPMGR >ONIVA09G03380.1 pep chromosome:AWHD00000000:9:4640733:4643862:-1 gene:ONIVA09G03380 transcript:ONIVA09G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVWTLRFFKHDRGPGAMVVEEPMDRDLVCFFNMVEIIEKLGYQKSDEMFFAQPGCYCRTSMTRIKGDSNVMVMLRENDSINKVDIHIFDRKVASSSALEEDVVADATDNVEANKNRDLEEKGSEQLKNSDEDLWMDQGDNTFLFVDGKEDEDSEYSDDDFDLDQERIDYIHKLQEIKRQRADTRNRCESDTDDEDLFVPVVDWSALSVVPVDSEDVAEAISNKAQCGVVNIQDGRMSQKTKLKDHMSQVVKITSITINQVHISQSMKGEQAGEGNLIQGGGVL >ONIVA09G03370.1 pep chromosome:AWHD00000000:9:4638468:4639848:1 gene:ONIVA09G03370 transcript:ONIVA09G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGADYSRLAAVEFSVGFLLNGGLRQRRVPSPSHWLGCYWFCSSKGCVGLLRFLRRAPFKKSGHLPNLRRNFLERWLMWLILAGMTSTSIARRPLSGMRWRTAVPYFRPSTTTEQYRVVVTSIGLHLVVMSATSQRLSSHCYPLAAMPRGSSLGLVISRWPLTILWSSLSNTCYARDLELAVNLLAICLFEYCGHAAPCVSFQHYGGSAILLLTGMVWFFLLVQGINQVPIS >ONIVA09G03360.1 pep chromosome:AWHD00000000:9:4618283:4619678:-1 gene:ONIVA09G03360 transcript:ONIVA09G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANIESFCCIDHRMIIQEMKREHGLLIDLKNHIIPILRFNNVQADHIVHAFDDILCCSNGIISKIQAEVCDGGNSDPGIDKGNGRNNALDNMKVFIEDGTVTKKKRRKNAQHTGSVVTATPDYDGYEWRKYGQKSISKTKHSRSYYRCTNQKGQGCMATKTVQQIENGNSSNSVVKLYNVDYFCKHTCKVSNEMVCPDIVETDSPKYSSINDKYASTRLTNHSDDHQPNNEMKPENFFAVPDMSLFSENMWDIMFEDVTMNSTFSLEQEAKDSWIKHQQDSTIHLWADELC >ONIVA09G03350.1 pep chromosome:AWHD00000000:9:4612138:4613071:1 gene:ONIVA09G03350 transcript:ONIVA09G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPWWLMAVADERWPAALEAKALLQASLPQHLLQIDAEETSGPWRMRWTSWGRLTSVELSTHLGAFLYSPLHGGDPVCDCQSIW >ONIVA09G03340.1 pep chromosome:AWHD00000000:9:4604869:4606136:1 gene:ONIVA09G03340 transcript:ONIVA09G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPNFWASPMQGKEKEASQLRARDYQQLTLRVYKGNMMTFRAGWFPKLEKLYLADMEHLSVIEMESGTMPIINYVKLIGLKSMMTGPAGFQYLTSLEEVVVEDMPEEFKRRWQGQDHVYIQHIPTYHLH >ONIVA09G03330.1 pep chromosome:AWHD00000000:9:4600911:4601264:-1 gene:ONIVA09G03330 transcript:ONIVA09G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERVKGTVKWFDATAAMEATAATAGEEAAVCATCVVRRATRPGTAPRTATTAWEVYNGRRPHQTWPTSTAAAAAARSFPLDLHYVRVNEPRLSVVHGAGVVVTALPENTPSYVSR >ONIVA09G03320.1 pep chromosome:AWHD00000000:9:4512354:4512872:-1 gene:ONIVA09G03320 transcript:ONIVA09G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGRGLNWVRSLAIFCHVFLFTVAVSTGQIAAPVEEADKGPNCFCPVLFKFFFYKFSMYAQFGRY >ONIVA09G03310.1 pep chromosome:AWHD00000000:9:4509020:4511521:-1 gene:ONIVA09G03310 transcript:ONIVA09G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast sulfur E [Source:Projected from Arabidopsis thaliana (AT4G26500) TAIR;Acc:AT4G26500] MASAAATSSSASLRLLTKPPKPLLSKPHLLTLCAPVSFQRLVARSSASPTPSPSAAAAASGSGVDPAQLPPALRDIVALFQSVPDPRTRYKQLLAYAARLPPMDPALKTDANRVRGCVSQVWVHAAPEEGGAPGRVSFQADSDAQLTKGLAALLVLGLSGAPARDVAMVPVEFIELLGIRQSLSPSRNSGLLNMLSLMKRKALEVATGEVTTEEIGSQEVVQEVAERPAAKEKEPEFAAFGAREEEGSEVHSPEEEQLEEMPADVMEGNGGLGGGRHERIKESLERGLSPVQLEIEDISHLHKGHAGVSGSNGETHFNVRVVSEAFQGKSLLKRHRAVYDLLQDELKNGLHALSIDAKTPSEV >ONIVA09G03300.1 pep chromosome:AWHD00000000:9:4503360:4506092:1 gene:ONIVA09G03300 transcript:ONIVA09G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVFVVLHKIGAILGGQVLNEIRSQFGKKSLIFEVENSVMELASEFRVMQAFINHVGMCSHQNAAYEAWLDEVKSVGFDAEDIIEEYAYLIAQTSNEGGLIKSVLHRSINAWCHIATQLKQIEARLQKLTAMKDRYGILISEQKLGSNPSHDDLKLMSDSLYFYSQDDIVGNEEELAWVTQRLIQGRKSRTVISICGMGGGRVIITTRNEDVAILADEDHCIMLKTLQWKEAWNLFSRKAFPSRKENQCPESVVQWAEKIVDKCKGLPLAIVAIWSLLSHKKKEENEWKLFYNQLNWQLINNPELNFVIAVLNLSFEYLPSNLKYCFLYCGLFPEDYLIKRKQIIRFWIAEGFVEETGANITMEELAEEYLKELAQRSLLHVAERNVYGRAKSFQMHNLVRDMVVSKCKTYKFSELVVDHCVTKHKYKTRRISVLEADHASEAPTYGEKVRSFILFDKKVPYSWLETASRDFRLLRVLSLRRASIHKVPDVVSNLFNLRYLDLAYTRVKVIPRSLCRLNKLQMLDLWFTGVVELPREIKLLTEIRYMVATVMSEDNHRIFNCFLPVRFPCEVCHLKDLQVLGYIEASKDMISNLRNLNQLRNLFMMKVEHNYLTELWASIKRMPNLVRLGIISGDSDEVFNMEHLDPLPELETFHLRAKLQDGVLPKMFHGLVKIRDLEMGWSGLQVDPVCTFSHMSNLTELRLYRVYEGVLLSFQAGLFPKLKKLSLADMENLTWIEMEDGTMQSLNFIALIGLRNLKVVPEGFQYLMSLQEMFLQDIPQEFIKRAQREDRVYIQHIPKIRKF >ONIVA09G03290.1 pep chromosome:AWHD00000000:9:4494963:4496700:1 gene:ONIVA09G03290 transcript:ONIVA09G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWASPRRSPRNIEHIGGPSIRTPLKGKRLAKAQPLERAEKRGTKLCIVESDEDEYPSPGAKPAQEPSADAAQEPKRTQTAPRLKRAIPKFRASSRESTADEEPSTGCQSGSTGGPTANEEPTADDETKPVGEEENRKEPLSEKQLERAETGLESQEPPSSGILTNASEEIREEPSSSAGPSADSTEKVKGPPQKKPTTITEDEEKILRIKSVEYSLPSVKLSDVMLTGSHMVSSSTSRRRKIAIVGEEPK >ONIVA09G03280.1 pep chromosome:AWHD00000000:9:4457230:4459547:-1 gene:ONIVA09G03280 transcript:ONIVA09G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKVEIKVPMTDERKKSKVMQIIAKHSGILSITADRDKDKVTIVGNENMDVTCLTMELRKQMRRTHIVIDTVTPVDEKKEKEEKEKKEKEEKEKKEKEEKEKKKKEEEQNNPKIVCTPYYVHMVDEPSPSCCQM >ONIVA09G03270.1 pep chromosome:AWHD00000000:9:4441568:4442875:-1 gene:ONIVA09G03270 transcript:ONIVA09G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTLGHSNLLEELKESVKHTKGKQEDSFGETAKNDHVDHSDLESSNLPDPGKKNVPKDLEALARAKKDVPKEVEFIEMNSNDLDNKMRKNIGKRNRQDDNGSKTKKSSNRNVQGHGTSRSVKGRTTELTPDPTEHAMGRGATRLTLPHGFV >ONIVA09G03260.1 pep chromosome:AWHD00000000:9:4428145:4428840:1 gene:ONIVA09G03260 transcript:ONIVA09G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAQHAAGLVGWGTAVPFLGWQRDIRCLGVAGNDDPRGGGLQQGQCVGHASMWDSLGEALAWWRVVLTTEKDLSSLSPFVPPTILRQRLGAYAQLFLVGVGGAPIALSLVQW >ONIVA09G03250.1 pep chromosome:AWHD00000000:9:4423006:4423808:-1 gene:ONIVA09G03250 transcript:ONIVA09G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVVKMPMDTERKKRKAFKAAVGMTGVTSASLDGDKLIVIGDGVDPIALTTMLRRSLGHAELLSVSSGDDKKMGGGGGHGGMGMGMGMGFGGGHGGMGFGGGHGGKEGKEGGGKVVVDGVHHHHQQQLQQQHAMAPPMQPYQAAPAYYNAAAPSYPVYPSYAGYPQQEQDPGCSIM >ONIVA09G03240.1 pep chromosome:AWHD00000000:9:4418411:4419199:-1 gene:ONIVA09G03240 transcript:ONIVA09G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGEERRGVEEEEEKKKIRGGRIRGGNFPIGPGDPVETHPYGGRGGWNFRPTASAAQADTPMELARGRHIQLGVAGPRSPAGPPRVQGRVTRGYRGG >ONIVA09G03230.1 pep chromosome:AWHD00000000:9:4407270:4408629:-1 gene:ONIVA09G03230 transcript:ONIVA09G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEGTFELKPYLHMWQILEEFCFEQKVEIKVPMVDEKKKSKVMQIISKQCGILSITADMEKGKVTVVGNDRMDVADLTTVLRKKMPHTYIIIDTVTQVDEKKEKEEKDRKKMEEECKNLWPNIIYPPYQYPPYMVESSGQCCQM >ONIVA09G03220.1 pep chromosome:AWHD00000000:9:4393107:4398626:1 gene:ONIVA09G03220 transcript:ONIVA09G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTVPDSQDLPRRYGGRVTTFVVLSCITAGMGGVIFGYDIGVSGGVTSMDGFLSKFFPEVYRRMKGTSVSNYCKFDSELLTAFTSSLYIAGLLTTFLASSVTARCGRRPSMVIAGSAILAGSAIGGTAVNVSMVILGRVLLGVGLGFGNQAVPLYLSEMAPPLHRGAFSNGFQLCVGIGAVTARLTNFFTQKIRQGWGWRVSLAVAAVPGGLLTLGALLLPETPNSLLQQGRDKRRVRVLLTRIRGVSDVEDELEDIVAANSDKANSSRGLQMMVTQRQYRPQLVMAIMIPFFQQVTGINAISFYAPVLLRTIGMGESASLLSVVVTGLVGTSSTFVSMFLVDRFGRRTLFLVGGAQMLVSQLMIGGIMATQLGDHGQVSKTCALVLIFLIAVYVAGFAWSWGPLGWLVPSEVFPLEVRSAGQSITVAVNFLMTTAVAQLFLATLCRMRAGIFFFFAAWLVAMTAFVYLLLPETKGLPIEQVRRLWAQHWFWRRFVDTASNGEQAKLDC >ONIVA09G03220.2 pep chromosome:AWHD00000000:9:4393107:4394134:1 gene:ONIVA09G03220 transcript:ONIVA09G03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTVPDSQDLPRRYGGRVTTFVVLSCITAGMGGVIFGYDIGVSGGVTSMDGFLSKFFPEVYRRMKGTSVSNYCKFDSELLTAFTSSLYIAGLLTTFLASSVTARCGRRPSMVIAGSAILAGSAIGGTAVNVSMVILGRVLLGVGLGFGNQVHIIS >ONIVA09G03210.1 pep chromosome:AWHD00000000:9:4392651:4393058:1 gene:ONIVA09G03210 transcript:ONIVA09G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTTITPNTYTRHFGGLAVFERVSGESPAWFSMTGDIDACGCRFLLGGIALLSLSLGENPVRFRASVDIGIVVASLLGDLALKILLFPRAN >ONIVA09G03200.1 pep chromosome:AWHD00000000:9:4384305:4386482:1 gene:ONIVA09G03200 transcript:ONIVA09G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDIALSLDVINSGVSLKGGNCPRSPPHFSITLFYFYKYCGQRPGFIDKAGMKLTLLLLQILFFGLDLVSCAYGEYVFIYSGFAHNNITLDGAAMVTANGLLDITNGSTKLNGHAFYPTPLPFRNFSSGLVQSFSTSFVFGVQSTYPSQGFTFFIAPSKNFSSALPVQFLGLLNSENNGDMKNQIFAVEFDSIKNIEFQDINNNHVGFDINSLISVDSYPAGFYDDKDGTFSNLTITSSEAMQVWVDYNGDIAQISVTMAPMGMAKPLKPLGSANRNLSSVLSEMAYVGFSSAAGRDNTRHYILGWSFGLNSAAPSIDITSLPKMPHFGPKARSKILEIILPIATAVSILSVGTIILLLVRRHLRYSEVREDWEVEFGPHRFSFRDLFHATEGFKDKNLLGIGGFGRVYRGVLPASKLDIAVKRVSHDSKQGMKEFVAEVVSIGRLQHRNIVHLLGYCRQKGELFLVYDYMPKGSLDKYLYGQEDKPILTWAQRFLIIKGITSGLVYLHEEWEKVVIHRDIKASNVLLDAEMNGRLGDFGLARLYDRGVDAQTTRVVGTIGYMAPELVSSSKATPLTDVFSFGIFVLEVTCGKRPIKEDVNGNQIMLVDWVLENWQKGSLTDTVDTKLQGNYDVDEASMALKLGLLCSHPFADARPKMQQVMQYLEGEVPIPEDMPPHLSFEMLTLMQNEGFDSYVMSFPSSVTNHSSAASHGSLMSALSGGR >ONIVA09G03190.1 pep chromosome:AWHD00000000:9:4379731:4381737:-1 gene:ONIVA09G03190 transcript:ONIVA09G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPPFFLLLVSLGFNLIALCAGQNQFIYHGFTGKNLTIDGTTKITPEGLLELTSDKNDLNGHAFSPTPMHFRSSPNSTVQSFSVNFVFAIQSFYSDRSYDGMAFLIAPSNNLSTAWPDGYLGLFNISNRGNSSNRILAVELDTFQNNEFGDISNSHVGIDINDVRSVNSSFAGFYDDKNGIFTNLTLYNGRAMQVWMEYSEEATQITVTMAPIDKPKPKRPLLYATYDLSTVLTDPVYIGFSAATGVISTRHIVLGWSFGMGVPAPDIDITKLPKLPRVGTKPRSNVLEIVLPIASAMFIIIVGTMVILIVRRKLLYAELREDWEIDFGPQRFSYKDLFHATQGFKNKNMIGVGGFGKVYKGVLATSKLEIAVKKISHESRQGMKEFITEIVSIGRLRHRNLVPLLGYCRRKSELLLVYNYMPKGSLDKYLHDVDNRAILNWAQRFQIIKDVACGLFYLHERWEKVVIHRDIKASNILLDAEMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYLAPEMVQTGKASPLTDVFAFGAFLLETTCGQRPVKQDSQGNQLMLVDWVLEHWHDGSLTEAVDMRLQGDYNIDEACLVLKLALVCLHPFPASRPNMRQVMQYLDKDLPQPELAPTRLGFSKLPLMQNKGFNPSAMSYPELRTSIGTFSGLSGGR >ONIVA09G03180.1 pep chromosome:AWHD00000000:9:4369288:4374353:1 gene:ONIVA09G03180 transcript:ONIVA09G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVFIVLQKIGAALGREALNVVGTQLQKQPPTLVDVENNMRQLKIEFHVMKAFLTQQQIHFSQDRAYDAWLDEVKNVAHEAEDVIDEYVYLAGQTAKETSKLKKLFHCSKTTSDWHIIATQLSQIKSRLQNLTNMKARYGISANDSEDGSTSSHESLKELTSDSAYFDTEDDMVGNKKESEKVMKLLIHGEETRTVISICGMGGLGKTTLARAIYKKNEIRKNFDCFSWITISQNYKVEDLFRRILKQFLDMNENIPDQTDIMYRVSLVERLRNYLQDKKYLIFLDDIWSQDAWILLDRAFVKNKKGSRIVITTRNEDVASIANNGCSFKPKYLPWGDAWDLFCRKAFHRLDQNGCPQVVMHWAEKIVSKCEGLPLAIVAIGSLLSYKQIDEAEWKLFYGQLNWQLTKNQKLNYVTSILNLSFDYLPANLKNCFLYCSMFPEDHEIRRKQIIRLWIAEGFIEERGDITLEEVAEDYLKELVQRSLLQVAWTKEYERPKSFRMHDLVRDITVTKCKTEKFSLLADNTCVTKLSDEARRVSLVKGGKSMESGQGSRKIRSFILFDEEVQFSWIQKATSNFRLLRVLSLRYAKIVKLPDAVTYLFNLHYLDLRHTEVQEIQQSIGKLRKLQTLDLRETFVEQLPEEIKFLTKLRFLSVDVDCDPSNLHRHFPRFQATRICSEFYLLTDLQVLGDIKASKHVVTNLSRLTQLRCLGICDVKQDHMEKLCVSIKSMPNLVRLGIVSHGEDEILDLQHLGHVPDLEWLYLRGKLHGAGATSNLQNFSKLRYLSIGWSRLQVDPLPAISHLSNLAELYFQKAYDGLLMTFQAGWFPNLRELGLADMDQLRSIDIEAGTMPNLSILIINLGIWDLYN >ONIVA09G03170.1 pep chromosome:AWHD00000000:9:4353825:4361921:1 gene:ONIVA09G03170 transcript:ONIVA09G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05970) TAIR;Acc:AT5G05970] MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYTPAPAHPVNAVRWNHTNLIVASAGDDKKISLWHKKGQNVGQLPTSTVDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHILVTAGDDGSVHLWDTTARTPKVSWLKQHSAPISGVCISPSSDKIIATVGLDKKLYTLDSGSRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVTGLCWQRSKPVIVNENSSSEVALLGGSSEESVLMPDPLPSATSAFHSGGVIPNLRSSLAANPSGFLSTSTSSTVEETPYRTRPLSGGPLSKLQAPRSNFSLKDDMDVFSPLVDVQPFTPSSGSLWDDHGSDETKKDDKLGEKKLSTTRKFPFIEDNNEPHPISDWKSISNSRQDDASSATTTSMPSWKSELSITSPETATGNALSDRLTHRQQVSRFGASAFQTGSFAFAGLQDSAPTTGLASAFGSPKSKKTGAETKDELLSSLLSRQEAAAASSSANLVANNGVVPPQLPTSGLSADQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEMEMSGVLNLVLEKLEGLTKEVQQLRRENQQLRQQLL >ONIVA09G03170.2 pep chromosome:AWHD00000000:9:4353825:4361921:1 gene:ONIVA09G03170 transcript:ONIVA09G03170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05970) TAIR;Acc:AT5G05970] MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYTPAPAHPVNAVRWNHTNLIVASAGDDKKISLWHKKGQNVGQLPTSTVDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHILVTAGDDGSVHLWDTTARTPKVSWLKQHSAPISGVCISPSSDKIIATVGLDKKLYTLDSGSRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVTGLCWQRSKPVIVNENSSSEVALLGGSSEESVLMPDPLPSATSAFHSGGVIPNLRSSLAANPSGFLSTSTSSTVEETPYRTRPLSGGPLSKLQAPRSNFSLKDDMDVFSPLVDVQPFTPSSGSLWDDHGSDETKKDDKLGEKKLSTTRKFPFIEDNNEPHPISDWKSISNSRQDDASSATTTSMPSWKSELSITSPETATGNALSDRLTHRQQVSRFGASAFQTGSFAFAGLQDSAPTTGNSLKGSLTSNILMNLQNKGVLSNARPSLDISTSSLQSSLSSGLMAKTMPPVNSDQPGAAQSSSQWRPSTYTDRVSTSSVFSEGLASAFGSPKSKKTGAETKDELLSSLLSRQEAAAASSSANLVANNGVVPPQLPTSGLSADQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEMEMSGVLNLVLEKLEGLTKEVQQLRRENQQLRQQLL >ONIVA09G03170.3 pep chromosome:AWHD00000000:9:4361745:4365807:1 gene:ONIVA09G03170 transcript:ONIVA09G03170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05970) TAIR;Acc:AT5G05970] MWNANKEPRPFASRGHLPPVHTRGRRLLRGVASESSPNLFPCLFPLPHLPDLAWPGPQSKTSDLNRRNPQPQPPKPIRRRAASPRSSLPPPPQTRASSAAARPPADESEFGFNITGAAMFNRLFGKPKEQANASALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEQSKRDAASVQYSSVLF >ONIVA09G03170.4 pep chromosome:AWHD00000000:9:4361745:4364653:1 gene:ONIVA09G03170 transcript:ONIVA09G03170.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05970) TAIR;Acc:AT5G05970] MWNANKEPRPFASRGHLPPVHTRGRRLLRGVASESSPNLFPCLFPLPHLPDLAWPGPQSKTSDLNRRNPQPQPPKPIRRRAASPRSSLPPPPQTRASSAAARPPADESEFGFNITGAAMFNRLFGKPKEQANASALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEE >ONIVA09G03170.5 pep chromosome:AWHD00000000:9:4362100:4365807:1 gene:ONIVA09G03170 transcript:ONIVA09G03170.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05970) TAIR;Acc:AT5G05970] MFNRLFGKPKEQANASALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEQSKRDAASVQYSSVLF >ONIVA09G03170.6 pep chromosome:AWHD00000000:9:4362100:4364653:1 gene:ONIVA09G03170 transcript:ONIVA09G03170.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05970) TAIR;Acc:AT5G05970] MFNRLFGKPKEQANASALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEE >ONIVA09G03160.1 pep chromosome:AWHD00000000:9:4349700:4350332:-1 gene:ONIVA09G03160 transcript:ONIVA09G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSAPRYPDDGGGGGGGICCVLCWCCCFLFLIVAALAGAAAYALFLYKPKAPSYSVSNMSVSQFDFNSNDLTLYTKLVATVRAENPNEMIGIIYGDGSRTVVSYRGTPLCSGHLPTFYQGFKNVTVMEISMEGRHGFGSGLQSALEESEKEGNVPLDVFVSVPVSLRFGSFDVREVRVNVHCALVVDSISPKKKPTIKSATYQGNVEF >ONIVA09G03150.1 pep chromosome:AWHD00000000:9:4347179:4347514:-1 gene:ONIVA09G03150 transcript:ONIVA09G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHAYADSGAPTRSHGHGRGLGANHTPRLGGLDPHSLTSSPSDEQAISTPPPTSSNWLPLLVPAPSRFFSASIVVDGFCKSGHVTYALHLLDEMTSLMRCAITRCSIHTR >ONIVA09G03140.1 pep chromosome:AWHD00000000:9:4346626:4347015:-1 gene:ONIVA09G03140 transcript:ONIVA09G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMCLELDVYTVYIQYGRADRMHEAENLLHIMIENGVRPNNVRCTTLISIRCNEGGMVEARRLFQEMAGNGAKSSLVTYNVMIDGTSRRGAHARLKGSERRWRRSYAAVVHWQCVSGKMDVPLGLFEL >ONIVA09G03130.1 pep chromosome:AWHD00000000:9:4307034:4308040:-1 gene:ONIVA09G03130 transcript:ONIVA09G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTARWELASTASTEKNRMVFTDCSTTSAAADCPPHAAARGEGGGRRARYRATKGVGLGVGVGDKMAASARPMITWPAGWSHWGRGEEEATPVADLVVEEVQIHPQPPVVSLN >ONIVA09G03120.1 pep chromosome:AWHD00000000:9:4296001:4303150:-1 gene:ONIVA09G03120 transcript:ONIVA09G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G47800) TAIR;Acc:AT5G47800] MYESFLIKDIKKFFTMKYMKLGTKPDTFYTEEAVRSVLSDVPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDDDGVDAAPVPVALHDIPGGEEAFELCAKFCYGISINIGAGNFVAAALAARFLRMTEAVAKGNLVAKLDSFFDSCILQGWKDPIAALTAAWRISGWSESRIVQPCVDAIVEKILTPPSKVTWSYTYTRPGYAKKAHQSVPKDWWTEDVSELDIDVFRSLLSTVRAARLLPPPLIGEALHVYACKHLPDPLNHAAAAATANGQSSELETAAAKQRRVLETIVTMIPGDAGAVTGRFLLRLLRVASYVGASPSTRAQLVRQAGAQLDEARAVDLLVPMPSSSDPPAYDVGAAEAVLEHFLALFQRPAPPDERRRMSAAMEKVARTFDEYLRAVALHADFPVGKFVDLAECLPDIARNDHDGLYHAIDTYLKEHPELSKADKKRLCRMIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERAMGGGGASGSNSAVAPDAIAMLAARKEKEDEPPAPAADHKSDVHRPRGDHGERARADGAAMTRSLSASTTKTAGAAAPRTAESRGSRMRNK >ONIVA09G03110.1 pep chromosome:AWHD00000000:9:4280722:4294492:1 gene:ONIVA09G03110 transcript:ONIVA09G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrolases, acting on ester bonds [Source:Projected from Arabidopsis thaliana (AT3G27325) TAIR;Acc:AT3G27325] MAGFGGTCRVAAVLVFTAWIALTALTRLLRPVPNGCVMTYMYPTYIPVSTPKNVSSDRYALFLYHEGWKQIDFHHHLSNLNGVPVLFIPGNGGSYKQVRSLAAESFRAYQNGPLEPTFYREASTAFSVNELEGFSIPSRYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHLARSKGRAQSSDNLPSSVILVGHSMGGFVARAALVHPGLRKSAVETILTLSSPHQYPPIALQPSLGQFFLRVNEEWRNGYKTGLSRTSSAKLSNVVVVSVAGGIHDYQVRSKLASLDGIVPSTHGFMVGSSSMKNVWLSMEHQSILWCNQLVVQVAHTLLSMVDPLNGQPFLSSQKRLFVFAKMLQSAVPQSLSWVAPVSGVKPPNLIASGNKEASDLQQKDSLSCPPSLQWTSDGLEKDLHIQLNSVTVLAMDGKRRWLDIKKLGSNGKGHFVFVSNLSPCSGVRIHLWPEKDHSSEQNGVPASKKIVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPTAFLLLSPEEMSGFRFMTISVAPRPTISGRPPPAASMAVGQFFNPEEGTSALSAARIIGSSYIPEEIFLKEDHPLALNLSFSVSLGLLPVILSLRTAGCGVKATGDQLEAEKNKLCKLRCFPPVALAWDPVSGLHIIPNIYSETLVVDSSPALWDSHQGTERSTVLVLADPHCSYEVSLRVSLSAAASRFFLLYSSQILGFMIAVMFFGLMRQSSAWEHDSSVPSVLSAIESNLRLPRAFMFLCFIPVLLFLAFLVFTREQNPPLGTFLLVTMMCYIVANGFTILLILSSKLILYVAAILHVFIKRRWQSWEDGTQSMIVRHFLTLSLPFQSLKIIKNNPSIIVAFATIPLVCLVHPAIGLGVLLLSHAFHAHSTLCSITQKKDLYKSMGDNIILPENKQDGLEQLLPMDDSPTSVKSFTDCQLEVFDCRHGIMILHLLATLMFAPSLVAWLQRIGMGQNFPWFVDSVLCVGTILHGLFGSPPNVSCISFKLPGRRGRDVGLSFLYLVAGYYSFVSSMALAPYRALYALAIIGFICFASRIIETRSTTQFCNIQENTSYIMCNIR >ONIVA09G03100.1 pep chromosome:AWHD00000000:9:4266128:4271511:-1 gene:ONIVA09G03100 transcript:ONIVA09G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLSRSVARLSRCSSTLQPNSPVSRCLCSASQPLSGEMLHSRRHLHHLSRFAYGCKVMPSIIGVKNEKNKSKFDWHCHFSYANDSFCTSNYTLAKQFSIIKGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQIYRYIAVRDHLDSMLNYVVNRSRDDPIIVKQFDMRIKKGDREEDDLELIDGALEYSQITTPAMRPMTSRVSGNCGAVDITLAYLYQAVEATIEVSISKVPRGFQFISECVRQEIQLFDGMVGEPCGLRRYVVVVASDTWMHLKFKVCEKGSKNDVGHYCSFKANRHGFASQQIVVEPGAITVKTVGDIL >ONIVA09G03100.2 pep chromosome:AWHD00000000:9:4266128:4271511:-1 gene:ONIVA09G03100 transcript:ONIVA09G03100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLSRSVARLSRCSSTLQPNSPVSRCLCSASQPLSGEMLHSRRHLHHLSRFAYGCKVMPSIIGVKNEKNKSKFDWHCHFSYANDSFCTSNYTLAKQFSIIKGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQVFQIADRHETCLEPMMLSQPMDCQPNRDTCTVHFSTRMMQIFSIKLAKIHMYSGPVQIYRYIAVRDHLDSMLNYVVNRSRDDPIIVKQFDMRIKKGDREEDDLELIDGALEYSQITTPAMRPMTSRVSGNCGAVDITLAYLYQAVEATIEVSISKVPRGFQFISECVRQEIQLFDGMVGEPCGLRRYVVVVASDTWMHLKFKVCEKGSKNDVGHYCSFKANRHGFASQQIVVEPGAITVKTVGDIL >ONIVA09G03100.3 pep chromosome:AWHD00000000:9:4268520:4271511:-1 gene:ONIVA09G03100 transcript:ONIVA09G03100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLSRSVARLSRCSSTLQPNSPVSRCLCSASQPLSGEMLHSRRHLHHLSRFAYGCKVMPSIIGVKNEKNKSKFDWHCHFSYANDSFCTSNYTLAKQFSIIKGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQVFQIADRHETCLEPMMLSQPMDCQPNRDTCTVHFSTRMMQIFSIKLAKIHMYSGPVQIYRYIAVRDHLDSMLNYVVNRSRDDPIIVKQVLPSP >ONIVA09G03100.4 pep chromosome:AWHD00000000:9:4268520:4271511:-1 gene:ONIVA09G03100 transcript:ONIVA09G03100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLSRSVARLSRCSSTLQPNSPVSRCLCSASQPLSGEMLHSRRHLHHLSRSHMRLFSKKTKDMWWFTEGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQIYRYIAVRDHLDSMLNYVVNRSRDDPIIVKQVLPSP >ONIVA09G03100.5 pep chromosome:AWHD00000000:9:4268520:4271511:-1 gene:ONIVA09G03100 transcript:ONIVA09G03100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLSRSVARLSRCSSTLQPNSPVSRCLCSASQPLSGEMLHSRRHLHHLSRSHMRLFSKKTKDMWWFTEGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQVFQIADRHEIVFGEACLEPMMLSQPMDCQPNRDTCTVHFSTRMMQIFSIKLAKIHMYSGPVQIYRYIAVRDHLDSMLNYVVNRSRDDPIIVKQVLPSP >ONIVA09G03100.6 pep chromosome:AWHD00000000:9:4266128:4267183:-1 gene:ONIVA09G03100 transcript:ONIVA09G03100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKKGDREEDDLELIDGALEYSQITTPAMRPMTSRVSGNCGAVDITLAYLYQAVEATIEVSISKVRQEIQLFDGMVGEPCGLRRYVVVVASDTWMHLKFKVCEKGSKNDVGHYCSFKANRHGFASQQIVVEPGAITVKTVGDIL >ONIVA09G03090.1 pep chromosome:AWHD00000000:9:4263236:4265633:1 gene:ONIVA09G03090 transcript:ONIVA09G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIHHHLAVPVAPAPPPRGHGPATAARRLTRHRPRCRSGAAAGARGRTMMAVIASSMVEPASGEETAARSAADVVRAFYDGVNRRDLAAVEPLIAEGCVYEDLVFPNAFVGRAEILGFFAGFMGSVSSDLRFVIDDISAGDDSRAVGVTWHLDWKGRPFPFSRGCSFYRLQLDEKQQQLQIVYGRDCVEPAVKPGESALLIIRAVTWIFERFPRLANMLETCAHIFLSCRYTQQVCATVRGRLGLSSTTPSADLSSWWRSARKSISKQDRKTFDAGDLGDLKERNARIFDNKAIPAAHLCAAMEDEWTSWGAAGLLCPLQAGSNLMAREQH >ONIVA09G03080.1 pep chromosome:AWHD00000000:9:4237333:4256648:-1 gene:ONIVA09G03080 transcript:ONIVA09G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSCLHLLITLAVAAAAATGVLQAGRAQPDSNGFISIDCGLSGTASYVDNATKLSYSPDAAFTDAGTNNNISPEYLLPSGSRVFDNVRSFPGAAAPRSCYTLRSLVPGLKYLVRASFKYGNYDGLRRLPVFDLYVGVNFWTTVNITDAAVAQGLEAIVVVPGDSLQVCLVNTGGGTPFISGLDVRPLKNSLYPQANETQGLDLVARMNFGPADTYIRYPDDPHDRAWKPWIDPMIYAEITTTKMVQSVEEDVYEAPSAVMQTAITPHNASGSIQLRWEVKPNTNYPSPGCMFIMHFSELQLLQENTIRTFNISINNKIIGNITPDYLYADASLNNEPLRGSIQYNITLHATANSTMPPIINALEVFSIISTTTVPTNAKDVSAITTIKKQYEVKENWMGDPCVPKTMAWDWLTCGYAVSSPPTITGVNLSYNNLTGSIPEALSLLSSLTVLDLSGNQLSGSIPSELLKRAQDKSLQLRYENNPGLCINGTCPSPEGDPKLAIYISVPVVAVTVILVFVLFCLLRRKKKGSVNNTVNPHNELITHSYGSDSYGHGSMQLENRRFTYKDLQKITNNFEQVLGKGGFGYVYYGILEEGSQVAVKLRSQSSNQGVKEFLGEAQILTRIHHKNLVSMIGYCMDGDYMALVYEYMSEGTLEEHIAGRDHNKRNLTWIERLRIAHESAQGLEYLHKGCSPPLIHRDVKATNILLNLKLEAKIADFGLSKAFNRDSDTHVSASILAGTPGYIDPEYHATMMPTAKSDVYGFGVVLLELVTGKNPILRTPEPISLIHWVQQRLQCGNIEGVVDTRMHGVYDINSVWKVAEIALKCTAQASTQRPTMTDVVVQLQECLDLEYGHASSVPELSIDHVSKTRTILEMDHLERLQLSTVVEKMAARSCLHLLIILAAGVLQAARAQPDSNGFISIDCGLSGTAGYVDNATKLSYSPDAAFTDAGTNNNISVEYFSPANSRIFDNVRSFPSGAAPRSCYTLSSLVAGLKYLVRANFMYGNYDGLRRPPVFDLYAGVNFWRTVNITDAAASITAEAIIVVPEDSMQVCLLNTGAGTPFISGLDLRPLKNSLYPQANATQGLVMVDRVNYGPTDTFIRYPDDPRDRGWRPLIDTTRYVEVSTTKTVQNVAKDLFEAPSAVMQTAITPRNASDSIEVYWTADPSAASAGDPPPGYIAIMHFSELQLVQGNAVRAFNISLNDEWLDRMMPDYLYADADYSTVPFRGSNRYNLTFRATANSTLPPIINALEIFSVIPTTNVPTYAKDVSGITAIKKQYEVKQNWMGDPCVPKTLAWDWLTCSYAISSSPTITGVYENNLDLCINDTCPSPNGKPKLAIYISVPVVAVTVILVRKTKGSVNNIVNPHSEPTSHSHGSDSYGHGSIQLENRRFTYKDLQMITNNFEQVLGKGGFGYVYYGILEEGTQVAVKLRSQSSNQGVKEFLREAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLEEHIAGRDRNKRNLTWTERLRIALESAQGLEYLHKGCSPPLIHRDVKATNILLNMKLEAKIADFGLSKAFNHDSDTHVSTSILVGTPGYIDPEYHATMMPTTKSDVYGFGMVLLELVTGKSPILRTPEPISLIHWAQQRLQCGNIDAVVDARMHGVYDVNSVWKVTEIALKCTAQASAHRPMMTDVVAKLQECLDLEHGRAGSVSELSVDHVSKTNTIFEMGHLEKIPLPTMSSSPSTR >ONIVA09G03080.2 pep chromosome:AWHD00000000:9:4247334:4256648:-1 gene:ONIVA09G03080 transcript:ONIVA09G03080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSCLHLLITLAVAAAAATGVLQAGRAQPDSNGFISIDCGLSGTASYVDNATKLSYSPDAAFTDAGTNNNISPEYLLPSGSRVFDNVRSFPGAAAPRSCYTLRSLVPGLKYLVRASFKYGNYDGLRRLPVFDLYVGVNFWTTVNITDAAVAQGLEAIVVVPGDSLQVCLVNTGGGTPFISGLDVRPLKNSLYPQANETQGLDLVARMNFGPADTYIRYPDDPHDRAWKPWIDPMIYAEITTTKMVQSVEEDVYEAPSAVMQTAITPHNASGSIQLRWEVKPNTNYPSPGCMFIMHFSELQLLQENTIRTFNISINNKIIGNITPDYLYADASLNNEPLRGSIQYNITLHATANSTMPPIINALEVFSIISTTTVPTNAKDVSAITTIKKQYEVKENWMGDPCVPKTMAWDWLTCGYAVSSPPTITGVNLSYNNLTGSIPEALSLLSSLTVLDLSGNQLSGSIPSELLKRAQDKSLQLRYENNPGLCINGTCPSPEGDPKLAIYISVPVVAVTVILVFVLFCLLRRKKKGSVNNTVNPHNELITHSYGSDSYGHGSMQLENRRFTYKDLQKITNNFEQVLGKGGFGYVYYGILEEGSQVAVKLRSQSSNQGVKEFLGEAQILTRIHHKNLVSMIGYCMDGDYMALVYEYMSEGTLEEHIAGRDHNKRNLTWIERLRIAHESAQGLEYLHKGCSPPLIHRDVKATNILLNLKLEAKIADFGLSKAFNRDSDTHVSASILAGTPGYIDPEYHATMMPTAKSDVYGFGVVLLELVTGKNPILRTPEPISLIHWVQQRLQCGNIEGVVDTRMHGVYDINSVWKVAEIALKCTAQASTQRPTMTDVVVQLQECLDLEYGHASSVPELSIDHVSKTRTILEMDHLERVPLPTMSSAGR >ONIVA09G03080.3 pep chromosome:AWHD00000000:9:4237333:4247298:-1 gene:ONIVA09G03080 transcript:ONIVA09G03080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSCLHLLIILAAGVLQAARAQPDSNGFISIDCGLSGTAGYVDNATKLSYSPDAAFTDAGTNNNISVEYFSPANSRIFDNVRSFPSGAAPRSCYTLSSLVAGLKYLVRANFMYGNYDGLRRPPVFDLYAGVNFWRTVNITDAAASITAEAIIVVPEDSMQVCLLNTGAGTPFISGLDLRPLKNSLYPQANATQGLVMVDRVNYGPTDTFIRYPDDPRDRGWRPLIDTTRYVEVSTTKTVQNVAKDLFEAPSAVMQTAITPRNASDSIEVYWTADPSAASAGDPPPGYIAIMHFSELQLVQGNAVRAFNISLNDEWLDRMMPDYLYADADYSTVPFRGSNRYNLTFRATANSTLPPIINALEIFSVIPTTNVPTYAKDVSGITAIKKQYEVKQNWMGDPCVPKTLAWDWLTCSYAISSSPTITGVYENNLDLCINDTCPSPNGKPKLAIYISVPVVAVTVILVRKTKGSVNNIVNPHSEPTSHSHGSDSYGHGSIQLENRRFTYKDLQMITNNFEQVLGKGGFGYVYYGILEEGTQVAVKLRSQSSNQGVKEFLREAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLEEHIAGRDRNKRNLTWTERLRIALESAQGLEYLHKGCSPPLIHRDVKATNILLNMKLEAKIADFGLSKAFNHDSDTHVSTSILVGTPGYIDPEYHATMMPTTKSDVYGFGMVLLELVTGKSPILRTPEPISLIHWAQQRLQCGNIDAVVDARMHGVYDVNSVWKVTEIALKCTAQASAHRPMMTDVVAKLQECLDLEHGRAGSVSELSVDHVSKTNTIFEMGHLEKIPLPTMSSSPSTR >ONIVA09G03070.1 pep chromosome:AWHD00000000:9:4230475:4233112:-1 gene:ONIVA09G03070 transcript:ONIVA09G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVKVAPTALVHNCVEASIWVHVYSTVGRREDHTTCTHVCCSVDHILGSLNSNRGLLLFLSQHSHGEGAPSLPKNQQILLLL >ONIVA09G03060.1 pep chromosome:AWHD00000000:9:4230255:4239759:1 gene:ONIVA09G03060 transcript:ONIVA09G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEVGHRVCVTGAGGFVGSWVVKELLHRGYVVRGTARDPSARKYPHLQTLEGAAERLSLCYANVMDYNSLLVAFDGCDGVFHVASPVSNDPQFVPVAVEGTKNVINAAADVGARRVVFTSSYGAVHMDPNRSLDTVMDESCWSNLDFCKRKGDWYSYGKMVAEITAVEQASKRGIHLLVLVPPVTTGQMLQPTTNLSSHHFIHYLNGTKKDFPNAVAAYVDVRDVARAHALVYENPEANGRYLCVSAVLHRSELLRLLRELFPQYPIPTKCDNKSRPLIKPYKFSNKRLRDLGLKFTPIKESLYNMILSLQEKGDLPTTVVPRASL >ONIVA09G03060.2 pep chromosome:AWHD00000000:9:4230255:4232740:1 gene:ONIVA09G03060 transcript:ONIVA09G03060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEVGHRVCVTGAGGFVGSWVVKELLHRGYVVRGTARDPSARKYPHLQTLEGAAERLSLCYANVMDYNSLLVAFDGCDGVFHVASPVSNDPQFVPVAVEGTKNVINAAADVGARRVVFTSSYGAVHMDPNRSLDTVMDESCWSNLDFCKRKGI >ONIVA09G03060.3 pep chromosome:AWHD00000000:9:4233863:4239759:1 gene:ONIVA09G03060 transcript:ONIVA09G03060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEITAVEQASKRGIHLLVLVPPVTTGQMLQPTTNLSSHHFIHYLNGTKKDFPNAVAAYVDVRDVARAHALVYENPEANGRYLCVSAVLHRSELLRLLRELFPQYPIPTKCDNKSRPLIKPYKFSNKRLRDLGLKFTPIKESLYNMILSLQEKGDLPTTVVPRASL >ONIVA09G03050.1 pep chromosome:AWHD00000000:9:4154489:4162283:-1 gene:ONIVA09G03050 transcript:ONIVA09G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRVLFVDHVFNLPATTRERYNSGNQKVLANGATDRDTNDLAMQTQVPTQTREGPTFTQLLLGEEDFDLPPYVPDEAEENNQFYHQTMNEFLNMNQLGNNGTRTTQLEPQEQMMMFGSSSGIGSQLSGSQAIEVVDVVGGLTSPWGTQLHEGHLAHLMSYIRAFRDLEQQTSPNLVMQQSIGPTYGHINTLNNRNSEFHFLLEQAKNPENNVMRLINIRSHVPAFSADPFGSRFIQHKLERATPTKLLMVYKEIVPHTFMLAIDVFANYVIQKAFEVSDMDQRIEMANERVLEWCDDLEILKELISEIVEGVLELVVDQFGNYVVQYVVEHGGESVRAMIVMRLKGLMVMLSCQKYGSNVMEKCLTIGRIHDRLIIAADIVGASEDQILMVMVNEHGNYVIQKMLETAAAEWVVDLIVIVVNRNFFRLIHYVHGRHVLAHLQILLAAREHNHRIENKINSIIRSRTKSISMNIFKEEATVATAINIIERAIPVLPAVDPAALLGVAEDRTTPMRAAADPAAPERRRGGDNRTSCQQGRGKSHRLPSKCKRRLHPHVVWERWKEEEEEEEARAVVEA >ONIVA09G03040.1 pep chromosome:AWHD00000000:9:4142235:4145729:1 gene:ONIVA09G03040 transcript:ONIVA09G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKAEGGKMVCVTGAGGFIGSWVVKELLLRGYAVRGTARDPSSQKNSHLQKLEGAKERLCLNYADVMDYDSLSVAFNGCEGVFHVASPVSVDPRLVPVAVEGTKNVINAAADMGVRRVVFTSTFGAVHMDPNRSHDTVVDESCWSNLEFCKQKDWYCYAKTVAEMVAAEQASKRGIQLVVVLPAMTLGQMLQSTINPSIRHIADFLNGSRKTHRNAVAGYVDARDVARAHALVYEDPKAHGRYLCIASVLHRSELIQMIRELFPQYPITCNKCEDSKQMVQPFKFSNQRLRYLGLTFTPIKESLYNTLICLREKGHLPPYSSL >ONIVA09G03030.1 pep chromosome:AWHD00000000:9:4127699:4130787:1 gene:ONIVA09G03030 transcript:ONIVA09G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTVVGSRVILHVCYSFREYVCEFQIKISHHHFVLFVFACDGICMTNFPVYWFLQVYYQHASDCLTDLFPS >ONIVA09G03020.1 pep chromosome:AWHD00000000:9:4122097:4122621:1 gene:ONIVA09G03020 transcript:ONIVA09G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPYQQLVIPVECSQAMLASLLRARPLCGERLREARATAEHALADAKAEGDDLAAVDVNLVLTFLAARDGDLDDALRRYKAAVQKDPSDSRPYELVVAAALGSGTLTTLGLERGGRGRLVLVAPWREVDARLTAAVLDDDLDLTLPERVQLRLLHHRRPDGLWRSSTGVG >ONIVA09G03010.1 pep chromosome:AWHD00000000:9:4104590:4118903:1 gene:ONIVA09G03010 transcript:ONIVA09G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVSIPAAVRRTIQNIKEIAGGHTDEEVYAVLRECNMDPNETTDRLLNQGTFHEVRRKRDKKKESAKESADARWRPGMQGRGGKGGWGNFSRQLSNSSDITGRNAPAEKEIGVNPNMDKCNSSVPVNPNTDTKTSTSISSLSVGQSNGSSEPVASMEKSSLAIGQLQISDSKGISDLEGECIPALDPVLTPAPEVHGHGETVSTKHAYASQLAAGEKVVSNDVSTASQGTSRSSGLSSTVVPSGSRPSSSCSSRAQQLSSVQKVVPNKEWKPKSTNKPAHAENVICDKVPVSVETVPQSILVSDSIHKEDTTSGVETRPSDMRLSDKQHVIIPDHLQVAESEKYGLSFGSFGACFEQSASFSKDTESEKCSTPQCESSQEADEVLDEPAASHQGVSSTVEMAAESDLQQLPAETADNILPQKVDSSSSIPEVAESDQSNDTIASHVPQDSVETTTPYPPQQSHGDQIPSLETSESQVQQVNDSSAGYYTQFYRPPADFDGRISPFTASGAAIKYGNLSVMPTQTGHAQELPQGINSFVLPSVGSTPLATPTPGAVPNSVGIPQQPLQLFRQHLGVLPQYPPNYFPYSQYPLYVPPQPLHFMVPQPPSTGGMYPPVSAAVAPPGKYPTNTYKPGANNGTQTHVGNHGAYGTYDSSPSIYTNNTMVASGTSVESDDISGSQFKETNVYIAGQQSEGSGVWIPAPGRDISGLQPSNYYGLPLQGQHLAFAPAQAGHGTFGGIYHPAQTMAGAAVHPLLQPPQAIAGVGGEMVGPPANGYQQPQRAQMNWPNY >ONIVA09G03000.1 pep chromosome:AWHD00000000:9:4084617:4092559:-1 gene:ONIVA09G03000 transcript:ONIVA09G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G47760) TAIR;Acc:AT5G47760] MANGLPNPLLTADAARSLVDSVDAFLFDCDGVIWKGDQLIEGVPETLDLLRKMGKKLVFVTNNSRKSRRQYAKKFRALGLEVTEEEIFTSSFAAAMFLKLNNFSPEKKVYVVGEDGILEELRLAGFECLGGPEDGKKNILLEANFYFEHDKSVGAVIVGLDQYFNYYKMQYASLCIRENPGCLFIATNRDPTGHMTSVQEWPGAGTMVAAVSCSVQKEPIVVGKPSSFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGVTTLPELQDASNTIHPDLYTNSVYDLVGLLQQ >ONIVA09G03000.2 pep chromosome:AWHD00000000:9:4088935:4092559:-1 gene:ONIVA09G03000 transcript:ONIVA09G03000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G47760) TAIR;Acc:AT5G47760] MANGLPNPLLTADAARSLVDSVDAFLFDCDGVIWKGDQLIEGVPETLDLLRKMGKKLVFVTNNSRKSRRQYAKKFRALGLEVTEEEIFTSSFAAAMFLKLNNFSPEKKVYVVGEDGILEELRLAGFECLGGPEDGKKNILLEANFYFEHDKSVGAVIVGLDQYFNYYKMQ >ONIVA09G03000.3 pep chromosome:AWHD00000000:9:4084190:4087025:-1 gene:ONIVA09G03000 transcript:ONIVA09G03000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G47760) TAIR;Acc:AT5G47760] MAQQANGVGQGAAGEKREWGHGQFQYASLCIRENPGCLFIATNRDPTGHMTSVQEWPGAGTMVAAVSCSVQKEPIVVGKPSSFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGVTTLPELQDASNTIHPDLYTNSVYDLDMY >ONIVA09G02990.1 pep chromosome:AWHD00000000:9:4071450:4074214:1 gene:ONIVA09G02990 transcript:ONIVA09G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRLERQHCDENEYQDDFAEFLYEEEPSDNIDMVEQRAQPQINNEQSSPQTPLHINIASAKTPATKQVIQISSQSSPDIGMNSPRIAQMREPNQHAQIEERQYSMIRIIDSLNASGNCSGTRHNLYCLKRIVHPSKYKSSPYDNYTRHQTISAAELNHYNNILSIGETQQYKYHDCGIYAMKCMEWWNPRMHLKDMIRPEYIPNMRKQIANDLLFSEHNSQEEAKMLSRSFNPTKHGKYARQQ >ONIVA09G02980.1 pep chromosome:AWHD00000000:9:4069394:4070401:1 gene:ONIVA09G02980 transcript:ONIVA09G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLKATEPISTQPDREESNKDGLFVEPICTIPAKKEEVQPTKNFESNSTEFIIDIEGPYDAEDITGHTTDKTKFILVNYSNSSEEHKSQDPTQDESDNIPNKSTNVSNIYHAF >ONIVA09G02970.1 pep chromosome:AWHD00000000:9:4064120:4064749:1 gene:ONIVA09G02970 transcript:ONIVA09G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDLIRNMVGIVGNIISFGLFLSPVPTFYRIIKNKDVQDFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLIIEAVYLTIFFLFSDKKNKKKMGVVLATEALFMAAVVLGVLLGAHTHQRRSLIVGILCAIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGLCWTSYALIRLDIFITIPNGLGVLFALMQLIL >ONIVA09G02960.1 pep chromosome:AWHD00000000:9:4054358:4056919:-1 gene:ONIVA09G02960 transcript:ONIVA09G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREANNPTKSSKAMGRDLRVHFKNTRETAFAIRKLPLGKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPAKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPESQIAARKA >ONIVA09G02950.1 pep chromosome:AWHD00000000:9:4046285:4049027:-1 gene:ONIVA09G02950 transcript:ONIVA09G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVPDVVTDKKCDKTMAASSEMSQSQEVISRGGKLGTASYRETLLGDVATSSGVKEQDKDSCSVDESVKLDGNEDAEKSSLRGSVKDSSASAKGSDRASSLTKVSGSTKVSDHAADIVGSSKSSVYRASAGSDVSDESTCSSICSSASKPHKSNDSRWEAIQMVRTKEGSLGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKIMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKFFPEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLLKSSNPGVDPNQKGNPSYCVQPVCIEPACIQPSCVTTTTCFAPRFFSSKSKKEKKAKTDIASQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQSLRFPESPVVSFAAKDLIRGLLIKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKPVEIPRSVASTSQKATTTAEKGSDNYLEFDFF >ONIVA09G02940.1 pep chromosome:AWHD00000000:9:4012019:4017435:-1 gene:ONIVA09G02940 transcript:ONIVA09G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEEAIKQFSALMELLDEPLKTTFQHVHQGYARGTLVRFLKAREWNVPKAHKMLMDCLNWRIQNGIDSVLAKPIVPSDLYRTIRDTLLVGLTGYSKQGQPVYAFGVGLSTLDKASVHYYVQSHIQMNEYRDRVVLPKASKMFGKQINTCLKVMDMTGLKLSALNQIKMLSTITAIDDLNYPEKTETYFIVNAPYVFSACWKVVKPLLQERTKRKIKVLYGSGRDELLKVMDYEALPNFCKREGSGSSNDSSDGVDCYSYDHPFHQELYNYIKQQALNEDFIGPIKQGSMHVDVPTPDLEEAKIMETIESELHKFSGANGLSHSFNRIKIEETMDGCYAHLIF >ONIVA09G02930.1 pep chromosome:AWHD00000000:9:4010440:4010619:-1 gene:ONIVA09G02930 transcript:ONIVA09G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTVTGGVLGPVFVLLSRIRPVVDFFRRLCDCLRHPQRRPARPVRALWKRAAADEQN >ONIVA09G02920.1 pep chromosome:AWHD00000000:9:4000759:4008026:-1 gene:ONIVA09G02920 transcript:ONIVA09G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGLTLDDQQMVKSCIGSRISEVEQQMIDNSAKIVSPDTVTTSTRYCHKFAEFMKTRPPTFAGSATSWYTDQWLGTIENRLDMVQCDEKNKVFYAAHQLQGAALECWKGYCATDKDPQAIGWAEFSSILHYMDVSPGFIKTKDHTKDGEAASYKAKCMSKTRKASSKTKNVVCFSCNEMGHFANRCPLRHQTPAQDKTIPKAILTKRITPTTGGEALPCQENRWRGRGGFLPASAVNGGRSRNASKRENVAESFAHLMVVVSWTEVAGIDGDGGTSGGEPRLGDDDDAWSDPAAVENGGATATASSSLRSAKKGCFMNEDLRRNSPGSEESTKKVGGRTWRG >ONIVA09G02920.2 pep chromosome:AWHD00000000:9:4000759:4007712:-1 gene:ONIVA09G02920 transcript:ONIVA09G02920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSYELKTLDDQQMVKSCIGSRISEVEQQMIDNSAKIVSPDTVTTSTRYCHKFAEFMKTRPPTFAGSATSWYTDQWLGTIENRLDMVQCDEKNKVFYAAHQLQGAALECWKGYCATDKDPQAIGWAEFSSILHYMDVSPGFIKTKDHTKDGEAASYKAKCMSKTRKASSKTKNVVCFSCNEMGHFANRCPLRHQTPAQDKTIPKAILTKRITPTTGGEALPCQENRWRGRGGFLPASAVNGGRSRNASKRENVAESFAHLMVVVSWTEVAGIDGDGGTSGGEPRLGDDDDAWSDPAAVENGGATATASSSLRSAKKGCFMNEDLRRNSPGSEESTKKVGGRTWRG >ONIVA09G02920.3 pep chromosome:AWHD00000000:9:4000757:4008400:-1 gene:ONIVA09G02920 transcript:ONIVA09G02920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSCIGSRISEVEQQMIDNSAKIVSPDTVTTSTRYCHKFAEFMKTRPPTFAGSATSWYTDQWLGTIENRLDMVQCDEKNKVFYAAHQLQGAALECWKGYCATDKDPQAIGWAEFSSILHYMDVSPGFIKTKDHTKDGEAASYKAKCMSKTRKASSKTKNVVCFSCNEMGHFANRCPLRHQTPAQDKTIPKAILTKRITPTTGGEALPCQENRWRGRGGFLPASAVNGGRSRNASKRENVAESFAHLMVVVSWTEVAGIDGDGGTSGGEPRLGDDDDAWSDPAAVENGGATATASSSLRSAKKGCFMNEDLRRNSPGSEESTKKVGGRTWRG >ONIVA09G02920.4 pep chromosome:AWHD00000000:9:4000757:4008400:-1 gene:ONIVA09G02920 transcript:ONIVA09G02920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQQMIDNSAKIVSPDTVTTSTRYCHKFAEFMKTRPPTFAGSATSWYTDQWLGTIENRLDMVQCDEKNKVFYAAHQLQGAALECWKGYCATDKDPQAIGWAEFSSILHYMDVSPGFIKTKDHTKDGEAASYKAKCMSKTRKASSKTKNVVCFSCNEMGHFANRCPLRHQTPAQDKTIPKAILTKRITPTTGGEALPCQENRWRGRGGFLPASAVNGGRSRNASKRENVAESFAHLMVVVSWTEVAGIDGDGGTSGGEPRLGDDDDAWSDPAAVENGGATATASSSLRSAKKGCFMNEDLRRNSPGSEESTKKVGGRTWRG >ONIVA09G02910.1 pep chromosome:AWHD00000000:9:3998882:4000689:-1 gene:ONIVA09G02910 transcript:ONIVA09G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAQWFGLRSTGWTGTEKEAGDGALEQKTATRTTQGSFVEGELLCLSRIFCGGLYKQTVDAVMCILLHTKYHPLQSHVCCYTLLTGIHSSCPEPGGRGVRFPRRGFYSDYMLSLGKTELSCSRTSFSLADLCKFAQPQLYLSEGKI >ONIVA09G02900.1 pep chromosome:AWHD00000000:9:3974198:3994304:1 gene:ONIVA09G02900 transcript:ONIVA09G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMPSHFIGRRQVEGDCRLLEQVSEGETVQLLDCSPFGGLLHIVYDIVGGRWAVPLPVQSLTSTTPALAKEHPLQLLSSYLLLLLPGLQLLGKLLVGVELLGQWDVSDALLETPTTTGPRSAPQQHILTPRCLEFSMINNVAHYDFNGHTIVPLSCIIQGSTQNNNKMIFVVENSGTFKFHDT >ONIVA09G02890.1 pep chromosome:AWHD00000000:9:3930357:3931532:1 gene:ONIVA09G02890 transcript:ONIVA09G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENGDSRFVRDLVSPTPGPPLLPTQQALRALSSLQIIQGLRVASPERLPQPSSGQRRILPMAPQARRWRADGSTTMFVASLPLNQVRAIGLFLNNFLVATMRRQEGPLYHNAIVIPTIAPAPAPAPPTTQMLAMENTMPATVEPVTNHKIINHDIMHFMRETSDIQRLHGVVSPAAVHGNGNPLSCIYCGLVFALRSSEIPGFLPPPGFSYPEPMGPPPLLSLLPPARDASRTAVVCSDPHHFAVTMQYMPKQEMADLIWSSEIPSIHISSAAGGQHVGTVPSLTGITGATTSMVNLMQMPAIHMEQHMLPPTMLNSSASPECITSTAVPSTLNMMPMRDILIDQLSLPPAISSSPASGVLCEYVMPEHEDMVRLTLGQSSTMDLDLSL >ONIVA09G02880.1 pep chromosome:AWHD00000000:9:3916353:3918302:-1 gene:ONIVA09G02880 transcript:ONIVA09G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0IH08] MATHQQKMQMPPSSKDDEPAAATRPPPPKREERAEKAPEMEKKVVVTVVNGEEEDDDSGSTDNGRRRCVCSAAGQPAGHFRKQQGDRHLPPPAGEIVSPDLIRNVVGIVGNAISFGLFLSPVPTFWRIIKEKDVKGFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAVYLTIFFLFSNKKNKKKMGVVLATEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGLCWTSYALIRFDIFITIPNGLGVLFALMQLILLSW >ONIVA09G02870.1 pep chromosome:AWHD00000000:9:3914241:3916344:1 gene:ONIVA09G02870 transcript:ONIVA09G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRDERRQQRQQQGRDGKQQQWLRRHGGGSGELQRRDFAQCSELDAYGSIVILIMTWLAVLLLMSSSLLTGVTMLVSLATGATVGSSRLLS >ONIVA09G02860.1 pep chromosome:AWHD00000000:9:3890945:3891891:-1 gene:ONIVA09G02860 transcript:ONIVA09G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGSGSGGAALGRVGSTSLGSGCGYGDGGRAARVLDPAAPTSGGGKGRPKTMDRTAKIECEMLEEQQGKLAHGQDGAPRRRCSRHGAQCSLLHQDKGESKVALPAREKAKGGGGKGALPPSILGGGGGEACRSGVTTLVACGMWSRGRGDNGG >ONIVA09G02850.1 pep chromosome:AWHD00000000:9:3886576:3889170:-1 gene:ONIVA09G02850 transcript:ONIVA09G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACERERGRVFPKKRERGGEEENTQWRKKGGEEHVSSVGARAAHVEREGGCVRGSRAIQTTIAVREENVLVCDKDRHSNSKMLSEDMESCIHMYKEQKYLMMVIMLTTNKK >ONIVA09G02840.1 pep chromosome:AWHD00000000:9:3884214:3885008:1 gene:ONIVA09G02840 transcript:ONIVA09G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IH04] MVTKFMLVKENFKPRHDDVILVTHPKSSTTWLKALAFAIVNRSSFESIDASHHPLLTQNPQHLVSFVGAQGGNLDYLETLPSPRLLATHLPLSLLPSTVTTMGCRIIYLCREPKDAFWKDTTFTMFLEGCSPFRPFWDHYLQYWKESLQRPRDVLFLRYEEIVFDPLKVVRDLAGFIGVPFTEEEVKSGVDQEVVRLCSFKALSDLDINRNGGIERAEGKIFIAYSVLFRQGKTGDWVNHMSKDMGEKLDQPVEDKFKGSGLKF >ONIVA09G02830.1 pep chromosome:AWHD00000000:9:3866668:3869672:1 gene:ONIVA09G02830 transcript:ONIVA09G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLIERNSPNSWTNRVTMAEETKINAINHHHLIDISPELGGATAIDGPKEMKELGIVDGAVEMKELGVAAAVHGAGEMKELGGTASIDGAADKKELGGAVAGAGGAAETKWLRKLTSSSVNTAVLRDLIARTPMLWYLGERSGTILRPRSRRAGVDALHAVRAVAIGPFHRRDHWLPFPDDAKLPFLRYLQDQCGLDVEHYVAALADESDRLRDEFADDDVGDDVAAEILGDEEKFLQMVLLDSCFILVVSMMLSKVCTDGDKASCVSRAASISREYFILHMAVSQHAEDIKLDMLVLENQVPFAAVKLLAASCSKLKLLRPVEELVLGCFDDILPKRASPAAGDTEPFQHVLHLFHWSRVPTSKYCILSTPRKLLKIKKESERLFPSSMELCRSAVWFRSAAASCGDLDMWFWGRTASPVAVMTIPCLDVHEYSATVLHNMIAFEKHFHWAHGACVTAHVARMEGLVRCPQDAAFLRRRGVLSSMRKTDAELVAFFRELGEETVGARLPDEYAEMVDAVACHRSRKG >ONIVA09G02820.1 pep chromosome:AWHD00000000:9:3837232:3842085:-1 gene:ONIVA09G02820 transcript:ONIVA09G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAASFSLPAAAFSPPSSLSSTSSSPHALRSAVAGAARAVRCSAAKDSILYALEHDEMFNSAEVIQWESGKSINSIAAAQGIRIRRRCRPRYPSEGSGADKAVPRNMLEQIIWDKEVEVSQRKAKKPLQKVIESSQHAPPARDFVGALTAAYSRNVAPALIAEVKKASPSRGVLREDFNPVEIAQSYEKNGAACLSILTDEKHFQGSFENLETVRNSGVKCPLLCKEFVIDIWQIYYARSKGADAILLIAAVLPDLDIKYMLRICKNLGMTALIEVHDERELDRVLKIDGVQLIGINNRSLETFKVDTSNTKTLLEKRGDLIRDKGILVVGESGLFTPDDVAYVQNAGVSAILVGESLVKQENPGQAIAGLYGKDLLH >ONIVA09G02820.2 pep chromosome:AWHD00000000:9:3837232:3842085:-1 gene:ONIVA09G02820 transcript:ONIVA09G02820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAASFSLPAAAFSPPSSLSSTSSSPHALRSAVAGAARAVRCSAAKDSILYALEHDEMFNSAEVIQWESGKSINSIAAAQGIRIRRRCRPRYPSEGSGADKAVPRNMLEQIIWDKEVEVSQRKAKKPLQKVIESSQHAPPARDFVGALTAAYSRNVAPALIAEVKKASPSRGVLREDFNPVEIAQSYEKNGAACLSILTDEKHFQGSFENLETVRNSGVKCPLLCKEFVIDIWQIYYARSKGADAILLIAAVLPDLDIKYMLRICKNLGMTALIEVHDERELDRVLKIDGVQLIGINNRSLGMVIIISCKCLQSFETLRIIVDIDVYWNFVIIETFKVDTSNTKTLLEKRGDLIRDKGILVVGESGLFTPDDVAYVQNAGVSAILVGESLVKQENPGQAIAGLYGKDLLH >ONIVA09G02810.1 pep chromosome:AWHD00000000:9:3811426:3811788:-1 gene:ONIVA09G02810 transcript:ONIVA09G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0IH00] MARHPGLLLILLAAVAAVVTTSRAQWVGGWNVIEDVAGNNQIQRVGAWAVGKHNQLGTNDRLQFVRVVAAEEQVVQGSNYLVVIDAASSRKKTRELYVAVVADLVGATTYQLSSFKLATK >ONIVA09G02800.1 pep chromosome:AWHD00000000:9:3800807:3834560:1 gene:ONIVA09G02800 transcript:ONIVA09G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLAVCAASFHLFLLLASTSSLRRAPTEADTANHARRTAYHFQPAKNWQNGPMYHNGMYHLFYQYNPHSALWDIGNLSWGHSVSGDLLNWAALDTALDPTSPFDANGCWSGSATILPGGLPAILYTGIDASKEQVQNVAFAKNPSDPLLREWEKPAYNPVIALPADVPGDKFRDPSTAWLGRDGLWRIAVSAEVDGVASTLVYRSKDFVRWERNAAPLHASRAAGMVECPDLFPVAERGEDGLDTSANGAGGVRHVLKLSVMDTLQDYYMVGTYDDAADAFSPAETERGDDCRSWRRLDYGHLYASKSFFDVRKNRRVLWAWANESDSQADDVARGWSGVQTFPRKMWLAKDGKQLLQWPIEEIETLRRKRAGLWRGTRLGAGAVQEIVGVASSQADVEVVFKIPSLEKAERVDDPNRLLDPQKLCGEKGAAVRGGVGPFGLLVMASGDLHEHTAVFFRVFRHHDKYKLLMCTDLTKSSTRAGVYKPAYGGFVDMDIDDHKTISLRTLIDHSVVESFGGGGRACITARVYPEHVATSSSHLYVFNNGSDAVKVAKLEAWDLATATVNVVVGDHHGLVAPALELEPTRTTQSFGGQLEGAELVGGRPDQVSHNGHVQLPRLFARASSIDDNQIVGPLHHLLLGCDHADELQPVIGPQLVVLPHRPRADPLNLIVAGNVLDHVPPADPLRAAGGDNGSNGCEEDEQEPGVSRHVDSRTIWDLGAADQQARVQWRQLATAMARLGLVVCAVSFQLCLLLSSTSSLRLNPTTEDTANHGRRTAYHFQPAKNWQNGPLYHNGMYHLFYKYNPHSALWDIGNLSWGHSVSGDLLNWAALDTALDPTSPFDANGCWSGSATILPGGLPAILYTGIDAGKEQVQNVAFAKNPSDPLLREWEKPAYNPVIALPPDLEAWDLATATVNVVVGDHHGLAAPALELEPTRTTQ >ONIVA09G02800.2 pep chromosome:AWHD00000000:9:3800807:3834560:1 gene:ONIVA09G02800 transcript:ONIVA09G02800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLAVCAASFHLFLLLASTSSLRRAPTEADTANHARRTAYHFQPAKNWQNGPMYHNGMYHLFYQYNPHSALWDIGNLSWGHSVSGDLLNWAALDTALDPTSPFDANGCWSGSATILPGGLPAILYTGIDASKEQVQNVAFAKNPSDPLLREWEKPAYNPVIALPADVPGDKFRDPSTAWLGRDGLWRIAVSAEVDGVASTLVYRSKDFVRWERNAAPLHASRAAGMVECPDLFPVAERGEDGLDTSANGAGGVRHVLKLSVMDTLQDYYMVGTYDDAADAFSPAETERGDDCRSWRRLDYGHLYASKSFFDVRKNRRVLWAWANESDSQADDVARGWSGVQTFPRKMWLAKDGKQLLQWPIEEIETLRRKRAGLWRGTRLGAGAVQEIVGVASSQADVEVVFKIPSLEKAERVDDPNRLLDPQKLCGEKGAAVRGGVGPFGLLVMASGDLHEHTAVFFRVFRHHDKYKLLMCTDLTKSSTRAGVYKPAYGGFVDMDIDDHKTISLRTLIDHSVVESFGGGGRASHLYVFNNGSDAVKLEAWDLATATVNVVVGDHHGLAAPALELEPTRTTQ >ONIVA09G02790.1 pep chromosome:AWHD00000000:9:3781519:3782314:1 gene:ONIVA09G02790 transcript:ONIVA09G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0IGZ7] MVSPDMIRNVVGIVGNVISFGLFLSPVPTFWRIIKNKNVQDFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIETCLSHHLLPLLRQEEQEEDGSAAEALFMAAVALAVLLGAHTHQRRSLIVSILCVIFDTIMYSSPLTVMSQVVKTKSVEYMPLLLSVVSFLNGLNWTSYALICFDIFITIPNGLGMLFAAVQLILYVIYYRTTPKKQNKNLELPTVTPVAKDTSVAPISKDNDVNGSTASHVTIDITIQP >ONIVA09G02780.1 pep chromosome:AWHD00000000:9:3746690:3760404:1 gene:ONIVA09G02780 transcript:ONIVA09G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFRPSQHGSDSDAQMWQRQMLYKQLQEFQRQQQQQQLDHGGRMQNSFGQFQAPAKQSLADQFPTMMNEMPMNEPSSYAWSNGISLGGSRLGSNSQILNNGNPHWEQHHGASLGTSSFANGAVYSNIQNLMRPMGSVNNKVNQSSHSLPASMSKGSGNQYSQFPGFPANSHNAMARAGSDQSDMTARFASSINSFQNEHGLCEQVTSNNLQNFCENGSVLSDSSTQSQGGNLKTGSPVLVNHLQHGFHVQHFNGWMNQVECQSAPHVGPASSAASLDPTEEKILYGDDNNFTGLLGEDDSSDGVPGHDNSSGNGNSSIPVSAQGGSWSALMQEALQSTSSKNGLQEEWSSVNFQNRDQAFTNKMTSPDLEQRQHATLNSMNLHSAPPSAQPSPSHDGSSGTMNNLKFTSFQRATKSVYDHQEKFSYGSTSAAINNHTTTGANDGLFQPSLKQSHSGDCGSPEHVNLSIGVWAQQKPMLLKRNLNSGGERFMPQNAQGLGVLQQSSYNHNFNGESSNNQSNWNGRNSNCVNTYSINNFQQSKPDVNTVRVPNDGYSSKNTVLTSSSTGMFSPGQHQMMLGQSGGNFGSNNAPGQRPLPETSCSQGNNAEYGLAGFSQMYTNAISAEGHNSNNGQHLGISFSARGNSFSGMDAHNLGQSDQKTMGPSALLNHLSATSGISTGHFPTNSLCNNKLLSESIQPPNNQENLLGGSCQLAGHVGSTNEKIAMVEEQLTQHSTASKYSNEPPFRGYDGTLLQNPNKIVQTSQHMLQQFLQKVDSTNSVVSSNMPIRSDITPNQLNQPPLQGFGLKLAPPMQQQLTSGNLWTSHTSVDIKPADNSVPGEDQRQLPSTPGSTTSSGYPSRSSPFYSSDADNTGLSSGCLPQTKSLGQQYPVAEPKSAPVNSLPQQSLQGTAATMLKNVWTNISAQRLGGIQHNKITPNILQSMMFPSTIGDSTLRGCPKDDYQRMRVANLSDDATTTTNSGRQEIKRVVENDGSDIPNMDQMGDILLGKKNALQRPLMQHGIINSSQGENMAANIPNMGSSFNKVSTYGGISLHGSLAPSNSQQINYSLLHQIQAIKPVDSDPENTSGKRLKTTDMSCNASQVEWPGAERAPHGENNPLRLCTDKTEVPRISNLLPSDQMLRFAPRNSEDVTSTMPSQVQLRELTSTSNDMATARTDLQNQCSSLGTSSTENLIESGDKLMINPQISPWFQHGSNRNGHNLAMYSVRKTASPYNHPKVPWSMDTSSVSGHGLECSTSVRPEMPSGLKVSFAVRRPKKRKFKAPVLVSWNQIIDGHQKLADMSTLGMDWPEATNRLIEVEDEADIQEDALILYLPRKRLIMTSRLIQQLLPSIPAAILRAQAISMYQSVTYTIAKLTVGDACSMPSNSSLDTGTLISSGDKSYEQIENDKMRDRFTKAVEFFIPRFKKMENDFVSLNKRSSMLDIQLECQDLERISIVNRLGRFHARNYHAAGVEASSTDLAPRRIYRDRHVMTFAVPVNLPDGVLLHP >ONIVA09G02770.1 pep chromosome:AWHD00000000:9:3717280:3720747:1 gene:ONIVA09G02770 transcript:ONIVA09G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMFFFCVLTYMLLSTTEGTYSCTDAFYYSFFLSEMTFCCIQHETAGLPLDNRYSVGASMVLILQIIIYHECNAIYAYISCDVTIKPNFDVSTWSQDHAI >ONIVA09G02760.1 pep chromosome:AWHD00000000:9:3711983:3713033:-1 gene:ONIVA09G02760 transcript:ONIVA09G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEVAGSTQTLQWKCVESRVDSKRLYYGRFILSPLRKGQADTVGIVLRRALLGETEGTCITHAKFGSVPHEYSTIAGIEESVQEILLNLKEIVLRSNLYGVRTASICVKGPRYITAQDIILPPSVEIVDTAQPIANLTEPTDFRIELRIKRDRGYHTEVRKNTQDGSYPIDAVSMPVRNVNYSIFACGNEIIFACGNGNAKYEILFLEIWTNGSLTPKEALYEASRNLIDLFLPFLHTEEEGTRFQENKNRFTSPLLSFQKRLTNLKKNKKRIPLNCIFIDQLELPSRTYNCLKRSNSNWLYQSGYRTYSGFSVRVCSPAHQPWGHPGHIGPAPPQAQPEPQL >ONIVA09G02750.1 pep chromosome:AWHD00000000:9:3691002:3710856:1 gene:ONIVA09G02750 transcript:ONIVA09G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRAVEVPPNIKSFIDCVTATPLENVESPLKDFVWEFGKGDFHHWLDLFNHFDSFFESYIKPRKDLQLEDDFLEVDPPFPREAVVQILRVSRLILENCTNRHFYSLFEQHLSSLLASTDADIVEGSLETLRAFVNKSVGKSSIRSASLTSKLFAFSQGWGGKEGGLGLIACSLPSGCDPIATEIGSTLHFEFYRGADKSDKSQSIDNCHRLEIIHLPSIISCKENDLEILEKLVKDYSVPPSLRFSLLTRLRFARAFDSLAYRRQYTCIRLSAFIVLVQASHDSESLALFLNNEPEFIDELLSLLSYEDEIPEKIRRLGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIINGSTKWSTEFAEELLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTETHHLHLVSTAVHVIEGFLDYHNPSSALFRDLGGLDDTIARLKIEVSQVDIGSKKSEEPQSMSKGKEVESSLPLPDMQTVHSEALISYNRRNLMKALLRTISLATYVPGSSARVDGSEENVLPPCLCTIFSRAKEFGGGVFSLAATVMSDLIHKDPTCFTVLDAAGLPQAFIDAIMGGILYNSDAITCIPQCLDALCLNSSGLQLVKDHNALRCFVKIFTSRSYLKALGGDTAGALSLGLDELLRHQSSLRSSGVDMLIEILNTISKVGCGGESSSCTESGNSSTPLPMETDVQGGTSRSEVGTSEVGSSEKMVDASLDATSSSIESYLPECICNVGRLIETILQNSDTCRLFSEKKGIEAVLQLFKLPLMPVSVSVGQSISVAFKNFSSQHSVSLARAVCSFCRDHLKLTNELLGSVSGTKLVNSDHVKQSPLLKALSSLEGLLSLCNFLLKGNAFMVSELAFADAEILRELGKVYIEVTWQISLLSDSKVEKQDMEQDDVPGDASVSNLSERDSDDDTNAASVTRHMNPVSVRTSSVSPWNMEQDIISAVRSAASIHRHGRHTLSRIRGRLSGAMDAAHTDIDSPFSPGESSQSHDTIKKSPDVVVSELLTKLGHTMRSFLSTLVKGLPARRRADSSLTPASRSLVIALAQLFLTALGYSGHSTAGFEMSLSVKCRYLGKVVEDMAALTFDSRRRSCNSAIVNSFYVNGTFKELLTTFEATSQLLWTLPFSVPTTGSDQASSISEKVSHNSWLLDTLQSYCKLLEYYVNSSFLLSPSHNQLLVQPMVTELSINLFPVPSEPESFVRILQSQVLEAVLPVWNHTMFPECSPSLITSLISIVSHICSGVGALKQSRAGVGAANQRLTSPPLDESSIATIVEMGFSRARAEEALRSVRTNSVEMATDWLFSHPEEFVQEDVQLAQALALSLGNTTEASKEDGCNKNGPSVVEDKGVILLPLDDILAVSTKLFSSGDDMAFPLTDLLVTLCNQNKGDDRQRVILYLFEQLKRFPSDSSVDAGALYSFARLLALLLSEDSSIREIGAENGVVPHVLNLLENLKSRTEKTDQTWNSISALLLILDNMIQYAPALDIEMSEGTSKVSSDASNADCKVNPSLFAEKKTETDYSATYPNVHVFEKVMGRSIGYLTDQESQKILLLCCEFIKQHVPAIVMQAVLQLSARLTKTHTLAAQFSENGSLASLLNLPKTCIFPGYETLASAIVRHLIEDPQTLQSAMELEIRQSLSTRGSHASRSFLTNMSPLISRDPVIFMRAVTSVCQLDCSGGRTNVVLLKEKEKDKEKQKVSTTESGALGNEPVRMTADTKTIDTVNRCSRNQKKVPTSLSQVIDQLLVIIMSYSSPKKEQRSDGYFMLSPMDVDEPNTKGKSKVNDEQNLDGSEKSALMSKLAFVLKLMSEILLMYVHAVGIILKRDTELSQLRGGDQVAGHSGLLYHVFNLLSSDRSADVSDNWMGKLSERASWFLVALCCRSTEGRRRVISEIMKAFNYFIDSASSTSRGSLIPDKKVLAFSELINSILSRNSQNNLPVLGCSPDIAKSMIDGGMVQSLSGLLKVIDLDHPDAPKVVNLILKALDSLTRTANASDQIQKSDRYAKNKLTGSHGQTNVANENVIHEQGTSNGHGTIDTVQSTRQQVQELSHDDGNNNAGQDQPVEQMRLDLVENTAGNSSTGGVEFMREEATEGNLMTTTTDAGLDFSAQHQADDEMVEEEDDLGEDGEDEDEDEDEEEIAEEGAGLMSIADTDIEDQENTAIGDDYNDDMMDEEDDDFLENRVIEVRWRETLTGMNRHLRVSRGRGDASGFIDISAEAFRGVGTDDMFNLHRPFGLERRRQSGSRSFTDRSRSDGNAFQHPLLSRLVQSRDGIGSVWSSSGTPSRDLHTFSFGTSDIPFYMLDAGLPPETSGPVFGERVVSTAPPPLIDFSLGMESLRIRRGLGDNLWTDDGQPQAGNHAAAVAQALEHHFITELNVSTFLNNAIPYTGNRVLDMQPDQTGDDVDDDLPSQDDDISEHVTTDSPALPTSSPQQFGTTNQANGNVCPMNDLICQQSADVADVRTEEEMHQIADDMNVIPQSNEDTADRQHVAHPDRDSLSGNLQSYDHVMQDEVEIPQRGQIGNDIRDPSDLESSCHALLTSTSAAPELSDAHVDSTTMNTDVDMNSIDISENQVENSAPGLYGNVVSVRLDEGAPQETMQPDQLNANNEASSTNEIDPTFLEALPEDLRAEVLASQQNRTAPTASYTPPAAEEIDPEFLAALPPEIQAEVLAQQRAQRIAHSQPIGQPVDMDNASIIATFPPDLREEVLLTSSEAVLSALPSALLAEAQMLRDRELSRYRARGSLFGGSYRLGARRLPTDNQTAVMDRGVGVTVGRRVISTVSAGAKGKDVEGTPLLDSSALKALIRLLQLAPPLSKGLLQRLMFNLCAHSVTRATLIGHLLNIIKPEAEGLNGWDCMTTYRLHGCQWNIVYAQPQSANGLPPLVTRRLLEVLTYLASNHPSVAGLLVYFDPSTSSNCMILKHGKELSQEGLQSDMMKTSSEGYTPILLFLKLLNKPLFLRSRVYLEQVMCLLEVVVSNAASKVDYPPHSGQMVSTSVDENRAPIETHGEPSTMEQVPIQENSQNKDVVVPASGPQQSINVHDILTQLPDSELHNLCNILALEGLPDKVYTLAAEVVKKLASVAVSHRKFFSMELASAAQSLSSSAVEELVTLKNTQMLGLNSCSMAGAAILRVLQVLSTLTSDMSGNSQDQAVGQEEQSILWDLNISLEPLWQELSDCISTTEAKLVHNSSFNPQVPLMDAIEVGASSSTSPPLPPGTQRLLPFIESFFVLCEKLQTSQAVVPSDSNVTATEVKELAGSSSSPSLKTGGVCNITFVRVAEKHRRLLNVFIRQNPSLLEKSLSMMLKVPRLIDFDNKRAYFRSRIRQQHDQHLSAPLRISVRRAYVLEDSYNQLRLRRSQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDPDEEKHILYEKNEVTDYELKPGGRNIRVTEETKHEYVDLVAEHILTTAIRPQINAFLEGFTELVPRELISLFHDKELELLISGLPEIDFDDLKANAEYIGYSPASPVILWFWEVVNGFSKEDMARFLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYSSKEQLEERLLLAIHEASEDFGGKIESMVTRCYTLHKPGEKNA >ONIVA09G02750.2 pep chromosome:AWHD00000000:9:3696711:3710856:1 gene:ONIVA09G02750 transcript:ONIVA09G02750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSVSVGQSISVAFKNFSSQHSVSLARAVCSFCRDHLKLTNELLGSVSGTKLVNSDHVKQSPLLKALSSLEGLLSLCNFLLKGNAFMVSELAFADAEILRELGKVYIEVTWQISLLSDSKVEKQDMEQDDVPGDASVSNLSERDSDDDTNAASVTRHMNPVSVRTSSVSPWNMEQDIISAVRSAASIHRHGRHTLSRIRGRLSGAMDAAHTDIDSPFSPGESSQSHDTIKKSPDVVVSELLTKLGHTMRSFLSTLVKGLPARRRADSSLTPASRSLVIALAQLFLTALGYSGHSTAGFEMSLSVKCRYLGKVVEDMAALTFDSRRRSCNSAIVNSFYVNGTFKELLTTFEATSQLLWTLPFSVPTTGSDQASSISEKVSHNSWLLDTLQSYCKLLEYYVNSSFLLSPSHNQLLVQPMVTELSINLFPVPSEPESFVRILQSQVLEAVLPVWNHTMFPECSPSLITSLISIVSHICSGVGALKQSRAGVGAANQRLTSPPLDESSIATIVEMGFSRARAEEALRSVRTNSVEMATDWLFSHPEEFVQEDVQLAQALALSLGNTTEASKEDGCNKNGPSVVEDKGVILLPLDDILAVSTKLFSSGDDMAFPLTDLLVTLCNQNKGDDRQRVILYLFEQLKRFPSDSSVDAGALYSFARLLALLLSEDSSIREIGAENGVVPHVLNLLENLKSRTEKTDQTWNSISALLLILDNMIQYAPALDIEMSEGTSKVSSDASNADCKVNPSLFAEKKTETDYSATYPNVHVFEKVMGRSIGYLTDQESQKILLLCCEFIKQHVPAIVMQAVLQLSARLTKTHTLAAQFSENGSLASLLNLPKTCIFPGYETLASAIVRHLIEDPQTLQSAMELEIRQSLSTRGSHASRSFLTNMSPLISRDPVIFMRAVTSVCQLDCSGGRTNVVLLKEKEKDKEKQKVSTTESGALGNEPVRMTADTKTIDTVNRCSRNQKKVPTSLSQVIDQLLVIIMSYSSPKKEQRSDGYFMLSPMDVDEPNTKGKSKVNDEQNLDGSEKSALMSKLAFVLKLMSEILLMYVHAVGIILKRDTELSQLRGGDQVAGHSGLLYHVFNLLSSDRSADVSDNWMGKLSERASWFLVALCCRSTEGRRRVISEIMKAFNYFIDSASSTSRGSLIPDKKVLAFSELINSILSRNSQNNLPVLGCSPDIAKSMIDGGMVQSLSGLLKVIDLDHPDAPKVVNLILKALDSLTRTANASDQIQKSDRYAKNKLTGSHGQTNVANENVIHEQGTSNGHGTIDTVQSTRQQVQELSHDDGNNNAGQDQPVEQMRLDLVENTAGNSSTGGVEFMREEATEGNLMTTTTDAGLDFSAQHQADDEMVEEEDDLGEDGEDEDEDEDEEEIAEEGAGLMSIADTDIEDQENTAIGDDYNDDMMDEEDDDFLENRVIEVRWRETLTGMNRHLRVSRGRGDASGFIDISAEAFRGVGTDDMFNLHRPFGLERRRQSGSRSFTDRSRSDGNAFQHPLLSRLVQSRDGIGSVWSSSGTPSRDLHTFSFGTSDIPFYMLDAGLPPETSGPAGNHAAAVAQALEHHFITELNVSTFLNNAIPYTGNRVLDMQPDQTGDDVDDDLPSQDDDISEHVTTDSPALPTSSPQQFGTTNQANGNVCPMNDLICQQSADVADVRTEEEMHQIADDMNVIPQSNEDTADRQHVAHPDRDSLSGNLQSYDHVMQDEVEIPQRGQIGNDIRDPSDLESSCHALLTSTSAAPELSDAHVDSTTMNTDVDMNSIDISENQVENSAPGLYGNVVSVRLDEGAPQETMQPDQLNANNEASSTNEIDPTFLEALPEDLRAEVLASQQNRTAPTASYTPPAAEEIDPEFLAALPPEIQAEVLAQQRAQRIAHSQPIGQPVDMDNASIIATFPPDLREEVLLTSSEAVLSALPSALLAEAQMLRDRELSRYRARGSLFGGSYRLGARRLPTDNQTAVMDRGVGVTVGRRVISTVSAGAKGKDVEGTPLLDSSALKALIRLLQLAPPLSKGLLQRLMFNLCAHSVTRATLIGHLLNIIKPEAEGLNGWDCMTTYRLHGCQWNIVYAQPQSANGLPPLVTRRLLEVLTYLASNHPSVAGLLVYFDPSTSSNCMILKHGKELSQEGLQSDMMKTSSEGYTPILLFLKLLNKPLFLRSRVYLEQVMCLLEVVVSNAASKVDYPPHSGQMVSTSVDENRAPIETHGEPSTMEQVPIQENSQNKDVVVPASGPQQSINVHDILTQLPDSELHNLCNILALEGLPDKVYTLAAEVVKKLASVAVSHRKFFSMELASAAQSLSSSAVEELVTLKNTQMLGLNSCSMAGAAILRVLQVLSTLTSDMSGNSQDQAVGQEEQSILWDLNISLEPLWQELSDCISTTEAKLVHNSSFNPQVPLMDAIEVGASSSTSPPLPPGTQRLLPFIESFFVLCEKLQTSQAVVPSDSNVTATEVKELAGSSSSPSLKTGGVCNITFVRVAEKHRRLLNVFIRQNPSLLEKSLSMMLKVPRLIDFDNKRAYFRSRIRQQHDQHLSAPLRISVRRAYVLEDSYNQLRLRRSQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDPDEEKHILYEKNEVTDYELKPGGRNIRVTEETKHEYVDLVAEHILTTAIRPQINAFLEGFTELVPRELISLFHDKELELLISGLPEIDFDDLKANAEYIGYSPASPVILWFWEVVNGFSKEDMARFLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYSSKEQLEERLLLAIHEASEDFGGKIESMVTRCYTLHKPGEKNA >ONIVA09G02750.3 pep chromosome:AWHD00000000:9:3690805:3696711:1 gene:ONIVA09G02750 transcript:ONIVA09G02750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPNRLAPLGTTVGAFYSMCIIHRVTLTDLPPSYATRAHQQRHGSLHPSPNSSPFFPFFPLSPPPSLSHAHLPPPPLFSPSLRFRSRRTARARIASHRIASQHLPKTLTASPPTLRPFPPGGETADDASGPLVAAAPPGDANCGMKLKRRRAVEVPPNIKSFIDCVTATPLENVESPLKDFVWEFGKGDFHHWLDLFNHFDSFFESYIKPRKDLQLEDDFLEVDPPFPREAVVQILRVSRLILENCTNRHFYSLFEQHLSSLLASTDADIVEGSLETLRAFVNKSVGKSSIRSASLTSKLFAFSQGWGGKEGGLGLIACSLPSGCDPIATEIGSTLHFEFYRGADKSDKSQSIDNCHRLEIIHLPSIISCKENDLEILEKLVKDYSVPPSLRFSLLTRLRFARAFDSLAYRRQYTCIRLSAFIVLVQASHDSESLALFLNNEPEFIDELLSLLSYEDEIPEKIRRLGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIINGSTKWSTEFAEELLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTETHHLHLVSTAVHVIEGFLDYHNPSSALFRDLGGLDDTIARLKIEVSQVDIGSKKSEEPQSMSKGKEVESSLPLPDMQTVHSEALISYNRRNLMKALLRTISLATYVPGSSARVDGSEENVLPPCLCTIFSRAKEFGGGVFSLAATVMSDLIHKDPTCFTVLDAAGLPQAFIDAIMGGILYNSDAITCIPQCLDALCLNSSGLQLVKDHNALRCFVKIFTSRSYLKALGGDTAGALSLGLDELLRHQSSLRSSGVDMLIEILNTISKVGCGGESSSCTESGNSSTPLPMETDVQGGTSRSEVGTSEVGSSEKMVDASLDATSSSIESYLPECICNVGRLIETILQNSDTCCSVRKRALKLFFSCSNCH >ONIVA09G02750.4 pep chromosome:AWHD00000000:9:3690805:3696711:1 gene:ONIVA09G02750 transcript:ONIVA09G02750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPNRLAPLGTTVGAFYSMCIIHRVTLTDLPPSYATRAHQQRHGSLHPSPNSSPFFPFFPLSPPPSLSHAHLPPPPLFSPSLRFRSRRTARARIASHRIASQHLPKTLTASPPTLRPFPPGGETADDASGPLVAAAPPGDANCGMKLKRRRAVEVPPNIKSFIDCVTATPLENVESPLKDFVWEFGKQHLSSLLASTDADIVEGSLETLRAFVNKSVGKSSIRSASLTSKLFAFSQGWGGKEGGLGLIACSLPSGCDPIATEIGSTLHFEFYRGADKSDKSQSIDNCHRLEIIHLPSIISCKENDLEILEKLVKDYSVPPSLRFSLLTRLRFARAFDSLAYRRQYTCIRLSAFIVLVQASHDSESLALFLNNEPEFIDELLSLLSYEDEIPEKIRRLGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIINGSTKWSTEFAEELLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTETHHLHLVSTAVHVIEGFLDYHNPSSALFRDLGGLDDTIARLKIEVSQVDIGSKKSEEPQSMSKGKEVESSLPLPDMQTVHSEALISYNRRNLMKALLRTISLATYVPGSSARVDGSEENVLPPCLCTIFSRAKEFGGGVFSLAATVMSDLIHKDPTCFTVLDAAGLPQAFIDAIMGGILYNSDAITCIPQCLDALCLNSSGLQLVKDHNALRCFVKIFTSRSYLKALGGDTAGALSLGLDELLRHQSSLRSSGVDMLIEILNTISKVGCGGESSSCTESGNSSTPLPMETDVQGGTSRSEVGTSEVGSSEKMVDASLDATSSSIESYLPECICNVGRLIETILQNSDTCCSVRKRALKLFFSCSNCH >ONIVA09G02740.1 pep chromosome:AWHD00000000:9:3669141:3671389:-1 gene:ONIVA09G02740 transcript:ONIVA09G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTNKNLAQQGDANGNPAHRGLPPRHLIIPYSVAAAMANRPIRLASQARLLSGGGGAVAQQPPTQHAIAAQRRLPSRHPWSRIVRSLLLDGKSYHIIDTSFTSEEVFVPAPPPPLLVSTVRSPAVPSVQPIATMFAWPVPPRGWTVSPTTGRYRFGYGFGGESSSSTVLRTPAAPTTTRGPAPLLPAPPTLSPPSIPTLPALAPPADVPPGFGYGGASLSSAMPRAAAAPLVLHGPAPHLRVSRMPAPPIPMPPAPAPPIPTPSMPFPTVPAPPVTAPPATAPSMAAPAAASHGLTVSPTMTHYSFGYGGASSPSSVPCTSSVPLALRALTPHLRALRVSVPRPRAPSASAPPAAAPREWTVSLTTGRYSFGDSIASSSSAAPRAPAAPLALHAPAPHLRAPSMAAPPHAAPRGRTMPPTTGRYSFSYGGLSLSYTTPRGPIAPLSLRSPAPHLRARRVPTAPPAAARPRAPTPPAAAAAPAAPPAPPSGLPSWPLLVRPPTGPARARLAPATPTEAFEEYLVQRRAIEATVDDTPWEMIGRSRKTGGPMFAVAGGGRDRAELEAKEARERRKNRMDKRKAAAAARAQQPPPPLAPRCSGELKWW >ONIVA09G02730.1 pep chromosome:AWHD00000000:9:3664808:3665360:-1 gene:ONIVA09G02730 transcript:ONIVA09G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSLFLSPLSRRLYSVLIPLPRRIGPRPQTAAPKMSRPQSRSPPRQTSRVGRRQLRVRLAAPAMKIEDVRVRQIDYSDGNVNGEWAEEAGLDNKGVAIGELDRDDHGVEDTNGLGEDGAAAATAVGGGG >ONIVA09G02720.1 pep chromosome:AWHD00000000:9:3609529:3611550:1 gene:ONIVA09G02720 transcript:ONIVA09G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPGENRRCFLAVLHFFTIILIIITFPSSTAAIGDGQFAYYGFSNSSLTVDGAAMVLPGGLLQLTNSTANMKGHAFHPTPFRLRKSPNTTVQSFSASLVFGIISPYIDLGSQGMVFLVAPSTNFSDALPAQYLGLFNTRNIGNRSNHVFAVEIDTILNSEFMDIDDNHIGIDICDLRSMTSRPAGYYDNSTGGFHNLSLISGEAMQIWIDYDGGAKQIDVALAPFKMAKPTKPLLSMPYDLSSVISDVAYVGLSAATGLAGSSHYILGWSFSMNGPTPPFFTAQLPDLPRRAQEASRRKVLPIIVPIVTATSVLLITLAVFLFVRRRLRYAELREDWEIQFGPHRFSFKDLYFATEGFKNSHLLGTGGFGRVYKGLLSKSNMQIAVKRVSHESRQGIREFVAEIVSIGRLRHRNIVQLLGYCRRKDELILVYEYMPHGSLDKYLYCHSNHPTLDWIQRFRIIKGVASGLLYLHGDWEKVVIHRDVKASNVLLDAEMNARLGDFGLARLYDHGTDMQTTHLVGTIGYLAPELVRRGKASPLTDVFAFGIFVLEVTCGRRPIEHKMNSDKLLLVDWVMDCWNEGSLLETMDPKLQNEYDADEACLALKLGLLCSHQSPAARPSMWHVMQYLNHDLPFPELAPMDMVQNRQVDSPVAYCQSVVSDGTISGLSEGR >ONIVA09G02710.1 pep chromosome:AWHD00000000:9:3600189:3600431:-1 gene:ONIVA09G02710 transcript:ONIVA09G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAQDVGHGGVENVEEYLSLESTKFKRSFTLPARMSSSTTSTPRRCVDGTGATTAAAAAKGWSTGAHTRAASTRT >ONIVA09G02700.1 pep chromosome:AWHD00000000:9:3592973:3596420:-1 gene:ONIVA09G02700 transcript:ONIVA09G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPSTHIMLSVVVLLLLAAALPMSCSNDTDLTALLAFRAQVSDPLGILRVNWTTGTSFCSWIGVSCSHHRRRRRAVAALELPNIPLHGMVTPHLGNLSFLSFINLTNTGLEGPIPDDLGRLTRLRVLDLSRNRLSGSVPSSIGNLTRIQVLVLSYNNLSGHILTELGNLHDIRYMSFIKNDLSGNIPENIFNNTPLLTYINFGNNSLSGSIPDGIGSSLPNLEYLCLHVNQLEGPVPPSIFNKSRLQELFLWGNYKLTGPIPDNGSFSLPMLRWIDLHWNSFRGQIPTGLAACRHLERINLIHNSFTDVLPTWLAKLPKLIVIALGNNNIFGPIPNVLGNLTGLLHLELAFCNLTGVIPPGLVHMRKLSRLHLSHNQLTGPFPAFVGNLTELSFLVVKSNSLTGSVPATFGNSKALNIVSIGWNLLHGGLDFLPTLSNCRQLQTLDISNSFFTGNLPDYMGNFSNQLVIFFAFGNQLTGGIPASLSNLSALNLLDLSNNQMSNIIPESIMMLKNLRMLDFSGNSLSGPIPTEISALNSLERLLLHDNKLSGVLPLGLGNLTNLQYISLSNNQFSSVIPPSIFHLNYLLVINMSHNSLTGLLPLPDDISSLTQINQIDLSANHLFGSLPASLGKLQMLTYLNLSYNMFDDSIPDSFRKLSNIAILDLSSNNLSGRIPSYFANLTYLTNVNFSFNNLQGQVPEGGVFLNITMQSLMGNPGLCGASRLGLSPCLGNSHSAHAHILKFVLPAIVAVGLVVATGLYLLSRKKNAKQREVIMDSAMMVDAVSHKIISYYDIVRATDNFSEQNLLGSGSFGKVYKGQLSDNLVVAIKVLNMQLEEATRSFDSECRVLRMARHRNLMRILNTCSNLDFRALLLEFMPNGSLQKHLHSEGMPRLGFLKRLDTMLDVSMAMDYLHNQHYEVVLHCDLKPSNMLFDDEMTAHVADFGIAKLLLGDESSMVSVNMIGTIGYMAHEYGSMAKASRKSDVFSYGIMLLEVFTGKMPTDPMFAGELSLREWVHQAFPLRLTDVVDSNLLQDCDKDCGTNHNDNAHEDAASSRLITDLLVPIFELGLMCCSHAPDERPTMKDVVVKLERIKRDYTDSTGRQRTE >ONIVA09G02690.1 pep chromosome:AWHD00000000:9:3588166:3592290:1 gene:ONIVA09G02690 transcript:ONIVA09G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPARALLTTTYDLSQVLKNQSYVGFSSSTGILDTHHYVLGCSFGMNQPAPVIDVKKLPKLPRFHRAMSGMNRSSFLPLFFIIIIIKLGIGLLPSFTTAIDDGRFVFNGFLNSSLTIDGAATVLPGGLLQLTNGTGMVKGHAFHPTPFRFRESPGTTLHSFSVSIVFGIISAYREVGTDGMAFLISPSSNFSEANAAQHLGLFNYKNNGNMSNHVFAVEIDTVRNNEFMDIDSNHIGIDISDLRSVNSSSAGYYVDNTGGFQNLSLISGDAIQIWIDYDARAMRIDVALAPFKMAKPTKPLLLMSYNLSMVLTDVAYVGLSAATGPLETSHYILGWSFSMNGSAPSFLTAQLPDLPRRGTDRKGSRRSKVLLKIVPIATATSAVVVSLAVFLFVRRWFKYAELREDWEIDFGPHRFSFKNLYFATEGFKNRHLLGTGGFGRVYKGFLSESKLQIAVKRVSHESRQGIREFIAEIVSIGRLRHCNIVQLLGYCRRKGELLLVYDYMPNGSLDKYLHCNSTHPSLDWNQRFRIIKGVASGLWYLHGEWEQVVIHRDVKASNVLLDEEMNARLGDFGLARLYDHGTDMQTTHLVGTIGYLAPELANTVKASPATDVFSFGIFVLDVACGRRPIEHGMNSEYKFTLVDWVIDRWHEGSLLEVMDPKLQNGYDDDEACLALKLGLLCSHPSPIARPTMWHVMQYLNHDLPFPELTAMDMVRNRWVDSPIANCQSVASDGTMSGLFEGR >ONIVA09G02680.1 pep chromosome:AWHD00000000:9:3583970:3588135:1 gene:ONIVA09G02680 transcript:ONIVA09G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIKIITKTFLLFLALSHKFFAAAGEEERFLYSGFSGTDILVNGMAMVTPNGLLQLTNGTAQSKGHAFHPTPLRFHERGSNGTRVRSFSASFVFAIRSIAPGVSAQGLTFFVSPTKNFSRAFSNQFLGLLNKKNNGNTSNHIFAVELDTVLNNDMQDINDNHVGIDISDLRSVDSYNAGYYDDKNGTFCNLTLASFDAMQVWVDYNGERKLISVTLAPLNMAKPARALLTTTYDLSQVLKNQSYVGFSSSTGILDTHHYVLGCSFGMNQPAPVIDVKKLPKLPRLGPKPQSKLLIIILPIATATLVLAIVSGIVVHRRRQMRYAELREDWEVEFGPHRFSYKDLFHATEGFKDKHLLGIGGFGRVYKGVLTKSKSEVAVKRVSHESRQGIREFIAEVVSIGRLRHKNIVQLHGYCRRKGELLLVYDHMPNGSLDKYLHNHDNQQNLDWSQRFHIIKGVASGLLYLHEDWEKVVVHRDIKASNVLVDAEMNGRLGDFGLARLYDHGSDPQTTHVVGTMGYIAPELARMGRASVLTDVFAFGMFLLEVTCRRRPIMQSEEQDCPIMLVDWVLLHWRNESLIDVVDKRLQNEYNIDEACLALKLGLLCSHSLPSARPNMRQVMQFLDGDISFPDEVLAQLLSHEGQEHIIVSSPPPSTSFVTMPSTPSHHHLPLALSHKFFAAAGEEERFLYSGFSGTDILVNGMAMVTPNGLLQLTNGTAQSKGHAFHPTPLRFHERGSNGTRVRSFSASFVFAIRSIAPGVSAQGLTFFVSPTKNFSRAFSNQFLGLLNKKNNGNTSNHIFAVELDTVLNNDMQDINDNHVGIDISDLRSVDSYNAGYYDDKNGTFCNLTLASFDAMQVWVDYNGER >ONIVA09G02670.1 pep chromosome:AWHD00000000:9:3580012:3580732:-1 gene:ONIVA09G02670 transcript:ONIVA09G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKCHLHIEGICSMHTTTCTLLTTCSATTPDRRSLPAPPELYHRRFALASRQDLRSCRRSAGSGPTRPDPTVPAQPSSSNRDEETPLARGRRPLALGGGGKPPDTPDLEKRREGEKRKDEEGDWKRYGAAAVAGTTITGGLAGGGNTSEKRPGSPCAHRLAQPGRATWESQEQHGDSKIMMERETMGIGWFLRD >ONIVA09G02660.1 pep chromosome:AWHD00000000:9:3578109:3578765:-1 gene:ONIVA09G02660 transcript:ONIVA09G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLPCTHAHLPLPLFFSILLLIFSMEVAHSDARRLPLKLLEVGNIKEEPDETIGEKMEMEMEGRRLIGSRPPRCERVCMSCGHCEAVQVPIVPQVIQKTQTRAAAAAAAAEQEQHVVVSATAISAAVFTYRVNGLSNYKPLSWKCKCGGIILDP >ONIVA09G02650.1 pep chromosome:AWHD00000000:9:3569671:3571655:-1 gene:ONIVA09G02650 transcript:ONIVA09G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKLVFNGFSNSSLVLDGSAAVLPNGILKLANGSSYAYTKGHAFFPSPIQLRNSTDGSIFSFSATFIFAMLHTLPLEEGDGIAFFLAAHTNFTGTGISGDFGLPAEDDDGSKSLDHILSIELDTLHNEKFGDIDDNHVGININSLNSSQSSPAGYYTDEPYSILHPLRLKSGEEMQLWIDYDHRRMQLNVTLAPVPMAKPKRPLLSATHNLSKVLLDHMYVGFSSSSSAALYISGHFIAGFCFRLDGKPASLQYSKLPKINTSDLPDHVTYGTGRGSSIYWPDILTSLPLIYASALGGAISMPVIIYLIIVRRCRRYQELHEDWEVEFGPHRFSFKELFKATDGFVDQQLLGVGGFGKVYKGVLPSSKLEVGVKVMSHDSKQGMKEFVAEVISMGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLNYISPELARLGKATKATDVFAFCAFILEVACGRRPIGMNSSGELQMLVDIVLRFWQRDLILCMLDPRLGGEFVTEEAALVLKLGLLCSHPSPASRPSMRIVMQYLSGDVLLPEMPESYRSIRSFSEMQVEGDQQLDENPLRQYLSVQTKRV >ONIVA09G02640.1 pep chromosome:AWHD00000000:9:3549296:3558271:1 gene:ONIVA09G02640 transcript:ONIVA09G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLACFLLFLTSFTTVSIGQDQFIYNGFSGSNLILDGTAMVTPNGILELTNGASTYETSYALYPTPWQFLKVPLQSFSVNFVLFMAPSIRCPDSMAFMIFPSKGLKNDQRESNLAVNFLSCQDKRFLENNENDISISINSSFSRPLETHPAGFYDDKNGIFNDLPLVGGKAVQIWVDYDGEATQMNVTIAPLKLTKPLRPTLSAILNISTILDEGVSYIGFSSGANNVGALNYVLGWSFGMNSPAPTIDIIKLPKLPRFGPKVRSKTLKIVLPIVITTVILLVGAAVTALRRKRYAELYEDWEVEFGPYRFSYKYLFDATEGFNNEKILGVGGFGKVYKGVLPDSKLEVAIKRVSHESKQGIKEFIAEIVSIGRIRHRNLVQLLGYCRRKDELLLVYDYMPNGSLDKYLHCKEGKYTLDWAKRFQIIRGVASGLFYLHEKWEKVVIHRDIKASNVLLDAEMNGHLGDFGLARLYEHGNDPQTTHVAGTFGYIAPEMARTGKASPLTDVYAFAIFVLEVTCGRRPINNYTHDSPTILVDWVVEHWQKGSLTSTLDVRLQGDHNADEVNLVLKLGLLCANPICTRRPGMRQVMQYLDNEMPLPRLMPTNLSYSMLGYLQNDGFDQYKSVPSTQQPDIEPHEWKMIQMDGIPSRPRSMKHTSPVILFLTISISLSAISASGDHDQFIYTGFTGSNLTLDGAAKITATGLLGLTNDSFWIKGHASHPAPLRFRKSPNGTVQSFSVSFVFGILSSFGDIRGHGFAFFIAPSNDFSTAFPIQFLGLLNDINNGSSTNHLFAIELDTIRNDEFGDIDNNHVGIDINSLNSVRSSYAGFYNDNNGALTNVSLIGDKPMQVWVEYDGNATQIDVTLAPLGIGRPKRPLLSVVHNLSTVLTDQAYLGFSSSTGLSTGHHYVLGWSFGLNIPAPIIDPTKLPKLPNLSPKPQSKLLEIVLPIASAIFVLAIGVAIVLLVRRHLRYKEVREDWEVEYGPHQGLLELTNGTVNQKGHAFHPTPFRLRKPPNSAVQSFSASLVFGIVVSPLLHASTEGMAFFLAPSSNFSDALPAQYLGLFNYSNNGNLSNYVFAVEIDTEQNNEFMDIDGNHVGIDICDLHSATSSSAGYYDDITGSFRNLSLISGKAMQIWINYDGEATWIDVALAPFKMARPTKTLLSMSYNLSAVLTNVAYIGLSAATGQIESRHYILGWSFSMNGPAPPFFTAHLPNLPKARVDGKATQLILLPLISPLATPTFVFLVILAIFFFVRRRLRYAELREDWEIEFGPHRFSFKDLYLATEGFKNSHLLGTGGFGRVYKGLLSKSKSQIAVKRVSHESRQGIREFVAEVVSIGRLRHRNIVQLLGYCRRKGELLLVYDYMPNGSLDNYLYGHSNRPILDWIQRFRIIKGVASGLWYLHGEWEQVVIHRDIKASNVLLDEEMNARLGDFGLARLYDHGTDMQTTRLVGTIGYLAPELLQNGKASPLTDVFAFGIFVLEVTCGRRPIEHKMNSDQLKLVDWVIDCWNERSLLEAMDPKLQNEYDADEAFLALKLGLLCSHQSPAARPSMWHVMQYLNHDLPFPELAPMDMVQNRQVGSPVAYCQSVVSDGTITGLSEGR >ONIVA09G02630.1 pep chromosome:AWHD00000000:9:3535555:3537462:1 gene:ONIVA09G02630 transcript:ONIVA09G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKLILCFLLLFLTLNLSASSTGGDHERFMYAGFTGANLTMDGSAKIIPTGLLALTKDTFRAQGHALHPAPLRFGQSNGMVTSFSVSFVFGILSSFGDIRGHGFAFFIAPTNNFSSAFPIQFLGLLNDKNNGSLSNHLFAIEFDTIRNDEFGDIDNNHVGIDINSLNSMQSYHAGFYDDKGGTFTNLSLIGGGPIQVWIEYDGYTTLTNVTIAPLGMARPIRPLLSVTRDLSTVFTNQSYLGFSSSTGLSTAHHYILGWSFGMNSPAPIIDSTKLPKLPEPPNSGPRTQSILLILPLIGSILLVLIIGIVVLLVRRQLVYKEVREDWEVEYGPRRFAYQDLFRATRGFKNNNLVGIGGFGKVYRGVLPISKLQVAVKRVSYGSKQGIKEFIAEVVSIGNLQHRNIVQLFGYCRRKNELLLVYDYMENGSLDKHLYNFHGQPTLNWSQRFKIIKDIASGLLYLHEEWDKVVIHRDIKASNVLIDKEMNARLGDFGLSRLCDHGSNLHTTNVIGTIGYLAPELVHTGKATTLSDVFGFGIFLLEVSCGQKPIRQNSEGKHLILVDWVVENWHKGSLLDTMDRRLQGNYNIDEACLALKLGLLCSHPFSNARPNMRQVLQYLDGDVQLPELNVSVM >ONIVA09G02620.1 pep chromosome:AWHD00000000:9:3532427:3534095:-1 gene:ONIVA09G02620 transcript:ONIVA09G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPEIQELIHHPYDGRVTSFVVLSCVTACLGGIIFGYDIGVSGGVTSMDAFLERFFPEVYRRMHGGGGGERVSNYCRFDSQLLTAFTSSLYVAGLATTFLASHVTARRGRRASMLVAGAAIAAGATVGASAAGLATVILGRVLLGVGVGFGNQAVPLYLSEMAPPSRRGAFSNGFQLCVSVGAFVAQLINFGAEKIAGGWGWRVSLAVAAVPAAFLAVGAVFLPETPNSLVQQGEDHGKVRALLSKIRGSDGAGVDDELDDIVAADRCKVTARRGLTLMLTHRRYRPQLVMAVMIPFFQQMTGINAIAFYAPVLLRTVGMGESAALLAVVIKQVVGIGATLASMLAVDRFGRRTLFLAGGAQMVISQLLIGAIMAAQLGDDGELSQASALLLIVLVAVYVAGFAWSWGPLGWLVPSEIFPLEVRSAGQSIAVAVNFLLTTAVAQSFLAMLCQMKAGIFFFFAAWLVAMTAFVYLLLPETKGLPIEQVGKLWARHWFWRRFVVPDSGDGEEEGGAIDADKL >ONIVA09G02610.1 pep chromosome:AWHD00000000:9:3522848:3524228:-1 gene:ONIVA09G02610 transcript:ONIVA09G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSARWGDRGCHEGEVFVLWAQPDPFPDTISIAAPSHCHAHHQVVRMCFQDFDGKKIGTPLSTGWTTSTSHRLGARLRLLGGIAVSSCRASACNLKRNNTYFMMKNFRENDGDLCRP >ONIVA09G02600.1 pep chromosome:AWHD00000000:9:3501422:3509340:-1 gene:ONIVA09G02600 transcript:ONIVA09G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGLIHHHRLLDADVGGGGGGSSAGLVLTADPKPRLRWTADLHDRFVDAVAQLGGPDTEGSGGSGWRDGGRGGFKYGACGGGESGICGGAQGRLPPAAAAAASRKDQSFSTTSATAMPSGSAATGPARREGGEHARPWMGERVVFAGKVERRAAASAGWRFTIVGTRKYAFPRDLTRTSSLEEPRDMLQLLVRDGEDDLLSAVHQRSQQPMVRAAAEHAHMLEATPKTIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMAEQSKDASYILGAQSGTNLSPTVPTPDLKESQELKEALRAQMEVQRKLHEQVEVQRHVQIRMEAYQNYIDTLLEKACNIVSEQLNGFSISDHDLTSAGVMLSSSDTLSPSIFHQLSVSSISLHSPGGKSSPFAADADLFFQKAPEKRKSY >ONIVA09G02600.2 pep chromosome:AWHD00000000:9:3501422:3509340:-1 gene:ONIVA09G02600 transcript:ONIVA09G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGLIHHHRLLDADVGGGGGGSSAGLVLTADPKPRLRWTADLHDRFVDAVAQLGGPDKATPKTIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMAEQSKDASYILGAQSGTNLSPTVPTPDLKESQELKEALRAQMEVQRKLHEQVEVQRHVQIRMEAYQNYIDTLLEKACNIVSEQLNGFSISDHDLTSAGVMLSSSDTLSPSIFHQLSVSSISLHSPGGKSSPFAADADLFFQKAPEKRKSY >ONIVA09G02590.1 pep chromosome:AWHD00000000:9:3486799:3494183:-1 gene:ONIVA09G02590 transcript:ONIVA09G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGNNAIVPSNGGNNNPNMAARQRLRWTNELHDRFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADDNKDEDKDPGNLLSALEGSSGMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRVIGAGASRATSSEQLPDSVKTNPPTPVPISESPVQGASRSKNSQSQVEPTKSPSHDDALPCGEPLTPDSSCRPGSPTLSPKHERAAKRQRGSDAGDVTAFADGEFVLPHGIFESSTGSEFQECSMPYSGH >ONIVA09G02590.2 pep chromosome:AWHD00000000:9:3486801:3491334:-1 gene:ONIVA09G02590 transcript:ONIVA09G02590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNPISSSGQTHGNPTAHEQMELGNNAIVPSNGGNNNPNMAARQRLRWTNELHDRFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADDNKDEDKDPGNLLSALEGSSGMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRVIGAGASRATSSEQLPDSVKTNPPTPVPISESPVQGASRSKNSQSQVEPTKSPSHDDALPCGEPLTPDSSCRPGSPTLSPKHERAAKRQRGSDAGDVTAFADGEFVLPHGIFESSTGSEFQECSMPYSGH >ONIVA09G02580.1 pep chromosome:AWHD00000000:9:3484454:3485395:-1 gene:ONIVA09G02580 transcript:ONIVA09G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVAVSEALEAGKLSAAPRDGAADFAVAWLAVVVVSLAVAATCVVVSFDAHARQPGRLRRMLDLGPSVRGARLLLAIFAGLLAAAEVLRLPFFSRAVVSPPRHVVPCLAYPLVAHGVAEPCFLATVLLLLRASTGGARLPAAALAVPFACLPFLSAHVAVLVLPAAVAPYPGQLAHAADVDARCAYPAYAAALLVALAALYAPLLLSACWTVAAVAINRRMRARAYALAALVVVPLPVQVVALALSSVWETPRRTSPVAAFLGFLAVGIAAAAALTILVLLPVYDALFLGDDEQLPVAVAAAGEEARELDR >ONIVA09G02570.1 pep chromosome:AWHD00000000:9:3464500:3467105:1 gene:ONIVA09G02570 transcript:ONIVA09G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKKYMASGLSPEIKLKMGGNRPRKEYQPQKNGQQTTTDPAYGALVRPVEPPKSWDNTKKNKLPFNPSTNHDNGTSNGTTNSRR >ONIVA09G02560.1 pep chromosome:AWHD00000000:9:3458398:3461050:1 gene:ONIVA09G02560 transcript:ONIVA09G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQATGSSKRVPSVGGGKEREVLKIDSETKSNQPPTKKASSKPAPKKNDKVQGGGKGKKNPRSATWAIVVAVEVIVVAGEDSYRQIGHWGGRPKIRVGKASAPDSCGRRPPPSLRVSSTLPRHPSPPPSSGEGRGETRCGREDEEGAVATTGREERGEEARKGVGEEFRWERERERDGEESAWRERKEGRIKNERGDFECE >ONIVA09G02550.1 pep chromosome:AWHD00000000:9:3452677:3454109:1 gene:ONIVA09G02550 transcript:ONIVA09G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLSTIIPFDQAFTRISIRMDYYSKGSASKGSSTGRMPEQQNSDHQYYISSMSSNHGQQGGGGAENSTYTSKSIRTKKFPSLNG >ONIVA09G02540.1 pep chromosome:AWHD00000000:9:3449242:3450862:1 gene:ONIVA09G02540 transcript:ONIVA09G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGTSKPVATLGGGGSSSGVSTTGTNQGGDDRGTSHPVPAMSFTGGLAQSMSHYIYTTSIGQAGGCHKGKVKGERE >ONIVA09G02530.1 pep chromosome:AWHD00000000:9:3444343:3448188:1 gene:ONIVA09G02530 transcript:ONIVA09G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASSKIFHRRSSPTTALPSAIPYPDVGCMISSVGTIRVAASIGVRLPVRWRSDWPLTIASSPYTGVGSLLGCSVHLLWISLVVAHDGLPSAIPCPDVGCMISSVGTIRVAASIGVRLPVRWRPDWPLTSASSPYTRLPPRLLRSSSLDFSGESAAFFAFFYPLPSPFSGQLHQWLQACKMELSWEAEQAATGGIKEQGGKWFLKPSIKMGQMTCICSSSPDEGGHPRASVLCELF >ONIVA09G02530.2 pep chromosome:AWHD00000000:9:3444343:3447491:1 gene:ONIVA09G02530 transcript:ONIVA09G02530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASSKIFHRRSSPTTALPSAIPYPDVGCMISSVGTIRVAASIGVRLPVRWRSDWPLTIASSPYTGVGSLLGCSVHLLWISLVVAHDGLPSAIPCPDVGCMISSVGTIRVAASIGVRLPVRWRPDWPLTSASSPYTRLPPRLLRSSSLDFSGESAAFFAFFYPLPSPFSGQLHQWLQACKMELSWEAEQAATGGIKEQGGKSHLLMKVVIPELQYCASYSEIHCHWSRSNVSNYFKQLCSTCVVICLNKY >ONIVA09G02530.3 pep chromosome:AWHD00000000:9:3444145:3448188:1 gene:ONIVA09G02530 transcript:ONIVA09G02530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASSKIFHRRSSPTTALPSAIPYPDVGCMISSVGTIRVAASIGVRLPVRWRSDWPLTIASSPYTGVGFSFVQAPSSAAPFIFSGFLWLSKQQQEESRNKGENVREEETGIPKTAMMGQMTCICSSSPDEGGHPRASVLCELF >ONIVA09G02530.4 pep chromosome:AWHD00000000:9:3444145:3447432:1 gene:ONIVA09G02530 transcript:ONIVA09G02530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASSKIFHRRSSPTTALPSAIPYPDVGCMISSVGTIRVAASIGVRLPVRWRSDWPLTIASSPYTGVGFSFVQAPSSAAPFIFSGFLWLSKQQQEESRNKGENVREEETGIPKWSSQSFSIVRAILRYTATGVAQM >ONIVA09G02520.1 pep chromosome:AWHD00000000:9:3435834:3436616:1 gene:ONIVA09G02520 transcript:ONIVA09G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLSFAQTAQASEGTNLNMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKDLQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVRYPQLLGTIS >ONIVA09G02510.1 pep chromosome:AWHD00000000:9:3430300:3434364:1 gene:ONIVA09G02510 transcript:ONIVA09G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G55330) TAIR;Acc:AT3G55330] MAAPSTSTPAPASSAFPLAAAAAACFPRASSSTRALALALALAERHRLSEVGGGDRSVAAGRRSFQGLIPAHVLSAGDDAEGAFRTQSYLRFVSLLLRQSIKREAEERSRRRMLLAAGAAMFLSWPNPAAYAAEAKKGFLPVTDKKDGYSFLYPFGWQEVVVQGQDKVYKDVIEPLESVSVNTIPTSKQDIRELGPPDQVAEALIRKVLAAPTQKTKLMEAKENDVDGRTYYTFEFTAQAPNFTRHALGAIAIANGKFYTLTTGANERRWEKIKDRLHTVVDSFKIEAREVRFNGKCREHGSY >ONIVA09G02510.2 pep chromosome:AWHD00000000:9:3430300:3434364:1 gene:ONIVA09G02510 transcript:ONIVA09G02510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G55330) TAIR;Acc:AT3G55330] MAAPSTSTPAPASSAFPLAAAAAACFPRASSSTRALALALALAERHRLSEVGGGDRSVAAGRRSFQGLIPAHVLSAGDDAEGASIKREAEERSRRRMLLAAGAAMFLSWPNPAAYAAEAKKGFLPVTDKKDGYSFLYPFGWQEVVVQGQDKVYKDVIEPLESVSVNTIPTSKQDIRELGPPDQVAEALIRKVLAAPTQKTKLMEAKENDVDGRTYYTFEFTAQAPNFTRHALGAIAIANGKFYTLTTGANERRWEKIKDRLHTVVDSFKIEAREVRFNGKCREHGSY >ONIVA09G02510.3 pep chromosome:AWHD00000000:9:3430300:3434364:1 gene:ONIVA09G02510 transcript:ONIVA09G02510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G55330) TAIR;Acc:AT3G55330] MAAPSTSTPAPASSAFPLAAAAAACFPRASSSTRALALALALAERHRLSEVGGGDRSVAAGRRSFQGLIPAHVLSAGDDAEGASIKREAEERSRRRMLLAAGAAMFLSWPNPAAYAAEAKKGFLPVTDKKDGYSFLYPFGWQEVVVQGQDKVYKDVIEPLESVSVNTIPTSKQDIRELGPPDQVAEALIRKVLAAPTQKTKLMEAKENDVDGRTYYTFEFTAQAPNFTRHALGAIAIANGKFYTLTTGANERRWEKIKDRLHTVEVRFNGKCREHGSY >ONIVA09G02500.1 pep chromosome:AWHD00000000:9:3428515:3429264:1 gene:ONIVA09G02500 transcript:ONIVA09G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIDLVLKSSYPFRTTDRPNGVCFLVVLQVGNRFVGLADTLQFVYVLERHNSVWTRYETTSRCTDLTAKIIISGFVVLDRRSFMVSDAATFDCLLLNIVKACQWYRYGALFVRSLCIHGFVYTLFDGGILAFELVVSENDGSYYLDAPIFLRAWSKIVRERSMICFASVGQDDDDDHSCDHCLVFCLARGGYPRAGYSSTVRKKLYDDVQITMIQVMTRETGRGTREPVRPPRFVDMCTNSVEWMQAC >ONIVA09G02490.1 pep chromosome:AWHD00000000:9:3394971:3398866:1 gene:ONIVA09G02490 transcript:ONIVA09G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGSGFRNSVPAQQGTTLYGTSYGATDSQSTASSYYNGSSKSTTGRVPAQQSSDYKSKTADQYYYNSISSSKSQQLGGAGAKSSEQKSI >ONIVA09G02480.1 pep chromosome:AWHD00000000:9:3389351:3390598:1 gene:ONIVA09G02480 transcript:ONIVA09G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYRSGFHNSVPAQQGTTFYGSSYGGNNSQSTASSYHNGSSKSTTGRVPAQQYSDYKSKTADQYSYYYNSISSGQGQQLGGAGYYDKQPSKGTNKRYPSLKG >ONIVA09G02480.2 pep chromosome:AWHD00000000:9:3389351:3390675:1 gene:ONIVA09G02480 transcript:ONIVA09G02480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYRSGFHNSVPAQQGTTFYGSSYGGNNSQSTASSYHNGSSKSTTGRVPAQQYSDYKSKTADQYSYYYNSISSGQGQQLGGAGYYDKQPSKGTNKRYPSLKG >ONIVA09G02470.1 pep chromosome:AWHD00000000:9:3374930:3375290:1 gene:ONIVA09G02470 transcript:ONIVA09G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKAKMIVSSTLVSLPAIKSSFIPRVKVLLEYVGNIIILWHILIQNNKIINITFAMGIDLVIVLDKYTPRMDLRWIHLLK >ONIVA09G02460.1 pep chromosome:AWHD00000000:9:3366788:3372612:1 gene:ONIVA09G02460 transcript:ONIVA09G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNIGSRDVCVVGVARTPMGGFLGALSSLSATKLGSIAIEAALKRANVDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCSAVNKVCASGMKATMFAAQSILLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDFAMGNCAELCADNHALTREDQDAYAIQSNERGIAARNSGAFAWEIVPIEVPVGRGKPPVLVDKDEGLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVIARIKGFADAAQAPELFTTSPALAIPKALANAGLESSRVDYYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >ONIVA09G02460.2 pep chromosome:AWHD00000000:9:3366788:3372612:1 gene:ONIVA09G02460 transcript:ONIVA09G02460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNIGSRDVCVVGVARTPMGGFLGALSSLSATKLGSIAIEAALKRANVDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCSAVNKVCASGMKATMFAAQSILLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDFAMGNCAELCADNHALTREDQDAYAIQSNERGIAARNSGAFAWEIVPIEVPVGRGKPPVLVDKDEGLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVIARIKGFADAAQAPELFTTSPALAIPKALANAGLESSRVDYYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >ONIVA09G02460.3 pep chromosome:AWHD00000000:9:3367229:3372612:1 gene:ONIVA09G02460 transcript:ONIVA09G02460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNIGSRDVCVVGVARTPMGGFLGALSSLSATKLGSIAIEAALKRANVDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCSAVNKVCASGMKATMFAAQSILLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDFAMGNCAELCADNHALTREDQDAYAIQSNERGIAARNSGAFAWEIVPIEVPVGRGKPPVLVDKDEGLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVIARIKGFADAAQAPELFTTSPALAIPKALANAGLESSRVDYYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >ONIVA09G02450.1 pep chromosome:AWHD00000000:9:3356565:3362102:1 gene:ONIVA09G02450 transcript:ONIVA09G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G61620) TAIR;Acc:AT3G61620] MPLSPRRLTASLAPLHSPPPPRQPPSSAPQKTRRATTHELIAGGGNPSLSRQQRLGERGRAPPAMEYVNPLTGFRVDGRRPNEMRQLKGEVGVVARADGSALFEMGNTRVIAAVYGPREVQNKGQQVNSKDALVRCEYRMADFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQIDIFVQVLQADGGTRAACINAATLALADAGIPMRDIVTSCSADLNYIEDSAGGPDVTVGFLTKMDKVTLLQMDAKLPMDTFETVMDLAIEGCKAIANYIREVLLENTRRLECQRDH >ONIVA09G02440.1 pep chromosome:AWHD00000000:9:3352867:3353490:-1 gene:ONIVA09G02440 transcript:ONIVA09G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHIHHIQHSRDGVGVGSGAELEYVGSGAELEYVRGSHEVVITEGTNGIGDGVKLESMPTVVMKNSSWLDSGSVPTAATKKPSVYRRCGVIDTDGDAELVVTRR >ONIVA09G02430.1 pep chromosome:AWHD00000000:9:3351950:3352207:-1 gene:ONIVA09G02430 transcript:ONIVA09G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGSCDRQGDDIQRLNDMTSPGRDVTAGEARTSRQQVDVSPVVSISDEKERRDLRLVVSPTRGVTLFPASSTGDLPRRHLVHA >ONIVA09G02420.1 pep chromosome:AWHD00000000:9:3345562:3349150:1 gene:ONIVA09G02420 transcript:ONIVA09G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT5G47710) TAIR;Acc:AT5G47710] MEEEEAGVRERRGVLKVVVASGTNLAVRDFTSSDPYVVVRLAAMNKKTKVINSCLNPVWNEEMSFSIEEPAGVIKFEVFDWDRFKYDDKMGHAFLELQPVAAAAKLRRALRLTTGETKLRKVAASVDNCLMCDSFVTYADGEIVLDARLRLRDVESGELFVTVKWIEANAK >ONIVA09G02410.1 pep chromosome:AWHD00000000:9:3336459:3344611:1 gene:ONIVA09G02410 transcript:ONIVA09G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPNWTISRTKGLCDNLEDQHMDGIEELVEVEEAVVLQDDADAIADDEGVDEFAKTRETS >ONIVA09G02400.1 pep chromosome:AWHD00000000:9:3335901:3336340:1 gene:ONIVA09G02400 transcript:ONIVA09G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVAISLMWSGIPTVASYLTPRKRQASGGVPNSKLPSDGDYVPDSKDKASSGIPNSKLPPSGGFVPDFKDEASGGVPDPRSLLPHPPFPHNFIPNSEDQDGISNSKLPPSPLPPLLPTPVASS >ONIVA09G02390.1 pep chromosome:AWHD00000000:9:3331620:3335086:1 gene:ONIVA09G02390 transcript:ONIVA09G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRTPLLVAAVLLLLLPQATPIATASPVAFSFPSFSLRNLTLLGGASLRATSVSLPPPSSRALFPLPLPFPRNASFSTSFVFASPAAARPASSLSFLLLPDLLAEGLAAKNRSLPLELTFDASRNLVSASSAGVDVDGNSTAAVDLRNGNEVGSWVVYDASLARLEVFVSHASLRPPTPALAADADSIAARFAEFMFVGFEVTSSSGNGSSDGGFLIQSWTFQTSGMPAVDPASRSSHNVSDSVDSAPALDGLAGHKDGRRRRLALGLGIPLPIVFLGAVTMFVVMSLKKWGSGFKKGLGAKAAVGKPRQYTYQHLFSATKGFDPSLVVGSGGFGTVYKAVCPCSGVTYAVKRSKQSRDSYNEFNAELTIIADLKHPNLVHLQGWCAEKDELLLVYEFMSNGSLDMALHPCSEAECHVPLSWAQRYNVAVGIACAVAYLHEEHDKQVIHRDIKCSNILLDSHFNPRLGDFGLARLKDPNTSPRSTLAAGTVGYLAPEYLQMGKATEKSDVYSYGIVLLEICTGRRPIESAAPDSMNMVNVVDWVWNLHSKGKVLDAVDPTLNGEYDAGQMMRFLLVGLSCVNPFSEERPVMRTVLDMLEGNSGLLSVPRKKPLLVFVPNAPIDLEGIVSECNQSTVSSGLYELKIDLN >ONIVA09G02380.1 pep chromosome:AWHD00000000:9:3314280:3316080:-1 gene:ONIVA09G02380 transcript:ONIVA09G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFFVPHKALGGSLRGWWFRYRVNEQTSCNVEAGKHQSFVVAVAYCCLGTGFKEAHQAKTEQTL >ONIVA09G02380.2 pep chromosome:AWHD00000000:9:3314878:3316534:-1 gene:ONIVA09G02380 transcript:ONIVA09G02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIPAYVSIGVRLSPDEILSRPTPCFPLVIGWEIFSFSGGEEKNPLDAATQREGALRRGRLHGAARLRRDGDGTLSPPAVDSSGGHLLPSFGRKSERMVVQIQSE >ONIVA09G02370.1 pep chromosome:AWHD00000000:9:3306941:3309572:1 gene:ONIVA09G02370 transcript:ONIVA09G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGTISQQLTRYAAAQALLPGAHLHANLLKSGFLASLRNHLISFYSKCRRPCCARRVFDEIPDPCHVSWSSLPTPTTACPGAIQAFHGMRAEGVCCNEFALPVVLKCVPDAQLGAQVHAMAMATGFGSDVFVANALVAMYGGFGFMDDARRVFDEAGSERNAVSWNGLMSAYVKNDQCGDAIQVFGEMVWSGIQPTEFGFSCVVNACTGSRNIDAGRQVHAMVVRMGYEKDVFTANALVDMYVKMGRVDIASVIFEKMPDSDVVSWNALISGCVLNGHDHRAIELLLQMKSSGLVPNVFMLSSILKACAGAGAFDLGRQIHGFMIKANADSDDYIGVGLVDMYAKNHFLDDAMKVFDWMSHRDLILWNALISGCSHGGRHDEAFSIFYGLRKEGLDVNRTTLAAVLKSTASLEAASATRQVHALAEKIGFIFDAHVVNGLIDSYWKCSCLSDAIRVFEECSSGDIIAVTSMITALSQCDHGEGAIKLFMEMLRKGLEPDPFVLSSLLNACASLSAYEQGKQVHAHLIKRQFMSDAFAGNALVYTYAKCGSIEDAELAFSSLPERGVVSWSAMIGGLAQHGHGKRALELFGRMVDEGINPNHITMTSVLCACNHAGLVDEAKRYFNSMKEMFGIDRTEEHYSCMIDLLGRAGKLDDAMELVNSMPFQANTSVWGALLGASRVHKDPELGKLAAEKLFILEPEKSGTHVLLANTYASSGMWNEVAKVRKLMKDSNIKKEPAMSWVEVKDKVHTFIVGDKSHPMTKEIYSKLDELGDLMSKAGYIPNVDVDLHDLDRSEKELLLSHHSERLAVAFALLSTPPGAPIRVKKNLRICRDCHMAFKFISNIVSREIIIRDINRFHHFRDGTCSCGDYW >ONIVA09G02360.1 pep chromosome:AWHD00000000:9:3305776:3306390:1 gene:ONIVA09G02360 transcript:ONIVA09G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAHLNPDSLRGSLLLTAIFVLIADHSFHRATLLQPDSDSQGSPLSPSALRRHYKSLSKSLRSGPLSSSPVVFSTIKEALRRVADAYAAPALVSLPHRPACSARHHAASFAPCLTVAPLRPPPPRRLRAPSSHSTWLLPRSCPIPHAFSAHLYSSPCSWSSSSISPCSCRRRSSLMPCHRHRCIAGRPSDLLAPVTSSRLET >ONIVA09G02350.1 pep chromosome:AWHD00000000:9:3294596:3297961:-1 gene:ONIVA09G02350 transcript:ONIVA09G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSRPLCRSSDDGGRRGNVGDLLRSPFTAVVAALRGHHAATSGDDTAPSTDTAPPEQQHTAGGGELDGVDDGSGRRRERLDDGVFLTWEDVWVTAVDSRGKAATILNGVSGCARPGEVLAIMGPSGCGKTTLLDTLSAVAAVTPPEAEGNTAGRSAPPKGGAAGSAVVIVIVRASFAPENKGRDPYTVIATEGRGCGSTPRTVPPSPEPLDGGEEVKVAEGKVEEGWEENKMEEKRREKERGKNKREAEMRCDGEKKNVE >ONIVA09G02340.1 pep chromosome:AWHD00000000:9:3274282:3277555:-1 gene:ONIVA09G02340 transcript:ONIVA09G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVREAIYYSAQIQLPDTMTVANKLARAEDTVREMGLTSALDTRIGGRSSKGISGGQQKRLSICLEILTRPRLLFLDEPTSGLDSAASFHVMSRITDLAAREGMTVIAVVHQPCSEVFELFHGLCLLAAGSTIFFGPASTAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEEKLQYEPAVADEAIDILVNSYKSSDTSEVAKQEMRQINEMDRRMIGRNRAGFVTKTLVLTRRSFVNMYRDIGYYWLRLAIYVAISLSLGMIFYNVGYGPDSARSRSSMLMFIGTLLTFMAIAGFPSFVEDMMIFYNVGYGPDSARSRSSMLMFIGTLLTFMAIGGFPSFVEDMKIFGRERLNGHYGVVTFVISNTLSSTPYLLLIAVVPGAIAYYLTGLQKQIDHFIYFALVLCSCSMLVEGLMMIVATIVPDFLMGIITGAGIQGIMMLNSGFFQLPNNLPKIVWKYLIYYISFHKYALQGFYKNEFSGLVLQNDLEGHKTITSEKVIVELFQVETGHSKWVDLAILCGMIVIYRLLFVVIIKVLDMAKPMLKGVTLRCYTKSVIHGLE >ONIVA09G02330.1 pep chromosome:AWHD00000000:9:3262735:3270637:1 gene:ONIVA09G02330 transcript:ONIVA09G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07700) TAIR;Acc:AT3G07700] MAMMMADLSFSSSISNVKLQRFRASSNETSLIKRSPVFRAEARSTETEKFGTNGSAIKMVPTTELKRSKSGVRVRPDTVNGSPSGAVNGSTKVAINGSPNAAVNGSMKAVTNGSVNGTSLVKGSNMSALVKTQKRMRPNDDPFEEELKVLPSDEGFSWAKDNYNSWQRSADIWSFVLSFRIRVLFDNAKWAYAGGFSEEKQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVYKEFDNRPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQSSEAFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLTLLDNRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTRERLLSLFYAVYEKDANKVMKALIDLEALQATGDLSPVRRSIQFFLDNLLSQTPDQQQTLAAIGEDLFAISQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRRTSAPELVREIRKQANSARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKANVLQMATMYTAIGGTLLNVGVTLSSQGNQIVANGSFVGAGIFLALLIRSMQRVKKLDKFETMI >ONIVA09G02330.2 pep chromosome:AWHD00000000:9:3263542:3270637:1 gene:ONIVA09G02330 transcript:ONIVA09G02330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07700) TAIR;Acc:AT3G07700] MAMMMADLSFSSSISNVKLQRFRASSNETSLIKRSPVFRAEARSTETEKFGTNGSAIKMVPTTELKRSKSGVRVRPDTVNGSPSGAVNGSTKVAINGSPNAAVNGSMKAVTNGSVNGTSLVKGSNMSALVKTQKRMRPNDDPFEEELKVLPSDEGFSWAKDNYNSWQRSADIWSFVLSFRIRVLFDNAKWAYAGGFSEEKQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVYKEFDNRPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQSSEAFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLTLLDNRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTRERLLSLFYAVYEKDANKVMKALIDLEALQATGDLSPVRRSIQFFLDNLLSQTPDQQQTLAAIGEDLFAISQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRRTSAPELVREIRKQANSARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKANVLQMATMYTAIGGTLLNVGVTLSSQGNQIVANGSFVGAGIFLALLIRSMQRVKKLDKFETMI >ONIVA09G02320.1 pep chromosome:AWHD00000000:9:3241188:3241792:1 gene:ONIVA09G02320 transcript:ONIVA09G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGGDSGGGLTGGRRPLVQQGHEQDAFAAARLSQRAQCSTLRCHCGGRLGFAKVRGEASMTPCLTRLRPVSFFSPEISSGVRGRFCEQQY >ONIVA09G02310.1 pep chromosome:AWHD00000000:9:3237624:3239916:1 gene:ONIVA09G02310 transcript:ONIVA09G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIHSWLWRQDALEAAKRHDAIATLWRRLNNNVSSWPDNNGKAYGRLYYCDQPSIKLFCEHMVEQTNPRSVAPLNVHKGQHNRWP >ONIVA09G02300.1 pep chromosome:AWHD00000000:9:3220644:3233766:1 gene:ONIVA09G02300 transcript:ONIVA09G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IGT0] MPPSTLLLQSRLSAPKEAAVNYLTFMDPAKESLEGLLFLLLRFSSASPPPLAMHHGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTVLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVKEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNIDLHSIVHEQVAHPNWGIYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKRLYELVVRHFLAFCSQPAVGAETTVEINIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQFVPTTLTLDSGVTRPPPLLAEADLLSCMDKAGIGTDATMHDHIKKLLDRCYATKNANTRFSPTNLGEALVMGYNEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNVETVRPCAACNESEMFLKQRPTGEFMVGCRGFPQCRNAVWLPRSLSEAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMEISRFGSHSQTATPARNPNQTASGVRQGSSRQDLHTSFHPAGQFTNGQTPVVNPQGFRSTHTQSSGNASGQVHCTSCGEPCVLRTANTEANRGRKFYKCQDPSCGFFAWEDDVENSAPRGRGGRGRRGRSSSRQSSESASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVHGSCFICGDPTHFANVCPNRGR >ONIVA09G02300.2 pep chromosome:AWHD00000000:9:3220644:3233766:1 gene:ONIVA09G02300 transcript:ONIVA09G02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IGT0] MPPSTLLLQSRLSAPKEAAVNYLTFMDPAKESLEGLLFLLLRFSSASPPPLAMHHGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTVLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVKEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNIDLHSIVHEQVAHPNWGIYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKRLYELVVRHFLAFCSQPAVGAETTVEINIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQFVPTTLTLDSGVTRPPPLLAEADLLSCMDKAGIGTDATMHDHIKKLLDRCYATKNANTRFSPTNLGEALVMGYNEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNVETVRPCAACNESEMFLKQRPCRNAVWLPRSLSEAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMEISRFGSHSQTATPARNPNQTASGVRQGSSRQDLHTSFHPAGQFTNGQTPVVNPQGFRSTHTQSSGNASGQVHCTSCGEPCVLRTANTEANRGRKFYKCQDPSCGFFAWEDDVENSAPRGRGGRGRRGRSSSRQSSESASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVHGSCFICGDPTHFANVCPNRGR >ONIVA09G02300.3 pep chromosome:AWHD00000000:9:3220644:3233766:1 gene:ONIVA09G02300 transcript:ONIVA09G02300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IGT0] MPPSTLLLQSRLSAPKEAAVNYLTFMDPAKESLEGLLFLLLRFSSASPPPLAMHHGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTVLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVKEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNIDLHSIVHEQVAHPNWGIYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKRLYELVVRHFLAFCSQPAVGAETTVEINIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQAGIGTDATMHDHIKKLLDRCYATKNANTRFSPTNLGEALVMGYNEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNVETVRPCAACNESEMFLKQRPTGEFMVGCRGFPQCRNAVWLPRSLSEAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMEISRFGSHSQTATPARNPNQTASGVRQGSSRQDLHTSFHPAGQFTNGQTPVVNPQGFRSTHTQSSGNASGQVHCTSCGEPCVLRTANTEANRGRKFYKCQDPSCGFFAWEDDVENSAPRGRGGRGRRGRSSSRQSSESASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVHGSCFICGDPTHFANVCPNRGR >ONIVA09G02300.4 pep chromosome:AWHD00000000:9:3220644:3233766:1 gene:ONIVA09G02300 transcript:ONIVA09G02300.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IGT0] MPPSTLLLQSRLSAPKEAAVNYLTFMDPAKESLEGLLFLLLRFSSASPPPLAMHHGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTVLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVKEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNIDLHSIVHEQVAHPNWGIYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKRLYELVVRHFLAFCSQPAVGAETTVEINIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQAGIGTDATMHDHIKKLLDRCYATKNANTRFSPTNLGEALVMGYNEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNVETVRPCAACNESEMFLKQRPCRNAVWLPRSLSEAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMEISRFGSHSQTATPARNPNQTASGVRQGSSRQDLHTSFHPAGQFTNGQTPVVNPQGFRSTHTQSSGNASGQVHCTSCGEPCVLRTANTEANRGRKFYKCQDPSCGFFAWEDDVENSAPRGRGGRGRRGRSSSRQSSESASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVHGSCFICGDPTHFANVCPNRGR >ONIVA09G02290.1 pep chromosome:AWHD00000000:9:3215877:3219799:-1 gene:ONIVA09G02290 transcript:ONIVA09G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHGDSSRPRPPSARPTASRPPRLEKGTFAPPPPPFGFPPPSPPCSTFAPPPQSGVPAPLPPGSSFAPPPLQSRVPPPPPQPGVPPLPQFGMMPRYGLNRSTAPLRRTATASFGVPVTDFVSNEGN >ONIVA09G02280.1 pep chromosome:AWHD00000000:9:3205667:3205947:-1 gene:ONIVA09G02280 transcript:ONIVA09G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGKKFGGGRPPTGTPSLAWSSVVVVVSLLAGASIVHNIYKPNMTIPPVESTDGGKQS >ONIVA09G02270.1 pep chromosome:AWHD00000000:9:3182942:3184370:-1 gene:ONIVA09G02270 transcript:ONIVA09G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTGVMGSLLPKMVQLLKEEYNLQIGVRKKIESLLRELDSVYAVLRVVGESMEPAGPHMLRRLRKKIGKLFKKVKVRRKIAGAIQDIDKKLKEVAARCGRYTVDDIVVAKPEYQATIDPRLLNLFRKATELVGIDGPMDELIEMLALGDDIHPSMNKPKVISIFGFGGLGKTTLAKAVYNKFKPGFDSGAFVPIGQHPDMKKVLRDILIDLDKQRYMHSIMMLLDERQLMNELQEFIQKKRNIRKPCESVVGNGSQV >ONIVA09G02260.1 pep chromosome:AWHD00000000:9:3175593:3180949:-1 gene:ONIVA09G02260 transcript:ONIVA09G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLANKPMEDWPVVYKSIGLGHGGNDDVDNTRNILSLSYYDLSLHLKPCLLDLSIFPEDYYIEKNMLIWKWITEGFVHEEKAAGIGLFELGEGYFNELINRSLILPAEAEDKGYIDGCHVHDMVLDLVRLLSAEENFVTVLDGSEELVLLSRNSRRLALQCSHVIRVLALQNCLILDHCSKHSLQHVWSLLHLRYLGLQYIDSIELPEDVGHLKFLQVLDLLGTQIKELPESMGLLTKLVCLRANRIYKVSAGLIGELTSLEEIWIEAENDDRIQFMKALGKLSKLRVLWIRLSTYEPDERPNRDLLDCLHNLHSIQTVDIYASSGKKSVMWEEGHASPQCLRHLCLQTLKFCRFPMWLNSSFLPNLCYLELQVMALKEQDMETLGRLPELNYLKLDSNYTATISTGGTSGDVYFQKLRIFKAPRSLVWFDLHNIICNEKDIMPSLESLKFTVHVQFLKDANLLCFENQLGFGNLGRTSLQRVEADIYCAGAHTKEVEEAEAALAQAAAVHPNHPTLKIVRIFEDRLLSPYKEPDRNITYKTAFKNVKARVVKDDVGYFDFHWLLHNPNIRKFEVYVDCEDATLEEVEEAEAAAWCAANDHPNRPALEIIRCDEDKMMLFDIHQEKFSVLINYENASLEEVEEAEAAARYAVDVHLNRPTKEIR >ONIVA09G02250.1 pep chromosome:AWHD00000000:9:3170723:3175025:1 gene:ONIVA09G02250 transcript:ONIVA09G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin thioredoxin reductase catalytic beta chain family protein [Source:Projected from Arabidopsis thaliana (AT2G04700) TAIR;Acc:AT2G04700] MMLMASTTASPFCPSPMPRGRKCTVRVQAGAAGADASDKSLEIMRKFSEQYARRSNTFFCSEKSVTAVVIKGLADHKDQLGAPLCPCRHYDDKAAEAITLEEIKDATSKI >ONIVA09G02240.1 pep chromosome:AWHD00000000:9:3149529:3153075:-1 gene:ONIVA09G02240 transcript:ONIVA09G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPRPATIVYHLASGQIGGERQVLRLQRKQSSNLFMPMAAGTWVKLEQKGDGPGARSSHAITLVGGTAYAFGGEFTPRVPVDNAMYAFDLKSQCWSALDASGDVPPPRVGVTMASVGATVYMFGGRDQEHKELNELYSFDTATNRWTLLSSGAGDGPPHRSYHSMVADAAGGGKVYVFGGCGDAGRLNDLWAYDVAAGRWEALPSPGEACKPRGGPGLAVAGGKVWVVYGFSGEELDDVHCYDPGTGEWSTVETTGGGGGGDKPSPRSVFCAAGIGKHVVVFGGEVDPSDLGHLGAGKFSAEAFALDTETGAWARLDDAGEHHPGPRGWCAFSAGEVDGRRGLLVYGGNSPTNDRLGDIYFFTPPLA >ONIVA09G02230.1 pep chromosome:AWHD00000000:9:3139779:3145607:-1 gene:ONIVA09G02230 transcript:ONIVA09G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKDLLQVVRLLDDACREAGFFYVKGHGIAESLMKEVRDVTHKFFQLPYEEKLKIKMTPQNGYRGYQRLGENITNGKPDMQEAIDYYAPIEPGKYGDLAKPMEGTNLWPKYPSNFDALLKNYISLLRDLSRKIMQGIALALGGPVDAFEGLLTLVNQDDDICALEVKNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVEFCRERTGGVAKYEKVVYGEHLIKKVLNNFIK >ONIVA09G02230.2 pep chromosome:AWHD00000000:9:3139779:3145607:-1 gene:ONIVA09G02230 transcript:ONIVA09G02230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKDLLQVVRLLDDACREAGFFYVKGHGIAESLMKEVRDVTHKFFQLPYEEKLKIKMTPQNGYRGYQRLGENITNGKPDMQEAIDLIAEKKKHHSIMRLLNLVNMEILPNQWKELICDLSRKIMQGIALALGGPVDAFEGLLTLVNQDDDICALEVKNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVEFCRERTGGVAKYEKVVYGEHLIKKVLNNFIK >ONIVA09G02220.1 pep chromosome:AWHD00000000:9:3108661:3114767:-1 gene:ONIVA09G02220 transcript:ONIVA09G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFVPVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKAELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQNYLKTEVMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEHSREQLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQQLLPVVINSSKDRVPNIKFNVAKVLQALIPILDQSVVEKNVKPCLVELSEDPDVDVRYYANQALQACDQIMMSS >ONIVA09G02210.1 pep chromosome:AWHD00000000:9:3101752:3105137:-1 gene:ONIVA09G02210 transcript:ONIVA09G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSSRRPRDDDDRHHRSRDDHHRRRHDADDDHRRHHRDDEEESRSRRHRHHSDGGRRSLSPSESPPPPAAKRERSSSRAPRDSVERRDSADREAPPPSSRKRKGHEGGGNESDPEGGKRARASVEPPPPKEERPRRERRRFEDADANGKHGDERGKGDKDNSNHGAVNGDSRSGLVPNAGAQQPLNAAPVVVPSSVPMPSKVSSITTTNENEGVSIRSDEVTGKSSTDGSTSSAAGKSSNLSLDALAKAKKALQLKKELSEKLKKLPVLNNKLGVTSTDTQIPKKETQPVSSSGASEMAVGAALTEKMAATAGAVGIPGLANIPNLDAVKRAQELAAKMGFRQDPQFAPLINLFPGTSSELTVPQKPAKAPVLRLDAQGREIDEHGNVINMTKPTNLSTLKVNINKQKKEAFQIIKPDLDSLAKSSAHFDERMGINQNKLLRPRRPGFQFIEEGKLSRQAELQRIKNQFGEAQAKELKVKQAQLAKAKAEVDMNPNLIEVAPGRPPKQKQKEEIPEIEPWDAKILLSTTYDDFSMEKVNMEKITIYVEHPEPLEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGAEATQDPTRMELEIRTAAAEREQAHVDRNIARKLTPSERREKKERKLFEDPNTLETIVCVYRMRDLSHPQTRFKVDVNAQENRLTGAAVIADGISVVVVEGGKKSIKRYNKLMLNRIDWAAAVDDDDDADEESDKPVNSCALVWQGSVAKPCFTRFSVHNCRSEAAAKKVFADASVPHYWDLAVNFSEDSS >ONIVA09G02200.1 pep chromosome:AWHD00000000:9:3095055:3100040:1 gene:ONIVA09G02200 transcript:ONIVA09G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVVGSPGTWSGMSLRVSQCVFAGASVVAMASAYGFSNYTAFCYLIASMGLQLLWSFGLACLDIYSLQTKRDLHNPVLVSLFVVGDWVTAILSFAAASASAGVTILFERDVHFCRMYPQLSCGRYELSVILAFITWSFIATSAVSMFWLLASL >ONIVA09G02200.2 pep chromosome:AWHD00000000:9:3099761:3101024:1 gene:ONIVA09G02200 transcript:ONIVA09G02200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTPVAAAPEEAAAVTAGGVGGGKEAFDEAAAALAALRDAELGPRRKDSGEVEAERRRRGHAEERLVIVVAALLPSSSSSQRRRRRHRRSAAAVVVVAQHRPVVVVAAPPPSSSSRRRPVVVAAPPRGTGGVGQKRGGQRRRGGCREQLQLRRRRRMLMMMPQRHLAG >ONIVA09G02190.1 pep chromosome:AWHD00000000:9:3092280:3093111:-1 gene:ONIVA09G02190 transcript:ONIVA09G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENNPQPSPPPVQLEKMALMTSASRGTPKDHVPTRKSSPNHHTWCLIRKASQHSLVECRVILNVKAELDACKDRDLAHISIQGMVPDPQIEKLPPYRRARRARVVTTHTRAREVIHARGHTHYYMTGKKHYPCPLPAGAMCLRACPFTRHKLTVEHFNHKSPQAKSHYHNLKKGYSCTKFNNTSITCSSILDGGIYTNLTEGPHVSWFLRVNERSGHGN >ONIVA09G02180.1 pep chromosome:AWHD00000000:9:3091616:3092091:-1 gene:ONIVA09G02180 transcript:ONIVA09G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAIRSTNAESNLEMHPWKICEMGTADRLVGFIDIDPSVLHNLDDQESSGSNSPCEVNVIERVIEDIHEEGEIRFGDEQRAPVLPREPVEPVRTPAKHFTVVNSLLSRTNPARSFSRSPTTYR >ONIVA09G02170.1 pep chromosome:AWHD00000000:9:3041752:3042488:-1 gene:ONIVA09G02170 transcript:ONIVA09G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRGVAAAGFGQAGAASRRGEAERRGVGGSSVDVACSPERCFALTSLRVGVQRAARGCEEVGDGELPGRIAAVEGGDHICRAVAVLIRPSSHHQRRRAAFRPHPSHLSAMSVAAAASSREGCAAADEAERRHSPSAAAARGRRTWWRGDAGAGGGISPAGRALPLPMHSPLPVARLPLSLGRRPCFRLAAVLLPCRSPVTGRRPPAAGPLLLSYLTILVGAAPSRRLFARHRSTRQGAT >ONIVA09G02160.1 pep chromosome:AWHD00000000:9:3022219:3040843:-1 gene:ONIVA09G02160 transcript:ONIVA09G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTVVKKKRKEEISAGQNHCVLAIPSRRLGVEVESRVASSAAAALRRSPSPSVALLLPPHPGAAAMSDMESMTALMESTGSKLQLLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDELKEQEKEFKETVTKSEQMLEQQEAAVVAKELTSLERLQQKRDAALAMIFGKSKLNLSMPVINPISKSVSNNAVLNGNIASLWPKPATAHGAYLQDGNTAVKPRSQLVILCEEMNVNGLHKFISDNRKDLTSIREEIPVALRGATDPYGLVLASLEDFYFGDNLILDGKKDGNLLGVRRTCLMLMESLAQLQTDATTGFISKGQMLTASIKERAKKIALEWKSKLDSLDFDASNGNCLEAHAFLQLLATFAIFSEFAEDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLIENGRTIDAINLAYAFELTDQFEPVELLKAYLKEVKSMSHVKTGKMSPGVQNEINERELSALKAVIKCIEEHKLDEKYPIDPLQRRVIQLEKAKADKRRAVEAGKPQSKRPRANGSVYAPHITSFSDKSFYQAAAPQRHSYPYERQYVYGAEAHHHPTMISSAPYGMSPAHTTYYGNGYQVQYQKSATMSDMESMATLMESTGSKLQQLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDDLKRQEEEFEETVAKSEQMLEQQEAVVVAKELTSLEKLQQKRDAALAVIFGKSKLNLSTPLINPISKSVNNNAVLNGNIGGSLSVKWPKPATAHGAYLQDENTAVKPRSQLVVLCEEMNVNGLHKFISDNRKDLTSIREEIPVALRGATDPYGLVLASLEDFYFGDNLILDGKKDGNLLGVRRTCLMLMESLAQLQTDASTGFISEGQVLTASIKERAKKIALEWKSKLESLDFDASNGNCLEAHAFLQLLATFGIFAEFAQDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLVENGRTIDAINLAYAFELTNQFEPVELLKAYLQEVKSVPHFKTGKISLQVQNEMNERELSALKAAIKCIEEHKLDEKYPIDLLQKRVIQLEKAKADKRRAVEAAKPQSKRPRANGSVYAPHTSFPDKSFYQAAPPQRHSYPYERQYVYGAEAHHHPTMISSAPYGISPAHTTYYGNGYQVQYQVHRRRRRDGRLGLGGGQHGAHLEHGDVGISFTDFTYLDGFALSSASSEMKSIFPPLCDRRMGNLIVDDGSSVICVHDE >ONIVA09G02160.2 pep chromosome:AWHD00000000:9:3022219:3040843:-1 gene:ONIVA09G02160 transcript:ONIVA09G02160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTVVKKKRKEEISAGQNHCVLAIPSRRLGVEVESRVASSAAAALRRSPSPSVALLLPPHPGAAAMSDMESMTALMESTGSKLQLLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDELKEQEKEFKETVTKSEQMLEQQEAAVVAKELTSLERLQQKRDAALAMIFGKSKLNLSMPVINPISKSWPKPATAHGAYLQDENTAVKPRSQLVVLCEEMNVNGLHKFISDNRKDLTSIREEIPVALRGATDPYGLVLASLEDFYFGDNLILDGKKDGNLLGVRRTCLMLMESLAQLQTDASTGFISEGQVLTASIKERAKKIALEWKSKLESLDFDASNGNCLEAHAFLQLLATFGIFAEFAQDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLVENGRTIDAINLAYAFELTNQFEPVELLKAYLQEVKSVPHFKTGKISLQVQNEMNERELSALKAAIKCIEEHKLDEKYPIDLLQKRVIQLEKAKADKRRAVEAAKPQSKRPRANGSVYAPHTSFPDKSFYQAAPPQRHSYPYERQYVYGAEAHHHPTMISSAPYGISPAHTTYYGNGYQVQYQVHRRRRRDGRLGLGGGQHGAHLEHGDVGISFTDFTYLDGFALSSASSEMKSIFPPLCDRRMGNLIVDDGSSVICVHDE >ONIVA09G02160.3 pep chromosome:AWHD00000000:9:3025886:3040843:-1 gene:ONIVA09G02160 transcript:ONIVA09G02160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTVVKKKRKEEISAGQNHCVLAIPSRRLGVEVESRVASSAAAALRRSPSPSVALLLPPHPGAAAMSDMESMTALMESTGSKLQLLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDELKEQEKEFKETVTKSEQMLEQQEAAVVAKELTSLERLQQKRDAALAMIFGKSKLNLSMPVINPISKSVSNNAVLNGNIASLWPKPATAHGAYLQDGNTAVKPRSQLVILCEEMNVNGLHKFISDNRKDLTSIREEIPVALRGATDPYGLVLASLEDFYFGDNLILDGKKDGNLLGVRRTCLMLMESLAQLQTDATTGFISKGQMLTASIKERAKKIALEWKSKLDSLDFDASNGNCLEAHAFLQLLATFAIFSEFAEDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLIENGRTIDAINLAYAFELTDQFEPVELLKAYLKEVKSMSHVKTGKMSPGVQNEINERELSALKAVIKCIEEHKLDEKYPIDPLQRRVIQLEKAKADKRRAVEAGKPQSKRPRANGSVYAPHITSFSDKSFYQAAAPQRHSYPYERQYVYGAEAHHHPTMISSAPYGMSPAHTTYYGNGYQVQYQKSATMSDMESMATLMESTGSKLQQLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDDLKRQEEEFEETVAKSEQMLEQQEAVVVAKELTSLEKLQQKRDAALAVIFGKSKLNLSTPLINPISKSVNNNAVLNGNIGGSLSVKWPKPATAHGAYLQDENTAVKPRSQLVVLCEEMNVNGLHKFISDNRKDLTSIREEIPVALRGATDPYGLVLASLEDFYFGDNLILDGKKDGNLLGVRRTCLMLMESLAQLQTDASTGFISEGQVLTASIKERAKKIALEWKSKLESLDFDASNGNCLEAHAFLQLLATFGIFAEFAQDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLVENGRTIDAINLAYAFELTNQFEPVELLKAYLQEVKSVPHFKTGKISLQVQNEMNERELSALKAAIKCIEEHKLDEKYPIDLLQKRVIQLEKAKADKRRAVEAAKPQSKRPRANGSVYAPHTSFPDKSFYQAAPPQRHSYPYERQYVYGAEAHHHPTMISSAPYGISPAHTTYYGNGYQVQYQVPYIH >ONIVA09G02150.1 pep chromosome:AWHD00000000:9:3020086:3024113:1 gene:ONIVA09G02150 transcript:ONIVA09G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLWVVAVAVAVAVAVMAAAVEGKSYNITKILAAHPEFSKFNEMLSKTRLAYDINRRQTITVLAVDNSAMASLDHFTLPTIRHILSLHILVDYYGSKKLHALSHGATASSSMFQATGSAPGTTGYVNITSHKGGKIDFISEDADESAKPSRYVKSVKEIPYDISVLQVSSVLSSSEAEAPVPPPAPVNLTELLSKKYCKSFAGLLAANADVFRAVNETKDNGLTLFCPVDAAVAAFMPSYKNLTAKAKTAILLYHAVPDYFSLQLLKSNNGMVTTLATASESKKDYSYDVQNKGETVTLDTRVVNSAVTATVGDAEPLAVYAVTKFLKPKELYKVVEAPAPAPEPSKKHKSAADDSSDDSSDDSGDVKAHKGAAAPAPLARWATAAAAAAVAALMLMA >ONIVA09G02140.1 pep chromosome:AWHD00000000:9:2995356:3008554:1 gene:ONIVA09G02140 transcript:ONIVA09G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPEGMESFTNGDVRLLKHERSIIAEDDLDNRWQAATGEAVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGRPGWAALPNPRIGPWLRLMQKIAVDQGLVPEFEITLEATHHGPVTNTPTMFVEIGSTEEYWCRQDAAQAIALVLWKGLGLDEGNTVGSWQGNSEKVLLGIGGGHYAPRHMDIVIKDGIWVGHLLSGYSLPMEVSPQGNGKSYSDVGGMWKHSIKVSYDATKAAFPGGQVIAHLDQKSFKGWQKNAIMSYLQELNIRIGKPNDFI >ONIVA09G02140.2 pep chromosome:AWHD00000000:9:2995357:3008554:1 gene:ONIVA09G02140 transcript:ONIVA09G02140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPEGMESFTNGDVRLLKHERSIIAEDDLDNRWQAATGEAVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGRPGWAALPNPRIGPWLRLMQKIAVDQGLVPEFEITLEATHHGPVTNTPTMFVEIGLGLDEGNTVGSWQGNSEKVLLGIGGGHYAPRHMDIVIKDGIWVGHLLSGYSLPMEVSPQGNGKSYSDVGGMWKHSIKVSYDATKAAFPGGQVIAHLDQKSFKGWQKNAIMSYLQELNIRIGKPNDFI >ONIVA09G02140.3 pep chromosome:AWHD00000000:9:2995356:3008468:1 gene:ONIVA09G02140 transcript:ONIVA09G02140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPEGMESFTNGDVRLLKHERSIIAEDDLDNRWQAATGEAVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGRPGWAALPNPRIGPWLRLMQKIAVDQGLVPEFEITLEATHHGPVTNTPTMFVEIGSTEEYWCRQDAAQAIALVLWKGLGLDEGNTVGSWQGNSEKVLLGIGGGHYAPRHMDIVIKDGIWVGHLLSGYSLPMEVSPQGNGKSYSDVGGMWKHSIKVSYDATKAAFPGGQVIAHLDQNQQ >ONIVA09G02130.1 pep chromosome:AWHD00000000:9:2961790:2983081:-1 gene:ONIVA09G02130 transcript:ONIVA09G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin transport protein (BIG) [Source:Projected from Arabidopsis thaliana (AT3G02260) TAIR;Acc:AT3G02260] MAAEISALLDLLLHPPAAAAGDRDPPARRLRGPAARAGLEALAGALAAGPPADPARARAVLAAARAVVSAVLSASVSTSDPSIPFGFENNMKCEVSTVVELSVPPSFIEGRYTFVLVEQVESTVVEIVERSLEFCLLYLEKSSYACEDYGLLNEVAYFMECVLLRGTPSKVYSLEPSVVNDVIEQWSSVQVDSERISPQEKYFCYLKGLWNVGALFADCFLMAQPGFNCSNSGDDLQRFRLTLSPECLQQDYVIAENTESSHTASPNGMVSIAQHFAVVHLHCIPLLLTLVQKLCQSPALDVIEDTNFNMRLSFGQRILKLVHGLAMEFPCDASDAMMLCSVARCTDSLPVLFKLKFKFANHDRVFSGDGVGTVLLQILDEFLQLIHIIFCNSDICCTVQVCILASLLEIFSPEKWKYDRSAACLMPPLVYSPHIVQYVLKLLNDTKRWTSRVDRDRPGKDVLGYSCNSETGGLSCHARSKKVPLLKKYTSEEYLQLIFPSEEQWLDDLVHLIFFLHEEGVKSMPLLEKPQMSCTKQVTLSELESVASHEEEALFGNLFAEARSTGVADSVEQPISLGSGPSSSQHGPIQLAADLICFMKMSIFSPEWCTAIYVDACRKFHSNHLEQFLSILQCPAFCSDESIATTSLSEVNSLHINTACFELLQMFLISHECPASLREDLVDKVFNAENGMYTYNNYTLALVARAIISGASSIYNLGRKVFVQYVGYLLEKANDKSSSSLDLNNFCRILPCAFHLEILLVAFHSTTGPEKADLINIVLSSLEKMRQPPLGVNASGLTRWALLLSRLLLVLRHMLLYPVTHPSWLLMRLRSRMRDILLKEGQSRSMNDYLPSFTAEVVEGIFADTVKEYASTSSLFPQLIDVTPAHAEIYFDKSALEALGLNFANLGSNISEILGVWKGRKAEVAEDLIAERYLFLICWSTLSGIGYSGGYEGLLNPDFADVNFFISFALSVSDDASSLLDANLPSVIFGFLKLLQSEILCGPSVLESWDFLRKGAWLSLILSLINTGFWGHQTSGKPDVDLQGKQVVQDAEIFGKSLLTFISENSGHCLHVLSSLLETYLHAFKEAYISFVEKGRVCEDHCYPSWLLKHSAFDKSKHPLLFEKVGSNIGMLEPICDLSSRIDRVATKLGDGRKEYFLLKCLLHGFPVNSASNNSAILSCVLVINEIIYMLNGCIKIMQPNDRDLVDVGVISKLLSMIMTIKSDGMFTSIHKLCDSIFMSLIDQKDDLAGYSDLFVLKQLEGYLADINSKEIMDNEVKEIIVFTIVDLVEDLRSKTNVFKFFLGEAEGAPEGANSLFALEQADMSVFIDVLDKCQSEQVNLKILNLFTDILGDGLCPDLKQKLQHKFIGMDVSCFSSWLEFRTLGHSMKIESTNSTTSGPTALRELTMDFLMRLTCPSSETLAKELQHHLFDSMLLLLDKAFMSCDLQIVKAHFHFIAQLSTDESHFKELFEKTLKLMENMVGNEGLLHTLKFLFTCVESVFGDAGSNRSALKRLSSKSSGNSFGSGSLIPKQLKNSDSLVLRTNQESNSTVDCDASSGEEDEDDGTSDGELVSIDRDEEEDGNSERALATKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGTSSVSPPVTSSFQPILPYHEDVEPVADSGSDFEDDISTEAENCIKLSVPKGFSDELPVFLKNLDVEVRMLELCKKLLPMILSQRELNLLKDRKVFLGGEMPMSQASDIFQLKKAFKSGSLDLKIKADYPNSRELKSHLANGSLTKSLLSISIRGKLAVGEGDKVAIFDVGQIIGQPTAAPITADKTNVKPLSRNIVRFEIVHLIFNPLVEHYLSVAGYEDCQVLTLNSRGEVTDRLAIELALQGAYIRRVEWVPGSQVQLMVVTNKFVKIYDLSQDNISPLHYFTVADDIIVDATLVPSSMGKLVLLVLSEGGLLYRLNVALAGDVGAKTLTDTVLVKDAVSMHKGLSLYFSSTYRLLFVSHQDGTTYMGRLDGDSSSITELSYICENDQDGKSKPAGLYRWRELIAGSGALACLSKFKSNSPLAVSLGPHELFAHNMRHASGSNAPVVGIAAYKPLSKDKAHCLLLYDDGSLNIYSHTPNGSDSSTTLTAEQTKKLGSSILSSRAYAGTKPEFPLDFFEKTTCITCDVKFNSDTTKSSDSESIKQRLSSDDGYLESLTSAGFKVTISNPNPDIVMVGCRIHVGNTSASNIPSEITIFHRVIKLDEGMRSWYDIPFTTAESLLADEEFTIVVGRTFDGSSIPRIDSIEVYGRAKDEFGWKEKMDAALDMEAHVLGGSSASGKSGKKAQTMQAAPIQEQVLADALRILSRIYLLCQPGFCTDTIDADMELNNLKCRSLLETIFQSDREPLLHSAACRVLQAVFPKKEIYYHVKDTMRLLGVIKSLPSITSRIGVGGAASSWVTKEFIAQIHTVSKVAVHRKSNLASFLETHAVAILNVTRKLGYAECLALHSNEKSGVSVAPAVALLKKLLFAPYEAVQTSSSLAISSRFLQVPFPKQTMIANDDAPDNHAKASAASNSTTGNAQVMIEEDPATSSVQYCCDGCSTVPILRRRWHCNICPDFDLCETCYEILDADRLPAPHSRDHPMSAIPIELDTFGGEGNEIHFSVDELTDSSVLQAPADRTIQTSPSSIHVLDASESVDFHGSMTEQRTVSISASKRAINSLLLSRLIEELSGWMETTAGTRAIPIMQLFYRLSSAVGGPFMDSTKPENLDLEKFVKWLIDEINISKPFPAKTRCSFGEVSILVFMFFTLMFRNWHQPGTDGSHSKSGGSSDLTEKGPVHVQVSTTTLQSSNDDHDKNEFASQLIRACSALRQQSFLNYLMDILQQLVHVFKSSSINGEGGSSSSGCGSLLTVRRELPAGNFSPFFSDSYAKSHPTDLFMDYYKLLLENTFRLVYSMVRPEKEKSADKDKSCKVPNTKDLKLDGYQDVLCSYISNAHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQYSHEVKKLHKIINKSGGFRNPVPYERSVKLIKCLSTLCDVAASRPRNWQKFCLKHTDLLPFLMDNFYYFSEECIVQTLKLLNLAFYSGKDANHNAQKTESGDIGSSTRTGSQSSDSKKKQKGDDSSEGSSEKSCMDMEQAVVVFTGKDGDVLKRFVDTFLLEWNSTSVRHEAKSVLFGLWYHAKSSFKENMLTTLLQKVKYLPMYGQNIIEYTDLMTCLLGKANDSTAKQSDTELLNKCLTSDVVSCIFDTLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLESLKSETKFTDNRIIVKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNNWSLWKRAKSCHLTFNQTELKVEFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFMAKPSFSFDNMENDDDMRKGLAAIESESENAHRRYQQLMGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTGKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMTYLHQKNSNDTDALPACSIPRSPSSCYGCSTTFVTQCLELLQVLSKHATCRKQLVSAGILSELFENNIHQGPRTARTLARAVLSSFSEGDADAVQELNNLIQKKVMYCLEHHRSMDISQSTREELLLLSETCALVDEFWEARLRVAFQLLFSSIKVGAKHPAISEHIILPCLRIISQACTPPKSDSGEKEPGMGKSSLMQAKNDDTVGHSVTNLSTSKTQSELSGKIPDGSRRRQDISLLSYSEWESGASYLDFVRRQYKVSQAVKGLQKTRHDSQKSDYLVLKYGLRWKRRACRKSSKGDFSKFALGSWVSDLILSSCSQSIRSEICTLISLLCPSNSSRQFQLLNLLMSLLPRTLSAGESAAEYFELLGTMIDTEASRLFLTVRGCLTTLCSLITKEVSNVESQERSLSIDISQGFILHKLVELLNKFLEIPNIRARFMSDNLLSDVLEAFLVIRGLVVQKTKLINDCNRLLKDLLDSLLVESTANKRQFIRACISGLQKHVKEKKRRTSLFILEQLCNLICPVKPEPVYLLILNKAHTQEEFIRGSMTRNPYSSAEIGPLMRDVKNKICHQLDLIGLLEDDYGMELLVAGNIISLDLSISQVYEQVWRKHHGQTQHSLSNASQLSAAASSVRDCPPMTVTYRLQGLDGEATEPMIKELEDEREESQDPEVEFAIAGAVRECGGLEIILSMIQSLREDELRSNQEELGSVLNLLKYCCKIRENRCALLRLGALGLLLETARRAFSVDAMEPAEGILLIVESLTMEANESDISIAQSVFTTTTEETGAGEEAKKIVLMFLERLCPPDGAKKSNKQQRNEEMVARILPNLTYGEPAAMEALVLHFEPYLMNWSEFDQLQKQHEENPKDETLSKNASMQRSAVENFVRVSESLKTSSCGERLKEIILEKGITKAAVGHLRESFASAGQASFRTSAEWTVGLKLPSIPLILSMLKGLAKGDLPTQKCVDEEDILPLLHALEGVPGENEIGARAENLLDTLANKENNGDGFLAEKIQELRHATRDEMRRRALKKREMLLQGLGMRQEFASDGGRRIVVSQPIIEGLDDVEEEEDGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGSGRGDCVYTTVSHFNIIHYQCHQEAKRADAALKNPKKEWDGATLRNNETLCNCIFPLRGPSVPPGQYTRCLDQYWDQLNSLGRADGSRLRLLTYDIVLPPFTFLAPPAIEEHEGETTTVNEVIDDKSLSSVKTQKKCTFAKLTYSRT >ONIVA09G02120.1 pep chromosome:AWHD00000000:9:2956629:2961261:-1 gene:ONIVA09G02120 transcript:ONIVA09G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRHGMKAVVVVVVIAHVLLCHVAGGGGGVPAVIVFGDSTADTGNNNFIQTMARGNYPPYGRDFAGGVATGRFSNGRLAADFVSEALGLPPAVPPYLDPSHSIHQLASGVSFASAGTGLDNITAQILSAMTLSQQIDHFRQYKEKLRWAKGEAAAHHIISQALYILSVGTSDFLHNYLVFPIRGNRFTLPRYEAYLAGAAAGAVRAVHGLGGRRVKLAGLPPLGCLPVERTINPDRPGDCNEMYNMVALSFNARLKRLIGRLNWELPGAQVEYVDQYSILSAIIAKPWEYGFGNSMQGCCGTGFVETGILCALDDALACDDADKYVFFDAVHPSERAYKIIADAFINTTSPVFH >ONIVA09G02110.1 pep chromosome:AWHD00000000:9:2954581:2955281:1 gene:ONIVA09G02110 transcript:ONIVA09G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMNFGSGEAYGRCFALPPVGNIGCGHMDSQPLNDTTTRTDVKGGKVVSRK >ONIVA09G02100.1 pep chromosome:AWHD00000000:9:2951249:2951449:1 gene:ONIVA09G02100 transcript:ONIVA09G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDLEEDAASKTEAGDGWIGGGHQAMRRLATTTSFVDLVVGGGRGRRRRQRCDHDIKWSNTVHL >ONIVA09G02090.1 pep chromosome:AWHD00000000:9:2948849:2951168:1 gene:ONIVA09G02090 transcript:ONIVA09G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDCDGGDGVDVCGRHEEVFSESMNDIGGGVEPENILPLLKSIFPPNFDASSLRFATSTSASAMPSPSSFLIISSSASSAPSLLLVVPLLDSHAPLGRMHRIMLNATTTSRQPPTVHLALSPRRR >ONIVA09G02080.1 pep chromosome:AWHD00000000:9:2917118:2923713:-1 gene:ONIVA09G02080 transcript:ONIVA09G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYPSPFPHWSSRAFLSTSVTHVKSHGKNMNSSGSAVSYWLIWSFLRVRTLDGVEDSTGLTGTEVDLEGYTEASEGHLPQGKPHSFLRRRRKGNNSMVLTSSCSRSICSQALPVVFLSYFVFGSFVCRECVWPVGP >ONIVA09G02080.2 pep chromosome:AWHD00000000:9:2917120:2923830:-1 gene:ONIVA09G02080 transcript:ONIVA09G02080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSSCSRSICSQALPVVFLSYFVFGSFVCRECVWPVGP >ONIVA09G02060.1 pep chromosome:AWHD00000000:9:2863476:2867763:-1 gene:ONIVA09G02060 transcript:ONIVA09G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAEKRGGINGLVVSHSAVDMFSMTIIENSRHRDGSIYRNKAFEGHFCITNRDETRLEPMMFSEPTDCRPSWETCSMHSACAMMQIFSLKLAKIPIDNDCIQLYGYIAVRNDLDKLLNYVVNYSRDNPIIMRQGDLIEMTGPKRGISMCCSVLLEFDMRIKKGEQEKDDLQLIDGAIDYIGLITATFPFTKRINGDCGAVDITLARVYWAVEATIQVIISDVQSGFNLYLSSLCFVSDVWKEIQLFNGPIGESCGLRRYVVAVSLDTWMHLKLKVGQKGSNHYVERYCSFKAINHGCSSQQILGELASISMKVSTTPPA >ONIVA09G02060.2 pep chromosome:AWHD00000000:9:2863476:2867763:-1 gene:ONIVA09G02060 transcript:ONIVA09G02060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAEKRGGINGLVVSHSAVDMFSMTIIENSRHRDGSIYRNKAFEGHFCITNRDETRLEPMMFSEPTDCRPSWETCSMHSACAMMQIFSLKLAKIPIDNDCIQLYGYIAVRNDLDKLLNYVVNYSRDNPIIMRQGDLIEMTGPKRGISMCCSVLLEFDMRIKKGEQEKDDLQLIDGAIDYIGLITATFPFTKRINGDCGAVDITLARVYWAVEATIQVIISDVQSGFNLYLSSLCFVSDVWKEIQLFNGPIGESCGLRRYVVAVSLDTWMHLKLKVSTTPPA >ONIVA09G02060.3 pep chromosome:AWHD00000000:9:2863476:2867763:-1 gene:ONIVA09G02060 transcript:ONIVA09G02060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAEKRGGINGLVVSHSAVDMFSMTIIENSRHRDGSIYRNKAFEGHFCITNRDENLIEMTGPKRGISMCCSVLLEFDMRIKKGEQEKDDLQLIDGAIDYIGLITATFPFTKRINGDCGAVDITLARVYWAVEATIQVIISDVQSGFNLYLSSLCFVSDVWKEIQLFNGPIGESCGLRRYVVAVSLDTWMHLKLKVSTTPPA >ONIVA09G02060.4 pep chromosome:AWHD00000000:9:2863476:2867763:-1 gene:ONIVA09G02060 transcript:ONIVA09G02060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAEKRGGINGLVVSHSAVDMFSMTIIENSRHRDGSIYRNKAFEGHFCITNRDENLIEMTGPKRGISMCCSVLLEFDMRIKKGEQEKDDLQLIDGAIDYIGLITATFPFTKRINGDCGAVDITLARVYWAVEATIQVIISDVQSGFNLYLSSLCFVSDVWKEIQLFNGPIGESCGLRRYVVAVSLDTWMHLKLKVGQKGSNHYVERYCSFKAINHGCSSQQILGELASISMKVSTTPPA >ONIVA09G02050.1 pep chromosome:AWHD00000000:9:2855226:2855516:-1 gene:ONIVA09G02050 transcript:ONIVA09G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGTPGERKSGGDGDKKERKFEPPPPPPRGALRRKSYRWRRRPPCNGAPTMTGLSAPATPVSTLDKSLHFISLTLKSQSPPTPAT >ONIVA09G02040.1 pep chromosome:AWHD00000000:9:2838300:2838595:1 gene:ONIVA09G02040 transcript:ONIVA09G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIKERELNEKLDLDIERKDWTMEEDGS >ONIVA09G02030.1 pep chromosome:AWHD00000000:9:2818685:2829604:-1 gene:ONIVA09G02030 transcript:ONIVA09G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IGP4] MPSSPTPATAPISCSPSPPLHLHLTARRQTLAPPMWRRLPARRLASALLSSSAPLPHPLHRSLLLLLPAASQRLAPSQTLPRFASSSAAVAAESVSSEEVDELHHAIGEIARGDPSVSAPAPAAGQEGHRRRSGRGKHSAEAMAVHGVGYHKYAMLRRRQIQIETEAWEQAAEEYRELLADMCQQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGARASHARYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLEKTKKKSNKEMDNEEEGGDSDIAKEQERLRKKVTDLMKKQKIRQVRNIVKKQDNSKPWGQDAHAKVGSRLIELMIETAYIQPPASQSADGPPDIRPAFTHEMRTVAREQQKSSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQRDAVRRAPREQMQSVFEALNTLGSTKWRVNKRVLSIVDRIWSSGGRLADLVDRTDVALPEKPDTEDEDKLKKWRWTLRAAKKENSERHSQRCDVELKLAVARKMKDEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRIAFTENHLEDIFDSADRPLEGKRWWLGAEDPFQCLAVCINLTEALRSPSPETMISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMKNDALKDPATDPDAARARLLLDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERDMICDDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKVIACENEPVRWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLESFEKSFPELKFPPLPERGDFDLTDVLGSPYFFN >ONIVA09G02020.1 pep chromosome:AWHD00000000:9:2770980:2774510:-1 gene:ONIVA09G02020 transcript:ONIVA09G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQSYSYSGRGSERTAYRIIFFGQSNRIGFHYILSHFFLTLWFYKTPHMTSKVTPLSQQSKIPNPTQPNPTALSRHSSPARPPPESPSPDRRRVRVPTGGFSPCITMGSDFKAIPLIDISPLVGKIDDPSMVNDEDLLQVVQMLDDACREAGFFYVKGHGIADSLMKQVRDVTQKFFQLPYEEKLKIKMTPQSGYRGYQRVGENITKGKPDMHEAIDCYTPIEPGKYGDLAKPMVGSNLWPKYPSNFDVLLENYISLLRDLSRKIMRGIALALGAPVDAFEGTTAGDPFWVCRLIGYPVSTDIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVRNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAVEPVEFCRERTGGVAKYEKVVYGEHLVQKVLTNFVM >ONIVA09G02010.1 pep chromosome:AWHD00000000:9:2751217:2757763:1 gene:ONIVA09G02010 transcript:ONIVA09G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYVIADTNYRVQDRPDLTGEGVGSCDGTSIRPSSFHYPSARCLDLSSLSHPLIGGGGGGGMVREVAESCVDGVVMEMVAAYCGRFYAAKPELAARRIEAIGFQVGHQLTERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTHVSIDPSVESMDATDNDSATLGDSAAQTTSMLLYFPCGIIRGALTNLGISCSVTADMSNLPAWVFGL >ONIVA09G02010.2 pep chromosome:AWHD00000000:9:2751217:2757693:1 gene:ONIVA09G02010 transcript:ONIVA09G02010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYVIADTNYRVQDRPDLTGEGVGSCDGTSIRPSSFHYPSARCLDLSSLSHPLIGGGGGGGMVREVAESCVDGVVMEMVAAYCGRFYAAKPELAARRIEAIGFQVGHQLTERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTHVSIDPSVESMDATDNDSATLGDSAAQTTSMLLYFPCGIIRGALTNLGISCSVTADMSNLPACELHYSFS >ONIVA09G02000.1 pep chromosome:AWHD00000000:9:2703874:2704617:1 gene:ONIVA09G02000 transcript:ONIVA09G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVEDGATAVLGNSSSSGARRRRQRRRSATATAVAEAETAALGDGNSARGEAEAPKDGDVMAAAEEVEVARGGGVGGNGDAMAAAEEVEAARGGEAEVAGDGDGWKRRWQRDGSAATALGSGGGGRGGGTRGSRDGGAGGSRDGGARWQRGRGSGQRERERAAAGMVEVRHRRRGKHRQGGGQAVAKKRGWVESKRRRRGADAGEEERTGWSARPTVCSGEGLGVDDGVQALIHVLIQLTMWIVG >ONIVA09G01990.1 pep chromosome:AWHD00000000:9:2699708:2700143:1 gene:ONIVA09G01990 transcript:ONIVA09G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAEIGGHLKLSCLLLQRQVEDDSNLGAVTLLFQVRASPDCVQRNNESISLQIKKKVSKLDVPTGVVCVAAAPRSRSAKHLLSGCKLKQLNMKSIKEKKSFEMMSRNIIICLL >ONIVA09G01980.1 pep chromosome:AWHD00000000:9:2699658:2704376:-1 gene:ONIVA09G01980 transcript:ONIVA09G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAARSLSLCPLPLPRCHLAPPSRLPPAPPSRLPLVPPPRPPPPLPSAVAADPSRCHLRFQPSPRLCLRHRCRRRRAPSLPPPPRSAAAAVAEHCRSTVLHYCRHHRAPPLRPSLPSPTAAALPSVARTDVKEGGCCSEKRRAAPNLEGGTNPVELLAACWSSPQGRRTCDESEGRFQMEGVPSLYPQIRHPHTSTHPRFYFQRFTEDTNMEGRREGKDIVGVRAKKKYPDLEEESNSTKVTIVLDLALEKKARQFEVATNFGKTVHGCCCGSNCNCNPCNG >ONIVA09G01970.1 pep chromosome:AWHD00000000:9:2669552:2671655:1 gene:ONIVA09G01970 transcript:ONIVA09G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWSEHNGRTIGLYLMAFSFKMLNEEGQGDFVLLFAIDWPSVDISEFMYSTGTKEKVWRMALGKFPPIFTLLKYILYAGDADGTIPIPWLNLNGQLGDIVDRADGSDVPFSVGGETFHAHHAVLAARSPVFKTELLGSMAESAMPCVTLHNIDPATFKALLHFVYMDALLSPTGGGASSTLTTTGFFESLLMAVDMYALKRLKLMCAQKLWESVSVETVATTLGYAETYHCPELKSKCLSFLMAESSFKKVAFTDGYFHLRRDFPLIIEEIKKRIES >ONIVA09G01960.1 pep chromosome:AWHD00000000:9:2665784:2666060:1 gene:ONIVA09G01960 transcript:ONIVA09G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQMEDMSQPEDSDTAKMLKYTEACFAQYCKDTNRKLVLRQKRIFELEDAPWKLNNLHWMKDDYEDVKPIHVVKRLCTGIIFLMEGRFP >ONIVA09G01950.1 pep chromosome:AWHD00000000:9:2646235:2649829:-1 gene:ONIVA09G01950 transcript:ONIVA09G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKYRHCLVATSIRSRLPLAVILSYLLSFFASSSGATTLYDQPSIEFQSLLCLKLHLTSTDGILATWKNDSHQFCDWSGVTCSKRNSSRVVALELESFDLDGQIPPCIANLTFLTRIHLADNQLSGEIPRELGQLNKLQYLNLSSNKLRGKIPDTLSSCHQLQTVDLGSNILQGEIPQNLRHCLNLQVLNLDFNMLTGGIPEELGMLQNLSVLHLAGNSLTGGIPLSLGSTSSLVSVILANNSLTGPIPSLLANSSSLQVLSLTRNHLTGEIPPALFNSTSLRKLALGVNNFVGTMPTLMNIDSPLQYFIVQSNDLAGTIPSTIGNFSSLLWLLLGANNFEGSIPTSIGTIPDLQILDFSYNLLSGTVPASIYNMSELTYLGMGKNSLTGKIPYSIGYTLPSIQTLIMQANQFQGQIPISLANGTNLVVINLRDNAFQGVVPSFGTLPNLVELDLGKNRLEAGDWSFLSSLTNCTQLVRLLLDSNILEGVLPGPGTIASLSKNLELLLLTENKIFGTIPKELEHLTNLSVLYLDRNLLTGNIPVSLGNLQNLFDLRLSQNKLSGQIPRSIGNLNQLSELHLEENYLSGSIPEALGRCKNLEIMNLSYNSFNGTIPREVFTLSSLSRGLDLSHNKLSGRIPLEISGLINLGPLDISNNQLSGQIPSTIGECVHLETLHMEGNRLDGTIPDSFSNLRGITVLDLSQNNLSGEIPRFLDSFNNLRLLNLSFNNLEGQVPTGGIFENASEVFIQGNQKLCASTQMLQVPLCNTNISKQRHNSNVVKTVVFTALPLVLLSCFALILLKKRKKVKQDVHQSCNDGKNFSYADLEKATNGFSSANMVGSGKYGSVYRGVFEFEQQVVAIKVFKLDQHGGPKSFLAECEALRNTRHRNLVSVITACSTFDPIGHEFKALILDYMPNGNLENWLHLNHITYGLNIQLSFASRITIAADIAAALDYLHNYCVPPIVHCDLKPSNVLIDDAMGARLGDFGLKYGFGSKISTEGDVYSYGIIILEMLTGKRPTDGMFNDGMSLHKFVEKAFPHNIGKIIDPNIMPNLEDEQHYHETVRILSCITQLAKLGLSCSVEIPKDRPVMQEVYAEVIEIKETFLELQG >ONIVA09G01930.1 pep chromosome:AWHD00000000:9:2637976:2644414:1 gene:ONIVA09G01930 transcript:ONIVA09G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSIGVYKAVFAALGALMLGTLVYTCVTDGSPFRLELLTPWLVATLIDFYVNVTAISTWVIYKEVNWISSFFWVVLLYCFGSIATCAYVVVKLFEIKTSGPSQDPLDLLFLSILGAMMAAVVIYTVITDGLPFRKDLLTPWMAATLLDFYINVFAISVWVAHKESNWISTAIWICLLICFGSITTCGYIVIQLFQVSYQDPIYHVLLNTRNKSLNAEQGRLPSIIPSRSVKA >ONIVA09G01930.2 pep chromosome:AWHD00000000:9:2637976:2644414:1 gene:ONIVA09G01930 transcript:ONIVA09G01930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSIGVYKAVFAALGALMLGTLVYTCVTDGSPFRLELLTPIATCAYVVVKLFEIKTSGPSQDPLDLLFLSILGAMMAAVVIYTVITDGLPFRKDLLTPWMAATLLDFYINVFAISVWVAHKESNWISTAIWICLLICFGSITTCGYIVIQLFQVSYQDPIYHVLLNTRNKSLNAEQGRLPSIIPSRSVKA >ONIVA09G01920.1 pep chromosome:AWHD00000000:9:2630606:2630999:-1 gene:ONIVA09G01920 transcript:ONIVA09G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIILLSTTVWRYRRHVDAGGSMVDPVTGQLRATAEAAADQAVAVASSNTEGHGGAVANTSMTLRR >ONIVA09G01910.1 pep chromosome:AWHD00000000:9:2587544:2596363:-1 gene:ONIVA09G01910 transcript:ONIVA09G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITPCVLGFAQRLLASAPLLLPCSPAISATELARSRCKLHRLPAAAMEDGAAAREAERWEGYVDWRNRPAVRGRHGGMLAASFVLVVEVLENLAFLANASNLVTYLMNFMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYAIYLISAFVEFLGLVVLTIQARTPSLMPPGCAKVAGAACEPVSGPKKAMLFAGLYVTALGIGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSVGALIAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFVAGSRLYRNKVPTGSPLTTIAKVVLAAALARRGGAQSASNGAVIDRAPSPTGSTDMKEYCKPGDICGVAEVATEPSQELVFLNRAVQRQQRCGALSCTVQEVEDVKIVLMVLPIFFSTIMLNSCLAQLSTFSVEQAATMDTRVGGLKVPPASLPVFPVTFIILLAPVYDHIIIPFARRATGTEMGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVASNAGMLDAAAPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPARMRSLATSLSWASLALGYYLSSVLVTVVNSATGRGGRRAWLQGGNLNHYHLERFYWVMCVLSTLNYLFFLFWAIRYKYRNAGVIKG >ONIVA09G01900.1 pep chromosome:AWHD00000000:9:2571890:2577669:1 gene:ONIVA09G01900 transcript:ONIVA09G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGIGLRFPYLQLRDGDLPVRWRSRIGGVRINIVHRSRAALRVPPSIREGAEGLLPPGISQGICMATDDVAHGHVFVQSGSNHAVAGRGDRLGDGICHNRWWLLCLLRPPGQGCPARAGEQVSFDDMLSSCASSVKSCLYTSTTSICRYVVCSNVCNKNCNGNAVMVVVVFVSSVGSLSICPRLPEFA >ONIVA09G01890.1 pep chromosome:AWHD00000000:9:2504299:2505194:1 gene:ONIVA09G01890 transcript:ONIVA09G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAHVEGDDRERHLRGRGRRGGRGAQWRRRARPLCPAQLPGAC >ONIVA09G01880.1 pep chromosome:AWHD00000000:9:2483783:2489212:-1 gene:ONIVA09G01880 transcript:ONIVA09G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGIVKLRPLYASRRGVPGAALRPWVWVLPGFTTNGPTSGIPNTEERERRGAGAPVVERLRRRRQNMFPSDAHPGNQSASEGNKRGGRNGDGRSSTVATKRVAVRDMMHK >ONIVA09G01870.1 pep chromosome:AWHD00000000:9:2461432:2461899:1 gene:ONIVA09G01870 transcript:ONIVA09G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEMDWIAARFVLSAIMGVHPLVAVDDAGADDEHFPVDDAAAVHGLASPPPVALPAAVLAPEEVAGAVVCAVCTEEVAARQAVVRLPCAHWYHAGCIGPWLRIRTNCPTCRAELPREPAAADWRVPRRPAVAETAGSRLRREASYTMLAGTLPS >ONIVA09G01860.1 pep chromosome:AWHD00000000:9:2437893:2438588:-1 gene:ONIVA09G01860 transcript:ONIVA09G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFNGIGSRASAGGMADLARHGPSRTVGSGSGMARHEQTWRRRRQRLQDGGVVLLPLVELAAGVAPDAATARFAGRAHRCHRTGRVVVVERDGEKEERTKEEDEYDMWVPRADEEENKKQTAVARF >ONIVA09G01850.1 pep chromosome:AWHD00000000:9:2435566:2436057:1 gene:ONIVA09G01850 transcript:ONIVA09G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDWITARYLLSSILGRNPLVVDYVDEESFPVEDPPPVAGGARGGRQAVVSQPPVVRATAGVAGTVCSVCTEEIAVADAVVRLPCAHWYHAGCISPWLGIRSTCPMCRAELPASDDAAEEGGGAGREKPPRAARAGTSAGGGVRRDASYELLAGGGVLSG >ONIVA09G01840.1 pep chromosome:AWHD00000000:9:2426440:2426766:1 gene:ONIVA09G01840 transcript:ONIVA09G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGARGWRQWSEVADDARGLRRWCPAVVGWRWHHPTIGGGGQSTGMDETAPDGQICIPNLVEDGSDARRTGDGAVALGGRGWGTWIQCPTVEGWRQRLLVVEGGGDA >ONIVA09G01830.1 pep chromosome:AWHD00000000:9:2409950:2410159:1 gene:ONIVA09G01830 transcript:ONIVA09G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIPEHGSPIRFKRRNWKPKITSWGEERAWDGVANNKRLLRLSRTTSGCKEEARGCSAAQLIGDEDCG >ONIVA09G01820.1 pep chromosome:AWHD00000000:9:2397210:2400946:1 gene:ONIVA09G01820 transcript:ONIVA09G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSGSVPTAKRRRLVPRPPPVPLEVAGARGPYMPPLCIKSKNPSLKCYGDRFIPDRSAMDMDMAYFLLTEPKKEKENTDMLSPAEEAYKRLLAEKLLNNRSRILAFRNKPPEPEGIVQQLLYETLTSSQTKPARKCRHIPQSSERTLDAPGIVDDFYLNILDWGCKNVMSIALGNTLYLWNSADGSIMDLVTIDEDDGPITSVSWSCDGQRIAVGLNSSDIQLWDTSSNRMLRTLHGVHQSRVGSLAWNKNILTTGGMDGNIVNNDVRMRSHVVHIYRGHEDEVCGLRWSGSGQQLASGGNDNRVHIWDVSMASSNLSLGHNRWLHRFGDHLAAVKALAWCPFQSNLLASGGGGDDRCIRFWNTHTGLCLNSVCGLLWNKNEKELLSAHGYVQNSLALWKYPSMVKLAELEDHTARVLCLAQSPDGFTVASVAADETLRLWKIFETSEDAKPVFKTDNVRVGPWGTDCSYFQDLIALVVTMVTGTKGGELEMPELHVYIYVSFFFCIWMINVFWPAYFLFCARLTRNCNWPFLLFARLTETVIKSNRSFHVGVLVLDAIMLLICLAIDTAFVP >ONIVA09G01810.1 pep chromosome:AWHD00000000:9:2396106:2396273:-1 gene:ONIVA09G01810 transcript:ONIVA09G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFRGHNGEDDFGPIVNASSQRQGTKGGEEDRDVVPTAWWRRSGVGVEIDGAVM >ONIVA09G01800.1 pep chromosome:AWHD00000000:9:2392506:2394784:1 gene:ONIVA09G01800 transcript:ONIVA09G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVRRERGAVKKPTISSPFDAVSEDLLRLPNMASLVNAALACKRWRRAASDPAIFRRFFPLRRPPLIGFILTDRGDSVPYSCPNHYFVSATTRKPNLASAAADCDIFFEDVPDIDSGEQRGGGYFDEWRLRGCDGGRLLLSRGCGGFDLAVYDPLARTAIFFSAAKLPWVVRYAIVVDDADASFRVIGIDGDMFFAVFSSSTGKWALFDHTADLYEFTRSDGMPAGRFVYWRSNNKKCRYYDNDERILLLDVATMEWTVTVAPFPSYCIADLAEHGRLCLVSSKEQNLQLWRQQQWWMEISLLDQFGYLKKLRREEWMKRVRVLAAKAGYVYMEFWSIRKPNSYLLVLNLNTMKLDIICNDADEPFRGPALPFFLRLAPLAPSPDDTNDLHVDSDKVVAGFVLRSKE >ONIVA09G01790.1 pep chromosome:AWHD00000000:9:2376971:2380321:1 gene:ONIVA09G01790 transcript:ONIVA09G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSSNSNSSSYSNDLDPSKFLDKYISDQNVLDSFATRIVEKIKTKFAAGLLKRQSGTRKTIARDHEEGRCSLVQFMVLNFLQQMMVVQAMVI >ONIVA09G01780.1 pep chromosome:AWHD00000000:9:2373875:2374588:1 gene:ONIVA09G01780 transcript:ONIVA09G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRIALPHPYRPPRPHRPPLQIPFLSLDRRRLLDSQRATQSIPPAPALLPSLPRGSRASPLPLPLAKLPTYMTEKSSCSKIPHRHIPPLPISLFRWPPNRQPPRP >ONIVA09G01770.1 pep chromosome:AWHD00000000:9:2371095:2372369:1 gene:ONIVA09G01770 transcript:ONIVA09G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCVRSESGGVKKPMTSPPIDTVGVDLLRKILLRLPNMASLVNAALSCKRWRRAASDPAILQRFLPLRRPPLVGFILTDRGDKPVPRHCPNIYFVRTTARKPNLASAAADCDIFFEDLPDIDSDDDDDDGRGFYSDEWRLRGCDGGRLLLSRGRYGLDLAVYDPISRTAIFFRPPQAFRCSFHMVRYAIVVDDADASFRVIGICDDTSAAMFSSRTNKWTLFDFDAEADLCYRFTDRDGMSAGRFVYWRSNTKNNKNVERILLLDVGTMNWTVIVAPFQVGESYCVADMAEHGGLCLVSSQEQNLQLWVRSSGSGTINGGWLLKKEISLLHQFGYLKKLRSEEWMKRVRVLAAKAGYVYMEFWSIRKSNSYLLVLNLSTMKLEMFRNGSDEPFRGPAFPFLLRLAPLTTPSWDDANDLQVPSG >ONIVA09G01760.1 pep chromosome:AWHD00000000:9:2351506:2357682:1 gene:ONIVA09G01760 transcript:ONIVA09G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G42660) TAIR;Acc:AT3G42660] MQQERVRKVAVFCRQPPAQEQCWDKEKKSKTMIFQLSNAIVKHIFLLSLFLKISLPYLRHCGREIRCIFPAVLHQKLPAKRPFPRGGTRQNPPKCLQPKPHPAYRQSLETPNKFLNIRRHHSFSLVGEESLVVVVGVTMKGRAVKLREAHKAGSPVFCSVAWGQGGQHVVTASAADVAILIHDAAAVAAAGGRSSGSAAAAALSTIRLHKDGVTALAVAPGSGASLASGSIDHSVKFCSFPEGVFQSNIARFTLPIRSLAFNKKGTLLAAAGDDDGIKLIATIDNTISKVLKGHKGSVTGLSFDPRNDYLASIDTFGTVIFWDLCTGTEARSLKRIAPTFGSDHSINNALCWSPDGQFLAVPGLRNNVVMYDRDTGEEVFTLKGEHEQPVCSLCWSPNGRYLVTAGFDKQVLIWDVKSKQDVERQKFDERICSLAWKPEGNAVAVIDVTGRFGIWESVIPSTLKSPTEGAPDLNSTKVPLFDDEDDEERPSTSGGLDDDDDDDESLGELGPFNHKRLRRKSTYHDHSNGDSEDEDLILQMESRKRMKDTHRDNKEVADKAIGDSATSVRLVTARMQTAFQPGSTPPQPGKRNFLAYNMLGSITTIENEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNESGSVFANPCKGDKNMSTLMYRPFSSWAGNSEWSMRFEGEEVKAVAVGVGWVAAVTTLNFLRIFTEGGLQMHILSVGGPVVTAAGHGDQLAIVSHASDCLSSGDQVLDVKVLKISECAQSLSSRLVLTPASKLSWFGFSENGELSSFDSKGILRVFSGQFGGSWIPIFSSIKARKSEDESHWVVGLDANNIFCILCKSPESYPQVMPKPVLTILELSFPLASSDLGANSLETEFMMRKLHLSQIQKKIEEMAALGLDTIALDDEAFNMEAALDRCILRLISSCCNGDKLVRATELAKLLTLEKSMKGALMLVTRLKLPILQERFSAILEEMMLNNAKIANTSGVFSNSNTNYSPSPALSTQAVPPAKVVQNGNSLKLPTLPKLNPAAQRSNPTESNKAEVEQADNLKEISTKVSPAQTPLVKIPKNSEMGVKTKKDNDGASHATTVDQNPKGGSGQVGLKNKSVDSCNGVQPQRPVNPFAKSSSSKEQPSSLFDSIKKMKVENEKVDKANSKKVKV >ONIVA09G01750.1 pep chromosome:AWHD00000000:9:2351238:2351450:1 gene:ONIVA09G01750 transcript:ONIVA09G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCAAPLLHRAAVTASAIAVEGFGTAGVARSQSCCRRARCASGVAKLAAPSTLPTGACHSTSRAEEKRH >ONIVA09G01740.1 pep chromosome:AWHD00000000:9:2330890:2331114:1 gene:ONIVA09G01740 transcript:ONIVA09G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGAGRGRTALRCQSRKPGAGGSVNAQGRHLHCAKLLQHTLALPRSSCFCYINKMQTKAKCKLTTLRRAGH >ONIVA09G01730.1 pep chromosome:AWHD00000000:9:2305299:2323079:1 gene:ONIVA09G01730 transcript:ONIVA09G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYASASSGELGVVAGGGGRSVRVIPLRHPQEAVAGSSPSWWSVVMVKARGMGPRDWAEAALPCLSWMRTYRLKEDLQADLAAGITVGVMLVPQAMSYAKLAGLHPIYGLYTGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGGIVDSSSELYTELAILLAFMVGVLECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYSVTRSSKIIPLIESIIGGIDQFSWPPFVMGSSFFVILLIMKNLGKSNKRLRFLRASGPLTAVVFGTIFVKIFHPSSISVVGEIPQGLPKFSIPRGFEHLMSLMPTAVLITGVAILESVGIAKALAAKNGYELDPNKELFGLGIANICGSFFSSYPATGSFSRSAVNHESGAKTGLSGIIMGIIIGGALLFMTPLFTDIPQCALAAIVISAVTSLVDYEEAIFLWSIDKKDFFLWAITFITTLIFGIEIGVLVGVGFSLAFVIHESANPHIGQKAVLGRLPGTTVYRNRLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGPDVGRVYFVILEMSPVTYIDSSAVQALKDLYQEYRDRHIQIAIANPNRQVHLLLSRSGIIDMIGTGWCFVRVHDAVQVCLQKVQSSSSSSIKLSPQASGDLADSVTTPKVQQRYSFLKNLWKSQLSDDGSDNSSRWAEPSPSTSLADSGSTAFQSDLSAGFSARRPELPGGWRGAAAPINEWAGEQAGTSRLRGLRQEMGLKQLLPSDAQATTICCRTSL >ONIVA09G01730.2 pep chromosome:AWHD00000000:9:2305299:2323079:1 gene:ONIVA09G01730 transcript:ONIVA09G01730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYASASSGELGVVAGGGGRSVRVIPLRHPQEAVAGSSPSWWSVVMVKARGMGPRDWAEAALPCLSWMRTYRLKEDLQADLAAGITVGVMLVPQLAVGPVALVSLLVSNVLGGIVDSSSELYTELAILLAFMVGVLECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYSVTRSSKIIPLIESIIGGIDQFSWPPFVMGSSFFVILLIMKNLGKSNKRLRFLRASGPLTAVVFGTIFVKIFHPSSISVVGEIPQGLPKFSIPRGFEHLMSLMPTAVLITGVAILESVGIAKALAAKNGYELDPNKELFGLGIANICGSFFSSYPATGSFSRSAVNHESGAKTGLSGIIMGIIIGGALLFMTPLFTDIPQCALAAIVISAVTSLVDYEEAIFLWSIDKKDFFLWAITFITTLIFGIEIGVLVGVGFSLAFVIHESANPHIGQKAVLGRLPGTTVYRNRLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGPDVGRVYFVILEMSPVTYIDSSAVQALKDLYQEYRDRHIQIAIANPNRQVHLLLSRSGIIDMIGTGWCFVRVHDAVQVCLQKVQSSSSSSIKLSPQASGDLADSVTTPKVQQRYSFLKNLWKSQLSDDGSDNSSRWAEPSPSTSLADSGSTAFQSDLSAGFSARRPELPGGWRGAAAPINEWAGEQAGTSRLRGLRQEMGLKQLLPSDAQATTICCRTSL >ONIVA09G01730.3 pep chromosome:AWHD00000000:9:2305299:2323079:1 gene:ONIVA09G01730 transcript:ONIVA09G01730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYASASSGELGVVAGGGGRSVRVIPLRHPQEAVAGSSPSWWSVVMVKARGMGPRDWAEAALPCLSWMRTYRLKEDLQADLAAGITVGVMLVPQLAVGPVALVSLLVSNVLGGIVDSSSELYTELAILLAFMVGVLECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYSVTRSSKIIPLIESIIGGIDQFSWPPFVMGSSFFVILLIMKNLGKSNKRLRFLRASGPLTAVVFGTIFVKIFHPSSISVVGEIPQGLPKFSIPRGFEHLMSLMPTAVLITGVAILESVGIAKALAAKNGYELDPNKELFGLGIANICGSFFSSYPATGSFSRSAVNHESGAKTGLSGIIMGIIIGGALLFMTPLFTDIPQCALAAIVISAVTSLVDYEEAIFLWSIDKKDFFLWAITFITTLIFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNRLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGPDVGRVYFVILEMSPVTYIDSSAVQALKDLYQEYRDRHIQIAIANPNRQVHLLLSRSGIIDMIGTGWCFVRVHDAVQVCLQKVQSSSSSSIKLSPQASGDLADSVTTPKVQQRYSFLKNLWKSQLSDDGSDNSSRWAEPSPSTSLADSGSTAFQSDLSAGFSARRPELPGGWRGAAAPINEWAGEQAGTSRLRGLRQEMGLKQLLPSDAQATTICCRTSL >ONIVA09G01720.1 pep chromosome:AWHD00000000:9:2279735:2295845:-1 gene:ONIVA09G01720 transcript:ONIVA09G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLEPEFPGLPQRCDSCRSAPCAFYCLADSAALCATCDADVHSVNPLARRHRRVPMGVVAAPGAGGAFVVRPAGGVNSSWPIREGRRCDYDDDDADAAGEEDEEATSWLLFDPLKDSSDQGLPPFGDALVADFLNLGGGAGEKEDASSGKDCSSSHGKSSEGSHEFAVPGEPVPERQGFGAVSMDITDYDASNFRRGYSFGASLGHSVSMSSLENMSTVPDCGVPDITTSYLRSSKSTIDLFTAAAGSPVAAHSIMSPPQFMGAIDREARVHRYREKRKTRRFEKTIRYASRKAYAETRPRIKGRFAKRSDTDLEVDQYFSTTADSSCGVVPTF >ONIVA09G01720.2 pep chromosome:AWHD00000000:9:2294687:2295845:-1 gene:ONIVA09G01720 transcript:ONIVA09G01720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLEPEFPGLPQRCDSCRSAPCAFYCLADSAALCATCDADVHSVNPLARRHRRVPMGVVAAPGAGGAFVVRPAGGVNSSWPIREGRRCDYDDDDADAAGEEDEEATSWLLFDPLKDSSDQGLPPFGDALVADFLNLGGGAGEKEDASSGKDCSSSHGKSSEGSHEFAVPGEPVPERQGFGAVSMDITDYDASNFRRGYSFGASLGHSV >ONIVA09G01720.3 pep chromosome:AWHD00000000:9:2279735:2293124:-1 gene:ONIVA09G01720 transcript:ONIVA09G01720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYTRNLKDWVLAVVSMSSLENMSTVPDCGVPDITTSYLRSSKSTIDLFTAAAGSPVAAHSIMSPPQFMGAIDREARVHRYREKRKTRRFEKTIRYASRKAYAETRPRIKGRFAKRSDTDLEVDQYFSTTADSSCGVVPTF >ONIVA09G01710.1 pep chromosome:AWHD00000000:9:2197333:2198270:-1 gene:ONIVA09G01710 transcript:ONIVA09G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASHGRIGAAIEMSQIRHLPSTVPHRGFDPFPTDPHRWLNTAGSGGVDDTDRAPSIHTNPTTSAAASCYSVTGWIEEHPS >ONIVA09G01700.1 pep chromosome:AWHD00000000:9:2188868:2193873:-1 gene:ONIVA09G01700 transcript:ONIVA09G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine/threonine protein kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G08160) TAIR;Acc:AT5G08160] MKAPTNTPIETTRPDLIPTSRAPAPPEEEESSSHAACPSRANAIVKHRREPDDELQAAAMGCSFSGLNALYDTVGGGGGDIWVNDYRFRVVRRLGDAGPAGSFVFLVKEVVAAATASDGTGGAVPGASGLAKKKGIDPSHISADGTYALKKVLIQNEQHLEQVRQEIRVSSQFSHPNLLPLLENAIIAVKGVQDGLQNHEAYLLFPVHLDGTLQDINKNMLEKKEYFPTISILQIFRQLCAGLKHMHSFDPPYSHNGVKPDNVLITQRKDQPHLAILMDFESARPARIAIRSQADAMQLQEWASEHCSAHYRAPELWECPTHADIDERTDIWSLGCCLYAMMYGKSPFDYELDEAAGESLQSVTKSAQIKWPTEAGPSYPDSLRQFVTWMLQPHPAVRPHIDDIIIHVDKLIAKYSI >ONIVA09G01700.2 pep chromosome:AWHD00000000:9:2188870:2193873:-1 gene:ONIVA09G01700 transcript:ONIVA09G01700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine/threonine protein kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G08160) TAIR;Acc:AT5G08160] MKAPTNTPIETTRPDLIPTSRAPAPPEEEESSSHAACPSRANAIVKHRREPDDELQAAAMGCSFSGLNALYDTVGGGGGDIWVNDYRFRVVRRLGDAGPAGSFVFLVKEVVAAATASDGTGGAVPGASGLAKKKGIDPSHISADGTYALKKVLIQNEQHLEQVRQEIRVSSQFSHPNLLPLLENAIIAVKGVQDGLQNHEAYLLFPVHLDGTLQDINKNMLEKKEYFPTISILQIFRQLCAGLKHMHSFDPPYSHNGVKPDNVLITQRKDQPHLAILMDFESARPARIAIRSQADAMQLQEWASEHCSAHYRAPELWECPTHADIDERTDIWSLGCCLYAMMYGKSPFDYELDEAAGESLQSVTKSAQIKWPTEAGPSYPDSLRQFVTWMLQPHPAVRPHIDDIIIHVDKLIAKYSI >ONIVA09G01690.1 pep chromosome:AWHD00000000:9:2140732:2141604:1 gene:ONIVA09G01690 transcript:ONIVA09G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEATCSLVLTQDAQHRKNQPPLAEEDDDRDHTDDAMPPPCSILLRQEEGEATAAAAGEGLLVPPLNFAMVDHGVYRSGFPDISNLPFVESLRLRSVLCLCPEPYPEANQEFLRAHGIRLFQFGIDGSKVNNFELNLLSSRF >ONIVA09G01680.1 pep chromosome:AWHD00000000:9:2132201:2134634:-1 gene:ONIVA09G01680 transcript:ONIVA09G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTIRRCRPSPAGRGHIAAQSPIPIFPSRCLAISRSPRPFLQIRAKSCSPSSAPPLGSRSYAVSLQPSTAREDRPGAEVGRRGAHRRLARPSLPRAPTSRFRADCRYSSGLAEPKQEASTKGTFLEQELLKSGKSICGFGSGNR >ONIVA09G01680.2 pep chromosome:AWHD00000000:9:2132731:2134634:-1 gene:ONIVA09G01680 transcript:ONIVA09G01680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTIRRCRPSPAGRGHIAAQSPIPIFPSRCLAISRSPRPFLQIRAKSCSPSSAPPLGSRSYAVSLQPSTAREDRPGAEVGRRGAHRRLARPSLPRAPTSRFRADCRYSSGLAEPKQEASTKGTFLEQELLKSAIKSS >ONIVA09G01670.1 pep chromosome:AWHD00000000:9:2129272:2131763:1 gene:ONIVA09G01670 transcript:ONIVA09G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p (PB1) domain-containing protein / tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G62390) TAIR;Acc:AT1G62390] MGKSGAKKKKPAAAASASAAATKSSPAATEPKAPTQSQPPAANGAAPHLVDPGVLLRRAHELKEEGNRLFQSRDYGGALRQYELALRLAPRGHPDRAVFHSNRAACLLQLRPVDHKAVAEECSLALQAEPLFPRALLRRARALEALGRHELALTDALALLALDPDHQDAVDLVHRLRSRILSPSSSASGSATSTPEPTSRPSPAALGASAVVAGLGPSLPARPFPKKPSPPPPPAQQQQSVPPMSKFNPSPPSPKLVPFSNSPPSSANASAAESSQKVTPTPLVPSSLSLKDKALMDKKVVTRSRPLKLVYDHDIRLAQMPEKCSFRTLREVVASRFPSSKAVLIKYKDADGDLVTITCSAELRLAESCVDIAGSEVIEDGARHGQKLPMLRLHIVEVSPDQEPPIPTEEEKLEQDNELLVKGEDNPPHASAAVVTDAEVTKQDVENVVAEAEQNTLTGKKDCGHAECKEAEIDDWLLQFADLFRNQVGVDADAHLDLHELGMELCSEALEETVTSEEAQALFEMAAAKFQEVAALALFNWGNVHMCAARKRIPLDESAPKKVMSAQLCTAYDWVRDRYALAGSKYEEALKIKPDFYEGLLALGQQHFETAKLHWSFALADKVDLSAWDSSQTFKLFDSAEHKMRAATEMWEKVEEQRMAELKEPSSEALKKRRKQHNADGQGELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLDIADWKKNLDASIERFKLAGASESDISAVLKNHFSNTVSECEDKKIMTLDTGISQISNNIEDKCILES >ONIVA09G01660.1 pep chromosome:AWHD00000000:9:2111784:2112832:1 gene:ONIVA09G01660 transcript:ONIVA09G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRGFAAAAAPSTCWGIGGFVFGNERSESKEDSYVERFLDCISNGTIPDDSRSAMTELQPLVAESHSAQMSFGAMGLFSSTF >ONIVA09G01650.1 pep chromosome:AWHD00000000:9:2092913:2095699:-1 gene:ONIVA09G01650 transcript:ONIVA09G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAISKEAIAQFSTDQYKNLNSPSPHTTVVQASVVIVLERASERWVQGHRSVGSGSVPRRLVLTNGVAAAVIITITDLFSQRHKKSPRRLMLTNGVAAAAITTVTDLSSQTNRNAQIEDKYKYAVPGNGNQQRGRWRRMARKAAASAEGDDARAEGSSAHGREGRKEERGSSPASMAAGPEDEGGGDGLAMRRGGRAASTPKETAERG >ONIVA09G01650.2 pep chromosome:AWHD00000000:9:2092913:2095699:-1 gene:ONIVA09G01650 transcript:ONIVA09G01650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAISKEAIAQFSTDQYKNLNSPSPHTTVVQASVVIVLERASERWVQGHRSVGSGSVPRRLVLTNGVAAAVIITITDLFSQRHKKSPRRLMLTNGVAAAAITTVTDLSSQVGFMYLLTRSATNRNAQIEDKYKYAVPGNGNQQRGRWRRMARKAAASAEGDDARAEGSSAHGREGRKEERGSSPASMAAGPEDEGGGDGLAMRRGGRAASTPKETAERG >ONIVA09G01650.3 pep chromosome:AWHD00000000:9:2092913:2095913:-1 gene:ONIVA09G01650 transcript:ONIVA09G01650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLALLALEHRALDPAAPSPHTTVVQASVVIVLERASERWVQGHRSVGSGSVPRRLVLTNGVAAAVIITITDLFSQRHKKSPRRLMLTNGVAAAAITTVTDLSSQTNRNAQIEDKYKYAVPGNGNQQRGRWRRMARKAAASAEGDDARAEGSSAHGREGRKEERGSSPASMAAGPEDEGGGDGLAMRRGGRAASTPKETAERG >ONIVA09G01650.4 pep chromosome:AWHD00000000:9:2092913:2095913:-1 gene:ONIVA09G01650 transcript:ONIVA09G01650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLALLALEHRALDPAAPSPHTTVVQASVVIVLERASERWVQGHRSVGSGSVPRRLVLTNGVAAAVIITITDLFSQRHKKSPRRLMLTNGVAAAAITTVTDLSSQVGFMYLLTRSATNRNAQIEDKYKYAVPGNGNQQRGRWRRMARKAAASAEGDDARAEGSSAHGREGRKEERGSSPASMAAGPEDEGGGDGLAMRRGGRAASTPKETAERG >ONIVA09G01650.5 pep chromosome:AWHD00000000:9:2092913:2095913:-1 gene:ONIVA09G01650 transcript:ONIVA09G01650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLALLALEHRALDPAAPSPHTTVVQASVVIVLERASERWVQGHRSVGSGSVPRRLVLTNGVAAAVIITITDLFSQTNRNAQIEDKYKYAVPGNGNQQRGRWRRMARKAAASAEGDDARAEGSSAHGREGRKEERGSSPASMAAGPEDEGGGDGLAMRRGGRAASTPKETAERG >ONIVA09G01640.1 pep chromosome:AWHD00000000:9:2060450:2073124:-1 gene:ONIVA09G01640 transcript:ONIVA09G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IGJ3] MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVGLGCKAKGNVRGWDLNLAVYVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEMKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQARFYRSLEEAKEFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTQIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQIDGSTPNTCWKEIYCRLKEKQRNVASGLDRDVCQGSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRQPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDAISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRVNRSELFQQ >ONIVA09G01640.2 pep chromosome:AWHD00000000:9:2060450:2073124:-1 gene:ONIVA09G01640 transcript:ONIVA09G01640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IGJ3] MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEMKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQARFYRSLEEAKEFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTQIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQIDGSTPNTCWKEIYCRLKEKQRNVASGLDRDVCQGSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRQPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDAISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRVNRSELFQQ >ONIVA09G01630.1 pep chromosome:AWHD00000000:9:2054393:2057997:1 gene:ONIVA09G01630 transcript:ONIVA09G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prenylated RAB acceptor 1.H [Source:Projected from Arabidopsis thaliana (AT4G27540) TAIR;Acc:AT4G27540] MSSSSSSFKPNPLSLSVPDPALDRWLRDSGYLDILDSSASASASASTSSSPSATTTTAAASSTSTLINPTSAAAAVLAFARTLASVLALNPFARLSTTDLAAPTPSWSLAFLGPPGAASYSWPPTSTQARLRVQENVRRYARNYAALSILVFACCLYRMPMALLGMLAILIVWESVRYCRERWGLATRAPGVGQFLLHSAQIGEVSVANVFLHNKSFERKIEDCGHIQKQSAAAKMYPIHDDVACFFSKIDSFKST >ONIVA09G01630.2 pep chromosome:AWHD00000000:9:2054393:2057997:1 gene:ONIVA09G01630 transcript:ONIVA09G01630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:prenylated RAB acceptor 1.H [Source:Projected from Arabidopsis thaliana (AT4G27540) TAIR;Acc:AT4G27540] MSSSSSSFKPNPLSLSVPDPALDRWLRDSGYLDILDSSASASASASTSSSPSATTTTAAASSTSTLINPTSAAAAVLAFARTLASVLALNPFARLSTTDLAAPTPSWSLAFLGPPGAASYSWPPTSTQARLRVQENVRRYARNYAALSILVFACCLYRMPMALLGMLAILIVWESVRYCRERWGLATRAPGVGQFLLHSAQIATAVLVYVCSLQFALVYAIGLSYAVMMLHASFRKLTPSSLPDPGNRNRRLQPKRS >ONIVA09G01630.3 pep chromosome:AWHD00000000:9:2054570:2057997:1 gene:ONIVA09G01630 transcript:ONIVA09G01630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:prenylated RAB acceptor 1.H [Source:Projected from Arabidopsis thaliana (AT4G27540) TAIR;Acc:AT4G27540] MSSSSSSFKPNPLSLSVPDPALDRWLRDSGYLDILDSSASASASASTSSSPSATTTTAAASSTSTLINPTSAAAAVLAFARTLASVLALNPFARLSTTDLAAPTPSWSLAFLGPPGAASYSWPPTSTQARLRVQENVRRYARNYAALSILVFACCLYHMHCHLPSSLIVALIAFICSQSLRLRNISATAGVSRYRMPMALLGMLAILIVWESVRYCRERWGLATRAPGVGQFLLHSAQIGEVSVANVFLHNKSFERKIEDCGHIQKQSAAAKMYPIHDDVACFFSKIDSFKST >ONIVA09G01630.4 pep chromosome:AWHD00000000:9:2054570:2057996:1 gene:ONIVA09G01630 transcript:ONIVA09G01630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:prenylated RAB acceptor 1.H [Source:Projected from Arabidopsis thaliana (AT4G27540) TAIR;Acc:AT4G27540] MSSSSSSFKPNPLSLSVPDPALDRWLRDSGYLDILDSSASASASASTSSSPSATTTTAAASSTSTLINPTSAAAAVLAFARTLASVLALNPFARLSTTDLAAPTPSWSLAFLGPPGAASYSWPPTSTQARLRVQENVRRYARNYAALSILVFACCLYHMHCHLPSSLIVALIAFICSQSLRLRNISATAGVSRYRMPMALLGMLAILIVWESVRYCRERWGLATRAPGVGQFLLHSAQIATAVLVYVCSLQFALVYAIGLSYAVMMLHASFRKLTPSSLPDPGNRNRRLQPKRS >ONIVA09G01620.1 pep chromosome:AWHD00000000:9:2027935:2029248:1 gene:ONIVA09G01620 transcript:ONIVA09G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEERPAEPSPCYISFPYNRPISGRTITIQRFPETLRGGINWSYTTPLAVSLGPYHHASPMVGEVEKVKQHVMERLCFESGCTVEAVREKMRSVAGSARACYANDEKLSGVDADAFADMMALDGCFLLQFIVSMCPEDPDSAPDALMSMAEIHTRFDIIARDIMLLENQVPWVVVKALMEFRTVPAAASVDKFISVMAATFDVRSYRQVSGSARGHHHNAGLTTLSSIFSSAMELAEIGVRLTSSKMTKFGEMSMKKGRFLHGELSLAPVFLNELTACWLINMAAYEACVGATQADNYAVSSYISLVALLIDREGDVKELRAKSIVHSTFSDDQALGFFKLLSSRLRIGRRYYHIFECLQEYKKERWVWIVVHKFYKNIKVIVTVLSVIGVLAGIFKTLVFLRPQK >ONIVA09G01610.1 pep chromosome:AWHD00000000:9:1973230:1975901:-1 gene:ONIVA09G01610 transcript:ONIVA09G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNMAESLETLDVPLAHSDMNGSSTVQGEPSDENELIVDDLDAIWNELNTSLHVSRYVTDSVMKGTISAVEQESARQIASKDAEIAFLNEKLHQFRNSGLSLSEGRDKLYEEIYNLRQQLVTLSKSLLNSEWGLSVSHYNNFEGAEDESKHRGNEKSSKDGITKENGSKASNEDIFIDPTVLKHMDRDELVAHFNKMMNQMKRQHDSTLQEKTEEIFRLKRENLKKEGPNPWHLRNNKEFELMRKKIWEVITKLDEVLVENKRTIRIKSDVFPGQQDKIKVVDSHNHQLQGAPTDNEEEECTTLIKASHFTPIETNYLNQIRRLESDIEDASIVTIVREETEKILVTEFISEIKMGLHGYEMEFNMNLDFWSIIQKEAIAEAASNINSFLLKYSEENSCAEAQSLHMQEMDKLKLNVDTFNLVIREKEEYLSQIEFKAIEDHLDFLRHELDSLRGKVAKQDSCISDKCRDFDVIVSRLEQALQHVHRNEIALKELNDRFRTVSDSQKEVEKQNKVLHAIIKEKEKGFSSSISKEKEFTECMRCVVESMRGFEKLVTDQQTIIAHKVQHNESRFSLLKEQCKILAKEGNTLRKKALRYKEISETRASNLQKAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQYYTGVMEILNMIKKHLNMSK >ONIVA09G01610.2 pep chromosome:AWHD00000000:9:1973228:1976407:-1 gene:ONIVA09G01610 transcript:ONIVA09G01610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLETLDVPLAHSDMNGSSTVQGEPSDENELIVDDLDAIWNELNTSLHVSRYVTDSVMKGTISAVEQESARQIASKDAEIAFLNEKLHQFRNSGLSLSEGRDKLYEEIYNLRQQLVTLSKSLLNSEWGLSVSHYNNFEGAEDESKHRGNEKSSKDGITKENGSKASNEDIFIDPTVLKHMDRDELVAHFNKMMNQMKRQHDSTLQEKTEEIFRLKRENLKKEGPNPWHLRNNKEFELMRKKIWEVITKLDEVLVENKRTIRIKSDVFPGQQDKIKVVDSHNHQLQGAPTDNEEEECTTLIKASHFTPIETNYLNQIRRLESDIEDASIVTIVREETEKILVTEFISEIKMGLHGYEMEFNMNLDFWSIIQKEAIAEAASNINSFLLKYSEENSCAEAQSLHMQEMDKLKLNVDTFNLVIREKEEYLSQIEFKAIEDHLDFLRHELDSLRGKVAKQDSCISDKCRDFDVIVSRLEQALQHVHRNEIALKELNDRFRTVSDSQKEVEKQNKVLHAIIKEKEKGFSSSISKEKEFTECMRCVVESMRGFEKLVTDQQTIIAHKVQHNESRFSLLKEQCKILAKEGNTLRKKALRYKEISETRASNLQKAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQYYTGVMEILNMIKKHLNMSK >ONIVA09G01610.3 pep chromosome:AWHD00000000:9:1973230:1975773:-1 gene:ONIVA09G01610 transcript:ONIVA09G01610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLETLDVPLAHSDMNGSSTVQGEPSDENELIVDDLDAIWNELNTSLHVSRYVTDSVMKGTISAVEQESARQIASKDAEIAFLNEKLHQFRNSGLSLSEGRDKLYEEIYNLRQQLVTLSKSLLNSEWGLSVSHYNNFEGAEDESKHRGNEKSSKDGITKENGSKASNEDIFIDPTVLKHMDRDELVAHFNKMMNQMKRQHDSTLQEKTEEIFRLKRENLKKEGPNPWHLRNNKEFELMRKKIWEVITKLDEVLVENKRTIRIKSDVFPGQQDKIKVVDSHNHQLQGAPTDNEEEECTTLIKASHFTPIETNYLNQIRRLESDIEDASIVTIVREETEKILVTEFISEIKMGLHGYEMEFNMNLDFWSIIQKEAIAEAASNINSFLLKYSEENSCAEAQSLHMQEMDKLKLNVDTFNLVIREKEEYLSQIEFKAIEDHLDFLRHELDSLRGKVAKQDSCISDKCRDFDVIVSRLEQALQHVHRNEIALKELNDRFRTVSDSQKEVEKQNKVLHAIIKEKEKGFSSSISKEKEFTECMRCVVESMRGFEKLVTDQQTIIAHKVQHNESRFSLLKEQCKILAKEGNTLRKKALRYKEISETRASNLQKAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQYYTGVMEILNMIKKHLNMSK >ONIVA09G01600.1 pep chromosome:AWHD00000000:9:1967885:1972171:1 gene:ONIVA09G01600 transcript:ONIVA09G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYENGDSPVAAAGDGGVILGVDGGTTNTVCVCLPVAMPPPESPGAVPVLSRAVAGCSNRNSVGESAALETLEQVMAQALTLVNTDRSAVRAVCLAVSGVNHPSDQQRMLDWIRDLFPGHVKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVVKAYDGRGPHTNLTREILRKLELSSPDELIGWTYADPSWARIAALVPVVVSSAEDGDEVANKILHDSVQELADSVVAVVRRLKLCGEDGMDQFPLVLVGGVLEGNKKWNISGEVVRCISKVFPGVHPIRPEVEPAIGAALLAWNHHRKGLKLENGS >ONIVA09G01590.1 pep chromosome:AWHD00000000:9:1960134:1963805:1 gene:ONIVA09G01590 transcript:ONIVA09G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLSTLSLCTQSPSPSPVASGRLVAPAVLGFAEAPRFPTLRAAPRRLTARAVAGDAEDEWGKEPAADQGGAAAAVAEAPADVPVTSEVAELKAKLKEALYGTERGLRASSETRAEVVELITQLEARNPTPAPTEALTLLNGKWILAYTSFSQLFPLLGSGSLPQLVKVEEISQTIDSENFTVQNCIKFSGPLATTSVSTNAKFEVRSPKRVQIKFDEGIIGTPQLTDSIVLPEKFELFGQNIDLTPLKGIFSSIENAASSVARTISGQPPLKIPIRTDNAESWLLTTYLDDELRISRGDGSSIFVLFKEGSTLLY >ONIVA09G01580.1 pep chromosome:AWHD00000000:9:1950840:1951088:-1 gene:ONIVA09G01580 transcript:ONIVA09G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLGGDAVTALYVQMSHLIYLVTGEVLYQVYDPYGAQEVQILVADAWRVEALVWSRASGDVEKAQGPTHGRNIYDGGVPA >ONIVA09G01570.1 pep chromosome:AWHD00000000:9:1950116:1950559:-1 gene:ONIVA09G01570 transcript:ONIVA09G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMLNSMLVTCRDIKVESTASVGITRVVAATSTNTKSIPNTLEVSDDGNSISLVDTNGLCMVTATKCLTKGNEQMINNDDDDDMATEDLVKLTEVNSKFTVLQTSFNNPWFGHQAIFVVHLTCYGCLDRSSEYTASFPPVLGEQLR >ONIVA09G01560.1 pep chromosome:AWHD00000000:9:1946119:1946505:-1 gene:ONIVA09G01560 transcript:ONIVA09G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVIDFLCAYGLDATVELGVLLTAGLERSKHSFLWGVHTPVASDTDSMMSREVRRGGVAPRGVHGQDSGEERHTGVAIVGAIRGGATHPMISVFVTHCIWMAYISGGEKGLAAHAGEGCHGVAEQA >ONIVA09G01550.1 pep chromosome:AWHD00000000:9:1923996:1928368:-1 gene:ONIVA09G01550 transcript:ONIVA09G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indole-3-butyric acid response 1 [Source:Projected from Arabidopsis thaliana (AT4G05530) TAIR;Acc:AT4G05530] MEVKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRKKKNVDEAVVGLRAKGITVVGVVCHVSIPEQRKNLIDTAVKNFGHIDIVVSNAAANPSVDNILEMKEPILDKLWDINVKASILLLQDAAAYLRKGSSVILISSITGYNPEPALSMYAVTKTALLGLTKALAAEMGPNTRVNCIAPGFVPTNFARFLTTNDTIKNELIDRSTLKRLGTVEDIAAAAAFLASDDASFITAETIVVAGGTRSRL >ONIVA09G01540.1 pep chromosome:AWHD00000000:9:1917257:1919394:-1 gene:ONIVA09G01540 transcript:ONIVA09G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB/MDM2 domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G26810) TAIR;Acc:AT4G26810] MVLRRATAAVGDCPKKVAKLVDLVNLPTALREFAGGQSQMSHLSFFLRVWSHIKSNNLQDPSNRNIVNCDDKLKTVLLGRSKVELSELPMLVKLHFPKFPKS >ONIVA09G01530.1 pep chromosome:AWHD00000000:9:1913017:1915817:1 gene:ONIVA09G01530 transcript:ONIVA09G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGWLVLLLPALLRRGSSGVAAAGKVPAVIVFGDSSVDTGNNNFIPTIARSNFWPYGRDYADGLPTGRFSNGRLATDFISEAFGLPPCIPAYLDTNLTIDQLASGVSFASAATGLDNATAGVLSVITIGEQLQYFREYKERLRIAKGEAEAGEIIGEALYIWSIGTNDFIENYYNLPERRMQYTVAEYEAYLLGLAESAIRDVHSLGGRKMDFTGLTPMGCLPAERIGNRDNPGECNEDYNAVARSFNGKLQGLAARLNKDLPGLQLVYADTYKILASVVDKPADYGFENAVQGCCGTGLFEAGYFCSLSTSLLCQNANKYVFFDAIHPTEKMYKIIADTVMNTTLNVFL >ONIVA09G01520.1 pep chromosome:AWHD00000000:9:1911352:1912524:-1 gene:ONIVA09G01520 transcript:ONIVA09G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCTAPNTRARSVPLAAVEAMMEARWLVLERRPREGNTVEEVGTDGWSEEASIGAGDWRGGNNSSQMQQQQQLQKAAMHARSAATTRPIAQPPATPVSMLPVPVPPLPTPVPTPVASPQVQVRLQPA >ONIVA09G01510.1 pep chromosome:AWHD00000000:9:1904023:1907490:-1 gene:ONIVA09G01510 transcript:ONIVA09G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASRFVLLSRLPAAAASSRFLRPLAAAGSLLPAALAPFAPPAAGARCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMDPPPGDPSNPEPTRDEIIDGYIKTLAQIVGSEDEARHKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERTRRNDRPRNFDRSRNFERRRENMHNFQNRDVPPGQGFNSPPPPGQGPVLPRDAPLMPAPPSPPNPGAPPSYQPHAPNPQAGYTNYQGGVPGYQGRAPGYQGGNQEYRGPPPPPPSAYQGNNPGYQGGGPGYHGGNPPPYQAGNPPPYQAGNPVFAGGAPGYQGQGGNPSYQQGSDNYNAGAPAYKRDEPGRNYQ >ONIVA09G01500.1 pep chromosome:AWHD00000000:9:1875790:1892875:1 gene:ONIVA09G01500 transcript:ONIVA09G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQQLGCCWVLLIALLSCSAATASEVPAIIVFGDSTVDAGNNNYILTVAKGNFPPYGRDFDGGVATGRFSNGRLVTDFVSEALGLPSSVPAYLDSTYTIDQLATGVSFASSGTGLDSLTARVVSVIPLSQQLEYFKEYIEKLKQAKGEDVANEIITEALYVFSIGTNDFIINYFNLPLRRAVYTTAEYTAYLVGEAAAAVRDTHELGAHKIIFAGLAPIGCLPSARTLNHDAPGECNEEHSQVAVAFNTALTEAIGKLNDELTGLRVVYSDTYSVLSAILSNPSYYGFVNIAQGCCGTGLIETSVLCGFNDHLTCQDADSYVFFDSVHPSERTYQIIANKIINTDLKLVL >ONIVA09G01490.1 pep chromosome:AWHD00000000:9:1819983:1824258:1 gene:ONIVA09G01490 transcript:ONIVA09G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGRGRRLAALRLRRAALAWEKRGEMSGGAGVSHPPLLIFLSCNTITPSSAQPSNRSETDLQALLCFKQSITNDPTGALSSWNISLHFCRWNGVTCGRTSPAHVVSINLTSMKLSGVLPACMGNLTSLQTLIPASLFNGSSKLVTVDLQMNSFSGIIPPPHKMATLRFLGLTGNLLSGRIPVSLANISSLSSILLGQNNLSGPIPESLSQIANLNKLDLSGNRLSGFVPVTLYNKSSLEFFGIGNNSLIGKIPPDIGHTLPNLKSLVMSLNRFDGSIPTSLANASNLQMLDLSSNLLSGLVPALGSLINLNKLFLGNNRLEAEDWSFFTALTNCTQLLQLSMEGNNLNGSLPKSVGNLSTNFEWFKFGGNQISGRIPDELGNLVNLTLLDINSNMLSGEIPLTIGNLRKLFILNLSMNKLSGQIPSTIGNLSQLGKLYLDNNNLSGKIPARIGQCKMLNMLNLSVNSLDGSIPDELVSMSSLSLGLDLSNNKLSGSIPQEVGTLSNLALLNFSNNQLSGQIPSSLGQCVVLLSLNMEGNNLIGNIPPALTSLHAIQRIDLSENNLSSEVPVFFKNFISLAHLNLSYNYFEGPIPISGIFQRPNSVSLEGNKGLCANIHILNLPICPSSPAKTKNNKRLLLKVIPSITIALFSALCLIFALVTLWKRRMISFSWFNYGHRQCTDVLRQFSGMLNMLCSSNPKRREVPTTPINNETLKKSDKSLVAIKVFNLNQPGAYESYFIECEVLRSTRHRNLMRPLTLCSTLDKENHEFKALIFKFMVNGSLERWLYSEQHYGIKDRVLCLGQRICIATEVASALDYIHNHLTPPLVHCDVKPSNILLDDDMTARLGDFGSAKFLFPDLVSLESLADIGGTIGYIAPEYGMGCQISTGGDVYSFGVLLLEMLTGKQPTDDTFADGVSIHNFIDSMFPDRVAEILDPYMMHEEHLVYPAEWFEACIKPLVALGLSCSMVSPKDRPGMQDVCAKLCAVKETFLQFGDFSL >ONIVA09G01490.2 pep chromosome:AWHD00000000:9:1819983:1824258:1 gene:ONIVA09G01490 transcript:ONIVA09G01490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGRGRRLAALRLRRAALAWEKRGEMSGGAGVSHPPLLIFLSCNTITPSSAQPSNRSETDLQALLCFKQSITNDPTGALSSWNISLHFCRWNGVTCGRTSPAHVVSINLTSMKLSGVLPACMGNLTSLQTLVLDRNNLEGTIPESLARSLSLIELNLSRNFLSGQIPASLFNGSSKLVTVDLQMNSFSGIIPPPHKMATLRFLGLTGNLLSGRIPVSLANISSLSSILLGQNNLSGPIPESLSQIANLNKLDLSGNRLSGFVPVTLYNKSSLEFFGIGNNSLIGKIPPDIGHTLPNLKSLVMSLNRFDGSIPTSLANASNLQMLDLSSNLLSGLVPALGSLINLNKLFLGNNRLEAEDWSFFTALTNCTQLLQLSMEGNNLNGSLPKSVGNLSTNFEWFKFGGNQISGRIPDELGNLVNLTLLDINSNMLSGEIPLTIGNLRKLFILNLSMNKLSGQIPSTIGNLSQLGKLYLDNNNLSGKIPARIGQCKMLNMLNLSVNSLDGSIPDELVSMSSLSLGLDLSNNKLSGSIPQEVGTLSNLALLNFSNNQLSGQIPSSLGQCVVLLSLNMEGNNLIGNIPPALTSLHAIQRIDLSENNLSSEVPVFFKNFISLAHLNLSYNYFEGPIPISGIFQRPNSVSLEGNKGLCANIHILNLPICPSSPAKTKNNKRLLLKVIPSITIALFSALCLIFALVTLWKRRMISFSWFNYGHRQCTDVLRQFSGMLNMLCSSNPKRREVPTTPINNETLKKVSYGDILKATNWFSSVHTISSTHTGSVYVGRFKSDKSLVAIKVFNLNQPGAYESYFIECEVLRSTRHRNLMRPLTLCSTLDKENHEFKALIFKFMVNGSLERWLYSEQHYGIKDRVLCLGQRICIATEVASALDYIHNHLTPPLVHCDVKPSNILLDDDMTARLGDFGSAKFLFPDLVSLESLADIGGTIGYIAPEYGMGCQISTGGDVYSFGVLLLEMLTGKQPTDDTFADGVSIHNFIDSMFPDRVAEILDPYMMHEEHLVYPAEWFEACIKPLVALGLSCSMVSPKDRPGMQDVCAKLCAVKETFLQFGDFSL >ONIVA09G01490.3 pep chromosome:AWHD00000000:9:1819983:1824258:1 gene:ONIVA09G01490 transcript:ONIVA09G01490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGRGRRLAALRLRRAALAWEKRGEMSGGAGYGMGCQISTGGDVYSFGVLLLEMLTGKQPTDDTFADGVSIHNFIDSMFPDRVAEILDPYMMHEEHLVYPAEWFEACIKPLVALGLSCSMVSPKDRPGMQDVCAKLCAVKETFLQFGDFSL >ONIVA09G01480.1 pep chromosome:AWHD00000000:9:1816770:1817672:-1 gene:ONIVA09G01480 transcript:ONIVA09G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQQLDRDGVGEPVLLERCKQGNYRARARGGVGAHLLLGLRSKEIELELKEAASDLQHKEAACKMPPVRAHAKGMWRHCDVLAVLRRRKLGWAASACFNEGRSPGEKIVRGADCVRQEID >ONIVA09G01470.1 pep chromosome:AWHD00000000:9:1808232:1811328:-1 gene:ONIVA09G01470 transcript:ONIVA09G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IGG9] MAAYLAVFVFLLLVCSSCRADDKLTPARPLSPGDELISSGGVFALGFFSLTNSTSDLYVGVWYNQIPVHTYVWVANRNTPIKKSSSVKLVLTNDSDLVLSDSNGGGGGGAVWTTANSNNVAAAGGGAGATAVLLDSGNFVVRLPNGSEVWRSFDHPTDTIVPNVSFSLSYMANSLDRIVAWRGPNDPSAGDFTMGGDSSSDLQIVVWNGTRPYWRRAAWTGASIFGVIQTNTSFKLYQTIDGDMADGYSFKLTVADGSPPMRMTLDYTGELTFQSWDGNTSSWTVFSRFPTGCDKYASCGPFGYCDGIGATATPTCKCLDGFVPVDSSHDVSRGCRRKEEEMGCVGGGGGDGFLTMPSMRTPDKFLYVRNRSFDQCTAECSRNCSCTAYAYAILNNADATEDRSRCLVWMGELVDTGKFSDGAGGENLYLRIPGSRGMYFDNLYANNKTKSTVLKIVLPVAAGLLLILGGICLVRKSREAFLSGNQPSKKVQSKYPFQHMNDSNEVGSENVELSSVDLDSVLTATNNFSDYNLLGKGGFGKVYKGVLEGGIEVAVKRLSKGSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHEDEKLLIYEYLPNRSLDAFLFGILMMSDNKFHSTLILEDANRKNTLDWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKTSNILLDTEMSPKISDFGMARIFGGNEQQANTTRVVGTYGYMSPEYALDGYFSVKSDTYSFGVILLEVVSGLKISSAHLKVDCSNLIAYAWSLWKDGNARDFVDSSIVESCPLHEVLRCIHLGLLCIQDQPSARPLMSSIVFMLENETAVLPAPKEPIYFTRREYGTDEDTRDSMRSRSLNHMSKTAEDGR >ONIVA09G01460.1 pep chromosome:AWHD00000000:9:1787026:1805957:1 gene:ONIVA09G01460 transcript:ONIVA09G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAILNLSSSSSFSPQHAIVLLVHLIILLSCHAVKQSAARSVNYSEMDRQALLSFKASISSDPVGVLHSWSTSSLDFCNWSGVRCGMTHPLRVTSLDLNSRQLNGNLSSSLANLTSITQLDLSNNQLLGSIPKELGTGSKSLRVVNLAFNSLAGGIPHSLASSSSLTVLNLTNNLFFGTIPASLFNGSSNLAIIDLRMNAFSGPIPNFYKMSALQILNLAQNNLSGSIPPSLGKVSSINLISLEMNNLEGSIPETLTSLANASKLQWISLDNNKLVGTPPPAPSLSRAAAHSLCVSLRRRRRLHPHRLQTLAAVRSFSLSLSLSRAASHSLRRRRRRSRCRIHPRRRQTLAAAAHVPALPDAAAGTAGGVEAGISCELFHSAVVVDGGAWVWAKGDGGRLGLGDESSAFVPRHNPNLSELRVLALGGTHSAALTASGEVFTWGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATRGAHTAAITDSGCDEGDHRLGLGSRGGPGAAGSLSVPSKPPWDLEIGDAFIIHYTYRCDYDMKGKLTHGKVAESRFDKRSYDRKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQIQTYSFSLLLKIAYYYFPGAVLNFLNNAHILDVLVIANNVIAQQEVQGYLNICWQMISLDGPFVLVWINNMPWAADTSMAALGAKNYFTKGIGIVLIGTWEMIIILIFYLKFFYKYLFKLKDFDKGCKKGLTKGLICWSTEENIISVAYKLLVFSEVAVDVRAPSAYGSYLNVCRRLFIGHNNPHHAARASSNMKGHLPRAVGNLSVGLRQIHFGKNQLIDPIPVEIGNLVGVISLSLRGNKLSGQIPSTIGNLSQLSMDQIPIQILNGTSLYVSLDLSNNLLTGSIPPQIGALITLVVLDISFNKFSGEIPSSLGQCVSLLSLDLKHNMLNGSIPQLLGQLKSIVLLDLSQNMFVGQIPEFLVNFSFLNQLDLSNNYFEGPIPTGGIFQNSSAVILDGNTRLCSSSSYSIFGFPICPTTTLAKRKNNAHLLIIVIPPVTIVVLSFFFFMVTLLKGKQAHTTSCYKETMKKVSYVDILKATNWFSPVNKISSSHTGSIYIGSFLTECEVLRNTRHRNLVKAITVCSTVDLENNEFKAIVLEFMANGSLDMWVHPKLHQNSPKRGLSLGQMIRIAADVASALDYMHNQLTSPLTHCDLKPSNVLLDYDMTAIGDFGSAKFLNATLNAWLVSEEQSDISHLSMEWDTKSRPDVMCTVLECYCLKCSLE >ONIVA09G01460.2 pep chromosome:AWHD00000000:9:1787026:1805957:1 gene:ONIVA09G01460 transcript:ONIVA09G01460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAILNLSSSSSFSPQHAIVLLVHLIILLSCHAVKQSAARSVNYSEMDRQALLSFKASISSDPVGVLHSWSTSSLDFCNWSGVRCGMTHPLRVTSLDLNSRQLNGNLSSSLANLTSITQLDLSNNQLLGSIPKELGTGSKSLRVVNLAFNSLAGGIPHSLASSSSLTVLNLTNNLFFGTIPASLFNGSSNLAIIDLRMNAFSGPIPNFYKMSALQILNLAQNNLSGSIPPSLGKVSSINLISLEMNNLEGSIPETLTSLANASKLQWISLDNNKLVGTPPPAPSLSRAAAHSLCVSLRRRRRLHPHRLQTLAAVRSFSLSLSLSRAASHSLRRRRRRSRCRIHPRRRQTLAAAAHVPALPDAAAGTAGGVEAGISCELFHSAVVVDGGAWVWAKGDGGRLGLGDESSAFVPRHNPNLSELRVLALGGTHSAALTASGEVFTWGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATRGAHTAAITDSGCDEGDHRLGLGSRGGPGAAGSLSVPSKPPWDLEIGDAFIIHYTYRCDYDMKGKLTHGKVAESRFDKRSYDRKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQIQTYSFSLLLKIAYYYFPGAVLNFLNNAHILDVLVIANNVIAQQEVQSEDPLMVHLCWFGSTTCHGLLILAWRLLGPRLVFSEVAVDVRAPSAYGSYLNVCRRLFIGHNNPHHAARASSNMKGHLPRAVGNLSVGLRQIHFGKNQLIDPIPVEIGNLVGVISLSLRGNKLSGQIPSTIGNLSQLSMDQIPIQILNGTSLYVSLDLSNNLLTGSIPPQIGALITLVVLDISFNKFSGEIPSSLGQCVSLLSLDLKHNMLNGSIPQLLGQLKSIVLLDLSQNMFVGQIPEFLVNFSFLNQLDLSNNYFEGPIPTGGIFQNSSAVILDGNTRLCSSSSYSIFGFPICPTTTLAKRKNNAHLLIIVIPPVTIVVLSFFFFMVTLLKGKQAHTTSCYKETMKKVSYVDILKATNWFSPVNKISSSHTGSIYIGSFLTECEVLRNTRHRNLVKAITVCSTVDLENNEFKAIVLEFMANGSLDMWVHPKLHQNSPKRGLSLGQMIRIAADVASALDYMHNQLTSPLTHCDLKPSNVLLDYDMTAIGDFGSAKFLNATLNAWLVSEEQSDISHLSMEWDTKSRPDVMCTVLECYCLKCSLE >ONIVA09G01460.3 pep chromosome:AWHD00000000:9:1787026:1805957:1 gene:ONIVA09G01460 transcript:ONIVA09G01460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAILNLSSSSSFSPQHAIVLLVHLIILLSCHAVKQSAARSVNYSEMDRQALLSFKASISSDPVGVLHSWSTSSLDFCNWSGVRCGMTHPLRVTSLDLNSRQLNGNLSSSLANLTSITQLDLSNNQLLGSIPKELGTGSKSLRVVNLAFNSLAGGIPHSLASSSSLTVLNLTNNLFFGTIPASLFNGSSNLAIIDLRMNAFSGPIPNFYKMSALQILNLAQNNLSGSIPPSLGKVSSINLISLEMNNLEGSIPETLTSLANASKLQWISLDNNKLVGTPPPAPSLSRAAAHSLCVSLRRRRRLHPHRLQTLAAVRSFSLSLSLSRAASHSLRRRRRRSRCRIHPRRRQTLAAAAHVPALPDAAAGTAGGVEAGISCELFHSAVVVDGGAWVWAKGDGGRLGLGDESSAFVPRHNPNLSELRVLALGGTHSAALTASGEVFTWGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATRGAHTAAITDSGCDEGDHRLGLGSRGGPGAAGSLSVPSKPPWDLEIGDAFIIHYTYRCDYDMKGKLTHGKVAESRFDKRSYDRKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQNYFTKGIGIVLIGTWEMIIILIFYLKFFYKYLFKLKDFDKGCKKGLTKGLICWSTEENIISVAYKLLVFSEVAVDVRAPSAYGSYLNVCRRLFIGHNNPHHAARASSNMKGHLPRAVGNLSVGLRQIHFGKNQLIDPIPVEIGNLVGVISLSLRGNKLSGQIPSTIGNLSQLSMDQIPIQILNGTSLYVSLDLSNNLLTGSIPPQIGALITLVVLDISFNKFSGEIPSSLGQCVSLLSLDLKHNMLNGSIPQLLGQLKSIVLLDLSQNMFVGQIPEFLVNFSFLNQLDLSNNYFEGPIPTGGIFQNSSAVILDGNTRLCSSSSYSIFGFPICPTTTLAKRKNNAHLLIIVIPPVTIVVLSFFFFMVTLLKGKQAHTTSCYKETMKKVSYVDILKATNWFSPVNKISSSHTGSIYIGSFLTECEVLRNTRHRNLVKAITVCSTVDLENNEFKAIVLEFMANGSLDMWVHPKLHQNSPKRGLSLGQMIRIAADVASALDYMHNQLTSPLTHCDLKPSNVLLDYDMTAIGDFGSAKFLNATLNAWLVSEEQSDISHLSMEWDTKSRPDVMCTVLECYCLKCSLE >ONIVA09G01460.4 pep chromosome:AWHD00000000:9:1787026:1805957:1 gene:ONIVA09G01460 transcript:ONIVA09G01460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAILNLSSSSSFSPQHAIVLLVHLIILLSCHAVKQSAARSVNYSEMDRQALLSFKASISSDPVGVLHSWSTSSLDFCNWSGVRCGTGSKSLRVVNLAFNSLAGGIPHSLASSSSLTVLNLTNNLFFGTIPASLFNGSSNLAIIDLRMNAFSGPIPNFYKMSALQILNLAQNNLSGSIPPSLGKVSSINLISLEMNNLEGSIPETLTSLANASKLQWISLDNNKLVGTPPPAPSLSRAAAHSLCVSLRRRRRLHPHRLQTLAAVRSFSLSLSLSRAASHSLRRRRRRSRCRIHPRRRQTLAAAAHVPALPDAAAGTAGGVEAGISCELFHSAVVVDGGAWVWAKGDGGRLGLGDESSAFVPRHNPNLSELRVLALGGTHSAALTASGEVFTWGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATRGAHTAAITDSGCDEGDHRLGLGSRGGPGAAGSLSVPSKPPWDLEIGDAFIIHYTYRCDYDMKGKLTHGKVAESRFDKRSYDRKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQNYFTKGIGIVLIGTWEMIIILIFYLKFFYKYLFKLKDFDKGCKKGLTKGLICWSTEENIISVAYKLLVFSEVAVDVRAPSAYGSYLNVCRRLFIGHNNPHHAARASSNMKGHLPRAVGNLSVGLRQIHFGKNQLIDPIPVEIGNLVGVISLSLRGNKLSGQIPSTIGNLSQLSMDQIPIQILNGTSLYVSLDLSNNLLTGSIPPQIGALITLVVLDISFNKFSGEIPSSLGQCVSLLSLDLKHNMLNGSIPQLLGQLKSIVLLDLSQNMFVGQIPEFLVNFSFLNQLDLSNNYFEGPIPTGGIFQNSSAVILDGNTRLCSSSSYSIFGFPICPTTTLAKRKNNAHLLIIVIPPVTIVVLSFFFFMVTLLKGKQAHTTSCYKETMKKVSYVDILKATNWFSPVNKISSSHTGSIYIGSFLTECEVLRNTRHRNLVKAITVCSTVDLENNEFKAIVLEFMANGSLDMWVHPKLHQNSPKRGLSLGQMIRIAADVASALDYMHNQLTSPLTHCDLKPSNVLLDYDMTAIGDFGSAKFLNATLNAWLVSEEQSDISHLSMEWDTKSRPDVMCTVLECYCLKCSLE >ONIVA09G01460.5 pep chromosome:AWHD00000000:9:1787026:1805957:1 gene:ONIVA09G01460 transcript:ONIVA09G01460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAILNLSSSSSFSPQHAIVLLVHLIILLSCHAVKQSAARSVNYSEMDRQALLSFKASISSDPVGVLHSWSTSSLDFCNWSGVRCGMTHPLRVTSLDLNSRQLNGNLSSSLANLTSITQLDLSNNQLLGSIPKELGTGSKSLRVVNLAFNSLAGGIPHSLASSSSLTVLNLTNNLFFGTIPASLFNGSSNLAIIDLRMNAFSGPIPNFYKMSALQILNLAQNNLSGSIPPSLGKVSSINLISLEMNNLEGSIPETLTSLANASKLQWISLDNNKLVGTPPPAPSLSRAAAHSLCVSLRRRRRLHPHRLQTLAAVRSFSLSLSLSRAASHSLRRRRRRSRCRIHPRRRQTLAAAAHVPALPDAAAGTAGGVEAGISCELFHSAVVVDGGAWVWAKGDGGRLGLGDESSAFVPRHNPNLSELRVLALGGTHSAALTASGEVFTWGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATRGAHTAAITDSGCDEGDHRLGLGSRGGPGAAGSLSVPSKPPWDLEIGDAFIIHYTYRCDYDMKGKLTHGKVAESRFDKRSYDRKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQVQSEDPLMVHLCWFGSTTCHGLLILAWRLLGPRLVFSEVAVDVRAPSAYGSYLNVCRRLFIGHNNPHHAARASSNMKGHLPRAVGNLSVGLRQIHFGKNQLIDPIPVEIGNLVGVISLSLRGNKLSGQIPSTIGNLSQLSMDQIPIQILNGTSLYVSLDLSNNLLTGSIPPQIGALITLVVLDISFNKFSGEIPSSLGQCVSLLSLDLKHNMLNGSIPQLLGQLKSIVLLDLSQNMFVGQIPEFLVNFSFLNQLDLSNNYFEGPIPTGGIFQNSSAVILDGNTRLCSSSSYSIFGFPICPTTTLAKRKNNAHLLIIVIPPVTIVVLSFFFFMVTLLKGKQAHTTSCYKETMKKVSYVDILKATNWFSPVNKISSSHTGSIYIGSFLTECEVLRNTRHRNLVKAITVCSTVDLENNEFKAIVLEFMANGSLDMWVHPKLHQNSPKRGLSLGQMIRIAADVASALDYMHNQLTSPLTHCDLKPSNVLLDYDMTAIGDFGSAKFLNATLNAWLVSEEQSDISHLSMEWDTKSRPDVMCTVLECYCLKCSLE >ONIVA09G01460.6 pep chromosome:AWHD00000000:9:1787026:1805957:1 gene:ONIVA09G01460 transcript:ONIVA09G01460.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAILNLSSSSSFSPQHAIVLLVHLIILLSCHAVKQSAARSVNYSEMDRQALLSFKASISSDPVGVLHSWSTSSLDFCNWSGVRCGMTHPLRVTSLDLNSRQLNGNLSSSLANLTSITQLDLSNNQLLGSIPKELGTGSKSLRVVNLAFNSLAGGIPHSLASSSSLTVLNLTNNLFFGTIPASLFNGSSNLAIIDLRMNAFSGPIPNFYKMSALQILNLAQNNLSGSIPPSLGKVSSINLISLEMNNLEGSIPETLTSLANASKLQWISLDNNKLVGTPPPAPSLSRAAAHSLCVSLRRRRRLHPHRLQTLAAVRSFSLSLSLSRAASHSLRRRRRRSRCRIHPRRRQTLAAAAHVPALPDAAAGTAGGVEAGISCELFHSAVVVDGGAWVWAKGDGGRLGLGDESSAFVPRHNPNLSELRVLALGGTHSAALTASGEVFTWGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATRGAHTAAITDSGCDEGDHRLGLGSRGGPGAAGSLSVPSKPPWDLEIGDAFIIHYTYRCDYDMKGKLTHGKVAESRFDKRSYDRKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQVLQKAARASSNMKGHLPRAVGNLSVGLRQIHFGKNQLIDPIPVEIGNLVGVISLSLRGNKLSGQIPSTIGNLSQLSMDQIPIQILNGTSLYVSLDLSNNLLTGSIPPQIGALITLVVLDISFNKFSGEIPSSLGQCVSLLSLDLKHNMLNGSIPQLLGQLKSIVLLDLSQNMFVGQIPEFLVNFSFLNQLDLSNNYFEGPIPTGGIFQNSSAVILDGNTRLCSSSSYSIFGFPICPTTTLAKRKNNAHLLIIVIPPVTIVVLSFFFFMVTLLKGKQAHTTSCYKETMKKVSYVDILKATNWFSPVNKISSSHTGSIYIGSFLTECEVLRNTRHRNLVKAITVCSTVDLENNEFKAIVLEFMANGSLDMWVHPKLHQNSPKRGLSLGQMIRIAADVASALDYMHNQLTSPLTHCDLKPSNVLLDYDMTAIGDFGSAKFLNATLNAWLVSEEQSDISHLSMEWDTKSRPDVMCTVLECYCLKCSLE >ONIVA09G01450.1 pep chromosome:AWHD00000000:9:1782634:1783374:1 gene:ONIVA09G01450 transcript:ONIVA09G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRRTASAIPSSSAPADELPGRGGDALGAERLEGSVPAGELVKAKATKKPVAFARVWSEADELRILECLAAHVEKHGAPPGRSQLPEVLAGRGLDKEEFTVSEIYEKVRRLRTQYDKMLSGPRPVPGDNRFELSCAIWGNPVAPPPTSPAPEKSSIPASGTRGRRDLEELRPLYPYLVDEVERISTNELGGHVLKMGLEFIDDGTAVRMNGMAKKQRVLELKTMLKLDSMRKEVTRTLLNNMD >ONIVA09G01440.1 pep chromosome:AWHD00000000:9:1744428:1758245:-1 gene:ONIVA09G01440 transcript:ONIVA09G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAATPSPSRPLWRSSGGGGAIVSKLLRSPFTTVLEAVRGRAAPDDTPPPVQAPPAPEHNCAGAFDGIAVVAGDGREERLDGGVFLTWEDVWVTAVDSGGKAATILNGVNGSARPGEVLAIMGPSGCGKTTLLDTLAGRLDSNLKMKGQILVNGRCQQLAFGTSAYVTQENVLMATLTVREAIYYSAQIQLPDTMTMAEKLRRADETVREMGLTGTLDTRIGGRSSKGISGGQQKRLSICLDILTRPRLLFLDEPTSGLDSAASFHVMSRIIGLAAREGMTVVAVVHQPCSEVFELFHVLCLLAAGNTIFFGPASMAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEDRLRLMPAVADEAIDILVNSYKSSNTSEVAKQDMRHINEMDRMTIGRNRAGFITKTLVLTRRSFVNMYRDIGYYWLRMAIYISISACLGTIFYNVGYGSDSIRARSSMLMFISTMLTLMAIGGFPSFVEDMKIFSRERLNGHYGVTTFVISNTLSSTPYLLLIAIIPGAIAYYLSGLQRQIEHFVYFTLVLCSCTMLVEGLMMIVATIVPDFLMGIITGAGIQGIMMLTSGFFQLPNSLPNIVWKYPMYYISFHKYALQGFYKNEFLGLVLNLEGPITVSGEKVIAELFQVETGHSKWVDLAVLCGMIMTYRLLFVVIIKVLDIVKPILKGMTFRCNTKCIHGIENLCAPS >ONIVA09G01430.1 pep chromosome:AWHD00000000:9:1721983:1727331:1 gene:ONIVA09G01430 transcript:ONIVA09G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTTPRGNETSELGANDGESSSRNSQPNNIEETNPLPEVSNDDAVLIIGQAIELKDEGTRLFQRRDYEEAAIKFGEAIKLLPKEHNDIAFLHCNAAACYMHMNPEDLDHAIEECNLALEASPKYTKALLKRARCFEALDKLDLACKDVQKVLSLEPSNVTALELSESIKELMEEKDVLLEKQIVSPVAEEPKAILAKDKIKRKVSRKFRNSIVEEEVWEMIHEEDMQEDDEAAEEEKCNGNNHVQNDARPEENDTEQMHIKQNRSSEEKYREETRPKQGQCSRGASNDGKTVLQHSSLNMEEMCVNLKHGQEKHQKHLKEIHVRGSHGQETHPFCSGVDKRQKHIDQIQTNSLGMQEKHTDKFERYANGNREKHFLDRHIGRGEDKQEKRSTLKPTIHGRDKHKNHKDVNKRAMKSVKFVCGDDIRIVVIPEHITLMQLMDIARYKYTPHLKSILLKFMDKEGDLVTITSTEELRWVEELDPLKPVRLYIKEVSPDREITRDLVMPTTSYSKLERNHNSMSECGSSRHGGEKNSYTDDWMVQFARLFKYHVGFDSDAYVDLRDLGMRLYYEAMEETITSEEAQEIFQSAEAKFQEMAALALFNWGNVHMSRAKKRLLLSDDASQESILLQVKNAYEWACAEYVKAGKKFEEAVDVKPDFYEGLIALGQQQFEQAKLSWRYADACKIGMGTEVLELFNHAEDNMEKGIEMWEGIEYLRVKGLSKSKKEKVLLDKLGLNGHLKEFSADEAFEQASNMRSQLNISWGTILYERSVVEFKLGLSSWEESLTEAIEKFKTGGASLPDISVMIKNHCANEKTQEGLSFKIDEIVQAWNEMYDAKKLKNGGSSFRLEPLFRRRPSKLHNILEHIHYT >ONIVA09G01420.1 pep chromosome:AWHD00000000:9:1691214:1699200:-1 gene:ONIVA09G01420 transcript:ONIVA09G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQEQEKMLLQAAYDDNLRLLRKMARGLDTGQGEAAVVAAVAGRADGNRALHLAAARGSMDVLRYLVEDLHLSVNQFNAKGETPLCLSSIHGRAAATRYLLDHGSDPTIDKSVLPFHAAATKGHCEIVELFLSRGVDVDLDSITGTPLLTAAMNGQYSTMKILLEHHADPNRVVNHNGTPLIMSIVSGSLECVKLLIKVGADVNFRDPNGVTCVMVAANHGSPVIMKCLLDAGANPNIPDEFNRTPIEVAANRGRRDIVKMLFPLTSPISTLPDWSIDGVISHVQTFGLKPRDNDLSKRKSAELKLQAREAFEREEYMLAGQHYTNAIELTTNAHDKATLLANRSLCWLRLSTGNGALADANMCRMLRPSWPKACYRQGAAFMFLKDYGKACEAFADGLKLDPANEDIAKALRDAQEAMKDQMEHRG >ONIVA09G01410.1 pep chromosome:AWHD00000000:9:1680479:1687418:1 gene:ONIVA09G01410 transcript:ONIVA09G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 3 [Source:Projected from Arabidopsis thaliana (AT2G11520) UniProtKB/Swiss-Prot;Acc:Q9ASQ5] MASFPLFPALLLLLCSSSLALDSVSEPTLSWTCGDDQVAILDTSDGGRNLSVNGELVQDRVLGCQKLRSYYVSRCLRCGQQSEAWRGAWKHYCREGSESSNAQNIPRKLLRQPSMNDAKIEDDPCKNMGIHGHNQDDNDSLEGQDHLLAVPGVILLCCGLMIPCFHAEKKEVSRHNTTSIQRNAVESIASLDVSTSSEKVPPTPHRIPPSPSRFAPSPQIARVGSVNLTVQQILRATQNFSPSFKLGEGGFGTVYRAVLPDGQVVAVKRAKKDQFAGPRDEFSNEVELLAKIDHRNLVRLLGFTDKGHERIIITEYVPNGTLREHLDGQYGRTLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRAAEERITIRWTFKKFNEGNRREILDPLLEDPVDDEVLERLLNLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGKSVRRV >ONIVA09G01400.1 pep chromosome:AWHD00000000:9:1666978:1676762:1 gene:ONIVA09G01400 transcript:ONIVA09G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGDRSTDPSSGPAPGSRGGGDGRFGRGPSRWSSGGGGGGSGSPPHRFSRGGGGGGGDGGGGGGGGGRFHPYRGPSDHSGGGGYRSGGGGEYGEPGSGPRHRYGSGRGDHSDHDNRNNYVKLFIGSVPRTATEDDVRPLFEEHGDVVEVALIKDRKTGEQQGCCFVKYATSEEAERAIRALHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNKQATAKEIEEIFAPYGHVEDVYIMKDGMRQSRGCGFVKFSSREPALAAMSALSGNYVMRGCEQPLIIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWHPSSPRSAPHQFNNFGSDNPMAPKGSTVTSTTDTRKWLFVKPNSCAKFVTYGHEPSSHGTRPSSGRPADPTFAKATRAPSAVSNSMQAIPGQQHLPSNVAPQMLQQPVQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQQQSNLNHQQPTQGQPVQSSNPGAPNAIIPSNINTIPQQATSPAVPLTCNWTEHTSPEGFKYYYNSITRESKWDKPEEYVLYEQQQQQQQQQKLLLLQQHQQKLAMQQLQSPPQAQTHPAMQPVQQIPQAQQGQQQMQMKQQELNYTQLQTPGAIDPSRIQQVNDKLVSCYSEMSSTQPAASIKCLNGA >ONIVA09G01400.2 pep chromosome:AWHD00000000:9:1666975:1676762:1 gene:ONIVA09G01400 transcript:ONIVA09G01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGDRSTDPSSGPAPGSRGGGDGRFGRGPSRWSSGGGGGGSGSPPHRFSRGGGGGGGDGGGGGGGGGRFHPYRGPSDHSGGGGYRSGGGGEYGEPGSGPRHRYGSGRGDHSDHDNRNNYVKLFIGSVPRTATEDDVRPLFEEHGDVVEVALIKDRKTGEQQGCCFVKYATSEEAERAIRALHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNKQATAKEIEEIFAPYGHVEDVYIMKDGMRQSRGCGFVKFSSREPALAAMSALSGNYVMRGCEQPLIIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWHPSSPRSAPHQFNNFGSDNPMAPKGSTVTSTTDTGMNPPPMAQGHHLGGQQIPPLQKLPGLPQNFPVQLQNNQQGQPLQGPAQQIGQLQVPQSMGPGSFGQNMLSGQLPVSQPLMQQNASVGAVQAPSAVSNSMQAIPGQQHLPSNVAPQMLQQPVQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQQQSNLNHQQPTQVAEQHGQPVQSSNPGAPNAIIPSNINTIPQQATSPAVPLTCNWTEHTSPEGFKYYYNSITRESKWDKPEEYVLYEQQQQQQQQQKLLLLQQHQQKLAMQQLQSPPQAQTHPAMQPVQQIPQAQQGQQQMQMKQQELNYTQLQTPGAIDPSRIQQVNDKLVSCYSEMSSTQPAASIKCLNGA >ONIVA09G01400.3 pep chromosome:AWHD00000000:9:1666975:1676762:1 gene:ONIVA09G01400 transcript:ONIVA09G01400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGDRSTDPSSGPAPGSRGGGDGRFGRGPSRWSSGGGGGGSGSPPHRFSRGGGGGGGDGGGGGGGGGRFHPYRGPSDHSGGGGYRSGGGGEYGEPGSGPRHRYGSGRGDHSDHDNRNNYVKLFIGSVPRTATEDDVRPLFEEHGDVVEVALIKDRKTGEQQGCCFVKYATSEEAERAIRALHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNKQATAKEIEEIFAPYGHVEDVYIMKDGMRQSRGCGFVKFSSREPALAAMSALSGNYVMRGCEQPLIIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPDSWHPSSPRSAPHQFNNFGSDNPMAPKGSTVTSTTDTGMNPPPMAQGHHLGGQQIPPLQKLPGLPQNFPVQLQNNQQGQPLQGPAQQIGQLQVPQSMGPGSFGQNMLSGQLPVSQPLMQQNASVGAVQAPSAVSNSMQAIPGQQHLPSNVAPQMLQQPVQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQQQSNLNHQQPTQGQPVQSSNPGAPNAIIPSNINTIPQQATSPAVPLTCNWTEHTSPEGFKYYYNSITRESKWDKPEEYVLYEQQQQQQQQQKLLLLQQHQQKLAMQQLQSPPQAQTHPAMQPVQQIPQAQQGQQQMQMKQQELNYTQLQTPGAIDPSRIQQVNDKLVSCYSEMSSTQPAASIKCLNGA >ONIVA09G01390.1 pep chromosome:AWHD00000000:9:1656492:1663068:1 gene:ONIVA09G01390 transcript:ONIVA09G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35430) TAIR;Acc:AT5G35430] MEPKEAPPPPPPQSPSSTEEEGMLSVTAAMARDAAVLFQSRRYADCAEVLAQLLLKKEGDPKVLHNMAIAESFLDGCPDPKKLLEILGNVKRRSEELACASRQQTDSANGTGNSVSSGSRGSGIIPLISAANNATTYGDEFDTTIITFNTAVILYHLHDYESALSILDPLYRNIEPIDETTALHVCFLLLDITLALQDASNAADIIQYLERSFGVVSATNQNENANTAQQQQSAQPKPSAKISTPPDSDSNTCAGGSENLSAGNFSDDTLEFESFYSTLDGGNQNLGRPILNDFSRASADLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRSEPAMLAMFYNNLGCILHQQRSIQTSVWCFSKALKYSLSLRSEKPCKLTAISQDKSCLISYNCGIQHLMCGKPLLAARCFREAMPLLCNRSLFWLRFAECSLLALEKGILTSSGATSCNDEIEVDVMGSGKWRHLVINPVKPSHSSESGEEENLVIASGTEESNQTSLQGQKGSGQKNTTNTDSKPPGPALTNANGEQKGISNLNVTLQSSLALYDDICRKENLKIKQAILGDLAFIELCLQNHLKALSIAKLLQQLPECSRMYVFLSHVYAAEALCALNRPKEAAEQLTVYLRDGDDIELPYSIENCEKALVEKDSDGEDSVAPVVTKLSSGESQYSESLKPEEAQGVLYIDLGMTAAVQGELEQANYMVSRGVALLPNNPRAVLASVYVDLLQGKAQEAIAKLRRCRTVRFRRHSVAVSS >ONIVA09G01380.1 pep chromosome:AWHD00000000:9:1640541:1641279:1 gene:ONIVA09G01380 transcript:ONIVA09G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWWRRGVDAAVAEWMQQAPKGHGSGSRRWRWSGSSGFTATFNRCGGIDLGKGERGVGGAADLGDGE >ONIVA09G01370.1 pep chromosome:AWHD00000000:9:1605005:1607212:1 gene:ONIVA09G01370 transcript:ONIVA09G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRISSSESERPVGSYRFHIPRQPPRIQAEGGKRHMIIGDRRHGGTALWRRGSMLPPSLPRWGVEDGHGHVDGSSRQHPSELGSSFALSVPIGGWIWRLAAAQAHGEVIDGGRCEDEAGDHMQPPPASSASMAMLSEERISASGIVVRERQLHGYGERPFLPCLATMAAKGTAREISTKKIAKKDHGEVGDLTMAVLMPSWTSTGNHSSRNPTRCLEQLPEPSKRAHSEAYGNMQLATGDLIVGLHKQATITVPPPNISEIGGHLTAHKNNEMTVGKGVQHTIDVSVAKEATRSLVSSARQSRRGPYECRKCGTMFSSGQALGGHMKSHNSDERWGDKRVPSAFVGSLLSLITPIDVSNVSVPSSRNPHTSSIPNKEEGRVLVMGAAPLNGVPKGSFRLFGENIAEAPKEEPME >ONIVA09G01360.1 pep chromosome:AWHD00000000:9:1599454:1600820:1 gene:ONIVA09G01360 transcript:ONIVA09G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHQHVPLDEYQSNLRAICAYFKEQWPSTKIILITPPPIYEPVRIRDMYGEDDHSKLPERTNEAAGTNAQACLTVAKELNHPVIDIWTKMQQFPDWQTSALWYGSISSDLIPKLDVWMGLITVKGCHLCYQ >ONIVA09G01350.1 pep chromosome:AWHD00000000:9:1594075:1594371:-1 gene:ONIVA09G01350 transcript:ONIVA09G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSSPEPEEDCPIIKIESCSRVFAFVDGAVGESGEERDAKTEALVEVLAAVRMSGKKQGLEREGMGRRQQRGEGREGEEEEEEEKVAESDMWVPLS >ONIVA09G01340.1 pep chromosome:AWHD00000000:9:1566962:1570405:1 gene:ONIVA09G01340 transcript:ONIVA09G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDFRSHRAALFDGIEEGAIRSSAYSSQIHEHENDQAMDSLHDRVSVLKRLTGDIHEEVENHNRMLDRMGNDMDASRGFLSGTVDKFKMVFETKASRRMATMVASFIAVFFLIYYLTK >ONIVA09G01330.1 pep chromosome:AWHD00000000:9:1562415:1566313:1 gene:ONIVA09G01330 transcript:ONIVA09G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYDPYHNQQGARIRPTTASRTRMTGLGSPCGACKFLRRKCVKGCVFAPYFCHEQGAAHFAAIHKVFGASNASKILMHLPVSDRCEAAVTMSYEAQARLRDPIYGCVAHIFSLQQQVVSLQAQLESLKAQATQGYGDGCSISSPQNDSCGNMLTSIVQDEQQFVGPTMASNSSVKNENHSYIANGHLAPMSAQSSQGFEAELCMAEYSNTNPCCSVQGNWYHDMEDLQSVAFAYLNQA >ONIVA09G01320.1 pep chromosome:AWHD00000000:9:1557818:1558396:1 gene:ONIVA09G01320 transcript:ONIVA09G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPNCTTHAASSFFLLSRRSTPIRRIPTLRYQQQQQHNKQQQCGVCLGEFREGERLRRLPPCLHSFHIDCIDAWLATALTCPLCRAHVTVDTNHIAAATTSTRHDDDQLLSGVHQPMRRSFSLDSCHLYLAIILHPHQLSDSDTRSRSRREPKPAVLESERPSRTLRRSFFSFSHTTTSPVPTPVLPI >ONIVA09G01310.1 pep chromosome:AWHD00000000:9:1554066:1554476:-1 gene:ONIVA09G01310 transcript:ONIVA09G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGGGGWDDQGNGGGETTKYRGVRRRPSGKFAAEIRDSSRQSVRVWLGTFDTAEEAARAYDRAAYAMRGHLAVLNFPAEARNYVRGSGSSSSSRQHQQRQVIELECLDDQVLQEMLKGGDDQYRSASGSKRNNY >ONIVA09G01300.1 pep chromosome:AWHD00000000:9:1543520:1545124:-1 gene:ONIVA09G01300 transcript:ONIVA09G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGEQQQLEVLHALDVAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYRVDGSPSPGTLPPHVSASVNGVAFVGTLSGQLFFGWLGDKLGRKRVYGITLMLMVLCSLASALSFGHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGIITGGLVAILVSASFRAAFPAPPYGEDPVASTPPQADFVWRIILMLGALPAALTYYWRTKMPETARYTALVANNAKQAAADMSKVLQVVEMRNIGNNGGSRRPFGLFSGEFVRRHGLHLVGTSATWLLLDIAFYSQNLFQKDIFSAVGWIPKAATMSALEELFRIARAQTLIALCGTVPGYWFTVALIDVVGRFKIQAVGFFMMTLFMLALALPYHHWTAPGKNHVGFLLLYGLTFFFANFGPNSTTFIVPAEIFPARLRATCHGISAASGKLGAIVGSFGFLYLAQSPDRSKTEHGYPPGIGVRNSLFLLAACNLLGLLFTFLVPESKGKSLEEMSGDAEAQEEAPPPLQTVL >ONIVA09G01290.1 pep chromosome:AWHD00000000:9:1535461:1539382:1 gene:ONIVA09G01290 transcript:ONIVA09G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGAKASSSSSSSSSAADKAANGIAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSSEIEASDMSALQAVICCDEERMKLEKEAEILSAQDDGGGDALDRIYERLEALDASTAEKRAAEILFGLGFNKQMQAKKTQDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQSKKLKLYSGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLVFRFTDVGKLPPPVLQFVEVSFGYTPDNLIYKNLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLAPLDGMVRRHNHLRIAQYHQHLAEKLDLDMPALQYMMREYPGNEEEKMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMDFKEHLRSRAGLSD >ONIVA09G01290.2 pep chromosome:AWHD00000000:9:1535461:1539121:1 gene:ONIVA09G01290 transcript:ONIVA09G01290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGAKASSSSSSSSSAADKAANGIAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSSEIEASDMSALQAVICCDEERMKLEKEAEILSAQDDGGGDALDRIYERLEALDASTAEKRAAEILFGLGFNKQMQAKKTQDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQSKKLKLYSGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLVFRFTDVGKLPPPVLQFVEVSFGYTPDNLIYKNLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLAPLDGMVRRHNHLRIAQYHQHLAEKLDLDMPALQYMMREYPGNEEEKMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMDFKEHLRSRAGLSD >ONIVA09G01280.1 pep chromosome:AWHD00000000:9:1519593:1524888:-1 gene:ONIVA09G01280 transcript:ONIVA09G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B1 [Source:Projected from Arabidopsis thaliana (AT2G36910) TAIR;Acc:AT2G36910] MEEEIKGRVVVLGADAAADPELEAFHLPSADQPPHSHLLHHHHSPQSHPQPDAPAAAAPPPPAPLTPPPPKSPPPPPHIQTTDLPPPKPLPPAPLRQLFSFADGLDYVLMTLGTLGALVHGCSLPVFLRFFADLVDSFGSHAAHPDTMLRLVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLHAALHQDVSFFDTDVRTSDVIHAINADAVVVQDAISEKLGNLIHYLATFVSGFVVGFTAAWQLALVTLAVVPLIAVIGGLSAAALAKLSSRSQDALSDASGIAEQALAQIRIVQSFVGEERVMRAYSAALAVAQRIGYRSGFAKGIGLGGTYFTVFCCYALLLWYGGHLVRRAHTNGGLAIATMFSVMIGGLALGQSAPSMAAFAKARVAAAKIFRMMEHKPSMEREGGVELEAVTGRVELRDVEFSYPSRPDVGILRGLSLSVPAGKTIALVGSSGSGKSTVVSLIERFYEPNAGTILLDGHDLRDLNLRWLRRQIGLVSQEPALFATTIRENLLLGRDGATQEELEEAARVANAHSFIVKLPDAYNTQARPGGNQWVAFERCSELVQVGERGLQLSGGQKQRIAIARAMLRNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQGGAISEVGTHDELMARGDGTYARLIRMQEQAHEAALVAARRSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDADFITGLGLGVDSKQQQQQHYFRVQASSFWRLAKMNSPEWGYALVASLGSMVCGSFSAIFAYVLSAVLSVYYAPDAAYMDRQIAKYCYLLIGMSSAALLFNTVQHLFWDTVGENLTKRVRERMLAAVLRNEIAWFDMEDNSSARIAARLALDAQNVRSAIGDRISIIVQNSALMLVACTAGFVLQWRLALVLLAVFPLVVAATVLQKMFLKGFSGDLERAHARATQIAGEAVANVRTVAAFGSEAKIAGLFEANLAGPLRRCFWKGQIAGSGYGVAQFLLYASYALGLWYAAWLVKHGVSDFSKTIRVFMVLMVSANGAAETLTLAPDFVKGGRAMQAVFEAMDRRTEIEPDDVDAAAVPERPRGEVELKHVDFAYPSRPEVQVFRDLSLRARAGRTLALVGASGCGKSSVLALVQRFYEPNSGRVLLDGRDLRKFNLRSLRRAMALVPQEPFLFAATIHDNIAYGREGATEAEVVEAATAANAHKFISALPEGYGTLVGERGVQLSGGQRQRIAIARALVKQAPILLLDEATSALDAESERSVQEALASSSGSGRTTIVVAHRLATVRNAHTIAVIDDGKVAEQGSHSHLLNHHPDGCYARMLQLQRLSHSHVAPGPSSTTTHGT >ONIVA09G01270.1 pep chromosome:AWHD00000000:9:1514774:1518164:1 gene:ONIVA09G01270 transcript:ONIVA09G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLLEWGCLTMA >ONIVA09G01260.1 pep chromosome:AWHD00000000:9:1502218:1502562:1 gene:ONIVA09G01260 transcript:ONIVA09G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFATTIAAAASALTSISATEVRHAAGQEEATGLGHRQASAVAKGRNAAVDGLGLRAEQLWLGDDDGGEVRTGEGEGQAGAVAEGRDAVADGVVLRAEHPRLGDDDGSEVRT >ONIVA09G01250.1 pep chromosome:AWHD00000000:9:1501866:1503005:-1 gene:ONIVA09G01250 transcript:ONIVA09G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDSLGDSGGLVEGGATGSATAVATGSGDGGSAAAASSGSAAPDPVVGKAAAVDPTIGRAAPTDPEDGSGDGGGDRLGSGGVRGLSGAGSCGGEGGGGGSDNWEGCANGSGGGPTVRRRPRSSPASTPCSNPDAVLALLSTGLCANLAAVVVAEPRVLCAKDDAISHRIASLRDRAGLSLSLSRADLATVVVAKPQLLCAKAEAINRRIASLRDRAGLSVPQTSSFLLSGGMAHLGGRDGGEGRGGGGYDAH >ONIVA09G01240.1 pep chromosome:AWHD00000000:9:1498009:1499997:1 gene:ONIVA09G01240 transcript:ONIVA09G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane kinase-like 1 [Source:Projected from Arabidopsis thaliana (AT3G24660) TAIR;Acc:AT3G24660] MRSVMMCCLLLLLVSAAAGAEGKSEVALLLERVKPALQGEGEVGGNAQLATWTASTPLCQWRGLRWSTAATLPRELPCGNLSAGLAHHPVPDDLLLLLSIRLPASALAGHLPPELAAFSALASIFLAHNSLSGPIPLALGNAPALSLLDLASNRLSGSLPLSIWNLCSGNARLSLLRLHGNALHGPIPDPAALAPNTTCDALSLLDLSANRLSGPFPSSLVTTAFPALRSLDLSDNRLHGPIPHGLAPIHSLNLSYNNFSGQLPPDLASLPPDAFLANSPALCGPPLPHHCLPSNPLTSSAVAAIVIALMAAAVVLASLSIGWAQGRWRRAPLPPEEGTLTEDGEGKLVVFQGGEHLTLEEVLNATGQVVNKASYCTVYKAKLAEGGGSIELRLLREGCCKDAESCAPAVRRIGRARHDNLVPLRAFYQGRRGEKLLVYDYFPGNRTLHELLHGHGEQSQGMRPALTWARRHKIALGVARALAYVHAGHGEAHGSVRSSNVLVDEWFVARVAEYAVHRLLVAAAVGKADGYRAPELQSRGRCSPRTDVYAFGILLLELLMGRKASGELPAVVKAAVLEEVTMMEVFDAEVARGVRSPAEEGLLQALKLAMGCCAPVASARPTMAEVVRQLEEVRPRNSSRPSAIYSPAEPRSNAGTPTAAVV >ONIVA09G01230.1 pep chromosome:AWHD00000000:9:1496309:1496503:-1 gene:ONIVA09G01230 transcript:ONIVA09G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPGGVNEWEDSPGEMESEAASAVGMGMMEVDADDRHPPSSSLPIDADFFNSFPDDFDDQDLA >ONIVA09G01220.1 pep chromosome:AWHD00000000:9:1484883:1495386:1 gene:ONIVA09G01220 transcript:ONIVA09G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGNWRPTQGADPAAPGGIDPNAPAPAPAGGDWRSQLQSEARNRIVNKIMDTLKKHLPVSVPEGLNELQKIAVRFEEKIYTAATSQSDYLRKISLKMLSMETKTQQNPGNAQVIQNQNPPGSVPGLPQGSNQTQSSAIPLMSQQQARQPNSTSVQSSLTNLGQNLPGVNQTSTLQNMSGMPQNTMNNGLAQGASQDIYATQRQMAGRQQQQQQSQNQLIYQQQQIMMKQKLQQNSLMQQQSLLQPTQMQSSQQPMMQIPSSLQPGQSIPQTQPMVQSSSQGGIQQNALNSVPQSVQSLLQQPTQSAMRQQQHSQSSMHPQPSLQQAQPTQQPNIPLQHQLMGQQQNLQQNQLIGQQNGAVDMPQQQRLPPQSQHNQLQQSQQHLMSQFQAQPNQLQQQLGMPQQPPMQQRLQTSAGMLLQQNNIDQQKQFMQAQRGLQEVSSSTSVDSTAQTGHTGTGTGDWQEEAYQMVKNLKDQYFPELNDLYNKISLKLQHVDNMVPPQKPTDQYEKMKSFKLMLERTMHVLQLNKGNIQPALRDKIPAYERQIINILNSQKRPRVQGQQTQFQQSAGQGPTSNIPQQQQPSQGLQQHDNHTNQMPQASMPSMSTGLQSSGAAGIQHLPASPATNFGVPATQQNVTNAPQATSNLEVTQGSNFNSLQHGSMSGALQQGSTGPMQGTLNAQQQASSSMLSHNSVGTMQANANSMQANANSLQQLKQQQQEHHMMQNQQMKRQMFQQFQQKQMLQQQLPAQQQLQKQQQSQMQVPQHHSGNDANELKVRQGAAMKPGIYQQHLAQRNNFYNQQIKQGSAFPISSPQNLQASSPQISHHSPQVDQHNLLPSQVKTGTPLQSANSPYMPSPSPPVAPSPIPVDSEKPLSNLSSSLTNTGQATHQQTNMAPQSQSIAVNTPGISASPLLAEFTSVDGNQANMPTQVLTKSSAAERPLDRLLKALRTTQRQSLSAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGTGTSKKMKRDTSAMPLNVSSAGSVNDSLKQTFSIGTPELQSTATSRVKWQKTEINHALMEEIHEINQQLIDTELHVCEDDAESFGATSEGTEGTVIKCTFTAVAVSPSLKSMFASAQMSPILPLRVLVPASYPKCSPVLLDKFPDEQRNSDDLSTKARSKFSILLRGLAEPMSLREIARTWDACARKVIAEYAQQTGGGSFSSSYGCWENCVGA >ONIVA09G01210.1 pep chromosome:AWHD00000000:9:1475978:1478414:-1 gene:ONIVA09G01210 transcript:ONIVA09G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDQRRPPGQSPPHPESRPPTTPSRCGLRHRQSVAAASPFSPSLPRGISIYKRKKIEVGQKELATCLKRTVGDLKEVVVHIQRDIKTVGDSMSIKMQYLQSTANDIANVVGKSLENHMQLLDGQSKAMVSLINL >ONIVA09G01210.2 pep chromosome:AWHD00000000:9:1475978:1478414:-1 gene:ONIVA09G01210 transcript:ONIVA09G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDQRRPPGQSPPHPESRPPTTPSRCGLRHRQSVAAASPFSPSLPRGISIYKRKKIEVGQKELATCLKRTVGDLKEVVVHIQRDIKTVGDSMSIKMQYLQSTANDIANVVGKSLENHMQLLDGQSKAMVSLINL >ONIVA09G01200.1 pep chromosome:AWHD00000000:9:1472990:1478746:1 gene:ONIVA09G01200 transcript:ONIVA09G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRGGGAHAARRDGRKVGDGDGELGDGSGMGVDSDGDGEVAGGRERGRMTRMLLPATVLLGRWLPGEGEAGGFGGRGVVVHERRLLMAVLDVMDGGGGAGRRQRRKACRESTRKYCPHSMGERRCGGDRLSMTEAAAGRGGGRPGFGMWWRLARWSTLIRRHRTRWRLVLHRHPVTVGISGGRAGGGDRWQIGSPRKASAATWPREDFVRQGSESGRGAVGERERGRRAVRCRGRGHTAIEGGGRWDRSASLGFHRDDLNRSTRNERMSDR >ONIVA09G01200.2 pep chromosome:AWHD00000000:9:1473052:1478744:1 gene:ONIVA09G01200 transcript:ONIVA09G01200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDVMDGGGGAGRRQRRKACRESTRKYCPHSMGERRCGGDRLSMTEAAAGRGGGRPGFGMWWRLARWSTLIRRHRTRWRLVLHRHPVTVGISGGRAGGGDRWQIGSPRKASAATWPREDFVRQGSESGRGAVGERERGRRAVRCRGRGHTAIEGGGRWDRSASLGFHRDDLNRSTRNERMSDR >ONIVA09G01190.1 pep chromosome:AWHD00000000:9:1466906:1467601:1 gene:ONIVA09G01190 transcript:ONIVA09G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDIGEGESCCGRRKQQQQQNISSSKSRKCCPLRRSRKGCMKGKGGPENQRCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFNTALDAARAYDSAARALYGDCARLNLLLAAATAGAPPAAAATPSVATPCSTNDDSNNSSSTTHQQQLTTMLQLDDDNYTLQPSSSDQEDFETYVTRLPKAEDFGLEGFQEVPLDVLDEAGGGISIWDLSICPADFMAAAATTTAKSS >ONIVA09G01180.1 pep chromosome:AWHD00000000:9:1448146:1449930:1 gene:ONIVA09G01180 transcript:ONIVA09G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPRLLTPKPDPDAPLPPLPYPDPNLVQSMLFSAQSPQAQPQAPPPHIQPPASASAEAPSGDEKNNKKKKRARASQEMVRITNLSIADHLHYRSLVRRARLTFEALRAIYQRQDLATAGGIRNRFDLRASSKMLSKGLWMHRDIRTVGSIPGLLVGDSFFYRAELCVLGLHTAPQAGIGYIPASIVDQGHPVATSIVSSGGYLDDEDSGDVLVYSGSGGRLRNRLDHSADQTLQRGNLALHYSCHYGIEVRVIRGHACDHSPSSKVYVYDGLYRVVTSTFGPGKSGRDVCKFKLVRIPGQDDLGSKAWHTAAELKDALDSKIRPPKYISLDIAKGKEPFRVPLYNKLDDDRSPLFYDYIACPDFPTTQQLLKRQTQRGCHCAELCGSRCSCERKNRGADGPVYTSDGILLRGRPLVYECGPLCGCPMTCPNRVTQQGMKHRLEVFRSKETGWGVRTLDLIQPGAFICEYAGDVLSLDSHSGDAPLPPMEDGSSIIDPTKFPERWREWGDASVVYPDRVPHFPLFAGARYRLDVSQRRNVACYISHSCSPNVFLQYVIRGNEDESYPHMMVFAMETIPPMRDLSIDYGLD >ONIVA09G01170.1 pep chromosome:AWHD00000000:9:1445905:1447831:-1 gene:ONIVA09G01170 transcript:ONIVA09G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSLTLSRLPVAALGLPFSSCSPPPPRLRFPIAARRARSLATRASSSSPDSSFGSRMEDSVKRTLADNPVVIYSKSWCSYSMEVKALFKRIGVQPHVIELDQLGAQGPQLQKVLERLTGQSTVPNVFIGGKHIGGCTDTVKLHRKGELATMLSELDIDVNNS >ONIVA09G01160.1 pep chromosome:AWHD00000000:9:1443497:1444762:-1 gene:ONIVA09G01160 transcript:ONIVA09G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREYSEQYHHRAGAGAGAAIVVVVVAVAAAVVCECEAKPRAFFVFGDSLVDSGNNNYLMTTARADSPPYGVDYPTHRATGRFSNGLNVPDIISEYLGAESVLPYLSPHLDGPKLLHGANFASAGVGILNDTGIQFANIIRIEKQLRYFNQYQDRVRGLIGGAAARRLVEGALVLITLGGNDFINNYYLVPFSARSREFALPDYVRYIIGEYGKVLRQLYHLGARRVLVTGSGPLGCAPAELATRSATGECDLELQRAAALYNLQLVRMTRELNAELGAGDVFVAVNAYRMHMDFISNPAAYGFATSKVACCGQGPYNGVGLCTALSTLCPDRSLYVFWDNFHPTERANRIIVSQFMSASPDYMHPFNLSTILAMDAATAAAAP >ONIVA09G01150.1 pep chromosome:AWHD00000000:9:1439482:1444244:1 gene:ONIVA09G01150 transcript:ONIVA09G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haem oxygenase-like, multi-helical [Source:Projected from Arabidopsis thaliana (AT5G32470) TAIR;Acc:AT5G32470] MRGLLRRVYLRLPPFPPATSLYYWSRTRPAAAGPNHPIPRRMSTSSTAAAVVAEGSAARRFWIAAASREAAFAAYTPFLVSLAAGALRLDSFRQYIAQDAYFLHAFARAYEMAEECADDDDDKATIVVLRKAILRELNLHASVLQEWGVDPNKEIPPIPATTKYTDFLLATSTGKVDGGKGSDKMVTPFEKTKIAAYTVGAMTPCMRLYAYLGKELAVFLKQDENHPYKKWIETYASSDFENNALQIEELLDKLSVSLTGEELEIIGKLYQQAMRLEVEFFSAQPVDQPAVAPLSRYCGPKDKLLIFCDFDLTCTVVDSSAILAEIAILSHQKASQGGADSSLDRTKSADLRNSWNMLSNQYMEEYEQCIASLLPPEEARSLDYDQLYKGLEVLSQFEKLANSRVVDSGVLRGMNLDDIRKAGERLILQDGCKNFFQKIGKTRENLNLDVHILSYCWCADLIRSAFSSVGCLDGLNIHSNEFAFEGSVSTGHINRQMESPLDKAEKFKSIKSDVGSTGTLLSVYVGDSVGDLLCLLEADIGIVVGSSTTLRRVGKQFGVSFVPLFTGLVEKQRRIEKEESSIFKARSGILYTVSSWSEVQAFILGNDFS >ONIVA09G01140.1 pep chromosome:AWHD00000000:9:1430728:1435956:1 gene:ONIVA09G01140 transcript:ONIVA09G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of Unknown Function (DUF239) [Source:Projected from Arabidopsis thaliana (AT5G18460) TAIR;Acc:AT5G18460] MAKRRRRWRRQRCCSSDPPLAVAAAALLLLLLVVVTAAPVVDAAAAGRHVVQRHLDRINKPGVRSIHSADGDIIDCVPRHKQRALDHPLLANHTVQTQPSQMPASASLLDRRQQLSRRAWQTWHHSGHCPRGTVAVRRTAASDVQRARSLALFGRKKQMRSPLPAPDVVTGNGHELTMHAIGNLRQHAIAYTAAEVYGARATISVWAPEIDEANGFSLSQLWILSGSFNGSDLNSIEAGWQVSPELYGDNRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRIAIGASISPVSSVGGPQYDMTLLVWKDPKLGNWWLSYGDGAGGLVGYWPAELFTHLSDHATMVEWGGEVVNTHPPGSAHTATQMGSGHFAAEGFGRAAYFRNLETVDADNSLAAVPLDAIQTMAEDAGCYDIRKAYDDDDGRGGWGAHFYYGGPGHNTASCP >ONIVA09G01130.1 pep chromosome:AWHD00000000:9:1425607:1426657:-1 gene:ONIVA09G01130 transcript:ONIVA09G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARPASSVGMDRGAVRPASSVGMDRGVVWPASSVGMDQGAARPASPGRSRSGGGAAGADWGAAWPEQPLLSPRRQRPPLSRQARVLCPAKLPSHARENPKKVGPAPTSPYPQNRATQQR >ONIVA09G01120.1 pep chromosome:AWHD00000000:9:1421139:1425519:-1 gene:ONIVA09G01120 transcript:ONIVA09G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVRIIGPSPAQSSSPTPRRPRPPRPRRRPPTMASAAASSSKPPVVLGCGAVSADYLATVASFPNPDDKIRSLTLKVQGGGNTGNALTAAARLGLRPRIISKVSNDPQGRNILKELQDDGVDTSHILVAEEGNSPFTYIIVDNQTKTRTCIHTPGYPPMVPEELTQENLFAALDGADIVYFDVRLHETALLVAEEASQRKLPILIDAERKRDGLDELLNFASYVVCSAKFPQAWTGASSTPVALVSMLLRLPNIKFIIVTLGEKGCLMLERSTTDASEAEEIDVESLLESLEKKEVLSSSMPKCIASKSNLRISADGIGSISGRLLLGTAEIIPSEELIDTTGAGDAFIGAVLYGLCSGMPPEKMLPFAAQVVR >ONIVA09G01110.1 pep chromosome:AWHD00000000:9:1417596:1418163:-1 gene:ONIVA09G01110 transcript:ONIVA09G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proton gradient regulation 5 [Source:Projected from Arabidopsis thaliana (AT2G05620) TAIR;Acc:AT2G05620] MAAAAASSVSLPGARALPTWSSSVSGDSHSLALSSWAARPRSARPLRAPARMGNVNEGKGIFAPVVVVVRNIVGRKRFNQLRGKAIALHSQVITEFCKTIGADAKQRQGLIRLAKKNGEKLGFLA >ONIVA09G01100.1 pep chromosome:AWHD00000000:9:1414129:1417177:1 gene:ONIVA09G01100 transcript:ONIVA09G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEGGGDLDVMSDLKQQGMVQRRVIQDVRNSLYYSSAMSMALETNGGGDMVAEAEMNNGGGGASSSVLDELLWENRMEQAVEQLMQEQESGVLALSLSARKAMVADRLASVAEHPRTPRPELLRAVAGLCRLGEARRANHLLLGYYRRSVLRGVDELRQKQKQRNNIIIIKELVRTVLSTIVEASRSVVSSEAAEARRWAREEMDGLGVAFRELVHMAAADGKLSLLLEAARCALSYGPLLLLLDEELAEYLRELLARCMEEALAMYAAHLRQVLRLLVLPVPDNDDDDDDEGASSSMLLGRFLLSGVLRTSTTKHNCWCLLTTSGRKLVTLMQEVADDVSPLLELDLALGSTLLHLLADLLRDYMLMQLGATAAADDMMMVSLLINCTTLLSLFPLIARRIFTTTSSQQPADFHHATNNKGELHLHGLIVSIKEAAAQVWTCFCHHFIRHTIMSTTLHHKTHSSSSIRHGANMPSSAFQVLFLRVRQLNSLYGAILTGEDGTMKKLLQELMEAIILFYLSDEDLHDSWIIRQASHAVPIQDTLLLQIQLDVHFLLQVAQFGGFSSDDFRDNALDSLRKAQAKVVPLSSSLEQQQHEEWAADAARHAMQVLMMGSQADEENSTDSVQKDELAADDDDEMQPDAWVGACTCSDGKSSDEFVSIEDDQLAIHSENEAGAAAAAQQVTIEEATSAQAKEEKNSSCSLQDR >ONIVA09G01090.1 pep chromosome:AWHD00000000:9:1405529:1407883:-1 gene:ONIVA09G01090 transcript:ONIVA09G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT4G14305) TAIR;Acc:AT4G14305] MSDVVAMAGQAYMRQLQAHPLRTKAITSGVLAGCSDAIAQKISGVPNLQRRRLLLIMVLVEQLTASPWNNMMFMMYYGLVVEGRPFSQVKSKLKKDYASVQLTAWKFWPIVSWINYEYMPLQLRVLFHSFVASCWAVFLNLKAARSIATSKKA >ONIVA09G01080.1 pep chromosome:AWHD00000000:9:1402749:1403229:1 gene:ONIVA09G01080 transcript:ONIVA09G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRCDSSSAVGPFEYQPAIMCKCGGKVAWWISWSIYNTGRRMADAASLLSSLKREKEEFGLVVQAERLNAEEKTREVEAATQELNSVKVLTCENT >ONIVA09G01070.1 pep chromosome:AWHD00000000:9:1397546:1402275:-1 gene:ONIVA09G01070 transcript:ONIVA09G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARHLPAILLLSSALLLALASASASAAAPALAAFVDAASRRYLRDQQHHDQAASMSLDQVSAAVSVLLGFAPPPSLPAQSSSKLDKLLLPNPFDRPRAVFLLQIDGFHASVESITSEAGSIFKTTIDGLSDSATGLTDKDDLVIVHSDESPAVDSGSEYFDSDLTDLANWLGGSYEKVDGKLIIPLESGESLTLLITKEADMEFASSLISLRKTIKRGIQVHEDFSGGVMSPAELLVCHFKGIKALEEEYGSTEIVKQGTDVVRTAVTKAFDLLRGAYNGKIVGLVISTKEASPSLVGPASSLHISRWLKETSQTNTTIASSEILVRKSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >ONIVA09G01060.1 pep chromosome:AWHD00000000:9:1395692:1396759:-1 gene:ONIVA09G01060 transcript:ONIVA09G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWQLLGQASGFLQDKYKQARLALGDVTPAELLVQEATNNDGGVGPDARTLACIADAAFDMDDYWRIAGVLRRRMARAGDWKEWRPVYKALVVLEFLLTHGPDEVPRDFLPDVAALRDLRGFTHVDDRGFDWGACMQRRCDSVLSLLTDAERLRDARRRIRVSHSTHELVQQHGSPSSSSGSPSSASSRTSSQSHASSWSVASSDSPTMVCLCAAAADYRHDKKFDAYTADDDWMQHLQVHNTTTTLEYDDDHDHDHDCPNHPPLTTPRSWDAHDDHDCPNHPPHTTPRSWDAHVHDLSLLQRRGRPGTDATFCSRMLGAVNVSSRASGFQSLSQPERRSASKKLQRQLSMEY >ONIVA09G01050.1 pep chromosome:AWHD00000000:9:1392529:1398886:1 gene:ONIVA09G01050 transcript:ONIVA09G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAVVVVVGVPAAGCSERRMIRAVVVVVVVVVVLQRSGGVVNLQVLHPVVVRRVGVELLVVAVVGGGGTEADHGGAIGGGDGPAAGVRLRGGPGGSRGGGPRGGGRGTMLLHQLVSRVGDADAASCVAEAFGVGEQREDAVTAPLHAGTPVEAPVVDVGEAAEVAQGGNVRQEVSRDLVGPVGEQELQHHQSLVHGPPLLPVAGAGHPPPQHARDPPVVVHVEGGVGYAGQGPGVGAHSAVVVGRLLHQQLRRRHVAQGQPCLLVFVLQKPRRLPQQLPPRRHFC >ONIVA09G01040.1 pep chromosome:AWHD00000000:9:1375700:1378880:-1 gene:ONIVA09G01040 transcript:ONIVA09G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAYMNQFSCLSGLWFLMLDKGVPRHPGPLKVRPHWEALALQSEYVELVAVNDSFITTEYMVTLDLAKGVYAKFIDWDEQMFDRETCTPAHSANTAYISVSFSTAISEDLGQVEYILSDRTGTLTENRMIFRRCCMSDTLYGENNGDALKDARFLDAVSRNDPDIAKFLMVMALCSTVVPIKRLIFAFISLFSISTEISFNGCKFYYDLLDILEFTSDRKRMFAVVKDV >ONIVA09G01030.1 pep chromosome:AWHD00000000:9:1320582:1341458:1 gene:ONIVA09G01030 transcript:ONIVA09G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTISRIRLENFMCHSSLHIELGQHVNFITGQNGSGKSAVLTALCIAFGSRAKSTQRAAALKDFIKTGCSYAAIIVDINNQGEDAFKPEVYGDLVRLERRITESSSSMFLKDQHGQEGCSDGEAGAPVKLMDVVFTGRKVAHRKDDLIEIIEHFNIDVENPCVIMSQDKSREFLHSGNNKDKFKFFFKATLLQHVNDLLLAIRELLDNADSVVQELEKSIKPAMMELDELQQKIKNMEHIEEIAHEIDNLKKKLAWSWVYDVDRQIEEQTVKLLKLKERIPACQEKIDGHAAMIVKLKEELTDKERNARSLVEKSREVTMMKEKLEDDIAQAVALKIELEREHVRGTNVLKNMNNRVKQLQKQIHDFREQYIQYTQDESSKAENDKCEIQKEINSLHSNVTRLKEEERGLHETQMGIVKSIQNMETEIVENRKKITQFKAHIRDLQQRQSDKVSTFGGQRVRNLLKSIERQERRFNIPPLGPIGVHVGGSQITLSQHEINAVPLLKLHILLAIECALGRLLDAFIVSCHRDSVILRECAKEVNYHNLQIIIYDFAKPRLNIPDHLLPSTTHPTVLSVIQSENPTVLNVLVDQGSAERTVLVRDYEVGRSVAFDNRIQNLKDVYTSDGYKMFSRGPVQTILPPHRKGNAGRLCTSLGEKIAEMESEIADMERIISQRTRDMKKPNDKREDIELKIKNLKRKRVEEERLLESKKVQLDDIRKTSADINRVTSSDTSELEAEMMQVEVDIEQKELLVQKTNLRLTKALQDENDRRACYKEFIDGVYREVGPSNVLEKEIERVKDKLQTAEQGKAYYEGIMETKVLPDIKIAEAEFEDLQKLQQESFKKASIICSESDVETLGGVAGSSPEQLSATINKLELRFHKESSRYTESIDDLRALHIKKKEKIEDKQQLYAGFRDKLNSCQKALDMRWKKFQRNAALLKRQLTWFSRCLKILLVTQLQTPEDFQDAVSRKISLDTLVDFAVTQGSQWIFITPHDISMVQPGDRIKKQQMAAPRG >ONIVA09G01030.2 pep chromosome:AWHD00000000:9:1320582:1341668:1 gene:ONIVA09G01030 transcript:ONIVA09G01030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTISRIRLENFMCHSSLHIELGQHVNFITGQNGSGKSAVLTALCIAFGSRAKSTQRAAALKDFIKTGCSYAAIIVDINNQGEDAFKPEVYGDLVRLERRITESSSSMFLKDQHGQEGCSDGEAGAPVKLMDVVFTGRKVAHRKDDLIEIIEHFNIDVENPCVIMSQDKSREFLHSGNNKDKFKFFFKATLLQHVNDLLLAIRELLDNADSVVQELEKSIKPAMMELDELQQKIKNMEHIEEIAHEIDNLKKKLAWSWVYDVDRQIEEQTVKLLKLKERIPACQEKIDGHAAMIVKLKEELTDKERNARSLVEKSREVTMMKEKLEDDIAQAVALKIELEREHVRGTNVLKNMNNRVKQLQKQIHDFREQYIQYTQDESSKAENDKCEIQKEINSLHSNVTRLKEEERGLHETQMGIVKSIQNMETEIVENRKKITQFKAHIRDLQQRQSDKVSTFGGQRVRNLLKSIERQERRFNIPPLGPIGVHVGGSQITLSQHEINAVPLLKLHILLAIECALGRLLDAFIVSCHRDSVILRECAKEVNYHNLQIIIYDFAKPRLNIPDHLLPSTTHPTVLSVIQSENPTVLNVLVDQGSAERTVLVRDYEVGRSVAFDNRIQNLKDVYTSDGYKMFSRGPVQTILPPHRKGNAGRLCTSLGEKIAEMESEIADMERIISQRTRDMKKPNDKREDIELKIKNLKRKRVEEERLLESKKVQLDDIRKTSADINRVTSSDTSELEAEMMQVEVDIEQKELLVQKTNLRLTKALQDENDRRACYKEFIDGVYREVGPSNVLEKEIERVKDKLQTAEQGKAYYEGIMETKVLPDIKIAEAEFEDLQKLQQESFKKASIICSESDVETLGGVAGSSPEQLSATINKLELRFHKESSRYTESIDDLRALHIKKKEKIEDKQQLYAGFRDKLNSCQKALDMRWKKFQRNAALLKRQLTWFSRCLKILLVTQLQTPEDFQDAVSRKISLDTLVDFAVTQGSQWIFITPHDISMVQPGDRIKKQQMAAPRG >ONIVA09G01020.1 pep chromosome:AWHD00000000:9:1267620:1271689:-1 gene:ONIVA09G01020 transcript:ONIVA09G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGKRASSFFEDLPHSPSSPPSKRACFRGGSSPSRPLADPALVAQIRPRFPSVGLEVIENALEECENDFDSAIKFLLNLHVGPTECNVDPIYQSPSGMSTELQVADEGILAGNEAAVPIGNAPCADNFPSSSTQWVEILVNEMTNASNMDDAKARASRVLEVFEKSMTAHVGAMGSFQKESSVYKEQFEAITRENTILKKAVAIQHERQKEHDGRNQEIQQLKQLVAQYQEQIRSLEVNNYALSMHLRQAQQANSIPGHFHRDIF >ONIVA09G01010.1 pep chromosome:AWHD00000000:9:1257736:1263749:-1 gene:ONIVA09G01010 transcript:ONIVA09G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIATTTWQQFEIAELICKFDRNNRTKTLGSFQSCSSIEHLFRLVVALTAILGDDICDARRDEEISMVPEEPVLVDNNNQEENSLQQLNLLADTSEWFKNMDDDDESPLWLNVLLRTAFWRKCDVHDQLENTHRAEESIFCTNCLKTICPHCKHDQPSHLLLKVRRYIFRSVVHVKDMQNFGIDMSYIQTFKCNGHKVVHLRPMKRSKHHRPKAGTPRCTTCQCWLHNAPSLTCSLSCKKKAGISPNDFSGSEASTRVSRSRNQASDANQKRPIANTKLRKRPCKQANPERAPFF >ONIVA09G01000.1 pep chromosome:AWHD00000000:9:1250451:1251249:-1 gene:ONIVA09G01000 transcript:ONIVA09G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSHPGTHFDTLTRPVRHRSCHFHARCGGVTIAPDLELAFRLASLSSSPASTSCGGVSLWNTSCYRIRRRSLTGALQLFSHLCYSREKPPEEDEWQHCLFGRFFVCAYRVEDSTGFAGTEVDLEGYAEASEGHVPQGKPQFQSLIILKYSREPLSYFLH >ONIVA09G00990.1 pep chromosome:AWHD00000000:9:1213793:1218361:-1 gene:ONIVA09G00990 transcript:ONIVA09G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGKKRKGEVELSHPALEREIVPTTSPLPIAIRRSTLESGEGSSESVASDTGTAKALADDVVHIANALCMEMAAYNNTSNSPKPKAWENLAKKMFTKCRKKG >ONIVA09G00980.1 pep chromosome:AWHD00000000:9:1205806:1208285:1 gene:ONIVA09G00980 transcript:ONIVA09G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDVSLRLDSEWSRVEMQLVEYGTSSISAVSVLASECLDSCTSAPLCLEYGAISEPAAPTVGGVISELAAPTVGVEQPPIHYVDWDSLEIDGTLDDEGRIHVMNDDQLFALLGLRDKGKKISALQCYWEKPVLLEWKGLKKWLVLLEEHLS >ONIVA09G00970.1 pep chromosome:AWHD00000000:9:1189913:1193246:-1 gene:ONIVA09G00970 transcript:ONIVA09G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREATMGSWPVTAASNARQRNALLRQGKATRGFPLAVAAAMPSSALDRCHSLRARRHYGLPLSHQRELPIHRLHRVVRDLHLWHF >ONIVA09G00960.1 pep chromosome:AWHD00000000:9:1186207:1186833:-1 gene:ONIVA09G00960 transcript:ONIVA09G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAAARRRPSLGRQKIEIRRIESEEARQVCFSKRRAGFFKKASELSILCSADVAAVVFSPAGKAYSFGHPSVEFLLDRFLSSSLPATAGKEEGSSVSVVAELNRQYGELRAMVDAHKARRERAEKTMEKQRQRQPAAWMDPEAEVGRMAPEELMALGTKLVAVQGGVAARADQMLRDALLLGRRPNTTTTTTTRAPPGFFHLHPHF >ONIVA09G00950.1 pep chromosome:AWHD00000000:9:1182616:1184366:1 gene:ONIVA09G00950 transcript:ONIVA09G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSQRLQGLELLENGVQNQELVPNNHYVEEQNIINADWRTNCYEYRKMYLFIRFKTFAYRLTFKILQILIAVLKDVEDQLNWQMLKIFQRVLRLLTNWIVSMYLAPNLLGSYLGTLVRKPYLTPLNILQWNHKLYKRVYYSKMISEVERKFAIDGRANNWILHQLDGKLRQYKSKLKKGYYKPNLPMERALQTVPKTVAESQWATLVSYWYSEDSKNEVYMKLAEKRVDGQEVSEADFEQTMLEVFGQDCSGRVRGMGPTIIPTNYYGGRFSNISGSSEGSSSSNVNGFISFIVSYLAEKYPEDNLISRLPPSVARVIPRQENHGNQLPNTTPPSSARASSQSCSEEE >ONIVA09G00940.1 pep chromosome:AWHD00000000:9:1103952:1104374:-1 gene:ONIVA09G00940 transcript:ONIVA09G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVPAMGKKTKPSPSGRPGAREAGQSAECGGGVEAAEEDDRRDHHRGGSRPWRRIRRADGWIHVAAEEEEEIGDLGISPPLPHEISPAWVSPPNPEEKGEESAELPCYAARRRLPWFGRRQALSPPTSSPHCGRWPT >ONIVA09G00930.1 pep chromosome:AWHD00000000:9:1091694:1094105:-1 gene:ONIVA09G00930 transcript:ONIVA09G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDSNNRSWDGDTSSTFAPSVKGLAARASYQDPSLPSLVPYMTSRIFISNYTYSFPVIPGRMFVRLHFYPVAYGNYASRDAYFGVTTNNLTLLDNFNASQTALAAKYAYILREFSLNVTSGSLDLTFFPSTQNGSYAFVNGIEIVPTPDIFTTLSPIPPTNGNPDPSDIDSMISFQTMYRLNVGGMTISPQGDSMFYRSWENDSPYIWGSAFGVTFSKDSNVTITYPSTMPNYIAPADVYGTARSMGPIAQINLHYNLTWILPVDAGFYYLLRFHFCDIEYPITKVNQRSFFIYINNQTVQEQMDVIVWSGGIGITTYTDYVIVTVGSGQMDLWVALHPDLSSGPEYYDAILNGLEDFKLQDIGKKSLAGLNPPLPPQPKSDVNPKGVSGGGKSKGAVPASIRGAMGSTATMLIACFSVCIICRLKKVAKHSFMTDKKCMTYRTEFYHSPSNLCRNFTFDEIQVATRNFDESLLLGRGGFGDVYRGELDNNGENVAIKRSNPLSVQGVHEFQTEIELLSKLRYCHLVSLIGYCKEKNEMILVYEYMAQGTLREHLYNSNKPSLPWKQRLKICIGAARGLHYLHMGANQTIIHRDVKTANILLDDKWVAKVSDFGLSKANPDIESTHVSTVVKGTFGYLDPEYYRRKQLTQKSDVYSFGVVLFEILCARPAVNIELPEEQASLRDWALSCQKKGMLGKIIDPHLHGEISPPCLRMFADCAKQCVADRSIDRPLMSDVLWSLEAALKLQENAENNKKFSEATTSSKRTPDLITIMGTDKPSTYSTMSITGQKIIFSDMMHPQGR >ONIVA09G00920.1 pep chromosome:AWHD00000000:9:1074321:1078664:-1 gene:ONIVA09G00920 transcript:ONIVA09G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSRRALLLFFLEVAVAMALLLPGGHARVCPPCGSTEVPYPLSTADGCGDPEYKVRCAAAAAGGTAPTLLFDALNGTSYPITSISPASQRLVVSPAPFVSPGACVSVGAAASRGVQLDPSRPFNVSSSNTVMLLNCTELLLRSPLNCSSNSLCHAYAGAAGSTASACAPLPLCCTFVAGGSSTSYRIRLGPQSCSAYRSFVGLDPSQPPATWGSRLGLELQWATPREPLCRTQADCEDGAAATCADDPSATGGAGTVRRCLCVSGLVWNPIAGACQQQNLTDSGSNHAPLIAGIVCGLGGALLVATAGLFAYRRQQRIRLAKEKLAKEREEILNANNSSGRTAKNFSGRELRRATANFSRDNLLGAGGYGEVYRGVLADGTVVAVKCAKLGNTKSTEQVLNEVRVLSQVNHRSLVRLLGCCVDLEQPLMVYEFIPNGTLADHLYGPLSHPPLPWRRRLAIAHHTAQGIAYLHFSAVPPIYHRDIKSSNILLDERMDGKVSDFGLSRLAEQGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTCKRAIDFGRGADDVNLAVHVQRAAEEERLMDVVDPVLKDNATQLQCDTIKALGFLALGCLEERRQNRPSMKEVAEEIEYIMNIEAGNAHLKELHSL >ONIVA09G00910.1 pep chromosome:AWHD00000000:9:1072630:1073159:1 gene:ONIVA09G00910 transcript:ONIVA09G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRWRLRRRCCFEPRSRTLGSTLTSKEAESNNRRLLHVGDIDRTSKSYICTSCSMWLAVEDRVEFADDGWMLLRNVELIFIPRHYVLP >ONIVA09G00900.1 pep chromosome:AWHD00000000:9:1059120:1061576:1 gene:ONIVA09G00900 transcript:ONIVA09G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTELATLLCIPLFSLLLLDVVAGNFTGPHQIRLRCGGTSTAIDSDGRTWEGDANSKLALDGLAANASYLDPLLPSPVPYMTARIFSSNFTYWFGLNPGRVFLRLYFYPTEYADRAAADALFSVTAGILVLLNDFNPSQVAHAMGRTYLILEYSVNVPSGDLDVTFSLSPHHTGSYAFVNGIEVVSTPDIFTKPAPTFLNVGISDPFPISVNIGLQTMYRLNVGGETISPKDDSEFCRTWGKDSPYISGDSGLNFFKDDTVTISYPRTMPSYIAPVGLYETARSMGLKGYINLRYNLTWILPIDAGFHYLLRLHFCEIQHPITKANQRTFFVYINNQTAQKMDVIVLSGGIGVPIYTNYIVGPIGYGQTDLRVALHPDVETNPEFVDAILNGLEVFKLQDVNKSNLAGMNPIPWSHRDGDPRLATIGGAIFVLVVLLIASLSMYIINIRKKRVDHGNTNKELLLATLLSKKSNLCHQFTFLQIQEATSNFDEAFLLGKGGFGNVYKGELDHGMKVAIKRGDPLSQQGINEFQTEIEMLSKLRHRHLVSLIGYCEDENEMILVYDHMENGTLQEHLYGSQKPPLPWKQRLEICIGAALGLHYLHTGAKQTIIHRDVKSTNILFDGKWVAKVSDFGLSKVSTDKDKTYVSTVVKGSFGYLDPEYFRRQKLTKKSDVFSFGVLLFEVLCARPVINPELPEEQVSLRDWALSCRKKGILSEIIDPHLQGEITPQCFRKFTETAEQCVADYSMNRPSMGDVLWNLEVALQLQESAEENCEETALNVLSSTLTTRLQPSSRSTMSISGQKAVFSEMMHPDGR >ONIVA09G00890.1 pep chromosome:AWHD00000000:9:1048119:1050698:1 gene:ONIVA09G00890 transcript:ONIVA09G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNNSTASAPIFLNCGASGVQPDSYNRSWDGDASSKFAPSVKGNVARASYQDPSLPSPVPYMTARFFTSNYTYSFPVSPGRMFVRLHFYPTNYNGNLDSANAYFGVTTNNLILLDNFNASQTALATSSAYFFREFSVNVTSSSLKLTFAPSTRNGSYAFVNGIEIVPTPDIFTTPTPTSANGGDNVQYGIDPVTGLQTMYRLNVGGQPISPQGDSGFYRSWDNDSPYIYGAAYGVTFSKDGNVTIKYPNTEPNYTAPVAVYATARSMGPTAQINLNYNLTWILPVDAGFTYLLRFHFCEIQYPITKVNQRSFFIYINNQTAQNQMDVIVWSGGIGRTTYTNYVVTTVGSGQTDLWVALHPDLSSKPEYFDAILNGLEVFKLQDLGRNNLAGLNPPLPPKPGVNPNGGSSRGKSKSVAPAAIGGAVGGLAVLLIACVGLCIICRRKKKVAKDTGKSDEGRWTPLTDFTKSQSATSGKTTNTGSHSMLPANLCRHFSFAEIQAATNNFDKSFLLGKGGFGNVYLGEIDSGTRVAIKRGNPLSEQGVHEFQNEIEMLSKLRHRHLVSLIGYCEDRNEMILVYDYMAHGTLREHLYNTKNPPLSWKQRLEICIGAARGLYYLHTGAKQTIIHRDVKTTNILLDDKWVAKVSDFGLSKAGPNVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARNALSPSLPKEQVSLADWALRCQKKGVLGEIIDPLLKGKIAPQCFLKFAETAEKCVADRSVDRPSMGDVLWNLEFALQLQESTEDSSSLTEGTSASTSPLVVARLHSDEPSTDVTTTTTTTTSLSITDRSIASVESDGLTPSNIFSQLMTPDGR >ONIVA09G00880.1 pep chromosome:AWHD00000000:9:1046727:1047903:1 gene:ONIVA09G00880 transcript:ONIVA09G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNENNLLNGRKRKEAEQEQEREKQRRICGEQRDLSHEPIIHPSTPLRTRARFPTIVLATETRAATRNCRGARGVHLSLSDAARSTRITAIWMCFLQ >ONIVA09G00870.1 pep chromosome:AWHD00000000:9:1044979:1045707:-1 gene:ONIVA09G00870 transcript:ONIVA09G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGALLSTRSSRGGRRRRLRQREAPSPPPDLAGRGVGNSRRCCDGPAAASPPSGGGGPTAEAAKVALEEVIHVVILMNIYVRVYDPYFGGGGRGDIARLRGMTVRDDGSIVDSEHEQVVRRRPNGGQ >ONIVA09G00860.1 pep chromosome:AWHD00000000:9:1044422:1044646:1 gene:ONIVA09G00860 transcript:ONIVA09G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRREADDGGPSAYGSAMTPGGRRSRGGTTTEVKGTATAANGGGAAQPAACTRCLVAALHEMGVAVSGRDGG >ONIVA09G00850.1 pep chromosome:AWHD00000000:9:1013650:1027592:1 gene:ONIVA09G00850 transcript:ONIVA09G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) TAIR;Acc:AT1G72440] MAEAKGKPTSTNKGRKAAASAVAVGDDIDSLKSDVASFASSLGFLGAAAASSGFDDSDFRKSGPIIPPKTSKSAQTTDAPPNPKPTTTTTTKKPHPLDIHGTNATTKSVSGAVTTNYPLMKATALSGQWYADAGELEASVLGARKQVLPSVGLQEMQRISEGKRQLAEKLMAQYTVEYDMVKRGSGDLKLLEISAKSGTSADKVSAFTCLIEDNPIANMRALDSLLGMVTSKVGKRYAFTGFDALKELFLKRVLPDRKLKSLIQHPLDILPETKDGYSLLLFWYWEDCLKQRYEKFVIALEDALKDMLPNLKDKAMKTVFILLKEKAEQERRLLTALVNKLGDPERRAASSAAYLLTSLLSAHPNMKMVVIDEVDSFLFRPHVGLRAKYQAVNFLSQIFLTSKGDGPKIAKRLVDVYIALFKVLMSCSRATEGVKHSKYGKKTNENGKKEKGNDFNSHVKHEDPCAGSDLEMDSRILSALLTGVNRALPYVASSEVDDIVEVQTPILFRLVHSVNFNVGVQALMLLYQISTKNQIASDRFYRALYAKLLSPASVTSSKPELFLGLLVKAMKNDVMLKRVAAFAKRLLQVALQRPPQYACGCLFILSEVLKAKPPLWAIVLQNESVDDGIEHFEDIVENTDCPAITSRTTDKCNDILATLEKCNSDAEDACDTIECVSPISSGEKDGKGTSAEGLTLQASYNPRHREPSYCNADHASWWELTALALHVHPSVSTMARTLLSGNNIVYSGDPLTDLSLPAFLDKFMEKKPKGNRIAEGKWHGGSQIAPAKKLDQSHHLIGEELLELVEKEVPPEDVVFHRFYMNKTGPIKPKAKKKTAVLDEDTGELFADDVDDASDESDDEMQELGIGSIEDGEYDYDNLDATTFEEEGDLLRYDSDVELHDISDDISSGADSDTEALEGANHSDGNDSDGEEPVQGQKRKHGAKSGASPFAKLEDYEHLMDGEAEKPTFKKGRKHRVTRDSKEKRKGLSSKEKSGSRKKRSKRSE >ONIVA09G00840.1 pep chromosome:AWHD00000000:9:1001399:1001734:-1 gene:ONIVA09G00840 transcript:ONIVA09G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVEHSASRSTKEGGISLPYPMLTSTNDSTWEINMEVQCIWDAIKITADEVMEKKDKAALACLFGPVPDDVLQQIAKKKTMKEAWKSLKTRFLSVDQVKKARVQTLKSQF >ONIVA09G00830.1 pep chromosome:AWHD00000000:9:993348:997882:-1 gene:ONIVA09G00830 transcript:ONIVA09G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPDDSSSSSPSSKTNPSEKGEEITVVEEPILFDNNQEEDNSSNPLNLLADTSELFRNMDDDESPLWLNVLLRTIFWRKCDVHDQLENAHRAEESIFCINCLKTICPHCTHDEPSHQLLKVRRYIFRSVVRVKDMQNFGIDMSYIQTFKCNGHKVVHLRPIKRSEHHRPKAGTPHCTSCHCWLHNAPSLTCSLSCKKKAGISSDDFSGPEASTRVSRSRNHASNVNQKHPTNTKLRKKPRKQANPERAPFF >ONIVA09G00820.1 pep chromosome:AWHD00000000:9:987314:987871:-1 gene:ONIVA09G00820 transcript:ONIVA09G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRRKMESEEARKVCFSKRRADLFKMASELSVHFNADVAAVVFSPAGNRAYSIGDPSVMDRFLSSLPAPAPPAETEPEPEVDWSVMEELSRQCGQLQAMVDAHKARLEKAEEKLRESGAAAWMMDLEAEVGRMAPEDVLALVTKLAVLRDGVAERAHEMLREALLAVAAPTPTTTTTPPPAGF >ONIVA09G00810.1 pep chromosome:AWHD00000000:9:967064:972178:1 gene:ONIVA09G00810 transcript:ONIVA09G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAGPHRLHPPPPPVARRLPSCAAACRLGSAAPREKTESKTREKTESKTREMIYGLPPPRHQEQQGTSIHHVDGWIFRPLPPSPKSSSPMTATSPCHLPSARLHRRPRNLHGLHIDLDQDPLPGALCRHHRLPSAERPLDRKMKSNQVGPGLLISPNRWTIKACPPPSLSLSLLPPQQCSRQYHERRAMPFLSPLAGDDADDYYYAYDSGFRRGSGGGKIAKKEKDKDKDKGFLSFLPCFLPCCNRSFPILDLRILDSAPGSVEPTVHRRLLSSDSSDSDNIATANITADLARLRARYSRLATGPPVRPRDVPCLLARTDDPPLAVAALSWLGGDLRPSCILLTLLPALFPTLPAHARHALSAAARRLHAREAALDGEVAEYQSTYAMKLACEKTKDGVAETAGEEMCKMARAARRADKLRWRAVEAAVKEVLTPAQAKEFLKAVENVAARAARHGARWHARTGAVSVPVEVFDRMRANARAATDDAW >ONIVA09G00810.2 pep chromosome:AWHD00000000:9:967064:972178:1 gene:ONIVA09G00810 transcript:ONIVA09G00810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAGPHRLHPPPPPVARRLPSCAAACRLGSAAPREKTESKTREKTESKTREMIYGLPPPRHQEQQGTSIHHVDGWIFRPLPPSPKSSSPMTATSPCHLPSARLHRRPRNLHGLHIDLDQDPLPGALCRHHRLPSAERPLDRKMKSNQVGPGLLISPNRWTIKACPPPSLSLSLLPPQQCSRQYHERRAMPFLSPLAGDDADDYYYAYDSGFRRGSGGGKIAKKEKDKDKDKGFLSFLPCFLPCSPGSVEPTVHRRLLSSDSSDSDNIATANITADLARLRARYSRLATGPPVRPRDVPCLLARTDDPPLAVAALSWLGGDLRPSCILLTLLPALFPTLPAHARHALSAAARRLHAREAALDGEVAEYQSTYAMKLACEKTKDGVAETAGEEMCKMARAARRADKLRWRAVEAAVKEVLTPAQAKEFLKAVENVAARAARHGARWHARTGAVSVPVEVFDRMRANARAATDDAW >ONIVA09G00810.3 pep chromosome:AWHD00000000:9:967064:972178:1 gene:ONIVA09G00810 transcript:ONIVA09G00810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAGPHRLHPPPPPVARRLPSCAAACRLGSAAPREKTESKTREKTESKTREMIYGLPPPRHQEQQGTSIHHVDGWIFRPLPPSPKSSSPMTATSPCHLPSARLHRRPRNLHGLHIDLDQDPLPGALCRHHRLPSAERPLDRRQYHERRAMPFLSPLAGDDADDYYYAYDSGFRRGSGGGKIAKKEKDKDKDKGFLSFLPCFLPCCNRSFPILDLRILDSAPGSVEPTVHRRLLSSDSSDSDNIATANITADLARLRARYSRLATGPPVRPRDVPCLLARTDDPPLAVAALSWLGGDLRPSCILLTLLPALFPTLPAHARHALSAAARRLHAREAALDGEVAEYQSTYAMKLACEKTKDGVAETAGEEMCKMARAARRADKLRWRAVEAAVKEVLTPAQAKEFLKAVENVAARAARHGARWHARTGAVSVPVEVFDRMRANARAATDDAW >ONIVA09G00800.1 pep chromosome:AWHD00000000:9:953923:960074:-1 gene:ONIVA09G00800 transcript:ONIVA09G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67130) TAIR;Acc:AT5G67130] MAGLPFLLALHIALLLLLPCSCQVGDSCSSARDCGAGLYCGNCAATGKTRPSCIRDLAIQPTSIVKGLPFNRYSWLVTHNSFSIVGEPSHTGVERVTFYNQEDTVTNQLRNGVRGLMLDMYDFNDDIWLCHSLQGQCYNFTAFQPAIDTLKEVEAFLSENPTEIITIFIEDYVHSTMGLSKLFTAADLTKYWYPISEMPTNGKDWPSVTDMVAKNHRLLVFTSDSSKEASEGIAYQWSYLLENESGDPGITGSCPNRKESQPLNSRSASLFMQNYFPTIPVENEACKENSVGLPQMVQTCYTAAGNRIPNFIAVNYYMRSDGGGVFDVQDRINGVTLCGCNTIAACQAGAPAGACKDTGAPNRTSSSVNGNVYSGTIEFKSPASAASISNANIPSKFVGLLLLGLVLIIKPF >ONIVA09G00790.1 pep chromosome:AWHD00000000:9:948559:951654:-1 gene:ONIVA09G00790 transcript:ONIVA09G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLLRSADAAVAVRSTTTAPPPRPRLHRPSTLPLPSSCACGPLRAYAAPAAPAPAAHNNGVYTVGDFMTKRPNLHVVTPATSVDEALETLVQHKISGFPVVDDTGKLVGVVSDYDLLALDSISGSGLTGTNTSMFPEVDSTWKTFREIQRLLSKTNGKVIADVMTYSPLAVRESTNLDAATRLLLETKYRRLPVVDSTGKLVGMITRGTVVRAALKIKKTAEETA >ONIVA09G00780.1 pep chromosome:AWHD00000000:9:946575:947794:-1 gene:ONIVA09G00780 transcript:ONIVA09G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKRGFMNLLNQGSPSQQSSQNSPPTQFPSTFSQSQFPQSPHFTQASPPNFQTFNPFGPPANYHLYGSSPPNFQGFQQQASWLQSAPISFQEAVLKSAEATTKSAEAKKEQTRMEKYQTYLKLLDKDTANFSDAKLKRHEAVLEKLATELAEE >ONIVA09G00770.1 pep chromosome:AWHD00000000:9:931364:935988:-1 gene:ONIVA09G00770 transcript:ONIVA09G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IG86] MAAAAAQAQAQQAASSASEGGSPASSAAAAAAAAASFPATSLYVGDLDVSVQDAQLFDVFAQVGGVVSVRVCRDVNTRRSLGYAYVNYSSPADAARALEMLNFTPINGKPIRIMYSNRDPSLRKSGTANIFIKNLDKSIDNKALYDTFCVFGNILSCKVATDASGESKGYGFVQYERDEAAQAAIDKLNGMLMNDKKVYVGPFIRKQERDNSPGQVKFNNVYVKNLSENTTEDDLKEIFGKFGTITSAVVMREGDGRSKCFGFVNFESPDDAAQAVQELNGKKFDDKEWYVGRAQKKSEREMELKEKFEKNLQEAADKYQNTNLYLKNLDDSVDDDKLRELFAEYGTITSCKVMRDSNGVSRGSGFVAFKSAEDASRALAEMNSKMVGSKPLYVALAQRKEDRKARLQAQFSQLRPVPLAPSVGPRMPMFPPGVPGVGQQLFYGQPPPAFINTQPGFGFQQPLMPGMRPGAGPMPNFIMPMVQQGQQPQRPAGRRAGAGGMQQPMPMGQQQMMARGGRGYRYPTGRGMPDPAMHGVGGGVMPSPYEMGGMPMRDAAASQPVPIGALATALANAAPDQQRMMLGENLYPLVDQLEHEQAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRTAQQIQTNATPEQQLASLSLNDGVVSS >ONIVA09G00760.1 pep chromosome:AWHD00000000:9:918266:929556:-1 gene:ONIVA09G00760 transcript:ONIVA09G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPGRGQRRIDAAIDHLSEYGFPRPIIRQTINELLADTLYGRNGWVFLEEGSYRIVVDRLLEKQANQQEQQEEDAKHEGGTTAMEPLPENGMQTSQAEVPAAASEPAKVVAAVADEMPDSTTSVPLPITAARHTASTRRPCYGWLIESESEDDELDNGVSAVEQSNPPSATNHKMSNGWSYVEQARPSVAMRHEMEPDTHHRGMLSKRRRPSGWDVRPSY >ONIVA09G00750.1 pep chromosome:AWHD00000000:9:903172:903447:-1 gene:ONIVA09G00750 transcript:ONIVA09G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPIKNSMPIVEMHEVPAEAEPTVEEMQEALFQKQKEEQLQLQLFQQEEELEDQQPQVVRSLSFNLMHSFLDDHPILMLHLTINWLLGV >ONIVA09G00740.1 pep chromosome:AWHD00000000:9:888025:892105:-1 gene:ONIVA09G00740 transcript:ONIVA09G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDGNGGGLGSFVWSYHGGDEGIMKKIAPKRKEKALVHYEIIYDRKNGVRQADPKVRHGAAFDSRGMSSGGGGSLSVTLFGLSSFGVWRGEEETFRFRRRLPPLFAMPRQRPKKGERRIDAAIDHFTPMGYATADVRAVVKKLLQVYGGNDGWPFLEEDSYRVVQEALFEKQEQEDHQQQPHPHPQQLEEAPLEDKSMSIIEVHNVMPAETEQQVEDADPMLVDLPAVEATLPLPEAKVTYGTRRPCYGWIEEYESESDNEEQPARLICKRKRPSRWDVKPINW >ONIVA09G00730.1 pep chromosome:AWHD00000000:9:874653:884659:1 gene:ONIVA09G00730 transcript:ONIVA09G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVSVVSGKPQVQIGSHSFTFDHVYGSSGTPSAAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMATLFDKIDKLKNQVEFQLRVSFIEILKEEVRDLLDPATAAVGKLENGNGHATKLSVPGKPPVQIREASNGVITLAGSTEVHVTTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKADPIMTLDGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPVADEMKRMRQQIEYLQAELVSARGGVVLDDVQGLRERISMLEQKNEDLCRELYDLRNHGYTDPCEPELQKIGTGYTKGEGLKRSLQSTEPFDVPMTDSVRAGSPKDIDDEVAKEWEHTMLQDSMGKELNELNRQLEQKESEMKMYGSDTVALKQHFGKKLLELEEEKRAVQQERDRLLAEVESLNADGQTHKLRDAQLQKLKTLEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHSIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSGRDNSGMNGTSPGSHMTEKSLQKWLEQDLEVMVHVHEVRNEYEKQSQLRAALGEELAILKQEDVMSGAASPPRGKNGNSRANTLSPNARQARIASLENMVTISSNTLVAMASQLSEAEERERAFSGRGRWNQLRSMAEAKSLLQYIFNVAADARCQVREKEMEIKEMKEQMTELVTILRHSESRRRETEKQLKQREQAAVTATTSPGNGNGSVKHSADDSNTPLSPVAVPAQKQLKYSAGIVNSPSKGVPAFNKQHLKMVPMAQLPVGKKVSIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETMTRTRPRPQLLPHRPQRVM >ONIVA09G00720.1 pep chromosome:AWHD00000000:9:835707:837048:1 gene:ONIVA09G00720 transcript:ONIVA09G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLLCAPGINPIDLTASGAPLQPSDRQREEPAAVAGATTGAAPLSQAEANKKSKQRIPAPIGEIQNVSLSEQNNTYNMHILWCFSASAIFANEIIDSSPSRWLWDSL >ONIVA09G00710.1 pep chromosome:AWHD00000000:9:805103:812884:1 gene:ONIVA09G00710 transcript:ONIVA09G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADVVRQTRELLIFLDLHSGSRGGDAKREEKMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLEANKDLLDTLISGYVLESDHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLSKNYDWFFSEFNTRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPTEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQVIKEISAL >ONIVA09G00700.1 pep chromosome:AWHD00000000:9:798773:800110:1 gene:ONIVA09G00700 transcript:ONIVA09G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQEKAVSAPAANGSGGAGAGELIGYVDVHVQSARDIQNICIYHKQDVYARLSLPGEGAPAASTQVINGGGRNPVFDQSLRLGVRAGDVDGALRCEVWMLSRVKNYLQDQLLGFALVPLPDVVAAEGGTLAREFPLSTNDIFHSHAGFLQLELSYIGVVPEVVPISPTPKPALADAEEHGAGGAANGKEYEKIEFPDLNLVEENQIMLSEYIGLPCSAVEPQSSESLLTSEEDGDGATAETHVAGVRVVQSFSTDNSTADSAGTFRSDTPVSSVSTTESPAVAAVPATPQSNSSGNAVSSAEQKEKAASDAADAEVESSRTVQSSASPAANSPGAMSESAVDKPPVIRVNLEQEVKVDQKEIMDMYMKSMQQFTESLAKMKLPLDLDNGSDKSAAVSGAGAAASDADSSGADSGAAAAAAAKKPMAGGPEKSPKVFYGSRAFF >ONIVA09G00690.1 pep chromosome:AWHD00000000:9:764840:765259:-1 gene:ONIVA09G00690 transcript:ONIVA09G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKMKAMVKRKMKAKGKNKPKVPTKKKTKAQMKKQPKASTKKLETPAPTVAGAFMARELFVAKRLVLLSGSNKSSSGDSRSAVFASSSSSVNAPPVTARVMPHPAEDYLSDEELEDDSQEVPGIQRRTWLYRYIFEI >ONIVA09G00680.1 pep chromosome:AWHD00000000:9:760866:761261:-1 gene:ONIVA09G00680 transcript:ONIVA09G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKMKAMGKKKPKVPMKKKTKAQMKKQPKASTKKLETPASAVVGAFTARELCAAKQLALLSGSNKSSSGGSRSAVFASSGSFVNTPPVTARVLPRPAEDYLSDEELEDDSKEVPGIQRRTRLYHYIFEI >ONIVA09G00660.1 pep chromosome:AWHD00000000:9:751430:751711:-1 gene:ONIVA09G00660 transcript:ONIVA09G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQTTMTMAAAAVAAGMAGQTDFSEDNSCNSGCVDGGLNDNRSGRDDHERQHKRRLRGWRARGGGSYRAAMNASYEQRGEGKEEGVKVGSTR >ONIVA09G00650.1 pep chromosome:AWHD00000000:9:749739:750342:1 gene:ONIVA09G00650 transcript:ONIVA09G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGRPEWRWAAAAVLREGNFLPCPRANANEPGRVARDKAEGADGDGAPEEDRVDVGRRDASAVGQPASKPSQLANTNAQGVARYTIICQENGLVPIVEPEILVDGEHGIEVCTEVTERVLAACYKVLSDHVLLEGTTLSISDTTKIATVFVCDLC >ONIVA09G00640.1 pep chromosome:AWHD00000000:9:744083:746902:1 gene:ONIVA09G00640 transcript:ONIVA09G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCPSAPRYHHHRHPPRNPPPPSPPPADHAPPQPLPTPDDDAPAAEDHTADLPDDLLAVVFGLLGSADRKRCSLVCRRWLSVDAASRLRLALDARAPLHAALPGILARFPAVSKLALKCDRRAESVADPTLALLADRLGPALRRLKLRSIRLVTDDGVAALAAAATNLRKLSVGSCTFGAKGIEAVLRSCLHLEELSIKRLRGLAQSEPVAVSSLCLHSLCLKELYNGQCFSSLITNSPNLKTLKIIRCSGDWDPVLQDLPQDAMLAELHLEKLQVSDRGVSALSGLEVLYLAKAPEVTDVGLGKLATRSPRLRKLHVDGWKANRIGDRGLAAVAQKCAALQELVLIGVNLTSASLELIAANCPALERLALCGSDTFGDAEISCVATKCAALRKLCIKACPVSDAGMDKLAQGCPRLVKVKVKKCQGVTPECAERLRASRNGALAVNVDTPGGAGELQDARSVDESGVLENAGSDTLPDDLDDRIGGPDLSCGSSGRPSGWKARMGAFMSRSLSVSMFRRRPRGIFLLHSFKMSPYWDGN >ONIVA09G00630.1 pep chromosome:AWHD00000000:9:727740:727982:-1 gene:ONIVA09G00630 transcript:ONIVA09G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSSSVLAIPLLLCLLAATTARFAPADNHLLTFGTTAPVVLSDSQRFVPDSGCTSTHLRSRQPSHLWRRSQPWSCG >ONIVA09G00620.1 pep chromosome:AWHD00000000:9:724973:726319:1 gene:ONIVA09G00620 transcript:ONIVA09G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERMERSAVSGEGGRRHKKLETKRPNHKSDQGKSKTFKAKPPRRGTTTEAVVDLQGGEQRQKPLSMPAHKLDWVFTQRGRKPTEQHLQGGYGTHRVAGIDVTDPGRPDRAFTRDSLQRWIYKEREQNSSDSAKHKNSVTEDKYTTPNSALRQGTRSTCEAREPSPDYRQTKPPSRTNTSPQPPKDSMAESGSRQPKEGRSIKEGRRPGHPEEELKAEDRGIEDLGVIHVSSKDHRPSQDNPYSSDKPPPTSPPAQREERWGEKQSN >ONIVA09G00600.1 pep chromosome:AWHD00000000:9:712463:716573:-1 gene:ONIVA09G00600 transcript:ONIVA09G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFPNYPNLESFWLLLPKMLDGQLEKNKLKNTSLEYEFSLFRATLSPSVGRAVVLHPPGAAVIRPPLLAPATSSPVRRCHRCTRWRAGGEGSSGGGQRVSSSGSGGRQRASSSVVWVADQSAPIADHPSSPASMLAIASDGNLVLSDGATGHALWSTNVTAGVNSSASGGGGGAMAVLANSSNLVLRLPDGTALWETFEHPSNTFLPGMKIGVIYRTRGGVRLGTTDLSLGKFSFGGDPDQPLQVVIWKGSRVSWRTNPWEGYMVDSNYQKGGKSDELVGIGKDELAGGQTHGGGGARWCGEDELAGVGEDELASSLIERKRKEEFPKRNRSSKIQSCRRFGLSSPPPRCRPGSRSSGSSPTTLLRRSVRRRHRRSPPTSSLRRLAVRPFSTLPSTRHRSLSLPSSSPAFLAANTAPSSPLSFLLSTSAAGHDLSRVWGFN >ONIVA09G00600.2 pep chromosome:AWHD00000000:9:713140:716573:-1 gene:ONIVA09G00600 transcript:ONIVA09G00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFPNYPNLESFWLLLPKMLDGQLEKNKLKNTSLEYEFSLFRATLSPSVGRAVVLHPPGAAVIRPPLLAPATSSPVRRCHRCTRWRAGGEGSSGGGQRVSSSGSGGRQRASSSVVWVADQSAPIADHPSSPASMLAIASDGNLVLSDGATGHALWSTNVTAGVNSSASGGGGGAMAVLANSSNLVLRLPDGTALWETFEHPSNTFLPGMKIGVIYRTRGGVRLGTTDLSLGKFSFGGDPDQPLQVVIWKGSRVSWRTNPWEGYMVDSNYQKGGKSDELVGIGKDELAGGQTHGGGGARWCGEDELAGVGEDELASSLMCVGKQRERINW >ONIVA09G00600.3 pep chromosome:AWHD00000000:9:710239:712456:-1 gene:ONIVA09G00600 transcript:ONIVA09G00600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAPMVTSHEDAKKAYADFEKKVKRTIYIDHLSPQVTSSVLKAALAQCANVVDVEFIVNYTIPYDIPSAALVELDDEIQAKAALDLMNDFPFIIGGMPRPVRATCAKPDMFRERPPRPDIKKEFRWVKQEDGTEYEGMKKLRILAKRQEAENMALIKNQLEEEKELAKQQQELLDGNYKKYDMLENVVQNGNMKSLAQHYGRLVDVLISYFEEEKIKGRNPQRSTAMLNNPADQEHVWLVPSQPCLINSHT >ONIVA09G00600.4 pep chromosome:AWHD00000000:9:711298:712456:-1 gene:ONIVA09G00600 transcript:ONIVA09G00600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAPMVTSHEDAKKAYADFEKKVKRTIYIDHLSPQVTSSVLKAALAQCANVVDVEFIVNYTIPYDIPSAALVELDDEIQAKAALDLMNDFPFIIGGMPRPVRATCAKPDMFRERPPRPDIKKEFRWVKQEDGTEYEGMKKLRILAKRQEAENMALIKNQLEEEKELAKQQQELLDGNYKKYDMLENVVQNGNMKSLAQHYGVSLADEF >ONIVA09G00590.1 pep chromosome:AWHD00000000:9:706044:706601:1 gene:ONIVA09G00590 transcript:ONIVA09G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFGHDARYGGYRQSGDDGVVVHRPIVLIVVSQAYENRINHGRGFRASGHNLEANRPTMAAAHTDPRSTMVSSQ >ONIVA09G00580.1 pep chromosome:AWHD00000000:9:662337:670699:-1 gene:ONIVA09G00580 transcript:ONIVA09G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAVLSRAAARLLRPPLPLRTRHLCALPSSSSPAPSEAEILAEIDPIVDLVKDILHSARYGDGAFLSPDDQKAVVEKVLVHHPTSEDKIGCGVDAIMVGKHPDFRKSRCLFIVRTNGETEDFSYRKCIKEYIKQKYPSQADDFIQNHLTRQFTRRL >ONIVA09G00570.1 pep chromosome:AWHD00000000:9:654736:655552:-1 gene:ONIVA09G00570 transcript:ONIVA09G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLGNQGRPPLHGVFSSSHLSSMGPALNFLSEVLIPSLGTEEASEAMGPTNFFRHKIAFDLVS >ONIVA09G00560.1 pep chromosome:AWHD00000000:9:646488:647756:-1 gene:ONIVA09G00560 transcript:ONIVA09G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATLFDSLYCPEEHLDLFHDTAADDDLHLDLHLHQPPPPPPLLDDDLPALFHALRVKEDPLRPAADDDGYGGVSAREAAVGWALRAVARLGFSALTAALAVAYLDRCFLGGALRLGDRPWMARLAAVACVALAAKVEETRVPVLLDLQLCAAERADPNEAYVFEDKTVRRMELLVLSALGWRMHPVTPLSYLQPLLGTAHAARLHHCDTALLALMPDWRWPRHRPSAWAAAALLATAGWCGGGGGDDAELLALIDAPKDEMAECAKIISEEAAAAAAGGIVIGGENKRKRAAGLYSAPASPSGVIGASACFSCDSSSSSVDSLFAALEPPGRPIKRGAAAATTADPLPADEESRDAWPPYAA >ONIVA09G00550.1 pep chromosome:AWHD00000000:9:643630:644412:1 gene:ONIVA09G00550 transcript:ONIVA09G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRSPRGDDNAWIWPLWLDSGPPTLDLPGSDEEVTLADGFRQGPMAARLTRLAMARGRSARLAWPMTVGGRATLPAAVGGQRIASSDDGASAWQQWPSRVLWRGRREAVDGGSELARTVLRVAIGSRRRQSELTAIRASGLRRGYRRLATGPDPDACPLAAAPLSSQDGYVGGLVKGRGNPFFSLTLSLSLSFSSPTAWMVSSALVAKLLLDDRLATRHWRGGYTSKVCGWWAVVSGKRL >ONIVA09G00540.1 pep chromosome:AWHD00000000:9:634181:634966:1 gene:ONIVA09G00540 transcript:ONIVA09G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNVPALPPTAVVTGEITGVDIIGGRPESWLALAQSVAARGSWPKSASSDSQEVIEAGVEHPSKSSHRRRSSASVSSVKPKGGGFGSDDNGDKEVQGRRGGELDGGKKQGHGSGAARHSALVRLILSSVARCSGAKRDLTGDGRRGGARAQWGDAFGCAPAVVGKRDAELRRV >ONIVA09G00530.1 pep chromosome:AWHD00000000:9:588479:611800:1 gene:ONIVA09G00530 transcript:ONIVA09G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAGGVSYQRFPRVRIRELKDDYAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMAMRFSRDCDACDGDGSCEYCSVEFHLAARATDSDQTLEVTSNDLRSTDPKVCPVDQARAYQHALGGTEPFDTAAAADQRGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIRINEELMETLTLEEKRNLVESSPTKVFNIDPNTQQVVVEDAEAYTYDDEVIKKADAMGKPGLIEINAKEDSFIFTVETTGAITAYELIMNAITVLRQKLDAVRLQDDDADLGELGAHLVGG >ONIVA09G00530.2 pep chromosome:AWHD00000000:9:588479:596823:1 gene:ONIVA09G00530 transcript:ONIVA09G00530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAGGVSYQRFPRVRIRELKDDYAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMAMRFSRDCDACDGDGSCEYCSVEFHLAARATDSDQTLEVTSNDLRSTDPKVCPVDQARAYQHALGGTEPFDTAAAADQRGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIRINEELMETLTLEEKRNLVESSPTKVFNIDPNTQQVVVEDAEAYTYDDEVIKKADAMGKPGLIEINAKEDSFIFTVETTGAITAYELIMNAITVLRQKLDAVRLQDDDADLGELGAHLVGG >ONIVA09G00520.1 pep chromosome:AWHD00000000:9:582554:587608:-1 gene:ONIVA09G00520 transcript:ONIVA09G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPLFLLLLLTGVTAEPAHPGYAEGDGSSCDVAAVAVAERREEFDGGRIVDISHYYREEMPEWESADGTGGGFLRLVRSMRNGSDIANFSELRLTAHSGTHVDAPGHVFDHYYHAGFDVDTLDLAILNANVMESLHIPKGVRRVLFRTLNTDRKLMWKKEFDTSYVGFMKDGAQWLIDNTDIRLEVILVEALNLEHVTPGIYTLHCLPLRLRGSEGSPARCILIK >ONIVA09G00510.1 pep chromosome:AWHD00000000:9:577240:579624:-1 gene:ONIVA09G00510 transcript:ONIVA09G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79540) TAIR;Acc:AT1G79540] MRCAAAARSFLPLPSTTRIHTTATASSPSLAAELDAADALHALLSTLPPSLPALLPCLSLLSPTLTPHAVSDALLCAAIPAASRLRLFLFSALSPRLRSRPLHAHAVSLLLRLSSHADEAMFDALADARAAGLPASSSAFAALVAAHSSAGRHADAVQAFSRMDEFQSRPTAFVYNTILKALVDSGVILLALALYNRMVAAGCAPNRATYNVLMDGLCKQGMAGDALKMFDEMLDRGIMPNVKIYTVLLSSLCNAGKIDEAVQLLGSMKDKGCLPDEVTYNAFLSGLCKVGRVNEAFQRLVMLQDGGFALGLKGYSCLIDGLFQARRFDEGFGYYKTMLERNISPDVVLYTIMIRGCAEAGRIEDALSFLDVMKKKGFVPDTFCYNTVLKVLCDHGDLERAHTLRSEMLQNNLVLDSTTQTIMICGLCKRGLVDEAMQIFDEMGEHGCDPTVMTYNALIDGFYREGRLEEARMLFHKMEMGNNPSLFLRLTLGANQVRDSESLRKLVHDMCQSGQVLKAYKLLRSIIDSGVVPDVVTYNTLINGLCKARNLDGAVRLFKELQLKGISPDEITYGTLIDGLLRAHRENDAMMLFQNILQSGSSPSLSIYNSMMRSLCRMKKLSQAINLWLDYLPKKYNFPVESEVLANAHKEIEDGSLDDGVRELIKIDQEYGSISSNPYTIWLIGLCQVRRTDDALRIFHTLQEFGIDITPACCALLINYLCWDRNLNAAVDIMLYALSKSIILSQPVGNRLLRWLCICYRRQDAQALAWRMHLVGYDMDVYLREPTKSLLYSQ >ONIVA09G00500.1 pep chromosome:AWHD00000000:9:568658:571074:1 gene:ONIVA09G00500 transcript:ONIVA09G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMAISLLLLLLLLGAGHMTQPTAAALNQDGVLLLSFKFSLLADPLASLAGWGYSDDTPCAWNGVVCMAFPSSSASEAARVVSVVLPNAQLVGPIARELGLIEHLRHLDLSGNALNGTVPVELLRAPELRVLSLAGNGINGALPDQVGQLRSLRALNLAGNALSGPIPANLTLLPNLTAVSLANNFFSGALPVGGFPALQVLDVSSNLLNGTLPPDFGGAALRYVNLSSNRLAGAIPPEMASRLPPNVTIDLSYNNLTGAIPTLAPFTVQRPTAFAGNAELCGRPLDSLCASAADPPINGTARSPPAIAAIPKNPTEALPGDDTGAPASGSGQQGRMRMATIIAIAAGDVAGIAVLVVVFMYVYQVRKKRQREEAAKQRMGLVAGRALTSLELCQWSSAEESGQQVFRLADAALRGEMAGREEALASCLRLGFACCAMAPHKRPSMKEVVAAMDRIPSPSSSAQ >ONIVA09G00490.1 pep chromosome:AWHD00000000:9:562856:563551:1 gene:ONIVA09G00490 transcript:ONIVA09G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHTTPHRQAGLHEASRRPPAAERVALASMLPAVPPHAASTYAASDSASSAPTDACMAVFPPPAPTIRALPLHPRGLPPPAPRLPLDPAAADADPGMPRVADSTTATFAPAAHTRRRRHPCAFSHCRPAQLAPAFAVAIAVPTATHHPARSSQSGVDLAVAATAAAVGGSPGRLRARSARGPSVAVLAAAWFCRRPLGQRRGRGGRKGRGGGRVIASRAAPGEGDARVFE >ONIVA09G00480.1 pep chromosome:AWHD00000000:9:540066:552100:1 gene:ONIVA09G00480 transcript:ONIVA09G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTDVAVQELSHTTAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNPRTLLWVIGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVISANAGGAWTPIGDVTTTMLWIHGQITTLNTMQGLFLPSVVSLAVPLALMSLTSEANGSSQKSSSLLSSEQMAPRGQLVFAVGLGALVFVPVFKALTGLPPFMGMMLGLAILWILTDAIHYGDSGRQRLKVPQALSRIDTQGVLFFLGILMSVGSLESAGILRQLANYLDANIPNADLIASAIGVASAIIDNVPLVAATMGMYDLTSFPQDADFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGFALAGYAAGIITYLAAQNLPLSLPTSLAEIPFISGS >ONIVA09G00480.2 pep chromosome:AWHD00000000:9:540066:552100:1 gene:ONIVA09G00480 transcript:ONIVA09G00480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSCLLAAVRPHPPPPPRPLSPSFIPSALRHRHRLSQAPPLATSLPRPRPPWCRFSASSPPPPPDDPDDYELLDTTGNCDPLCSVDEVSSQYFEANYKPKNDLLKALTIIATALAGAAAINHSWVAEHQDIAMVLVFALGYAGIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSHTTAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNPRTLLWVIGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVISANAGGAWTPIGDVTTTMLWIHGQITTLNTMQGLFLPSVVSLAVPLALMSLTSEANGSSQKSSSLLSSEQMAPRGQLVFAVGLGALVFVPVFKALTGLPPFMGMMLGLAILWILTDAIHYGDSGRQRLKVPQALSRIDTQGVLFFLGILMSVGSLESAGILRQLANYLDANIPNADLIASAIGVASAIIDNVPLVAATMGMYDLTSFPQDADFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGFALAGYAAGIITYLAAQNLPLSLPTSLAEIPFISGS >ONIVA09G00480.3 pep chromosome:AWHD00000000:9:544179:552100:1 gene:ONIVA09G00480 transcript:ONIVA09G00480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEIVDAHQGFKLVTDNISTRNPRTLLWVIGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVISANAGGAWTPIGDVTTTMLWIHGQITTLNTMQGLFLPSVVSLAVPLALMSLTSEANGSSQKSSSLLSSEQMAPRGQLVFAVGLGALVFVPVFKALTGLPPFMGMMLGLAILWILTDAIHYGDSGRQRLKVPQALSRIDTQGVLFFLGILMSVGSLESAGILRQLANYLDANIPNADLIASAIGVASAIIDNVPLVAATMGMYDLTSFPQDADFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGFALAGYAAGIITYLAAQNLPLSLPTSLAEIPFISGS >ONIVA09G00480.4 pep chromosome:AWHD00000000:9:541759:545065:1 gene:ONIVA09G00480 transcript:ONIVA09G00480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTESWRQINQKLRVATLSCFHLSYEVTGGFTFCLLDTTGNCDPLCSVDEVSSQYFEANYKPKNDLLKALTIIATALAGAAAINHSWVAEHQDIAMVLVFALGYAGIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSHTTAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNPRTLLWVIVYAIILNDIISMDGFLLLMPCYQNGLEPHSF >ONIVA09G00470.1 pep chromosome:AWHD00000000:9:524289:525305:1 gene:ONIVA09G00470 transcript:ONIVA09G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNTTSNDPKTTKDELAPPAPTAAERGGGKDAVTKTVQTVEVKESVGQEPVLKPTKVVHQIPADQAKDAPKQD >ONIVA09G00460.1 pep chromosome:AWHD00000000:9:516939:522155:-1 gene:ONIVA09G00460 transcript:ONIVA09G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTASKGRGAARSAPPLFGPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYGESPSHAALTITSVVLVHFLFAGIVLATLCWFLTNSYLREEPNSHVVEQLLQYFLSPLLVAHGFFPALLSNLLFVVAISYYHYLNFLGYDVILIGFNPTRYFLSLYFR >ONIVA09G00460.2 pep chromosome:AWHD00000000:9:516939:522155:-1 gene:ONIVA09G00460 transcript:ONIVA09G00460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTASKGRGAARSAPPLFGPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYGESPSHAALTITSVVLVHFLFAGIVLATLCWFLTNSYLREEPNSHVVEQLILIGFNPTRYFLSLYFR >ONIVA09G00450.1 pep chromosome:AWHD00000000:9:509771:510569:1 gene:ONIVA09G00450 transcript:ONIVA09G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIFKKNTTALFLAALVILASLLSSCDADQDCVVRTLLNCIFSRCSQVCGYKPGAHCTDISKCCCPVGSPSK >ONIVA09G00440.1 pep chromosome:AWHD00000000:9:505020:506608:1 gene:ONIVA09G00440 transcript:ONIVA09G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAICLPRTQVICHCPVGRSLSFPRPMPIWDIVPIICSMLYMTLNNNEKLYKIISLAQFIHAIAATEKGQKATDFFSDSLFTSAGRSGSGKAGCVGSRADQDGRLDGREVRDGGISSGGPRGNTGPSITVDTEMEQPRQLKGRPPQLGRMREVSSAAGGNDFWRFRGWRECEKCRPSARGIDFR >ONIVA09G00430.1 pep chromosome:AWHD00000000:9:490722:491633:-1 gene:ONIVA09G00430 transcript:ONIVA09G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSRRHQPTSPSARRSRTLRICSLRGPAAPWSRHQCQRPPVADFLAVFKLVAAGRCLRPSLPLAVFANLLPRRAQRPLLLCRPELRALAACCWLHRWLTRSHGAGWERGRRPRRRRACVRAAPEVASGEWEARAQAASRAASCECARPFCAAFILPQLPPSSSPSHRPSPPIAAAVLPPINAAVFLPACLPPSRARLQHGCSAKPRQWRRLWRAVARLRRRKKKEKGEKKGKREKELHVIVRVTCYGFIPG >ONIVA09G00420.1 pep chromosome:AWHD00000000:9:480980:487254:1 gene:ONIVA09G00420 transcript:ONIVA09G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17940) TAIR;Acc:AT3G17940] MEQLVGVHHHHSSSSSLSPRTPSPTHPLPHLLRLPSSSNRLRPPDHPHSSHPVSKLLRVTPPFFLVLLAAVYLLASFTIFSSPAASLRPTKNRPKLLLPMPAPSPPPPDLFELHGGRIRAWITNVGATVTSLLVPDNNGVLGDVVLGFDSLDPYQEKCSTFNDACLNNLKLVTSTSNYGWRIKDGKFTLNDKQYSLAINNPPNTLHGGFKGFDKIIWEVAEYVKGENPSITFKYYSKDGEEGFPGDVSVTARYSILASTTLKLEMEAIPLNKATPISLAQHTYWNLAGHNSGDVLAHTVQILGSQITPVDETSIPTGEMMPVSGSPFNFLTETTIGSRIDQVPGGYDHNFVIDCGEVKSGLCHVAKVTDPSSSRVLDIWADAPGVQFYTGNFLNGIVGKGGAVYGKHAGLCLETQGFPNAVNQPNFPSVVVQPGEKYSHTMLFEFSTK >ONIVA09G00420.2 pep chromosome:AWHD00000000:9:480980:487254:1 gene:ONIVA09G00420 transcript:ONIVA09G00420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17940) TAIR;Acc:AT3G17940] MEQLVGVHHHHSSSSSLSPRTPSPTHPLPHLLRLPSSSNRLRPPDHPHSSHPVSKLLRVTPPFFLVLLAAVYLLASFTIFSSPAASLRPTKNRPKLLLPMPAPSPPPPDLFELHGGRIRAWITNVGATVTSLLVPDNNGVLGDVVLGFDSLDPYQYSLAINNPPNTLHGGFKGFDKIIWEVAEYVKGENPSITFKYYSKDGEEGFPGDVSVTARYSILASTTLKLEMEAIPLNKATPISLAQHTYWNLAGHNSGDVLAHTVQILGSQITPVDETSIPTGEMMPVSGSPFNFLTETTIGSRIDQVPGGYDHNFVIDCGEVKSGLCHVAKVTDPSSSRVLDIWADAPGVQFYTGNFLNGIVGKGGAVYGKHAGLCLETQGFPNAVNQPNFPSVVVQPGEKYSHTMLFEFSTK >ONIVA09G00410.1 pep chromosome:AWHD00000000:9:463162:470358:1 gene:ONIVA09G00410 transcript:ONIVA09G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEQRLRAADLDAKAASLRRSIHDLRLRVPPPHVAQRLPHLHAHSLASSAALALQLNAHSSTKEQALQREITLQEENAAYEKAISNCRQKIQEKQMEVTLLRSNLKEMEISEQDLKAQLDNAQNEQYASQNKASAAASDNTGNALMEAESLINLKSNDLKEKNEELKLLESSVRVLEMEWSVVEGESLKNPTPAQREKVLEKQLHSLIEQLTAKQAQAEGLIVDVHAKEKELERLNNLHKNLQSRNNDGSVARNPFRAVHEDSDAKAVRRPYQFGLRTEGLKRLMILRSAFVLYILAPAILEIKHNNRVS >ONIVA09G00410.2 pep chromosome:AWHD00000000:9:463162:470408:1 gene:ONIVA09G00410 transcript:ONIVA09G00410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEQRLRAADLDAKAASLRRSIHDLRLRVPPPHVAQRLPHLHAHSLASSAALALQLNAHSSTKEQALQREITLQEENAAYEKAISNCRQKIQEKQMEVTLLRSNLKEMEISEQDLKAQLDNAQNEQYASQNKASAAASDNTGNALMEAESLINLKSNDLKEKNEELKLLESSVRVLEMEWSVVEGESLKNPTPAQREKVLEKQLHSLIEQLTAKQAQAEGLIVDVHAKEKELERLNNLHKNLQSRNNDGSVARNPFRAVHEDSDAKAVRRPYQFGLRTEGLKRLMILRSAFVLYILVLHIVVFIKLSVSKQ >ONIVA09G00400.1 pep chromosome:AWHD00000000:9:462241:462432:1 gene:ONIVA09G00400 transcript:ONIVA09G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVDESVFVHAFGKVAGVDVVRPPKELEPDKEEIKKSVLSLANIQLLRVSSQKLLCVVSYS >ONIVA09G00390.1 pep chromosome:AWHD00000000:9:433266:441597:1 gene:ONIVA09G00390 transcript:ONIVA09G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPARDSPHSSLRAHSLSSSLRPNPGCRRSPQLDQRRRCRVFTAAGPAHSLSCLLATPRHAPPHRAPAAAVVVPHSSGAPSRTASAGTVVVPLFVRAPPGTAPDIAGCSPFCWRCCARHAGMQLSSPKVPNLSNVSFDLRRVRSSSFLAPSSLLCQHT >ONIVA09G00380.1 pep chromosome:AWHD00000000:9:408229:415030:-1 gene:ONIVA09G00380 transcript:ONIVA09G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IG39] MALANLAGKQSLRVVVIGDPGTGKSSLVVSAATERFPGDVPRVLPPTRLPVDYFADRIPITIVDTSSSPEQRSKLIAECQSADVVVLTYACDQPATLERLSSFWFPELRRLQLEAPVIVVGCKLDLRGEEQGTLDAVMAPIMVAFREIETCIECSALRQILVYEVFYYAQKAVLYPTAPLFDQEAQMLKLRCMRALKRIFILCDHDRDGAFSDVELNDFQVICFNAPLQPNEIIGVKRTIQEKLTEGVNENGLTLTGFLFLHTLIIGNGKLETTWTVLRKFGYDNELKLRDDLIPAIKRAPDQTLELTSQVIDFLRGIFNMFDTDNDGALQPAEINDLFSTAPENPWSSHLYENCAENNVLGGLSFEGFISKWTLMTLIHPSNSFANLIYVGYPGDFDSAFTTTRKRPVDRKKKQTQRNVFQCYVFGPRHAGKTALLQSFLKRYHSIVFYIMNFVCHGNLPMLLLSMVNNLQQILLNCLIFILNSATFTHVFGTRKTLVMREISEGDVGPLLSDKESLAPCDVAVIVYDSGDEVSWQRARELLVQVATRGKNTGYEVPCLIVAAKDDLDQSPLALQDSTRVSHDMGIETPIPISVRLRDLNNIFCRIVHAAQQPHLSIPETEAGKTHRQYRQLLNRSLTVVSVGAAVAVVGVAAYRVYAARKNASS >ONIVA09G00370.1 pep chromosome:AWHD00000000:9:405788:406297:-1 gene:ONIVA09G00370 transcript:ONIVA09G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSGAASLGRRFSYCLVPHSVNTSSALNFGALANVTEPGAASTPLVAGDVDTYYTVVLDSVKVGNKTVASAASSRIIVDSGTTLTFLDTALMGPLVDELSRRCSRRMGCCICATRWPGGRWKPERESQITSINISRSPSCSDNHSTYVERIVRYRNAKISCVTPANCK >ONIVA09G00360.1 pep chromosome:AWHD00000000:9:403548:403820:-1 gene:ONIVA09G00360 transcript:ONIVA09G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAEAAAAAAAAAASAASTGGGHACGGWETPKREECRIPATLRCPAAPRKAVPDFGKRRGPPKNGYFQPPDLEALFALAPRRQASSCA >ONIVA09G00350.1 pep chromosome:AWHD00000000:9:397768:399993:-1 gene:ONIVA09G00350 transcript:ONIVA09G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IG36] MGTPRGLRNAGSSSSACRFLAAFAVLLTLPTLTAGLTRHYTFNVQMTNVTRLCVTKSIPTVNGQFPGPKLVVREGDRLVVKVHNHMNYNVSFHWHGILQLRNGWADGPSYITQCPIQGGGSYVYDFTVTGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGFPFPRPYKELPPIMFGEWFNADTEAVINQALQTGAGPNISDAYTFNGLPGPTYNCSSKDTYKVKVQPGRTYLLRLINSALNDELFFGIANHTLTVVEADANYVKPFTAKTLVISPGQTMNLLLTTAPNPGSPAYAMAIAPYTNTQGTFDNTTAVAVLEYAPTRASATGNNNLPLPPLPRYNDTNAVANFSSKFRSLATARYPARVPRAVDRHVLFTVGLGTDPCPSNQTCQGPNGTKFAASINNNSFVRPRVALLEAHYQRRYAGVLMANFPTAPPHPFNYTGTPPNNTFVTHGTRVVPLAFNTSVELVLQGTSIQGAESHPLHMHGFNFFVVGQGFGNYDPVNDPANYNLVDPVERNTVSVPTGGWVAVRFLADNPGVWLMHCHFDVHLSWGLSMAWLVNDGPLPSQKMLPPPSDLPKC >ONIVA09G00340.1 pep chromosome:AWHD00000000:9:384586:384816:-1 gene:ONIVA09G00340 transcript:ONIVA09G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYAVVKPCRLYKKRSSSSGGGKVAMCVRSGGDGGAGKSRPSFTCRCVRLVKEQRARFYIMRRCVTMLVCWHEYQ >ONIVA09G00330.1 pep chromosome:AWHD00000000:9:374845:375927:-1 gene:ONIVA09G00330 transcript:ONIVA09G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IG33] MSETIDLTGDRCILKTVIRRAKDDATAPSDSLPIVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVGEVAKITCKPEYAYGAAGSPPEIPPDATLTFEVELIACRPRKGSSVESVSEEKARLEELRKQREIAAAAKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >ONIVA09G00330.2 pep chromosome:AWHD00000000:9:375936:382808:-1 gene:ONIVA09G00330 transcript:ONIVA09G00330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IG33] MHSGLHKLAELSSGCLHCTGCNIRVRTLQEYTGQLAEEEASAAEEESPIESFPLPRKIRDLFLR >ONIVA09G00320.1 pep chromosome:AWHD00000000:9:370110:375101:1 gene:ONIVA09G00320 transcript:ONIVA09G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IG29] MEGVRNVPIISLPVLIIVVSARDDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGHVCVLCLTGLCDPIANIALAAVIKQRVSSETLVCNS >ONIVA09G00320.2 pep chromosome:AWHD00000000:9:370110:374211:1 gene:ONIVA09G00320 transcript:ONIVA09G00320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IG29] MEGVRNVPIISLPVLIIVVSARDDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGIRFRTSYDIPLSTPPI >ONIVA09G00320.3 pep chromosome:AWHD00000000:9:369676:374262:1 gene:ONIVA09G00320 transcript:ONIVA09G00320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IG29] MAGRPGYVTVPILSVLAAIGYVYYTAVFLAIPAWLGLATAAGVANAVAFTALAAACVATYAVAVSRDPGRVPPAFLPDVEDAESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGHVCVLCLTGLCDPIANIALAAVIKQRVNLEVITFEAKHELRFSFYLNSLELP >ONIVA09G00320.4 pep chromosome:AWHD00000000:9:370110:374262:1 gene:ONIVA09G00320 transcript:ONIVA09G00320.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IG29] MEGVRNVPIISLPVLIIVVSARDDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGHVCVLCLTGLCDPIANIALAAVIKQRVNLEVITFEAKHELRFSFYLNSLELP >ONIVA09G00320.5 pep chromosome:AWHD00000000:9:369676:374272:1 gene:ONIVA09G00320 transcript:ONIVA09G00320.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IG29] MAGRPGYVTVPILSVLAAIGYVYYTAVFLAIPAWLGLATAAGVANAVAFTALAAACVATYAVAVSRDPGRVPPAFLPDVEDAESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMVLTCSNSVEFNDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGIRFRTSYDIPLSTPPI >ONIVA09G00320.6 pep chromosome:AWHD00000000:9:369676:374272:1 gene:ONIVA09G00320 transcript:ONIVA09G00320.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IG29] MAGRPGYVTVPILSVLAAIGYVYYTAVFLAIPAWLGLATAAGVANAVAFTALAAACVATYAVAVSRDPGRVPPAFLPDVEDAESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGIRFRTSYDIPLSTPPI >ONIVA09G00310.1 pep chromosome:AWHD00000000:9:362601:363929:1 gene:ONIVA09G00310 transcript:ONIVA09G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPALPVCLLLLLLLLLAVPRPAAAAAAAAATRPLLFELRARQVPAGALPRPASKLRFHHNVSLTVSLAVGTPPQNVTMVLDTGSELSWLLCAPGGGGGGGGRSALSFRPRASLTFASVPCGSAQCRSRDLPSPPACDGASKQCRVSLSYADGSSSDGALATEVFTVGQGPPLRAAFGCMATAFDTSPDGVATAGLLGMNRGALSFVSQASTRRFSYCISDRDDAGVLLLGHSDLPFLPLNYTPLYQPAMPLPYFDRVAYSVQLLGIRVGGKPLPIPASVLAPDHTGAGQTMVDSGTQFTFLLGDAYSALKAEFSRQTKPWLPALNDPNFAFQEAFDTCFRVPQGRAPPARLPAVTLLFNGAQMTVAGDRLLYKVPGERRGGDGVWCLTFGNADMVPITAYVIGHHHQMNVWVEYDLERGRVGLAPIRCDVASERLGLML >ONIVA09G00300.1 pep chromosome:AWHD00000000:9:357399:358106:1 gene:ONIVA09G00300 transcript:ONIVA09G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSTAGGIGMDASPIWCFMCSRLHRPDGLSTCPRRAPRAALEEIVEVMDAGEFLQACALRRAPVAAAVSSTRQQLPTVTVRDAGRTCAVCLDDLEPGGSAVVTPCDHAYHPQCIAPWLEAHDTCPLCRRESGLQVVEVEVQVDGMVLSSPDGLVLCELMMPGGRSEYRLGRRGAGRIFAVRVVDGTGKLVRGGVLRRLGSACHRFAAAAGNLLSLRYRDCVIPNNDLLLGVQC >ONIVA09G00290.1 pep chromosome:AWHD00000000:9:349044:350407:1 gene:ONIVA09G00290 transcript:ONIVA09G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHPASADMRDHYTGTPRQPDFVRRRLHRTHRQLLLAPRPPPSPPIAPRRAVSSSSTIQPLPTCGTTAPAPPAGQPSCAVDCTAPTGSSSSHRARLHHRQSRLVAPCRPPPPPATPHRARPASSTTGCASLRQAGVPLPAAPPRAKPASAAASRACTCRAGLRALLRSLLRRPNAVVCHASPASRPRRRPFPIIDTASAPTPGHLPLHRLTPSPPPHPPPSPATAAAVSHVRHAGNLLQLQPPATGLSQGRHVLRPNPAWGHQIQARDCQIRDHRHRILGLRHHRRSVVTIFVGIVVLASIAVPGTIAIPAARTTQSTRDLPLSDMQPRRRRHSCHRRGSSKPPPSPSSPSFSSPVATLPNPAEPRGSSGHRRAIAVPATAAVAMPASIPAVSRHPLGGSPGHSCTKLARNPAAAVLAAVWLCRRLLGRRRGSGGRRWRGAAG >ONIVA09G00280.1 pep chromosome:AWHD00000000:9:348540:348881:-1 gene:ONIVA09G00280 transcript:ONIVA09G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVFPSLLSLETSFRHPLADPPPTNWCRLTLNFILLWWCPSLYKLATSEGLGRAFGGQSGASLLLVLCVGAVDMWVMVYFFSFPSYDLSEL >ONIVA09G00270.1 pep chromosome:AWHD00000000:9:332557:333269:1 gene:ONIVA09G00270 transcript:ONIVA09G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCILHWNYVQNMKRILHTQVSTEQVTKQSGEWRGSHLGDLDDAFLFEELGEALAVDVVGRLHVLADLLYVLRLLRNFRGGLDGFLLLIIISSAASSASGAYPSSWSDSSGTSDSSAAPGSAKSSAAAEDEEDWKESDAASCASERRRVERMWRRGVDGERRRRNGAEEEGEGLGRKASVAAMAQSERRSIRGG >ONIVA09G00260.1 pep chromosome:AWHD00000000:9:331836:333334:-1 gene:ONIVA09G00260 transcript:ONIVA09G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRRKPSRPPRKLRRSLRTEYVEPPAEAKVYVGNLPYNIDSERLAQLFEQEGVVEVSEVNQ >ONIVA09G00260.2 pep chromosome:AWHD00000000:9:332156:333334:-1 gene:ONIVA09G00260 transcript:ONIVA09G00260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRRKPSRPPRKLRRSLRTEYVEPPAEAKVYVGNLPYNIDSERLAQLFEQEGVVEVSEVNQ >ONIVA09G00250.1 pep chromosome:AWHD00000000:9:296270:297226:-1 gene:ONIVA09G00250 transcript:ONIVA09G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRRGGGGDVDRIKGPWSPEEDEALQRLVGRHGARNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDDTILRAHARFGNKWATIARLLAGRTDNAIKNHWNSTLKRKHHSSLLADDLRPLKRTTSDGHPTLSSAAAPGSPSGSDLSDSSHHSLPSHMPSSPPHLLLPQHVYRPVARAGGVVVPPPPPPPPPATSLSLSLSLPGLDHPHPDPSTPSEPAVQLQPPPPSQMPPPTPSCVRQEPPQMPFQLQPPPPPRPSAPFSAEFLAMMQEMIRIEVRNYMSGSAAVDPRSSPDNGVRAASRIMGMAKIE >ONIVA09G00240.1 pep chromosome:AWHD00000000:9:264096:264329:1 gene:ONIVA09G00240 transcript:ONIVA09G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGVEEVGGGRRPAWGQCGGGRWRTASSGTAEDVDAAAPRTAGVGVEEVNGGRRWLGDGARLLDSGGRLPESGRT >ONIVA09G00230.1 pep chromosome:AWHD00000000:9:247616:249945:-1 gene:ONIVA09G00230 transcript:ONIVA09G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSSSSTSTSAGVTFALVDDDPGVDEMRWVKPGGGELGEYRHVDVDPGVVKGKRGMVAAVVALVDGRWVDVGADPWSFF >ONIVA09G00220.1 pep chromosome:AWHD00000000:9:241286:242813:-1 gene:ONIVA09G00220 transcript:ONIVA09G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFRCGASSSSRRAQGCGGIRPLKRRLVDLGFHLKSQLKKTRGVSQHAKKRNIGDDHADDIEQPPLKRSRAKQESSRASSMKLIKLYPHMSGEQKRLIEGGWLPWPRRSQVLEAQT >ONIVA09G00210.1 pep chromosome:AWHD00000000:9:234240:241269:-1 gene:ONIVA09G00210 transcript:ONIVA09G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFDPATNQLVFPGRGAIDVNEESVKSVHGIPMGDKDVSYEMEICAEFAWNQRSPSLTSLGIQLEKLKLADDKYLRMWIIYAISSVLAPTTATTVSPRCYPSVVDAGNIKNLNSCKFVISTLQKATKAGKNTNSACLLYMMILYLDSLSFKNLNVPVEGYRATVWTNELINQAILADTSADGSFGALLENDRIVTSLQNLCEGFSGLVTKFVRQISGLDFVDPRDEGEEDDDDEGEEDDDEDRSENDDNDGAETGRSGEQADAATDVTCCKGDDTNEGIGSGGKGADDVTGCNGDDTNEGIASGGKCADVVIGKGKQLDEGIGFGDKEKHEEKQAPNAAAQNVPESEKQPVQKAEKYPFLTTTIDSHEVPNFNLGFDSSQEVVQTPKGQEATGTSRGKEFPRIITNEDYGSFTTEDYEKVGREADEAIASKSATKSLVAEVISKEPIADDCEVEEETPVPHEVVKPAKFKRSPFIDYENKKQFVVSRVINEVYDDICKNGGRTKSRRNSLKIIDT >ONIVA09G00200.1 pep chromosome:AWHD00000000:9:233421:234194:-1 gene:ONIVA09G00200 transcript:ONIVA09G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDNNTCELALIVLSADIKDNSKRIFPARIGGYLLDLQLDRNELKKHFDQMRANRLDHKELELGNGNDKDGHYFVVCLNLKAERFEVYDSLRGEDDEELISASNLVVVSIKTM >ONIVA09G00190.1 pep chromosome:AWHD00000000:9:229740:233005:1 gene:ONIVA09G00190 transcript:ONIVA09G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLNSIPELVSSENEPPVHHLLVDDEDITWMIPAINLDYTFDFETMYTAGDVGSLQAHNVANDEMQVFEANDEMQVFATNDEMQVFEANDEMQVFEEMSDHADTMHTSVATGATTATANTISSQDSRTDDSEAQSVPSQDIPCSTQVPYLILTFNSEEEARAHYNRKSAKDGERDKVMFVCNKCGPEEKTGEACPTKKRKRSRTRQAACKTRLTVKRKGARWEVIQFVEEHTHPLVRKFSLKKFLRSHRAIPKEEKDFITMLHGVNISAGRIMKLMSELYGGARNVPYTRKDISNFKSKLGSEYRCRDIPEPIAHFEEIKKDDPNFFYKIQLDKEDRVQNIFWVDGAARNAYKDFKDCVSFDCIYMMNMYNMPCAPFIGINRHGQSIQTTAQSEGFNAVLKRYVMTSDFVGQVSDIPEHYILPRWTMVKKPELPPVTSIGEQMQLPPESLKLIRYTNMCTKFTHIAKDASSNEKAYRMALQRRSSMTDDLAAMKQSRKKQKKAQPAPADPARGISDIPSASTNPTLQINDPPPHHTKARETSFNKTQA >ONIVA09G00180.1 pep chromosome:AWHD00000000:9:205482:208142:-1 gene:ONIVA09G00180 transcript:ONIVA09G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSEEWRFLFPVSSVFAPPSLAVANRNENGNGNGYGPLLFSPLPPPATLHTNIPFPAFQFHPPHSKSTGDALRYFLSSTASFLPTPDLHSLSSSLSDSTTFRPPPPPSNLLATILLRAPSTSLLLFFPSGHNADHLSYATLHSTAAPLSAVQTLTHGFMHPGHRIHHLAATSSCPPPHSHSPAAATPLVHGFFLAATTYSVNWFKVESSSSSSTSPPALVPAAKQAFDAAVVHACWSKHLHSDCLVLLDNAHLCCFDLHQRRGSVLRVGTATATEGGACLSCDYGPQPWTAVVATTKAILLLDLRYGPDHPGHCKVLARVGMQGLFDPDPPLNSECHYLAFCKAPFDDFLMSVATERLLLVLDIRQPLTPVLAWQHGLHNPNHIAMFRLSQLRPSKEHEWASSSGIAILAGSFWSTEFNLFFCGPKDQCSSSSQNAHHLYAWDVPSRISLIGQHCSCSNGLMREVFTDHEPITRNTVVGYHVLPNTLLQDESSSSFTGFALIRLTSSGKLEMQRFRASGDFDEHVMCDGSHHQSAACTTSSIISPDTTAHGEKFSSRYKFLKFHYLSKYLEGNLLSALENHNVVNKGSHQIVISEDVSAFAKENSPPCYRSVSDLLCNASVPMNIFETGCQHILNNGLSSDSLLVTFSKYKDMLACSKGKLIYEYPEVPARSRNNDEHRPFLLAKPSGTGNKLTSEAISGDALVGPLLPIPLLLAIEDRNKGTIESSTCQGETSSVSRRCREALEACVPKTSNANATRFSGWYASRELRKKPYFVYEPQIDDRLTLHETARKEGKKAHMDENLTTFVCGKAGVPHSGPKQAASNLFDCNCSPVRMDFELPFVDVQPAEQKAIQSLKNQFLSWQNNFRPYKDFCNSHHIQLQKPQR >ONIVA09G00170.1 pep chromosome:AWHD00000000:9:201443:204834:1 gene:ONIVA09G00170 transcript:ONIVA09G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGRDSNMQQLIPIAPPPKASSGTTGKELVVVDGTGKASGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQKRKQMAEFELRREERLKEAEERTAKKRLKRQKKKQRKKEKKRSKTNNGGEQPNGGESSGGDEDSDDEDKP >ONIVA09G00160.1 pep chromosome:AWHD00000000:9:196004:201448:-1 gene:ONIVA09G00160 transcript:ONIVA09G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of RAD51 D [Source:Projected from Arabidopsis thaliana (AT1G07745) TAIR;Acc:AT1G07745] MAMAATSASGGAGCFPRPPPSGGEEKKQDEEGQCFLDGMDLLKDATENKRFLPTGLQGVDALLGGGLRQGQLTEITGQSSSGKTQVCLCSASHVAARQLGVVMYLDTSNSFSPSRIARIVDGFPISLVREPKNVRLERVMSSIICKSVFDIFDLFEVLHQLELSLKSKVNNGGNKICLLIIDSISSILAPINGGKYPRGRSMMISVAMILKKLAYEHNLSVLVTNHMVAGNGAPKPALGESWKTVPHVRLVISRERGSKICAATVLKHTLLASGRVMKFAVPS >ONIVA09G00160.2 pep chromosome:AWHD00000000:9:196227:201448:-1 gene:ONIVA09G00160 transcript:ONIVA09G00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of RAD51 D [Source:Projected from Arabidopsis thaliana (AT1G07745) TAIR;Acc:AT1G07745] MAMAATSASGGAGCFPRPPPSGGEEKKQDEEGQCFLDGMDLLKDATENKRFLPTGLQGVDALLGGGLRQGQLTEITGQSSSGKTQVCLCSASHVAARQLGVVMYLDTSNSFSPSRIARIVDGFPISLVREPKNVRLERVMSSIICKSVFDIFDLFEVLHQLELSLKSKVNNGGNKICLLIIDSISSILAPINGGKYPRGRSMMISVAMILKKLAYEHNLSVLVTNHMVAGNGAPKPALGESWKTVPHVRLVISRERVMLTIDVLH >ONIVA09G00160.3 pep chromosome:AWHD00000000:9:198191:201448:-1 gene:ONIVA09G00160 transcript:ONIVA09G00160.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of RAD51 D [Source:Projected from Arabidopsis thaliana (AT1G07745) TAIR;Acc:AT1G07745] MAMAATSASGGAGCFPRPPPSGGEEKKQDEEGQCFLDGMDLLKDATENKRFLPTGLQGVDALLGGGLRQGQLTEITGQSSSGKTQVCLCSASHVAARQLGVVMYLDTSNSFSPSRIARIVDGFPISLVREPKNVRLERVMSSIICKSVFDIFDLFEVLHQLELSLKSKVNNGGNKICLLIIDSISSILAPINGGKYPRGRSMMISVAMILKKLAYEHNLSVLVTNHMVAGNGAPKPALGESWKTVPHVRLVISRERGSKICAATVLKHTLLVFA >ONIVA09G00150.1 pep chromosome:AWHD00000000:9:190594:195040:1 gene:ONIVA09G00150 transcript:ONIVA09G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IG07] MCKCNATLGNFDHSGWVLVECKVGDQGLVKVAALNPETAPVAPLELEFEENKNVVLSVHGQNSVHLSGYYICSYNGDYGENSKQATKETSLLKYASGLPCKPVLIGQFRRPVLACENCLISRVSKDDGAAENNDEKQGDEAKQSKNVQAELQPHIRVLDSDHGENSKQATKEMESNAMDEDASLGLEHTLGGNVVQAASQEENASQTPEDNDAADHIIQQTDPPILVSEDDGTAEDNDEAELQPRIRVLDSGMTIEDLAKGNVGAKIASCGKKVYVKYVCMLSNGDTVDPTGESSTCKFKLGAGEVISGWDLGIDGMRVGGIRRLGIPPHLGYGDVGRGNIPPNAWLNFDIELLKVKSGRKKARKVKKSRRAAAETSSTAR >ONIVA09G00140.1 pep chromosome:AWHD00000000:9:177601:183814:1 gene:ONIVA09G00140 transcript:ONIVA09G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IG06] MATFWGLELKPGEAYTHHSAPARLRITQAVLGSCDQGWTTLQCDTNDRETVRLCVLNPGLAVACHLELELQKDENVLLSVDGQNSIHLSGYYTCSHSGNHGRNSQKPTSKAVGSTGFNKKHQDISDKAPVIEEILDDQTVQQQQQQGVNISSKDVEPSHKNGHGQNSEWATCGNGTDDDNDNNGAMFYPSSRNKMEVDEPTGSKDNDYDYWLPFLDASVKRKTSETDGENVYTEKGELKTPKIENVLSDQSVDMDQVNEQTCSKNVEPNAIDDVKPTRGHKNTMEVVLPLLDSSVKRKAAEIDGEKVQIEKAKLKMPKTEDVPSDQNNANQVNEQICFKTVGSNAIDDAKLSLGHQNTLEDLDKSQELNVSQTKGQNDVADQITNQDTPTITSSDERYIFTGALETDIEQKNRGAENEQVEVHRCPFEVLDNGIKVEHLVEGNAKAKVASKGKQVCVRYCGRLINGEVIDPTNLDDDTHTFRLGMRVGGKRRLTIPPAQGYGDVATPKIPANSWLVYEVELLEVKRAKRAR >ONIVA09G00130.1 pep chromosome:AWHD00000000:9:167037:168170:1 gene:ONIVA09G00130 transcript:ONIVA09G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENNKHQPPSSSVSANAAAANAAAASAPSASAPSSSSAAASSDNAYTSFQGLLALARITGSNSDETRGACRKCGRVGHLTFQCRNFLSVKEDLDMEDDIDAGIRAASQANAQAKLDEFRKKTSGGKDADEGSDDEDEDDEEDSDDSSDSDIDPELERIIAERERAKSGRKHSRDEEKKTSRHRSSSRGRSKHRRSTKRSDTEDDLEEERSKDKKKKSRRKRHERSDEDSESDSDKKRHRKSRKDRKRRRSHRRSDDTSDEDESGGEDRRRRRHRKRQHHHRKGASDGDSGSGASDSADDRKRSSRRRRHRKSESSGSDGDERHGQGAKRSKEKRGKEEC >ONIVA09G00120.1 pep chromosome:AWHD00000000:9:155742:162268:-1 gene:ONIVA09G00120 transcript:ONIVA09G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein [Source:Projected from Arabidopsis thaliana (AT2G40650) TAIR;Acc:AT2G40650] MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRKPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTATVADVYQYLEPLYNDYRKIRHKLSDGKFTLTHVDEFIDDLLTKDYSCDTALPRIQKRWVLETSGTLEPRRSALEDDFEEEEEDKEDEQPMDIDEPNGRALLEIETGRGNMKDTTGTEITTEIGIMVGDGKETEIETVKEIETGIEIGIGIGIETVIAYEMRTTVEIGTEQEIGMAGKENAGTETDGGSRDEPKKRKEKKEKKGEGNAPDPNDPEIIEMNKLRASLGLKPLK >ONIVA09G00120.2 pep chromosome:AWHD00000000:9:155789:162268:-1 gene:ONIVA09G00120 transcript:ONIVA09G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein [Source:Projected from Arabidopsis thaliana (AT2G40650) TAIR;Acc:AT2G40650] MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRKPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTATVADVYQYLEPLYNDYRKIRHKLSDGKFTLTHVDEFIDDLLTKDYSCDTALPRIQKRWVLETSGTLEPRRSALEDDFEEEEEDKEDEQPMDIDEPNGREKHDHYRGRSPTRDRDRERKHERHHRIVLGTEITTEIGIMVGDGKETEIETVKEIETGIEIGIGIGIETVIAYEMRTTVEIGTEQEIGMAGKENAGTETVGGAGAVQGAGAGIDEKETEKMESTVGGVIGVVPVLEVMRRMVAQEMSRRRERKRKRRRVKEMHQIQMTQRL >ONIVA09G00120.3 pep chromosome:AWHD00000000:9:155789:162268:-1 gene:ONIVA09G00120 transcript:ONIVA09G00120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein [Source:Projected from Arabidopsis thaliana (AT2G40650) TAIR;Acc:AT2G40650] MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRKPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTATVADVYQYLEPLYNDYRKIRHKLSDGKFTLTHVDEFIDDLLTKDYSCDTALPRIQKRWVLETSGTLEPRRSALEDDFEEEEEDKEDEQPMDIDEPNGRALLEIETGRGNMKDTTGTEITTEIGIMVGDGKETEIETVKEIETGIEIGIGIGIETVIAYEMRTTVEIGTEQEIGMAGKENAGTETVGGAGAVQGAGAGIDEKETEKMESTVGGVIGVVPVLEVMRRMVAQEMSRRRERKRKRRRVKEMHQIQMTQRL >ONIVA09G00110.1 pep chromosome:AWHD00000000:9:147823:153820:1 gene:ONIVA09G00110 transcript:ONIVA09G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT3G19440) TAIR;Acc:AT3G19440] MAALLYLRRRAAAAALAGVAPRPQWLATAARRGALGSGDDGGETGERGKSPWLQLPPFAPLDAAAAARAISRGGGEGGDGEQGATAIKWVRRCCPDLPTSLVQKLFRLRKVKKNVVTAEISSADASAEQHRLRRVSAKDQLMPGDILFLPVNLKESSVAEKTKKFDNRNEINFLRGLEIYKDEAIIVVNKPPGMPVQGGVGIKNSIDVLASMFEENSSEAPRLVHRLDRDCSGILVLGRNQLSTSMLHAIFREKTADALADGTQHVLQRKYVALVIGTPRHPKGLLSAPLAKILLQDGKSERLTVRASSNAASVQDALTEYRVIESCPQGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQKWMPLPLPRTIDEELLRKRKLPFGLVVGGGSIAEEQPQLHLHCKQMVLPDVSVALRRLQSSDVNPDFSDLEKLNFVAPLPLHMRLSWEILKSVKI >ONIVA09G00100.1 pep chromosome:AWHD00000000:9:119270:120253:1 gene:ONIVA09G00100 transcript:ONIVA09G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWWSWRSLFSSLANANGGGSNADASSGSGTSSPLVHEAQQAAARRRSARTKKPPEEEAAGSQPQPKTRPSPASKASKAKALLQLGDGEPKKKPAPTPTPTQKRSNKRKRVAPAFERSWSRADELTILEAMVNHANTHGGALPDTSDLFAALASSSLDRRDADLPKLADKVHKLKRWYDNARLPQRCPTDVDDDTRRLFQLCGKVWGPPSTVLRTSPRQRHKVVGVLVQGNGANPQQAAAPKVKEKRVRRELSELYVLYPSLAQEVKAHANEYGELIGTAFQFIGDDEARCYDDRYRKMLVDKLNMKKEHADLTRSLLCTLAGYIN >ONIVA09G00090.1 pep chromosome:AWHD00000000:9:86338:97593:-1 gene:ONIVA09G00090 transcript:ONIVA09G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEEDGDRFIEMVSAGTLYLSGEWERKYWSCSRGKDRYPYPVGYHAVRHFSGISYTMQIHQGPRGPLFQVTSTQGDSSTGPTPDIAWKNFHKKTAPKVRDWQRKRSFPQKIDGLFGFKNASVQRLLRELIVRSTGAVQLNLPHPVTSDADSPLSRKVEAEISDGNEVCMDKTGGPAKRSMRPSQEEGTAKRVHYQNISTSTDKCHNELDIVADEGSNEDATGSRRTSSSLEDMSCNSTHTLVDDNLGEFVADSPEQVALSSSSYLSSQKSDLESAEREVAKSMMSILLPQAIPFLNKTHRKKKKVKHKKREETISTTTALAENPSADGCRGVAVSTSTCEGINIKTSQTYSHGQSLCEMVKDCCGNDDGMIDEPGLKSDDMKVVADSFEDDEQGWCDNKSKSMGARCHDDDACSKESLPYGNREDHDGHSECQMGIDDGTNTPDVVYDHERGQYVLSEALLACLEEEFGEKDNSCPANYNQIDVGRRQGEQHFEDPRSGINDDSSISVGVSDKSNLRSGLIDGYAQASAKSWTGNSRHGESLTNLLQSPVHSNAHNNSEKMGGKFDDTEFVDKFVAFDKYGMKRVNTVTVWPVDVRTKTGKRNHPLEEQKECQTGCRNGNENAMVSIGCGSYVCGRVPPKDEDNACHEHAPPDVNHLNGPLCRHKETSSRVSNLHLDLMGCYLHSMPVLSIVLNTKNNSSLLIYVLCGLLESCERFLYVYTIVPKDQQETAPYFVGYTPLLLSSLERSCTGNLPFERSGLQFTSDGQFLVLLGSIRMPYCRLKQIIDCSCSLCKLDQCEDNYLKIVSVDLGYVSLLTKLMAYGSLSCILICEPNYIVTVEDGRNLHIWMMAAGWRIISEEYVIPSSGNVGNSIIELRRMPKSSTLIAGHDGTGSFCLWDISKRTLLATFTAPGIIVFQIQPEDIILASVSDIERRLREITVTGVSRKADKESILSPGKDTAIWILISSASVAEYQSDLRAKEHNARWRLALLANKTVIMGTILDPRATAVDVCGNHGFAGTHGGLLYAWELSSGRKLAGGRVSCVAVDAKSGVVAVADDGCQLVLYSQNKVLSNARAEGNMFRIK >ONIVA09G00080.1 pep chromosome:AWHD00000000:9:70108:72806:-1 gene:ONIVA09G00080 transcript:ONIVA09G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVRPSKSGSNSDSDSHAHHPPPEPPQESSDGEDLELESSEELDSHGAPTKKAPFVAPPPPPPPPPQQNGKEVSDSPSLPTNSAIVLSPLPPPLQANKNHQDQDSESDSDSDDEEPPLPTNNAIVLAPPNNQESESDSDSDDDQESAPKANKIVSSSGDDDQESDSSDDETLPALQANKNASPSDDDEDDDQESDSGDDDVLLDPALQANKNVLAFKGKRKVPPQEVGQSLRQPKKKKMEAPAQGNTDIDTQFKEKIASYFFLGKVVSLLDEEHPDLFKEAFLKLADSKASALDAKIKQLTLAQVRVSLKGRDLEKELIKLLSGFLKHGENFKEVGADWTGVHHDVVIRRSG >ONIVA09G00070.1 pep chromosome:AWHD00000000:9:62811:73197:1 gene:ONIVA09G00070 transcript:ONIVA09G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIIEDHLPQYLANLHDENDMSQLSHILTNPFSYRSNSLNITTPISPKMLSSIDQALNVLSTLTIQALVAMKRKLDEVSFTPKFSFVPRISRKAHMVTVIRKECNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRAILCQGSNDEMLLKTTVRRYLIDCLFECDEGDLPDEALRAIALCNQMPLRQKIDFTEQRKGAELEAVLNVSSSLRALVYHCTGGQTDDQLMNCESECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMVNPVSVGGHFSSGAGSNMKKPTLHEVVGANEVEIRRSSMGLSEICDDAAILAHKLLGKILDNTLLAENKVNGLAGYSLDGSTSHGPQVLTTHSGEQRRTPAPFAEKRPLPPVRVFSPSATNHAVAASSAPTPSFTGELSPSRSCVFCRWCSSSCFQN >ONIVA09G00070.2 pep chromosome:AWHD00000000:9:62811:73197:1 gene:ONIVA09G00070 transcript:ONIVA09G00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIINKLSNEALHSVANIATHNRVSFEKTRPAMKKIIEDHLPQYLANLHDENDMSQLSHILTNPFSYRSNSLNITTPISPKMLSSIDQALNVLSTLTIQALVAMKRKLDEVSFTPKFSFVPRISRKAHMVTVIRKECNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRAILCQGSNDEMLLKTTVRRYLIDCLFECDEGDLPDEALRAIALCNQMPLRQKIDFTEQRKGAELEAVLNVSSSLRALVYHCTGGQTDDQLMNCESECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMVNPVSVGGHFSSGAGSNMKKPTLHEVVGANEVEIRRSSMGLSEICDDAAILAHKLLGKILDNTLLAENKVNGLAGYSLDGSTSHGPQVLTTHSGEQRRTPAPFAEKRPLPPVRVFSPSATNHAVAASSAPTPSFTGELSPSRSCVFCRWCSSSCFQN >ONIVA09G00070.3 pep chromosome:AWHD00000000:9:62811:73197:1 gene:ONIVA09G00070 transcript:ONIVA09G00070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIINKLSNEALHSVANIATHNRVSFEKTRPAMKKIIEDHLPQYLANLHDENDMSQLSHILTNPFSYRSNSLNITTPISPKMLSSIDQALNVLSTLTIQALVAMKRKLDEVSFTPKFSFVPRISRKAHMVTVIRKECNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRAILCQGSNDEMLLKTTVRRYLIDCLFECDEGDLPDEALRAIALCNQMPLRQKIDFTEQRKGAELEAVLNVSSSLRALVYHCTGGQTDDQLMNCESECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMVNPVSVGGHFSSGAGSNMKKPTLHEVVGANEVEIRRSSMGLSEICDDAAILAHKLLGKILDNTLLAENKVNGLAGYSLDGSTSHGPQVLTTHSGEQRRTPAPFAEKRPLPPVRVFSPSATNHAVAASSAPTPSFTGELSPSRSCVFCRWCSSSCFQN >ONIVA09G00070.4 pep chromosome:AWHD00000000:9:62811:73197:1 gene:ONIVA09G00070 transcript:ONIVA09G00070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIINKLSNEALHSVANIATHNRVSFEKTRPAMKKIIEDHLPQYLANLHDENDMSQLSHILTNPFSYRSNSLNITTPISPKMLSSIDQALNVLSTLTIQALVAMKRKLDEVSFTPKFSFVPRISRKAHMVTVIRKECNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRAILCQGSNDEMLLKTTVRRYLIDCLFECDEGDLPDEALRAIALCNQMPLRQKIDFTEQRKGAELEAVLNVSSSLRALVYHCTGGQTDDQLMNCESECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMVNPVILTTHSGEQRRTPAPFAEKRPLPPVRVFSPSATNHAVAASSAPTPSFTGELSPSRSCVFCRWCSSSCFQN >ONIVA09G00060.1 pep chromosome:AWHD00000000:9:51325:59906:1 gene:ONIVA09G00060 transcript:ONIVA09G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipase 1 [Source:Projected from Arabidopsis thaliana (AT2G15230) TAIR;Acc:AT2G15230] MASERGKSASDFALGSGTESTPLGSGEERREASNTTAKARRAATMAMAGHAPGGALPLILLVVSCCGLVVSGASPAAAALRRVGSGSGGLCDQLLLPLGYPCTEHNVETKDGFLLSLQHIPHGKNKAADSTGPPVFLQHGLFQGGDTWFINSAEQSLGYILADNGFDVWIGNVRGTRWSKGHSTFSVHDKLFWDWSWQELAEYDLLAMLGYVYTVTQSKILYVGHSQGTIMGLAALTMPEIVKMISSAALLCPISYLDHVSASFVLRAVAMHLDQMLVTMGIHQLNFRSDMGVQIVDSLCDGEHVDCNNLLSAITVIRKGTFAKYDYGLLGNLRRYGHLRPPAFDLSSIPESLPIWMGYGGLDALADVTDVQRTIRELGSTPELLYIGDYGHIDFVMSVKAKDDVYVDLIRFLRENGWHNSY >ONIVA09G00050.1 pep chromosome:AWHD00000000:9:38200:42239:-1 gene:ONIVA09G00050 transcript:ONIVA09G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEAILQKRKKQAEEMLAPLPFPHLTAAEETPPNPETPISGEAVAVAVRRKERKRKKEEAAGVGKKEKKRKSREGPPAPEARRKERKRMLMPRQSPHDQIHGIQVQANPPPLGGGRDEAYCRSSCKKIRVLSNREIIKMRIQLRKHQPLPQGIFDPETIMASNSNSIQQDPNHSSPFGAFFDQFCYKPTRQDRTPPLPRTPDLLVRPPPRDHLSSASSQLMTNHTCKINSTCKTTTFKTRSGPNQGNTKVKEMARVNKERKPAPLLTRAEKRSDKYRRLPLDQLVPPPRSPHKLLQEKYASDPWKVIVICMLLNLTQGKQVRRKVKGFFKRYPDAQAAFSADPEKMAKYLAPLGLQRVKVNRIQRFSKAYVEEEWTYITELCGVGKYAADAYAIFCAGRATEVVPADHKLVDYWKYVCFELPMIQVLVGEDAATASRTPAKLRNLKNRWRKGNAKEIKLEREATDERTQSQDMQEARVTEMEHAVPKVEELAVCC >ONIVA09G00050.2 pep chromosome:AWHD00000000:9:38200:42239:-1 gene:ONIVA09G00050 transcript:ONIVA09G00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEAILQKRKKQAEEMLAPLPFPHLTAAEETPPNPETPISGEAVAVAVRRKERKRKKEEAAGVGKKEKKRKSREGPPAPEARRKERKRMLMPRQSPHDQIHGIQVQANPPPLGGGRDEAYCRSSCKKIRVLSNREIIKMRIQLRKHQPLPQGIFDPETIMASNSNSIQQDPNHSSPFGAFFDQFCYKPTRQDRTPPLPRTPDLLVRPPPRDHLSSASSQLMTNHTCKINSTCKTTTFKTRSGPNQGNTKVKEMARVNKERKPAPLLTRAEKRSDKYRRLPLDQLVPPPRSPHKLLQEKYASDPWKVIVICMLLNLTQGKQVRRKVKGFFKRYPDAQAAFSADPEKMAKYLAPLGLQRVKVNRIQRFSKAYVEEEWTYITELCGVGKYAADAYAIFCAGRATEVVPADHKLVDYWKYVCFELPMIQQSQDMQEARVTEMEHAVPKVEELAVCC >ONIVA09G00040.1 pep chromosome:AWHD00000000:9:22495:27348:-1 gene:ONIVA09G00040 transcript:ONIVA09G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLPVVPAHGEDKKKKKKKKKKPSQEEEALPLPLPLPPPDRKRKKASEPVNSPERAKKKKKTATPHEPPSAKQQKRPLPFQRTWSPNDEVLILEAMAAHRQEHGKVPTAAELFPVLNGRLDRKRLTYKKLADKLRTFMRRHGRDAKNGPPTQAHDRRLYDLSRNVWVSQTQPPNLSANANSNIAGGQPNQHDAMPTAGKAFVKMRDSYPNLTQALLLLVGTDLEKSLTAIDETKAQALDLKVSNLKKELSEAVMESATIQSTESSKIPCFPSTKLQPEFGAEIEKNFQLEHLDEMKGAQSQQMVDSKQQQDKSSAKGIICESSESGLRSIVADNNILCNTLQKEMVIQQKLSCGKTKEVTSKHRHPQKGELRRVTMHGQKEDTNNEGGKEVLLLSTTRPHIPVAKATLQTSSGSKFVGGMPLGSEWYQVFVNDVLKPEAPLLRPPGMKMAEALKSIIAWPCAQVTDKADFMSETKLYRFAHYKGCGS >ONIVA09G00030.1 pep chromosome:AWHD00000000:9:18643:20533:-1 gene:ONIVA09G00030 transcript:ONIVA09G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTNVHISAALIVSLVLAATVVAHVEVGEVGEYLEKRSQEARLKNHVGPLHDLVNTATRFHEGLLQRANSRRSMLGDEAHMQKRSTTPEAEASSVESGDDHQVVQDHNGIQL >ONIVA09G00020.1 pep chromosome:AWHD00000000:9:13974:14501:-1 gene:ONIVA09G00020 transcript:ONIVA09G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRCNDDGLPATSGGIAWTVISIAWRCRRERRLEDASASRRRRRRHHSDNDDGSLMTFLTVLMILNMPRQDSTEMAFSGLTQQTSTRWRMARPDSTTVRVRRMTTARVRRRCEVEVATGQARMTRAPAAPRREEDDNTAEDGRQDVQHGDSGEGGVMAQRAAFAMLRRCIPAR >ONIVA09G00010.1 pep chromosome:AWHD00000000:9:197:12375:-1 gene:ONIVA09G00010 transcript:ONIVA09G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDNHAAWGRNRRPAQSKRTNEDWRAATDRRRRDLDIRPQGGGRADSGDQSRISLRKDRQGGSKRHASPAEPHRDDRPVQDKRYRADESLSRPAGEESRLGSRGNSRLGSGQAAHRREGEGRSVIYSRASSSPHVVEVDNAPHVLEVDDDADFAPETKAWLDNLSITDIPLDKAAVDLGLAMHGCNASASLDDVGSSKGANVPNLGHPPEDTAKDAGPSQAPPVEERQKVNAGLLVVLLMYSHCSSEVG >ONIVA08G27020.1 pep chromosome:AWHD00000000:8:26694735:26700532:1 gene:ONIVA08G27020 transcript:ONIVA08G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:early-responsive to dehydration stress protein (ERD4) [Source:Projected from Arabidopsis thaliana (AT1G32090) TAIR;Acc:AT1G32090] MATLPDLGVSAFINILGAFVFLLIFAALRLQPINDRVYFPKLYLTGQRRHHPHPHGFVNLDLCSYLRFLAWVPGALRMSQPDLIHHAGLDSAVYLRIYTLGLKIFLPIMTVALLVLIPVNVSGGTLLNLRKEIVFSDIDKLSISNVNPGSNRFFIHLLMAYVFTFWTCFMLYKEYSNVAFMRLHFLASQKRCADQFTVIVRNIPHVSSHSTSETVDEFFRRNHPDHYLGQQAVYNANRYAKLVKKKERLQNWLDYYQLKFERHPGKRPIGRTGCLGFCGREVDQIDYYRARISELDKKLASERQRVLNDPKAVMPVAFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWQNLAIPFFSLSIRKFLISIAVFALVFFYMIPIAFVQSLANLEGIEKVAPFLRPVIDVPVVKSFLQGFLPGLALKIFLYILPTVLMIMSKVEGYVSLSSLERRAASKYYYFMLVNVFLGSIIAGTAFEQLNAFFHQPPSQIPRTIGVAIPMKATFFMTYIMVDGWAGIANEILRVKPLVIYHLKNMFIVKTERDRERAMDPGSIGLAENLPSLQLYFLLGLVYAVVTPILLPFIIIFFAFAFLVYRHQIINVYNQEYESAAAFWPQVHSRIIASLLISHVTLFGLMSTMKAAYSTPLLIFLPLLTIWFHKYCKSRFEPAFRKYPLEEAMEKDNLERTSEPNLNLKSYLQNAYLHPIFHMFEQQQQEQEQQREEKVEVRIDKAQQHHHRQVEKEEEEEEESKSSQATTHYYHHHHEQTTTTTHHHYHQHEHMSHSHMGPSDTADSPSPPHFVYHYGVDP >ONIVA08G27010.1 pep chromosome:AWHD00000000:8:26685065:26693225:1 gene:ONIVA08G27010 transcript:ONIVA08G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLSNSTTNNKRAEGIQLQHGDCESESTAPLLLAPHESYRLSAAILPFLFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSLQTGLVVSGSLYGALIGSILAFNIADFLGRRRELILSSVSYLIGALLTAAAPNFPIMVVGRFFYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGSLFVEVVSGWRYMYATSTPLCLIMGIGMCWLPASPRWLLLCAIQGKRNIMESKENATRCLCRLRGQASPDLVSEQVDLILDELSYVDQERQAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATILQSAGFSGASDATRVSVLLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGIAVSLFLLSSYYTLLKDAPYVAVIALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLSIAVLVNFASNALVTFAFSPLEDLIGTGILFSAFGVIAVASLVFIFFIVPETKGLTLEEIEASL >ONIVA08G27010.2 pep chromosome:AWHD00000000:8:26685065:26693225:1 gene:ONIVA08G27010 transcript:ONIVA08G27010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLSNSTTNNKRAEGIQLQHGDCESESTAPLLLAPHESYRLSAAILPFLFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSLQTGLVVSGSLYGALIGSILAFNIADFLGRRRELILSSVSYLIGALLTAAAPNFPIMVVGRFFYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGSLFVEVVSGWRYMYATSTPLCLIMGIGMCWLPASPRWLLLCAIQGKRNIMESKENATRCLCRLRGQASPDLVSEQVDLILDELSYVDQERQAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATILQSAGFSGASDATRVSVLLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGIAVSLFLLSSYYTLLKDAPYVAVIALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLSIAVLVNFASNALVTFAFSPLEDLIGTGILFSAFGVIAVASLVFIFFIVPETKGLTLEEIEASL >ONIVA08G27010.3 pep chromosome:AWHD00000000:8:26685065:26693225:1 gene:ONIVA08G27010 transcript:ONIVA08G27010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLSNSTTNNKRAEGIQLQHGDCESESTAPLLLAPHESYRLSAAILPFLFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSLQTGLVVSGSLYGALIGSILAFNIADFLGRRRELILSSVSYLIGALLTAAAPNFPIMVVGRFFYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGSLFVEVVSGWRYMYATSTPLCLIMGIGMCWLPASPRWLLLCAIQGKRNIMESKENATRCLCRLRGQASPDLVSEQVDLILDELSYVDQERQAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATILQSAGFSGASDATRVSVLLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGIAVSLFLLSSYYTLLKDAPYVAVIALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLSIAVLVNFASNALVTFAFSPLEDLIGTGILFSAFGVIAVASLVFIFFIVPETKGLTLEEIEASL >ONIVA08G27000.1 pep chromosome:AWHD00000000:8:26679881:26680893:-1 gene:ONIVA08G27000 transcript:ONIVA08G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSLFWQSSADQGDLSDVVRASLQLQTAPRHQAASPPYVHLLGGGGGGGGEDQLAAVSQHAEQQQQSMVDASAACDLLHALLPPPPVVQVQQQGASRTRTTIEEDTTGDGEELFAGAHYVVPPIKRRKSQTKKVVCIPAGASGGGGGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRADPTMLVVTYTSDHNHPWPTHRNALAGSTRPSSSNSSNIRLQDSTPVHHQSQTGHDRLTTTHLKQEDVIISPSLLQPDHHQLCTIIDTKHHLLFHQDYPHSFGFFD >ONIVA08G26990.1 pep chromosome:AWHD00000000:8:26674446:26677160:1 gene:ONIVA08G26990 transcript:ONIVA08G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35 [Source:Projected from Arabidopsis thaliana (AT5G45590) TAIR;Acc:AT5G45590] MRRWCAAAGLGLGRRLLSSSVSAPAHRPLPAHLIPSPRPLPFSSRHHLLTPPLGLHPSSPPPMQWQTQQVRHFAAKDRSRAPRTPTTSKVKKYKIKPPSSMKFRFRVMNDGQVRRWRAGKRHNAHLKSKEAKRRLRKPALVHLAYAKVIKKLNFCS >ONIVA08G26990.2 pep chromosome:AWHD00000000:8:26674446:26677160:1 gene:ONIVA08G26990 transcript:ONIVA08G26990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35 [Source:Projected from Arabidopsis thaliana (AT5G45590) TAIR;Acc:AT5G45590] MRRWCAAAGLGLGRRLLSSSVSAPAHRPLPAHLIPSPRPLPFSSRHHLLTPPLGLHPSSPPPMQWQTQQVRHFAAKDRSRAPRTPTTSKVKKYKIKPPSSMKFRFRVMNDGQVRRWRAGKRHNAHLKSKEAKRRLRKPALVHLAYAKVIKKLNFCS >ONIVA08G26980.1 pep chromosome:AWHD00000000:8:26670765:26673626:-1 gene:ONIVA08G26980 transcript:ONIVA08G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMESGDAQLPLLHHQASASNQHYTKPPFNWKAPALILAFEFLESIAYAGISLNLVVYLGTVLHGTTASNAANVDTWNGTTFLTPVLGAFLADTYWGKYKTIAISTVFYLIGLLVITASAVIPSLQPAPCNGSSCPPATGFQYFVFFTALYLISVGTGGVKSALLPFGADQYNDSNLEESKKKQSFFSLFFIAINLGVFISGTVVVWIQQNVAWSLGFGISSICLVVATVAFLAGTPVYKVQLPSGSPLKSVVMVFVASFKKRKLEVPADNALLYEGDDADLSNGQSVKLSHTDGFRWLDKAAVVFEEVEEINKDEGGGGGWLQCSVTQVEEVKILLRMLPIWVTSVLYAASLGQTATTFVQQGNAMNTKIGSFSVPAASLNSAEVIFMMIWVVFQDTVVVPIARRYTGNPAGLTQLQRMGVGRLLAVPALAVAAVLETWRLRSVRDGGNLSIAWQLPQFVILACSDVFCGIAQLEFFYSEAPVSMRSLCSAFSFLALSLGYYVNSLVVSIVAVVTTTSNKGWLPADLNDGHLDYYFWLWTGISAINFVVYAAFAKNYTVKRLAVPHSH >ONIVA08G26980.2 pep chromosome:AWHD00000000:8:26672688:26673626:-1 gene:ONIVA08G26980 transcript:ONIVA08G26980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMESGDAQLPLLHHQASASNQHYTKPPFNWKAPALILAFEFLESIAYAGISLNLVVYLGTVLHGTTASNAANVDTWNGTTFLTPVLGAFLADTYWGKYKTIAISTVFYLIVSASAMY >ONIVA08G26980.3 pep chromosome:AWHD00000000:8:26670765:26672575:-1 gene:ONIVA08G26980 transcript:ONIVA08G26980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKQGLLVITASAVIPSLQPAPCNGSSCPPATGFQYFVFFTALYLISVGTGGVKSALLPFGADQYNDSNLEESKKKQSFFSLFFIAINLGVFISGTVVVWIQQNVAWSLGFGISSICLVVATVAFLAGTPVYKVQLPSGSPLKSVVMVFVASFKKRKLEVPADNALLYEGDDADLSNGQSVKLSHTDGFRWLDKAAVVFEEVEEINKDEGGGGGWLQCSVTQVEEVKILLRMLPIWVTSVLYAASLGQTATTFVQQGNAMNTKIGSFSVPAASLNSAEVIFMMIWVVFQDTVVVPIARRYTGNPAGLTQLQRMGVGRLLAVPALAVAAVLETWRLRSVRDGGNLSIAWQLPQFVILACSDVFCGIAQLEFFYSEAPVSMRSLCSAFSFLALSLGYYVNSLVVSIVAVVTTTSNKGWLPADLNDGHLDYYFWLWTGISAINFVVYAAFAKNYTVKRLAVPHSH >ONIVA08G26970.1 pep chromosome:AWHD00000000:8:26654617:26656413:-1 gene:ONIVA08G26970 transcript:ONIVA08G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMMGSPPSRSRGRDEKKKKRWKWKLGPATILGFELLESIAFSGVALNLVVYLATVLHGTLAFNAAHVDTWNGTTFIVPVIGAFLADSYWGKYRTILASLLFYLAGLVLLTVSAAVPSLRPAPCTGVPCSPATGTQFSVFFLALYLTSIGTGGVKSALLPFGAEQYERDDHDTDQEGAPAPEKTKQSFFSWFFGAINLGIFVAGTLVSWVEQNVSWALGFGIATLCLLIASAAFLAATPCYRVRLPTGDTPIKAILRVLVAAFRNRTRTLPPDADGDGLYEVDDDKNKNGGDDEKLAHTEGLRWLDKAAVRVDGGGVWEVCTVSEVERVKVLARIVPIWVTCVLYAASLGQMTTTFIQQGMAMDTRVFGGRFRVPVASLVSVEVVFMLLWVLLHDVVVMPVARRWWRSGGLTQLQRMGVGRVLVVVAMATAALVERRRLRGEKRSMSILWQVPQFVVLAGSDVFSGIAQLEFFYGEAPGSMRSICSAFSFLALSLGFYVNSLVVTIVAAVTKRSDGSGGWLAPDLDTAHLDYYFWLWALISLANLALYLLLAARYKSKKPSPPHSSSHP >ONIVA08G26960.1 pep chromosome:AWHD00000000:8:26653482:26653985:-1 gene:ONIVA08G26960 transcript:ONIVA08G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPTPTAAGRQPPAGAVPRPTGPTHYPHPPGSCSGCRPASPLHLPSAESAHRPVSSSIRPTNVQGSPSAYD >ONIVA08G26950.1 pep chromosome:AWHD00000000:8:26653232:26659581:1 gene:ONIVA08G26950 transcript:ONIVA08G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLTCSRSLSGLDPPGLASPRQGHLLVASKRQDPAIGASGTRNLNIIQGRDHVSHVKCKHKAFSVNGSTMPEHALDDSHDVDQGKEQRACG >ONIVA08G26940.1 pep chromosome:AWHD00000000:8:26649240:26650901:-1 gene:ONIVA08G26940 transcript:ONIVA08G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLNNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSS >ONIVA08G26930.1 pep chromosome:AWHD00000000:8:26644245:26651319:1 gene:ONIVA08G26930 transcript:ONIVA08G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin-dependent kinase E;1 [Source:Projected from Arabidopsis thaliana (AT5G63610) TAIR;Acc:AT5G63610] MGDGRVGGGTNRPAWLQQYELVGKIGEGTYGLVFLARLKQSHPHAAAGVGRRGSPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLNLPINPYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKATPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPCWQNDQQHIQGHKYENTGLHNIVHLPQKSPAFDLLSKMLEYDPRKRITAAQALEHDALLPSQAGEKIVQYPVRPVDTTTDFEGTTSLQPTQAPSGNAAPGNQSVVPRPIPRQMQQPMVGMSRMGGTNMAAFGAAPQGGIAGMNPGNIPMQRGAGAQSHPHQLRRKADQGMGMQNPGYPTQQKRRF >ONIVA08G26920.1 pep chromosome:AWHD00000000:8:26638208:26640559:-1 gene:ONIVA08G26920 transcript:ONIVA08G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:solute:sodium symporters;urea transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT5G45380) TAIR;Acc:AT5G45380] MASGVCPPAELGFGAEYYSVVNGVCSRAGSYFGGRPVLTQAVGYAVVLGFGAFFALFTSFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGTPAHLVFLTFCLLTNVIVTAMLLLGGSAVVNALTGVNVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHAVLVVFVFLVYTSSSKLGSPRVVYDRLMAVASAARDCSADLSRNGQACGPVAGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPLTAAEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRTYLNPGASGKQILRVSRAVVLGFGCFMGVLAVVLNVAGVSLGWMYLAMGVIVGSAVIPIALLLLWSKANAVGAMGGAVSGCALGVAVWLTVAKVQYGRVNLDTTGRNAPMLAGNLVSILVGGAVHAACSLLRPQHYDWGTSREMITTVESVHAALDDELKEERLVHAKRWIVRWGLVFTAVIVVAWPALSLPARRYSLGYFTLWAAVAIAWGTVGSVVIILLPVAESWTTITKVCAGMFTNDAVYDRLDDVNLRLRAIMGAMPEAEKRYRQLHETEMHPAGTHPANDDDDDNNNNQMMHS >ONIVA08G26910.1 pep chromosome:AWHD00000000:8:26634501:26637255:1 gene:ONIVA08G26910 transcript:ONIVA08G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPCTDGRRPWCDSNRILAGGRRNGPIRNLGFGCVGAESHLGYQPWPIPLAGTLQAAASLKFPTHASHRTSPAAGCPPLLRPRLWPSSPSIRRAAACYSAPRPAARQTLPQLGSHATSRLAHSAPSDRAGAGSEDLSGLAASLGELHVESSASKDSEISQPGNSVDDDAIADDDVWDDVSDSPGHGSTLDREWVHRQNQFHKMGYRDGIAEGQKDIAQEGFNVGFGQSVHVGYKWGLVRGITSALASLPDSLKEKLLPNVQCRGQLQELNNSVQEISAEDALQMFHESILQSSHSSEEPDATLKRATDSSRLQSLSKDLVILLHECPDVKVSEELRGGS >ONIVA08G26910.2 pep chromosome:AWHD00000000:8:26634618:26637015:1 gene:ONIVA08G26910 transcript:ONIVA08G26910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEDLSGLAASLGELHVESSASKDSEISQPGNSVDDDAIADDDVWDDVSDSPGHGSTLDREWVHRQNQFHKMGYRDGIAEGQKDIAQEGFNVGFGQSVHVGYKWGLVRGITSALASLPDSLKEKLLPNVQCRGQLQELNNSVQEISAEDALQMFHESILQSSHSSEEPDATLKRATDSSRLQSLSKDLVILLHECPDVKVSEELRGGS >ONIVA08G26900.1 pep chromosome:AWHD00000000:8:26598107:26598814:-1 gene:ONIVA08G26900 transcript:ONIVA08G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IFW8] MASKEPSGDHDHEMNGTSAGGGEPKDGAVVTGRNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVAESIAHFARRRQRGVCVLSGAGTVTDVALRQPAAPSAVVALRGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGTLTAAGPVMVIASTFANATYERLPLDQEEEEAAAGGMMAPPPLMAGAADPLLFGGGMHDAGLAAWHHARPPPPPPY >ONIVA08G26890.1 pep chromosome:AWHD00000000:8:26593937:26623662:1 gene:ONIVA08G26890 transcript:ONIVA08G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPALSAAWCVADALLLSRTRPAGCPTQGRERERPEAHARPVAGSGEERRLARSVGPPHPPRPATSSPPAGQPAQEERSEREREEEGRGGERGRVMTWHPNMWGSCGSHADLAVTSDKTTVKTTKGHNLSKMDGVDRDTAARLTIRQRQRVLQRAMVMSEASSD >ONIVA08G26880.1 pep chromosome:AWHD00000000:8:26593075:26593816:-1 gene:ONIVA08G26880 transcript:ONIVA08G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPLVPCGDVADADEETALLAADARVARRRPHPDDVVNGGGGANALMSEDELRVLVDAVMMHYDQVLESHLELLTDQQLMGICNLQQSSQQAEDALSQGMETLQQTLGDTLASAATTVVVDNVTNYMGQMAIAMAMLTTLENFLKLRSNINGELNCLLPWRDAMIFFV >ONIVA08G26870.1 pep chromosome:AWHD00000000:8:26591113:26591370:-1 gene:ONIVA08G26870 transcript:ONIVA08G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQLQCSGEGAASASASQSASQSGDDSRHRRHGNCPDAGGLDGDEQDGHRWRRARAGVLDARELAARRRRHGARNGEVGRMMKG >ONIVA08G26860.1 pep chromosome:AWHD00000000:8:26588237:26589956:-1 gene:ONIVA08G26860 transcript:ONIVA08G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IFW4] MGSVSPPAPEEDAAAVESAGAAARMFWHETKRLWAIGTPIAIGTITNYAISSVTTMFIGHLGNLPLAAASVGLSVFATFALGFLLGMGSALETLCGQAFGAGQVSMLGVYLQRSWIILLGATVLMVPVYVLAEPLLLLVGQDPEVARAAGRFTLYILPGAFAFAVNFPSGKFLQAQSKVGVLAWIGVAGLAFHVGITYLAVSVLGWGLPGAAAAYDVSQWASSLAQVAYIMGWCREGWRGWSMAAFHDLAAFLRLSIESAVMLCLEIWYLGLITVLTGDLDDAQMAVDSLGICMNINGYEGMIFIGLNAAISVRVSNELGSGRPRAAMHAVVVVVAESLLIGLLCMALVLAFSDKLALVYTSDAHLLRAVSRIAGLLGVTMVLNSVQPVLSGVAVGGGWQGLVAYINLACYYLFGLPVGYLLGYYFNLGVGGVWGGMLCGVALQTLILLFVVWRTDWKAEAAQASARVHKWGGTDETKPLLQGDHSDRD >ONIVA08G26850.1 pep chromosome:AWHD00000000:8:26577715:26585810:-1 gene:ONIVA08G26850 transcript:ONIVA08G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT1G63770) TAIR;Acc:AT1G63770] MARRLISPCQGSGLLNAGFLGVFSSHHYHRLPLSSSHRSSQLKNCYLPNLRKEVSHWTRPATPSLSLYSARLASKRTTCSVATEPPPSTTEEPEMDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKVSEDYMVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSWTIFIPGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFNHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >ONIVA08G26850.2 pep chromosome:AWHD00000000:8:26577715:26586002:-1 gene:ONIVA08G26850 transcript:ONIVA08G26850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT1G63770) TAIR;Acc:AT1G63770] MSSRWKNTKPLNRNSRDKEDNWKRAPLRLENYSTIYPPTSPTELLYPSIHPTTQVADSIWSEFQPPANCSDRSLARSRGRDEYHRLPLSSSHRSSQLKNCYLPNLRKEVSHWTRPATPSLSLYSARLASKRTTCSVATEPPPSTTEEPEMDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKVSEDYMVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSWTIFIPGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFNHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >ONIVA08G26840.1 pep chromosome:AWHD00000000:8:26575408:26576283:-1 gene:ONIVA08G26840 transcript:ONIVA08G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTVSSARDLKNVNWRNGDLKPYAVLWVDDGAKCSTRVDLDNADNPNWDDKLTLPLPPSSRLDDALLYLDVVHANAAEGVKPLVGSARLPLRDVLDDAGVGARVSRSLRLKRPSGRPQGRLDLRLAVRESARYYDPSPYPAPYGQPAGTRDPYGAPPPAYSSGSGGQYPYGGGYGSGGYAAPPAGYPSAYGAPPQPAYGGAPPVAAYGAAGGAPVVVEETKKKSKMGMGTGLAVGAAAGVLGGLALAGGASYLEDKFEEGVAEKVEDDLDRDDDYAAGGYDDDY >ONIVA08G26830.1 pep chromosome:AWHD00000000:8:26567710:26569546:-1 gene:ONIVA08G26830 transcript:ONIVA08G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G23940) TAIR;Acc:AT5G23940] MAAVDNAPPSPVVTVTSTRTVAPAAAKCVLATFDLPYITFYYNQKLLLYRLPNGASDFPDAVARMSASLSDALAYFYPLAGRIRQDDHDGSLSIHGQHGAEVIEASADHVSVDQLAGEECSEEAEKVMQLLVPYTGVMNLEGLNRPLLAVQLTRLRDGVAVGCAFNHAVLDGTSTWHFMTSWAELCRVGGAPSLLPVHNRGMARSVRVNLPLPASAEAHEKTDPNGPKVPLVARVFSFPPSAVARAKAAANAALPPGAKPFSSFQSLAAHIWRAVSRARALGPSDITVFAVFADCRARLSPPLPPAYFGNLIQAVFTGVPAGMLLAGPPELAAGLLQKAIDDHDAAAITRRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFRVYDVDFGFGRPERVRSGANNKFDGMVYLYPGRGGDGGIDVELSLQPEPMQRLDKDQDFLQMRAP >ONIVA08G26820.1 pep chromosome:AWHD00000000:8:26561100:26563324:1 gene:ONIVA08G26820 transcript:ONIVA08G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESFTCQTPQQKAPKARSHRSRGLACQCRPILLKPISVASSFRPIAPLPRPYPIGERIISFRSTRVREADSRRQRASPSPPRPPKVSGAIAPCLLPIVAATASPPNPLRLLPINRSRHTTRYKEITASPASANP >ONIVA08G26810.1 pep chromosome:AWHD00000000:8:26549798:26553520:-1 gene:ONIVA08G26810 transcript:ONIVA08G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSSSSSSAPPAAAEATSLAPGFRFHPTDEELVSYYLKRKVHGRPLKVDAIAEVDLYKVEPWDLPARSRLRSRDSQWYFFSRLDRKHANRARTNRATAGGYWKTTGKDREVRNGPTTVGMKKTLVFHAGRAPKGERTNWVMHEYRLDGQTTIPPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDDEDVGLLPVEEKDNSDDQEKEISGAMEKGYLQMSDLVQNLVDQNENGTIALPVSDNSNNSNHSEDVDGNSGDILSDQNLGSNFLHHVEPVEQNGLVLNENMFSSANAGDLFNISSPNDGFLELKDFADIADLENPLANESTIWPSDGWPWKSTDSMEAVNGASNEFSPLAGEQIFQPEELEQLLQSLQEDSHMSSTISDPPHSSITNLAKPEEDCLMFYDAPFDSSMCDDGFRQLNGFLGSPSTNLSGIDMVDDGMPYYDAMDDNLFNDLLSSVQPSAGSSSHAFSGPVLTQEVNNTTYTYSPTQKVLEPNFVVGAPSSARLPEAGSQLNYVVLPDSQTKSSLIGKRFVKILDSISAPPAFAAAEFPASLRKSLAPISGAHHNTIRVSAEVISIGSLTPASQDKWSLEKDEGMELLFSAGFEPDTRVHFGCNTITAVLRGGFCLFFFSAIMLLVSYEVGMCIYGK >ONIVA08G26800.1 pep chromosome:AWHD00000000:8:26545213:26553288:1 gene:ONIVA08G26800 transcript:ONIVA08G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lactate/malate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G58330) TAIR;Acc:AT5G58330] MAAIDLSSPARSSPAPLSPRRGSLHLLLRRPRRPTLRCSLDAAPKQAQAQGPPAAVAAEEAPTARKECYGVFCTTYDLRADEKTKSWKSLVNVAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGIDPYVVFEDAEWALLIGAKPRGPGMERSALLDINGQIFAEQGKALNSVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKINGRPVKEVIKDTKWLEDEFTKTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVNPTPEGDWFSTGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVKDVAMDDFLWERIKKSEAELLAEKRCVAHLTGEGNAFCDLPGDTMLPGEM >ONIVA08G26800.2 pep chromosome:AWHD00000000:8:26545213:26553410:1 gene:ONIVA08G26800 transcript:ONIVA08G26800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:lactate/malate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G58330) TAIR;Acc:AT5G58330] MAAIDLSSPARSSPAPLSPRRGSLHLLLRRPRRPTLRCSLDAAPKQAQAQGPPAAVAAEEAPTARKECYGVFCTTYDLRADEKTKSWKSLVNVAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGIDPYVVFEDAEWALLIGAKPRGPGMERSALLDINGQIFAEQGKALNSVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKINGRPVKEVIKDTKWLEDEFTKTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVNPTPEGDWFSTGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVKDVAMDDFLWERIKKSEAELLAEKRCVAHLTGELVRSPLGARPAWKTRVFFMPTVVGPLRTSLSLPPPAVARLVRARLACLRSRRLKKYHWESRLRRRERAGRSHGSTLYRSTSAMASTLSGRPWTFRFR >ONIVA08G26800.3 pep chromosome:AWHD00000000:8:26545213:26552673:1 gene:ONIVA08G26800 transcript:ONIVA08G26800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:lactate/malate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G58330) TAIR;Acc:AT5G58330] MAAIDLSSPARSSPAPLSPRRGSLHLLLRRPRRPTLRCSLDAAPKQAQAQGPPAAVAAEEAPTARKECYGVFCTTYDLRADEKTKSWKSLVNVAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGIDPYVVFEDAEWALLIGAKPRGPGMERSALLDINGQIFAEQGKALNSVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKINGRPVKEVIKDTKWLEDEFTKTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVNPTPEGDWFSTGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVKDVAMDDFLWERIKKSEAELLAEKRCVAHLTGEGNAFCDLPGDTMLPGEM >ONIVA08G26800.4 pep chromosome:AWHD00000000:8:26552553:26553410:1 gene:ONIVA08G26800 transcript:ONIVA08G26800.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:lactate/malate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G58330) TAIR;Acc:AT5G58330] MASHYQQQNNQQIEAVAAVNMPTKGGGGDLWWDGGLAVEAVLVHDPVGALALGGAAGVEDEGLLHADGGGAVADLPVLAGGLPPPAVARLVRARLACLRSRRLKKYHWESRLRRRERAGRSHGSTLYRSTSAMASTLSGRPWTFRFR >ONIVA08G26790.1 pep chromosome:AWHD00000000:8:26541995:26543372:1 gene:ONIVA08G26790 transcript:ONIVA08G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCTWALGRVVLAVAFLVALAAGDAAPPKVHRNHGKFTAGPWKQAHATFYGGRDGSGTLDGACGYKDTSKEGYGVQTVAVSTPLFGAGAGCGACYEVKCVDSPDGCKVGAAPLVVTATNLCPPNPGQSNDNGGWCNPPREHFDLSMPAFLQIAQEKAGIVPISYRRVPCVKVGGIRYTITGNPYFNLVMVSNVGGAGDVAGLSVKGNKRVKWTPLKRNWGQEWQTSEVLTGESLTFRVMTGDHRKATSWHVLPPDWQFGVTYQATKNFN >ONIVA08G26780.1 pep chromosome:AWHD00000000:8:26540505:26541450:1 gene:ONIVA08G26780 transcript:ONIVA08G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQRRGERATEAAAGGDGATAWRPAAASSGRLLAAWWRIATTQWRSVEAVAAVGGSGDGDHDYGGSGENLVRPWSDRQRWFIPPPEGVVVLYHPSRVIAGRKPILGSFEP >ONIVA08G26770.1 pep chromosome:AWHD00000000:8:26536806:26540010:1 gene:ONIVA08G26770 transcript:ONIVA08G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:copper/zinc superoxide dismutase 2 [Source:Projected from Arabidopsis thaliana (AT2G28190) TAIR;Acc:AT2G28190] MQAILAAAMAAQTLLFSATAPPASLFQSPSSARPFHSLRLAAGPGGAAAARALVVADATKKAVAVLKGTSQVEGVVTLTQDDQGPTTVNVRVTGLTPGLHGFHLHEFGDTTNGCISTGPHFNPNNLTHGAPEDEVRHAGDLGNIVANAEGVAEATIVDKQIPLSGPNSVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPL >ONIVA08G26760.1 pep chromosome:AWHD00000000:8:26526953:26530575:-1 gene:ONIVA08G26760 transcript:ONIVA08G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTRTRRRAATASPGRNKVWVEPPGKTHHLRSPPPPPSPSSSKRVAVVYYLCRNRHLEHPHFIEVPLASPDGLYLRDVINRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPDRQQNGVGEPKVETLKHPPEESPHSRGSQEGCSSSSSPSAVTKEASPPPPTPQPQQQAQSATLLPSSSASTNREDDQCRTPRSGSSGNMSPEPAGRVAPLLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDAPERNSRMAGVCTENSTSDSEIQECHPRSTQPSPKGPGVVRESPPVCSSDASPGGRVETLESLIRAEASRRSSFRALEEEHLFAPMGVKFKPANLLMQLITCGSISVKDHRSFGLIPTYRPRGSLVETKKQDESGKGGTPTLKRSSSCSEDRVSDSNRDMESMAESGSFRCLPQTIKMISCKQSRSGTILSPNSDVRNSCSRQECSTRSSPLGSSKSASNRMTDLSLGKLSSSRVESFHEEKEKACFWSSGYNPIFTLLQVGILSHPSIHCLVCAAS >ONIVA08G26760.2 pep chromosome:AWHD00000000:8:26526953:26530575:-1 gene:ONIVA08G26760 transcript:ONIVA08G26760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTRTRRRAATASPGRNKVWVEPPGKTHHLRSPPPPPSPSSSKRVAVVYYLCRNRHLEHPHFIEVPLASPDGLYLRDVINRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPDRQQNGVGEPKVETLKHPPEESPHSRGSQEGCSSSSSPSAVTKEASPPPPTPQPQQQAQSATLLPSSSASTNREDDQCRTPRSGSSGNMSPEPAGRVAPLLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDAPERNSRMAGVCTENSTSDSEIQECHPRSTQPSPKGPGVVRESPPVCSSDASPGGRVETLESLIRAEASRRSSFRALEEEHLFAPMGVKFKPANLLMQLITCGSISVKDHRSFGLIPTYRPRFTQVEFPSPMFSTPLALRHLDNIPCNARTIGMRIPESEYFSGSLVETKKQDESGKGGTPTLKRSSSCSEDRVSDSNRDMESMAESGSFRCLPQTIKMISCKQSRSGTILSPNSDVRNSCSRQECSTRSSPLGSSKSASNRMTDLSLGKLSSSRVESFHEEKEKACFWSSGYNPIFTLLQVGILSHPSIHCLVCAAS >ONIVA08G26760.3 pep chromosome:AWHD00000000:8:26526953:26530575:-1 gene:ONIVA08G26760 transcript:ONIVA08G26760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTRTRRRAATASPGRNKVWVEPPGKTHHLRSPPPPPSPSSSKRVAVVYYLCRNRHLEHPHFIEVPLASPDGLYLRGLYPSLFLVQASVFFFFPFSIPTNDAGGVDADVINRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPDRQQNGVGEPKVETLKHPPEESPHSRGSQEGCSSSSSPSAVTKEASPPPPTPQPQQQAQSATLLPSSSASTNREDDQCRTPRSGSSGNMSPEPAGRVAPLLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDAPERNSRMAGVCTENSTSDSEIQECHPRSTQPSPKGPGVVRESPPVCSSDASPGGRVETLESLIRAEASRRSSFRALEEEHLFAPMGVKFKPANLLMQLITCGSISVKDHRSFGLIPTYRPRFTQVEFPSPMFSTPLALRHLDNIPCNARTIGMRIPESEYFSGSLVETKKQDESGKGGTPTLKRSSSCSEDRVSDSNRDMESMAESGSFRCLPQTIKMISCKQSRSGTILSPNSDVRNSCSRQECSTRSSPLGSSKSASNRMTDLSLGKLSSSRVESFHEEKEKACFWSSGYNPIFTLLQVGILSHPSIHCLVCAAS >ONIVA08G26750.1 pep chromosome:AWHD00000000:8:26523254:26526163:1 gene:ONIVA08G26750 transcript:ONIVA08G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IFU7] MAAEADARKVCGLPERVQLHGAMLALQFGYAGFHVVSRFALNMGISKLVFPVYRNIIALILLVPFAYFLEKKDRPQLTLSFVVQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIERVRLDRRDGVAKVLGTLACVAGASVITLYKGPTIFGPKLQLQAVAEVPLRAAIAGEGKNWTLGCVYLIGHCLSWSGWLVLQKPVLKKYPARLSVTSYTCFFGVIQFLIIAAFMERDADAWAFHSGSELFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGESFYLGGIIGAVFIIAGLYLVLWGKSHERARLAKDAAAIATDRDAAPCRIIAAGKQSSSVTQPLLLPTSSSSDNAV >ONIVA08G26740.1 pep chromosome:AWHD00000000:8:26517313:26518180:1 gene:ONIVA08G26740 transcript:ONIVA08G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCRSQAPVGGVAAADLATLSAGGKGARRRGEGATPPRRRPYGLRRWCRGEQHVPAKQEKA >ONIVA08G26720.1 pep chromosome:AWHD00000000:8:26500179:26500790:-1 gene:ONIVA08G26720 transcript:ONIVA08G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQASAAKCHLLAAWAPAKPRSSTLSMPTSRAPTSLRAAAEDQPAAAATEEKKPAPAGFVPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNFRSIGKNVSPIEVKFTGKNVFDI >ONIVA08G26710.1 pep chromosome:AWHD00000000:8:26498005:26499298:1 gene:ONIVA08G26710 transcript:ONIVA08G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRDLLAWYAIRGMYSDVLALAVTMVLDHEDFTGVRVKSGTLFDNILITDDPEYAKKFAEETWAKHKDAEKTAFDEAEKRRLEEDDEDEADDDKADVVAEQTKDSDDEKPQDIKVSADEKPNSSKYDSSLCEEG >ONIVA08G26700.1 pep chromosome:AWHD00000000:8:26494168:26496439:1 gene:ONIVA08G26700 transcript:ONIVA08G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSADMERIFKRFDTNGDGKISLSELTDALRTLGSTSADEVQRMMAEIDTDGDGFIDFNEFISFCNANPGLMKDVAKVNSVVAAAAAGNDDIDAAASGKDDIDAATAAGNDEFAAAVACFNVAAATAAGKDDIDAAAAGKDDIDAAATGNDEFATAAACFNAAAAGKDEFDAAAAACFNACWNPLLAAT >ONIVA08G26690.1 pep chromosome:AWHD00000000:8:26490992:26493754:-1 gene:ONIVA08G26690 transcript:ONIVA08G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIAGAATRRLLLAPARRRAFWSSPWAEESPHSPGPSADENKKKKPSSHHRLAAVMDAVADRKLPPELRGRANAVRSETDIINVVEQRIWHSMEEGHFENLPGKGKPLNLNSNPHADPAEDTLYRILSRNGCAPEWVELNKEIRGMIARWRSALRKAWANRSEDDRSTWHDDHRLLQEQIRQINDKVFRYNLIMPFGRQMFGLNWDKELDKLKHVEHEAKGVEIMVKVEIKEKKNLQLVSACGVILLFSTEQEIV >ONIVA08G26680.1 pep chromosome:AWHD00000000:8:26485558:26492539:1 gene:ONIVA08G26680 transcript:ONIVA08G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IFU0] MGLLVRPVALLIYCTTASLFFLTSSSAAAIAGGTPDGSEEWGYVQVRPKAHMFWWLYRSPQRVNNKGSTPWPTVLWLQGGPGASGVGYGNFMEIGPLDTNLKPRPSTWLSKADLLFVDELNGAMRWQWQDNPVGTGFSYVEGGDRTLLARTDAEAATDLTTLLSQLYRSNNTRLQGSPLYIVAESYGGKFAVTTALAALKAIHAGRLAASLAGVALGNSWISPEDSVLSWGPLLYQVSRLDENGLYLSDSLAQQIKAQVKAAQFLEAENTWQSLESIILEQANFIDFYNFLKDDSSSDANLEQQQRQRLLASLGQSRRRYSGYLSSKVTTEGGFEGIMNTVIRDKLRIIPKNVTWSEQSDDVFEALAGDFMKPRILEVDELLNLGLNVTIYSGQLDLICATKGTLDWIQKLKWDGLKNFTNSRRVPLYCSGGEAAGTQAFLKSYKNLKFYWILGAGHMVPIDNPCPALKMLGDIIQSPAQ >ONIVA08G26680.2 pep chromosome:AWHD00000000:8:26485558:26492539:1 gene:ONIVA08G26680 transcript:ONIVA08G26680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IFU0] MGLLVRPVALLIYCTTASLFFLTSSSAAAIAGGTPDGSEEWGYVQVRPKAHMFWWLYRSPQRVNNKGSTPWPTVLWLQGGPGASGVGYGNFMEIGPLDTNLKPRPSTWLSKADLLFVDNPVGTGFSYVEGGDRTLLARTDAEAATDLTTLLSQLYRSNNTRLQGSPLYIVAESYGGKFAVTTALAALKAIHAGRLAASLAGVALGNSWISPEDSVLSWGPLLYQVSRLDENGLYLSDSLAQQIKAQVKAAQFLEAENTWQSLESIILEQANFIDFYNFLKDDSSSDANLEQQQRQRLLASLGQSRRRYSGYLSSKVTTEGGFEGIMNTVIRDKLRIIPKNVTWSEQSDDVFEALAGDFMKPRILEVDELLNLGLNVTIYSGQLDLICATKGTLDWIQKLKWDGLKNFTNSRRVPLYCSGGEAAGTQAFLKSYKNLKFYWILGAGHMVPIDNPCPALKMLGDIIQSPAQ >ONIVA08G26670.1 pep chromosome:AWHD00000000:8:26474123:26475027:-1 gene:ONIVA08G26670 transcript:ONIVA08G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGAAGTTRLPEWLETLLSTRFFLACGAHPASPRNECNMFCLDCPSPSPPFCYYCRSHRHQSHRVIQIRRSSYHDVVRVTEVEDVLDISGVQTYVINSAKVLFLNERPQPRGAGAAAGKAAASPYNCQICARALLDPFRFCSLGCKLVDTKTGGRGATVQPGDATNDDAAAAGGSSKNGGARPQGRRRKGIPQRAPFGS >ONIVA08G26660.1 pep chromosome:AWHD00000000:8:26455992:26458549:1 gene:ONIVA08G26660 transcript:ONIVA08G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDLVNAGEQQQMGSKRAAAEDGDGGVDDSREYYCRRGVRHLCDSGITRLPGNYVLPASDRPGQAAGAAAAAGGSVKLPVVDLSRLRVPSERGAVLRTLDAACREYGFFQVVNHGVGGEVVGGMLDVARRFFELPQPERERYMSADVRAPVRYGTSFNQVRDAVLCWRDFLKLACMPLAAVVESWPTSPADLREVASRYAEANQRVFMEVMEAALEALGVGGGGVMEDLAAGTQMMTVNCYPECPQPELTLGMPPHSDYGFLTLVLQDEVAGLQVMHAGEWLTVDPLPGSFVVNVGDHLEILSNGRYRSVLHRVKVNSRRLRVSVASFHSVAPERVVSPAPELIDDRHPRRYMDTDLATFLAYLASAAGNHKSFLHSRRLY >ONIVA08G26650.1 pep chromosome:AWHD00000000:8:26441107:26447377:1 gene:ONIVA08G26650 transcript:ONIVA08G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPPVPSKVDASSRPDRSPRPQSLEISWDSQALCKRAESCELEAEAPSINPTLLPVLEDLLIELYAILRPKPDDYEQRHLMIDVFNKIAEEIYGKKKGFPVVEAFGSFTMDLFTSQSDLDLSVNFNADFHSQFARKDKISVIQNLAKVLYAHQRNGRCHGVLPVVTAKVPVLKVIDKGTGVECDISVENKDGVSRSMIFKLISSIDERFQILCYLMKFWAKAHDVNCPRDRTMSSMAIISLVAFHLQTRRPPILPAFSALLKDGPDFPSIQRNVSLVEGFGSRNKESVAELFVSLMSKLLSVEGLWEQGLCASNFEGSWIFKTWERGVGNLSVEDFLDRSQNFARAVGKEEMQKISECIRVAVLNLNNFFRGKIDAPKLKNLLFEPPRQDELISNPSLKRPKRKDHPTHGPESNPQQQKKAKHIIGPESNQKQQKKVKHTVNPGPAASRSATNLHRPTAFVPQIRPIQPINQVSHIPQPLVDPMFAYGLPPQQHLHSAPLYSQGLLGQQQGNFIHLNPGIQPQQQAQHMFVPLLEQQPVINGFHPYDFHLAQLIQHNENIALQRNIAYGTYPYYRR >ONIVA08G26650.2 pep chromosome:AWHD00000000:8:26441107:26447377:1 gene:ONIVA08G26650 transcript:ONIVA08G26650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPPVPSKVDASSRPDRSPRPQSLEISWDSQALCKRAESCELEAEAPSINPTLLPVLEDLLIELYAILRPKPDDYEQRHLMIDVFNKIAEEIYGKKKGFPVVEAFGSFTMDLFTSQSDLDLSVNFNADFHSQFARKDKISVIQNLAKVLYAHQRNGRCHGVLPVVTAKVPVLKVIDKGTGVECDISVENKDGVSRSMIFKLISSIDERFQILCYLMKFWAKAHDVNCPRDRTMSSMAIISLVAFHLQTRRPPILPAFSALLKDGPDFPSIQRNVSLVEGFGSRNKESVAELFVSLMSKLLSVEGLWEQGLCASNFEGSWIFKTWERGVGNLSVEDFLDRSQNFARAVGKEEMQKISECIRVAVLNLNNFFRGKIDAPKLKNLLFEPPRQDELISNPSLKRPKRKDHPTHGPESNPQQQKKAKHIIGPESNQKQQKKVKHTVNPGPAASRSATNLHRPTAFVPQIRPIQPINQVSHIPQPLVDPMFAYGLPPQQHLHSAPLYSQGLLGQQQGNFIHLNPGIQPQQQAQHMFVPLLEQQPVINGFHPYDFHLAQLIQHNENIALQRNIAYGTYPYYRR >ONIVA08G26640.1 pep chromosome:AWHD00000000:8:26438241:26440257:-1 gene:ONIVA08G26640 transcript:ONIVA08G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQPKVAMHKITTSLHLVHRILDSILDRAYDDKLDRLYESVNNDDQRGGLKILASQAHHIHELAKEVQINFSGYMEMQRKEEKRFGSMISSLKKENQDIRSMLKIAVTEKEAAENRLCVLKGDKDQGRSAILQIAEKGLHKVGFGFIMDVITGESEREENMSSNSATEISTTGRESDQGVDSLTSVVGKTMENMQNEINDLRQALHKSRSDCDRLQLLAAEQAQKIVKYELHIKDLEEREIFLLHSVEELTVDLKEVEREAARWREACELEVEAGKDAIKQLNQEVALLTEELRRVKADLEAANSKLQLKEKLAATAMAAQAAADECLKLADSRSAGLQLRIEELTKQIEQEDEHAGKERGSAHRRTRYACWPLRRLRVISASSSARKWFVDQNGRLPPGTEALLQIRI >ONIVA08G26640.2 pep chromosome:AWHD00000000:8:26438241:26440257:-1 gene:ONIVA08G26640 transcript:ONIVA08G26640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQPKVAMHKITTSLHLVHRILDSILDRAYDDKLDRLYESVNNDDQRGGLKILASQAHHIHELAKEVQINFSGYMEMQRKEEKRFGSMISSLKKENQDIRSMLKIAVTEKEAAENRLCVLKGDKDQGRSAILQIAEKGLHKVGFGFIMDVITGESEREENMSSNSATEISTTGRESDQGVDSLTSVVGKTMENMQNEINDLRQALHKSRSDCDRLQLLAAEQAQKIVKYELHIKDLEEREIFLLHSVTEKGLMFLFAMLKVEELTVDLKEVEREAARWREACELEVEAGKDAIKQLNQEVALLTEELRRVKADLEAANSKLQLKEKLAATAMAAQAAADECLKLADSRSAGLQLRIEELTKQIEQEDEHAGKERGSAHRRTRYACWPLRRLRVISASSSARKWFVDQNGRLPPGTEALLQIRI >ONIVA08G26630.1 pep chromosome:AWHD00000000:8:26436592:26438780:1 gene:ONIVA08G26630 transcript:ONIVA08G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQPSEKKPPASGGEKKAPLPKVVTLNKALKLAQTWVDKMSASDQDEPKAKDFEGRPPGLGLGAKVAPNVKRAAPTDPVERRLLGKVNAQKRKATEEEKTIAQEVNDDSDDDSGETESRTSAFSKKRTAPSVTAMPLGKKAR >ONIVA08G26630.2 pep chromosome:AWHD00000000:8:26436592:26438805:1 gene:ONIVA08G26630 transcript:ONIVA08G26630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQPSEKKPPASGGEKKAPLPKVVTLNKALKLAQTWVDKMSASDQDEPKAKDFEGRPPGLGLGAKVAPNVKRAAPTDPVERRLLGKVNAQKRKATEEEKTIAQEVNDDSDDDSGETESRTSAFSKKRTAPSVTAMPLGKKAR >ONIVA08G26620.1 pep chromosome:AWHD00000000:8:26431546:26436500:1 gene:ONIVA08G26620 transcript:ONIVA08G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dehydratase family [Source:Projected from Arabidopsis thaliana (AT3G23940) TAIR;Acc:AT3G23940] MQSLALTSPSLPAPATASGRRRRRLERIRATAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLRLAEAVRDGVREAGMVAFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEFVTGSISDEQRKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPKDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLQLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGMFFSGPALVFEGEESMITAISENPANFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDKITIDVVKRVIDVELTEAELEERRRKWTPPPHKAARGALWKYTKLVSPASRGCVTDE >ONIVA08G26610.1 pep chromosome:AWHD00000000:8:26427041:26430535:-1 gene:ONIVA08G26610 transcript:ONIVA08G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT2G36130) TAIR;Acc:AT2G36130] MDPRSKADRIVRRTAMIGAATAAYFLLTADYGPDYPNPRSPRICGLPSSSEERRESLLFSSLLAPPPCPVPKMWGSADGGTPEVTLETSMGAFTIEMYYKHAPKTCRNFLELSRRGYYDNVIFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIRPELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVSKGMEIVKRLGSVQTDKSDRPIHEVKILRTVVKD >ONIVA08G26600.1 pep chromosome:AWHD00000000:8:26418387:26426391:1 gene:ONIVA08G26600 transcript:ONIVA08G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11540) TAIR;Acc:AT3G11540] MGRPGMDSTEGRESNGVVPERNGGAVPAKQQLDGKDTLRYANILRSRNKFAEALQLYNNVLEKDEANVEALIGKGICLQAQSLPMQAIECFNEAVRIDPGNACALTYCGMIYKDEGHLVEAAEFVVINTRSLKCCICGSLKAKYSNAEAYQKARNADPSYKPAAEFLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDSHYAPAYYNLGVVYSEMMQFDLALTCYEKAALERPLYAEAYCNMGVIYKNRGELEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQGKMDAASSMIQKAIFANSTYAEAYNNLGVLYRDAGSITSAVQAYEKCLQIDPDSRNAGQNRLLALNYIDEGFDDKLYQAHREWGKRFLKLYPQYTSWDNPKVADRPLVIGYVSPDYFTHSVSYFIEAPLAHHDYSNYKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKKVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRITDSLADPPDTTQNLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLTKVGLGRLVAKSENEYVSLALDLAADVTALQELRMSLRGLMAKSPVCDGENFTRGLESAYRNMWRRYCDGDAPALRRLDLLQEEPCSNNNKQDFDDNQVAKLADLKAQRVDAAVDGDKQSQLTAHAAVVGEVQQAPIMVNGVSSPVSSGKVEANGHISR >ONIVA08G26590.1 pep chromosome:AWHD00000000:8:26412064:26415241:1 gene:ONIVA08G26590 transcript:ONIVA08G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal export protein NMD3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IFS8] MQPNPANMCTRCIRAGVNIIEGVPRHAAVYCPDCTSYLQPPRSWLRAAPESPELMQILLRGLNRPLARPSPAPSSSSPSPTPSASASSSAEWIPVLDGAHARSPSPVLTPALRPSSPPRHRLASEDRAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAINDLMTRGLIRMVSVHSSQQIYTRATNT >ONIVA08G26580.1 pep chromosome:AWHD00000000:8:26408912:26410794:-1 gene:ONIVA08G26580 transcript:ONIVA08G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVVAAASRVQARLAARLAPRRLLSFGGKVLGEEEKAAENIYIKKMEQEKLEKLARQGPSPGEQGSSTPAADVKAEGGPTAGASTVKNKNYTLIAGAVGVLGASAIAWYRLSKPEKSEEVAN >ONIVA08G26570.1 pep chromosome:AWHD00000000:8:26405817:26410906:1 gene:ONIVA08G26570 transcript:ONIVA08G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSALTSLPLRLRSPAPVAAACGGMRLMSDGKGRVLSEEERAKENVYVQKMERERMEKRKKKLEKEKAEADKGNPAASDKNTEGGSN >ONIVA08G26560.1 pep chromosome:AWHD00000000:8:26403412:26405682:1 gene:ONIVA08G26560 transcript:ONIVA08G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEVLKEAISQVVGESKEKGRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKLASWGLPRQIGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPIRVF >ONIVA08G26550.1 pep chromosome:AWHD00000000:8:26396777:26403081:-1 gene:ONIVA08G26550 transcript:ONIVA08G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLFWYTKDKKSDSEDALKEEIRRVKEEEEQSMREALGLVPKRSSRPKGNRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKGPRREEESSSFNLDPPEMVPAEQADNPPPETKPEREDSDDDRRSKRRREERGGEKERKRERHSEGKERRRDKQEKRSRHESDDRSKRHRKDKHKRRHDSDSDYVRVTRLDEGQIRNFLGRRGVGLIRSKGTARHPRTRIHLHLQHEPRRERKREATISALLEGAMNGNKQSLIYSFVAKGSVVLAEHTAFSGNFSTIAVQCLQKLPPNTSKSTYSCDGHTFNFLVDRGFVFLVVADEAVGRSVPFVFLDRVKEDFMQRYGSSIDEEGQHPLADDADDDDFLLEDRFSIAYNLDREFGPRLKDHMLYCINHPEEISKLSKVKAHLTEVKGIMMDNIEKILERGEKIELLVGKTETLQSQADSFHRHGRELRRKMWLQNLRFKLMVGGAVAALILFLWLIICGGFKC >ONIVA08G26550.2 pep chromosome:AWHD00000000:8:26396777:26398645:-1 gene:ONIVA08G26550 transcript:ONIVA08G26550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNKQSLIYSFVAKGSVVLAEHTAFSGNFSTIAVQCLQKLPPNTSKSTYSCDGHTFNFLVDRGFVFLVVADEAVGRSVPFVFLDRVKEDFMQRYGSSIDEEGQHPLADDADDDDFLLEDRFSIAYNLDREFGPRLKDHMLYCINHPEEISKLSKVKAHLTEVKGIMMDNIEKILERGEKIELLVGKTETLQSQADSFHRHGRELRRKMWLQNLRFKLMVGGAVAALILFLWLIICGGFKC >ONIVA08G26550.3 pep chromosome:AWHD00000000:8:26399800:26403081:-1 gene:ONIVA08G26550 transcript:ONIVA08G26550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLFWYTKDKKSDSEDALKEEIRRVKEEEEQSMREALGLVPKRSSRPKGNRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKGPRREEESSSFNLDPPEMVPAEQADNPPPETKPEREDSDDDRRSKRRREERGGEKERKRERHSEGKERRRDKQEKRSRHESDDRSKRHRKDKHKRRHDSDSD >ONIVA08G26540.1 pep chromosome:AWHD00000000:8:26383203:26388964:-1 gene:ONIVA08G26540 transcript:ONIVA08G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36200) TAIR;Acc:AT2G36200] MSSRQDKEKAVNVQVLLRCRPFSDDEVRSNAPQVITCNDYQREVAVTQTIAGKQIDRVFTFDKVFGPTAKQRDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEVVQRLPADAGVIPRAVKQIFDTLESQNTEYSVKVTFLELYNEEITDLLAPEEISKAALEERQKKPLPLMEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMTTSLEANQKQINDLQEKYDSELQHSADLSKKLEATEKCLDHTSNLLSTTKEDLKQAQYNLKEKDYIISEQRKAENALIQQACLLRSDLEKSNRENAALYSKIARGDKLNAANRSVVNSFQADLASKLDILSTTLATSIDQQNKHLKSVENLCKSCVDSHDTATSEIKKKILASKALYMSHMEAFQNVVLLHKANSNSTLEDISSLSAASSCVEGEAQKIFGDIQNLLADHRSEVAHFTQELRESFRISLDRTKDMSSFILGLFDKYVEETSKLQSHSNHTHEAQVKSLEDFQKAYEEQSKSEEQKLLADITSLVSKHVTRQRELVGGRLNSLGDAARGNKAFLDEHTSAMEVVTKDAKRKWEMFAEQAENDCKVGSNFSAAKHCRMETILQEWSAIETNEQHEAEIASSRATAEEHASNSSKDLLQDVDNMLQEARNSSSRVVSTVEAHLGEIQHLQESHSSHTAGINTHADNAFQSSYKDYEPTGETPVRSEPEVPSKDAIESLRAMPMESLMDEFRENHPYEPSKDRRPSLIPRSPLATINN >ONIVA08G26540.2 pep chromosome:AWHD00000000:8:26383203:26388964:-1 gene:ONIVA08G26540 transcript:ONIVA08G26540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36200) TAIR;Acc:AT2G36200] MSSRQDKEKAVNVQVLLRCRPFSDDEVRSNAPQVITCNDYQREVAVTQTIAGKQIDRVFTFDKVFGPTAKQRDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRAKASLRCCCSFIMCLPLPKGCLLFVFLSSKYLQSGPKGQLPADAGVIPRAVKQIFDTLESQNTEYSVKVTFLELYNEEITDLLAPEEISKAALEERQKKPLPLMEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMTTSLEANQKQINDLQEKYDSELQHSADLSKKLEATEKCLDHTSNLLSTTKEDLKQAQYNLKEKDYIISEQRKAENALIQQACLLRSDLEKSNRENAALYSKIARGDKLNAANRSVVNSFQADLASKLDILSTTLATSIDQQNKHLKSVENLCKSCVDSHDTATSEIKKKILASKALYMSHMEAFQNVVLLHKANSNSTLEDISSLSAASSCVEGEAQKIFGDIQNLLADHRSEVAHFTQELRESFRISLDRTKDMSSFILGLFDKYVEETSKLQSHSNHTHEAQVKSLEDFQKAYEEQSKSEEQKLLADITSLVSKHVTRQRELVGGRLNSLGDAARGNKAFLDEHTSAMEVVTKDAKRKWEMFAEQAENDCKVGSNFSAAKHCRMETILQEWSAIETNEQHEAEIASSRATAEEHASNSSKDLLQDVDNMLQEARNSSSRVVSTVEAHLGEIQHLQESHSSHTAGINTHADNAFQSSYKDYEPTGETPVRSEPEVPSKDAIESLRAMPMESLMDEFRENHPYEPSKDRRPSLIPRSPLATINN >ONIVA08G26530.1 pep chromosome:AWHD00000000:8:26378333:26381667:1 gene:ONIVA08G26530 transcript:ONIVA08G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G03880) TAIR;Acc:AT5G03880] MAATALHLPPLLLARRLRFSSAAASTSTSRRTTRLSAQLDDTAAASTSTSTSDKPAAASSFAPPPDFKPPEPKTFEVKPGQSDDIVTASLAIPFRLGTGVFALGYSVSLVSPDEVAPDEYALDFQGRKVKESSKIGQCPRPEKPIEIYEFEGCPFCRKVREMVAVLDLDVLFYPCPKNGPTFRPKVLEMGGKQQFPYMVDPNTGVAMYESDAIIKYLADKYGDGTVPIMLSLGILTTITAGLAMSGRSGKGSKYTPAKLPPEPIELWAYEGSPFCKIVRETLVELELPHLLHSCARGSPRRQEFLKKYGIFQAPYIEDPNTGVKMFESADIIDYLRATYAA >ONIVA08G26520.1 pep chromosome:AWHD00000000:8:26372403:26374499:-1 gene:ONIVA08G26520 transcript:ONIVA08G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT2G27480) TAIR;Acc:AT2G27480] MADYNRYGYGGYGSTPSAPPASSYGYTTTPSAPPASSSSSYGYGHGGGGYPSSTYPPPPPSSSQAYPMGMGGFLVFPPGTHPDVERAFRAVDRDGSGSIDERELQDALSSAYHRFSIRTVRLLLFLFNKPASHSPSRIGPAEFVSLWNCLGQWRGIFDRYDRDRSGKIEKDELREALRSLGYAVPPSVLELLIANYNNGVSSRGALDFDNFVECGMIVKGLTEKFKEKDTRYSGSATLSYDGFLSMVIPFIVP >ONIVA08G26510.1 pep chromosome:AWHD00000000:8:26369638:26372145:1 gene:ONIVA08G26510 transcript:ONIVA08G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQTEALKEAISQVVADCKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPYRVF >ONIVA08G26500.1 pep chromosome:AWHD00000000:8:26365365:26365746:1 gene:ONIVA08G26500 transcript:ONIVA08G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMATRRRRGKMTVGERVSSSLSLVAGQPSDIVPEEGEPSDEGIVARHVDEADAESAPTCLDLCRLLFLFCPSDGAVFLKNIYIHYNADTHNTRTLPL >ONIVA08G26490.1 pep chromosome:AWHD00000000:8:26361857:26365145:-1 gene:ONIVA08G26490 transcript:ONIVA08G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEQIHRDKLIIDTDPGIDDSMTILMAFRAPTVEIIGLTTIFGNTTTKNATQNALLLCERAGHPEVPVAEGSAEPLKGGEPRVADFVHGSDGLGNLFLPAPTSKKVDENAAEFMVNKVSQFPGEVSILALGPLTNVALAIKRDPSFASKVKKIVVLGGAFFAAGNVSPAAEANIYGDPEAADIVFTSGADVDVVGINITTQVCFTDEDLLELRNSKGKHAQFLCDMCQFYRDWHAESDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWTVDVPNVLAFVKELLMAP >ONIVA08G26480.1 pep chromosome:AWHD00000000:8:26354831:26363122:1 gene:ONIVA08G26480 transcript:ONIVA08G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IFR4] MMASDRLDAERIIEYFKGKSILITGATGFLGKILVEKILRVQPDVKKIYLLVRAIDQASANQRVQSEVTETELFSVVKEKHGKGFSRFIEEKVVALAGDIIYDDLGLDPPLLQHLADNLDVIVNGAATTNFYGRYDVSLDVNVLGVKHLCQLAKKCRGLKVFLHVSTAYAGGEQEGLIQERAFEEGWALREGMHLDVDAELRLVADVRREVEDDDDKARRKAMKELGLARARHFGWSNTYVFTKAMGEMVLSRMLLQSSSPAPAITGAGGVVVVRPSIITSIQRDPVPGWMQGTRTIDTLIIGYAKQNLSCFLGDLDLVMDVIPGDMVVNAMMAAAVAHSGEAGQERPAVYHVSSSLRNPAAYSVLYEAGRRHFTEKPRVGKRGEVIPTKEMHFFKTIASFQVYMLVKYRLPLEILHLVNLLLCGLFSRLYSNLARQYRYVMHLVDVYGPFAFFKGCFDDINLERLRQRMGKSRNPQDDEMFNFDPKTIDWEDYFYRIHIPGVLKYILK >ONIVA08G26470.1 pep chromosome:AWHD00000000:8:26343284:26348655:1 gene:ONIVA08G26470 transcript:ONIVA08G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALTSQLNALVNNMFAMGLLDDQFQQLQMLQDSTAPDFVSEVVTLFCDDGERIICELARQLEKPNVDFDRVDSYVHQLKGSSASVGAQKVKNTCIQFREFCQQRSRDGCLKTLDLVRTEFYDLRNKFQAMLQLEQQIQACYPKH >ONIVA08G26460.1 pep chromosome:AWHD00000000:8:26338431:26342158:1 gene:ONIVA08G26460 transcript:ONIVA08G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKHFTYVILGGGVAAGYAAREFAKQGVKPGELAIISKESVAPYERPALSKGYLFPQNAARLPGFHTCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSSADATFTYDTLLIATGSSVIKLTDFGVQGAEANDILYLRDIEDADKLVAAMQAKKDGKAVVVGGGYIGLELSAALKTNNFDVTMVYPEPWCMPRLFTSGLAAFYEGYYANKGIHIIKGTVAVGFDADANGDVTAVKLKNGNVLEADIVIVGVGGRPLTHLFKGQVAEEKGGIKTDAFFETSVPGVYAIADVAAFPMKIYNEIRRVEHVDHARKSAEQAVKAIKAKEAGESVPEYDYLPYFYSRSFDLSWQFYGDNVGEDVLFGDNDPTAAKPKFGSYWIKDGKVVGVFLEGGSAEENQVIAKVARAQPPVADVEALKKEGLDFAAKV >ONIVA08G26450.1 pep chromosome:AWHD00000000:8:26332071:26338233:1 gene:ONIVA08G26450 transcript:ONIVA08G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclophilin71 [Source:Projected from Arabidopsis thaliana (AT3G44600) TAIR;Acc:AT3G44600] MATASDAPASSTITTATDDAEVERDQGNGNGAVSAAPAAVGKEAAAEEEEMIGPAPVPPRPRKKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADYFITGSADVMQVSVDGLLCCTISSDRSVKIYDVVNYDMMFMMRLPFVPGAIEWVYRQGDVKPKLAVSDRNTPFVHIYDTHSGSNDPIISKEIHAGPVKVMKYNHVHDVVISADAKGLLEYWSPSTLKFPEDAVNFRLKTDTNLFEIAKCKTSVSAIEMSNDGTQFVVTSPDRRIRVFWFKTGKLRRVYDESLEIINLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSVAANVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDNLVMHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPKT >ONIVA08G26440.1 pep chromosome:AWHD00000000:8:26327830:26331629:-1 gene:ONIVA08G26440 transcript:ONIVA08G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein tyrosine phosphatases;protein tyrosine phosphatases [Source:Projected from Arabidopsis thaliana (AT3G44620) TAIR;Acc:AT3G44620] MAGAAAASSAACSSPAPNPTTRYPVRRRVPRPPLVADSRHCTASPFPTTISISSSGLGQARPRDPFLNPRLRFAAAAAAAEGEMAAEASAAAAEGAQAKPFSVLFVCLGNICRSPAAEAVFRNLVSKRGLESKFLIDSAGTIGYHEVGNKADSRMRSASKKRGIEVTSISRPVKPSDFRDFDLILAMDRQNYEDLLSSFERWRHREPLPDSGPKKVKLMCSYCKRHTESEVPDPYYGGPQGFEKVLDLLEDACESLLDSIVAENASVSA >ONIVA08G26430.1 pep chromosome:AWHD00000000:8:26326675:26327541:1 gene:ONIVA08G26430 transcript:ONIVA08G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSCFGDSSVGIAAAAAGDSGGGGGALDRSLQAATTTVYGASLHSGKELLIRVTWTRSAAGATGLAVAFDDALSPSSRCAHHVLHKKRGSRSLATAAGTAVGVHWDTAEATYASGSSPEPTGDYYLAVVGGAARDLSRRFGDDGGGAVVLSRREQLRGAATAHTTRCRFREGGAEHEVAVHATRGGGGGGEGEVRVSIDGKRVAEVRRVGWGFRGNRAAVLADGEVVDVMWDVHDWWFGRGGGGGGAGAGAQFMVRARAEKEGRLWMADQPPARGGFFLHVQCYRR >ONIVA08G26420.1 pep chromosome:AWHD00000000:8:26322874:26323893:-1 gene:ONIVA08G26420 transcript:ONIVA08G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQPPNAVAVRATAAGKEPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSLTVLQRAVRSWNAHNHKHPVAGGGVRFCVNFGDIVDGFCPKDRSLAAVQAVVAEFDRFHGPAYHMLGNHCLYNLPRSKLVSLLRMPSGRAYYDFSPFPGYRFVVLDAYDFSAVGWPRGHPVAAAARRFLDERNPNADKNSPSGLAGVDRRFVMFNGAVGEAQLRWLDGVLRGAARRREAAVVCSHLPLHPGAASPSGLMWNYEEVMRVVHRHGCVAACLAGHDHRGGYGVDARGVHHRTLEAALECPPGTDAFGRVDVYPDGLTLVGAGRMGSTDMRLQLHSPVTELS >ONIVA08G26410.1 pep chromosome:AWHD00000000:8:26319049:26320579:-1 gene:ONIVA08G26410 transcript:ONIVA08G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSVTSLAMAMAAAASADATQAPHKLSALPFHHLLFSRAPPLLRASRRLPLAPLVASSDAAAAAEAGVEWSETEEADEGSQEEEEEEEVVEEQAEAEEEVVASVEEEEEEAVEEEFAAEPPEEAKVYVGNLPYDVDSEGLAQLFEQAGVVEVAEVIYNRETGQSRGFGFVTMSTIEEADKAIEMLNRYDINGRLLNVNRAAPRGSRVERPPRQFAPAFRAYVGNLPWQVDDSRLLQLFSEHGEVVNASVVYDRESGRSRGFGFVSMASKEELDDAISALDGQELDGRPLRVNVAAERPQRGF >ONIVA08G26400.1 pep chromosome:AWHD00000000:8:26315807:26320645:1 gene:ONIVA08G26400 transcript:ONIVA08G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRLWSSGASDKNKAMVEQLQRYGIIKSSKVAQVMETIDRGLFVPPGASPYFDSPMPIGYNATISAPHMHASCLELLEKHLQPGMRALDVGSGLPYLIYSVSLNHFNLFREGTGYLTACFAIMVGPEGRAVGVEHIPELVTSSIENIKKSAAAPQLTDGSLSIHITDGREGWPELAPYDAIHVGAAAPQIPQALIEQLKPGGRMVIPVGTMFQELKVVDKNQDGKVSIRDETAVRYVPLTSKDAQLQAN >ONIVA08G26400.2 pep chromosome:AWHD00000000:8:26315807:26320645:1 gene:ONIVA08G26400 transcript:ONIVA08G26400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRLWSSGASDKNKAMVEQLQRYGIIKSSKVAQVMETIDRGLFVPPGASPYFDSPMPIGYNATISAPHMHASCLELLEKHLQPGMRALDVGSGTGYLTACFAIMVGPEGRAVGVEHIPELVTSSIENIKKSAAAPQLTDGSLSIHITDGREGWPELAPYDAIHVGAAAPQIPQALIEQLKPGGRMVIPVGTMFQELKVVDKNQDGKVSIRDETAVRYVPLTSKDAQLQAN >ONIVA08G26390.1 pep chromosome:AWHD00000000:8:26313682:26314839:1 gene:ONIVA08G26390 transcript:ONIVA08G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVVVVAAAAVAVAVVVLLGAAAPAASAMEFGDKDVASEEALWELYERWRGQHRVARDLGEKARRFNVFKDNVRLIHEFNRRDEPYKLRLNRFGDMTADEFRRAYASSRVSHHRMFRGRGERRSGFMYAGARDLPAAVDWREKGAVGAVKDQGQCGSCWAFSTIAAVEGINAIRTSNLTALSEQQLVDCDTKTGNAGCDGGLMDNAFQYIAKHGGVAASSAYPYRARQSSCKSSAASSPAVTIDGYEDVPANSESALKKAVANQPVSVAIEAGGSHFQFYSEGVFAGKCGTELDHGVAAVGYGTTVDGTKYWIVRNSWGADWGEKGYIRMKRDVSAKEGLCGIAMEASYPIKTSPNPAPKKIKKVTDDDEDDDDEEHRHHDEL >ONIVA08G26380.1 pep chromosome:AWHD00000000:8:26297570:26306261:-1 gene:ONIVA08G26380 transcript:ONIVA08G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSWDAIDWNQIKEPRPPRSSSRGMEDFLLEDEEVYAQGHGVVLLNTDEAGILSVTNFRLLFVSQATKGVIELGTIPLTAIEKINDDVKLQPLPRQHDKKQPRELLQVIGKDMRVIVFDFLPKTKQKNEVFDALRRYSKPTHLWDLYAFSCDPSTVYQKSDPKMRLLKEYHRLFRKWFPHSGSEFEKDLRNEWWRVSKVNSTYSLCSTYPSALIVPRSIRFIASFFFPIRQTLACYFVGLELFWQGHLSHCNTDEKLVSALCTQIIDATGSLRKLYIVDARPRANALANGAKGGGSESASNYPRSEVLFLGIQNIHTMRDSLFRLRDYVDTHGSVSSNGTSSAVSLVGDRRNRGSTWGGGNLNSMTQFSSMLGEWLNHIQSIMVGASWIAAQIVQESASVLVHCSDGWDRTTQLVALACLLLDPYYRTFNGFQALVEKDWLAFGHPFAERMGVPTITDNNSGSQFELLRQPSLGTLSNSPNRGALGSSVSTSNTTSGQSQTSNNSSPILLQKFLVDFMDCVLSCRFGNFLCNSEREREQSGAVSSCHCMWTYLADLRASGGSFHKHRNPFYDPLKHNGPLVPPAAALAPTLWPQFYLRWTCPIESQGGDLESQWHAMNKKYTEAMKAKDTAESRVKDIKTKMESMQLELQREKRASSSALAMAQRAQRESVAIRKAVRSLGCTVNFGTNESQVEKTEGLTYSFRRDTDFESQHEKSSDFSISITAIEDSLVSETPSNHICESLCPFRTREGCRWPDAACAQLGSQFVGLKANFDAFDRLSVQDSYFGSE >ONIVA08G26370.1 pep chromosome:AWHD00000000:8:26294689:26296872:1 gene:ONIVA08G26370 transcript:ONIVA08G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGINGNKGRCYDFWLDFSECMSRCRQPTDCGLLREDYLECLHHSKEFQRRNRIYKEEQRKLRAAIRRREEAAKEKAEGAPAVSAQH >ONIVA08G26360.1 pep chromosome:AWHD00000000:8:26287094:26294505:1 gene:ONIVA08G26360 transcript:ONIVA08G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxisome 1 [Source:Projected from Arabidopsis thaliana (AT5G08470) TAIR;Acc:AT5G08470] MSGGGGMEVEVRVVGGARSCFAALPLHLIHALSRTSVSGDLPPVLALHLRAATATATATVARWSLAWSGAASRSSAIEVAQELAECISLPDGTVAQLSVARSLAKADSVCIEPFSEDDWEILESRADLAEETILTQVGVVYEGMKFPLWLDGHNIVKFVVTSSSPKKSLVQLVPGTEVAVAPKKRRENSSQDVQKQSALKEEAQTKALLRVQAADRKYVHKFKYKGVELGVILSYAVLVHPDTAARASISNLQLVTVSSKSSPKRLAQKGKEVTQKKGILLPKERVREVVVYMLFSDSVSKGHVMLPHSTRHYISADIHSWVYVKTFSANVKNDQPILTISPLHFKMQEKVAHDGSELGSQEANIWRKTSIPSENRDPFQEAHFGNNDDVLSTDVDNTSESILEHKILIKQWLVGQLKDMGLHVGNSEISPVVLPTKVLLHFEAVDQKHNRGKEFLYLLKVGFENSSYSNSQGNAELSWSIQTDDLENLELKFGRLELGEPEFFDSVLDDGFSNGFKLTRSSLGWMENAMSDVTKRLSVLLSSTSLRLFDQLKLPFPGHVLVLGPRGSGKTALVRAAAKYFEDHKEILAHVIYIDCSKLTVGKAKETKQTIEDSISEALLHAPSVILFDDMDNVVSVSSDPQAPQSSSSSDSIVRYLVDIMDEYKDKARNACGYGPIALMASAQSLQSLPQDLTSSGRFDFHVELPVLAIPEREALLKHHVEEHELQCSKEVLSEIASKCDGYDAYDLDILVDRAVHAAASRFVLPSSASVNCAEPTLVKEDFLKAIHDFLPVAMRDLSKYAPADGEGGWEDVGGLNEATLELPSKYPNIFTKAPVRLRSNILLYGPSGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFESIAPQRGTQSAGVSDRVVNQFLTELDGVESLTGVFVFAATSKPQSIDAALLRPGRFDRLILCDFPGWHERLEILKVHSREVSLASDASLEEVASLTEGFTGADLAAILRDAKLAAVHKVLEDRNNGISDTQPCISKELLISTAREARPSTSAEQKMQYDMDFGQFVSSRKSVSTKVRFMLHFLAYKQRVFQLKIPIPHLIDAPFCRCLMFLAIRFLDSY >ONIVA08G26350.1 pep chromosome:AWHD00000000:8:26280469:26285427:1 gene:ONIVA08G26350 transcript:ONIVA08G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IFQ0] MVRKNGWQLPAHTFQIIAITVFFLLVVAFYAFFAPFLGKQVLEYIAFGIYTPVAFVVFILYIRCTSINPADPGIMSKFQNGFRNAPTSGTGLQDTNLPGRGDVATGTNSPTSTFKSSLDGRSNHAGLAAGDGNNLSSQPPRSSSCSLVGGLICALFVEEDCRTLVDSEHQADGEDALFCTLCNAEWLNNCVGRKNYFTFLALMITSLLWLAIEIGVGIAVLVVCFVNKNSESIIQDKLANGLTRPTFATIVAIFTLLSIIACIPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPQEEEEEDGVNIVYSPTNSATTGFSGGSSLGLPYKGSWCTPPRIFVDQAGMVPSTIDPDTAGNAERANRAKKQVKISAWKLAKLDTNEAMKAAARARASSSVLRPVDARHRGGHDAGDLSSSGNGSVRSSVSAAAKEQRRRASSLPSSCAQSVASQDEYEQSGSSVMSSPVRLHKLAPPPLPAAHNVPPRPPPPVNAAPEAAIPRPPPPVPPATRISNPMFQSATSYVRENRRASVVWDQEAGRYVSVAPAPATARPGGGGGGAEQPAARAPPFLANPGGEREPLSAARSRNNPAAPAPTNAAPSSSSTLPSERLTYSGQSIFFGGPLLGGAAAAGEPRRDEAAGTRARGGESFPVFAPGTFQKKPPPFRR >ONIVA08G26340.1 pep chromosome:AWHD00000000:8:26272939:26276287:-1 gene:ONIVA08G26340 transcript:ONIVA08G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQVDEVEGGERRRRRPLAEYAAELEAVSLSVRAAPPLRLKALVRACHHALALFDLLGVEAGFWKTEYAERLVALERAAEAMDSAEELVDRDVAAGRVRATGTHSNNLVRVKRGIELKRALFQLMLAQLQQQRPGGGVSFDGVVSMAYAAVFARYHDKNVQSTVADSICAIPVKSISDFFATINETDESAAAEMQKYIDAANGIISYIDELFASRGVSADF >ONIVA08G26330.1 pep chromosome:AWHD00000000:8:26271101:26273051:1 gene:ONIVA08G26330 transcript:ONIVA08G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7,8-dihydroneopterin aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IFP8] MGGHVSCLLGPRSSHPQQICLSLSATTPPPPPTGGASASTATIRARRRRRRRRGRGAMAGKKEEEEAVVYRGDKLILRGLRFHGTHGVKPEEKKLGQKFVIDVDAWMDLAAAGDSDDISDTVSYTEIYRIAKNVVEGPSHNLLESVAQSIANSTLLKFPQISAVRVKVEKPHVAVQGVLDYLGVEIFRQRKA >ONIVA08G26320.1 pep chromosome:AWHD00000000:8:26263702:26268403:-1 gene:ONIVA08G26320 transcript:ONIVA08G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAHGGSDRPGSMDSQEPRVDAEQKPVLSDNPEEQTIPSKDEKVLEPTISHDSNGINLPSEGQAQAGTSNIGGGHNAAYPQTMYSSQAQPFYYQGPGYDNPSNEWDGYPPYVSVEGLEAGPAVVYNDDPQLMYHGGYGYDPYAHYSPISTPVPAAGEAMVPIDPTQGAFIAETLSPNSFLFGPRPEWFRSSEGNGSFPSPAASPQPAGGVSGPFGQSNFPMASGMQSPQHRPFYGFGTPSDSYGRVFSHGGYFPQATNYGGPFPSFGLNGRSSIPMEKGRRRGRGNALLCSCNGSLDFLNEQSRGPRATRPKKQPEDGGKDEKPSAGVDCELYNRPDFVTEYKNARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYREAKEKEEHCPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGMEMLKIFKDHEEDASILDDFDFYEERERALLENKARLHQQHQLPSSTVVEPKKPLTVATDLVGHITKSFAQAVRLGEAKNVSPNSADKGASGDSSVAAKPLEVKEAGLA >ONIVA08G26320.2 pep chromosome:AWHD00000000:8:26263702:26268403:-1 gene:ONIVA08G26320 transcript:ONIVA08G26320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAHGGSDRPGSMDSQEPRVDAEQKPVLSDNPEEQTIPSKDEKVLEPTISHDSNGINLPSEGQAQAGTSNIGGGHNAAYPQTMYSSQAQPFYYQGPGYDNPSNEWDGYPPYVSVEGLEAGPAVVYNDDPQLMYHGGYGYDPYAHYSPISTPVPAAVSGDGQLYSQQFSFSAPYYQQSVPPGMPYLSSPTPISQGEAMVPIDPTQGAFIAETLSPNSFLFGPRPEWFRSSEGNGSFPSPAASPQPAGGVSGPFGQSNFPMASGMQSPQHRPFYGFGTPSDSYGRVFSHGGYFPQATNYGGPFPSFGLNGRSSIPMEKGRRRGRGNALLCSCNGSLDFLNEQSRGPRATRPKKQPEDGGKDEKPSAGVDCELYNRPDFVTEYKNARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYREAKEKEEHCPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGMEMLKIFKDHEEDASILDDFDFYEERERALLENKARLHQQHQLPSSTVVEPKKPLTVATDLVGHITKSFAQAVRLGEAKNVSPNSADKGASGDSSVAAKPLEVKEAGLA >ONIVA08G26310.1 pep chromosome:AWHD00000000:8:26256971:26257453:-1 gene:ONIVA08G26310 transcript:ONIVA08G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIISARSTTTTTTTAAAGDVNLDLRLVHSTAAGRQHTTATRNPAPPLAAAGDDRAFSCTYCRRRFYSSQALGGHQNAHKLERSLAKRSRELSAGVTTAAAPPPPHPELGWPYPPQGGGGAAAAVVSWIADGGRRYGYRVHAVAAGGDAEDIDLSLKL >ONIVA08G26300.1 pep chromosome:AWHD00000000:8:26249445:26262962:1 gene:ONIVA08G26300 transcript:ONIVA08G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multipolar spindle 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) TAIR;Acc:AT5G57880] MAPPASRPPTPTANAAASSSRIESPSLRAALAMALIHYNRLPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQEYNTEDEVQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEIINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAISQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLISDSFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQEKLDLEVLSKLLY >ONIVA08G26300.2 pep chromosome:AWHD00000000:8:26249445:26262962:1 gene:ONIVA08G26300 transcript:ONIVA08G26300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:multipolar spindle 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) TAIR;Acc:AT5G57880] MAPPASRPPTPTANAAASSSRIESPSLRAALAMALIHYNRLPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQEYNTEDEVQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEIINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAISQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLISDSFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQEKLDLEVLSKLLY >ONIVA08G26300.3 pep chromosome:AWHD00000000:8:26249445:26262962:1 gene:ONIVA08G26300 transcript:ONIVA08G26300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:multipolar spindle 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) TAIR;Acc:AT5G57880] MAPPASRPPTPTANAAASSSRIESPSLRAALAMALIHYNRLPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQEYNTEDEVQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEIINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAISQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLISDSFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQEKLDLEVLSKLLY >ONIVA08G26300.4 pep chromosome:AWHD00000000:8:26249445:26261991:1 gene:ONIVA08G26300 transcript:ONIVA08G26300.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:multipolar spindle 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) TAIR;Acc:AT5G57880] MAPPASRPPTPTANAAASSSRIESPSLRAALAMALIHYNRLPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQEYNTEDEVQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEIINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAISQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLISDSFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQEKLDLEVLSKLLY >ONIVA08G26300.5 pep chromosome:AWHD00000000:8:26249445:26256478:1 gene:ONIVA08G26300 transcript:ONIVA08G26300.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:multipolar spindle 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) TAIR;Acc:AT5G57880] MAPPASRPPTPTANAAASSSRIESPSLRAALAMALIHYNRLPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQEYNTEDEVQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEIINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAISQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLISDSFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQEKLDLEVLSKLLY >ONIVA08G26300.6 pep chromosome:AWHD00000000:8:26249445:26254885:1 gene:ONIVA08G26300 transcript:ONIVA08G26300.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:multipolar spindle 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) TAIR;Acc:AT5G57880] MAPPASRPPTPTANAAASSSRIESPSLRAALAMALIHYNRLPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQEYNTEDEVQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEIINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAISQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLISDSFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQEKLDLEVLSKLLY >ONIVA08G26300.7 pep chromosome:AWHD00000000:8:26249445:26254884:1 gene:ONIVA08G26300 transcript:ONIVA08G26300.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:multipolar spindle 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) TAIR;Acc:AT5G57880] MAPPASRPPTPTANAAASSSRIESPSLRAALAMALIHYNRLPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQEYNTEDEVQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEIINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAISQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLISDSFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQEKLDLEVLSKLLY >ONIVA08G26300.8 pep chromosome:AWHD00000000:8:26249445:26253350:1 gene:ONIVA08G26300 transcript:ONIVA08G26300.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:multipolar spindle 1 [Source:Projected from Arabidopsis thaliana (AT5G57880) TAIR;Acc:AT5G57880] MAPPASRPPTPTANAAASSSRIESPSLRAALAMALIHYNRLPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQEYNTEDEVQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEIINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAISQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLISDSFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQEKLDLEVLSKLLY >ONIVA08G26290.1 pep chromosome:AWHD00000000:8:26248692:26249147:-1 gene:ONIVA08G26290 transcript:ONIVA08G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPHPSPEVNGASGASRSDSPSDLHGLQGGASADSRAEARGVKGGASADSRAKPWSARCRQPRERFAVVVKGRYGGRNRLQRRRSGKFVATVTLGGNALGTIVLWHKIACIVVLAALGRNV >ONIVA08G26280.1 pep chromosome:AWHD00000000:8:26248209:26248518:1 gene:ONIVA08G26280 transcript:ONIVA08G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPQQRDGWSCGLHMLRFMEHWTGKELSPQFHGMDTCTTFRAKLASTLINSSMNEVINIQEDIRHIQTEQMQQKKDE >ONIVA08G26270.1 pep chromosome:AWHD00000000:8:26245224:26248172:1 gene:ONIVA08G26270 transcript:ONIVA08G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDGNTRDEGPPQVPQQAHVSFALKMSSMTIACSIPKFVSLVQNFDSCQKEAIERIGFGGLLQMPDITLQRITCGHIADRFDVTTECVEIEGIQIPITTFDVQCIMGLPAGELLITPRPVSDDEDYKYYSEELLKAKVADEHFLRRFVLFAIGYILCPTTKPFVSSNYLALVKDINQIKHINWAALTRDFLIRSLKELKGRRTNLEGNLPLLQVQDSKYKLTYGDRTPPLMSYWNEMKVNSWLKYDSKHGISGLNSANMDIVLGQLLQLQQSIQFLDNKMTNKLISIEGICNQNRKDIQEIKKRLRSAFHATSAKHPKVEIIHEQFNITNQEEVRTAQADDTKNTQTESVKTTRRKRVIKPAHKAYDDFVYYKKKFTITASSTKQPKIDDVDAITINYISNCKDNILLSAINGVKLYSQFLRALVVPQEAPPTSKWLNGSIIDAYIELIKDEQADTPRGNGMALLESEAHCQQWKSDGAKKGTFSKTYRQRRATVASKYLNHDMIFLPLNRNKDHWYVVVLNAGKQKIQILDSIRMDRNTYEANKDLNDTIKGIDKFIAYATEDKSVTTR >ONIVA08G26260.1 pep chromosome:AWHD00000000:8:26236087:26241249:-1 gene:ONIVA08G26260 transcript:ONIVA08G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDGGAAKGETTVPRRKVALGWRGAAKAEGADEPRQSEQGEDAGDAAKKERAPAAPRRRGLCGEDTCGTAMRFLWREVCIFTPTAPPARIKTFSTSDSAHRWSSLWYLTTTSSEAAAFRRINRRPAAIWSPATTCNRHLTATSLSLSRGSRKLAVRALVLSRRQRRRAAQGDRPPAAPDISTLSESTQHKQAMAESDKNGEDKCEMRDTVDKKKQEWKLLMEDPKLTWEEKVVEVLHIVRCRGFTRFHTHNIAFFDLDKESKPGRGPPVKKALASSEYWRMMDSVNVIAIKVTESDVSYPISIFGTVLARDVYDYRRGRDDAQIITSPEDTLLLTGPNRALAASDNIYFEFHLKIKGDEGVDKDFSKGLLEHSTICYTKQPMTLSLESLLSTIEFVYTPVPCAVEASVAVSIKGLVSSKFSGKVTAWTSGDDENKIILYDSEVKGTNRALGPGGSIDLTRRFVAVKLDDTLVLNVSVSEGDHHEEAELFELVVGHDDDEEECIRQQGPYELQVKVVWTAGLEESWRRSSRSLPAMLV >ONIVA08G26250.1 pep chromosome:AWHD00000000:8:26214259:26214483:-1 gene:ONIVA08G26250 transcript:ONIVA08G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEAADLAMVRLSLLLVMVARAHPPRAVCGRLPRGGLGDNVGTGGGGRSGVHGKPASGSASRWQWRHQFSLQ >ONIVA08G26240.1 pep chromosome:AWHD00000000:8:26198955:26235563:1 gene:ONIVA08G26240 transcript:ONIVA08G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPICPHTHTAVAQKNTSKGKGHEAEGGSLAVARSPNCQIRIPHQMRPTAMLRWPAAAAALALVFLLLIAAAPPAAAFYLPGVAPNDFHKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCHPHANKIVINSYTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILFFGYMLLASFSFFVLTGTIVAPKKTQAKEKDTRLRYQLLARSLAPDPGESPHQMRPPAMLRWPAAAAALALLLLLIAAAPPTAAFYLPGVAPNDFQKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCHPHANKIVINSYTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIVAARKKDTREGEGVCQIRIPHQMRPPAMLRWPAAAAALALLFLLLIAAAAPAAAFYLPGVAPNYFQKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCDPHANKIVINSDTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGGYLGFKKPAIEAPVKTNKIPRQIPEQAGYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIGFCACLWFTRLIYSSKAVSSVAVAVAAVRRPTRRCHLPALPGDEVLVKVNELMSIEIQITYSYYSLPFCRPDNLTESAPTLWQLLHGDRQQRSPYQFEMRVPKKCQIVCRVLVGEKEAKELMEKMEDEYRVNMALDNLPLSIPIRRIDKDDFFYEHGYHIGEEHKRYFIHNHLSFLVRYKRDIDTSASTIVAFEVKPFSVKHQYEAQWNGANSRLSTCDANANRFILSSDSPPEIEVGEEIIFTYDVNFEESDIKWASRWDAYLSMTDDQARWFSIVNSPVTLIGLSVAMAMTMLRSLHRDIFRYSQLETQNEAQVETGWKLVHGDVFRPPSNPVLLGNLSWSFVDLHRRLNGRSLPASIPIRTFPFTIVFIELFFGLIFIWYHQFYRGFGFLLITLVLLLVACAEISVAFCYYQLRSGNYKWWWRSFLTPGCSAVYLFLYATFFFFAKLSIVKPVSVMFYFGYTLVVSYAFFLLTGTIGFFSCFFFTRFIYSQRAFG >ONIVA08G26240.2 pep chromosome:AWHD00000000:8:26198955:26235563:1 gene:ONIVA08G26240 transcript:ONIVA08G26240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPICPHTHTAVAQKNTSKGKGHEAEGGSLAVARSPNCQIRIPHQMRPTAMLRWPAAAAALALVFLLLIAAAPPAAAFYLPGVAPNDFHKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCHPHANKIVINSYTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILFFGYMLLASFSFFVLTGTIVAPKKTQAKEKDTRLRYQLLARSLAPDPGESPHQMRPPAMLRWPAAAAALALLLLLIAAAPPTAAFYLPGVAPNDFQKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCHPHANKIVINSYTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIVAARKKDTREGEGVCQIRIPHQMRPPAMLRWPAAAAALALLFLLLIAAAAPAAAFYLPGVAPNYFQKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCDPHANKIVINSDTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGGYLGFKKPAIEAPVKTNKIPRQIPEQAGYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIGFCACLWFTRLIYSSKAVSSVAVAVAAVRRPTRRCHLPALPGDEVLVKVNELMSIEIQITYSYYSLPFCRPDNLTESAPTLWQLLHGDRQQRSPYQFEMRVPKKCQIVCRVLVGEKEAKELMEKMEDEYRVNMALDNLPLSIPIRRIDKDDFFYEHGYHIGHQYEAQWNGANSRLSTCDANANRFILSSDSPPEIEVGEEIIFTYDVNFEESDIKWASRWDAYLSMTDDQARWFSIVNSPVTLIGLSVAMAMTMLRSLHRDIFRYSQLETQNEAQVETGWKLVHGDVFRPPSNPVLLGNLSWSFVDLHRRLNGRSLPASIPIRTFPFTIVFIELFFGLIFIWYHQFYRGFGFLLITLVLLLVACAEISVAFCYYQLRSGNYKWWWRSFLTPGCSAVYLFLYATFFFFAKLSIVKPVSVMFYFGYTLVVSYAFFLLTGTIGFFSCFFFTRFIYSQRAFG >ONIVA08G26240.3 pep chromosome:AWHD00000000:8:26198955:26235563:1 gene:ONIVA08G26240 transcript:ONIVA08G26240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPICPHTHTAVAQKNTSKGKGHEAEGGSLAVARSPNCQIRIPHQMRPTAMLRWPAAAAALALVFLLLIAAAPPAAAFYLPGVAPNDFHKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCHPHANKIVINSYTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILFFGYMLLASFSFFVLTGTIVAPKKTQAKEKDTRLRYQLLARSLAPDPGESPHQMRPPAMLRWPAAAAALALLLLLIAAAPPTAAFYLPGVAPNDFQKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCHPHANKIVINSYTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIVAARKKDTREGEGVCQIRIPHQMRPPAMLRWPAAAAALALLFLLLIAAAAPAAAFYLPGVAPNYFQKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCDPHANKIVINSDTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGGYLGFKKPAIEAPVKTNKIPRQIPEQAGYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIGFCACLWFTRLIYSSKAVSSVAVAVAAVRRPTRRCHLPALPGDEVLVKVNELMSIEIQITYSYYSLPFCRPDNLTESAPTLWQLLHGDRQQRSPYQFEMRVPKKCQIVCRVLVGEKEAKELMEKMEDEYRVNIVKHQYEAQWNGANSRLSTCDANANRFILSSDSPPEIEVGEEIIFTYDVNFEESDIKWASRWDAYLSMTDDQARWFSIVNSPVTLIGLSVAMAMTMLRSLHRDIFRYSQLETQNEAQVETGWKLVHGDVFRPPSNPVLLGNLSWSFVDLHRRLNGRSLPASIPIRTFPFTIVFIELFFGLIFIWYHQFYRGFGFLLITLVLLLVACAEISVAFCYYQLRSGNYKWWWRSFLTPGCSAVYLFLYATFFFFAKLSIVKPVSVMFYFGYTLVVSYAFFLLTGTIGFFSCFFFTRFIYSQRAFG >ONIVA08G26240.4 pep chromosome:AWHD00000000:8:26198955:26235563:1 gene:ONIVA08G26240 transcript:ONIVA08G26240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPICPHTHTAVAQKNTSKGKGHEAEGGSLAVARSPNCQIRIPHQMRPTAMLRWPAAAAALALVFLLLIAAAPPAAAFYLPGVAPNDFHKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCHPHANKIVINSYTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILFFGYMLLASFSFFVLTGTIVAPKKTQAKEKDTRLRYQLLARSLAPDPGESPHQMRPPAMLRWPAAAAALALLLLLIAAAPPTAAFYLPGVAPNDFQKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCDPHANKIVINSDTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGGYLGFKKPAIEAPVKTNKIPRQIPEQAGYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIGFCACLWFTRLIYSSKAVSSVAVAVAAVRRPTRRCHLPALPGDEVLVKVNELMSIEIQITYSYYSLPFCRPDNLTESAPTLWQLLHGDRQQRSPYQFEMRVPKKCQIVCRVLVGEKEAKELMEKMEDEYRVNIVKHQYEAQWNGANSRLSTCDANANRFILSSDSPPEIEVGEEIIFTYDVNFEESDIKWASRWDAYLSMTDDQARWFSIVNSPVTLIGLSVAMAMTMLRSLHRDIFRYSQLETQNEAQVETGWKLVHGDVFRPPSNPVLLGNLSWSFVDLHRRLNGRSLPASIPIRTFPFTIVFIELFFGLIFIWYHQFYRGFGFLLITLVLLLVACAEISVAFCYYQLRSGNYKWWWRSFLTPGCSAVYLFLYATFFFFAKLSIVKPVSVMFYFGYTLVVSYAFFLLTGTIGFFSCFFFTRFIYSQRAFG >ONIVA08G26240.5 pep chromosome:AWHD00000000:8:26198955:26235563:1 gene:ONIVA08G26240 transcript:ONIVA08G26240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPICPHTHTAVAQKNTSKGKGHEAEGGSLAVARSPNCQIRIPHQMRPTAMLRWPAAAAALALVFLLLIAAAPPAAAFYLPGVAPNDFHKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCHPHANKIVINSYTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILFFGYMLLASFSFFVLTGTIVAPKKTQAKEKDTRLRYQLLARSLAPDPGESPHQMRPPAMLRWPAAAAALALLLLLIAAAPPTAAFYLPGVAPNDFQKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCDPHANKIVINSDTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGGYLGFKKPAIEAPVKTNKIPRQIPEQAGYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIGFCACLWFTRLIYSSKAVSSVAVAVAAVRRPTRRCHLPALPGDEVLVKVNELMSIEIQITYSYYSLPFCRPDNLTESAPTLWQLLHGDRQQRSPYQFEMRVPKKCQIVCRVLVGEKEAKELMEKMEDEYRVNIVKHQYEAQWNGANSRLSTCDANANRFILSSDSPPEIEVGEEIIFTYDVNFEESDIKWASRWDAYLSMTDDQARWFSIVNSPVTLIGLSVAMAMTMLRSLHRDIFRYSQLETQNEAQVETGWKLVHGDVFRPPSNPVLLGNLSWSFVDLHRRLNGRSLPASIPIRTFPFTIVFIELFFGLIFIWYHQFYRGFGFLLITLVLLLVACAEISVAFCYYQLRSGNYKWWWRSFLTPGCSAVYLFLYATFFFFAKLSIVKPVSVMFYFGYTLVVSYAFFLLTGTIGFFSCFFFTRFIYSQRAFG >ONIVA08G26230.1 pep chromosome:AWHD00000000:8:26197072:26197419:1 gene:ONIVA08G26230 transcript:ONIVA08G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYSPSWVALAASRKEVGEEDSDARGGVEEGAEEVAEVRERAADDDEDAGAARGHHARRLRRPPHPPGQPFVPLLRQGGRRQRPPPSPGLAPRAPPRQESVGEWKSRADGQRWP >ONIVA08G26220.1 pep chromosome:AWHD00000000:8:26196480:26196803:-1 gene:ONIVA08G26220 transcript:ONIVA08G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKLSKEACIHAAQNNRLPLRVVMQVVFFEQLRAAAGASPAAATGGIARRLVEEEDEDDDVSRGGGDWSKSRALPTPTSSTRERSPWPPSSQEERRGRWCEMTPH >ONIVA08G26210.1 pep chromosome:AWHD00000000:8:26193633:26193972:-1 gene:ONIVA08G26210 transcript:ONIVA08G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRGARGAAAAAAAVGSGEVAVVDEHLGEGHVAALGEERGKLREEGRVHGEVSLVDGSAEPPQDGAHGATVLVGPADDAKRRVVQQHPRPLAVAELAPDGGRRCAAG >ONIVA08G26200.1 pep chromosome:AWHD00000000:8:26176248:26176460:-1 gene:ONIVA08G26200 transcript:ONIVA08G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAEAADLATTRLGLLLIMVARAHPPRAVCCHLPRGGLGDNVGAGGGGRSGVHGKPASRWRWRHQSSLQ >ONIVA08G26190.1 pep chromosome:AWHD00000000:8:26167472:26172344:-1 gene:ONIVA08G26190 transcript:ONIVA08G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAHYFGLGEPQMQQQQQQPPLQNNAAAPVVALSPHTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESGRIMPPMGAALYAGAGAGMAIGGLTGMAASHQLQPFQDHSSAITTAANAAAQFDHLMATSSAAAGSPAFRAAQPTSSSSSPFYLGGGGDDGQAHTSLLHGKPAFHGLMQLPEQQGSNGGGLLNLSYFSGGNGGHHHHHQEGRLVFPDQFNGVAAGNGARAGSGEHGNSGNNADSGSIFSGNMMGGGGGFSSLYSSSDQTVPPPQMSATALLQKAAQMGATTSSGGAGSVNSLLRGLGSGGGGGALNGKPAGAAGFIMSGESSSRSTASQTAENESQLRELMMNTLSATGGGTGAGTVFVGGGFPGVDDGKLSTRDFLGVSGGAPGLQLRHGGAAGMGMAGSLDQEMKWINW >ONIVA08G26190.2 pep chromosome:AWHD00000000:8:26167472:26172119:-1 gene:ONIVA08G26190 transcript:ONIVA08G26190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDPDAEVVALSPHTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESGRIMPPMGAALYAGAGAGMAIGGLTGMAASHQLQPFQDHSSAITTAANAAAQFDHLMATSSAAAGSPAFRAAQPTSSSSSPFYLGGGGDDGQAHTSLLHGKPAFHGLMQLPEQQGSNGGGLLNLSYFSGGNGGHHHHHQEGRLVFPDQFNGVAAGNGARAGSGEHGNSGNNADSGSIFSGNMMGGGGGFSSLYSSSDQTVPPPQMSATALLQKAAQMGATTSSGGAGSVNSLLRGLGSGGGGGALNGKPAGAAGFIMSGESSSRSTASQTAENESQLRELMMNTLSATGGGTGAGTVFVGGGFPGVDDGKLSTRDFLGVSGGAPGLQLRHGGAAGMGMAGSLDQEMKWINW >ONIVA08G26190.3 pep chromosome:AWHD00000000:8:26167635:26172119:-1 gene:ONIVA08G26190 transcript:ONIVA08G26190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDPDAEVVALSPHTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESGRIMPPMGAALYAGAGAGMAIGGLTGMAASHQLQPFQDHSSAITTAANAAAQFDHLMATSSAAAGSPAFRAAQPTSSSSSPFYLGGGGDDGQAHTSLLHGKPAFHGLMQLPEQQGSNGGGLLNLSYFSGGNGGHHHHHQEGRLVFPDQFNGVAAGNGARAGSGEHGNSGNNADSGSIFSGNMMGGGGGFSSLYSSSDQTVPPPQMSATALLQKAAQMGATTSSGGAGSVNSLLRGLGSGGGGGALNGKPAGAAGFIMSGESSSRSTASQTAENESQLRELMMNTLSATGGGTGAGTVFVGGGFPGVDDGKLSTRDFLGVSGGAPGLQLRHGGAAGMGMAGSLDQEMK >ONIVA08G26190.4 pep chromosome:AWHD00000000:8:26167635:26170588:-1 gene:ONIVA08G26190 transcript:ONIVA08G26190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMACSLVTDGMHQRDSFITHRAFCDALAQESGRIMPPMGAALYAGAGAGMAIGGLTGMAASHQLQPFQDHSSAITTAANAAAQFDHLMATSSAAAGSPAFRAAQPTSSSSSPFYLGGGGDDGQAHTSLLHGKPAFHGLMQLPEQQGSNGGGLLNLSYFSGGNGGHHHHHQEGRLVFPDQFNGVAAGNGARAGSGEHGNSGNNADSGSIFSGNMMGGGGGFSSLYSSSDQTVPPPQMSATALLQKAAQMGATTSSGGAGSVNSLLRGLGSGGGGGALNGKPAGAAGFIMSGESSSRSTASQTAENESQLRELMMNTLSATGGGTGAGTVFVGGGFPGVDDGKLSTRDFLGVSGGAPGLQLRHGGAAGMGMAGSLDQEMK >ONIVA08G26180.1 pep chromosome:AWHD00000000:8:26158903:26165668:1 gene:ONIVA08G26180 transcript:ONIVA08G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNKEDKRGYWDLVWSKLGERTGIFDVIKGTEFRIIYQDENQAEVSFVRTWDPSLEGKAVPLNIDKRFIVLRGSSGFYTYGIYEHQEGWPGFSMVETRVAFKLRKDKFHYMALADDRKRIMPMPEDRVPPRGQQLAYPEAVLLVDPINPDLRGEVDDKYQYSCENQYNNVHGWISFDPPIGFWQITPSDEFRTGGPVKQNLTSHVGPTMLAMFLSGHYAGDDLTPKFMTGEYWKKVHGPVFMYLNSSWDGSDPTLLWEDAKVQMMIEKESWPYCFALSDDFQKTEQRGCISGRLLVRDRYLDDADLYATSAYVGLALPGDVGSWQRECKGYQFWCRAEDDGSFCIRNIVAGDYNLYAWVPGFIGDYKLDAKLTISSGDDIYLGDLVYEPPRDGPTMWEIGIPDRSASEFFVPDPNPNYVNRLYINHPDRFRQYGLWERYAELYPDSDLVYTIGQSDYTTDWFFAQVNRRTDQSTYQPTTWQIKFNLDSVSPNSTYKFRVALASSANAELQVRFNNQDRTAPHFTTGLIGKDNTIARHGIHGLYWLFNIDVSGAWLVQGMNTIYLKQPRNQSPFQGLMYDYLRMEGPSGS >ONIVA08G26170.1 pep chromosome:AWHD00000000:8:26153682:26158767:-1 gene:ONIVA08G26170 transcript:ONIVA08G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAELTSSSTLTYRSLTITLTPAVAPAGEEVAGGWPMQHGESMNDPTSCGGGGGCDGDDDGGRRIWSVAEIATAVSTGGSGTEDGGGGGGGLGRRIRAGQFYRRLATATATTGAVAAAATISGGGGARSDHRTTSSNSTSSWQVAIH >ONIVA08G26160.1 pep chromosome:AWHD00000000:8:26140003:26150524:1 gene:ONIVA08G26160 transcript:ONIVA08G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRRGGGGHNKCPRPALLPAAALLLFLLAAVALLYVSPPPLTDHPALASSRRRSPHAPLLNSSGGGSTVVSEHSEISRVPISKEADGFWGSKFASRFYGCSNSSSRFLGSSVITQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASNFSEIFDVDWFISNLSKDVKIVKELPEIGGKLRTPHRMRVPRKCTQRCYVNRVLPALLKKHVVRLTKFDYRLANRLDTDLQKLRCRVNYHGLRFTGLIEEMGEKLIQRMRERSKHFIALHLRFEPDMLAFSGCYYGGGEKERKELGAIRKRWKTLHAINPEKGRRQGRCPLTPEEVGLMLRALGYRNDVHIYVASGEIYGGARTLAPLKAFFPNLHTKETISSKEELAPFSKYSSRMAALDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIRPNAKRLYSLISNRRNMSWDSFSSRVRMVQKGFMGEPKELRPGRGEFHENPSTCICEKTVSKTVAKSNSQSEQVLSNNTERGIAIQTEQVSSNDTEMGIATSEPTVPDHTDEEAGESEADEDAPGEKEEIIDPEADDDAFFGISYGFVVTELTFLESVTSMDVNNRPNKQSEQLPLKKLPDGGKAHTSLKSSNKLSHLSTSQASEAFDPFTSHSQQQQAGLTNHQEVSAPLLSSSLA >ONIVA08G26160.2 pep chromosome:AWHD00000000:8:26140003:26150524:1 gene:ONIVA08G26160 transcript:ONIVA08G26160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRRGGGGHNKCPRPALLPAAALLLFLLAAVALLYVSPPPLTDHPALASSRRRSPHAPLLNSSGGGSTVVSEHSEISRVPISKEADGFWGSKFASRFYGCSNSSSRFLGSSVITQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASNFSEIFDVDWFISNLSKDVKIVKELPEIGGKLRTPHRMRVPRKCTQRCYVNRVLPALLKKHVVRLTKFDYRLANRLDTDLQKLRCRVNYHGLRFTGLIEEMGEKLIQRMRERSKHFIALHLRFEPDMLAFSGCYYGGGEKERKELGAIRKRWKTLHAINPEKGRRQGRCPLTPEEVGLMLRALGYRNDVHIYVASGEIYGGARTLAPLKAFFPNLHTKETISSKEELAPFSKYSSRMAALDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIRPNAKRLYSLISNRRNMSWDSFSSRVRMVQKGFMGEPKELRPGRGEFHENPSTCICEKTVSKTVAKSNSQSEQVLSNNTERGIAIQTEQVSSNDTEMGIATSEPTVPDHTDEEAGESEADEDAPGEKEEIIDPEADDDAFFGISYGFVVTELTFLESVTSMDVNNRPNKQSEQLPLKKLPDGGKAHTSLKSSNKLSHLSTSQASEAFDPFTSHSQQQQAGLTNHQEVSAPLLSSSLA >ONIVA08G26150.1 pep chromosome:AWHD00000000:8:26135337:26139244:1 gene:ONIVA08G26150 transcript:ONIVA08G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSSGIARCIADVRAAAGSTTFLAGTLSLKEENEVHLIRFSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGASVWKIPELHGQSNSPPLEQLFTLDEHTGKIRCVLWWPLGKHDKLISIDDRNIFLWNIDASNKSAKVMQKGSADMLPNLRGGSWDPHDHNSIAAITDSSLHCWDLRSMKKSNAIEHAHFRDVDYNPKKQHLITTAEDEFGIRLWDLRMLKYPLKNLPGHSHWTWAVRHNPEHDQLILSAGTDSTVNLWFAKVGTDDSGPESPPGSPTREEEPLLNSYTDYEDSIYGIAWSSHDPSLFASLSYDGRVVLESVKPYLQRK >ONIVA08G26150.2 pep chromosome:AWHD00000000:8:26135337:26139124:1 gene:ONIVA08G26150 transcript:ONIVA08G26150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSSGIARCIADVRAAAGSTTFLAGTLSLKEENEVHLIRFSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGASVWKIPELHGQSNSPPLEQLFTLDEHTGKIRCVLWWPLGKHDKLISIDDRNIFLWNIDASNKSAKVMQKGSADMLPNLRGGSWDPHDHNSIAAITDSSLHCWDLRSMKKSNAIEHAHFRDVDYNPKKQHLITTAEDEFGIRLWDLRMLKYPLKNLPGHSHWTWAVRHNPEHDQLILSAGTDSTVNLWFAKVGTDDSGPESPPGSPTREEEPLLNSYTDYEDSIYGCFRISQALLAEKMKLHVLSVVRAHNSTSLLSMLLMRLPIVPSLVLTDLPFSAQADLFVVHIIGVVDFLLAILYTVLWYMELMRWPDSTACDPRTIAIMFQ >ONIVA08G26150.3 pep chromosome:AWHD00000000:8:26135337:26139124:1 gene:ONIVA08G26150 transcript:ONIVA08G26150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSSGIARCIADVRAAAGSTTFLAGTLSLKEENEVHLIRFSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGASVWKIPELHGQSNSPPLEQLFTLDEHTGKIRCVLWWPLGKHDKLISIDDRNIFLWNIDASNKSAKVMQKGSADMLPNLRGGSWDPHDHNSIAAITDSSLHCWDLRSMKKSNAIEHAHFRDVDYNPKKQHLITTAEDEFGIRLWDLRMLKYPLKNLPGHSHWTWAVRHNPEHDQLILSAGTDSTVNLWFAKVGTDDSGPESPPGSPTREEEPLLNSYTDYEDSIYDLPFSAQADLFVVHIIGVVDFLLAILYTVLWYMELMRWPDSTACDPRTIAIMFQ >ONIVA08G26140.1 pep chromosome:AWHD00000000:8:26129570:26132131:-1 gene:ONIVA08G26140 transcript:ONIVA08G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35250) TAIR;Acc:AT4G35250] MASSTSLAALPSQLASPARRAALSRSATARPRHHHHPLLREPPKGCRLVVTCNAQTAVPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKHCTEKFIQDAGLDYLIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIAMRNEKASKKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVAVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTIFSVPMNDTYQLLGVDSKDILTLEKYLQDYFTNILKKLKDLKAQSKQTDIFF >ONIVA08G26130.1 pep chromosome:AWHD00000000:8:26127614:26128222:1 gene:ONIVA08G26130 transcript:ONIVA08G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEILSDGLKRLEDIYSSVEGIMCLPSNQICSSQKRKLLDGEMECSLELLDLCNAMHEVFAELKAIIQDMQVSLRKGDDAVVQAKIQSYIRLMKKAKKHFKKTVKKVTSDKEDDKMVKLLSKAREITTSVLESSMDLLSKQIATPKMSIISKAFLKKNSVVCSEEQLQVLECCIGDLEAGAGLVFRRLVQSRVTLLNILSS >ONIVA08G26120.1 pep chromosome:AWHD00000000:8:26125903:26126634:1 gene:ONIVA08G26120 transcript:ONIVA08G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPCSNETNAEELLQSLKVTISSPSSTIETMSSGWKKLGSIYNCIDEIMCLPSSQALLCQPLQRKAVEQELEGSLVVLDLCNAIHESFSGLKACIQDMQLAVKRGDDAAVQAKTQSYIRLAKKGRKQFKHISKKSSSADQESCRVIKLLAEAREIALSMLESSSHLLSKQIALPSSSKWSLVSKTFQKRRLVCEEEQLQVLELDIVDLETGVENLFRKSIQSRVSLLNTLSL >ONIVA08G26110.1 pep chromosome:AWHD00000000:8:26123960:26124688:1 gene:ONIVA08G26110 transcript:ONIVA08G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHLRSASVPSSPCSNETNIEEQLASLREIICSPSATMETMCNGFRRLTDVYSCMDEIMCLPSSQASLCKHQQRREVEKELERSLTLLDLCNAMQESFSELKATTQEMQLAIKRGEDAAVQTNVQSYTRLTKKAHKQCKKINKKPASSADQESCRVVKLMADAREITFSVLESTLHLLSKQIAVPSSSKWSLKAFQKTRVTCQEEQLQVLELDIVDLQSGVETLFRRLIQSRVSLLNALSL >ONIVA08G26100.1 pep chromosome:AWHD00000000:8:26121983:26122699:1 gene:ONIVA08G26100 transcript:ONIVA08G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASAPSSPRSAKTDVEEQLQSLEEIVSSPSATIETMCNGFRRLTDVYSCMDEMMCLPSFRLQQRRAVEQELERSLALLDLCNAMQESFSELKASTQEMQLAIKRGDDAAVQSKVQAYTRLTKKAQKQFKKINKKSASEDQEGCRVVKLLADAREIALSVLESTLHLLSKQIAMPSQSKWSLVSKAFQKTRVTCQEEQLQALELDIVDLESGVETLFRRLIQSRVSLLNALSL >ONIVA08G26090.1 pep chromosome:AWHD00000000:8:26119538:26121922:1 gene:ONIVA08G26090 transcript:ONIVA08G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAILSGAAFQRGGSGGKGGRGLTGSRRRAAHLLAPLLLLRWGVVASEKPRFGVDNIAKQS >ONIVA08G26080.1 pep chromosome:AWHD00000000:8:26113225:26119312:1 gene:ONIVA08G26080 transcript:ONIVA08G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEDELHSIEAYVSSPSKTTKMISDGLRRLGDTYSSIEETMCLPSNQVCSSQQRKLFDREMEYSLELLDLCNTMNEVFTELKSIIQDLQVSLRKGDDAVVQAKIQSYIRLVKKAKKHSKKTVKKVASDKEDSKMVKLLSNAREITTSLFESTLDLLSKQIAMPKFSLISKAFQKKNAVICNEEQLQVLECCIADLEAGAGLLFRRLVQTRVEDELHSIEACVSSPSKTIEMISDGLRRLGDIYSSIEEIMCLPSNQVCSSQQRKLFDREMECSLELLDLCNAMNEVFTELKSIIQDLQVSLRKGDDAVVQAKILSYIRLVKKAKKHSKKTVKKVASDMEDSKKVKLLSNARQITTSLFESTLDLLSKQIVLPKLSLISKAFQKKNSVICNEEQLQALECCIGDLEAGAVLLFRRLVQSRHKEAELATDMAFHQRSISLPSRPLSKVEDELHSIEACISSPSTTIEVVSDGLRRLGDIYSSIVEIMCLPSNQICSSQQRRLLDGEMECSLQLLDLCNSMSEVFTELKIIIQDLQVHLRKGDGAVVQAKIQSFIRLMKNAKKHSKKTVKKVVSDNDCRIVKLMSEAREITTSLFESILYLLSKQITMPKSSLIPKAFQKKNLVICNEEQLQALECCIGDLEAGAGLLFRRLIQTRVEEELCILDACISSPSTTLDTMCEGLRRLANIYSSIEEIMCLPSNQAFSSQRRKLLDGEMECSLELLDLCNVMHEDFTELKAIIQDMQVALRKGDDAAVQSKTQSYYRLLKKAKKHFKTAKKVTYEKEDCRMARLLREARDISTSMLASTLHLLSKQIEMPKQSLVSKAFNKKKVVICEEEQLQLCFAPNIVCKRKDSM >ONIVA08G26070.1 pep chromosome:AWHD00000000:8:26109438:26110169:1 gene:ONIVA08G26070 transcript:ONIVA08G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLRSASVPSSPCSNESNVEEQLQSLKATISSPSATVETMLDGFSRIGGEYNNIEEIMCFPSSQVFLWQPQQRKAAEQELERSLVLLDLCNAMQESISELKASIQDMQLAIKRADDATVQAKVQSLIRLSKKAQKQSKKISKKSASDDQEGCRVLKLSAEAREVAISMLESSLHLLLKQIVMPNSSRWSLVSKAFQKARIACQEEQLLALELDISDIESRVETLFRRLIPNRVSLLNALSL >ONIVA08G26060.1 pep chromosome:AWHD00000000:8:26100503:26109721:-1 gene:ONIVA08G26060 transcript:ONIVA08G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSKSSKRWTRITKNKSWCIIYKLRAFRRETLLWINLLNKVSTPLSRSLIDHLLEFGFTICFNKRCNEDSTIEIAASLASADSLSTKRNSDLDQSPEQSLNSALEINDGELQRLDHLLEFDVVIFFDKRCEEDSSIEMATSLALANSLITLEPSCSAEADLLLIFLDCFYTFLVRRMKYWICWDKESAWQFIAGSSQSQCQCVEKGDPALDESPEENLNTVVKTSNVQLQHLQLLSSQEASLFFLETSWDHLLELDMVAICFGKSFAAAVSSAATAISLASEAAGLLLPPPEILPTRRLPQLTTTGEGKKEWRGRARSGESATATATAAPGSGRMLYSLQHPGGAAEITEFFFWNALEMRDNFGIAICFDKSLLGMLFRLLHQSDILDDGLQLGEDLIHTIAEIQ >ONIVA08G26060.2 pep chromosome:AWHD00000000:8:26100503:26109721:-1 gene:ONIVA08G26060 transcript:ONIVA08G26060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSKSSKRWTRITKNKSWCIIYKLRAFRRETLLWINLLNKVSTPLSRSLIDHLLEFGFTICFNKRCNEDSTIEIAASLASADSLSTKRNSDLDQSPEQSLNSALEINDGELQRLCEEDSSIEMATSLALANSLITLEPSCSAEADLLLIFLDCFYTFLVRRMKYWICWDKESAWQFIAGSSQSQCQCVEKGDPALDESPEENLNTVVKTSNVQLQHLQLLSSQEASLFFLETSWDHLLELDMVAICFGKSFAAAVSSAATAISLASEAAGLLLPPPEILPTRRLPQLTTTGEGKKEWRGRARSGESATATATAAPGSGRMLYSLQHPGGAAEITEFFFWNALEMRDNFGIAICFDKSLLGMLFRLLHQSDILDDGLQLGEDLIHTIAEIQ >ONIVA08G26050.1 pep chromosome:AWHD00000000:8:26094673:26096391:-1 gene:ONIVA08G26050 transcript:ONIVA08G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMILKLSKQQFQTFLRLRVIESNAPNLSSLSFSGNVKLSLGDPLQVKRLSMIHPKVVCYARAELPSVMPNLETLAIYSNDEVVNTPMLPTKFLYLKHLTISVSSAASFNTSYDYFSLVSFLDASPSLETLILNVSQEHMKHESVLGDSSPLRQMPEHRHCYLKSVKMTGFSSAKNLIELTCYILKNTVSLECLILDTLYERACSLVVTVT >ONIVA08G26040.1 pep chromosome:AWHD00000000:8:26087882:26109432:1 gene:ONIVA08G26040 transcript:ONIVA08G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEEELHSIEACISSPSLTIEMISDGLRRLEDIYSSIEEIMCLPSNQVCSSGQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDQQVSLRKGDDAVLQAKIQSYIRLVKKAKKHSKKTLKKVVSNKEECRIVKLLSEARENTTSLFESTMHLLSKQIEMPKLSLISRAFQKKNTMICNDEQLQVLECCIGDLEAGAGLLFRRLVQSRLSDSTERQSLATHMAFHQRSVSLRSRPLSKVEEELHSVEACISSPSLTIEAISDGLRGLGDIYCSIEEIMCLPSNQVCSPQQRKLLDGEMECSLELLDMCNTMSEVFTELKAIIQDLQVSLRKGDDAVLQAKIQSYIRLVKKAKKHSKKTLKKVVSNKEDCRIVKLLREAREITTSLFESTTHLLSKQIAMPKLKMRRSPAGGEDAGAAGREIGGFSPSSIVDYTVYAHALVPKDDNCCLSSKQRSCSTNKNNRAAPTQDVERSLAIDMAFHQRSISLPSRPLSKVEEELHSIEACISSPSLTIETISDGLRRLGDIYSSIEEIMCLPSNQVCSSEQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVSLRKGDDAVLQAKIQSYIRLVKKAKKHFKTVKKVASNKEDCKIVKLLSEAREITTSLFQSTVHLLSKQIEMPKLSLISRAFQKKNLVVCNEEQLQRDRRRSARNSSSKALAEADRHHVEFKQVVPARLQEEERCLLRGEQLQVLELDIAGLNNGVEVLFRRLIQSRVSLLNTLTLTDYAGLSPLSSFFSSLPFPEKKKRKHHTPSIETMAFRSASAPSSPRSNKTNVEEQLQSLKATITSPAETIETMLDGFSRIGAVYNNIEEIICLPSSQAQLCQNQRRKAVEQELEHSLVLLDLCNSIQESVSKLKTSIQEMQLVHKRRDATVVQANIQYFIRLTKKSKKISNKSASAEQEGSRVIKLLAKAREVAISMLESSSHLLSKKITTSNSSKWSLVSKAFQKTRLACQEEQLQALEFAIVDLESGVETLFRRLIQIRVSLLNALSLSASVPSSPCSNEANVEEQLQSLRATISSPSATVETMLDGFSRIGGVYRNIEEIMCFPSSQVLLCQPQQRKAAEQELERSLILLDLCNAMQESFCELKASIQDMQLAIKRADDAAVQAKVQSFIRLTKKAQKQSKKISKKSASDDQEGCTVLKLSAEAREAAISMVESSLHLLLKQIVKPNSSRWSLVSKAFQKARIACQEEQLQALELDISDLESGVETLFRRLIQSRRETIAEFTPLSKVSSLLFRFQKKREVLQHP >ONIVA08G26040.2 pep chromosome:AWHD00000000:8:26075364:26087874:1 gene:ONIVA08G26040 transcript:ONIVA08G26040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQRSTSLPSMPHPNYIKIEEELRNICSGISSPSATIEMVSDALRRLGGVYNCINEIISLHSNQAHGKKLEEEMERSLEVLDLCSAMQEMFTDLKMTIQELQMVLNRGDHAVVQVKAQSYIRLVKKAKHHLKKASNKSTSDEDGRLVSLLTTARGITASVLKSALELLSKQISTCNPSKWSLISKSFQKAKVSCEEVQLQALELGIVGLESGAENLSASVPSSPCSNDTTIEQQLQTLNTVVSSPSATIDTMCDGLRKLGDIYNSIEELICTPSNQVSLCQKLQRKLVEEELGRSLVLLDLCNAMQESFMELRMSVQEMMLAIKRGEDASAQVKAYIRLAKKARKQFKKVSKKTASDKMDCRVVKLLAEAREITVSLLESTSCFLSKKIETPKWSLVSATFQKSKVMCEEEQLQELELTIKDLESGAELLFRRLIQGRMACHLRSVSLPSKRQSNEAEIEDELQSLEASISSPSTTIDGLRRLGDVYNQIEEMIHLPSNQVFSAQQRKMLDGEMECSLELIDLCSAMQENFTELKTIIQDLHAALRRGDSASIQVKIQSFTRLAKKAQKQCKKMSKKTTSDKEDCKLIKLLIKARVLTVSLLESTSCHLSQQLVVPKMSLVSKAFQKKRSVVCEEEQLQALECIIGDLENGAELLFRRMIQSRANHAQVDTIAAQFPFSSYPSPEKRKQEKNIMAFHLRSASAPSSPRSSETNVEEQLQSLKATISSPSSTIRTMNDGLKRLKSIYDSIDEIMCMPSSQVLLCQSQNRKAVEQELECSLVLLNLCKAMQQNFSELKASIQDMMLVIKRGEDAAVQANIPSCIRLAKKAQKQYKKISKKTLSPDQESCRVVKLLAEARETAFSMLEISSHLSKQTVMPSYSKWSLVSKTFQKRRIICEEEQLQALELDIVDLESGIENLFRKSIQSRVSLLNALSIDKANRVDTIVAYLLLFQLQREEEKKSITASSEDMAFHLRSASVPSSPRSNEIDIEGQLQNLKAIVSSSSSTIETMSNGLKRIGNIYSCINEIMCLPSSHVAICQPLQRKAVEQELERSLILLDFCNAMQESFSNLKQSIQDMQLIMKRGDNAAVQVKIQSYICLIKKAQKQFRKISKKSSSVDLESCRVVKLLAEAREIAILMLETSFHLLSRQLAMPSSSKWSLVSKTFKKRALFCQEEQLQVLESNIADLDTGVKNLFRKSIQSRVSLLNTLSLCHMLHQQQAKDPCVVDLATTEKNKPASTHEVERIQQRDKVLATDMAFHQRSISLPSRPLSKVEEELHSIEACISSPSLTIEMISDGLRRLEDIYSSIEEIMCLPSNQVCSSGQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVFLRKGDDAVLQAKIQSYIRLVKKAKKHSKKTLKKVVLDKEECRIVKLLSEARENTTSLFESTMHLLLKQIEMPKLSLISRAFQKKNPVICNEEQLQVLECCITDLEAGAGLLFRRLVQSRRQSF >ONIVA08G26040.3 pep chromosome:AWHD00000000:8:26087882:26102489:1 gene:ONIVA08G26040 transcript:ONIVA08G26040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEEELHSIEACISSPSLTIEMISDGLRRLEDIYSSIEEIMCLPSNQVCSSGQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDQQVSLRKGDDAVLQAKIQSYIRLVKKAKKHSKKTLKKVVSNKEECRIVKLLSEARENTTSLFESTMHLLSKQIEMPKLSLISRAFQKKNTMICNDEQLQVLECCIGDLEAGAGLLFRRLVQSRLSDSTERQSLATHMAFHQRSVSLRSRPLSKVEEELHSVEACISSPSLTIEAISDGLRGLGDIYCSIEEIMCLPSNQVCSPQQRKLLDGEMECSLELLDMCNTMSEVFTELKAIIQDLQVSLRKGDDAVLQAKIQSYIRLVKKAKKHSKKTLKKVVSNKEDCRIVKLLREAREITTSLFESTTHLLSKQIAMPKLKMRRSPAGGEDAGAAGREIGGFSPSSIVDYTVYAHALVPKDDNCCLSSKQRSCSTNKNNRAAPTQDVERIHSYIRLVKKAKKHFKKTVKLLDLCNGMNEVFTELKAIIQDLQVSLRKGDNAAVQTKIQSYIRLVKKAKKHSKKTVKKVVSDKEECKIVKLLSEAREITTSLFESKIHLLSKQIAMPKLSLISKAFQKKNSVICNEEQLQVLECCIRDLEAGAALLFRRLVQSRHKARHIKPNFPLNSQTHQRQSLAIDMAFHQRSISLPSRPLSKVEEELHSIEACISSPSLTIETISDGLRRLGDIYSSIEEIMCLPSNQVCSSEQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVSLRKGDDAVLQAKIQSYIRLVKKAKKHFKTVKKVASNKEDCKIVKLLSEAREITTSLFQSTVHLLSKQIEMPKLSLISRAFQKKNLVVCNEEQLQVLVLHRRS >ONIVA08G26040.4 pep chromosome:AWHD00000000:8:26087882:26102489:1 gene:ONIVA08G26040 transcript:ONIVA08G26040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEEELHSIEACISSPSLTIEMISDGLRRLEDIYSSIEEIMCLPSNQVCSSGQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDQQVSLRKGDDAVLQAKIQSYIRLVKKAKKHSKKTLKKVVSNKEECRIVKLLSEARENTTSLFESTMHLLSKQIEMPKLSLISRAFQKKNTMICNDEQLQVLECCIGDLEAGAGLLFRRLVQSRLSDSTERQSLATHMAFHQRSVSLRSRPLSKVEEELHSVEACISSPSLTIEAISDGLRGLGDIYCSIEEIMCLPSNQVCSPQQRKLLDGEMECSLELLDMCNTMSEVFTELKAIIQDLQVSLRKGDDAVLQAKIQSYIRLVKKAKKHSKKTLKKVVSNKEDCRIVKLLREAREITTSLFESTTHLLSKQIAMPKLKMRRSPAGGEDAGAAGREIGGFSPSSIVDYTVYAHALVPKDDNCCLSSKQRSCSTNKNNRAAPTQDVERIHSYIRLVKKAKKHFKKTVKVVSDKEECKIVKLLSEAREITTSLFESKIHLLSKQIAMPKLSLISKAFQKKNSVICNEEQLQVLECCIRDLEAGAALLFRRLVQSRHKARHIKPNFPLNSQTHQRQSLAIDMAFHQRSISLPSRPLSKVEEELHSIEACISSPSLTIETISDGLRRLGDIYSSIEEIMCLPSNQVCSSEQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVSLRKGDDAVLQAKIQSYIRLVKKAKKHFKTVKKVASNKEDCKIVKLLSEAREITTSLFQSTVHLLSKQIEMPKLSLISRAFQKKNLVVCNEEQLQVLVLHRRS >ONIVA08G26030.1 pep chromosome:AWHD00000000:8:26072666:26075295:1 gene:ONIVA08G26030 transcript:ONIVA08G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQLCRLRQNVASSSSSSSAAAAMAGALEGVARVYEHVGPLVRFACAEQVEEELEASVALLDACAAARDSLRAMRACALDLEVAVRRGDAAGAQSAASAYARLARKARADVKKQQRRLTNSRRRERPTRDGDDAQSLQEARRLAVDVLDRVVAAAAAGGATGPSRWSTCVARAFRNRTRVACEDAEEIAAASPPALAHLYCSSLPSKDLHDGGETAARVQKQLRTLGDTIQRLEDGLELLFRRLGELEH >ONIVA08G26020.1 pep chromosome:AWHD00000000:8:26066955:26071774:1 gene:ONIVA08G26020 transcript:ONIVA08G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKTDGGGGGGGAAVALCRDRAALLADAIRHRYALADAHRAYAASLRDAAAALHDFLRGVQALPPPSSSDVDHAAPLRLPDLRKGDHSLPAAVVPLPVDAVVIKQVDDDGGGHIHFPSDDDDDEGGSDGSGGHIHFPSDDDDDAEPPPPRPAAAPAPHAATPYTYAPPYGYGPGAGQYEYGVDMGGYGQSFFSISYARSQPPPPSSVVSYEHRPQTANATVHYYPGDGAAGPPLPGSYYGSAAPPPSPPRVSAWDFFNPFESFESYYHQDQPSPAPPAYTPSRSSNGAREEDDNGIPEVEHDEVDKVDNHQDANGMPLPVKDVSEEQHRKSKSSEASSSTSSSMISDLHVVQKSVIEEQLQHSDAAGPPAIPGKVYNDDVEVVEEIRSQFEHAAKSAFDVSKVLEVGKMPYYQKSSGLKVSSMMICGLSSVGEEFLQFEEDKAMECGNLSSTLQKLYMWEKKLLEEVKTEEKMRVLYNQKREELKVLYGRGAEAHKLEATETHIRKLSTKISIAIQIVNTISKNINNLRDDELWPQTCELIQGLMQMWHAMSKCHQIQCHAISQARNLDSKLDSARFSEAHMDLIKRLELQLLELISSFATWVNAQKSFVGTLNEWLKRGIDYVPEVTDDGTPPFSPGRLGAPPIFIICNNWAIGTGRISEKEVVDKMQAFASSVLHLWEKHRLEWRQGMMANKDMDRDLRVMERDELSMRKALDAQSKKLVLVSNQSGVSLSAQVVHDSGPTAEVGLQSCMNKVFEAMESFTAACANAYSDLHLRSEEEKTRLGQNNGRVPSFISDLAGKYIASN >ONIVA08G26010.1 pep chromosome:AWHD00000000:8:26059305:26059736:-1 gene:ONIVA08G26010 transcript:ONIVA08G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLAQLSKKWQGMGAIGRKRVTASEKEIIHHSSCSSSVAGKGNCVVYSCDGRRFEIPLAYLRTPVFVELLRMSQEEFGFSSDGRITLPCDAAVMEYVMCLLGREASEEVAKALLSSIVMPCSHHPSRMAQHQHFAVCSI >ONIVA08G26000.1 pep chromosome:AWHD00000000:8:26055583:26058504:1 gene:ONIVA08G26000 transcript:ONIVA08G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYYICSHIDPLALSPIPGIALDTLFLVAIQALAVILVSKFFHLFLRRYNQPSVISQILAGVVVGGMGLRSAIVHVDVDNVEDMYGGYISAARILYMFLVGLDMDIAALRHATHRCVAFTYATVAASLLLAAVVSSGMYGSMMHSPVKTPELLAATLMLALTNTSSIAVARIAAELKLTVTENGRLVVAAGIATNLICILGDGVLSSTTRAKGKIEGVARGEHQIRKGFLALAVAAGAVWMVRPAVTRINKRNVGQHHVGVRDLAVMLLAIWFVGNIPQFLGFDGMPTSFALGLAFPREGAAARSVADALAPPVKGIMLPFYFATIGMRMNFNSMSGAIIVPGVLITLLGLFGKAIGAAAVASYLSMPLSDALRFSVLLNIKGHVDTMNMKFAKSEGVWAEQALYAMIIGNLISTLVAGPVVAVVRRKEEEAYRTRHQAMESLGAEQELHMLACVHSAHAAPGMLSLVELLVSEPQEQPAVHVLHLFDVGEERVVRIPYHQRIRDDDDGGGRDERGGGRDAVTRMNTIVDLFSRATGIWFRQIDVVCRGGAALDDAGAVCRAAEGVHARLLLAPCHKEQRYDGKMWCRLGGRRELNHGVLSRAPCTVGLLVDRPYRNSGTSFNVPSSVAAEAAATSGGGRTLLHPCSDRAVTHVVAAVFFGGADDREAVSLASRLAEHPSIGLTVFRFVKRSTYDSVTSAKVDELDMAFQEGDVDERFLWRFYERYAATEMAMYVEKVVERPADVEETLAGMAGMFSLVIVGRGGRQPPELLAGLERWADAGGEMGPAAEILASNDSLEMGSVLVMQQHTVVIKQ >ONIVA08G25990.1 pep chromosome:AWHD00000000:8:26046031:26054318:1 gene:ONIVA08G25990 transcript:ONIVA08G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTGAGAPVVKLYHEKSMILPDVSRVLACLYEKNIEFETVKDSYKDILRLQASRSVPVPFYDGPTFLQESRAICRYIAETYEQRGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHLAFPVQDDDDDDINTEKRKLEEVLEVYEQRLGESEFLAGNKFTLADLVHLPNTHHLVTSEFAYLYDSRKNVQRWWDTISNRQSWKQVLKDMNRVEEEYQMELEQQEEQWQTELPQTSVGHTIRLDPRQTTSTESQTVLVPPPSAGMISTSFSSQREQPLPSETTRQDKPSPRKESNFFTTTEKTPSTPRSRAPTTQKQPSSTFFTPSTTPKIPQRTDTDISSSKDAPYQTKPSETTSKEAHDKSHLSGFFKARSHTNETATPTKHSPQEDSKTSTKIPKTRDISEAVGPNSPISTKAPHEIDERASVDPRFDKPAPYTKPTTNIPQTSSGRPSAQRDLGTSPGTEADKTSSDLGGGVQSPYAQGRAEQVKKTSSDQRGSETAQPAQPRGTQQFTNDARQADQNRIAASPRQQPSGEQNVHKQFIAPPILKIPDLSTMQPESQEDTHNIMSEDERFSTKRLRKMMEESEKEAQEVKSQPTDFRPSKEETPSIYKNPSDVQDRTILDDRKSGRSPSAGTRAPDYPTSAAERRVASQPKEGMPYDDRGATKPQKSPSINEQEKIPVVPSQAPPASSGKASESLKEVSPDDGLAQVSTINQWRQTSAPPPTKLAAPDAPRNVELAKTEGVDKRTQPSTTKETPRNDRNVLATGQGADRGVGNEQYDKNSIDERAPQMTPRQAAPSVTQRASASIQERISGARGASDDMFGKTSSADQSNTPAIPKQTTVQGATPDVRGTSYADREMKLPADEKATANKQKPVSSSQQTIEPIRGGTPTSYGSTDDDLAKTSRANERQTPPSKAQAPASNRQSASTALQGGTPDARGENTAVKPSVTSPTGMPTSSRRQEPTPSVTSPTGVPTSPRRQEPTPDTQRHRAADQMPSQAPLPSSFSTRNKENGISEAGQTNTVAPDGLPGPGVPKDAGPQVAGPSVVKSQKNMNEAYNDGPSTQQLPNDQYRSQPREAKEEQGADAALINEIGKAQKDDLLANPNQSSTGRVQPTSTEETSKQQLQSGLNKPISSKDGKETVSYGSSATSREMLPSIPDKSMRVQQPQGDKSSYSSISQEDNVKQGSQAALQGSGNEQPKKRDLLANADEKIRGTTGEALQKSDEGRISSNTEQMKSNRNNSKPDGSTEPTSFDGNEGNLPESQKRGSSSNP >ONIVA08G25980.1 pep chromosome:AWHD00000000:8:26043716:26055336:-1 gene:ONIVA08G25980 transcript:ONIVA08G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVAVAGETMPRPGIGSFCSILFFPNQNTPDVGPSVMLSPTSPSSLPRPLLSRTPSARGRGGQWPVAAAGVAGRWASSRLAAAAAAAAAAACPSQAAMCNCASPCGRRSRGSWGLLRRTGDDARSPWPWRMQMRGTRCLAGEGEACSLAALEPVLEEIRPSSDFCKASPFQNLSDQMRFSSLAQHVPVHKETEHHLPDQKMFCLPQCQEKCLDLSVQKAFQKKFVERPGGKTMPGSEDQTHAPHDGAIVQWKPSPEHPFPAGKDTHAVHMHASTLDTSGRIMDFSWYNFTTGAPAPVLSPAMTARAQW >ONIVA08G25980.2 pep chromosome:AWHD00000000:8:26043716:26055336:-1 gene:ONIVA08G25980 transcript:ONIVA08G25980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVAVAGETMPRPGIGSFCSILFFPNQNTPDVGPSVMLSPTSPSSLPRPLLSRTPSARGRGGQWPVAAAGVAGRWASSRLAAAAAAAAAAACPSQAAMCNCASPCGRRSRGSWGLLRRTGDDARSPWPWRMQMRGTRCLAGEGEACSLAALEPVLEEIRPSSDFCKASPFQNLSDQMRFSSLAQHVPVHKETEHHLPDQKMFCLPQCQEKCLDLSVQKAFQKKFVVGKFRCHKVVGVWQVNKVSKGELVPSKELTLTKPLFIDFKDFFQFSFLCVDIIIVVILHRKGQVAKQCPARRIKRMLLMTEPLFNGSPLQNILSQQERIPTLHASTLDTSGRIMDFSWYNFTTGAPAPVLSPAMTARAQW >ONIVA08G25980.3 pep chromosome:AWHD00000000:8:26045994:26055336:-1 gene:ONIVA08G25980 transcript:ONIVA08G25980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVAVAGETMPRPGIGSFCSILFFPNQNTPDVGPSVMLSPTSPSSLPRPLLSRTPSARGRGGQWPVAAAGVAGRWASSRLAAAAAAAAAAACPSQAAMCNCASPCGRRSRGSWGLLRRTGDDARSPWPWRMQMRGTRCLAGEGEACSLAALEPVLEEIRPSSDFCKASPFQNLSDQMRFSSLAQHVPVHKETEHHLPDQKMFCLPQCQEKCLDLSVQKAFQKKFVVGKFRCHKVVGVWQVNKVSKGELVPSKELTLTKPLFIDFKDFFQFSFLCVDIIIVVILHRKGQVAKQCPARRIKRMLLMTEPLFNGSPLQNILSQQERIPTLHASTLDTSGRIMDFSWYNFTTGAPAPVLSPAMTARAQVCWLKS >ONIVA08G25980.4 pep chromosome:AWHD00000000:8:26046073:26055336:-1 gene:ONIVA08G25980 transcript:ONIVA08G25980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVAVAGETMPRPGIGSFCSILFFPNQNTPDVGPSVMLSPTSPSSLPRPLLSRTPSARGRGGQWPVAAAGVAGRWASSRLAAAAAAAAAAACPSQAAMCNCASPCGRRSRGSWGLLRRTGDDARSPWPWRMQMRGTRCLAGEGEACSLAALEPVLEEIRPSSDFCKASPFQNLSDQMRFSSLAQHVPVHKETEHHLPDQKMFCLPQCQEKCLDLSVQKAFQKKFVACKHSGHIRQDHGFLMV >ONIVA08G25980.5 pep chromosome:AWHD00000000:8:26046073:26055336:-1 gene:ONIVA08G25980 transcript:ONIVA08G25980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVAVAGETMPRPGIGSFCSILFFPNQNTPDVGPSVMLSPTSPSSLPRPLLSRTPSARGRGGQWPVAAAGVAGRWASSRLAAAAAAAAAAACPSQAAMCNCASPCGRRSRGSWGLLRRTGDDARSPWPWRMQMRGTRCLAGFQNLSDQMRFSSLAQHVPVHKETEHHLPDQKMFCLPQCQEKCLDLSVQKAFQKKFVACKHSGHIRQDHGFLMV >ONIVA08G25970.1 pep chromosome:AWHD00000000:8:26039123:26040281:-1 gene:ONIVA08G25970 transcript:ONIVA08G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAVHASFSSYSPPVVAGGRDDAGSSSSSRSMSRLPARVAGAVARGIVTFVFATVGTILGAITGGLIGLATESGMVRGTGIGAISGAVVAMEVVDSSVAMWCSHDSGIWSVLYVLDVIWSLLTGRLVREKVDPAVQNAVDSQMNAADAPFRESAPTLAEMFDTGIPGVAAAATGMPADAIAALPVTTFAAAAGGDDRAGCSVCLQDLEDGERARRLPECGHTFHLHCIDSWLLRHASCPLCRRTVVAVDDVVVG >ONIVA08G25960.1 pep chromosome:AWHD00000000:8:26029955:26035392:-1 gene:ONIVA08G25960 transcript:ONIVA08G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G47530) TAIR;Acc:AT1G47530] MSSTSGSAWDHSNNGGGGSPELREALLLGDGGSSPESREIKGIAVKKQDDLEEIRSVGELMRLAAEENRRLWYLAGPAIFTSLAQYSLGAVTQVFAGHLTTLELDAHHMLGIYLQRSWVILTAMSVILLPIYLFATPILRFFHQDDEIAVLAGRFSLYMIPQLFAYALNFPIQKFLQAQSKVMAMAAVSAAVLLFHVALTWLLLVPLRMGLVGLAVALNVSWWLVVLGQLAYIVMGYCPGAWNGFDWLAFTDLLSFARLSLGSAIMICLEFWFYITNLFGWQIMVFFGFNAAISVRVSNELGAGRPRAARLAIAVVLVSSVAIGVAFFAAVLLLRDVYGAPFTGSPEVLRAVASLGVVFAFSLLLNSVQPVLSGVAVGAGWQWLVAYINLGCYYCVGIPVGYAIAFPLRRGVQGMWGGMLTGVGLQTAILVAITARTNWNKEASEAHARIQHWGGTAKLAVDDPI >ONIVA08G25950.1 pep chromosome:AWHD00000000:8:26025518:26028785:-1 gene:ONIVA08G25950 transcript:ONIVA08G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVQMSDSEPQAAPPAAPAASALHHLKEIASVIEAGSLSKEVRRISRAVRLTVALRRRLAARDVSAFLAFALPPSSEAYTRLTSLVPKEDDTEMDIDMAAPATQISIKHGLPEIEIYCYLLVLIFLIDQKKYDDAKACANASIARLKNLNRRTVDVLASRLYFYYSYVHELTNSLAEIRGNLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPITARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKEALTPYFELTNAVRIGDLELFRAVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSDNPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >ONIVA08G25940.1 pep chromosome:AWHD00000000:8:26022917:26023330:1 gene:ONIVA08G25940 transcript:ONIVA08G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLARRRKLPPPAAEADGESLVMSLSSSPKQQQRFTALELAAAEQLIHLSESSSSPRSSSFSFTSASASASSPRSVSNAPRRGGGLGEADDEDDEQEVGGRPRRNRRLRPIAEIYAATAPIGGGRKAKAKAAGVE >ONIVA08G25930.1 pep chromosome:AWHD00000000:8:26014991:26015356:1 gene:ONIVA08G25930 transcript:ONIVA08G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEMKPAGAGGTTVGDGFFTEADLAAADQLVQLSVSGGGCEDDGYDSSSSTTLQSVNNAEASAAMDDDDDMGLDRRVRKRYRHLSELYAATLPVKENHGGGKRKKREEDMGKKKQPQPR >ONIVA08G25920.1 pep chromosome:AWHD00000000:8:26004954:26006405:1 gene:ONIVA08G25920 transcript:ONIVA08G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRQWEAAGRTDAAAAPGDRAWSWDALPYGCIHNSLMPSPPATACYRRGDDVAARRRWPRPLPRCHQHPAASSSDQNAATAARAPFQISNNSNAMGSCSCVAATAWGDDMQDKIETTSWRPRRV >ONIVA08G25910.1 pep chromosome:AWHD00000000:8:26002311:26003137:1 gene:ONIVA08G25910 transcript:ONIVA08G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAGTGSGGDDDELVLPPASFQDGLPSSRSYPSCIGGGSAAAASASLERELLYRAELHQQQLGGGGGVERRKRRAMKNRESAERSRARKQAYLQELEQEVRLLRAENAALRHQCHQLKAAAAEAEAEAAAAAAAAKKPTSSATF >ONIVA08G25900.1 pep chromosome:AWHD00000000:8:25996012:26000983:1 gene:ONIVA08G25900 transcript:ONIVA08G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRLPASTRPTTHCSATFSPSSISLEFHIPDDWICEKDEVVEHQEGMAEILRHTKKAPATKEVLLSFPPSPRE >ONIVA08G25890.1 pep chromosome:AWHD00000000:8:25992287:25994728:-1 gene:ONIVA08G25890 transcript:ONIVA08G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IFH8] MASSLAGSALSFATPVKAVKTNSISFTSARKGNAFLRLQPVPMRFAVCCAAKKETVEKVCDIVKKQLAVPEGTEVSGASKFSDLGADSLDTVEIVMGLEEEFHISVEESSAQSIATVEDAAALIDKLVEQKSAEAKSS >ONIVA08G25880.1 pep chromosome:AWHD00000000:8:25986822:25991491:1 gene:ONIVA08G25880 transcript:ONIVA08G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IFH7] MSAAAVLAVVAAAVAALAAAASGYELTKNGTVITYDRRSLIIDGHREIFFSGSIHYPRSPPDTWPDLISKAKEGGLNVIESYVFWNGHEPEQGVYNFEGRYDLIKFFKLIQEKEMYAIVRIGPFVQAEWNHGGLPYWLREIPDIIFRTNNEPFKKYMKQFVTLIVNKLKEAKLFASQGGPIILAQIENEYQHLEVAFKEAGTKYINWAAKMAIATNTGVPWIMCKQTKAPGEVIPTCNGRHCGDTWPGPADKKKPLLWTENWTAQYRVFGDPPSQRSAEDIAFSVARFFSVGGTMANYYMVVLNSNSNLFLTKKRDEISDRTDTGGFTCVNNQQYHGGTNFGRNGAAFVMPRYYDEAPLDEFGLYKEPKWGHLRDLHHALRHCKKALLWGNPSVQPLGKLYEARVFEMKEKNVCVAFLSNHNTKEDGTVTFRGQKYFVARRSISILADCKTVVFSTQHVNSQHNQRTFHFADQTVQDNVWEMYSEEKIPRYSKTSIRTQRPLEQYNQTKDKTDYLWYTTSFRLETDDLPYRKEVKPVLEVSSHGHAIVAFVNDAFVGCGHGTKINKAFTMEKAMDLKVGVNHVAILSSTLGLMDSGSYLEHRMAGVYTVTIQGLNTGTLDLTTNGWGHVVGLDGERRRVHSEQGMGAVAWKPGKDNQPLTWYRRRFDPPSGTDPVVIDLTPMGKGFLFVNGEGLGRYWVSYHHALGKPSQYLYHVPRSLLRPKGNTLMFFEEEGGKPDAIMILTVKRDNICTFMTEKNPAHVRWSWESKDSQPKAVAGAGAGAGGLKPTAVLSCPTKKTIQSVVFASYGNPLGICGNYTVGSCHAPRTKEVVEKACVGRKTCSLVVSSEVYGGDVHCPGTTGTLAVQAKCSKRPPRSAATAQ >ONIVA08G25870.1 pep chromosome:AWHD00000000:8:25981772:25985395:-1 gene:ONIVA08G25870 transcript:ONIVA08G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLRQVDRARRHLRALISSKGCAPIMLRLAWHDAGTYDVNTKTGGANGSIRYEEEYTHGSNAGLKIAIDLLEPIKAKSPKITYADLYQLAGVVAVEVTGGPTVEFIPGRRDSSVCPREGRLPDAKKGALHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFEGAWTQEPLKFDNSYFLELLKGESEGLLKLPTDKALLEDPSFRRYVDLYARDEDTFFKDYAESHKKLSELGFTPRSSGPASTKSDLSTGAVLAQSAVGVAVAAAVVIVSYLYEASKKSK >ONIVA08G25850.1 pep chromosome:AWHD00000000:8:25959944:25963772:1 gene:ONIVA08G25850 transcript:ONIVA08G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0IFH5] MPEYDPAHGPMGVRFVFVFVVVVFFSCKKEKAEQEQQREKAEAAAQPTRKSKARAGKPRRRRHHHHQQAGMARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSMRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTEKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKDTSGKETIKLAIRALLEVVESGGKNIEIAVMTHKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAPKET >ONIVA08G25840.1 pep chromosome:AWHD00000000:8:25956969:25958003:1 gene:ONIVA08G25840 transcript:ONIVA08G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSEQCDVISLPEDRVFEMLTRVSLDDLAACRQVSTRWRRLTYEPAFAPLHCRRADAVSGYLVQTVARNRYHATFVSSMHPSPPPADLVSLDFLPSPHVRVEAVSPHQGLVCCVDADADADAATPRKPASSYYVCKPATRQWRALPNPRLRYRTAATAMLARPGGGGGGAADFKIVRFSVPTLRDCLRCEVFDSRGMAWRRSADVAVWPESLVEAAPAVRAHGAMHWLRWPDRLSGGAEDIFAFDVKTETWRLIGLPPEATTEKRWARKKVAAVEGKLCLVVVVDEEVEVWVLAGYRQERWEKKMTASLTRLAMEEGNSFILRDLYASDVAFFNSVYRVLW >ONIVA08G25830.1 pep chromosome:AWHD00000000:8:25952475:25955562:1 gene:ONIVA08G25830 transcript:ONIVA08G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMLLMLMQLLGSPTSLAVLLLSFFQGSVGVGAITFTFTNRCTDTVWPGVLSGSGTPPLETTGFALSPGGSRSLYAPSGWSGRFWARSGCDFDDSGKGSCATGDCGSGQVECRGAGASPPATLAEFTLNGADGKDFYDVSLVDGYNLPMLVQASAPDCPDTGCLVDLNERCPSELRADDGRACRSACEAFGRPEYCCNGAYGNPDTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPRSTPSSTLRFWAKIGDFDVEHELGKVKWEFKERITETEPRAARRCGVAGELEGQQWRGDGCNCGIMAGILSFPICLGNCSGDPACPAGASRIIQLVASEKDS >ONIVA08G25820.1 pep chromosome:AWHD00000000:8:25948278:25949088:-1 gene:ONIVA08G25820 transcript:ONIVA08G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPTAIWAHKYYGPAHPLASFLHTLPSNRISATPHYSVAAFSRRLLLYSGDGEAAMHPPPPTPRSSVQVGEWGIRTAVVLLLEHFSEKASQQSFEPITRQWAPRLPIAL >ONIVA08G25810.1 pep chromosome:AWHD00000000:8:25944277:25946217:1 gene:ONIVA08G25810 transcript:ONIVA08G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKAILARPIQLAEQVIKWAEEAQTCRQECLDLKAKVERLASLLRQAARADLYERPARRILDDTGKALDKAAALLDRCRGHGLIRRVFTIIPAGSFKKTSNQLDNSLGDLSWILRVSNYSNADDLDDDHIGLPPIAQNEPILFLIWEQIAVLYTGNPEARADAAASIVSLARDNDRYGRLIIEEDGVPPLLRLIKEGSSEGQETAALAIGLLGRDPECVELMVLAGVCTAFAKILKDAPMKVQGMVAWAVSELATNHPKCQDAFLQSNVIRLLVSHLAFETVQEHSKYAVASKMSIHTVLMDKKNNGSTSSSHHHDALDAVDHAAATTTTTTAMAAKPTGGGAASSSGAGAGSAGTGTTSSSSVSVGGTVAGTKQHNASLSGTSTKAREFEDPETKAYLKANAAKALWQLAMGNAAVCKNITESRALLCLSVLLEKGVDDVRYNSAMALMEICLVAEQNADLRRSAFKPTSPAARAVVDQLLRVVHKADYDELLIPCIISLGCLSRTFRATETRIIGPLVNLLDEREADVSREAAVALTKFACTENYLHVDHSKAIIHHGGAKHLVQLVYFAEQAVQIAALLLVCYIAHNVPDNEELAQAEILTLLEWASKQAAMVQDPLIENLLLEAKIRMELYQSRGAKGYY >ONIVA08G25800.1 pep chromosome:AWHD00000000:8:25940668:25941108:1 gene:ONIVA08G25800 transcript:ONIVA08G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAPSVGIAFAPKPPPAAAVGSYRARKVRCAVAVAPAPAPAGTLYDVLGLRAGATVREIKAAYRRLARERHPDVAASAGADDFVRLHDAYATLSDPDSRARYDRDVVAVASMARGAHHRTMAAPAAAPRWYGRRPRRTWETDQCW >ONIVA08G25790.1 pep chromosome:AWHD00000000:8:25935283:25937911:1 gene:ONIVA08G25790 transcript:ONIVA08G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKDTTAAAADQNPTPNPPTAAAPTDDSAAAAAAAAGRRPFTSLTQEEADLALARVLQEQDAWEDVDPDEYSYEELVALGEVVGTESRGLSADTLASLPSITYRAQDKQDGNMEQCVICRVEFEEGESLVALPCKHSYHSECINQWLQLNKVCPMCSAEVPTSQDTRA >ONIVA08G25780.1 pep chromosome:AWHD00000000:8:25930075:25934771:1 gene:ONIVA08G25780 transcript:ONIVA08G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRPVAVVLSWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGLGVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFALYYVVLPCAGLALLVHPSTSHNIINRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >ONIVA08G25770.1 pep chromosome:AWHD00000000:8:25926399:25928495:1 gene:ONIVA08G25770 transcript:ONIVA08G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILCAFLDSVSSYIVADAELHEHTEVLVFQDIIIRQKYQKKGLDCTEPTPSWVLAATKLYDFAYLFMSLQRTTTEGCKCERRHMPLNLAYIKVVLPPPKSTCIDRFISWGDKTRATHSDIATMCVSKTSKYTHQDRGKIGKLGHGSQRGDVYRRLESEASKSAVESLVGNGRNGRTLTERRRGLRRGGGDGDLAPFPFPHHRRRKGRAPSEGRAYGPRLEGDAIDEGATQHILFSFLVCSTVIIVSSFCASVALAAAVDVGDGHFSTVTSPRTKNDSLCIASADAPFSLPVVTSTGIVNTYRTRTTTASPHTGMALLIVTSESDVATAVGVDIIGAKPPQLAGESNRLCTTKSPNNGLRMSEKDMVSAVLTSMITVMAKVAVPKIKRMRRDNRQG >ONIVA08G25750.1 pep chromosome:AWHD00000000:8:25913528:25915164:-1 gene:ONIVA08G25750 transcript:ONIVA08G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSTPAMLLYAALFAAALLYLAVAVRRGRGAGLPPGPTGLPLVGSLLSLDPELHTYFAGLAARYGPIFSIRLGSKLGVVVTSPALAREVLRDHDLVFSNRDTPDAACSISYGGGQNIVWNPVGPTWRLLRRICVHEMIGPAGLDSLHGLRRREFMATLRHLRARSGEPVDVGAQMFLTVMNVVTGALWGGNVGSESERTTVGNEFRELVADITELLGAPNVSDFFPALAPLDIQGIRNKSDLLKDRFDDIFARIIQKRTESDHAAAAGETASDFLEYMLKLEKEGGDGKTAFTMTNVKALLMDMVIGGTETTSNTVEWGMAEMLQNRGTLRKVREELDAVVGRDGVVEESHLPKLHYLNLVVKETLRLHPALPLMVPHCPGEDATVGGHRVPAGARVFVNVWAIQRDPAVWKDPEHFIPERFLPADGGGGRRLDFTGSEQEYMPFGSGRRICAGVAMAERMVAYSLAMLVQAFDWELPAGERLDLAERFGIVMKKATPLVAVPTPRLSNPQLYSA >ONIVA08G25740.1 pep chromosome:AWHD00000000:8:25907989:25908981:-1 gene:ONIVA08G25740 transcript:ONIVA08G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVTAAAPPSPDKSTNLFMQIVVHPDGTITRPFVPDAPPSATGPVLSRDVPLDASLATSLRLYLPNPASPPPPPTSKLPVILYFHGGGFVLFSTGSVFYHASCEAMAAAVPAIVVSLDYRLAPEHRLPAAYDDAASAVLWLRDAAAGDPWIAAHGDLSRCFVMGSSSGGNMALNAGVRACRGLDLGPAAVRGLVLHQPYLGGVARTPSEEKSGDDAVLPLEANDKLWSLALPAGADRDHEFSNPAKSMAAAAAALTGLPRCLVTGSDGDPLIDRQRELVAWLRGHGVEVVAKTDFAGSHAAELFVKETADELFAAVRAFVSGAGDVVHS >ONIVA08G25730.1 pep chromosome:AWHD00000000:8:25894674:25914246:1 gene:ONIVA08G25730 transcript:ONIVA08G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNAWYRSRSRTPRAVRAVRRAGDLKPWYLGGVELRVGEPRRGHGDQRRGLLHHDAEPLGEVQPLAGRQLPVERLHQHRQRVGHHPLRHGDARADPPPGPERHVLLLAPGEVQPPPSAAVRRQEPLRDEVFRVLPHRRVPLDRPHVDEHPGARRDAVAAHRGVLAGAVRHHQRQRRVQPERLLDHQIQVVQLREVALLHHAVAPHHRVELLPHLAQRPPVLEHLRHAPFHGVRRRLRPPDHHVLQPNHTAMNGGVVVSDAGSAVTEQ >ONIVA08G25720.1 pep chromosome:AWHD00000000:8:25893998:25894327:-1 gene:ONIVA08G25720 transcript:ONIVA08G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAREIPHVRGQTQFVATQRVPAWFPFPAALAAARHRHAPTDLTLMMERADPVGASPLPPPLSYRFPLPLTCLEGVDVSAAAFAASPAAFLVYLGEDEEREGGRKEKA >ONIVA08G25710.1 pep chromosome:AWHD00000000:8:25891998:25892994:-1 gene:ONIVA08G25710 transcript:ONIVA08G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGGGRHGRDDHHRPPVNPTDSAAAFLYASTASRGGFQLWQQQPPPAAHPFYAQNIIRFADDPAAPPSSRGGRGGGPGGSSGGGTISCQDCGNQAKKDCTHLRCRTCCKSRGFDCATHVKSTWVPAAKRRERQNLLASAAESSKRPRDSAAAATSTTPTTSSGEQQQMMVGERFPREVSSEAVFRCVRLGPVDEADAEVAYQTTVSIGGHVFKGILHDVGPEHSSGGGGGMGGRHAAAGEAGSSPSTAAAPHGGGEGGSSGVAAAAAAVSSSAVVMDPYPTPGPFGGAHFFHGHPR >ONIVA08G25700.1 pep chromosome:AWHD00000000:8:25868513:25875864:-1 gene:ONIVA08G25700 transcript:ONIVA08G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTTHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVFSDDRDDIVFPVQTVDLPEETKHEKFLSPWHPPSHHSSSDCIESYHMTEAASRTASEVSEEHCREVQCIDIHEHRRSTSHKFDLLLPQDTEFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPVEEEQQDEIVDTCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRLDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTSDISCLTKLKKTDSDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSLKNLRRERDMLYKQMLKKLTNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >ONIVA08G25700.2 pep chromosome:AWHD00000000:8:25868513:25875864:-1 gene:ONIVA08G25700 transcript:ONIVA08G25700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTTHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVFSDDRDDIVFPVQTVDLPEETKHEKFLSPWHPPSHHSSSDCIESYHMTEAASRTASEVSEEHCREVQCIDIHEHRRSTSHKFDLLLPQDTEFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPVEEEQQDEIVDTCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRLDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTSDISCLTKLKKTDSDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSLKNLRRERDMLYKQMLKKLTNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >ONIVA08G25700.3 pep chromosome:AWHD00000000:8:25868513:25875864:-1 gene:ONIVA08G25700 transcript:ONIVA08G25700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQIGETALNETSSRSHQILRLNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTTHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVFSDDRDDIVFPVQTVDLPEETKHEKFLSPWHPPSHHSSSDCIESYHMTEAASRTASEVSEEHCREVQCIDIHEHRRSTSHKFDLLLPQDTEFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPVEEEQQDEIVDTCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRLDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTSDISCLTKLKKTDSDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSLKNLRRERDMLYKQMLKKLTNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >ONIVA08G25700.4 pep chromosome:AWHD00000000:8:25868515:25875125:-1 gene:ONIVA08G25700 transcript:ONIVA08G25700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTTHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVFSDDRDDIVFPVQTVDLPEETKHEKFLSPWHPPSHHSSSDCIESYHMTEAASRTASEVSEEHCREVQCIDIHEHRRSTSHKFDLLLPQDTEFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPVEEEQQDEIVDTCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRLDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTSDISCLTKLKKTDSDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSLKNLRRERDMLYKQMLKKLTNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >ONIVA08G25700.5 pep chromosome:AWHD00000000:8:25868515:25875125:-1 gene:ONIVA08G25700 transcript:ONIVA08G25700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQIGETALNETSSRSHQILRLNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTTHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVFSDDRDDIVFPVQTVDLPEETKHEKFLSPWHPPSHHSSSDCIESYHMTEAASRTASEVSEEHCREVQCIDIHEHRRSTSHKFDLLLPQDTEFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPVEEEQQDEIVDTCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRLDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTSDISCLTKLKKTDSDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSLKNLRRERDMLYKQMLKKLTNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >ONIVA08G25690.1 pep chromosome:AWHD00000000:8:25854020:25855778:-1 gene:ONIVA08G25690 transcript:ONIVA08G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSSPSTQDCGGWLLYVSLAAKCGGDPCRVVGFVAVAVVAFAVTSLLHWLSPGGPAWGRYWWNRRGGLGIAAAIPGPRGLPVLGSMSLMAGLAHRKLAAAAGGSPARRRLMALSLGETRVVVTADPGVARELLASAAFADRPVKESAYGMLFHRAIGFAPYGTYWRALRRVASTHLFSPRQVSASAAQRAVIARQMVEAMRSAAAAAAGGGVAARPFLKRASLHNVMWSVFGRKYELAAPESEETAELRSMVDEGYDLLGQLNWSDHLPWLAPFDLQKTRSRCSSLVPRVNRFVTRIIDEHRARLSLAVDAAVDFTDVLLSLHGGDKLSDADMVAVLWEMIFRGTDTVAVLIEWVAARLVLHQDVQARVHDELDRVVGSDRAVTESDASKLVYLQAVIKEVLRLHPPGPLLSWARLATSDVHVGGFLIPSGTTAMVNMWAITHDPAVWPDPNEFKPERFIAGPSSNQAAEFPIMGSDLRLAPFGSGRRSCPGKSLAIATVGFWVATLLHEFDWLPLSDKSRGVDLSEVLKLSCEMATPLEARLRPRRKV >ONIVA08G25680.1 pep chromosome:AWHD00000000:8:25842192:25847211:1 gene:ONIVA08G25680 transcript:ONIVA08G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARRGGAERAREASRPCRSGEVELNLRGLEGKENGHFLVGIGTIYFHGKLRDGGRKFLKKVRGGGVEPEIRAKVWPFLLGVYDLNSTEAERNVIQTNKRNDYEKLRRKCHHVLHSYKGSGLNVINEAAACEYHSCNEESEPLNLESVSTRSSPSPKGLKSLRCGISRQENATEGIDEDTSELTYVDPYLAESESSDSGTSDEEDLDRMSVSANTEVNRDQGPKFVRSASSKSGFFRNNKTPEDFATWQRIIRLDAIRTDPEWALLSHNRAEVSKEKALQYARSVGLKDYDHLEPYMIYHAARLVAVLEAYALFDPEIGYCQGMSDLLSPIIVVMEEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIGRSTWSKIRLHAPPTDDLLLYAIAACVLQKRKLIIERYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >ONIVA08G25670.1 pep chromosome:AWHD00000000:8:25836114:25839821:1 gene:ONIVA08G25670 transcript:ONIVA08G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAAVSSTCAAASSTTSRRSSSSPASRVQATPRRSLPSRLVASRTSPRSPVVPPVYATASAGGAGGTTAAAARKKLLIFDAEEYLAESLAKYMARLSGEAVAERGAFTVALSGGSLIKALRKLTESPYLEAVEWSKWHVFWVDERVVPKDHADSNYKLAMDGLLSKVPIPASQIYAINDTLSAEGAADEYETCLKQLVNDGVVAISEVTGFPKLDLMLLGMGPDGHVASLFPGHPIVNENLKWVSYIKDSPKPPPERITFTFPLVNSSAHIALVVTGAGKAGAVHKAFSDKQSSSDLLPVEMVSQQEGVLTWFTDKPAVSMLSSI >ONIVA08G25660.1 pep chromosome:AWHD00000000:8:25831080:25835981:1 gene:ONIVA08G25660 transcript:ONIVA08G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENYWRFADARQQQAMVAAAAAAAGMAPTAATVATAGQAAAGMPPQAAMAQQAAAAPPLKRARPDYGDVPAGQDMTGYYPRETDRTGYHALRENEAIGASYDRYLRNGMPSVAATETNRPVVGGMGGMGGMGGYPVDDRRMIGVGMDSRDIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDNPAQATLALEALQGYKFDEHDRDSAHLRLQFSRFPGPRSAGGPRGRR >ONIVA08G25650.1 pep chromosome:AWHD00000000:8:25826676:25829120:-1 gene:ONIVA08G25650 transcript:ONIVA08G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSHRVERSEMKPGDHIYTWRAAYTYSHHGIYVGGSKVVHFTRKKEAGTAGLDSAIAISSLLSQGSPECPTFPDCGFQLPDSGVVLTCVDCFLRGGSLHGFEYGVPPAVFLAKLRGGTCTTAAADPPDAVVRRAMHLLQNGFGSYDVFENNCEDFALYCKTGLLPADEPGSIGRSGQASSAIGVPLAALLSTPFKLLAAGPLGMAAVTAGMYCAGRYITDIGVRKDVVKVEVENLSAHLGWRRAKAEEEMAMKKQQPSSHDTKVKKSLLPLKRKRDNFCEIITS >ONIVA08G25640.1 pep chromosome:AWHD00000000:8:25826326:25828106:1 gene:ONIVA08G25640 transcript:ONIVA08G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQVLHLHLDDVLPHPDVGDVPAGAVHPGGDGGHAEGAGGEQLERRGEERRQRDADRRRRLAAPADAARLVGGEEARLAVEREVLAVVLEHVVAAEPVLEQVHGAADDGVRRVGGGGGAGAAAELGEEHRRRDAVLEAVEGAAAEEAVDAGEDDAAVRELEAAVREGGALRRALREEAGDGDRRVQTCRPCLLLPREMHHLAPSNAREIAGGGAKGVNDRLDTVLDRSSQTHRIFWMQAVMWRNPTTTMSMLPYICQ >ONIVA08G25630.1 pep chromosome:AWHD00000000:8:25813894:25823500:-1 gene:ONIVA08G25630 transcript:ONIVA08G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSRLAVKESCLPMTMPMPETFAQYSNPLRSTRAYGQLCRKQPDTTVYPGQICAAAGGGGGMADQTAAAVVVVGGAAATMGEPSPPPPAPAAEAAGVGVGQQQRTVPTPFLTKTYQLVDDPAVDDVISWNDDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGERRLLCEIHRRKVTPPAPAATTAAVAAAIPMALPVTTTRDGSPVLSGEEQVISSSSSPEPPLVLPQAPSGSGSGGVASGDVGDENERLRRENAQLARELSQMRKLCNNIILLMSKYASTQQLDAANASSAAGNNNNNNCSGESAEAATPLPLPAVLDLMPSCPGAASAAAPVSDNEEGMMSAKLFGVSIGRKRMRHDGGGDDDHAATVKAEPMDGRPHGKDEQSAETQAWPIYRPRPVYQPIRACNGYEYDRAGSDQDEYGAKPSNQDKKDLRTCVNNLLVTGAGTLDVRDQLPTQATTVRS >ONIVA08G25620.1 pep chromosome:AWHD00000000:8:25809311:25811908:-1 gene:ONIVA08G25620 transcript:ONIVA08G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IFE9] MASSSGEGKGAGGAGYQYAPYGGSYYDEERRWWPWLVPTVLVACIVVFLVEMFVNDCPRHGSPLRGESCVAGFLHQFAFQPLRENPLLGPSSATLEKMGALDWAKVVHQHQAWRLISCIWLHAGLIHLIVNMLSLLFIGLRLEQQFGFVRIGIIYLLSGFGGSVLSVLFLRNNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERHELPQTNQPRKYSAYQYVLWAVALFLLLVCDCPGDAFQGEEWKRWLSLVPLPKLHTNIQMEVQYLDASEFR >ONIVA08G25610.1 pep chromosome:AWHD00000000:8:25806256:25806459:-1 gene:ONIVA08G25610 transcript:ONIVA08G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQELSASGSEGHELTTARSTSLVALNMAGAHHHFPHPREKDELGSNFFMSLSSSLLPAPPPSSSA >ONIVA08G25600.1 pep chromosome:AWHD00000000:8:25805342:25808612:1 gene:ONIVA08G25600 transcript:ONIVA08G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLEPSSSFSLGSWWPIVEENTEEQDLQLELAGGDGDGRNLWLWPAIAKREDGRNEEGGRLVLVTPSPLPARSFTVSKIFYSFAATSYQSITNLQVKNRQITWQLFHFVKY >ONIVA08G25600.2 pep chromosome:AWHD00000000:8:25806361:25808612:1 gene:ONIVA08G25600 transcript:ONIVA08G25600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRHIQRYQTESSWWPIVEENTEEQDLQLELAGGDGDGRNLWLWPAIAKREDGRNEEGGRLVLVTPSPLPARSFTVSKIFYSFAATSYQSITNLQVKNRQITWQLFHFVKY >ONIVA08G25590.1 pep chromosome:AWHD00000000:8:25799655:25804953:-1 gene:ONIVA08G25590 transcript:ONIVA08G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPTQDAIDTFVGITGADEAVAARKLEEHHGDLNEAVNAYFNEGDRTSTRANENPIPTGYDDMELDEPFGPVPTFHMPLGNPFSLLDQGFLERAAAVYGEGPHITHPREVRQIDVEVKDNNTPAGSSGHGPVIEDVTGHEFSHGPEIRGTVLIDEDDDDDNLPSAQDTRLPSNPSTSNYSVPRAPPIANVSDYNNDIEEEMVRAAIEASKRDADGLTNGLRSGERENASRGRDDDEIARAVSMSLETAEQERVLRQVGAHVSDHSDLSDKEDIEGATGTVERQVPTSGKVGTSDQLVDEESFQDDDVEEHSFVRQHSRRVPSGNDESTEALERANSHPSSLLPHNIENNQQFNGVFPSEWGGISSEEHDEAVMLEAAMFGGIPGRAAYPFSLPYHQNSSRYPTVAHPPSPTLTAQRLLREQQDDEYLASLEADREKELKAEQEAELRRLEAAAEREAAIAKQKQEEEEKRRKQLEEEELESKLAAKQASLPKEPLPDDVGAVTVVVRMPDGRRQGRRFMKSDNLQVLFDFIDISRTFKPGTYRLVRSYPRRAFTDSESQMSLSDLGLNSKQEALFLEQISG >ONIVA08G25580.1 pep chromosome:AWHD00000000:8:25798012:25802027:1 gene:ONIVA08G25580 transcript:ONIVA08G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSPGAPKLRPPPIKPAAPHRHRQAQQSSSSKGKVIFAASSEEAQEQGRYGGEQGERGGGGVRARAGAAGRGRGGAGRRRGGVRAAAEQAAGVPRVRGARRR >ONIVA08G25570.1 pep chromosome:AWHD00000000:8:25794336:25797565:1 gene:ONIVA08G25570 transcript:ONIVA08G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTEANKKSALFGEQKQNMAKQRHPCMGELPQQQSNSSDRWGPSVSESCTIFFLGQGRPPTAGAHVEHGDASTSARTHVLHHKDDVLCFARGSRVFSTEMGDQSDVPGSCLLDRNNKIQWPPHMENFFPGKDEPSRNSDRWRRGAQAGAAAGGGRSGGSRQELKRQRRRAGADANEIE >ONIVA08G25560.1 pep chromosome:AWHD00000000:8:25791657:25792684:-1 gene:ONIVA08G25560 transcript:ONIVA08G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGKDQKFPAMKKETAAVAKEEVWEVRPGGMLVQKRSPESEPPPGGAPVPTIRVKVKYNGVYHEIYINSQASFGELKKLLSEKTGLHPDDQKVVYRDKERDSKAFLDIAGVKDRSKMLLLEDPTAQAKRLLEERRHCKAERAAKSVSRVALDVDKLASKVSALEAIVSKGGRVVDADVVALTEALMNELVKLDSIAADGEVKEQRRVQEKRVQKYVEALDAIRAKTKKAAAAPPKARPPHLPPRPPPAQQQQRRQFQPPAPATATAPAPQTATASWESFDLLSSVPSTSSAPVTTMAPATTTTTSPSPRFEWELF >ONIVA08G25550.1 pep chromosome:AWHD00000000:8:25786683:25787715:1 gene:ONIVA08G25550 transcript:ONIVA08G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAVGRDRGQEKEIIREAHNNKLATESLMDEQGLLQLQLHASCMVHDATGAILQASSISMIRNKIDQYTNDDDAGNARSYLVCMFVCSVLGLKKRKCAEMMHGYSWELILFHQECCTNGALEPAVQLLVCIVHTHLEAAR >ONIVA08G25540.1 pep chromosome:AWHD00000000:8:25779313:25780911:-1 gene:ONIVA08G25540 transcript:ONIVA08G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IFE0] MCHCSSKVVAVAQCHQPLLPPPEACPALHDRPRSARGGGGAIAEVASIVRLAMPMVGAGLLMYMRSLVSMLFLGRLGRLPLAGGSLALGFANITGYSVLSGLAAGMDPVCGQAFGAGRTSVLAAALRRTVVLLLAASVPIAALWLAMHRVLVAAGQDPDIAACAYEFILCSLPDLAVQSFLHPLRVYLRAQSITLPLTYAAAAALALHVPVNVLLVHGLGLGIRGVALAAVWTNLNFLLFLVAYAYFSGLIRGDDDDDGGNGKAEEEGATTTTTTMEWGWLVKLSVHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGILIQTTSLLYIFPHSLSCAVSTRVGHELGAGRPERARLAARIGLACGAALGVVACAFAASLRGVWARMFTADATILRLASSALPILGAAELGNCPQTAGCGVLRGSARPGRAARINVSAFYGVGMPAALALAFWPARLDFRGMWAGMLAAQLVCAALMLLAVRRTDWDEQAARARELTGVVVGDGDVVVKGDHADAAKVKADSGLLVVTVLS >ONIVA08G25530.1 pep chromosome:AWHD00000000:8:25767065:25767639:-1 gene:ONIVA08G25530 transcript:ONIVA08G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLVFFFLLLLGFGNAEEELDTETCDVAKLSAEIGTYCEFDQGRMYPGRCCDSIIDAADGHDGGGTQCICRVWMEDAVRKTGITFRELLHQYIDCGGLQPSLPHLADSACSAAPEMVGTLPGPGKISGADTTNGVIFSAMRPAIIVNKMLAST >ONIVA08G25520.1 pep chromosome:AWHD00000000:8:25763286:25765554:1 gene:ONIVA08G25520 transcript:ONIVA08G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TraB family protein [Source:Projected from Arabidopsis thaliana (AT5G52030) TAIR;Acc:AT5G52030] MAAGPTPSTAASFRPPLPPPPPCFDYREAMLGHTRAAAVTAADPALAALVESGALVRVPRRRFGPVPAWRPPEFVEPEDVWILGTSHLSEESVADVERVLRAVRPDNVVSWNHVRDHRFFCRRAAPQIQHVLSRWLQVLRSRQPEHQSGWADCTCSASASCRFLLKDLFWKVSEDLGAQLVLGDRPIEITLERAWKSLSWDEKTKLVVSLFRGITSTTDTSQDEKAAGSPYELYEKLSISYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGIIGKGHMNGVVYALISDQGDLRFRDLVGRASSDTWASSLIKGLVRDTIIGIVLWGLYEQLHAVF >ONIVA08G25520.2 pep chromosome:AWHD00000000:8:25763286:25765554:1 gene:ONIVA08G25520 transcript:ONIVA08G25520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TraB family protein [Source:Projected from Arabidopsis thaliana (AT5G52030) TAIR;Acc:AT5G52030] MAAGPTPSTAASFRPPLPPPPPCFDYREAMLGHTRAAAVTAADPALAALVESGALVRVPRRRFGPVPAWRPPEFVEPEDVWILGTSHLSEESVADVERVLRAVRPDNVVSWNHVRDHRFFCRRAAPQIQHVLSRWLQVLRSRQPEHQSGLHLLCVCFLPFSPQRSLLLERAWKSLSWDEKTKLVVSLFRGITSTTDTSQDEKAAGSPYELYEKLSISYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGIIGKGHMNGVVYALISDQGDLRFRDLVGRASSDTWASSLIKGLVRDTIIGIVLWGLYEQLHAVF >ONIVA08G25520.3 pep chromosome:AWHD00000000:8:25763286:25765554:1 gene:ONIVA08G25520 transcript:ONIVA08G25520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:TraB family protein [Source:Projected from Arabidopsis thaliana (AT5G52030) TAIR;Acc:AT5G52030] MAAGPTPSTAASFRPPLPPPPPCFDYREAMLGHTRAAAVTAADPALAALVESGALVRVPRRRFGPVPAWRPPEFVEPEDVWILGTSHLSEESVADVERVLRAVRPDNVVSLHCRAGIMYVTTDSSAGEPLLKSNMFSLGGSKFFGAVNRSINLGGQTALALRLLLALERAWKSLSWDEKTKLVVSLFRGITSTTDTSQDEKAAGSPYELYEKLSISYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGIIGKGHMNGVVYALISDQGDLRFRDLVGRASSDTWASSLIKGLVRDTIIGIVLWGLYEQLHAVF >ONIVA08G25510.1 pep chromosome:AWHD00000000:8:25760572:25762449:-1 gene:ONIVA08G25510 transcript:ONIVA08G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPDDLLLEVLRRLPPISLDASRVVCSAWRAAVDANRLLRADLLPLSVAGIVVNPLSLGSRFLCRPNAAATIADDLDDIRNTSRGIFNRFDFRVSDHCNGLFLVDDDVQHVVNPDTRQWAPLPGYPPPHPCYPHKLFSPTICLVFDPAVSPHFEVLVIPRLRSELRRPREWPPSPFVLRAFSSRTGGDQWEDRISLSNGTYRVIQSEPSMSLRYREKHPDLYLGKSEKGVHCARVSFDHLMVWFLSESHGQMKWVLKHRSRIASLLPRRTTYEEQSGESWILHGANYQGDFHADADDVSWMFNLEWQRDSYDDDDDHHEDKRHAKYVTFLGFHPFDEEVVFLGETFRRGFAYNLNNSEIQDLGNLCPKFYDLTCHQALIQTGKQMKRADQ >ONIVA08G25500.1 pep chromosome:AWHD00000000:8:25754653:25759224:-1 gene:ONIVA08G25500 transcript:ONIVA08G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSKLEEITGEWPPPALQAASTTSSSEPCRRLSPPSSKRPAGRTKFHETRHPVFRGVRRRGRAGRWVCEVRVPGRRGCRLWLGTFDAADAAARAHDAAMLALRGRAAACLNFADSAWLLAVPPPATLRCAADVQRAVARALEDFEQRESSSSVFPLAIDVVAEDAMSATSEPSAASDDDAVTSSSSTTDADEEASPFELDVVSDMGWSLYYASLAEGLLMEPPASGASSDDDDDAIVDSGDIADNTTAMGQLMSSSATTAATATGPSSPKRPAGRTKFQETRHPVFRGVRRRGRAGRWVCEVRVPGSRGDRLWVGTFDTAEEAARAHDAAMLALCGASASLNFADSAWLLHVPRAPVASGHDQLPDVQRAASEAVAEFQRRGSTAATATATSGDAASTAPPSSSPVLSPNDDNASSASTPAVAAALDHGDMFGGMRADLYYASLAQGLLIEPPSPPTTAEGFCDDEGCGGAEMELWS >ONIVA08G25490.1 pep chromosome:AWHD00000000:8:25750012:25752048:1 gene:ONIVA08G25490 transcript:ONIVA08G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G51970) TAIR;Acc:AT5G51970] MGKGGKGAEAAAAAAAAGAGEEENMAAWLVAKNTLKIMPFKLPPVGPYDVRVRMKAVGICGSDVHYLREMRIAHFVVKEPMVIGHECAGVIEEVGSGVTHLAVGDRVALEPGISCWRCRHCKGGRYNLCEDMKFFATPPIVHPGDLCFKLPENVSLEEGAMCEPLSVGVHACRRADVGPETGVLIMGAGPIGLVTLLAARAFGAPRVVIVDVDEHRLSVARSLGADAAVRVSARAEDVGEEVERIRAAMGGDIDVSLDCAGFSKTVATALQATRGGGKVCLVGMGHNEMTVPLTSAAIREVDVVGIFRYKDTWPLCIEFLRSGKIDVKPLITHRFGFSQEDVEEAFEVSARGRDAIKVMFNL >ONIVA08G25480.1 pep chromosome:AWHD00000000:8:25740905:25742884:-1 gene:ONIVA08G25480 transcript:ONIVA08G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLINKYLSRSKNPAAGDDKEMPPPPPPPPANGAEREFMCIPGCVPVRVKRGTTTTTTTTTTARTSRHNFVKSAASGLFPGAQFTNHESLPAMEEAYAEFVAAFPQYAGLAQADAIRDGEYQHLDRHVCLDYTGINLFSHAQMNSSVPSTSATPPASSSGAAWQPPFFDIAYKSTSLRSQVQCGDDVAAASAAGGIGGAVTRRVMASLNIPDDEYAMVCTANRTTAFRLLAESYSFQQHGKRLLTVYDYESEAAGAMAESARRRGAEVMSATFAWPGMRVHAADLRKKLLRGRRRGGGGGHRRGLFVFPLVSRMTGARYPYLWMSAAHEQGWHVALDACALGTKDLDTLGLSLLRPDFIVCNFFKVFGENPSGFAGLFVKKSSLAALERSVIARSIGIVSIVPARRWSLHDGYSTELDPAAAAADDLDTTSSFSGPLSGTLIALTLKNVPEMDAAGEENGHSPEIREADPPPPPPPIMEVECRGLDHADALGLIAIGNRLRCISNWLVVAMQKLRHPQSEQGKALVRVYGPRVKFERGPSLAFNVFDWKGEKVAPPLVQKLADRHNISLTCGFLRHICFSDKYDADRAAVLEHIDGGGKRKDAAGEMGIYVVNASLGFLTNFDDAYRLWAFVAKFLDADFVEKERWRYTALNQKTVEV >ONIVA08G25470.1 pep chromosome:AWHD00000000:8:25735901:25741201:1 gene:ONIVA08G25470 transcript:ONIVA08G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPNDVGILAMDIYFPPACVHQEALEAHDGASKGKYTIGLGQDCMAYCSEVEDVISMSLTVVASLLKKYNIDPKQIGRLEVGSETVIDKSKSIKTWLMHIFEESGNTDIEGVDSSNACYGGTAALLNCVNWVESKSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQYCTKYEKIVGEQFSISDAEYCVFHSPYNKLVQKSFARLYFNDFMRNCSTVDNDAKEKLQPFANLTSEESYQSRDLEKASQQVAKHLYDIKVQPSTLLPKQIGNMYTASLYAALASVIYNKHDSLDGQRILMFSYGSGLTSTMFSLRLHDGQHPFSLMNIASALDVTTKLESRHVTSPEKFIETLKLMEHRYGAKDFETSKDTSLLPPGTFYLTKVDSMYRRFYDKKAAEGKIKGCNGIANGH >ONIVA08G25470.2 pep chromosome:AWHD00000000:8:25735932:25743606:1 gene:ONIVA08G25470 transcript:ONIVA08G25470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEGGWTCRLHLHRLLVERGVPPPLLLHEIGVQELGHERPQPVGVVEVGQEPQRRVHHVDPHLAGGVLPLAAAVDVLEDGGPVSVVLVGEADVAEEAAGEGDVVAVGELLHQRRRHLLPLPVEHVERQRWPPLELHPRPVHPHQRLPLLRLRVPQLLHRHHQPVADAPQPVAYGDQPQRVRVVQPPALHLHYWRWWWRRIGLADLRRVAVLLACGVHLRYVLECERDEGATEWAGEGAGGVEVVRRRGGRVELGGVAVVERPAACGDDADDADAAGDDGALERGEAGLLDEEAREAGGVLAEHLEEVADDEVRAEEGEAQRVEVLGAQRARVERDVPPLLVRRAHPQVWVPGPGHPRHQREHEEPPPVPAAAAAPPPAEQLLAEVGGVDAHARPRERRRHHLRTAAARALRHRARRLALVVVHGEQPLAVLLEGVGLRQQPERGGPVRRAHHGVLVVGDVERRHDAPRHGAADPARRGRRRDVVPALHLRPQARGLVRDVKERRLPRRTRRRRRRRGRRRRHRRVHLRVGEEVDAGVVEAHVAVEVLVLAVPDGVGLGEPGVLRERGDELGVRLLHRRERLVVGELRAGEQPRRRRLDEIVPRRPRRGRRRRRRRGSPLDAHRHAAGDAHELTLRSVRRRWRRRRWHLLVVAGCRVLAPGQVLVDEQEHGCLMDRVVLDELGELQLLLLLSRRERPDGRRVAACDCFSLVAGRRRRRLLVFFSGYLFLLGSWW >ONIVA08G25460.1 pep chromosome:AWHD00000000:8:25728823:25729944:-1 gene:ONIVA08G25460 transcript:ONIVA08G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAQDKAEEGEEEGTRQQHAQAGPVGAAGGGGGGGAAAVAMSAIPMNSWLVPKPEPVEFFGGMAMVRKPPPRNRDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQQSEPAIIAATGTGTVPAIATTVDGVLRIPTQSSSSSGPASSAVVDGEESSAKRRRKLQPTRAVAGASPLATAAPAAYYPVIADPLLQGSGGAAISVPSGLAPITATGAPQGLVPVFAVPATGSPAVAGGNRMIPQATAVWMVPQPAGAAGAGNQPTQFWAIQSAPQLVNFAGAQFPTAINVADFQQQQQQQPVSTTIVQNSNSGEHMHFSGADSHEQQRRGRKEGNSGGVVDHPEEDEDDDDDEPVSDSSPEE >ONIVA08G25450.1 pep chromosome:AWHD00000000:8:25728221:25728442:-1 gene:ONIVA08G25450 transcript:ONIVA08G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLMVGRMEGWESGRHVRAVGPGGALTHTAGARRWGQAGGGSGVAKVVVVWWVKERPRGPCGGESYRLAIT >ONIVA08G25440.1 pep chromosome:AWHD00000000:8:25722693:25728588:1 gene:ONIVA08G25440 transcript:ONIVA08G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGSSGEASNYYYSYLFSSTSTWFGFVFTPTHDSVGPTYRAVWWRPVESYYSLHVDYTAAKAREDIVDRRPSYVQANPCRVDGPEENIPSRCRMNESGRFGRIWPLERAGESGERASGRVTAWRERERERDGRCPATTTTMCCGWEEGAAASAGPGDKRGGDGEADACPATTTTMCCGQEEGAAAIAVQSTQIQTSNGIATKH >ONIVA08G25430.1 pep chromosome:AWHD00000000:8:25710243:25722854:-1 gene:ONIVA08G25430 transcript:ONIVA08G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT2G35110) TAIR;Acc:AT2G35110] MPWPTDRPPPNRPLLASPLLPSTSIQLLLHHLREGAESESFLRNGSEISPRLKATGLPLLPACLFCTQNMAHVSFKSKEADSMSRWSKYLSTEESPPSASLSWRAMGVDGPQGSASGQKHLQMEPVVQLSKVAEGLLAKMYRLNSILDYPDPNAHTFSEAFWKAGVMPNFPKICITLSKKFPEHPNKLQLEKVDKFALDALNENAEGYMQNLEQWITLLLDLLEFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSDKIPRKMILQVYNILHIMLKGGRDCEFYHRLVQFVDLYDPPVKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLVLSLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNVAKQVEKMLMEVHEQALVSADALHHERRILLKQEIGRMMVFSALALAQCEVVWYFQHVGIASSKSSRGRTVDIDAADPTIGFLLDGMGKLCCLVRKYIAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGENVPAITCDLTDLRKHWLSILMIVTSSRSSVNIRHLEKATVSTGKEGLVSEGNAAYNWSRCVDELEGQISKHGSLKKLYFYHQHLTTKAVPNIVVRGLEQLAAFQNVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAAIRLNNATRAKAVSGLLAPGHESYPDNSSSVKMLEAAMQRLTSLCSVLNDMEPICVLNHVFILREYMRDCIIGNFRRRFHSMIRTDSCLQRPSVIESLLRRHLSIIHLAEQHISMDLTEGIREVLLAESFTGPFPNLQVFETPTETHGGGSAIDIISNWYIDNFVKDASRTGVVFDASQNCFRSSQPIGGGYLAEAFTDKRELKALVRLFGGYGVDRLDKLLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDIETLADFCIQAGQAITFRRLLVEAVGAVLEEKVPLIYSLLKGLAMQLPEEVPDKNEIIRLRRVASSVGVGDKHDAEWVHSILAEIGSANDNSWTLLPYLCAAFMASNMWSTTAYDVNTGGFSNNLHCLARCVSAVVGGSEYTRMEREHRRSSLSNGHMDELQEPELLSRVSAEANIKSAMQLYVKLSAGLVLDSWNDTSRPYIVPKLIFLDQLCEMSPYLPRSTLEVHIPYTILRSIYHQLYGASLMATEPMEPSPRQSPLISLAHASPSMKQNRADTTPRSHTFEPGYHSSSGSQYDEGYEGDRRTGERQLRSMRRSGPLDYTGTRKVKFVEGSSSGSHGAGSGSLQRFAVSRSGPLSYK >ONIVA08G25420.1 pep chromosome:AWHD00000000:8:25699642:25710930:1 gene:ONIVA08G25420 transcript:ONIVA08G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVELTGDGGTTAETRWLSPPLTHDDNRGFLQMLREKKERLGVGAAKVEVRLEKLTVEADVRVGRRAVPTLLNCAINAAQELAACAHMCTTRKKPMKIINEATGTIRPSRMTLLLGAPGSGKTTLLKALAGKLDSSLKMKGKVTYNGEEVNSSTPQYLHAYVSQYDLHHAEMTVRETIDFSSKMLGTNNEFEMLGEAIRRKKGVINRVDQELDSFIKATTFGEGSNLTTNYIIKILGLSECADTLVGDEMRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMAHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPSRKNVADFLQEVTSKMDQKQYWIGNANKYQYHSIEKFAESFRTSYLPRLVENDHFESTNAGKSKEVKTSTSRMISSWNIFKACFSREVLLLKRNSPVHIFKTIQITVLALVISTLFLRTNMRHDTVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPIFYKQREILALPGWALLSSVFLLSLPISFVETGLWTGLTYYVIGYAPSFVRFIQHFVVLFAMHQMSMSLYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISKDNLQPWLRWGYWTSPFTYAQNAVALNEFLDDRWATEFHFANANTVGETILKVRGLLTEWHWYWICVSILFGFSLVFNILSIFALQYMRSPHKHQVNINATKVKVDYNSQIVGNGTASTDQVILPFQPLSLVFDHINYFVDMPKEMTKYGVTDKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTVKIAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLQFSAWLRLPSNVKSHQRNMFIDEVMDLVELTGLKNAMVGLAGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEAIPGVPRIKEGQNPAAWMLDISSRTAEYEIGVDYAEIYQRSSLYWENRQLIDDLGKPEPNTEDLHFPPKYWQDFRAQCMACLWKQNCAYWKNSEHNVVRFINTFAVSIMFGIVFWKIGSTIKDEQDVFNILGVVYGSALFLGFMNCSILQPVVGMERVVLYREKAAGMYSTMAYAIAQVAVELPYMFVQVFIFSAIVYPMIGFQMTATKFFWFALYMVLSFLYYTLYGMMTVALTPNIEIAAGLSFLIFIFWNVFSGFIIGRQMIPVWWRWVYWANPAAWTVYGLMFSQLGDRTELIQVPGQPEQTVKEFLEGYLGLQDRYFNLVTSLHVAIIALFTFLFFLSIKHLKFQRR >ONIVA08G25420.2 pep chromosome:AWHD00000000:8:25699642:25710930:1 gene:ONIVA08G25420 transcript:ONIVA08G25420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVELTGDGGTTAETRWLSPPLTHDDNRGFLQMLREKKERLGVGAAKVEVRLEKLTVEADVRVGRRAVPTLLNCAINAAQELAACAHMCTTRKKPMKIINEATGTIRPSRMTLLLGAPGSGKTTLLKALAGKLDSSLKMKGKVTYNGEEVNSSTPQYLHAYVSQYDLHHAEMTVRETIDFSSKMLGTNNEFGHNLWRRKQPYNKLYYQAIKIECMQILGLSECADTLVGDEMRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMAHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPSRKNVADFLQEVTSKMDQKQYWIGNANKYQYHSIEKFAESFRTSYLPRLVENDHFESTNAGKSKEVKTSTSRMISSWNIFKACFSREVLLLKRNSPVHIFKTIQITVLALVISTLFLRTNMRHDTVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPIFYKQREILALPGWALLSSVFLLSLPISFVETGLWTGLTYYVIGYAPSFVRFIQHFVVLFAMHQMSMSLYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISKDNLQPWLRWGYWTSPFTYAQNAVALNEFLDDRWATEFHFANANTVGETILKVRGLLTEWHWYWICVSILFGFSLVFNILSIFALQYMRSPHKHQVNINATKVKVDYNSQIVGNGTASTDQVILPFQPLSLVFDHINYFVDMPKEMTKYGVTDKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTVKIAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLQFSAWLRLPSNVKSHQRNMFIDEVMDLVELTGLKNAMVGLAGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEAIPGVPRIKEGQNPAAWMLDISSRTAEYEIGVDYAEIYQRSSLYWENRQLIDDLGKPEPNTEDLHFPPKYWQDFRAQCMACLWKQNCAYWKNSEHNVVRFINTFAVSIMFGIVFWKIGSTIKDEQDVFNILGVVYGSALFLGFMNCSILQPVVGMERVVLYREKAAGMYSTMAYAIAQVAVELPYMFVQVFIFSAIVYPMIGFQMTATKFFWFALYMVLSFLYYTLYGMMTVALTPNIEIAAGLSFLIFIFWNVFSGFIIGRQMIPVWWRWVYWANPAAWTVYGLMFSQLGDRTELIQVPGQPEQTVKEFLEGYLGLQDRYFNLVTSLHVAIIALFTFLFFLSIKHLKFQRR >ONIVA08G25420.3 pep chromosome:AWHD00000000:8:25699642:25710930:1 gene:ONIVA08G25420 transcript:ONIVA08G25420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVELTGDGGTTAETRWLSPPLTHDDNRGFLQMLREKKERLGVGAAKVEVRLEKLTVEADVRVGRRAVPTLLNCAINAAQELAACAHMCTTRKKPMKIINEATGTIRPSRMTLLLGAPGSGKTTLLKALAGKLDSSLKMKGKVTYNGEEVNSSTPQYLHAYVSQYDLHHAEMTLSSLKKLICTGHNLWRRKQPYNKLYYQAIKIECMQILGLSECADTLVGDEMRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMAHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPSRKNVADFLQEVTSKMDQKQYWIGNANKYQYHSIEKFAESFRTSYLPRLVENDHFESTNAGKSKEVKTSTSRMISSWNIFKACFSREVLLLKRNSPVHIFKTIQITVLALVISTLFLRTNMRHDTVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPIFYKQREILALPGWALLSSVFLLSLPISFVETGLWTGLTYYVIGYAPSFVRFIQHFVVLFAMHQMSMSLYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISKDNLQPWLRWGYWTSPFTYAQNAVALNEFLDDRWATEFHFANANTVGETILKVRGLLTEWHWYWICVSILFGFSLVFNILSIFALQYMRSPHKHQVNINATKVKVDYNSQIVGNGTASTDQVILPFQPLSLVFDHINYFVDMPKEMTKYGVTDKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTVKIAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLQFSAWLRLPSNVKSHQRNMFIDEVMDLVELTGLKNAMVGLAGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEAIPGVPRIKEGQNPAAWMLDISSRTAEYEIGVDYAEIYQRSSLYWENRQLIDDLGKPEPNTEDLHFPPKYWQDFRAQCMACLWKQNCAYWKNSEHNVVRFINTFAVSIMFGIVFWKIGSTIKDEQDVFNILGVVYGSALFLGFMNCSILQPVVGMERVVLYREKAAGMYSTMAYAIAQVAVELPYMFVQVFIFSAIVYPMIGFQMTATKFFWFALYMVLSFLYYTLYGMMTVALTPNIEIAAGLSFLIFIFWNVFSGFIIGRQMIPVWWRWVYWANPAAWTVYGLMFSQLGDRTELIQVPGQPEQTVKEFLEGYLGLQDRYFNLVTSLHVAIIALFTFLFFLSIKHLKFQRR >ONIVA08G25410.1 pep chromosome:AWHD00000000:8:25684253:25699635:1 gene:ONIVA08G25410 transcript:ONIVA08G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEITRESQTEMASKLDDLESKLGKEMRQQQLMNLTANDEADSSYGIKNYLGFERGDKIKAA >ONIVA08G25390.1 pep chromosome:AWHD00000000:8:25674149:25677879:1 gene:ONIVA08G25390 transcript:ONIVA08G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPGAADPGARPHHVSPGQPPVVPRSPTPLDLSSAAAAAAAASYRRLSPSLRPPAHPQARLPSPYPQIPSSSSSAAAGSSGHHARSLSQPLFFSLDSLPPLPYADLAAPPAIPPSPPSSSSDPPPPGLPPRKGGHRRSQSDIPFGFSHLSPPLPPPAPVKREAATAAEGCRSDGDDFALYDLVNSYMDLDGMEALNSSEERHEDRDSRASGTRAGSVADSSENEAESHSTPVERKDGGGKSRHCRSLSVDSFIEKLNFDESPKLPLPSPSGGLSRSGSGSLDGGAASLFGAEFANGEFTEAEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSTGLATQNNELKIRLQAMEQQAQLRDALNEALTAEVQRLKLATGEITDGRMSKGLQQQMNSQLIQLQQLQIQQQQSSQTTQQGQQQQPQKSA >ONIVA08G25380.1 pep chromosome:AWHD00000000:8:25667153:25667649:-1 gene:ONIVA08G25380 transcript:ONIVA08G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVKGFYRQKKKGGVAKKPISRKKLPPQNCSESQDCGDHDLGDEVEEQLQQFDMDMTYGPCIGMTRLRRWERAAAMGLRPPPRLRDLLLPPPAPHPLPSSSSSPAKILTGSSGGGGSTSVQGECLWEGKVS >ONIVA08G25370.1 pep chromosome:AWHD00000000:8:25661105:25661896:-1 gene:ONIVA08G25370 transcript:ONIVA08G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVLSPSSFSATACFPTLDFEFCEVPDQWLLGLGHDELDKDAAASALAAAAASQSASNDDVPRNPPATTTTTKRRGRKPGPRSGGGGAPPIGHVEAERQRREKLNRRFCELRAAVPTVSRMDKASLLADAVDYIAELRRRVERLEAEARRAPLAPSAAAAAAWAAGLGAGAIGRDDLVVRMVGRDAAILRLTTAAAAARHAPARMMCAVRGLNLAVQHASVARVGGATVQDVMVDDVPAALQDEASLRAALLHTLQLADTT >ONIVA08G25360.1 pep chromosome:AWHD00000000:8:25643680:25654058:-1 gene:ONIVA08G25360 transcript:ONIVA08G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSVPLPSPPTPWLLLLLDPGEHGKMGQSEDRAMYEDGGGRLDDYCSITIDGSGGLSEDIIQQRLQSVVHQREELQRLEMELRAQVIAHPQIIEAQRSFEAAAKEHVTAAAKLKEQLHEREKYILELEMKLDDKDRELDALKIDHQTVWANQDLLREQTKELATFRRERDNSEAERAQHLKQIHDLQEHLREKESQMLALEEQHRAAQDNIIYKDEQLREAHAWMARVQEMDTLQSQTMQAELRDRTEQFNQYWISFQQQYVEMQRGFLHTIQQLQLELNELRDRTGAPKDGSQTAQESSAESTLGQNKGNNMAANGSGTTDSSQSVKSNGVPDGSTKGNSNASPVPVVPSSLLGIGGFVPSAQIAGMHSYMMHPQGVPPSLASPNSAVPQFGSFQSQSTIQPNMLWPNQQEAQNASQTPDETNYHTSPPDQKALQQAAGNNDELSLKQNQATRAEHLTATAHGKQQQQQRFPSVVSESTHEQKLQVVESNVTEHLVYNEQQKAQDSSSIVSPIRKFEHQEQTNEFKHLISKLYVHQDEKVASGNQLEEQVARHQHKASDFDASTTQIHLKGGAAEFTANVGNQTDTHTSAGGGLGSLLPRIPKEPSLLDERSLLACIVRAVPAGPDGRIKISTTLPNRLGKMLAPLHWHDYKKHYGKLDDFVASHPELFVIEGDFIHLREGAQQIISATTAAAKIAAVASSAPYSLLPSVAVTPVAQSTRQKRGPVVDSRSSNVMPSRNGSTTASFGDQYDKGGHIPKPNDSVGYNIVQGIGDVTIASKVKDIQENGFSDEVRPGQSSMHAVSANGVRQERSGLPAGLRGLHTFPEDEQVACRSDHSYSKPEGIADYRASLFQQKCVFADKNTARAEELSTKLQPNWWIPRHECTRQKIVELSVLHRKTENASCCDCNAVQRNMAESLLLPVVRGVAGKAADALVQSVTRMCGIDGDRRKLERQLLAVQCKLADAEAKSETNPAVKRWMKDLKAVAYEADDVLDDFEYEALRREVKIGDSTTRKVLGYFTPHSPLLFRVTMSRKLGDVLKKINELVEEMNKFGLMEHVEVPQLPYRLTHSGLDESADILGREHDKEVLVKLMLDQHDQQNLQVLPIVGMGGLGKTTLAKLIYNDPSVQEHFQLKMWHCVSENFEVGSLLKSIVELATNRRCQLINTIELLRRQLEEAFGRRRFLLVLDDVWNDEENKWADDLKPLLNSVGGAGSVIVVTTRSQRVASIMGTLEPYELRCLNEDDSWEVFSKRAFGKQVQEQAKLVSIGTRIVKKCRGVPLALKTMGGLMSSKQSVSEWEVIAESNIGARVQGKNDVMDILKLSYRHLSPEMKQCFAFCAIFPQDYEMVKDELIQLWMANGFIQEEENMDLTHKGEMIFHDLVWRSFLQDVKEEFIIGYHCDSIVCKMHDLMHDLAKDVTDECASTTKELDQLKGSIKDVRHLRIPEEMEETMTELFKGTSSLHTLIDRSWRSTLWNVSVEFNLASVRALRCSVINSAITNAKHIRFLDLSETSIVRLPDSICMLYNLQSLRLNSCDELEYLPKGMRTMRKLIHIYLYWCDSLRRMPPNIGLLNNLRTLTTYVVDTEAGCGIEELKDLQHLTNRLELYNLHKVKSEEKAKQANMYQKKNLSEVLFFWGRQKRCMPNDNAYNEERVLESLAPYCSNLKVLELHGYGGVEIPEWMRDPHTFQRISKLNISNCPRCKDLPPVWLFVSLEELSLSCMDNLTTLCTNDDVEAEGCGTSLQIFPKLKKMFLRNLPNLERWAVNISGDPSSFITLPQLEILRISDCPKLAGIPDCPVLRDLNIDRCSNIAVSSLAHVTSLSYLSYDAEGFDSMTMPLGSWSSLMRLKVRSLANMVISLEDQQNQGESNLVNLRRLNLHGPKCFTTVSGFSELHHGIWVHFAFVEHLVIGDCHDIVRWPTEELRCLIRLRSLHIFKFTNLGINFSLSEEILYLSCLEELNITSCSGIVEIPKLPASLEELFIQSCQNLVVPLPPNLGNLASLRNFIVIKCESLKLLPDGMDGLTSLRKLHLDGCPGIEKFPEGLLQRLPTLEYLHLYSCSVSNDQLVRRCKEGGEYFDLLSSIPDKSIIFSERYYRKRFLPFC >ONIVA08G25350.1 pep chromosome:AWHD00000000:8:25639792:25642497:1 gene:ONIVA08G25350 transcript:ONIVA08G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKALKSEIEGVEEIESCFIAPSEDTPRQGLWLSPLDIVMVSRGHTPTVYFYQRDTATVAADYFEVGRLKEAMAKALVAFYPLAGRLSVDGDGRPEIDCNAEGALFVVAQSKLTVDAFSDLKPSPELRRLFAPRIEPASIMLGVQVTFLSCGGVALGTVLHHVAIDALSAFHFFQTWSSFCRDGEAAMLELPCHERTLLRTRSPPIVHPDVHSMFSLKLNFCEPSDPISTKIFVISKNQLDALKQICGGLSTFCAMSALVWQCMCIARRLPLDAETRVIFPVNIRRRVKPPLPDRYFGNALVDLKVASTVRDIVLGTLDVTAAQIKNALGRLDDEMLQSAIDYNEMAGMPNKHTKGNLPDTELRMVSWLGMPVYDADFGWGKPEMMSRAESVRGGFVYMMDGIDNDGGGVRVLMCMEARKMEEFERLFYAKFAQ >ONIVA08G25340.1 pep chromosome:AWHD00000000:8:25627069:25630868:-1 gene:ONIVA08G25340 transcript:ONIVA08G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVVGKAAGALVQSVTRMCGVDDDRRKLERQLLAVQCKLEDAEVKSETNPAVKRWMKDLKAVAYEADDILDDFHYEALHREAQIGDSTTRKVLGYFTPHSPLLFRVAMSKKLNSVLKKINELVEEMNKFSLVERTETPQLPYRQTHSALDESADILGRGDDKEVVVRLLLDQRNEQKLQILPIVGMGGLGKTTLAKMVYNDTRVHEHFQLKMWHCVSDKFEVVSLLKSIIELATGTKCKLFDTIEMLRRQLEEAIGRKRFLLVLDDVWNEEENKWGDGLKPLLNSVGGPGSVMVITTRSQQVASIMGTLGPHELACLNEHDSWELFSKRAFCRQAGDQAELATIGRRIVKKCRGLPLALKTIGGLMSSKQLVSEWEAISEESNVGVRVQGKNDVLDILKLSYRHLSSEMKQCFAFCAVFPKDYEVDKNILIQLWMANGLIEDEGAADLTHKGELIFQDLVWRSFLEDVKEKEMQYYGVNSIFCKMHDLMHDLAKYVTDECVSTTKDCCQEKGLAKDVRHLQIPKRETKETLTQLFNGTSSLRTLIMQPTSGNVIKEFRLVSMRALSCFTIHSQILHAKHLRYLDLSGTSIVKLPNSICMLYNLQSLRLMSCFNLQYLPEGMRTMSKLIHIYLCKCDSLQQMPPNISLLNNLRTLTTFVVDSKDGLGIEELKDLRHLTNRLELFNLRKVKSAEKAKQANLYQKKNLSEILLFWGRDRYYMPEHIIDNEKQVLESLAPHGKLKVLELHGYGGLEIPRWMRDPHMFQCLAKLCISNCPRLKDLPAVWFLNSLEHLSLCCMGNLTTLCKNDDVDQAEGFCTSLKIFPKLKDMVLYRLSNLERWVVNIPGEPNSLVTLPQLETLSIIYCPKLADIPDCRALRDLKIEGCFNLDVSSLSHITSLLSLSYDAQGFCSMTMPLGSWPSLVQLTVKSLANMVTSLEDQRNQGQRALVNLWRLSLCGPKCFVTTSSLSKLHTGIWDCFAFVKYLVIRDCRDLVHWPTEELRSLIHLRSLVIINCTNLEMNDSLSDETLSLSQLEVLGIHTSPGVVEIPKLPASLEILSILSCDNLVALPSNLGNLSRLRNLSVFCCDALKALPDGMDGLTSLRELTLGYCARIEKFPEGLLQRLPTLESLDVNCCSEKLQRRCREGGEYFDLLSSVPEKKIISEPVF >ONIVA08G25330.1 pep chromosome:AWHD00000000:8:25604922:25608340:1 gene:ONIVA08G25330 transcript:ONIVA08G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEEAERISHRWNDGSRKKRSKMTMETTGHPQNPEIILRCIVREIRVLRSLGLGWDLSLAPPSNPSDAVHLQEPEGAACYCQGFKGRKLMEETLRKFLYLWTPPDIHLFKRSEAIHPIRQLFQAITTVQFQCL >ONIVA08G25330.2 pep chromosome:AWHD00000000:8:25604922:25608276:1 gene:ONIVA08G25330 transcript:ONIVA08G25330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEEAERISHRWNDGSRKKRSKMTMETTGHPQNPEIILRCIVREIRVLRSLGLGWDLSLAPPSNPSDAVHLQEPEGRPDQNTHHLLCTGLPSQGSLLLSGIQGSEADGGDPAEVSLSVDTPRYPFVQEK >ONIVA08G25330.3 pep chromosome:AWHD00000000:8:25604922:25608340:1 gene:ONIVA08G25330 transcript:ONIVA08G25330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEEAERISHRWNDGSRKKRSKMTMETTGHPQNPEIKHILIIRWDLSLAPPSNPSDAVHLQEPEGAACYCQGFKGRKLMEETLRKFLYLWTPPDIHLFKRSEAIHPIRQLFQAITTVQFQCL >ONIVA08G25330.4 pep chromosome:AWHD00000000:8:25604922:25608276:1 gene:ONIVA08G25330 transcript:ONIVA08G25330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEEAERISHRWNDGSRKKRSKMTMETTGHPQNPEIKHILIIRWDLSLAPPSNPSDAVHLQEPEGRPDQNTHHLLCTGLPSQGSLLLSGIQGSEADGGDPAEVSLSVDTPRYPFVQEK >ONIVA08G25320.1 pep chromosome:AWHD00000000:8:25592902:25594385:-1 gene:ONIVA08G25320 transcript:ONIVA08G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIRRIAGAGKAKITSAVQKDKDESLVFFRELYKRDKERDINLLEPMYSVEFDAIQGGHTGKAPSGKRDFLIPVDEKHDYDWLKTPPATPLFPSIEMEANSSQMVFQKELPIHQQVKPSASRLSGKTEATKTSARSMFPAPNSSSKKSIFKGSAPSISNEKKQPCTIEKRSTSAAITSTRRKQKAVAVISPTAPTATCNATRKHSDRCYASQGSSTNGLKRVTNPELPYSAPKNLITTPLTAKAWRRDLAFGVHDTVEIGRIRRQSCLPVATSGTKEKIMDGKQKGLPDKVKAVTVSNNHGRAGDATLIKGMRTDGKKEQRPKHGNQA >ONIVA08G25310.1 pep chromosome:AWHD00000000:8:25586726:25593525:1 gene:ONIVA08G25310 transcript:ONIVA08G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAPDSTTTTSSSSASAPAPSAARLNAAAPEFTPRSAAHHHHHHANPRRQHRGGGGGAYHHHQQHYQPHHHHQHHQHWQHYGEDEGDAAAAGAGEGGIPEDVARRVEFYFSDVNLATTEHLMKFMIRDPEGFDDGKRVRRRVLFTEADAEEVQSRIVVAENLREEHRYPNLMKIFSAFGSVKSIRTCYPQGGIDGAGTSTGKASKIEMLFANKVHAFVEYETVEDAEKAVSEFSSGRSWRDGIRVRSLLGCLKQAMGQGKRGGDEVDAADEDDPETTDHPQDYETEDASQISEAHLDHQADDGYHDKGGMRHGRGRGRGGRGRGRGQYYGHSRDANHPIGTPPSNHSALADHPSKPPPGPRMPDGTRGFTMGRGKPVNPTNAV >ONIVA08G25300.1 pep chromosome:AWHD00000000:8:25580362:25585792:1 gene:ONIVA08G25300 transcript:ONIVA08G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTSFRLGALEKLKSFRGMEKQKSFKMMSMDNFRRHRDSPGKRGDTPLHLAARSGNAAGAQRIIAEFDPEVAAERAAQANHDGETPLYVAAERGHTDVVREILKVSDVQTAGVKANNSFDAFHIAAKQGHLEVLKELLQAFPALAMTTNSVNATALDTAAILGHTEIVNLLLESDANLARIARNNGKTVLHSAARLGHVEIVRSLLSRDPGIGLRTDKKGQTALHMASKGQNAEIVIELLKPDISVIHLEDNKGNRPLHVATRKANIVIVQTLLSVEGIEVNAVNRSGHTALAIAEQLNNEELVNILREAGGVTAKEQVHPPNPAKQLKQTVSDIRHDVQSQIKQTKQTKMQVQKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDITQAPPGMSLGQAYVASNPAFLVFLVFDALALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISVAFIALTYVVVGRDDWWLAWCTMAIGAVIMLTTLGSMCYCIIAHRMDERKIRKASTSQSRSWSQTVDSDPDLLNSEYKKMYAL >ONIVA08G25290.1 pep chromosome:AWHD00000000:8:25557987:25569540:1 gene:ONIVA08G25290 transcript:ONIVA08G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEEGTQQLPYVRETVLKKRKVNEDWAVKNRERKAAKRQRRRDDGKGAIKRPEDFVREFRNKELDFVRMKTRLKVRKLPPAETLNSKLVFAIRIPGTMDLHPHMRRILRKLRLTQVLTGVFLKATDATMKRLLVVEPFITYGFPNLKNVKDLIYKKGRGFLDKEPFPLTSNDLIEKALGEYGIICLEDLVHEIASVGPHFREASNFLMPFKLKCPERRLQMKKKPFKDGGDSGNREDKINELIEKKDGEGRGDFNETANQTGGFHDMNALRKTENATWRNRFPVVRGVAGKAANALVQSVTRADDVLDDFEYEALRREVKIGDSTTRKVLGYFTPHSPLLFRVTMSRKLGDVLKKINDLVEEMNKFGLMEHAEAPQLPYRLTHSGLDESADIFGREHDKEVLVKLMLDQHDQQNLQVLPIMGMGGLGKTTLAKMVYNDPIVQKHFQLKMWHCVSENFEPISIVKSIIELATNRKYDLPDSIELLRRRLEGVIDRKRFLLVLDDVWNEDDNKWNEHLRPLLNSVGGPGSIIVITTRNRRVASIMETLQPYKPACLSEDESWELFSKRAFGRDVQEQEDLVPIGKCIVHKCKGLPLALKTMGGLMSSKHQVKEWEAIARSNIGDSVKGKDEILSILKLSYKHLPSEMKQCFTFCAIFCKDYEMEKDMLIQLWIANGFIQEEGTIELSQKGEFVFNELVWRSFLQDVKTILFRSLDYDFVVCKMHDLMHDLAKDVSSECATTEELIQQKAPSEDVWHVQISEGELKQISGSFKGTTSLRTLLMELPLYRGLEVLELRSFFLERLKLRSLRGLWCHCRYDSSIITSHLINTEHLRYLDLSRSNIHRLPDSICALYNLQSLRLNGCSYLECLPEGMANLRKLNHLYLLGCDRLKRMPPNFSLLNNLLTLTTFVVDTDAGRGIEELKQLRYLTNMLGLYNLRKIKSTSNAKEANLHQKQELSILRLFWGCMSSYMPGDKDNNEEEMLESLKPHSKLKILDLYSYGGSKASVWMRDPQMFRCLKRLIIERCPRCKDIPTVWLSASLEYLSLSYMTSLISLCKNIDGNTPVQLFPKLKELILFVLPNLERWAENSEGENNDVIIFPELESLELKSCMKISSVPESPALKRLEALGCYSLSIFSLSHLTSLSDLYYKAGDIDSMRMPLNPCWASPVSLDVSSPANMMVPLEDKKSRRPFEALRSLTLRGSNCLLATCSLFFAGTAKEKFPEGLVRRLPALKSLIIRDCPFFGLQAAGWMAPVFERLTGIRALADSARFKAWFLDQIGVLHHGNMPYLVPSLHWERGEDGYH >ONIVA08G25290.10 pep chromosome:AWHD00000000:8:25557987:25565923:1 gene:ONIVA08G25290 transcript:ONIVA08G25290.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEEGTQQLPYVRETVLKKRKVNEDWAVKNRERKAAKRQRRRDDGKGAIKRPEDFVREFRNKELDFVRMKTRLKVRKLPPAETLNSKLVFAIRIPGTMDLHPHMRRILRKLRLTQVLTGVFLKATDATMKRLLVVEPFITYGFPNLKNVKDLIYKKGRGFLDKEPFPLTSNDLIEKALGEYGIICLEDLVHEIASVGPHFREASNFLMPFKLKRRLQMKKKPYKDGGLVVIWETVKIKSTN >ONIVA08G25290.2 pep chromosome:AWHD00000000:8:25557987:25570731:1 gene:ONIVA08G25290 transcript:ONIVA08G25290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEEGTQQLPYVRETVLKKRKVNEDWAVKNRERKAAKRQRRRDDGKGAIKRPEDFVREFRNKELDFVRMKTRLKVRKLPPAETLNSKLVFAIRIPGTMDLHPHMRRILRKLRLTQVLTGVFLKATDATMKRLLVVEPFITYGFPNLKNVKDLIYKKGRGFLDKEPFPLTSNDLIEKALGEYGIICLEDLVHEIASVGPHFREASNFLMPFKLKCPERRLQMKKKPFKDGGDSGNREDKINELIEKKDGEGRGDFNETANQTGGFHDMNALRKTENATWRNRFPVVRGVAGKAANALVQSVTRADDVLDDFEYEALRREVKIGDSTTRKVLGYFTPHSPLLFRVTMSRKLGDVLKKINDLVEEMNKFGLMEHAEAPQLPYRLTHSGLDESADIFGREHDKEVLVKLMLDQHDQQNLQVLPIMGMGGLGKTTLAKMVYNDPIVQKHFQLKMWHCVSENFEPISIVKSIIELATNRKYDLPDSIELLRRRLEGVIDRKRFLLVLDDVWNEDDNKWNEHLRPLLNSVGGPGSIIVITTRNRRVASIMETLQPYKPACLSEDESWELFSKRAFGRDVQEQEDLVPIGKCIVHKCKGLPLALKTMGGLMSSKHQVKEWEAIARSNIGDSVKGKDEILSILKLSYKHLPSEMKQCFTFCAIFCKDYEMEKDMLIQLWIANGFIQEEGTIELSQKGEFVFNELVWRSFLQDVKTILFRSLDYDFVVCKMHDLMHDLAKDVSSECATTEELIQQKAPSEDVWHVQISEGELKQISGSFKGTTSLRTLLMELPLYRGLEVLELRSFFLERLKLRSLRGLWCHCRYDSSIITSHLINTEHLRYLDLSRSNIHRLPDSICALYNLQSLRLNGCSYLECLPEGMANLRKLNHLYLLGCDRLKRMPPNFSLLNNLLTLTTFVVDTDAGRGIEELKQLRYLTNMLGLYNLRKIKSTSNAKEANLHQKQELSILRLFWGCMSSYMPGDKDNNEEEMLESLKPHSKLKILDLYSYGGSKASVWMRDPQMFRCLKRLIIERCPRCKDIPTVWLSASLEYLSLSYMTSLISLCKNIDGNTPVQLFPKLKELILFVLPNLERWAENSEGENNDVIIFPELESLELKSCMKISSVPESPALKRLEALGCYSLSIFSLSHLTSLSDLYYKAGDIDSMRMPLNPCWASPVSLDVSSPANMMVPLEDKKSRRPFEALRSLTLRGSNCLLATCSLFFAGTAKEKFPEGLVRRLPALKSLIIRDCPFFGLQAAGWMAPVFERLTGIRALADSARFKAWFLDQIGVLHHGNMPYLVPSLHLESLGFDPSCFLGAITSGELTHQYLQKRDDPWFAALGRKCVHLTWGNRGAISLEGLGLQVVSNVEEAEFILAHGTEALGLPSGDPLPKSLEELEQVLMLCLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVNPHECITVGDSLHHDIKGANASRVASAFITGGIHATELGLNEIGEIAGEDAIDSLCRKHGSYPTYVLPSFTCSDANIAAFVAKYGAIA >ONIVA08G25290.3 pep chromosome:AWHD00000000:8:25557987:25569540:1 gene:ONIVA08G25290 transcript:ONIVA08G25290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEEGTQQLPYVRETVLKKRKVNEDWAVKNRERKAAKRQRRRDDGKGAIKRPEDFVREFRNKELDFVRMKTRLKVRKLPPAETLNSKLVFAIRIPGTMDLHPHMRRILRKLRLTQVLTGVFLKATDATMKRLLVVEPFITYGFPNLKNVKDLIYKKGRGFLDKEPFPLTSNDLIEKALGEYGIICLEDLVHEIASVGPHFREASNFLMPFKLKCPERRLQMKKKPFKDGGDSGNREDKINELIEKKDGEGRGDFNETANQTGGFHDMNALRKTENATWRNRFPVVRGVAGKAANALVQSVTRADDVLDDFEYEALRREVKIGDSTTRKVLGYFTPHSPLLFRVTMSRKLGDVLKKINDLVEEMNKFGLMEHAEAPQLPYRLTHSGLDESADIFGREHDKEVLVKLMLDQHDQQNLQVLPIMGMGGLGKTTLAKMVYNDPIVQKHFQLKMWHCVSENFEPISIVKSIIELATNRKYDLPDSIELLRRRLEGVIDRKRFLLVLDDVWNEDDNKWNEHLRPLLNSVGGPGSIIVITTRNRRVASIMETLQPYKPACLSEDESWELFSKRAFGRDVQEQEDLVPIGKCIVHKCKGLPLALKTMGGLMSSKHQVKEWEAIARSNIGDSVKGKDEILSILKLSYKHLPSEMKQCFTFCAIFCKDYEMEKDMLIQLWIANGFIQEEGTIELSQKGEFVFNELVWRSFLQDVKTILFRSLDYDFVVCKMHDLMHDLAKDVSSECATTEELIQQKAPSEDVWHVQISEGELKQISGSFKGTTSLRTLLMELPLYRGLEVLELRSFFLERLKLRSLRGLWCHCRYDSSIITSHLINTEHLRYLDLSRSNIHRLPDSICALYNLQSLRLNGCSYLECLPEGMANLRKLNHLYLLGCDRLKRMPPNFSLLNNLLTLTTFVVDTDAGRGIEELKQLRYLTNMLGLYNLRKIKSTSNAKEANLHQKQELSILRLFWGCMSSYMPGDKDNNEEEMLESLKPHSKLKILDLYSYGGSKASVWMRDPQMFRCLKRLIIERCPRCKDIPTVWLSASLEYLSLSYMTSLISLCKNIDGNTPVQLFPKLKELILFVLPNLERWAENSEGENNDVIIFPELESLELKSCMKISSVPESPALKRLEALGCYSLSIFSLSHLTSLSDLYYKAGDIDSMRMPLNPCWASPVSLDVSSPANMMVPLEDKKSRRPFEALRSLTLRGSNCLLATCSLFFAGTAKEKFPEGLVRRLPALKSLIIRDCPFFGLQAAGWMAPVFERLTGIRALADSARFKAWFLDQIGVLHHGNMPYLVPSLHWERGEDGYH >ONIVA08G25290.4 pep chromosome:AWHD00000000:8:25562719:25569540:1 gene:ONIVA08G25290 transcript:ONIVA08G25290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLYNLRKIKSTSNAKEANLHQKQELSILRLFWGCMSSYMPGDKDNNEEEMLESLKPHSKLKILDLYSYGGSKASVWMRDPQMFRCLKRLIIERCPRCKDIPTVWLSASLEYLSLSYMTSLISLCKNIDGNTPVQLFPKLKELILFVLPNLERWAENSEGENNDVIIFPELESLELKSCMKISSVPESPALKRLEALGCYSLSIFSLSHLTSLSDLYYKAGDIDSMRMPLNPCWASPVSLDVSSPANMMVPLEDKKSRRPFEALRSLTLRGSNCLLATCSLFFAGTAKEKFPEGLVRRLPALKSLIIRDCPFFGLQAAGWMAPVFERLTGIRALADSARFKAWFLDQIGVLHHGNMPYLVPSLHWERGEDGYH >ONIVA08G25290.5 pep chromosome:AWHD00000000:8:25564513:25569609:1 gene:ONIVA08G25290 transcript:ONIVA08G25290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASAPAFERLAGIRALAESGRFKAWFLDQFGVLHDGKKPYPGAVLALEKLAEKGAKMVIISNSSRRSSVTMEKLESLGFDPSCFLGAITSGELTHQYLQKRDDPWFAALGRKCVHLTWGNRGAISLEGLGLQVVSNVEEAEFILAHGTEALGLPSGDPLPKSLEELEQVLMLCLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVNPHECITVGDSLHHDIKGANASRVASAFITGGIHATELGLNEIGEIAGEDAIDSLCRKHGSYPTYVLPSFTCSDANIAAFVAKYGAVSDSVY >ONIVA08G25290.6 pep chromosome:AWHD00000000:8:25564513:25570731:1 gene:ONIVA08G25290 transcript:ONIVA08G25290.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASAPAFERLAGIRALAESGRFKAWFLDQFGVLHDGKKPYPGAVLALEKLAEKGAKMVIISNSSRRSSVTMEKLESLGFDPSCFLGAITSGELTHQYLQKRDDPWFAALGRKCVHLTWGNRGAISLEGLGLQVVSNVEEAEFILAHGTEALGLPSGDPLPKSLEELEQVLMLCLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVNPHECITVGDSLHHDIKGANASRVASAFITGGIHATELGLNEIGEIAGEDAIDSLCRKHGSYPTYVLPSFTCSDANIAAFVAKYGAIA >ONIVA08G25290.7 pep chromosome:AWHD00000000:8:25564513:25569609:1 gene:ONIVA08G25290 transcript:ONIVA08G25290.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASAPAFERLAGIRALAESGRFKAWFLDQFGVLHDGKKPYPVEKLAEKGAKMVIISNSSRRSSVTMEKLESLGFDPSCFLGAITSGELTHQYLQKRDDPWFAALGRKCVHLTWGNRGAISLEGLGLQVVSNVEEAEFILAHGTEALGLPSGDPLPKSLEELEQVLMLCLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVNPHECITVGDSLHHDIKGANASRVASAFITGGIHATELGLNEIGEIAGEDAIDSLCRKHGSYPTYVLPSFTCSDANIAAFVAKYGAVSDSVY >ONIVA08G25290.8 pep chromosome:AWHD00000000:8:25557987:25563639:1 gene:ONIVA08G25290 transcript:ONIVA08G25290.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEEGTQQLPYVRETVLKKRKVNEDWAVKNRERKAAKRQRRRDDGKGAIKRPEDFVREFRNKELDFVRMKTRLKVRKLPPAETLNSKLVFAIRIPGTMDLHPHMRRILRKLRLTQVLTGVFLKATDATMKRLLVVEPFITYGFPNLKNVKDLIYKKGRGFLDKEPFPLTSNDLIEKALGEYGIICLEDLVHEIASVGPHFREASNFLMPFKLKCPERRLQMKKKPFKDGGDSGNREDKINELIEKKDGEGRGDFNETANQTGGFHDMNALRKTENATWRNRFPVVRGVAGKAANALVQSVTRADDVLDDFEYEALRREVKIGDSTTRKVLGYFTPHSPLLFRVTMSRKLGDVLKKINDLVEEMNKFGLMEHAEAPQLPYRLTHSGLDESADIFGREHDKEVLVKLMLDQHDQQNLQVLPIMGMGGLGKTTLAKMVYNDPIVQKHFQLKMWHCVSENFEPISIVKSIIELATNRKYDLPDSIELLRRRLEGVIDRKRFLLVLDDVWNEDDNKWNEHLRPLLNSVGGPGSIIVITTRNRRVASIMETLQPYKPACLSEDESWELFSKRAFGRDVQEQEDLVPIGKCIVHKCKGLPLALKTMGGLMSSKHQVKEWEAIARSNIGDSVKGKDEILSILKLSYKHLPSEMKQCFTFCAIFCKDYEMEKDMLIQLWIANGFIQEEGTIELSQKGEFVFNELVWRSFLQDVKTILFRSLDYDFVVCKMHDLMHDLAKDVSSECATTEELIQQKAPSEDVWHVQISEGELKQISGSFKGELRSSNN >ONIVA08G25290.9 pep chromosome:AWHD00000000:8:25565586:25570731:1 gene:ONIVA08G25290 transcript:ONIVA08G25290.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLESLALGRKCVHLTWGNRGAISLEGLGLQVVSNVEEAEFILAHGTEALGLPSGDPLPKSLEELEQVLMLCLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVNPHECITVGDSLHHDIKGANASRVASAFITGGIHATELGLNEIGEIAGEDAIDSLCRKHGSYPTYVLPSFTCSDANIAAFVAKYGAIA >ONIVA08G25280.1 pep chromosome:AWHD00000000:8:25553797:25557443:-1 gene:ONIVA08G25280 transcript:ONIVA08G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IF98] MEALCIGQNQETKEEVGFETQEASLKASKVADSKGAPYSPPENDDDAEVDYPSQDGAQGTVIAAKKKKKKSKAKKKKGPLQQTDPPSIPVDELFPSGEFPEGEIQHYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVHRQVRKYMRSILKPGMLMIDLCETLENMVRKLIKENGLEAGIAFPTGCSLNCVAAHWTPNGGDKTVLQYDDVMKLDFGTHINGYIVDSAFTVAFNPMFDPLLQASRDATNAGVKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHGIGPYQIHFGKSVPVVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHVPLRAAKAKQLLVTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDAGIVEPCPPMCDVRGSYVSQSEHTILLRPTCKEVISRGLPSNSDMLAKSNRVSREKCNCKFTIEEKKLERGGPY >ONIVA08G25270.1 pep chromosome:AWHD00000000:8:25543589:25544131:1 gene:ONIVA08G25270 transcript:ONIVA08G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAAVTVLLAVVVLVSVAASLPSAVVGDARFVARTCKRTNHTECVKMLSADRRSARATTVHQLAGIAVDIAAATVKSSAAAVYGKFLENHGQVLELTLLECWWMYDLAAGEAQAAVDAYSSGGAYLDVVRHQLAGYYAGIMCDNMIVRRSKVSPVADIDRTTATHCNIAVDLIGLLY >ONIVA08G25260.1 pep chromosome:AWHD00000000:8:25540385:25540915:1 gene:ONIVA08G25260 transcript:ONIVA08G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYAPQLTRWRVATSGGVVRDCVEYDGKPLFFSREDCRRRLVTDDDEEDARECLEIAGEVFPLMEERTVPAALHDGGGVREAVRCVEYVDDDGAVLLLTVTTEKEVAVVDGGEVRVVDGGGGYYDPDSGTVEHVVDVEVEGAREAYVLLVSVSEELNRIVRIKRLNWSFLRRNF >ONIVA08G25250.1 pep chromosome:AWHD00000000:8:25532922:25534404:-1 gene:ONIVA08G25250 transcript:ONIVA08G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPYRERESSKLFPNNFATVPPASPLCLQTCSAELSVACSRLHTPHHTTVASLLFHREAKRERDWHRRKKPTGGGGRSRASGRKKNHWLGSAEERRHCTGGERLRTTGNVL >ONIVA08G25240.1 pep chromosome:AWHD00000000:8:25528430:25532910:-1 gene:ONIVA08G25240 transcript:ONIVA08G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDTIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGSPEDLVVTNSTFLGSKKAQQSINGSKDASTLSLEKEQSKLEKLKPSVKNNGAEIKKPQLTKSNSSLSKQALNSIIDKKEVVSSKTKPTSARSTPSSPTSVYSLPASFERFSNDIKQRTKSKGADKSSPSRLSLLEKAASVLKATTAGRKSSAGNLLSNTMSSIESGPKALRRSWEGNAEAKSKGNSDSKAAKTEKKSENRSTSTPRRKPLVEEKPLHKDDSKIQTPPRKSSASAPSDDSEKIVNKPSSPLRRTSGVSSNTNITNLVKIASNSKKLTDASASWTSLPPSLAKLGKELLKYRDAAQMAAVEAMQEASAAENLLRCLSSYAEVSSTAEEQNPQPAVEQFLALHAAMSRATVVTDSLTKATATSTATSPDRSTPSEAAAVDEESLAVAVERRRRAASWVGAGLATDLSAFSLYNLKPPPAIAASPLAVVLVDESARPAAATKASPPAKSRLFPPKVKGRVGPVAAAVAAAPVPPPEWERGGGAEERGELARRLGEEARGWFLAFVERFLDADVAAAAAPWDRDRAARMLPQLKRVNDWLSEIAKPTEPPPPQSDADGEEAGGGAPAVANGGGNTVPEETIERLRKKIYEYLLTNVDSAASVLGGAGAGAGEVALPAANGKRV >ONIVA08G25230.1 pep chromosome:AWHD00000000:8:25508921:25517335:1 gene:ONIVA08G25230 transcript:ONIVA08G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQDVDFPGKRRSIYESKEIAACISTERDALVAFNTSIKDPDGRLHSWHGENCCSWSGVSCSKKTGHVIKLDLGEYTLNGQINPSLSGLTRLVYLNLSQSDFGGVPIPEFIGCFKMLRYLDISHAGFGGTVPPQLGNLSRLSFLDLSSSGSHVITADDFQWVSKLTSLRYLDLSWLYLAASVDWLQAVNMLHLLEVLRLNDASLPATDLNSVSQINFTALKVIDLKNNELNSSLPDWIWNLSSLSDLDLSSCELSGRIPDELGKLAALQFIGLGNNKLNGAIPRSMSRLCNLVHIDLSRNILSGNLSEAARSMFPCMKKLQILNLADNKLTGQLSGWHEHMASLEVLDLSENSLSGVLPTSISRLLNLTYLDISFNKLIGELSELHFTNLSRLDALVLASNSFKVVVKHSWFPPFQLTKLGLHGCLVGPQFPTWLQSQTRIKMIDLGSAGIRGALPDWIWNFSSPMASLNVSMNNITGELPASLVRSKMLITLNIRHNQLEGYIPDMPNSVRVLDLSHNNLSGSLP >ONIVA08G25230.2 pep chromosome:AWHD00000000:8:25508926:25517335:1 gene:ONIVA08G25230 transcript:ONIVA08G25230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYLDISHAGFGGTVPPQLGNLSRLSFLDLSSSGSHVITADDFQWVSKLTSLRYLDLSWLYLAASVDWLQAVNMLHLLEVLRLNDASLPATDLNSVSQINFTALKVIDLKNNELNSSLPDWIWNLSSLSDLDLSSCELSGRIPDELGKLAALQFIGLGNNKLNGAIPRSMSRLCNLVHIDLSRNILSGNLSEAARSMFPCMKKLQILNLADNKLTGQLSGWHEHMASLEVLDLSENSLSGVLPTSISRLLNLTYLDISFNKLIGELSELHFTNLSRLDALVLASNSFKVVVKHSWFPPFQLTKLGLHGCLVGPQFPTWLQSQTRIKMIDLGSAGIRGALPDWIWNFSSPMASLNVSMNNITGELPASLVRSKMLITLNIRHNQLEGYIPDMPNSVRVLDLSHNNLSGSLP >ONIVA08G25230.3 pep chromosome:AWHD00000000:8:25509434:25513507:1 gene:ONIVA08G25230 transcript:ONIVA08G25230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQDVDFPGKRRSIYESKYKSKLILSTNMGNTMNGLLMALALLCLTINFREIAACISTERDALVAFNTSIKDPDGRLHSWHGENCCSWSGVSCSKKTGHVIKLDLGEYTLNGQINPSLSGLTRLVYLNLSQSDFGGVPIPEFIGCFKMLRYLDISHAGFGGTVPPQLGNLSRLSFLDLSSSGSHVITADDFQWVSKLTSLRYLDLSWLYLAASVDWLQAVNMLHLLEVLRLNDASLPATDLNSVSQINFTALKVIDLKNNELNSSLPDWIWNLSSLSDLDLSSCELSGRIPDELGKLAALQFIGLGNNKLNGAIPRSMSRLCNLVHIDLSRNILSGNLSEAARSMFPCMKKLQILNLADNKLTGQLSGWHEHMASLEVLDLSENSLSGVLPTSISRLLNLTYLDISFNKLIGELSELHFTNLSRLDALVLASNSFKVVVKHSWFPPFQLTKLGLHGCLVGPQFPTWLQSQTRIKMIDLGSAGIRGALPDWIWNFSSPMASLNVSMNNITGELPASLVRSKMLITLNIRHNQLEGYIPDMPNSVRVLDLSHNNLSGSLP >ONIVA08G25220.1 pep chromosome:AWHD00000000:8:25502391:25502585:-1 gene:ONIVA08G25220 transcript:ONIVA08G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASRADAARPAPEEAEERLVDCGEGGYESMVYPAMVEKAKETVELLLARLPAGPSPKGPGH >ONIVA08G25210.1 pep chromosome:AWHD00000000:8:25500924:25501433:-1 gene:ONIVA08G25210 transcript:ONIVA08G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYAPRLTRWRVATSGGGVVRDCVEYDGKPLFFRREDCRRLVPDDEEDARECLEIAGEVFPLMEDRMVPAAVHGGGGVREAVRCVEYVDDDDGAVLLLTVTATEGKEKEVAVVDGGEVRVVDGGGFYDPDSGTVEHVVDVEGAREAYVLLVSVREELNRIVRVKRLN >ONIVA08G25200.1 pep chromosome:AWHD00000000:8:25494847:25500538:1 gene:ONIVA08G25200 transcript:ONIVA08G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51130) TAIR;Acc:AT5G51130] MATTTAPEDAPSAAKGAKGEAKRKQSNWRGGGGGGGGRGDGGQGQKRKRKEVFVYGNYRNYYGYRIDRNVDEDPRLKIFKREWFESKDCLDIGCNQGLVTIGLAAKFKCQSILGVDIDSGLIETANWNLRRMSRLDKVVVENTKAHKSSDSPSESCPEKVAPEISNGDISNGSHHDIFKVVSFRRENFVDSMCTSSEQYDTIVCLSVTKWIHLNWGDDGIITLFVKIWRLLRPGGVFIMEPQPWTSYRRNRLVSEVAKENFNTILIHPDKFREILLDKIGFRSVEVVTDKLEGAVTGFDRPIEVYHKVMGTGSSS >ONIVA08G25200.2 pep chromosome:AWHD00000000:8:25494847:25498237:1 gene:ONIVA08G25200 transcript:ONIVA08G25200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51130) TAIR;Acc:AT5G51130] MATTTAPEDAPSAAKGAKGEAKRKQSNWRGGGGGGGGRGDGGQGQKRKRKEVFVYGNYRNYYGYRIDRNVDEDPRLKIFKREWFESKDCLDIGCNQGLVTIGLAAKFKCQSILGVDIDSGLIETANWNLRRMSRLDKVVVENTKAHKSSDSPSESCPEKVAPEISNGDISNGSHHDIFKVVSFRRENFVDSMCTSSEQYDTIVCLSVTKWIHLNWGDDGIITLFVKIWRLLRPGGVFIMEPQPWTSYRRNRLVSEVAKENFNTILIHPDKFREILLDKIGFRSVEVVTDKLEGAVTGFDRPIEVYHKVMGTGSSS >ONIVA08G25190.1 pep chromosome:AWHD00000000:8:25493450:25494624:-1 gene:ONIVA08G25190 transcript:ONIVA08G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPSRRRPACPPSRVPTAPRRPTAPRAGGRPARLPESRRRRDGAAALCPSRRRPACPPSRVPTRAVAVAPPPASPTRIGARALPRRPPPGRHPASGGPPPPCRIVFSARN >ONIVA08G25180.1 pep chromosome:AWHD00000000:8:25485804:25489183:-1 gene:ONIVA08G25180 transcript:ONIVA08G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAYASSRKLRGRISKISLVIPDPVPDAEAASPRKDGVDGDGDDVRGGGGGCDDGGDVVAIATTTADEFARRYVLGKELGRGEFGVTRRCSDAATGEALACKTIRKHRRLAPPRVTAAKAAAAHGEDVKREVAIMRRMSSASSSRGGGAASSAAVVRLREACEDAADGSVHLVMELCEGGELFDRIVARGHYSERAAANIFRTIVDVVQLCHSNGVIHRDLKPENFLFANKSEDSPLKVIDFGLSVFFKPGTSIFSKISTSHRDRFTEVVGSAYYMAPEVLRRSYGPEVDVWSADNDEKIAQAILRGAIDFNREPLPRVSANAKDLVRRMLDPNPSTRLTAKQVLEHPWLKNADTAPNVSLGDAVRARLQQFSAMNKFKKKALGVVARNLPVEEVDKYVQMFHHMDKDKNGHLSLDELLEGLHINGQPVPEPEIRMLLEAADTDGNGTLDCDEFVTVSVHLKKMSNDEYLAAAFNYFDKDGSGFIELDELREEVGPNEQAILEILRDVDTDKDGRISYQEFELMMKSGADWRNASRHFSRANFSTLSRRLCKDTHTP >ONIVA08G25170.1 pep chromosome:AWHD00000000:8:25477823:25486153:1 gene:ONIVA08G25170 transcript:ONIVA08G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAEMPMSSDEEMLDDEDYYDYSDDMGEDDDGSGGGGGGDSDGEEEEEGEEELVGGDYEGREAEGSDEVVSRREQRYIVLTEKDINERQEEDIGKVSAVLSIRREEANISKLSDEWFADEEKVRDIVGLLLNGIDLPNSRKLTCGICFEGYSSDAMSSAGCAHFYCHECWEGYISAAISDGPGCLSLRCPDPSCGAMVLQNMINKLAKDDDKVRYARFILRAYVEDSKKCTEEAHRPVNCETVSRWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGSWAEHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKAEKEQLAKLTDVFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLQSFLTVRSDNTEPAPSIAEFGDFRVKLAGLTSVTRNYFENLVQALEAGLEDVHSTAQGTTSSNATNIPSKKAVTKGKTKKQLPRTSSDNSDEGWPCERCTFINPSSVDACSVCDKHRY >ONIVA08G25170.2 pep chromosome:AWHD00000000:8:25477823:25486153:1 gene:ONIVA08G25170 transcript:ONIVA08G25170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAEMPMSSDEEMLDDEDYYDYSDDMGEDDDGSGGGGGGDSDGEEEEEGEEELVGGDYEGREAEGSDEVVSRREQRYIVLTEKDINERQEEDIGKVSAVLSIRREEANISKLSDEWFADEEKVRDIVGLLLNGIDLPNSRKNMINKLAKDDDKVRYARFILRAYVEDSKKCTEEAHRPVNCETVSRWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGSWAEHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKAEKEQLAKLTDVFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLQSFLTVRSDNTEPAPSIAEFGDFRVKLAGLTSVTRNYFENLVQALEAGLEDVHSTAQGTTSSNATNIPSKKAVTKGKTKKQLPRTSSDNSDEGWPCERCTFINPSSVDACSVCDKHRY >ONIVA08G25160.1 pep chromosome:AWHD00000000:8:25468580:25472521:1 gene:ONIVA08G25160 transcript:ONIVA08G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43360) TAIR;Acc:AT2G43360] MMLLARAALRCSPLSAAAPAAAFSSAPSPAAAEAERAVRDGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRSVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYSTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKDIRGMGMEVCCTLGMLEKQQAEELKKAGLTAYNHNLDTSREYYPNIISTRSYDDRLQTLEHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPAHPESVPINALVAVKGTPLQDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGDEAPAADTERCEEAASM >ONIVA08G25160.2 pep chromosome:AWHD00000000:8:25468504:25472521:1 gene:ONIVA08G25160 transcript:ONIVA08G25160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43360) TAIR;Acc:AT2G43360] MMLLARAALRCSPLSAAAPAAAFSSAPSPAAAEAERAVRDGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRSVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYSTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKDIRGMGMEVCCTLGMLEKQQAEELKKAGLTAYNHNLDTSREYYPNIISTRSYDDRLQTLEHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPAHPESVPINALVAVKGTPLQDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGDEAPAADTERCEEAASM >ONIVA08G25150.1 pep chromosome:AWHD00000000:8:25461208:25465499:-1 gene:ONIVA08G25150 transcript:ONIVA08G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLAAAAAEEDGGGCVARWMRRDVLLGLALGQFVSLLITSTGFSSSELARRGINAPTSQSLLNYILLALIYGGILIYRRQPLTIKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWSIPCVIILTWIFLKTKYGLRKFIGVGVCVAGLILVVFSDVHASDRAKGPNPLKGDLLVIFGSMLYACSNVTEEYLVKKSNRIELMAMLGLFGAVISGIQISVLERKELHSIKWNAGAICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFAGTAAGLLIYSHKSSKEAETAQVAGANDEQGKAGDEEAGGMQNPA >ONIVA08G25140.1 pep chromosome:AWHD00000000:8:25446854:25461312:1 gene:ONIVA08G25140 transcript:ONIVA08G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVSVGKSVLDGALTYAKSAIAEEVTLQLGVQRDQGFIRDELEMMQSFLLVADKEHGHHEVLKTWVKQVRDVAYDVEDCLQDYAVRLEKPSWWRLPCTMLRERHRIANEMKELRAKVEDVSQRNMRYNLLGGSAAASKSSPITAAELQSTTIDDIEARRAAKQQEKVDLVQLITKNGHGLGVIAVWGTSGAAGTASIVRVAYQKVKGEFKCHAWVRLMHPFNAKEFIGNLVRQFKANSCEGIGMALDRTPSGVSVLNEMEAPDYNLLHDFSGYVSNNKYLIVLNGVSTIEEWDWIKTYLPNNDNGSRILVCTQQAEVASCCTEDGYKVSEMLQDGSFIKPLYVFYNEIKDSLTEQQDKAESSSNTTASVPSRTLQSVLEETEFIKLQKEPKSEIIELISKGGKVISVWGIGDGVEKSTALMRSVYDNLSDRFQRHAWFSMKCQFSHEKFIKTLVAQFHEGYTEDTEGTTDRKLQDDAQDSKTKMGTTESTRSTEWDFIVKNLPSDSNPANRIVVTTGEFSVAIHCSGEKQYTYKLETSNDKDAFSLLKMRVNSRLVSSDSTQMKSENKSSVDTADNTTRVHFDKMVQDDDLENLPGSILSPPKNFNTVAMKELTRSKTMLIVEEAQLIGRGKEKEEVIKLLSNRSPYRQVISVWGMGGIGKTTLVRSIYQSSELEKLKFERRAWVTVLRPFQPTELLRSLAQRLVEDSPGKKGESTLGGVTRNDLSIMAPKDLSEKLIQDLTGKKYLIVLDDLSSPVEWDLIIRNLPRNNNGSRIIVTTRPKGIARHCSNKEKNMHNIEGLTDEDALELFFNKVTPPSSLSPPPVSKESDDSELKPDMIYILKEKPEMMEEAKIIIKKCGRLPLAIAAVGGFLSTRPQNITEWRKFSDHISAELDNNPSLEMINKILISSYEGLSYHLKSCFLYLSIFPEDHNIRHGRLLRRWIAEGYSRATRNKNAEKEAEEQFMILLNKSMIQQSRTITSNSGKTGFCQLHDLMREISVSKSEEENLVLVLDDHSTSRSKDKVRHLVVSQTWSRKKKNDMQNIVDVSHIRSLTVFGEWKSFFISKKMRMLRVLDLEDARGLQDHDLVPVGKLRHLKYLSLRGSEYIENLPHSFGNLSSLETLDIRGTWVTILPATFIKLQKLQFLHAGATPANEIDMRNFNLIPVIKSALHKICLRKKDTMDVFESHIWRMTNAWLRNLDLCGVATPSGIGKLRSLHTLGVVNITTGNSILKELDKLSQLRKLGVTGINKNNCKDLCSAIVNHGRLQALLLRAEGQLGLEGCLDGLSPPPEALESLKLYGNLVILPEWVNQLENLRKLSLRSTNLEVNATMHVLGKLPMLTILRLQDKTCKEKELSFCPGSFTSLTMLELVSWEHLSSVIFEERATPKLEVLRVDHCWHIDDVGISGIDTLACLQEVSLEGYYYSEFKEYLQEQLGMNKNKPNLKIL >ONIVA08G25140.2 pep chromosome:AWHD00000000:8:25446854:25461312:1 gene:ONIVA08G25140 transcript:ONIVA08G25140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVSVGKSVLDGALTYAKSAIAEEVTLQLGVQRDQGFIRDELEMMQSFLLVADKEHGHHEVLKTWVKQVRDVAYDVEDCLQDYAVRLEKPSWWRLPCTMLRERHRIANEMKELRAKVEDVSQRNMRYNLLGGSAAASKSSPITAAELQSTTIDDIEARRAAKQQEKVDLVQLITKNGHGLGVIAVWGTSGAAGTASIVRVAYQKVKGEFKCHAWVRLMHPFNAKEFIGNLVRQFKANSCEGIGMALDRTPSGVSVLNEMEAPDYNLLHDFSGYVSNNKYLIVLNGVSTIEEWDWIKTYLPNNDNGSRILVCTQQAEVASCCTEDGYKVSEMLQDGSFIKPLYVFYNEIKDSLTEQQDKAESSSNTTASVPSRTLQSVLEETEFIKLQKEPKSEIIELISKGGKVISVWGIGDGVEKSTALMRSVYDNLSDRFQRHAWFSMKCQFSHEKFIKTLVAQFHEGYTEDTEGTTDRKLQDDAQDSKTKMGTTESTRSTEWDFIVKNLPSDSNPANRIVVTTGEFSVAIHCSGEKQYTYKLETSNDKDAFSLLKMRVNSRLVSSDSTQMKSENKSSVDTADNTTRVHFDKMVQDDDLENLPGSILSPPKNFNTVAMKELTRSKTMLIVEEAQLIGRGKEKEEVIKLLSNRSPYRQVISVWGMGGIGKTTLVRSIYQSSELEKLKFERRAWVTVLRPFQPTELLRSLAQRLVEDSPGKKGESTLGGVTRNDLSIMAPKDLSEKLIQDLTGKKYLIVLDDLSSPVEWDLIIRNLPRNNNGSRIIVTTRPKGIARHCSNKEKNMHNIEGLTDEDALELFFNKVSKESDDSELKPDMIYILKEKPEMMEEAKIIIKKCGRLPLAIAAVGGFLSTRPQNITEWRKFSDHISAELDNNPSLEMINKILISSYEGLSYHLKSCFLYLSIFPEDHNIRHGRLLRRWIAEGYSRATRNKNAEKEAEEQFMILLNKSMIQQSRTITSNSGKTGFCQLHDLMREISVSKSEEENLVLVLDDHSTSRSKDKVRHLVVSQTWSRKKKNDMQNIVDVSHIRSLTVFGEWKSFFISKKMRMLRVLDLEDARGLQDHDLVPVGKLRHLKYLSLRGSEYIENLPHSFGNLSSLETLDIRGTWVTILPATFIKLQKLQFLHAGATPANEIDMRNFNLIPVIKSALHKICLRKKDTMDVFESHIWRMTNAWLRNLDLCGVATPSGIGKLRSLHTLGVVNITTGNSILKELDKLSQLRKLGVTGINKNNCKDLCSAIVNHGRLQALLLRAEGQLGLEGCLDGLSPPPEALESLKLYGNLVILPEWVNQLENLRKLSLRSTNLEVNATMHVLGKLPMLTILRLQDKTCKEKELSFCPGSFTSLTMLELVSWEHLSSVIFEERATPKLEVLRVDHCWHIDDVGISGIDTLACLQEVSLEGYYYSEFKEYLQEQLGMNKNKPNLKIL >ONIVA08G25140.3 pep chromosome:AWHD00000000:8:25446492:25461312:1 gene:ONIVA08G25140 transcript:ONIVA08G25140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWPPVAIEAARSWPRESSSYRRPTHPNSKSKKRRELAMEATVVSVGKSVLDGALTYAKSAIAEEVTLQLGVQRDQGFIRDELEMMQSFLLVADKEHGHHEVLKTWVKQVRDVAYDVEDCLQDYAVRLEKPSWWRLPCTMLRERHRIANEMKELRAKVEDVSQRNMRYNLLGGSAAASKSSPITAAELQSTTIDDIEARRAAKQQEKVDLVQLITKNGHGLGVIAVWGTSGAAGTASIVRVAYQKVKGEFKCHAWVRLMHPFNAKEFIGNLVRQFKANSCEGIGMALDRTPSGVSVLNEMEAPDYNLLHDFSGYVSNNKYLIVLNGVSTIEEWDWIKTYLPNNDNGSRILVCTQQAEVASCCTEDGYKVSEMLQDGSFIKPLYVFYNEIKDSLTEQQDKAESSSNTTASVPSRTLQSVLEETEFIKLQKEPKSEIIELISKGGKVISVWGIGDGVEKSTALMRSVYDNLSDRFQRHAWFSMKCQFSHEKFIKTLVAQFHEGYTEDTEGTTDRKLQDDAQDSKTKMGTTESTRRLLANILSKHNCLIVFYGISSSTEWDFIVKNLPSDSNPANRIVVTTGEFSVAIHCSGEKQYTYKLETSNDKDAFSLLKMRVNSRLVSSDSTQMKSENKSSVDTADNTTRVHFDKMVQDDDLENLPGSILSPPKNFNTVAMKELTRSKTMLIVEEAQLIGRGKEKEEVIKLLSNRSPYRQVISVWGMGGIGKTTLVRSIYQSSELEKLKFERRAWVTVLRPFQPTELLRSLAQRLVEDSPGKKGESTLGGVTRNDLSIMAPKDLSEKLIQDLTGKKYLIVLDDLSSPVEWDLIIRNLPRNNNGSRIIVTTRPKGIARHCSNKEKNMHNIEGLTDEDALELFFNKVSKESDDSELKPDMIYILKEKPEMMEEAKIIIKKCGRLPLAIAAVGGFLSTRPQNITEWRKFSDHISAELDNNPSLEMINKILISSYEGLSYHLKSCFLYLSIFPEDHNIRHGRLLRRWIAEGYSRATRNKNAEKEAEEQFMILLNKSMIQQSRTITSNSGKTGFCQLHDLMREISVSKSEEENLVLVLDDHSTSRSKDKVRHLVVSQTWSRKKKNDMQNIVDVSHIRSLTVFGEWKSFFISKKMRMLRVLDLEDARGLQDHDLVPVGKLRHLKYLSLRGSEYIENLPHSFGNLSSLETLDIRGTWVTILPATFIKLQKLQFLHAGATPANEIDMRNFNLIPVIKSALHKICLRKKDTMDVFESHIWRMTNAWLRNLDLCGVATPSGIGKLRSLHTLGVVNITTGNSILKELDKLSQLRKLGVTGINKNNCKDLCSAIVNHGRLQALLLRAEGQLGLEGCLDGLSPPPEALESLKLYGNLVILPEWVNQLENLRKLSLRSTNLEVNATMHVLGKLPMLTILRLQDKTCKEKELSFCPGSFTSLTMLELVSWEHLSSVIFEERATPKLEVLRVDHCWHIDDVGISGIDTLACLQEVSLEGYYYSEFKEYLQEQLGMNKNKPNLKIL >ONIVA08G25130.1 pep chromosome:AWHD00000000:8:25428123:25429147:1 gene:ONIVA08G25130 transcript:ONIVA08G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSAHHGGDYAASDGGSYEFDEEGEGSDFEGEDGDGDGDGEALDEDEEVADADADAAGDPAELDPARYEDDEAFARALQDAEEREVAGRLMALAGLSDWRVMDHDDDDVDDDEDDDDDDEDEDGDDPQVTFSSIS >ONIVA08G25120.1 pep chromosome:AWHD00000000:8:25425770:25428472:-1 gene:ONIVA08G25120 transcript:ONIVA08G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKILPCALTITEARERHEAAGDLALLGVLQRPREGLVVLVPRGVQLSRVTGGVCVCVGHLLVLIQRLPVAVPVPILALKIAPLPFLVKLVAPTIGGRVVAAVVRAEHHPSGSPASTSSIAAVSPSYHCRRSRSVVQLPLHGYRRCHPGRWFRYFAFFVQHVSSLASPSLPRLHFALLRQLRAAPAILPLCRSRAATVTEAFAASLLRCWRMIHGGPLPRPHGIGNTGMRVRPYPRVWQTLCDVSSFPVRLHRLFGVIYLNDYRNRVTVIVSMREYAHLGP >ONIVA08G25110.1 pep chromosome:AWHD00000000:8:25409350:25425099:1 gene:ONIVA08G25110 transcript:ONIVA08G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQDPVGERRACCGAAGARRPFESAALPRWPEKGVEAGAGAGAGSRRRRWVQRQCLRVDSSPSVGLDSTVIKSEMKQPDRIAIHSDEVVLEDDGLPESSSSPLDRDSNVALKKLCRSRTRKDQKDQFIGRRKEKYKLINLLSDCNEGYHHQVISVWGMDGTGKTTLIRSIYQSYQLEKLGFERRAWVTVPRPFQLTELLRSLAQRLVEDSPGRKGESALGFARNDLSTMGLNELSEKLMNDLTGKKYLIVLDDISSLAEWDFIISKLPRDDNNGSRIIVTTKAEGVALYCSKEETNILNINGGLTEEDALDLFLYKVRMDGDESKLKPDMMEEAKIIINKCGRLPFAVATVGGDLSARPQNIIEWRKFSDHISKELVDNNQSPEVINKNILTSRYEGLTYHLKSCFLYLSIFPEDFDIRYRRLLRRWTAEGYSSATRNRSNEKVAEEQFTALLNKSMIQQSKTIASGKTDDHITSCSKDKVRHLVVSESWSREKKDMQNIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDAYGLQDTDLVPIGKLRHLKYLSLRGSFGIFNLPNSFGNLLNLETLDIRGTWVTKLPATIGRLQNLKYLHAGMPSYDEDDTRSYGQTIISLLRDFKSFQEDMGIRFAVSLIILLISSWLRNLDLFSVEVPRGIGRLRAIHTLSVVNIARGKAMLKNLKKLTQLRKLGVTSINKSNCKELCSAIADHGRLQSLLLRAEGNAGLQGCLDDLSPPPEHLESLQLYGNLMTLPEWIKQLENVQKISLRSTNLKADAAMEVLGNLPMLVILRLQDNACEEEELRFGPECFTGLTTLEFVNWWSLKSVKFEGGATPKLKALLVDNCEQINNGGFSGIETVSSLKEVSLLGYNYDQTYTEFKEQLQQQLNMIKPKPDLKILERAAADAGTGASRRILHSGLFIACFAMLVTSSGDLRRLLGFLGAPSVHQSSLSLKRNGKRLQNHASMSGCSN >ONIVA08G25110.2 pep chromosome:AWHD00000000:8:25409350:25425099:1 gene:ONIVA08G25110 transcript:ONIVA08G25110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQDPVGERRACCGAAGARRPFESAALPRWPEKGVEAGAGAGAGSRRRRWVQRQCLRCLGGGVEMEAAVASVGKTVMNCLLSGAKAAITEDAEVRQSIQRDLPFLTDELAAMHNFLMIMDTENKHKMTSTRVKEIRQFAYDVEDCLQDYKVHLALISWRQLPRTILERHRITEEMKGLRSRIDDMRNRNLPYHQVEDIRISSTPERSSFASATEDLVHLIISINDKELRAIAVWGSGGVLEKTSIIKMAYDDLKISNIFECYACISLMRPFNQKEFLLSIASQFYENLVEAVVERREKHALDTHVLRQMAMTKEDDSHLVDLFIAYVKEKSYLIVLNDLSTFEEWDQIKTYFPNNQRGSRILVTTNQVEVATLCLEPKNAVPELIQISADEAFFVFYKVDSSPSVGLDSTVIKSEMKQPDRIAIHSDEVVLEDDGLPESSSSPLDRDSNVALKKLCRSRTRKDQKDQFIGRRKEKYKLINLLSDCNEGYHHQVISVWGMDGTGKTTLIRSIYQSYQLEKLGFERRAWVTVPRPFQLTELLRSLAQRLVEDSPGRKGESALGFARNDLSTMGLNELSEKLMNDLTGKKYLIVLDDISSLAEWDFIISKLPRDDNNGSRIIVTTKAEGVALYCSKEETNILNINGGLTEEDALDLFLYKVRMDGDESKLKPDMMEEAKIIINKCGRLPFAVATVGGDLSARPQNIIEWRKFSDHISKELVDNNQSPEVINKNILTSRYEGLTYHLKSCFLYLSIFPEDFDIRYRRLLRRWTAEGYSSATRNRSNEKVAEEQFTALLNKSMIQQSKTIASGKTGFCQVHNLMHEVILSKSEEENLVLVLDDHITSCSKDKVRHLVVSESWSREKKDMQNIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDAYGLQDTDLVPIGKLRHLKYLSLRGSFGIFNLPNSFGNLLNLETLDIRGTWVTKLPATIGRLQNLKYLHAGMPSYDEDDTRSYGQTIISLLRDFKSFQEDMGIRFAVSLIILLISSWLRNLDLFSVEVPRGIGRLRAIHTLSVVNIARGKAMLKNLKKLTQLRKLGVTSINKSNCKELCSAIADHGRLQSLLLRAEGNAGLQGCLDDLSPPPEHLESLQLYGNLMTLPEWIKQLENVQKISLRSTNLKADAAMEVLGNLPMLVILRLQDNACEEEELRFGPECFTGLTTLEFVNWWSLKSVKFEGGATPKLKALLVDNCEQINNGGFSGIETVSSLKEVSLLGYNYDQTYTEFKEQLQQQLNMIKPKPDLKILERAAADAGTGASRRILHSGLFIACFAMLVTSSGDLRRLLGFLGAPSVHQSSLSLKRNGKRLQNHASMSGCSN >ONIVA08G25110.3 pep chromosome:AWHD00000000:8:25409350:25425099:1 gene:ONIVA08G25110 transcript:ONIVA08G25110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQDPVGERRACCGAAGARRPFESAALPRWPEKGVEAGAGAGAGSRRRRWVQRQCLRVDSSPSVGLDSTVIKSEMKQPDRIAIHSDEVVLEDDGLPESSSSPLDRDSNVALKKLCRSRTRKDQKDQFIGRRKEKYKLINLLSDCNEGYHHQVISVWGMDGTGKTTLIRSIYQSYQLEKLGFERRAWVTVPRPFQLTELLRSLAQRLVEDSPGRKGESALGFARNDLSTMGLNELSEKLMNDLTGKKYLIVLDDISSLAEWDFIISKLPRDDNNGSRIIVTTKAEGVALYCSKEETNILNINGGLTEEDALDLFLYKVRMDGDESKLKPDMMEEAKIIINKCGRLPFAVATVGGDLSARPQNIIEWRKFSDHISKELVDNNQSPEVINKNILTSRYEGLTYHLKSCFLYLSIFPEDFDIRYRRLLRRWTAEGYSSATRNRSNEKVAEEQFTALLNKSMIQQSKTIASGKTGFCQVHNLMHEVILSKSEEENLVLVLDDHITSCSKDKVRHLVVSESWSREKKDMQNIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDAYGLQDTDLVPIGKLRHLKYLSLRGSFGIFNLPNSFGNLLNLETLDIRGTWVTKLPATIGRLQNLKYLHAGMPSYDEDDTRSYGQTIISLLRDFKSFQEDMGIRFAVSLIILLISSWLRNLDLFSVEVPRGIGRLRAIHTLSVVNIARGKAMLKNLKKLTQLRKLGVTSINKSNCKELCSAIADHGRLQSLLLRAEGNAGLQGCLDDLSPPPEHLESLQLYGNLMTLPEWIKQLENVQKISLRSTNLKADAAMEVLGNLPMLVILRLQDNACEEEELRFGPECFTGLTTLEFVNWWSLKSVKFEGGATPKLKALLVDNCEQINNGGFSGIETVSSLKEVSLLGYNYDQTYTEFKEQLQQQLNMIKPKPDLKILERAAADAGTGASRRILHSGLFIACFAMLVTSSGDLRRLLGFLGAPSVHQSSLSLKRNGKRLQNHASMSGCSN >ONIVA08G25110.4 pep chromosome:AWHD00000000:8:25409350:25424776:1 gene:ONIVA08G25110 transcript:ONIVA08G25110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQDPVGERRACCGAAGARRPFESAALPRWPEKGVEAGAGAGAGSRRRRWVQRQCLRCLGGGVEMEAAVASVGKTVMNCLLSGAKAAITEDAEVRQSIQRDLPFLTDELAAMHNFLMIMDTENKHKMTSTRVKEIRQFAYDVEDCLQDYKVHLALISWRQLPRTILERHRITEEMKGLRSRIDDMRNRNLPYHQVEDIRISSTPERSSFASATEDLVHLIISINDKELRAIAVWGSGGVLEKTSIIKMAYDDLKISNIFECYACISLMRPFNQKEFLLSIASQFYENLVEAVVERREKHALDTHVLRQMAMTKEDDSHLVDLFIAYVKEKSYLIVLNDLSTFEEWDQIKTYFPNNQRGSRILVTTNQVEVATLCLEPKNAVPELIQISADEAFFVFYKVDSSPSVGLDSTVIKSEMKQPDRIAIHSDEVVLEDDGLPESSSSPLDRDSNVALKKLCRSRTRKDQKDQFIGRRKEKYKLINLLSDCNEGYHHQVISVWGMDGTGKTTLIRSIYQSYQLEKLGFERRAWVTVPRPFQLTELLRSLAQRLVEDSPGRKGESALGFARNDLSTMGLNELSEKLMNDLTGKKYLIVLDDISSLAEWDFIISKLPRDDNNGSRIIVTTKAEGVALYCSKEETNILNINGGLTEEDALDLFLYKVRMDGDESKLKPDMMEEAKIIINKCGRLPFAVATVGGDLSARPQNIIEWRKFSDHISKELVDNNQSPEVINKNILTSRYEGLTYHLKSCFLYLSIFPEDFDIRYRRLLRRWTAEGYSSATRNRSNEKVAEEQFTALLNKSMIQQSKTIASGKTGFCQVHNLMHEVILSKSEEENLVLVLDDHITSCSKDKVRHLVVSESWSREKKDMQNIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDAYGLQDTDLVPIGKLRHLKYLSLRGSFGIFNLPNSFGNLLNLETLDIRGTWVTKLPATIGRLQNLKYLHAGMPSYDEDDTRSYGQTIISLLRDFKSFQEDMGIRFAVSLIILLISSWLRNLDLFSVEVPRGIGRLRAIHTLSVVNIARGKAMLKNLKKLTQLRKLGVTSINKSNCKELCSAIADHGRLQSLLLRAEGNAGLQGCLDDLSPPPEHLESLQLYGNLMTLPEWIKQLENVQKISLRSTNLKADAAMEVLGNLPMLVILRLQDNACEEEELRFGPECFTGLTTLEFVNWWSLKSVKFEGGATPKLKALLVDNCEQINNGGFSGIETVSSLKEVSLLGYNYDQTYTEFKEQLQQQLNMIKPKPDLKIL >ONIVA08G25100.1 pep chromosome:AWHD00000000:8:25401523:25407215:1 gene:ONIVA08G25100 transcript:ONIVA08G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSSSSSEPTGNDEKKSSKPQGSSNDHQGFLPGGPPANTFDFASLHSLLNDPSVKEIADQIAKDPAFTQMAEQALEGEGEQGMPAIDPYIETMQKFMESPHFFTMAERLGDALVKDPAMSSLLENLTSPMHNAKIEERVSRMKEDPAVKSIMDELETGDPAALIKYWNDPETFRKISQAMGPLGVPDFAEPSGTEGTEEEGEYEDESIVHHTASVGDDEGLKKALDGGADKDEEDSEGRRALHFACGYGEVSNDKNISLRILCKTDCMYALLVFRKLHLKCAQVLLEAGAAVDALDKNKNTPLHYAAGYGMKECVDLLLKNGAAVTLENMDGKTAIDVAKLNNQDEVLRLLEKDAFL >ONIVA08G25100.2 pep chromosome:AWHD00000000:8:25401523:25407343:1 gene:ONIVA08G25100 transcript:ONIVA08G25100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSSSSSEPTGNDEKKSSKPQGSSNDHQGFLPGGPPANTFDFASLHSLLNDPSVKEIADQIAKDPAFTQMAEQALEGEGEQGMPAIDPYIETMQKFMESPHFFTMAERLGDALVKDPAMSSLLENLTSPMHNAKIEERVSRMKEDPAVKSIMDELETGDPAALIKYWNDPETFRKISQAMGPLGVPDFAEPSGTEGTEEEGEYEDESIVHHTASVGDDEGLKKALDGGADKDEEDSEGRRALHFACGYGEVSNDKNISLRILCKTDCMYALLVFRKLHLKCAQVLLEAGAAVDALDKNKNTPLHYAAGYGMKECVDLLLKNGAAVTLENMDGKTAIDVAKLNNQDEVLRLLEKDAFL >ONIVA08G25090.1 pep chromosome:AWHD00000000:8:25397050:25399598:-1 gene:ONIVA08G25090 transcript:ONIVA08G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRQSIDINGHRRQPILLDTNVLITDATNDDTVATITSSRGHTIKVSSWISQPPAISYLSFHCHYSSHPRYNNFDELDAKIVGAEGSFILLTALASPRGSERYDYLMYKLHDYGDNGESSSLLEQVPLPDVYPLPVIEEFGIVPNGGKHFDLAALGVDYRSPMKCSYSMHIYSSKNTNWRVIPMVDPYPEVRKVIATKVITIAEGVLGWVDLDHGVMVCDLREDVPGLRYVPLPAPLPQNWYRLKEFLPGTFAKSIRDLVCVDGVMSFVEMEHRVIVTTEKPSDPSKMQVLYDTDLIVSYNLKDLNKKLQQLQRKDGCEVDAVDISGLSPNLAENLASPTLGVDDGDVVYMRSNASLGKGKIVAIDLRRKKVKAIGPYSFENHDPFSLKAFSTCLLPPDDSRKRPKYKHTSHPSWGKDFLPPPLASANGQEETSTLGILSKSSVHHPPAHIQNMTVFTTCRSYMGLRFASRQYSNLS >ONIVA08G25080.1 pep chromosome:AWHD00000000:8:25379695:25388933:-1 gene:ONIVA08G25080 transcript:ONIVA08G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVLSLGKSVLSGALGYAQSAVAEEVALQLGIQRDHAFIRDELHMMQAFLMAADEERDKHKVVKAWVQQVRDVAYDVEDCLQDMAVRVGKPSWWRKCSPRMLLERRRVAQKMKELRAKVEDVSQRNNRYRLIDGSASKATDGMQSRIAGATTMSELEETRRQQDKAKMDLVGLINTNDKELRVIGVWRKNGLLDDKSIIRRAYDDLKMRKFECYAWIRLKSLCNQTWLLQSISRQLYENSLQETREFKMEATDLVDRILQKMGNMEEDDLVSAFEGYLNEKSYLIVLTDLSSLEEWNKIKICFPSNDRGSRIILCTEHVEVARLCVPQDSVPPEHKKLLDDQIIYAFYEKGSQDGTLLVEPSSSSNITTSNGNNNLTANKKLGRTETMVSTLEESQLIGRAKEKSDIIKLIKNQVSQQSQVISIWGMGGLGKTALVQDIYRSQDVSSNFDARACVTVLRPFNSGQLIHSLAKQFGNEEKRDLSELLKEKRYLVVLDDLWDTKEWDDMVAQFPNKAGSCIIVTTREENIAKHCSKETTNAHIYKLSGIENDQALQLFTKKVFKEKTNLDEQYPDLVEQANLILKKCNGLPLAIVTIGGFLANQPKTALAWRKINEHISAELEMNPELKTISTVLLKSYDGLPYNLKSCFLYLSIFPEDHKVSRKRLMQRWTAEGYTTEARGKSPIEIAHDNFIELISRSMTLPVAQNASRPEGGIDYCQLHDLMREISIAKSMEENLVFRLEKGCSSKTQGTARHLAISSNWEGDKHEFESLVDLSRLRSLTVFGEWKPFFISKKMRMLRVLDLEDTDNLVDHHLEHIGKLIHLRYLSLRQCLNICHLPDSLCDLRQLETLDIRSTRIAMLPKTIVKLQKLKYIHAGNKTIRIQQSIAERSLWLLTNGPCLCGACCVPSLLEDIDMYGPGNTYGFNRRDACNYSCCIQPRVLMMDLDNNFPMLPRGSRKLKSLHTLRHVDLAWGNTVIQEIERLTQLRKLGVVGINKKNGPSFYSAISKLSQLESLSVCVGWCQGLRGCLDHGTSSSSSTSSPPENQNLQSLKLLGELGELPQWIGKLQNLVKLRLWLTQLEDADAAIKVLGALPSLAILRLWYNSFNNDVVCLNFRQEQQEATAVVLFPSLRVLQLRRICSVRLESVQFGGGATPKLELLQFSRFAGSYGVGFLSGLEELENLREFMLGDFLYDDDFVKDVEEQLANHPNPNKPLLKRFSL >ONIVA08G25070.1 pep chromosome:AWHD00000000:8:25371228:25376259:1 gene:ONIVA08G25070 transcript:ONIVA08G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPPPPEGGGGGGGGGGASCSICLDPVVAGGRSVARLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGGWVTGETYDITSDIPFGFQWCPFSGFTQLASVFEEGEAEQTSYHTVGDHSNAASSSLVCPYLALRGFLHPVHVPSSSSSGAENSSFHRHPTSLEGHAAHDLSNTQVFHATESRNHDNDHRYMSNLPVSGIPDHSVAPFGIGLPRYDSSSQQRTRPYAHHRPLVHRPTPRNGSNMVTPLGSVPAVMAETRGHGHGARGHMYQQSMHSLQSSPFPPTSRRVRPRALTITSFIAASSSAEIGGHHGFAPPVNRSNSSDGEAVSRPVDRPYGWGQEGFTPFPWIPADGESHWWGTFNPMQNHTHGGFTRRPTGERMPQSHPDSGYHSMHPQRMPPFL >ONIVA08G25070.2 pep chromosome:AWHD00000000:8:25371436:25376259:1 gene:ONIVA08G25070 transcript:ONIVA08G25070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPPPPEGGGGGGGGGGASCSICLDPVVAGGRSVARLQCGHEFHLGQILPRSRSRALPGHAHCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGGWVTGETYDITSDIPFGFQWCPFSGFTQLASVFEEGEAEQTSYHTVGDHSNAASSSLVCPYLALRGFLHPVHVPSSSSSGAENSSFHRHPTSLEGHAAHDLSNTQVFHATESRNHDNDHRYMSNLPVSGIPDHSVAPFGIGLPRYDSSSQQRTRPYAHHRPLVHRPTPRNGSNMVTPLGSVPAVMAETRGHGHGARGHMYQQSMHSLQSSPFPPTSRRVRPRALTITSFIAASSSAEIGGHHGFAPPVNRSNSSDGEAVSRPVDRPYGWGQEGFTPFPWIPADGESHWWGTFNPMQNHTHGGFTRRPTGERMPQSHPDSGYHSMHPQRMPPFL >ONIVA08G25060.1 pep chromosome:AWHD00000000:8:25368624:25369139:1 gene:ONIVA08G25060 transcript:ONIVA08G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRKVAEFLRKAVVALRGKASVLRARLLFLASLRRRTAVVGAISHHLRALMPGNAPAPAPDGGRLPAAEDEDGQMALDDVAGLSELATLFQEVDDDDDGARYPDWTHSMFDDDDGGEGGGEEAVSVMEVIRRRREGDGEEFDMEEEIDHAADMFIRRVRHRMAANRRSF >ONIVA08G25050.1 pep chromosome:AWHD00000000:8:25361847:25364925:-1 gene:ONIVA08G25050 transcript:ONIVA08G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IF63] MEGRMQGKAPLSDSNRRIMDADAPPRRVYQAWKGSNIFFLGGRLIFGPDVRSLILTVCLIVIPVILFAAVISGQLDHEYHNQIGGWVASVAIIFTAYIIVLLLLTSGRDPGIIPRNAHPPEPEDVGESSNLSEWPGGQHGSTGLPLTKDVLVNGVLVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTLLCVYVFAFCWVDLRIIMDTHRCKLGRAIMKSPVSGILILYTFIAVWFVGGLTSFHLYLISTNQTTYENFRYRYDRKTNPHNRGLVQNFIEILCSRIPSSRNNFRAKVKEDSATFPSSLGMGRALSPPKMSVDLEMGMKRQTVAMEELEDLHSQIGSAMGLERCGTEPPHIVGRKGCSEIATDIEAFAEEFGLEQRFTERKKIEQRTSHNP >ONIVA08G25040.1 pep chromosome:AWHD00000000:8:25352812:25356967:-1 gene:ONIVA08G25040 transcript:ONIVA08G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSSRILNGKTRYFSTLRSSHTENKCILSVPVSSLSHHHLPILLSRATVSGLLLKLPLVHSVLRSLSLGPTPSLSFSFLSLLRRFANMTLDNYSLNIAISAAARVPSLDVGSQFHALSLKLSLASDSFVLNALINMYSSCNYPASARLVLDSAPRWASDVVSWNTIIAGYIRGGMPNKALQSFHQMAKEQVRLDEVTLLNVLVACARTGAMKVGSLCHALVVLNGFEINCYIGSSLVSMYAKCGMVEEARRVFNRMPERNVVCWTSMIAGCTQSGRFKEAVDLFRDMQIAGVKADDATIATVVSSCGQMGALDLGRYLHAYCDGHGLGKELSVKNSLIDMYSKCGDVNKAYQIFRGLTKRDVFTWTVMIMGFAMNGLCVEALDLFAQMEGEDKVMPNEVIFLGVLTACSHGGLVEQGYHHFHRMSKVYNLVPRIEHYGCMVDLLGRAKLLAEAEQFIKDMPVAPDVVVWRSLLFACRASGQVGLAEYAAERIEQLEPKRCGGHVLLSNVYATTSRWVDVNNVRTGMGNSRTSKKPGCSFIEVDGCIHEFFAGDESHFETEAICNTLFGINELLVAESFLILLPPTITKGQIAQVIVDFLEVAVSCVVFLKGFYPPRAFERRRYMNVVVQKAVHPQLAGYIHSATSGLLPFIQKGLVERVVVIFYDKAHVPVEKFVFKLAVNQSYGSKIEEASLEFALRAFLIKLAVAEPLTRTLPSDGSWEIAAYFRSLPPDGEKEAQLWIPTDTKQWMQPPQITPIKSMSCDPVKMQLYLEQPSRTEPRDPPTEP >ONIVA08G25040.2 pep chromosome:AWHD00000000:8:25352812:25358039:-1 gene:ONIVA08G25040 transcript:ONIVA08G25040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVVQKAVHPQLAGYIHSATSGLLPFIQKGLVERVVVIFYDKAHVPVEKFVFKLAVNQSYGSKIEEASLEFALRAFLIKLAVAEPLTRTLPSDGSWEIAAYFRSLPPDGEKEAQLWIPTDTKQWMQPPQITPIKSMSCDPVKMQLYLEQPSRTEPRDPPTEP >ONIVA08G25030.1 pep chromosome:AWHD00000000:8:25345359:25350931:-1 gene:ONIVA08G25030 transcript:ONIVA08G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAPPASSGSEVTGAGSGKVDAGGGAAMEERFADLCKSKLGLDESITRQAMQLFKESKSILLSSMSSLGSGSPEEIERFWSAFVLYCVSRLGKAGKGKEDGGISLCQILRAFSLNIVDFFKEMPQFCIKVGSVLAGLYGSDWEKRLELKELQANVVHLSLLSRYYKRAYQELFLLNDAKPPENSAEPNAQASDYYRFGWLLFLVLRIQTFSRFKDLVTSTNGLVSVLAVLIVHIPVRLRNFNIKESSSFAKKSDKGVNLIASLCEKYHTSEDELSKAIEKTNTLIVDILKKKPCPAASECQQDRLSFIDPEGLTYFKNLLEEDSLKLSLLMLEKEYENAINTKGELDERMFANDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSITSPSPMSPPRFCASPTGNGYCSSKMAPITPVSTAMTTAKWLRSTISPLPSKPSGELLRFFSACDKDVTDDITRRAGIILGAIFTSSSFGERICTSVRSTNRIDAIWTEQRKMEALKLYYRVLESMCRAETQILSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIESFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPTLSAEINRLGLLAEPMPSLDAIAAHHNISLEGLPPLPFQKQEHSPDKDEVRSPKRACTERRNVLVDNNSFRSPVKDTLKSKLPPLQSAFLSPTRPNPAAGGELCAETGIGVFLSKIAKLAAIRIRGLCERLQLSQQVLERVYSLVQQIIIQQTALFFNRHIDQIILCSIYGVAKISQLALTFKEIIFGYRKQSQCKPQVFRSVYVHWASRSRNGKTGEDHVDIITFYNEVFIPTVKPLLVELGSGTSPNKKNEEKCAADGPYPESPRLSRFPNLPDMSPKKVSAAHNVYVSPLRTSKMDTLLSPSSKSYYACVGESTHAFQSPSKDLKVINNRLNSGKKVSGRLNFDVVSDLVVARSLSDQNSASAAATTADITTKTPVKLEQPDC >ONIVA08G25020.1 pep chromosome:AWHD00000000:8:25341327:25344921:1 gene:ONIVA08G25020 transcript:ONIVA08G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: vacuole; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Stress up-regulated Nod 19 (InterPro:IPR011692 /.../ 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G61820) TAIR;Acc:AT5G61820] MIIPLLVLHLVKVSFSLFLVDQAELAMLVGTMRRATILVLLIALSSVIAPLPLEALNSFNAEVVDENGVPVPLHETYLHHWVVEPYYALKNSDSADAQKRPKGIIARNSGVCKKTLGQYYGLGSETRHTATWVPDPYGIEIGNPEKPPEGYEEKWLINVHAIDTRGVIDKLGCTECKCDLYNMTIDEYGRKLPKDYIGGLYCCYDETQCKVKEGFNGELRKLFLRYTVTWLDWSDAVVPVKIYIFDVTDTSPPDEKSGTACKVEYQVEECSPENRAKNECIDVKVTKEVIPCGGDIVFGVAHQHSGGIGASLHGQDGRLLCASIPSYGKGEEAGNEDNYIVGMSTCYPKPGSVKVSDGEVLTVVSNYSSDRQHTGVMGLLYILVAEQEQPTPKPALCFSFPVPWCLPTWLTSNL >ONIVA08G25020.2 pep chromosome:AWHD00000000:8:25341327:25344921:1 gene:ONIVA08G25020 transcript:ONIVA08G25020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: vacuole; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Stress up-regulated Nod 19 (InterPro:IPR011692 /.../ 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G61820) TAIR;Acc:AT5G61820] MIIPLLVLHLVKVSFSLFLVDQAELAMLVGTMRRATILVLLIALSSVIAPLPLEALNVRGHLLKSKTFLSPAFFLHPGSVSNKFYYDVPFPRGHLALKSFNAEVVDENGVPVPLHETYLHHWVVEPYYALKNSDSADAQKRPKGIIARNSGVCKKTLGQYYGLGSETRHTATWVPDPYGIEIGNPEKPPEGYEEKWLINVHAIDTRGVIDKLGCTECKCDLYNMTIDEYGRKLPKDYIGGLYCCYDETQCKVKEGFNGELRKLFLRYTVTWLDWSDAVVPVKIYIFDVTDTSPPDEKSGTACKVEYQVEECSPENRAKNECIDVKVTKEVIPCGGDIVFGVAHQHSGGIGASLHGQDGRLLCASIPSYGKGEEAGNEDNYIVGMSTCYPKPGSVKVSDGEVLTVVSNYSSDRQHTGVMGLLYILVAEQEQPTPKPALCFSFPVPWCLPTWLTSNL >ONIVA08G25010.1 pep chromosome:AWHD00000000:8:25331823:25336687:1 gene:ONIVA08G25010 transcript:ONIVA08G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSLLVLVLAAAAFAAGTVTEAAGDGCSAGCDLALASFYVTPNQNVTNMADLFGIGAANYRSLAPYNPNIPNLDFINVGGRVNVYFTCGCRSLPGSPGATYLAGAFPFQMSRGQTYTKVAANYNNLTTAEWLQATNSYPANNIPDTAVINATVNCSCGDASISPDYGLFLTYPLRAEDTLASVAATYGLSSQLDVVRRYNPGMESATGSGIVYIPVKDPNGSYLPLKSPGRRKAKQATLLQSSEDSTQLGTISMDKVTPSTIVGPSPVAGITVDKSVEFSYEELSNATQGFSIGNKIGQGGFGAVYYAELRGEKAAIKKMDMQATHEFLAELKVLTHVHHLNLVRLIGYCIESSLFLVYEFIENGNLSQHLRGMGYEPLSWAARIQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYRAKVADFGLTKLTEVGGTSMPTGTRVVGTFGYMPPEATPSVNLCWKLICMEHAVCRYARYGDVSPKVDVYAFGVVLYELISAKEAIVRSTESSSDSKGLVYLFEEALNSPDPKEGLRTLIDPKLGEDYPIDSILKLTQLAKVCTQEDPKLRPSMRSVVVALMTLSSTSEFWDMNNLYENQGLVNLMSGR >ONIVA08G25000.1 pep chromosome:AWHD00000000:8:25327950:25329491:1 gene:ONIVA08G25000 transcript:ONIVA08G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTDWPEPAAGDESSAVVSDTDEHSEESSADANADETATRVQRRLDEGADAEAEEMAARVQRRIDESADAEAEEMAASMQRRVEALPGKAHESEPFTIFRVAGPMRGRNRHLYEPQMVSVGPFHRGAARLRAMEEHKWRYLRDLLARNNPGGDAPLAAYARAARELEPAARRRYAEPVALPPREFAEMLLLDGCFIVEFFLKGEDRAADALVDAAWAMQNVYNDLFLLENQLPFFVVERFYDIATGGLGRDYLVTSLLAKYLTMDTPQDAATARPPDGEILHLLHLYYHWFLPPEERTSDSGGGAAGKEEEEDEAFWDEWLAKPIHERVPWQMPPATELQDAGVTFRAKASPRSLVDVTFDRRGGVMEIPTAENYANRAMLANLVAYEQSRGRREMQRVASYALLMASLADGRRDAEALHRAGVLAGGDVDEAAAFYAHLCPPPEAVNNCYGEVYGGVREYCGRSWNRHRAVLAHDYFSNPWTSMSAAAAVLLLLLTVVQTVYTVLPYYHPT >ONIVA08G24990.1 pep chromosome:AWHD00000000:8:25323214:25327592:1 gene:ONIVA08G24990 transcript:ONIVA08G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMRFRPRIFSPSLFGPSKPRTPTLAASPPAAAAARRRIVSMASSTTTSEDALRRALAERQAAVDAQAEAVRALKAAGAAAAKAEVDAAVEALKALKVEAGAAARRLQAAVGASGGGGGAAREEMRQAVVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCAVKANVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDHCKEKLEKDLTLSPEKAAELKHVLAVLDDLSADELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLNVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFVDVADLEFLMFPRELQLSGESAKLVKLGEAVSKGTVNNETLGYFIGRVYLFLTRLGIDKNRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVVEALEAMSEKEAMDMKAALESKGETNFQVCTLGKDVVITKKMVSISMEKKLEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPIVAPIKCTVFPLVKNQEFDDAAKVIDKALTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSATSVTIRERDSKEQIRVGIDEVASVVKQLTDGQSTWADVSFKYPSHIGPQGDQE >ONIVA08G24980.1 pep chromosome:AWHD00000000:8:25310622:25318423:1 gene:ONIVA08G24980 transcript:ONIVA08G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G52630) TAIR;Acc:AT1G52630] MSSSASAAAWWARSRVRILLPVIFLAPALYFLLSPPSSPPFFFTLPTSREESPSASGSRVIWAQRRVAEWRSCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVVRLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >ONIVA08G24980.2 pep chromosome:AWHD00000000:8:25310622:25318423:1 gene:ONIVA08G24980 transcript:ONIVA08G24980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G52630) TAIR;Acc:AT1G52630] MSSSASAAAWWARSRVRILLPVIFLAPALYFLLSPPSSPPFFFTLPTSREESPSASGSRVIWAQRRVAEWRSCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVVRLLNATMVLPKFEVAAYWNESRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >ONIVA08G24980.3 pep chromosome:AWHD00000000:8:25310622:25318423:1 gene:ONIVA08G24980 transcript:ONIVA08G24980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G52630) TAIR;Acc:AT1G52630] MSSSASAAAWWARSRVRILLPVIFLAPALYFLLSPPSSPPFFFTLPTSREESPSASGSRVIWAQRRVAEWRSCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVVRLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >ONIVA08G24980.4 pep chromosome:AWHD00000000:8:25310622:25318319:1 gene:ONIVA08G24980 transcript:ONIVA08G24980.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G52630) TAIR;Acc:AT1G52630] MSSSASAAAWWARSRVRILLPVIFLAPALYFLLSPPSSPPFFFTLPTSREESPSASGSRVIWAQRRVAEWRSCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVVRLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >ONIVA08G24980.5 pep chromosome:AWHD00000000:8:25310622:25318154:1 gene:ONIVA08G24980 transcript:ONIVA08G24980.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G52630) TAIR;Acc:AT1G52630] MSSSASAAAWWARSRVRILLPVIFLAPALYFLLSPPSSPPFFFTLPTSREESPSASGSRVIWAQRRVAEWRSCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVVRLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >ONIVA08G24970.1 pep chromosome:AWHD00000000:8:25305424:25310705:-1 gene:ONIVA08G24970 transcript:ONIVA08G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLIQIERWREETEHAPRGEGREAESSTESKPTHAKLAREAADASARKRKAADGGGEGDAAATVPEEAVMGEAAAAAAAPEPVVEGGGGGGGEGLNPNPSGGGGGGGGGCSDSVSVELSMGGDYYHSCCGDPDPDLRAPEGPKLPYVGDKEPLSTLAAEFQSGSPILQEKIKEHILETQDKAEVERILKKIEQCKKTLADLGYIEFTFEDFFSIFIDQLESVLQGHESSIGFVTSGEIQRRAEFFEPFISGLTNSTVVQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDAGNISVNHHDFSPEANSSDGAAAAEKPYITLLYRPGHYDILYPNYGARACHQRPALQRKGLVLLVLGGELGGIGLLDAHWHAASTDMAT >ONIVA08G24960.1 pep chromosome:AWHD00000000:8:25294615:25302587:-1 gene:ONIVA08G24960 transcript:ONIVA08G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAKLDDSPMLRTQIQSLEESAEILRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALRELGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDARKRFDKASLLYDQVRDKYLSLKKGTRADITTAIEDELHNARSSFEQARFNLVTSISHIEAKKRFEFLEAVSSTMDSHLRYFKQGYDLLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKKQIDREIRLSNGLTDAHNGDGIQTIARSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQINRTPGGCSSHPRSIGNPTEHGSGLLSRLFSSHYHGIIHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAIDQMDWIEKITGVIASLLSSQSPEQCFLLSPKSCGHDGSASESSSFTSQDLEPSMYDDLTLEKNGQHDVRGTHYHKTNMKPEKPIDLLRKVDGNIICVDCGSMEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNKFVNDVWEEVLPSSKNGESDDKLRAHGLDTAWHFTVSKPKHSDPFSAKEKFIHAKYADKEFVRKYSMDEIQLAQQMWDNVTANDKRAVYSLIVQSRANVNLVYGEMSYSSRSTTGRSSQQEHSSSPSDGSPVFFDCHSHEKVSPREPLSPASTSSRVDDGDERCEGFSLLHLACHVADVGMVELLLQYGANVNAIDSRGRTPLHQCILKGRHIHAKLLLSRGANPQVADQDGRTALQCAIDGGTSDDEILVLLEDYTR >ONIVA08G24950.1 pep chromosome:AWHD00000000:8:25290658:25293310:1 gene:ONIVA08G24950 transcript:ONIVA08G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKQQPQEAAASSFSDDVDVARNLRGMPWLVWLLFETPSGFAIFSFNSYIFEEENAIELWLKQFQKFEDKSAAINCTTGLGKELRNMLKIWCRRGEKLMVGSLEYKEIIEADQELKGVRCLYNDYVMEVMWGIKNLMHILVPEEQMVLTKEERLPVSKGLEMILHLYKFDVKLEMINNDIVETACYLYHCDFLEKRHSKGLHMSDYHLLKISGLNSSEWDTMKLVTALKKITRPGEEIEHPPEMFSSDELLKIEKDADKYKDKIYKTAVSEIWNDLVCSYSIKKEKLRHM >ONIVA08G24940.1 pep chromosome:AWHD00000000:8:25283810:25285917:1 gene:ONIVA08G24940 transcript:ONIVA08G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKQQRQEAADDDDDVDVARTERYAEDLVPSWGEVGSQEYKEIIEADQELKGVTCLHNDYVMEVMWGIKNLMHILVPEEQKVLTKEERLLMFSSDELLMIEKDADKYKDKIYKTAVSKIWNELVRSYGVKKEKLRHVQFLVEAAALEATKREVNQAGND >ONIVA08G24930.1 pep chromosome:AWHD00000000:8:25281871:25282347:1 gene:ONIVA08G24930 transcript:ONIVA08G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWRQSSTSGHALHRYDHAVSPPPLCRTLRHLRRRPSADGGAAAVLAPTAYVHVGVAVEAPRAHVVAAARGDDTAAVGAGGEVQAVAGEVPDRVRSAAEAGVVEEEGRALGGGAAVGHLAELDAAMAEPAHRPLGRALLAPEAVVGVSRVGVAASHG >ONIVA08G24920.1 pep chromosome:AWHD00000000:8:25281717:25282341:-1 gene:ONIVA08G24920 transcript:ONIVA08G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHSHPAHPNHRLRREKCSTQGAMCGLCHRRIELGEMAYRCAAAEHFSGHRLDLTARADGGGVVPAGSSYDVCARSLDGYSHVTAAAPPSADGRRRKCLNVRHRGGGETAWSYRCSACPDVELCLQCAMGRDGDGNGDDTTCLCGGADAGQGAVCAGIVAGKFIKGLMWGMGCGCGTPI >ONIVA08G24910.1 pep chromosome:AWHD00000000:8:25278052:25278813:-1 gene:ONIVA08G24910 transcript:ONIVA08G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHSHLVHPGHGALRRAACGSAGAACGLCGGRVRIGEMAYRCAAAECTAFFLHDACYRYPERIKAKGHFSAGHSLALTARADVAGGACTVCAMTLDGFSHVYTCSKSRHFACGRGGFLAHPRCGNLPPQAGAPSHPHQLFLRAPDGGGGVRRCVECNAVAAGGGGGGRQVVRAWSYQCQTCPDSELCLACALGRDGVPPCCCCGQCVCGGGCFVGCAGLAGNAMGHFIHELFRGCTGLSFKSAMGTARPPA >ONIVA08G24900.1 pep chromosome:AWHD00000000:8:25258995:25260619:-1 gene:ONIVA08G24900 transcript:ONIVA08G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSPHHSLLLKATAAGAAIATTNDPNISSFFLYNHSHGSQAPQPANAAAAAIVEDASLESSVSAVLDTSPSVDRKRKAAEDSAHSKDSCKDGKSRRGKKASKEVEEKSTTEDEPPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGMDSDGLHDQKIGGMFQEALAMPNPVLNQSSPAPSQAIMDTTSTTSYSLQSQHGAISFSQDNGSYLMQAVGEPRQQEMLNQLVFNNMCSFQ >ONIVA08G24890.1 pep chromosome:AWHD00000000:8:25247333:25247994:1 gene:ONIVA08G24890 transcript:ONIVA08G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAGRSGGEQAVGGRTVGEDGRCAVGAGTGGWRQRVVDGGAGTGIGGRSGVIRSTVIVRGERLIRTFSLLKQWPVCLGST >ONIVA08G24880.1 pep chromosome:AWHD00000000:8:25237816:25239382:1 gene:ONIVA08G24880 transcript:ONIVA08G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTLHHRGLTPHLTSLHHQGTRATSIRASNLTTRRRRHHHRHMTTATTTVVMRVLELGFSKDVWPLFAAVACWRSAASKEKNYFALCSSSFLFRGLLLLYNSQIVTD >ONIVA08G24870.1 pep chromosome:AWHD00000000:8:25211269:25215031:-1 gene:ONIVA08G24870 transcript:ONIVA08G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGGGGGGRGQQQQWPCDYCGEAAAALHCRADAARLCVACDRHVHAANALSRKHVRAPLCAACAARPAAARVASASAPAFLCADCDTGCGGDHGAALRVPVEGFSGCPAAAELAASWGLDLPGGCGGEEEEADDAFFSALDYSMLAVDPVLRDLYVPCDPPEVVVAGGGRRLKGEALGHQLAEMARREAETAHPHTQPHSDLSPRTPRRTSAAASGRLQEKQAPPPLPHAAATAAAPEAPLPYTSLLMMAPANCTELMENNRVGDEDENVLWESTAPSVPPTQIWDFNLGKSRDHNENSALEVGFGSNNGGFMIKSYNDMLKEISSGTTKDLEDIYDSRYCAAAEDIMSTNVCQLSSKNPSTGSNKRKVSSCASTIDGPTTSTSHVPAASGALGGSSNDRGSALPKEISFCDQTVVPTGADQRPCTIKIDSETLAQNRDSAMQRYEKHIRYESRKLRADTRKRVKGRFVKSNGAPDDVSNGG >ONIVA08G24860.1 pep chromosome:AWHD00000000:8:25203441:25210035:1 gene:ONIVA08G24860 transcript:ONIVA08G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVNPAEEAPTPSSRPVLSPRSFEGVGACDLDGLLGGSSSSRVFEYPSIGDSDRKTALDIPNGSTEYSRDSHCFDRPAGLRGLRIDSRDADSRSSHKLDPTVHVPTMRVVGFESGSVGGSAIIETDNCHTPFDQHELQARKRLLSPLKNVLNRQFHGDILNISAGDARFELSDSARKLNISCFQDSKKANTGMLDSFEPQAWTNSRCSNGSPEWDANKINSNLFTDGPLLGSKESLAYLDHLAASAKLAHSPLSLSPLSPKFMNRTKATGAQCRTMRDIEHHFLDSREKGGPDGIRMLSVLEETNILHDEYNVMTPKRSSCRRYQIWGPESTPTSPRVGYGRSLSLPVRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNITGGNFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRSSATNCRSPKLERSLSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCSYDLTDMPSGTKTFMRQKVTLSPTLSSSNPMKEGSNAGDINIGPKAESVSCGEVTERESSECSSDGSEEKDANAKRCSLDSNMMESNKHNSPVNKKNNTDSDDCCCQMDNLGGKNSCCASSRINDSSGGGVIRYALHLRFLCPSSKKSSKSMLRCKSDPSSVPYDSNAAKEDDRRFYLYNDLRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >ONIVA08G24860.2 pep chromosome:AWHD00000000:8:25203352:25210035:1 gene:ONIVA08G24860 transcript:ONIVA08G24860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVNPAEEAPTPSSRPVLSPRSFEGVGACDLDGLLGGSSSSRVFEYPSIGDSDRKTALDIPNGSTEYSRDSHCFDRPAGLRGLRIDSRDADSRSSHKLDPTVHVPTMRVVGFESGSVGGSAIIETDNCHTPFDQHELQARKRLLSPLKNVLNRQFHGDILNISAGDARFELSDSARKLNISCFQDSKKANTGMLDSFEPQAWTNSRCSNGSPEWDANKINSNLFTDGPLLGSKESLAYLDHLAASAKLAHSPLSLSPLSPKFMNRTKATGAQCRTMRDIEHHFLDSREKGGPDGIRMLSVLEETNILHDEYNVMTPKRSSCRRYQIWGPESTPTSPRVGYGRSLSLPVRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNITGGNFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRSSATNCRSPKLERSLSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCSYDLTDMPSGTKTFMRQKVTLSPTLSSSNPMKEGSNAGDINIGPKAESVSCGEVTERESSECSSDGSEEKDANAKRCSLDSNMMESNKHNSPVNKKNNTDSDDCCCQMDNLGGKNSCCASSRINDSSGGGVIRYALHLRFLCPSSKKSSKSMLRCKSDPSSVPYDSNAAKEDDRRFYLYNDLRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >ONIVA08G24860.3 pep chromosome:AWHD00000000:8:25209886:25210467:1 gene:ONIVA08G24860 transcript:ONIVA08G24860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVELESQEAVTVASTADIAVDVSLRLLAAATSLAAAVVVAANHQQRWGVRVDFTLFQVWIGFVAVNLVCTVYAVATAAAARKAMGRWWLHHADAVVVNLEAAATAGAGAIGSIAMWGNEASGWYAVCRLYRRYCNAGAAALALSLAAVLLLGVACARSRYPKMPPTT >ONIVA08G24850.1 pep chromosome:AWHD00000000:8:25195961:25202244:1 gene:ONIVA08G24850 transcript:ONIVA08G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0IF35] MLGVARRRLGSGCALGQLMQALRPAAAAAAARTYSAAAKEMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEQEGKDVTITAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRATINASVRKTNRLVTLEEGFPQHGVGAEICMSVVEDSFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPMAATA >ONIVA08G24840.1 pep chromosome:AWHD00000000:8:25184249:25188763:1 gene:ONIVA08G24840 transcript:ONIVA08G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAAAPVVVRHGGVVLPPGFRFHPTDEELVVQYLRRKAFGLPLPAAVIPDLHNLFKLDPWDIPGASSDGDKYFFAVRPPAARGRRQQVTASGGCWKPAGGRDKPVVVARCGGSHLVGVKKGMVFVPRQGRKAPAAAAAAAGGGCWVMHEYSLALPMHKKGCLAEAEEWVVCRIFQRSSSGSRSPRRPDNDVRRTMPAVAELGRSPSPSSSSSQSSCVTSSSDQEEVSSG >ONIVA08G24830.1 pep chromosome:AWHD00000000:8:25169493:25173657:1 gene:ONIVA08G24830 transcript:ONIVA08G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAATARAAALCRIAACASASSAAAAATGGRRGAEKLPFSLAERGMVVGGHRGMGMNAVGAPPGARIEAVRERENTLLSFGRAAAHAAVAFVEFDVQVTKDGCPIIFHDDFILTQETDAVYAKRVTDLLLEEFLSYGPQKNSHEISKPLLRRTSDGRVVNWSAKDDDSLCTLQEVFERVSPRLGFNIELKFDDDIFYERSQLDRALQAVLQVVSQYASNRPVFFSTFHPDAARIMRELQSLYPVLFLTEGGTAQHKDSRRNSLDEAIRVCLEYELHGLVSEVRGVLKNPSAVLRAKESNLALLTYGQLNNVWEAVYIQYLMGVNGVIVDLVEEISNAVADFSKPVLNQSMLGSGVDLVGAKHQAFSQQQLGFLLRLIPELIQQPH >ONIVA08G24820.1 pep chromosome:AWHD00000000:8:25168035:25169110:-1 gene:ONIVA08G24820 transcript:ONIVA08G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocase inner membrane subunit 8 [Source:Projected from Arabidopsis thaliana (AT5G50810) TAIR;Acc:AT5G50810] MENSAEMQRFIEFTADSYLNITLQQEQQKAMVSEMVGKLTSVCWDKCITSTPGSKFSSGETTCLTNCAQRFLDMSVIIAKRFEMQ >ONIVA08G24810.1 pep chromosome:AWHD00000000:8:25163225:25166231:-1 gene:ONIVA08G24810 transcript:ONIVA08G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IF31] MYVPQPSRSDAAGRDAGDQPRVYQVWRGSNEFFLRGRFIFGPDVRSIFLTMFLIVAPVVAFCVFVARHLIDDFPGDWGISVMVVVVVFTVYDLTLLLLTSGRDPGIIPRNTHPPEPEGFDGSNDSGVQTPQQLRLPRTKDVIVNGISVRIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFAFCWVYIIKIRNAESLSVWKAMLKTPASIVLIIYCFLCVWFVGGLSVFHCYLMSTNQTTYENFRYRYDRRANPYNRGVLNNFLEIFCSRIPPSKNNFRARVTVEQGLQQTRVASRGFMSPNMGKPVGDLEMGRKPVPWDEPRTAADIRDLEAGLGGFLDEKEGRLTHASPDLSRDDLPADLMEGRAGTHSRRSSWVNRTGTSESVDSMNAQIIAAWEAIQSDPVH >ONIVA08G24810.2 pep chromosome:AWHD00000000:8:25163227:25166231:-1 gene:ONIVA08G24810 transcript:ONIVA08G24810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IF31] MYVPQPSRSDAAGRDAGDQPRVYQVWRGSNEFFLRGRFIFGPDVRSIFLTMFLIVAPVVAFCVFVARHLIDDFPGDWGISVMVVVVVFTVYDLTLLLLTSGRDPGIIPRNTHPPEPEGFDGSNDSGVQTPQQLRLPRTKDVIVNGISVRIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFAFCWVYIIKIRNAESLSVWKAMLKTPASIVLIIYCFLCVWFVGGLSVFHCYLMSTNQTTYENFRYRYDRRANPYNRGVLNNFLEIFCSRIPPSKNNFRARVTVEQGLQQTRVASRGFMSPNMGKPVGDLEMGRKPVPWDEPRTAADIRDLEAGLGGFLDEKEGRLTHASPDLSRDDLPADLMEGRAGTHSRRSSWVNRTGTSESVDSMNAQIIAAWEAIQSDPVH >ONIVA08G24800.1 pep chromosome:AWHD00000000:8:25142437:25144690:-1 gene:ONIVA08G24800 transcript:ONIVA08G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0IF29] MAGGFLSMANPAVTLSGVAGNIISFLVFLAPVATFLQVYKKKSTGGYSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVLYLVYAPRRARLRTLAFFLLLDVAAFALIVVTTLYLVPKPHQVKFLGSVCLAFSMAVFVAPLSIIFKVIKTKSVEFMPIGLSVCLTLSAVAWFCYGLFTKDPYVMYPNVGGFFFSCVQMGLYFWYRKPRNTAVLPTTSDSMSPISAAAAATQRVIELPAGTHAFTILSVSPIPILGVHKVEVVAAEQAADGVAAAAAADKELLQNKPEVIEITAAV >ONIVA08G24790.1 pep chromosome:AWHD00000000:8:25133044:25135937:-1 gene:ONIVA08G24790 transcript:ONIVA08G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAKGGSDSSAGSSGSTPSSPATGRGCSDSSDANQPSPVKERGVVLSELLGHIHGYYKAALGRLPVEETPALIPPLLDAGVCFGLMDPISNIIVNTVSEPLLPDCTASEEEEEDGKSRKRKRGRDEAILSEIAADASCIRCLPPRMGRCETSPERRRNVPVAQRSLEGLVTFLICYFRHLPVSEALHYLLLTKADLLAAVHLIEYTRGIGGRLFPISSPTTEVALRCAAISASHPDPPAFAARSLSLASPSSQILTDGCYLSLDAIHRLHEFLQEPLKEPPDFPKPVRQAALRLNHYIKGISSLNKFPHEFTDTLRTLLLEKIHVLYLKAIARLPRDDLRRRYHHSLLKAGHCFGPANDPVSNIILNTIWYDTTFPPHEELKLDMICTNSLVRIECRSLNGLLAFLHNLFPALSEHDAMMYLFHSNANLEQVTFRAMCDHDISCSHEDAYKAAADAAWHPHPDAQAEFAVSTHPMLLSIDKPSLAVSRTLTSSEVELISRYFSQKSYPAKSVPSVPELVPRADELVKRSQQNFMANQYFIRRKVKAALKRYAKEKGTEYELHVICGTNFDVPENGRYGYFRNRKGFPYAHVNFLARPKGSQRDNTAPSLFFLECSNGEEDIGTLFSCCAELESPTDSGRCFHCECKGTKIVHPAIGTYRGRETDFEEMSCGKQEIDSKGLIISEKMAIEFVGLCEDDSIYFDPSKDYDFALGLNNLVREDDEALEELHRDIAECERMKVCDMSCEPDLLAEITEDVLQDCQGHLVPQC >ONIVA08G24780.1 pep chromosome:AWHD00000000:8:25129478:25132107:1 gene:ONIVA08G24780 transcript:ONIVA08G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKGKRKMEEKGVPLQGDLDPDNDPMKYALSAPRVQIIPGLWRRIVRRRRSKNDAKPWACPCGYLKKQLPRSLDMNTHDDLGIKDLWKIISILEKDGVETVDGSHKARISGITHVPLDFPVICKEIAEGHPLLAIIRTGKGFDDLQYNQIYKPPKVSRIVDGKSAGLHCITLVGGAMRKGRKMHYKFVNTHGEEFCKLRSLNRDDGIKGG >ONIVA08G24780.2 pep chromosome:AWHD00000000:8:25129478:25131227:1 gene:ONIVA08G24780 transcript:ONIVA08G24780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKGKRKMEEKGVPLQGDLDPDNDPMKYALSAPRVQIIPGLWRRIVRRRRSKNDAKPWACPCGYLKKQLPRSLDMNTHDDLGIKDLWKIISILEKDGVETVDGSHKARISGITHVPLDFPVICKEIAEGHPLLAIIRTGKGFDDLQYNQIYKPPKVSRIVDGKSAGLHCITLVGGAMRKGRKMHYKFVNTHGEEFCKLRSLNRDDGIKGG >ONIVA08G24770.1 pep chromosome:AWHD00000000:8:25063370:25069461:-1 gene:ONIVA08G24770 transcript:ONIVA08G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAVLFLLHHHLAFFGLRISPSVSVPSPRRRSAGEVALLAVVVVAALLTATTHAASATIESRPADALRSEVDELRLRVLHLESLLEENTKTLKSKANNLEENSNLIGTMEHDIEILMNKYESTKKSQSKSYPESNVKALEDEVQLLWRVVRKMNENADSIESLANGAEKRVESLSSEVKKMEGVIAEQWIQIRQLEQAFVLTKMMTSKVHQRSRLSETAYKWPGKDLFLKYFRNLHGTFLMGVSYTKSCFSHTYKHGRSFIQAMNRPYHEVSRFCKAICGQHIRDVDKPNVFFLGGSISRSCISAPYKQLKIFMLLAQNFHHKVQIFLQDAMRSNSYSRGFATEIITFCLVSTFQQLPLSRWCYSCWNTF >ONIVA08G24760.1 pep chromosome:AWHD00000000:8:25053419:25057021:-1 gene:ONIVA08G24760 transcript:ONIVA08G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVVIRPLVSLLKEKVSSYLVEQYKVMKGMEEQRDSLARKLPAILDVIEDAQKGASRPGVFKYEALRRDAKKKGHYNKLGMDVISLFPSRNPIVFRYRMSKKLSKVVRTMDVLVRQMNDFGFTQRQQVTPSMQWRQTDSIMIDSDKDIASRSRNEEKEKIIKILVEQEGNGGLMVLPIVGMGGLGKTTFVQLIYNEPQVKEHFSLQRWCCVSDDFDIGNIARNICHSQEKNHEKALQDLQKELSGQRYLIVLDDVWNRDADKWGKLLTCLKQGGRGSTILTTTRDAEVARVMTMGVPGAYNLEKLGNKYMKEIIQSRAFRVQKPNSDELDVIVDKIVDRCVGSPLAAKAFGSMLSTKTSMQEWKDILVKSNICNEKTEILPILKLSYDDLPPHMKQSFAFCALFPKDYPINVERLIQHWMAHDFIPAREEDNPDMVGKEIFNDLAWRSFFQDVEQAPPPTGYYIPTLMSLHHGYQSTILYGQCNCRLRKLPIRPRHLQYIRYLNFSNNWWIKKLPEEISLLYNLLTMDVSDCDSLCRLPNAMKYMKNLRHIYTGGCESLECMPPDLGQLTSLQTLTFFVVGSSSSCSNVSELENINLVGELELTGLENVTEAQAKAASLGSKEKLTHLSLEWNSGGPEELVQDCHAKVLDALKPHGGLEMLRIVNYKGRGAPTWMKELSLFQQHLTELHLVGCTLCTDFPEFSHLRALQILHLIKVDKLQSMCSKMAYVEFPALKKLQLHDLESFESWVATPGKEELSFPVLEEIDIRNCPKLTSLPGPPKGVLTSSAYGGGGQVIQSPINLQVEHGNDLTPTSVSEKPGNNYLPCLDTLFIMGSDKLAMLRNLPPSLKNLSIYHCPELRSISGNLDQLVDVSIGGCNKLDSPDWGNLPALEDFGLLNCKRLTSLPGNLGNYSALRRVLVKYCPAINMKPLYKHLP >ONIVA08G24750.1 pep chromosome:AWHD00000000:8:25040374:25043579:-1 gene:ONIVA08G24750 transcript:ONIVA08G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHWISRLMAAKRQYALQRAQNHHHATATATATAASHSHLDRYGYDDVEPEDEVRPDFPCPYCYEDHDITSLCAHLEDEHPFESKVVRHHRLRRVAVPSNHALSLGGRDLQETYLKVLLGNSSRSSGTNAASSVTDSLLSSLVLNLSSSEAEDTAKFSALAVVENNWFKRTLPSKTWKASSDSNLSQEERERRRRRAAVRSSFVQHLLVSTLFDD >ONIVA08G24740.1 pep chromosome:AWHD00000000:8:25034294:25035919:1 gene:ONIVA08G24740 transcript:ONIVA08G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G43820) TAIR;Acc:AT5G43820] MARRLLLLHHLRRRYSVSVSSAEDMVVSSLRILSSASPSEPTTLPPPTIHPDPDATATSPTTTAAAAALLSPADRLRGVFLLKPPGRAALHRALSSTGIDAAAALSPEVLSGVVSHGNFSGAATVDFFDWAIANSKLPPSVDTCNIVIRALGRRKFFAFFEPALEIMRKNGVSPDISTLEIIIDSLIAARHVNTAIQLINTDHFGLGVWQTCQRKEIFTVLINCLCRRSHVGLASSLLQASRGETIDLDNHMYNEVIGGWARFGRVDKVEHFWETMLEDGLVPDQVSYCHLIEALGRANRAEEALQVFEKMVHEGYCPTTMAYNALIFNFISVGNFDRCIKYYKDMLDNNCPPNIDTYRKMIRAFLRERKVADALQMFDEMLSRGILPSTGMITLFIEPLCTFGPPHAALLIYKRSRKAGCRISMKAYKLLLERLAMFGKSGTVLQIWEEMQESGHPSDKEIYEFIVNGLCNVGKVDAAVSVVEESIRKGFCLGRVVYGKLNNKLLEMNKVETAYNLFKKVRDARVIANSRNYWRANGWHF >ONIVA08G24730.1 pep chromosome:AWHD00000000:8:25032987:25033733:-1 gene:ONIVA08G24730 transcript:ONIVA08G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLSLSSPFLSLSLTFLLSEGRPAGGDWGGRRGEAGEEVPQSLTGHAAAATRDKGRAAAAVPTGPHRARTRRAAPTLVELGPASPCRRRRSEPRAPAHGEEELALPRVPHAQRLERRGRAPAAAAVDRDERLDAGNGLGREPERPVQERLGAVLRRRAAVIAAVDLRELVVRESDLRRAAHPSHELTNCMAPTPSNMQWLMARPSTNPPHLNLVK >ONIVA08G24720.1 pep chromosome:AWHD00000000:8:25015500:25022840:-1 gene:ONIVA08G24720 transcript:ONIVA08G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSSRSSSLFHLHLFFPHHRRRRRRHRSGAGAIDDDMGCAQGKPSQGSPARSDGRGIDHLMRKNRYVPVASSRLSDPLPAAAPAAARLLLRPQGQQQDAARGSGRRAATAAVERELQTDQRDGGNDRQTDHHQAMDESSAAAVAEAEATAAAAAVDEEETPAPAPQPAPRREDELVDGWPTWLLDNVPREALQGIVPKSADAYDKIAKARTCTAMPPWPASLATLITAKTESLMAAAAMDGCWCSNVYKARERGTGRVVALKKVRFDTSESESVRFMAREMMILRRLDHPNVIRLDGIATSRMHRSIYLVFDFMYSDLTRIICRPDHRLTEPQVGKMLATWIEGGVESGADEVKRKLSTKAINGRVEKGAVPDHEVESKPRAVAVGRSGSWFARPRGTRRIKCYMQQLLSGLQHCHERGILHRDIKGSNLLIDRHGVLKIGDFGLANYYGRRRPLTSRVVTLWYRAPELLLGATDYGVGIDLWSAGCLLAEMFSGRPLMPGRTEIEQLSRIFTLCGSPPDDYWRKMRLPPTFRPPRTYKPSMVDKIAFLPPPALALLATLLALDPAARGTAAQALQSSFFSTPPLPCHLSELPVVYKEEDEVAASHDGRKPKLRERSHKRRDNKPKAEEQHKDKEQNLNSSPSNKEEKIMEDTKKSAQDSKRFSDGQVQEVFPKGSPAPQDQQVPRTNTYSPDNDHHKNHKVVIFNHNLWTLGIVLVYVAKGPLAFWIPGCSMTGLQGGCLHV >ONIVA08G24710.1 pep chromosome:AWHD00000000:8:25013685:25014070:-1 gene:ONIVA08G24710 transcript:ONIVA08G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQLLLLTLALVLLSSIIMIGDKCIPNVCLHKCLALGAARGNCIEGPACNCDFCGPNAPPPSIVQ >ONIVA08G24700.1 pep chromosome:AWHD00000000:8:25009815:25010603:-1 gene:ONIVA08G24700 transcript:ONIVA08G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARRRQEMVVMVGCILPMALGGGSVADPPFVEGNLAAHRVALKSGRKKGTGEQHGGEDLPPMYLDIDDLIITRTSDGEDSDELCSIKSDSPRDATPPRKIPPAG >ONIVA08G24690.1 pep chromosome:AWHD00000000:8:25007527:25009117:1 gene:ONIVA08G24690 transcript:ONIVA08G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCILNHLLPLIILFLRHCYLRIVLHQLCSSYVEKIYLALTTFLYGSDFEIDYGSEERASIVYKTLAVDKELQPDKVKREMSVSGGKLVVHFEAVEARFLRASFSAFVDLTVLVTKLVEEYGISKEGEGSI >ONIVA08G24680.1 pep chromosome:AWHD00000000:8:24964209:24977207:1 gene:ONIVA08G24680 transcript:ONIVA08G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLPYPVIPPLVDWIPLRWHPLVQTTAAVRRPLPLRRREQDQAVSAAGLVGICPHPWTRLAPLLIAPPRVDALRAASSHKVEVNLLRLLEAAPRQQNQAKLVHYVTTARELLEQLGAETTPEGISSVSKAKISEYSEKIEALAARLAAPEPENEVPVDENREVESSYEGDKPGSPISLSSGLRRRPIAHTDVGPSSHERKDRDIGAPIKLDAEAQAHIEKHRKLQEDLTDEMVELARQLKESSLTMHQSVQETEKILDSTERAVEHSLASTGRAATRAAEVYSLASKTTCFQWLLIFMMTCMFIMVVLLIRETTTGVNMSDSALKDLNLAQSAELEKTKDSSAKSCITKPVLNGNKCNNTEENAPPVLPDAVTNGCEAGNADVEYIDSESLTDLEDAGATLSTLVARLDSKDWVMTCEALNNVRQLAIFHKDRLQELLEPLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDLMVFVILIMVLSKVEVNLIRLLEAAPRQQNQTKLVHYVTTARKLLEQIGSETTPEGISSVSKAKTSEYSEKIEALAARLAAPEPENEMPVDENREVESSYEGDKPGSPISLSSGLRRRPIAHNVVGPSSHERKDRDIGAPIKLDEEAQAHIEKHRKLQEDLTDEMVELARQLKESSLTMHQSVQETEKILDSTERAVEHSLASTGRATTRAAEVYSLASKTTCFQWLLIFMMTCMFIMVVLLIRVT >ONIVA08G24680.2 pep chromosome:AWHD00000000:8:24964209:24977207:1 gene:ONIVA08G24680 transcript:ONIVA08G24680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLPYPVIPPLVDWIPLRWHPLVQTTAAVRRPLPLRRREQDQAVSAAGLVGICPHPWTRLAPLLIAPPRVDALRAASSHKVEVNLLRLLEAAPRQQNQAKLVHYVTTARELLEQLGAETTPEGISSVSKAKISEYSEKIEALAARLAAPEPENEVPVDENREVESSYEGDKPGSPISLSSGLRRRPIAHTDVGPSSHERKDRDIGAPIKLDAEAQAHIEKHRKLQEDLTDEMVELARQLKESSLTMHQSVQETEKILDSTERAVEHSLASTGRATTRAAEVYSLASKTTCFQWLLIFMMTCMFIMVVLLIRVT >ONIVA08G24670.1 pep chromosome:AWHD00000000:8:24958758:24963693:1 gene:ONIVA08G24670 transcript:ONIVA08G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGELVVVEEDDEYAKLVRRMNPPSVVIDNDSCDSATVIRVDRVKKHGILLEAVQVLVDLNLVITKAYISSDGNWFMDVFNVTDQDGNKVQNKEVTDCIKKCLESEDYLVPPASSPAGGAAPSEETTCIELTGTDRPGLLSEVCAVLASLRCNIVNAEVWTHDRRAAAVIQITDEATGLPVRDGGRLSQLQELLGNVMQGDGDGGGGGGDSRKGSTAVSLGAANAERRLHRLMLDDGDAGRCGEERGGVAAAKAKAKVVVMDCTERRYTVVILRCRDRPRLLFDTLCALTDLHYVVFHGTVDAEGGSAKEAYQEYYVRHVDGHPVRCDAERLRLVRCLEAAVERRASDGLELEVKTEDRAGLLSEITRVFRENSLSIIRAVITTKDGEADDTFYVSDAYGNPVDGKAMEALGEQLGHAVLRVKSNGRAAINRAEDSGGGGGAASIIGNLLKGSFQGFRLIRSYS >ONIVA08G24660.1 pep chromosome:AWHD00000000:8:24935915:24938713:1 gene:ONIVA08G24660 transcript:ONIVA08G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACGSPSTEVVDEFEKLVIRMNPPRVTVDNTSDMTATLVKASNTSLFLVLDNSCRRGCHSFLQVDSANKYGTLLEVVQVLTELKLTIKRAYISSDGEWFMDVFHVVDQDGNKLYDGQVIDRIELSLGAGSLSFRAPPERSVEVEAEAAAAQTAIELIGKDRPGLLSEVFAVLTDLKCNIVSSEVWTHDARMAALVHVTDADTLGAIDDQDRLDTVKRLLRHLLRGGGAGARDRKATARAAIPAPRRDGAAAHAPRRLHQMMHDDRAAAAAQPSSSSGDGGGRGRPVVEVVDCAERGYTLVNVRCRDRPKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQEYYIRHLDDSPVTSGDERDRLGRCLEAAIQRRNTEGLRLELCCEDRVGLLSDVTRIFREHGLSVTHAEVATRGARAANVFYVVAASGEPVEAHAVEAVRAEIGEQVLFVREDAGGGEPRSPPGRDRRSLGNMIRSRSEKFLYNLGLIRSCS >ONIVA08G24650.1 pep chromosome:AWHD00000000:8:24925797:24926093:-1 gene:ONIVA08G24650 transcript:ONIVA08G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALWSPHGGDGKAAAREAIGRQELCVQRRWEGRGEGGGRLAGAERAAEAGGNDGVAEERHAERRDDRGDGERREKWVGPCVGPMIGKGILVAIFGQ >ONIVA08G24640.1 pep chromosome:AWHD00000000:8:24922457:24924850:-1 gene:ONIVA08G24640 transcript:ONIVA08G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSWRTVCLLVLCTVALLRPAAAIRFVIDREECFSHNVEYEGDTVHVSFVVIKADTPWHYSEDGVDLVVKDPNGAQVRDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETVDFDVHVGHFSYFDQHAKDEHFAPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALLESAALIAASVIQVYLLRRLFERKLGTSRV >ONIVA08G24630.1 pep chromosome:AWHD00000000:8:24917807:24920230:-1 gene:ONIVA08G24630 transcript:ONIVA08G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRGLALAAVVAWCVAAAAAAAPDAALQSKCQQDFTKLTDCMDYATGHEEAPSSTCCGDMSATQQARPECLCYIIQQVHGGRNEVQSLGLRFDRLLAMPTACKLPNANVSLCITAATTPSSTTPGAAAATAGGFKVQAGLSYGVVAAAMVSAVFSSIF >ONIVA08G24610.1 pep chromosome:AWHD00000000:8:24906051:24907772:1 gene:ONIVA08G24610 transcript:ONIVA08G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTCWSCGEGAVVADPDTGVLVCTSCGRIHDSGSSEFVHQSICTDNGVYDLRASSFVYHQSQSQYRDQKLSNGVVTIASIAARLGLSPTAADEALAMAKSATGGNLATQGSAFLPALASACAFLVARSHRLPLSLAEAAETAFCSVASLADLVSRIASHLSLPPLPSFDYAAALERAVRSSPSLSSAASERTEVILSQSRFLLRCASKWSLTTGRFPLPLIAALVAFAAEANGVTSITVEDIARDISARLHTSLRRYKELVDALVRVARELLPWGADVNAKNLLLNAPVLLRLMEMRSQSDPSEQFLESFAPDIAGIVQACSAVDDDESKYLQVAPQLASDDLDSNNSGQEGKELENLKISEECLSSAYQNVLKRLAQLQRLGQVGKGANRKRQRIGGLELEPCIDSLDDGWTKDMVLEDVVNIDVGFDVPPPSFAAGMKLQKKRRARIEAAKCRIDAIRKGPVESENKLQAALRNEDACSPQKPARKKRGKKRIAGSDRAMNGELPIEMPDGPGGEKKRRKGAPSDGIDWEDCIIELLLLHGANEEEIEQGQYRRLLDLHVFCAVSASGHK >ONIVA08G24600.1 pep chromosome:AWHD00000000:8:24900223:24905843:1 gene:ONIVA08G24600 transcript:ONIVA08G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGGRVRGFVRNRWLVFVAAMWMQSFAGVGYLFGSISPVIKASLGYNQREVAGLGVAKDLGDSVGFLAGTLSAVLPLWAAVLVGAAQNLFGYGWVWLAVTHRAPVPPLWAMCILIFIGTNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQMYAMIHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGTSFTFVYSVCLLLAAYLMGVMILGDLVDLSHTVMVLLTIILIVLLIVPIVIPVILSFFSDNDESAYASLLQSPGKEEASASTPSEEQTEVIFSEVEDEKPKEVDLLPASERQKRIAELQTKLFQAAAVGAVRVKRRKGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQDYAYPRAMALATAQVFMAFGHFSFAMAWPGAMYIGTLLVGLGYGAHWAIVPAAASELFGLKNFGALYNFLTVANPAGSLVFSGIIASGIYDSEAEKQAHQHHNSTLLAMPGRLLAMASDATQPLKCEGAICFFLSSLIMSGFCIVAAVLSLILIYRTKIVYANLYGNPRT >ONIVA08G24590.1 pep chromosome:AWHD00000000:8:24898182:24899954:-1 gene:ONIVA08G24590 transcript:ONIVA08G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAVAKAFVEHYYRTFDTNRPALVSLYQDGSMLTFEGQQFLGAAAIAGKLGSLPFAQCHHDINTVDCQPSGPQGGMLVFVSGSLRTGPDEHPLKFSQMFQLLPAGGNFYVQNDMFRLNYG >ONIVA08G24580.1 pep chromosome:AWHD00000000:8:24894348:24896724:-1 gene:ONIVA08G24580 transcript:ONIVA08G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAASAAAAAVASGISARPVAPRPSPSRARAPRSVVRAAISVEKGEKAYTVEKSEEIFNAAKELMPGGVNSPVRAFKSVGGQPIVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDTVSAALIETLKKGTSFGAPCVLENVLAEMVISAVPSIEMVRFVNSGTEACMGALRLVRAFTGREKILKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATSETLTAPYNDVEAVKKLFEENKGQIAAVFLEPVVGNAGFIPPQPGFLNALRDLTKQDGALLVFDEVMTGFRLAYGGAQEYFGITPDVSTLGKIIGGGLPVGAYGGRKDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLMEPGTYDYLDKITGDLVRGVLDAGAKTGHEMCGGHIRGMFGFFFTAGPVHNFGDAKKSDTAKFGRFYRGMLEEGVYLAPSQFEAGFTSLAHTSQDIEKTVEAAAKVLRRI >ONIVA08G24570.1 pep chromosome:AWHD00000000:8:24881490:24893020:1 gene:ONIVA08G24570 transcript:ONIVA08G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRRRKRGKVELRRIEDRTSRQVRFSKRRSGLFKKAYELSVLCDAQVALLVFSPAGRLYEFASSTSSIDTIFGRYWDLLDTTIDLNIEARESRLRQKERSDDPVPKINHITQCVLESNVNELNIAELRGLEEAMTNALTVVKNKLMMKVASVLPQSEKKRKSCSISEPRSGVSS >ONIVA08G24560.1 pep chromosome:AWHD00000000:8:24869840:24875003:1 gene:ONIVA08G24560 transcript:ONIVA08G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKKKKKPQSLLVLTNWRSIGMGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQSMTKTLEKYQKCSYAGPETAVQNRESEQLKASRNEYLKLKARVENLQRTQRQLIAWINLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRTKHLVDQLTELQRKEQMVSEANRCLRRKLEESNHVRGQQVWEQGCNLIGYERQPEVQQPLHGGNGFFHPLDAAGEPTLQIGYPAEHHEAMNSACMNTYMPPWLP >ONIVA08G24560.2 pep chromosome:AWHD00000000:8:24869840:24875003:1 gene:ONIVA08G24560 transcript:ONIVA08G24560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKKKKKPQSLLVLTNWRSIGMGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQSMTKTLEKYQKCSYAGPETAVQNRESEQLKASRNEYLKLKARVENLQRTQRQTKHLVDQLTELQRKEQMVSEANRCLRRKLEESNHVRGQQVWEQGCNLIGYERQPEVQQPLHGGNGFFHPLDAAGEPTLQIGYPAEHHEAMNSACMNTYMPPWLP >ONIVA08G24560.3 pep chromosome:AWHD00000000:8:24870400:24875003:1 gene:ONIVA08G24560 transcript:ONIVA08G24560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQRNAVTQDPKQLSKIEKVRNLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRTKHLVDQLTELQRKEQMVSEANRCLRRKLEESNHVRGQQVWEQGCNLIGYERQPEVQQPLHGGNGFFHPLDAAGEPTLQIGYPAEHHEAMNSACMNTYMPPWLP >ONIVA08G24550.1 pep chromosome:AWHD00000000:8:24863864:24869331:1 gene:ONIVA08G24550 transcript:ONIVA08G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDLKMPPAASWELADELENSGGGGVPAAVSSSSAAVGGGVNAGGGGRQECSVDLKLGGLGEFGGGGAQPRVAVAGELAKGKGPAAAATGAAAAASSAPAKRPRGAAAGQQQCPSCAVDGCKEDLSKCRDYHRRHKVCEAHSKTPLVVVSGREMRFCQQFHLLQEFDEAKRSCRKRLDGHNRRRRKPQPDPMNSASYLASQQGARFSPFATPRPEASWTGMIKTEESPYYTHHQIPLGISSRQQHFVGSTSDGGRRFPFLQEGEISFGTGAGAGGVPMDQAAAAAAASVCQPLLKTVAPPPPPHGGGGSGGGKMFSDGGLTQVLDSDCALSLLSAPANSTAIDVGGGRVVVQPTEHIPIAQPLISGLQFGGGGGSSAWFAARPHHQAATGATATAVVVSTAGFSCPVVESEQLNTVLSSNDNEMNYNGMFHVGGEGSSDGTSSSLPFSWQ >ONIVA08G24540.1 pep chromosome:AWHD00000000:8:24833466:24836990:1 gene:ONIVA08G24540 transcript:ONIVA08G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain [Source:Projected from Arabidopsis thaliana (AT3G01920) TAIR;Acc:AT3G01920] MATATATATAAAAAASSSRLPFRRAASSSSSSSQLRLPAVPPPHRASFAVVALHKRNPKRLKYASQRQFTRGDAGMLRVQVEPSGEDAWKLEPVVELINRGAVGIIPTDTVYSIVCDLSNNDSVERLRRIKGIGDSKARYAKTILCRSLRDIDTYTTGFPRGTNQGQANIFRAVKRVIPGPYTFILPATKELPKQCIRHGSSTRYAKRRQVGVRLPDDPICEAILQNLDEPLICTSVKSLSEDEWILDPVIIADIYEQQGLDFIVDGGTRIADPSTVVDMTGSYPTIIRQGKGPKLDWMVAEDEEQESRPTFAYKAA >ONIVA08G24530.1 pep chromosome:AWHD00000000:8:24821277:24830652:-1 gene:ONIVA08G24530 transcript:ONIVA08G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPQAILPLRALPPDAPLPPPLPAPFHSPSTPAPHPWEIAARAWLESFPDGRPPTEAEVDAYIDVHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYKWLESLELESLVTSKEISEWLTSNPQIMDRLVEKHSKYHLIHYTQRMHLKLLKKRGKIPKSLQLSAARATVPASASPVIPQESTPTVRKSLPPVTGVSASRFVGGDAPIRDKKMSLSKKKEAFLKYELLTDLQNQLTSVLLKNRRTVAIKETDFQKPETNVSMQEGAATASTSTPTEVTKIYCNEQPNQGAADNEFGQKRKRNPIIVTPAWCYSEATTGTLQHEQNSSSHSNGARSYDIWKGHGVKLVPTGLKLVQMEVTLEGIVKDGAPFLKDGIHLRSSLKQGVRKVLDVKFHPEGLPQLVSCSNEAPNELLLFNLLSGRATQLRGHNTKIQATAFAVKGASIVSCGSNLLKVWDCITGSCLYTLGGDDHNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSIDTMEFCSENLLACGSDCEYGGSAVVQLWDIESPESYLSFSASDSYITSLKTNPAGNTIITGSGDGTIGLFDIRACSAINHLSVGPGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPLNQSRDVSRSKDMRFFRPLHCLSHGKQMPTAEYTSQLPGHVDEGDQGVNAMQWLHKEPVLVTVSGDGRLLLLLMTNTFPQGEVTKKLFYIIVKVGVPTLIGVSHILSQEMINVLP >ONIVA08G24520.1 pep chromosome:AWHD00000000:8:24815635:24821881:1 gene:ONIVA08G24520 transcript:ONIVA08G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-8 [Source:Projected from Arabidopsis thaliana (AT1G27920) TAIR;Acc:AT1G27920] MGSLKMTGKAPCAALPESSCAYLLQELKMIWDEVGQEENERERILQEIEQECQEVYRRKPEKMTGTLKEQLNSITPALQEMQMRKEARLKQFIEVQTEIQRIASEIAGRPENEAITVNQEDLSLKKLEEHQSELQRLKREKSDRLCKVEEYKVLIHNYAKIMGMDPSKILSNVHTSLLDGANDQQTKNISDDILNKLNTMVQQLKEEKNQRMDKLHSLGKALTKLWNILDTNMEERRPYGEIKIYSMTSGSSMLGPGSLTLETIQKIESEVQRLDHLKASKMKELFMIKQTEIKEICKKSHMDMPYQTEMNKIMDVIMSGDVDHDDLLKTMDEYIYKVKEEATSRKEIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVELLMAKTEIWEQEREKVFYYDELPLLAMLKDYMLTLKEKEEEKYRQWENKKVQTQLARRHENSFILRPNTSCSRPSSRGFNTSPGSSTIWSSRLPTMVQQPSADNSSAEKDVHIRKVRNRSMQRTLGNNRSISISYEDKTPSLSAIKQGVSPI >ONIVA08G24510.1 pep chromosome:AWHD00000000:8:24804265:24813779:1 gene:ONIVA08G24510 transcript:ONIVA08G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEZ5] MGSRKRGGGAVAMAVAMLLAAAASASQPSSLEGFQPLSKIAVHKATVDLHGSAFVSATPALLGDQLAMVSRKRGGGGGVAMAVAMLLAAASASRPSSSLEGFQPLSKIAVHKATVDLHGSAFVSATPALLGDQGEDTEWVTVKYGWANPSADDWIAVFSPADFISGSCPNPSRYPDEPLLCTAPIKYQFANYSANYVYWGKGSIRFQLINQRYDFSFALFTGGLENPKLVAVSEAISFKNPKAPVYPRLAQGKSYDEMTVTWTSGYDISEAYPFVEWGMVVAGAAAPTRTAAGTLTFNRGSMCGEPARTVGWRDPGFIHTAFLRDLWPNKEYYYKIGHELSDGSIVWGKQYTFRAPPFPGQNSLQRIIVFGDMGKAERDGSNEFANYQPGSLNTTDRLVEDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERDWPNTGGFFDVKDSGGECGVPAETMYYYPAENRANFWYKVDYGMFRFCIADSEHDWREGTDQYKFIEQCLSTVDRKHQPWLIFAAHRVLGYSSNWWYADQGSFEEPEGRESLQRLWQRHRVDVAFFGHVHNYERTCPMYQSQCVSGERRRYSGTMNGTIFVVAGGGGSHLSDYTSAIPKWSVFRDRDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTVERDYRDVLSCVHDSCLPTTLAS >ONIVA08G24510.2 pep chromosome:AWHD00000000:8:24804382:24813776:1 gene:ONIVA08G24510 transcript:ONIVA08G24510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEZ5] MAVAMLLAAAASASQPSSLEGFQPLSKIAATVDLHGSAFVSATPALLGDQGEDTEWVTVKYGWANPSADDWIAVFSPADFISGSCPNPSRYPDEPLLCTAPIKYQFANYSANYVYWGKGSIRFQLINQRYDFSFALFTGGLENPKLVAVSEAISFKNPKAPVYPRLAQGKSYDEMTVTWTSGYDISEAYPFVEWGMVVAGAAAPTRTAAGTLTFNRGSMCGEPARTVGWRDPGFIHTAFLRDLWPNKEYYYKIGHELSDGSIVWGKQYTFRAPPFPGQNSLQRIIVFGDMGKAERDGSNEFANYQPGSLNTTDRLVEDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERDWPNTGGFFDVKDSGGECGVPAETMYYYPAENRANFWYKVDYGMFRFCIADSEHDWREGTDQYKFIEQCLSTVDRKHQPWLIFAAHRVLGYSSNWWYADQGSFEEPEGRESLQRLWQRHRVDVAFFGHVHNYERTCPMYQSQCVSGERRRYSGTMNGTIFVVAGGGGSHLSDYTSAIPKWSVFRDRDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTVERDYRDVLSCVHDSCLPTTLAS >ONIVA08G24510.3 pep chromosome:AWHD00000000:8:24804265:24813779:1 gene:ONIVA08G24510 transcript:ONIVA08G24510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEZ5] MGSRKRGGGAVAMAVAMLLAAAASASQPSSLEGFQPLSKIAVHKATVDLHGSAFVSATPALLGDQGEDTEWVTVKYGWANPSADDWIAVFSPADFISGSCPNPSRYPDEPLLCTAPIKYQFANYSANYVYWGKGSIRFQLINQRYDFSFALFTGGLENPKLVAVSEAISFKNPKAPVYPRLAQGKSYDEMTVTWTSGYDISEAYPFVEWGMVVAGAAAPTRTAAGTLTFNRGSMCGEPARTVGWRDPGFIHTAFLRDLWPNKEYYYKIGHELSDGSIVWGKQYTFRAPPFPGQNSLQRIIVFGDMGKAERDGSNEFANYQPGSLNTTDRLVEDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERDWPNTGGFFDVKDSGGECGVPAETMYYYPAENRANFWYKVDYGMFRFCIADSEHDWREGTDQYKFIEQCLSTVDRKHQPWLIFAAHRVLGYSSNWWYADQGSFEEPEGRESLQRLWQRHRVDVAFFGHVHNYERTCPMYQSQCVSGERRRYSGTMNGTIFVVAGGGGSHLSDYTSAIPKWSVFRDRDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTVERDYRDVLSCVHDSCLPTTLAS >ONIVA08G24490.1 pep chromosome:AWHD00000000:8:24787670:24789129:-1 gene:ONIVA08G24490 transcript:ONIVA08G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASAAAAALWGHKHLPLLARASSKESVEYILQALWRTRRTGLDAADRAVVRDMLHLASDADLDPLLVCLRVLIRRCVHGNIGKDEVAKLFPEEVSPELQRLLTLLLQKFQPEWQEDVAKDQASASRPETTECPSNQNQDTTEQPAAGATEIQNGGKSSVVEKELKLQLTKDTLDKMLEDMYSTKGQASNTGNTNGHEETAGCT >ONIVA08G24480.1 pep chromosome:AWHD00000000:8:24782837:24789164:1 gene:ONIVA08G24480 transcript:ONIVA08G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPASYSDEPPRHPALKINSKEPFNAEPHRSALVSSYITPVDFFYKRNHGPIPIVDDLSRYSVSITGIVNKNVQLSMADIRSLPKYDVTATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLSDVLELVGILKLSSVTSLGGKHVEFVSVDRCKEEKGGPYKASIPLKQATDPEADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLDSINIIEEECQGFFVQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYAVSGGGRGIERVDISVDGGKTWVEAHRYQKSNVPYISDGPRSDKWAWVLFEATLDVPANAEIVAKAVDSAANVQPEKVEDVWNLRGILNTSWHRIKIQNSSCVGRSKM >ONIVA08G24470.1 pep chromosome:AWHD00000000:8:24779102:24780922:1 gene:ONIVA08G24470 transcript:ONIVA08G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGARDAVVEVAKHMGKSLAVSKNAADDMMRVLSRYEGEAPMFPLSHPEVDQAEEEEVFAAAEDIIRRCNSVSSPSEMVDYLYAVDDAIAATALQGELASRAAEAVQAAMPRLEEEVRALLGSSERRLSLDSFEDLDDAGAATTPDGSPPRRDALSPEAAASASGVADRMLRAGYGPELAQVYVAVRRDALAESAAHLGVEAVAIEEVLRMEWGVLNQRIRRWSHAVRAVVKTLLAGERRLCDEVFASDEELGHECFADVARGCLLQLIGFADAVAMSTPATEKLYRMLGMYEALTAVEPDIESLFTGDARDFFSSEVAGVAAQLGSTIRHTIDQFVNVIHGESSRRPVLGGEIHPMTRYVLNYCGLLAECRATLDMVLADNNTSNHDTNDDDHDGGGGGGASSTPSGRCMREILTHLLRNLDEKSRLYDDAGLKNIFLMNNIYYIVQKMMVEFPALRELLGDDWVRRHRGQIRQYETGYLRASWMSVLASLRDDASPAAAHGHGGRAALKEKARSFNAAFEELYRSQTAWKVTDPQLREELRIAVSERLIPAYRSFVGRSRQLLESGSSSGRHSSSAAKHIKYSLEDLEDYMLDFFEGVQKFVR >ONIVA08G24460.1 pep chromosome:AWHD00000000:8:24771178:24773498:-1 gene:ONIVA08G24460 transcript:ONIVA08G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSTEPSNPTKSAKAMGRDLRVHFKVIVFARFVQCCSNTRETAFALRKLPLVKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRQSNGQGRWPAKSARFILDLLKNAESNADVKGLDVDNLFVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHVELILSEKEEAVKKEPETTIAPRRQ >ONIVA08G24460.2 pep chromosome:AWHD00000000:8:24771178:24773498:-1 gene:ONIVA08G24460 transcript:ONIVA08G24460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSTEPSNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLVKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRQSNGQGRWPAKSARFILDLLKNAESNADVKGLDVDNLFVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHVELILSEKEEAVKKEPETTIAPRRQ >ONIVA08G24450.1 pep chromosome:AWHD00000000:8:24761792:24766150:1 gene:ONIVA08G24450 transcript:ONIVA08G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVRGDMGKLIPVISFFLGAALTAAFVIATMDINWRLSALASWNNNDSPPAVTDEMKALSELTEVLRNASMDDRTVIMTSINRAYAAPGSLLDLFLESFRLGEGTEPLLKHVLIVAMDPAALARCRQVHPHCYLLQRPEGAVDYSDEKRFMSKDYLDMMWGRNLFQQTILQLGFNFLFTDIDIMWFRNPLRHIAITSDIAVANDYYNGDPESLRNRPNGGFLYVRAARRTVDFYRRWRDARRRFPPGTNEQHVLERAQAELSRRAGVRMQFLDTAHCGGFCQLSRDMARVCTLHANCCTGLANKVHDLAAVLRDWRNYTAAPPAARRRGGFGWTTPGKCIR >ONIVA08G24440.1 pep chromosome:AWHD00000000:8:24754342:24760349:1 gene:ONIVA08G24440 transcript:ONIVA08G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEY8] MDAAMESAVGPHFSGLRLDSRRLSSSSLPTSPSAASSNGCGGNDAPAAVNGFAPPPPPSAAAAAEEGSKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEESDRVQDYNFDHPDAFDTEQLLECMGQLKSGLSVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVISVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVVNTTFQIRGMHTLIRDRDIATPDFVFYSDRLIRLVVEHGLGHLPFTEKQIVTPTGSTYMGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDNGQQLIYHKFPLDIAERHVLLMDPVLGTGNSANQAIELLISSGVPEERIMFLNLISAPEGVHCVCKRFPRLKIVTSEIETGLNEEYRVIPGLGEYGDRYFGTD >ONIVA08G24430.1 pep chromosome:AWHD00000000:8:24745148:24749588:-1 gene:ONIVA08G24430 transcript:ONIVA08G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myzus persicae-induced lipase 1 [Source:Projected from Arabidopsis thaliana (AT5G14180) TAIR;Acc:AT5G14180] MSTACHGVRGFFSISAAAMTIVVTTAVVLLSSPQLAAGARVLINHAELRRRDIATAGGVGGGDGACATAVAPFGYPCEEHEVTTQDGYILGLQRIPRGRIGGVTGGGAAAARQPVLLQHGVLVDGMTWLLGSPEESLPYILADQGFDVWIANNRGTRWSSRHVSLDPKSRSYWNWSWDDIVVNDMPAIVDYVCSHTGQKPHYVGHSMGTLVALAAFSEGRMVDKLKSAALLSPVAYLSHITTPIGVVLAKAFAGELISDLLGIAEFNPASPQVSNLVRTFCRKPGMNCYDLLTSFTAADIFLKYEPQPTSTKTLIHLAQTVRDGVLTKYDYVMPDANVARYGQADPPAYDMAAIPAWFPLFLSYGGRDSLSDPADVALLLDDLRRRGHAGDRLTVQYLPQLAHADFVIGVCAKDLVYNDVISFFRRFH >ONIVA08G24430.2 pep chromosome:AWHD00000000:8:24745150:24749588:-1 gene:ONIVA08G24430 transcript:ONIVA08G24430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myzus persicae-induced lipase 1 [Source:Projected from Arabidopsis thaliana (AT5G14180) TAIR;Acc:AT5G14180] MSTACHGVRGFFSISAAAMTIVVTTAVVLLSSPQLAAGARVLINHAELRRRDIATAGGVGGGDGACATAVAPFGYPCEEHEVTTQDGYILGLQRIPRGRIGGVTGGGAAAARQPVLLQHGVLVDGMTWLLGSPEESLPYILADQGFDVWIANNRGTRWSSRHVSLDPKSRSYWNWSWDDIVVNDMPAIVDYVCSHTGQKPHYVGHSMGTLVALAAFSEGRMVDKLKSAALLSPVAYLSHITTPIGVVLAKAFAGELISDLLGIAEFNPASPQVSNLVRTFCRKPGMNCYDLLTSFTAADIFLKYEPQPTSTKTLIHLAQTVRDGVLTKYDYVMPDANVARYGQADPPAYDMAAIPAWFPLFLSYGGRDSLSDPADVALLLDDLRRRGHAGDRLTVQYLPQLAHADFVIGVCAKDLVYNDVISFFRRFH >ONIVA08G24420.1 pep chromosome:AWHD00000000:8:24738899:24744578:1 gene:ONIVA08G24420 transcript:ONIVA08G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEERHRCGCDLYAVVCTESRLTPRRAAGGQLPDDARPAAWLPHDARPGARLPLDARPLTDLPIDMRPVAGVPTDAPPVAGVPIDAPSLRFLPLRRNHRDQPPTLAPTFSSSLAGASNVSISMDYHRIHPVGVDSPPPAPDSQQQVGKGSLEVTALFNGTELCRGGFPTLYQGHRHPARRRDTAGQRRGGEAAQQVGFVPLTVWARVLIRIKFGTIKLWKMTGKATCNLVVDNLVAGRRIRIRSNNCRALIRDQSRARSAAQSAAPLSLRSRGAETHGHMVVLMPWTLPFLTSTATIHASGLRVSLAVVVVLLLLLPWRPATAVGVEEDPAGTPPAFLLWILGLDGGDGDGDLT >ONIVA08G24410.1 pep chromosome:AWHD00000000:8:24737733:24738842:-1 gene:ONIVA08G24410 transcript:ONIVA08G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCDDLLVEILSRLPFKSLARFKCVSRSWRALISGGDLRRRLPLLAAGLFVGDGGGGEPRYATACSGDGVEFCDMSFFPLAETAARVVDACEGLLLYRSLAAASAMYVACPATRRWAALPVPRREAQLPLLAFDPADGGGGSPRYHVVCFVAWQERGATVDVFSSETGAWAERDAAFGGVEASSLSPTTHYRGGVLHVLAYPDRVVLMDLAATTTTTTSVAPCRLAPRLPDDVDAGARLGHSRGRLHYAKCDGERLRVWALDVDAASPGSCQWILTNTVRVNEMTINGGLWAASEVKFLAFHPDMADVVYLSSPEGKVASCDMRRKEMVSSWKLGAEHHVVRFWLLGFSSGLMSCLGGEQACQIAGAS >ONIVA08G24400.1 pep chromosome:AWHD00000000:8:24732079:24733194:1 gene:ONIVA08G24400 transcript:ONIVA08G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKSCSIDSEIALIGDDLLSEIIVRLPFKSVARSACVSKDWRAAVSDDYLRRRLPLLMTTVYFPDDDAVAAGGGGGGGPRFACAASDGNDGHRLEDCDLGFLPERGGVVVCDGCNGLLLCRSPGTPEFFVVDPVTRRWAALPAPAKAATLSVLAFDPSTSPDYRVVNFTGWRDRGAAVEVFSSATWAWTARDTEFGGVPASSLSGSMHYHDGILYILASEPDCLVSLNLADFSSTAAVIDLPEPVDGGDAHVAHSGGRLHYIFRDGELLKVWELDDDDQWRPKHAVKVEHLAHGGDEVRFLAMHPEEEDVVYTWSPWKVVEHDLRRKTTTCHCQAWEFGEGERNRVVKAWLVPSSCYLSDCLAHCPVKC >ONIVA08G24390.1 pep chromosome:AWHD00000000:8:24725837:24728185:-1 gene:ONIVA08G24390 transcript:ONIVA08G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVFLRASAADLTAGKPPLAGVPASAPLSAAAAAIPASSEAAVAVWRVDGASHHHHHRAPTAAATVVGLLSSIDVVAFLANHPGGAAAAFMTPAGDVVPHEHALVREVQPDTRLIEIVELMKQGARRVLVGKNIKEGCAINKQPFAPFYKAVLKITGTPRRNPSPSPSPATRSPSTTLGRDRYCCLTREDIVRFLINCLGALAPIPMQSIASLGAISRAYSHVEDSSPAIGAAWELPSDPRAVAVVRTGHDGSRVILGEISGHKLWKKDYAAAAEAMATMSAMDFATGVDESGPSPTATAAAAAGNVGGGGARARMGSVEEEIAPVPRLTRFSSRKIGFSASLANMIMVSHRKNRVLTCKATSSLAAVMAQMLSHRATHLWVVEDGDADKGAVLVGMIGYMEILRAVTRGVVVPPA >ONIVA08G24380.1 pep chromosome:AWHD00000000:8:24723283:24726155:1 gene:ONIVA08G24380 transcript:ONIVA08G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEY1] MARFEAYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDSSKIAHLADKCVLASSGFQGDVKALQRNLAAKELLYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGAALMMPVLDNQLKSPSPLLLPARDAVTPLSQSDAVDLVKDVFASATERDIYTGDKLEIVVINSAGSHREFIELRKD >ONIVA08G24370.1 pep chromosome:AWHD00000000:8:24712436:24716512:-1 gene:ONIVA08G24370 transcript:ONIVA08G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYFALGLGYGSVRWWRFFTAEQCAAINTMVVYFSMPFFTFDFVVRTDPFAMNYRVIAADAVSKAIAIAAMAAWARTRCGCAAAKAGAQSWSITGFSLAALNNTLVVGVPLLDAMYGRWAQDLVVQIAVVQSMVWFPLLLMAFELRKAWVVGGGGGVGPAVMSSSSPPEKQSDVEMNGAVVAAPGGGGGVRLPFWATARTVGLKLARNPNVYASVLGVVWACIAYRWHLSLPGIVTGSLQVMSRTGTGMSMFSMGLFMGQQERVIACGAGLTALGMALRFVAGPLATLVGAAALGLRGDVLHLAIIQAALPQSIASFVFAKEYGLHADVLSTAVIFGTLISLPILIAYYAKNSLAFIRKKDDGKSSIYWYKVPIASKAEADYVDN >ONIVA08G24360.1 pep chromosome:AWHD00000000:8:24705476:24709665:1 gene:ONIVA08G24360 transcript:ONIVA08G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAMPAGSSGGDGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNHPVKLDSQDLLQIGDKKFYFLLPTRSIFASAAAARHPPIIPQQMPPPSYVRTGRPRLSEFHDRSFEGDYGREGDEIGNGISESGGMRGKLIKRNKKLSAESEMYGGHRINVEAIGTLGEGDSRSEIRSRGDRDMDNQHILQAEEKDVVSSVATVLSDLCGPGEWMPMAKLHTELLEQFGNVWHHSRVRKYLSPEDWSPTETKGRPWYGLLALLRKYPEHFVINTRSKGRVTSEFVSLVSLLS >ONIVA08G24350.1 pep chromosome:AWHD00000000:8:24700176:24703009:-1 gene:ONIVA08G24350 transcript:ONIVA08G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGDGSGWCFCSGGAKLERIKNSVLAAKGAAVAAVSFPAGGGGGGRGGSGFLIHRALLLTTHGTIPSAAAAAAAEVRLSHGRLPARLVPQRFFITSPILDLTIVGLDVVNDELNSHGQQPHFLKTCLNPSLDLGSTVLLLGHTKRKDLTIGEGKVIITTDNLIKFSTDEVAWYPGSAGFDMHGNLAFMVCDPMKLAPSTPTGYASASSAALLSAKKDVPTQFGMPIPAVCGWLKQHWNGNLEDVSKPMMPPARLISTGQRSECSSVGRLNYIKTMEREGGDGMSSSQIPPRLTQHHGSCSSASAKISCGENDSVDSHSIHEQQDLTSQMHEPKIEQSASLMDNNFPPGHPRSIRLPLPLKHMMSHENKIKPNPSFSHEAQLANVRINCGTLHNVAYQENCWSEAQSSSSPPDISELGDERGGFSSGEETMYSAETRESRNIPSPKEKNPKMVGRSQSFVNHSKWDSPKSVESSKGAPSKSHTFIPLRKPHLQAAAISQKSQDFFSPTVSSSMKKRNLSQIPMKPRQSAQVTSKWII >ONIVA08G24340.1 pep chromosome:AWHD00000000:8:24693259:24694828:-1 gene:ONIVA08G24340 transcript:ONIVA08G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQNHLLPLLRASSSSPLHLRRLIPSTAAASSSSSVHPNRHLHSTAAARSTTTATPFSMEDYLVDTCGLTAAQALKASKNVSHLKSATKPDVVLAILSGVGLSGADLAAVVAAEPWLLCTKAPSVASLRHRVGLSDPQIASLLLLPGGAKGLHTCDMAPRLEFWIPFLGSFEMLLKILKRNNAINAVGAVAHSNEGIVNARMEFLSSSLGCSMEKLCSAVCKCPQILGLSESKLHSKIEFLVGKVGLEPDYILQRPVLLTYSLEKRLVPRHYVVEVLLVKGLIKKTVDFYGCVCVSNEDFVARYIDHHENAVPGLADAYAAVCSGKLPALV >ONIVA08G24330.1 pep chromosome:AWHD00000000:8:24689329:24690589:-1 gene:ONIVA08G24330 transcript:ONIVA08G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRGRGVGRGDPGLLTRAVEKVFRLVRLAEFEILFVLFFLIAFVLFKDLMSRPEYNSIFVKKPDLDGRWPGLM >ONIVA08G24320.1 pep chromosome:AWHD00000000:8:24684214:24686908:-1 gene:ONIVA08G24320 transcript:ONIVA08G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVSTTRLLLLLLLVAAAAAAAAGDQEDPRGGGDNGTARLDRRTKMFLHAARASDGGATGMEKAGLGLFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTILSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSTFRRIFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAVGVAVGATLGHTICTSFAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >ONIVA08G24310.1 pep chromosome:AWHD00000000:8:24682497:24683074:-1 gene:ONIVA08G24310 transcript:ONIVA08G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEETSAGKVPAMAIDDSNQARVVSNESKSPIIEKKKKTDEQIEYCIANPEELRDKKVIKLTELLSKECLARMGQERVDRLYARERAEEEQIEVLRNERENIYKIPDKPKDVLKQYYAKGYAEYEVAVDDGDVDEDEEVPARVAHPGRRRFRNGIVMRKNQSGGGSIRKIN >ONIVA08G24300.1 pep chromosome:AWHD00000000:8:24678927:24679508:-1 gene:ONIVA08G24300 transcript:ONIVA08G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEETSAGKDDLQAIDDSNQDKAKQATVVSDESKAPIIEKKKKKKMVRYTQEQIEYCIANPEELWDIKVIKLTELLSKECLARMGQEQVDKLYAMERTEEEQIVRRKKLQLVLRNERENIYKIPDKPQDVLKQYYAKGYAEYEVVVDDGDVDVDEDDEVPARVAHPGRRRFRNGIVVKNNQSGGGSIRKIN >ONIVA08G24290.1 pep chromosome:AWHD00000000:8:24676280:24676945:1 gene:ONIVA08G24290 transcript:ONIVA08G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKDLLRRLTIISIPFVFIAIPSIVIIVGMLSPHAAEPRDQSSPAAPGRNHSVSMLSTMTGGQMILSCRTAFSGNWEYFHYFILDPYKPQRAFFQPPPPPPNSAAAVPYAILCKWGYMGNFLQDVVVFNSSAAYAPRCRVEEGGCHYLFEDGKMFLVTGRRATRAAPARRREKRLVGDVVLRECAHVLGVFPTVCRYKPHEHNYVGKIIGRWRWWFNY >ONIVA08G24280.1 pep chromosome:AWHD00000000:8:24668941:24673246:-1 gene:ONIVA08G24280 transcript:ONIVA08G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEX1] MNEEKRARVGDTAETPRKSPRLDRPAAAAAPLTSNGVMGEKKKKNATRDVSDWCWWGKAAALLSGGDRRTRRCRHVLCEQADIDVAITLIKTRVDTPRPNVAHCFACEECYFIRTDSLGEGMAVGENGFSIGTHKKDEKGMTTVNNEAGVHASMVSDYESALMVALLSDVGTPRCRHDMYNKDEVDLVQRRIMFCDITRMCSDCDNISGLMIFVCLGCEKPFCTVHSSLHAESTKHLVGLVYHNPYVACCFLCSETFVLIGEGDKRMPVDKAAGGYASESVIGHAHAIKGIPNLGNTCYLNSLMQCLLVLGKLRARMLGPDAPSGTLGTALHDLFEQTYSVNNATGLLETSLLLDCVCNLDPQFVGGFMHDNHELLCCLRKNLDEEERMRTPPNMQDSSAGAVPPTVFNSIFGGQLFVTRSCKCCSFCSVSHAVFYDLSVPVPPKKPPAKSVESTPWIEGHRSQPKICINQFEAIHKRNTEKTHRIVEDADSDPASELKDMVMVKTSEPLEVDYTEVEQISQSKDYVQDPQNVLADVKTAGMDATTTDTRIPEYIGPHPPVSQLREENAQLESCNDVGKDGNAILEVSSEHKIDTFSAEVTTEDKGKTCRYRLSSIDYECLELHFKAEMIEWTCENCSKGARKSDVTEGTYSEQMLSSTNEDTAVGGYQREQSEKITCQTERQDNLLSSQDQNATTLDEGRGKQKLHHSAHQVEECQNEQKDRNKGATQTRISKLPLVLTIHLMRSLLGPDKVMGHVSFKEILDMGLCMDPSSEDKDNSIYRLAGVIEHHGRGKDSGHFVAYVRPSPRQQTNGSSSWFCASDTDIREVSLEEVLKCEAYLLFYERMEG >ONIVA08G24270.1 pep chromosome:AWHD00000000:8:24663053:24666723:-1 gene:ONIVA08G24270 transcript:ONIVA08G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEX0] MDEKARAGKSPRLKRRSSGSDPLEEAAGLTSDSSSIRRCRHVSCDQTTIDLGIALIKASIDGPACDSFKCGTTEERGILVCLDCGSSLCALHARWHARMNRHWVALMHKRPNVAYCFSCEDAYFISRVRFGGTADEDFFPISTPKKDEKGNKVDNEAGGHASAATGAGSGGGTMAVLTSNVSPQRCCHVYKKGDVARVIKRIMFSDIAAACSDSMCDTTGRSLILVCLGCENPFCSGHADVHAKSTKHWNYLIYQSPYIVCCFVCKGIVFLGGQDKEEMPVDNATAGDASGSVIEHAHAIRGIPNLGNTCYLNSLVQCLLALGRLRARMLRPEDTTGRLGTVLRYLFQDTDSVNNSGGLLNPSGLLRRVRMFVPESQVTSMHDSHEALCRLRTNLDEEERLMKYGALSVEAPTVIDSIFGGQLSVTKTCKCCSLSSVSLGIVFHDLSMPIPPKKPLAKRVEPLWITKGRRSQRKRNTEKTHTIAEDGDSQNVMVKTSEPLGVDSTKVEQISQSKDAVQGPLQIQKDKVQGKAVDFLPQNVLSDAKVEGMDATRADSHIPEYLAPAPTFSPLREDDARVALGIDVEKNGSAVRPEVSTEAKVTTSSAKVTAKDKGRTRSSNIICNKAQDINSLASIEECLELHFEAETVELTCENCSKFAQKLNKSVIQTRLSLLPPVLVIHLKRSLLQSDKVKGHVSFKEILDVGLFMDPSSVDKDNSIYRLAGVIEHHGHGKDSGHFVAYVRQSRPQQTNGSSSWFWASDTDIREVPLEEVLKCEAYLLFYERMEG >ONIVA08G24260.1 pep chromosome:AWHD00000000:8:24657301:24661201:-1 gene:ONIVA08G24260 transcript:ONIVA08G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEW9] MDDEKRPRVGDTAESPRKSPRLVHRIPTTTDQAESGVGLKSLLGLIKNNPSKELDDPNAASLGVIDVEKKAARPGDTAATALDVNDWWEKVVALQWMASVGGDCRARRCRHVLYGEDDINLAIALIKTCDDTPMCNADNCGNTEGREISACLDCESRFCTTHGKWHASVNKHWVALVYKKPHVAYCFACEECYFIRTEHFGEVMDNEEDDYFISFREEDEKGMRVDNVAGDHASGSVIGHACPIKGIPNLGNTCYLNSLLQCLLVLGRLRAGILGLDAPLGLLGSSLRSLFDDADSVNNAGGLLDPEKLLACVRMLNPEFKGNGMHDSQEALCILRTGLDKEERAMKLSNMQAGAPSAVAPTVIDSIFGGQLSVTSSCKHCSVRSLSHDVFHDLSVPLPQESPAKSDELSPWTKGRRSPRKIRINLLSAIDKHKRDNEKTQSPASELEDVFLVKRSKPLKVDSTKVEQISHSMDAGGPLQTRKDKVQGKVVDVLPRIPEDPASPSFVSPLSEEKNDSAVQPEVSTEAKMTASSAKVTTKDKGKTQISDVVYDKAHDINSLASIEKCLELYLETEIEWTCENCSKVLKKPGIMSSTKEDTTAGDQSEQLEKSAHQVEENQNEQKDKNECPIQTRLIRKLPPVLTIHLMRYLEDLTKVIGHVSFKEILDVGQFMDPSSEDRDNSRYRLVGFVEHLGPSMYAGHYVAYVRPSPPQQTNGSSSWFRASDTDITEVSLEEVLKREAYLLFYERIEG >ONIVA08G24250.1 pep chromosome:AWHD00000000:8:24651064:24655405:-1 gene:ONIVA08G24250 transcript:ONIVA08G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEW8] MEEGKRARAADTAGSPWKSPRLEPPAASASAAADDSEWFQAMLLEASGTGVERCEHFMSDQDHVDYIVSGLRFSEDVPVCGDYTCDVTAANDIMVCLECELRLCANHACIHAMHEEEHSIALYYERLNRVYCFKCEEAYDIGVKDDDGGMTDNNGVPREESPAKSALRMMMGESLRQRSHVSGLADGHAHAIKGILNLGNTCYLNSLVQCLLVLGKLRARMLGPDTPLGTLGTILYDLFDQTYGVNNTGGLLDMTWLLAYVRKSDSRFVGAFMQDNHELLCCLRKKLDEEDRGTNPPNMQDDAVTPTVIDSIFGGQLSSHISCKCCSFSSVSHVAFHDLSVPLPPTQSKSIASPPRTKGYKSQQKIHAELEVDKRNPEKIHTIAEDSDSQSPSELEDVVLVKTSEPLKVDSTKVEQIFHSKDAVCRPLQTQKDKVQGETVDFLPQNMLPDVKVEEMDLTKTDAHVPEDIGPPLRKENAWIESGSDVGKNVSAVLDDVFSEPEVSSEAKTDTFSVEVRKSRSSDISCDNAQGINSLASIDKYLELHFEAEMVEWTCESCSKVAQKPGINPMMSSTNEDITVDGDQSELSEKITCRSEQSNGRPECHEGVQEAEPSCIPAEKQANLLSGQDQNASILSEERGEQVKLHHGAHQVEENQNEQKDWNKGGIKKHFISKLPPVLVIHLMRSLLGPHKVIGHVRFKEILDMGLFMDPSSEDKDNLSYRLVGVVEHRGLGNDAGHFLAYVRASPRQETSGSSSWFRASDDSIREVSLEEVLKCEAYLLFYERMEN >ONIVA08G24240.1 pep chromosome:AWHD00000000:8:24648306:24649075:-1 gene:ONIVA08G24240 transcript:ONIVA08G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRPLLIPNEGDEPPPQPPEPPLAGVSDFRGRPVYRATSGGWRSALFVASDFSYYGVSANLISYLTGPLGQSNASAAAAANAWSGTARMLPLLGAVLADSFLGRYPSILLACTLYVMTEGVV >ONIVA08G24230.1 pep chromosome:AWHD00000000:8:24642559:24646212:-1 gene:ONIVA08G24230 transcript:ONIVA08G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEW6] MAAAAAAEAGPSRGGCVPSRNPLKDPGDCEAVAIRDTDGEDCGHFSCDMDEIADIEMGMAARGDPMCEHETCLATGSNLMMVCPECGWCFCVGGLAHRAKPLGHIREHAYRRAHWVALRCEDPCEGYCFECEDSLAIESQMVADDGAGGGEEGYGCVVTGMPNLGNTCYLNALLQCLLVLGKLRARILGPGAPSGVLGDLLHDLFVGTNGPSYARRLLDPAMLLRCVHFRYPQFRGIAMQDCHELLCCLRDGLDEDERKWRAGKMQQGAPSAVAPTVIDSIFAGQLSVTLSCKCCSFKSDSEEVFHELSMPLPPKGTPARSVASPPRNGSCISQQKTRMELFPAINKTNTEKIHAISEGGDAQVPASESEHMVMVKTSEPLEVDSNHLEQISQSKGDVHGPLQAPTREENTLIASGHGIERTVSAVLDSIKPEDSIEAKMDTLSAEVATEDKGKDRNHDAVYDKADDINSLASIEEILELHLKAEMIEKRCENCSDADQKASPISGKHGEQPVACTNVNGTVDGDQDEQEQGRGKQVNMGHSAHQVEENQYDRPDRNKGAIKTCLFSKLPPVLALHLKRNLWPLKLKVSRHVSFKETLDVKLFMHPSSEDKDNSSYRLVGVVEHLGLCMDAGHFVAYVRPSCPQQTNGSSLWFCASDADIREVSLEEVLKCEAYLLFYERIEG >ONIVA08G24220.1 pep chromosome:AWHD00000000:8:24637485:24641574:1 gene:ONIVA08G24220 transcript:ONIVA08G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSAVVVFTTPCASPPPSPGAVRAAAASRRVGDLRGGGARESPARARGGGLLRREHKIKDLEKGWTSNFDDLYEAEKECKCLVHALPGGLQICPALDSITSFKTNGGHSLFSLLETRVVHGWLANPEDNIYETVRSFSCDDLESHISSLDALNDNSEAAQRDRDASKNTDKLDAYPQARWRILEEADEEPIYLNCKYIPLKNQPQIGKAKLWYSEKLNSRNEYAPRNVTKDEASIQKPTGNEGKLSNQEAILQTKQASDETLHYRVVQFKHENLLTSIICHKGYSLWTALYNHLVLNRALAVKPSEVKLQFLPEREVKDAIIAHLKLVLDEIEKSEKSKHEKLYICEFIWKCFIRSTADTHQIYPVLDSFTSFKDSFGRSLICVLGIELVHGWVANPEDNIYETVKSFSSDDLESHISSLDARSDNSEASQRDRDASKNLLAARNQFTSYGYQSLCQGLGKDEYAILYRGDDIFNLIRELLTL >ONIVA08G24220.2 pep chromosome:AWHD00000000:8:24640396:24641574:1 gene:ONIVA08G24220 transcript:ONIVA08G24220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPQKDGSILILETDTDILDAYPNARWRILEEVDEEPIYLNCNYIPLKNQPHIAKVKRWYLEMKSKKKINEASSNEGAGQKQCYRDTRVSVSTRVRRGKTQL >ONIVA08G24210.1 pep chromosome:AWHD00000000:8:24628958:24633227:-1 gene:ONIVA08G24210 transcript:ONIVA08G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEW3] MEEEKRARAAERDRAIVRSPRKGKAPRIESPPAVLDADDSGWGGDASLEVYREAAAVATRGVGGGGERCEHMTCSEHDVAEIVSKIASWGDPVCQDETCMCTERHLMMVCVECDMHFCIGRFSKKSKPRGHIEEHAFDDGHPVALWYEDPYTGYCFECEDPLTIGGEEGDKGMKVKGEEGCRASGSDSGHGCVIGASGSGSGHGCAIRGIPNFGNTCYLNAVLQCLLVLGKLRARMSGPDAPPPSGMLGIILHDLFVATESVSYTRDLLDPVMLLGCVRHYKSEFQGNTMQDSHELLCCLRDSLIEEESKTRPDNMQQDAPSAVVPTVIDSIFRGQLFVTTLCKYCSFESVSQGSQDAFYDLCVALPLQNERCISQQKIAIEQFPTIDKTNTEKIHAISGGSDPQVPASELGDMVMVKTSEPLVVDSNPLDQIAQSKDDVHCPLQSPIRKENVLITSDRELERTKSAILDSIKPEDSIEAKMDTLSGEVTTEDKGKDRNCDVVYDEADDINSLASIEELLGLHFKEMVEKRCENCSNVAQKASPISGKDGEQTVACTNVNRTVDGDQAEQSERKTCQSEQSSDLVRLDGECSSSSRQPHVADAQHQVMPTEDTMTKGDISGMSHGEKDSSSFSIVNQKPECLECAQEDVPDCHLGEKPVNLSSGQCQNANTEDQGRRKQVNLHQVEENQYDQQDRNEGAIKTSLISKLPPVLVIQLKRNTGPIKVRRHVSFKEILDVGLFLHPSSEDKDNSSYRLVGVVEHLGPSMYSGHYVAYMRPSPPQQTNGSSSWFWASDTDIREVSLEEVLKCEAYILFYERMEG >ONIVA08G24200.1 pep chromosome:AWHD00000000:8:24622510:24626796:-1 gene:ONIVA08G24200 transcript:ONIVA08G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKGMSPQYAVAPESGCGDTAPPDEVSSPEQKAAAASTSNTEGRVCPHFDPFQDGVLKFISELRSYSYDCAPRCDHYLCENKVEKSSILVCIDCDLHFCIGDGTMNKPQGHARWHADLEQHCVGALLGEPETLYCFICERLLDLDVSNMQRGDFSCGKEEIDRIESDVSSSKNAVVCHHHSFDTEDIVIIKDFVESEKGDPMCDNETCLTTGEHHMMVCSECKGYFCIEPATKAKPQGHIREHALLQEHWVAVWHNDLYVGYCFECEDSLVIGGEEGKEGLAVNAEAGSHASGSSDGHGCVIRGISNQGNTCLNALLRCLLVLGKLRARMFGPNAPLGILGTILRGLFVDANSVRHAPGPLNRALLLACVRRFDSWLIGTSIHDSHELLCCLRNRLNEEDKIIRPPNKQQGSPSSVAPTVIDSTFGGELSVTTSCKRCSFKSYSRDVFYDLSVPLPPKGAPSNSVASPPQNERPISQCKICVLSEGGDSQIPPSELEDTVMVKTSDPLEVDSNKLEQIVQSKDAVHCPLQSPTMKENTWIASVSDVEKTDTAVLDNAFSGLKVSTEAKMVISSAEINSENKGKARSLDIVNDEAEDINSLVSIEECLKLHIESEMIEWTCENCSKVAQKASTISGKDGEQMMASTNVNRTVYGDQAEQSDRKTCQRELSSDLIRLSVECSSSSSQPHGSGVQNHDMPAVDIKTSGETSGMSSVEKDSSSCSIANKKPECLGGAQEDASSCRLTEKQANLLSVQCQNISIEDQERGNQVNLGHNAHQLEENQYDQQDRNEGAILTCLISKLPPVLVIQLNRSLGPLKVSGHVSFKEILDVEPFMDPSSEDKFSSRYRLVGVIENRGLSIDIGQCVAYVRANNQQQGSGSSSWYCATDDDIKEISLEEVLKCEAYLLFYERMGC >ONIVA08G24190.1 pep chromosome:AWHD00000000:8:24616899:24620557:-1 gene:ONIVA08G24190 transcript:ONIVA08G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEW1] MDDDKASKGRPLKSPRKDLDPLAAATPDPGCGETAPPEVSKPKEKAAAPTSNTEEGLCPHLERFEEDMAMFVSRLMASKFTPSCQRLMCDSKVDKSSIMVCIDCSLPFCIGDGTMNKPQGHAQEHAQFYAHLEKHCVAALFSKPDTLYCFICERCLNLEMSDMEAESDSSSDQVGCEHFVLDEEEITLIVSEIFTSKNVPACQHPGCTITGNTHIMVCTGCNKHFCTRAEAMKKPYGHARLHAQKCEHHWVGLWYSNPYMGYCFKCEFEWILGAPNAERGMVFGKEAFDQESGLAKRHGCVIRGIPNLGNTCYVNALLQCLFVLEKLRARMLASDAPSGFVGSALKELFQEVNSVNNAKRPLNPTKFLDRVRMLNAQFAGSDMEDSYELLCFVQNQLEKEEKSMIPAVSTTVVDSIFRVQLSATISCRRCSYNSVSHEVMYDLSVPLPSRNISCMSREKIGIKLFPKVDMSNTEIVHAIAEGRDSHITGLDLGDVDKEKPSEPLEVDSVEVEQHSQSKDGVHVPSQIQKDEVPGEIIQAPTKADDLGKNYNAGLEYTPSEPEVSIEAKKNVCSVEGSAEDKGKAQFSNMAYGKAKDNNSLASIEECLELHFEAEMVEWKCENCSEIAHRRSTTSGKDSEQMMASTSENKIVDGDQTEQSDKIACQSEQSSNLDSGEQDLASDNTANKKNECHEGVQEVVPSCLAAEELANQLSGQGQNASSLDQVKLDHSADQVGPNQKEREDRYQRGIQTRFINKLPPVFAIHLKRSQLTGKVRGHVSFEEILDVGQFMDPSSEDKDNSSYHLVGVIEHIGPSTRSGHMVAYVRPNQEQPHGGPSPWYCASDTNIRQVSLEEVLKCEASLFFYERIGG >ONIVA08G24180.1 pep chromosome:AWHD00000000:8:24610647:24614549:-1 gene:ONIVA08G24180 transcript:ONIVA08G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEW0] MDEEKASKGRPLNAPRLDLDLNLDLFAAAVPDPGCGDTASPEASKPEEKASKGRPLKAPRLDLDLNLLAPAVPDPGCGDLALPGVSKPGEKAAATTSNTDEELCAHFVRFEEDMVQFISKLRSSKFAARCEHYLCENKVEKSSILVCIDCSLPFCIGDGTMDKPQGHARWHADLEQHCVAALFSKPDTLYCFICERCLNMEVDDTESESDRTECRHLLDEEDVTLIVSEVTTSKNIPACQHPGCKINGRTRIMVCTGCNKHFCTRAEAKKKPHGHARLHARKFEHHWVGLWYSDPYKGYCFKCEFDLTLSAPTVEQGMVFGKELFGQESGLVKGHGCVIRGMPNLGNTCYINALLQCLFVLGKLRARMLAPDAPSYILGYELKELFQEVNNVDNAQLQLNPTKFFACIRVLDARFISSDMQDSHELLCFLLNELDKEEKSMVPPVSPTVVDSIFRVQLSATISCSHCSYNSVSHEVMYELSVPLPSERPPPKSIASPPRDISCMSREKTGIKLFPEVDTSNNEIVKAIAEGSVSHIASLELGDVDKEKTSEPLDGDSVEVEQRSPSKADDLGQNDNAGFENTSGEPQVSIEAKKNACSVEGASEDKGKAQFSNMAYGKAKDNDSLASIEECLALFFKEELLEWRCDNCSGVSHHLSTTGSKDGEQIMASTNENTIIDRDQTVQLDKVARQSEQSKNLESLALECTSSKQPHGSDSERKAVLAMDSITEGINTLPPVKHTYSLRSRGRPPSHNKITSGMIHGEQDLASDNIANKKTDCHERVQEAVSSCLPAEEPDDLLSGQENTSSLDQGKWKQVKVDHSADQVDAKQKERENRNQGGIQTRVINKLPPVLAIHLKRSKETGKVRGHVNFEEILDVGQFMDPSSEDKDNSSYHLVGVIEHIGPSTSSGHMVAYVRPNQEQPDGGTSPWYRASDTDIRQVSLEEVLKCEASLFFYERIGG >ONIVA08G24170.1 pep chromosome:AWHD00000000:8:24608369:24609160:-1 gene:ONIVA08G24170 transcript:ONIVA08G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVLRRSVTLADQLAAVGPAGTAAATASAGSCNLRDLLKLRDEDDLAAGRRAAVTLASAMAAERLTVAPASSAAAAAAAARTLLDIIRDDQLPTSSGGGGEGGDPLVRRAVSLPAPVTATPPPPPPPPETPPRQLPAVSPPTVGEEEEEEQGERVSLMALLEQTERQWSAGSGATVQQHLASPSAAASASVSAAAEDEAEAEAGKGAAGGGCCCVCMARAKGAAFIPCGHTFCRTCARELLAGRGRCPLCNAAILDVLDIF >ONIVA08G24160.1 pep chromosome:AWHD00000000:8:24599245:24602666:1 gene:ONIVA08G24160 transcript:ONIVA08G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSVFNNGGGGRSTNVNSNDIVGGTMIPMAFGVDGRRPLVSESIVPRQAALEWLLLLCIHWIASPSKGTRRRYMHQANMHKYVDNKGKANVAIYSLHIVLFLKPSLFVQPYFFRFTIFALAAACLVMALSVRSEAATITGIQTKIHFIVDFLQALKLSSAMGLI >ONIVA08G24150.1 pep chromosome:AWHD00000000:8:24589285:24595915:1 gene:ONIVA08G24150 transcript:ONIVA08G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-associated/translation elongation factor EF1B, N-terminal, eukaryote (InterPro:IPR015940), PUB domain (InterPro:IPR018997), PUG domain (InterPro:IPR006567), UBA-like (InterPro:IPR009060); BEST Arabidopsis thalia /.../tein match is: ubiquitin-associated (UBA)/TS-N domain-containing protein (TAIR:AT1G04850.1); Has 7001 Blast hits to 5165 proteins in 492 species: Archae - 9; Bacteria - 505; Metazoa - 2653; Fungi - 905; Plants - 287; Viruses - 19; Other Eukaryotes - 2623 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G48690) TAIR;Acc:AT5G48690] MATPQLDKRILSELELMGFPTARSIRALHFSGNSSLESAINWLLEHENDPDIDQLPLIPREINIECGDTPNEARNDIQGMRANAQESKPEESTAAGRQKPVLYTFINCCHTSHLNFLYQETSQVERELNADQNEDEVRRRIIELFKSKQDGQERERGRIRNQLQEDKRERIRAAKDLMEAKRTLEENQRKRFVSYATSPQIKPVEPAVSPEQLRDCLRNLKKNYKDDTTKVTRAFQILLKIIANIVKNPEEEKFRRIRLNNPVFKERVGNLQGGVEFLELCGFMKLAISGYLVMPRDNINLALLNAAGVEVASAMENPYFGLLSK >ONIVA08G24150.2 pep chromosome:AWHD00000000:8:24589626:24595915:1 gene:ONIVA08G24150 transcript:ONIVA08G24150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-associated/translation elongation factor EF1B, N-terminal, eukaryote (InterPro:IPR015940), PUB domain (InterPro:IPR018997), PUG domain (InterPro:IPR006567), UBA-like (InterPro:IPR009060); BEST Arabidopsis thalia /.../tein match is: ubiquitin-associated (UBA)/TS-N domain-containing protein (TAIR:AT1G04850.1); Has 7001 Blast hits to 5165 proteins in 492 species: Archae - 9; Bacteria - 505; Metazoa - 2653; Fungi - 905; Plants - 287; Viruses - 19; Other Eukaryotes - 2623 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G48690) TAIR;Acc:AT5G48690] MATPQLDKRILSELELMGFPTARSIRALHFSGNSSLESAINWLLEHENDPDIDQLPLIPREINIECGDTPNEARNDIQGMRANAQESKPEESTAAGRQKPVLYTFINCCHTSHLNFLYQETSQVERELNADQNEDEVRRRIIELFKSKQDGQERERGRIRNQLQEDKRERIRAAKDLMEAKRTLEENQRKRFVSYATSPQIKPVEPAVSPEQLRDCLRNLKKNYKDDTTKVTRAFQILLKIIANIVKNPEEEKFRRIRLNNPVFKERVGNLQGGVEFLELCGFMKLAISGYLVMPRDNINLALLNAAGVEVASAMENPYFGLLSK >ONIVA08G24140.1 pep chromosome:AWHD00000000:8:24581124:24582670:-1 gene:ONIVA08G24140 transcript:ONIVA08G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRLVEKQMMVKHDLLECGNLLLLHPFHQLWHHTTLSEEGHQQPFLGPYPF >ONIVA08G24130.1 pep chromosome:AWHD00000000:8:24579327:24585646:1 gene:ONIVA08G24130 transcript:ONIVA08G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEV4] MDEESQPKSPSLERPASATDANSGGGYRARHCRHVLYGEDDINLAIELIKTCDDTPMCNADNCDNTEGREISVCLDCESRFCTTHGKWHASINKHWVALVYKKPHVTYCFACEECYFIRTEHFGVVMDNEDEYFISLREEDEKGMRVDNEAGDHASGSVIGHACPIKGIPNLGNTCYLNSLLQCLLVLGRLRAGILGLDAPLGLLGSSLRYLFDDADSVNNAGGLLDPEKLLACVRMLNPEFKGNGMHDSQEALCILRTGLDKEERAMKLSNMQAGAPSAVAPTVINSSFGGQLSVTSSCKHCSVRSLSHDVFHDLSVPLPQKESPAKSVELSPWTKGHRSPRKIRIKLLSAIDKHKNDNEKTHKISERGDSQSPASELEDVFLVKTSKPLKVDSTKVEQISHSKDAIGGPLQTRKDKVQGKVVDALPQKVLCDAKVDGMDAATADSRIPEDRASPSFVSPLSEENALIASGSDVKINDSAVQPEVSTEAKMTASSAKVTTKDKGKTQISDVVYDKAHDINSLASIEKCLELYLETEEIEWTCENCSKVVEKPGIMSSTKEDTTAGDQSEQSEKSAYQVEENQNEQKDKNECPIQTRLIRKLPPVLTIHLMRYLEDFKKVIGHFIQDSEFEGYSMQDSQQIAERGDSHSLASELEDVVTVKTSEPLKVDSTKMEQITQNKDAVHGPLQTQKDKVQGKAVDVLPQKVLYDVKVDRINVATADSLIPEDPASQSFVSPLREENALASGSDDEKNDSAVQPEMIEWANENCSIILVEYREPIMSSTKGDTTDGNQSEHSEKIICQSEQSYEKKLFPEVGTCNTDIVQAIVEGRDSHITGLELEDVTHVAIEETDDLKRSLGSRKVIGHVSFEKILDMGLFMDPSSGGKDNSHSPVGVVEHQGLRGPLNFLGATKRGEPQAPEPEFSWISVMGDPVINTDGSCTIAAATVCIEAQHRLAFERLYGKGSFPCKAKVPKELKKACYRQGIWSSEEGAWTPDVLLMIMEKGGILTQRVPNNIQLAIDGFFWLERNAAFGKLEFMRLLYAYGPLLSTLWTDDGYAQTFGDRVYRGLQKDPDSGDHHCVVCFAYRVDSRTNELYVRIMDNRADDGPIRWVLFDVFDSFYVPLIKNPIEPHELRRKKKKKEHSIFAYASNYFSTLKRNIVGWAVGREIRRYYYPPKQRNVVLC >ONIVA08G24120.1 pep chromosome:AWHD00000000:8:24570989:24574763:1 gene:ONIVA08G24120 transcript:ONIVA08G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDRVSGRKRSVMDRLGSGGGGGGGGGGGGGGGGSRPRPDSVKRFRQADGTWRRELYMDSVGVQTGVPSRNIQGNQKPHLQQNTQVMRKSSVPDLREKLSGVQRPQLNSTVQIPKSVPEISTSAKPVQKREPVQKREPPVNAALPATKKVNEPSAPKQCQEKVVDMKALLHMNEEDMKSLGIPMGPRKKILSALASKKKKLSKSLPTS >ONIVA08G24120.2 pep chromosome:AWHD00000000:8:24570989:24574763:1 gene:ONIVA08G24120 transcript:ONIVA08G24120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDRVSGRKRSVMDRLGSGGGGGGGGGGGGGGGGSRPRPDSVKRQMGLGDVNFIWTLWEFKVHQNTQVMRKSSVPDLREKLSGVQRPQLNSTVQIPKSVPEISTSAKPVQKREPVQKREPPVNAALPATKKVNEPSAPKQCQEKVDMKALLHMNEEDMKSLGIPMGPRKKILSALASKKKKLSKSLPTS >ONIVA08G24110.1 pep chromosome:AWHD00000000:8:24562885:24568719:-1 gene:ONIVA08G24110 transcript:ONIVA08G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQKKNAHVPKFGNWDNGGNVPYTVYFENARKGKVAAAGKMFNPNDPVDNPEAFSSSIAAPSPSRAPPPPPSHHERAPSDAPPPPPPAPYAGGSPYHRHAAGGGETPPARRGGGRTSGGGGSSYSVEHSPSPSPLHPYSDSGSGSYGGGLVANSRAKGGGGGAPRGNETPTRGSAVPRFGDWDSNPASADGYTHIFNKVREEKQTGQAAGKPAAGLGKGGAAAAGHGNAAKRYHDDDFASTVIPNIIRIMSTASTARSRKRAHDGSRQKVDVINLETTAPVVNTGSQHEALILRGTRTSPIDVEALDDKRRSRKIMRRSVAVVDLEKDTGPGAEKCGPNQYDACQGCSKGTNLYLSCVSEQTGQAIHDKLRSYLLREMHPGMPQGSEEMPYL >ONIVA08G24100.1 pep chromosome:AWHD00000000:8:24548401:24557492:1 gene:ONIVA08G24100 transcript:ONIVA08G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPSPVFPAAAAAASTSSSSRCRCRCRCRITTSSSARGWSYCQGWRLHHRVWAAQAADQQQQQQEENEDGVVDSNVLPYCSINRKEKKTIGEMEQEFLQALQAFYYDKKAVMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCANCSSSLVYDSASRLITLPETAEA >ONIVA08G24100.10 pep chromosome:AWHD00000000:8:24548441:24557492:1 gene:ONIVA08G24100 transcript:ONIVA08G24100.10 gene_biotype:protein_coding transcript_biotype:protein_coding MWEPPLLMRHPPGIIAWLPRHPNIQELAVEGRHVLMASAPSEAEPCRRLLAGGPPNPLHLLTNQPPPTTCPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCANCSSSLVYDSASRLITLPETAEA >ONIVA08G24100.11 pep chromosome:AWHD00000000:8:24548441:24556154:1 gene:ONIVA08G24100 transcript:ONIVA08G24100.11 gene_biotype:protein_coding transcript_biotype:protein_coding MWEPPLLMRHPPGIIAWLPRHPNIQELAVEGRHVLMASAPSEAEPCRRLLAGGPPNPLHLLTNQPPPTTCPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSQFIGIRFCIPIDYTPRNS >ONIVA08G24100.12 pep chromosome:AWHD00000000:8:24549319:24556154:1 gene:ONIVA08G24100 transcript:ONIVA08G24100.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSQFIGIRFCIPIDYTPRNS >ONIVA08G24100.2 pep chromosome:AWHD00000000:8:24548133:24557492:1 gene:ONIVA08G24100 transcript:ONIVA08G24100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPSPVFPAAAAAASTSSSSRCRCRCRCRITTSSSARGWSYCQGWRLHHRVWAAQAADQQQQQQEENEDGVVDSNVLPYCSINRKEKKTIGEMEQEFLQALQAFYYDKKAVMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCANCSSSLVYDSASRLITLPETAEA >ONIVA08G24100.3 pep chromosome:AWHD00000000:8:24548401:24557492:1 gene:ONIVA08G24100 transcript:ONIVA08G24100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPSPVFPAAAAAASTSSSSRCRCRCRCRITTSSSARGWSYCQGWRLHHRVWAAQAADQQQQQQEENEDGVVDSNVLPYCSINRKEKKTIGEMEQEFLQALQAFYYDKKAVMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSDRSCTKAIPCIYHGEQDELFIL >ONIVA08G24100.4 pep chromosome:AWHD00000000:8:24545513:24557492:1 gene:ONIVA08G24100 transcript:ONIVA08G24100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCANCSSSLVYDSASRLITLPETAEA >ONIVA08G24100.5 pep chromosome:AWHD00000000:8:24549509:24557492:1 gene:ONIVA08G24100 transcript:ONIVA08G24100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCANCSSSLVYDSASRLITLPETAEA >ONIVA08G24100.6 pep chromosome:AWHD00000000:8:24545586:24557492:1 gene:ONIVA08G24100 transcript:ONIVA08G24100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRPPDLLLIWAAVEEEGACGYSKLEEGGQGPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCANCSSSLVYDSASRLITLPETAEA >ONIVA08G24100.7 pep chromosome:AWHD00000000:8:24548401:24557492:1 gene:ONIVA08G24100 transcript:ONIVA08G24100.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSDRSCTKAIPCIYHGEQDELFIL >ONIVA08G24100.8 pep chromosome:AWHD00000000:8:24548133:24556154:1 gene:ONIVA08G24100 transcript:ONIVA08G24100.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPSPVFPAAAAAASTSSSSRCRCRCRCRITTSSSARGWSYCQGWRLHHRVWAAQAADQQQQQQEENEDGVVDSNVLPYCSINRKEKKTIGEMEQEFLQALQAFYYDKKAVMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSQFIGIRFCIPIDYTPRNS >ONIVA08G24100.9 pep chromosome:AWHD00000000:8:24549509:24557492:1 gene:ONIVA08G24100 transcript:ONIVA08G24100.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPSPVFPAAAAAASTSSSSRCRCRCRCRITTSSSARGWSYCQGWRLHHRVWAAQAADQQQQQQEENEDGVVDSNVLPYCSINRKEKKTIGEMEQEPFITTRRL >ONIVA08G24090.1 pep chromosome:AWHD00000000:8:24536894:24538438:-1 gene:ONIVA08G24090 transcript:ONIVA08G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSGVVDAAAKGVKLGGSGGGALMVRRVASGKLLSASSHLLFRATILATLCLVCLFTVHYPSLLSHSFHLSSAAAAANGKHRAASRSSHRSLLGSSAAVAYGGAAWEKEVRRSAAPRRDGGLSVLVTGAAGFVGAHCSLALRARGDGVVGLDNFNSYYDPSLKRARQRLLASRGVAVLDADINDAALLERLFDAARFTHVLHLAAQAGVRYAMRAPQTYVASNVAGLVSVFEVAAKHADPQPAIVWASSSSVYGLNTDAPFSEEHRTDRPASLYAATKKAGEAIAHAYNHIYGLSKSGXXXXXTDRPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFARSIVAGEPITLFRTADGADARRDFTYIDDVVKGCLGALDTAGESTGTKSGKKRGPAPLRVYNLGNTSPVPVTRMVAILEKLLGRKANKRVVTMPSNGDVPFTHANVSHAARDFGYRPATPLDAGLRRFVDWFVHYYKLDTAKIAKGKRKSMAMSAAS >ONIVA08G24080.1 pep chromosome:AWHD00000000:8:24526369:24529044:-1 gene:ONIVA08G24080 transcript:ONIVA08G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEAKPRSEHNDLLKKGKHKEKKHKKESKERRGRERKEKNSDRRKDKHSKKHKREKHKDKRKNKDDDRYTNQTLEKATLRNADLDNGRLKEKIQHEAVKDIKPANELVTQILDQEGHANHTSSSTGKLLPSTKSFGSAGSKGKRRSLSSVIEKSRQPTHLNHEMIEKKYSVAYDCASLGSKPRLQNGRSLQVGSAEKHSNTNRKHSHNRMDRPQRNTEGTSTITTVVSGAESAPNGVVTPSPNSLQRTEQVDQDPVVSSHFPSRNSDSMSPRGLMEIRNGNNSDFQIRMDRQSVRSKAGAVKRKGKTKELKSNDHKYVEDKDRNRLANERKTKDRIEEKEKVGKVVVSKQERKELDSLGTSKNKIDGLQRQLGQLNEEFTSDDVKKRKDAEANSSLLVAEHSMRMNKLPRISPTDPRTNGEILDYSQGSGPSSPVGTNTYKADRFQDSKECYNNGVTGSHHLKEPKTSVSSSNHGSSQVSPKPPHPDAKYLGQVYSIPAMDDWSKCIDQSWLLSRGSVDWKSEILEAAESPRVWAEARLIDSADVVALPYVVPL >ONIVA08G24070.1 pep chromosome:AWHD00000000:8:24522443:24525530:-1 gene:ONIVA08G24070 transcript:ONIVA08G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLAAAAAAFLLAVAMSCHCHVARGWGGLGVNYGTVADDLPTAARSVELLRAAGAGAVRIYDANADILRALAGTGVPVSVTVPNDAIPSLAAAASPAAADEWVARNLAPHIPAARVVCLLVGNEVLSDRATAGTAWPSLVPAMANLRRALSARGLSRVKVGTTLAMDALGASYPPSAGAFRDDIAGAVVRPLLEFLNATGSYYFVDAYPYFAWAANHRSISLDYALFQGEASTHYVDPGTGLTYTNLFDQMLDAVVAAMARLGYGNVKLAVSETGWPTAGDADELGANVHNAATYNRNLAARMAKNPGTPARPGAEIPVFLFSLYNENRKPGPGTERHWGLYYPNATWVYEVDLAGRRPAASYPPLAPTPPAPVQDGTPVWCVLAAGGEAANDTAVTAAVEYACRQRSGTCAAIEAGGECNQPDTLAAHASYAFNAYWQLFRKAGGTCYFNGLAEKTTKDPSHGSCKFISSLD >ONIVA08G24060.1 pep chromosome:AWHD00000000:8:24515788:24520162:-1 gene:ONIVA08G24060 transcript:ONIVA08G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAHNSRGAAAAGGGGGGGGEGASGGGGGGNHMVCHLCGYQYPNAHPSAKQRRAHRKNCGNPSSPSPTAAAAHAAVEEGDGKRLLLLRDDGEEAAAGGGGGGGGGDVGDGASAVAADSGGVLPGSAREVGNVADDDGNAERSSSPPHVSEVQVGLSKCTEDCVVSGDHIPPSGNDSKASGTENDEIQSGVITRLTENVPHLEDGHHSESAVSSDQCMGSTSCLVPEHGDGARLSSEFSADEINKLSVMSLETVTGLSKDGIGNNEDDFSGVERPKAVEEDRSVNDSNVVSKEQIPCKETVSSMEQSEVMFTNSVDHVSSSTKEPVNLLEDKMSCIEKHVCLDETSSNDLFQLASGGSHSEASGIDKPRHQADCASLTPDQLVIPKEMDIDEGLHCTDADVGIKTLSSAVGHADEDITAVNLSKNVCSPHLTVGDDIQDSVRQTIDITPMPPQVDLAEVSTSSTSHEIDKVSSKDGIDERNPNVNLTSDEVNEVHGIDVEEIPHIEDIAAYNDYQEPNTVRGTRDFEEDTQNEEIIAEASSHNITAVQSTCNVEEKEQIEEFDSNSSCNKIHEISSRGVEETKLTDVNVETADEINVASSLENVEEKQSNRETIADPSVEIDVANLPSSLELSKLDVETSTYHTAYEANAVNAMENVEEMKQKEEIAVAPTSHINTISSTTNDDQKQSEELSVGPSSDEITVPHGEFSVKEKTEETMSDPTSNKTDMVSTSGGVEEQNHGDEVTSGTNTHEESVILVHTTDNVEKKMNKDLTSEPADNVEEEVQSEDIATDPTSHESSTLHITDGAESKKQDAKVAADPAAGKIDVPRSADDAEEQKHEATVSTDDDLKGDDPSESNTPQIIDGAGDKEQDAETAADPPPGKTDAPPSTDDAEETKPKEEELETVGTVVDDPKEEDKEEIADKEVIVNSDKNHVSLKSLLSEKAAETKESKKPSTKDRVLSFRRRVSKDGGSPAKPGSPKAAVSGQQQDWNSPARLPVEKKPKGKKQQWVPFICCPSMS >ONIVA08G24050.1 pep chromosome:AWHD00000000:8:24510917:24517648:1 gene:ONIVA08G24050 transcript:ONIVA08G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IET4] MDDDFEMPPPGADDDLMMGEDGMGDFGGADGPPLKVGEEKEIGKQGLRKKLLKEGEGWETPEVGDEVEVHYTGTLLDGKKFDSSRDRGTPFKFKLGQGQVIKGWDLGIKTMKKGENAVFTIPPDLAYGESGSPPTIPASATLQFDVELLSWTSVKDICQDGGIFKKILKEGEKWENPKDLDELTLCIAVVKYEARLEDGTVISKSEGAEFTVKDGFFCPALAKAVKTMKKAEKVLLTVKPQYGFGENGRPAAGEEGAVPPNATLLVNLELVSWKTVTEIGDDKKILKKVLTEGTGYERPNEGAVVKVKITGKLQDGTIFTKKGHDEPEPFEFKTDEEEVIDGIDRAVLNMKNGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVIYEVELVSFVKDKESWDLNNTEKIETAGAKKEEGNALFKLGKYVRASKRYEKAAKFIEYDSSFSEDEKKQSKQLKVTCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRAQAYMQLADLELAEVDIKKALEIDPDNRQVLDVKLTYKNLKEKVKEYNKKDAKFYSNMFAKMTKQPAEDGKAGSGAESKQGSEPVTAA >ONIVA08G24040.1 pep chromosome:AWHD00000000:8:24506543:24507928:1 gene:ONIVA08G24040 transcript:ONIVA08G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G18390) TAIR;Acc:AT5G18390] MPPPAAAAALLRRVELGLGAGAGVGVRGLATLPDAAQPQHPTSKDAYFAAVHHLSTVVRRDFYLERTLNRLRLPSPFPPDLALRVIRAAAPAEPLHAARFLAWLRAKPSFAASADHFDALLLPLARARLFTHLWSLAADMRALGLPLSPSTFSAVISSYGQSRLTDQAVEVFNRLPRFGCPQTTQVYNALLDALCANGSFAGAYKLLRRMARKGVAPDRATFSTLVDAWCAAGKLREAQAFLDDMAERGFHPPVRGRDLLVDGLVRAGRLEEAKAFALRMTKEGVLPDVATFNSLAEALCSSGDVEFAVALLADASSRGLCPDISTYKVMIPAVAKAGRIDEAFRLFYAALEDGHRPFPSLYAAIIKALCKAGRFADAFAFFGDMKSKGHPPNRPVYVMLVKMCVRGGRFVEAANYLVEMSEAGFAPRAPTFNSVVDGLRHCGKHDLAQRMEQLEMSMNGN >ONIVA08G24030.1 pep chromosome:AWHD00000000:8:24499616:24500593:-1 gene:ONIVA08G24030 transcript:ONIVA08G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPGTNKLKMLLYFLLLIVATLVITAEVGVVLAAAARVLSPSTTEEVPVVTSVRLPAQTEISSSSEGEARGGGSSRASAAAAARRMAIGSRAPTCTYNECRGCRRRCSVQEVPVDAGDPMNSAYHYRCICHL >ONIVA08G24020.1 pep chromosome:AWHD00000000:8:24497857:24500163:1 gene:ONIVA08G24020 transcript:ONIVA08G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYVSKNPRGGAAAIAAVTGSLAVYRSWRGGIWLQGQSLFDRAQTPKSTSTNLDVQSNLSENINCLKT >ONIVA08G24010.1 pep chromosome:AWHD00000000:8:24497447:24497835:1 gene:ONIVA08G24010 transcript:ONIVA08G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTFPELGVTASDCIEMMWIQSVLYFAFYGTGKPLEMLLDRGTSKPDKYLKAKSDSNMPSQVWETTWSWLLKDGAGLLILDPYGGEMVHVAPVVMPFPHRQALYNIQYYGFWSKSGAATEKHMG >ONIVA08G24000.1 pep chromosome:AWHD00000000:8:24493527:24496094:-1 gene:ONIVA08G24000 transcript:ONIVA08G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESEGETEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKDVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFDNVGRWLQELNTHSDTTVAKMLVGNKCDLDNIREVPVEEGKALAEAEGLFFMETSALDSTNVRTAFEIVIKEIYSNVSRKILNSDSYKAELSLNRVSIEGDSKDDQKQSNRFGCC >ONIVA08G23990.1 pep chromosome:AWHD00000000:8:24490994:24492389:-1 gene:ONIVA08G23990 transcript:ONIVA08G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIACIVVSSSGDRRIDQMQKGGGGGSSSAVLVRMGPCGGDGGGARDMDMRGVGRVVRVAVRHGAAVDAMSVLYERNGHEEWTDLWGGPGGTLSEISLQPGEYLTSVAGHYGRLDGDLVVRSLTFVSNMRAYGPFGHEDGVAFDLPAAGGGGGKILGFHARSGRRLDAVGTYVKIG >ONIVA08G23980.1 pep chromosome:AWHD00000000:8:24475416:24479363:1 gene:ONIVA08G23980 transcript:ONIVA08G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRVGGDYISSLLSSSPRFDFGVPVLDAVVAPGGGGGGGDCGLDKLCGDPGFAERAARLSSFNNGGGGVGQRYGGAGAGLFGMPPPAPGDFAGGGSREASSVSDPASSAMKDAAANAKKRKSTAAAAAAAKGKGKEPPVGEEKESDGKRCKTGNGEKESSVKPKAEQAGSDSSVEDGGGGGQKQGKGKNAKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKVLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLQKDMFQACGPSASSVFSLESSNSAFPFAEQGDVFQQFAQNSMESQCTLNQLDLALSQATNAAQYAFQDGTAGASLQQRNFWEDDLQSVFHIENGQSQENGVSAPNFHGQLQAGHMKMEF >ONIVA08G23970.1 pep chromosome:AWHD00000000:8:24468266:24469281:-1 gene:ONIVA08G23970 transcript:ONIVA08G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAHRPASCRTSPAASPCCEYSAACAFRATAMNMQRVVVVVLHPELSTEALEQRTTTALASVALDWIAES >ONIVA08G23960.1 pep chromosome:AWHD00000000:8:24463563:24465867:1 gene:ONIVA08G23960 transcript:ONIVA08G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYLCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >ONIVA08G23950.1 pep chromosome:AWHD00000000:8:24459291:24460082:1 gene:ONIVA08G23950 transcript:ONIVA08G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHRLVAVLALILLASPAAMRAAEAACAGEKFPAGRAYAACEDLPSLGAALHYTYDASKSSLSVAFVAAPAGAGGWVAWGLNPTGEGMAGTQALVALKGGSSSSAPAVKTYNITGYVALGGASTPIAFPATDLAADEGSGGKIRLYGKLQLHKGMKSVNQVWQVGSSVTGGAPDKHAFGPANLASKAKLVLAGSKAATATSPASEPAPAPVAGGPAPSSGSDSGASSSVAPTAGKNAATTAAAVSAPALAVAALVGFLAIV >ONIVA08G23940.1 pep chromosome:AWHD00000000:8:24445068:24447209:-1 gene:ONIVA08G23940 transcript:ONIVA08G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGVFLASLLLAAVAPARAAGGGCAAERFSSNRVYAACSDLPHLGASVHWTYDAAASASLSVAFVAAPPSPGGWVAWGLNPTGGGMAGTQALVALPKGGGGGYEVQTFDIEGYSLSAPGKLKYPATDLAAEVAADGRVSVFGKLALQNGTAEVNQVWQVGPVSSGSMVPHAMSSDNKAAMGKLNLLTGAATSSGGGGSNLRKKNTHGILNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVACQLIGYGVGVSGWATGIHLGNLSKGITYSLHRNIGITVFALGTLQIFALFLRPKKDHKYRIYWNAYHHSVGYTIIILGIVNIFKGMSILNVEQKWKTGYIITISILGGIAVILEAVTWSIVLKRRKEENKSYNGASNGHLPLSM >ONIVA08G23930.1 pep chromosome:AWHD00000000:8:24433081:24442596:1 gene:ONIVA08G23930 transcript:ONIVA08G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQIESARLEAPVMPEQFIEEEIKAKPVKKDKPQSSFNFFQKSRNALMGAAGAVRRVATKGTFVEDNRRTEAVVQAMNGTVWSGCTDGLIIMWDGNGNRLQEFQHHCSSVQCMKALGERVWVGYASGIIQVMDVEGNLLAEWTGHSCPVIQMAIGGSYVFTLAHHGGIRGWPLASPGPLDDILRTELSNRELSYRRLVNIKMLVGTWNVGQEKASYESLMSWLGRAFFDVDLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGRTLDEGISFHRVGSRQLAGLLIAAWARKDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVNNHFAAHLENVSRRNADFDHIYRTMTFNKPHGSAASATSVQLHKTVNANGNQVDEDIPEMAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLKERDQLQAEMRAGKVFQGMREGLIRFPPTYKFQRHLPGLAGYDSGEKKRIPAWCDRILYRDSRDVLTAECSLECPVVAKITSYEACMGVTDSDHKPVRCAFSVDIARVDEFTRRQEYGKILQSDKRLHSLLRESHFVPDTIISTNNIILENQEHVVLRITNDCQRNKAAFEILCESQSISKQDGTKSEFPPRASFGLPLWLEVEPSVGLIEPGQTMEVTVHHEDYYTQEVFVNGVLQNCWCEVTRDKEAVLLVNVTGSTSTETITHRINVRHCCSTISASPPINPPSITTPSVDVLSGEASTRSSKKNPSNYLQRSDFKPFGSSEVHDLCPL >ONIVA08G23930.2 pep chromosome:AWHD00000000:8:24433081:24442596:1 gene:ONIVA08G23930 transcript:ONIVA08G23930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEEKGKLTPTTKNPAGLSSAAISDIAAGEEQQLCGSHCLRGPGPRRIHPFKPHLPSPPPLAIAIDDDDEELASPSLPSTARRLRDRMDSDDEAAAAAMAARARETLRKSASSSSSSPYARSTDDGPVASASCDARLERCCREVGAAVAVMEEPERVVSGGGALPEFVGEGGGEGIYRVPLRAAMHPGRPPPLEVRPHPLRETQVGSFLRALACEPRRRQLWAGSESGVRVWGLDNVFAAAGCGARRGDEESAPFRESVPVPPVLCVEADAANALVWTGHKDGRIMSWRMDLAAGSDDDDAPLFREALTWQAHSRTPVLSMVITSYGEIWSGSEGGVIKAWPWDVIAKSLSLMPEEKHVAALRIERSYIDLRNNAAAGNISSFPAADVKHMLADHSRAKVWWMLGPGNC >ONIVA08G23930.3 pep chromosome:AWHD00000000:8:24434974:24442596:1 gene:ONIVA08G23930 transcript:ONIVA08G23930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEAAAAAMAARARETLRKSASSSSSSPYARSTDDGPVASASCDARLERCCREVGAAVAVMEEPERVVSGGGALPEFVGEGGGEGIYRVPLRAAMHPGRPPPLEVRPHPLRETQVGSFLRALACEPRRRQLWAGSESGVRVWGLDNVFAAAGCGARRGDEESAPFRESVPVPPVLCVEADAANALVWTGHKDGRIMSWRMDLAAGSDDDDAPLFREALTWQAHSRTPVLSMVITSYGEIWSGSEGGVIKAWPWDVIAKSLSLMPEEKHVAALRIERSYIDLRNNAAAGNISSFPAADVKHMLADHSRAKVWCLTSMAFAVWDARTRELLKVFGMDGQIESARLEAPVMPEQFIEEEIKAKPVKKDKPQSSFNFFQKSRNALMGAAGAVRRVATKGTFVEDNRRTEAVVQAMNGTVWSGCTDGLIIMWDGNGNRLQEFQHHCSSVQCMKALGERVWVGYASGIIQVMDVEGNLLAEWTGHSCPVIQMAIGGSYVFTLAHHGGIRGWPLASPGPLDDILRTELSNRELSYRRLVNIKMLVGTWNVGQEKASYESLMSWLGRAFFDVDLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGRTLDEGISFHRVGSRQLAGLLIAAWARKDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVNNHFAAHLENVSRRNADFDHIYRTMTFNKPHGSAASATSVQLHKTVNANGNQVDEDIPEMAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLKERDQLQAEMRAGKVFQGMREGLIRFPPTYKFQRHLPGLAGYDSGEKKRIPAWCDRILYRDSRDVLTAECSLECPVVAKITSYEACMGVTDSDHKPVRCAFSVDIARVDEFTRRQEYGKILQSDKRLHSLLRESHFVPDTIISTNNIILENQEHVVLRITNDCQRNKAAFEILCESQSISKQDGTKSEFPPRASFGLPLWLEVEPSVGLIEPGQTMEVTVHHEDYYTQEVFVNGVLQNCWCEVTRDKEAVLLVNVTGSTSTETITHRINVRHCCSTISASPPINPPSITTPSVDVLSGEASTRSSKKNPSNYLQRSDFKPFGSSEVHDLCPL >ONIVA08G23930.4 pep chromosome:AWHD00000000:8:24434974:24442596:1 gene:ONIVA08G23930 transcript:ONIVA08G23930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEAAAAAMAARARETLRKSASSSSSSPYARSTDDGPVASASCDARLERCCREVGAAVAVMEEPERVVSGGGALPEFVGEGGGEGIYRVPLRAAMHPGRPPPLEVRPHPLRETQVGSFLRALACEPRRRQLWAGSESGVRVWGLDNVFAAAGCGARRGDEESAPFRESVPVPPVLCVEADAANALVWTGHKDGRIMSWRMDLAAGSDDDDAPLFREALTWQAHSRTPVLSMVITSYGEIWSGSEGGVIKAWPWDVIAKSLSLMPEEKHVAALRIERSYIDLRNNAAAGNISSFPAADVKHMLADHSRAKVWCLTSMAFAVWDARTRELLKVFGMDGQIESARLEAPVMPEQFIEEEIKAKPVKKDKPQSSFNFFQKSRNALMGAAGAVRRVATKGTFVEDNRRTEAVVQAMNGTVWSGCTDGLIIMWDGNGNRLQEFQHHCSSVQCMKALGERVWVGYASGIIQVMDVEGNLLAEWTGHSCPVIQMAIGGSYVFTLAHHGGIRGWPLASPGPLDDILRTELSNRELSYRRLVNIKMLVGTWNVGQEKASYESLMSWLGRAFFDVDLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGRTLDEGISFHRVGSRQLAGLLIAAWARKDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVNNHFAAHLENANGNQVDEDIPEMAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLKERDQLQAEMRAGKVFQGMREGLIRFPPTYKFQRHLPGLAGYDSGEKKRIPAWCDRILYRDSRDVLTAECSLECPVVAKITSYEACMGVTDSDHKPVRCAFSVDIARVDEFTRRQEYGKILQSDKRLHSLLRESHFVPDTIISTNNIILENQEHVVLRITNDCQRNKAAFEILCESQSISKQDGTKSEFPPRASFGLPLWLEVEPSVGLIEPGQTMEVTVHHEDYYTQEVFVNGVLQNCWCEVTRDKEAVLLVNVTGSTSTETITHRINVRHCCSTISASPPINPPSITTPSVDVLSGEASTRSSKKNPSNYLQRSDFKPFGSSEVHDLCPL >ONIVA08G23920.1 pep chromosome:AWHD00000000:8:24425211:24427613:-1 gene:ONIVA08G23920 transcript:ONIVA08G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVREAEVWVGQAERWIRQQPPEQVYVAVAVVAVTVLLLVAVSCLKSSKANTIVLSGLSGSGKTILFYQLRDGSTHQGTVTSMEQNNDTFVLHSELERRGKVKPVHVVDVPGHARLKPKLDEVLPQAAGIVYVVDAQDFLSTMHAAAEYLYDILTKATVVKKRVPVLIFCNKTDKVTAHSKEFIKKQLEKELNKLRESRNAISSADITDEVKLGNPGEAFNFSQCQNKVTVTEGAGLTGNVSAVEEFIREYVKA >ONIVA08G23910.1 pep chromosome:AWHD00000000:8:24402133:24413224:-1 gene:ONIVA08G23910 transcript:ONIVA08G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSELLQKLLAAADRYDLGRLKLMCAKKLWEMVSVDNVAMTLFYAEMHSCPELKTRCLDFFVADKNFKKAVLTAGYVQLVQHFPSIQQCPPNARLHGVSFVVSGETFPAHRAVLAARSPVFCAELLGPMAEAKMSRITIHDVEPVTFRAMLQFIYTDELEEKDSMATDLLQNLVAVADRYDLSRLKLMCAQKLWEKVSVENVATMLIYAEMHGCPELKTSCLDFFVQEENFKVAVLNEGYAQLDYGSWILFESIDRYISDSIPLAIAFWFAAPYAGMPDSGSLELILDYEATNHCAILVDGETFPAHRAVLAARSPVFRAELLGSMAEAKMSCITLHDIEPVTFRALLRFVYTDELPADDGGELNTTAMATDQLFQKLLAAADRYDLSRLKLMCAQKLREAVSVDTVAATLVHAEMHGCPELKSSCLDFFVQDKNFKEAVLTEGYVQLVQRRAERKKGGGCLLTGRRAGSGNHQAGKLASVRGEEGGGEADEQDGEPTSRTGRGGRRAGWGGRRAREEREKAGKGAEGDSHRAGRPMSLRGEGGGGEALSPSISLSWWPRLPTSSPMTPPVVSILTATLPFKLDYAAANKNCAIGDIVRSDVFPAGGHAWRISKEDNGEYLSLFLELVRESTSNNVRAIFDAFLVEKDDEPSSTHADRGVHVHPTNGYTAWGWPQFVKRSDLESSSSSYVVDGKVRIMCVVIVIRDNTVPVPPSDIGAHLGGLLDRGEGTDVSFLVDGETFPAHRAVLAALSPVFRAELLGSMAESKMSSITLHDIEPLTFRALLRFIYTDKLPADDGGDQLKMAAMATDELFQKLLAAADRYDLSRLKLMCAQKLWEAVSVDTVATTLIHAEMHGCPELKSSCLDFFVQDKNFKETVLTEGYVQLVQRFPSIKDEIRGRTERKKGGGCLLAGRRARRGNHRAGKLASMREEREEAGRPMNGTGRPARGRGG >ONIVA08G23910.2 pep chromosome:AWHD00000000:8:24413224:24423576:-1 gene:ONIVA08G23910 transcript:ONIVA08G23910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGGFIELKLRYEDLAAGDFVRSDDIYAGGHTWRVLCYPRGGGAMNSNGQRIGGEYLSIKLDLVTRSTNVRAIFAAFLVHLDGHPSPVHAKSFVAVYPLGAGGRGGGKAAAGWMYFASRSELEKKFVSGDGWVTVVCGVLIPSDSPQALSPPPPPPPSSGGGGGHIGRLLYGAADDTADVALVVGGETFRAHRAVLAARSPVFKAALFSSMAEATAPSVALRDMDPAAFRAVLHFIYTDALPVDIDELAGFSPVDMFQHLLAAAERYELGGLKLLSTKKLLDNVTPENVAGIIVCAETYGCPELKKKCLDYLAREDEHFRKAATTQGYLRLLQDFPSLMDEIRATKNHAVGSLVCSDEFSAGGHLWRIESYPHGTKTAAKNGGEYVSLFVSLMSKSGSGAKAFFVADVLNGGGTPFERDEKIEDHGHQRVQAQWRQLGVASVLESSRPGEELRDRIGARHFHLRDSGFVPRRRRRAARRAAQKIGEQLGLLLDSAEGSDVSFVVGGEKFAAHRAVLAARSPVFRAQLFGCMSDATSSCIMLQDMEPAIFRALLRFIYTDDLPGDTGELDGSPIDTFLQHLLAMADMYALDRLKLMCAQRLLQDMTADSVADILACAETYNCPELKNRCIDFFAAENNFKKAAFTDGFAVLLQKFPVIAAEMANSNFVELELDYSATNDGRAIGDVVRSGVFSAGGHSWRIRCYPRGTKELEAESNGKYISIFLELVSKSKNIKAIFDVFLMGKSGQPSSSVAMRCVQVYPPKSYTAWGWPQFAKLSYLKSSSHMVDGKVRIMCVVIVLRDNAAAMSVPPSDIAAHLGSLLDRGDGTDVSFLVDGETFPAHRAVLAARSPVFRAELLGPMAEATMSCVAVHDIEPATFRVLLRFIYTDELSEDGIEIESSSSTTR >ONIVA08G23900.1 pep chromosome:AWHD00000000:8:24400868:24402042:-1 gene:ONIVA08G23900 transcript:ONIVA08G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTGRSACARGEGEGGEKGGGRQPSLHGGGGDGEALSASILVASTPRVFARDAVGSLHPDNSDAAGVHPTASATPLIMGARKLCVALVFGLLAADVMV >ONIVA08G23890.1 pep chromosome:AWHD00000000:8:24395627:24400282:1 gene:ONIVA08G23890 transcript:ONIVA08G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISPNRLQISSTAAASSLACKLQSKKKKRKTKRRMDGGGGNWRPTQGADPAAAGGIDLSAPAPAPAGGDWRSQLQSEGRTRIVNKILEILKKHLPVSGPEGLNELQKLAVRFEEKIYTGATSQSDYLRKLSLKMLSMETKTQQSPGNAQVIQNQNPPGSGVTMLPKNICQVLRKETKENGEIN >ONIVA08G23880.1 pep chromosome:AWHD00000000:8:24376819:24400842:-1 gene:ONIVA08G23880 transcript:ONIVA08G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSSNRTSILKGSFVLMLVPKSFESDHARVRGQQQPKVCQGLMAGNTATGDCQTGDGERRVRLREAWAFRRWRLEDRGGPGVPVASRPLGQAPGDLAPSTRRAGEETRRGRGLKLDYSATNAYAVGDMLTSDVFSAGGFTWSVDYYPRGYEKEGNNGDYISLFLKRRGWDDGRLLRRRRREHLLAMADRYALDRLKLMCGQRLLHSMTSDSVAGILACAETYDCPELKNKCIDFFAVEENFRRAVFTDGFAMLVQKFPLIAAELKKRIVKPMAPASGFVELRLDYSATNASAIGDPINSDLFTAGGLTWRVNCYPRGDKADNNGDYISLYLELISKSKNIKAIFDAFMVDEHGNPSDGSNRLVQVYPPAGYPAWGWPRFVKRSNLSSVFVVDGKVRIMCVVVVLRDDDGDGDGNRVPLPSPGVTGGHLDGGLLPLPPPNIGVHLGGLLDSEDGADVTFVVVGGGGERFAAHRAVLAARSPVFRTELFGCKSESTSPSSSCITLQGIEPAIFRALLRFIYTDELPADAGKLHQGSSSTNVFFKHLLAMADRYALDRLKIMCGQRLLDNMTPDSVAAILVCAEMYNCPELKNKCIDFFAVEENFRKAVFTDGFALLMQKFPVIVAELKKRVEKLRSGPIDASSNMVSSGFIEYKFDYQQIHKLAIGERLPATTISTGEHNAKIMCYPHGFGDGNGEYISLFFVMLKQIDPKIKVIFEAFLIGKDGTPSSFHAKRTMQCWASQDGYDWFGWHRFVMRSDLESLDGMVTFICGLVVLRNDDDGDDHVAVPPSNLGSQLAAMVGSAVGGETFHAHRAVLAARSPVFRAELLGSMAEATMPCVTLHDIEPATFKALLHFVYTDVLPPLLGTSDLLEPLLAAANREGCNDSDLCRVYNCPELKSRCFNFLTADSNFKKVVVTEGYFHLGQSFPLPTCLSRFSQRQIAYVCPKYLGARVGRDGCHNSDLCRDGCHNCPELRSRCLDFFTANSNFKNVVLTKGYFQLGQSFPSNSFIPHTTNMEYTAASMLASGFIEYKLDYLETQKLAIGECLPGIRISAGEHNATILLFPRGCEGRNGEYIAVFLLLTEIDPKINVIFEVFLMNKDGKPSSLCAKNSSIDVIRGTSSGFRFLGWHRFIGWHRSIGWHRFITRSDLESIYVIDGMATFICGLVILGDGGAIAMPPSNLGGQLGAMVGSADGSDVSFSVGGETFHAHRAVLAARSPVFRAELLGSMAEATMPCVTLHDIEPTTFRALLHFVYTDVLQIIEGSSSSTTASTSDHLLHHQRLLAAADRYALDRLKLMCAQKLWESVSVETVATTLGCAEMHGCPELKSKCLDFFMAESNFKKTFHVHRAVLATRSPVFKAESLGSMEETTVCHASRCTTSTRPRSKLYYTSFAELKLEYPGTTTTTNSFAVGDGFDKRVGDGEQSWVIRCYPRGYREEDNGEYVSLRIGVPARSNTVRAIFHTFLMRRDGGVGAPSIICSDRAFPMSVPGHPRGYGGAFRHLVRRSDLEPLYAVDGVVTIVCGVVVFADAGGGGGGDDDGGPIPVPRSNLGGQLGGIVDRADCSDVSFSVGGETFHAHRAVLAARSPVFKAELLGSMAEAAMPCVTLHDIDPATFKALLHFVYTDALPSPSTSSSSSSSTMTTDFFERLLVAADRYALERLKLMCAQKLWESVSVETVATTLGYAETYHCPELKSKCLNFLMAESNFKKVAVTNGYFHLRQDFPLIIEEIKKRIES >ONIVA08G23870.1 pep chromosome:AWHD00000000:8:24371994:24376896:1 gene:ONIVA08G23870 transcript:ONIVA08G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETGYYDVLGVSPTATESEIKKAYYMKARQVHPDKNPNDPKAAENFQALGEAYQVLSDPTQRQAYDAHGKSGISTEGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFGEDEHIDTRRLHERMQACSFSWEEKLAETLKNRLHIYVQGNKEEFVQLAQAEVTRLSNAAYGTVMLNTIGYVYSRQAAKELGKKAIFLGVPFVAEWFRDKGHFIKSQVTAAAGAIALMQLQEDLKKYLSAEGHYTEEELEMFMQNHKKVMVDSLWKLNVADIEATLSRVLQDGSVRREELRARAKGLKTLGKIFQRVKLNNDEGEASDMRNIDNMDDNDGSSPDTSPRREPPYNPIPNPPHAQSPYVEAPQFGGTYYPFNFPMPTAPPGAQRDPIP >ONIVA08G23870.2 pep chromosome:AWHD00000000:8:24371994:24376896:1 gene:ONIVA08G23870 transcript:ONIVA08G23870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETGYYDVLGVSPTATESEIKKAYYMKARQVHPDKNPNDPKAAENFQALGEAYQVLSDPTQRQAYDAHGKSGISTEGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFGEDEHIDTRREEKLAETLKNRLHIYVQGNKEEFVQLAQAEVTRLSNAAYGTVMLNTIGYVYSRQAAKELGKKAIFLGVPFVAEWFRDKGHFIKSQVTAAAGAIALMQLQEDLKKYLSAEGHYTEEELEMFMQNHKKVMVDSLWKLNVADIEATLSRVLQDGSVRREELRARAKGLKTLGKIFQRVKLNNDEGEASDMRNIDNMDDNDGSSPDTSPRREPPYNPIPNPPHAQSPYVEAPQFGGTYYPFNFPMPTAPPGAQRDPIP >ONIVA08G23860.1 pep chromosome:AWHD00000000:8:24368324:24369943:-1 gene:ONIVA08G23860 transcript:ONIVA08G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRAEAAAASLPLLLLVALLVAAEGRRHKDGSGDEEAKACDKGWECSGSRFCCNDTITDYFKAYQFEELFAHRNDRSLAHAAGFWDYHAFITAAALFEPRGFGTTGGKEVGMKEVAAFLGHVGAKTSCGYSVATGGPLAWGLCYNHELSPSQSYCDNSNELYPCVEGVEYYGRGALPVYWNYNYGIIGQGIKQDLLNHPELLEQNATLAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKNDTLSKRYPGFGATMNILYGDLICGQGSIDKMNVIVSHYQHYLDLMGVGSDKAGDNLDCADQVAFNPSSKNLDS >ONIVA08G23850.1 pep chromosome:AWHD00000000:8:24364761:24367463:-1 gene:ONIVA08G23850 transcript:ONIVA08G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) TAIR;Acc:AT4G32320] MELTNVPHIAASSRFFSSCSRCTCRIRRSGKTRIGASAAGRRCSSDDSACSEPSEQQNADFFSGPISSLCYTRRDFASVALLPFILPHVHIASAAEPIDGSIIQNGVRNVLSKVKAAGMLRLAFHDAGTFDIADKSGGMNGSIIYEVDRPENTGLNKSIKVLGKAKEVIDLVQQVSWADLIAVAGAESVALCGGPEIPVRLGRLDSSTADPAGKLPEETLDATALKTLFSKKGFSTQEMVVLSGAHTIGGKGFGNPNIFDNSYFKVLLEKPQPSSSGMPAMVGLRTDWALTEDDECLRWINLYAQDQAKFFADFKDAYIKLVNTGASWRSA >ONIVA08G23840.1 pep chromosome:AWHD00000000:8:24363560:24363790:1 gene:ONIVA08G23840 transcript:ONIVA08G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFVCFFAGLCIGISIQANDEEQQRRRRLVELERRGEEREKQVAEMVSREEDRERERKKNLDKLDQIVQILRNL >ONIVA08G23830.1 pep chromosome:AWHD00000000:8:24351265:24354719:-1 gene:ONIVA08G23830 transcript:ONIVA08G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTPTIFSIDGVILDEEFKSSTKVHHLESRGSSIPNYGFEFCPFDQIPSKSGISKPLIDLIGVISHVGPYDYAGKTSSKKNRKLRIRNKDEQEQEIVLWGEYGGSFDEAFVLQKSTDHKIVVAILAGLTAGTYLGKTEATSSSATQIYFDSDITEIAEYQSRYKLPLTIKDESGTLDAVAFYNVAEDLVEVNATQATQNLKIDATEHAIALDIAIGKTRLFHIAMNTKYSSHFTINYVLKKSYPVENENTSLILPTLENTKVAKESATKQLATDEGLTTMEHCSPAIANTIQVATNQLYHPQQVDLSKEKQPSTEFSPGQNSKRHKKVTETSTNGEENQLQQPKIADQQPSGHKEQMDQQPEKNRNHEAQLIHTNYLQAVSKITASKSIAIYITLQGKSSTDDLSKLQPTQAHVVNYMKNKLPHMHIILDGENVKNNEI >ONIVA08G23820.1 pep chromosome:AWHD00000000:8:24345412:24346929:-1 gene:ONIVA08G23820 transcript:ONIVA08G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1997) [Source:Projected from Arabidopsis thaliana (AT4G31115) TAIR;Acc:AT4G31115] MRLVAAKPCCLFRISSVAAVAAGRRRPWRAAAASGNAHAEAEGDEDGQSLSARARGRRARLSARRRERIVVVEGGGVGGIGEFLRQPAGVESLLNTRALQSFAAVDEAPGANTFRCTLQSIGFLGFQVAPVLDLRVAPTCHDCTVEMLSCRFEGSGSVEQQNELFSAFMSNHITWKDDGEEPCLDIDVNLEVTLEVYTKPFSMLPLSAVETPGNLLMQGLLDRLVPLLGEQLLRDYHSWVQLQQQQPEISSLETAEIDAS >ONIVA08G23810.1 pep chromosome:AWHD00000000:8:24342599:24343168:1 gene:ONIVA08G23810 transcript:ONIVA08G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAAHAPKNLGLRGVRRRLWGRWAAEIRVPRGHRAAARLWIGTFPSPAAAALAYDAALYCFHGGAPPGNRAFNFPHAPRLRIDDRRRHALTPGHVRAIAERYAHDVGSVLFRPLPPPPPPVAAAAVPVFAAPAPPMAPAPTNHAADPYYCNEPDTTTDEDVMAAADRLLSMDIEEVAALIAIVQQGE >ONIVA08G23800.1 pep chromosome:AWHD00000000:8:24334251:24339162:1 gene:ONIVA08G23800 transcript:ONIVA08G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67325) TAIR;Acc:AT1G67325] MSSQVDNRSQSAGKRARTDGGRREDDWVCPSCQNVNFAFRTTCNMRNCNQSRPTDYTKDMQKPMQTPPPHFPMSGGYMSPGTPPSMYLGGGAPPYGTSLYGGPALPRYGIAQFPGGSGYPYGYGGRLPMGSPYGPPMHMAGPPYSAGSMMGPGGMYGMPMDRYSLGLPAGPGPMGARAGSYSEEGSQKKPAGAGRDNDWKCPNCNNINFAFRTVCNMRKCNTPRPENQGSKPDGARGPKPKMPEGSWKCEKCNNINYPFRTKCNRPSCEAEKPFQTNNANESSADQDNQVRTLIHVDVLLSGAADSIACSDLAKEVGDGKHRVMASLDVRMQISLTPYHICVECAHRKHSHFLNGRLLLPQ >ONIVA08G23790.1 pep chromosome:AWHD00000000:8:24328342:24333351:-1 gene:ONIVA08G23790 transcript:ONIVA08G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEEETSSMSPWSSSLLSAAASSQPLLLNPAYARSKSVIHDELRSFRVFLQWCALDHSSRAARAASYAAFLALALAVPAAVSLSLRADAGASPVSASAITFNRVAQAPATGLAAISFAALASFFRRGGGLRQLLFLDGGLRDDTAFVRRGYARELDRAFRLLAALLLPSLCVEAAHKAVFFFATVRVEPPLPLPGVGVPWRAVALVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIYHMFDVEARAAAAEIFAEHRRIRTQLLATSHRYRAFILSCLVTITVSQLGALVVALSSKDGKSFANSGDLLVGSSVQLSGFFMCLFGAARITHRAQRIVSIASQWHMSMEAVAHHAKSAPLPAAPSSSASDVDAPHQPELGTTTTAACAYRSRHALVTYLCHNSGGITLFGFTLDRGLLHTIFAFEMTLVLWILSKLEKEGLSGELDLAPLKSLTGLRTLSFMDNEFAGAMPDVKGLGGLRAIFLSGNKFSGEIPADAFAGMGWLKKVSLSRNGFTGAIPASLAAVPRLLDLQLNDNKFTGKIPDFPQKDLKVFDVSNNELEGEIPASLKSIDRQMFEGNKKLCGAPVDAKCEAPSPAATTSPPAATSGKIGTSPSPPAAAETTTTGTVPAEEGTQGATKPTKGSTSFGVLAAFLGTLAIIGFAVVALQRRREYNTQNFGPAASTKPTLPSAPASPATKPTHAAAAATAAAATTGGGGARSSSVSGSTGRGGGGKAGEQGRLTFVRDDDRGRFFELQDLLKASAEVLGAANLGVCYRATLTGGHSVVVKRFKEMNRVGKEDFEEHMRRLGRLSHPNLLPLISYYYRKEEKLLIHDYVPNKSLAHLLHGEGRRVKKLVHWPARLKLVKGVARALQYLYDELPMLTVPHGHLKSSNILLNDRFEPLLTDYSLVPVMNQSHSAQLMVAFKSPERRQFGRSSKKSDVWCLGILILEILTGRPPSYDPPPQPEAATANGDLVGAVASTPEGEWLEKVVDADMIRKGEDEESKGEMVKLIKIGMACCEAAVDSRWELKTAVESIEELKGGKEEDANDEHSFYSSIDGDEFASVAIN >ONIVA08G23780.1 pep chromosome:AWHD00000000:8:24327165:24327602:-1 gene:ONIVA08G23780 transcript:ONIVA08G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPLPPPQDAIANLVAALATAHAATATGKTDDLPTTLTQAQAAQDAITVSDNTITTTPSPSTVVVQPGVRDVALATTAPTPRAQDAVPTNVTPALPPPQRSKRQDACVCCPRHEKLLYHASVLREKRNGSTYAQLVRANFN >ONIVA08G23770.1 pep chromosome:AWHD00000000:8:24322891:24324234:1 gene:ONIVA08G23770 transcript:ONIVA08G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKDSYLDLVLIPAGIVFPIVYHVWLWHVVRRRPLSSTVGINTATRRLWVLGMMKDNEKKAVLVVQSMRNVIMGSTLMATTAILFCTGVAAILSSTYTVKKPLSDAVFGAHGEYMMALKYVTLLLAFLLSFLSHTTAICTLNQATFLLNTLPSSSSFAADIAGLPVTKDYVADVLERGFLLNLVGNRLFYAGVPLLLWIFGPVLACLCSVVMIPILHSIDVVYVDGSSKGEANARVEMVYESDESVMQV >ONIVA08G23760.1 pep chromosome:AWHD00000000:8:24321698:24322276:1 gene:ONIVA08G23760 transcript:ONIVA08G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAQWCGAAGLDRWVGRWRCTLSPAALPVPSRRTRRKGGVVGCVSVPREVAAAAAVEPAAPPEAEAETEVSYLACSLSSPALCRSSVWCNRAILTPTGRAHGGELAVQGEKNLAG >ONIVA08G23750.1 pep chromosome:AWHD00000000:8:24320432:24321442:-1 gene:ONIVA08G23750 transcript:ONIVA08G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80290) TAIR;Acc:AT1G80290] MASHRRLRLRLLLLAATLAAAAAAVAGVEEEEAFCGVESMPDAATLRPDRLTVLLSGYSERRLPLLRAIAGAYAAHPLVLAVVVLWSNPSTPDSLLSSFPPAVTLHRTASASLNSRFLPHPSIRTAAVAVADDDVLPDAAALSFAFAAWQQRARPGTLVGFFPRSHHLDLARGRWAYGAAARQQARYSMVLTKLLVLGAGLLREYSCSPELAAARAVVDRERNCEDILMNFVAAEESGEGPVLVEAGSIRDWGDPRNDDADAGAGAGGEEMKAVGLSSTGGAAHWEKRGDCITEFHRLLGRMPLRYSYGKVVAAAGGEQALCSKRGRLVRCDQELL >ONIVA08G23740.1 pep chromosome:AWHD00000000:8:24318719:24319423:-1 gene:ONIVA08G23740 transcript:ONIVA08G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVESPGGGVMLKRKGGEEPELFICPGDVDGGLPLACRATKMRRLVRDDDAAAADDVAMGEAPSAAEVAAVGEGAMVVYEPVDSVGGVGLLGQLQRRLRPWASLRAGAEWIRDMLREADSRTVRWLLSGAEEEEEDGAGMALVPWGSAPAAAGDSMAEDTLGVSSSSSEEEEDGEGSAEAMEVEEEGAHLAGAGAAAGCGEGYLFRRWPQHCMPPPQQQLPAIGQASPVMWW >ONIVA08G23730.1 pep chromosome:AWHD00000000:8:24301588:24307844:-1 gene:ONIVA08G23730 transcript:ONIVA08G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEP3] MASSGGGGGGGEEGEGRGATKVNQELWYACAGPLVSLPPQGSLIVYFPQGHSEQVAASMRKDADAQIPSYPNLPSKLICILHSVTMLADPDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIYYNPRASTSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKTSHWRNIQVAWDEAAPTERRTRVSLWEIEPIIAPFFIYPSPLFTAKRPRLPGMTDDETEMDGLLKRAMPWVGEEICKKDLNIQNSVVPGLNLAQWMNMQHSSSLPGTVVQPELLNSLSGKPVQNLAAADLSRQISFHPQFLQQNNIQFNTALVPQQNQQTEQLAKVIPTPNQLGSVIIPQKVVQDCNSEQRQHVVTQPVQGSQPNINIPQPQLVVQAQLQQPQVILQAQLQQPQVVVQAQLQQTQPSVQSHTVLQGGLQQIQLLQQQQPHVQHQQIPQQLHHHQQQTQQLQPVQQVQQSVQEHQQIKIQPVHVSMDASMNTQVADHQMKLQLLKALQPQQPLISEQQKMLLDLQQQVINSQSAPQQCVQVTNQAISLHNSNTIQYPTQQKVQSHQVQDLTGNVIPNSKSDIATSMGASSLHVAGGRQLLKTDDVPSTSTSPSTNSNPVLLQSIPSSSKNQSLTTAGKTSQSSVVLGPTIEQDTKPYQNVKQTVMIPKTTEQRPATGQDCINNNPQMDYLDTSSSATSVCLSQADGSLQQNFPPSSFHQHHLLKDTVPDSEFEVTDPRNNLLFGVNIDGQLGLPLNADLLANDIGTDKYMDQLPGNGISNFISSKDSQQELSSSMISHSFGVADMAFNSIDSAINDTPFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEDFVKCVRCIRILSPQEEMQMRLVGDFGDSFLPNQACSSSDGGHPWRITGD >ONIVA08G23730.2 pep chromosome:AWHD00000000:8:24301588:24307844:-1 gene:ONIVA08G23730 transcript:ONIVA08G23730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEP3] MASSGGGGGGGEEGEGRGATKVNQELWYACAGPLVSLPPQGSLIVYFPQGHSEQVAASMRKDADAQIPSYPNLPSKLICILHSVTMLADPDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIYYNPRASTSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEESGTRRYMGTITAAPTERRTRVSLWEIEPIIAPFFIYPSPLFTAKRPRLPGMTDDETEMDGLLKRAMPWVGEEICKKDLNIQNSVVPGLNLAQWMNMQHSSSLPGTVVQPELLNSLSGKPVQNLAAADLSRQISFHPQFLQQNNIQFNTALVPQQNQQTEQLAKVIPTPNQLGSVIIPQKVVQDCNSEQRQHVVTQPVQGSQPNINIPQPQLVVQAQLQQPQVILQAQLQQPQVVVQAQLQQTQPSVQSHTVLQGGLQQIQLLQQQQPHVQHQQIPQQLHHHQQQTQQLQPVQQVQQSVQEHQQIKIQPVHVSMDASMNTQVADHQMKLQLLKALQPQQPLISEQQKMLLDLQQQVINSQSAPQQCVQVTNQAISLHNSNTIQYPTQQKVQSHQVQDLTGNVIPNSKSDIATSMGASSLHVAGGRQLLKTDDVPSTSTSPSTNSNPVLLQSIPSSSKNQSLTTAGKTSQSSVVLGPTIEQDTKPYQNVKQTVMIPKTTEQRPATGQDCINNNPQMDYLDTSSSATSVCLSQADGSLQQNFPPSSFHQHHLLKDTVPDSEFEVTDPRNNLLFGVNIDGQLGLPLNADLLANDIGTDKYMDQLPGNGISNFISSKDSQQELSSSMISHSFGVADMAFNSIDSAINDTPFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEDFVKCVRCIRILSPQEEMQMRLVGDFGDSFLPNQACSSSDGGHPWRITGD >ONIVA08G23730.3 pep chromosome:AWHD00000000:8:24300427:24307844:-1 gene:ONIVA08G23730 transcript:ONIVA08G23730.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEP3] MASSGGGGGGGEEGEGRGATKVNQELWYACAGPLVSLPPQGSLIVYFPQGHSEQADPDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIYYNPRASTSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKTSHWRNIQVAWDEAAPTERRTRVSLWEIEPIIAPFFIYPSPLFTAKRPRLPGMTDDETEMDGLLKRAMPWVGEEICKKDLNIQNSVVPGLNLAQWMNMQHSSSLPGTVVQPELLNSLSGKPVQNLAAADLSRQISFHPQFLQQNNIQFNTALVPQQNQQTEQLAKVIPTPNQLGSVIIPQKVVQDCNSEQRQHVVTQPVQGSQPNINIPQPQLVVQAQLQQPQVILQAQLQQPQVVVQAQLQQTQPSVQSHTVLQGGLQQIQLLQQQQPHVQHQQIPQQLHHHQQQTQQLQPVQQVQQSVQEHQQIKIQPVHVSMDASMNTQVADHQMKLQLLKALQPQQPLISEQQKMLLDLQQQVINSQSAPQQCVQVTNQAISLHNSNTIQYPTQQKVQSHQVQDLTGNVIPNSKSDIATSMGASSLHVAGGRQLLKTDDVPSTSTSPSTNSNPVLLQSIPSSSKNQSLTTAGKTSQSSVVLGPTIEQDTKPYQNVKQTVMIPKTTEQRPATGQDCINNNPQMDYLDTSSSATSVCLSQADGSLQQNFPPSSFHQHHLLKDTVPDSEFEVTDPRNNLLFGVNIDGQLGLPLNADLLANDIGTDKYMDQLPGNGISNFISSKDSQQELSSSMISHSFGVADMAFNSIDSAINDTPFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEDFVKCVRCIRILSPQEEMQMRLVGDFGDSFLPNQACSSSDGAHSSRRFFVAAGAGAAASPVDSLAGGGWTGRNLRSTLSPRH >ONIVA08G23730.4 pep chromosome:AWHD00000000:8:24301588:24307844:-1 gene:ONIVA08G23730 transcript:ONIVA08G23730.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEP3] MASSGGGGGGGEEGEGRGATKVNQELWYACAGPLVSLPPQGSLIVYFPQGHSEQADPDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIYYNPRASTSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKTSHWRNIQVAWDEAAPTERRTRVSLWEIEPIIAPFFIYPSPLFTAKRPRLPGMTDDETEMDGLLKRAMPWVGEEICKKDLNIQNSVVPGLNLAQWMNMQHSSSLPGTVVQPELLNSLSGKPVQNLAAADLSRQISFHPQFLQQNNIQFNTALVPQQNQQTEQLAKVIPTPNQLGSVIIPQKVVQDCNSEQRQHVVTQPVQGSQPNINIPQPQLVVQAQLQQPQVILQAQLQQPQVVVQAQLQQTQPSVQSHTVLQGGLQQIQLLQQQQPHVQHQQIPQQLHHHQQQTQQLQPVQQVQQSVQEHQQIKIQPVHVSMDASMNTQVADHQMKLQLLKALQPQQPLISEQQKMLLDLQQQVINSQSAPQQCVQVTNQAISLHNSNTIQYPTQQKVQSHQVQDLTGNVIPNSKSDIATSMGASSLHVAGGRQLLKTDDVPSTSTSPSTNSNPVLLQSIPSSSKNQSLTTAGKTSQSSVVLGPTIEQDTKPYQNVKQTVMIPKTTEQRPATGQDCINNNPQMDYLDTSSSATSVCLSQADGSLQQNFPPSSFHQHHLLKDTVPDSEFEVTDPRNNLLFGVNIDGQLGLPLNADLLANDIGTDKYMDQLPGNGISNFISSKDSQQELSSSMISHSFGVADMAFNSIDSAINDTPFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEDFVKCVRCIRILSPQEEMQMRLVGDFGDSFLPNQACSSSDGGHPWRITGD >ONIVA08G23730.5 pep chromosome:AWHD00000000:8:24297848:24301220:-1 gene:ONIVA08G23730 transcript:ONIVA08G23730.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEP3] MEIVRYHHLRFFVAAGAGAAASPVDSLAGGGWTGRNLRWKYGISAAKRKTGCPKHTGQEYILLLWLSVV >ONIVA08G23720.1 pep chromosome:AWHD00000000:8:24289664:24294927:-1 gene:ONIVA08G23720 transcript:ONIVA08G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGGNHQQQQQQQQRLRQQQQQQALLMQQALQQQQQYQSGVLAAAAAAAMTQMEPISNGNLPPGFDPSTCRSVYVGNVHPNVTESLLIEVFQSSGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHICGQAIKVNWAYASTQREDTSVMLESCGITKPDAPEEAETAITEMTGKWLGSRQIRCNWATKNNAEEKQETDNHNAVVLTNGSSSNPGMEASQDTGSKENPENNPDCTTVYVGNLGHEVNRDELHRHFYNLGVGAIEEVRVQQDKGFGFVRYSNHGEAALAIQMANGLVVRGKPIKCSWGNKPTPPGTSSKPLPPPLPSYQPVPMAGVPQGFSAADIVAYQRQLTLSQVAAGQIAGQHGLAGQVSAGLLAAGSQALYDGYPNQSSAQQLMYYN >ONIVA08G23710.1 pep chromosome:AWHD00000000:8:24287469:24288650:-1 gene:ONIVA08G23710 transcript:ONIVA08G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G42630) TAIR;Acc:AT3G42630] MGSLLLPPWPLPSPASPSARLLLPELHSPARLAFPSSPSAARPPRRDGAYCPRAGPPHADAAAALMLAHAEAGDFASARSMWAQLLHSSAAPRLRAAAPRLLPAYARLGRCDEALLVVRELCARDPGAARALYPLAVTCFGAAGELALMEDAVREMARHGLPVDSATGNAFVCHYAASGTVPQMEAAYWRLKASRLLVSVAAIRAMASAYISHRKYYKLGEFVTDVGLGRRAGGNLLWNLYLLSFAANFKMKSLQRAFLDMVAAGFTPDLTTFNLRAVAFSKMCMFWDLHLTADHMRRDGVAPDLVTHGCFVDAYLERRLARNLNFAFDRLGAGEPVVATDAVVFEAFGKGGFHASSEVLLEATGGERRWTYYKLLGVYLRKQHRKNQIFWNY >ONIVA08G23700.1 pep chromosome:AWHD00000000:8:24284037:24288191:1 gene:ONIVA08G23700 transcript:ONIVA08G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRRRRVGAAVRWLSRLAPPAPAEADPVVVRVDGSNVARLGKPKPGPRPRQLLSLPPFPGGGDGDPLPGRKAAAPRRVTAVSWVKHYLADVPQEVVQAHFNKRLVYSECSDHEVSVETIKSQKHHLKKIKHNDVMEPGMRIHLPVSVAEGEIKKRYETIPTATLHPNKDEIEYLRRLVIHKDSAILVLNKPPKVPMKGNLPVHNSMDVLAAAALSYGNEEGPKLVHRLDRESSGLLLFGRTKESFTRLHWLFTSVNLAKTNSQVWNAACEAYMQRYWALVIGTPKEREGIISAPLSKVLLDDGKAERVILAHPSGIDGAQEAVTAYRVMGPTIHGCSWIELRPLIGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGEPYKLRRPEGLEIQKGSVLSKVPLLHLHCREMVIPNIAKFLSSNGEWHENGAPWSKEKPNLLRFIAPMPAHMKISWNIMSSYLV >ONIVA08G23690.1 pep chromosome:AWHD00000000:8:24279650:24283250:-1 gene:ONIVA08G23690 transcript:ONIVA08G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEEEGVARGGGGKKLALASIGFADVRVGAGAGGGYKEDLLVVGLPKDDDFDVAKVVGDVAVGLPDVGAAVRNFLRNREVGEFVSGALAGAMTKAVLAPLETIRTRMVVGVGSKHIFGSFVEIVEQNGWQGLWAGNTINMLRIIPTQALELGTFECVKRSMTEAQEKWKEDGCPNIQIGNLKIELPLHLLSPIAVGGAAAGIISTLVCHPLEVLKDRLTVNREAYPSIGLAFNKIYQTDGIGGLYAGLCPTLVGMLPYSTCYYFMYETIKTSYCRAHKKKSLSRPELLIIGALSGLTASTISFPLEVARKRLMVGTLQGKCPPHMIAALAEVFQEEGIKGLYRGWAASSLKVMPTSGITWMFYEAWKDILLAPELHT >ONIVA08G23680.1 pep chromosome:AWHD00000000:8:24276027:24278623:-1 gene:ONIVA08G23680 transcript:ONIVA08G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHVSTPAIAGGDTVLEQLRASRAAIVSVLATAVEAEAAIDAAGDRLGDMYSGLPSSSQLQSQAVAARALRARIDRAVAPAGPLLAALRRVSALAEEAAAALPAADPGDAGGAAAFVGRVDRLRDAVEEAVARGDEAVRRVEEAVGFLGRTKAAGRGRVRRLAEAAAALRAVHEAEAEEMRFEGPLDEALLGLQDLFEALLLRLKHPGGGGGGGEVSDVEEEYELGTDDEVDAAARIARTLAGNDCLDICLDIYVKVRYRRAAKAMMRLNPEYLKSYTPEDVDAMEWEALESAMALWGPHFNVAISGVLAAERRLCARVLAPLPPAVWPECFAKIAARIAAAFFRFADGVAAAAAREPQRLFRLLDMLDAVARERGRLDELFSGESATLLAIRERAREVERALARAAAAAFYEFGLRVETHYVAAAAAGESGHVPKIVRYAVNYLKCLASDDYRGTMDAALRAGAGDDDGGDSEALAEAASNVLEALHRHVEAARRALPDAVASHVMAMNSYWYIYMRARGSELASLVGDDTMRRRYKASAEEAAWEYQDAAWGPLVRLVSGSSSGAAKAWPSPEEAREKAAAFADALEERARRHGAEYKIPDGDLREQIKAAAAKAVRGAYAGFLRANDSAVASGGGRREFLPVDAIEGMVRRVFDEMGDGGGVAGSAGRTRSRRQSGNLEGFEG >ONIVA08G23670.1 pep chromosome:AWHD00000000:8:24259754:24275489:1 gene:ONIVA08G23670 transcript:ONIVA08G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRLEDEEAVKMCRDRRDFIKQALEQRNRFASSHFAYIESLRRVSMALQWFVAGDDHHELIFDPFISPLKQQKPELLGLPYGSYEKRTIHVSKYLRSGPNPSVSVEEQPRPVETIRIESHYPTDNFSGMDRFFAAQSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMSYDRPSYPAASPQEPTRTSYYASYDRPSYPPPSPQEQESSQWDFFWNPFSSLDSFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQQHEQVHQKEEQVDDDDNDDSDDDDDDDDDDDDDGDDECDHSDERCMPSNGGACPVKTEVNGKQETKGFESKGVQCEPRNKVELEIKAHKKELMRNKVANAEETPGFTVYLNRRPASLAEAMKDIDSQFLGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDNETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLHTRMKVSIHTVQSISARIEVLRDEEMQPQLMELIRGLSRMWRTMAERHKAQKRTIDDAKLLFLQRHPTAAAATATAIALSAPDAATPPPAAVALECEIRAWRGALETWLSAQRAYARALAAWARRCLGIGGAGAGGTAAAALPLAFAVCMEWGHAVDAASEARVMDGLDFFVAGVGSVCSGVATGMEGMAGRVLCAGMAAVTGAMAEFADASADGYDALVSAVAAGAPGRRKEEPTCGPQIWDVGLHVFRYFERRLDSSGAATPRLCSSPLLFSSSFAFASPNPCLSLSLSLSLSLSSSSSSAGDHGPHPAAPSSPSGGGEHPRSGSRLLLLLLGWGGVWPRRPASRFGGDSQEAAGWNRAEESAVRLIGGTGSGNWSKDFGAFDSSLGSLSGEGLGFVDNNSGVYGGWRESVPNRSGSAPPSMEGSLAALGHMIGQQSGNLEATLGGKLGHVADSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLMSRESRRFMNRVGKVKEWRVVSQDDSNKGSLFIPRSTLSTHREEPEDDRSPRLDSSSAEDAQGSGKSGSNFDSHYTCMNLGDFASESFQQKAASLYDSSTHPSNSNTGDGISDHSDINSSTNFSIDAVKTSGLNSWTPVPVTNTVRSTHSNSISSTSVPSSSSPDNNPSMQTSQQEKPSIDIKHGNDVPGSGSILTELDTVNSNMKNLRISLDSHDTIHVKQQWPDNVLQQFGPSPLVQGDPIQMIPQGTHLPHVPFVENLSHTQLKLPTGDMQQFLPPPGMTTPFYAPNSFGSPYYQNLHPASVLPTPFGTAGYALSGSALPPVMTSYAPQVSVATPVDSPITPSFSGRPSGFPSPGNLSAGAEFVQPYKMYGQQLGVTMQPSVPDPNFFQFFQHPSLLSYAGINQYNTMGPRVSVVGNPADSFDPQKVLSQPAYPSDQRLQLPRTGIYNSPAARRGGAAPNYQGMTPYVGAPMTYPTSPVFQGQTFTGVFSPGRRNDSVRFQTPSRNMTAYSGVQGQREREKFDDPKACSFLEELKSNRARRVELSDIAGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLVGHVLPLSLQMYGCRVIQKALEVMELDQKIELVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILESACVLAQDQYGNYVTQHVLERGRGHERTQIISKLAGQVVTMSQNKFASNVIEKCFQHGDMTERDLLIREIVKQTEGNDNLLAMMKDQYANYVVQKILETCNEQQRELLLSRVKGHLQALRKYTYGKHIVSRVEQLCGEGDHPLLLFTSNCILSLETPSQILEKLAYFFTGGVCLEPSR >ONIVA08G23670.2 pep chromosome:AWHD00000000:8:24259754:24275489:1 gene:ONIVA08G23670 transcript:ONIVA08G23670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRLEDEEAVKMCRDRRDFIKQALEQRNRFASSHFAYIESLRRVSMALQWFVAGDDHHELIFDPFISPLKQQKPELLGLPYGSYEKRTIHVSKYLRSGPNPSVSVEEQPRPVETIRIESHYPTDNFSGMDRFFAAQSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMSYDRPSYPAASPQEPTRTSYYASYDRPSYPPPSPQEQESSQWDFFWNPFSSLDSFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQQHEQVHQKEEQVDDDDNDDSDDDDDDDDDDDDDGDDECDHSDERCMPSNGGACPVKTEVNGKQETKGFESKGVQCEPRNKVELEIKAHKKELMRNKVANAEETPGFTVYLNRRPASLAEAMKDIDSQFLGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDNETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLHTRMKVSIHTVQSISARIEVLRDEEMQPQLMELIRGLSRMWRTMAERHKAQKRTIDDAKLLFLQRHPTAAAATATAIALSAPDAATPPPAAVALECEIRAWRGALETWLSAQRAYARALAAWARRCLGIGGAGAGGTAAAALPLAFAVCMEWGHAVDAASEARVMDGLDFFVAGVGSVCSGVATGMEGMAGRVLCAGMAAVTGAMAEFADASADGYDALVSAVAAGAPGRRKEEPTCGPQIWDVGLHVFRYFERRLDSSGAATPRLCSSPLLFSSSFAFASPNPCLSLSLSLSLSLSSSSSSAGDHGPHPAAPSSPSGGGEHPRSGSRLLLLLLGWGGVWPRRPASRFGGDSQEAAGWNRAEESAVRLIGGTGSGNWSKDFGAFDSSLGSLSGEGLGFVDNNSGVYGGWRESVPNRSGSAPPSMEGSLAALGHMIGQQSGNLEATLGGKLGHVADSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLMSRESRRFMNRVGKVKEWRVVSQDDSNKGSLFIPRSTLSTHREEPEDDRSPRLDSSSAEDAQGSGKSGSNFDSHYTCMNLGDFASESFQQKAASLYDSSTHPSNSNTGDGISDHSDINSSTNFSIDAVKTSGLNSWTPVPVTNTVRSTHSNSISSTSVPSSSSPDNNPSMQTSQQEKPSIDIKHGNDVPGSGSILTELDTVNSNMKNLRISLDSHDTIHVKQQWPDNVLQQFGPSPLVQGDPIQMIPQGTHLPHVPFVENLSHTQLKLPTGDMQQFLPPPGMTTPFYAPNSFGSPYYQNLHPASVLPTPFGTAGYALSGSALPPVMTSYAPQVSVATPVDSPITPSFSGRPSGFPSPGNLSAGAEFVQPYKMYGQQLGVTMQPSVPDPNFFQFFQHPSLLSYAGINQYNTMGPRVSVVGNPADSFDPQKVLSQPAYPSDQRLQLPRTGIYNSPAARRGGAAPNYQGMTPYVGAPMTYPTSPVFQGQTFTGVFSPGRRNDSVRFQTPSRNMTAYSGVQGQREREKFDDPKACSFLEELKSNRARRVELSDIAGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLVGHVLPLSLQMYGCRVIQKALEVMELDQKIELVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILESACVLAQDQYGNYVTQHVLERGRGHERTQIISKLAGQVVTMSQNKFASNVIEKCFQHGDMTERDLLIREIVKQTEGNDNLLAMMKDQYANYVVQKILETCNEQQRELLLSRVKGHLQALRKYTYGKHIVSRVEQLCGEGDTESDS >ONIVA08G23670.3 pep chromosome:AWHD00000000:8:24259658:24275489:1 gene:ONIVA08G23670 transcript:ONIVA08G23670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRLEDEEAVKMCRDRRDFIKQALEQRNRFASSHFAYIESLRRVSMALQWFVAGDDHHELIFDPFISPLKQQKPELLGLPYGSYEKRTIHVSKYLRSGPNPSVSVEEQPRPVETIRIESHYPTDNFSGMDRFFAAQSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMSYDRPSYPAASPQEPTRTSYYASYDRPSYPPPSPQEQESSQWDFFWNPFSSLDSFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQQHEQVHQKEEQVDDDDNDDSDDDDDDDDDDDDDGDDECDHSDERCMPSNGGACPVKTEVNGKQETKGFESKGVQCEPRNKVELEIKAHKKELMRNKVANAEETPGFTVYLNRRPASLAEAMKDIDSQFLGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDNETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLHTRMKVSIHTVQSISARIEVLRDEEMQPQLMELIRGLSRMWRTMAERHKAQKRTIDDAKLLFLQRHPTAAAATATAIALSAPDAATPPPAAVALECEIRAWRGALETWLSAQRAYARALAAWARRCLGIGGAGAGGTAAAALPLAFAVCMEWGHAVDAASEARVMDGLDFFVAGVGSVCSGVATGMEGMAGRVLCAGMAAVTGAMAEFADASADGYDALVSAVAAGAPGRRKEEPTCGPQIWDVGLHVFRYFERRLDSSGAATPRLCSSPLLFSSSFAFASPNPCLSLSLSLSLSLSSSSSSAGDHGPHPAAPSSPSGGGEHPRSGSRLLLLLLGWGGVWPRRPASRFGGDSQEAAGWNRAEESAVRLIGGTGSGNWSKDFGAFDSSLGSLSGEGLGFVDNNSGVYGGWRESVPNRSGSAPPSMEGSLAALGHMIGQQSGNLEATLGGKLGHVADSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLMSRESRRFMNRVGKVKEWRVVSQDDSNKGSLFIPRSTLSTHREEPEDDRSPRLDSSSAEDAQGSGKSGSNFDSHYTCMNLGDFASESFQQKAASLYDSSTHPSNSNTGDGISDHSDINSSTNFSIDAVKTSGLNSWTPVPVTNTVRSTHSNSISSTSVPSSSSPDNNPSMQTSQQEKPSIDIKHGNDVPGSGSILTELDTVNSNMKNLRISLDSHDTIHVKQQWPDNVLQQFGPSPLVQGDPIQMIPQGTHLPHVPFVENLSHTQLKLPTGDMQQFLPPPGMTTPFYAPNSFGSPYYQNLHPASVLPTPFGTAGYALSGSALPPVMTSYAPQVSVATPVDSPITPSFSGRPSGFPSPGNLSAGAEFVQPYKMYGQQLGVTMQPSVPDPNFFQFFQHPSLLSYAGINQYNTMGPRVSVVGNPADSFDPQKVLSQPAYPSDQRLQLPRTGIYNSPAARRGGAAPNYQGMTPYVGAPMTYPTSPVFQGQTFTGVFSPGRRNDSVRFQTPSRNMTAYSGVQGQREREKFDDPKACSFLEELKSNRARRVELSDIAGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLVGHVLPLSLQMYGCRVIQKALEVMELDQKIELVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILESACVLAQDQYGNYVTQHVLERGRGHERTQIISKLAGQVVTMSQNKFASNVIEKCFQHGDMTERDLLIREIVKQTEGNDNLLAMMKDQYANYVVQKILETCNEQQRELLLSRVKGHLQALRKYTYGKHIVSRVEQLCGEGDHPLLLFTSNCILSLETPSQILEKLAYFFTGGVCLEPSR >ONIVA08G23670.4 pep chromosome:AWHD00000000:8:24260027:24275489:1 gene:ONIVA08G23670 transcript:ONIVA08G23670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRLEDEEAVKMCRDRRDFIKQALEQRNRFASSHFAYIESLRRVSMALQWFVAGDDHHELIFDPFISPLKQQKPELLGLPYGSYEKRTIHVSKYLRSGPNPSVSVEEQPRPVETIRIESHYPTDNFSGMDRFFAAQSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMSYDRPSYPAASPQEPTRTSYYASYDRPSYPPPSPQEQESSQWDFFWNPFSSLDSFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQQHEQVHQKEEQVDDDDNDDSDDDDDDDDDDDDDGDDECDHSDERCMPSNGGACPVKTEVNGKQETKGFESKGVQCEPRNKVELEIKAHKKELMRNKVANAEETPGFTVYLNRRPASLAEAMKDIDSQFLGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDNETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLHTRMKVSIHTVQSISARIEVLRDEEMQPQLMELIRGLSRMWRTMAERHKAQKRTIDDAKLLFLQRHPTAAAATATAIALSAPDAATPPPAAVALECEIRAWRGALETWLSAQRAYARALAAWARRCLGIGGAGAGGTAAAALPLAFAVCMEWGHAVDAASEARVMDGLDFFVAGVGSVCSGVATGMEGMAGRVLCAGMAAVTGAMAEFADASADGYDALVSAVAAGAPGRRKEEPTCGPQIWDVGLHVFRYFERRLDSSGAATPRLCSSPLLFSSSFAFASPNPCLSLSLSLSLSLSSSSSSAGDHGPHPAAPSSPSGGGEHPRSGSRLLLLLLGWGGVWPRRPASRFGGDSQEAAGWNRAEESAVRLIGGTGSGNWSKDFGAFDSSLGSLSGEGLGFVDNNSGVYGGWRESVPNRSGSAPPSMEGSLAALGHMIGQQSGNLEATLGGKLGHVADSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLMSRESRRFMNRVGKVKEWRVVSQDDSNKGSLFIPRSTLSTHREEPEDDRSPRLDSSSAEDAQGSGKSGSNFDSHYTCMNLGDFASESFQQKAASLYDSSTHPSNSNTGDGISDHSDINSSTNFSIDAVKTSGLNSWTPVPVTNTVRSTHSNSISSTSVPSSSSPDNNPSMQTSQQEKPSIDIKHGNDVPGSGSILTELDTVNSNMKNLRISLDSHDTIHVKQQWPDNVLQQFGPSPLVQGDPIQMIPQGTHLPHVPFVENLSHTQLKLPTGDMQQFLPPPGMTTPFYAPNSFGSPYYQNLHPASVLPTPFGTAGYALSGSALPPVMTSYAPQVSVATPVDSPITPSFSGRPSGFPSPGNLSAGAEFVQPYKMYGQQLGVTMQPSVPDPNFFQFFQHPSLLSYAGINQYNTMGPRVSVVGNPADSFDPQKVLSQPAYPSDQRLQLPRTGIYNSPAARRGGAAPNYQGMTPYVGAPMTYPTSPVFQGQTFTGVFSPGRRNDSVRFQTPSRNMTAYSGVQGQREREKFDDPKACSFLEELKSNRARRVELSDIAGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLVGHVLPLSLQMYGCRVIQKALEVMELDQKIELVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILESACVLAQDQYGNYVTQHVLERGRGHERTQIISKLAGQVVTMSQNKFASNVIEKCFQHGDMTERDLLIREIVKQTEGNDNLLAMMKDQYANYVVQKILETCNEQQRELLLSRVKGHLQALRKYTYGKHIVSRVEQLCGEGDHPLLLFTSNCILSLETPSQILEKLAYFFTGGVCLEPSR >ONIVA08G23660.1 pep chromosome:AWHD00000000:8:24248388:24254230:1 gene:ONIVA08G23660 transcript:ONIVA08G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1666) [Source:Projected from Arabidopsis thaliana (AT3G20260) TAIR;Acc:AT3G20260] MDFFKIKKLGKARKSSGGGGEVVESEEETKAGNNAASDEQKGKILEDDPAAAAAGAGMDADAGNGAVEGQEEDDDDDDFITNEVKRRLKELRKNSFMVLIPEEECAEVEEDGEEEEEEGSSSREWMESDVGDGFPLCGFDSLYEKYCERMAVFDKMITQLLKDPGSFNISKKSPRSASKLASTLRNLSFKRRDDLQEDCEHLQQQQSEDDPYQTLETAYVGHVSLSWEALHCMYVHLSLILAAQPDNPTTYSCAAQAFQQFQVLLQRFVENEPFEQGSRVEIYARSRSSLSKLLQVPTFQVADGKYNAEDQVEPSIFASDLIKLLEESIMTFRLFLKKDKKKNSALMSVHSHTGSSIQQVQSSLDKKEVKVKELFKKKKGWKSKTWPATMEEVQLLFALIDIKVVSRVLRMAKLSKEQLLWCEEKMSKLDLSDNKLRRDGSPILFPC >ONIVA08G23650.1 pep chromosome:AWHD00000000:8:24240571:24245043:-1 gene:ONIVA08G23650 transcript:ONIVA08G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRLLLLLAAAVVLVIATGVAAQEEAAEAVVEVEEGIVERAKEEAEAVALRAELQQLRDKISGLESGISERSKELKAKDDSIAKLEKLIEEKSQKIASLQSEITSLQAKGSVAAEEQAGKANARAVELEKQIDKLKKDVEAQSVKKATLENRANDAKRRVQELNAKIDALQKTNDEQKRKLQSTERALKVAEEELMRLHLEATTKSKQLTEVHGAWLPPWLAAHSAHYVEVISGHWNEHGKPAINSFLQKASEKSAQAKKWAEPHVETAKMKWVPVKEKLVVLKKNTEPYVQKVSSKSVEVYEASRDAVKPHVAKVKEFADPYFQEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVRVYGSFLESATTYHRQAQSTILDYLHQHEVSKSLATKELVWFLASALLAIPVYIIYRLLMEAFCSKKPKRPPHGDLGAESAMVEGYIWVMGNPEKCCIPP >ONIVA08G23650.2 pep chromosome:AWHD00000000:8:24240573:24245043:-1 gene:ONIVA08G23650 transcript:ONIVA08G23650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRLLLLLAAAVVLVIATGVAAQEEAAEAVVEVEEGIVERAKEEAEAVALRAELQQLRDKISGLESGISERSKELKAKDDSIAKLEKLIEEKSQKIASLQSEITSLQAKGSVAAEEQAGKANARAVELEKQIDKLKKDVEAQSVKKATLENRANDAKRRVQELNAKIDALQKTNDEQKRKLQSTERALKVAEEELMRLHLEATTKSKQLTEVHGAWLPPWLAAHSAHYVEVISGHWNEHGKPAINSFLQKASEKSAQAKKWAEPHVETAKMKWVPVKEKLVVLKKNTEPYVQKVSSKSVEVYEASRDAVKPHVAKVKEFADPYFQEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVRVYGSFLESATTYHRQAQSTILDYLHQHEVSKSLATKELVWFLHSAVRSRRDHLMAVTTAIGDTSAGMLINLGAESAMVEGYIWVMGNPEKCCIPP >ONIVA08G23650.3 pep chromosome:AWHD00000000:8:24240573:24245043:-1 gene:ONIVA08G23650 transcript:ONIVA08G23650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRLLLLLAAAVVLVIATGVAAQEEAAEAVVEVEEGIVERAKEEAEAVALRAELQQLRDKISGLESGISERSKELKAKDDSIAKLEKLIEEKSQKIASLQSEITSLQAKGSVAAEEQAGKANARAVELEKQIDKLKKDVEAQSVKKATLENRANDAKRRVQELNAKIDALQKTNDEQKRKLQSTERALKVAEEELMRLHLEATTKSKQLTEVHGAWLPPWLAAHSAHYVEVISGHWNEHGKPAINSFLQKASEKSAQAKKWAEPHVETAKMKWVPVKEKLVVLKKNTEPYVQKVSSKSVEVYEASRDAVKPHVAKVKEFADPYFQEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVRVYGSFLESATTYHRQAQSTILDYLHQHEVSKSLATKELVWFLASALLAIPVYIIYRLLMEAFCSKKPKRPPHGDLGAESAMVEGYIWVMGNPEKCCIPP >ONIVA08G23640.1 pep chromosome:AWHD00000000:8:24235559:24237979:-1 gene:ONIVA08G23640 transcript:ONIVA08G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEVPGPPEADPLEGIITFTLNLADVDKEQLTLILYPSCANTLLRGHHLLVEIAPPHPSMVIYHPFLPHHARQWLLYHPFGGRRSFYPATYPGKEWIYDIILERGSFLSSEETKIEASYHKLIPSGRYCFGPNEWYFGFGYYGDEQLDIALAPMSGSFLIVSGIMYYCVGSFLSVSGIRFPKDSRKGQMHVEQGIQSQDMMRVLSSEKVLKMQETHNVTGHGEGFKLRKGSEDAGDTQYKY >ONIVA08G23620.1 pep chromosome:AWHD00000000:8:24213516:24215042:-1 gene:ONIVA08G23620 transcript:ONIVA08G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSVGVHGILLLAAAAVLAQCLLAAAVNTPVVQCASGTTKCTVTNAFGAFPDGSTCHVAAAAFPATEEELVRVVAGAAASGTKMKVATRLGHNFMKLSCPGGDGLVISTNALNRVVGVNAARMEITVESGVTLSELIDAAAHAGLALPHAPYWLDLTVGGLLSTGAHGSSVSGKGGAVHEYVTGMRVVTPAPASEGYAKVRVVNAGDPDLDAVKVSLGVLGVISQVTLALQPLFNRSVSFRRRGDGDLAERAVAFAGEHEFGDVVWYPARGEAVFRIDDRVATTKTNTISSGDFQNVIQSSRSTEQQQHGDVLPFQAGISVPLSRASAFIRDAQRLLDMNPGALCGGENGGILIRYVKASTAYLGKTEDSVEFDTTSCRHDGDAAMPEEMEQMALRKYGGVPHWGKNRNVAFDGAIAKFPKAGEFMKVKDAYDPDGLFSSEWSDQVLGVAGAGGVNVARNGCGMEGLCVCSEDEHCSPEKGYLCRPGMVYRDARVCRRVAGDAYADA >ONIVA08G23610.1 pep chromosome:AWHD00000000:8:24208569:24209278:1 gene:ONIVA08G23610 transcript:ONIVA08G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKASTVAEKVPKKPRREDTATADGGPTVEDEPTVVAPGCPEFIGGLVVSFCRRSGSVVPAAHHAPSTEQREGSRKAPCPAGPSFKADPHHTVEVNFPVRSTRCAGYFDFS >ONIVA08G23600.1 pep chromosome:AWHD00000000:8:24206083:24207030:1 gene:ONIVA08G23600 transcript:ONIVA08G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQQRRRSPPTILAAILLLSFLATANLAGAIDPAGRRRNVVVFWGGNKNEGSLRSVCDSGLYNIVIISFYSLFGHGRYWDDLSGHDLRHIGADITHCHFKAVYVLLSIGGGDGKDYSLPSSKSAADVADNLYNSFLGGSRPGVYHPFGDDVTVVGIDFFIDRGQPDHYYEIAERINYDTRHWRDPIGFKLTATVSCAYDDSDPRMKKALETYLFRRIHVRFYDDPRCSYNHAGLAGVMAQWNRWSASYPYNGKIYLGLAAANLTGKNDMVAVGELYRKLLPAVQKTDTYGGVMLWNSYYDSITHYGRYVSAWA >ONIVA08G23590.1 pep chromosome:AWHD00000000:8:24202671:24203564:-1 gene:ONIVA08G23590 transcript:ONIVA08G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLPFALAAALLLTIISGHLAAPATADGYVGGLAIYWGRHADADEGTLRQACDTGRYTTVIITFYNVFGYHPGNYNLDISGHDVTAVGADIIHCQKSRNVTILLAIGGYGGGYSLPTSQSAADVADNLWNAFLAGRRAGVSRPFGHDAAVDGVDFFIDQGGADHYDELARRLHGYGAGVILTATTRCSYPDHRLEKALATKVFDRIHVRMYGAGEIERRCVISSRYSWEKWAAAYPGSKVYIGLVASPEQDEAWVFQKDLYYEYLQFVTKLPNYGGLAVYDRYYDKKANYTGEG >ONIVA08G23580.1 pep chromosome:AWHD00000000:8:24185965:24186177:-1 gene:ONIVA08G23580 transcript:ONIVA08G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTYNDKPFDAKVMACMEIYPWKVTELRGGLEWPLHVFGFIAVRDLLDHKSNFIFNRDRDDAQRLTGTA >ONIVA08G23570.1 pep chromosome:AWHD00000000:8:24185473:24201653:1 gene:ONIVA08G23570 transcript:ONIVA08G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGVEAVLRKILRFHSHQISQETKITRVRKGMRGLSGESTIRLHHSQESSEPIRFEVNIYRFSKNLPMQCRFHKHRLWIYCNPELHRYCGYTATPSYTDTNTSITYVGDEGFIEAGINHNVDLNNLQQTDLARRYSTIRLDLRPFQEKIYPGSNMTHALVLLSFFRNTVKFGPNRYQFGTDDHQIRFPDDPRDRIWQKYEDVSEWTDVPDTVNGIVQNSPNDTYNVPSAVMRSVSTPLNDSRMDLSWSSDSSMNVDIATKFFVVLYFAEVEAIQGNALRQFDIILDNNTLVSTFSPISMMTSVFSGIVQGSGSHGISLVATSISNLPPLVSAMEIFVVRPLNESSTYSEDAHSMMIIQTKFSVKRNWAGDPCSPATFSWDDLNCSYTPHGPPRITGLDLSHNNLSGPIPDFLGQVPSLIFLDLSSNNFSGSIPTNLLQKSQEGLLTLRTENNPNLCGTDKCGLILNQSKRKTKLVLEVVPPVVLVFVVLLILAIFWYCRKKRPDVTGATNPFENRRFKYKELKLITDSFKTIIGRGGFGPAQHLARVHHRNLVSLIGYCNDKKHLALVYEYMDGGSLADHLRDSMISFSQVKKIFTLNPSLGCNALTLRWTLPMVTNKLRRTSHLSEKSDVYSFKVVLLVLITAQPAIIPISDTGKKNILLWVQERLAEGDIEGVTDPRIRADYDLNSMEGGRSRSALH >ONIVA08G23560.1 pep chromosome:AWHD00000000:8:24185382:24185645:-1 gene:ONIVA08G23560 transcript:ONIVA08G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWSDYDSVEQWWSLMVDSPDNPRIPLRTLVILVSWEICTASTPVSIVAKIKEEARAWVKARASKLQEFDILGDIT >ONIVA08G23550.1 pep chromosome:AWHD00000000:8:24183195:24183989:1 gene:ONIVA08G23550 transcript:ONIVA08G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVVLTAAVALALVNAVNSHDFAAHLASVDCRMGLAGPARCPASGFVELLVPALHSRMH >ONIVA08G23540.1 pep chromosome:AWHD00000000:8:24183179:24183495:-1 gene:ONIVA08G23540 transcript:ONIVA08G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGHFAALPPARVHGDAIERRSKTASTHPITCSAGTRSSTKPEAGHRAGPASPMRQSTLARCAAKSCELTALTSASATAAVSTTARSIVTNT >ONIVA08G23530.1 pep chromosome:AWHD00000000:8:24176281:24176571:-1 gene:ONIVA08G23530 transcript:ONIVA08G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIADEVVLIDSGDRPVPVDGDGVVQISRRVVVVDKDGVLKLNARAWRGNSDGVDVAGEDDAEFTAQSARTSGAILDVGFAKLSVTAFWSLIPFV >ONIVA08G23520.1 pep chromosome:AWHD00000000:8:24167892:24174304:-1 gene:ONIVA08G23520 transcript:ONIVA08G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHPFPKRRHLMAPPPRSASAAAATAGGGFIPDDIPDDILFSEILVRLPAKCLVRFQSVCKLWRATIISTSFARRHLEHSRPRPSMVVMPRMFLSNPKKFKLQGVTFYRFQPGQSKVAELILEKRFPRGIPMFSMPLHCDGLILIPCVSGEIFVCNLRKHTVRKPQCCTGAQGRLRVRPLEWQALPPLISGGESRAGHEILTLGDGEDCWKWKATIDPPYPINARTPICLPGFFYWSALHSTTGHGLSKCDLRDGSLEEVIDMARDLTYDHRNGIKFRIGELPVAHYMLPCVESIVRIRP >ONIVA08G23510.1 pep chromosome:AWHD00000000:8:24164436:24166346:-1 gene:ONIVA08G23510 transcript:ONIVA08G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVPAARHRWLPWNGLARGSSRTPQCHAAAAAVSSWHVHDSSSPCASSWSWASQVGDRIQLRPFSAAPAIVGDGIEDVDDRRVKQMRRRRDARIAQKAFMEYLHVTRGICFSDAEHMSKRSPIFISKLLEKVKDAAKEPTEEGEEVPFRSKVKKREMRDERVSKALVRLFNFHPINEFEPFFESIGIAPGEYEPLLPRDLMFLNDDETMLDNFRVLCNYGIARTKIGRIYRDATEVFSFGHGVLASKLKALEDQGLSKTSVIKLVMSSPVILVRDPNVELKILRWLDDVGIQRDWLGRFLSVKKSYNWGKMVQVPQFFSDLGFTNEGIGKLVRQNPDFLFSGSGKMLFSAVLVMLKAGFGKKELVDLFLNFPNLSVDNFTRNLRRGIFFLAEIGVSEEDIKKFVVSNGSILGSVQLKKPNSIMTHLSVGKKRLCRMVMEDPQLLMKFSLGAKVSRIPKVDLHEASFKEKVKFLQNLGFMEGSERMTRGLKAFRGKGDELKDRYDFLVKTGLDPEHVVQMITMAPHVLNQKIHVLESKISFLVNDMGYPLSTLVFFPSFLSFTVERTKLRFLMYNWLLEKGVIATGLALSTLLATADKHFVKRYVVRHPMGLEVWEKFKTEVASTKNNTPCTPDD >ONIVA08G23500.1 pep chromosome:AWHD00000000:8:24157425:24162971:1 gene:ONIVA08G23500 transcript:ONIVA08G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEERRRFSNLRGVRWRVDLGILPASPGASVDEHRRAAADSRRRYVSLRRRLLVDPHVPKEEGRSSNLIVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPTCQAMLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDPKWSFGADNQNDSERSSKSNTLDELDPDTKEIILLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGSGVVRMAEFFSPSSVGSSSNLPPVIEASSALFHLLSIVEPTLHNHFIELKVEPQWFALRWLRVLFGREFCLNDLLVVWDKVFACSNNMLLSSDEEYNFRILCSDRGAFIAAMAVSMLLHIRSSLLATELDVFCLQRLLNFPTNIDVQKLIEKANSLQSIAIDANTSSSSFLLKRDSYEFDRVHSNLSSSTPPRTPLHPVSESYWEEKWRNVHKDGTTPKEVERGNSFSKQLKKSLTQKLGLSRTESDPSPVKVLSVSSNDTQNSVRRCLLNTLSDDLDRSNELAGKTQEDEFPIISVHREHPLSSAEPSKLKAAGENVTVSASCVAKLSPLKKSVVEPANENATQGTKCVPEACSSGENSPVFYAARASAGNEPLNGQDTDSESSSVTSNSFAGDHDRDEILKDEPSSSNDDNKTIQDSEAASSDKSPDRNGTSERAVVSNERKPFISKFQWLLKLGRPSVEGNMEKSSGETSADKQDGDTSCSPSDGNSNNSRGSVKLASGDKKVMGSLKNLGQNMLENIQVIESAFQQDRGQPGPVENFSNNILGGKGQVTAMAALTELRKISNLLSEM >ONIVA08G23500.2 pep chromosome:AWHD00000000:8:24157425:24162971:1 gene:ONIVA08G23500 transcript:ONIVA08G23500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEERRRFSNLRGVRWRVDLGILPASPGASVDEHRRAAADSRRRYVSLRRRLLVDPHVPKEEGRSSNLIVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPTCQAMLRRILLMWCLQHPEYGYRQDTDMVFSYKPRKDPKWSFGADNQNDSERSSKSNTLDELDPDTKEIILLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGSGVVRMAEFFSPSSVGSSSNLPPVIEASSALFHLLSIVEPTLHNHFIELKVEPQWFALRWLRVLFGREFCLNDLLVVWDKVFACSNNMLLSSDEEYNFRILCSDRGAFIAAMAVSMLLHIRSSLLATELDVFCLQRLLNFPTNIDVQKLIEKANSLQSIAIDANTSSSSFLLKRDSYEFDRVHSNLSSSTPPRTPLHPVSESYWEEKWRNVHKDGTTPKEVERGNSFSKQLKKSLTQKLGLSRTESDPSPVKVLSVSSNDTQNSVRRCLLNTLSDDLDRSNELAGKTQEDEFPIISVHREHPLSSAEPSKLKAAGENVTVSASCVAKLSPLKKSVVEPANENATQGTKCVPEACSSGENSPVFYAARASAGNEPLNGQDTDSESSSVTSNSFAGDHDRDEILKDEPSSSNDDNKTIQDSEAASSDKSPDRNGTSERAVVSNERKPFISKFQWLLKLGRPSVEGNMEKSSGETSADKQDGDTSCSPSDGNSNNSRGSVKLASGDKKVMGSLKNLGQNMLENIQVIESAFQQDRGQPGPVENFSNNILGGKGQVTAMAALTELRKISNLLSEM >ONIVA08G23490.1 pep chromosome:AWHD00000000:8:24147585:24148978:-1 gene:ONIVA08G23490 transcript:ONIVA08G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRLMAADSRSPRDGKHLEVLGYYDPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVERILYRAGILPPPPMLAMAHKGGPRDRRPIDPMTGRPLDLEGVTVVDDSHTPKSGDGAPNEETA >ONIVA08G23480.1 pep chromosome:AWHD00000000:8:24144932:24146427:-1 gene:ONIVA08G23480 transcript:ONIVA08G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAGRRRHAAAGHDGVQARPGAERAGPGAAAAAAAGAAACQTGDCGGRLECGGTGATPPATLFEVTLGKVGAGAGAGDLDYYDVSLVDGYNLPVVAVPQAGGATGGGGGCATTGCTADLNRSCPKELQVDGVDGGGGSGTVACRSACEAFGEEEYCCSGAYATPATCRPTAYSAIFKTASPSPANGQNGAGGSTPPPAGNNGAGISSYQPPPTEDINGAGSADQPAWMTMPSSASKRMMPSSSAASTRHNQLWSLLLLLPALLLFHFKQELL >ONIVA08G23470.1 pep chromosome:AWHD00000000:8:24140993:24145212:1 gene:ONIVA08G23470 transcript:ONIVA08G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILYYVVESGSEAAAATAAAVLTAPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQLYGEGVYCVGKDYLRRCAKGSDSVERFAAVVGWSISTTRPPIFGFAPYNPVLGETHHVSSGSLHVLLEQVSHRPPVSALHATDDDGEIELVWCQNPVPKFHGTSVEATVKGMRHVKLLKFSENYEIDCPNLLIRLLPAPSVEWSGTVRIVCKESELEAELIYYRSNAFLGLGGDPRCVKGKIFSSRSGEIICEIDGHWDRIVSAKDAKTGKVSVLYDAESAIADLKTPVVRNQEGVSPSESVVVWGEVSDAILKKDWERSSQAKRRVEDTARRLDRERNDKGEVWIPKHFSLSQDKNGSWECSPLETSVPPAPIIVPS >ONIVA08G23470.2 pep chromosome:AWHD00000000:8:24140161:24145212:1 gene:ONIVA08G23470 transcript:ONIVA08G23470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQVESGSEAAAATAAAVLTAPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQLYGEGVYCVGKDYLRRCAKGSDSVERFAAVVGWSISTTRPPIFGFAPYNPVLGETHHVSSGSLHVLLEQVSHRPPVSALHATDDDGEIELVWCQNPVPKFHGTSVEATVKGMRHVKLLKFSENYEIDCPNLLIRLLPAPSVEWSGTVRIVCKESELEAELIYYRSNAFLGLGGDPRCVKGKIFSSRSGEIICEIDGHWDRIVSAKDAKTGKVSVLYDAESAIADLKTPVVRNQEGVSPSESVVVWGEVSDAILKKDWERSSQAKRRVEDTARRLDRERNDKGEVWIPKHFSLSQDKNGSWECSPLETSVPPAPIIVPS >ONIVA08G23460.1 pep chromosome:AWHD00000000:8:24132577:24135254:-1 gene:ONIVA08G23460 transcript:ONIVA08G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17365) TAIR;Acc:AT3G17365] MTGGGGGGGGTAAQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLAVYVRRHHRLLLAGCGNSVFGENMVDDGYQDIVNIDISSVVIDQMKKKYRDKPHLKYMKMDVRNMAEFESGSFDAVIDKGTLDSIMVSPFLPVYNILKFSSFMCGQNSQENASQMLEEVNRILKDKGVYILITYGDPSYRLRLLKDLQLWTVKLHVIDRWERSREPSWELTKPLPLDGDSTSVVSLLGPKPDVHYIYVCIKGSDDGKLHLDSRAEVNGEA >ONIVA08G23450.1 pep chromosome:AWHD00000000:8:24128488:24131159:-1 gene:ONIVA08G23450 transcript:ONIVA08G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGTAAASLPALPSHQPRSRLAPRSLALPGGRSCCGPLRAAAAGGGGGAKDDAQAGVTPNGSPVIKPKSDSALSSQNGVLGSTKTDKPHTTLSTHTTTDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCTVMSGMHHRRTGYPFGSLVDFSNDSMGHPIFSLSPLAIHTRNLLSDPRCTLVVQVPGWSGLSNARVTIFGDVYPLPEDQQEWAHKQYVAKHQQWASQQWGNFYYYRMQNISDIYFIGGFGTVAWVDVKEYEAIQPDKIAVDGGEQSLKELNAIFSKPLREFLSSEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKRALHKIIKTTSK >ONIVA08G23440.1 pep chromosome:AWHD00000000:8:24120664:24126955:-1 gene:ONIVA08G23440 transcript:ONIVA08G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVARASASPPPASASTGGDRKRGRSSPSLPPPPPGPPPQGPHGSKRHRRDEGGGGGFDRRRLGPGVGYDNPDDRRYGNDHGGAGGRGGYGDERGQGRNFNRAPDWTDSGRGGWNDGPANSRREGLMSYKQFMQELEDDVSPDEAQHRYEEYKSEYITTQKKAYFDLHKNDDWLKNKYHPTNLEIVMERRNELARTAANQFLQDLQNGSLDIGPGLTSSATNKSGNSVDDADANGKKGKLGKSQDDLYYAAPKAHPVSSEPRRIRIDVELAQALICKLDSEKGIENNVLSGSDHDRAEKDKSHGSMGPIVIIRGSSAVKGLEGAELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADSRTFNGASSNAAEWEKKLDSFWQDRIQGQDPMEILKAKDKIDAAASEVLDPHVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVQKHLKLKHADLVRELTSKVRENIYFDNYMSDPKAPGGTPIMQQPAPREKVRQRPPIESRLRDERGNRRFDRNDSPTHDGSSDNPDDAYDSYGDPVMHGAFPPDIPAPPVLMPVPGAGPLGPFIPAPPEVAMRMMRDQGGPPPFEPTGGPRPRRPGRGGLPMGGPSPILAAPLPPPHMHDPRKIRSYQDLDAPEDEVTGQPAKVTLTHPHWGRI >ONIVA08G23440.2 pep chromosome:AWHD00000000:8:24122048:24126955:-1 gene:ONIVA08G23440 transcript:ONIVA08G23440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVARASASPPPASASTGGDRKRGRSSPSLPPPPPGPPPQGPHGSKRHRRDEGGGGGFDRRRLGPGVGYDNPDDRRYGNDHGGAGGRGGYGDERGQGRNFNRAPDWTDSGRGGWNDGPANSRREGLMSYKQFMQELEDDVSPDEAQHRYEEYKSEYITTQKKAYFDLHKNDDWLKNKYHPTNLEIVMERRNELARTAANQFLQDLQNGSLDIGPGLTSSATNKSGNSVDDADANGKKGKLGKSQDDLYYAAPKAHPVSSEPRRIRIDVELAQALICKLDSEKGIENNVLSGSDHDRAEKDKSHGSMGPIVIIRGSSAVKGLEGAELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADSRTFNGASSNAAEWEKKLDSFWQDRIQGQDPMEILKAKDKIDAAASEVLDPHVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVQKHLKLKHADLVRELTSKVRENIYFDNYMSDPKAPGGTPIMQQPAPREKVRQRPPIESRLRDERGNRRFDRNDSPTHDGSSDNPDDAYDSYGDPVMHGAFPPDIPAPPVLMPVPGAGPLGPFIPAPPEVAMRMMRDQGGPPPFEPTGGPRPRRPGRGGLPMGGPSPILAAPLPPPHMHDPRKIRSYQDLDAPEDEVTVMDYRSL >ONIVA08G23430.1 pep chromosome:AWHD00000000:8:24117470:24120657:-1 gene:ONIVA08G23430 transcript:ONIVA08G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRLLTLLPPPPPESRSTGRDRSEESDVEGMLDDPFDIVPQKKKKEASSVDRLRRWRQAALMLNTSRHVICNLDLKKEEEKMREICRRASTSDTGDL >ONIVA08G23420.1 pep chromosome:AWHD00000000:8:24102858:24112852:-1 gene:ONIVA08G23420 transcript:ONIVA08G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEK4] MALGRSSPPAPEIRSPELDGAEDTDVEEKFDDAFDIPHKNASHDRLRRWRAAFLFKEAGEKDLREAYTGIKLETASRSFPIELEKLTALNRDHDSVLLQEVGGSLVSTTLFVQVKGLSDLLKSNLEKGISLNADDLLQRRGIFGANTYPRKKRKSILRFIFEACKDLTLIILMVAAAISLTLGMTTEGADEGWYDGGSIFLAVFLVILVTAISDYRQSLQFRHLNEEKQNIQVEVVRGGKRCGTSIFDLVVGDVVPLKIGDQVPADGVLISGHSLAIDESSMTGESKTVHKDKKEPFLMSGCKVADGYGSMLVTGVGTNTEWGQLMANLSEDNGEETPLQVRLNGVATFIGMVGLTVAGAVLVVLWIRYFTGHTKDPDGTTQFVAGTTRAKKGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVQAYFGGTMLDPCDDIRAVSCGATELLIEGIAQNTTGTIFVPEDGGDAELSGSPTEKAILSWGLKFFHFEQIGMDFNDARSKSQILHVFPFNSEKKRGGVAVQSDAGVHVHWKGAAELVLSSCKSWLALDGSVQPMSAEKYNECKKSIEDMATSSLRCVAFAYCPCEIERIPKEDIADWKLPEDDLTLLCIVGIKDPCRPGVKSAVQLCTNAGVKVRMVTGDNIETAKAIALECGILDANGAFVEPFVIEGKVFREMSEAARGDIVDKITVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDNFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRQPVGRRHAIYQIAILLIFDFSGRSILRLQNDSREDAEKTQNTFIFNTFVFCQIFNEFNARKPEERNVFKGITKNHLFMGIIAITTVFQILIIEFLGKFFKTVRLNWRLWLVSVAIGIISWPLAYLGKFIPVPVRPLQDYFKPTCWRRASRRDEEESGQS >ONIVA08G23410.1 pep chromosome:AWHD00000000:8:24098452:24102225:1 gene:ONIVA08G23410 transcript:ONIVA08G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSAVSSPNPAKIFGDPAPKISRTMQDPEEDDDDQQQQHPAARRCAACRYLRRRCADDCVLAPFFPASRPHRYACVHRVFGASNVARLLQSLPMAERGNAANTMAMEAYWRVQDPVYGCTGIINRLQEEIRAVQCELARTQAHLAIAVASSQQPPPPPPPPPLPSPPPPQQQQQQQEEQSPPPLLDPADEFLNLDGL >ONIVA08G23400.1 pep chromosome:AWHD00000000:8:24093046:24095554:1 gene:ONIVA08G23400 transcript:ONIVA08G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVARGGMMPAGHGFGKGKAAAVEEEEDEVNGFFVEEEEEEAAVSDASSIGAASSDSSSIGENSSSEKEGEEEGEEVESKAKEVAVEVEGGGLGFHGLGTLESLEDALPIKRGLSNFYAGKSKSFTSLAEAAAKAAAKEIAKPENPFNKRRRVLAAWSRRRASCSSLATTYLPPLLAPDHAVVEEEDEEDDSDAEQCSGSGGGNRRREPTFPPPRLSLHAQKSSLTPRSSNPASSFRSPRSFSLSDLQNAGSYN >ONIVA08G23390.1 pep chromosome:AWHD00000000:8:24078645:24079025:-1 gene:ONIVA08G23390 transcript:ONIVA08G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAAPPGDLVLPPLPPIKTTAPPPPPARTSSSTASPAPSDEARAPLKKEEEEEPTTPTSEESRLRAPTVCPPAPRKPARTAKRKSPPPPSSSPSPSTLVFFPVQRDLAAVFRSLPPKKRIRAG >ONIVA08G23380.1 pep chromosome:AWHD00000000:8:24064869:24065390:1 gene:ONIVA08G23380 transcript:ONIVA08G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSADGSDVSFSVGGETLIRAHRAVLAARSPVFMAELLGSMAEGTMPCVTLHDIEPATFRALLHFVYTDALPPRDILSPSFFKKLFAAADRFALDRLKLMCAQKLWESVTVETVAETLACAEMHSCPELKSRCLDFFVEENNFRKVVVTGGYLRLMQGFPSVIDEIKARLEI >ONIVA08G23370.1 pep chromosome:AWHD00000000:8:24061446:24064362:1 gene:ONIVA08G23370 transcript:ONIVA08G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIGLWPIIILALRALLHVVYIDALSPGASSAPTMTMTKLFESLESVSVETVAMTLACNKMHNYTELKARCLDFFMEESNFRKGVVTDDSLKAASTCIMVMGEEYPSSSGCSSKLAIGKYLPGIRISARELNAEIQLFPRGLKSDN >ONIVA08G23360.1 pep chromosome:AWHD00000000:8:24060014:24060721:-1 gene:ONIVA08G23360 transcript:ONIVA08G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVTHGMVASAMEPRSSALNTGDRAASTARCAWMSVSPPTEKDTSEPSGQPTMPARCAARLGGGTAMHGRHRRRSSPSSSRRTTRPHTNVATPSTTWDDSRSPRLMKRCHPMTRVPPASPTTDLRAPPRVLRRRRAMLVRQEDLEDGGVDPGVIVVDVIDEHEDRDVLAMAALDPTRVETDPRMVLPGRDLRFWQRIVDGDLLGFQIVELALDETGGQHVRVARMAIDRLIYR >ONIVA08G23350.1 pep chromosome:AWHD00000000:8:24055532:24061351:1 gene:ONIVA08G23350 transcript:ONIVA08G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSNFIEHKVEYLEIQKLARLPKLTISAGEHNACLLWWLSPRQQDNGEYLSLAVAMLNETDANTHLVFEIFFMGKDGLLAASQGFRFDAWWRFVKLSELDSRYVVTFICGLIILRNHNEPIAVPPSNLGNQLGIMVGSANGSDISFSVGGEMFHAHRAVLAARSPMFHAEFFLVEWQRVPSRASCWMTWSWRRSEHYCISYTSTPFCHERRQVNSSKPLWCADTYNCIALKKKCFEYFLEDNNCRKIVATEGGVGAREGNGDNDRSGIADWWRDPRPLMGRLDLGGIGGVAGERRWRWEADPVAGWEEIGDDGSSRGGTNNGSPTLLSSSTSSRYGQWGGGRLQRQQWRRQWVPTTLPSLSQIWSEVRQPVSSSGSSSMAASAAPPLVRPRLPSRRRCLHPLPPSRRLRRSILNLHHARAVSTLRLHHAASASLPLPQRRHRAASISSQSPLYQNSVRPRPRLNLSVLSFFGKKFGPHFSKTEITERPKTEIEFFDQSIDRHTCNTNMLASGFVECKLDYLESEKIAIDDSLPETKVSAGEHHARIRLYPRGIEGGHGEHVSIFMFIDDVDDDDPRIDAAVFEVFLTDKHGAPSPQHARRSTEAGGTRVMGWHRFIRRGDLESSHVVDGVATFVCGLVVLRDDDGDDRRRWRPCIAVPPPNLAAHLAGMVGCPDGSDVSFSVGGETLIHAHRAVLAARSPVFRAELLGSMAEATMPCVTLHDIEPATFRALLHLVYTDALPASSTSSSTAAAAVECIDFLMEDSNFKKAAVTDDYLHLYAELPVVINEIKARLQA >ONIVA08G23340.1 pep chromosome:AWHD00000000:8:24055424:24055764:-1 gene:ONIVA08G23340 transcript:ONIVA08G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISKTRWVLASVFHLVLDEIGDEIHHTWLGGDAEGWGGRSGDEDSDGTASEAGNASRWRA >ONIVA08G23330.1 pep chromosome:AWHD00000000:8:24054771:24055400:-1 gene:ONIVA08G23330 transcript:ONIVA08G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARRRRRARGLGDGAAAIHMCRVDHLNGSDVFFFVGGETFHAHPALLAACSPVFKALLLGSTAEAAACNITLNDIKPAMFEALLHFMYTGDFLPAGAHSSSPDSSDANTDMLHRLLAAAHEYKLDRLKLMCARKLEESLSVETVARTLGYAKMCGCSELKSKCLDFFLAKKNIKALATHGYFWLWHNFPSVIQEIKVFWSLERLQI >ONIVA08G23320.1 pep chromosome:AWHD00000000:8:24049554:24052598:-1 gene:ONIVA08G23320 transcript:ONIVA08G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHDGGGGGDGDSLFEGMVLFTPSLSVDPDPAPPVAEAPDPKPPTPRDDAAVAGADGAASQQQPPPPLDEDLFSDLTLLSPQSPVDLSGAHQGQDQDHSAAAPPSPVPAPAAPVAVLARQPSSSASSSLRKKKRAVRIGYGRSPQPAPPSPPAVAIAATTATAAATASVIHGSVLPDASMHHQSAPTIPIHHLEHLDNGVEQAAAVAVVDPDENSLHVKEEDGELEEDGGAGAEAMGIEERLALLRSQISGKLESIQQRATAVAAKRRQLAGRRRKVAEDVGSAASRHKDLERELEEACEAEDFERAEKISDSLAALEKDKDRLLTALRDAELNYDSVDLELQDVLDSRIAAEEEAASLLEHFAKDATDHTDSASKEAEEISLKEIEGWRESMELLETKKLETEVESELVLAARSGLEDSIEHLVEDDKREKDMLSKKGDTLAEELTELLKLVRLKEAEIAENNAQIQEVQERITAVVSRFYGSQTDIDLKLKTLQEAQTKMDSEAQALALKKNEIDSFISLAEQKDSKLREIINDCSSEAKACQQSVDIRRKLASSILKSREDRIGLLKMEEEILQDIQVLRQQTSDARISLQEISSRKAVIQQDIATFKQKLSFIDKRGPELEAEKRVAAAARNFKEAGRIAAEAKALNSEKEELHAKLEKAATDLEVIEKDITATTDKIQECEGLIILKEKESAMTSYKRLRLDAAAARAELTAATETDDSEEVEVLRKEAEAAESKAMELKTCYDLELDDNEIMFQPVVPIVFITNSTGQHLLEIASSFGLSP >ONIVA08G23310.1 pep chromosome:AWHD00000000:8:24047294:24048886:-1 gene:ONIVA08G23310 transcript:ONIVA08G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dihydroflavonol 4-reductase-like1 [Source:Projected from Arabidopsis thaliana (AT4G35420) TAIR;Acc:AT4G35420] MENTTKGKVCVTGASGYVASWLVKRLLESGYHVLGTVRDPGNHKKVGHLWNLTGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPAAVLDSAIKGTLNVLRSCKKNPSLKRVVLTSSSSTVRLKDEADLPPNVLLDETSWSSMEFCESLQIWYAIAKTLAEKAAWEFAKENGIDLVAVLPTFVVGPNLSHELSPTTTDVLGLFQGETTKFTMYGRMGYVHIDDVASCHILLYETPRAAGRYICNSAVLDVNELVTLLARRFPSYPIPKSLPCVYGEQTYGFSTAKVRELGMKFRDVEEMFDDAVDSLRAHGYLLNSVP >ONIVA08G23310.2 pep chromosome:AWHD00000000:8:24047294:24048886:-1 gene:ONIVA08G23310 transcript:ONIVA08G23310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:dihydroflavonol 4-reductase-like1 [Source:Projected from Arabidopsis thaliana (AT4G35420) TAIR;Acc:AT4G35420] MENTTKGKVCVTGASGYVASWLVKRLLESGYHVLGTVRDPGNHKKVGHLWNLTGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPVITETDSSKACYTNSVLDSAIKGTLNVLRSCKKNPSLKRVVLTSSSSTVRLKDEADLPPNVLLDETSWSSMEFCESLQIWYAIAKTLAEKAAWEFAKENGIDLVAVLPTFVVGPNLSHELSPTTTDVLGLFQGETTKFTMYGRMGYVHIDDVASCHILLYETPRAAGRYICNSAVLDVNELVTLLARRFPSYPIPKSLPCVYGEQTYGFSTAKVRELGMKFRDVEEMFDDAVDSLRAHGYLLNSVP >ONIVA08G23300.1 pep chromosome:AWHD00000000:8:24039696:24046200:1 gene:ONIVA08G23300 transcript:ONIVA08G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKTTNKDKMLRRRYSLNLPEHLPEHHVITSAEQSEKTISKSIADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRVSTQVDQEIHHQKSRKKADEGALRLRNIKESASYNLPTVSTVSDSKHGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIVRCISAVYCKLASQSSQNLADFETLSTPSFSSSSSTFSLKHRVDSWSPRCHYNVNTSSDKYDSLNEKSEQYNGMIICPRIYIDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKNTDMILKAAYNVGGLSVNAQIIQNSIIGCQSHRTSVWVRTLFTPLKKSASGSSIHPYALHPPEPLAHFALSTGAISDPPVRLYTAKKVNHQLDQARTEFIQASVIVRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLRRRIDKCVEWIPFKSSFRYTIHRSLAE >ONIVA08G23300.2 pep chromosome:AWHD00000000:8:24039696:24046293:1 gene:ONIVA08G23300 transcript:ONIVA08G23300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKTTNKDKMLRRRYSLNLPEHLPEHHVITSAEQSEKTISKSIADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRVSTQVDQEIHHQKSRKKADEGALRLRNIKESASYNLPTVSTVSDSKHGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIVRCISAVYCKLASQSSQNLADFETLSTPSFSSSSSTFSLKHRVDSWSPRCHYNVNTSSDKYDSLNEKSEQYNGMIICPRIYIDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKNTDMILKAAYNVGGLSVNAQIIQNSIIGCQSHRTSVWVRTLFTPLKKSASGSSIHPYALHPPEPLAHFALSTGAISDPPVRLYTAKKVNHQLDQARTEFIQASVIVRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLRRRIDKCVEWIPFKSSFRYTIHRSLAE >ONIVA08G23290.1 pep chromosome:AWHD00000000:8:24034113:24035155:-1 gene:ONIVA08G23290 transcript:ONIVA08G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTPARAEANSAARRRPSHPSELLLRAQPAAASRRAPPTHATSRGLPASSSPVPGWPWPPGDLLPGARPAAASRRAPPPLPVGCDLPASSSLVPARPRPPGCNAIFSTRPWSPVAHRLLPPPPPRRSHRSARLPRSRRPVAPAAPAELPPSRRSRHLVGWEVVHSFMDVFHVTDRLGCKLTNDSVITYIEQSLGMWNGPTRPMALEGLTALELTGADRTGLISEVFVVLADMDCGVVEGRTWTHRVHLGCLIFLRDEETDTERMA >ONIVA08G23290.2 pep chromosome:AWHD00000000:8:24034113:24034768:-1 gene:ONIVA08G23290 transcript:ONIVA08G23290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRCSRRPSRTKMAMEPVGPAAQWLLPLRRAPARLLPPPPPRRSHRSARLPRSRRPVAPAAPAELPPSRRSRHLVGWEVVHSFMDVFHVTDRLGCKLTNDSVITYIEQSLGMWNGPTRPMALEGLTALELTGADRTGLISEVFVVLADMDCGVVEGRTWTHRVHLGCLIFLRDEETDTERMA >ONIVA08G23280.1 pep chromosome:AWHD00000000:8:24026031:24027006:-1 gene:ONIVA08G23280 transcript:ONIVA08G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEI7] MAQLGQLDAESNVVVAPTATGDEGADIVLASLPTREGWWTAFVLYHGCWMTPQAATSVSLVRAQFAPRPDDVLLATYPKCGTTWLKALSFAIANRSRHPVAGAGDHPLLTTHPQDLVPFIETPFRHLHPLSALDALPSPRLLSTHLPHQLLPPRVAELGCRIVYLCREPKDVVVSTWHFMNKVGNGFFLDLDEAFELFYDDMMADPAGHVKKLAEFLRVPFTDEEVGTGVVVEVVRLCSFEKLSRLPVNFSGVVDRIGGRPMENSSFFRKAKVGDWKNNLTQEMAQKLDAVIAEKLKGSGLTF >ONIVA08G23270.1 pep chromosome:AWHD00000000:8:24023720:24024721:-1 gene:ONIVA08G23270 transcript:ONIVA08G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEI6] MAEGRQLEAQSVVVPTATGDKGDDILSSLPTREGWWTTFVLYHGCWMTPQTARSVSLVRAQFAPRDDDVVLATYPKCGTTWLKALAFAITNRSSHPVGAGDHPLLTQSPHDLVPFIELPFRHIHPLAAALDAIPSPRLLGTHMQHHLLPPRVDELGCRIVYLCREPKDVIVSLWHFINKVIDDDLSLDFDKAFEMFVDGFSSVGPIWNHYLGYWNKHVEEPDRVLFLKYDDMMADPTGHVKKLAEFLRVPFTDDEVDAGVVEEVVTLCSFEKLSRLPVNSSGVVDRIGGRLVHNSAFFRKGKVEEWKNYLTEEMAKKLDAVVEEKLKGSGLTF >ONIVA08G23260.1 pep chromosome:AWHD00000000:8:24020431:24020703:-1 gene:ONIVA08G23260 transcript:ONIVA08G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRDCRLGALLVVVVGGGAAVEASIHTYDREPFREVGNTFLLSGGSEGVVVDRADLATPASSFIPSHPIPPRHTLRVSVVRSGSGCQG >ONIVA08G23250.1 pep chromosome:AWHD00000000:8:24018938:24020322:-1 gene:ONIVA08G23250 transcript:ONIVA08G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSGEPTRGFRGGVGGGRRNLLQEVVVDICLSLDGRYGSGGRSSVSDSVVAGNNTRCTQSLNVEVAGLLPITLGQVRKIIDPELSFSCI >ONIVA08G23250.2 pep chromosome:AWHD00000000:8:24018978:24020322:-1 gene:ONIVA08G23250 transcript:ONIVA08G23250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSGEPTRGFRGGVGGGRRNLLQEVVVDICLSLDGRYGSGGRSSVSDSVVAGCWAPPHHPRAG >ONIVA08G23240.1 pep chromosome:AWHD00000000:8:24006152:24006484:-1 gene:ONIVA08G23240 transcript:ONIVA08G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRMRVCPLPIKPNIHHTRARSYSHERKTPTQGIYHGYSRTTDGVHQRRSH >ONIVA08G23230.1 pep chromosome:AWHD00000000:8:24001886:24002394:-1 gene:ONIVA08G23230 transcript:ONIVA08G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPLIAGSKLSLSIAGANVEPEPTTDRFVDIMLQVDKAESCKYKVSLASQQFVACHQQQQGRARQK >ONIVA08G23220.1 pep chromosome:AWHD00000000:8:23987185:23987550:1 gene:ONIVA08G23220 transcript:ONIVA08G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRRRAWCRMQPLSSSPLDMVIYGASASTVDARGWGGHCRISRVGRALLLQLAGGKGESGSAGRAWRRKEGGSGNKAGKGAAVVLDGRAIGHGAARWGSSASGPCELRGKGGDKERIRC >ONIVA08G23210.1 pep chromosome:AWHD00000000:8:23950956:23951465:-1 gene:ONIVA08G23210 transcript:ONIVA08G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALKQQGIKHMEGEGEETTSGAALVGAATFADAAKAASGAAAGGDGEKPLVKEPLPQVEVDFILAWKREPSPCPDDDHWALLSPEQRQLHEEIAAMGKEFEDSFEEFQDEVRREVEENGCYMVDESYYTDQAEMQAQLKEGWAAIDWSDVICADWDDFNDPNCCRSL >ONIVA08G23200.1 pep chromosome:AWHD00000000:8:23941061:23941439:1 gene:ONIVA08G23200 transcript:ONIVA08G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRIVSSWALCDPSLSADTHSCRSNTSAPLNPHKPPIPLAVMLSVDTG >ONIVA08G23190.1 pep chromosome:AWHD00000000:8:23923484:23931984:1 gene:ONIVA08G23190 transcript:ONIVA08G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPRHVASCLCLLLLVTAAAAQRLPVKSYSYGSFYRDDPSVSSLLFRGAAGVSNGALQVTPDSRNLNNFLSNKSGSVLLPEPFTLWRRLDAAAAAAGNGSSTSTRVVSFNTTFSMNVYYDNESRPGEGLAFVVAPTADGPPPGSHGGFLGLTNATLEATPATNRFVAVEFDTFKEPGGYDPDDNHVGLDVGTVASNKTASLAGFNITIATNKTAPANYTAWIEYDGAARRIAVYMGVRGAPRPATPVLASPLDLSELVPERAYLGFTASTGVSFELNCILDWNLTIETFPADKKSKGWVVPVAVAVPVAAIAAAAFVVARMASARRSMERRRQERLEHTLTNLPGMPKEFAFEKLRKATKNFDERLRLGKGGYGMVYKGVLPAAAVDDDDGRPPAATEVAVKMFTRDDAKCVDDFLKEVQIIHRLRHRNIVPLVGWCHKKGQLLLVYEYMPNGSLDQHIFRRGAVHEQRPALSWESRRDIVADVAAGLHYVHHEYGPMVLHRDIKASNVLLDASFRARLGDFGLARVLDLDRSSFTDLGVAGTRGYIAPEYSVGHKATRQTDVFAFGVLVLEVVTGRHALLGDPACPMLSDWVWRMHGRGALLGAVDQSLGTDGFDAGEATRLLLLGLACSHPNPGDRPTMPEVLQILSGSAPPPEVPQLKPSFVWPPDGGASAHYDLIDIGALASGSLAAGGSSAATAADDDSARSTRDTASAGSVSIAIDGHSFPPTSASSSSTSTSLATNTTDVGRDGGDNAVSFSFSSFHAEARGVNVTVVGDANINGGALQITPDSLNDASRYLTNKSGRVLYAAPFKLWHREKGGGEAANGSTAGKRVASFSTVFTVNVFRPNGTVPGEGFAFVIAPSAAAPPAGSTGGFLGLTNAATDGNATNQIVAVELDTEEQPYDPDDNHIGLDVNGVVSVATTSLKPLGIEISPVDPVKYDVWIDYDGAARRIEAYMEVSGKARPASPVLASPLDLGATVAEWSYFGFSASTGLKYQLNCVLAWNMTVERLPRDDDGGGDHGKGLTLALAIGVPVAAAALAAALGYLYVAKRRRKVSGGDDGSGITGTMIRSLAGGPREFEYRELRKATNNFDERMKLGQGGYGVVYRGVVVGDHTSPGGAGSAVEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLVGWSHDNGELLLVYEYMPNGSLDQHLFGAATTAAERRLLGWDLRYSIVAGVASALHYLHDEYDQKVVHRDLKASNVMLDAAFSARLGDFGLARAIETDKTSYMEEAGGGVHGTVGYIAPECFHTEKATRESDVYAFGAVVLEVVCGRRPRCDIDGFCFLVDWVWRLHRDGRVLDAVDPRLDGAFDAGDAERLLLLGLACSHPTPAERPKTMAITQILLRSTPPPEVPPFKPAFVWPAIDGGIDTTTSTASSHVSTNMTSTSTWSGNFMRGSRSHAPPTPEQDASDSVV >ONIVA08G23180.1 pep chromosome:AWHD00000000:8:23917806:23918907:1 gene:ONIVA08G23180 transcript:ONIVA08G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEH6] MARAQLVLVAVVAALLLAAPHAAVAITCGQVNSAVGPCLTYARGGGAGPSAACCNGVRSLKSAARTTADRRTACNCLKNAARGIKGLNAGNAASIPSKCGVSVPYTISASIDCSRVR >ONIVA08G23170.1 pep chromosome:AWHD00000000:8:23910948:23912588:1 gene:ONIVA08G23170 transcript:ONIVA08G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT5G50300) TAIR;Acc:AT5G50300] MKRSSPWRKLAEAEDAVNRAVAATRVGRYFKLEARKSSFTKELRAGAATFLTMAYIISVNAAILTDSGGPCTVSDCTPVVVGNSTAVPGPECTVGSNPGYEQCLGRTKSDLIVATAVAAMAGSFAMGALANLPLGLAPGMGANAYFAYNMVGFHGSGSIPYGTALAGVMVEGIVFFILSAVGLRSRLARMIPRNIRLATAVGIGLFLAFTGLQAHQGVGLVGASPSTLVTLTACSETDPATGACLGGSMRSPTFWLGAVGFLITATCLARDVKGGMIYGIVFVTVVSWIRGTAVTVFPDTAAGNAGFDYFKKVVDFHTITTTAGRLSFGGLRHGNALLAVFTLLYVDVLATTGTMYSMAEYGGFTDGAGGGFDGEYRAFLVDAGSTVLSAALGSTTVTTYVESTAGIREGGRTGVTAITVAACFLASLFFGPVLTSVPPWAVGPSLVLVGAMMMRVAKDIEWADMKEAIPAFVTMALMPLTFSIANGIIAGLGVYIALHCYDWARIAYLKASKVLDERRNQVAASSAGEASGITATTATAAAADEV >ONIVA08G23160.1 pep chromosome:AWHD00000000:8:23843942:23844525:-1 gene:ONIVA08G23160 transcript:ONIVA08G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKARKLSARYSWPHMDRSALHPLHRAHQISRAAPACPRPFHRRLRLCGRWKSKCGGNGNHVWRSLGSGGRNADVVAVAAALAAQIEQIGGSREAERLAVFFHFSQIGGGAVEGEPAIGSTGGGDRRGCGAVVGRRRRGRRKNHVAYGREAI >ONIVA08G23150.1 pep chromosome:AWHD00000000:8:23825244:23832715:-1 gene:ONIVA08G23150 transcript:ONIVA08G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: GPI anchor biosynthetic process; LOCATED IN: integral to membrane, endoplasmic reticulum membrane; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN /.../I biosynthesis protein Pig-F (InterPro:IPR009580); Has 280 Blast hits to 280 proteins in 133 species: Archae - 0; Bacteria - 0; Metazoa - 113; Fungi - 111; Plants - 44; Viruses - 0; Other Eukaryotes - 12 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G16040) TAIR;Acc:AT1G16040] MGDEATQVSASRAVAVHALCFTGIMAAHHLSGRGALVSNPAHPLRLLVFLKAAARGLLGLPIGGAFLNAFGAIVLGAPIGIKYWAATTYWSLLMSLFTIVPAACVFGASKVNWQAVLSHSIYCGSTDAVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPISVTYGSVAGHLIGMAISLALIVAHKRRGRAKAD >ONIVA08G23140.1 pep chromosome:AWHD00000000:8:23819108:23820058:-1 gene:ONIVA08G23140 transcript:ONIVA08G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAEAAVWRGHGSSNITRQILKCTRWQLEETTDFITCPYHYYCDSAYPGDYSPAVGALVAAFAAYCLVSAAAFAVLDIGRAGGGGGRNRRKYMVPSGPFLLPVVLLALAKGQRINAVFPLAQLGPALLLTLQASALAFRNEADGDLRYAVLEASTVSGILHASLYLDAVVLPYYTGTDALRWSRFSGECATCLCRMDPLVVGGRTVLYRGLSKTALAIIFALCSRMVCRIYGEERVSAWTRSALEAASWVFVAGDAVYLAGWAFAEGAAASVAAYALVAGLVFLCIFGKVYKFLAWMETRQVQWKPSVSCHSNVV >ONIVA08G23130.1 pep chromosome:AWHD00000000:8:23793481:23800985:-1 gene:ONIVA08G23130 transcript:ONIVA08G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDAHCSLTRHKPAANTAFMGAQVDEAMGLSGKSRNRKRKMAWRMKLQHLKDITDQFSPGRELGKGGFGVVYKGILANGKPIAVKRLQVMPGIQDRQFNNEVHHLMGLKHQNIVQLIGYCDERQEKVIYDEYQKKNICAEVQERLLCYEYMANGSLDKLVYDQSHVLEWHDRYAIIKGICQGLCYLHEELENKPIIHLDLKPSNILLDDNLLPKIADFGLSRLFGEEQTRTCTTMVTGSIGYMAPEYCHKGEISTKSDIYSLGILILEIVTGEKNHQSSVDLSGQRFIHSVRNKWSRMSKITSRYPLLDTHSLQQVHSCFKIGLNCVEIDPKRRPPARKIVNMLPWECKKAEAMASMLLPNVSNGRFTSSVVDKESNVIGLPAHQVDSNMKEILSVNPLELWFPMKAQEEFSCSMLLKNKTHHYVAYKINAQKLNIYRIEPCSGLISPQFTCNISVRMQAQQGVSPNMQLMDRILVQSVVVSDDLIDIAKDLSCKQKGKLVLKGPDKIMSKIYVNIRKTGALDENKPICGTTEGIGNSHHDNKLSGLSIMKNSSRDDYVIDGMRIYVKIPSIAKTIKLIVKNSNSVADVKVEIERKERILQDNQMLMYAGRQLDDRQILSHFGLSDDQILHVLICPFEKLRIFVNISNRRTVRLDVESWYTVADVKIFIKSWEGRTLTTLVSMFDTAEEIWKKIKKRSQIKAEKYYLCYRGHVLPPGVSLDMYKIESNSTISIRLRNSYRKEEPGRITRGTSSFTLQSSLMEWYQERKYARQAKATAEDTILHA >ONIVA08G23130.2 pep chromosome:AWHD00000000:8:23793481:23800985:-1 gene:ONIVA08G23130 transcript:ONIVA08G23130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDAHCSLTRHKPAANTAFMGAQVDEAMGLSGRIVYLLYAKGKMAWRMKLQHLKDITDQFSPGRELGKGGFGVVYKGILANGKPIAVKRLQVMPGIQDRQFNNEVHHLMGLKHQNIVQLIGYCDERQEKVIYDEYQKKNICAEVQERLLCYEYMANGSLDKLVYDQSHVLEWHDRYAIIKGICQGLCYLHEELENKPIIHLDLKPSNILLDDNLLPKIADFGLSRLFGEEQTRTCTTMVTGSIGYMAPEYCHKGEISTKSDIYSLGILILEIVTGEKNHQSSVRNKWSRMSKITSRYPLLDTHSLQQVHSCFKIGLNCVEIDPKRRPPARKIVNMLPWECKKAEAMASMLLPNVSNGRFTSSVVDKESNVIGLPAHQVDSNMKEILSVNPLELWFPMKAQEEFSCSMLLKNKTHHYVAYKINAQKLNIYRIEPCSGLISPQFTCNISVRMQAQQGVSPNMQLMDRILVQSVVVSDDLIDIAKDLSCKQKGKLVLKGPDKIMSKIYVNIRKTGALDENKPICGTTEGIGNSHHDNKLSGLSIMKNSSRDDYVIDGMRIYVKIPSIAKTIKLIVKNSNSVADVKVEIERKERILQDNQMLMYAGRQLDDRQILSHFGLSDDQILHVLICPFEKLRIFVNISNRRTVRLDVESWYTVADVKIFIKSWEGRTLTTLVSMFDTAEEIWKKIKKRSQIKAEKYYLCYRGHVLPPGVSLDMYKIESNSTISIRLRNSYRKEEPGRITRGTSSFTLQSSLMEWYQERKYARQAKATAEDTILHA >ONIVA08G23130.3 pep chromosome:AWHD00000000:8:23793481:23800985:-1 gene:ONIVA08G23130 transcript:ONIVA08G23130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDAHCSLTRHKPAANTAFMGAQVDEAMGLSGKSRNRKRKMAWRMKLQHLKDITDQFSPGRELGKGGFGVVYKGILANGKPIAVKRLQVMPGIQDRQFNNEVHHLMGLKHQNIVQLIGYCDERQEKVIYDEYQKKNICAEVQERLLCYEYMANGSLDKLVYDQSHVLEWHDRYAIIKGICQGLCYLHEELENKPIIHLDLKPSNILLDDNLLPKIADFGLSRLFGEEQTRTCTTMVTGSIGYMAPEYCHKGEISTKSDIYSLGILILEIVTGEKNHQSSVDLSGQRFIHSVRNKWSRMSKITSRYPLLDTHSLQQVHSCFKIGLNCVEIDPKRRPPARKIVNMLPWECKKAEAMASMLLPNVSNGRFTSSVVDKESNVIGLPAHQVDSNMKEILSVNPLELWFPMKAQEEFSCSMLLKNKTHHYVAYKINAQKLNIYRIEPCSGLISPQFTCNISVRMQAQQGVSPNMQLMDRILVQSVVVSDDLIDIAKDLSCKQKGKLVLKGPDKIMSKIYVNIRKTGALDENKPICGTTEGIGNSHHDNKLSGLSIMKNSSRDDYVIDGMRIYVKIPSIAKTIKLIVKNSNSVADVKVEIERKERILQDNQMLMYAGRQLDDRQILSHFGLSDDQILHVLICPFEKLRIFVNISNRRTVRLDVESWYTVADVKVMIEALLGFPACTQLLMWTNPSVDIELMDTETLKEQNVKNNTVLLLHPNVQIFIKSWEGRTLTTLVSMFDTAEEIWKKIKKRSQIKAEKYYLCYRGHVLPPGVSLDMYKIESNSTISIRLRNSYRKEEPGRITRGTSSFTLQSSLMEWYQERKYARQAKATAEDTILHA >ONIVA08G23120.1 pep chromosome:AWHD00000000:8:23789890:23790216:1 gene:ONIVA08G23120 transcript:ONIVA08G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVLGHGRKGGRRRGLAQAAANSGEGNREGGGGWRRFGVGGKAGFAAAVPLGGRIRRGDKAAATVRDKPNAGGATPRPGLLPHHLPRSAPIRSCASIRHIFVDAAI >ONIVA08G23110.1 pep chromosome:AWHD00000000:8:23769167:23771860:1 gene:ONIVA08G23110 transcript:ONIVA08G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRDGSEEKELLNKLSCYCRYWTDLWSDDSGDIAKRTEIGPMRYTEEPPRFAMLLDLLEVFSFEVTELRGILRWPIDVFGLISVRDSLDRNRNYIFERTRNNCQTLTAKLRVKGTSPSEDKILSAEAFGYNGTAQRHRCGSLRSMMLSGARSTLEFNYAHIPVALEATISVRITGGLTGFCGKFIAHTASIKEDVILLDSGEEMVAISHDGAIDFCRSVVALQGNGGVLTVSVHARQSGDENIICAYKHFIPVRCGRNHDTLDVGFCQMSVEVAWSLIF >ONIVA08G23100.1 pep chromosome:AWHD00000000:8:23766218:23766984:-1 gene:ONIVA08G23100 transcript:ONIVA08G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYQNIFNGGGAAGRRGFVARGVVLGDHRLGLARDAETEPARASFLARGRQQLRLSGVEHQSGKDLGAVGFFGGETDNDLAEDGVWEVADEVDAFFLVFFLRHRERRNAAHGLGFLGSQGKGWESAPRHCRFGPRPLASVVLPVIAKTEGGKKIER >ONIVA08G23090.1 pep chromosome:AWHD00000000:8:23756905:23765744:-1 gene:ONIVA08G23090 transcript:ONIVA08G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEG5] MEEIPVLNINVVDLSSQNYNDLMDDIGQLFQQRAITISGMDEVTVGPPSVLDSYYRLLNFNNGLPRDHPLIHVQRKAIARLAVMFCEAARLRSVRALVYHQMDLYVNGTITSLITRKRITSWSLISAFALHCWRREHDGIEGYLQEELDKLHPIDIYDANLVAGEPDGELLLILYREEAFAGLQQHAPEPQLQ >ONIVA08G23080.1 pep chromosome:AWHD00000000:8:23751659:23755161:-1 gene:ONIVA08G23080 transcript:ONIVA08G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVICESERLGFLSVLPLLYAHNPSSNCHQQTSMQAAARQQFTSEGDYELRSSKPNGTASEKK >ONIVA08G23070.1 pep chromosome:AWHD00000000:8:23739909:23743137:1 gene:ONIVA08G23070 transcript:ONIVA08G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGEATTCHKRSAAGQEEEEESKRPRDGSEEEEELLTDLSRYRRYWTDLWSDVSGDIAKRTEFGPMRYTEEPVPPFAKLQDLLEVFSFEVTELKGILSWPIDVFGLISVRDSLDRNRNYIFERTRNYCQTLTAKDSSLVLTGPSRAVQLIDPIEFEIELRVKGTSPSKDKILSAEAFGYNCIAQRLRCGSLRSMMLSGARSTLEFKYAHIPLALEATIKVRITRGSTDFCGKFIAHTASIKEDVILLDSGEEMVAISHDGAINFSRSVVAVEGNGGVLTFGVHARQSGGENMRCSYKEFIPVRCGRSHDTLDVGFCQMSVEVAWSLIF >ONIVA08G23060.1 pep chromosome:AWHD00000000:8:23738993:23739274:-1 gene:ONIVA08G23060 transcript:ONIVA08G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVKAEEVDGPISPAPTQRTRVKSRRPSGAAAERMGAQATPATRCERDGEEEGMEVATESSENVDQRRATLVMLLLLLLLLLLLLLLLTQMQ >ONIVA08G23050.1 pep chromosome:AWHD00000000:8:23727983:23730587:-1 gene:ONIVA08G23050 transcript:ONIVA08G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEG0] MAHSLAAAASSFSPPAARRRLPGQLLVSEVTNVISRRSSVSFNSQRMSFMSIRSRPSQLRFKICCSAKKETVDKVCNIVKTQLALPEGTAVTGESKFSELGADSLDTVEIVMGLEEEFNITVDETSAQDIATVQDAANLIEKLVLEKAA >ONIVA08G23050.2 pep chromosome:AWHD00000000:8:23727983:23730587:-1 gene:ONIVA08G23050 transcript:ONIVA08G23050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEG0] MAHSLAAAASSFSPPAARRRLPGQVTNVISRRSSVSFNSQRMSFMSIRSRPSQLRFKICCSAKKETVDKVCNIVKTQLALPEGTAVTGESKFSELGADSLDTVEIVMGLEEEFNITVDETSAQDIATVQDAANLIEKLVLEKAA >ONIVA08G23040.1 pep chromosome:AWHD00000000:8:23725814:23727014:-1 gene:ONIVA08G23040 transcript:ONIVA08G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSVLSPLLAHAHGRASPTSRAQPLIASRLNLAGFSASSPRRILPAPRASGGGGGGEEEDSRVQELRVPGSWLTPAGAAQESEWLRETLHKWLDDEYCPEPANVDISNTAARSFYESLTAKESDLGEILLKMVGDLQKLSYKESFHGAFSAANAAVSLISQRMESSSDD >ONIVA08G23030.1 pep chromosome:AWHD00000000:8:23713374:23722280:-1 gene:ONIVA08G23030 transcript:ONIVA08G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSAAAAVARARTLLCVPATARAPREMAAELAAAAALGADVAEFRLDRLAGFAPRRDLPVLLAQPRPLPALVTYRPKWEGGEYEGDDEPRFEALLLAMEMGAEYVDIELKVADKFMKLISGKKPETCKLIVSSHNFENTPSTEELENLVAQIQATGADIVKIATTATEIVDVAKMFQILVHCQEKQVPIIGLVMNDRGFISRVLCPKFGGYLTFGSLEKGKESAPAQPTVTDLINVYNIKQIGPDTKVYGIIGKPVGHSKSPILHNEAFRSVGLNAVYVPFLVDDLANFLNTYSSPEFAGFSCTIPHKEAAVRCCDEVDPIAKDILEPFNKSRVTFVSNNFVQDIGAVNTIIRKPNGKLVGYNTDYVGAISAIEDGIRASQPTDTTTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELGNLLGAPALTLAELENYHPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPVVDCKFRALANSLVNPDAMKAAGITAHKARLWLHERSGDLIKFHPRSGRGMQPGHGGALYHFGTSGAAVAAATAVTHPLALGSVRYSSSVGLGNGLQNWGADDSGLVSSIRYVIKVRLQMQLAGQRGNLVGMGTIFTQMVQVEGPRSLYLGLAPALTRSVIYGGLRLGLYEPCKYVCNYAFGSTNFAFKFASGVIAGALATALTNPTEVLKVRSQMSPSRTSTIGVLKKIVAEEGVKALWKGVGPAMARAGCLTASQMATYDEAKQLFLSDQRSSCVAGTASTLVTAPIDMIKTRLMLQRECKGARVYRNGFHCGYQVVVTEGVTSLYKGGFATFARLGPQTAITFVVCEKLRELAGMTAI >ONIVA08G23020.1 pep chromosome:AWHD00000000:8:23708032:23718661:1 gene:ONIVA08G23020 transcript:ONIVA08G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phenylalanyl-tRNA synthetase class IIc family protein [Source:Projected from Arabidopsis thaliana (AT3G58140) TAIR;Acc:AT3G58140] MRSLIRSASSRLLRARARAMATPSAARFSPSSNPARPPPPRLPLPRFLPPTPPQALLRARPLRTSAAVAAAAAVVEVGGVKIAREDVVKEEDPTNNVPDTIYSKIGLQLHRRDNHPLGILKNTIYDYFDKNFSGNFNKFDDLCPLVSIKQNFDDVLVPADHVSRSYNDTYYVDAQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPCFHQMEGFRVFSPDEWSDSGMDGTAYAAADLKKTLEGLAKHLFGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKNNGRTDNVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINEEFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDMQWNVREEVQNKLKVELR >ONIVA08G23010.1 pep chromosome:AWHD00000000:8:23703273:23706144:-1 gene:ONIVA08G23010 transcript:ONIVA08G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALREAEAELTVYVHPSNAADVRRAVSRQLSTTLFSYEDRFEGVLLAHEVVGNGDRAKILNGLVPYFGVPVHANLLLYSPQPDMILEGKVELLGKESIHAIVLGVFSAAIMADDINEKFKFKRKGDGGKFISRSDRHHVIRKGSMIRVDTEMNCHITGSLLPPHTGSMPWLSTHDAEYASEISSGTRRPSNVGIKIKNEQDHKTSDNEDSARQNSLKFWKRQNGKSNNYFCICVLNDLKANVEK >ONIVA08G23000.1 pep chromosome:AWHD00000000:8:23697966:23701889:-1 gene:ONIVA08G23000 transcript:ONIVA08G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGGDPPPPGLFAAALHACSGASALEEHIHADDSNTISDNTLEQLGFLDQESNDASVNTEKIQSSTPKCKSVEDIPIAPAAKRCKNMDSKKLVPNSNNNSCLTGSQAPRKLPRKGDYPVQLRRNETFQDTKPPSTWICKNAACKAVLTADNTFCKRCSCCICHLFDDNKDPSLWLVCSSETGDRDCCESSCHIECALQHQKVGCVDLGQSIQLDGNYCCAACGKVIGILGFWKRQLMVAKDARRVDILCSRIYLSHRLLDGTTRFKEFHKIVEDAKAKLETEVGPLDGTSSKMARGIVGRLPVAADVQKLCSLAIDMADAWLKSNCKAETKQIDTLPAACRFRFEDITTSSLVVVLKEAASSQYHAIKGYKLWYWNSREQPSTRVPAIFPKDQRRILVSNLQPCTEYAFRIISFTEYGDLGHSECKCFTKSVEIIHKNMEHGAEGCSSTAKRDSKSRNGWSSGFQVHQLGKVLRKAWAEENGCPSEACKDEIEDSCCQSDSALHDKDQAAHVVSHELDLNESSVPDLNAEVVMPTESFRNENICSPGKNGLRKSNGSSDSDICAEGLVGEAPAMESRSQSRKQTSDLEQETYLEQETGADDSTLLISPPKHFSRRLGQLDDNYEYCVKVIRWLECSGHIEKDFRMKFLTWFSLRSTEQERRVVITFIRTLADDPSSLAGQLLDSFEEIVSSKKPRTGFCSKLWH >ONIVA08G22990.1 pep chromosome:AWHD00000000:8:23694197:23699076:1 gene:ONIVA08G22990 transcript:ONIVA08G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVVVSPSGCKGGGGGGGGVGKKRGSGEEERERERQQLSVLEVLLAAVRRSVVACRVEREGGGGWGEEGEAEAEEGDAAAEVGEMEIGWPTDVRHVAHVTFDRFHGFLGLPVEFEVEMPCRVPSASASVFGVSAESMQCTYDGKGNSVPTILLHMQERLYAQGGLKAEGIFRINPENDQEEHVRDQLNKGVVPEDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLQCNSEEEFLELVTLLRPTQAALLNWAVELMADVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLRERDDAASGDYTPYSSPASSSQQNDAEYYGSERDMDRSCEMSDMHSEISRSGRQVDFLVRYNTCFDSEQEGVDPLSDVEEGFLRQLEHDLEADKREESAKKQHEISSEIMAVKDVQAELKVEAKAAGNTQNEEGAGSLQ >ONIVA08G22980.1 pep chromosome:AWHD00000000:8:23691563:23692960:-1 gene:ONIVA08G22980 transcript:ONIVA08G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKWPRAHADGRPFFDAVGPKCPRALSPYVPQQREPRRGSHVLTVDGYSGTKGFAVGSGVAVNEHVKFGTFVAGGHSWYIKYLPNITAVVTEWVSVFVCLNGKSDAAKKKDTAVTMKARCKLTLLDGRDGKAPLLPPRSPRAASSRPSPPARASPQLLHPRPPTAAAVFIAVVMAPLLLAALGCMNPATSLDTVWNRAASCTIRSSAATTSLVRCCAVPLVRSAGVRAASAFTACVFCYGPSVTPVSLSVSPRSPYEPT >ONIVA08G22970.1 pep chromosome:AWHD00000000:8:23678140:23678394:1 gene:ONIVA08G22970 transcript:ONIVA08G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAASLLAALVSRTIAAYRAAYRRPPPTRFDIAAAASASGPRLDYSVTALFSATPSACRLGHLLLLSSRAGDAIATVPPAPW >ONIVA08G22960.1 pep chromosome:AWHD00000000:8:23647810:23678067:1 gene:ONIVA08G22960 transcript:ONIVA08G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGQAIDRSAGATVYTLQVERNMRNNAFVETTAQANDPAIHKAARTTYTIQPLGSKLTANAIVATATRLAPAERDGIREASGVVFSPIHHHSGLYHFRHELIVPFRSDKTNKYPLSRSDPVSHQPSWTSVLASYRRSRRRLAGLPAVAAAASMESDCVVQIVMQVVLRRSICRFQEVFGMAMELGAAAILAKSRWCGSLAWLPTGR >ONIVA08G22950.1 pep chromosome:AWHD00000000:8:23627263:23632381:1 gene:ONIVA08G22950 transcript:ONIVA08G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRCARRHGGTPDPATVRRDRVLGGGTRGRPPGRTGNPRACGDVASLTPGSGSDRRGRRGLAVAGISIYSVHSCRKLEKNMRRLCQWWWCHWNAILDMSFVNVRRRRPTQGSTQDDHTTIDDRLRCGVRDVGWVWLGG >ONIVA08G22940.1 pep chromosome:AWHD00000000:8:23619262:23626554:1 gene:ONIVA08G22940 transcript:ONIVA08G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVGPQVAPPMFLHQIQPLPPHATAAKKRGNPWPAAAVAAAEAKGGGNWNPRMWDWDSRALTAKPSSDALRVNAGLSHHQQQQQQSPPAAAKAAEALRQGGGGSGGLNLQLGLREDAATPMDVSPAATTVSSSPSPPASSAPAQEPVVRPSKRVRSGSPGSASGGGGGGGGGGNSGGSGGSYPMCQVDDCRADLTNAKDYHRRHKVCEIHGKTTKALVGNQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAANRTQDIVNLITVIARLQGSNVGKLPSIPPIPDKDNLVQIISKINSINNGNSASKSPPSEAVDLNASHSQQQDSVQRTTNGFEKQTNGLDKQTNGFDKQADGFDKQAVPSTMDLLAVLSTALATSNPDSNTSQSQGSSDSSGNNKSKSQSTEPANVVNSHEKSIRVFSATRKNDALERSPEMYKQPDQETPPYLSLRLFGSTEEDVPCKMDTANKYLSSESSNPLDERSPSSSPPVTHKFFPIRSVDEDARIADYGEDIATVEVSTSRAWRAPPLELFKDSERPIENGSPPNPAYQSCYTSTSCSDHSPSTSNSDGQDRTGRIIFKLFGKEPSTIPGNLRGEIVNWLKHSPNEMEGYIRPGCLVLSMYLSMPAIAWDELEENLLQRVNTLVQGSDLDFWRKGRFLVRTDAQLVSYKDGATRLSKSWRTWNTPELTFVSPIAVVGGRKTSLILKGRNLTIPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPHLILGRYFIEVENRFRGNSFPVIIANSSVCQELRSLEAELEGSQFVDGSSDDQAHDARRLKPKDEVLHFLNELGWLFQKAAASTSAEKSDSSGLDLMYFSTARFRYLLLFSSERDWCSLTKTLLEILAKRSLASDELSQETLEMLSEIHLLNRAVKRKSSHMARLLVQFVVVCPDDSKLYPFLPNVAGPGGLTPLHLAASIEDAVDIVDALTDDPQQIGLSCWHSALDDDGQSPETYAKLRNNNAYNELVAQKLVDRKNNQVTIMVGKEEIHMDQSGNVGEKNKSAIQALQIRSCNQCAILDAGLLRRPMHSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLDFGTI >ONIVA08G22930.1 pep chromosome:AWHD00000000:8:23598140:23605718:1 gene:ONIVA08G22930 transcript:ONIVA08G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAASSGGGVEVREAELAVEEAPAATSEGRVGEAVSDPFVVAGGDLSGEASNLEAKPDPAVLWEGGEAVESNAAPGCAETVHEEDGVDGGCEAGAVEEEDAPMAIGHVLPEAPVANGHVHSEAAPATETAEIAGSVEDGMNGRIADEHDHPDTSIAESKGCVVEESKGCVVEEVNGKEAAPEIADSSVLVEEGVDGWELEGVDDRIAGEHDHTYTSTVESEVHDDSLIKQVDADATGLMEQEAISSEQDGSDVPIENGHTHVGVSADCGEGAKSDVQVDQSNVEEANANSVKPVEEVAALIQDGLGGPVSNGHGHVDASGHGYVTGADLDVKGSNSKGEDTETFEELVTATVDYDRSDIAMANGHDQVERSFDSGEVETKSEVCDSKEKSGECATDAMELVKQEATTGEQGTEAVSVVNGCDHPNTNADSDEAPMQILVTSKESGIVQSIVEVVESVHLEGTLKIDQQTEGGQKVANKKVTEEEILTNGYEQGDAKVANKEVPEEEILTNGHEHVEESAGTTSVLEPLVGDGQQDFIAVNLLENRADDNREDALEDAFTSGIDEAAITSGVDEAAMEADASTVEKNDDTAIDGTETKEKHEKTNGDILQGLDLSKDNVECGVNGDEVSTFQPVESISCSTVEIEREEISDQQQTSASLQDAEQALSATNGNHLSDKSELKQESDMEDIDGANLCADPGVVPALHGETTSSDLADNDGAEVENSTPACDLGASSGAPTGDNDSKENSAAAVAQVEEDVPSQDDAQVEEDVPSQDDDNCPADGAPGEICSENANAFTTSSCVAETEYVQDIASTTVDIIHDKHNDDDENINTDITGNHSEPKLEINIDNEDRGDIQVIKPYPVYLMKVPRFMSESHWEKIQDAQICLDELTQKRDAINVLRQKKKALCDDYREKLEAARQEERGARTAHGDKRNDLNSVQSMIGRMNRANSIQEIDDMIAMKEKIIAHESISLKEEKRLLQDIKELKAQKKQLSSNMGSKAEMGEAFEQKEHIHEQQKILKKDSDVLLTNLKSLEDKTRFIKKAFDDERDALRKLTEEHQAAHEVRQKAYDEWFELKKEPGRKNKFFFMYRKDSRAAKEYVDNGDMKGLVLFCNNQVESFMVLWNKDDDFRRQYVESNKNSTLRRLGTSDGRKLGPDEVPPEIPRYSNRMQSNPPLLPVPSTHASASASEATPAKPASPVTVVEEKTFPVLQSSQSSKPSKPKVVGNSSSKDTPGAPIPEREDVEKSEKEKKRRTEQELELSRQAAELAIREEELRQEKAAAEKERLRLEQKAKAKEAEERKRRKAEKALERAEFRAKKEAELMEKRRAKRDRTRGSTSADSGSGSGEANAEATVTNDADSSTIENSRGVDLSQHRALKKRPPTLKQLNKMEPMPLPLRNKGRRKMRQYIMVAVAAVISVLALVVASKYVPSNFRASSS >ONIVA08G22920.1 pep chromosome:AWHD00000000:8:23588012:23588437:1 gene:ONIVA08G22920 transcript:ONIVA08G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CP12 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G76560) TAIR;Acc:AT1G76560] MASPSLSSLFSAAAPLAGGGGGGGVRTLGSPASVRPISHRQRRRRLVVVSVKWRYKGTARKEAALSELIERKVAEATEACAGEAAGDAGCRVAWDEVEEVSQAKADLRRRIAEGADDPLEPFCSHNPLADDCAVVYDDDDE >ONIVA08G22910.1 pep chromosome:AWHD00000000:8:23581471:23587448:1 gene:ONIVA08G22910 transcript:ONIVA08G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVTHLHRLSHRALPSPPPSRPPTTTSLPFLLSRRLLSDDAAPPPAADVPNKELKRRLETYYGVDDEAELPSVTEAVLERKLADVHSETDDELIEELRSKPLPEVRDRDFESDFEEMHDTDEELDNLYNARQYVEKKIKSDEFFNMDDDKWNKMIKKAVDNGHLGNMNECENILEDMLHWDKLLPDEIKKKVEAKFNELGDMCEKGELEPEQAYELFKEFEDKMVSECTELMEAENPTDVDEFSKMENKSVKLDDPPGEGPVLRWESRIVFAPGGDAWHPKNRKVKLSVTVKELGLSRHAFRRLREVVGNRYNSGKDELTITSERFEHREENRKDCLRTLYAVVEDANKANKLADDARNAYVKNRLKANAQFMERLKVKTQKLRVAA >ONIVA08G22900.1 pep chromosome:AWHD00000000:8:23580388:23581158:1 gene:ONIVA08G22900 transcript:ONIVA08G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARIASIAGSGDGGALRRPRCQIRHLFALYSGDLHLQIRPFSFTQMVTHVILTSVN >ONIVA08G22890.1 pep chromosome:AWHD00000000:8:23574158:23578683:1 gene:ONIVA08G22890 transcript:ONIVA08G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 7 [Source:Projected from Arabidopsis thaliana (AT5G63870) UniProtKB/Swiss-Prot;Acc:Q9FN02] MSQPDAAADDPSPSPSPNPPPPPVAWPEDGALTREWVAGLTATLDWCSRSLPADRLPDVLPAALVQRLVLAASVILHREPNLVRVDPRPDQAVVVVGDVHGQLHDVIFLLRDAGFPSDDRVFVFNGDYVDRGAWGLETFLLLLAWKVLLPNSVFLLRGNHESKYCTSVYGCVYTAHGGIFRGTIVMPSKRTKKGKKGNKYKANSSVDPTLMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLEMGLSSNKERGIGLLWGPDITQQFLYTNNLKLIIRSHEGPDARDKRHDLLGMDNGYTIDHHVECGKLITLFSAPDYPQFQASEDRYNNCGAYIVLNPPDFSTPVFHSFEAVKPRPKANPYYDFEDVIDSDEELNLGAMDDGSASTLPVASPSLTSLFSAAAAAGVRTLGSPASVRLCSHRQRRRRLVVAFVRWRYKGTARKEAALSELIERKVAEATEACAGEAAGEAGCRVAWDEVEEVSQAKAGLRHQIAQGADDPLEPFCFHNPLADDCAVVYDDDDDE >ONIVA08G22890.2 pep chromosome:AWHD00000000:8:23574158:23578683:1 gene:ONIVA08G22890 transcript:ONIVA08G22890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 7 [Source:Projected from Arabidopsis thaliana (AT5G63870) UniProtKB/Swiss-Prot;Acc:Q9FN02] MSQPDAAADDPSPSPSPNPPPPPVAWPEDGALTREWVAGLTATLDWCSRSLPADRLPDVLPAALVQRLVLAASVILHREPNLVRVDPRPDQAVVVVGDVHGQLHDVIFLLRDAGFPSDDRVFVFNGDYVDRGAWGLETFLLLLAWKVLLPNSVFLLRGNHESKYCTSVYGFEQEVNFKYKGQGPQVYRKFLRCFEDLPLATIIAGCVYTAHGGIFRGTIVMPSKRTKKGKKGNKYKANSSVDPTLMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLEMGLSSNKERGIGLLWGPDITQQFLYTNNLKLIIRSHEGPDARDKRHDLLGMDNGYTIDHHVECGKLITLFSAPDYPQFQASEDRYNNCGAYIVLNPPDFSTPVFHSFEAVKPRPKANPYYDFEDVIDSDEELNLGAMDDGSASTLPVASPSLTSLFSAAAAAGVRTLGSPASVRLCSHRQRRRRLVVAFVRWRYKGTARKEAALSELIERKVAEATEACAGEAAGEAGCRVAWDEVEEVSQAKAGLRHQIAQGADDPLEPFCFHNPLADDCAVVYDDDDDE >ONIVA08G22890.3 pep chromosome:AWHD00000000:8:23574158:23578683:1 gene:ONIVA08G22890 transcript:ONIVA08G22890.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 7 [Source:Projected from Arabidopsis thaliana (AT5G63870) UniProtKB/Swiss-Prot;Acc:Q9FN02] MSQPDAAADDPSPSPSPNPPPPPVAWPEDGALTREWVAGLTATLDWCSRSLPADRLPDVLPAALVQRLVLAASVILHREPNLVRVDPRPDQAVVVVGDVHGQLHDVIFLLRDAGFPSDDRVFVFNGDYVDRGAWGLETFLLLLAWKVLLPNSVFLLRGNHESKYCTSVYGFEQEVNFKYKGQGPQVYRKFLRCFEDLPLATIIAGCVYTAHGGIFRGTIVMPSKRTKKGKKGNKYKANSSVDPTLMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLEMGLSSNKERGIGLLWGPDITQQFLYTNNLKLIIRSHEGPDARDKRHDLLGMDNGYTIDHHVECGKLITLFSAPDYPQFQASEDRYNNCGAYIVLNPPDFSTPVFHSFEAVKPRPKLYALPVASPSLTSLFSAAAAAGVRTLGSPASVRLCSHRQRRRRLVVAFVRWRYKGTARKEAALSELIERKVAEATEACAGEAAGEAGCRVAWDEVEEVSQAKAGLRHQIAQGADDPLEPFCFHNPLADDCAVVYDDDDDE >ONIVA08G22880.1 pep chromosome:AWHD00000000:8:23571411:23573477:1 gene:ONIVA08G22880 transcript:ONIVA08G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRGWSRTVGNTRSFVGNALGGLRGWSNLASWAVAGTLAYYLWVRPARQLQKEQEERAALAAASDPYRYVEKRKPIPDPQDTGLIYGKKKDPTKSDN >ONIVA08G22870.1 pep chromosome:AWHD00000000:8:23563718:23568606:1 gene:ONIVA08G22870 transcript:ONIVA08G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEE0] MEVRRRAPLPPPPGRVQAGDALPLPIRHTNLIFSALFAASLAYLMRRWREKIRSSTPLHVVGLAEMLAIFGLVASLIYLLSFFGIAFVQSIVSSSDDEEEDFLVGPARGSSAAAAVAPPPPPSSPAQCSLLGSPHDDAARERMPEEDEEIVSSVVAGKVPSYVLETKLGDCRRAAGIRREAVRRITGRQIEGLPLDGFDYASILGQCCELPVGYVQLPVGIAGPLLLDGQRFYVPMATTEGCLVASTNRGCKAIAESGGAVSVVLRDGMTRAPVARLPTARRAAELKAFLEDSVNFNTLSMVFNRSSRFARLQGVQCAMAGRNLYMRFSCCTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEDVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSHCITMLEAVNDGRDLHISVTMPSIEVGTVGGGTQLASQAACLDLLGVKGANRESPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSKDMSKVIS >ONIVA08G22860.1 pep chromosome:AWHD00000000:8:23560218:23560526:-1 gene:ONIVA08G22860 transcript:ONIVA08G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEKQWFHACTCAAGAPELPLKTIGSRIYEKTKGSGEEEQKGKRRRGRLDLEEWKDDDSGDHAWPVAPILMRPSCESGEASIGDEEEGWIWKSGREATR >ONIVA08G22850.1 pep chromosome:AWHD00000000:8:23552764:23555239:1 gene:ONIVA08G22850 transcript:ONIVA08G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHHQAAAAPVTTTTDGGELRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDSHVVRLLDLKQGQNKEGQTILYLVFEYMDTDLKKFIRAHRQNLQKIPVPTVKILMYQLCKGVAFCHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRSFTVPLKKYTHEILTLWYRAPEVLLGAAHYSTPVDIWSVGCIFAELATNQPLFAGDSEVQQLLHIFKLLGTPNEQVWPGVSKLPNWHEYPQWNPSKVSDLVHGLDADALDLLEKMLQYEPSKRISAKKAMEHPYFNDVNKELY >ONIVA08G22840.1 pep chromosome:AWHD00000000:8:23549191:23551896:1 gene:ONIVA08G22840 transcript:ONIVA08G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT1G76450) TAIR;Acc:AT1G76450] MAAAVTTTTTATTTHLCRGLSSSSAAAAKPRRATTLRCGAAARVEGLGRREALLGVLLSTATAASAPVAAVAATAELQEGFRTYEDEANKFSIAIPQDWLIGAGEVSGFKSVTAFYPDQVADSNVSVAITGIGPDFTSLKSFGDVDAFAETLVNGLDRSWKRPPGVAAKLINSRAANGFYYIEYTLQNPGEQRRHIVSAIGMAFNGWYNRLYTVTGQYIDEDGDVDKYRAQIEKCVQSFRFT >ONIVA08G22830.1 pep chromosome:AWHD00000000:8:23538422:23541299:-1 gene:ONIVA08G22830 transcript:ONIVA08G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEQGLMAGRDLFGMPKSPPAAAAAPPQSVRMAYTSDGTPVFAPVSAAVSAPPGYQPGGAAGGNGAAALADSGGEPVAKKKRGRPRKYGPDGSMSLGLVTSPTAAASTPVAQGVPGPFSPTQPKPPASFLSSVSPDGVKKRGRPKGSTNKPRIDAVGSAGVGFTPHVITVLAGEDVSAKIMSFAQHGNRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLTDHGGQRSRTGGLSVSLAGPDGRLLGGGVAGLLIAATPVQIVVGSFNSEGKKEPKQHAHSEPASAPSKAVPTAGMGPNSPPSRGTLSESSGGAGSPLHPGIAPPSSNSQPPFLSSMPWK >ONIVA08G22820.1 pep chromosome:AWHD00000000:8:23534305:23542401:1 gene:ONIVA08G22820 transcript:ONIVA08G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGELAAEKHVRYIVTVEKRKDSFESLVMEHIRLNGAYWGLTTLDLLHKLRAVEADEVIEWIMSCYHPESGGFGGNVGHDAHVLYTLSAVQVLCLFDRLDALDVDKVADYIAGLQNEDGSFSGDIWGEVDTRFSYIAICTLSLLHRLEKINVQKAVDYIVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHIDRDLLGWWLCERQCKEGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKDKLAKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLRKEH >ONIVA08G22820.2 pep chromosome:AWHD00000000:8:23534305:23542401:1 gene:ONIVA08G22820 transcript:ONIVA08G22820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGELAAEKHVRYIVTVEKRKDSFESLVMEHIRLNGAYWGLTTLDLLHKLRAVEADEVIEWIMSCYHPESGGFGGNVGHDAHVLYTLSAVQVLCLFDRLDALDVDKTLLGFKMKMDHFLVIFGVKWTLAVRTWMVDLELCQEVNHTLGRYFVVLALSQSLARCITLIEISLDGGFVSVSAKREGSMDGLRNLLIVHWIDKDKLAKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLRKEH >ONIVA08G22820.3 pep chromosome:AWHD00000000:8:23538480:23539062:1 gene:ONIVA08G22820 transcript:ONIVA08G22820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCNGLPAPPEDSLNVPLEGGLLGPIPAVGTAFDGADAVKQTTKEQIMGIKPYLDWSSCYEKSGNTASQQTTIRTSQ >ONIVA08G22810.1 pep chromosome:AWHD00000000:8:23532353:23533823:1 gene:ONIVA08G22810 transcript:ONIVA08G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTELKVEMVALHEKRVRKCLSKVKGVERVEVEGSLQKVVVTGYANRSKILKALRRVGLRAEPWSPRNELLSAYAAGSLMAANNYYHTFF >ONIVA08G22800.1 pep chromosome:AWHD00000000:8:23528470:23531005:1 gene:ONIVA08G22800 transcript:ONIVA08G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGGGAGGGGRGPRDMRLTMQEAAKKLSLWDSATFRPILTHVELEPILAAAGFVALPTPPPPTTTQGGGAAGAGQPVAWREYAFVGVAAAGRRRRSGNAAVAAGVGWLGPRPRLPCPRVDALHLRTYQAFLGAVEFYLGALRVASLFHVRCMPVTTAQDRVFDKVFRVMRSHGVEDDGLIIYRDGTLDDATYAVCSEHSPVQDVGYHVIPGNTCVELGYLKSGRIAGNCDEETCCRGGAAAADIARARKLEPQ >ONIVA08G22790.1 pep chromosome:AWHD00000000:8:23521834:23525422:-1 gene:ONIVA08G22790 transcript:ONIVA08G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein [Source:Projected from Arabidopsis thaliana (AT4G38220) TAIR;Acc:AT4G38220] MASKKSSTQASPMAAAAAASLLLGLLLVLPVPAVSSSDAEEAVVSRFREYLRIDTAQPAPDYAAAVAFLRGQAGAAGLEARTLELVAGKPLLLLRWPGRRPSLPSLLLNSHTDVVPGTTRPSPPPSTRHPAASTRCVGMQYLEAIRRLRSAGFIPDRNIYLTFVPDEEIGGHEGIEAFVASKEFKDMNVGLVLDEGLASPGEVYRVFYGERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRRFRTSQFDLVKSGAKAEGDVVSVNFAYLKAGTPTPTGFVMNLQPSEAEIGLDIRLPPSVHTEALEKRLAEEWAPSSRNLTFEFKQKTSVLDNFGKPAVTPADSSNVWWALFEEAVKRAGGKLGKPEIFPASTDARYFRVLGIPAFGFSPMTNTPILLHDHNEFLSKDEYLKGIGIYESIIRTLATLKDSNVDYESRAEL >ONIVA08G22790.2 pep chromosome:AWHD00000000:8:23521834:23525422:-1 gene:ONIVA08G22790 transcript:ONIVA08G22790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein [Source:Projected from Arabidopsis thaliana (AT4G38220) TAIR;Acc:AT4G38220] MASKKSSTQASPMAAAAAASLLLGLLLVLPVPAVSSSDAEEAVVSRFREYLRIDTAQPAPDYAAAVAFLRGQAGAAGLEARTLELVAGKPLLLLRWPGRRPSLPSLLLNSHTDVVPSEPHKWDHPPFSAALDEASGRIYALKVEDMKCVGMQYLEAIRRLRSAGFIPDRNIYLTFVPDEEIGGHEGIEAFVASKEFKDMNVGLVLDEGLASPGEVYRVFYGERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRRFRTSQFDLVKSGAKAEGDVVSVNFAYLKAGTPTPTGFVMNLQPSEAEIGLDIRLPPSVHTEALEKRLAEEWAPSSRNLTFEFKQKTSVLDNFGKPAVTPADSSNVWWALFEEAVKRAGGKLGKPEIFPASTDARYFRVLGIPAFGFSPMTNTPILLHDHNEFLSKDEYLKGIGIYESIIRTLATLKDSNVDYESRAEL >ONIVA08G22780.1 pep chromosome:AWHD00000000:8:23518806:23520288:-1 gene:ONIVA08G22780 transcript:ONIVA08G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKIERENLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFSKAKK >ONIVA08G22770.1 pep chromosome:AWHD00000000:8:23511643:23513746:-1 gene:ONIVA08G22770 transcript:ONIVA08G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGVEAGVFDTVDGLVGEVMRLHRSLPARPAVEEVEAAEALAAAADREERARADAVARLRRSPAVPDELLCVAQEMHRALAGFQCREQKRDAARLLELEALHTLFDDLIQRASQCLPSTSTRAAPRIAAPAAATTTTSTAAAGSSSSSAVGNAERHASSGTNGFTASRVAGTSTSTGRVSMDDSYVRKAKAAMWDGGAAATNSHLPRGPVEANSVAVRADGNYGDDNEKLSLIKLASMIEVSAKKGARDLNLQGKLMAQIEWLPDSIGKLTGLVTLDISENRLLALPDAIGKLFSLAKLDIHANRISQLPESIGDLRSLIYLNMRGNQLSSLPSSIGRLLNLEELDVGSNGLSSLPDSIGSLTRLKKLIVETNDLDELPYTIGHCVSLVELQAGYNHLKALPEAVGKLESLEILSVRYNNLRSLPTTMASLTKLKEVDVSFNELESIPENFCFATSLIKLNVGNNFADLQYLPRSIGNLEMLEELDMSNNQIRVLPDSFGNLKHLRVLRAEENPLQVPPRDIALKGAQAVVQYMSDASKRTTKSEPMKPKKTWVHFCFFSRPNKRKHDRIDNAT >ONIVA08G22760.1 pep chromosome:AWHD00000000:8:23508058:23508429:-1 gene:ONIVA08G22760 transcript:ONIVA08G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVAYRKLSPASRRGGGAARAWVALLRRAAAGVAARLQRAARRRCVGVGCGGARRLTWAGLCVGRGVEVAAPARRISSAAGSYDPASYARNFDDGVWKAEEGCAGAARFAGANGKSSSNSR >ONIVA08G22750.1 pep chromosome:AWHD00000000:8:23503496:23507196:1 gene:ONIVA08G22750 transcript:ONIVA08G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLGFFRGAGDNIFDAIAVAASDHPAALRSRRDAFAQRLYMLLHPEDAASIPSICSSDRADVIADDGGVPCREDPVAAETERIKDVLLNDQERQSLPLPDTLTVRRPLPHPLIDSSMPNQLIPAISLLSTTNCFSPKHRWPEDVAWHPDGELIFAMYSADNGDSQVSVMNRCISGQKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTRKCTRICILLLSWVLLDYSKKNAILSVGSDKRIIPFDLAAGRAGSKISYKIVFLLCPIPTLLKKEG >ONIVA08G22740.1 pep chromosome:AWHD00000000:8:23503141:23503362:1 gene:ONIVA08G22740 transcript:ONIVA08G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLAWSWNRWAALPTPPPRSPLSPVSPIPFLSPFSGAAFLAAIPFRCAAPPPLHPDLHLHRPAASSAKEHRP >ONIVA08G22730.1 pep chromosome:AWHD00000000:8:23499832:23500354:-1 gene:ONIVA08G22730 transcript:ONIVA08G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAILETIKPRRSAERENLPVTNATGKGRGGDHLIGLRRRMSSFSVRIQPLMSSAGAGGAFRRATSMPSVKALAAQAGAVRRWWGWGLGWVMNRRPAFARDLEMNDDEAAALGCHCRGTWRHVFYRLRAGARRLLGRDGLPLSAHDFRYDSVSYAHNFDNGDAAGAARPEP >ONIVA08G22720.1 pep chromosome:AWHD00000000:8:23488499:23492878:-1 gene:ONIVA08G22720 transcript:ONIVA08G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHQALDLHLLLSPIPKPLLATTLPFKCARTLQAALAVPIRSQFHAAIWEKYNTIINCAQVEAVGVGHQTRTAGRKGSGADLAVNKVKNDNRQVDWRLPAIKGPQRGDGRRSSHCVDSLSPGSGVLSTPRFLPLKDCKMVQVSCYFIASSISTLHSTLVLASPGSGLQPLVHGHGNRRVVRIHLLSYHLDETNMRGEGTMYLQKPLYFRTEAWVVEMTTLQGQLWQVKVADQSGKTSTGALGSRYDDE >ONIVA08G22710.1 pep chromosome:AWHD00000000:8:23484640:23486509:-1 gene:ONIVA08G22710 transcript:ONIVA08G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76420) TAIR;Acc:AT1G76420] MGDALWEMLGEEMAAAAAAAGEHGLPPGFRFHPTDEELVTFYLAAKVFNGACCGGVDIAEVDLNRCEPWELPEAARMGEKEWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVVAAAAGGGALIGMKKTLVFYKGRAPRGEKTKWVLHEYRLDGDFAAARRSTKEEWVICRIFHKVGDQYSKLMMMKSPASYYLPVSHHHPSSIFHDLPPVPFPNPSLVPFHHDLPTSFHPPLLQHSHANSKNSSSNNGGFVFPNEPNTTNSSDNHISCNGAMAAAAAAFPSFSCVSTVTGKGGPPAQLGVNAGQQEPPPPTWMDAYLQHSGFIYEMGPPAVPRGA >ONIVA08G22700.1 pep chromosome:AWHD00000000:8:23477538:23481473:1 gene:ONIVA08G22700 transcript:ONIVA08G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 19 [Source:Projected from Arabidopsis thaliana (AT3G05240) TAIR;Acc:AT3G05240] MAAARAAAAVDAYQHNTLIRAAADHRSLLLAFRAMLREGVAADHFTFPFALKALAQAHHRSPPPCAAATATATTTLGCLHAQMVKSGHGANVYAASALVHAYVSRADAASARAVFDAARHRNVVTWTAMIAGHAAAGEAREAVALFREAVARGQEVNGITVAQVMGACAQSRDLKSGRWVHATLRRWGVEPILLDVALATAVLHMYASCGGLDAAFEVFDKMPRRNEVSWNAMAEVCSRHGRQDKVLEVFPGMHSVGMKPDKVTWLSILRACTSKGAAGLGQGVHAYMEKTIGHRHVAVCTSLMDMYSKIGNARSALQIFQCLKRKDLMAWTSMIIGLAKHGHGKDAVQLFNQMQHGGVVPDHVAFVGVLTACSHAGMVDEARKYFDSMWNHYGIRPTIKHYGCMIDLFSRAGRLAEAEGMMQMMPIQPSVTMWGSMMNGCKVHGRADIAERVGKQVAELNPQFGAIYVIMSNIYAEIVLPSVQLLTLYVAWKERGTVEPANELYSGNGEQYRNTRYRSTKRELV >ONIVA08G22700.2 pep chromosome:AWHD00000000:8:23477538:23480254:1 gene:ONIVA08G22700 transcript:ONIVA08G22700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 19 [Source:Projected from Arabidopsis thaliana (AT3G05240) TAIR;Acc:AT3G05240] MAAARAAAAVDAYQHNTLIRAAADHRSLLLAFRAMLREGVAADHFTFPFALKALAQAHHRSPPPCAAATATATTTLGCLHAQMVKSGHGANVYAASALVHAYVSRADAASARAVFDAARHRNVVTWTAMIAGHAAAGEAREAVALFREAVARGQEVNGITVAQVMGACAQSRDLKSGRWVHATLRRWGVEPILLDVALATAVLHMYASCGGLDAAFEVFDKMPRRNEVSWNAMAEVCSRHGRQDKVLEVFPGMHSVGMKPDKVTWLSILRACTSKGAAGLGQGVHAYMEKTIGHRHVAVCTSLMDMYSKIGNARSALQIFQCLKRKDLMAWTSMIIGLAKHGHGKDAVQLFNQMQHGGVVPDHVAFVGVLTACSHAGMVDEARKYFDSMWNHYGIRPTIKHYGCMIDLFSRAGRLAEAEGMMQMMPIQPSVTMWGSMMNGCKVHGRADIAERVGKQVAELNPQFGAIYVIMSNIYAEIVLPSVQLLTLYVAWKERGTVEPANELYSGNGEQYRNTRYRSTKRELV >ONIVA08G22690.1 pep chromosome:AWHD00000000:8:23473894:23476793:1 gene:ONIVA08G22690 transcript:ONIVA08G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRPAHARHMESNAARAAAGEGVGDHDDDGEEEEEEEKWREALAAAWGQSRAKREAIRARYAAVKDMIRAEKDGADMRRLGVAMGEIEQLHHKVQRPKEQVADGEALLELVNSLAITAKSKKKDGPTPSEFVTSLLTKFGVRASLLDASIESFSCSDLGAMASPLFMTATGCQTMNDALNLAIEERRKRVARRLFDRFPSKPAGLYETTPDLDERNDTDKNMAVMFKLLRKNKCVKLENLILNRQSFAQTVENIFALSFLVKDGRVEIDVDDKGNHFVVPRNAPAAELITSREVINSQYVFRFDTKDWKIMEGVVEPGDELMPHRQNNIGEHYNNAKSYSASEPQRKRDEFAQGEGMDETLIKPCAEDVILKRKRRSEAESLKHWFSSCKWQ >ONIVA08G22680.1 pep chromosome:AWHD00000000:8:23468421:23470298:-1 gene:ONIVA08G22680 transcript:ONIVA08G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNPARGRRVTTMATDPGRPGSRRRRRRGGTEGWRQRQKTTAVVDGVFDSKTRWRRSGPGREDNGGGVAHRGGTGGGATRRGGGGGKMRRRAGSGGAEERISGSSTWEGERGKAWNMRGAHKWLGLFAWPNLACARSTLASRMAWPGSRMQEG >ONIVA08G22670.1 pep chromosome:AWHD00000000:8:23465941:23467077:-1 gene:ONIVA08G22670 transcript:ONIVA08G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLLRARVPIGVLGLPLHSGLSAGGDPRELRLDLSTAFSFGPAIRLSYRPNDPALPFSVSVRAGVGPLGSPARAPFSLAAEFNLLSGNPGSPAFFLLLKPRLGDFSLSHTLRSSPHPGNKVGDVSDGDGHGREVNYKAFSFAAAGKSGSGVGALLSGMRLTTRSVLPLWGRASLRFNWGLRAPPELQAALAADDAMVGASRSRKGGARVPVSKMPLLVIDKISIEQSPRAADKTRGNADSSPPAPAIAAAADADAADGTGRGGEGFSLVRRQLEALNAESGMLRRAVEDLRAEVGSRRAAVSTAGAPDTWRTPPAPPQPAQPYHYSSPVKPDRRGSGKDMAAAENATKPSSDELGDELKRALEARLR >ONIVA08G22660.1 pep chromosome:AWHD00000000:8:23459182:23461973:-1 gene:ONIVA08G22660 transcript:ONIVA08G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSGDPGVSPESSSAAAAAAGSGGGEIWGTSEDLLLACAVSRHGTASWDAVAKEMQSRCPSAAVFTPTTCRLRFRVLHRRFSGGVTAENEDADGGEEEEEPDAAAVAGWVEELRELRVAELRREVEKYDLSIGSLQSKVKRLKEEREKSISGETKPPAAAAAVKEEEEDVRKGSPEEAGAVEDRVSGHESGRSCKESNSSDLKRPENDPAVADDDDREAEEEEAAAAPAAGDIAVKEEASGESVAGSKEADAEKESSDVQSSASPSRRRRRKGGGGGEEAEAASPSVSVPLPAAEAEPLVAFLESVRTSKAGAVFERRLDSQDGERYSGTIRRHVDLETVRSRLVGATAAAACYASASEFYRDMMLLCANALVFFPRGSPEHAAALQLRALVSKQVSKDRQPHAGAKAPAAAAAEEKKKPAKADADIAGPLLEKAPIIVCRKRSSIAKAAAAAAKGEKAEKAETDKKEKDGSEEKKKAAAAATTATAAATAKDKKARGMRTNKSRGPARNQKTAKLSETGEGTKKSDKKGGGGGGSSSAAAAAGGVAKKRNAVDFLNRMNQNGSPSTERVSLLETLKLSAAATEQQKKSSSSSSGKGDGRKEAGGSGSKKGAAASTPPGRRIGRPPKRAAAQPTPPPSKRAKDDKPTRKRGKK >ONIVA08G22650.1 pep chromosome:AWHD00000000:8:23454259:23458151:1 gene:ONIVA08G22650 transcript:ONIVA08G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQPLDSSGTDDDLPPTYQPRGPRVIFNGNGSLPQPSLHSNVDREIRQIEQQAYTGVLRAFKVQSDAITWEKESLITELRKELQISDKEHRVLLKGVTEEEAVCRIRQSRQTGGTQSSSHHSSVVHTPVPAKRQKKSHSVPVTPHVPVITMHAVVGKKLFYEGKGRANQGLLYQGGRQASDRVLKRLPSNNSPMLGSSRRRGRLHPNELIKGYSPLDGFGIPNTGNVVMEVEKVLSNPNMLEIEKAMKLLRDQEQSLLDAIARLDEASDGENEITALKIERR >ONIVA08G22640.1 pep chromosome:AWHD00000000:8:23449248:23453450:-1 gene:ONIVA08G22640 transcript:ONIVA08G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRPEEAAAAAPPWSRLEGRVVLVTGASSGLGREFCLDLARAGCLVVAAARRADRLRSLCDEINASAPRASAAAAAVELDVASGGPALEAAVQSAWDAFGRIDVLINNAGLRGGVHSPLDWPEDEWETLIKTNLTGSWLVAKHLMALELGAYGIRVNSIAPGIFKSEITAPLLQKKWLSTVVSKIVPLKTHGTTDPALTSLVRFLIHETSSYVTGNIFIVDSGATLPGYRVKFKITVSTYVIIFKI >ONIVA08G22640.2 pep chromosome:AWHD00000000:8:23449248:23453450:-1 gene:ONIVA08G22640 transcript:ONIVA08G22640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRPEEAAAAAPPWSRLEGRVVLVTGASSGLGREFCLDLARAGCLVVAAARRADRLRSLCDEINASAPRASAAAAAVELDVASGGPALEAAVQSAWDAFGRIDVLINNAGLRGGVHSPLDWPEDEWETLIKTNLTGSWLVAKHVCRRMRDAKLKGSVINISSVSGLNRGHLPGSTGYAASKSAMHYATKLMALELGAYGIRVNSIAPGIFKSEITAPLLQKKWLSTVVSKIVPLKTHGTTDPALTSLVRFLIHETSSYVTGNIFIVDSGATLPGYRVKFKITVSTYVIIFKI >ONIVA08G22630.1 pep chromosome:AWHD00000000:8:23446287:23451514:1 gene:ONIVA08G22630 transcript:ONIVA08G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0IEB1] MEYHHRPHSPPPSDDDVVIIQMNAAAIAAVDERSSTNEVDDAAAGKGGGLTRRTFSQAYKMKHRMPLEFTWRQVALLSFQSLGVVYGDLGTSPLYVFSSISLDDPGEADFVGILSIILWTFTMICLVKYHVNFKGNMPVPVTHLASDINLKFHSKKRILTSKLLKFLEQSTKWQAVITYIVLAGTCMVLGDGALTPAISAVQGIQSRSSSITQAHVVLLSVIILFILFFFQKHGTSKVSFTFSPIMILWFTFVAFIGLYNIIKHYPPILKAVSPHYIIIYFIRNKRAAWETLGAIVLCITGAEAMFADLGHFNKSSIQMAFSVIVYPSMILAYAGQAAFLVKNPSKLSTTFYSSTPEPIFWPMFIIATLAAIVASQALISASFSIIRQSIALGCFPRVTMKHTSGKHEGQVYSPEINYFLMVACILITVGFKGGPEIGQAFGVAVIFVMLFTTNLMTVVMLIIWESNIALASLFFVFFFSIEGIYMTSLMNKILQGGWVPFAITAFFLIITLSWTYGRSKKGEYELANVMEREEFIKTVTTRSRVPGVCIFCTDMMNGIPPIVRHYVQHVASLRELMVFVTIRVLPVRTVLPEERFIIDKLEPVGVYRCIVQYGYMDNHNMEGDDYVASVIASLKEIAENDDEILVLDSALINGSTFVLGRTIIKMGTRHNCLKRFFINNLYRFLQKNFRSNMSSLKINPGKTLQVGMLYEI >ONIVA08G22620.1 pep chromosome:AWHD00000000:8:23442972:23444569:-1 gene:ONIVA08G22620 transcript:ONIVA08G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDSSDRASLLKIKEQLGNPDDLLMAPSNQLLFLGLWHHMLRHWPCLSSLNVKVPIPSALGDLPLLQTIQLEAMAGMYGPIPSSFAKLCHLQFLFISGTSISGSIPDFLVKTNLSALSITNSKLNGSIPESLSLLPNLRTLTPLISHTTSSSAINPSFLFDIAKPMAKIDLSWNRLEFDMTKVRFPHHLNYLDLSHNSIKGRVAKSLKDINLKFCNVSYNELCGEIPTGRYMAYHGADCYVHNKCLCGSPLPPCKNGKPNILTPFTIGISLLILPHLFPLDRIASLDQSQNRV >ONIVA08G22610.1 pep chromosome:AWHD00000000:8:23428810:23432601:-1 gene:ONIVA08G22610 transcript:ONIVA08G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASGGGAAAAAGGGVGGSGGGGGGGDEHRQLHGLKFGKKIYFEDAAAAAGGGGTGSGSGSASAAPPSSSSKAAGGGRGGGGKNKGKGVAAAAPPPPPPPPRCQVEGCGADLSGIKNYYCRHKVCFMHSKAPRVVVAGLEQRFCQQCSRFHLLPEFDQGKRSCRRRLAGHNERRRRPQTPLASRYGRLAASVGEEHRRFRSFTLDFSYPRVPSSVRNAWPAIQPGDRISGGIQWHGNLAPHGHSSAVAGYGANTYSGQGSSSSGPPVFAGPNLPPGGCLAGVGAATDSSCALSLLSTQPWDTTTHSAAASHNQAAAMSTTTSFDGNPVAPSAMAGSYMAPSPWTGSRGHEGGGRSVAHQLPHEVSLDEVHPGPSHHAHFSGELELALQGNGPAPAPRIDPGSGSTFDQTSNTMDWSL >ONIVA08G22600.1 pep chromosome:AWHD00000000:8:23423750:23433111:1 gene:ONIVA08G22600 transcript:ONIVA08G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G57910) TAIR;Acc:AT3G57910] MEPDAADDDYMGDLSHFLPPPTPSSPSRSLGRRRQPPAQQAQGQGKRAKGVVPWQERRRRDRERKQREEDERTMAGLAEAIPETNVGFRLLKRMGYDPAAGGGAGAEPVGIEIRRSRAGLGAEPAVSVAPPQPSAEVAEGERRRVEEMAVELRARQSTQWRSRRVVWDYRKAEAALAQLENREAAPPPPEGEEKAEEEEEEVITEEDLQNILAKLRDEHYYCLYCGCKQKHWQMNVLGQMKMTINSLCLGQQRMVLVTTGDDSDLQVAVNDILGPILRITFHISVSHLNVRNDNILLRQLKATRVELESFRKSLQFCIWYALLLHVEGFKGALATALEKSKSRCLSSQ >ONIVA08G22600.2 pep chromosome:AWHD00000000:8:23423750:23432890:1 gene:ONIVA08G22600 transcript:ONIVA08G22600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G57910) TAIR;Acc:AT3G57910] MEPDAADDDYMGDLSHFLPPPTPSSPSRSLGRRRQPPAQQAQGQGKRAKGVVPWQERRRRDRERKQREEDERTMAGLAEAIPETNVGFRLLKRMGYDPAAGGGAGAEPVGIEIRRSRAGLGAEPAVSVAPPQPSAEVAEGERRRVEEMAVELRARQSTQWRSRRVVWDYRKAEAALAQLENREAAPPPPEGEEKAEEEEEEVITEEDLQNILAKLRDEHYYCLYCGCKQKHWQMNVLGQMKMTINSLCLGQQRMVLVTTGDDSDLQVAVNDILGPILRITFHISVSHLNVRNDNILLRQLKATRVELESFRKSLQFCIWYALLLHVEGFKGALATALEKSKSRCLSSQ >ONIVA08G22600.3 pep chromosome:AWHD00000000:8:23427778:23433111:1 gene:ONIVA08G22600 transcript:ONIVA08G22600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G57910) TAIR;Acc:AT3G57910] MDEVHCLLTAKVSVLSALKSQPKSEEAANMDHPPLTSASPSKASTEHHWCREHAANPRCACGLTLHQHTPFELILSYNTQQQSSMALHLEGWKHTGLKVV >ONIVA08G22600.4 pep chromosome:AWHD00000000:8:23429592:23433639:1 gene:ONIVA08G22600 transcript:ONIVA08G22600.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G57910) TAIR;Acc:AT3G57910] MQLVDRSVMPKGFAASSGAHYDLQGVCGSCVFLGQIQAAVTEFLKQQKARRSSAAPANGAAELGGGAAQARRRLSSSNRVERPTRRRAADPAASSGAAEDGGDAKRRCRRRWRRRRVADLGRLPERPYSGEPHAVAAPSPASLRRAPHPERPYSDEPPFSPSLAFLFLAEVLFFLTKVAEVRLARVAKLGHAKLGWERSPLPSLLHASDLPTWLYIATRLVLAL >ONIVA08G22600.5 pep chromosome:AWHD00000000:8:23427778:23432890:1 gene:ONIVA08G22600 transcript:ONIVA08G22600.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G57910) TAIR;Acc:AT3G57910] MDEVHCLLTAKVSVLSALKSQPKSEEAANMDHPPLTSASPSKASTEHHWCREHAANPRCACGLTLHQHTPFELILSYNTQQQSSMALHLEGWKHTGLKVV >ONIVA08G22600.6 pep chromosome:AWHD00000000:8:23432862:23433639:1 gene:ONIVA08G22600 transcript:ONIVA08G22600.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G57910) TAIR;Acc:AT3G57910] MSLARRSSAAPANGAAELGGGAAQARRRLSSSNRVERPTRRRAADPAASSGAAEDGGDAKRRCRRRWRRRRVADLGRLPERPYSGEPHAVAAPSPASLRRAPHPERPYSDEPPFSPSLAFLFLAEVLFFLTKVAEVRLARVAKLGHAKLGWERSPLPSLLHASDLPTWLYIATRLVLAL >ONIVA08G22590.1 pep chromosome:AWHD00000000:8:23416630:23421028:-1 gene:ONIVA08G22590 transcript:ONIVA08G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLLLSALLFIALACSSNRVHGALNRHSFPEGFLFGTGTSAYQYEGAVDKRGQNIWDTFSRIPGKIADGSNADIANDFYHRYKEDLNLITAMNMDSFRFSIAWSRILPNGTISGGINKEGVEFYNSLINEALEDKYGGFLSENIVKDYVDYADLCFSLFGDRVKLWNTFNEPTIFCMNGYATGIMAPGRCSPYASASCAAGGDSGREPYVAGHHLLVAHAEAVRLYRARYRAAHGGEVGITQVSHWFEPYDAGSAADRRARRRALDFMLGWFMHPVAHGEYPPAMRRLVGGRLPAFTAEQSEMLRGSFDFIGLNYYTSNYAAYTPIFFNYPPGLRELLLYVKRRYNNPTIYITENGRV >ONIVA08G22580.1 pep chromosome:AWHD00000000:8:23405475:23416602:-1 gene:ONIVA08G22580 transcript:ONIVA08G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFEFGDGFKDRFGLIYVDRATLARFRKKSSYWIRVRPAGMRWLLLALFLVALVSNGAAVHGAFNRFSFPEDFIFGTGSAAYQYEGAVNEGGRGPSIWDTYAHIPGKVEDGSNGDVAVDFYHRYKEDLNFVTDMNMDAFRFSIAWSRILPNGTISGGINKEGIAFYNSLINEVISRGLKPFVTIFHFDTPQALEDKYRSFLSENIDFVDYADVCFREFGDRVKSWNTFNEPMIFCAGGYGSGTKAPGRCSPYVSKKCAPGDSGNEPYVAGHNLLLAHAEAVRLYRQKYQATQKGQIGITQVSHWFVPYSDDAADKHAVRRSLDFMYGWFMDPIVFGDYPGTMRKLVGDRLPKFTAEQSELVKGSYDFIGLNYYTTNYAKSVLRRPSKLKPAYATDNWVNQTAYRNGVPIGPPAFTKIFFTYAPGLRELLLYTKRKYNDPDIYIAENGTDEANNSTIPIAEALKDDNRISFHYQHLRFTQLAIKEGVKVKGYFTWTFMDDFEWGDGYTGRFGLIYVDRETLKRLKIM >ONIVA08G22570.1 pep chromosome:AWHD00000000:8:23396398:23405392:1 gene:ONIVA08G22570 transcript:ONIVA08G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IE97] MLRPQLNPSSSHHHTTTTSSSSSTQLYFASSSCIASLRRPSPPSLIAGAGCRTTRRRQQGRQRVVVRCASSSAASSASEAARRGTGSSDMAPAAVVKVKAVATIKVTVEGLLNSLRPSKAIDNIRDLIGRSLFLELVSSELEAKTGKKKATVHSYAHKVDDDDHGVVTYEADFDVPTGFGPIGAVVVTNELGQEMFLEDLNLTAGDGAGNSTVLPIRCNSWVQPKSSIDEGTPGKRIFFAKAYLPGQTPAGLRSYREEDLKQKRGNGAGQREADDRVYDYDVYNDLGNPDSNGDLARPVLGGSKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSEVKNAQFLLKTLQSVLHAAVPAAQSALIDNLSLNLPFPSFFVIDKLFEDGVELPGVEKLGFLHSIVPRLLELLRDSPGDKILLFDTPANVQKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAISQKRLFMLDFHDLFLPYVHKIRSLKHTTMYGSRTIFFLTDDGTLRLLAIELTRPASPSQPQWRQVFTPSTDTTKSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYQLLHPHFRYTMRINALARSRLISAAGIIELSFSPQKYSMELSSLLRPHFRYTMRINARARSALISAGGIIERSFSPQKYSMELSSVAYDKLWRFDMEALPADLVRRGMAEEDPTAEHGLRLAIEDYPFANDGLLIWDAIKTWVQAYVARFYPDAASVAGDEELQAFWTEVRTKGHGDKKDAPWWPKLDSPESLAHTLTTIVWVAAAHHAAVNFGQYDFGGYFPNREYLGGEQTRPWNSDAAVQAAYAGFTARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDAGVTGMGIPNSTSI >ONIVA08G22570.2 pep chromosome:AWHD00000000:8:23396398:23405392:1 gene:ONIVA08G22570 transcript:ONIVA08G22570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IE97] MLRPQLNPSSSHHHTTTTSSSSSTQLYFASSSCIASLRRPSPPSLIAGAGCRTTRRRQQGRQRVVVRCASSSAASSASEAARRGTGSSDMAPAAVVKVKAVATIKVTVEGLLNSLRPSKAIDNIRDLIGRSLFLELVSSELEAKTGKKKATVHSYAHKVDDDDHGVVTYEADFDVPTGFGPIGAVVVTNELGQEMFLEDLNLTAGDGAGNSTVLPIRCNSWVQPKSSIDEGTPGKRIFFAKAYLPGQTPAGLRSYREEDLKQKRGNGAGQREADDRVYDYDVYNDLGNPDSNGDLARPVLGGSKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSEVKNAQFLLKTLQSVLHAAVPAAQSALIDNLSLNLPFPSFFVIDKLFEDGVELPGVEKLGFLHSIVPRLLELLRDSPGDKILLFDTPANVQKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAISQKRLFMLDFHDLFLPYVHKIRSLKHTTMYGSRTIFFLTDDGTLRLLAIELTRPASPSQPQWRQVFTPSTDTTKSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYQLLHPHFRYTMRINALARSRLISAAGIIELSFSPQNAGGIIERSFSPQKYSMELSSVAYDKLWRFDMEALPADLVRRGMAEEDPTAEHGLRLAIEDYPFANDGLLIWDAIKTWVQAYVARFYPDAASVAGDEELQAFWTEVRTKGHGDKKDAPWWPKLDSPESLAHTLTTIVWVAAAHHAAVNFGQYDFGGYFPNREYLGGEQTRPWNSDAAVQAAYAGFTARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDAGVTGMGIPNSTSI >ONIVA08G22570.3 pep chromosome:AWHD00000000:8:23396398:23405392:1 gene:ONIVA08G22570 transcript:ONIVA08G22570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IE97] MLRPQLNPSSSHHHTTTTSSSSSTQLYFASSSCIASLRRPSPPSLIAGAGCRTTRRRQQGRQRVVVRCASSSAASSASEAARRGTGSSDMAPAAVVKVKAVATIKVTVEGLLNSLRPSKAIDNIRDLIGRSLFLELVSSELEAKTGKKKATVHSYAHKVDDDDHGVVTYEADFDVPTGFGPIGAVVVTNELGQEMFLEDLNLTAGDGAGNSTVLPIRCNSWVQPKSSIDEGTPGKRIFFAKAYLPGQTPAGLRSYREEDLKQKRGNGAGQREADDRVYDYDVYNDLGNPDSNGDLARPVLGGSKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSEVKNAQFLLKTLQSVLHAAVPAAQSALIDNLSLNLPFPSFFVIDKLFEDGVELPGVEKLGFLHSIVPRLLELLRDSPGDKILLFDTPANVQKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAISQKRLFMLDFHDLFLPYVHKIRSLKHTTMYGSRTIFFLTDDGTLRLLAIELTRPASPSQPQWRQVFTPSTDTTKSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYQLLHPHFRYTMRINALARSRLISAAGIIELSFSPQNSVAYDKLWRFDMEALPADLVRRGMAEEDPTAEHGLRLAIEDYPFANDGLLIWDAIKTWVQAYVARFYPDAASVAGDEELQAFWTEVRTKGHGDKKDAPWWPKLDSPESLAHTLTTIVWVAAAHHAAVNFGQYDFGGYFPNREYLGGEQTRPWNSDAAVQAAYAGFTARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDAGVTGMGIPNSTSI >ONIVA08G22570.4 pep chromosome:AWHD00000000:8:23396398:23405392:1 gene:ONIVA08G22570 transcript:ONIVA08G22570.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IE97] MLRPQLNPSSSHHHTTTTSSSSSTQLYFASSSCIASLRRPSPPSLIAGAGCRTTRRRQQGRQRVVVRCASSSAASSASEAARRGTGSSDMAPAAVVKVKAVATIKVTVEGLLNSLRPSKAIDNIRDLIGRSLFLELVSSELEAKTGKKKATVHSYAHKVDDDDHGVVTYEADFDVPTGFGPIGAVVVTNELGQEMFLEDLNLTAGDGAGNSTVLPIRCNSWVQPKSSIDEGTPGKRIFFAKAYLPGQTPAGLRSYREEDLKQKRGNGAGQREADDRVYDYDVYNDLGNPDSNGDLARPVLGGSKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSEVKNAQFLLKTLQSVLHAAVPAAQSALIDNLSLNLPFPSFFVIDKLFEDGVELPGVEKLGFLHSIVPRLLELLRDSPGDKILLFDTPANVQKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAISQKRLFMLDFHDLFLPYVHKIRSLKHTTMYGSRTIFFLTDDGTLRLLAIELTRPASPSQPQWRQVFTPSTDTTKSWLWRMAKAHVRAHDAGHHELITHWLRTHCALLRPHFRYTMRINARARSALISAGGIIERSFSPQKYSMELSSVAYDKLWRFDMEALPADLVRRGMAEEDPTAEHGLRLAIEDYPFANDGLLIWDAIKTWVQAYVARFYPDAASVAGDEELQAFWTEVRTKGHGDKKDAPWWPKLDSPESLAHTLTTIVWVAAAHHAAVNFGQYDFGGYFPNREYLGGEQTRPWNSDAAVQAAYAGFTARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDAGVTGMGIPNSTSI >ONIVA08G22560.1 pep chromosome:AWHD00000000:8:23383331:23383660:-1 gene:ONIVA08G22560 transcript:ONIVA08G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATRELEGLLPPLFTSSTAFDVASFNDQPVLRAEAFFPLIRLVHSHWTIGFGGLEAARFSSPELKATATGSSDGGGTSSLMKKQINIANIDARGVASAGSKGSGGAA >ONIVA08G22550.1 pep chromosome:AWHD00000000:8:23370419:23371045:1 gene:ONIVA08G22550 transcript:ONIVA08G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQTTDASHLGVVASGGDGQGRHNCLKNLQFVSLFVLARSVREVVETKAGDLRSLLCEGCTTAKSLSDDGITSIFSGVHGFGFSPWYTFTIALVEVTSTQ >ONIVA08G22540.1 pep chromosome:AWHD00000000:8:23354725:23359388:-1 gene:ONIVA08G22540 transcript:ONIVA08G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IE94] MLRPQLNPSSHTTTTSSSSSTQLFASSSCIASLRRPSSSSSSVAAARRTRGQGSSRVVVVCASSSATASRGDSSSDMAAAAAVRVKAVATIKVTVGELINRSIDIRDLIGRSLSLELVSSELDAKTGKEKATVRSYAHNVDDDDHSVVTYEADFDVPSGFGPIGAIIVTNELRQEMFLEDINLTASDGAGNSTVLPIRCNSWVQPKSVGDEGTPSKRIFFANKKRGDGTGEREADDRVYDYDVYNDLGNPDSNGDLARPVLGGNKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSPEKEDYFLRKTVGSVLQAAVPAAQSLLLDKLKWNLPFPSFFVIDKLFEDGVELPGVDKLNFLESVVPRLLEHLRDTPAEKILRFETPANIQKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAISQKRLFMLDFHDLFLPYVHKIRSLDHTTMYGSRTVFFLTDDGTLQLLAIELTRPASPSQPQWRQVFTPSTDATMSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYQLLRPHFRYTMRINARARSALISAGGIIERSFSPQKYSMELSSVAYDKLWRFDTEALPADLVRRGMAEEDPTAEHGLKLAIEDYPFANDGLLIWDAIKTWVQAYVARFYPDAASVAGDEELQAFWTEVRTKGHGDKKDEPWWPKLDTPESLAHTLTTIIWVAAAHHAAVNFGQYDFGGYFPNRPSIPSQVQATVVMAVLDVLSSHSTDEEYLGGEQTRPWNSDAAVQAAYDGFAARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDSGVTGMGIPNSTSI >ONIVA08G22540.2 pep chromosome:AWHD00000000:8:23354725:23359388:-1 gene:ONIVA08G22540 transcript:ONIVA08G22540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IE94] MLRPQLNPSSHTTTTSSSSSTQLFASSSCIASLRRPSSSSSSVAAARRTRGQGSSRVVVVCASSSATASRGDSSSDMAAAAAVRVKAVATIKVTVGELINRSIDIRDLIGRSLSLELVSSELDAKTGKEKATVRSYAHNVDDDDHSVVTYEADFDVPSGFGPIGAIIVTNELRQEMFLEDINLTASDGAGNSTVLPIRCNSWVQPKSVGDEGTPSKRIFFANKKRGDGTGEREADDRVYDYDVYNDLGNPDSNGDLARPVLGGNKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSPEKEDYFLRKTVGSVLQAAVPAAQSLLLDKLKWNLPFPSFFVIDKLFEDGVELPGVDKLNFLESVVPRLLEHLRDTPAEKILRFETPANIQSRHRIKPNSFTSIAIDSAAFQVSNGVCCVLTAEDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAISQKRLFMLDFHDLFLPYVHKIRSLDHTTMYGSRTVFFLTDDGTLQLLAIELTRPASPSQPQWRQVFTPSTDATMSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYQLLRPHFRYTMRINARARSALISAGGIIERSFSPQKYSMELSSVAYDKLWRFDTEALPADLVRRGMAEEDPTAEHGLKLAIEDYPFANDGLLIWDAIKTWVQAYVARFYPDAASVAGDEELQAFWTEVRTKGHGDKKDEPWWPKLDTPESLAHTLTTIIWVAAAHHAAVNFGQYDFGGYFPNRPSIPSQVQATVVMAVLDVLSSHSTDEEYLGGEQTRPWNSDAAVQAAYDGFAARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDSGVTGMGIPNSTSI >ONIVA08G22530.1 pep chromosome:AWHD00000000:8:23350139:23352790:1 gene:ONIVA08G22530 transcript:ONIVA08G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSILTEDLGDTSDFEVDGVENLTENDVSDEEIDAEELARRMWKDKIKLKRIKERQQKLALQQAALEESKTKKMSEQALRKKTARVQDGILRYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVRFDVNGPVAIAKYEVKNSMLVDAKRRGVLNQHSLMDLQDATLGSLLSSLMQHCSPQQRKYPLDKGVPPPWWPSGNEDWWISLGLPMGISPPYRKPHDLKKVWKVGVLTGVIKHMAPNFDKIRNCVRKSKCLQDKMTAKESLIWLGVLRREEIYFRSSDNVGSQITHRSSREGKSDDIYSSSDEYDVDHLEEPPRSSSSKDNVGRRQPTAQIREEHTSSRHNRGRHDQQPNQVLPSNEGTNESRKRKRPSGHLLTAESEVEVTQKDDNQLAIVSNALPNMSRINQTEMMGMANQMTSLSHVNTTETLQHQYVQGNFVSSPAAVVNNYNSNQITNVNPSRIYMGYQPLACQNNGHTNPWSEDTFQVDVGPPPIGFTTNSSSGGNHSLPMKQSLPKSIDDHVPITETGVLADSSSYGYHITATGSRNSTSVAGHGNELMGDPFDSDTADKFNGNSFDGLPFDFIGSSPIPDIEVPVSFIS >ONIVA08G22520.1 pep chromosome:AWHD00000000:8:23344661:23348308:1 gene:ONIVA08G22520 transcript:ONIVA08G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTSGGGGALSAQAAAALGEGIGLVFGRWTALQMAVENQWGGRDSRAKADQLAESILSWFANSKGKHYYEDLVDMMYDTVSESFNADFEDGSVEEVAEQLLIMHEECLQSNYSSVEKLRNSRAQGNAVSQSRKMVVDGDDDSSDDEDDDDDDGEPSMMDNEAGSAEKMAVDEPKPSKPVPDADGWTTVPPRRGRGKN >ONIVA08G22510.1 pep chromosome:AWHD00000000:8:23335255:23340547:-1 gene:ONIVA08G22510 transcript:ONIVA08G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPRAAAAAEGGEKRAALLREITEEGGFAFVASAEKAAADGDLRAAEAAREMAWEQLHACPRSEVGRAWRDAYALACLHVAALRVAGGGGDGRRAALRALDMGLIMGGDLLRAELEEAIARVVADRSRGCGGGGGDGAGENGADVEKWMEGLTRKRDLADVLKVLPVNGYIDHWPARTKWKDIRYLERIAGDRTVPVEELITFSQFLEMMWSSDCSANLTYLAQHPLFDQIKELREDIMVPEYCNAGGGELQKLNAWFGPEGTVTPLHHDLYHNLFAQGNPQILVFIQRVDHPLCFEITGMFALHQSSYSDKRLWPYPKWLHVLGRKYFRLYSASISNDLYPHRETMLSNISQVDLDNINVNEFPRTGDVEFMDGILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRTSIPPPQGS >ONIVA08G22510.2 pep chromosome:AWHD00000000:8:23335239:23340547:-1 gene:ONIVA08G22510 transcript:ONIVA08G22510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPRAAAAAEGGEKRAALLREITEEGGFAFVASAEKAAADGDLRAAEAAREMAWEQLHACPRSEVGRAWRDAYALACLHVAALRVAGGGGDGRRAALRALDMGLIMGGDLLRAELEEAIARVVADRSRGCGGGGGDGAGENGADVEKWMEGLTRKRDLADVLKVLPVKSLSCKQIERRSCISLEAFIRDYFLCESPVILSGYIDHWPARTKWKDIRYLERIAGDRTVPVEELITFSQFLEMMWSSDCSANLTYLAQHPLFDQIKELREDIMVPEYCNAGGGELQKLNAWFGPEGTVTPLHHDLYHNLFAQGNPQILVFIQRVDHPLCFEITGMFALHQSSYSDKRLWPYPKWLHVLGRKYFRLYSASISNDLYPHRETMLSNISQVDLDNINVNEFPRTGDVEFMDGILEEGDLLYIPPKWWHYLLVANVNSSSSGLVMLLF >ONIVA08G22510.3 pep chromosome:AWHD00000000:8:23335255:23340547:-1 gene:ONIVA08G22510 transcript:ONIVA08G22510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPRAAAAAEGGEKRAALLREITEEGGFAFVASAEKAAADGDLRAAEAAREMAWEQLHACPRSEVGRAWRDAYALACLHVAALRVAGGGGDGRRAALRALDMGLIMGGDLLRAELEEAIARVVADRSRGCGGGGGDGAGENGADVEKWMEGLTRKRDLADVLKVLPVNGYIDHWPARTKWKDIRYLERIAGDRTVPVEELITFSQFLEMMWSSDCSANLTYLAQHPLFDQIKELREDIMVPEYCNAGGGELQKLNAWFGPEGTVTPLHHDLYHNLFAQVLGRKYFRLYSASISNDLYPHRETMLSNISQVDLDNINVNEFPRTGDVEFMDGILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRTSIPPPQGS >ONIVA08G22510.4 pep chromosome:AWHD00000000:8:23335255:23340547:-1 gene:ONIVA08G22510 transcript:ONIVA08G22510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPRAAAAAEGGEKRAALLREITEEGGFAFVASAEKAAADGDLRAAEAAREMAWEQLHACPRSEVGRAWRDAYALACLHVAALRVAGGGGDGRRAALRALDMGLIMGGDLLRAELEEAIARVVADRSRGCGGGGGDGAGENGADVEKWMEGLTRKRDLADVLKVLPVKSLSCKQIERRSCISLEAFIRDYFLCESPVILSGYIDHWPARTKWKDIRYLERIAGDRTVPVEELITFSQFLEMMWSSDCSANLTYLAQHPLFDQIKELREDIMVPEYCNAGGGELQKLNAWFGPEGTVTPLHHDLYHNLFAQGNPQILVFIQRVDHPLCFEITGMFALHQSSYSDKRLWPYPKWLHVLGRKYFRLYSASISNDLYPHRETMLSNISQVDLDNINVNEFPRTGDVEFMDGILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRTSIPPPQGS >ONIVA08G22510.5 pep chromosome:AWHD00000000:8:23335255:23340547:-1 gene:ONIVA08G22510 transcript:ONIVA08G22510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPRAAAAAEGGEKRAALLREITEEGGFAFVASAEKAAADGDLRAAEAAREMAWEQLHACPRSEVGRAWRDAYALACLHVAALRVAGGGGDGRRAALRALDMGLIMGGDLLRAELEEAIARVVADRSRGCGGGGGDGAGENGADVEKWMEGLTRKRDLADVLKVLPVKSLSCKQIERRSCISLEAFIRDYFLCESPVILSGYIDHWPARTKWKDIRYLERIAGDRTVPVEELITFSQFLEMMWSSDCSANLTYLAQHPLFDQIKELREDIMVPEYCNAGGGELQKLNAWFGPEGTVTPLHHDLYHNLFAQVLGRKYFRLYSASISNDLYPHRETMLSNISQVDLDNINVNEFPRTGDVEFMDGILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRTSIPPPQGS >ONIVA08G22510.6 pep chromosome:AWHD00000000:8:23335255:23340547:-1 gene:ONIVA08G22510 transcript:ONIVA08G22510.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPRAAAAAEGGEKRAALLREITEEGGFAFVASAEKAAADGDLRAAEAAREMAWEQLHACPRSEVGRAWRDAYALACLHVAALRVAGGGGDGRRAALRALDMGLIMGGDLLRAELEEAIARVVADRSRGCGGGGGDGAGENGADVEKWMEGLTRKRDLADVLKVLPVKSLSCKQIERRSCISLEAFIRDYFLCESPVILSGYIDHWPARTKWKDIRYLERIAGDRTVPVEELITFSQFLEMMWSSDCSANLTYLAQHPLFDQIKELREDIMVPEYCNAGGGELQKLNAWFGPEGTVTPLHHDLYHNLFAQVDLDNINVNEFPRTGDVEFMDGILEEGDLLYIPPKWWHYVRSLSTSFSVSFWWRTSIPPPQGS >ONIVA08G22500.1 pep chromosome:AWHD00000000:8:23301383:23303991:-1 gene:ONIVA08G22500 transcript:ONIVA08G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEATTSSSSSTHHPPHATAAVPAPATRHEIQAAIAKATELRALHAALLQGQGAAAANAGSAYSRSPAASLIRLPPGASPALSKAAAAAVAEDYPVFTPTYDEEALSGMNYIRQDNRSLSENWSGIGLDHEGQEDEVAFSDFDNHNTFSSSNSELHFSSSNEHRRNRMGCRNHPSFLQPALSTDSFIKSASKRTDLAEFKAVTTCNTCKPATISRHPEADVDALKNLSSRVPPQSNYHPSICSRPRQKGPHILSWLLPKSKRKVKSDMSPNTVECENMSQLLKEWGVFSLESLKKELAEANENRDAALQEAAEMKSSLGELTTKLVSLEGYCSELKKALKQATSTKNMISHSKRSARSLAVSRDNSMPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVEDADNGLSDKLNLLLQPYQVTLTDKHPKVVLYHLEALMNQAMYQDFENCTFQKNGPPKYLDPKEDRQENFASFVALRNLSWNEVLKKGTKYHCEDFSRFCDQKMSCIVSMLNWSWPWAEQLLQCFFVASKCIWLLHLLAFSFSPPLVILRVEENRAFDQMYMEDIHLDKQRSQNPCQVKIMVTPGFYVQDRVLKCRVLGRYS >ONIVA08G22490.1 pep chromosome:AWHD00000000:8:23289985:23300945:1 gene:ONIVA08G22490 transcript:ONIVA08G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDAWLLCAALAAATVVYYLACTTSRRAQRRRLPPGPTPLPVIGNVLSLRGNMHHALARLARERYGPVMALKLGLVTAVVVSSPDAAREAFTKHDRRLAARAVPDTSRVRGFADRSMIWLPSSDTRWKTLRGVVATHVFSPRSIAAARGVRERKVRDIVGYFAAHVGEVVDVGEAVYSGVVNLVSNAFFSGDVVDVGEESAHGLREAVEDIILAIAKPNVSDLFPFLRPLDLQGWRRWAEKRYDTVFDILDNITNSRLADASAGNHAGDFLDSLLGLMSYGKIARDDVTTIMFDVFGAGTDTIAITVQWAMAELLRNPSIMAKARTEMEDVLAGKKTIEENDTEKLPYLRAVIKEAMRLHPVAPILLPHQAAEDGVEIGGYAVPKGSTVIFNVWAIMRDPTAWERPDEFMPERFLQRAEVDFRGKDFEFMPFGAGRRLCPGLPMAERVVPFILASLLHAFEWRLPDGMSAEELDVSEKFTTANVLTVPLKAREAWLLCAVLAAATVVYYLACTTSRRARRRRLPPGPTPLPVIGNVLGLRGNMHHALARLARERYGPVMTLKLGLVTAVVVSSPGAAREAFTRHDRRLAARTVPDISRARGLAGRSMIWLPSSDPRWKTLRGVVAAHVFSPRSLAAARGVRERKVRDIVGYFAVHPGEAVDVGEAVYGGVINLVSNAFFSADVVDVGKESAHGLRKTVEDMISAIAKPNVSDLFPFLRPLDLQGWRRWAEKRIDEIYGILDDKINSRLADTDADASTKKHGDFLDSLLELMSAGKIARDDVTNIMFEVFGAGTDTISITVEWAMAELLRNPSIMAKVRAEMDDVLAGKKTIEENDTEKLPYLRAVIKEAMRLHPVAPILLPHHTAEDGVEIGGYAVPKGSTVIFNVWAIMRDPTAWERPDEFMPERFLQRAEVDFRGKDFEFMPFGAGRRLCPGLPMAERVVPFILASLLHAFEWRLPDGMSAEELDVSEKFTTANVLTVPLKAVPILASSASELQAS >ONIVA08G22480.1 pep chromosome:AWHD00000000:8:23265287:23272426:-1 gene:ONIVA08G22480 transcript:ONIVA08G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTTRFAIDNPGHSLLPVPNGMNLWSFPLKSTSSAMSKNLSGMNSSGLSHATGSRMISHTLKITPPISAPSSSARCGTRISAAGCRRITSFTTAWRSSSTFVFFLPTERKQQGNTARTEGRPRANRRRLGEARRSSGDYPPAAAALGGTRRMAAIAELGCKWLGRQEGFPTKFMSA >ONIVA08G22470.1 pep chromosome:AWHD00000000:8:23264936:23272741:1 gene:ONIVA08G22470 transcript:ONIVA08G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVCWLLCAALAAAMACYYLTGTMRRRSRRLPPGPTPLPVIGNVLSLRGNMHHALERLAGEHGPVMALKLGLVTAVVVSSAGAAREAFTKHDRRLAARAVPDTTRARGFASRSMIWLPSSDPRWKTLRGVAATHVFSPRSLAAARGVRERKVRDIVGHLAGHAGEVVDVGKVVYGGVLNLRRLDLQGWRRWAEKRYDKVFGIFDSVINSRLADASTGKHADAGAGDFLDSLLDLMSAGTIARDDVTSIMYDLFGAGTDTIAITVEWAMAELLRNPSVMAKARAEMNHVLAGKVKATEMEENDVEKLPYLQAVVKEVMRLHPAALILVPHRAEEDGAEIGGYAVPKGSTVIFNVWEIMRDPVAWERPEEFMPERFLDMAEEVDFRGKDHKFMPFGTGRRLCPGLSMAKRVVPFILASLLHAFEWRLPAGVTAEALDLSEKFTTVNVLVTPIKAIPILASDQI >ONIVA08G22470.2 pep chromosome:AWHD00000000:8:23264674:23270843:1 gene:ONIVA08G22470 transcript:ONIVA08G22470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPPLSAFPLECISSSTLCACLHSHCLKPEPVLTTAGAVASRCLCCCCYLGSTPGAVVT >ONIVA08G22460.1 pep chromosome:AWHD00000000:8:23264466:23264707:-1 gene:ONIVA08G22460 transcript:ONIVA08G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADKFRSSQDSGEGLLAVVRSAALSSGLGSGRRDGDAMAMRWREWQRQAVVTAADREVRAIF >ONIVA08G22450.1 pep chromosome:AWHD00000000:8:23259688:23264401:-1 gene:ONIVA08G22450 transcript:ONIVA08G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQALVATRPLCRISAAWSGGGPTRAWRDTQRGARCKVTGRAHRSCTTRSQLGGVALCVRLGLGLWSSTWRPRNSGGWEKEKRKKRKKEKMEGPNCKMAKIGFGIEMNFQDSFSCLLVLHPACVRRRGRPTQAPPAERAVDGSTAREEPGETRSEVLLHVGFLRCFLKNGELNVVKSFSSEITGAGVCTSRRKYRHAGCHAALAPGWLFGCRYDRRLVLWNQKEIEGVAE >ONIVA08G22440.1 pep chromosome:AWHD00000000:8:23253371:23254891:-1 gene:ONIVA08G22440 transcript:ONIVA08G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAWLLCAALAAAMVYYYYYYYLACTTRRAQRRLPPGPTPLPVIGNVLSLSGDMHHELARLAREQYGPVMTLKLGLFTAVVVSSPDAAREAFTKHDRRLAARTVPDISRARGLTGRSMIWLPSSDPRWKTLRSAVATHFFSPRSLAAARGVRERKVRDIVNYFAGHAAEVIDVGEAVYGGVINIVSNAFFSADVVDVGKESAHGLRETLEDIILAIAKPNVSDLFPFLRRLDLQGWRRWAEKRYDKVFGILDDKINSRLADADADASTKKHGDFLDSLLELMSAGKIACDDVTTVMFDAFGAGTDTISNTVVWAMAELLRNPSIMAKVRAEMEDVLAGKKTIEENDTEKLPYLRAVIKEAMRLHPVAPILLPHRAAEDGVEIGGYAVPKGSTVIFNVWTIMRDPAAWERPEEFMPERFLQRAEVDFRGKDFEFIPFGAGRRVCPGLPMTERVVPFILASLLHAFEWRLPVGVAAETLDLSEKFTTVNVLVTPLKAIPILASHQI >ONIVA08G22430.1 pep chromosome:AWHD00000000:8:23242915:23244441:1 gene:ONIVA08G22430 transcript:ONIVA08G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVCWLLCAALAAAMACYYLTGTTRRRSRRLPPGPKPLPVIGNVLSLRGNMHHALARLAGEHGPVMALKLGLVTAVVVSSAGAAREAFTKHDRRLAARAVPDASRALGFAGRSMIWLPSSDPRWKTLRGVVATHVFSPRRLAAARGVRERKVRDIVGHLAGRAGEVVDVGKVVYGGVLNLVSSALFSADVVDVGEESAHGLQEAVEEIILAIAKPNVSDLFPFLRRLDLQGWRRWAEKRYDKVFGIFDSVINSRLADASTGKHADAGAGDFLDSLLDLMSAGKIARDDVTSIMFDLFGAGTDTMAITVEWAMAELLRNPSVMAKARAEMNHALAGKKTIEENDVEKLPYLQAVLREAMRLHPAAPILVPHRAEEDGAEIGGYAVPKGSTVIFNVWAIMRDPAAWERPEEFMPERFMDMAEEVDFRGKDYKFIPFGAGRRLCPGLLMAERVVPFILASLLHSFEWRLPGGMTAESLDLSEKFTTVNVLVTPLKAIPILASKNENIRE >ONIVA08G22420.1 pep chromosome:AWHD00000000:8:23242429:23244173:-1 gene:ONIVA08G22420 transcript:ONIVA08G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNLSGMNSSGLSHAAGSRMIAHTLKITPPISAPSSSARCGTRIGAAGCRRIASLSTAWRLASFGRNLQQPQEAKLNHWYNDVISNHWSLRTNIRLFGLVPFIVTPMLYMPRIISLPFDCLF >ONIVA08G22410.1 pep chromosome:AWHD00000000:8:23227099:23230988:1 gene:ONIVA08G22410 transcript:ONIVA08G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNLPVQPPAMSSSGLLRYRSAPSTLLAEFCDDFLPPAAAPRAASPDADNVFSRFLADHQIRDKSPPATAAAAAAAAAAHFPDDPTMATQHHHQQQMMFQHHPQQMASVEGLYRTVSSTGIDAATAAANAAGGGGGGLLRQSSSPAGFLNHLNMDNGYGSMLRAGMAAAGGGGGVGFRNGANAAAAADSPGGSGGRLKGQLSFSSRQGSLMSQISEMDSEELGGSSPEGAGGGGGGGGRGYLSGYPMSSGWEESSLMSDTNISGVKRQRDSSEPSQNGGGGGGGLAHQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMDKQTNTADMLDLAVDYIKDLQKQVKGLNDSRANCTCSAKHQQYSG >ONIVA08G22400.1 pep chromosome:AWHD00000000:8:23206066:23206596:-1 gene:ONIVA08G22400 transcript:ONIVA08G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLCFPSSSPSLSQSPLSLSPLGFSLHRRSGGGGLARRPGGGLHRRDAYRFGGIYLRGEGFHGNEKQPVAEMPVEPATVTTVVLPVAEPDPNSCHDAAVAAAEEPVHGEAEEEDEDEMASSWTSPEGCLRRPFTCRPMSVSWKSTKQELQLHLLPFCCKGNRTAEQAQQLVLRL >ONIVA08G22390.1 pep chromosome:AWHD00000000:8:23205788:23206542:1 gene:ONIVA08G22390 transcript:ONIVA08G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDDEWLRRALAAFGGGGGGVWELVDAALACAVHDRPDELIRRSTPPPAAAAATEETDIGRHVNGLRKHPSATRHLILVFLLGLAMDRLLGGCDGGIVARVRIWLRDGEHHGGHRCRLDGHLGHRLLLVAMESLPTEVDAAEPVRVPPVEAAAGAPRQTAAAAPPVKRKAEGRER >ONIVA08G22380.1 pep chromosome:AWHD00000000:8:23202549:23205049:-1 gene:ONIVA08G22380 transcript:ONIVA08G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLRLRALGLCRGNRGAFPAAHGGGGGRLHPRRPRLAGAFCSLATTGNGAAAAVGPVGSGAEVARAKRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGFKVDDTKLKRAGLDYWPYVVVKIHDSWNEFRDYFMKQDFSYKPGDWLVFGSETKGLPQSALEDCSREGLGGGTIRIPMVETYVRCLNLSVSVGLDNSTMNSSIISRSSRKKRKDYSLPRTFMHENTYLNFSWTISWDSLANSTCSLSSQKVII >ONIVA08G22380.2 pep chromosome:AWHD00000000:8:23202840:23205049:-1 gene:ONIVA08G22380 transcript:ONIVA08G22380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLRLRALGLCRGNRGAFPAAHGGGGGRLHPRRPRLAGAFCSLATTGNGAAAAVGPVGSGAEVARAKRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGFKVDDTKLKRAGLDYWPYVVVKIHDSWNEFRDYFMKQDFSYKPGDWLVFGSETKGLPQSALEDCSREGLGGGTIRIPMVETYVRCLNLSVSVGVALYEAARQLNYEQLHYQPELPQEAQGLFPAEDIYA >ONIVA08G22370.1 pep chromosome:AWHD00000000:8:23198996:23201602:1 gene:ONIVA08G22370 transcript:ONIVA08G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAAAVAVAVVVVVLGVEVAAALNTDGLALLALKFAVSEDPNGALSTWRDADNDPCGWSGVTCVDGGGGRVAGVELANFSLAGYLPSELSLLSELVTLSLPYNQLAGQIPVAITALQKLAALDLAHNLLSGQVPAGIGRLVSLSRLDLSSNQLNGSLPPAIAGLPRLSGVLNLSYNHFTGGIPPEFGGIPVAVSLDLRGNDLAGEIPQVGSLVNQGPTAFDDNPRLCGFPLKVECAGEKEDPRIPEANGGMNPGAAAAVGRPPRRRSSPTVPVLAAIVVVAIVAGVILQWQCRRRCAAATARDEEKESAKDKSGAVTLAGSEERRSGGEEGEVFVAVDDGFGMELEELLRASAFVVGKSRGGIVYRVVPGHGPAVAVRRLSEPDDGDGGSDSGWRRRRAFETEAAAIGRARHPNVARLRAYYYAPDEKLLIYDYLSNGSLHSALHGGPTASPTPLPWSMRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKILLDDELRAHVSGFGLARLVAGGAHKAAAAQSKKLGGAACALRGGGGALAYVAPELRTPGGAAAAATQKGDVFALGVVLLEAVTGREPTEGEGGLELEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDAELRPRMRAVAESLDRINA >ONIVA08G22360.1 pep chromosome:AWHD00000000:8:23180948:23185676:1 gene:ONIVA08G22360 transcript:ONIVA08G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTVRTIIAYTMHHVLATSGPNVYATAFQEIRARDVGAAREETLNLIQSNININRKMTMIYFQGWYGFGRTGVLRSIAEVLPSMKPYPPELRFDRTIYIDCSRWKSKRVMQRKIAEELKLDNETMASFDKQDEEDDFSGVDICSRDAILNVSAAISRILSQSRFLMVFLNGSDDEIPLSTFGIEDYFDCVVIWTWSRMFLTVMDVSYLHSIYRKIKEGRYTDLFIYGYQDADKFSSSEFGALFREEAATIGRCPCLQNIDLEIVADCCLYGFLMYYHNKQNTNEFVWPAHASNYWTCDGIIQGARALEVSNALHPEVSFECRSYELKRVVEMLKMDPKAPFLLLEDDNKFVYSNSNRPYRWVFAISNDTIEEAMQTKMTSASSIFLATQMYSGGLLGIPDGFFEQCSSLCVLVLSCCAFNFVSPPFLHCQTLKFIGLDRCKSNSTVELQGKWACLQNLRVIDLRYTDWVEIFHEEKMELMTNQLMEVNIEGVRCSQLTSQLKKRLPCLERLRIINPQNEAETSSSSTDINDIFVDKTDLQLLDLSGNKEKKNLPTSISNAGQLKVLILDGCDALEDVVVPNRLPSSLRSFSFDGYGSAAPSRASTIELPLQSCRPVRRGMIRMKDVKTSVISLEGCTQLDNLFLRGLPNLVELDLSGCAIKVLDFGTMVTDVPCLKRLFLLGCEHLRAIRWGPNERQSTLLELLCIDTRPARKVLGCARPSLAVEHKSFQGADEDGRLEIIWASDLLKAHCIWSRGIKSSDGYLQSLQHLHLRSCPSLRFALPMALPSFPSLETLHIIHCGDLRHIFVPDTEFQSTSIEFPKLTTIHLHDLPSLRQICEAVEMVAPALETIRIRGCWSLRRLPRSQGKQKPAIEVEKDVWDALEWDGVDAGHHPSLYQPPQHSRYYKNKRMPRGTLLGLFCEPGYGASGVPTPFSVCARPSDECVWLSLEYETRIRIANKNKQRLRLSARVVCEL >ONIVA08G22350.1 pep chromosome:AWHD00000000:8:23172317:23175589:1 gene:ONIVA08G22350 transcript:ONIVA08G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLAAANTPREILLLLLLPRRSEIEAHTPPFTSPSLLLAAQGKGKGGGILAYIGPRGASASSLLLLVLFAGIEGNSFFFLRWIWFGWFAADIGWTGCGSTHLQSICGDLLNFHGGLTQSLGLRKCSRSQTSSYNVKLGLVDCSLHRNIKSSDRPSLRYFVSLVGRQFRCGLSGKEGSLNMKLDMPSRDNFSSMSWKWRGLHQKIGGTTSGLCLGFAVSGIANAEVPVEISISNSAASTSSTHGKEVYTDYSVTGIPGDGRCLFRSVIHGACIRAGRPIPNEDLQRKLADELRAMVADEFVKRREESEWFIEGDFDTYVSHIRHPHVWGGEPELFMASHVLEMPITVYMHDEDAGGLIAIAEYGQQYGKEDPIQVLYDGFAHYDAVQIPAKKCSREMTCEFLKFQGSNGRIWS >ONIVA08G22340.1 pep chromosome:AWHD00000000:8:23165098:23166240:-1 gene:ONIVA08G22340 transcript:ONIVA08G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPSPAAPPLLLAALAALAVVASASAAACSAGDRDALLAIRAALSEAHLGVFSSWTGTDCCTSWYGVSCDPTTGRVADLTLRGEADDPVMAPAGRPASGVMSGYISDAVCRLGRLSSLILADWKQISGPIPPCVATALPYLRILELPGNRLTGEIPRSIGSLSRLTVLNLADNLIAGEIPSSITSLASLKHLDLTNNQLTGGIPDDVGDLTMLSRALLGRNKLTGAIPTSVGSLTRLADLDLAENGLTGGIPDSLGGAHVLTSLYLGGNRVSGRIPASLLQNKGLGILNLSRNAVEGAIPDVFTAESYFMVLDLSRNRLTGAVPRSLSAAAYVGHLDLSHNRLCGSIPAGPPFDHLDAASFASNSCLCGGPLGKCT >ONIVA08G22330.1 pep chromosome:AWHD00000000:8:23161889:23162356:1 gene:ONIVA08G22330 transcript:ONIVA08G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPTPRHKNPSNLQGLQNMGIKQPIMLFEEPPQASSDKKRVQSSLKPEDYSIGLNHLKQYPANGSCHLTTARRKTNVTCFGCGEKGHYANKCPQRRLRVGPRRSFPWQPRRDGCCFSCGQFGHYAIDCTQDTNEEQETRPSQISPEEDQDTNEG >ONIVA08G22320.1 pep chromosome:AWHD00000000:8:23156438:23157339:1 gene:ONIVA08G22320 transcript:ONIVA08G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQIPESVREEETYPRSHRVYQLPILSSTPSWLISKPSPHTNPNPLCLFPSNAFHRTPCDHSLTTARDHHCEAPRPELEVGGSGGRALLPPSPLRESRTAGHREPRIEHLQASPTASRPCFVRTEPRAAELRHPAVSRALRRAVPAVVPSPASSPWTCHPTAPPSHIISEIEHSVALGASVLINLVGGLTYRSSPKPPELSHGGYAAPLASASPRALAAGGRSPEILRVSARQLPSSPLPSRLGPTTPAAPSRASASLGNGRDKRR >ONIVA08G22310.1 pep chromosome:AWHD00000000:8:23154925:23155140:-1 gene:ONIVA08G22310 transcript:ONIVA08G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVMVALVLVGIVLAASQEVVDASTCVATDSPELAEERKKLEKLTAIFSRPRGVCHASEGCRDEPLLIDD >ONIVA08G22300.1 pep chromosome:AWHD00000000:8:23148507:23149478:1 gene:ONIVA08G22300 transcript:ONIVA08G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQAAAVAFSCATVALPRALALLLAPPARHRPQHCRCPAHRLLLLQAAAARAARPRRRLRSLLPQPQRHADDADDAAPPTAAARPRRPRPFSRSRCRAFMDRERRLASMESKNRSKGKKSSEAYPGGSHLTSVQKPPRQRPKSNPPTSHQGSDSKNEEFSKPSVSSDSTPSHLSVHSSSQDKEVDGESTDEGNSGSPGSKRKGKRKKGIFVSPTKSVARVKRSDCWKLFKVVDVPSKTEKGVTETKAK >ONIVA08G22290.1 pep chromosome:AWHD00000000:8:23143858:23144563:-1 gene:ONIVA08G22290 transcript:ONIVA08G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTAAAASVETSRCGSRTAVTAPELQMASRTAATTTDWIEDNDGGGGSRAPTPPPLPPPLAPDPNFLLCRRLLRPSEATRSTPR >ONIVA08G22280.1 pep chromosome:AWHD00000000:8:23142223:23143457:-1 gene:ONIVA08G22280 transcript:ONIVA08G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKGGAARKEEVVTREYTINLHKRLHSWYVLPTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEVPPEGLKGLGTKVVDETD >ONIVA08G22270.1 pep chromosome:AWHD00000000:8:23135894:23140515:1 gene:ONIVA08G22270 transcript:ONIVA08G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDNGEVRDEKGVGSDYEPARVSGVSKKLMRKDTRENSPRMAKSSGSRQVQNKLQHKASNNIQSRSPKPRKVVNAVKSAEVRRSDTVRVPSRAPSELSEETDDIVSEAGTVDDSKGNEEAKEIDVLDEAPHCDQSTGTDDEIPEIEEKIVDDEKPVVYQRNEELQSKIDKLEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRMYIHASKFWSSDKIASVAKSTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTIGISCQSSSTITAINMNGSAKSLDGRSMPMLWTNSSSGKQTKFTGMQVPDDWHETSTLLAALEKIESWIFSRIVETVWWQALTPHMQTPVEGSSTPKTGRVLGPSLGDQQQGTFSVNLWKAAFHDAFNRICPLRAGGHECGCLPVLAKLVMEQCVGRLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDNFGIDADDSEEDGTDTGSERSAAESKSFQLLNELSDLLMLPKDMLIEKSIRKEICPSIGLPLVTRILCNFTPDEFCPDPVPSIVLEELNSESLLERCTDKSATSAFPCIAAPVVYRPPSLLDVAEKVADTGGNAKLDRRASMVQRRGYTSDDDLDDLDSPLASLIDKSAPPLLSKGSAHFTAQRGVSMENARYTFLREVWLERQ >ONIVA08G22270.2 pep chromosome:AWHD00000000:8:23135894:23140515:1 gene:ONIVA08G22270 transcript:ONIVA08G22270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDNGEVRDEKGVGSDYEPARVSGVSKKLMRKDTRENSPRMAKSSGSRQVQNKLQHKASNNIQSRSPKPRKVVNAVKSAEVRRSDTVRVPSRAPSELSEETDDIVSEAGTVDDSKGNEEAKEIDVLDEAPHCDQSTGTDDEIPEIEEKIVDDEKPVVYQRNEELQSKIDKLEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRMYIHASKFWSSDKIASVAKSTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTIGISCQSSSTITAINMNGSAKSLDGRSMPMLWTNSSSGKQTKFTGMQVPDDWHETSTLLAALEKIESWIFSRIVETVWWQALTPHMQTPVEGSSTPKTGRVLGPSLGDQQQGTFSVNLWKAAFHDAFNRICPLRAGGHECGCLPVLAKLVMEQCVGRLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDNFGIDADDSEEDGTDTGSERSAAESKSFQLLNELSDLLMLPKDMLIEKSIRKEICPSIGLPLVTRILCNFTPDEFCPDPVPSIVLEELNSESLLERCTDKSATSAFPCIAAPVVYRPPSLLDVAEKVADTGGNAKLDRRASMVQRRGYTSDDDLDDLDSPLASLIDKSAPPLLSKGSAHFTAQRGVSMENARYTFLRECTTFLAHIHAFAEMDIDGQEEQTLDEDS >ONIVA08G22270.3 pep chromosome:AWHD00000000:8:23135894:23139904:1 gene:ONIVA08G22270 transcript:ONIVA08G22270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDNGEVRDEKGVGSDYEPARVSGVSKKLMRKDTRENSPRMAKSSGSRQVQNKLQHKASNNIQSRSPKPRKVVNAVKSAEVRRSDTVRVPSRAPSELSEETDDIVSEAGTVDDSKGNEEAKEIDVLDEAPHCDQSTGTDDEIPEIEEKIVDDEKPVVYQRNEELQSKIDKLEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRMYIHASKFWSSDKIASVAKSTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTIGISCQSSSTITAINMNGSAKSLDGRSMPMLWTNSSSGKQTKFTGMQVPDDWHETSTLLAALEKIESWIFSRIVETVWWQALTPHMQTPVEGSSTPKTGRVLGPSLGDQQQGTFSVNLWKAAFHDAFNRICPLRAGGHECGCLPVLAKLVMEQCVGRLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDNFGIDADDSEEDGTDTGSERSAAESKSFQLLNELSDLLMLPKDMLIEKSIRKEICPSIGLPLVTRILCNFTPDEFCPDPVPSIVLEELNSESLLERCTDKSATSAFPCIAAPVVYRPPSLLDVAEKVADTGGNAKLDRRASMVQRRGYTSDDDLDDLDSPLASLIDKSAPPLLSKGSAHFTAQRGVSMENARYTFLREVWLERQ >ONIVA08G22260.1 pep chromosome:AWHD00000000:8:23118257:23121044:-1 gene:ONIVA08G22260 transcript:ONIVA08G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPSPPLLAESLATLRTASPSPPIPCSPRRTRPLVSARFARTAGRRSRSTGGRRDLRSCAYAAEAEYGSAEDEVADDFYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGDNPEVTNFCMFINEVYTVLSDPVQRAVYDEIHGYTATATNPFFDDSAPKDQVFVDEFTCIGCKYCANVCPNVFRIEEDYGRSRVYSQSGSTELIQEAIDSCPVNCIHWTSAAQLSLLENEMRRIERVNVGLMSAGMGVSVDVFRLASVRWEKRQSKVLKKKEQRERREQLLLLGDGENTREKAQTGHRSTNFQRQRAIKNEFRGKFPLAVVW >ONIVA08G22260.2 pep chromosome:AWHD00000000:8:23118575:23121044:-1 gene:ONIVA08G22260 transcript:ONIVA08G22260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPSPPLLAESLATLRTASPSPPIPCSPRRTRPLVSARFARTAGRRSRSTGGRRDLRSCAYAAEAEYGSAEDEVADDFYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGDNPEVTNFCMFINEVYTVLSDPVQRAVYDEIHGYTATATNPFFDDSAPKDQVFVDEFTCIGCKYCANVCPNVFRIEEDYGRSRVYSQSGSTELIQEAIDSCPVNCIHWTSAAQLSLLENEMRRIERVNVGLMSAGMGVSVDVFRLASVRWEKRQSKVLEKIRTRMTNKKYSDISSSWTDIWGSPTRYQGNEEEGTERAKRAAAAARRWREYSRKGADRPPEYKLPEAAGNKE >ONIVA08G22250.1 pep chromosome:AWHD00000000:8:23107165:23112764:1 gene:ONIVA08G22250 transcript:ONIVA08G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAATAAAGMGSGGGGGGSGEHHMRGHAHLTNCIHLRHHHAHGLGAGSASSGQRRSPTGSSASASAALMRDLLALQRSRSLRDPSTRRSVDSSSRVAAEPQGVGVGLGDDLHDDSSRPGGALKTLLDQLAENPQPKPSRGPRRRFKRGAGRRAAPASATGGALDRGVDGAAAVSVNSSSQEAVCGNKYLFGVVGGDDDDDDECGVELQRPQASQDSRNVCGIPWNWSRIHHRGKSFLDMAGRSFSCGLSDPKSASAARRSIAATSAGSCGHMDGSRSHPHFPVTARLTSTSSDSDSLPLLVDGVRNGIGGIARSFSGELGIFSNQTSELDSDLASEARSGQRSRGSHRGRHQSLTQKYSPKTFKDMIGQSLVVQALSNAILKRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPVPTQHRVFIVDDCNTLPPDTWSVISKVIERAPRRVVFILISPNLDLPHIIVSRCQKFFFPKLKECDIVNTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYAFTQERVRRKFFKCPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYVLPSSSTSASFNQGLLTCPEGDVGRSTAIDHTNIYAGPHGLPRNANLGVDSDNNMAGTRRGKGPGEHTPDNHVLLARATRVNLSRYSKSDNEMIWQAVLEHIRSDSVRKMLAKEARLISVSLGTAPTVQLMFSSRVNKSKAERSREQVLHAFESVLASAITLEIRYEPKDDARAGHVPAISPYPEDSTSNVALRRSFTRHSSASSRGENLIRRLQKDNVVHGANSNQTRWVQSDPHILTEGEIIEVGPSQVDWHSEPNNSAGVRKENIVLQGREVVNNEHGRQNSIVRGKVSLAHVINKAETCSQQGGWSRQKAMSIAEKLEQENLRLEPKSSLLCWKASSTTRRKLSALKMRTRRSRALSRLAFCGKCISTKSPR >ONIVA08G22250.2 pep chromosome:AWHD00000000:8:23107165:23112764:1 gene:ONIVA08G22250 transcript:ONIVA08G22250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAATAAAGMGSGGGGGGSGEHHMRGHAHLTNCIHLRHHHAHGLGAGSASSGQRRSPTGSSASASAALMRDLLALQRSRSLRDPSTRRSVDSSSRVAAEPQGVGVGLGDDLHDDSSRPGGALKTLLDQLAENPQPKPSRGPRRRFKRGAGRRAAPASATGGALDRGVDGAAAVSVNSSSQEAVCGNKYLFGVVGGDDDDDDECGVELQRPQASQDSRNVCGIPWNWSRIHHRGKSFLDMAGRSFSCGLSDPKSASAARRSIAATSAGSCGHMDGSRSHPHFPVTARLTSTSSDSDSLPLLVDGVRNGIGGIARSFSGELGIFSNQTSELDSDLASEARSGQRSRGSHRGRHQSLTQKYSPKTFKDMIGQSLVVQALSNAILKRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPVPTQHRVFIVDDCNTLPPDTWSVISKVIERAPRRVVFILISPNLDLPHIIVSRCQKFFFPKLKECDIVNTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYAFTQERVRRKFFKCPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYVLPSSSTSASFNQGLLTCPEGDVGRSTAIDHTNIYAGPHGLPRNANLGVDSDNNMAGTRRGKGPGEHTPDNHVLLARATRVNLSRYSKSDNEMIWQAVLEHIRSDSVRKMLAKEARLISHQLCN >ONIVA08G22240.1 pep chromosome:AWHD00000000:8:23099235:23101914:1 gene:ONIVA08G22240 transcript:ONIVA08G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPKVDENSKKLKKPKPWKHTQAITPTQLSKMREEFWDTAPHYGGQKEIWDALRAAAEAELPLAQTIVNSAGIIVSNSDMTLCYDERGAKYELPKYVLSEPTNLIREP >ONIVA08G22230.1 pep chromosome:AWHD00000000:8:23096304:23098767:-1 gene:ONIVA08G22230 transcript:ONIVA08G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor family protein [Source:Projected from Arabidopsis thaliana (AT1G29330) TAIR;Acc:AT1G29330] MNAFRFLGDMTHLFSVLVLLLKIYATKSCSGVSRKTQELYMLVFVARYMDLFTDYISLYNSVMKVVFITSSAAIVWCMRRHPQVRRTYDKDQDTFRHVVLVAAAFVLALLFNERFTFREICWAFSIYLEAVAILPQLVLLQRSRNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEGHHSRWIPWIAGLVQTALYADFFYYYFLSWKNNVKLELPA >ONIVA08G22220.1 pep chromosome:AWHD00000000:8:23094398:23095126:-1 gene:ONIVA08G22220 transcript:ONIVA08G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEQRGRVFVLTLTGDGEHRLGHSLIGSIRAAVSSVAAAAAAAGPGAALVTLAEGRFFSNGLDIGPGGIPPSRVGELVVALRPLAADLLALPMPTVAAVTGHASAGGFLLTLCHDYRLMRADRGVLYMSEIDIGLPLPPYFVAILRAKITAAHALRDVTLRGRKLKAAEAKEMGIVDVVCPTAAETAAEAVKLAEQLAARKWNGNVYSSIRISMFPEACRSVGIVEESDEEKRNHFASKL >ONIVA08G22210.1 pep chromosome:AWHD00000000:8:23086731:23089629:-1 gene:ONIVA08G22210 transcript:ONIVA08G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKSPLSQMGSRDRDRELLIPVSGGGSAPGDGDGDGDRAASSSASAALSSSSREAFHKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFITSVTFIFVVGVFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRIGEYAFGFITSSVSLQGYTGQEELYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQTILGDRTGASRS >ONIVA08G22200.1 pep chromosome:AWHD00000000:8:23083442:23087807:1 gene:ONIVA08G22200 transcript:ONIVA08G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9/RNase H1 [Source:Projected from Arabidopsis thaliana (AT5G53070) TAIR;Acc:AT5G53070] MASARAALLRRHCLAGAGGANPVLFSGHGLRYRKLEVILTTTIDKLGKAGEVVKVAPGHFRNHLMPKMLAVPNIDKFALLIREQRKLYQHQEEEVVKEVRQEDDDAKQQEEKLKEYQTAAKRLDNALLVLRRFISVGNELRSPVTKDEIVSEVARQLNINIHPDNLHLPSPLASLGEFELPLRLPRDIPRPEGKLQWTLTVKIRRK >ONIVA08G22190.1 pep chromosome:AWHD00000000:8:23080440:23081390:-1 gene:ONIVA08G22190 transcript:ONIVA08G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACWANLGGSFPFVCANPLLLWLRLPQAFLILTVLDPICLRMIVLMLGEKSDENVAIVFKVANMKGMIPVLAMNLVYKLANGPIITVWFLL >ONIVA08G22180.1 pep chromosome:AWHD00000000:8:23078348:23082329:1 gene:ONIVA08G22180 transcript:ONIVA08G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLTTKTFLCNDGRSWTPGEAFASWVPFLDVVVVSTDAALQQDQFTLKFNTNRKTGC >ONIVA08G22170.1 pep chromosome:AWHD00000000:8:23071825:23078075:-1 gene:ONIVA08G22170 transcript:ONIVA08G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IE45] MDLAIKAPPAAAIITTSAHTPLRRCQDSLPQPPLVWVQGPIVVGAGPSGLAAAACLKEKGIDSLVLERSSCLAPLWQLKMYDRLSLHLPRQFCELPLFPFPASYPDYPTKQQFVAYLESYAAKFGINPMYNHTVVCAEFDERLMLWRVRTTQATGMMEDDVEYVSQWLVVATGENSEAVLPMIDGLEEFRGSVIHTSAYKSGSKFAGKTVLVVGCGNSGMEVCLDLCNHNGYPHIVVHILPREMLGQPTFRLAMWLLKWLPIHIVDRILLLVARAILGDTSQFGLKRPSLGPLELKSLSGKTPILDIGTLAKIKSGDIKVRPAIRRIAGQQVKFVDGRSEQFDAIVLATGYKSNVPCWLKVPHVRELCSVWPGLGNESTLVLACVMMACDPSPWKSRKGKGHHEQERETSLMGS >ONIVA08G22160.1 pep chromosome:AWHD00000000:8:23063837:23069157:-1 gene:ONIVA08G22160 transcript:ONIVA08G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLEAAAGDQQHGRLLIPQLPAAYLASSSMAALSPAGDDWAASLILPDGGSAAAGVGEDDLGGGVMAAAAEESSCGGSSTVTSSGVTEAAAAAAATTTRRGRGNGKKAGGGGRTPRFAFHTRSENDILDDGYRWRKYGQKAVKNSDFPRSYYRCTHHTCNVKKQVQRLAKDRGIVVTTYEGVHNHPCEKLMEALSPILRQLQLLSQL >ONIVA08G22150.1 pep chromosome:AWHD00000000:8:23061171:23061769:-1 gene:ONIVA08G22150 transcript:ONIVA08G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20 [Source:UniProtKB/TrEMBL;Acc:A0A0E0IE43] MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRIHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNRPVKKEGLASIL >ONIVA08G22140.1 pep chromosome:AWHD00000000:8:23049032:23057101:-1 gene:ONIVA08G22140 transcript:ONIVA08G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGIGSGSGGGTTPVRPRWGSGVTTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIVLRSVEPAAGGAAVASSSGASPSAVAPPRRAEPPGGVASRSRSPAMRRTSSHRLLQFSQELKAEAMARARQFSQDLTKRFTRTQSTTTAPPGIESALAARAERRQRAQLDRTKSGAQRAIRGLRFISGPNKASNAWIEVQANFDRLARDGYLSRDDFPQCIGMTESKEFAMELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEQLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISTKLSYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRHRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGVILHGGLHLVCDFPRLIGSSEEKYAPLGKYFGETKPTYLTLVKGVEGITGVIMLVCMIIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYISLVIHGEWLYLIRIWYKRTTWMYLAVPVCLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLINSIIKMEEEEEASGDLYPPIGRNKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIASKHPYAKIGW >ONIVA08G22140.2 pep chromosome:AWHD00000000:8:23049152:23057101:-1 gene:ONIVA08G22140 transcript:ONIVA08G22140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGIGSGSGGGTTPVRPRWGSGVTTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIVLRSVEPAAGGAAVASSSGASPSAVAPPRRAEPPGGVASRSRSPAMRRTSSHRLLQFSQELKAEAMARARQFSQDLTKRFTRTQSTTTAPPGIESALAARAERRQRAQLDRTKSGAQRAIRGLRFISGPNKASNAWIEVQANFDRLARDGYLSRDDFPQCIGMTESKEFAMELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEQLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISTKLSYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRHRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGVILHGGLHLVCDFPRLIGSSEEKYAPLGKYFGETKPTYLTLVKGVEGITGVIMLVCMIIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYISLVIHGEWLYLIRIWYKRTTWMYLAVPVCLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLINSIIKMEEEEEASGDLYPPIGRNKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIASKHPYAKIGYHEHKAN >ONIVA08G22140.3 pep chromosome:AWHD00000000:8:23049551:23057101:-1 gene:ONIVA08G22140 transcript:ONIVA08G22140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGIGSGSGGGTTPVRPRWGSGVTTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIVLRSVEPAAGGAAVASSSGASPSAVAPPRRAEPPGGVASRSRSPAMRRTSSHRLLQFSQELKAEAMARARQFSQDLTKRFTRTQSTTTAPPGIESALAARAERRQRAQLDRTKSGAQRAIRGLRFISGPNKASNAWIEVQANFDRLARDGYLSRDDFPQCIGMTESKEFAMELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEQLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISTKLSYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRHRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGVILHGGLHLVCDFPRLIGSSEEKYAPLGKYFGETKPTYLTLVKGVEGITGVIMLVCMIIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYISLVIHGEWLYLIRIWYKRTTWMYLAVPVCLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLINSIIKMEEEEEASGDLYPPIGRNKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIASKHPYAKIGVFYCGAPVLAQELSDLCHDFNGRCTSKFEFHKEHF >ONIVA08G22130.1 pep chromosome:AWHD00000000:8:23046984:23048405:-1 gene:ONIVA08G22130 transcript:ONIVA08G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IE39] MTETVVVNAGLGVGHLAPMVELANLFPRHGLAVTVVLIEPPAKPPSFAAAVSRSMASNPRITFHVMPSPSCHSNVPELIRAMNAPLREYLRSSVPSARAVVFDMFCACALDVAAELGLPAYFFQCGGASHLAVGLHLPHVQAEINASFGEIGDEPLLFPSVPPFKPSDLPKAALDRNDEMYRWILGVFERLPESRGILVNTFQWLETKALRALGDGACVVGRPTTPVCCVGPLVSRSGEDKKHGCLSWLDAQPEKSVVFLCFGSMGSFPKEQLAEIAIGLERSGQRFLWVVRRPHAGEASLSGLLAGCHGTHGELDIDELMPEGFLERTKGRGLAAGSWAPQADVLRHRATGAFVTHCGWNSVLEGIAAGVPLLCWPLYAEQRLNKVFIVEEVGVGAVMVGYDGEVVRAEEVEAKVRWMLESNEASPIRERVALAKERAEEATRKSGSSHQSFVKFLIDFGVTKWPVMNLVYW >ONIVA08G22120.1 pep chromosome:AWHD00000000:8:23038479:23039918:-1 gene:ONIVA08G22120 transcript:ONIVA08G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IE38] MKKTMVLYPGLSVSHFLPMMKLADELVEHGYAVTVALIDDPAPQKQIAFTATVDRVISSKPSICFHRLPRVDHLPAVTTNDGEFYLPGYLDLVRRHNEPLHGFLSSHFRGGIQALVVDMMSVEALDIAERLKVPGYLFHPSNASLFAFFLQIPSICAEGKRSFSELGDTPLELPGLPPMPASHLINNLLEESPESEVYKAIMDLARRYTNKYSNGFLVNTVDSLEARVVNTLRHARRQGGRALPPFYCVGPLVNKAGERGERPERHECLAWLDRQPDRTVVFLCFGSTGIGNHSTEQLREIAVGLEKSGHRFLWVVRAAVVTDDPDRLDLGALLPAGFLERTSGQGAVVKQWAPQVDVLHHQATGAFVTHCGWNSALEGITAGVPMLCWPLHSEQKMNKVLMVEEMGIAVEMVGWQQGLVTAEEVEAKVRLVMESEAGVELRARVTAHKEAAAVAWTDVGSSRAAFTEFLSDADSRQTS >ONIVA08G22110.1 pep chromosome:AWHD00000000:8:23033715:23034911:-1 gene:ONIVA08G22110 transcript:ONIVA08G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRQEWWRDNRGFLERTSGQGAVVKQWAPQVDVLHHRVTDAFVTHCGCEGITAGVPMLCWPLHSEQKMNKVLMVEEMGIAVELVGWQQGLVTAAEVEAKVRLVMESEAAQPAQGAGHGAQGRRGHGLGRWRLVAFGICPNTGKLKVLVGIGMGKD >ONIVA08G22100.1 pep chromosome:AWHD00000000:8:23030926:23032386:-1 gene:ONIVA08G22100 transcript:ONIVA08G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IE36] MKKTIVLYPGVAVSHFLPMMQLADELVDHGYAVAVALIDPAFQQHTAFPATVDRVVSSKPTVRFHRLPRVELPPATATDDGDFLLLGYLDLVRRHNECLHDFLCSMLPGGVHALVVDSLSVEALDVGERLNVPGFVFHPANLGAFAIFLQLPSIRAEGEPSFRELGDNPLELPGLPPMPASHLFSQFLEHPESQVYKAMMNVSRRNAQYSKGFLVNTFESLEPRVVNALRDSRCHHGGPALSPFYCIGPLVEKADERRDRAERHECLAWLDRQPERSVVFLCFGSTGAGNHSVEQLREIAVGLEKSGQRFLWVVRAPPVAIDDDDDSFNPRAEQDVDALLPAGFLERTTGPGVVVKLWAPQVDVLHHRATGAFVTHCGWNSVLEGITAGVPMLCWPLHSEQKMNMVLMVEEMGIAVEMAGWKQGLVTAEELEAKVRLVMESEAGSQLRARVTAHKEGAATAWADRGSSRSAFARFMSDMDRTANIR >ONIVA08G22090.1 pep chromosome:AWHD00000000:8:23020269:23021687:-1 gene:ONIVA08G22090 transcript:ONIVA08G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IE35] MTTKTFVLFPSLGVGHLNPMVELAKHLRRRGLGVIIAVIDPPNNDAMSADAMARLAAANPSVTFRILPAPASPDPGAHHVKRNLDTLRLANPVLREFLRSLPAVDALLLDMFCVDALDVAAELAIPAYFFFPSPASVLAVFSHLPYYYRNAPSLREMDKAALIRFPGIPPIRNVDMLATVKDKESETTKIRLYQFKRMMEGKGVLVNSFDWLEPKALKALAAGVCVPDMPKPRVYLIGPLVDAGKKIGCGAERHACLPWLDAQPRRSVVFLCFGSQGAFPAAQLKELAHGLESSGHRFLWTVRSPPEEQSTSPEPDLERLLPAGFLERTKGRGMVVKNWVPQAEVVQHEAVGAFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMKIAVSLDGYEEGGLVKAEEVETKVRLVMETEEGRKLREKLVETRDMALDAVNKGGSSEVAFDEFMRDLEKSRLENGVRS >ONIVA08G22080.1 pep chromosome:AWHD00000000:8:23010115:23014801:-1 gene:ONIVA08G22080 transcript:ONIVA08G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCILQCISASSTDNFPDETIENTLIPHDWVKHRPSYWSSGGHDDPDAPETLTYRLNCDMCIADEIKLFRMGHSKLPHGSDSFVTVEDENLMAIADENYVWTYTSPEFPMLQV >ONIVA08G22080.2 pep chromosome:AWHD00000000:8:23013325:23014801:-1 gene:ONIVA08G22080 transcript:ONIVA08G22080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCILQCISASSTDNFPDETIENTLIPHDWVKHRPSYWSSGGHDDPDAPETLTYRLNCDMCIADEIKLFRMGHSKLPHGSDSFVTVEDENLMAIADENYVWTYTSPEFPMLQNGVTNQNNKRRCQ >ONIVA08G22080.3 pep chromosome:AWHD00000000:8:23012937:23014801:-1 gene:ONIVA08G22080 transcript:ONIVA08G22080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCILQCISASSTDNFPDETIENTLIPHDWVKHRPSYWSSGGHDDPDAPETLTYRLNCDMCIADEIKLFRMGHSKLPHGSDSFVTVEDENLMAIADENYVWTYTSPEFPMLQESCELRIANPIKHPTSKTRT >ONIVA08G22080.4 pep chromosome:AWHD00000000:8:23010117:23012864:-1 gene:ONIVA08G22080 transcript:ONIVA08G22080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGDAAPKGPRGFVAHSNRTPLMHENGSDPGGWSPSTFPPRQAGRGVGRDTEKSQATDDWRLAPATGRGERGKIFRKQV >ONIVA08G22070.1 pep chromosome:AWHD00000000:8:22993107:23009060:-1 gene:ONIVA08G22070 transcript:ONIVA08G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTFVLFPSLGVGHLNPMVELAKHLRRRGLGVVVAVIDPPNNNAVSADAMARLAAANPSITFRILPAPASPDPGAHHVRRSLDTLRLANPVLREFLRSLPAVDALLPDMFCVDALDVAAELAIPARGGARYPRTNTKSEVAYNKKDIDWK >ONIVA08G22060.1 pep chromosome:AWHD00000000:8:22985876:22991100:1 gene:ONIVA08G22060 transcript:ONIVA08G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALITPASGGGGGGGDKAPPSASDPSLGFLTKRDTEVKLPRATRVKNKTPASVQITAEQILREARERQEPEIRPPKQKITDSIELSEYRLRRRKEFEDVIRRVRWNVNAWVKYAKWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMAWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARQVYERAADLLADDEDAQVLFVAFAEFEERCREVERARAIYKYALDRVPKGQAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNNDRIREVYERAIANIPPADEKRYWQRYIYLWINYALYEELDAKDVERTREVYSECLKLVPHKKFTFAKMWLMAAQFEIRQRNLKAARQILGNAIGMSPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDENEFDRTRELYERLLDRTKHLKVWISYTEFEASAGLAGEDGESEEIKNEVSYHEQQIERVRRCRAIFERAFEYFRTSAPELKEERAMLLEEWLNKEVSFGDLGDVTLVQKKAPRKVKRKRPIPTEDGSTVAYEEYIDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDV >ONIVA08G22050.1 pep chromosome:AWHD00000000:8:22984195:22984755:1 gene:ONIVA08G22050 transcript:ONIVA08G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGNRRLATRIKSSVQQAASSVFAALDKDDDGKVSAFELRGCMVVELGEDVFEEAAAILGKAKG >ONIVA08G22040.1 pep chromosome:AWHD00000000:8:22921199:22921498:1 gene:ONIVA08G22040 transcript:ONIVA08G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARLRGGGGDGAGRWTTPGHEERPKGHLFNRPPPGESRKWEDWELPCYVTSFLTVAILGVGLSAKPDLTLETWAHHKALDRLQEKDLAAAGQVPREL >ONIVA08G22030.1 pep chromosome:AWHD00000000:8:22918231:22918485:-1 gene:ONIVA08G22030 transcript:ONIVA08G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKHPQGPFAAAEERSLLGFHHRRGGSVASAYGDDDDLPDLAEADVWYTQSSEGSADHRGGGGRGLEIGAAAAEGSTRSAC >ONIVA08G22020.1 pep chromosome:AWHD00000000:8:22911410:22912693:1 gene:ONIVA08G22020 transcript:ONIVA08G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLDRARALRVLGRGAMGTVFLVEARYGGFRYALKVFDKRSAAATRHDAERRARWELSVLSRLAHPHLPCLLGSAETPGLLAWAVPYCPGGDLNELRYALPDRVFSPAAIRFYVAEIVSALCELHASGVVYRDLKPENVLLRADGHVTLTDFDLSRLLPPKTAPPSSASPPPRMFQGSGHRPRVSARSEIPLFSHAAATTTKPDPSPPAANPSAKQQLQSLVRFIMKGDRSELSKKAKSARVSPVSRKLASFASSWGKSFSFVGTEEYVAPEMVRGEGHGLAVDWWAVGVLAYEMAYGRTPFKGKNRKETFRNVLLKDVEFAGDSRRRLPELTDLISRLLERDPRKRLGYQGGADEVRAHPFFAGVAWDMLDVVSRPPYIPPPADDGDEVVGDGEDFSIREYFDKLHQPPPPESESSSSEFSSEF >ONIVA08G22010.1 pep chromosome:AWHD00000000:8:22899351:22899863:1 gene:ONIVA08G22010 transcript:ONIVA08G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREKKVEEPTELRAPEMTLCANSCGFPGNPATNNLCQNCFLAASASSSSSSAAASPSTTSLPVFPVVEKPRQAVQSSAAAAVALVVERPTAGPVESSSKASRSSSVNRCHSCRRRVGLTGFRCRCGELYCGAHRYSDRHDCSFDYKSAARDAIARENPVVRAAKIVRF >ONIVA08G22000.1 pep chromosome:AWHD00000000:8:22882996:22891486:1 gene:ONIVA08G22000 transcript:ONIVA08G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDAAGGGGDGGRYFKADLTGAGVVQLSERVREKLREFVDDYTNNTLVEYVILLLEGGKRKDEAIKELDVFLGKDSRAFISWLWDHLSVNLHLYVQTQEQLQVDNKDDEAPNELPGEQKSSELQLRSKDQTHPERVSEPSTTRSRTKRDWKGIGREGNENFPLRSVLKDILHGEEKRSQKVNGIRHPPSSNQRNGRKRDRDDEPQQIKRDLPLRRDVGASCRLLKFAVRDAVKAVQQTSSSTEPSSKRLRSVVSTSSADSLHGKRIETSTEGHLYDKKPERTRQILQVPGAALALRAAAEAAADSTKVRSTGSVFSRLGQGNAVNQTPRSREQQRDYEDFKPATTADDHDSDRYDNDDEISGDITLEDGEAEMNVDSTSDDDVSRDDGITRYGSSDSQVAAYPSVVEKKDVFVKCSVEPETSTMRHSNLIKEEQPGSSSVISMSKTVVVPVNANNLEPSNYETPKDVHVVEKTDITPMNATVTSLTSNIKELAHGEVQKDSQRSAIASSVTSSYSTAHPTEDADSRTLYVSNVGIYVFSSHIVHFGATKDALSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHKESAERALSLNGTSFMARILKVVRRSSHEAAHFYGWPGGGRTSMYARHGRMAYPRGGLPGSTFRGRAPMIAGARSLQWKREPSVTDSNTGATVALPSAEQVLPPAT >ONIVA08G22000.2 pep chromosome:AWHD00000000:8:22882996:22891486:1 gene:ONIVA08G22000 transcript:ONIVA08G22000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDAAGGGGDGGRYFKADLTGAGVVQLSERVREKLREFVDDYTNNTLVEYVILLLEGGKRKDEAIKELDVFLGKDSRAFISWLWDHLSVNLHLYVQTQEQLQVDNKDDEAPNELPGEQKSSELQLRSKDQTHPERVSEPSTTRSRTKRDWKGIGREGNENFPLRSVLKDILHGEEKRSQKVNGIRHPPSSNQRNGRKRDRDDEPQQIKRDLPLRRDVGASCRLLKFAVRDAVKAVQQTSSSTEPSSKRLRSVVSTSSADSLHGKRIETSTEGHLYDKKPERTRQILQVPGAALALRAAAEAAADSTKVRSTGSVFSRLGQGNAVNQTPRSREQQRDYEDFKPATTADDHDSDRYDNDDEISGDITLEDGEAEMNVDSTSDDDVSRDDGITRYGSSDSQVAAYPSVVEKKDVFVKCSVEPETSTMRHSNLIKEEQPGSSSVISMSKTVVVPVNANNLEPSNYETPKDVHVVEKTDITPMNATVTSLTSNIKELAHGEVQKDSQRSAIASSVTSSYSTAHPTEDADSRTLYVHFGATKDALSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHKESAERALSLNGTSFMARILKVVRRSSHEAAHFYGWPGGGRTSMYARHGRMAYPRGGLPGSTFRGRAPMIAGARSLQWKREPSVTDSNTGATVALPSAEQVLPPAT >ONIVA08G21990.1 pep chromosome:AWHD00000000:8:22880055:22880786:1 gene:ONIVA08G21990 transcript:ONIVA08G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLASLLSPSPLPTTTTSSTSPRAVRLAPAKPLAATLAAVAAAGLLALSPATAAAAAAGEAEFKVYYGTAASAANYGGYGGNASKKDAAEYVYEVPEGWKERLVSKVEKGTNGTDSEFFNPRKRSEREYLTFLAGFRALAPVGAVLDNLALSDVGLQDQIASADGVLSTERRDGGGQLYYEYEIAGAGAHSLISVTCARNKLYAHFVTAPNPEWSRDEAVLRRLHQSFKTVDPAGPPPASS >ONIVA08G21980.1 pep chromosome:AWHD00000000:8:22874397:22879072:-1 gene:ONIVA08G21980 transcript:ONIVA08G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indigoidine synthase A family protein [Source:Projected from Arabidopsis thaliana (AT1G50510) TAIR;Acc:AT1G50510] MGVAVSPEVEAALARGGAVVALESTIICHGMPYPKNLQTAMEVEAVVRENGAVPATIAILNGVPHVGESCDFSCDSEIRYMLNCSSAGGLSGEQLKSLAVSGRQFQKTARRDIAHVVASGGNGATTVSATMFFAHKVGIPIFVTGGVGGVHRNGEQTMDISSDLTELGKTPVTVISAGVKSILDIPRTLEYLETQGVTVAAYKTNEFPAFFTEVSGCKVPCRVDSPEECAKIIYANKNLHLGSGILIAVPIPKEHAASGNAIESAIQKALKEAENFSLAYSDIALVKNNALVGAKIAVALSDLHQRVTNSKDFGGLPYRVHVQRQARSETWGILFILTMASVCSIALIINE >ONIVA08G21970.1 pep chromosome:AWHD00000000:8:22867363:22867776:-1 gene:ONIVA08G21970 transcript:ONIVA08G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRGKALEMEKRTVQDRQVWSHVAGLSDTHTHGHLAIQLLHAYWYTQAMDRLTEWMDCSFDMTLQTAKICSTGVMTDNLGVPHGKQSRVERGMVSTKENFGPFDWLEDLCVRLLDPALATRIVATLLPREYRACSL >ONIVA08G21960.1 pep chromosome:AWHD00000000:8:22858452:22861898:1 gene:ONIVA08G21960 transcript:ONIVA08G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYTSFFKNPYYYYCTSASSFPTAPAAAAHLPPPLPPPYAALYPTAGGVGVGVGAHHHHQYPPAAFFHPPPVHQQHQAPPSPPLREALPLLSLSPTPARRGGVVDAAADSDSDDDDDGDCCYHHLQDQEGAAAGSTATPAAAAARAPLFADLNCIPTCCGDDNDGGDPMDVEVAGTTADIDAAVALRIGLPAGGTEADLLSGLTGTGVEHEEEEEDCKVDGGGSGGDDEVVPLGFSSTPIGKLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHAHTALDADDDDGAVSDADAVVRPSSSMAASSLQPPPR >ONIVA08G21950.1 pep chromosome:AWHD00000000:8:22836374:22840749:1 gene:ONIVA08G21950 transcript:ONIVA08G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNGSSYGESSSDDVEAPLLLPAARGGTMAKGDRRRPASAAAAAWVRALLAHKYPAIAAGPAACAAVCAAVDLGDGHGEARNMLGVLAWVFLWWVTGAVPLAVASMAPLFLFPALGISSDDDVARAYMGDVISLVLGSFILALAVDHHRIHRRLALNVLSLFCGDPVRPSLLLLGVTGTTALVSMWIHNTACTVMMMPVATGILQRFPRGDIDDGGGQEVRRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSSYFPEQRPITFSSWMSFGLPMAIILFLALWLTLCLMSNGFCREDGLGCGLVVLWMTRNITDNIPGWGVLFHNKVGDGTVTIMMATLLFIIPSGKREGEKLMDWNKCKKIQWNIILLLGAGFAIADGFKTSGLTDILSNGLRFLKGAPTLVIVPVACVFSGIMTEFTSDDSTTTLVLPLFAELAKSIEVHPALLMVSGAIGAQLSYLLPTGSPSNVVGFSTGYITIKDLVATGLPLKIVAIAALTVLLPTLGSTIFGMDIKS >ONIVA08G21940.1 pep chromosome:AWHD00000000:8:22833075:22833317:1 gene:ONIVA08G21940 transcript:ONIVA08G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMSSSVTGSMSCTNVAFLTDGVAGDAMHAGPGYDDARPYTVLFHPLTGRCVVRRAADDAAAATAAGTLELGWCEDTDA >ONIVA08G21930.1 pep chromosome:AWHD00000000:8:22818814:22825623:1 gene:ONIVA08G21930 transcript:ONIVA08G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSWGGGGGGGAVRLWCCGFLLMLLSGGGGAAAQRPPAYKTLSGKAPLVIAKGGFSGVFPDSSQNAYVFALSSTSGDTTLWCDVQLTKDGVGICLRDLLMNNCTSINQAYPAGEKAYIVNGQRSKGWFPIDYTISSLQSVIVTQAIWSRTDKFDFAYLPILPVINVTNLAKPSSVWLNIEHDIFYRQHGLNMTKYILSISKGGSVQYISSPELGFLQSISGRVNRKTKLVFRFLDATSSDPSSNQTYGSLLNNLAFIKTVASGIMVPKEYILRVTTDNYIQPATSIVRDAHSAGLEIYASDFANDRIIPYNYSYDPLEEYLHFVGSDNFSVDGVLSEYPLTAAAAIGCFTNLNVSSKTDHGSPLIISHNGASGDYPDCTDLAYQKAVDDGADVIDCSIQMTSDGVPVCMSSINLFETTNVQRTSFSNRASIFKDIQPTPGIFTFNLTWADISSSDLRPKISSPESIYYLVRNPVHKNAGNFFRLSDFLTFAKDKDLSGIMIIIKNAVFMANSLGFDVVDSVTKALSDAGYNNQTTKAKEVMIQSEDSAVLVNLKQLETKYKLVYTLPSTIGDASASSLVDVKKFADAVIVDRESIFPESQGFIMKETNLVKDLRSAGLAIYAQVFRNEFVSPPWDFFSDVTVEINSYVQLVNIDGIITDFPKTVRRYKMNSCLGVNMPSYMKPAQIGGLAQLLYGSQAQPPALAPMPVLNSSDVTEPPFPSAAPKNAPGGAANGSTPAPGASPSGSQAAAVMRAGILPMVTALFASLLI >ONIVA08G21930.2 pep chromosome:AWHD00000000:8:22818814:22825509:1 gene:ONIVA08G21930 transcript:ONIVA08G21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSWGGGGGGGAVRLWCCGFLLMLLSGGGGAAAQRPPAYKTLSGKAPLVIAKGGFSGVFPDSSQNAYVFALSSTSGDTTLWCDVQLTKDGVGICLRDLLMNNCTSINQAYPAGEKAYIVNGQRSKGWFPIDYTISSLQSVIVTQAIWSRTDKFDFAYLPILPVINVTNLAKPSSVWLNIEHDIFYRQHGLNMTKYILSISKGGSVQYISSPELGFLQSISGRVNRKTKLVFRFLDATSSDPSSNQTYGSLLNNLAFIKTVASGIMVPKEYILRVTTDNYIQPATSIVRDAHSAGLEIYASDFANDRIIPYNYSYDPLEEYLHFVGSDNFSVDGVLSEYPLTAAAAIGCFTNLNVSSKTDHGSPLIISHNGASGDYPDCTDLAYQKAVDDGADVIDCSIQMTSDGVPVCMSSINLFETTNVQRTSFSNRASIFKDIQPTPGIFTFNLTWADISSSDLRPKISSPESIYYLVRNPVHKNAGNFFRLSDFLTFAKDKDLSGIMIIIKNAVFMANSLGFDVVDSVTKALSDAGYNNQTTKAKEVMIQSEDSAVLVNLKQLETKYKLVYTLPSTIGDASASSLVDVKKFADAVIVDRESIFPESQGFIMKETNLVKDLRSAGLAIYAQVFRNEFVSPPWDFFSDVTVEINSYVQLVNIDGIITDFPKTVRRYKIIYPGHIMVSLTVAACTHAVLLAVNSCLGVNMPSYMKPAQIGGLAQLLYGSQAQPPALAPMPVLNSSDVTEPPFPSAAPKNAPGGAANGSTPAPGASPSGSQAAAVMRAGILPMVTALFASLLI >ONIVA08G21920.1 pep chromosome:AWHD00000000:8:22812070:22817124:1 gene:ONIVA08G21920 transcript:ONIVA08G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGVGGGCGSGGAATTRDPASGGRPRMDPSPGRPPLRDPAPLPSPSFPP >ONIVA08G21910.1 pep chromosome:AWHD00000000:8:22803837:22805709:1 gene:ONIVA08G21910 transcript:ONIVA08G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVQAVAAAPSLAAEEAPAVVEAVQEPVVAAVEEEEGATAAAAAAAAAPVEETKPADDQAAPAPAETVAEAEAEPAAAEEAEPEAETAPAAAIAEAEAGEAKEPEPEAEAEAAAAPVEEAAAAPVEVAEEAEAAPPAAPEVAAVEATE >ONIVA08G21900.1 pep chromosome:AWHD00000000:8:22791420:22792334:1 gene:ONIVA08G21900 transcript:ONIVA08G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSHFPSNAVTSVWGPHQQVAGNSIDRAPTPFTVVCTKHSCSAALCPTPKIKSHRRQEIQVQSLICFDDFFAGWPTTTTATTATTTTTMTRVALQESSSCCGGDEEAVDQLVAATTPASQLQMDYTMNQLWNDIAAAEADTSYDAAAAMASPPSPVWEFRGGVRGPRRRRWPAAAAAAVGGHLLLLFAGERWGREKRGDGGRXXXXXXXPHRHRRSGNSAAAFVALAAVVGRLLLLFAGERWGREKRGDGGRGWS >ONIVA08G21890.1 pep chromosome:AWHD00000000:8:22788917:22790803:-1 gene:ONIVA08G21890 transcript:ONIVA08G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALLSASTTAPCRRQQQQHRLRLRLLLLPSAAPPRAGTRSSKSAAAAGVRGRVRVNSLFGDCGGGDDGFRAVRRLVKLNSAVQNRSVRELLELAGDECLYFFGRISSIDVSQASKNMFLLLHAMMLRHHVSFVLKPTENEGFDLGVKWSLEWKGKKLPWDLDCNITTNHVYRGMLLINEVNKNLDAVILTLANKLLPEGTLDESNRRTIVACAIIGLVVMVVFYNMFKNL >ONIVA08G21880.1 pep chromosome:AWHD00000000:8:22783984:22789403:1 gene:ONIVA08G21880 transcript:ONIVA08G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDQELARYVESFVRQAAAVPGAVAAAGGISAESVARQLGPQLGLDLTPKAPLIRDILLALFSPPPQGAPFTSSAPSPSASASASASAAAAGHFFSQQQQQQQQQLQTFLTASQQYQHRGGAGAAAAPPSAYGVAAAAAGYRYGQPFPQDEGAHLQRLVQMHHHHHQQQQQQQQMAAAAAGVAAAPPTAVESPRPAAASKKESASTGVKRRGGPGGLNKVCGVSPELQAIVGEPTMARTEIVKQLWAYIRRNNLQDPNNKRKIICNDELRLVFETDSTDMFKMNKLLAKHIRPLEAKKDSNRDSKKLKPVDSEPISPAETDVNQLPIILSDALASFFGTGEKEMPSSEAVKRVWDHIKSNNLEDPANPTMILCDSKLKQLFGCESLTAMNRLTGL >ONIVA08G21880.2 pep chromosome:AWHD00000000:8:22783984:22789811:1 gene:ONIVA08G21880 transcript:ONIVA08G21880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDQELARYVESFVRQAAAVPGAVAAAGGISAESVARQLGPQLGLDLTPKAPLIRDILLALFSPPPQGAPFTSSAPSPSASASASASAAAAGHFFSQQQQQQQQQLQTFLTASQQYQHRGGAGAAAAPPSAYGVAAAAAGYRYGQPFPQDEGAHLQRLVQMHHHHHQQQQQQQQMAAAAAGVAAAPPTAVESPRPAAASKKESASTGVKRRGGPGGLNKVCGVSPELQAIVGEPTMARTEIVKQLWAYIRRNNLQDPNNKRKIICNDELRLVFETDSTDMFKMNKLLAKHIRPLEAKKDSNRDSKKLKPVDSEPISPAETDVNQLPIILSDALASFFGTGEKEMPSSEAVKRVWDHIKSNNLEDPANPTMILCDSKLKQLFGCESLTAMNRLTGL >ONIVA08G21870.1 pep chromosome:AWHD00000000:8:22775158:22777615:-1 gene:ONIVA08G21870 transcript:ONIVA08G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine:glyoxylate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G13360) TAIR;Acc:AT2G13360] MAPYIAFFSTLSILPASSFARITEMADYVYGPGRNHLFVPGPVNIPDQVIRAMSRQNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLFPTTDRVFSLLWIDQQQRLNFNVDVVESDWGYGADLAALDYKLRQDPSHSIKAICIVHNETATGVTNDLSAVRKILDKHRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRTALDLIFEEGLENVIKRHNRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLMGALSGVEMVLKDIGYPVKLGSGVAAAAAYLSNSTPLIPSRI >ONIVA08G21870.2 pep chromosome:AWHD00000000:8:22775158:22776834:-1 gene:ONIVA08G21870 transcript:ONIVA08G21870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine:glyoxylate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G13360) TAIR;Acc:AT2G13360] MADYVYGPGRNHLFVPGPVNIPDQVIRAMSRQNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLFPTTDRVFSLLWIDQQQRLNFNVDVVESDWGYGADLAALDYKLRQDPSHSIKAICIVHNETATGVTNDLSAVRKILDKHRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRTALDLIFEEGLENVIKRHNRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLMGALSGVEMVLKDIGYPVKLGSGVAAAAAYLSNSTPLIPSRI >ONIVA08G21870.3 pep chromosome:AWHD00000000:8:22775158:22777615:-1 gene:ONIVA08G21870 transcript:ONIVA08G21870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine:glyoxylate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G13360) TAIR;Acc:AT2G13360] MAPYIAFFSTLSILPASSFARITEMADYVYGPGRNHLFVPGPVNIPDQVIRAMSRQNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLFPTTDRVFSLLWIDQQQRLNFNVDVVESDWGYGADLAALDYKLRQDPSHSIKAICIVHNETATGVTNDLSAVRKILGNILSFLSLSCLLSHAHPWQRWTTIRADKHRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRTALDLIFEEGLENVIKRHNRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLMGALSGVEMVLKDIGYPVKLGSGVAAAAAYLSNSTPLIPSRI >ONIVA08G21870.4 pep chromosome:AWHD00000000:8:22775158:22776834:-1 gene:ONIVA08G21870 transcript:ONIVA08G21870.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine:glyoxylate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G13360) TAIR;Acc:AT2G13360] MADYVYGPGRNHLFVPGPVNIPDQVIRAMSRQNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLFPTTDRVFSLLWIDQQQRLNFNVDVVESDWGYGADLAALDYKLRQDPSHSIKAICIVHNETATGVTNDLSAVRKILGNILSFLSLSCLLSHAHPWQRWTTIRADKHRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRTALDLIFEEGLENVIKRHNRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLMGALSGVEMVLKDIGYPVKLGSGVAAAAAYLSNSTPLIPSRI >ONIVA08G21860.1 pep chromosome:AWHD00000000:8:22774014:22774421:1 gene:ONIVA08G21860 transcript:ONIVA08G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEIVDGSTVRSFVDDEAAFNASVDGRFAALDANRDGVLSYADMSGELMALRVLDTHFGVDDGHGGADDGLYRGLFARFDRDGDGKVGLHEFRAEMKEVMLVVANGLGFLPVQMVVEDGSFLKVAIDRELAKAA >ONIVA08G21850.1 pep chromosome:AWHD00000000:8:22769910:22772980:-1 gene:ONIVA08G21850 transcript:ONIVA08G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLCRVAPGDKHGTFGRRGNENDGLPLRFMLGRKKTTRASPRFSLPSYQQRVILSSAAGTFPTTAPSSRRWRPLHGGGTLLSGNATLMVSPRMRLISGTLITMVVATYPQHGALPLALRPHKVRIARSNCHKLEVSEIQAIRHELPIDADSQSGCDESPHRTVFVLPVGESDIYVRFPTSIRHHMDGSYNYYDKPEVVGAVSASQFVQFAGSFDRVAMHPKLPQEAKNKDQGLCSSNGPREHQNLEH >ONIVA08G21840.1 pep chromosome:AWHD00000000:8:22765316:22766137:-1 gene:ONIVA08G21840 transcript:ONIVA08G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAAMMVTILLCCSSISPAFAQKHKGPPAAAAVSLPPSPAPSPAAPRHVDLADLLSVAGPFHTFLDLLEKTDVLRTFQSQANGSKDGITVFVPKDAAFASLARSATANLTSDQLKSLALYHALPRYYSLAEFNRLGGAASPVPTLAGGEYTVNVTDDMGTVHVGSMWSNPKISSSVYSTRPVAVYEVDRVLLPMQIFRTDPPMAPSPAPAPDAKPASDAASPLPGKSSSAKAKADEKKSSSSPPSSRRGAGIAGYFLALAASASAGLLLLC >ONIVA08G21830.1 pep chromosome:AWHD00000000:8:22750283:22760884:1 gene:ONIVA08G21830 transcript:ONIVA08G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDRNSGGGYHPCHKSFLGANWSPGKGILGAGTNDILQPLHRPQKQQGVQVVNLPAVCNQHQLQVSLWTVCLPAFDIATQLKACLLQEVKRSAAQKSQKLDRWGKDHPHGFFTKKPQQPKPAKKVMNPGPLTQGPAPHGSGLNLCFEAPVPYTRACPQASEVGSRTRAAEAGSGETRQWRKPRSHKTFRRQCASADASPTSPLLHRRLIPSAPLR >ONIVA08G21820.1 pep chromosome:AWHD00000000:8:22750234:22761149:-1 gene:ONIVA08G21820 transcript:ONIVA08G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCCELLESCEGKCLRSFHAIRDAGEDCQTLGYTRRQFDVFPCASANCGYFYHAKCVAQLLFTENEAKALEYTTKIASGVKFACPLHKCDVCKYGENKDEKELQFAVCRRKIAFDDFVDNGVFHFQRAWEGLLPNNRILIFCLKHDIDPKLRTPTRDHIKFPDNPAVTRKPFDVNGMNKKVVKIRLLEDCPPAPLSSDKKSFGTVNRFSSSDVITKKRKVLVSGGTKHCALSAVAREKTSVPSFIPLSSFPVIDKSTERRIHEFAQKVSSDITIEDIQKKLVVPSTHTPVSKNTDKITLGMVQRSVEAINAALHMLENGASIEDVKSVCAPSDLFQLARWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLEQIVDRLQWYIESGDTVVDFCCGSNDFSLLLKEKLEASEKSCFYKNFDLIQPKIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWEDSHQLAGKSFYLPGSLDADNKIMEQWNMSPPPLSLWSRSDWARKHKEIAKTMGHISKNVWCLDDTQRSVVNTGHAQMANEGDDDLDNKERQEEAPLNASVIDQLLSDTYHDPTSSPGDYWTDTNGRSRQPCNYEGRNDPTHEYHAGMGCGSDMSISSSDKSDCEKQTETMSNSEHGHTGSEAHDHVGSAPVEQPTGFADCDEVTSAGIEYHSLENSPLTERPADAAGVQYKMLEDTPPPLDELVPGFSGQPIVSLPGGGRLSAGLQYQRLEDTLSRGTPEAGAGCRQLEDSLPAPPAASEVDAVVAKYLPQTTSDLPALPFVPAPRIPFPGLQFAPRNDLWQGWYPPPEFLSRGMDHPPFMHGSSGWLDD >ONIVA08G21820.2 pep chromosome:AWHD00000000:8:22750234:22760619:-1 gene:ONIVA08G21820 transcript:ONIVA08G21820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGMPDCFGERFSLCEGKCLRSFHAIRDAGEDCQTLGYTRRQFDVFPCASANCGYFYHAKCVAQLLFTENEAKALEYTTKIASGVKFACPLHKCDVCKYGENKDEKELQFAVCRRKIAFDDFVDNGVFHFQRAWEGLLPNNRILIFCLKHDIDPKLRTPTRDHIKFPDNPAVTRKPFDVNGMNKKVVKIRLLEDCPPAPLSSDKKSFGTVNRFSSSDVITKKRKVLVSGGTKHCALSAVAREKTSVPSFIPLSSFPVIDKSTERRIHEFAQKVSSDITIEDIQKKLVVPSTHTPVSKNTDKITLGMVQRSVEAINAALHMLENGASIEDVKSVCAPSDLFQLARWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLEQIVDRLQWYIESGDTVVDFCCGSNDFSLLLKEKLEASEKSCFYKNFDLIQPKIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWEDSHQLAGKSFYLPGSLDADNKIMEQWNMSPPPLSLWSRSDWARKHKEIAKTMGHISKNVWCLDDTQRSVVNTGHAQMANEGDDDLDNKERQEEAPLNASVIDQLLSDTYHDPTSSPGDYWTDTNGRSRQPCNYEGRNDPTHEYHAGMGCGSDMSISSSDKSDCEKQTETMSNSEHGHTGSEAHDHVGSAPVEQPTGFADCDEVTSAGIEYHSLENSPLTERPADAAGVQYKMLEDTPPPLDELVPGFSGQPIVSLPGGGRLSAGLQYQRLEDTLSRGTPEAGAGCRQLEDSLPAPPAASEVDAVVAKYLPQTTSDLPALPFVPAPRIPFPGLQFAPRNDLWQGWYPPPEFLSRGMDHPPFMHGSSGWLDD >ONIVA08G21820.3 pep chromosome:AWHD00000000:8:22750234:22760619:-1 gene:ONIVA08G21820 transcript:ONIVA08G21820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGMPDCFGERFSLCEGKCLRSFHAIRDAGEDCQTLGYTRRQFDVFPCASANCGYFYHAKCVAQLLFTENEAKALEYTTKIASGVKFACPLHKCDVCKYGENKDEKELQFAVCRRKIAFDDFVDNGVFHFQRAWEGLLPNNRILIFCLKHDIDPKLRTPTRDHIKFPDNPAVTRKPFDVNGMNKKVVKIRLLEDCPPAPLSSDKKSFGTVNRFSSSDVITKKRKVLVSGGTKHCALSAVAREKTSVPSFIPLSSFPVIDKSTERRIHEFAQKVSSDITIEDIQKKLVVPSTHTPVSKNTDKITLGMVQRSVEAINAALHMLENGASIEDVKSVCAPSDLFQLARWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLEQIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWEDSHQLAGKSFYLPGSLDADNKIMEQWNMSPPPLSLWSRSDWARKHKEIAKTMGHISKNVWCLDDTQRSVVNTGHAQMANEGDDDLDNKERQEEAPLNASVIDQLLSDTYHDPTSSPGDYWTDTNGRSRQPCNYEGRNDPTHEYHAGMGCGSDMSISSSDKSDCEKQTETMSNSEHGHTGSEAHDHVGSAPVEQPTGFADCDEVTSAGIEYHSLENSPLTERPADAAGVQYKMLEDTPPPLDELVPGFSGQPIVSLPGGGRLSAGLQYQRLEDTLSRGTPEAGAGCRQLEDSLPAPPAASEVDAVVAKYLPQTTSDLPALPFVPAPRIPFPGLQFAPRNDLWQGWYPPPEFLSRGMDHPPFMHGSSGWLDD >ONIVA08G21810.1 pep chromosome:AWHD00000000:8:22741720:22749374:1 gene:ONIVA08G21810 transcript:ONIVA08G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDCWRKNGVASQLDIGICPCPLGLELPPPPSIIGSSSVSTLVVPKLEELLREDDGGGGGGDDEEYNSPNKMRIEEMRKVGKGQGHGPPCTAAQSGVQSNNGGTTLTTTTAGAATSRLHSPPGPAASRAPRAHHRW >ONIVA08G21800.1 pep chromosome:AWHD00000000:8:22735802:22739207:1 gene:ONIVA08G21800 transcript:ONIVA08G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKSSSLFVRLLPLASPSIRRCPLSSPRVRSPALAPSRRPSSGTARVPVHRCHQQRHCLCLNNIKCFVEIIHLRDSLKHADMNPLQYYTYISCH >ONIVA08G21790.1 pep chromosome:AWHD00000000:8:22714965:22735726:1 gene:ONIVA08G21790 transcript:ONIVA08G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPGYEVHKIKLARRVLHLDTGITQMLGGDRYYQKWILDLDDKLSRVSADMNVFITLGCGFHFFIGSSPAAAGDNATSSSNCVSNCRPGYPILATDGTCYGIGCCNASVVEDHNSYTIKLLSLQSSPRAVPFNASMVVVKGEWSRRADNAMLLQQEVLSRLGAVAGAPDAARNVGVRTVVNWMLGNSSCVEAKKLSDFGCLSDNSECFDGPAGRGYACKCRSGYDGNPYMPNGCQDINECMLPNPPLCFGKCINTVGSYECICPGGTSGNAHIQNGCVSSKLKFSGNRKKVQSMSEDKDIAERMIFSLEELEKATNNFDESRKLGGGGHGTVYKGILSDQRVVAIKKSRYAIKREIDRFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFIPNGTLHEYLHVNSAQSVPWKERLRIALEIARSLAYLHSVASVSIIHRDIKTTNILLDDRFIAKVSDFGASRGVPIDQNIVTTTIQGTFGYLDPDFGVILAELITRRRPTSYISPEGFNLTEQFILLVSEDRLLEIVDSQITEEQGEEEAREVAEIAVMCLNLQGEDRPTMRQVEVKLEGLQGAVNTIRGDQKAQRRAVQLNSPLTEESDSNIVAVGDAGYHNSSRRLKSKMEKHAVALAAFTFLAAPLLQPPLFVAGNGSSCTTSCGNVSFEYPFGVEAGCYHPGFDLTCNHSYNRPGCSWAKKAAPCISISANQVVDPGAGPDPNEPNFLVYIVDQASFHFDTNMVTKGIRNTPEALPAMLNWLILSNSSACSASTNASAPSSAPECRSANSFCKGYNDIDECKSPHIYPCYGDCKNTRGGYDCQCHHGYKGNASILNGCQDINECAEPEKYSCYGGLCINTPGAFVCRCHDGSYGDPFTKGGCRSSKGLTIGLIVSGGSVLLLLGLAAPFIVRKVKLQRLISRNTDFAERMIITLQELEIATNNFDKSREVGTGGHGVVYKGIIDLHVVAIKKSKIVVQREIDEFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHVKGSISLPWDDRLRIALEVARALSYLHSSASMPIFHRDIKSSNILLDDNLTAKVSDFGASRYISINETGITTAVQGTIGYLDPMYYYTGRLTSKSDVFSFGVLLMELLTRKKPIGGTFDNGDGLVSHFISLLLKGNLYNIIDSQVKEEEDGEVLEVATLATTCTKFKGEERPTMREVEMALESIVSKKSSFCNKISQSSSRSDENRISALYMSIEGVTKDKTITITESSTEEEIPLSSRFSR >ONIVA08G21780.1 pep chromosome:AWHD00000000:8:22709833:22713169:-1 gene:ONIVA08G21780 transcript:ONIVA08G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFASMRVVFSLSVLAILLLQLLLGVATAAAANCSTHCGNIGISYPFGVEPGCYHEGFNLTCDRSHKPPKLFLGDGSVEVLEISIPSGTVRINSSSIVPVSTSSAVGTGSVNKTEKYHTWGGLRKGGPFFISLYKNKFLVLSCSNVQALLLGGDNSTVNACATYCPPAPKKGQPFQFPMRNECSGIGCCSAAIPKGYTSYSIQIQPANEISEFDAESSVYIAEEGSYNATRLIFETVSALPALLNWAISNSTCGTKPSAAPAPACRSSNSYCQNYTSYVYNGYQCRCNAGYQGNPYIPNGCQDIDECSHWKLHSCYGTCVNMPGTFHCRCPDGTYGNPLMEGGCIKIKNSSQGLSIGLVVSGGTVLLLLALCAPLATRKIKLRKMKKTKERFFKQNHGLLLQQLISQKVDIGERMIITLSDLEKATNNFDKSREVGGGGHGIVYKGILDLHVVAIKKSKIVVQREIDQFINEVAVLSQINHRNVVKLLGCCLETEVPLLVYEFVSNRTLYDHLHVEGPTSVPWDDRLRIALEVARAVAYLHSASSMPIFHRDIKSSNILLDDSLTAKVSDFGASRYIPIDQTGVTTAVQGTFGYLDPMYYYTGRLTDRSDVFSFGVLLVELLTRKKPFVHTSSNGDALVLHFVSLHTENNLVDILDPQVMEEGDGEVQEVAALAATCIKLKGDDRPTMREVEMALENMRVKKKHATLGTTSNRCDGDQIVCDYLSTRGITDESTRQYTMEEEILSSGTYPR >ONIVA08G21770.1 pep chromosome:AWHD00000000:8:22700418:22713008:1 gene:ONIVA08G21770 transcript:ONIVA08G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTDGRFLLRLPTIGRRRLHRLPTGTDPATAASPLTAGHFLLRVPIASRRRLSCLTTASLDTFGGRRSGASPPTTSRLLLRHPTAACPIRRQPPPPHRQPLDPAASTPDRQRRSNSKFDTDAHITDEFEDVTLHRDEERAALSQSTPFPTALDVDTGTILLLLMRTVPLGIEISSTSTEPSPRNSLGGLCERSQVRLKPSW >ONIVA08G21760.1 pep chromosome:AWHD00000000:8:22696588:22697676:-1 gene:ONIVA08G21760 transcript:ONIVA08G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHPVCTSRPMKIHDFCKRTLADFDRRQTAILPPSHLACGIVVRVASGREVMTSSSSFCCLAASLLMLLLPFFAMAAAAAGGSSSSSNCTTICGGISIEYPFGVEPGCYHPGFNLTCNHSYSPPRLFLGDGTVQVLEIAIPQATVRINSGRMVFNSTGNHAVNRSLLDQVGRPYFVAASNRIALLSCNARVDVRAAGRNNNKTSTKLLSSCTAICPTDDGGGATTILDIGPEGPCSGIGCCETSMLLAGSSTASRSRTCRSRPSC >ONIVA08G21750.1 pep chromosome:AWHD00000000:8:22687364:22692283:1 gene:ONIVA08G21750 transcript:ONIVA08G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSQGNIRGVLQHFCGCGGAEHARGNGIPVVVFPNSKSAPKGISTDELLNALRELRVDFIILAGYVKLIPVELVQEYPKSILNIHPSLLPAFGGKGYYGLKVHKAAISSGARYSGPTVHFVDEHYGTGSTLAQRVVPVLANDTPEQLAARVLHEEHQGPEPGLY >ONIVA08G21740.1 pep chromosome:AWHD00000000:8:22674570:22696531:-1 gene:ONIVA08G21740 transcript:ONIVA08G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGYSSPEALPARLDWYINSSSACPLPASAPECRSAHSYCDSTYDNKAYICRCSEGYEGNPYVPDGCHDTDECSSGYCSYGECRNTPGSFICNCPRGYEGNPSPKDGCKDYICLCNNGTYGDAKKKEGCIPMKQARDLGLRIGLGVGGGTILLLLALSAPFISSKMKLRKMKRMKETFFRQNHGLLLERLVSQNADIGQRMIMTLQELEKATDNFDKSREIGGGGHGVVYKGILDLQVVAIKKSRIVVKREIDDFINEVAILSQVNHRNVVKLLGCCLETEVPSLVYEFISNGSLDHHLHVDGPISLPWDDRIRIALEVARALTYLHSATTIPIFHRDIKACNILLDENLISKVSDFGASRYIPIEQTEVTTAVQGTIGYLDPMYYYTGHLTDKSDVFSFGVLLIELLTRKRPMYRTDHGESLVLYFASLHRQGQVVEIIDPQVMTEGDGDQIQEVASLAATCTKLNGQDRPTMRDVEMTLENLRVKKKLASHSVKSSRYNASEITKHYMLVTGQGSKEMSRQYSMEEEMLLSERIPFEVVRALSYLHSAASMPIFHRDIKYSNIRLDDSLTAKVSDFVASRYISINEMGITTAVQGTISYLDLIFGVLLMELLTRKKPIGGTFDNGDGLVSHFVSLVSKGNLYDIIDSQVREEEDGEVQEVATLAATCTKSKGEEWPTMREVEMVLENIVSKKGPSNKETIRSSSRCDENRISALYMSIEGVTNDIQNNYRKQHGRGNTIVVKLHRRLITNQQIHIRISIGILGSIICCSYYIHTEMVRVVVSSRRVHVQLLILQLLAAVVAAADEPAVPPAKKIKSCTTRCGNISIEYPFGVEAGCYHAVGFNLTCNHSYHPPRLFLGDGTVQVLDISIPNGTVRINSDRINVEDNGHGSANGTWGGGLPDGGPFFLSESESSLLLLGCDSQADVRELGGDRTLVASCISVCPSPHPNLSIVGSRGSRCSGTGCCQTNIFLGYSSYLIHIHNLNQEVDAKSSNIYMVDQGFNYNGTLSDSTEYPPRALPALLDWVISNSTRNCPENSSAPECRSAHSFCQDTDAETHGGYRCECSYGYQGNPYIIDGCKVIRKEDIIVNAKMVIKAIHTSLMDAKCADPAAHSCYGLCINTHGSFHCRCQDGAHGDAFKKGGCITSKNYLTGLKIALIVTGGSIVLILVLATPLVARVVKQRRDKKLKEKFFKQNHGLLLQQLISKNTDFGERMIITLEELQKATNNFDRSRQVGDGGHGVVFKGILDLNVVAIKKSKIIVQREIGEFINEVAILSQINHRNVVKLQGCCLETEVPLLVYEFISHGTLYHHLHVDGPISLSWDDRLRISLEVARALSYLHSASSMPIYHRDIKSSNILLDDSLTAKVSDFGASKYTPIDRSEITTAVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPVVDTFDGDSLVSHFVMLLSEGNLIDIIDPQVKEEEGGEVHEVAALAALCTKLKGEDRPSMREVEMALENIFSKKGPFHKVNKESSRPSKNPISALYISIEGVATEASRQSMEEEMLSFPR >ONIVA08G21730.1 pep chromosome:AWHD00000000:8:22671559:22676214:1 gene:ONIVA08G21730 transcript:ONIVA08G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVASTGSGLRCSPNPMPKQQHERRSARVGFVSQLRPRAVRCRRSPHPDARAYAAVVVGAKGGEAGGVGVERKRLAVFVSGGGSNFRAIHEAALGGEVNGDVVALVTDKPGCGGAEHARGNGIPVVVFPKSKSAPEGVSTDELLNALRELRVDFVLLAGYLKLIPVELVQEYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGSTLAQRVVPVLANDTPEQLAARVLHEEHQVYVEAVAALCDDRIVWREDGVPLIRRQTNPDEYT >ONIVA08G21730.2 pep chromosome:AWHD00000000:8:22671559:22676214:1 gene:ONIVA08G21730 transcript:ONIVA08G21730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVASTGSGLRCSPNPMPKQQHERRSARVGFVSQLRPRAVRCRRSPHPDARAYAAVVVGAKGGEAGGVGVERKRLAVFVSGGGSNFRAIHEAALGGEVNGDVVALVTDKPGCGGAEHARGNGIPVVVFPKSKSAPEGVSTDELLNALRELRVDFVLLAGYLKLIPVELVQEYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGSTLAQRVVPVLANDTPEQLAARVLHEEHQVYVEAVAALCDDRIVWREDGVPLIRRQTNPDEYT >ONIVA08G21720.1 pep chromosome:AWHD00000000:8:22664651:22670965:1 gene:ONIVA08G21720 transcript:ONIVA08G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: WLM (InterPro:IPR013536), PUB domain (InterPro:IPR018997), PUG domain (InterPro:IPR006567); BEST Arabidopsis thaliana protein match is: zinc ion binding (TAIR:AT1G55915.1); Has 30201 Blast hits to 17322 proteins in 780 sp /.../ Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G35690) TAIR;Acc:AT5G35690] MSSLFRLPRGTSYNKMEVYTPIMYTWIYEICRWAPLSSTHRSIRIYLRKQIAVKEGNKMEEKRIARISVTWRGRQLDVDADPSCTVKEFGQLLQDLTSVNPETLKLIVPQSTNKGSKLITPFSDPHSILTLKEAAISDGKPIRMMGVFNDEIEEVSDNGKRTDLRIIGFEEEEQRLRQRTSGRPQVPLKLPQGQYIFCDFRTLHLPGIELNPPPSEALKRMHMLACDPGIIAIMNKHRWRVGIMTEMAPVGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKRTLLHELAHMVHSEHDANFFALNKQLNDEAASLDWTKSRGHVLSGRKIFDSYEDEFVLEPDSVVVGHKLGGGSSSLASARVLSGSAAYKRFLNASADLESSKDSVTIPGNEILVPNTKFEPDPDDVGQDFSQDNTKVEPDPDDNDDMNVDVGTGASWSSVSRSFTEQDTISHSEPDPDDIHQQSTAGCLEPDPDDSSNVDILSQELTIDGKQNGEPDPDDNGTSKFIPEPVNKMEVETELGSNFAVQNSEPDPDDSSNAILNKKLGTDRSDETTCEAVVEECVNKMEVETEQSRNSTMSKSEPDPDDHAANSNISELQRIEEPVAALCARLQKAIEMLRSQATPTEATSALQTLFKIIKNVIEHPHDIKYRRLRKSNPQFQRSVANYKGLQKSTVTNFLIFFASLKTAAMEVLELIGFCEDVVSDEIGRAETYLVLKRNDPGLLWLAKSSLEVSMA >ONIVA08G21710.1 pep chromosome:AWHD00000000:8:22659044:22663047:-1 gene:ONIVA08G21710 transcript:ONIVA08G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDETAEADTDMPPLEDDAGESKMEEVD >ONIVA08G21700.1 pep chromosome:AWHD00000000:8:22655909:22657612:1 gene:ONIVA08G21700 transcript:ONIVA08G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHLLPLLLGRLVVSGDLLRSPAHLRRIVPLLPSHPHLAAALCAVHFPLFPSSSATFLHNILIRASASSASPRLSFAAFSSLLRCGLVPDRFTLPPLLGSAGKLPAFPRTGAQVHAQAVRRGFLADVFVVNALLAMYGALRDAASMRECGEMESAKRVFDEMPRRNGVSWSTMVGGYAAAGELDVAREMFDRMPAIGRNVVTWNSMVTGFARHGLLPLARKTFDEMPVRNLVSWNAMLRGYSVNSDMDGARELFDVMPEKDVVSWTCMISGYAQAGRYQDTLELFRAMQTESNVQPNEVTMVSVLSACANLTALEEGRWVHAFIDKHKMVLNNEYNLGAALIDMYAKCGRTDIAVKIFHSLDRKNVSAWNALITGLAMNGNARDSLDAFEQMKRTGEKPNDITFVGVLTACAHGGLVDEGRRCFQSMASTCGVQPEVKHYGCMVDMLGRAGLLEEAEELIRSMPMAPDVMVFGALLGACRMHKRFDVAERVQSEIHSLNLNAQQSGCHVLISDIYAAAGKWFDVLEARQVVQRSGIRKWTR >ONIVA08G21690.1 pep chromosome:AWHD00000000:8:22652554:22655136:1 gene:ONIVA08G21690 transcript:ONIVA08G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKVASCAPTEERAYSCGDEDITQEEKMLLQRFPIHESDDYEHEEVNCELAKSGDQICSVPYGLYDLPELNDILSLETWNLCLTEDDRFRLAAYLPDMDQHDFFVTMKELFSGSDLFFGSPVKSFFHRLNGGFYSPEVSQARELLMIFERRRYYHFLKSHHDGMIFKFASMDKVGGRCGASTGLQGKVNSWNDRRHEDPLTAKRKGIVYRDKSMEMSSLKSPVFHVPGELTTCIRLPKGVLKIKTDCASLIDHNEGIHRTPEPMLADQLGIQVSSLPCASALDVHGFAMNSAYYYHINTSKSTLRNLHVRPYQREGTLDTYPHSVESPFGVQIMVPEELKRGYYSRMPNSFHQSTTKHSPEYCNEAPHEKNLLKNFGQQNAVIPESSPDPFTRNTDCHQTNGYMTHGLKTAESISEVLTLGTDTAGPYKHLLEQSETMRYPEGLKLKTPASQSVTEVEEGHRYPFTYKRRKLQKRLDLVDPVKKSTMVDSEPLSALASVANVKIKGNQALKIGS >ONIVA08G21680.1 pep chromosome:AWHD00000000:8:22638673:22642673:-1 gene:ONIVA08G21680 transcript:ONIVA08G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSDLPPRAGAGAGASPGWPQRWRRRRQRGVERGGAVSGGGGGVFSIGVGGKKLHHGGGGGGEMTEEELAKVEGRVCVNGASAAACLHTQQGRKGTNQDAMVVWENFNTSDSVFCGVFDGHGPYGHFVAKKVRDSLPVKIRTLWKTSANEDTSSHQNGSISGSVNSEESPVVDDEWGEYADDSEKLPEMFLPLKQSYFKAFKLMDKELKMHPTVDCFCSGSTAVTLVKQGLDLVVGNLGDSRAIMGTRDAANNLTAVQLTVDLKPNLPREAARIQQCRGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDYGLISVPQISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVAAAPSRATAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHAKSPDLIQENESEEETTEDVAIPDTVAKVDQDIAQGDAHISSEEQITEPALQHSYTLRDVDEIVPVEEPPVSKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRILSGEKRSTSWRKRR >ONIVA08G21670.1 pep chromosome:AWHD00000000:8:22633841:22636840:-1 gene:ONIVA08G21670 transcript:ONIVA08G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKFLNKKGWHTGSLRNIERVWVAEEKEKEEQRKIQELKKQQDEEREKAAFRKLQEDAGLKPRQERLDFLYESGLAVGKGSSEGFQALQPSAPAAAAAASSSAQASAGSSKAAAPGALFEDKPQSANDAWRKLHTDPLLLIRQREQDAIARIKNNPIKMAEIKKSVEAEKKQKEEKKEKRKHKKRDHHKSKSKRHHSSENSDSEESDGRDERRKSVQASEHKREEKRSRHDKKDHGQDSEDDERRKRWHATSEDDEPRKSRKEKKGQREDSEDDKPKKSRKDRRRHDSEDEEPRRKHQRSEDDEPKRRQSEVSGDDEPRRRRQEMPKYDEYSRRDRSDADDRRGRHYTPSDDRRGRHYTPSDHNSAYPKHDSSDSRHRRLEYGRGNSTSELGQRRQESQQGRNGPTFNRRRGVQHMSEEEREARLRQMQADAEVHEEQRWSRLKKAADDDAKEAASVNANQFRGKNFLEEEKKSIFGTEKGGSATIEESIRRRAYYSQRNAHESNAFRR >ONIVA08G21660.1 pep chromosome:AWHD00000000:8:22623010:22632831:1 gene:ONIVA08G21660 transcript:ONIVA08G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) TAIR;Acc:AT5G56290] MAMRHLITGQGNCAPDGASSSNPFGNLANAIFGQSSKAQSIKELPGSAVNVPTTSELGTAAPLSTIPGSENEFKQDQLPLARGADFIRGGPSHDWVESFRPPGLPEFAVPDAQLKEFEQIFNSTGPTFGPPVMDGPPQRVLSGVLRSFLASGQAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDSNYRMKGPMHGPYPEMEEYWNQSQSAMRSGPMHNAADKWITEFGKQNNNPEEWAHSFEQQYGSNGWASEFEQHQSQMAMTGGMNMANLAAMEQSRMLAQTLASNNDPKFQNSKFFQFVSKMSRGELIIEDNQVKQGSASQSSGWADEFQTQYNANANSWADQFVHEEMSQGADKWASEFSTEYNQGGLNENWIDEFSKMRVDDEWAEEFSGGTFGESSADPWVDEFQNQLSASKQNSGASRGVYVFSDMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQGEALRYLHRWLQNHPKYGGIAPPQPTDSPYGPDVIRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIAAFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNASRADMIAACDSRNLDVLQKEFPLCGNRKAEGSCHM >ONIVA08G21660.2 pep chromosome:AWHD00000000:8:22623010:22632831:1 gene:ONIVA08G21660 transcript:ONIVA08G21660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) TAIR;Acc:AT5G56290] MAMRHLITGQGNCAPDGASSSNPFGNLANAIFGQSSKAQSIKELPGSAVNVPTTSELGTAAPLSTIPGSENEFKQDQLPLARGADFIRGGPSHDWVESFRPPGLPEFAVPDAQLKEFEQIFNSTGPTFGPPVMDGPPQRVLSGVLRSFLASGQAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDSNYRMKGPMHGPYPEMEEYWNQSQSAMRSGPMHNAADKWITEFGKQNNNPEEWAHSFEQQYGSNGWASEFEQHQSQMAMTGGMNMANLAAMEQSRMLAQTLASNNDPKFQNSKFFQFVSKMSRGELIIEDNQVKQGSASQSSGWADEFQTQYNANANSWADQFVHEEMSQGADKWASEFSTEYNQGGLNENWIDEFSKMRVDDEWAEEFSGGTFGESSADPWVDEFQNQLSASKQNSGASRGVYVFSDMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQGEALRYLHRWLQNHPKYGGIAPPQPTDSPYGPDVIRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIAAFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNASRADMIAACDSRNLDVLQKEFPL >ONIVA08G21660.3 pep chromosome:AWHD00000000:8:22623010:22632831:1 gene:ONIVA08G21660 transcript:ONIVA08G21660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) TAIR;Acc:AT5G56290] MAMRHLITGQGNCAPDGASSSNPFGNLANAIFGQSSKAQSIKELPGSAVNVPTTSELGTAAPLSTIPGSENEFKQDQLPLARGADFIRGGPSHDWVESFRPPGLPEFAVPDAQLKEFEQIFNSTGPTFGPPVMDGPPQRVLSGVLRSFLASGQAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDSNYRMKGPMHGPYPEMEEYWNQSQSAMRSGPMHNAADKWITEFGKQNNNPEEWAHSFEQQYGSNGWASEFEQHQSQMAMTGGMNMANLAAMEQSRMLAQTLASNNDPKFQMSQGADKWASEFSTEYNQGGLNENWIDEFSKMRVDDEWAEEFSGGTFGESSADPWVDEFQNQLSASKQNSGASRGVYVFSDMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQGEALRYLHRWLQNHPKYGGIAPPQPTDSPYGPDVIRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIAAFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNASRADMIAACDSRNLDVLQKEFPL >ONIVA08G21650.1 pep chromosome:AWHD00000000:8:22619340:22622218:-1 gene:ONIVA08G21650 transcript:ONIVA08G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDPAVATHPQAGAAAAASSSSGLTFKLHPLVIVNVSDHHTRVKAQAACSGDGASSAAAGGQPPRVFGCVIGVQRGRTVEIFNSFELVLDPVSGTLDRAFLEKKQELYKKVFPDFYVLGWYSTGSDVRDTDMQIHKALMDINESPVYLLLNPGINLSQKDLPVTIYESELHVIDGSPQLIFVRANYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVRVIHQYLVSMQKGDMPLDNSLLRQVSSLVRRLPAMESEKFQDDFLMEYNDTLLMTYLAMFTNCSSTMNELVEKFNATYERSTARRGGRGAFM >ONIVA08G21640.1 pep chromosome:AWHD00000000:8:22615340:22618362:1 gene:ONIVA08G21640 transcript:ONIVA08G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G55590) TAIR;Acc:AT1G55590] MEKRRRLVVAGDGEGDGGEASGSAARGLVESLPEALLVEVVVRLELEAACSAASSCRALRAAAAAAFSAVTSLDLSMFPPTNAILNRILAGNGALRCLAVNCSLLDDSAVGAIAKGSLRELSLLKCSSFSSYLFVAVGERCKNLRSFVLEMAASDDDEHFGICRKSIAHIVKGCGYLENLSLKFFPLLGPGSVDFESLVPIPSTIKVLLLQPVSNWQAKRLFPISTSLKTSISNTLESLSLVLDIITDELVAFITGSLHNLVELCLEDNPMKEPDLHNDLTNVGLQALGLCHNLAHLSLTRGKQNCSSTFRRVTDFGIMMLADGCKQLKTIRLAGFSKVRDAGYAALLQSCKDLKKFEVSTGYLSDLTCLDLDEAAPKITEVRLLCCSLLTSETAISLSSCTKLEVLDLSGCRSIADSGLASISQLSKLTLLDLAGADITDAGLSALGNGRCPMSSLCLRGCKRISNNGIASLLCGSGTINKTLISLDIGNVPRISGRAVTLIAKNCEQISSLCLRNCLLINDSSLETLGSMRHNLGKSSLRMLDLSYCSRLSRNFLGLFEPPFFRGLRWLGVGKNMLERRGCSPTVAELLERKPGLTVCGNACEMGCRNQCHPDIRRQ >ONIVA08G21630.1 pep chromosome:AWHD00000000:8:22611066:22612397:1 gene:ONIVA08G21630 transcript:ONIVA08G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80150) TAIR;Acc:AT1G80150] MLSLGAIRKLCAAFDAIALTVIAAGLSRTSHHPFSAHAHYSQPDFPTIASCRAAVASSKWRRRHPSTTKESAGVEPAEPPVLVRIKNERDPVRLYELFRANAHNRLLIENRFAFEDAVARLAGARRNDLVEEILEQHKALPQGRREGFVVRIIGLYGKARMPDHALRTFREMGLYGCPRTAKSLNATMKVLLRARLFDEVLQLFESSETYGVELDDISYNTVVKMMCDLGELRAAFRVMQEMEKEGVRPDVITYTTLMDAFYKCGQREVGDGLWNLMRLRGCMPTLASYNVRIQFLVNRRRGWQANDLVRKMYASGLRPDEITYNLVIKGFFMMGEHEMAKTVFGAMHGRGCKPNAKVYQTMVHYLCERREFDLAFRLCKDSMEKNWFPSVDTINQLLKGLISISKDRNAREIMKLVIGRKPSYSNDEVKTFQDILSLGNTRR >ONIVA08G21620.1 pep chromosome:AWHD00000000:8:22607107:22608739:1 gene:ONIVA08G21620 transcript:ONIVA08G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRIFHCLESHSPRHAVQCTGEDQEKYYDELVSYLEEELGLKMHKARRFDLDCTNHLVKHHPMLPDRNLSAQAQIDSDTINEAPQALPTNISSQIVSCSVDEAPQALPTSSSPISNNTEGLSLQALPTSSESAAGVTIDDLHSFCSQEFITDPVNRLSDRIDSEYVLLVNPAMPHLLGNSSDATDLDCTNQLLENHPKLPDQNLSAQAQIDGDTINEAPQALPTSVGSQIGGCSVDETLQALPASSSLISSNTENLSPQALPTSSESAAGVTIDDLRSLCSQEFFTDPVVVHAFNSQDPHLLGEGTHWSLLVFDRNLGGRPCLAHHDSSPGDANLRAARRLAASLLPYLPPETKCTKAPTPKQNNGTECALYMIKCAEVICGWWRNHAAGGSESHWLGVVARGVTMDSVDDLRAQLIHDIGQLLNGSSSS >ONIVA08G21610.1 pep chromosome:AWHD00000000:8:22597682:22598041:1 gene:ONIVA08G21610 transcript:ONIVA08G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDGPDAISAATDELDQSALGWRSATCPPTPTHLTYIDGAASRSWPLHARQHTVVAAGYDVPTGALDHASWRTIPRRSSRQPPLGGMRRYHFKFKLQSFGVRAADVPPVDEDGGAWG >ONIVA08G21600.1 pep chromosome:AWHD00000000:8:22592035:22594986:-1 gene:ONIVA08G21600 transcript:ONIVA08G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRKGKALASQRGMASMDDVDFSPHQATPKESMNSKDDAKVQPSSGQTPNKGNYYMGHANAIMMMFQYIRDGCRRKCKKVEKGHGEKRKVGEGYDPRWSSPIEITMKICNDDVSDEATEKET >ONIVA08G21590.1 pep chromosome:AWHD00000000:8:22588256:22589232:-1 gene:ONIVA08G21590 transcript:ONIVA08G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIEDVSAADEGLPAVTAVKEKLMAAKTEDANAADKGLPAVTAVKEKLMAAETEDANAADEGLSAVTAVKEKLMAAETEDASAAEEGMPAVTTEKGELTTVSEKERLIAGITEEEEGMTYVEGQIKNVKDMLETGYLEGVEIAYKKKKDGLVLLTGQIHKMAYYCSQNCEFYQKVSNQKGEIARKA >ONIVA08G21580.1 pep chromosome:AWHD00000000:8:22582158:22583125:-1 gene:ONIVA08G21580 transcript:ONIVA08G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMPSTNTEQSTTFRLPSAAGRNTSWIRAVECMLGCLDVRAAREEDQHVARRLRRVDAAHRVDGRAEVVSPAPGGNIGRLDRSSTEPELLDIHAGAGEEAVYNALAEGAVVVQADDDPKVQAARRRGLDAAEHDAGERHPVVRVVHHDGTVAGEDRVSGELAEKRAVRGVLDDRVGVQGAVVEGDGVPHLASEXMRRLEEVEAGHDVVTSGADEPSALSVRMTPTRALTSAILFAGFHTQKNVPVGPIFGDSFTLRLMWHHLWTTAFRPRTRRAAAEPPPPIRCTGALSVPQ >ONIVA08G21570.1 pep chromosome:AWHD00000000:8:22581501:22583582:1 gene:ONIVA08G21570 transcript:ONIVA08G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGVVLSCVKAAAARRLDLRVVVYLNHYSTLCKGVVHGFFSGSGMDGKELWFRTYSGLIDQHYLPEPVTDYLGAAVDAVCRIHTTEPPGDLLVFLPGCTDVEAAEHALNGLEEEKGFPYVWNPANKMADVNALVGVILTLKALGSSAPEAAAARRLDLRVVVCLNHYSTLCKGVVHGFFSGSGMDVKELWLRTTPGFPYAWNPANKMADVNALVGVILTLKALGSSAPELIHWAVRTLKAAGVLSQDGEVTETGRRIAREISGRYY >ONIVA08G21560.1 pep chromosome:AWHD00000000:8:22581205:22582145:-1 gene:ONIVA08G21560 transcript:ONIVA08G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIPSTNTEQTATSVASTTFRLPSAAGRNTSWIRCIGRPSCKQHVASPSSAQPVECVLGCLDVRAAREEDQQVARRLRRVDAAHRVDGRAEVVCHRLRETGVGTEPEFFAVHAGAGEEAVYNALAEGAVVVQVDDDPKVQAARRRGLDAAEHDTCDRHPVVRVVHHDSTVAGEERVGGELAEERAVRDVLDDRVGVRGAVVEGDGVPHLASELGAHVGRGASRREHGGEAVHLGADDAAVAGGVEQARDLAALAGAGAGVDEHHQVQRHVFRHHRLVLLVAELPPPIAHPSRRRRGWWCRRPLSG >ONIVA08G21550.1 pep chromosome:AWHD00000000:8:22578874:22580630:-1 gene:ONIVA08G21550 transcript:ONIVA08G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPDCRLELQHRRPPSTAPPLTPTSSSIRVAGRCALRRRPNSVAGPLRLAPSSPAVDPAASPGLHPIHLLLRGSKETRWGEKNLLTWLWLAVEYHLIPLKYHLICGRIAWIPGRYQDLIHRKHHPQCGKNRMIPDRYHPIPRKYHLICGRNARSCDPPRISFVKPDDTRHDTNEDHDTSQVSHDPPKVSPMKPGETGHDTKEDHDTSQISCDSTTYQISDDSYHVSGDTREVSCDSYHVSCDFYDVSGVILMRYHIHMIPTRYQELGVEVSRHRRRRPRPPPSTLHTEPEPHHRQPHPPLSTVSELVAGDRVLRRELVTGGRVCRPRLSRWLRPPHRSSSPVPPPPPRRILRRPRPTPELVDGAVSVTTQDSPPFMRPCPTSELVGGRVLHAGARRRQPRPPRWSSAAAAASAPPASTSSALLPWGPALSHLREVDWERSCWDSIPTGYRYIAAPK >ONIVA08G21540.1 pep chromosome:AWHD00000000:8:22576259:22577140:-1 gene:ONIVA08G21540 transcript:ONIVA08G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRVEVDTARPFRSVREAVAVFGERILVGDGYSRRPSNGNAAAAAAVVDIAIAKHEASGGSDDATVSSPDAMEAEPEVEEDAAPAVVPMMYSAPSSPQSSPPPPNDGGDADDERDGGVVDEGVTVAMMRSVKKLEAEVAETRQEVVQLKKRGSEMEMAVASLNAQLHRGLSKLAEMEAGDTAAAARRSVGGETDVSSTVATFRSERWGGVGGGGAAVSRATSCEYLPSFSHALSLGEVDDGELVGRRRKARKVKPIVPLIGDIIFSKRKSTKDKGGDGFYGNNGDLYSVLG >ONIVA08G21530.1 pep chromosome:AWHD00000000:8:22574874:22575188:-1 gene:ONIVA08G21530 transcript:ONIVA08G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMQEGEERPGGSACVWMVTALLLLSVLAGGGCLAGYVVLPPHEAPHWLPAVGLALVALPWAFWVATCSYRCVRRRAADRQAMGSAAVAPAATGSMRSRADS >ONIVA08G21520.1 pep chromosome:AWHD00000000:8:22568231:22569861:1 gene:ONIVA08G21520 transcript:ONIVA08G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAAMEVSASAAAEAGMMVGHGEWRDDDGRARRTGTAWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAFVIYYTSTLLAECYRSGDPCTGKRNYTYMDAVRANLGGAKVRLCGVIQYANLFGVAIGYTIAASISMLAIKRADCFHEKGHKNPCRSSSNPYMILFGVVQIVFSQIPDFDQIWWLSIVAAIMSFTYSTIGLSLGIAQTVANGGFMGSLTGISVGAGVTSMQKVWRSLQAFGDIAFAYSYSIILIEIQASSSPPPLPHPLALLCVMSCQDTIKAPPPSEAKVMKSATRLSVATTTVFYMLCGCMGYAAFGDRSPDNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVFVQPIFAFVERWAAARWPDGGFISRELRVGPFSLSVFRLTWRTAFVCATTVVSMLLPFFGDVVGLLGAVSFWPLTVYFPVEMYIAQRGVRRGSARWLCLKVLSAACLVVSVVAAAGSIADVVDALKVYRPFSG >ONIVA08G21510.1 pep chromosome:AWHD00000000:8:22545735:22550796:1 gene:ONIVA08G21510 transcript:ONIVA08G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52430) TAIR;Acc:AT3G52430] MEDASRGEEENSMFETSHVLGALLASSPLLARAWDRCAAAADGGASSLGFVHGGGGGGEGEPVCVAFSGVQAALSAAAGGGGGGAEIFKPVGLRGDAAGRLFAPLVAAEPEDAGGEPVAVQALALQGFLRLCGSPEFQVLLNQIRGKAVVFTGHSLGGAIAALVALHYLCTSSSSSAFAPAPPVLCVTFGSPLLGNQALSRAILRERWAGNFCHVVSQHDVVPRLLFCPLNVIPVHIVVGMQLHQLPVRARRAAGVVATVTARMADTNQESLRQLIQEHAGEAAIEQKLAAPEIPSGSPYRPFGAYVLCSPDGAACVDNPTAAVQMLYATFAARRAPETGAVPPEAAHSCYGDLVLSMPHHLLLKRRLGATVTAPAASNYDVGISIALEASGITGEATEAAPARQWLKTSKRVGRSPSLNCASLATRLGRITPCRAQIEWYKALFDANTGYYDAFKQRLSPKKFSKANMYRIKLAQFWDGVLSMLDTSQLPYDFHRRAKWVNAAHFYQLLVEPLDIAHYHRNNLHRTRGSYITHGRERRYELFDKWWKQKGCTDPSTGDTSATTTARRSKFAGLTQDPCFWARVEEAREQTESAKSERDMTLLARMLEDLHKFERHSSELVESKEVSIDVVAPQSSYSLWVKEWNELKLREEVRTILFQF >ONIVA08G21500.1 pep chromosome:AWHD00000000:8:22528156:22539810:1 gene:ONIVA08G21500 transcript:ONIVA08G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGAAAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPVYSARPKRPPTLSCNAAAATVAAASAGKPGSWRDLCSLNAWVVRDYRRLVDAVGALEPRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRCDITYTNNSDNRDAARYPVAAKAADLLMEGVHYTVELKSNNIDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINEIIMWNLSFKVVMPILQYCIVPINGISIIILTLFELTGRVEPKRRWSDGIHQAVEAKEGLKIQEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQDRLAEESEMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNADPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHEYGPVNVDNFTLPNMPVSPNSFRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLGATRRLTVESLLHYWSSPMESEEIFNTGDK >ONIVA08G21500.2 pep chromosome:AWHD00000000:8:22528156:22539810:1 gene:ONIVA08G21500 transcript:ONIVA08G21500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGAAAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPVYSARPKRPPTLSCNAAAATVAAASAGKPGSWRDLCSLNAWVVRDYRRLVDAVGALEPRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRCDITYTNNSDNRDAARYPVAAKAADLLMEGVHYTVELKSNNIDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINEIIMWNLSFKVVMPILQYCIVPINGISIIILTLFELTGRVEPKRRWSDGIHQAVEAKEGLKIQEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQDRLAEESEMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNADPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHEYGPVNVDNFTLPNMPVSPNSFRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLGATRRLTVESLLHYWSSPMESEEIFNTGDK >ONIVA08G21500.3 pep chromosome:AWHD00000000:8:22528156:22538945:1 gene:ONIVA08G21500 transcript:ONIVA08G21500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGAAAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPVYSARPKRPPTLSCNAAAATVAAASAGKPGSWRDLCSLNAWVVRDYRRLVDAVGALEPRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRCDITYTNNSDNRDAARYPVAAKAADLLMEGVHYTVELKSNNIDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINEIIMWNLSFKVVMPILQYCIVPINGISIIILTLFELTGRVEPKRRWSDGIHQAVEAKEGLKIQEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQDRLAEESEMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNADPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHEYGPVNVDNFTLPNMPVSPNSFRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLGATRRLTVESLLHYWSSPMESEEIFNTGDK >ONIVA08G21500.4 pep chromosome:AWHD00000000:8:22528156:22538464:1 gene:ONIVA08G21500 transcript:ONIVA08G21500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGAAAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPVYSARPKRPPTLSCNAAAATVAAASAGKPGSWRDLCSLNAWVVRDYRRLVDAVGALEPRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRCDITYTNNSDNRDAARYPVAAKAADLLMEGVHYTVELKSNNIDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINEIIMWNLSFKVVMPILQYCIVPINGISIIILTLFELTGRVEPKRRWSDGIHQAVEAKEGLKIQEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQDRLAEESEMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNADPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHEYGPVNVDNFTLPNMPVSPNSFRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLGATRRLTVESLLHYWSSPMESEEIFNTGDK >ONIVA08G21490.1 pep chromosome:AWHD00000000:8:22526127:22527331:-1 gene:ONIVA08G21490 transcript:ONIVA08G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVTVAAAIPMALPVTTRDCSPVLSDEEQVISSSSSPKPPLMLPQAPSGSGSGGVESGDMGDENEQLRREDVQLARELSQMRKLYNNILPLMSKPVLGSLAHDGRHARP >ONIVA08G21480.1 pep chromosome:AWHD00000000:8:22502255:22505789:-1 gene:ONIVA08G21480 transcript:ONIVA08G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVPDGARGGGGGGGGGLLPAAAASPAVGRGRRTVVVGIRRDAASRELLTWALVKVANAGDRIVALHVAAAAAAAAGGGGGGGGAVGLEERSDAAEDSLVSVLAVYDGFCNLKQINLELKVCGGSSIRKTLVKEAASYGAAHLILGVAKNSLSFSRSSSISVAKYCAKRVPTGCSVLAVNNGKILFHKDAVQQEPYHSASTMTETPRRSYRKLLTSVIGEKLRDECEQDNRSIFRAVTMPPSSPAPTREVSLALVPMKVHRRESPEVATGWSFLRKKFLPDRKPASHDRSKMSVVQWAMRLPSRYSSASPVCSEYRTTTPDGITSASRILRDRVAVPSRSNSGKSSVVIEELDNSSDKEIPEELIALREKFPSVYSTFSHSELAKITSDFSPECIVGQGGTSQVYKGCLENGKELAVKILKYSDEVLKEFVSEIEIVSSLSHKNIISLAGFCFKDTDLLLVYEYLRRGSLEEILHGEKGCDNLFGWTERFNVALGVAHALDYLHGSGNNRPVIHRDVKSSNILISQDFEPKLSDFGLALWDTDATSQITCNDVAGTFGYLAPEYFMHGKVNDKIDVYAFGVVLLELISGKKPLCTGCPKGQESLVMWANSIIQGGKLTQLVDPNLPTEDHANKVERMTLAASLCIRPTPQRRPHIAVVLKLLNGDNGILKWARSEVGLSYESDGDEPVVTLPENNRNIQSYINLAFDVDDDSASVSSNDFIAANTSLEEYLRGRWSRSSSFD >ONIVA08G21470.1 pep chromosome:AWHD00000000:8:22498915:22501509:1 gene:ONIVA08G21470 transcript:ONIVA08G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTETTAGN >ONIVA08G21460.1 pep chromosome:AWHD00000000:8:22446938:22451453:1 gene:ONIVA08G21460 transcript:ONIVA08G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRVDEVVPAKSPRPNQISSSITLFGQEAKLLTCPAVPFHRRAARERRDSDKAIDRAHEHRGRESLGTAETRALAWAPASPRRYRLPSDETPTGNAASSTSFASARTPPPPPSPSIRCLGSIVGEAPPLTPLAVGAEHRPRSSPDRFHPNRARGSEAPDTSSHPVPSPRCSRKERQRNTDGQRRLFDVLRVGQNTAAVALAINLLPRFHRRRSPAANATCRRSRTPSPELSTSLHPQLTTGQRSCSRRGV >ONIVA08G21460.2 pep chromosome:AWHD00000000:8:22446938:22451453:1 gene:ONIVA08G21460 transcript:ONIVA08G21460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRVDEVVPAKSPRPNQISSSITLFGQEAKLLTCPAVPFHRRAARERRDSDKAIDRAHEHRGRESLGTAETRALAWAPASPRRYRLPSDETPTGNAASSTSFASARTPPPPPSPSIRCLGSIVGEAPPLTPLAVGAEHRPRSSPDRFHPNRARAKLLTRPAIPFHHHAARERRDSDKAIDRAHEHRVQTPHLSLPLRQNSLLARLFTFQANPGKEVPWDSRNTSARIGAGEPTTLPTSLWFHRRRSPAANATCRRSRTPSPELSTSLHPQLTTGQRSCSRRGV >ONIVA08G21460.3 pep chromosome:AWHD00000000:8:22446938:22451453:1 gene:ONIVA08G21460 transcript:ONIVA08G21460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRVDEVVPAKSPRPNQISSSITLFGQEAKLLTCPAVPFHRRAARERRDSDKAIDRAHEHRGRESLGTAETRALAWAPASPRRYRLPSDETPTGNAASSTSFASARTPPPPPSPSIRCLGSIVGEAPPLTPLAVGAEHRPRSSPDRFHPNRARDIDARNEMPSDEYFAPGTGGFEDGSF >ONIVA08G21450.1 pep chromosome:AWHD00000000:8:22399835:22402332:1 gene:ONIVA08G21450 transcript:ONIVA08G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALPALVSVVVFFISVRDAASKSFVITNKTRPVTNIIDGRTSAPSSRLRRRLLHLNAASKSFVITNNCEYIVWPGILSSAGSAGMDSTGYVLAPRQSRTMSVPTGWSGRLWGRTLCSTDDAGKFTCVTGDCGSGRQDCAGGGAAPPATLAEFTMDGSGGMDFYDVSLVDGYNLPMLFFKNACPRSYSYAYDDATSTFTCPGSDTAYTITFCPSTTSVKSAGQSSAGLPLMNDTMVYLAGDQANAAAPLHHLVVLLVAALSLALATMH >ONIVA08G21450.2 pep chromosome:AWHD00000000:8:22399835:22402332:1 gene:ONIVA08G21450 transcript:ONIVA08G21450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALPALVSVVVFFISVRDAASKSFVITNNCEYIVWPGILSSAGSAGMDSTGYVLAPRQSRTMSVPTGWSGRLWGRTLCSTDDAGKFTCVTGDCGSGRQDCAGGGAAPPATLAEFTMDGSGGMDFYDVSLVDGYNLPMLFFKNACPRSYSYAYDDATSTFTCPGSDTAYTITFCPSTTSVKSAGQSSAGLPLMNDTMVYLAGDQANAAAPLHHLVVLLVAALSLALATMH >ONIVA08G21440.1 pep chromosome:AWHD00000000:8:22372527:22373486:1 gene:ONIVA08G21440 transcript:ONIVA08G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNVVWQPQVVEEMLRYYKEKILADGRQLVFKEVHHEECAKQINGKYHTNFTSRQVYHKFHKLKAQWKVIMEAKNLSGANFDDVEKKILYDETEVVRMTNAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFNVMQTPYDRPMEDDDFIGDKNGSPGDVDPSSNYDSDCLPDQENNTGSSSSSRRAKGRKTDKGKRVRADDNVVYEITGAMDNMSETMRFTHMTHPNKSLFKIIDEMTEYPVMVRLELQTYLATHENIAAMLKGRPLDSIKEYVAQWIIQNYPAAM >ONIVA08G21430.1 pep chromosome:AWHD00000000:8:22361802:22362071:1 gene:ONIVA08G21430 transcript:ONIVA08G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPAASTPSSGHSNTSIPHALGMDELPRGVQDFYGGGGRGTQRSLRMQRPLWKVLGLANGHGLVKLRGQKDKDDVTFLCGVQRPWC >ONIVA08G21420.1 pep chromosome:AWHD00000000:8:22359231:22360283:1 gene:ONIVA08G21420 transcript:ONIVA08G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKYDQQQEEEENNWEYDEEEEEFLYDINEDDDMMEASELIGVKHSDGSIYDPDSHPFHSLYCLDDTRETSLLPMRLSARTDHCQPCWTACIVHHGCRMMQIFSIKIAALSNATADAPVQIYGFMAARDLFDPLRNYIFNRGRDDPFVLPGHYSDPNSLIRLSGPKRGISLENPAVIEYDLKIKKGEDEKDDLQLIDGVAAFSDLTPFHGVYSRRIHGIHGAVDISLALLRNGKESTIQIKIPKLIHGGIHLSISCFVSQIPEEIKLFDGTIVNPSKLRNFVVAVQLRTVLILDFKITPVVAAAGENGSNQIRRYCAFKATAHAGSIQRIQHYFTNIDVHVVWSDLMSH >ONIVA08G21410.1 pep chromosome:AWHD00000000:8:22348547:22351616:-1 gene:ONIVA08G21410 transcript:ONIVA08G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLNEEFSFGPFSDIFCDNGSNKHQDGLGKSKAFIDSSREETAQLAKKFESNLFGANQKSSSNGCLSERMAARTGFGVLKIDTSRVGYSTPIRSPIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMHSNVKPSIPKKTEDETRHDRVFFFQPILGSKPPTCPVAEKGFSVNHQNQPSVTDNHQELSLQSSSTAAKDFTSATIVKPKTSDSMLDNDDHPSPANDQEENATNKNEEYSSDLIITPAEDGYNWRKYGQKQVKNSEHPRSYYKCTFTNCAVKKVECSQDGQITEIVYKGSHNHPLPPSNRRPNVPFSHFNDLRDDHSEKFGSKSGQATATSWENAANGHLQDVGSEVLTKLSASLTTTEHAEKSVMDKQEAVDISSTLSNEEDDRVTHRAPLSLGFDANDDDVEHKRRKMDVYAATSTSTNAIDIGAVASRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERSSHDLKSVITTYEGKHNHEVPAASSGSAAAPQATNGLLHRRPEPAQGGGGGSLAQFGYGSAGHRPAEQFGAAAAGFSFGMLPRSIATPAPSPAIAVPAMQGYPGLVLPRGEMKVNLLPQSGNAGAAASQQLMGRLPKQHPQM >ONIVA08G21410.2 pep chromosome:AWHD00000000:8:22348547:22351271:-1 gene:ONIVA08G21410 transcript:ONIVA08G21410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTNNHGALMDDWMLPSPSPRTLMSSFLNEEFSFGPFSDIFCDNGSNKHQDGLGKSKAFIDSSREETAQLAKKFESNLFGANQKSSSNGCLSERMAARTGFGVLKIDTSRVGYSTPIRSPIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMHSNVKPSIPKKTEDETRHDRVFFFQPILGSKPPTCPVAEKGFSVNHQNQPSVTDNHQELSLQSSSTAAKDFTSATIVKPKTSDSMLDNDDHPSPANDQEENATNKNEEYSSDLIITPAEDGYNWRKYGQKQVKNSEHPRSYYKCTFTNCAVKKVECSQDGQITEIVYKGSHNHPLPPSNRRPNVPFSHFNDLRDDHSEKFGSKSGQATATSWENAANGHLQDVGSEVLTKLSASLTTTEHAEKSVMDKQEAVDISSTLSNEEDDRVTHRAPLSLGFDANDDDVEHKRRKMDVYAATSTSTNAIDIGAVASRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERSSHDLKSVITTYEGKHNHEVPAASSGSAAAPQATNGLLHRRPEPAQGGGGGSLAQFGYGSAGHRPAEQFGAAAAGFSFGMLPRSIATPAPSPAIAVPAMQGYPGLVLPRGEMKVNLLPQSGNAGAAASQQLMGRLPKQHPQM >ONIVA08G21410.3 pep chromosome:AWHD00000000:8:22348545:22351246:-1 gene:ONIVA08G21410 transcript:ONIVA08G21410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWMLPSPSPRTLMSSFLNEEFSFGPFSDIFCDNGSNKHQDGLGKSKAFIDSSREETAQLAKKFESNLFGANQKSSSNGCLSERMAARTGFGVLKIDTSRVGYSTPIRSPIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMHSNVKPSIPKKTEDETRHDRVFFFQPILGSKPPTCPVAEKGFSVNHQNQPSVTDNHQELSLQSSSTAAKDFTSATIVKPKTSDSMLDNDDHPSPANDQEENATNKNEEYSSDLIITPAEDGYNWRKYGQKQVKNSEHPRSYYKCTFTNCAVKKVECSQDGQITEIVYKGSHNHPLPPSNRRPNVPFSHFNDLRDDHSEKFGSKSGQATATSWENAANGHLQDVGSEVLTKLSASLTTTEHAEKSVMDKQEAVDISSTLSNEEDDRVTHRAPLSLGFDANDDDVEHKRRKMDVYAATSTSTNAIDIGAVASRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERSSHDLKSVITTYEGKHNHEVPAASSGSAAAPQATNGLLHRRPEPAQGGGGGSLAQFGYGSAGHRPAEQFGAAAAGFSFGMLPRSIATPAPSPAIAVPAMQGYPGLVLPRGEMKVNLLPQSGNAGAAASQQLMGRLPKQHPQM >ONIVA08G21400.1 pep chromosome:AWHD00000000:8:22342879:22343123:-1 gene:ONIVA08G21400 transcript:ONIVA08G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQNCPSCPPSPLLRKRSSSSLANLHVHHKQLTVFLPDGEVAGESSDIFLSLDLATSADYLAAVDDGLRRGRFTAVDDGG >ONIVA08G21390.1 pep chromosome:AWHD00000000:8:22341439:22349030:1 gene:ONIVA08G21390 transcript:ONIVA08G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDU3] MMAQASDLEPLRSGAGALPSSADPDSPSTPRRSRVRELLRSLDRRLSSRGRHHRHAAEGAAASPRGGGGGEPGSEDSDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVHIIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGVDFMAAIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCAYGCAEMMENNRERRIALVAAGSAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTVTTLPYPRHALWGSECGIQTVGGLTFDLIRKKFSLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVMSGNKEAFEATSPRHGYSSLLPPTDRNETDRRRPDRDDVELAILDDDPYRYGINNEDMLLDDLKVSQAMSKQFIKVTPTVTIKEATRLMHDKQQNCVLVVDSEDFLEGIVTIGDIRRKGFESELSEDTPRNGANSSTLDANSSLVSSCLTRGFQYHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRRAGRRNDGRRKVLGLLHYDSIGWCLREELERWKAIYQRENFQQSAVNGH >ONIVA08G21390.2 pep chromosome:AWHD00000000:8:22341439:22349030:1 gene:ONIVA08G21390 transcript:ONIVA08G21390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDU3] MMAQASDLEPLRSGAGALPSSADPDSPSTPRRSRVRELLRSLDRRLSSRGRHHRHAAEGAAASPRGGGGGEPGSEDSDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVHIIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGVDFMAAIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCAYGCAEMMENNRERRIALVAAGSAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYELKSAAELPLYLILGMLCGVVSVVFRQLVVWFTKTFDLIRKKFSLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVMSGNKEAFEATSPRHGYSSLLPPTDRNETDRRRPDRDDVELAILDDDPYRYGINNEDMLLDDLKVSQAMSKQFIKVTPTVTIKEATRLMHDKQQNCVLVVDSEDFLEGIVTIGDIRRKGFESELSEDTPRNGANSSTLDANSSLVSSCLTRGFQYHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRRAGRRNDGRRKVLGLLHYDSIGWCLREELERWKAIYQRENFQQSAVNGH >ONIVA08G21380.1 pep chromosome:AWHD00000000:8:22336475:22339435:1 gene:ONIVA08G21380 transcript:ONIVA08G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKEAGSFLDVPKDIPIATTKSLTIRTNGGFSSSSDRSNPISPAISITPHLYSPSPPSSAFVSALQSPYISPRVLEPPTPAAAPPPPQEAAAPSPPASYSNSEDTDAPSASRTPPSERYDSGGIDPATKVSDCGGGVQPRVSFSFPVPRVSFTRGSVASPSSNAKLRSCDVYIGYHGNGSLGRFCKWLKSELELQGIASFVADRAKYSDTQSHEIADRIICSVAFGVIVVTMSSFLNPFSLEEIRFFAQKKNLVPILFDTEPPEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANETNWRSCVSKTVTLLRSKLGRKSIAEKESEGPEGMPFPRNRHFVGREKELSEIEGMFFGSTVDIQEVDCPRGSAANDRSSGVSDGFADEESDTVRTSNARYISLEMRKCKEPTLEAWIDPVIELSSGKGRNLQKQRSKHRRSRFRCNSKGYGSASVLCITGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMNLGLDISAEAEKERGRIRSFEEQEFDAFQRVKRELFRDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATHVIVTTRLPRVINLEPMQLPQLSYNDAMILIKGKQKNDYPPEEMEVLRKLDERLGRLSFGLWIVGSLLSELMITPSTLFEAVERISLNESLFPLGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSKMIIAGSWLAPAPVSSTLLAATASKLPMKGSMHLLGESLKTAFLCGTHCFLAPHGRKAEVESALLLVKLGLARKATRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPSVQLKAVDMVHFIRKTALPLAIDSFMTFSRCGSALELLKVCTNVLEEVEKSYASRIQDLNRGSLCWRKKLQPNHRVDEFVWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGHAHTLAAQETLAKLVRYRSKI >ONIVA08G21370.1 pep chromosome:AWHD00000000:8:22323931:22324902:-1 gene:ONIVA08G21370 transcript:ONIVA08G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKILSKSGSFQEKVVSHGFKGSNLIEEIILSTPKKSNGDQFLALLRTSTSSASAAASRAKDAADQSTTAAAVAAEEFVKIETINVSELLAGLEEEEEEEERDDGERCSAQACVLDGAVATPARATSFRTVEEFDALVTRSGSSEVAEAASSADQDATGAKPSEQEEAATAAAGNKRRARARQLGELKVPLPPAFDFSKSGSLRDWLLQGGQIFSPGSYVTPKFGTTSPAPPPPPPQEHGGVLHNAGEPQPQHTVFDPELVAQFEQAMERLSEDEERVLEKILEAMGAAAEEEEEEEATATATARLEMPSHRPVMVVQE >ONIVA08G21360.1 pep chromosome:AWHD00000000:8:22310939:22311854:-1 gene:ONIVA08G21360 transcript:ONIVA08G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPGSNTLLKSDSILEYVLDTTVYPREHERLRELRLITQNHPKSFMGSSPDQMQFFSVLLKMIGARNAVEVGVFTGYSLLATALALPDDGKVVAIDVSREYYELGRPVIEDAGVAHKVDFRHGDGLAVLDQLLAGGEGKFDFAYADADKEQYRGYHERLVRLLRVGGVVAYDNTLWGGSVAMPRDTPGSSAYDRVVRDYMVGFNAMVAADDRVEACLLPVADGVTLCRRLK >ONIVA08G21350.1 pep chromosome:AWHD00000000:8:22306574:22310488:-1 gene:ONIVA08G21350 transcript:ONIVA08G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTKVWRSTMYTPRRLKRTTPASRVSSTAMAAANGDASHGANGGIQIQSKEMKTAIHSNDSPKTLLKSESLHEYMLNTMVYPRENEFMRELRLITSEHTYGFMSSPPEEGQLLSLLLKLTGAKNTIEVGVFTGCSVLATALAIPDDGKVVAIDVSREYFDLGLPVIKKAGVAHKVDFREGAAMPILDNLLANEENEGKFDFAFVDADKGNYGEYHERLLRLVRAGGVLAYDNTLWGGSVALEDDSVLEEFDQDIRRSIVAFNAKIAGDPRVEAVQLPVSDGITLCRRLV >ONIVA08G21350.2 pep chromosome:AWHD00000000:8:22306574:22307862:-1 gene:ONIVA08G21350 transcript:ONIVA08G21350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVTLLPVYCTAHSRRLKRTTPASRVSSTAMAAANGDASHGANGGIQIQSKEMKTAIHSNDSPKTLLKSESLHEYMLNTMVYPRENEFMRELRLITSEHTYGFMSSPPEEGQLLSLLLKLTGAKNTIEVGVFTGCSVLATALAIPDDGKVVAIDVSREYFDLGLPVIKKAGVAHKVDFREGAAMPILDNLLANEENEGKFDFAFVDADKGNYGEYHERLLRLVRAGGVLAYDNTLWGGSVALEDDSVLEEFDQDIRRSIVAFNAKIAGDPRVEAVQLPVSDGITLCRRLV >ONIVA08G21350.3 pep chromosome:AWHD00000000:8:22306574:22307221:-1 gene:ONIVA08G21350 transcript:ONIVA08G21350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPEEGQLLSLLLKLTGAKNTIEVGVFTGCSVLATALAIPDDGKVVAIDVSREYFDLGLPVIKKAGVAHKVDFREGAAMPILDNLLANEENEGKFDFAFVDADKGNYGEYHERLLRLVRAGGVLAYDNTLWGGSVALEDDSVLEEFDQDIRRSIVAFNAKIAGDPRVEAVQLPVSDGITLCRRLV >ONIVA08G21350.4 pep chromosome:AWHD00000000:8:22307439:22307862:-1 gene:ONIVA08G21350 transcript:ONIVA08G21350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVTLLPVYCTAHSRRLKRTTPASRVSSTAMAAANGDASHGANGGIQIQSKEMKTAIHSNDSPKTLLKSESLHEYMLNTMVYPRENEFMRELRLITSEHT >ONIVA08G21350.5 pep chromosome:AWHD00000000:8:22308344:22310488:-1 gene:ONIVA08G21350 transcript:ONIVA08G21350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MACTKVWRSTMYTPRLDPPARNAPTGHPIPITRLTPHAPTRGGAADADPKYPTHMTMSP >ONIVA08G21340.1 pep chromosome:AWHD00000000:8:22299952:22300909:-1 gene:ONIVA08G21340 transcript:ONIVA08G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGNGDAPVIKNAHSDIDSTNKTLLKSDALYKYVLDTTVLPREPECMRDLRLITDKHQWGFMQSSADEAQLLGMLLKMAGAKRTIEVGVFTGYSLLATALALPEDGKVVAIDPDRESYEIGRPFLEKAGVAHKVDFREGKGLEKLDELLAEEAAAGREAAFDFAFVDADKPNYVKYHEQLLQLVRVGGHIVYDNTLWAGTVALPPDTPLSDLDRRFSVAIRDLNSRLAADPRIDVCQLAIADGITICRRLV >ONIVA08G21330.1 pep chromosome:AWHD00000000:8:22294570:22296576:-1 gene:ONIVA08G21330 transcript:ONIVA08G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAVGSPAAEVEAGASPGSSPVIKTKGRGLRRWRRIPREQRKEGSAASAAAGSGSAQLHKRRIPLPGGAPRGKLHEVVLEEEEEESSTASVESRFVPPAKLDPSLGVLLVASSGFSVGAGGADSDHSEDRSSGSRSSTAASAPRRHNDLSPFAADRAGRSSRAARARAAEAQYSLRSSNAVNSQRQSIAGNGIHKVLADHCDHGEETPLMARDYCNVENGSVVVRRLAQGSVDSDADAAQRSVGNNGDLGSGMKSSADPYAESISLLQRTQEALENEIKMFAVISKESNDNFDGNDDDWSGLVDLAEPLEGTSQNVKDPESRPEEASYLGRLFLEKTEAEIKSIILTRAAQTWAPLVDDQIALYNAQKSLSGDYKQLELKLQHTKNRAAMLEEMAEKLRAECRVLSGSSEVLRLQSRASRVSLFCFIQFVLLFTAVGTFLARLLPSPTEYVPT >ONIVA08G21320.1 pep chromosome:AWHD00000000:8:22293250:22295634:1 gene:ONIVA08G21320 transcript:ONIVA08G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAPHRPRSPPNALAADALPFSRVAGRHTSSLAIGRPSSTSHVLEKLRPCPRPCLGCPLHRIMSWSPILHESPAIAMNSCQDDALNLGFCLLQEEPTKNWSKQHHEIVTNN >ONIVA08G21310.1 pep chromosome:AWHD00000000:8:22288475:22292580:1 gene:ONIVA08G21310 transcript:ONIVA08G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGYRAAEQEDRQVDLMGDSDFDDDEYGQPIESKEDTSAVDVKKGKDIQGIPWDNLSFSRDRYRKTRMVCTPVDKGALYYEFQYNTRSVKPTILHFQLRNLVWATTRHDVYLLSQRSVLHWSPFASEKHKVIDLQGHITPSEKHQGNVSEGFYQAQVSTLAVRGNLLVAGGFRGELICKFLDREGISYCCKSTHDDNGITNSLEIFEKPSGSVHFLASNNDCGVRDFDMEKFQICNNFRFPWAVNHTSLSLDGKLVAIVGDNPEGLIVDTNSGKTVHELRGHLDYSFASAWNPDGRTFATGNQDKTCRIWDIRNLSKSVAVLGGNMGAIRSIRYTSDGRFLAMAEPADFVHIFDVGSGYRRKQVVDFFGEISGISFSPDTEALFIGVHDRTYSSLLQYNRLRFYSYLDSAI >ONIVA08G21300.1 pep chromosome:AWHD00000000:8:22272817:22278425:1 gene:ONIVA08G21300 transcript:ONIVA08G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIHAEDIEISLCDGNSEDERRRRRIGSNLRRKAIHAIKKRGRRRVDCRFPPAISIEDVRDAEEERAVAAFHDRLAAHGLLPDKHDDYHMMLRFLKARKFDIDRAMQMWADMLKWREEFGADTILQDFDFHELDEVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSKTARELINRMQKIDSDYYPETLHQMFVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSRLYNILTVVVFLSELPDFLGGSCSCSDKGGCLGSNKGPWNDPFILKWQGMISDISNAESGSDVDDFGSFFQKGVDYGYLTPVHEEVRGTDSLTYYSCDDQTRRDIAPESCKGVQATGMVQNQLPDNRQPSTNRNPHDSGNNGHLDGAFARRSLQNFIQVVVTTFIKLLSFLRLFISRPVRRLENVHSCTVPVPSEEKPEPRSIRDDDMTMCLQRLDSLESLCNHLASRPPEIPREKEHMLLNSFERIKCIEADLERTKRVLHATVVKQKALVETLEAVQESSRARKRLFCS >ONIVA08G21290.1 pep chromosome:AWHD00000000:8:22262814:22263356:1 gene:ONIVA08G21290 transcript:ONIVA08G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSITMLPGVSPVLAPLSPDNRRRRFSVASLLEDAVLASPSRRLSIDWCKHALGVGFALVRRSVTLSGGRSGVNLLLVSCVGVVSVWVVH >ONIVA08G21280.1 pep chromosome:AWHD00000000:8:22262532:22262787:1 gene:ONIVA08G21280 transcript:ONIVA08G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRRPHLTGVAAIGGGSEVSLEEATSERGGAAGGCGAVFGARRLAGGGRRCSSPTCRQRLSGGGASVRQPWIRRW >ONIVA08G21270.1 pep chromosome:AWHD00000000:8:22260101:22262496:1 gene:ONIVA08G21270 transcript:ONIVA08G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPGKKGITRGRRWMIRRVVIGVPIPVLDAQPFRVSVSYRRIAAQRAGLFCCCGLWTLVLDRETKGNYCRRIGEVADRNVNTGWHAAPGAAAETAKKRDQESLSHLTCTHEKLVRSTSTTYWAYWLSIGGRRSCQLPPPPCRSRPKGDTDGDNNLAAAPPHPPPLRLAAARVARRQSRTAARTAAGRSPHEEAQRRDTGGPLGGGKTAADAAAPGTTETDPHPLAADLASPSEVELAATATGGGKGRRHGSTRKVIAGVAAARPGVGGRGPVPKRRDPASLAQIWPGCCRSGGNGCGVEAGDMAGVAAAVADGDSDWVAPVVVAAVDDSGRSCVEVTAAAAVEAMAAAAGEAAPVVVADGDDDSGRICGKGGYGSLRWQMRRQGRRRADDCRRK >ONIVA08G21260.1 pep chromosome:AWHD00000000:8:22255017:22257298:1 gene:ONIVA08G21260 transcript:ONIVA08G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGGGREGSEKITATITKAAISTNKVGTDQIHCLARGLLHLPVGRAAVTTIAPAVVVAMSRLYSHPSVADASDLIFVDDDSDNLSHLIELYSPLSSTGGGAFTVFLPSGNVSVSSHSVGNCNFDGQHGGLLMGGLQGIGVTGRVAFWLGAVDITEGYGTPFDQGITVSFARYVTV >ONIVA08G21250.1 pep chromosome:AWHD00000000:8:22251383:22254448:-1 gene:ONIVA08G21250 transcript:ONIVA08G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDS4] MYGPPRESLRGPEVTSRLDRAQPNAETKYRELHSYTHTLSLSPCISFPSHPTPPSPPIHPPASGRFRHRVTKMAGALHISCSPAPLLWVLLLLTVSPGNAFYLPGSYMHTYSQGEEIWAKVNSLTSIETEMPFSYYSLPYCRPQGGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTKGLNENDAKLLKQRTRDLYQVNMMLDNLPVMRFTEQNGITVQWTGFPVGYTPAGISEDYIINHLKFKVLVHEYEGRNVEIIGTGEEGSGVISEFDKKGMSGYQIVGFEVVPCSVKRDAEAFSKSNMYDSIEPVSCPMELQKSQVIRQQERITFTYDVEFVKSDIKWPSRWDAYLKMEAGAKVHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCPKLLCVMIGDGVQILGMAIVTIIFSTLGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRLWKTIKGTSEGWRSVSWLTACFFPGVLFMVLTVLNFVLWGSKSTGALPISLFFALLAMWFCISVPLTLVGGFVGTRSAQIEFPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLVLLVIVCAEVSVVLTYMNLCVEDWRWWWKAFFASGSVAIYVFLYSINYLVFDLRSLSGPVSAMLYLGYSFLMAFAIMLATGTIGFLTSFSFVHYLFSSVKID >ONIVA08G21240.1 pep chromosome:AWHD00000000:8:22246381:22248119:1 gene:ONIVA08G21240 transcript:ONIVA08G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLLVAVAAAAAVLSQLGDAAPSTAEVFWRAVLPESPLPDAFLRLLHPDTSFVVGKAEAAGGAARTGFPFDYTDYRGSDSPTTASGLDLAGDFGEPAPFGYDYSAQGEGGGGGGAAAAGEQVLAVDAGFNYDKYVGARKLRGGSSTAGGEDDDEPFGYDYKAPSSGSGTAASTTARGVGTGATTTVFFHEEAVRVGERLPFYFPAATTSALGFLPRRVADSIPFTAAALPAVLALFGVAPDTAEAAGMRETLRTCEWPTLAGESKFCATSLEALVEGAMAALGTRDIAALASTLPRGGAPLQAYAVRAVLPVEGAGFVACHDQAYPYTVYRCHTTGPARAYMVEMEGDGGGDGGEAVTVATVCHTDTSRWNPEHVSFKLLGTKPGGSPVCHLMPYGHIVWAKNVKSSTA >ONIVA08G21230.1 pep chromosome:AWHD00000000:8:22241904:22243046:1 gene:ONIVA08G21230 transcript:ONIVA08G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDDAGDHQRPEPQAKEENSSTSASPSHDPKITQEEKRWEDEDDEYEEEEEELLYDVDDGEDAPAEACVLTGVKHSDGSIYKSKPPYSLLGLYHLDDASETRLEPMRLTAPTDRCRPCLLDCTVHYGCAMMQIFSVRIAALSATAATAPVQIYGFMAARDLYEPLRNYVFRCSRDDPFVLPGHYSDPDSLISLSGPKRGISLQNPAMIEYDLKIKRGEEEQDDLQLIDGVAVFSDLTPFHGVYTQRIHGVHGAVDISLALLREGMECTIEIRVPEVANGGIHLLVSCFVSKIPQKIKLFDGTITEPWKLRNYVVAVQRDTTLIVDFKVAPVVADGGGGSSDRVHRFYAFNAEAHDYAFQRIQLDFTTIDIRVNWSNLF >ONIVA08G21220.1 pep chromosome:AWHD00000000:8:22238147:22240399:-1 gene:ONIVA08G21220 transcript:ONIVA08G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIFSVRIAALSAAAAPVQIYGYMAARDLYELLRNYVFRRSRDDPFVLPGHYSDPDSLISLWGPKRDTSRCRIPPYMIEYDLKMKRGEEEQDDLQLINGVAAFSDLSPFYGVYSQRIHGVHGAVDISLVLLREGMECTIEIRVPKEQPIKNAVHIVEIPVTGDGACPDGGNAEVAAFLDKAAEAESSGSHPLGEIAGSAGHLLLLKLWQREESRLGRRACALEALMDAARRDAFYLCAAFLAFHGLSLALLFAASVSASAVSPPAEQRAACCSRWWVPSSLSLVASLALAAAVQLRVCAYWRASRRLRRERGDARALARCVQELRMKGAAFDLSKEPQYGVTRAKCASVEGAGAWGPLRWCYQNIVAACLLAVAAATMCSGKFILCS >ONIVA08G21210.1 pep chromosome:AWHD00000000:8:22235019:22235636:1 gene:ONIVA08G21210 transcript:ONIVA08G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSTTPPPPPPPPVLGAPVPYPPAGAYPPPVGPYAHAPPLYAPPPPAAAAASAAATAASQQAAAAQLQNFWAEQYREIEHTTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAIARTEVFDFLVDIVPRDEAKDAEAAAAVAAGIPHPAAGLPATDPMAYYYVQPQ >ONIVA08G21200.1 pep chromosome:AWHD00000000:8:22230756:22234094:1 gene:ONIVA08G21200 transcript:ONIVA08G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEPARGSSSSAAPVRTRSVVPVRESGVSVVVAASVVELVAAAAAELDYMKEYHRELCKSAEPEHNQSTRQEQSAGL >ONIVA08G21190.1 pep chromosome:AWHD00000000:8:22218213:22218947:1 gene:ONIVA08G21190 transcript:ONIVA08G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQPIKNAVHIGETPVTGDWACPDGGNTEVAAFLDKAGAEAEAESSGSHPLGEIAGSAGHLLLLKLWQRSGSAPGVRAATRALARCVQELRMKGAAFGLSKEPQYGGDEGQVRQRRGRRCVGPALVVLPEHRRRRHHVLRQVHLVLVGRRLR >ONIVA08G21180.1 pep chromosome:AWHD00000000:8:22214683:22215132:1 gene:ONIVA08G21180 transcript:ONIVA08G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLVSRCLCLLLLLLLLAVAGNTVAVTTPGPHGHGNGAARAAVQMPPKYYDDDAAVVGRGAAGVTTVPPADDDDGCWESVVGTSPPCARDVLLSLALHAPRLSGECCTVLARAGDKCVAGVFSGLPSGEKYLPLVKRICSLVSVIF >ONIVA08G21170.1 pep chromosome:AWHD00000000:8:22209413:22213349:1 gene:ONIVA08G21170 transcript:ONIVA08G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDR6] MATMASAAAASASARRWRWRWKWRTRDAVLALLIASVLAPPLLLYGGAPIAPFSGPILMGSAASGLDLSNLDAFAAVKEPIQTVASDAAALKAGLIQHIVDQSSGIDRGTKDNGMVASVNKKGGVEFTKENGLIDDGKLRENKVRAMRNSSGLNITLNKDHTADRPPEKTTDTTSEDSDIRAISNNTSHSTASPDSTIRVLRDQLKRARTYIGFLSSRGNHGFIKDLRRRMRDIQQALSGATNDKQLPKKYYLSHRYTKFFTVGISDDDLCLVSGVHGRIREMELTLTKVKQVHENCAAIISKLQATLHSTEEQMQAHKQEANYVTQIAAKALPKRLNCLAMRLTNEYYSSSSSNKHFPYEEKLEDPKLQHYALFSDNVLGAAVVVNSTIIHAKTPENHVFHIVTDKLNYAAMRMWFLENSQGKAAIEVQNIEDFTWLNSSYSPVLKQLESQFMINYYFKTQQDKRDNNPKFQNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQQDLSALWSIDLKGKVNGAIQTCGETFHRFDRYLNFSNPLIAKNFERRACGWAYGMNMFDLSEWRKRNITDVYHYWQEQNEHRLLWKLGTLPAGLVTFWNQTFPLDHKWHLLGLGYKPNVNQKDIEGAAVIHYNGNRKPWLEIAMAKYRKYWSKYVNFDNVFIRQCNIHP >ONIVA08G21160.1 pep chromosome:AWHD00000000:8:22206591:22207052:1 gene:ONIVA08G21160 transcript:ONIVA08G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREGRRKRAREEEAAAVFEEVTSSSSSAAAATAAVVGGGDKGKEEEEGEGWKRPPGVFEFPWQKCRGGLGVPVGGGGGGGGGGSWELRDVFFRSLVDGRAAAIGFPGDRLFPPPSKRALFDDVDAWLAAAGEGEVDPVWRSVLEEGPRPVA >ONIVA08G21150.1 pep chromosome:AWHD00000000:8:22196184:22200029:1 gene:ONIVA08G21150 transcript:ONIVA08G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase 15 [Source:Projected from Arabidopsis thaliana (AT5G56090) TAIR;Acc:AT5G56090] MMGSRVAAALLRRGRDQASSLMAARLPRGAPAPSPAAPRVGSGSVCGCGGGGGLLIGSRSTGSVFSASRLASFHAFRSIGSKTLMGQCTRKMTTTVAAMNSGVANAAAYSGLKLLVTKGPQAQKAIGIWLFGCATWVFGLVILGGVTRLTRSGLSMTDWKFTGSLPPMSDEEWLLEFEKYKLSPEYKRVNKGMSLGDFKFIYWMEYGHRMWGRALGFLFSVPFAYFIAKGYVTRQLGLRLSGLFALGAGQGLIGWWMVKSGLEEPASEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPEPPAGSMNWVNSAAKIKKLAIPVSAVVGITAISGAFVAGNDAGHAYNTFPKMGDTWIPEDVFAMEPFIRNFFENTSTVQLNHRILATTTLLSVGGLWLAARKVDMHPAIKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSLASAVKST >ONIVA08G21140.1 pep chromosome:AWHD00000000:8:22189102:22193603:1 gene:ONIVA08G21140 transcript:ONIVA08G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFLFPARDEGLIAKFVALDAYIKGGRTSGVSPASEHEALTAGGDRSKSGIRLSRRDSESERRRKKMTVGAGVAVQDGGLVALGATVLTEVRDNVLLTPAAGAGMTSGTFVGVRSATAGSRSVFPVGKLRGLRFICTFRFKMWWMTQRMGTSGRDIPFETQFLLVEAADADGSHLAGDGAAAVYTVFLPILEGPFRAVLQGNSDDELEICLESGDPAVESFEGTHLVFVGAGSDPFEVITNSVKAVERHLQTFTHREKKKMPDMLNWFGWCTWDAFYTDVTSEGVMEGLQSLGKGGTGPKFVIIDDGWQSVSMDPAGIASLADNSANFANRLTHIKENHKFQLNGRKGHREENPANGLAHIVNEIKGKHQLKYVYVWHAITGYWGGVRPGADGMEHYESKMQYPVSSPGVQKNEPCDALNSITTNGLGLVNPDRVFSFYNELHAYLASAGIDGVKVDVQNILETLGAGHGGRVLLARKYHQALEASIARNFRDNGIICCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGNHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCRVGKKNLVHDEQPATVTGVIRAQDVHHLATVAADGWNGDVIVYSHIGGEVTCLPKNASLPVTLKTREYEVFTVVPLKKLDNGVSFAAVGLIGMFNSGGAVTAVRYVEDAGVEVRVRGSGTVGAYSSAKPARVVVDSEAAEFSYDDGCGLVTFELAVPEQELYSWTISIEY >ONIVA08G21130.1 pep chromosome:AWHD00000000:8:22182938:22183762:1 gene:ONIVA08G21130 transcript:ONIVA08G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGSPSYGPDRVIYLRPLSGEFPGYYG >ONIVA08G21120.1 pep chromosome:AWHD00000000:8:22178339:22178521:-1 gene:ONIVA08G21120 transcript:ONIVA08G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLSHPLLCDSSSAKNRLHDDDDDDDDGDQDRPSWGGHEGSVAAIWWWVADAGEEGEVR >ONIVA08G21110.1 pep chromosome:AWHD00000000:8:22178023:22181953:1 gene:ONIVA08G21110 transcript:ONIVA08G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G55760) TAIR;Acc:AT1G55760] MSDGARVEAAPRLAQWRVDVLPCYTYRKSEPFRIGLWNWYLSVERNNKQTCVKVFAELSNSAKNTTPAPIASFITKLLISFPPNQKTIVHPGIFDKPLKHEGFVWAIDSTVTGRFVIEIEFLDLKIADPSGGEPASIWASHQIKKSSDNTALSSLARMLQEGILTDITINATDGSIMAHRAILASRSPVFRSMFSHDLKEKELSTVDISDMSLEACQAFLNYIYGDLRSEEFLTHRLALLRAADKYDISDLKEACHESLLEDIDTKNVLERLQMAHLYRLQKLKDGCLRFLVDFRKVYEMHDDFKVFLQTADRDIIAEIFQGILTTWSGR >ONIVA08G21110.2 pep chromosome:AWHD00000000:8:22178023:22181617:1 gene:ONIVA08G21110 transcript:ONIVA08G21110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G55760) TAIR;Acc:AT1G55760] MSDGARVEAAPRLAQWRVDVLPCYTYRKSEPFRIGLWNWYLSVERNNKQTCVKVFAELSNSAKNTTPAPIASFITKLLISFPPNQKTIVHPGIFDKPLKHEGFVWAIDSTVTGRFVIEIEFLDLKIADPSYNSLTGSNSAFQGGEPASIWASHQIKKSSDNTALSSLARMLQEGILTDITINATDGSIMAHRAILASRSPVFRSMFSHDLKEKELSTVDISDMSLEACQAFLNYIYGDLRSEEFLTHRLALLRAADKYDISDLKEACHESLLEDIDTKNVLERLQMAHLYRLQKLKDGCLRFLVDFRKVYEMHDDFKVFLQTADRDIIAEIFQGILTTWSGR >ONIVA08G21100.1 pep chromosome:AWHD00000000:8:22148800:22150098:-1 gene:ONIVA08G21100 transcript:ONIVA08G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTMNQRLSNAIERRPNGDLEFSWQRARLHGQHILPEVRDQGNEPTCVFQAVCAAAEMTMMRNLADRNPPPSSTDTRFDAELLAHDYEVEVQRSLGKDHDLGHTVRADTALRLFGSIGALATSSGWEGHQRVRLRNYRSLSISNFERVAEYIIQGTPLLGTLPTGDEFRTMLPDEIFEFRRGLLPAGTVASTHMVLFMGFGYRNGRPYLVFLNSNGKSFGDEGLGRVYFDQIYTELFYALNARAPDASVRTSHHNEDASASTSATTQQFTLSSSARPGPAHPDARASTSSTTMQLSSSSTPPTAPRRRDDQPSPPRPAQRRRL >ONIVA08G21090.1 pep chromosome:AWHD00000000:8:22134141:22173860:1 gene:ONIVA08G21090 transcript:ONIVA08G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRTYSRRRRRRRDSRLSPTRSRAPYAKEGHVPSYIAATSSSCQQADAVAQDTEVLPPSGSCLSSSPASHVWARHMKDWGLIFYIRVDLQGSFHTYPDVGGPFQSSQEADKAIDRYLEDHRDPKMHIGQNDDISSMENVIRRCLYWPDGTIKRRTKSFATWEAKKRMHQFIQALVDKYNDDHNLLGDLALKLKDVLHYQPLCENHMWYYHLNFTAKTKEDDGFDSTSDNLFFVEVKCMGKGNYEEMVVSCFCVINPIDNGKPCKGCTNNGTADMKHPDTGEYFAGHLDAYLPYGCFGQWSDSDDDDKYVKAKEAKLRRMFKVTADGAVPAGEETADHLGVGVQSVEWMKMIAAWWWTRWRLWHQGVLVQSIYWPDGSIKKRTKSYATEKTHKRMCQLVQALVDKYNEDHNLFGICYGCAKQGSVDMKHPDPCKYDGGHLDMGRPFECVDEWSDSEDDAEYVKAKEAKIRCMYEDLALKLKDVLHYKPICENHIWYYHLNFTAKTKEADGLHSTSDNLFSVEVKRMGIGNYEEMLVSCFCMVNPDNGKPCKGCTNNGTVDMKHPDTDEYFAGHLDAYLPFGCFGKWSDSDDDDKYVKAREAKLRHMYEKTSLMVVVSGESVNIHYHSQLPCGSLKK >ONIVA08G21090.2 pep chromosome:AWHD00000000:8:22134141:22173860:1 gene:ONIVA08G21090 transcript:ONIVA08G21090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRTYSRRRRRRRDSRLSPTRSRAPYAKEGHVPSYIAATSSSCQQADAVAQDTEVLPPSGSCLSSSPASHVWARHMKDWGLIFYIRVDLQGSFHTYPDVGGPFQSSQEADKAIDRYLEDHRDPKMHIGQNDDISSMENVIRRCLYWPDGTIKRRTKSFATWEAKKRMHQFIQALVDKYNDDHNLLGDLALKLKDVLHYQPLCENHMWYYHLNFTAKTKEDDGFDSTSDNLFFVEVKCMGKGNYEEMVVSCFCVINPIDNGKPCKGCTNNGTADMKHPDTGEYFAGHLDAYLPYGCFGQWSDSDDDDKYVKAKEAKLRRMFKKTSLMVVVSGESVNIHYHSQLPCGSLKK >ONIVA08G21080.1 pep chromosome:AWHD00000000:8:22130391:22132102:-1 gene:ONIVA08G21080 transcript:ONIVA08G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHVYWVALERNELHDDNSMLHSEILELQNKLRSYDADGRHSCFESPAFPQQQPVVIEQHYGTTPSELQLFPESTTSEDSEPSQEHGISDHVTRPQAKYPTPTATLTEDKISSVAVVLLALARKTVQADLSLANVQYKFATVLMVSMIFFSSKG >ONIVA08G21070.1 pep chromosome:AWHD00000000:8:22128622:22130310:1 gene:ONIVA08G21070 transcript:ONIVA08G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26900) TAIR;Acc:AT1G26900] MQLRRRGRQIAALLKSASRAGDLLQLHAAMIKSSLFPHHAFPSAKLLASPHAPLPYALSLFAAIPTPTLFHHTALLRALSASAGGSLAAALPVLASARARLPALDEFAFQPLLALCAKRGPDGDAAAASLGRQVHALVVRYGFSGVVSLGNALCHFYCCCGGGGGEGMADARRVFDEMPERDAVSWNTVIGGYVRAGEVTKAVDMFSEMMCCSVGVSVTALVTMIRCGWQAEPVHGFCIKVGFCRDAKVASAMVGMYVREKSVECGRKVFDEATKRDLVLYNCMVDGYAEAGQVEEAMGLVDRMRLEGVRPSSGTLVGVLSACGASGAMAAGRRLHEIALEAGLELDTALGTALMDMYFKCGYPSEAVAVFDAMQERDVKAWTVMIMGFGVNGQAGEVISLFRSMEEDGVVPNEVTFLAVLNACSHGGLVSEGKKFMESMVLQYGIFPNTKHYGCIIDLLGRAGRLDEAYELIASLSSQSDATAWRALLAACRVHGNANLGRMVQARLDNMDDYHPSDAILLSNTYALESRWDEIAHVRDSEDQKIVKDKKEAGCSSIEVSW >ONIVA08G21060.1 pep chromosome:AWHD00000000:8:22124568:22127371:-1 gene:ONIVA08G21060 transcript:ONIVA08G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSKQNPSGQQPHCCNHSSSSNAAPKGNNRFAKFGDDYHTLEQVTDALAHAGLESSNLIVGIDFTKSNEWTGRVSFNNQSLHSLGHISNPYEQAISIIGQTLSRFDEDNLIPCYGFGDATTHDQKVFSFYPDNKPCDGFEQALDRYREIVPQLRLAGPTSFAPMIETAIGIVDSSGGQYHVLLIIADGQVTRSVDTGNGQLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTEIMSRPVPASKKEAEFALSALMEIPEQFKAAINLQLLGKRRGFPHRTVLPPPVRDFQQYYGCSTVKETQSTSYGSLQKKTSAPKQDSDIGDQTCPICWLEAKNLAFGCGHQTCSDCGKDLKVCPICQREISTRIRLY >ONIVA08G21050.1 pep chromosome:AWHD00000000:8:22118190:22120344:-1 gene:ONIVA08G21050 transcript:ONIVA08G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLPIKRIENTTNRHVTFSKRRNGLIKKAYELSVLCDIDVALLMFSPSGRLSHFSGRRGVEDVILRYMNLSEHDRGEAIQNREYLISMLQRLKRESDMATQLANPGALNEKIEEIQQEIYSSQQQLQITEDRLRMFEPDPAAFGTSSEVDGCEKYLMELLTRVVERKNNLLSSHMAPFDATTAAMQGADGTQMYVSQADGLATFGGDAAMWGPDGGADPGHPMFSASDPLIYLRDHDVYDANSQAYTCTELLSTLIPTTPFPLMPHCLGPEDQYLSMEHGMVAAAQEPVEASTASCSYVPSDENSGTPVMAYESNPPPANIA >ONIVA08G21050.2 pep chromosome:AWHD00000000:8:22118190:22120344:-1 gene:ONIVA08G21050 transcript:ONIVA08G21050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLPIKRIENTTNRHVTFSKRRNGLIKKAYELSVLCDIDVALLMFSPSGRLSHFSGRRGVEDVILRYMNLSEHDRGEAIQNREYLISMLQRLKRESDMATQLANPGALNEKIEEIQQEIYSSQQQLQITEDRLRMFEPDPAAFGTSSEVDGCEKYLMELLTRVVERKNNLLSSHMAPFDATTAAMQGADGTQMYVSQADGLATFGGDAAMWGPDGGADPGHPMFSASDPLIYLRDHDVYDANSQVAGLHGGDPCAAGGAAAAAAAVGCVDDDVAGGHAAAADAWRQAYTCTELLSTLIPTTPFPLMPHCLGPEDQYLSMEHGMVAAAQEPVEASTASCSYVPSDENSGTPVMAYESNPPPANIA >ONIVA08G21040.1 pep chromosome:AWHD00000000:8:22115771:22116711:-1 gene:ONIVA08G21040 transcript:ONIVA08G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYHVQSPRSILLKMIATKQQPPALPPPPPPKLILQPRQRTSPAMWCAAIVCFAFSLLLIAAGAVILVVFLAVRPRAPSFDAAGATLNAVYVGSTSPAPYVNGDMTVAANVSNPNQKMDVVFRSAAVELFFGGRRMAAQALQAPFVQRRGQSQIISVHMVSSQVALPPELAVAMVNQVRSNRVVYTIRGTFKVQAKLWFWHYTYSMSALCDLELTAPPCGVLVARRCITRQHSS >ONIVA08G21030.1 pep chromosome:AWHD00000000:8:22110876:22115299:1 gene:ONIVA08G21030 transcript:ONIVA08G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) TAIR;Acc:AT1G49540] MSPPAAGGLAGARGEGGGAGVGRVFVGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPLRGEIVTTLPGHKATVNCTLWLPTKKDVLQIRSRERHYLLSGSSDGIIMAWKIDSGKGEWTHALQLPMMHKKGVTCLAGRMVSDTVSIFASTSSDGTVVIWKMEDEPTSVGSCEVSCLHSISVGPKPMVSLSLAVLPGQGGHLILAMGGLDHKVHIYCGDQSGKFIKACQLKGHSDWIRSLDFSLPVMMGSEEHSLFLVSSSQDRTIRIWKMNSDAVSSAHTTSRKEDIGIASYIEGPLFVSGNTHYQVSLESLLVGHEDWVYSVEWQPPTLLTDDEAHQPMSILSASMDKMMMIWKPEKNTGLWINSVTVGELSHSALGFYGGHWQPDGKSILAHGYGGSFHMWRDVGLGSENWQPQIVPSGHFAPVSDLTWSRSGEYLLSVSHDQTTRIFAPWRSQVSPGDVTCWREIARPQIHGHDINCVAFIQGTGNHRFVSGADEKVSRVFEAPLSFLKTLQHATLLKTDNSGDFDNVQVLGANMSALGLSQKPIYTHGPRETQSSISNDRPDSMETIPDAVPTVFTEPPVEDQLAWNTLWPETHKLYGHGNELFSICCDHEGKIIASSCKAQSAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSRDNAFLLSVSRDRHLSVFSIRKTDDGAEHHLVAKLEAHKRIIWACSWNPFSYEFATGSRDKTVKIWCVQDSSSVKLLVTLPQFHDSVTALAWTGRDRASNAGILAIGMDNGMIELWNISGGRASTDSSGSDYGES >ONIVA08G21030.2 pep chromosome:AWHD00000000:8:22110876:22115886:1 gene:ONIVA08G21030 transcript:ONIVA08G21030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) TAIR;Acc:AT1G49540] MSPPAAGGLAGARGEGGGAGVGRVFVGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPLRGEIVTTLPGHKATVNCTLWLPTKKDVLQIRSRERHYLLSGSSDGIIMAWKIDSGKGEWTHALQLPMMHKKGVTCLAGRMVSDTVSIFASTSSDGTVVIWKMEDEPTSVGSCEVSCLHSISVGPKPMVSLSLAVLPGQGGHLILAMGGLDHKVHIYCGDQSGKFIKACQLKGHSDWIRSLDFSLPVMMGSEEHSLFLVSSSQDRTIRIWKMNSDAVSSAHTTSRKEDIGIASYIEGPLFVSGNTHYQVSLESLLVGHEDWVYSVEWQPPTLLTDDEAHQPMSILSASMDKMMMIWKPEKNTGLWINSVTVGELSHSALGFYGGHWQPDGKSILAHGYGGSFHMWRDVGLGSENWQPQIVPSGHFAPVSDLTWSRSGEYLLSVSHDQTTRIFAPWRSQVSPGDVTCWREIARPQIHGHDINCVAFIQGTGNHRFVSGADEKVSRVFEAPLSFLKTLQHATLLKTDNSGDFDNVQVLGANMSALGLSQKPIYTHGPRETQSSISNDRPDSMETIPDAVPTVFTEPPVEDQLAWNTLWPETHKLYGHGNELFSICCDHEGKIIASSCKAQSAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSRDNAFLLSVSRDRHLSVFSIRKTDDGAEHHLVAKLEAHKRIIWACSWNPFSYEFATGSRDKTVKIWCVQDSSSVKLLVTLPQFHDSVTALAWTGRDRASNAGILAIGMDNGMIELWNISGGRASTDSSGSGSSPLSFACMLRFDPLLCHVSTVHCLRWQKSDSSDEKSALQLASCGADHCVRVFEVRDI >ONIVA08G21020.1 pep chromosome:AWHD00000000:8:22105650:22109588:1 gene:ONIVA08G21020 transcript:ONIVA08G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRWRATATRLLVLLACACAVFVPRCHCVGDQGEALLRWKASLLNGTGGGGGGLDSWRASDASPCRWLGVSCDARGDVVAVTIKSVDLGGALPAASVLPLARSLKTLVLSGTNLTGAIPKELGDLAELSTLDLTKNQLTGAIPAELCRLRKLQSLALNSNSLRGAIPDAIGNLTGLTSLTLYDNELSGAIPASIGNLKKLQVLRAGGNQALKGPLPPEIGGCTDLTMLGLAETGISGSLPATIGNLKKIQTIAIYTAMLTGSIPESIGNCTELTSLYLYQNTLSGGIPPQLGQLKKLQTVLLWQNQLVGTIPPEIGNCKELVLIDLSLNELTGPIPRSFGGLPNLQQLQLSTNKLTGVIPPELSNCTSLTDIEVDNNQLTGAIGVDFPRLRNLTLFYAWQNRLTGGIPASLAQCEGLQSLDLSYNNLTGAIPRELFALQNLTKLLLLSNDLAGFIPPEIGNCTNLYRLRLNGNRLSGTIPAEIGNLKNLNFLDLGGNRLTGPLPAAMSGCDNLEFMDLHSNALTGTLPGDLPRSLQFVDVSDNRLTGVLGAGIGSLPELTKLNLGKNRISGGIPPELGSCEKLQLLDLGDNALSGGIPPELGKLPFLEISLNLSCNRLSGEIPSQFAGLDKLGCLDVSYNQLSGSLEPLARLENLVTLNISYNAFSGELPDTAFFQKLPINDIAGNHLLVVGSGGDEATRRAAISSLKLAMTVLAVVSALLLLSATYVLARSRRSDSSGAIHGAGEAWEVTLYQKLDFSVDEVVRSLTSANVIGTGSSGVVYRVGLPSGDSVAVKKMWSSDEAGAFRNEIAALGSIRHRNIVRLLGWGANRSTKLLFYTYLPNGSLSGFLHRGGVKGAAEWAPRYDIALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPRNEPYLADFGLARVLSGAVDSGSAKVDSSKPRIAGSYGYIAPEYASMQRISEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVQWVRDHLQAKRAVAELLDPRLRGKPEAQVQEMLQVFSVAVLCIAHRADDRPAMKDVVALLKEIRRPVEGGATGGGEGKEQNAAAAAAPPAAERRSPARSTLPKGGSSSCSFAMSDYSS >ONIVA08G21010.1 pep chromosome:AWHD00000000:8:22099355:22102869:1 gene:ONIVA08G21010 transcript:ONIVA08G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWVLEARGRRGRWRAWRGALDHVAAPGARRARPGIGRACNGARRATSRWSVSCILRAVQKILRFTLQSAPPLCDADERRAADFFRNLIIVEKANLKECHIMQTRSSFARVLGGQNANQNTPAENAFYAEMFQCTADDLQFYMAFSIVTIREYKLSLAVAFSLSIECSPIFPKVTIGNKMVKRCYLKVTELGDEEMLAKWQV >ONIVA08G21000.1 pep chromosome:AWHD00000000:8:22074738:22098493:1 gene:ONIVA08G21000 transcript:ONIVA08G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSFDLTDERFGRATPLPSPAPDWLAVNCLKEVSGGGGMVGIVAYASGWCGLEMWVLERGGGRKKTRWRWRRRFRVPRRVGPHDLVGLRFGHGHGHGEHVVTKLAIQGLAPVVRKRRRREEGAVPVQRGVHRSVPEFFCFLETQYKRRRSQRAQTHPYERTHVHPTPMSTSGRLGRHILRLTKSPQTPRCRRGEGIDEMEEQETSIGDLHTDAFVEILRRVAPSARRRLRLVSRAPAWRGHAKTLAFVYHRPGPATAAYAIDGLEEDDEGCYRKLWDAAADPSLPAIYSRLRMIGSCNGLLCLYDEGVTGDIALLNPVTGETLDVAGPPGHRLRRQEPYGHVPYGEAFSFTYHEATERYKIVHLAVSDGRLEAVEVLTLVDGAPSPSWRRVAAPAGSSCWLPAGVVSDGQATYWINHGGGDRLMSFDLTDERVATITSLPVAAKNLNAGCLRKARGRLCEMWLLERDGCESLERWYCRFYLTTRLCMEVQQVVGPHFTQGEHILAHRHGSLYVHRCVSSATRPQCSVAQIHEHWPYHEPMFTCGHASNIRAFSYIETTEPLNVYQCNGGSKIGSVVNNDEEMATGKTTSLGQMLTSMFNDLPSPHPKRPVARRRKRRTNRIGHIPSDAVVEILVRLPPSSRRRCRLVCRHWRDLVDDRTPEMRSRAKALVLVHAVAHVFDDQPEGRRRQLLPNCRGVDIVGTCNGLVFLCEWSRGFALVNPFTGERVDGAGVPPPPCPRGEEPPFYQPTHAAYAFGYHPTTGRYKIVHFPIQDRRTETFDAVRVLTLGAEEDASTSWRDVPMPAGGSSRRGSCGVVSVDGSTYWITRDTERVMSLDLGDDERVAAVTPLLPARTAGPGCTCKLTDVRGRLGVAVSVSMATSTDTDVRRRRRWSRRYSVRVHGVEQQLAWPHFAHGEHVLTTSTHCSIRGFLYAHRLSDDGRRRLQCSAVRINERRPGKVVGSFGACYRRDLRTFAYVETTEPVSVASRRFRLVCRQWRDAIDERRAGRRRSAAPRRSSSLMMIGIYLFDDLTRGISREVLHDCQNSRTQASPSARTCNGVLCTGIVRLGQPGHRRDATLDIPPPPCPAPGVYPGYIARDHSKFSFDRSATCRRRRRGGTRSCTSPSRVFDVVRVFMLGDDASWRDVAVNGAGSSRRGGCGVASVDGVIYWVANDDGDGDDNGWDMPSDPLGEILRRLPPLDRRRSRLVCRQWRDAVDSRAPARPGPAKTLVVAHGAGYVFDDVPGGSSREIPSPCPLANIVGTCNGLLCVVGTGAGFTTGGFVLSNPVTGEALHVPLPTRIGAPWRRWEHNEYYSFAHHPTTGLYKIVPFPVDDRWTGSFDAVQVYTLGEAASWRDVPAPAGSSRRKSCGLVSVDGFTYWVAMDTEKVMSLDLKDERIAVVITLPAPASEPGRQCRLTEARGRLAVAAIVTQPTNTKTEVWVLEGGAGGRRWIRRYSVQLHGPDRQMVWPHFAHGDHAMTASTWQYSRSHELLYVHRLSGGKGLQCREARINGKSPGKAVGKYDRRTYRDLRTFAFVETTEPLNVYSGHGCRHIGKEISAG >ONIVA08G20990.1 pep chromosome:AWHD00000000:8:22070116:22074677:1 gene:ONIVA08G20990 transcript:ONIVA08G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKAPAMENVGVVDFPTDVLVDILSQLPTSSRRLCRLVCRRWRDTIDKRTPERDVRTKMLTFVKGLDNEASAYVVDEARGRHRRVYALGGSAPLSWQSVPTLGASGRFQPGGVACADGVAYWITAGMQLVVARSSLGTEWRRVAGASMGIPATGGASIGILETGGRRHVDLELGGRQRVGLDNEASAYVVDEARGRHRRVWTSSCSVDVIGTRNGLICVLDGGTGAVTVAKPATRESLPVPPPPPRQAGLLPCFPDARTHEAYGFAFHPATLRYAVVHVPCYFNKSGTFDAVQVYTLGRGGRGAPPSRQRPFPAGGVACVDGVAYWITAGTPAAIMSLDLKDNRVAPVKWSPETPGRGCRCSYRLTEMRGRLCVAVTVEETEKPIKRVEVWWMESTRDQRWTRRYNIMLETPKQHVMWPLFAHGENVLTVAQVFKEYNLHKHKVSDKRSSQCSMVKIWKKKPGVEIMNYGEEEEAAGFGELPADALEEILVRIPASARRRLRLVCRHWRDVVDDRTPERRSRAKTLVYVSRPAGAAAYVLDDDDDLGAAAGSYGAAAAPPPPPQTGPDRRFRFRMVGTLNGLLCLYEELAGDIVVLNPVTGETPLHIRGPAGNRLDPAALSFVYLETTGQYKIVHLRRLPISLAARAGAHRRGGRRFMAERAGPRRNEL >ONIVA08G20980.1 pep chromosome:AWHD00000000:8:22059235:22059738:-1 gene:ONIVA08G20980 transcript:ONIVA08G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPAPKDSVVAYLLYNTAVSIAILADMVRAALVFLGLPVPPSAWEDGDDQLAAIAAAAAAAAAAAGGPSLADRFRSRFRPARFGRRRGGGAGAADCRVCLARFEPESVVNRLPCGHLFHRACLEKWLDYDHATCPLCRHRLLPATTESPSPSPATATPHFARI >ONIVA08G20970.1 pep chromosome:AWHD00000000:8:22048768:22055387:1 gene:ONIVA08G20970 transcript:ONIVA08G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNVRVIEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVVKKNLNPAWDQEFSFSVGDVRDVLKLYVYDEDMIGIDDFLGQVKVPLEDVLAADNYSLGARWFQLLPKGKTEKAIDCGEICVAMSLETAGATRSWSDDLVSELTDIQKDYSLSSQGTGTSVALSYQGSEACQEESVNGNLGRAGFTEEDNCSQDTDKNQTTAEDKSNGIPAAASTGIEVSKTDKSNKPSFVDRVCQMFVRKSDDVVTTPLVTTDKSEDVQEATTGYEAPATGSQTYSASTDTPFDELLKYFESKHQEVEMPVDLQGILVDKSYITSPSDLNNFLFSPDSNFRQTVVELQGCSDVKMESWKIDSDGESLKRVITYTTAPSKLVKAVKATEEQSYLKADGNGYSVLLSVSTPDVPCGTYFRTEILFRILPGPELDSEQLTSHLVISWRINFLQSTMMKGMIENGAKQGLQQNYAQFSDLLSQKIKPIDVDAGSDKGQVLASLQRGQESDWKIAFLYFCNFGVLCSLFVTIYIAVHVQLRSSGAHKGLEFPGLDLPDSLSEIVMGGLLFLQLQHIYKKISCFIQAREQKVGDHGVKAQGDGWLLTVALIEGTKLAPVDATGFSDPYVVFTCNGKSKTSSIKFQTLEPQWNDIFEFDAMDDPPSVMNVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLQGNLAQSWQSKLHLRIFLSNSKGSTMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCCLKRKLHTQGHLFLSPRTIGFYSSMFGRKTKFFFLWEDIEEIQAVPQSISSWSPSLVITLHKGRGMDAKHGAKSVDNGRLKFCLQSFASFSVANRTIMALWKARSLSSEYKMQIAEEQSQNNDTLQSEDSGIFVGVEDAKNLQMNEVFSSSISANMASLMEVFGGGSFEMKIMNKVGCLNYSATQWESDKPDEYQRQIHYKFSRKLSPIGGEVTGTQQKSPMPNKAGWIIEEVMELQGILFGDFFTIHIQYQIEDLAPKQRACSVQVFLGIEWSKTTRHRKRIEKSVLSGSSARLKEMFILASKQLPHAR >ONIVA08G20960.1 pep chromosome:AWHD00000000:8:22038551:22041564:-1 gene:ONIVA08G20960 transcript:ONIVA08G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEARSREHGGGGGGGSGSAGKIFVGGLPRDTTEADFVKHFGQYGEIVDSVIMRDKHTSQPRGFGFITYSNPAVVDRVMDDIHEFNGKQVEIKRTIPKDSVQSKDFKTKKIFVGGLPQALTEDDFKHFFQKYGPVVDHQIMRDHQTKRSRGFGFIVFSSDQVVDDLLANGNMIDLAGAKVEIKKAEPKKSSNPPPSSHGSASRSAYGRDSRGHSSGNDYGGLANAYSNYNSGGFGPYRNHGVYGGGSLSGYGGIGEYGVQYGRYYPGLGGSGGMPSFGYASRVGPYGGGFEGPYAGGNLSGYRRGGDESFGGLSSSSFGGAMYGGAAYDPALGGYASGSTPERSRGNLAGGSGRYNPYGR >ONIVA08G20950.1 pep chromosome:AWHD00000000:8:22033569:22037858:1 gene:ONIVA08G20950 transcript:ONIVA08G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRLELSNLEGHRSSENPESRDEKTEIPFYAQRYESVRCSCGAEEEVDDCPIEEVRLTVPITDDPALPALTFRTWLLGLISCAMLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKLMAACLPKKVFRVKGTPWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYRREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPSNLVQVSLFRALHEKEKRPKGGTTRLQFFLTVLITSFAYYIVPNYLFPTISTISVVCLVWKKSVTAQQIGSGVYGLGVGSFGLDWATVAGFLGTPLSTPAFAIVNIMAGFFLIVYVIVPAAYWADAYGAKRFPIISSHVFSANGSRYDVNQVLDTATFEFSQAGYDAAGKINLSIFFAFTYGLSFATLAATLSHVALFHGGSIWRQTKAAVSGQGGDVHTRLMKRNYAAVPQWWFQVMLVAVLGLSVFTCEGFGQQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVITELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFIVQLVGTVLASSVYFGTSWWLLQSVSNICDPAKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYAKMNYFFLAGALAPVPVWALSRAFPGRAWIGLVNMPVLLGATGMMPPARSVNYLMWGAVGLAFNYVVYRRYKGWWARHNYVLSAGLDAGVAFMGILSYAVLQSRGINGVNWWGLQVDDHCALARCPTAPGVSAPGCPVQ >ONIVA08G20940.1 pep chromosome:AWHD00000000:8:22021147:22022966:-1 gene:ONIVA08G20940 transcript:ONIVA08G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRPRKPPKDGRVFVRGLAAGTGEADLLRHFDRYGVVDEVSIPGVEADTLTGLPALRFAIVKFGHPEFAASPSPIGSRSSTARRIKVHVGREDPRQSGCHSSGYKPLKQSTRQIGERKRRVGDMIKVVIGPLPEDSLERGLLKYLKQFGSVDAGMLIIDCIIKYISRDGQELTVKIDKSKNAAWSTCEDTFHFSDRRKNSDGRINPNIYRGLINKTPPPPAACAYSYNRTGGIAGKKCNIPNGSCNYPTCPKSYHGSIVNQTHFPHPAAYAYSCKRTGGIAEQMCNIPNGFCNYPTYKLNPNFYRGSSIVNQIPFPYPAAYSYFCNPTGSFAGQIFDDDFAYQCGGMNWNATMLN >ONIVA08G20930.1 pep chromosome:AWHD00000000:8:22015050:22018368:1 gene:ONIVA08G20930 transcript:ONIVA08G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEEDELAVDPGVGAVCDPVTKLRFEAIVCLLDRIPITLQQIDMFGQDVAFRTKRIGNIEALLDFLKGSAAATWGARAGAGEPIDASSPELHRLVEDAETAYRDVRGLQPRMVVQMPRVFHKVCLPKLHQLLVIARRLLAQNVALRRLLLQPVGCDVSPMALAEAAVSSDDFERHGRNKVVIDEFGYEDLLRRRHTGHESQNDADDAEQHGREVREGEYEDLILMRHRDTSHELLQDDARRRRADAEAEQQGGDGEVRDEYEDYLCRQLGAVYSGPDQIYEHDMRGPEPAHSPNTPDQIYVPCERMLPYPSNCDNAEDRIHMACLALKNLVKDMEGIYSPRGTLWQYLEDVISLAHALFLENTKLHRFTDQASHQDLPLQPPQGFPFQQQPQHDGYQQPGVPFQQPQQGGYYGHGQGIMFQRGGYLQDVPFQHWQWQQGGYGQGFMFPQAQHQGGYGQGFLSEQPLPGDPSFMNMQAPYYGDGGVLFQKPQHYHHGHVPSEKGAIQAKGKQKMREPKTVMCPDWCRTGHCSSGDGCEYAHSQDELRVIDAGPKYRTEPCRYWLAGKGCWYGDKCRYKHSTD >ONIVA08G20930.2 pep chromosome:AWHD00000000:8:22015050:22017332:1 gene:ONIVA08G20930 transcript:ONIVA08G20930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEEDELAVDPGVGAVCDPVTKLRFEAIVCLLDRIPITLQQIDMFGQDVAFRTKRIGNIEALLDFLKGSAAATWGARAGAGEPIDASSPELHRLVEDAETAYRDVRGLQPRMVVQMPRVFHKVCLPKLHQLLVIARRLLAQNVALRRLLLQPVGCDVSPMALAEAAVSSDDFERHGRNKVVIDEFGYEDLLRRRHTGHESQNDADDAEQHGREVREGEYEDLILMRHRDTSHELLQDDARRRRADAEAEQQGGDGEVRDEYEDYLCRQLGAVYSGPDQIYEHDMRGPEPAHSPNTPDQIYVPCERMLPYPSNCDNAEDRIHMACLALKNLVKDMEGIYSPRGTLWQYLEDVISLAHALFLENTKLHRFTDQASHQDLPLQPPQGFPFQQQPQHDGYQQPGVPFQQPQQGGYYGHGQGIMFQRGGYLQDVPFQHWQWQQGGYGQGFMFPQAQHQGGYGQGFLSEQPLPGDPSFMNMQAPYYGDGGVLFQKPQHYHHGHVPSEKGAIQAKGKQKMREPKTVMCPDWCRTGHCSSGDGCEYAHSQDELRVIDAGPKYRTEPCRYWLAGKGCWYGDKCRYKHSTD >ONIVA08G20920.1 pep chromosome:AWHD00000000:8:22004295:22005605:1 gene:ONIVA08G20920 transcript:ONIVA08G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKSIRRSNGHASSSSSILDGDDTSSASGGGMSIYYQKINQRVAVLEKVAVSVHVSRASTREAALASLVGALEGFVPAHFIGWHLRGEIVRGCCASIKKGAAAKEARLALRAVALLAVTLGPGSKRRILPAETYNPLEPGPGSKKIMAETFPLVSRILEVSTDAPLVIAALESLAVVAFVDVAAENMDDTEACMKALWGLIRPSTGPKVAGVARKTSPHVLAAAVSAWTLVLTTTDGWKKKKAAASSPAAWRDTAAHLASLLHSDSRAVRMAAGEALAVTIEMKLLTRDSNGALISGVAARASELANEAAGAGVGKANFVEQKELFKSITTFLAGGKAPASSVRASSSHHGRLTASTWTDIVRLNFLRRFLGGGFLPHLQGKVLMGEEAPLIGQVFVVKEDDMAMDHKKKVEKQRTLNRERQIVSDLKHGSSHV >ONIVA08G20910.1 pep chromosome:AWHD00000000:8:22000418:22011802:-1 gene:ONIVA08G20910 transcript:ONIVA08G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREDAAADGEITAAVSRAGTSSSSFGGAAICDDVVRNIFARLPARDAVASMALSRHHRRLITSPDFVRLHCRHGAPLPRPHIAYVATAPVVIHRDMLARINSLQERNENVTTTQSRTASRRRRGTMASTSPAPPIVGTNPMRALAGKKYSDQKYVGTCNGVILLAGRGEDDEHFSGLLNPAVADGEREVSVVVPPSPDDYHASGFGYGPMTRTYKLLLCKHKCEMVLAFDVDDETITSIALPGERVAGGKPRSHLKSDLMEMSGRAKGRQYVTKFIAVWLLMTERRWERRCAFRNDWCWPATVAGVWDCGGALLIVIQADDESSIFMYDDATGEVSRLNPPPDASPEKSDYRICWGYKPTLVTPASIVGEFDREKQRCRDIAADVLAAVTPLNEMHKRKGQEAALHTVCFMEFLVGVMRKLPGNLQRVIAAMKRKREAAAAVADGETTTAAARADSSSSSEGGSVCDDVVRNIFARLPARDAVASMALSRRHRRLITGEEFRRLHCRQGAPLPRPHVATADVVAHRDTAGRVDSLERWAHQRAARGFSTGAFASQSSYDAAPHRSLSYHGFHVAGAGAAAGRRGGTNPMRALAGQKYDNHKYVGTCNGVVLLADKEPSVGFLLNPAIADGERKVSVVPSSPDNDTKYHISGFGYGPRTRTYKLLLCKHKSVANFKRLSNGGIARVHGAPYYLWRADELVVYSLGGGAAEQPRTVLAGLDGDMIHRRSLYIDGTVYLLNADKETVLAFDVDDETIASIALPGERVAGGKPRSHLKSYLMEMSGRVCVATVDDGDRETNAVWLLITTERRWERRCAFRNDWYWPATVAGVWDCGGALLIALQAHDESSIFLYDDATGEVFHLNSPPHASPEKSDYRICWGYKPTLVSPASIAGEASQDERRRRDVAADVLAAVKPVSEAHERKGQKATLHTVCFMEFLVGVMRKLPSELHHGIADLDQFY >ONIVA08G20900.1 pep chromosome:AWHD00000000:8:21995072:21998950:1 gene:ONIVA08G20900 transcript:ONIVA08G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAVKQIVPAHQVKPAVDPVHAKASKAGKDGRSDLIAKEILEEQKPAHRRQESSESILDKGPSNVGSDSGVLDVPLTPKGDSGELKEIQGLDCNGNQEKKTSQKSSTSESFASAKVSDGTNGLRKTCGSGKVSDTADSTESGKSSMCRPSTSSNVSDESSCSSLSSSTTKPHKGSDSRWEAIRMIRSKDGILGLSHFRLLKKLGCGDIGSVYLSELSGTRSYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTVVKSANPGPDALQRNNQAYCVQPACIQPSCIQPSCVAPTTCFGPRFFSSKSKSKSKKEKKSKPEVVNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPMVSFSARDLIRGLLVKDPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVELERPPKPAPATEKVAPAANQKGSDNYLEFEFF >ONIVA08G20900.2 pep chromosome:AWHD00000000:8:21996009:21998950:1 gene:ONIVA08G20900 transcript:ONIVA08G20900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAVKQIVPAHQVKPAVDPVHAKASKAGKDGRSDLIAKEILEEQKPAHRRQESSESILDKGPSNVGSDSGVLDVPLTPKGDSGELKEIQGLDCNGNQEKKTSQKSSTSESFASAKVSDGTNGLRKTCGSGKVSDTADSTESGKSSMCRPSTSSNVSDESSCSSLSSSTTKPHKGSDSRWEAIRMIRSKDGILGLSHFRLLKKLGCGDIGSVYLSELSGTRSYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTVVKSANPGPDALQRNNQAYCVQPACIQPSCIQPSCVAPTTCFGPRFFSSKSKSKSKKEKKSKPEVVNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPMVSFSARDLIRGLLVKDPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVELERPPKPAPATEKVAPAANQKGSDNYLEFEFF >ONIVA08G20900.3 pep chromosome:AWHD00000000:8:21995885:21998950:1 gene:ONIVA08G20900 transcript:ONIVA08G20900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAVKQIVPAHQVKPAVDPVHAKASKAGKDGRSDLIAKEILEEQKPAHRRQESSESILDKGPSNVGSDSGVLDVPLTPKGDSGELKEIQGLDCNGNQEKKTSQKSSTSESFASAKVSDGTNGLRKTCGSGKVSDTADSTESGKSSMCRPSTSSNVSDESSCSSLSSSTTKPHKGSDSRWEAIRMIRSKDGILGLSHFRLLKKLGCGDIGSVYLSELSGTRSYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTVVKSANPGPDALQRNNQAYCVQPACIQPSCIQPSCVAPTTCFGPRFFSSKSKSKSKKEKKSKPEVVNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPMVSFSARDLIRGLLVKDPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVELERPPKPAPATEKVAPAANQKGSDNYLEFEFF >ONIVA08G20890.1 pep chromosome:AWHD00000000:8:21984538:21992516:1 gene:ONIVA08G20890 transcript:ONIVA08G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELFMQVFERRDWVKAQVQEQVVSYSESLACAILAAGRRPPPWLLPALDSVPARGNNTKMSSIDLAHMRNENSLHHAQSQTYQRVKPKTHEFGGCKPGGLHIVNYAGEIDQSQICVSESVVQEFNIAHSLNEGLPSTSPVEVPHSVMSSLLQEDTSQPVESNLQGIPHSVSSPLPEQITMGVSETDSLTGMTCMASQLPENVSLLSLKSIALEGPDSVFTPLSQKEIADAGDTVSLMEPIAKTESVAGLISMASPQFDNDRLQTNLLEGPDSVPTSLSQADARHTAETDSVEILDQEEDTDTPREYSFPDKRVDENLKILEHQSSECHEKCFEAQSEAYDVYVRNDMNGSVIPERFSTESAEKLLRSHDGTECKIFSFDIAMEIDSDSCETVSDKQALATQPSAQHLLHSSRCGEITSKKSDAQSNNSHQGRSVADVIQVQGNSSFEGIEINCQSDHALYSLCSTMSTSMDCQPDILDKMENRADMSGKPQHPVHHLDRLGSSECISLDLERRIVTSNWKSSVSYKVHTSVDSSSQRTMSSLSDIIHFNSLRMKSLSSSSSSLSGNVATVPQDSLPNCSDILSDGDGEYTRKTNNCSVYPGADVKYVAVDDQILNHTDYVSSGCEVLNPENHPSSTPPSTFPSYASSDQQSQQACASNCSNKELGEKCIHDDPGQPVSDGHIPLQNGDNCADFDETVEVHQSCGIPIPANSPTIKERVLEAYRDSTKWVNLSSNLSSKCKINSKITSPLRSKYESLTARFEKLLGPASLVEVEPKWHYPSYDTKMMGVFGNQEDCEIPLTPSFGKYSLQKPSGVCYTSNCTGSITDLACFQIDEDSSTSEASRKYMDVGRLDLPTTTASSRESDHQAHLIIDQAMQNPKENRAPSIRKEVKVTQSLHDRESKGRILGNQNESHKSEANLDKGWKPSNIVTSMTSFIPLVKQKQRPTTVCVKRDVRVKALEVAEAVKRREQKKQNEREMRKAAAELERERVKQEREQKLKQMEQKKKTDARKRQWEDDGRKEKEKKKKCIEEPRKQQKQLGERMHAGNSREDASQKDPDDTEIRKNTVRVVINQLLSDEKTESFPILVTSGSNNVKAVVADGNSGSSGHQIHGRLSDDADKSYEMSPYEDSDEEDGGD >ONIVA08G20890.2 pep chromosome:AWHD00000000:8:21984538:21992516:1 gene:ONIVA08G20890 transcript:ONIVA08G20890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEELFMQVFERRDWVKAQVQEQVVSYSESLACAILAAGRRPPPWLLPALDSVPARGNNTKMSSIDLAHMRNENSLHHAQSQTYQRVKPKTHEFGGCKPGGLHIVNYAGEIDQSQICVSESVVQEFNIAHSLNEGLPSTSPVEVPHSVMSSLLQEDTSQPVESNLQGIPHSVSSPLPEQITMGVSETDSLTGMTCMASQLPENVSLLSLKSIALEGPDSVFTPLSQKEIADAGDTVSLMEPIAKTESVAGLISMASPQFDNDRLQTNLLEGPDSVPTSLSQADARHTAETDSVEILDQEEDTDTPREYSFPDKRVDENLKILEHQSSECHVRSPPCDGSSLRPDYLANAICEAPKMLSTPQENMLGDEQQGSECHVLSPPCDGSSLQPDYLASTVCEAPKMLSTLQEKCFEAQSEAYDVYVRNDMNGSVIPERFSTESAEKLLRSHDGTECKIFSFDIAMEIDSDSCETVSDKQALATQPSAQHLLHSSRCGEITSKKSDAQSNNSHQGRSVADVIQVQGNSSFEGIEINCQSDHALYSLCSTMSTSMDCQPDILDKMENRADMSGKPQHPVHHLDRLGSSECISLDLERRIVTSNWKSSVSYKVHTSVDSSSQRTMSSLSDIIHFNSLRMKSLSSSSSSLSGNVATVPQDSLPNCSDILSDGDGEYTRKTNNCSVYPGADVKYVAVDDQILNHTDYVSSGCEVLNPENHPSSTPPSTFPSYASSDQQSQQACASNCSNKELGEKCIHDDPGQPVSDGHIPLQNGDNCADFDETVEVHQSCGIPIPANSPTIKERVLEAYRDSTKWVNLSSNLSSKCKINSKITSPLRSKYESLTARFEKLLGPASLVEVEPKWHYPSYDTKMMGVFGNQEDCEIPLTPSFGKYSLQKPSGVCYTSNCTGSITDLACFQIDEDSSTSEASRKYMDVGRLDLPTTTASSRESDHQAHLIIDQAMQNPKENRAPSIRKEVKVTQSLHDRESKGRILGNQNESHKSEANLDKGWKPSNIVTSMTSFIPLVKQKQRPTTVCVKRDVRVKALEVAEAVKRREQKKQNEREMRKAAAELERERVKQEREQKLKQMEQKKKTDARKRQWEDDGRKEKEKKKKCIEEPRKQQKQLGERMHAGNSREDASQKDPDDTEIRKNTVRVVINQLLSDEKTESFPILVTSGSNNVKAVVADGNSGSSGHQIHGRLSDDADKSYEMSPYEDSDEEDGGD >ONIVA08G20880.1 pep chromosome:AWHD00000000:8:21982782:21983563:1 gene:ONIVA08G20880 transcript:ONIVA08G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDM9] MAPKAEKKPAEKKPAEEKAGEKAEKAPAAGKKPKAEKRLPASKGEKGGEGKKERGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >ONIVA08G20870.1 pep chromosome:AWHD00000000:8:21979524:21979694:1 gene:ONIVA08G20870 transcript:ONIVA08G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDM8] MFEKLVGEAAKLARYNKKPTITSREIQTSVRLVLLGELAKHAVSEGAKAVTKFTSS >ONIVA08G20860.1 pep chromosome:AWHD00000000:8:21975715:21976977:1 gene:ONIVA08G20860 transcript:ONIVA08G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGLAVASLLLVALAVVARPPLALAVKDYPADASAVAKKSPAGKADTPTTGKESVAGKTDVVTVAKKSPAGKADTSATCKEYAAAKADAVTVTKKSPASKADTPTTGKESAAGKANAATVAKKSPVGKADTSATATGKEYAAAKADAVTVAKKSPAAKADMPATGKESIAKVDAATVAKESTASKTDKKAAAKESTMSGKTNTEADAATVAKKSLASKAGTPATGKEYAVTKADVATVAKKSPADKTGKESVVAKADTATVTKESTAGKTGMKVAAKESTASHKTRMEAAAKKSTASKTGTETAAKESTASGKTDTETAAKESTAPGKTDATAAVKESTAGKGDAPAMAEKSAAGKAEASAAAKESPTNKADAAAAGPTSGGYQYVNFVIKNPVKAKEKSSDRADGLPIDPTPDGQMMH >ONIVA08G20850.1 pep chromosome:AWHD00000000:8:21973469:21974284:1 gene:ONIVA08G20850 transcript:ONIVA08G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLRLAAALLLVVLLPLAVSAADGKAAAAPAKAKAPAAPPPAPPNITASMAKGGCKAFAALVAASPDALSTFQSAADGGVTAFCPTDDAMRAFMPTYKNLTADGKASLLLFHAVPVYYSLRSLKSNNGVMNTLATDGSAKNFNFTVQNEGDKVTIKTDASDGVARIKDTVYDKDPIAIYAVDTVLEPVELFEPAESPAPAPAPVADAPKASKSKKASHRHVADAPGPAGDDAPPADQRKSSKKNDAAAGASCLRWLAALPVAVAVAAALA >ONIVA08G20840.1 pep chromosome:AWHD00000000:8:21965752:21966354:1 gene:ONIVA08G20840 transcript:ONIVA08G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADLALVAVLLAACAAVALAAEAQAPAAAPKSSSSSNSSSGSHTSPSKAPSPSKSPEKSGKAPAAAPPKAAAAKAPSGKSEAPSEAPDAESGAESPEAGEESGKSPASAPKDSSSSSSEEEEASSPDSGDMEDETAAEAPTAEEASGPAADGPPEPEADSPAADSPGPGTADEAGSAGMSSGVTAAVVAAAAAAVLSL >ONIVA08G20830.1 pep chromosome:AWHD00000000:8:21960923:21962734:-1 gene:ONIVA08G20830 transcript:ONIVA08G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDGDAAFLRNEAISAVQDVDQYYGDDDDFDDLYNDVNVGDGFLHNSSSSQPPPSQQLPPPSLRPPPPQKQPPSQQLPPPPQQQQPPPQHSLPPPPPLPQAPPPQQQKVHIPGVAAPAPNHPPSQPNLPPPAAPAPLPPQQHQIQQGGGDGFHRPGGNYGGGPIVVGNGGPAVVGGDGPGGTTLFVGELHWWTTDADLEAELIKYGPVKEVRFFDEKASGKSKGYCQVDFYDPAVATACKEAMNGHLFNGRPCVVAFASPNSVRRMGEAQVKNQQSMAAQTSSMQPKGGRGGGGAGSPQVGGNYGGGRGGGPGGGAGGGGGNWGRGGGGMGRGPAGNMRNRMGGPAGGRGIMGNGGMVAPPPPMLPPGGMMGQAFDPTGYGAMGRMGAGFGGFPGAPGAGPFPGLMQPFPPVVAPHVNPAFFGRGGMGAGGVGMWPDPNMGGWGGEEQSSYGDDAASDQQYGEGGSHGKERAERPPDREWSGASERRRERDKDIPPAQEWPDRKHRDERDMGRERDRDYDRERERDRDRERERDRDRERDRERDRERERDRHRDDRDRYGDYHRHRDRDSERNEDWDRGRSSGVRSRSREVDHSKRRRMTPE >ONIVA08G20820.1 pep chromosome:AWHD00000000:8:21956734:21957180:-1 gene:ONIVA08G20820 transcript:ONIVA08G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDEAGIEELLEMGKMAGAGGTSCSLFREEKVGLAGEVGRQCGSRAGERKRRDNVLGGGRRKRALLSSSMTVRPGLVAGWRDRKRASARSDSPDENWSG >ONIVA08G20810.1 pep chromosome:AWHD00000000:8:21953590:21954420:1 gene:ONIVA08G20810 transcript:ONIVA08G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQPETGRRPAQQFATVDLRRPKGYAAAPATPQPGSAATAAAAAGPAATAAAAAAGEGDPCPRCESRDTKFCYYNNYNTSQPRHFCKCCRRYWTKGGTLRNVPVGGGTRKKSSSSSSSSSSSSAAAAAPAAKRQKTSKKRRVTTPEPLAATTPVLTEAAADSAAKTTTEATSEKKTTTSTTTTTPPAPDTTSEITTELVVPAVEEDSFTDLLQPDSAAVTLGLDFSDYPSITKSLADPDLHFEWPPPAFDMASYWPAGAGFADPDPTAVFLNLP >ONIVA08G20800.1 pep chromosome:AWHD00000000:8:21943172:21949838:1 gene:ONIVA08G20800 transcript:ONIVA08G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGNKATHDFLSLYAAAATATDYSPLPRHPDSKPSAPAPPPAQDFFLKTHDFLPQVEKRADQTPPPPPPPPPHAAVTAEKQLLHQHALAAAGAFTISHAVAAAATAVKQEPPFAPWCQPVAAVDPRGHQWSLPFAARAVAVASSRPQQQQQQPPPPERKGGGGFMDAGSRSSGGAGFDDDDGHAARREVSSSLKELTVRVEGKGGSCSGSAGTDQMPNTPRSKHSATEQRRRSKINDRFQLLRDLLPHNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSYPEWNQENAKVVPWTNIYFRSSWKNAQNKGQVPADHSPDPPELLKNGSPYMFPFTGNSDNNNAVETAAASGAQDQAETDPMSRVSYRSVDTPSPNNVADKVTSQPHAQLVRPSPAENHTVNCDKLNNSDLAIDEGTISLSSQYSQELLNKLNQALENSGIDLSQASISVQINLGKRAMKRSTPAATSTSKVGFMSRIDTKSHYIMSSLIRHLIVKQWAVSLGWVMALKNIDKPQSDTNQITADVVKDTDVKVLPVDYFV >ONIVA08G20800.2 pep chromosome:AWHD00000000:8:21943172:21949742:1 gene:ONIVA08G20800 transcript:ONIVA08G20800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGNKATHDFLSLYAAAATATDYSPLPRHPDSKPSAPAPPPAQDFFLKTHDFLPQVEKRADQTPPPPPPPPPHAAVTAEKQLLHQHALAAAGAFTISHAVAAAATAVKQEPPFAPWCQPVAAVDPRGHQWSLPFAARAVAVASSRPQQQQQQPPPPERKGGGGFMDAGSRSSGGAGFDDDDGHAARREVSSSLKELTVRVEGKGGSCSGSAGTDQMPNTPRSKHSATEQRRRSKINDRFQLLRDLLPHNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSYPEWNQENAKVVPWTNIYFRSSWKNAQNKGQVPADHSPDPPELLKNGSPYMFPFTGNSDNNNAVETAAASGAQDQAETDPMSRVSYRSVDTPSPNNVADKVTSQPHAQLVRPSPAENHTVNCDKLNNSDLAIDEGTISLSSQYSQELLNKLNQALENSGIDLSQASISVQINLGKRAMKRSTPAATSTSKELTDPASNSQAMGRQLRLGDGAEEHRQASKRHKSDNS >ONIVA08G20790.1 pep chromosome:AWHD00000000:8:21925570:21927471:-1 gene:ONIVA08G20790 transcript:ONIVA08G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVPDHTFNNGYSSKNQIEGRSLSWKRVFVQTDKGSVLGIELERGENAHTVKKKLQLALNIPTEESSLTCGDQLLNNDLSYICNDSPLLLTRNHMHRSCSTPCLSPNGKDVQHCDDSRVIEIVGCTSPSARMKQLVDDIVRGIEKGIEPVAISSGMGGAYYFRDMWGEHAAIVKPTDEEPFGPNNPKGFVGKSLGLPGLKKSVRVGETGSREVAAYLLDHKNFANVPPTMLVKITHSVFHMNEGVDYKTKSSDNKTQAFSKLASLQEFIPHDYDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNILVRKLYNDASRFETQTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEEDLEYITNLDPIKDAEMLRMELHTIHEASLRVLVLSTTFLKEAAACGFCLSEIGEMMSRQFTRKEEDPSDLEVLCMEARNWVEEREWLLPQADFEGEDDNESTQFDLDSEDDSTTFEASFSNNIRPIKGNSRDPPSKLAEVNEYVDEDDNNEFNKDDVGTCTSPITTWTPSTSNLSISSNELSFSGRRKSHSGVAKNKVTSKINSNSYSGNHSAKEKLPHNSSFAKLSDLSANKWSPFLEKFQDLLQSMFQDRKQTAGRNPWLTQRLGTSCQF >ONIVA08G20780.1 pep chromosome:AWHD00000000:8:21920341:21923440:1 gene:ONIVA08G20780 transcript:ONIVA08G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13635) TAIR;Acc:AT1G13635] MLTTSSHSRHHHAFERSPNHSMMKNIADRNKHDLLQSAMNHAASKYMQRIYPLGIQRSSSNLTLSSLSLSQNSNDSSLSSSNSSWEPKVPLLYGGTFSPWGDVLVSLERRREEDDDKVSDHDVEGGEEDFDCSEPGSLHRCSWITKNSDEAYVQFHDECWGVPVYNDNRLFELLALSGMLIDHNWTEILKRRDMYREAFADFDPSTVAKMDENDVAEISGNKELKLAECRVAKEFGSFSGYIWGHVNHRPTVGRYKHHKYIPFRTPKSEAVSKDLVRRGFRLVGPVIVYSFMQASGIVIDHLVDCFRFPECLHLADRSWGITNVAA >ONIVA08G20770.1 pep chromosome:AWHD00000000:8:21909764:21910332:-1 gene:ONIVA08G20770 transcript:ONIVA08G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAEPVRTPSPPHPPAHGHRRGTDATAGLKGDPYAVGVDAVMEFPGNLWYAPTASSTSARSSVSSPVTTAEIPPTRGVCEEPRAGGDSNALPPAAAPG >ONIVA08G20760.1 pep chromosome:AWHD00000000:8:21903983:21905374:-1 gene:ONIVA08G20760 transcript:ONIVA08G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDL6] MAATTTNGGVPSRRRRPHVLVVPLPSRGHLLPLLDFAHRLSTRHGIALTVAVTASDLPLLSAFLASTPLAAALPIRLPDASLHESSHHALLAVHLRRLCDPLLSWARSRPDDPPTVVVSDFFLGWAQLLADDLGVPRVVFYSSGAFAVAALEQLWNGALPLDPKSSVVLDTLPGSPAFPYEHVPSVVRSYVAGDPDWEVALEGFRLNARAWGAVVNSFDEMEREFLEWLKRFFGHGRVWAVGPVADSGCRGEERLPEAEKLFSWLDTCPARSVVYVCFGSMYKPPPAQAAALGAALEASGARFVWAVGADAAVLPEGLEERAAARGRVVRGWAPQVEILRHAAVGAFLTHCGWNSTLEGVAAGVPLLAWPMKADQFIDARLVADLRGAAVRAAEGAAAVPDAATLARALADAVDGAKCAGVRAKAGALAAAAAAAVEEGGSSRVAFESMAKELETACMSPSFG >ONIVA08G20750.1 pep chromosome:AWHD00000000:8:21898568:21899991:-1 gene:ONIVA08G20750 transcript:ONIVA08G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDGVPSRRRPHALVPPPAQAAALGAALEASGARFVWAVGADAAVVPEGLEERTAARGRVVRGWAPQMEILRHAAVGAFLTHCGWNSTLEGVAAGVPLLAWPMKADQFIDARLVVDLHGAGVRAAEGAGAVPDPGALARVFADAVDSGKLADVRAKASAVAAAAAAAVEEGGSSWIALEKMANELETAYLESVDR >ONIVA08G20740.1 pep chromosome:AWHD00000000:8:21881799:21883571:-1 gene:ONIVA08G20740 transcript:ONIVA08G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIIDLSMEKVYLLHVVICLLHGEWYREDAEFGLIRFQDDNKPLVLSESKNSEQENLKNTKRDGQHDEMAVLDLSGAIARSGGARQWQGCGIFCAKEEVVPGGGHAWRAICGVWIGDLATAVC >ONIVA08G20730.1 pep chromosome:AWHD00000000:8:21873202:21873894:-1 gene:ONIVA08G20730 transcript:ONIVA08G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHHHGGHGEVASLHLLSPPNPPFFGAAAHHHHHHHGLINMALPPQAYFVPAAPPPPFQEATAALAAGDDATAALAVFELERILEEAAAAGGGGGNGSPSSGSDGCCYGLQGVAPAAEEERRRRRMVSNRESARRSRMRKQRQLSELWAQVEHLRGANRRLLDELNRALRGCADVRRENSRLRDEKAELAGKLQLLLPRQPAPEKNAADDQSSCSSEPCKNSTTTTAAE >ONIVA08G20720.1 pep chromosome:AWHD00000000:8:21868891:21873927:1 gene:ONIVA08G20720 transcript:ONIVA08G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPWIPTAGAPPPRAAPAVVYSWLSERHPVKEAGAGAGASNAQESRPRVSPAENSEVETREHEEKVNKYQAVLAARLKAKYFSGKAFGKENVFEEMTIQSETILLSRCPFSSLFADPAKFCREKSCTKEDIYPSLTNASFAKHNHLSLVREDSSNTNIPGPPLPIIPVHLSTYMASFICKCPWNHGGQIGSRSAVLAWLRGAATLVVGGVLLRRRLPREQQLELACELGLLVPEAGVLAADVGAAPQCAVELVEEAAVGAAEVLDLRPQLAELPLLAHPRPPRRLPVRHHPPPPPLLLRRRSHALQAVAAPVGARARRAIAAAAARGGRFFQDALQLKHRQRGGGVVAGGERSRGFLERRRRRGGDEVGLRRQRHVDEAVVVVVVVRGGAEEGRVWRREEVEARHLAMAAMVVVVHDRSCSLSLLG >ONIVA08G20720.2 pep chromosome:AWHD00000000:8:21868891:21872985:1 gene:ONIVA08G20720 transcript:ONIVA08G20720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPWIPTAGAPPPRAAPAVVYSWLSERHPVKEAGAGAGASNAQESRPRVSPAENSEVETREHEEKVNKYQAVLAARLKAKYFSGKAFGKENVFEEMTIQSETILLSSTNIPGPPLPIIPVHLSTYMASFICKCPWNHGGQMYDQTHLNCICSHSYLRATVPANLHQV >ONIVA08G20710.1 pep chromosome:AWHD00000000:8:21863287:21863781:1 gene:ONIVA08G20710 transcript:ONIVA08G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHITGDDGEGCNSSESGWTMYLASPMHGDDDGGGKRSGSEGSNVDDDYGYISGRGSRKEYEDDGDGDDDDSLASDASTGPAKVKVPSAPDGDDAGGRRKHDGDEDGGGKGEEEEEEDHGLHTKFSMSSGKKAGKTEKGGEGKSSKKGQNKRGSSSRTRFFW >ONIVA08G20700.1 pep chromosome:AWHD00000000:8:21846117:21859631:-1 gene:ONIVA08G20700 transcript:ONIVA08G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAACGLPCAGALRCLWLPALRPHAKLCAYASSGNGMALSMAARGALNGGRLELSCNHLYMPAHACRKREYCEVKVGDMSRSCCFLLQPRDLVRSESLCSTSGLPNCNVINVLPCKKPKCKRTSTHEGKFVIEIRIFRVTGTRYKHDKDFRLVWSKNSRIYGSVCTLGSSMTQSTV >ONIVA08G20700.2 pep chromosome:AWHD00000000:8:21846115:21858831:-1 gene:ONIVA08G20700 transcript:ONIVA08G20700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEICHACMRAACGLPCAGALRCLWLPALRPHAKLCAYASSGNGMALSMAARGALNGGRLELSCNHLYMPAHACRKREYCEVKVGDMSRSCCFLLQPRDLVRSESLCSTSGLPNCNVINVLPCKKPKCKRTSTHEGKFSDWNKKHDKDFRLVWSKNSRIYGSVCTLGSSMTQSTV >ONIVA08G20700.3 pep chromosome:AWHD00000000:8:21846117:21858831:-1 gene:ONIVA08G20700 transcript:ONIVA08G20700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEICHACMRAACGLPCAGALRCLWLPALRPHAKLCAYASSGNGMALSMAARGALNGGRLELSCNHLYMPAHACRKREYCEVKVGDMSRSCCFLLQPRDLVRSESLCSTSGLPNCNVINVLPCKKPKCKRTSTHEGKFVIEIRIFRVTGTRYKHDKDFRLVWSKNSRIYGSVCTLGSSMTQSTV >ONIVA08G20700.4 pep chromosome:AWHD00000000:8:21846115:21859631:-1 gene:ONIVA08G20700 transcript:ONIVA08G20700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAACGLPCAGALRCLWLPALRPHAKLCAYASSGNGMALSMAARGALNGGRLELSCNHLYMPAHACRKREYCEVKVGDMSRSCCFLLQPRDLVRSESLCSTSGLPNCNVINVLPCKKPKCKRTSTHEGKFVIEIRIFRVTGTRYKHDKDFRLVWSKNSRIYGSVCTLGSSMTQSTV >ONIVA08G20690.1 pep chromosome:AWHD00000000:8:21841970:21850021:1 gene:ONIVA08G20690 transcript:ONIVA08G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIILLVAEDGVEVEDGLLLLLGELAPLDVRPQSEEVWSWGLKNCTCKPGQCPPAAMAILLDVVEQLLILLRCPWPLLEATLIAARNYGTVYEQSYAGEISVGAFKVDASISWDKEPDFEKNPVVDFRRKRID >ONIVA08G20680.1 pep chromosome:AWHD00000000:8:21841060:21842619:-1 gene:ONIVA08G20680 transcript:ONIVA08G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESGLKKGPWTPEEDEKLLHYIQKNGHGSWRTLPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALATLRDQLAATACGGGGGGDTAAAAAAQIMQGAGGGGGGGGGADVAIQAAKMQYLQCLLQSAASTIASAGSGGGGGGGGGAMSAHDADAALAAAAAATCSSSPAGSSVPITPTAVGHSGGGQTQTTPCAFSEAPVITSDDVEANLRLLGCGGGGADAFTCHGGSLPPLADLSDVTTTTMTNPLDWCSATASASSCGGGGGASSPFPWPEFFHDDPFITDFL >ONIVA08G20670.1 pep chromosome:AWHD00000000:8:21829149:21832608:-1 gene:ONIVA08G20670 transcript:ONIVA08G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLFPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYAEEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRIIEEVEKSRDRSRSRSPRRRHRDDHRDRERRGRSRSRSRERHGRDRDRDHRRHSRSRSRSRSRSLSPDYKNRRRGRDDDKRRSKSKSKSRSKSRSRSKSRSKSRSRSRSRSRSRSFHSASPTRHSASPPRKGSTPRRSPARNGSPEKETNGKGSPPSRSVSPSPQRAGSRSPGSDDKIPGTLQEARLPFQCLRSWCIGLNES >ONIVA08G20660.1 pep chromosome:AWHD00000000:8:21820666:21829801:1 gene:ONIVA08G20660 transcript:ONIVA08G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATP-ase 1 [Source:Projected from Arabidopsis thaliana (AT4G33520) TAIR;Acc:AT4G33520] MDPAAPLLALSKAISSSSRSKPSLLASPHHFLLSRGRGSGACGCLPPAPPPPRRTPFAASSASASAARRLAVPGDLLLLSLARLALRGPAPRAEARRWFASLSAASNGPPRGGGGGGGGDGGGGGGGGGGWKRPRASQGTAVAEEASGQEADVIILDVGGMSCGGCAASVKRILESEPQVRSANVNLATEMAVVWAVPEDENAKNWKLQLGEKLANQLTTCGYKSNLRDSSKASSQTVFERKMDEKLQQLKQSGRELAVSWALCAVCLLGHISHLFGVNAPLMHLLHSTGFHLSLSIFTFIGPGRRLILDGLNSLFKGSPNMNTLVGLGALSSFAVSSIAAFVPKLGWKTFFEEPVMLVAFVLLGKNLEQRAKLKATSDMTGLLNILPSKARLMVDNDPEQSSFTEVPCDTLSVGDYIVVLPGDRVPADGVVKSGRSTVDESSLTGEPMPVTKIAGTEVSAGSINLNGKITVEVRRPGGETAMSDILRLVEEAQTREAPVQRLADKVAGNFTYGVMALSAATYTFWSIFGSQLVPAAIQHGSAMALALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDILEKFSEVDAIVFDKTGTLTIGKPVVTKVIASHREGDENTKDSCNNEWTGEILSLAAGVESNTTHPLGKAIMEAAQAANCLYLQAKDGSFMEEPGSGAVATIGEKQVSVGTLDWIRRHGVLHNPFADGENFGQSVAYVAVDGTLAGLICFEDKLREDSHQIIDILSKQGISVYMLSGDKKSAAMNVASLVGIQADKVIAEVKPHEKKSFISELQKEHKLVAMVGDGINDAAALASADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETMRTVKQNLWWAFLYNIVGLPIAAGALLPVTGTVLTPSIAGALMGFSSVGVMANSLFLRMRLSSRQQPIHKPQATISDVLPNAAESEKSTGRYFTHEGNILSDMCISAITTIISCTIAGITPTTKTNS >ONIVA08G20660.2 pep chromosome:AWHD00000000:8:21820666:21828947:1 gene:ONIVA08G20660 transcript:ONIVA08G20660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATP-ase 1 [Source:Projected from Arabidopsis thaliana (AT4G33520) TAIR;Acc:AT4G33520] MDPAAPLLALSKAISSSSRSKPSLLASPHHFLLSRGRGSGACGCLPPAPPPPRRTPFAASSASASAARRLAVPGDLLLLSLARLALRGPAPRAEARRWFASLSAASNGPPRGGGGGGGGDGGGGGGGGGGWKRPRASQGTAVAEEASGQEADVIILDVGGMSCGGCAASVKRILESEPQVRSANVNLATEMAVVWAVPEDENAKNWKLQLGEKLANQLTTCGYKSNLRDSSKASSQTVFERKMDEKLQQLKQSGRELAVSWALCAVCLLGHISHLFGVNAPLMHLLHSTGFHLSLSIFTFIGPGRRLILDGLNSLFKGSPNMNTLVGLGALSSFAVSSIAAFVPKLGWKTFFEEPVMLVAFVLLGKNLEQRAKLKATSDMTGLLNILPSKARLMVDNDPEQSSFTEVPCDTLSVGDYIVVLPGDRVPADGVVKSGRSTVDESSLTGEPMPVTKIAGTEVSAGSINLNGKITVEVRRPGGETAMSDILRLVEEAQTREAPVQRLADKVAGNFTYGVMALSAATYTFWSIFGSQLVPAAIQHGSAMALALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDILEKFSEVDAIVFDKTGTLTIGKPVVTKVIASHREGDENTKDSCNNEWTGEILSLAAGVESNTTHPLGKAIMEAAQAANCLYLQAKDGSFMEEPGSGAVATIGEKQVSVGTLDWIRRHGVLHNPFADGENFGQSVAYVAVDGTLAGLICFEDKLREDSHQIIDILSKQGISVYMLSGDKKSAAMNVASLVGIQADKVIAEVKPHEKKSFISELQKEHKLVAMVGDGINDAAALASADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETMRTVKQNLWWAFLYNIVGLPIAAGALLPVTGTVLTPSIAGALMGFSSVGVMANSLFLRMRLSSRQQPIHKPQATISDVLPNAAESEKSYPSKWSA >ONIVA08G20660.3 pep chromosome:AWHD00000000:8:21820666:21830759:1 gene:ONIVA08G20660 transcript:ONIVA08G20660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATP-ase 1 [Source:Projected from Arabidopsis thaliana (AT4G33520) TAIR;Acc:AT4G33520] MDPAAPLLALSKAISSSSRSKPSLLASPHHFLLSRGRGSGACGCLPPAPPPPRRTPFAASSASASAARRLAVPGDLLLLSLARLALRGPAPRAEARRWFASLSAASNGPPRGGGGGGGGDGGGGGGGGGGWKRPRASQGTAVAEEASGQEADVIILDVGGMSCGGCAASVKRILESEPQVRSANVNLATEMAVVWAVPEDENAKNWKLQLGEKLANQLTTCGYKSNLRDSSKASSQTVFERKMDEKLQQLKQSGRELAVSWALCAVCLLGHISHLFGVNAPLMHLLHSTGFHLSLSIFTFIGPGRRLILDGLNSLFKGSPNMNTLVGLGALSSFAVSSIAAFVPKLGWKTFFEEPVMLVAFVLLGKNLEQRAKLKATSDMTGLLNILPSKARLMVDNDPEQSSFTEVPCDTLSVGDYIVVLPGDRVPADGVVKSGRSTVDESSLTGEPMPVTKIAGTEVSAGSINLNGKITVEVRRPGGETAMSDILRLVEEAQTREAPVQRLADKVAGNFTYGVMALSAATYTFWSIFGSQLVPAAIQHGSAMALALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDILEKFSEVDAIVFDKTGTLTIGKPVVTKVIASHREGDENTKDSCNNEWTGEILSLAAGVESNTTHPLGKAIMEAAQAANCLYLQAKDGSFMEEPGSGAVATIGEKQVSVGTLDWIRRHGVLHNPFADGENFGQSVAYVAVDGTLAGLICFEDKLREDSHQIIDILSKQGISVYMLSGDKKSAAMNVASLVGIQADKVIAEVKPHEKKSFISELQKEHKLVAMVGDGINDAAALASADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETMRTVKQNLWWAFLYNIVGLPIAAGALLPVTGTVLTPSIAGALMGFSSVGVMANSLFLRMRLSSRQQPIHKPQATISDVLPNAAESEKSYPSKWSA >ONIVA08G20660.4 pep chromosome:AWHD00000000:8:21820666:21828947:1 gene:ONIVA08G20660 transcript:ONIVA08G20660.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATP-ase 1 [Source:Projected from Arabidopsis thaliana (AT4G33520) TAIR;Acc:AT4G33520] MDPAAPLLALSKAISSSSRSKPSLLASPHHFLLSRGRGSGACGCLPPAPPPPRRTPFAASSASASAARRLAVPGDLLLLSLARLALRGPAPRAEARRWFASLSAASNGPPRGGGGGGGGDGGGGGGGGGGWKRPRASQGTAVAEEASGQEADVIILDVGGMSCGGCAASVKRILESEPQVRSANVNLATEMAVVWAVPEDENAKNWKLQLGEKLANQLTTCGYKSNLRDSSKASSQTVFERKMDEKLQQLKQSGRELAVSWALCAVCLLGHISHLFGVNAPLMHLLHSTGFHLSLSIFTFIGPGRRLILDGLNSLFKGSPNMNTLVGLGALSSFAVSSIAAFVPKLGWKTFFEEPVMLVAFVLLGKNLEQRAKLKATSDMTGLLNILPSKARLMVDNDPEQSSFTEVPCDTLSVGDYIVVLPGDRVPADGVVKSGRSTVDESSLTGEPMPVTKIAGTEVSAGSINLNGKITVEVRRPGGETAMSDILRLVEEAQTREAPVQRLADKVAGNFTYGVMALSAATYTFWSIFGSQLVPAAIQHGSAMALALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDILEKFSEVDAIVFDKTGTLTIGKPVVTKVIASHREGDENTKDSCNNEWTGEILSLAAGVESNTTHPLGKAIMEAAQAANCLYLQAKDGSFMEEPGSGAVATIGEKQVSVGTLDWIRRHGVLHNPFADGENFGQSVAYVAVDGTLAGLICFEDKLREDSHQIIDILSKQGISVYMLSGDKKSAAMNVASLVGIQADKVIAEVKPHEKKSFISELQKEHKLVAMVGDGINDAAALASADVGIAMGGGVGAASDVSSVVLMGNRLSQVGLPIAAGALLPVTGTVLTPSIAGALMGFSSVGVMANSLFLRMRLSSRQQPIHKPQATISDVLPNAAESEKSYPSKWSA >ONIVA08G20650.1 pep chromosome:AWHD00000000:8:21813500:21816567:-1 gene:ONIVA08G20650 transcript:ONIVA08G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39970) TAIR;Acc:AT4G39970] MASSSSLLLPTAAAAARRSPSGHRAPPSAVLSPPRRLATLRCLGYARRRPQARHVVACASAASAGSLEALIFDCDGVILESEHLHRQAYNDAFAHFGVSCEPASASATDAPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPTSKIFETPPSSDSDKEKLDWKTERYKEILKSGTVKPRPGVLRLMDEVKGAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAKKLGVQSQNCLVVEDSVIGLQAAKGAGMSCIITYTPSTANQDFSDAIATYPDLSNVGLEDLKLLLQKSLVTG >ONIVA08G20650.2 pep chromosome:AWHD00000000:8:21813502:21816567:-1 gene:ONIVA08G20650 transcript:ONIVA08G20650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39970) TAIR;Acc:AT4G39970] MASSSSLLLPTAAAAARRSPSGHRAPPSAVLSPPRRLATLRCLGYARRRPQARHVVACASAASAGSLEALIFDCDGVILESEHLHRQAYNDAFAHFGVSCEPASASATDAPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPTSKIFETPPSSDSDKEKLDWKTERYKEILKSGTVKPRPGVLRLMDEVKGAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAKKLGVQSQNCLVVEDSVIGLQAAKGAGMSCIITYTPSTANQDFSDAIATYPDLSNVGLEDLKLLLQKSLVTG >ONIVA08G20650.3 pep chromosome:AWHD00000000:8:21813661:21816567:-1 gene:ONIVA08G20650 transcript:ONIVA08G20650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39970) TAIR;Acc:AT4G39970] MASSSSLLLPTAAAAARRSPSGHRAPPSAVLSPPRRLATLRCLGYARRRPQARHVVACASAASAGSLEALIFDCDGVILESEHLHRQAYNDAFAHFGVSCEPASASATDAPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPTSKIFETPPSSDSDKEKLDWKTERYKEILKSGTVKPRPGVLRLMDEGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAKKLGVQSQNCLVVEDSVIGLQAAKGAGMSCIITYTPSTANQVRFELRYNFLSLITPHADLMTFA >ONIVA08G20650.4 pep chromosome:AWHD00000000:8:21813661:21816567:-1 gene:ONIVA08G20650 transcript:ONIVA08G20650.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39970) TAIR;Acc:AT4G39970] MASSSSLLLPTAAAAARRSPSGHRAPPSAVLSPPRRLATLRCLGYARRRPQARHVVACASAASAGSLEALIFDCDGVILESEHLHRQAYNDAFAHFGVSCEPASASATDAPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPTSKIFETPPSSDSDKEKLDWKTERYKEILKSGTVKPRPGVLRLMDEVKGAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYITAAKKLGVQSQNCLVVEDSVIGLQAAKGAGMSCIITYTPSTANQVRFELRYNFLSLITPHADLMTFA >ONIVA08G20640.1 pep chromosome:AWHD00000000:8:21811320:21812039:-1 gene:ONIVA08G20640 transcript:ONIVA08G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase [Source:Projected from Arabidopsis thaliana (AT4G30380) TAIR;Acc:AT4G30380] MAISRRSSMLLVMALVLGTVSLATAASGVATFYTQYTPSACYGNRNMGNMVAAANDRLYNNGAVCGRCYAVKCAGAAAGGGGGNPCTGASVTVKMVDNCASSDGCTSTIDLSREAFAKIANLDAGVIRITYNPTYV >ONIVA08G20630.1 pep chromosome:AWHD00000000:8:21794597:21811218:1 gene:ONIVA08G20630 transcript:ONIVA08G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPAGGALALPLPSSVAEGALGLGRERGGGGSSCFVRETRFVVPTAVVDEASMEPFVCSGDAHMVLPAPVVELTKYSQPNLIMNPRSSEPEASNKETTTIHKVCCRSPPPFILSPTPMPLPPAIPSSPSLPAATMFQNKELTPTQLRRRHLIPPASDTVASHRSSDRRSGGRLAGEEAAWGGRRRRGGGGGAMETEVFPVVDLRVLSQSDLDALAAASAHAVAPGGSCPDADQLPPLKIDRAVFNESAGSRKQTFSRVRFGAAAAVAASPSSPSPSAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSSPQTQTLALPAPPSPDPDQLTTNSKGVSVDLVSLSRLADPYDAELGKRTAGMTTEEELMGFISSLAGQWVSQRMRRKLVDASFFGDHLPSGWRLQLGIKRKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTGMDSQSILPVASITFSSHSRDQDETDADDINSYECQQCNLTFHGQSAYAHHLITFHKMGSKRRKINKVGKFGEPVIGKDGKFECPVCNKTFEEQSRYFGHVGSHAKYHGLTPEAFLQTLSGKVGNDSFAGLSCSLQDLVGSPQLNEKTTASEARSQHHNCSTKHGGNSTRGIDLFNSNCPANFNGHNQTWCRPDEIPPTTDAPSTWTYRNNVTNCADRTVPRTAPQPNDHMDCRVSGFAEATNFNDQAGRHQGFRPSSFGTTNHCQGQIIDHAVAASKHAEVNNSMKSRDVNLNSRLNTISFPIATANNETSTALNDVNRSCITGKGFSGSFSNNDGAASIVLPSSGLNNKIPSSLGVADRSSIAARSFNAGYVNENGASEANNIGNKNNTMVYQTSLAMRPVSPCDLQLGFSGQKQQILPGYGELRPAASGSPQLGGMAANSSIPTRPSQPQFGSMARTDALPTGPSQPGSLARPNFVPTGFSQFASRPPTSVPPADSSQFAGGMARQNIPTTSEPTLVLGYTPQMVNGPPAQLGWDLSLSRMVSEGMLPVLCIWCNSQFHHFGPIDAQQSGSFGFICPACKEKMSGNPNAPNNAVRVY >ONIVA08G20630.2 pep chromosome:AWHD00000000:8:21797060:21811218:1 gene:ONIVA08G20630 transcript:ONIVA08G20630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPAGGALALPLPSSVAEGALGLGRERGGGGSSCFVRETRFVVPTAVVDEASMEPFVCSGDAHMVLPAPVVELTKYSQPNLIMNPRSSEPEASNKETTTIHKVCCRSPPPFILSPTPMPLPPAIPSSPSLPAATMFQNKELTPTQLRRRHLIPPASDTVASHRSSDRRSGGRLAGEEAAWGGRRRRGGGGGAMETEVFPVVDLRVLSQSDLDALAAASAHAVAPGGSCPDADQLPPLKIDRAVFNESAGSRKQTFSRVRFGAAAAVAASPSSPSPSAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSSPQTQTLALPAPPSPDPDQLTTNSKGVSVDLVSLSRLADPYDAELGKRTAGMTTEEELMGFISSLAGQWVSQRMRRKLVDASFFGDHLPSGWRLQLGIKRKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTGMDSQSILPVASITFSSHSRDQDETDADDINSYECQQCNLTFHGQSAYAHHLITFHKMGSKRRKINKVGKFGEPVIGKDGKFECPVCNKTFEEQSRYFGHVGSHAKYHGLTPEAFLQTLSGKVGLSCSLQDLVGSPQLNEKTTASEARSQHHNCSTKHGGNSTRDAPSTWTYRNNVTNCADRTVPRTAPQPNDHMDCRVSGFAEATNFNDQAGRHQGFRPSSFGTTNHCQGQIIDHAVAASKHAEVNNSMKSRDVNLNSRLNTISFPIATANNETSTALNDVNRSCITGKGFSGSFSNNDGAASIVLPSSGLNNKIPSSLGVADRSSIAARSFNAGYVNENGASEANNIGNKNNTMVYQTSLAMRPVSPCDLQLGFSGQKQQILPGYGELRPAASGSPQLGGMAANSSIPTRPSQPQFGSMARTDALPTGPSQPGSLARPNFVPTGFSQFASRPPTSVPPADSSQFAGGMARQNIPTTSEPTLVLGYTPQMVNGPPAQLGWDLSLSRMVSEGMLPVLCIWCNSQFHHFGPIDAQQSGSFGFICPACKEKMSGNPNAPNNAVRVY >ONIVA08G20630.3 pep chromosome:AWHD00000000:8:21797060:21811218:1 gene:ONIVA08G20630 transcript:ONIVA08G20630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPAGGALALPLPSSVAEGALGLGRERGGGGSSCFVRETRFVVPTAVVDEASMEPFVCSGDAHMVLPAPVVELTKYSQPNLIMNPRSSEPEASNKETTTIHKVCCRSPPPFILSPTPMPLPPAIPSSPSLPAATMFQNKELTPTQLRRRHLIPPASDTVASHRSSDRRSGGRLAGEEAAWGGRRRRGGGGGAMETEVFPVVDLRVLSQSDLDALAAASAHAVAPGGSCPDADQLPPLKIDRAVFNESAGSRKQTFSRVRFGAAAAVAASPSSPSPSAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSSPQTQTLALPAPPSPDPDQLTTNSKGVSVDLVSLSRLADPYDAELGKRTAGMTTEEELMGFISSLAGQWVSQRMRRKLVDASFFGDHLPSGWRLQLGIKRKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTGLSCSLQDLVGSPQLNEKTTASEARSQHHNCSTKHGGNSTRDAPSTWTYRNNVTNCADRTVPRTAPQPNDHMDCRVSGFAEATNFNDQAGRHQGFRPSSFGTTNHCQGQIIDHAVAASKHAEVNNSMKSRDVNLNSRLNTISFPIATANNETSTALNDVNRSCITGKGFSGSFSNNDGAASIVLPSSGLNNKIPSSLGVADRSSIAARSFNAGYVNENGASEANNIGNKNNTMVYQTSLAMRPVSPCDLQLGFSGQKQQILPGYGELRPAASGSPQLGGMAANSSIPTRPSQPQFGSMARTDALPTGPSQPGSLARPNFVPTGFSQFASRPPTSVPPADSSQFAGGMARQNIPTTSEPTLVLGYTPQMVNGPPAQLGWDLSLSRMVSEGMLPVLCIWCNSQFHHFGPIDAQQSGSFGFICPACKEKMSGNPNAPNNAVRVY >ONIVA08G20630.4 pep chromosome:AWHD00000000:8:21794597:21811218:1 gene:ONIVA08G20630 transcript:ONIVA08G20630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPAGGALALPLPSSVAEGALGLGRERGGGGSSCFVRETRFVVPTAVVDEASMEPFVCSGDAHMVLPAPVVELTKYSQPNLIMNPRSSEPEASNKETTTIHKVCCRSPPPFILSPTPMPLPPAIPSSPSLPAATMFQNKELTPTQLRRRHLIPPASDTVASHRSSDRRSGGRLAGEEAAWGGRRRRGGGGGAMETEVFPVVDLRVLSQSDLDALAAASAHAVAPGGSCPDADQLPPLKIDRAVFNESAGSRKQTFSRVRFGAAAAVAASPSSPSPSAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSSPQTQTLALPAPPSPDPDQLTTNSKGVSVDLVSLSRLADPYDAELGKRTAGMTTEEELMGFISSLAGQWVSQRMRRKLVDASFFGDHLPSGWRLQLGIKRKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTGMDSQSILPVASITFSSHSRDQDETDADDINSYECQQCNLTFHGQSAYAHHLITFHKMGSKRRKINKVGKFGEPVIGKDGKFECPVCNKTFEEQSRYFGHVGSHAKYHGLTPEAFLQTLSGKVGNDSFAGLSCSLQDLVGSPQLNEKTTASEARSQHHNCSTKHGGNSTRGIDLFNSNCPANFNGHNQTWCRPDEIPPTTDAPSTWTYRNNVTNCADRTVPRTAPQPNDHMDCRVSGFAEATNFNDQAGRHQGFRPSSFGTTNHCQGQIIDHAVAASKHAEVNNSMKSRDVNLNSRLNTISFPIATANNETSTALNDVNRSCITGKGFSGSFSNNDGAASIVLPSSGLNNKIPSSLGVADRSSIAARSFNAGYVNENGASEANNIGNKNNTMVYQTSLAMRPVSPCDLQLGFSGQKQQILPGYGELRPAASGSPQLGGMAANSSIPTRPSQPQFGSMARTDALPTGPSQPGSLARPNFVPTGFSQFASRPPTSVPPADSSQFAGGMARQNIPTTSEPTLVLGYTPQMVNGPPAQLGWDLSLSRMVSEGMLPVLCIWCNSQFHHFGPIDAQQSGSFGFICPACKEKMSGNPNAPNNAVRVY >ONIVA08G20630.5 pep chromosome:AWHD00000000:8:21797060:21811218:1 gene:ONIVA08G20630 transcript:ONIVA08G20630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPAGGALALPLPSSVAEGALGLGRERGGGGSSCFVRETRFVVPTAVVDEASMEPFVCSGDAHMVLPAPVVELTKYSQPNLIMNPRSSEPEASNKETTTIHKVCCRSPPPFILSPTPMPLPPAIPSSPSLPAATMFQNKELTPTQLRRRHLIPPASDTVASHRSSDRRSGGRLAGEEAAWGGRRRRGGGGGAMETEVFPVVDLRVLSQSDLDALAAASAHAVAPGGSCPDADQLPPLKIDRAVFNESAGSRKQTFSRVRFGAAAAVAASPSSPSPSAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSSPQTQTLALPAPPSPDPDQLTTNSKGVSVDLVSLSRLADPYDAELGKRTAGMTTEEELMGFISSLAGQWVSQRMRRKLVDASFFGDHLPSGWRLQLGIKRKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTGMDSQSILPVASITFSSHSRDQDETDADDINSYECQQCNLTFHGQSAYAHHLITFHKMGSKRRKINKVGKFGEPVIGKDGKFECPVCNKTFEEQSRYFGHVGSHAKYHGLTPEAFLQTLSGKVGNDSFAGLSCSLQDLVGSPQLNEKTTASEARSQHHNCSTKHGGNSTRGIDLFNSNCPANFNGHNQTWCRPDEIPPTTDAPSTWTYRNNVTNCADRTVPRTAPQPNDHMDCRVSGFAEATNFNDQAGRHQGFRPSSFGTTNHCQGQIIDHAVAASKHAEVNNSMKSRDVNLNSRLNTISFPIATANNETSTALNDVNRSCITGKGFSGSFSNNDGAASIVLPSSGLNNKIPSSLGVADRSSIAARSFNAGYVNENGASEANNIGNKNNTMVYQTSLAMRPVSPCDLQLGFSGQKQQILPGYGELRPAASGSPQLGGMAANSSIPTRPSQPQFGSMARTDALPTGPSQPGSLARPNFVPTGFSQFASRPPTSVPPADSSQFAGGMARQNIPTTSEPTLVLGYTPQMVNGPPAQLGWDLSLSRMVSEGMLPVLCIWCNSQFHHFGPIDAQQSGSFGFICPACKEKMSGNPNAPNNAVRVY >ONIVA08G20630.6 pep chromosome:AWHD00000000:8:21794597:21798023:1 gene:ONIVA08G20630 transcript:ONIVA08G20630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTSMDSQSNLPVASATFYSHSRDQDETVADDINSYECQQCNLTFHGQSAYAHHLITFHKVSSKRRKSNKVSKFGEPVIGKDGKFECPVCNKTFEEQSRYFGHIGSHAKYQGLTPEAFLQTFSGKVGLSSSLQVLVGSPQLNEKTTACEARSQHHDCSTKHGGNSTRGIDLFNSNHPANFNGHNQSWCRSDEIPPTTEAQSTWTYRNNEMNCADRTVPRTVPQPNDHEDCRVSGFAEATNFNDQAGRHQGFRPFSFGTTNHCQGQIIDHAVAASKHAEVNNSMKSRDVNLNSRLNTISFPIATANNETSTALNDVNRSCITGKGFSGSFSNNDGAASIVLPSSGLNNKISSSLGVADRSSIAARSFNAGYVYENGASEANNIGNKNNTMVYQTSLAMRPLSPEIAAGPAHVEALFCLCRRGVVSGQETPLVRHSGSGGLAPSGRPPANKAAALLREGQPLWCPSGMEAKFHWISLQDSCTLNVIQSKQSHVAKNAQPKQRWDPHLASHFFIPTRAHLLQFEKQ >ONIVA08G20620.1 pep chromosome:AWHD00000000:8:21788372:21788877:1 gene:ONIVA08G20620 transcript:ONIVA08G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAATPPLAAAAAAVAAVFLSALLLASASASASRLPPPRRLLPLVGGEVAVAVVAGEEEKVRLGSSPPSCYSKCYGCSPCVAVQVPTLSAPSVPAAAAAAAHDAAPLVATFTNYKPLGWKCQCRDRLFDP >ONIVA08G20610.1 pep chromosome:AWHD00000000:8:21777167:21786613:1 gene:ONIVA08G20610 transcript:ONIVA08G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT5G64250) TAIR;Acc:AT5G64250] MGWKGILGFDHGVVQAPLGPDISGPELAAAVANAGGIGLLRLPDWPAPDRVRDLIRRTRSLTERPFGAAIVLAFPHEENLRVVLEEKLAVLQVYWGEFPRERVDEAHLAGVKVLHQVGSFEEAAKAKEAGVDGIIVQGCEAGGHVIGQEGLLPLLPRVVDLVSDTDISVIAAGGIVDGRGYAAALALGAQGVCLGTRFLTTEESFAHPLYKKRLIEINCTDYTNVFGRARWPGAPQRVLKTTFYDQWKNLPEQETEENQPIIGHTIIHGVHRDIRRFAGTVPNVTTTGDIDSMVMYAGQGVGLITEIIPASEVVKRNGRLVKAPDPMTQVAINGWPGW >ONIVA08G20600.1 pep chromosome:AWHD00000000:8:21773154:21773865:1 gene:ONIVA08G20600 transcript:ONIVA08G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAIAYQREGVGWCCRCYCRRRRKQHPTLLPSHPATASTSCSRRSRGSGRGPIPPDGNLVAAGLRDDPVSGALPWPPPRSQLDRSLVRVGAAKRWSWPCRAESADAINSLCALRWGDCCLFYHSGAGATSCHIVGVVEVAREWYEGEGEAASGGTVDVRAVGEFRLLVALGEIR >ONIVA08G20590.1 pep chromosome:AWHD00000000:8:21766253:21769425:-1 gene:ONIVA08G20590 transcript:ONIVA08G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRKREVAPPPHTSSSYPPGVPTLSAVPYPYGGPWFATPPPAWFASPPSQAMPSSSVCPLPMAAKTNIELQHDFEEWGVESRPLGGFVNLINAPSNHMHHVTEGSPSRPINVETGDVFRTEKRLSWTNDEDLRLEHALLRMSKSWCCTSQR >ONIVA08G20580.1 pep chromosome:AWHD00000000:8:21755547:21757156:-1 gene:ONIVA08G20580 transcript:ONIVA08G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSEGYYFGCGGAMAAEAAAGGAWSWSHGYGGGVDQGKGVMELVVDDGVVNAFWDGGGGGPSSSPVMAAVPGFIEEPDGGNSSVADAAPPPEHGGASVVGRDGSAAAPAAAAGRRKRRRARTVKNREEVESQRMTHIAVERNRRKQMNEYLAVLRSLMPASYVQRGDQASIIGGAINYVKEMEQLLQSLEAHRHARRARTDAAAALPFAGFFTFPQYSMSAVPTTTTTTVAAAATENGNAVAGAGAGDDADADVSGSKPSSVADIEVTMVESHANLKVLSRRRPRQLLRMVAGLQHHRLAVLHLNVASAGHMALYSLSLKVEEDCQLTSVDDIAAAVHGIVETIEQEQQQQQKQSCS >ONIVA08G20570.1 pep chromosome:AWHD00000000:8:21730980:21733261:-1 gene:ONIVA08G20570 transcript:ONIVA08G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTMQPTGPLPRGEKPAEGGADLSDIDSGWVVLGKSDIVPADLAAQSLRSSPKTIPTWARWVIGGVLHTVVPFYERARYVEDETARNVETAAEVVEQIAEVTEKLASNVADHLPENGCLQKVVEKIEYIAEVVDEDAEKVEAITEKIENFSDKIDAKVEPIFTEIEKEFEDSTSNDGVNANI >ONIVA08G20560.1 pep chromosome:AWHD00000000:8:21727494:21729926:-1 gene:ONIVA08G20560 transcript:ONIVA08G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEASLLPAASSHTVVRRKRALQLNETVYEEPEYVATKGGTYNVAEMPERLLMAADYRTSPSTAGSNAAILTVRGHSSAAASAAAAHTICALSSAAGNTIATSTATAHSICSWSSAAGKTIATSTATAMTDSSAARSTRSIPPYLIGRMQMDGEEIAVVSSPLVEPDTIAEVPVISMDDDDHPTSPTSTKIAVEGRPQPKLRICSVDVDLSGSPNGHVPEAPQRTAWEATGERSHGFKEGNPERRTVGVGDADAEYRSPDLQARSPSIASELAAVRLVLLVTGASGLDAARARPDDRRRFPIPSAASWLGVPVARARMTALRWVRSSTALRGNSSDAAAAAAAPSPSSASSTPTSSTFLEEMALPLAAPIMAASVVTAASKARVSSWCLPGMAASPHCCGRGGDGGVRGGGCGGGGGGGDVDLIGGRRDL >ONIVA08G20550.1 pep chromosome:AWHD00000000:8:21723896:21724312:-1 gene:ONIVA08G20550 transcript:ONIVA08G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKGFEAKKRYTNKRESKDKVTPCRYVYGNEGHRGKDKRDDLIKRISDLQVFEIEIANGAGIGPKATNELASHQVRTKSFVVLL >ONIVA08G20540.1 pep chromosome:AWHD00000000:8:21720126:21723047:-1 gene:ONIVA08G20540 transcript:ONIVA08G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLVRLPPLPAARHPPLLRLPKHSVPPHGGRVSFACSSGSRAAFAACCASASVAPAAEAEAVVEEPEGPRTRLIAQNIPWDCTADDMRALFGKHGSVVDVELSMYNSTRNRGLAFVTMGSEEEALSALNHLNSTYVVPSAPMPKHSVFVGNLTWRVRSRHLRELFASTPGVQSVEVVFHTTSPRRSAGYGFVSFSSKEAAEAAISTFNGTKLMGRSINVMFKDDNAKKNKSAAPTEEDLKAESSEQIVSQLLVSFAHSTPHRDKSQGSQT >ONIVA08G20540.2 pep chromosome:AWHD00000000:8:21719627:21723047:-1 gene:ONIVA08G20540 transcript:ONIVA08G20540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLVRLPPLPAARHPPLLRLPKHSVPPHGGRVSFACSSGSRAAFAACCASASVAPAAEAEAVVEEPEGPRTRLIAQNIPWDCTADDMRALFGKHGSVVDVELSMYNSTRNRGLAFVTMGSEEEALSALNHLNSTYVVPSAPMPKHSVFVGNLTWRVRSRHLRELFASTPGVQSVEVVFHTTSPRRSAGYGFVSFSSKEAAEAAISTFNGTKLMGRSINVMFKDDNAKKNKSAAPTEEDLKAESSEQIY >ONIVA08G20540.3 pep chromosome:AWHD00000000:8:21720288:21723047:-1 gene:ONIVA08G20540 transcript:ONIVA08G20540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLVRLPPLPAARHPPLLRLPKHSVPPHGGRVSFACSSGSRAAFAACCASASVAPAAEAEAVVEEPEGPRTRLIAQNIPWDCTADDMRALFGKHGSVVDVELSMYNSTRNRGLAFVTMGSEEEALSALNHLNSTYVVPSAPMPKHSVFVGNLTWRVRSRHLRELFASTPGVQSVEVVFHTTSPRRSAGYGFVSFSSKEAAEAAISTFNGTKLMGRSINVMFKDDNAKKNKSAAPTEEDLKAESSEQIILNYWPPLSANGERFFFSQGLPAAGQFCSFNAS >ONIVA08G20530.1 pep chromosome:AWHD00000000:8:21717065:21717815:-1 gene:ONIVA08G20530 transcript:ONIVA08G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTASSAAAAPGRGGMEWELEREMVLMAAAGGEQQKKQRQQQPARRAFATDLLQNCDLPPPAKLFGPLPTLQRLENAAAWTSTSPDRKGGEGGGGDGGDRLMRALRLSQSRAREAEEKLAAAGASNGELSALLVRDSVVLSAHRRWVMMLEAENSGLRGAAGAAGSAKEGVGEDEDEDDDGGARRGAAAWWLALAVCVGIAGIGLAMGKLLL >ONIVA08G20520.1 pep chromosome:AWHD00000000:8:21712862:21713310:-1 gene:ONIVA08G20520 transcript:ONIVA08G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHSVFVGNLTWRVRSRHLRELFASTPGVQSVEVVFHTTSPRRSAGYGFVSFSSKEAAEAAISTFNGTKLMGRSINVMFKDDNAKKNKSAAPTEEDLKAESSEQIVS >ONIVA08G20510.1 pep chromosome:AWHD00000000:8:21709046:21709796:-1 gene:ONIVA08G20510 transcript:ONIVA08G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTASSAAAAPGRGGMEWELEREMVLMAAAGGEQQKKQRQQQPARRAFATDLLQNCDLPPPAKLFGPLPTLQRLENAAAWTSTSPDRKGGEGGGGDGGDRLMRALRLSQSRAREAEEKLAAAGASNGELSALLVRDSVVLSAHRRWVMMLEAENSGLRGAAGAAGSAKEGVGEDEDEDDDGGARRGAAAWWLALAVCVGIAGIGLAMGKLLL >ONIVA08G20500.1 pep chromosome:AWHD00000000:8:21699761:21700795:-1 gene:ONIVA08G20500 transcript:ONIVA08G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLIKLLVVVGYAAAASAATLTVGGSSGWTLGQNYDTWASGQTFAVGDKLVFSFVGEHTVTEVNKNDYDNCAVASNSISSTNTSPATLDLAAAGMHYYICTVSGHCAGGMKLAINVGSGSGSGSGSGTPPSTTPGSSGTPPATPSSPSKPTGGASAGLQASAAVAAAAGVLVKLALF >ONIVA08G20490.1 pep chromosome:AWHD00000000:8:21694287:21694949:-1 gene:ONIVA08G20490 transcript:ONIVA08G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSALIAMLLVMVVGCAAVASAMELSFIVGDAQGWNTGVDYTAWAKGKTFEANDTLVFRYARNQHTVTEVTKSDYDACTVSGKPISDFEGGALVTFIALSPGEHYFICKIGNHCASGMKLAVTVSNSSDTPRPQPWIGPYSTPASASAHLHAGGAVVAAAVGILLNLALF >ONIVA08G20480.1 pep chromosome:AWHD00000000:8:21691486:21691785:-1 gene:ONIVA08G20480 transcript:ONIVA08G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNERPVLRLPPIPFPIQLVSGMGRDGSSMMCSSAKGSLSHSFFTSTYVQYTLFRVLFRVIRVLIQCADIQQCTHVGICIVLRFCSCILFQKH >ONIVA08G20470.1 pep chromosome:AWHD00000000:8:21690424:21690651:-1 gene:ONIVA08G20470 transcript:ONIVA08G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQQQQHDLVNIGNTSLEHSTGSNSVYNRDGGYMMLMSTASATTRGGEKPRVAGGSRRPRDHGGDIQNVFASCR >ONIVA08G20460.1 pep chromosome:AWHD00000000:8:21688693:21689124:1 gene:ONIVA08G20460 transcript:ONIVA08G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHLVVVAMAALFAAAAVAQGPSASPTPAPKAQPPVATPPTRPPAVAPVSPPAAQPPVTTPPPASAPAPVPAPSSAATPSPQASAPTAEPPVLSPPAPAPGSISQSPTEAPTSPPPPSAASGVSPSAAAVVAAWAAVAAFY >ONIVA08G20450.1 pep chromosome:AWHD00000000:8:21669800:21685603:1 gene:ONIVA08G20450 transcript:ONIVA08G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGKQRVFIFAASTEISGVSTRKHGEGYGFLYCKSHVTAEQHLQPTQDFWIAASPSKVVQADAAALGTIAADMAPVVDGFSADDDELDLDSPTEGFSSIPEAIEDICQGKYVIVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEDDLERLELPLMVTTKENEEKLRTAFTVSVDAKEGTTTGVSAKDRANTVLALASPNSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDDDDGSMALLPKLQDFARRENLKIISIADLIRYRRKRDRLVERVCVTPLQLQWGSFQSYCYRSLIDGMEHIAMVKGDVGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMTMIEKTGRGVVVYLRGHEGRGIGLGHKLRAYNLQDNGRDTVEANEDLGLPVDSREYGIGAQILRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENRRYMETKRLKMGHVYGTRPSGNTSTLADGGIKKEQDQIDSASEQDTSKIYTLEKVYGFRLVCRSVVDLRSQKFHTRVYKRKSYFRSSASECEKIIHSARWLEFRRQRVAFQRTRRTIHLIPLASQDDSSGLSVNGSPQVDSASEMDDIRVKLVKALQSEDISTGLVQSIHDAARSIELAFLDHSKSSKNSWFPKEWLGVDNNEWIKPLSYQAAVGSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLEGAIQNELSKREPTLYQWYSSDQNPLVVRTFVNSFENDPRFNSATAICHERQQMNTSESDLSLLMLGLTCLAAITKLGSTKVSCQQFFSMVPDIIGRFMDMLLEFVPLSKAYTLTKDIGLQREFLCNFGPRAADPKFSSDRGVEISFWIDLVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQTYLSSNRLTNLDDSINDIVSSHLNKLTIIQVVCEELEWLPFYSGDVPAATIEGREDVHKGEIISRVLNVCSYWMTSFIKYSSWLENPSNVKAARFLSKGYDMPRDQTFPEAKEHLVARTELASFDKSLESVEEALVKLEDLLQELHLSSSNSGKEDLRAACSDLEMIRRLKKEAEFLEASFRAKTEFLEADASSRPLSPAVEEGRGKTASNANESSTPQKPANRVENKRRPIWDLFGRPSGRRVELVQQTSDQNVSVANVDNKDTQSNDILRFEQLRRELIELEKRVQKSADNAQKEETYVTNETLDSSVSSSPVLMPSGPASKKENVITKSVEKVKETTTTVVQGTQLLAIDTGAAMGLLRRALIGDELTHKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYAPERLDLLRQLEKVKEMGVAEGSSEEMVEACFGTRQKMVSFRL >ONIVA08G20440.1 pep chromosome:AWHD00000000:8:21661106:21666219:-1 gene:ONIVA08G20440 transcript:ONIVA08G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRVAVSHHRAALPLPTHHNHLRRRHLQLQPFPSSLSLSLPISPQLSPAPPRRHLLPPLLASASAAQAAGPAPAPAAGGGGGAKPVPLLVSLAVGLAVRFLAPRPAEVTPQAWQLLSIFLTTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGRSTLGLSYGLAISEACIAPAMPSTTARAGGVFLPIVKSLSLSAGSKPNDPSARKLGSYLVQSQLQASGNSSALFLTAAAQNLLCLKLAEEIGVKIANPWISWFKVASLPAIISLLATPYLLYKIFPPEIKDTPEAPAIAAQKLKNMGPVTRNEWIMVATMILAVSLWIFGDTIGVSSVVAAMIGLSILLLLGVLNWEDCLNEKSAWDTLAWFAILVGMAGQLTNLGIVSWMSNCVAKVLQSFSLSWPAAFGVLQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPAILSALALTYNSNLFGALTHYSSGQSAVYYGAGYVDLPDVFKLGFTTAAINAVIWGVVGTFWWKRSAEQLKSVKFSKTFYST >ONIVA08G20430.1 pep chromosome:AWHD00000000:8:21646738:21652220:1 gene:ONIVA08G20430 transcript:ONIVA08G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVLIFYPSQLIYSRGDFFFEQRGVTKSIAIRKCRMFPLKSMFLWFFCLSEDSEKGGRVLLGSPKEMNPRILEFFLIYSTVCRHDIYVSTCGYYFCLSAHYYTNRLEIIGYWLQHKLFPHVVLTTISMFTYRTSYLLCTINHIFRARSVARVSF >ONIVA08G20420.1 pep chromosome:AWHD00000000:8:21645533:21646493:-1 gene:ONIVA08G20420 transcript:ONIVA08G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAALLPVVADGGGGVGVEEEMDVDEDMAMCGGRGGGGGEKKRRLSVEQVRALERSFETENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRQSYDALRADHDALRRDKDALLAEIKELKGKLGDEDAAASFSSVKEEEDPAASDADPPATGAPQGSSESDSSAVLNDAEILPHKPAPAAAADAAASEETEAVVTGAALLHHAEVFFHGQLLKVDDDEAAFLGDDGAACGGFFADEHLPSLPWWAEPTEQWTT >ONIVA08G20410.1 pep chromosome:AWHD00000000:8:21635432:21637821:-1 gene:ONIVA08G20410 transcript:ONIVA08G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDG4] MPPPTMMLPPSPGDSDPSGSRDMDDEDLVEDLLVTVNSARAFVEFRRTQRKECANLLRWLELVLPLLEELRDSAPPLTEDAYHRLALLGRAFSAARRLLRSCHDGSKIYLALESEAVQGRFRAVYEKMNSALDGMPYSELAISDEVKEQVELMNAQLTRCKKRADTQDIELSMDLMVILDNKEGERNADRAILERLAKKLELQTLADLRAETMAIKKLISERNGQSGDSTKQIIELLNKFKEVAGVDEKNVLGEVSVTKSLDKCPSLMIPNDFLCPITLAIMRDPVIVATGQTYERRSIQKWLDSGERTCPKTRQRLSHMSLAPNYALKNLILEWCDKNKVELQKREPEPVAEQDDEHQRGAEDIPSLVEGMSSIHLDVQRKAVKRIRMLSKECPENRTLIADSGGIPALIGLLACPDKKVQENTVTSLLNLSIDESNKRHITKGGALPLIIEILRNGSAEAQENSAATLFSLSMIDENKLTIGRLGGIAPLVELLQNGSIRGKKDAATAIFNLVLNQQNKVRATQAGIVPALLKIIDDKALNMVDEALSIFLLLSSNAACCGEIGTTPFIEKLVRLIKDGTPKNKECALSVLLELGSKNKPLLVHALRFGLHEDLSKIAKNGTSRAQRKATSLIQLARKCY >ONIVA08G20400.1 pep chromosome:AWHD00000000:8:21624766:21626745:1 gene:ONIVA08G20400 transcript:ONIVA08G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKLNKLQEELKNEKKEKARALDEIAGLKKKKNENKVTSNGGDDKLDLVHRLEKLEGEQEAARDSEKKLLVSLGAQTKQLEQTKVSLEEAKLEIASLKDNKKSSEAFSALSSNPSQPARNLRRRGIMSFSFADPGEVETWSLQRELKLAVEAEEKCKKAMDDLAIALKEQTTDARDAKAKLSLAQSELTNARTEMENSKALLKNTEEKLQVALEEAAQLKFESDELAAASKEKERGLVDCIKMFEGDLIKAKEENNKLIESQRVIRDENSRLREMLKHAVCEANVAKESLEIARAENSQLKEDISEKENTLQSIIQDYESLKVSESAAQSSIGELKDMIDAMFSSESTKTSAEASPRDTKGNEVYYDHERTQLEDIRNAARHKKRTVLRKFADIMKKRNSQSAI >ONIVA08G20390.1 pep chromosome:AWHD00000000:8:21624314:21630013:-1 gene:ONIVA08G20390 transcript:ONIVA08G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLRHHAALASSRLLVRDNQRITALARAGDVAAARRVFDAMPRRDAVSWNALLTALWRAGRDLPAARSLFDDMPSRNVISWNSIIAGCLAHGDLAAASAYFARAPRRNVASWNAMLAGLVRLGSMEDARSLFDQMPERDVVSYTTMVDGLARCGEVASAREMFDAMPTRNLVSWAAMINGYVDNNMLEEARKLFEAMPEKNVVACTAMITGYCKEGDLQNARRLFDGIRAKDVISWNAIISGYVHNGLGEEATKLYIIMLREGTKPDQATLIALLTACSSLALLRQGRSTHAVVIKAMLESSISICNALMTMYSKCGNVDESELVFMSLKSQDIVSWNTIIAAYAQHGRHQKVIALFHEMELCGLIPNDITFLSMLSACGHAGRVDESLKLFDLMFSKYAISPRAEHYACIVDILSRAGQLEKACSYIKEMPSEAEKNVWGTLLCASQTHGNVQLGELAAKMLVLSDFESSGAYVMLSNIYAAAGMWGEVNRVRSQMKEKGVKKQPGHSWTEIADKVHMFVGGDASHPEMDMILSELRKISFHMQMVTDKTQMMEELVQECVELCFSVLTIDISWPQQSAKDASSSRYVSLYISSSYIDYLPLTLFLAH >ONIVA08G20380.1 pep chromosome:AWHD00000000:8:21618726:21621206:1 gene:ONIVA08G20380 transcript:ONIVA08G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGTREEMVYMAKLAEQAERYEEMVEFMEKVVTAAAAGGGGELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGAAGHAAAARSYRARVEAELSNICAGILRLLDERLVPAAAAVDAKVFYLKMKGDYHRYLAEFKTGAERKDAADATLAAYQAAQDIAMKELSPTHPIRLGLALNFSVFYYEILNSPDRACTLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGGDEMRDATKPEDEH >ONIVA08G20370.1 pep chromosome:AWHD00000000:8:21612977:21614965:-1 gene:ONIVA08G20370 transcript:ONIVA08G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGGAAAGPTAAAAAAAVQKQKTLLQKADADVSSLVDNFAALINIARVNDPPVRNTQEAFQMDMRGSRMVHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEIFSQQVEGTERMLERIGQEAAGSLKELEAHYYSSVVRTPPDE >ONIVA08G20360.1 pep chromosome:AWHD00000000:8:21609591:21611545:-1 gene:ONIVA08G20360 transcript:ONIVA08G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCDSDATATARSLLRQLTPPVATFFPGFLRSWPRATAAVHDGGRHGGGRRSITPPHHGAVEALSATRATLKQESTNPSIVAVVYCCL >ONIVA08G20350.1 pep chromosome:AWHD00000000:8:21599170:21600461:-1 gene:ONIVA08G20350 transcript:ONIVA08G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFPPHIMVLSKMQRLYDACDMIFSSPASAAPTIGEIRWLQHLLDGMEAADVGIDDGESPPSSSSSSCSSSDDEVSSKDGRLLPARAFTRITYVHIHQCADFSMGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRVKSYDWVTPPAPCSRKSGLARVVAADEVRHAPCKTSVLFPRSGGNMHAFTAVTPCAILDVLTPPYSEELGRPSTYFNDIPIPSLPGFAFLEETDLPEDFSVAGAPYVGPELELVVDMDDDDDDYDDYDE >ONIVA08G20340.1 pep chromosome:AWHD00000000:8:21594879:21598941:1 gene:ONIVA08G20340 transcript:ONIVA08G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRRHESLSPAPPPRHCRHLHLATAGVVLPDLSIGVHLLAGSASIASTWAVTLDELLRDEAAAAAGGWRWTPVCVLVYDAFLLWARAVARRSGGGVHSCAVNVVYGHAWCGRVHIPVETGAIALIGLPALQLEGLPWFIKVGPGPYPAYFDLVMKQFDRLELADDVLLARCSLPPPVHASAVEPFVAARQLLALVTQRSFGPHRPPPAEGEEPMRKGEKEKEGREGGGIRDLCQPTNSLTEVRACNVERTEARTREKEAVPLANLEVKRMFE >ONIVA08G20330.1 pep chromosome:AWHD00000000:8:21592292:21595451:-1 gene:ONIVA08G20330 transcript:ONIVA08G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAQLGFELNELTSTSSASSSLSNCFITKSKYAGYGPGPTLMNHGKPSSCSAGKPISAMAPVSTGMCTRPHHAWPYTTLTAHDCVKNVNATAAAARHGARPEEERVVDEDAHGRPPPAPGGGSGLVPEELCTAMVAPSRLPSHEEQSAAAAADGSATPSQGIPVVDLGVLINGAADERSRAIRDLGRACEDWGFFMVTNHGVPEALREAIMDACKELFRLPLEEKKEFMRAKPMDPIRIGTGFYSVVDAVPCRRDYLKMFSHPEFHCPEKPAKLREIATEYATCTRALLLELTKAISESLGLAGGRLSEALNLESCFQILVGNHYPACSRPDEQAMGLSAHSDHGLLTLLFQNGVDGLQVKHDGEWLLAKPLPGSFFVIAGDQLEIVTNGRYKGVLHRAVVGGEQSRMSFVSLIGPCMDTVVEPLPEMAADGRGLEFRGIRYRDYMEMQQSNSINEKTALDIVRVMHQAG >ONIVA08G20330.2 pep chromosome:AWHD00000000:8:21592292:21594151:-1 gene:ONIVA08G20330 transcript:ONIVA08G20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSRLPSHEEQSAAAAADGSATPSQGIPVVDLGVLINGAADERSRAIRDLGRACEDWGFFMVTNHGVPEALREAIMDACKELFRLPLEEKKEFMRAKPMDPIRIGTGFYSVVDAVPCRRDYLKMFSHPEFHCPEKPAKLREIATEYATCTRALLLELTKAISESLGLAGGRLSEALNLESCFQILVGNHYPACSRPDEQAMGLSAHSDHGLLTLLFQNGVDGLQVKHDGEWLLAKPLPGSFFVIAGDQLEIVTNGRYKGVLHRAVVGGEQSRMSFVSLIGPCMDTVVEPLPEMAADGRGLEFRGIRYRDYMEMQQSNSINEKTALDIVRVMHQAG >ONIVA08G20320.1 pep chromosome:AWHD00000000:8:21586564:21590655:-1 gene:ONIVA08G20320 transcript:ONIVA08G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal recognition particle receptor alpha subunit family protein [Source:Projected from Arabidopsis thaliana (AT4G30600) TAIR;Acc:AT4G30600] MLEELLIFTRGGLILWSSCRALGGAALKGSPIDALIRSCLLEERSADASFSQDTYALKWTFNNDLGLVFVAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRTSYDDAFNEVFRQLHLEAEARSEEMKKNKQVTGSRPTKVTTKTNRGDTQGSGGGRKKGDSGKDDSDGDSGKEHTLPNGNSKMQENSLKDNSHARSVVVKGKENGDPNDGAFDVNKLQKMRNKGNKKNEVASNVAKNTSKANTKKNLKKNRVWDDTPDDKKKLDFTDPADERGDEVIDQVVVKQGESMMDKDDVVSSDSDEEEEDGEENSGASQKKKGWFSSMFKSIAGNNVLEKSDIQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVHAAKERGKPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVSLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTTRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >ONIVA08G20310.1 pep chromosome:AWHD00000000:8:21580964:21590740:1 gene:ONIVA08G20310 transcript:ONIVA08G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDF3] MEDEATSVAAPLLRPRGGVDAEAVKQQLWPAGARVAGEWWVESKKLWRVVGPAIFQRIALYGINVVSQAFIGHMGDLELAAFSIASTVVAGFNFGFLLGMASALETLCGQAFGAKKYHMLGVYLQRSWLVLLMFAVALTPTYVLMEDLLLLIGQPADLASLAGKMSVWLLPQHFAMAMLLPLTRFLQSQLKNWVTAVTAGVALALHLVITYLLVNTLHLGLLGAVAAANVAWWIVVLGQLVYVVGGWCPLSWKGFSMEAFADFWEFIKLSSASGVMLCLENWYYRVLVLLTGYLNNAEIAVDALSICLTINGWEMMIPFGFLAATGVRVANELGAGSGKGARFAIVVSVTTSVAIGLVFWCLIIAYNDKIALLFSSSKVVLDAVSDLSVLLAFTVLLNSVQPGIWSGLIGGTAVQTLILAYLTIRCDWDEEAKKASTRMEVWASSK >ONIVA08G20300.1 pep chromosome:AWHD00000000:8:21544987:21545600:-1 gene:ONIVA08G20300 transcript:ONIVA08G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLDTAQSDPKVGDDRSGAGVGVGAQTEAAPDGSYAWTAVIGGGGGGGGGDDRWWGRPKTVVVGRSWLREAGATCVAPGGLVRAMARRPARSMEREADITDDRGAGKANLWGCAGTSVTTCR >ONIVA08G20290.1 pep chromosome:AWHD00000000:8:21538908:21539786:-1 gene:ONIVA08G20290 transcript:ONIVA08G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDEGDGDEEMPPMPLSSGYDAPMQPGLVGGGGGVPKPGGGVGGGGGGGGGGGGGGGGARYRECLKNHAVGIGGHAVDGCGEFMAAGEEGSIDALRCAACGCHRNFHRKESESPTAVGPAEPSAVSPAAISAYGASPHHQFSPYYRTPAGYLHHQQHQMAAAAAAAAAAAAGGYPQRPLALPSTSHSGRDEGDDMSGMVGPMVIGPMVGMSLGSAGPSGSGSGKKRFRTKFTQEQKDKMLAFAERLGWRIQKHDEAAVQQFCEEVCVKRHVLKVWMHNNKHTLGKKAP >ONIVA08G20280.1 pep chromosome:AWHD00000000:8:21522140:21525359:1 gene:ONIVA08G20280 transcript:ONIVA08G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSCHDAAASMLLCAEDNSSILWLEDEEGEVGERRSGGCRSMVGDLAGGGGGGGGGVEEEEDMFPPQSEECVASLVEREQAHMPRADYGERLRGGGGDVDLRVRSEAIGWIWEVYTYYNFSSVTAYLAVNYLDRFLSQYELPEGRDWMTQLLSVACLSIAAKMEETVVPQCLDLQIGEPRFLFEVETIHRMELLVLTNLNWRMQAVTPFSYIDYFLRKLNGGNAAPRSWLLRSSELILRIAAGTGFLEFRPSEIAAAVAATVAGEATGVVEEDIAEAFTHVDKERVLQCQEAIQDHHYSMATINTVQPKPASTRRGSGSASASASSSVPESPVAVLDAGCLSYKSDDTDAATIASHGGGRRKSCFDSSPVTSKKRRKLSR >ONIVA08G20270.1 pep chromosome:AWHD00000000:8:21497808:21502852:-1 gene:ONIVA08G20270 transcript:ONIVA08G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDE9] MASISGAAAAAPSSSGASCGLRLRRDHLPRSSHFRLARPSSITDVSRSCSSSSSSPPRSLSSKQSGHADVLAHGAVDKDPIRLWNRYVEWLYQHKQLGLFVDVSRIGFTEEFLRRMEAPMGRAFAAMRELEKGAIANPDEGRMVGHYWLRNPALAPNSFLRDKIETTLERILAFASDVISAKIRPPSSPAGRFTQILSIGIGGSSLGPQFVAEALAPDNPPLMIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAAQMDEETRNTEIKENPAALLALCWYWASDGIGSKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHEWELEPSVTCGDYLFGMLHGTRSALYANDRESITVTVQEVNPRAVGALVALYERAVGLYAYLININAYHQPGVEAGKKAAGEVLALQKRILLVLNEASCKDPAEPLTLDQIADRCHCPEEIEMIYKIIQHMAANDRALIAEGNCGSPRSIKVYLGECNVDDDMNS >ONIVA08G20260.1 pep chromosome:AWHD00000000:8:21487703:21488686:-1 gene:ONIVA08G20260 transcript:ONIVA08G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGEASAAAAAVAPAQAALRPALAFHAGQAVAIPHDVIAPPRKPGPIAVGAQILRAEGAAGLFSGVSATMLRQTLYSTTRMGLYDILKKKWTQENGGVLPLHRKIAAGLIAGGVGAAVGNPADVAMVRMQADGRLPLAERRNYRSVGDAIGRMARDEGVRSLWRGSSLTVNRAMIVTASQLATYDQAKEAILARRGPGADGLGTHVVASFAAGLVAAAASNPVDVVKTRVMNMKVAPGAPPPYSGAIDCALKTVRSEGVMALYKGFIPTVSRQGPFTVVLFVTLEQVRKVFNGVEF >ONIVA08G20250.1 pep chromosome:AWHD00000000:8:21479040:21479471:-1 gene:ONIVA08G20250 transcript:ONIVA08G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTVEDAAISDELRRGAWMTERTRPPPLSSDVAWTVEDAAAGDELRRETWTMGPPTTMPCARLLIPRKYHLIRGKNRLILDRYNLILCKYHLIRGRNRLIPSRYHMISRKYRLIPVRYQDMIPQRYQDLITNWYHFVLTGFR >ONIVA08G20240.1 pep chromosome:AWHD00000000:8:21477020:21479676:1 gene:ONIVA08G20240 transcript:ONIVA08G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDEWTTGTRGEFHHFSSRSLARSKYQSRAQGIVVGGPIVHVSRRSSSPAAASSTVHATSELSGGGRVLSVIQAPRRSSSLMAASSTVHAMSELIASGRVLLAPRLSTSTTALSPIVVTTHIHQLQCRARAAPQHGTSLRFSNGGQAPRAIAVLSWRE >ONIVA08G20230.1 pep chromosome:AWHD00000000:8:21468191:21475332:-1 gene:ONIVA08G20230 transcript:ONIVA08G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGSSSSSSASAVVVAVVIAVVVVVWVVVRRKVRRAAARREEVLRLTRLAQEESEMAEVECARAYYSELFPSVVHATEMVDEAAWGAPPAVVPAQAEAEAEMEARAQPQPQPPVGAKGVCAVCFRPTTFRCKQCKAVKYCSFKCQIAHWRQGHKNECRPPSTDANHDDVAELSVAKERKIEQTSASEENIAETNTAATVKNLNDKTKDMPSEVLASVEVPDDDHSVSAVKLPQSSSQVASLGSRKTESNMKPTTPVENGSYTKDLDEALVCRFQPSPPKISGSGSLINKESLIDSKKHQDCSQTSNSKKYADNNNAQAALPVAVEPKTSRTALHVEVGHSKTKAAGSDNIGVSKMVPSVLTVDKVSPVPGGRSVTPNSSKRADNIAERNSKPSEKSISTANSLATSLKKIVRQQTAPKVVRHYPSEPTHFPYELFIKLYEKVELQPFGLHNLGNSCYANAVLQCLMFTRPLTSYLLGGLHSKNCSKKEWCFMCEFEKLVGEGRQGKIALSPTGILSHLPDIGSSFGPGKQEDAHEFLRYAIDAMQSVCMKEARKSGTHRLHEETTLMQLIFGGYLRSKIRCTRCDATSEQHERILDLTVEIDGDISSLEGALERFTSTEVLDGDNKYKCSRCKSHERAKKKLTISEAPNVLTIALKRYQSGKFGKINKAIRFPETLNLQRYMSPKADDTSPVYSLYAVVVHHDIMNAAFSGHYVCYVKDTHGKWYKTDDSQVKPVSLENVMSKCAYMLLYARCSPRAPSSVRPALMAQDPARVKKDKARVNSGRWHGGGPIHQGGQMYADHMTDDLPHTYDEFGHGPYSPAESPSPSESSSIFTSSDTGSHSTDSSESTRNSTSEDMERLIFGDQVSYFDGSMFGHGENDRMTYSRSKSSLGTSSSGQEVDQYRPDEHRLQGAREGWNQGDESSSLYTNQSKHQFSSKLTEQYRRRLDGTEHDPGEANSVLLRRSARERTAQTFY >ONIVA08G20220.1 pep chromosome:AWHD00000000:8:21464017:21464966:-1 gene:ONIVA08G20220 transcript:ONIVA08G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSQLGGGEGDGKLVVGGGAMGEKKQQLECFSDEVDSRDGGGGAAEETTAGGGGEGVAAVVVVGKRRRGRPPGSKNKPKPPVVVTRGGGRAGGGGGGGDAVARAGDLAGYARRRGLGICVLAGTGAVANVSLRHPLPSGAAAEIGGGAAAAVVVFHGRYEILSISATFLPPAMAAAAPRAALGGLSISLAGPHGQIVGGAVAGPLVAATTVVVVAAAFASPTFHRLPAEYDDAPAPVSGSGADADEHRGRRRTEPPEHHHLTPLHPRGIALATATTTTTTQPVYASACQHEEVWPPAAAAAASAPRPRPPYQ >ONIVA08G20210.1 pep chromosome:AWHD00000000:8:21454045:21456476:-1 gene:ONIVA08G20210 transcript:ONIVA08G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase D chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G52300) TAIR;Acc:AT3G52300] MSGNGVKKVAEVAAKAGKAIDWEGMAKMLVSDEARKEFNTLRRTFEDVNHQLQTKFSQEPQPIDWDEDTKHEINAGIEIPKYVDTVTPQYKPKFDALLVELKEAEKESLKESERIEKELAELQEMKKNISTMTADEYFAKHPEVKQKFDDEIRNDNWGY >ONIVA08G20210.2 pep chromosome:AWHD00000000:8:21454045:21456476:-1 gene:ONIVA08G20210 transcript:ONIVA08G20210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase D chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G52300) TAIR;Acc:AT3G52300] MSGNGVKKVAEVAAKAGKAIDWEGMAKMLVSDEARKEFNTLRRTFEDVNHQLQTKSPNRLTGSIEIPKYVDTVTPQYKPKFDALLVELKEAEKESLKESERIEKELAELQEMKKNISTMTADEYFAKHPEVKQKFDDEIRNDNWGY >ONIVA08G20200.1 pep chromosome:AWHD00000000:8:21451705:21453535:-1 gene:ONIVA08G20200 transcript:ONIVA08G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38090) TAIR;Acc:AT4G38090] MAAVRIAPRLRSLPLLLPGPDAAATAAAVHRSCSSCGGSLAALAPARLRAMSSSSSSSSVSPSPYTTLVGRVSCEREIKKSKFIAIAAPVPDERAAMSFLNEVSDPRATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTVYHQLQHYQAEDIKQDYDTGKDGTVMVMFKLEYEKIENLGNAVNSACSRKIELLL >ONIVA08G20190.1 pep chromosome:AWHD00000000:8:21450090:21450554:1 gene:ONIVA08G20190 transcript:ONIVA08G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGCHLLVLLLAFSAFHCSYAARHLLDTAAAAAAPEAAPAQPSMPTVPTTLPPIPSIPAVPKLTVPPMPSVPIPKVTIPPTAAGTIPSLPIPAIPTTIPTIPTVPVTLPPMPSIPTTIPSIPTTIPTTIPTIPGFQMPPIPFMSSPPKATSP >ONIVA08G20180.1 pep chromosome:AWHD00000000:8:21447136:21447901:1 gene:ONIVA08G20180 transcript:ONIVA08G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDGECSAAAARKGGSPAVRSHSEAERKRRQRINAHLATLRTLVPSASRMDKAALLGEVVRHVRELRCRADDATEGADVVVPGEGDEVGVEDEDDDEGERDEGCYVVGGGDRRWRRRVRAWVCCADRPGLMSDLGRAVRSVSARPVRAEVATVGGRTRSVLELDVVVASDAADNDRAVALSALRAALRTVLLNREELLAAAAAAATDGYKRPRFSPRCSSLT >ONIVA08G20170.1 pep chromosome:AWHD00000000:8:21435792:21440543:1 gene:ONIVA08G20170 transcript:ONIVA08G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTEGVVRRCCSRVSRNVPSRCLLRSLRRRQGESRLSREGAAISIWRAEQGRGFWSELIIMVKEHAEKGDGVQECQSSPALSEDNLRHSFRLGDITWVKHTGSWWPAQVVENSCISSKPKKTAKHHVPVRLYGTCVHMYVDPWKSNMEFKMMLKRENKSAMEAFHEAVKKELSHVNSPCDSTEEAGNLKAKTSSKKVRKQKGLKESPASEHMGEDTKDQHSAEQHQELGYTATTGVATRKGRRTREGARQLSPTDGEDQASGKKASIEGSSYKTEKQVGSVYDEEACKMTTTERSVGRREGLRRSACTPMKAYLDPSEDRTSLFSDTSASEGANEVNRTPENSNQHEDDSTIDGTLASHAEIRVMVRDILFSDIIAKQHAAEMAYVDEVINGICCASELNITGDPTPVAKGGRGIKRGGSRAEAESSNITQRSRKGRIDQASSNGKKRAKDTSETMNHDNSPNSLRGPFDSTSRDAAMEELGQVSARQIRIMQSLALIAPSGSPFGKNGLVASTSL >ONIVA08G20160.1 pep chromosome:AWHD00000000:8:21430567:21431724:1 gene:ONIVA08G20160 transcript:ONIVA08G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAARRKAERWIGVAEKLLMARDLEGCKQFVSQARADDPTAPGADDLAAAADILLAAQRRRLATGAPNPYAVLGLDCADPASRDPDVVHSAYRRLSLLLNRSHPDRPCLHAFADAALLVAEAWAFLFDPVRKASLDSSLDAAAAAAAPRPPPAPSPQKQQPQPQPRSPRPASPPPVPAAPEVASAVSTPPARPKRGRPPRAAKPQPTPERQQEAEVEAAATFWTACPSCCNLHEYTRSYEARTLLCPSCRKPFFAAAMATPPPIVPGTDMYYCSWGFFPMGFPGGPAFARPTSSSSSPTKQAPAALGFYPMGPYSLPLPAQGDAAEGNAAVGSGDGTVTAPSPPPPPPAAAAPLPVKPKLVKLGARKRGRPKSSKNKHVVIEIN >ONIVA08G20150.1 pep chromosome:AWHD00000000:8:21425180:21429393:-1 gene:ONIVA08G20150 transcript:ONIVA08G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVADPAMLEVDPPPSAADLAPRIHIGMEWRSRGQIEMERRCPCRIGKEAKVQAKDVMALTVAVAVPMEEAEVQVEEVAMLAVEVAVPTEEIMAPSRGVCAYDGGGGGHCDGGAAHGATEWRRHRAWAAPAFLRRERRRGKSPINRTIVASWAGPNQPTSGCSPSYSESLVKAQVESKSGPSSPPPGSGGDCGGGGGGGDANAEMSVFGGDSWARDARQRKRRLDDLMLPASAASPSSSSPDSFRRLSNGKFACLVCPHRPVLDSPLMLSMHNKGSQHIAAESRLREKELSRQQEINKRLAISPEASVPSSGKQHYGVRSSDMKEKPLIQQTRQAILEAQSSRFIIDSANKKSHDLERTSNSSLCDSQVTPSVPMEKWSGDTVKGKFFAGDRTATKMLEEEQADFQKRQEQELKFTASGWKRDGHGRWYRDENVEFDSDEDDPNICLG >ONIVA08G20150.2 pep chromosome:AWHD00000000:8:21425526:21429393:-1 gene:ONIVA08G20150 transcript:ONIVA08G20150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVADPAMLEVDPPPSAADLAPRIHIGMEWRSRGQIEMERRCPCRIGKEAKVQAKDVMALTVAVAVPMEEAEVQVEEVAMLAVEVAVPTEEIMAPSRGVCAYDGGGGGHCDGGAAHGATEWRRHRAWAAPAFLRRERRRGKSPINRTIVASWAGPNQPTSGCSPSYSESLVKAQVESKSGPSSPPPGSGGDCGGGGGGGDANAEMSVFGGDSWARDARQRKRRLDDLMLPASAASPSSSSPDSFRRLSNGKFACLVCPHRPVLDSPLMLSMHNKGSQHIAAESRLREKELSRQQEINKRLAISPEASVPSSGKQHYGVRSSDMKEKPLIQQTRQAILEAQSSRFIIDSANKKSHDLERTSNSSLCDSQVTPSVPMEKWSGDTVKGKFFAGDRTATKMLEEEQADFQKRQEQELKFTASGWKRDGHGRWYRDENVSHIFFLYGSFFCMLFLVVNSI >ONIVA08G20140.1 pep chromosome:AWHD00000000:8:21418902:21421681:-1 gene:ONIVA08G20140 transcript:ONIVA08G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDD4] MASASSPEGASSSSPEKVKMVTVLSIDGGGVRGIIPATILAFLEKELQKLDGPDARIADYFDVVAGTSTGGLLTAMLTAPNENNRPLFAADELAKFYIEHSPSIFPQKNWVLSKIAGTLRMVSGPKYDGKYLHSLLREKLGDTRLDKALTNVVIPTFDIANLQPTIFSKFELKYKPLKNALLSDISISTSAAPTFFPAHYFETKDDNGQTREFNLVDGGVAANNPTLCAMSQVSKYIILEDKEDCDFFPVKPTEYGKFMVISIGCGSNHDQKYKAKDAAKWGIFNWLIKGSSAPIIDMFTSASADMVDIHLGVLFSALQCEKNYLRIQYDQLTGSAGSIDDCSKENMDNLVKIGEMLLDKNVSRVDLETGHYVDVAGEGTNRDQLAKFAKQLSDERRRRQNEPSN >ONIVA08G20130.1 pep chromosome:AWHD00000000:8:21394684:21405334:-1 gene:ONIVA08G20130 transcript:ONIVA08G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDD0] MVVQLTHANDKFYSDRFNCNCLESMAPTTNQSSGSAPLTVNPVVQRAVVGAQLPTTSPCRTPKSPPPSYGSIVTVLSIDGGGVRGIIPGTILASLEEKLQRIDGADARIADYFDVIAGTSTGGLVTAMLTAPNDQGRPLFAAKDINDFYLEHCPKIFPPRSIMGLFQSMAGPKYDGKYLHSVVQSLLGDKRVNETLTNVVIPTFDIKLLQPITFSRYDSSGSAPLTVNPVVQRAVGAQLPSPCTPMSSPPSYGSIVTVLSIDGGGVRGIIPGTILASLEEKLQRIDGADARIADYFDVIAGTSTGGLVTAMLTAPNDQGRPLFAAKDINDFYLEHCPKIFPPRSIMGLFQSMAGPKYDGKYLHSVVQSLLGDKRVNETLTNVVIPTFDIKLLQPITFSRYDAQIDVSKNALLSDVCISTSAAPTYLPGHRFQTTDKDGKHREFNLVDGGVAANNPTLLAMTHVSKQIMLGKQDDFFPIKPADYGKFMILSLGTGSAKIEEKYDAVQSGKWGMINWVYHDGSSPLIDSFSQASADLVDIHASVLFQALRCEKSYLRIQDDELTGDTASVDVSTPENLNRLVEVGKALLKKRVCKVNLETGKNEPDMSRGTNEEELDHFAKMLSDERKARLQKKACLQ >ONIVA08G20130.2 pep chromosome:AWHD00000000:8:21394684:21404991:-1 gene:ONIVA08G20130 transcript:ONIVA08G20130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDD0] MAPTTNQSSGSAPLTVNPVVQRAVVGAQLPTTSPCRTPKSPPPSYGSIVTVLSIDGGGVRGIIPGTILASLEEKLQRIDGADARIADYFDVIAGTSTGGLVTAMLTAPNDQGRPLFAAKDINDFYLEHCPKIFPPRSIMGLFQSMAGPKYDGKYLHSVVQSLLGDKRVNETLTNVVIPTFDIKLLQPITFSRYDSSGSAPLTVNPVVQRAVGAQLPSPCTPMSSPPSYGSIVTVLSIDGGGVRGIIPGTILASLEEKLQRIDGADARIADYFDVIAGTSTGGLVTAMLTAPNDQGRPLFAAKDINDFYLEHCPKIFPPRSIMGLFQSMAGPKYDGKYLHSVVQSLLGDKRVNETLTNVVIPTFDIKLLQPITFSRYDAQIDVSKNALLSDVCISTSAAPTYLPGHRFQTTDKDGKHREFNLVDGGVAANNPTLLAMTHVSKQIMLGKQDDFFPIKPADYGKFMILSLGTGSAKIEEKYDAVQSGKWGMINWVYHDGSSPLIDSFSQASADLVDIHASVLFQALRCEKSYLRIQDDELTGDTASVDVSTPENLNRLVEVGKALLKKRVCKVNLETGKNEPDMSRGTNEEELDHFAKMLSDERKARLQKKACLQ >ONIVA08G20130.3 pep chromosome:AWHD00000000:8:21394684:21404991:-1 gene:ONIVA08G20130 transcript:ONIVA08G20130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDD0] MAPTTNQSSGSAPLTVNPVVQRAVVGAQLPTTSPCRTPKSPPPSYGSIVTVLSIDGGGVRGIIPGTILASLEEKLQRIDGADARIADYFDVIAGTSTGGLDINDFYLEHCPKIFPPRSIMGLFQSMAGPKYDGKYLHSVVQSLLGDKRVNETLTNVVIPTFDIKLLQPITFSRYDAQIDVSKNALLSDVCISTSAAPTYLPGHRFQTTDKDGKHREFNLVDGGVAANNPTLLAMTHVSKQIMLGKQDDFFPIKPADYGKFMILSLGTGSAKIEEKYDAVQSGKWGMINWVYHDGSSPLIDSFSQASADLVDIHASVLFQALRCEKSYLRIQDDELTGDTASVDVSTPENLNRLVEVGKALLKKRVCKVNLETGKNEPDMSRGTNEEELDHFAKMLSDERKARLQKKACLQ >ONIVA08G20130.4 pep chromosome:AWHD00000000:8:21394684:21404991:-1 gene:ONIVA08G20130 transcript:ONIVA08G20130.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDD0] MAPTTNQSSGSAPLTVNPVVQRAVVGAQLPTTSPCRTPKSPPPSYGSIVTVLSIDGGGVRGIIPGTILASLEEKLQRIDGADARIADYFDVIAGTSTGGLVTAMLTAPNDQGRPLFAAKDINDFYLEHCPKIFPPRSIMGLFQSMAGPKYDGKYLHSVVQSLLGDKRVNETLTNVVIPTFDIKLLQPITFSRYDAQIDVSKNALLSDVCISTSAAPTYLPGHRFQTTDKDGKHREFNLVDGGVAANNPTLLAMTHVSKQIMLGKQDDFFPIKPADYGKFMILSLGTGSAKIEEKYDAVQSGKWGMINWVYHDGSSPLIDSFSQASADLVDIHASVLFQALRCEKSYLRIQDDELTGDTASVDVSTPENLNRLVEVGKALLKKRVCKVNLETGKNEPDMSRGTNEEELDHFAKMLSDERKARLQKKACLQ >ONIVA08G20120.1 pep chromosome:AWHD00000000:8:21382791:21394667:-1 gene:ONIVA08G20120 transcript:ONIVA08G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYAYTGAEQRVTDVEPGDAACAEPRQVAAVAVHAAVAAAVVREQSIVTVLSIDGGGVCGIIPGTILPFLEEKLQEIINGSDARIAHFLAVRIYFDVIARTSIGGLVTAVLTAPNENGDPIFAAKDMNDFYLEHCPRARIFTPYDGMHLQSVVQQLLGDKRVDQTITNIVVPTFDIKLLQPTIFSTYDVCLMNISLTALLNMLKSMINMATLAQHRGFGSTIS >ONIVA08G20110.1 pep chromosome:AWHD00000000:8:21375330:21382742:-1 gene:ONIVA08G20110 transcript:ONIVA08G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDC7] MAPTTNQSSGSAPLTVNPVVQRAVGAQLPSPCTPMSSPPSYGSIVTVLSIDGGGVRGIIPGTILASLEEKLQELDGADARIADYFDVIAGTSTGGLVTAMLTAPNDQGRPLFAAKDINNFYLEHCPKIFPPRSMAGPKYDGKYLHSVVQSLLGDKRVNETITNVVIPTFDIKLLQPITFSRYDAQNDVSKNALLSDVCISTSAAPTYLPGHRFETTDKDGKPREFNLVDGGVAANNPTLLAMTHVSKQILLGNQDFFPIKPADYGKFMILSLGTGTAKIEEKYDAVQSGKWGMINWVYHDGSSPLMDSFSQASADLVDIHASVLFQALRCEKSYLRIQDDELTGDTASVDVSTPENLNRLVEVGKALLNKRACKVNLETGKNEPDMDRKTNEEELENFAKMLSDERKARLQKKASFQNTHKIISRCFVFPMSPVQTPEQSNGSLTLNPVVERVLSRGKSLLSPSTPRSPPPSYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGAEARLANYFDVIAGTSTGGLVTAMLAAPNGNGDPLFAARDINDFYLEHCPRIFPPASKGPLGLFKSMTGPKYDGRHLHSVVQQLLGDKRVGSTITNIVVPTFDIKLLQPTIFSTYDARKDVSKNALLSDVCISTSAAPTYLPGHRFQTTDKDGEPREFNLVDGGVAANNPTLLAMTHVTKQILLGNHDFFPIKPADYGKFMILSLGTGSAKIEQKFDAVESGRWGVLGWLFNKGATPLIDSFSQASADLVDIHASVLFQALHCEKRYLRIQDDELTGDAASVDVSTPENLQRLVGVGKALLKKQACKVDLETGKNEPDMNRKTNEEELVLFAEMLSRERKARLQKKQGSMKI >ONIVA08G20110.2 pep chromosome:AWHD00000000:8:21375330:21382742:-1 gene:ONIVA08G20110 transcript:ONIVA08G20110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDC7] MAPTTNQSSGSAPLTVNPVVQRAVGAQLPSPCTPMSSPPSYGSIVTVLSIDGGGVRGIIPGTILASLEEKLQELDGADARIADYFDVIAGTSTGGLVTAMLTAPNDQGRPLFAAKDINNFYLEHCPKIFPPRSMAGPKYDGKYLHSVVQSLLGDKRVNETITNVVIPTFDIKLLQPITFSRYDAQNDVSKNALLSDVCISTSAAPTYLPGHRFETTDKDGKPREFNLVDGGVAANNPTLLAMTHVSKQILLGNQDFFPIKPADYGKFMILSLGTGTAKIEEKYDAVQSGKWGMINWVYHDGSSPLMDSFSQASADLVDIHASVLFQALRCEKSYLRIQDDELTGDTASVDVSTPENLNRLVEVGKALLNKRACKVDLETGKNEPDMNRKTNEEELVLFAEMLSRERKARLQKKQGSMKI >ONIVA08G20100.1 pep chromosome:AWHD00000000:8:21363839:21364603:1 gene:ONIVA08G20100 transcript:ONIVA08G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIDGAASLRSLLRPSSDGRRTTKLSGGGGGGGAGGVGGIFKMFKLLPMLTTGCKMAALLGRHSGGRAAPLLADHAPTVTLFGHRRGRLSLAIHEDTRAPPAFLIELPMLASAMHREMATGTVKLALESDTRSARRRLLEEYVWAVFCNGRKAGYAIRRKDASDDDRHVLRLLRGVSMGAGVLPPPPADRRGGAGPDGELTYMRARVERVVGSKDSEAFYMINPDDGSDNGGAAGRDRECAPELSIFLVRKK >ONIVA08G20090.1 pep chromosome:AWHD00000000:8:21357407:21360929:-1 gene:ONIVA08G20090 transcript:ONIVA08G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCLPPPPRAAIAAVLTSLLPPPPGASASLAPAGPAAAVARQIRRNDGHHPGAAVGMSSASVAERERESEAGEFTEVVVVRHGETAWNASRIIQGHLDVELNEIGRQQAVAVARRLSNEAKPAAIYSSDLKRAAETAEIIAKACSLPNVVFDPALRERHIGDLQGLKYEDAGKEKPEAYRAFLSHKRNRQIPGGGESLDQLSERCVSCLYNIVEKHQGERIILVSHGGTIRELYRHASPMKPLHGKIHNTSVSVILVSGATGRCIVKACGDISHLKETGVLENAFGGDKNSA >ONIVA08G20090.2 pep chromosome:AWHD00000000:8:21357407:21360929:-1 gene:ONIVA08G20090 transcript:ONIVA08G20090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCLPPPPRAAIAAVLTSLLPPPPGASASLAPAGPAAAVARQIRRNDGHHPGAAVGMSSASVAERERESEAGEFTEVVVVRHGETAWNASRIIQVPCSVPPAPSPIYFSEALVFNFGEKLWLGKLGRINDSLVGHLDVELNEIGRQQAVAVARRLSNEAKPAAIYSSDLKRAAETAEIIAKACSLPNVVFDPALRERHIGDLQGLKYEDAGKEKPEAYRAFLSHKRNRQIPGGGESLDQLSERCVSCLYNIVEKHQGERIILVSHGGTIRELYRHASPMKPLHGKIHNTSVSVILVSGATGRCIVKACGDISHLKETGVLENAFGGDKNSA >ONIVA08G20080.1 pep chromosome:AWHD00000000:8:21352525:21356761:1 gene:ONIVA08G20080 transcript:ONIVA08G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G50560) TAIR;Acc:AT3G50560] MLRSVSGSNSSRGIAAVVGVGPRLGSAVARKFASEGYTLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNACEPPADGDGDASPRPTPFLAISPDAFHRALAVSAAGAFYCAHQVIPGMVERGRGTVIFTGSSASVTGYAGYSDLSCGKFALRGLSQSLAKEFQPAGVHIAHMIIDGVIGEPRSGRGRCGGETASSAGADPDAVAQSYWHVHAQDRSAWTQEMDIRSPSLM >ONIVA08G20080.2 pep chromosome:AWHD00000000:8:21352445:21356761:1 gene:ONIVA08G20080 transcript:ONIVA08G20080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G50560) TAIR;Acc:AT3G50560] MMAGDGGCGCVRWCREAVAAGGGDRAGGEGAGVRAAGGLRRRAVRARGLRRGALARPRRGARLQRLRATRRRRRRRLPAPDSLPRHLPRRLPPRPRRLRRRRLLLRPPSYTGDGRAGQGHRYLHRLVGVGHRLRRLLRSKLRQVRAERAVSVAGQGVPAGRRPHCSHDHRRRHRRTQVREGEVRRRDGVVGGGGPGRGGAELLARPRAGQERVDAGDGHPVAVAHVVGRPIGEVERHAL >ONIVA08G20070.1 pep chromosome:AWHD00000000:8:21338875:21345887:1 gene:ONIVA08G20070 transcript:ONIVA08G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKETSPGVTDRYQAANKTEEAVVKRTPPPPTRRRRRNITREYETNRTRDHHFTAGFCLAFWAPPRLAAAAASVGSSDPTSPIGRSCQWPPNLTLVVIGDAHGAGEAMSGSVGRRRSPAAVRHGGVRAPPRSDKVMERPNSKIPAMKASSSNAAAPQMIMNRSQSRRDRKIALQQDVDKLRKRLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVSFRQGLYEEAVTISMAKSAYFSDTDRCTPARHGQVPDQAASASWSSLKRVTNVKQTPRRTIPSMNHGGDRPGKENQSCTTNSFRDHSRFPLKTVPKCSNPEEEKCADFQTVSAVKDQKGTEDTTVIDSENISTEANKVSEELLTCLLNIFSQMRSSSDQDEDRSSSPSVSGSCESSDGAACAGDPYGVLELGSRDIGPYKQFRAVDATSFDQNVFDSSNSLLGRRLNVYLAKIRALLQKLSSVDLVGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPQTLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHVNPKGVKSGNGAAAAARGVFGLDWPEPSVTFALSCGSWSSPAVRVYTACHVEEELEAAKRDYLQAAVGVSTATSISIPKLLHWYLLDFTKDVSSLMDWVCLQLPGERRRHAVEAVEASRRSPSPPPIQVVPYEFRFRYLLAT >ONIVA08G20070.2 pep chromosome:AWHD00000000:8:21338875:21345887:1 gene:ONIVA08G20070 transcript:ONIVA08G20070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKETSPGVTDRYQAANKTEEAVVKRTPPPPTRRRRRNITREYETNRTRDHHFTAGFCLAFWAPPRLAAAAASVGSSDPTSPIGRSCQWPPNLTLVVIGDAHGAGEAMSGSVGRRRSPAAVRHGGVRAPPRSDKVMERPNSKIPAMKASSSNAAAPQMIMNRSQSRRDRKIALQQDVDKLRKRLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVSFRQGLYEEAVTISMAKSAYFSDTDRCTPARHGQVPDQAASASWSSLKRVTNVKQTPRRTIPSMNHGGDRPGKENQSCTTNSFRDHSRFPLKTVPKCSNPEEEKCADFQTVSAVKDQKGTEDTTVIDSENISTEANKVSEELLTCLLNIFSQMRSSSDQDEDRSSSPSVSGSCESSDGAACAGDPYGVLELGSRDIGPYKQFRAVDATSFDQNVFDSSNSLLGRRLKALLQKLSSVDLVGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPQTLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHVNPKGVKSGNGAAAAARGVFGLDWPEPSVTFALSCGSWSSPAVRVYTACHVEEELEAAKRDYLQAAVGVSTATSISIPKLLHWYLLDFTKDVSSLMDWVCLQLPGERRRHAVEAVEASRRSPSPPPIQVVPYEFRFRYLLAT >ONIVA08G20060.1 pep chromosome:AWHD00000000:8:21335091:21336710:-1 gene:ONIVA08G20060 transcript:ONIVA08G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVAPGGGEPSQRVGGVGSVELAALEDRVGGLGGVEVAAVAAASTIASEAWVAAAGMEARLLWPPAGCGRPVARGGSPCLKKIKGSWE >ONIVA08G20050.1 pep chromosome:AWHD00000000:8:21332766:21333233:1 gene:ONIVA08G20050 transcript:ONIVA08G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRADDEKAVLAPTLSCADLVRGDGSRAAGGGDVRGGGGMAGSGTLLSGSGAGGRAPTPGSEAAGARGAGRRWLKAAGMAMAAGTWRQLGAEAAAVVPWLRRRGAWWLRRWCPQIRASRPGLEGGGSWRRRRRCGDGGGGSWPAASGDGGLSRI >ONIVA08G20040.1 pep chromosome:AWHD00000000:8:21317594:21317914:1 gene:ONIVA08G20040 transcript:ONIVA08G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHKVVFCTCILIFIVVVISGQAEARRLAAVANGNEDAVAVEGDGSFRAVQETASSASTDHAAAGRGGGGAAAAVQGSMPMTTTDSRPTAPGNSPGIGNKGKINN >ONIVA08G20030.1 pep chromosome:AWHD00000000:8:21310895:21311878:1 gene:ONIVA08G20030 transcript:ONIVA08G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPTAPPAASADDEIVYESMPCIRIYKNRVERYFGSEFVAASTDAATGVASHDRVISSNVSARLYLPRLDDSAAAKAKLPVLVYYHGGGFCLGSAFNPTFHAYFNTFAALANALVVSVEYRLAPEHPVPAAYADSWEALAWVAGHAAGDGDEAWLVDHADFSRLYLGGESAGSNIAHHMAMRVAEEGLPHGAKIRGLVMIHPYFLGTNRVASDDLDPAVRESLGSLWRVMCPATTGEDDPLINPLVDGAPALDALACDRVLVCIGEGDVLRDRGRAYYDRLTSSGWRGEAEIWQAPEKGHTFHLLEPHCDAAIAQDKVISGFLNR >ONIVA08G20020.1 pep chromosome:AWHD00000000:8:21309245:21310207:1 gene:ONIVA08G20020 transcript:ONIVA08G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPDDEITFEFLPLIRCYRSGRVDRLLPDTRVPPSVDAATGVASRDVTIDPATGLWARLYLPDLDGGERKLLPVVVYLHGGGLVVGSAADALEHGFANRLCARARALVVSVDYRLAPEHPVPACYDDAWSALHWAVAAASADPWLRDHGDRERVFVLGYSSGGNIAHNVTLRAGAEELPGGASVKGMALLHPYFMAAKKADGEVKNAWLRGKLEEMWALACGGGRTTAGLDDPRINPVADGAPSLRRLGCDRVLVCLADDELEVRGKAYYDGLLESGWAEDAAELLVSGEDHEYVHRDPDSAKAVVVMDRQAALFGGKN >ONIVA08G20010.1 pep chromosome:AWHD00000000:8:21300743:21304931:-1 gene:ONIVA08G20010 transcript:ONIVA08G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSLFALLVVAAAMCASVASALRSRAATDPNMEVKFDFTPFLIQYRSGRVQRLMGTTVVAPSLDVRTGVVSKDVVVDRSTGLAVRLYRPKHRGGRLPVLIYFHGGAFVVESAFDPVYHNYLNALAAKAGAIAVSVNYRLAPEHPLPAAYDDAWTVLRWVAADMQRGADSWLARRGDASRLFVAGDSAGGNIAHNLAMRAGQHGGGATIRGVALLDPYFLGKYVDPTAQRAWGFICAGRYGMEHPYVNPMALPAASWRRLATSRVLMTVSDLDRLGPWQRAYVDALRGSGWPGEARLYVTPGEGHCYFLNNLESPKAAMHMATLAAYRKNIAHNKQKTINSVQDLVITLLIPAMNPDTEVDFDFSPLLIRYKSGRVHRLMGTARVDAGTDAVTGVTSKDVVIDAQSGGLAARLYLPGGVPRCEKLPVVVYFHGGGFVVHSAFSRVHSRFLNALVAAAGVVAVSVDYRLAPEHPLPAAYDDAWAALRWTVASCSASGGPEPWLAEHGDAARIFVAGDSAGANIAHNVTMRAGKDGLPGGARIEGMVLLHPFFRGGELVPSERADPELPRRAEKSWGFMCAGRYGIDHPFINPLSTPAEEWAALGCRRALVTVGELDTMRDRARMYVEALRGSAWEGEEAALYETGGEGHVYFLEEAAAAAAAGGDKAEAELDAVVSFIKRSSAAT >ONIVA08G20010.2 pep chromosome:AWHD00000000:8:21300743:21304931:-1 gene:ONIVA08G20010 transcript:ONIVA08G20010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSLFALLVVAAAMCASVASALRSRAATDPNMEVKFDFTPFLIQYRSGRVQRLMGTTVVAPSLDVRTGVVSKDVVVDRSTGLAVRLYRPKHRGGRLPVLIYFHGGAFVVESAFDPVYHNYLNALAAKAGAIAVSVNYRLAPEHPLPAAYDDAWTVLRWVAADMQRGADSWLARRGDASRLFVAGDSAGGNIAHNLAMRAGQHGGGATIRGVALLDPYFLGKYVDPTAQRAWGFICAGRYGMEHPYVNPMALPAASWRRLATSRVLMTVSDLDRLGPWQRAYVDALRGSGWPGEARLYVTPGEGHCYFLNNLESPKAAMHMATLAAYRKNIAVSSTSVLSFTVGCDDCLSVWLISPPRFTKHNKQKTINSVQDLVITLLIPAMNPDTEVDFDFSPLLIRYKSGRVHRLMGTARVDAGTDAVTGVTSKDVVIDAQSGGLAARLYLPGGVPRCEKLPVVVYFHGGGFVVHSAFSRVHSRFLNALVAAAGVVAVSVDYRLAPEHPLPAAYDDAWAALRWTVASCSASGGPEPWLAEHGDAARIFVAGDSAGANIAHNVTMRAGKDGLPGGARIEGMVLLHPFFRGGELVPSERADPELPRRAEKSWGFMCAGRYGIDHPFINPLSTPAEEWAALGCRRALVTVGELDTMRDRARMYVEALRGSAWEGEEAALYETGGEGHVYFLEEAAAAAAAGGDKAEAELDAVVSFIKRSSAAT >ONIVA08G20000.1 pep chromosome:AWHD00000000:8:21298343:21298974:-1 gene:ONIVA08G20000 transcript:ONIVA08G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKEMVVAAVAGEGEAGGARQPPREPRRGRQRPTADPQPTPALSSTPPPLATLDPAAVFVSDSSRRELAPASALHRRSLRPSLGPPLLSLPLAWPAASPLATNGACGTCASVRRWWNSARLAGRIGPICRRQPPMKRRGEDFW >ONIVA08G19990.1 pep chromosome:AWHD00000000:8:21295383:21296495:-1 gene:ONIVA08G19990 transcript:ONIVA08G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISSALLLLLNMAGALLSPRAPPPAPAALTGAGDGEDVDFFFFPFLVLYKSGRVVRFIGTDTVPASMDPATGVASKDVVIDADAGLAVRLYLPNVANLTAGKRGGGDKLPVVVFYHGGGFVTESAFSPTYHRYLNALVSKARVVAVSVEYHLAPEHRLPRAYDDAWAALRWVLENAGAGPEPWLSRHGDTAKLFLVGDSAGGNIAHNVAMRAGGEGGLHGGAAIRGVALLDPYFWGKRPVPSETADPATRRWRERTWGFVCAGRYEVDDPVIDPVAMARGEWRRLGRARVLVTVASLDTLSARGRAYVAAARASGWGGEAVLYETPGENHVYFLVEPDGEKAAKEMDAVVAFINEGEQVITASRMDA >ONIVA08G19980.1 pep chromosome:AWHD00000000:8:21282999:21297063:1 gene:ONIVA08G19980 transcript:ONIVA08G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLELQDGIRMPWNVIPGTKQDAANCVVPVSAIYTPLRPNPAIPVLPYGPLRCRMCRSILNPFCVVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDQILEQMCFFAGKTKPTTGVIAGSRDGLSAESISRFLLPASECEFVLNSIIEELQKDPWPVSADQRASRCTGTALSVAASLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYTKAVKFYEEISKQLVHQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKESLQRIFQSSDNDLGLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTVVGQGSTSAWKMCGLDRKTSICLVFDIARKDGPDAISQSTSNQFYFQFLTYYQHHEGQMRLRATTLSRRWVAGSGGVQDLIDGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISMCSKFGDYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRENVANAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTIVIFHGITIAQWRKAGYQDQQGHEAFAQLLKAPHEETDSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPPPPGGDVIFTDDVSFEVFMDHLQRLAEQQLQEARKGVDQ >ONIVA08G19980.2 pep chromosome:AWHD00000000:8:21282999:21297063:1 gene:ONIVA08G19980 transcript:ONIVA08G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLELQDGIRMPWNVIPGTKQDAANCVVPVSAIYTPLRPNPAIPVLPYGPLRCRMCRSILNPFCVVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEFMSTAETGPVVPPVFLFVVDTCMIEEEIDYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDQILEQMCFFAGKTKPTTGVIAGSRDGLSAESISRFLLPASECEFVLNSIIEELQKDPWPVSADQRASRCTGTALSVAASLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYTKAVKFYEEISKQLVHQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKESLQRIFQSSDNDLGLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTVVGQGSTSAWKMCGLDRKTSICLVFDIARKDGPDAISQSTSNQFYFQFLTYYQHHEGQMRLRATTLSRRWVAGSGGVQDLIDGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISMCSKFGDYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRENVANAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTIVIFHGITIAQWRKAGYQDQQGHEAFAQLLKAPHEETDSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPPPPGGDVIFTDDVSFEVFMDHLQRLAEQQLQEARKGVDQ >ONIVA08G19980.3 pep chromosome:AWHD00000000:8:21289831:21296706:1 gene:ONIVA08G19980 transcript:ONIVA08G19980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVEPSPPMQPTSPPGLPPRARHRCLLRLQIHSVGVAEMKVAVERTGGIVVLAESFGHSVFKESLQRIFQSSDNDLGLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTVVGQGSTSAWKMCGLDRKTSICLVFDIARKDGPDAISQSTSNQFYFQFLTYYQHHEGQMRLRATTLSRRWVAGSGGVQDLIDGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISMCSKFGDYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRENVANAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTIVIFHGITIAQWRKAGYQDQQGHEAFAQLLKAPHEETDSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPPPPGGDVIFTDDVSFEVFMDHLQRLAVQ >ONIVA08G19980.4 pep chromosome:AWHD00000000:8:21282999:21289774:1 gene:ONIVA08G19980 transcript:ONIVA08G19980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLELQDGIRMPWNVIPGTKQDAANCVVPVSAIYTPLRPNPAIPVLPYGPLRCRMCRSILNPFCVVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEFMSTAETGPVVPPVFLFVVDTCMIEEEIDYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDQILEQMCFFAGKTKPTTGVIAGSRDGLSAESISRFLLPASECEFVLNSIIEELQKDPWPVSADQRASRCTGTALSVAASLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYTKAVKFYEEISKQLVHQGHVLDLFACALDQSAATAAADGDDLG >ONIVA08G19970.1 pep chromosome:AWHD00000000:8:21279206:21281125:1 gene:ONIVA08G19970 transcript:ONIVA08G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSNISTQKTHYEVLSVNEGATYDEVRAGYRAAILNAHPDKSQAKLDSLVSSVEHGEFFSVQKAWEVLRDPKSRTEYDKQLQTSRQNSANIAYEIEIEEMTVESTGDAMELMYPCRCGDHFSILSSDLAEMGILVDDDGEIYFQSSDCVSASVVLSCGSCSLKTRLSPYGLYPFESF >ONIVA08G19960.1 pep chromosome:AWHD00000000:8:21277224:21277922:-1 gene:ONIVA08G19960 transcript:ONIVA08G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVRRVGSDLSSGIYSAAASHGHQQQTTSDNGHGSANDDDDDDVAKAKQRLSSLLFSGVGTWRRSVDGSGGDSKRQVKGNSSRGGGGGLDIAQLVKKYASMVERLFFASSLSNNRRRGGGDQSGRRTELRRRRHSFIISGLRRGAAAAAAAPSKRHEGSWLFSAPASLRGSPVASGHLSVKVSTSSEESTMEELHSAVQAAIAHCKNSIAVAAKQQAGGGGGDHADRCKC >ONIVA08G19950.1 pep chromosome:AWHD00000000:8:21274122:21275759:1 gene:ONIVA08G19950 transcript:ONIVA08G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREDAAAAADGEIPAAAARMASSSSSSSSSSWGAICDDVVRNIFARLLARDAVASMALSRHHRRLITSPEFARLHCRHGAPLPRPHIAYVATAVVATHRDMFGRVKSLEQWAEQRAKHGFGTGAFACQSSYDDPEQDRSWTYHGFHVAGDGRRRGTDPMRVLARQKRNDQNYVGTCNGVVLLAGKGEAEDDDPSIGLLLNPAVAGDEMKVSLDCSSPDKRTNYHISGLGYGPRTRTYKLLARKHEFVLNLKVYPNGRKTRGRVSGQPLYIDRADELVVYSLGTAAEQQPRTVLAGLDNDKIPGRSLYMDGAVYLLNADKGTVLAFDVDDEAITPIDLPGERVVVEGGGEPRSHAKSDLMEMSGHVCVATADGGDETRYAIWLLMGDRRWERRCAFRNDYLSSAAKVAGVWDCGSGLLILLQSGDEISIVIHDDVTQEVSHLDAPPNAPPEEESDYRICWGYKPTLVSPASIIGDGELSQHEQQRRDLAAYVLAALKPAKELDRRMGQHAALRTVCFMEFLVRIMQKLPSLLHHGITDLDRFY >ONIVA08G19940.1 pep chromosome:AWHD00000000:8:21268605:21269394:1 gene:ONIVA08G19940 transcript:ONIVA08G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPEQQQPPLPHHQLMAPPRMSFSSDFALEPPPPPSGPGRASMGDADFEFSAVGSRPMIAADQLFSKGRILPMREVSGGGGGGGRAVTLRDELRGHDGAAVADGRHRRAARPAGSGGGGGGGGGVRWKEMLGLKRPGHRKHGAADEGGASTHDLDHMLKRIVPEMGD >ONIVA08G19930.1 pep chromosome:AWHD00000000:8:21258315:21259142:1 gene:ONIVA08G19930 transcript:ONIVA08G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNQEYMIRFDGHIDDASPSSATAEPPPPLPPPRPFAGRAISAEREHSVIVATLLHVISGYRTPPPEVFPAARAEVCGVCGMDQCLGCEFFAGESGVVSFDGAEKVAAAAAAAAAGAAAGQRRRRKKKNKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDRAAVEFRGPRAKLNFPFPEQLSAHDDSNGDASAAAKSDTLSPSPRSADADEQVEHTRWPQGGGGGGGGGGGETGDQLWEGLQDLMQLDEGGLSWFPQSSDSWN >ONIVA08G19920.1 pep chromosome:AWHD00000000:8:21246529:21248539:1 gene:ONIVA08G19920 transcript:ONIVA08G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IDA1] MPPDVEVIRHEHIDHPSSTRDRSVVVSSNSLSNTVSAYTDMKNTSSLCLLLLVVLCSLTCNSGQAQVLFQGFNWESWKQQGGWYNMLKGQVDDIAKAGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTAAELKSLIAAFHGKGVQCVADVVINHRCAEKKDARGVYCVFEGGTPDDRLDWGPGMICSDDTQYSDGTGHRDTGEGFGAAPDIDHLNPRVQRELTDWLNWLKSDVGFDGWRLDFAKGYSTDIAKMYVESCKPGFVVAEIWNSLSYNGDGKPAANQDQGRQELVNWVNAVGGPAMTFDFTTKGLLQAGVQGELWRLRDGNGKAAGMIGWLPEKAVTFVDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGVPCIFYDHMFDWNLKQEITALAAIRERNGINAGSKLRIVVADADAYVAVVDEKVMVKIGTRYDVGNAVPSDFHQTVHGKDYCVWEKGSLRVPAGRHL >ONIVA08G19910.1 pep chromosome:AWHD00000000:8:21244599:21245206:1 gene:ONIVA08G19910 transcript:ONIVA08G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGKWQWMVAVERQSTMEGEDNGGGFRVRRLGQRGRRMCAPLQATRKRRDVDLGKGDDSPHWLSTLSSSLAPYAVAAAASSPPLSFPNADLVLRLHLDPCPTTTRISRLARITRREAAAGREERRRWRGFLTSAPAVLLLLPSHSVELPLLHRSTELPAADTNTVDIAVNPPSCCSRYSAEFPPLPPSCCSR >ONIVA08G19900.1 pep chromosome:AWHD00000000:8:21240336:21242282:1 gene:ONIVA08G19900 transcript:ONIVA08G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ID99] MGKHHVTLCCVVFAVLCLASSLAQAQVLFQGFNWESWRKQGGWYNFLHEKVEEIASTGATHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTEAELKSLIEAFHDKNVECLADIVINHRCADYKDSRGVYCVFEGGTPDGRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNPRVQRELTDWLNWLRTDLGFDGWRLDFAKGYSAPLARIYVDNTNPTFVVGEIWSSLIYNGDGKPSTNQDADRQELVNWVEGVGKPATAFDFTTKGILQAAVQGELWRLHDGNGKAPGLMGWMPDQAVTFVDNHDTGSTQSLWPFPSDKVMQGYAYILTHPGIPCIFYDHVFDWNLQHEIATLAEIRSRNGIHAESTLDILKAEGDIYVAMIDGKVITKLGPRYDAGGIIPSDFHVVAHGNDYCVWEKEGLRVPAGRKHY >ONIVA08G19890.1 pep chromosome:AWHD00000000:8:21212393:21217271:-1 gene:ONIVA08G19890 transcript:ONIVA08G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLFFVFVTAAVLCFVVPAFLLLCTSVQRRRDVGQGGGRDWQKKKKLRLPPGSMGWPYVGETLQLYSQDPNVFFASKQKRYGEIFKTNLLGCPCVMLASPEAARFVLVSQARLFKPTYPPSKERMIGPSALFFHQGEYHLRLRRLVQAALAPDSLRALVPDVDAAVAATLAAWSGGHVASTFHAMKKLSFDVGVVTIFGGRLGRRHREELRTNYSVVERGYNCFPNRFPGTLYHKAIQARKRLRAILSEIVAERRARGGGGDDLLGGLMRSRDDGTAGAVALLTDDQIADNVVGVLFAAQDTTASVLTWILKYLHDSPKLLEAVKAEQMAIYVANEGGKRPLTWTQTRSMTLTHQVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKVAPRPSTFLPFGSGVHACPGNELAKLEMLVLVHRLVTAYRWEIVGASDEVEYSPFPVPRGGLNAKLWKQEAEEDMYMAMGTITAAGA >ONIVA08G19880.1 pep chromosome:AWHD00000000:8:21201521:21208388:1 gene:ONIVA08G19880 transcript:ONIVA08G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFPTTNARQSKGGGGHDGGAGQQCGPSEGAVASYGSWLSSTGASTIRHAALYRWGEEDELQFSAER >ONIVA08G19870.1 pep chromosome:AWHD00000000:8:21191795:21200155:1 gene:ONIVA08G19870 transcript:ONIVA08G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSHSQSQAQAQSQAGRRRRCGWLLPLLVGAAFLAEIAFLGRLDMAKNAAAVESWTTSFYARSSAPARDGKAAVVVPGADADDAPPGGGEVVEEDDGDIRLCEERLEREDGVPHDRDFDKDPVLVGGAAKVSYLFSRSAPPRALPFQFGDPPPCALAFELGELLLDCSAQQDWNKCSVGCEFGFSATKTPDATFGIAPDPTVESILRSMESSQYYSENNIAVARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLESLDVKIDSYGSCHRNHDGKVDKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVTGAIPVVIGAPNIQEFSPGEGAILHIKELDDVPSIAKTMKHIASNQEAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHVATKIHEKEERTPKFMNRPCSCSSKRGKVRPSHLSNSREDACSSNNRGFMDAVMVRCRQCQASRSDQLTMGALESAVLAKFRSLNHVPVWKDERPPSIRGGDELKVYKIYPIGLTQRQALYQFRFRDDADLDKYIKDHPCAKLEVIFV >ONIVA08G19860.1 pep chromosome:AWHD00000000:8:21182137:21182477:-1 gene:ONIVA08G19860 transcript:ONIVA08G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRKYQVLIPYRYQAILARYQVVLGDSYHVSCDACEVSGDTCKVSYDSYHVEGDTCKVSSDTYEVSDDTY >ONIVA08G19850.1 pep chromosome:AWHD00000000:8:21181677:21191084:1 gene:ONIVA08G19850 transcript:ONIVA08G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSLPPVREDLRRGWGRRPARRGEDAAAGDEPRAVSSCDIEDLVCIASRQRHIVAEPPAGDTSTKRGGASVAGAREQSDGGGRLAGAREGRGGGGSSRIWGTERRRWPEPEEGGAVRRRCLEPGEGGGGAGRQGVVIGGRVLPRPRSTPNPNLVAGSRILPHPRSISELVAGDCVLRRELVTSGRVRRPRLNTRLRSPCRSSSPAPSLSPRRILRRPCDHAPRWSLPVAASSTPELIGGGRVLHAGARRRRPRPPHRSSSSAPPELVVGIADVSSSTLAAPTMARALVSQGGGEGAIPLGQYPNGIPNLAAPARESANAKDHGVYQL >ONIVA08G19840.1 pep chromosome:AWHD00000000:8:21179179:21180375:-1 gene:ONIVA08G19840 transcript:ONIVA08G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMAGLSPAAHAAGLRRLSTRAAAGPSSASASPRHGLHSFHALAGAVLSHLRASGVAVLPGLSDAELARAEAEMGFAFPPDLRAVLAMGLPSGPGFPDWRTRAGLRSAFDLPIAAASLQIARGALWPRCWGPRPADPDRALRLARSAIRRAPLLVPLFDRCFLPCRPCLAGNPIFFVTDDRVLCCGLDILHFFTRDSSFQPLDLRPPSSSSSVAPSSGEATPYMRRSLDAACGGKAPRWIEFWSDAASDRRRRDSSSSEASTASSSSGCASPPARRSRTPHWVDTYLDRLGSVLKSGGWRDTEVNEMVEVTASGLFDGEEAPAVDADAVLDALLLKADRCSDSLRRAGWSSEDVSDALGLDLRRCKERPRPAVQLPPEIAVKVERLAKSVARR >ONIVA08G19830.1 pep chromosome:AWHD00000000:8:21152862:21159861:1 gene:ONIVA08G19830 transcript:ONIVA08G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKDGGGSERRGAAAGAGAGAAPLARQGSIYSLTFDEFQSTLGGMGGGLGKDFGSMNMDELLRSIWTAEESQAMASASASAAAAAAEGGLQRQGSLTLPRTLSVKTVDEVWRDFEREASPGAAAADGGGGGGGEQQQPRRQPTLGEMTLEEFLVRAGVVRENTAAAAAMVAAAAAPPVAPRSIPAVNNSSIFFGNYGGVNDAAAAAAGAMGFSPVGIGDPTMGNGLMSGVAGIGGGAITVAPVDTSVGHMDSAGKGDGDLSSPMAPVPYPFEGVIRGRRSGGNVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNMELQKKQVLEAVNNPYGQKKRCLRRTLTGPWNVGEIHI >ONIVA08G19820.1 pep chromosome:AWHD00000000:8:21143057:21149625:-1 gene:ONIVA08G19820 transcript:ONIVA08G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEEGRVVVDLRSAAESAGGGGDEEAHAAPLHEIESLCMRCGDNGTTRLLMTMIPHFREVVLMAFECPHCGERNNEVQFAGQLQPKGCCYRLEILNRQVVKSDSATIKIPELDFEIPPEAQRGSLSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDKFLAKLRSLGLGEAAFTFVLDDPAGNSFIENQNAPSSDPLLSVKFYERTREQQAALGFLVEPSTEEPGDQPVNHASTVEGNSEVLQEPHGSVGAVAGRRAIAQGNPDEVAAALCRYSAPEEVDTLPSTCGACGTECVTRFFATKIPYFREVIVMATTCDMCGYRNSELKPGGEIPAKGKKITLRVQNGKDLTRDVIKSDSAGVKVPELELELASGTLGGIVTTVEGLIVKICEALQRVHGFHLGDSTLEWKKKKWEDFNDRLSKLLSLQEPWTLIIDDGLAASFVAPATDSLEDDNQLTIEEYVRSWEQNEELGLNDMDTSSADAAYNTTNP >ONIVA08G19820.2 pep chromosome:AWHD00000000:8:21143057:21149625:-1 gene:ONIVA08G19820 transcript:ONIVA08G19820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEEGRVVVDLRSAAESAGGGGDEEAHAAPLHEIESLCMRCGDNGTTRLLMTMIPHFREVVLMAFECPHCGERNNEVQFAGQLQPKGCCYRLEILNRQVVKSDSATIKIPELDFEIPPEAQRGSLSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDKFLAKLRSLGLGEAAFTFVLDDPAGNSFIENQNAPSSDPLLSVKFYERTREQQAALGFLVEPSTEEPGDQPVNHASTVEGNSEVLQEPHGSVGAVAGRRAIAQGNPDEVAAALCRYSAPEECLVFDLWLEIPYFREVIVMATTCDMCGYRNSELKPGGEIPAKGKKITLRVQNGKDLTRDVIKSDSAGVKVPELELELASGTLGGIVTTVEGLIVKICEALQRVHGFHLGDSTLEWKKKKWEDFNDRLSKLLSLQEPWTLIIDDGLAASFVAPATDSLEDDNQLTIEEYVRSWEQNEELGLNDMDTSSADAAYNTTNP >ONIVA08G19810.1 pep chromosome:AWHD00000000:8:21137025:21141471:1 gene:ONIVA08G19810 transcript:ONIVA08G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGELHSCGGGGGEGVLVGRGWRKEEAEGGGGGGGCSASSTSRGSSLCDSPLPSFVRHRGGPGSDLELDGLPTSSSNGSHEEDHGPLQGVKGEGWMQVQGPIKNSAARSIGECQDQRYRLGSVLFHGKNERKQRPASVDFGCPSVDRSSTHSPGFLVNGTGAMNKGLSVSSQNKPGAPTSPGTPSYNRQGATVVGYQQGWSSERVALSSNGQRRHSGNSMVLPHNTGRTLPSKWEDAERWIFSPNPSNALGRTSIPQSRRPKAKSGPLGPPGRFSEPYSSVSSSSSLLDTGRAGNLTANSPFLAGVLLPEHVCVSSSHAGRDLSGASGEDKSNGMGGRSGEANGAHPAVWSTRVCQRMDSAVQSSPSLPTSQESVQACTDEQIEITTDLTTSSKPEISRKDVATQTSPELSRSSSPSGRPSFSRSLSVQQVKELESCFSKLEIRDVQMDDRVTLTRWSKKHVTRGSEKNSTNIIEWKKKTVESKSSAWEVTETAKCISKIEGEEAKMTAWENLQKAKAEAAIQKLVMKLEKKRSYSLERIFNTLRSGHRKTHVIRSTTTTNLDQHISRTVKRPSHLSKNGQMSSLSGRHCHFQDGIGGGNQKAC >ONIVA08G19800.1 pep chromosome:AWHD00000000:8:21126368:21135602:1 gene:ONIVA08G19800 transcript:ONIVA08G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLRSAAISYSVRAVGHPSGTLLDTAKQGAFTQRAAWWRPWRFVRKKGKREKRKRSSSQKGPKERSSRKKKSRRDGSTRKKEANEGARFALEDGNGGTGYVMSGSRHARITAVRLRKENQVYSAEEKHALAAFNSEQRARRESKVRDDLRCLVDRTLGKLAGSAVVELDLVMFIVCTLQHYCPNGLAVLVLRVVFTACTGRS >ONIVA08G19790.1 pep chromosome:AWHD00000000:8:21114012:21114752:-1 gene:ONIVA08G19790 transcript:ONIVA08G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDMLNSNPEAQLELMNTMLQLEQLSAFPDHHGMVVPCSPTSPCMGAQGGHHHFSSVNHQPAHGVVSSGGANTGDGYRDQYYTQLLPAAAYSNAAGGGRGSEYHTTTTTRPASGGGGDGGVGPAAMREMIFHIAALQPVNIDPETVRPPKRRNVRISTDPQSVAARMRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAANGHRPPPPTATSAAAATVAYPGLNGQW >ONIVA08G19780.1 pep chromosome:AWHD00000000:8:21096683:21097921:-1 gene:ONIVA08G19780 transcript:ONIVA08G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEEESEAARQKAAAAASASVVPAPFLTKTYQLVDDPATDHVVSWGDDDGGESASSFVVWRPPEFARDILPNYFKHSNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKSAAATWPPFPPPPPPFFAPRHFAAGAFFRHGDGMLHGRLGALVTTTERRHWFESAALPVAPSSRLLSQLGPVIAPARRAAATPEEEALMQDNHRLLRGNAALVQELAHMRKLYSDIIYFVQNHVRPVAPSPAAAAALHGLGVLRPPPAGGKAPASEVRGASGRSATSSSSFTVAEDQPTLLALRLPRTTEKIINEVSGGNGGGRTKLFGVHLSSADEQTSSGASRKRSPPQEQPPTSPAPKRTLVVEHSELRLSIVSPP >ONIVA08G19770.1 pep chromosome:AWHD00000000:8:21095558:21095737:1 gene:ONIVA08G19770 transcript:ONIVA08G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISSAIACPVVRVRGGREVAAAAPPQDHRERGEEAGDIEEEGARGVEHVTRRPSGFVG >ONIVA08G19760.1 pep chromosome:AWHD00000000:8:21094037:21095243:-1 gene:ONIVA08G19760 transcript:ONIVA08G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAASSSSSHHCARLRSRAALLLIIDNLSRIHDIGEVLLIVSHDGYFPEMDEFVRAWTGAPRRFYRY >ONIVA08G19750.1 pep chromosome:AWHD00000000:8:21085835:21089738:-1 gene:ONIVA08G19750 transcript:ONIVA08G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSTRARLLLAAAVLLLSAAPAARAQETDDERKFGYVPGTENGPGNWSRLDPRWAKCNTGNMQVVINGKAYKLKQLHWHTPSEHTVNGRRYDMELHLVHDDGNSNTVVIGNLYQIGNPDPFLLMLEPFIRRIADTKDRSEPIGVVDPQLAKSPDAVYYRYMGSLTTPPCTEGVIWTVFKRAQTVAQYQLDLLREAVADGYENNARPLQKVNNRNISIFIPDPKKD >ONIVA08G19740.1 pep chromosome:AWHD00000000:8:21061059:21067605:1 gene:ONIVA08G19740 transcript:ONIVA08G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases;copper ion binding [Source:Projected from Arabidopsis thaliana (AT1G64600) TAIR;Acc:AT1G64600] MAAALLPETAPRLLTPETIRAAAKQSQGIQLVPLSLRRAIKRYLRDQDKAHMNRKVLQLSASFERAKGTGTELAAAAMRGAIIDDPRAPSGAEQRAARWKVRSAYGDIGLRYREDETVAYVASRMPAIYAACHRVLREVRRRLPDFAPAKVLDFGAGPSSALWAMRAVWPKSIEKVNLVEPSKEMQRAGKNLLDNLKGLPLIHSYDSIQELNRNIEKHERWHDLSYALGEIPSLNDRITIVRQLWDLTGDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKIEKSTHAAPSEMKSIVCQEASLKNGAFVVAPCPHDGRCPLENTDKYCHFVQRLERTSSQRAYKRSNGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFETLKERHAKRNPEDLIIDYDEQFPSEEDEEAPVNAEDSLVPYDSDAQELGLFHETEEEFKEQSVRADLGGGWGRIIYSPIRRGRQVQLDVCRATKRDASEGAFERVVVTQSKNPIMHHQARRSLWGDLWPF >ONIVA08G19730.1 pep chromosome:AWHD00000000:8:21059279:21060596:1 gene:ONIVA08G19730 transcript:ONIVA08G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLPLSPSHRVIAVLLGLLRLAPSPVEGGKFGVVAPSSPSAAAAARLSLPSASRRRQPDSPRRICTYSPCWVLAVTCLHEGMELATLSHDMISLSHNCCNMLPRLLVFRHNGAGGCGGGDEVEGSGGGSGGRVEAEVGERWRLWRWGVAAMGSGGGGDEVEGSGGGYGCGERRRWCNHEHEHEHGDGGASTSSSPKDAVLLLLLCSPPPHPPHKPPLQHSPPPPTAAAAPHRHRSPRPRLHRHRPRS >ONIVA08G19720.1 pep chromosome:AWHD00000000:8:21047176:21050777:-1 gene:ONIVA08G19720 transcript:ONIVA08G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTPQNFTAYSLSPTQSQDFGITHSSLPKSNVNSFQQNGSYVQPTMHKAKHRLQNDDIKLASSAMDQFHEEFSFKRKNLEEEIYRDMMKRLNVCVLNKRSEPTFVLEQKPNNVELSTKKKNEPFVLPYEFRAKEVDEHQIDGARVLENTEKTLEGHHMAKHDIVQNPPKSSRGYGSQPRYSHSLSNWQKQRLHKLSVEKLS >ONIVA08G19710.1 pep chromosome:AWHD00000000:8:21016695:21019287:1 gene:ONIVA08G19710 transcript:ONIVA08G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPAPPGETSRQIPSRDDAKHEKEAANSSSSSSSEEIDEDDFFQIEGPILSTQYSLSPPPAEGGNRDAKQADEPHDPKRIPSAVFARSKSSTPTDWSITSNESLFSINVGNASFSKDHMFLYGKSGELGANDPLPPLPKQSPSSSPLKGEVATPEKPSTSKEKGDGRGLTDRNGDDNTDYTHSSSHRSDGSTTSFAFPILTGSAKTSGSLKDSHPELARQSTAQLTHPSEMRDENENKETPFPAVVMEAPKVEATPAAAATAPAPPAPPATIKWFPCCSCCPFCC >ONIVA08G19690.1 pep chromosome:AWHD00000000:8:21004193:21004429:-1 gene:ONIVA08G19690 transcript:ONIVA08G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTDWGPIIAAVVLFILLSPGFLFQLPARARVVELGNMGTSGLSILVHAILYFCILTIVVVAIGVHVYSTKPDPID >ONIVA08G19680.1 pep chromosome:AWHD00000000:8:20985897:20986199:1 gene:ONIVA08G19680 transcript:ONIVA08G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKELGGSAWQEFDHYDEPRPHCAVTSSKWDLRTALLFSAQLAVGIMRVKLDNLYRGELNHSNVPYSRFWSCVIRVIPTPEV >ONIVA08G19670.1 pep chromosome:AWHD00000000:8:20984156:20984836:1 gene:ONIVA08G19670 transcript:ONIVA08G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTEVPGFVASGNTLRPFNHRSPGALSLFLSVVAREKKQKEGEKNGRKKKRHMKVGPAAQQPYLSNHKFNSTTKKPPWKLYTFYGDIRVVSNPDVKPGIT >ONIVA08G19660.1 pep chromosome:AWHD00000000:8:20979302:20980413:1 gene:ONIVA08G19660 transcript:ONIVA08G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTYVELVDGVMDAWLVGLPLACCRRLVLHACTAVVPFIPPCLCLYLCSSCAARLPLWAMAAGRAAALPERMPQPQLWKPGGRAILTSPCPPARGTHHSSRRLPMGIGGGWRRWSDDSDGEIWVSFTLNKPNGPTSYQREDQHWHLQKRPRRALVGPGAAVAATEDAEADAVWNRLAVGPVDAAACRRRAARGLPPRDLAALGPGALSAADPLLPSLAETEAAR >ONIVA08G19650.1 pep chromosome:AWHD00000000:8:20978651:20979589:-1 gene:ONIVA08G19650 transcript:ONIVA08G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPGFQSCGCGIRSGKAAARPAAMAQRGRRAAQEEQSCCAYNTGEDSTIKCTMTQFEDQFSAIKPDGIQVRCHKEYEI >ONIVA08G19640.1 pep chromosome:AWHD00000000:8:20971783:20974066:1 gene:ONIVA08G19640 transcript:ONIVA08G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSPAIYRCMQWRSWMPATPAGGSGGGANDGGGDGEDKKKSGEVAEAVISVPVHCDGCARKLRRSVQRLDGVEEVTVDCRTNTVIVRGPKAAVDPAGIVEVLDRRTGKKALLLSSLPSANLKPPLSPEKRSSETAKKDAAEQDMGKEMIDLHCEACCEEIKRRILKIKGVEEVTPHMKSSQVMVRGKVEPATLVGLIHKWTGRRAAIFRAEPQHPLPPPSESPPKVDDDNEPPKVAGSTEPAEEEETKQGGDPSPSDDAQEKKEGEEADQMKDQKEEPEEKEKKEEPDEKNEGGEADDLKPLTEDDASYNGVAEESHSTKDHLFRVALPRSVVAVAPPESEKMAMNSLCYSYYYYPAYPYPCHQYYQYPQQNIYAAGNYPAMYGYYPHHVPEDFSDANPNVCTVM >ONIVA08G19630.1 pep chromosome:AWHD00000000:8:20970642:20970854:-1 gene:ONIVA08G19630 transcript:ONIVA08G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVDGNGNAIHGSTLDTEGDDELSTRCSTHGKLRIKDMNELGMVSRLRSSDRMSRSSSEKICDESNTFG >ONIVA08G19620.1 pep chromosome:AWHD00000000:8:20942551:20942808:-1 gene:ONIVA08G19620 transcript:ONIVA08G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVAITAVLCLLFPRAWPPCDARANMPGNLTRIRVVVDNDQVVTEAGWFDDARGQYGIGALEAMEHGQGDYDGDGDGDDKCNR >ONIVA08G19610.1 pep chromosome:AWHD00000000:8:20933529:20935556:-1 gene:ONIVA08G19610 transcript:ONIVA08G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRLLVLLAAASLLLATAVPAARAQEETDHEEEFTYISGDEKGPEHWGKLKPEWAQCGAGEMQSPIDLSHERVKLVRDLGYLDDSYRAAEASIVNRGHDIMVRFDGDAGSVVINGTAYYLRQLHWHSPTEHSVDGRRYDMELHMVHESAEKKAAVIGLLYEVGRPDRFLQKMEPYLKMIADKEDREEKVGMIDPRGARGRASVYYRYMGSLTTPPCTQGVVWTIVKRVRTVSRYQLDLLREAVHDEMENNARPLQAVNNRDISIFRPYPHKRY >ONIVA08G19600.1 pep chromosome:AWHD00000000:8:20922690:20924740:1 gene:ONIVA08G19600 transcript:ONIVA08G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPRGRLTTTSQACGRPATSSSPRAALPSGWRAPQLRWDPNAAWPHICCNRTNRVNNTDLPATMTRSVFSKNAEASSKGKKFDTPTRVSRQKEAATDANLDDHGAESKSASTDSCVA >ONIVA08G19590.1 pep chromosome:AWHD00000000:8:20918287:20918736:1 gene:ONIVA08G19590 transcript:ONIVA08G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATVAAVGSTTAAAAGSTTAAAGGSVVADPLGESSVGVLALLSTGLSLSLSRADLAAVVVAEPRLLYAKADRASSRFSARPTAMVVVLALLSGWVIPSVVVAEPTAADVARARRRRCRPSRLPPPSPSPPQPLIDAIKEAGGDHVRR >ONIVA08G19580.1 pep chromosome:AWHD00000000:8:20914271:20917963:1 gene:ONIVA08G19580 transcript:ONIVA08G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGGGGGKLTRTPSSLLRSPTVRAVAGDDPEPDDKKAQGRRKKLALLRPAAHGLRPGPAQSVLLLVVALPLLALAVVVLRHDGAHHLVLLAAAAAAALAAAAAVARLRGRLRVRRAATGLPVSVRWFIGEGGGDDDDDDDEQHQHRKGKGKVDGLAVREGVEFYSNGDCYEGEFHRARCSGSGVYNFFGKGKYEGDWVDGKYDGHGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAAGQSHGIGAQTCSDGSSYAGEFKGGVKHGLGCYHFRNGDRYSGEYFADRIHGFGVYSFANGHCYEGSWHEGKKQGLGMYTFRNGDRRSGEWDAGALKNPLPLSDQAVQRAVLAAQRAADNAFHLPRVEEQVNRAVMAANRAATAARVAAIKAVQNRIDGKFCHTEV >ONIVA08G19570.1 pep chromosome:AWHD00000000:8:20909841:20913624:1 gene:ONIVA08G19570 transcript:ONIVA08G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSLPVDAASPVARVTGGGGQEVYENMALTASPARPHSWPKQHRRGELPASGNEVAQHAEPLLHHRWLTGGGDGRGCYGRRARRRHHDGMLGGIVGPGVRAEASAMSASCGTKIESMLPRIRHVSETTLQNRRESQIALVLRVGGVSTVFL >ONIVA08G19560.1 pep chromosome:AWHD00000000:8:20906857:20908357:-1 gene:ONIVA08G19560 transcript:ONIVA08G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGGGGGGMDALPDGVVQHILSQLSSARDVAACAGVSRGMRGCVPFLPALYFPRGAFDAAGGAAAADDAIGRMVEAAARLEELVIYCPFSAARLPRWLAARSASLRVLELRMDSAVSSGAGSGHLDCIGAVANLEELRLWGLTMTRAPAWGQLERLRVLEIVGAAVRDVAVNGAVGACPNLTDLALIGCECSGAVAMTLPLVERCRLDFVGSGNCSLALAAPLVESLEIQGFCWISLQGGIRLKHLTIAKNTGTGSVYNIEIGKLPELEKLSLRGVQWSWGAISSVLQCAREVKYLVMKIEFCGDHDTLEPFPEVDLVDFFNSHPKLIKFEIHGAMFAAMCQKNSLKNLDSRFSIPCLEEVLITVRSPLNAELKLNTLESLVKYSPRMRRMVVRISQMKNCHGSADGFFEEICKFMYMNNGRVRIE >ONIVA08G19550.1 pep chromosome:AWHD00000000:8:20905087:20906123:1 gene:ONIVA08G19550 transcript:ONIVA08G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSVVLALALWCLVADGEAVWLELSTTATKCFSERIQSNVVVIGDYDILFDGYPTRPILSIQVILGLLLQVTSPYGKVLHHREKVMQGQFSFNTAEPGVYLACFSVDTLDKELGVALELTKLETAVQAVHGNLMYLRSKESDMRDVSDWTNFKITWLSLMSLSVCVIASIAQLWHLKRFFRKKKLI >ONIVA08G19540.1 pep chromosome:AWHD00000000:8:20896214:20903548:-1 gene:ONIVA08G19540 transcript:ONIVA08G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLALLRGILGPGVAGAVFGVGWWFWVDAVGSSPRWRHSCSTAWTRMRLDTITTLLTATTPSGGLTNLVATPNLWISVQTIKVADSSEALALCGIAGAVGLLVQDALTDKGPSVWTGVAGVLQCVLVLIRYFFKLTQLVYIYVLWISVDYSDL >ONIVA08G19530.1 pep chromosome:AWHD00000000:8:20888805:20894577:1 gene:ONIVA08G19530 transcript:ONIVA08G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLVLALVAATLLPASHCSVSGVGFQLKLRHVDAHGSYTKLELVTRAIRRSRARVAALQAVAAAAATVAPVVDPITAARILVAASQGEYLMDLAIGTPPLRYTAMVDTGSDLIWTQCAPCVLCADQPTPYFRPARSATYRLVPCRSPLCAALPYPACFQRSVCVYQYYYGDEASTAGVLASETFTFGAANSSKVMVSDVAFGCGNINSGQLANSSGMVGLGRGPLSLVSQLGPSRFSYCLTSFLSPEPSRLNFGVFATLNGTNASSSGSPVQSTPLVVNAALPSLYFMSLKGISLGQKRLPIDPLVFAINDDGTGGVFIDSGTSLTWLQQDAYDAVRRELVSVLRPLPPTNDTEIGLETCFPWPPPPSVAVTVPDMELHFDGGANMTVPPENYMLIDGATGFLCLAMIRSGDATIIGNYQQQNMHILYDIANSLLSFVPAPCNIVAFMQTQSWPRLARTNHRESHPSGRFSKETTYYMALVVLVLVLSLAAAVVVTGAGGGALDFRADLDHPYAGSSLSRHDVVRHGARASKTRAAWLTAKLAGVLSNRRGGVSPADVRLSPLSDQGHSLTVGIGTPPQPRKLIVDTGSDLIWTQCKLSSSTAVAARHGSPPVYDPGESSTFAFLPCSDRLCQEGQFSFKNCTSKNRCVYEDVYGSAAAVGVLASETFTFGARRAVSLRLGFGCGALSAGSLIGATGILGLSPESLSLITQLKIQRFSYCLTPFADKKTSPLLFGAMADLSRHKTTRPIQTTAIVSNPVKTVYYYVPLVGISLGHKRLAVPAASLAMRPDGGGGTIVDSGSTVAYLVEAAFEAVKEAVMDVVRLPVANRTVEDYELCFVLPRRTAAAAMEAVQVPPLVLHFDGGAAMVLPRDNYFQEPRAGLMCLAVGKTTDGSGVSIIGNVQQQNMHVLFDVQHHKFSFAPTQCDQI >ONIVA08G19520.1 pep chromosome:AWHD00000000:8:20885780:20886397:-1 gene:ONIVA08G19520 transcript:ONIVA08G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGRGLLSLVSQLGPSRFSYCLTSFLSPEPSRLNFGVFATLNGTNASSSGLPVQSTPLVVNAALPSLYFMSLKGISLGQKRLPIDPLVFAINDDGTGGVFIDSGTSLTWLQQDVYDAVRRELVSVLRPLPPANDTEIGLETCFPWPPPPTVTMTVPDMELHFDGGANMLHPILSLLFCRGSTTVSQYHVSTYDLCCILLPQIL >ONIVA08G19510.1 pep chromosome:AWHD00000000:8:20873710:20888775:1 gene:ONIVA08G19510 transcript:ONIVA08G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQMSFSGWVLCDWSQARTAISLAPRRSSTSTSTDQKHQHQCLPYLVGFSGRSSTHTSHVSPPSSVTSTRESKLHECPIEHMLTESCKLPTYNLPLGSAS >ONIVA08G19500.1 pep chromosome:AWHD00000000:8:20872286:20875909:-1 gene:ONIVA08G19500 transcript:ONIVA08G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ID59] MAASVQPRQFGHLEPGSAPVCGAASSNGAKAYPPANGIPHRADSPVRGCGFPPLVSPPSRKPPSDGSDDEEEEQEDWRELYGSHLQLEVEPSVRDARDEGTADAWIERNPLLIRLTGKHPLNCEAPLARLMHHGFITPAALHFVRNHGAVPRGDWSTWTVEVTGLVKRPMRLTMDELVNGFPAVEVPVTLACSGNRRKEQNMVQQTVGFNWGAAGVSTSVWRGARLRDVLRRCGIMPSKGGALNVCFEGAEDLPGGGGSKYGTSITRQWALDPSRDIMLAYMQNGEPLLPDHGFPVRAIIPGCTGGRMVKWVKRIIVTTAESDNYYHYKDNRVFPSHVDAELANADAWWYKPEYIINELNVNSVITAPGHDEILPINGITTQRGYTMKGYAYSGGGKRITRVEVTLDGGETWLVCVLDLPEKPTKYGKHWCWCFWSVEVEVLDLLGAKEIAVRAWDQSHNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFMNTTDGKQFTMSEVRKHSSQDSAWIVVHGHVYDCTAFLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDTYRIGELITTGAGYSSDNSVHGASNLSQLAPIREAIKAPAPVALSSPRDKVPCQLVDKKELSRDVRLFRFALPSSDQVLGLPVGKHIFVCASIEGKLCMRAYTPTSMVDEVGHFDLLIKVYFKNEHPKFPDGGLMTQYLDSLPVGAYIDVKGPLGHVEYTGRGEFVINGKPRNARRLAMIAGGSGITPMYQVIQSVLRDQPEDTTEMHLVYANRTEDDILLRDELDRWAAEYPDRLKVWYVIDQVKRPEEGWKYGVGFVTEEVLREHVPEGGDDTLALACGPPPMIKFAVSPNLEKMKYDMANSFIVF >ONIVA08G19490.1 pep chromosome:AWHD00000000:8:20869861:20872071:1 gene:ONIVA08G19490 transcript:ONIVA08G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRFVFATLMRRLVGKLVLKNGGRGVVTTTVHQPTTENPVRLKSRSSLEKKKNEGINR >ONIVA08G19480.1 pep chromosome:AWHD00000000:8:20861201:20864999:1 gene:ONIVA08G19480 transcript:ONIVA08G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinetochore protein Ndc80 (InterPro:IPR005550); Has 24780 Blast hits to 15608 proteins in 1321 species: Archae - 545; Bacteria - 2969; Metazoa - 12597; Fungi - 2181; Plants - 1581; Viruses - 39; Other Eukaryotes - 4868 (s /.../ NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G54630) TAIR;Acc:AT3G54630] MRRGGGGGGRRLPKSSLAPSAASDRTPLLDPHVLHPRNLDLAFSRRDSDAASLCSSRPSSIGTGPSFAAPVTNFSDRASQAAALRVVNAYLAPAVSLRPPLPSAKDIVAAFRHLFECLDFPLHGAFEDDLLFVLRVLRCPFKLTRSALKAPGTPHSWPPLLSVLYWLTLLVNSSESGAGGDDSPAASNDLMLYITNSYSLFISGDDDSVASLDEEYSSKARAHAQAAVEASQALEKEALDLESKRTKLTSGPSRLEALQAEKEALTADVEKFEAVVKSWTVKIQEKEESSVHLEKELEAKLMDQQRIAAENEELMKKVDAQVVNVRDVDRMQREIQSVERDNVKLENGNATLEEKGWELEAAVVGKLEEIEGLVEQCNQALRKLKPGIDFQYMLNTKASSPVELLGTSYKTIMKPALNSLADEARRISILKHDESVELEKQSQRNAKILSEKKNHISVCQTKTDEMVARLDSLDVEIGNHVSRCKADARLMKDELEKKDHHLSTVEKESEEFLKISEKKLEDAKRETDEEIQMCARELLKLIDSVTEYKEFMETSISGMRKDLYETVDDISSLASKAASTRQTSAQFVM >ONIVA08G19470.1 pep chromosome:AWHD00000000:8:20853686:20858591:1 gene:ONIVA08G19470 transcript:ONIVA08G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVQPRQFGHLEPGSAPVRGAASSNGAKAYPPANGIPRRADSPVRGCGFPPLVSPPPRKPPSDGSDDEEEEQEDWRELYGSHLQLEVEPPVRDARDEGTADAWIERNPSLIRLTGKHPLNCEPPLARLMHHGFITPAALHYVRNHGAVPRGDWSTWTVDVTGLVKRPMRLTMDELVNGFPAVEVPVTLACSGNRRKEQNMVQQTVGFNWGAAGVSTSVWRGARLRDVLRRCGIMPSKGGALNVCFEGAEDLPGGGGSKYGTSITRQWALDPSRDIMLAYMQNGEPLLPDHGFPVRAIIPGCIGGRMVKWVKRIIVTTAESDNYYHYKDNRVLPSHVDAELANADAWWYKPEYIINELNVNSVITTPGHDEILPINGITTQRGYTMKGYAYSGGGKRITRVEVTLDGGETWLVCVLDLPEKPTKYGKHWCWCFWSVEVEVLDLLGAKEIAVRAWDQSHNTQPEKLIWNLMPRNARRLAMIAGGSGITPMYQVIQSVLRDQPEDTTEMHLVYANRTEDDILLRDELDRWAAEYPDRLKVWYVIDQVKRPEEGWKYSVGFVTEEVLREHVPEGGDDTLALACGPPPMIKFAVSPNLEKMKYDMANSFIVF >ONIVA08G19460.1 pep chromosome:AWHD00000000:8:20843948:20844525:1 gene:ONIVA08G19460 transcript:ONIVA08G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGEWWPAALEAKALLQASLPLASIGLSTHLGVLLFFPLHGGDPVCDVYNSEAVTTTTATSIFGSVAGSGSYRGRSGQIWCCLGSNLHLRRFVFLHSLAGQKLHSAVTRN >ONIVA08G19450.1 pep chromosome:AWHD00000000:8:20803886:20807330:-1 gene:ONIVA08G19450 transcript:ONIVA08G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLGDTGRFLFEWVPQLRCFGLGVVGVGAAGDPIPHGHGHTPHGRELELELFFPKCMESPASEAVVTGLPAHQDTMVMYDEFDELLQSFRDGNEEQQLVGFDSSCFLNEKNSDVTCFLDYDGDDLPLALSSVSPMEPLDNTVGQPQAELEHPPSSASSHGNVGPHASDTGASADKDCSSKRPATPEGNETASSKRSRTTAAAPPLSGAGTSVVYPFAVVKPSGLDGGATLADINARILTRPPRPVRHPVGDFACAPRAAAGGDRPAPSGKTVAGFTRLHTAGSGTITIIRTKG >ONIVA08G19440.1 pep chromosome:AWHD00000000:8:20787707:20801705:1 gene:ONIVA08G19440 transcript:ONIVA08G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHALLLQLYKCKKQTKSRNNHIYTKPVRRAALDLPPVPRCAPTLPRVASSSLPRLPWHHPPFGACDASAETGELEEAEILDEEESGNPSRRRGGEEKGLPFSPDEESRGGVARSCAREKRRRITREEIFPTSGCRGPFPGVSPRIGPKNPCLMGFQMDMK >ONIVA08G19430.1 pep chromosome:AWHD00000000:8:20783144:20786436:1 gene:ONIVA08G19430 transcript:ONIVA08G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCAPTAVPPQDASGAATEPFRSLQIATAGAAAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPTCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACSRCSKAYAVHSDYKAHLKTCGTRGHTCDCGRVFSRVESFIEHQDACNAGRPRAAEASSSPGRGGGVVVGAPAAASSSQQQQQQQLFAVPASLSRTASSASPSSDLVVSPVAWPATGGGGPAMASPRAAAPAPAGGSIAAFHHRFTDPALSPPTPCGGRRGGCHTTHSLELQLMPPRTTTTCAGGSLGGTPVATAVGYYASSSPHSPAAALPSRQPVADAMRLQLSIGFGGARDDGNNNSSSSSGEVSASATRLKEAAHEQLRLAMAEKAAADEARAQAKRQAELADQELATARRMRYQAQVELSRAHVLRDHAIRQVDATQLQITCYSCRHKFRARAAAMISSDVASYVSSIVTEGGDAEVDNDGNLHRRRLNADDGMPRGHSRTMAMDLN >ONIVA08G19420.1 pep chromosome:AWHD00000000:8:20739156:20740520:1 gene:ONIVA08G19420 transcript:ONIVA08G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAAASGSASEASDGEAGGAGRRRSPSRSRSPSRSASPSRSRSKTPPHNAAVLSSTPASAAVDFVAASDSDAGADADARLASPRRSRERSPRLHSDSDNSAAATAEAAEAAAAAAFDDGDDEGNATPPPRSRRSSRVEATGVKPISSRPMDASRRPAAASSQSQRRSKRPRSSPTQHSPEQHKRPPRVWNPQDEVTILRALISYRAKNGALPGSSQDTGKLHNMIRGQLSVKASTTQLSDKVRRLKHKYNLILTRVTKSGRDPDLPTEHDREVYELSKKVWGTKSGGAGAGSGGGGGGGGGRVYENAEVVQSDEEQWSRDDSDEDMESGWDDRDHRNRRLKAIVVANGNGNAVTGGRSVHGNGSGKGDVADKGKDMYPYLWEAVEELSKEHPSGTAFRKAFGVLDGSRARAMEEKLNRFRLSEIRQQLRRMDLMKETIKMVLDALEGSDL >ONIVA08G19410.1 pep chromosome:AWHD00000000:8:20734130:20735671:1 gene:ONIVA08G19410 transcript:ONIVA08G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ID50] MDATYKLGTRCHCHLATQKQATGFREDIQLVLIPSIYRERREMGKTWAIITHLNSIAGPSITLLYPLYASICAMESPTKVDDEQWLAYWILYSFITLLEMVAEPVLYWIPVWYPVKVLFVAWLVLPQFKGASFIYKKLVREQLRKYRARGGAAATVTAGEDHKVHIAKAEHDHGH >ONIVA08G19400.1 pep chromosome:AWHD00000000:8:20732489:20732962:-1 gene:ONIVA08G19400 transcript:ONIVA08G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSINCNPATLHRSPINRPIQSYSVLIEGRGEEREMDVVTFGVVVGILVLLFVLVLVVTIEGVCKRRSSS >ONIVA08G19390.1 pep chromosome:AWHD00000000:8:20725702:20732465:-1 gene:ONIVA08G19390 transcript:ONIVA08G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family [Source:Projected from Arabidopsis thaliana (AT1G68100) TAIR;Acc:AT1G68100] MRGGLLVLLLLTAAVAGGGGHEGSSSCPFHAHDKPHDDHHHGHGHSCGGGGDDSHHVHHHHHGHGHGHDGGIQRRLLPEELAEEADLELDSFGDHHHHHHHHHEHHHHDHHHGHGDFQAELSPLGMWLSAMGCSLLVSMASLVCLVLLPGKPSKTMVDCLAIFGVRCLGIHFFINCHMPLVEGILIHMIIRIIIILMSIHMHTLWKIFLLIVRYVEDNSQKGAHGMGHHHHHHKRHDRSDKVKLNHAEKDHEDKGVNQAEKEPSHDGAIEKTDGVTRADSKSAIRKRGLSSGSNSADREPVNSESDPAPNKALSSEDSSVSNSNMVFGYLNLFSDGVHNFTDGMALGSAFLLHGCVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVTKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPEMNDQKTTVKSSMIQLVSLTMGMLVALGISLISEKLNCK >ONIVA08G19390.2 pep chromosome:AWHD00000000:8:20725273:20732465:-1 gene:ONIVA08G19390 transcript:ONIVA08G19390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family [Source:Projected from Arabidopsis thaliana (AT1G68100) TAIR;Acc:AT1G68100] MRGGLLVLLLLTAAVAGGGGHEGSSSCPFHAHDKPHDDHHHGHGHSCGGGGDDSHHVHHHHHGHGHGHDGGIQRRLLPEELAEEADLELDSFGDHHHHHHHHHEHHHHDHHHGHGDFQAELSPLGMWLSAMGCSLLVSMASLVCLVLLPGKPSKTMVDCLAIFGVRCLGIHFFINCHMPLVEGILIHMIIRIIIILMSIHMHTLWKIFLLIVRYVEDNSQKGAHGMGHHHHHHKRHDRSDKVKLNHAEKDHEDKGVNQAEKEPSHDGAIEKTDGVTRADSKSAIRKRGLSSGSNSADREPVNSESDPAPNKALSSEDSSVSNSNMVFGYLNLFSDGVHNFTDGMALGSAFLLHGCVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVTKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPEMNDQKTTVKSSMIQLVSLTMGMLVALGISLFLHCSNSNFPHGTDH >ONIVA08G19380.1 pep chromosome:AWHD00000000:8:20721014:20722204:1 gene:ONIVA08G19380 transcript:ONIVA08G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARQWWRRAAAAAKDRRSLYLTRVAALRPASPAAAAALRNAELEAVVIRATSHDERSVDYRSAARVFALARASPAVLQPLMWALARRAGRTRCWAVALKALMLAHGLLLRSDLAPRAARLGRVPFDLADFRDRSSSPTKTSGFSAFVRAYFHFLDTRSLFAAQDMDNNDDDDADDEDARLDGVSRLQHLLDLLMQIRPYGDGMEQGLILEAMDCVVIEIFEVYSQICTGIARFLVGVLGSAPTTPRPRPGETMAAARRRRGLQGMRVLRKASEQSAQLTSYFELCRSLGVLNAAEFPAVERIPDDDIRDLEKLIMSHVVEDRGKEKVSEEKALVAVEDTGVASRTVVTREWVVFDDDDEDDGVAGARQGHFGHYVNPFLGAPWEAVTGSGNLLV >ONIVA08G19370.1 pep chromosome:AWHD00000000:8:20716330:20718201:-1 gene:ONIVA08G19370 transcript:ONIVA08G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWWSCFGCSLSIAAGGKVRLPAPLPDWPQHSARKQAAASPTSEEQGRCLPISIPKNENADDDGTELSCLICLIGSAA >ONIVA08G19360.1 pep chromosome:AWHD00000000:8:20708364:20708585:-1 gene:ONIVA08G19360 transcript:ONIVA08G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKPCVTVRVEVEGEEQRRFAVLLGHPKHPLLGELIDEAEHEYGFTQQGTICHHHLWPRRHGEGGCGDASLR >ONIVA08G19350.1 pep chromosome:AWHD00000000:8:20685626:20688198:-1 gene:ONIVA08G19350 transcript:ONIVA08G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPAEYRSYRYVLDDNLSSPTPAPGPSQPPPSPPPPPPPSPPSSPPQYPSPWSSPPSPPAPPPQILTAPPLPAQALPLAQPKTNSSTKTIAMAVVVPTLAVCVVAAALLWLWRQRKCRRKNSPPPANNDSDQYSSDGQRQHGTADLERAVTGGGPRRYQFHELAAATRDFAEEEKLGQGGFGNVYLGRLAVGTGGGEDHQEVAVKKFSMDSMSQGRREFEAEVRIISQLRHRNLVQLHGWCDSRKGLLLVYELVAGGSLDKHIYNTDRILPWPERYKIIMGLGAALRYLHQEWEQCILHGDIKPSNIMVDTSYNTKLGDFGLARLVDHGKAWQATRSVLGTAGYIDPEFVNTRRPSTESDVYSFGVVLLEIVCAKPPVVLQENEPSFVLLRWVWNLYSQNAILDAVDERLRVVGVVRDERQMERVLVVGLWCAHPDLSERPSIARAMNVLQSDDARLPDLSPQMYKSKASPPPRDVAVGVDYGGVSTGSTFSGSGVPTSATTTTTRSSGSFVG >ONIVA08G19340.1 pep chromosome:AWHD00000000:8:20678450:20678704:-1 gene:ONIVA08G19340 transcript:ONIVA08G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLGLVALLLLGVMLAVSQQSVDASSPTPGTINYDDVLKLKAKKDKAEMINYDALPKLKAKKDKPYKGRSCANAGSEKCVQG >ONIVA08G19330.1 pep chromosome:AWHD00000000:8:20673711:20675036:1 gene:ONIVA08G19330 transcript:ONIVA08G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFRFLNLFASSRLRSLFIGLGVGSGTILLLIAVGAPFLSRKMKVRKLKRMRQTFFNQNHGLLLQRLISQNADISERMILTLPVLEKATNNFDRTREVGGGGHGIVYKGILNLEVVAIKKSRIIVDREINDFINEVAILSQINHRNVVKLIGCCLETEVPLLVYEFISNGSLDQHLHVDEPISLSWKDRMRIAVEVARALTYLHSAATVPVFHRDIKACNILLDNQLTAKISDFGASRYVPINQTEVTTAVQGTIGHLDPEYYYTGHLTDKSDVFSFGVLVIELLTRKRTTYRTDQGDSLVLHFASLLRKGQLVGILDPQVLTEGGGEVMEVALLAGMCTRMTGQDRPTMREVEMGMENWRVSKKLASHDTASSSLVSQMAEHRMIATGDMEESSIQYSMEK >ONIVA08G19320.1 pep chromosome:AWHD00000000:8:20671298:20673686:1 gene:ONIVA08G19320 transcript:ONIVA08G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASPPKIIHVTATATTRQDRTFATVLVATREMLPHQMDAKTSMSVGTAKLTSAMENALISLEVSIASATIVNSPWN >ONIVA08G19310.1 pep chromosome:AWHD00000000:8:20660970:20664398:1 gene:ONIVA08G19310 transcript:ONIVA08G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHTVTVSMDVEAGQKNKDKKGISQDLILAYKTLGVVFGGLVTSPLYVYPSMNLTNPTEEDYLGIYSIMFWTLTLIGVVKYICIALNADDHGEGGTFAMYSLLCQHANIGILPSKKIYTEEENLISNQPVVAGRPGRLRRFIESSIIARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKRAEAMFADLGHFSKRSIQIAFMSSIYPSLVLTYAGQTAYLINNVDDFSDGFYKFVPRPVYWPMFIIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSKDKEGEVYSPETNYMLMLLCVGVILGFGDGKDIGNAFGVVVILVMLITTILLTLVMLIIWGTHVVLVALYLVPFLLLEATYVSAVCTKILRGGWVPFAVSVALAAVMFGWYYGRQRKTEYEVANKVTLERLGELLSGPGVRRVPGLCFFYSNRQDGGWLTPVLAHYIRNMRSLHEVTVFLTLRYLLVAKVDGKDRVQAVRRLGPAGVYGCTIQYGYADAIDFEEDDIAGQVVGALRERVVDGEEEGERVEAARAAGVVHVRGKMRFHVGKDTRLFDRVLLGFYELLHGACRSALPALGIPLQQRVEIGMLYKA >ONIVA08G19300.1 pep chromosome:AWHD00000000:8:20642066:20647707:1 gene:ONIVA08G19300 transcript:ONIVA08G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0ID35] MATPSAATRKKLQRKFRLRGWTPSRRPPPSWPASPTPRTTPSTSSSTSSTRSRSSILDRDAVRRVVALLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYELLIHGEAGDKAALYRDRYQRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGANSITSFLSTEHKITSGFFVENTVILAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSELFSRDVQMCYLVAKTMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKEVELSALES >ONIVA08G19300.2 pep chromosome:AWHD00000000:8:20642066:20647707:1 gene:ONIVA08G19300 transcript:ONIVA08G19300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0ID35] MATPSAATRKKLQRKFRLRGWTPSRRPPPSWPASPTPRTTPSTSSSTSSTRSRSSILDRDAVRRVVALLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLLIHGEAGDKAALYRDRYQRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGANSITSFLSTEHKITSGFFVENTVILAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSETMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKEVELSALES >ONIVA08G19300.3 pep chromosome:AWHD00000000:8:20642066:20648156:1 gene:ONIVA08G19300 transcript:ONIVA08G19300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0ID35] MATPSAATRKKLQRKFRLRGWTPSRRPPPSWPASPTPRTTPSTSSSTSSTRSRSSILDRDAVRRVVALLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLLIHGEAGDKAALYRDRYQRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGANSITSFLSTEHKITSGFFVENTVILAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSETMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKELEVSIGNGVV >ONIVA08G19300.4 pep chromosome:AWHD00000000:8:20642066:20648156:1 gene:ONIVA08G19300 transcript:ONIVA08G19300.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0ID35] MATPSAATRKKLQRKFRLRGWTPSRRPPPSWPASPTPRTTPSTSSSTSSTRSRSSILDRDAVRRVVALLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYELLIHGEAGDKAALYRDRYQRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGANSITSFLSTEHKITSGFFVENTVILAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSELFSRDVQMCYLVAKTMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKEVELSALEISIGNGVV >ONIVA08G19300.5 pep chromosome:AWHD00000000:8:20642066:20648156:1 gene:ONIVA08G19300 transcript:ONIVA08G19300.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0ID35] MATPSAATRKKLQRKFRLRGWTPSRRPPPSWPASPTPRTTPSTSSSTSSTRSRSSILDRDAVRRVVALLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLLIHGEAGDKAALYRDRYQRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSETMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKELEVSIGNGVV >ONIVA08G19300.6 pep chromosome:AWHD00000000:8:20642066:20647679:1 gene:ONIVA08G19300 transcript:ONIVA08G19300.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0ID35] MATPSAATRKKLQRKFRLRGWTPSRRPPPSWPASPTPRTTPSTSSSTSSTRSRSSILDRDAVRRVVALLVEAEEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLLIHGEAGDKAALYRDRYQRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGANSITSFLSTEHKITSGFFVENTVILAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSETMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKEVELSALES >ONIVA08G19300.7 pep chromosome:AWHD00000000:8:20642011:20647679:1 gene:ONIVA08G19300 transcript:ONIVA08G19300.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0ID35] MATPSAATRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPRGGGGRCGVSGGHQRALGAAGGGFVRRATVPLRSNQESIPADYSSMEKLEIKQPYTGIGIRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSELFSRDVQMCYLVAKTMEKLGVVLDGYDSVEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKEVELSALES >ONIVA08G19290.1 pep chromosome:AWHD00000000:8:20632907:20636719:-1 gene:ONIVA08G19290 transcript:ONIVA08G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase 5 [Source:Projected from Arabidopsis thaliana (AT3G17760) UniProtKB/Swiss-Prot;Acc:Q9LSH2] MVVSVAATDSDTAQPVQYSTFFASRYVRDPLPRFRMPEQSIPREAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIKEDETAIGVGTVGSSEAIMLAGLAFKRKWQNKRKEQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNNLLTEKNKETGWDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYPGVGWVIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKNIMQNCMENTAILREGIEATGRFEILSKEAGVPLVAFSLKGSGRYTVFDISEHLRRFGWIVPAYTMPANAEHVAVLRVVIREDFSRSLAERLVSDIVKILHELDAHSAQVLKISSAIAKQQSGDDGVVTKKSVLETEREIFACWRDQVKKKQTGIC >ONIVA08G19280.1 pep chromosome:AWHD00000000:8:20630214:20631716:1 gene:ONIVA08G19280 transcript:ONIVA08G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNQLWLLWATLAVSLLYYISNRRRRVGGRRRCPPGPMPLPLVGNLLNLRGHLPPALARLARTYGPVMMLKMGLTTTVVISSGDAAREAFTKHDRHLAARTVLDVTRSLDFADRSMIWLPSSDTVWKTLRGVTAASIFSPRGLAALRGVRESKVRDLVGYLRGRAGEVVDVRHAVYGCMLSLVSSAFFSVDVVDLSAESENEFRQSMTFLMEVVSKTNVSDFFPFLRPLDLQGWRRLTERYLGRVTCFLDDVIDRRFAADASANRHGDFLDSLLDLVSTGKIVRENVTTILLDVFIAGSDTITATVEWAMAELLRNPSEMAKVRAEMDGALGGKKTVDEPDIARLPYLQAVVKEAMRLHPAAPLLLPHRAVEDGVEVGGYCVPKGSMVIFNVWAIMRDPAAWERPEEFMPERFIRRGDDDEVDFWGKTFEFIPFGSGRRVCAGLPMAERVVPFMLASLLRAFEWRLPDGVSAEELDMRHRFTIANFRAIPLKAVPVVVS >ONIVA08G19270.1 pep chromosome:AWHD00000000:8:20622360:20629127:1 gene:ONIVA08G19270 transcript:ONIVA08G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEGDAAKLGIEEVELDEDVGNGVGLPQLLLDFLCGFSTDVTCPTSTVRWSTISDIGASRDEPDSSIRFNSGAAWSSLNRHAITRSSALGRHPSIVRRFARPNLPDRSYNSFISGVATAVITTIDSEAVPGLAMAESILPSILASLLDAFQSRYVVYQIKCHFEES >ONIVA08G19260.1 pep chromosome:AWHD00000000:8:20621172:20622915:-1 gene:ONIVA08G19260 transcript:ONIVA08G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVHRRGALLLHAVQGGHHAGADAQHPGHREGGRHQQLGGHDEGDGGALLDGSTLTLSMVVITAVATPLIKLLYDLSGRFGRAKRRTMEGWRPNAELRVMACLFSEDHAAPLLNLIEESGSSRDAPMSLIVLHLTEVKEQLWQPDAVADVLVELDLLNSELGRIALNASLITEALELFVVLCVAGKMQQMDRMGWDGMGWDGMEWDEMSRYSPTPTFMTNDVGSCMHISICTAHRSTGYERPWALARSVFAACASACPHTGH >ONIVA08G19250.1 pep chromosome:AWHD00000000:8:20617815:20618063:-1 gene:ONIVA08G19250 transcript:ONIVA08G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPRRRQGGSGCGTPRQRQRQWQRGMQRPRRQRGMKRLRRRRLRDTKVAAMEVWDAEAAATTTVLCLSVDFAATIFNLSIR >ONIVA08G19240.1 pep chromosome:AWHD00000000:8:20608845:20609610:1 gene:ONIVA08G19240 transcript:ONIVA08G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREHASQGAEAAEHRPPAQPRQEGQHLPPAATQRNHSGAGGGCSARTRRNGGGGLEWGRVQHLFYVLGELESFSGDANHFLAAHGLRALARWLPAAVGTAAAAAVQASTCGAAAAAATPRPLHVVDLGVSHGVQRQRVARGAGECVDEVEAVEGVAVAEEDEVAAGAGEEGGVARDRVGGEGCRDDELGERYAMRYAGAEEPGQRRRSGGMRGRRRRSKWRQGWHAAAKTR >ONIVA08G19230.1 pep chromosome:AWHD00000000:8:20601546:20602914:-1 gene:ONIVA08G19230 transcript:ONIVA08G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGDAVTVADGGRPELVLGLGVGVGAGVRRGEEEERGRREDVVGAARWAAMAAASPEPSVRLSLVSSLGLHWPSETGRSEAAARGFDVNRAPSVAAGAPGMEDDEEGPGAAPALSSSPNDSGGSFPLDLSGHGLRGHAEAAAQGGGGGGERSSSRASDDDEGASARKKLRLSKEQSAFLEESFKEHSTLNPLLLFFEYARTRECLFLLARSWPLTLMLLTGGEQKQKVALAKQLNLRPRQVEVWFQNRRASAAPLTELTLFFSGADVRRTKLKQTEVDCEYLKRCCETLTEENRRLHKELAELRALKTARPFYMHLPATTLSMCPSCERVASNPATASTSAPAAATSPAAAPTAAARTAVASPEPHRPSSFAALFAAPLGFPLTAAQPRPPPPASNCL >ONIVA08G19220.1 pep chromosome:AWHD00000000:8:20588152:20589087:1 gene:ONIVA08G19220 transcript:ONIVA08G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSPPPPPAPADAEAVPLFYCYECESTVSLPPPPPPPSRPLFCPRCRGEFLEEENPNPPPEPEEEEEVSSPPPPPPPPPGFLSDSSSDDEEGGDLDLGMGGMDAAAARAYLSRLVHHHLYDDEPIDVAAAAVSLLQRSGLHQGGGGGESAPAAAASIAALPTVEVSEPATACAICKDDLPLAAPARRLPCGHLYHSECIVQWLEMRNSCPVCRSRLPSDEPQEAAAPSDPGPAPMRITIRLSTNRRRVRTSSDAAPPVAASPTQLAQAVTGEGGGGPANSTETVSSEWPPPSESDAVMSDAREGQRFFD >ONIVA08G19210.1 pep chromosome:AWHD00000000:8:20549910:20553627:-1 gene:ONIVA08G19210 transcript:ONIVA08G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aha1 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G12050) TAIR;Acc:AT3G12050] MAKYGEGDARWIVQERADGTNVHNWHWAERDCLEWSRSRLTSLLAGLPVLSGEGGLALRTTALDKLDGEAYVNIRKGKVIPGYELSLTLAWEAEATTESGVVKVSGAAEVPYLADENADEDPELRVTVRGDDGPVARRAKDAFLTKGKPLVLEKIREYVAAMAKGGPAKDELDSKKTPTKAAAVEAGGAATAPAPAAEKKEEAPAVKEKKAKAKDKEGFKTIEMTEKFYCRAKDMYEILMDENRWKGFTQSNARISREVGGQFSLFDGSISGVNEELQEGKLIVQKWRFGSWPDGVHSTVRLVFDEPESGVTVISLKQTDVPEEDRYGNSTVVENTERGWRELIFQRIRGVFGFGI >ONIVA08G19200.1 pep chromosome:AWHD00000000:8:20545508:20547991:1 gene:ONIVA08G19200 transcript:ONIVA08G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34860) TAIR;Acc:AT2G34860] MAGVGCHFLLSLSPPLYSIRRPAAAHRPAKARSHISCCSRHDDAEACSTSKPLTNGKEEEKTTPSRRKCLACLCAVTLISASGPTMLTPNGLASDMMSKPAVCRNCNGSGAVLCDMCGGTGKWKALNRKRAKDVYLFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDAKKLLDKMYNGKILPDS >ONIVA08G19180.1 pep chromosome:AWHD00000000:8:20530215:20531117:1 gene:ONIVA08G19180 transcript:ONIVA08G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERDGRDQPNIDSFSQLPFIRQAAREKPPSSSSGGSVVVPPAPIRLFGFDVPPDASTTADVLGENKESAAAVVAAEGSKQTASGLDAIGGGGGSRKFECHYCCRNFPTSQALGGHQNAHKRERQRAKHAQFQTAMAMHHGHGQYYPLPDPYAAAFAAYPGHHHHHRFAATAAAAMPPPPHYPSWAAGSRYYSGPGSISQPINGSPVAPAGMWRLPAAASCVGLATTTTTAAPLPARRQERPAATIPSLLGGGEEPVVLGGAGSTSFSPSTSSSSSSASPHERRAQPARKENVSLDLSL >ONIVA08G19170.1 pep chromosome:AWHD00000000:8:20522679:20524550:1 gene:ONIVA08G19170 transcript:ONIVA08G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGDGNARRERGPSAQHPLRKFLARTRTRRGNEGEQPYFMSPRSIARSSMKQDAQWRQGDFGLVSVVR >ONIVA08G19160.1 pep chromosome:AWHD00000000:8:20507495:20508061:1 gene:ONIVA08G19160 transcript:ONIVA08G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIPPVSKSLSSCVNQIEAVYSPSPARPSEWRWRCNSRVGAATATSGRHAGGAAATAGRRGSTTGQHGGGDRWPARRRRGNSRGSARLYRGPARQRQPLAGAQGGAAAARRRGATLVRRPVGNCGPARSGATGSSGLGEYGWGREGVKGKVGAGAVRGRRCWRRHRCSRANGAGGDARAVGWRYWTL >ONIVA08G19150.1 pep chromosome:AWHD00000000:8:20504488:20506184:-1 gene:ONIVA08G19150 transcript:ONIVA08G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSIAGARAGWNNDYAMATVFTRTSNDRDVRVSLRLAAPLASSCVQFYIDDAGAYSMVWRHQPSLTATSSSTRSSAPNEHPTATSLWTTSSSTSPTPTSHGYGLCRLSPTGKKYAPCVFKKTRLQHHDVDTLKLPLFEWEVDGTLQHEEELWSLPSYQGSPLPRITPTFPVFSMHEADVLHFILDRPGYDDKCWVITVDIKNKSSLGSSNEFRDYLMISTDLSKYSLLAIWTGYQQLEPTEWEETRLVQYWWESLANTRGIPKKGLQSLVLLVVWEIWKKRNRRIFDHKEAATGFSNQ >ONIVA08G19140.1 pep chromosome:AWHD00000000:8:20501541:20502467:-1 gene:ONIVA08G19140 transcript:ONIVA08G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF716) [Source:Projected from Arabidopsis thaliana (AT1G49470) TAIR;Acc:AT1G49470] MGTFLGHFVPGLAFAILGLWHALNTVRAYKLKGASGFRAAAWFPFPSLVPGLKHLELYLLLSFSVLAIVDQLVDFPLLSFTIQPDALEHATMYLHLAVYASVALAADGVAASSSHHGGAQAQLGEVVAALAASVFGQELFLLRFHSADHAGLEGHYHWLLQLVVTASLVSTSATVVLPRSFAVAVVRSASVLFQGLWFIVMGFALWVPALVPRGCHGAEAGGGAMRSAVACPTDEAARRAVVMANLQFSWVLAGVWAVTAYLCLRVGGSRSMEYRQIQAPSGGDVGALAGDGDATQSQKRVFPVSDNV >ONIVA08G19130.1 pep chromosome:AWHD00000000:8:20496988:20497935:-1 gene:ONIVA08G19130 transcript:ONIVA08G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGAGFLLVGLWHLYNHIRLFLLRPTAYVAPVWFPVRRARYLELALVIAGAVASILMELVVGPARHQPFDADGTVPSDHLHNFEHASISLALLVYAAAAAALDVARVPHGRAVSQLVAAVAFAQQLMLFHLHSADHAGVEGQYHLLLQGVVAVTLAATVLGVAAPRSFAVSLVRSASLVLQGVWFLAMGVMLWTPALLPKGCFLSREDGHDVARCRADDGGDALARAKALVNLEFSWYLSGTVVLVVALYLRLCRLYPEEPSYMPLVRGDCRDDDDDGGGDGDDNDVEAGKGGAGHVLREPLEIARP >ONIVA08G19120.1 pep chromosome:AWHD00000000:8:20487387:20493182:1 gene:ONIVA08G19120 transcript:ONIVA08G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLAGGAPPLREQSDDADDAAVARGAGECATPSTRQAIKALTAQIKDMALKASGAYRHCKPCAGSSSSSPAAAARRHHPYHAYADSGSDRFHYAYRRAGSGGDATPSVSARTDFLAGDEEEEEEEEEEEGTTADGSEDDEAKEWVAQVEPGVLITFLSLPEGGNDLKRIRFSREIFNKWQAQRWWAENYEKVMELYNVQRFNQQTPLPTTPKSEDESLKEDIPATPPLNSERLPHTLHRSLTGGRTTGYGQPDSLGHQHNLGNGHRQQHHHCYTGHQCYGSVGLASTPKLSSISGAKTETSSMDASMRSSSSPEEVDRSRELSVSVSNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSREKFSEMHARLWWEENRARIHDQYL >ONIVA08G19110.1 pep chromosome:AWHD00000000:8:20482776:20483192:-1 gene:ONIVA08G19110 transcript:ONIVA08G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVLLRSSLPNAAVARSVVAGHRRHRVVARSARSSLRRRQLSSAPSSTVVSEVVVAGRRVIDVIGSRCRCCRQIRRQPRSSSPAVVIAMPRRIRPVRHVVEDAVVSLLPSCSPPAPIGRPASTRARPERQRRMAMR >ONIVA08G19100.1 pep chromosome:AWHD00000000:8:20479407:20481101:-1 gene:ONIVA08G19100 transcript:ONIVA08G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREEYRAKRRRLPAAEPDFLAGLPPEIVDDIISRLDIRDVVRTSALSRAWRRRWESVRGLDLSFRSSAPAAAISSVLKRAAAPVRGLGLRVPGRRFRRAVRWLRLLPRKRVQSLDLHFEFVFGEKPSLDPSILSCLELTTLVLEGCIFPPSPPPPSFVGFPELTKLSLSEIDLPRHGGRRLEAMIAASPLLVELSLSNVRSLHHWERWFIRGPNLRSVWIWTDYDYGCRIGELPRLEHAIVFASAIKTEVLCKILEGISHAETLGFDAITDQFNGNPPERFSFTFQNLRSLDLHACLDQISSTSWVFCILRSAPNLETLEIEVDCDDDEVDAGSVEGFANAQASDDIFPRLRDVWLHSIDCSSNEMCFIKFVLSKARSLELFSVRVTSSRLSYQEACIEMAKYKRASPLAKLRLIRG >ONIVA08G19090.1 pep chromosome:AWHD00000000:8:20474737:20476391:-1 gene:ONIVA08G19090 transcript:ONIVA08G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGEAKRRRSPAVEPDYLAALPPEIVDNIISRLGVRDVFRTSVLSHAWRRRWRSVRGLDLDFRSSDPAAAISSVLKRSAAPVRTPPSFVGFLKLTKLSLSEVELPPHGERQLEAMIAASPLLLELSLDNVHSFHHSEVWFVRGPNIRSLRIWAVDQDFGCRIGELPRLEDAAIFLDSEVTTQVLCKTLEGIAHVESLDFNALMHQFSDNPPERFSFTFQNLRSLDLHACLDQISSTSLVFSILRCAPNLEKLEIEVGCYDDLVDDGTVEGFANAQTSDDIFPRLRCLVT >ONIVA08G19080.1 pep chromosome:AWHD00000000:8:20467936:20471530:-1 gene:ONIVA08G19080 transcript:ONIVA08G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPPRRKPRLPPPPPEGDPTASAVGASLESLPGELLENIVSRLSLRDAVRTSAISRSWIHRWESAPDLRHYWPRRSRPDAICAVLARYSRSVGQFCTWGIRADAFPHIDEWLPLLAAKGVQTLTLSFWDYSDVNVEYYTLHPAIFACGQLTSLHLERCFLPTAPEGFGGFPNLTLLSLVYVGLPENGERKLEAMIRMSPSLVSLELSNVEVTDDDFEDWIIQAPNLERLTITSDIDYGWQIQDLPSIQDANINIEDYSIDRDFVKLLTSLAQVGELELFIPSADGNVLEGISCSFQKLRSLTLHTNFYKASSILSTFGLLTRAPNLLHLEIEITDHENQSNEVDIDFLNALWTNSLFANLDFVSIKSATCWSNEMRFIEFVLSKARLLGEFYIYHDDTGSFSKPREEAIIELAKYKRASPKAKVFFRDMEGLFVLFLARASYSANPSAIKSTQTHKPDFCLPAPIVVLLAKRMWNEKGETTGGYMAVLMRIETQRSTLCTFDPQEASAFVIDCGPSTIAITGFFSDCVAGRLNPSSTTSRRRVR >ONIVA08G19080.2 pep chromosome:AWHD00000000:8:20463149:20467932:-1 gene:ONIVA08G19080 transcript:ONIVA08G19080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPPRRKLRPPSPPGSAAQPSLDCLPSEILENIVARLGIREAVRTSAVSGAWRRRWETSPGLSFEWDRGEVDPAIVATVLARYSRPVASFRSGWVEREHSAVTDEWLVLLAGRSVESLTLGFAEFDDRRFHTIHSAMFSCRELTELLPRELPPPGRTLGLLRFPKSNHAKSLTMVNLPEHGESTLEAMISLSLLLEWLDLRSVCTDGNQMDEWVIRAPNLKHLTIESDYDYLWRVEELPSLQTATVKVDDDSTDRDFVQLLTCFAQVSMLELHLLATEDNALDGLPCSLEKLKSLTLHANFCSVSSILCIFSLLMRCPNIGVLDIEIMGSEFPQNDEIDAEFFNTLETNDLFTNLDDITLRNAPCLSNDMHFIEFVLSRVRLLSKFWVFRDDSNSLSKPSEEAVIEIAKYRRASPKSRIFFRSMEVITSYFPDSLFLILTQWSVALQKARLLHLSDEHY >ONIVA08G19080.3 pep chromosome:AWHD00000000:8:20468579:20471530:-1 gene:ONIVA08G19080 transcript:ONIVA08G19080.3 gene_biotype:protein_coding transcript_biotype:protein_coding METSPPRRKPRLPPPPPEGDPTASAVGASLESLPGELLENIVSRLSLRDAVRTSAISRSWIHRWESAPDLRHYWPRRSRPDAICAVLARYSRSVGQFCTWGIRADAFPHIDEWLPLLAAKGVQTLTLSFWDYSDVNVEYYTLHPAIFACGQLTSLHLERCFLPTAPEGFGGFPNLTLLSLVYVGLPENGERKLEAMIRMSPSLVSLELSNVEVTDDDFEDWIIQAPNLERLTITSDIDYGWQIQDLPSIQDANINIEDYSIDRDFVKLLTSLAQVGELELFIPSADGNVLEGISCSFQKLRSLTLHTNFYKASSILSTFGLLTRAPNLLHLEIEITDHENQSNEVDIDFLNALWTNSLFANLDFVSIKSATCWSNEMRFIEFVLSKARLLGEFYIYHDDTGSFSKPREEAIIELAKYKRASPKAKVFFRDMEDTWLYS >ONIVA08G19080.4 pep chromosome:AWHD00000000:8:20463166:20467932:-1 gene:ONIVA08G19080 transcript:ONIVA08G19080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPPRRKLRPPSPPGSAAQPSLDCLPSEILENIVARLGIREAVRTSAVSGAWRRRWETSPGLSFEWDRGEVDPAIVATVLARYSRPVASFRSGWVEREHSAVTDEWLVLLAGRSVESLTLGFAEFDDRRFHTIHSAMFSCRELTELLPRELPPPGRTLGLLRFPKSNHAKSLTMVNLPEHGESTLEAMISLSLLLEWLDLRSVCTDGNQMDEWVIRAPNLKHLTIESDYDYLWRVEELPSLQTATVKVDDDSTDRDFVQLLTCFAQVSMLELHLLATEDNALDGLPCSLEKLKSLTLHANFCSVSSILCIFSLLMRCPNIGVLDIEIMGSEFPQNDEIDAEFFNTLETNDLFTNLDDITLRNAPCLSNDMHFIEFVLSRVRLLSKFWVFRDDSNSLSKPSEEAVIEIAKYRRASPKSRIFFRSMEDYYI >ONIVA08G19070.1 pep chromosome:AWHD00000000:8:20461638:20462024:-1 gene:ONIVA08G19070 transcript:ONIVA08G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPGCKLWPSQGDAGTAAAAALDSLPPEMLADVISRLPIRETVRTSALSHVSRSCWESVPYLTFCWPRRTPPGAISTALRRYSGLVRNFTNLYIGEEEEEEAPFRYSDRWLLLLAGKGVQSLFLAF >ONIVA08G19060.1 pep chromosome:AWHD00000000:8:20443039:20444219:1 gene:ONIVA08G19060 transcript:ONIVA08G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLSQGSQSQASGNGSQNSTSPQFPSIFSQTQFSQFSTPTFQNFHPFGAPTNYQPYGNSTPSFNGFQQQAHWLQSTPMSFQEAASKAAESKEGTNKNGEVSDILKIVGQGHFKF >ONIVA08G19050.1 pep chromosome:AWHD00000000:8:20428104:20448736:-1 gene:ONIVA08G19050 transcript:ONIVA08G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQSPPPPPPPPPPPSGQRRRVGPAPDALGSLPLDVLDSILSRLHIHDVVRTSALSRSWRRRWESLPTVDLTRSPGISTSDVDALLLRRTAPARSFRLATRDRSWSPTAFHDWLLRLSRGGGGGDLRDLELTLRYTYINSKLNSCLFSFRELTSLRLHCCGLPHVPTEFAGFPNLKTMYLSVVKVQRHGGRGLATLIAASPVLQEVTLIDVVLIGDGPDEDWVIRASNLRKLTIALGSEYGGRMEDLPRLEECCLFGLNYAKYLTGMARVTKLTFYCNCMLSTEVDVLERLPFLFENLRSLILGVNFCMMSHILAIFCLLRSAPVLEELDVWNWSEGAQEMEANDVFLNAQWINHMFAKLHVVRMKKVYCLNNEMHFIEFILSKARVLRVLSLTLASDSLSSIEEAIIDITEYPRASPDAQVIFMGVEPESANNDMNGFLDLSAEFPDVEEEETSGLGSLDTVHPRRRQRSNGESVAQLQLLQEQLQELEKEKDQHHKPTVEELKEIIQEGKKNAIKFQNYFESTIQSLSKQLDLSQFRLPPLPEFPSELSSHPVTTRPGDTPVDPADNVAANVPEDSREGHATSGDADVHVRSPIDFVAKCVGNGFQASNGRLAAQPGAAARSGGAGAAAAGRSGCAPRRGPRQHPRPPPHLRGGPHLVALPRVAAPLGVAPHLDLTRSPGVAASDVDAVLLRRSAAAPVRAFRLVARDPSWFVDALHDWLLHLSRNGVQALELWFPTYNFQLHSCLFSCRELACLDVDSCRLPPARMGFEGFPNLKKLRLHEVTLPEHMGNMLAALISASPLLEEVELVSVFLVGDYPDEEWVIRAPNLRKLIMVAAFPYGGRVEELPRLEQGILCGPNYAKFLTGMAHVTKLEFMCHYMLSTEVDVLEQLPFLFENLRSLVISVNFCKMSHILFMFCLLRSAPVLEELDVVGQSNDAQDIDANDEFLNAQPTYDMFAKLCVVRMKKVACLCNEMHFMEFVLNKARVLRVLSVYPSSGVTCSNEQAFITEHPRVSPDAQVIFMNRESANNGYMDTPSVNYKLETTRTGNWIDLAHPCKINRLDLDAVDQHKHIEEMLLIRQKLLKERKEMAQALHEDKKLLLNYFAAVKKYFTSNLKYLSEQLNISIPPFPEPSSVSSSSHPTSPRLAEALTDPASCSADNVQADSRADQVAIGASSARANSPKPEDNPSPPPLESDKKKKTWRGRPDAGSCGGCLRGAAPQQPKPSLNSLPSEILENIVGRLPVRQAVRTSALSLDWRRRWESSPGIRFGWGSGEAGAAAAVGQILARYACPVRHFRHGWIESGGSARADEWLVVLAGRGVEHLALIFSEADNFLFHTLHAAIFSCRELTKLEFGSCRLPAAPSDFSGFPNLTVLTLTMVAFPPHGERTLEAMISSAPLLQSLELKNVSLEGGEWDEWVIRAPNLKDLIIQLEFDFLWEIEQLPSIQTATISVDNESTDRDFVQLLTCFARPSYTGEQRARRVDTEVDNALEGLSCSFEKLKKLTLRTKFCDVSNILCMFSLLNKCPNIEVLDIEIMESYYPQNDDIDVDFFNTIGTNDMFANLDGITMRNTPCLSNDMHFIEFVLSRARLLPKFWVFRDDSSSFSKPSEEAVIELVKYRRVSPKAKVFFRSMDIDDIDKIRVASYGHRHGRCEAISLAWTFSRQRFSMTSSPTSPSTTPSALWPRLAVRRPDEELYILHSIFSCCELTQLELTECDILGFPTCFVGFLNLTSLSLSDVGFQEGAQGFEQD >ONIVA08G19040.1 pep chromosome:AWHD00000000:8:20423574:20427632:-1 gene:ONIVA08G19040 transcript:ONIVA08G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALGSLPLDVLDNILSRLHIHDVVRTSALSRAWRRRWESLPTVGLLNSPGIGASDVDALLLRRTAPVRSFRLATRDRSWSPTAFHNWLLHLHRRGGLRDLELTLRYEFMYQKLNSCLFSFRELTSLKLYCCGLPNLPAEFAGFPNLKTLHFSMVQVQSPGGRGIATLIAASPVLQEASLIDGKLIGDGPDEDWVIRGSNLRKLTIALGHKYGGRIEDIARLEECCLFGPNYAKYLMRMAHVTKLSFYCNSILSTEVDVLERLPFLFENLRSLVLGVNFCILSHILATFCLLRSAPVLEELDVWVFSDGTQEMKTDDEFFDAQWVNHMFAKLHVVRMKKFSIEEAITDITEYPRASPYAQVIFAGREPECANDEWNGFVDLSAELSDLEDIQTSGRRSLDTVNPRRRQRLNGESVAQLQQLEEQLLELEKEEEEHHMRRMQALNEMDQESENVFRHQEYIISTIEKTMPLLMLLMLMLVLQQRMLPMVLRMVLRILQKAISDWSFMILVIGVLSLAVKFSVVNILDCGTISNLSSVEVITVVLQ >ONIVA08G19030.1 pep chromosome:AWHD00000000:8:20414011:20423158:-1 gene:ONIVA08G19030 transcript:ONIVA08G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPNRKRRLAAAEAEAEPELSPPDALGALPVEVLDNILGRLHIYDVVRTSALSRAWRRRWESLPTVDLTRSPGVAASDVDAVLLRRSAPVRAFRLAARDPSWFVDALHDWLLYLSRSGVQALYLWFPTPSFRLHSCLFSCRELTSLDLEGCRLPPAPSGFEGFQNLKKLHLTKVSLPEHGGKALAALFAGSPLLEDVELMNALLVGDGADEWVIRAPNLRKLIMVAPFPYAGRVEDLPRLEEGILCGPNYAKFLTGMAHVTKLEFVCSFMRSTEVDVVEQLPFLFEKLRSLVISVNFCRMSHILFMFCLLRSAPVLEELDVLGQGDDAQDIDANDEFLNAQSTNDMFAKLHVVRMKKVACLCNEMHFMEFVLNKAGVLRVLSVYPSSGSTCSNEQTIITEHPRVSPDAQVIFMNRESANNGYMHTSSVNYELETIRSGTWLDVEHPRKIHRLDLDAVDQQKQLEKYLSEKSNIPIPPFPDSSSVSSSSQPVVRTSALSRAWRRRWAALPSVDLARSPGISEPDVDAILLRRSAALRTFRLVARARKGTWSVDALHHWLLYLSRSGVQALDLSFPELRFRLHPCLFSCGELTSLALNSCRLPPAPSGFAGFPNLKTLRLEDVDVPRHGGKEVAALIAASPLLEDLGLLAVKLIGDGPDEEWVIRAPNLRNLTMVCETAFGGRVEDLPRLDEGRLFGPNCAKFLAGMSQVTKLDFFCNCMLGCTEGAKEFEANDKFLNAQLTDDMFVKLHVVQLKNIACVRNEMHFMEFVLSKARLLRKLYVRLSFYAICSNEEAVIDIAEYPRTSSDAEIIFMECGIDCPEFPELTAPHQPILNSSLIQLLMLKAMLRQGDITDPVSNGVGNAHVYSEEGHIVNHVANVNADFSRGPCLGSGGDTLIPSSMVLSVPCRSGADHAC >ONIVA08G19020.1 pep chromosome:AWHD00000000:8:20406082:20408915:-1 gene:ONIVA08G19020 transcript:ONIVA08G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytokinin oxidase 7 [Source:Projected from Arabidopsis thaliana (AT5G21482) TAIR;Acc:AT5G21482] MMLAYMDHAAAAAEPDAGAEPAVAAVDAAEFAAAMDFGGLVSARPAAVVRPASSDDVASAIRAAARTAHLTVAARGNGHSVAGQAMARGGLVLDMRALPRRMQLVVAPSGEKFAEVPGGALWEEVLHWAVSKHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVAQLEVVTGDGECHVCSRSADPDLFFAVLGGLGQFGVITRARIPLSPAPQTVSTTPPPNRNERRPNRPAAADRRELALQVRWTRVVYASFADYAADAEWLVTRPPHEAFDYVEGFAFVRSDDPVNGWPTVPIPDGAHFDASLLPANAGPVLYCLEVALYQRGGGGDGGGDDMDKRVGEMMRPLKYVRGLEFAAGVGYVDFLSRVNRVEDEARRNGSWAAPHPWLNLFISSRDIAAFDRAVLNGMLADGVDGPMLIYPMLKSKWDPATSVALPDGEIFYLVALLRFCRPYPGGGPPVDELVAQNNAIIDACRSNGYDYKIYFPSYHAQSDWSRHFGAKWSRFVDRKARYDPLAILAPGQNIFARTPSSVAAAAAVIVMMVMMGMKRRDRDEHNDDGDDDRVIVRYIWEGCKRGGFRFDGMDDVSRAVGPAAVARLCSRSCKRGNERCKRFPALILFLLIPPGEIGMPFDGDTHLVSLLG >ONIVA08G19010.1 pep chromosome:AWHD00000000:8:20396922:20400356:1 gene:ONIVA08G19010 transcript:ONIVA08G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSGYNGPGSGDRAGTVGSSGGGATTAQRRRGGAVVVELELGRLDPTGEGRRQGVAAVLGLWQVDPAGDERASCQRPLALSLAPAYPLAARWRHLALSPPAHSLGSPPSPLLVPAHTLPRPATITSPFLRKWRRGEDRTDGWVPHAIGSNEQGQKGYFELTIRKYAILVITKT >ONIVA08G19000.1 pep chromosome:AWHD00000000:8:20381690:20382228:-1 gene:ONIVA08G19000 transcript:ONIVA08G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKRWSTGHGWLVVVQMTWRKRRLWTCGCAVGFRGDMFDGYHTIRDGLVELYFASDVSIGVLGMHADQQPHDPGPRDGLVVLGSRRKATSLATRNWRCLWQLRGEKAGDFGRNERGVWGEGKW >ONIVA08G18990.1 pep chromosome:AWHD00000000:8:20376898:20377209:1 gene:ONIVA08G18990 transcript:ONIVA08G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLPTAARGGRSMGGHIEGHRLPTAVCDGELPTVARREASGRSLCCLDDECVLEMRDVVDATRDDGERL >ONIVA08G18980.1 pep chromosome:AWHD00000000:8:20370771:20371412:-1 gene:ONIVA08G18980 transcript:ONIVA08G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 3 member 3 [Source:Projected from Arabidopsis thaliana (AT5G20630) UniProtKB/Swiss-Prot;Acc:P94072] MAKAVMMLPVLLSFLLLPFSSMALTQDFCVADLTCSDTPAGYPCKASVGAGDFAYHGLAAAGNTSNLIKAAVTPAFVGQFPGVNGLGISAARLDIAVGGVVPLHTHPAASELLFVTQGTVAAGFITSSSNTVYTRTLYAGDIMVFPQGLLHYQYNAGQSAAVALVAFSGPNPGLQITDYALFANNLPSAIVEKVTFLDDAQVKKLKSVLGGSG >ONIVA08G18970.1 pep chromosome:AWHD00000000:8:20365852:20366511:-1 gene:ONIVA08G18970 transcript:ONIVA08G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPLLPVLLSTMILLSAVSTTTTALTQDFCVANLPLGADTPSGYQCRPAATVTAADFYSGALARPGILIRPFNTSLASAFVRQYPAVNGLGISASRVDILPGGVVPLHTHPAGSELLYVLDGALVAGFISSSDNKVYYKEVSKGGMFVFPQGLLHFQYNTGDTTAVAFAAYSSSNPGLQILDYALFANNLPTSYVVKGTFLAEAEVRRLKSKFGGSG >ONIVA08G18960.1 pep chromosome:AWHD00000000:8:20359528:20363410:1 gene:ONIVA08G18960 transcript:ONIVA08G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxophytodienoate-reductase 3 [Source:Projected from Arabidopsis thaliana (AT2G06050) TAIR;Acc:AT2G06050] MDRPPPDQQRQKQAPLFSPYQMPRFRLNHRVVLAPMTRCRAIGGVPGPALAEYYAQRTTQGGLLISEGTVVSPAGPGFPHVPGIYNQEQTDAWKKVVDAVHAKGGIFFCQLWHVGRASHQVYQPNGAAPISSTDKPISARWRILMPDGSYGKYPKPRRLAASEIPEIVEQYRQAAINAIEAGFDGIEIHGAHGYIIDQFLKDGINDRTDEYGGSLSNRCRFLLEVTRAVVSAIGADRVAVRISPAIDHLDAYDSDPIKLGMAVVERLNALQQQSGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRLMRTLRGTYQGTFMCSGGYTRELGLEAVESGDADLVSYGRLFISNPDLVERFRLNAGLNKYVRKTFYTPDPVVGYTDYPFLGQPKSRM >ONIVA08G18950.1 pep chromosome:AWHD00000000:8:20355936:20356766:-1 gene:ONIVA08G18950 transcript:ONIVA08G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVLDAPLPPPICRPASCHAASSPPSLPSGATAATSPPALSMDEFNEKFFEEYGCDLTELLFTEEEVRVLMLEYEAEKAHLLSHGEVKETAPAEQVPDAPRPSKKARGENGKAAAAENVEVQTPPPPLVADAPRHSKKRKAPPPPSPPREEEAEDAVFQTTPPPSHKKVAERILNRRFFPPDPSHGGTILWCLCRELLPRGGPRCALHQKAPFRSWMSEQGYVEEAGGAGGWALVPKLSAECGRSLFQRYARWRRRAWMPTRFFLETTQPPTRT >ONIVA08G18940.1 pep chromosome:AWHD00000000:8:20354254:20358886:1 gene:ONIVA08G18940 transcript:ONIVA08G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFLRYLVTLGHQLLPGVAVMTKVCHQSTFNNVLVARHVQLNLFLQPSPQLGIDLGGAVPMSAMSAPCEHQSCRPPAAQSRPGRRLGGLQEEAGRHPRAAAPPRVPLEQAAPAFRGQLGHQSPAARAAGFLDPCSDIHDRNGAFWCSAQRGPPRGRSSRHRHHRIVPPCDGSGGKNRRFRMRSATFLCDGGGVVWNTASSASSSRGGDGGGGAFLFLLWRGASATSGGGGVWTSTFSAAAALPFSPRAFLLGRGASGTCSAGAVSFTSPWESRR >ONIVA08G18940.2 pep chromosome:AWHD00000000:8:20355234:20358886:1 gene:ONIVA08G18940 transcript:ONIVA08G18940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVFTRQLGIDLGGAVPMSAMSAPCEHQSCRPPAAQSRPGRRLGGLQEEAGRHPRAAAPPRVPLEQAAPAFRGQLGHQSPAARAAGFLDPCSDIHDRNGAFWCSAQRGPPRGRSSRHRHHRIVPPCDGSGGKNRRFRMRSATFLCDGGGVVWNTASSASSSRGGDGGGGAFLFLLWRGASATSGGGGVWTSTFSAAAALPFSPRAFLLGRGASGTCSAGAVSFTSPWESRR >ONIVA08G18930.1 pep chromosome:AWHD00000000:8:20346850:20348778:-1 gene:ONIVA08G18930 transcript:ONIVA08G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTIPARPLLAAVLAALLLSAASAADSKNNPADQLVALINSNRTASKASTLDDNQGLGCIALQYIKAYEGQCNQVGESKKPPETSFAETFAPNCGVQAATLTKITGRLLACQSNYATPDQAFNFLVNDAKSIQVLHSKNHTEVGAAVSGTSGRGPYFWCVLFSSGKPTTSFKVDGGVPKSVRPGCFSGNNDDCMGANAAVSIGAGTWRLVAALLFSAACVFAL >ONIVA08G18920.1 pep chromosome:AWHD00000000:8:20339023:20345239:-1 gene:ONIVA08G18920 transcript:ONIVA08G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRSGADTASASAGVTLPPSPSPPPPTEMAGGSSAGTPWPSPSTALTLGLGLGPPAADGSLKSPGLAPPPRRSLRLASGAAASGVASPTTTPASSAPAAGADGASSGSGSASSGRRKGRAQAIPAPVASPTSAGEFGGGGGEVRVWGGGNRSGEAAFISLRSGSRVAKRSMELGVQMGGEMGLGSNGGGGAGGQVHDEMPHRNVDSSGKRRKIGMEIPYVSDSESDNDDDCILPGEDGSRMPVQLCAASDLIELNLFTMSMGRTDEGRMGDSVKTGKKKASGVTIRENDIPIDEHVHGQSSAIGESIELLASPMRSALGENHAGMYSTEEERRQKARYNVKGKGKLDLGNDDSGAGTGIGKFSPDSKGKAKMVVEESSLSVSSGADQMDLNSVISEEVQSFPADHWEPRRRERARQRAIEMAPRFAFFKADEDGHSDDGDDDDDNAEELEPVPDPQDWPGPFSTAMRIITDREAKLRARELNSSNLNKSANKVISWIPSKDRKSPLRSAPSLTSLCLQTLSNNAEAIESLAGIPDELKNRLLSSLCHSRKMNVHLLGELMCDNPVTVQLSECSWLSEDDFETIFGKCRTEILQLDLSGRCMPDYMLPATLAKVPNSMPLLKKISLKGNYRLSDSGLDTIISAAPSLSSLNLCECSLLTSTGIENLANKLSLVLTELYIDDCLNVDAMMILPSLQKIKHLEVLSMSGIQSVCNKFVNELIPVHGSNLKELAFAGCLQLTSSSIKTIAGNCPQLSSLDLRNLNRLRDSAMRHLRNGCRLIKKIKLQRNTFSDEAVYRFLEQSGGYLTELCLNNVEKAGNLTAYAIARNCSTHLEVLDLSFCRELTNEALGLIVDSCSSLRILKLFGCTQITDVFLKGHSNSLVTIVGIEGNILKQTGSL >ONIVA08G18910.1 pep chromosome:AWHD00000000:8:20332450:20333528:-1 gene:ONIVA08G18910 transcript:ONIVA08G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHPVISSDSMFLKAILPTLNVSPCNPLTITGGAGSSTVTAAAFAGGSSSPAPLQVPVFQQQSTGNGNVEISFNSNASPVAIRASVGDDGTSFVRWCPAAGCSPAEGAFSYQGPLPPSMRNHINLLPTALPLQIGIAMDKGKAPLIELPYGIPMDDFLVGQTAYGGAGPSIEAPDATVAAYPYTDALNNNVAAGSLMASPMEPTFSITEPTVLTQGEGSEMNAVATTRNNAAPLMVPDQVTADAAMDAEEDIMFSLESLLGLDYDMLPMEDTSAAEAATADDSAGMDIGWDLDLHDILVENANDFVFLDSIAGSE >ONIVA08G18900.1 pep chromosome:AWHD00000000:8:20318863:20323590:-1 gene:ONIVA08G18900 transcript:ONIVA08G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQARISFFPDGLRVMIIDDDAKAVRRATATLSQLQYAVVATHSTASAGLRALSGDNVVEIQAILCDVHKVVSSGFDFRRVVESELRIPVIYLLSKMEEEDMVAGEDAEFLNHLLLTATYIVRKPLNPTVMARLWRVVAWRMYCLEERIQANVAANAGAGGEDDDDDDDVVIVEEPQVHFKAEFRTKHQKKDMAIERPLISSDSMFLKAILPTLKISPCNPLILTGGIGSSSVAAEAFAGGSSSAAPLQIPVFQQQSTGNGNTVISFSNNASPMAMRAPTDNTMISFNNVSAAPVANAVISFSNISRSAAMQAPAARGQHLSGDVQQLDFPQQKLYFGPFSYQGPPPPSMHNHINLLPPTSSPVTCSMDKGKVPIIELPYGMPVDDFLVGQTAYGGAGLSIGATDAAATAYPYTDAPSNNVATGCLMVPRMGPAFSITEPTVVAQGEGIGTGVDAGTSEKNAIVEAPNNPAPLMVLDQVAADAAMDVEEDIMFSLESLLGPDYDLLPMEDVSAPDTAAAGDAAGGSLDGEEGGMDIGWDLDLDDILVENVNDFAFLDNLAGSE >ONIVA08G18890.1 pep chromosome:AWHD00000000:8:20305394:20313087:-1 gene:ONIVA08G18890 transcript:ONIVA08G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGFKGIEEGAFNFEQEDEMILFFPDGLHVMIIDDDAKAVRRATAMLSELHYAGHQGRVRSTYSTGDWERLGALGGRARSSNDSEAAARFIGNPIDGAIHRRTWHHHRRIKAATEVPKSGWRRHPTGRRQPLWRRPPATVAHANRRGRRRLALPQEEGASMAGVAASIPVSLYGVATGGRQPLWRTPPSHLSIAPPRRQGDGAKEAGAVLLNDGASHSGAPKRTIFGIRNGMFF >ONIVA08G18880.1 pep chromosome:AWHD00000000:8:20300456:20304567:-1 gene:ONIVA08G18880 transcript:ONIVA08G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQLSFFPGGLRVMPVDGDTKNTRTATKTLSTLHYPVVATHTTASAGLCTLSSDNMTDVQTVLCDVKKVVSSGFDFRRVVETEHHIPVIYLLSTTEPEQMVAGEDTEFLNHLLLKATYIVRKPLDQAAMAQLWRVVAWRRCCLEERIPGDSMDDIAAHAGVVGKDGNDNDVIIIEEPQVHFKAVRSRGSRKRQLTINVDSGSSDGADANPRQKLEHKKDAKGPLGQHVASHLQPQEYCTKQQKDLDERRLLSSDSLFLKAILPTLNVSLCNPLILTVPAAFMPQDGMTMNKDKAPMIELPFGIPVDDFLVGQTAYGSARPSIGAPDDNDDDAAMYAYTSALNNNAAVGSLMVPPIESTFTIIDPIVGTKGEGSVPVVVVSEDQNNAVAAIEATAPNNAELFMMPKQVAVDAPVDVEEGIMFSLESLLGLDEDMIPMEDAGGEATDGSLNIKEGGMEIGWDLDLDDILMNNTNEFAFLDDLAWIE >ONIVA08G18870.1 pep chromosome:AWHD00000000:8:20287777:20291013:1 gene:ONIVA08G18870 transcript:ONIVA08G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDVGRAAAREAAMPAACSSSSIGKDSDECSPPGKEEEEEGEEVQSAFVGGGGGLAGLEALEEALPIRRSISKFYNGKSKSFACLKEAVSSCGSAKDIAKAESAYSRKRKNLLAYSIMYETSQETAAEVYETGPPKRLASLSRNSLVTLASSSSRSSSSISIEETELTEQLHSPPSPANDENSAVYNAPALQLGSCDPKTSSVPVRSFSMMDLRRLHRPRSSCRLKDQKTTD >ONIVA08G18860.1 pep chromosome:AWHD00000000:8:20269015:20273371:1 gene:ONIVA08G18860 transcript:ONIVA08G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAIAVYTSPPGAVYTSSSSSELEASSRGSAPCATAAPPSPASSHRHQAIAGGLSCLFSSPTAAPRAAAAQDELGALWHDRSGEATAVGGGGGGGGYSYPQPSSPFKWRDMLHHSPVPLFHSPASSPASRSPSASWLAGRERERLFSSFVRNALGSCVDYAPVAALPLGVSAAVGVGAGELAFELDEHLSEAEPSCEPYARDLLAGAQARHRIFHDELVVKAFFEAERAHRGQTRASGDPYLQHCVETAVLLAKIGANATVVSAGLLHDTIDDSFMDYDQIFRMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENICFKHLNPEEHKELSSKLVISFDEALLTSTLDKLDKGLRDEGISYHSLSGRHKSLYSIYSKMIKKNLTMDDVHDIHGLRLVVDTEQDCYQALDIVHKLWPRVAGRFKDYILHPKLNGYRSLHTVIMCEGIHPFEVQIRTKEMHLQAEYGFAAHWRYKEGGCKHSFVLQMVEWARWVLTWQCEAMSKERSSGLGRSDAIRPPCPFPSHSEDCPYSYTRQCNHDGPIFVIMLEHDKMSVQELPANSTVVDLMERVGANSPRCSPYSFPLKEELRPRVNHKPISDPNRKLCMGDVVELTPALPHKSLTEYREEIQRMYERGGFALATTRDVFVGQKLCAQLVDEPRIVGSGGDSSPGKASGQEAVLNEEKAEENSQLSCRNHESNQTCYQNGDRDLEGTSQSGWIAGLEPWRDATRRSDIEGMAGRWSSIFRVIFGSGVD >ONIVA08G18850.1 pep chromosome:AWHD00000000:8:20247876:20250539:-1 gene:ONIVA08G18850 transcript:ONIVA08G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRTSWKSMLCCVGGGGVAGVEDDVPPERRRVRGGGHHQRLLSSSSSASSASRVSLSSLSSTGTLTPEDLSLTLSGSNLYAFTYAEMRAVTGGFSRANYLGSGGFGPVYKGRADDGLRPGLAAQAVAVKYLDLDCGTQGHREWLAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEYMSNGSLEKHLFKSLDGAMPWMRRMQTAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDFNTKLSDFGLAKDGPQGDATHVTTRVMGTNGYAAPEYIMTGHLTDKSDVYSFGVVLLELLSGRHSVDRSRRHREQSLVDWTRKYLKKPDQLHRVVMDPAMEGQYSYKGAQEAALVAYKCLSPSPKSRPSMREVVKALEPILDMNDYLQIGTFVFTVVVEDNKKDDVTKTKQVDGENKVDMRIEATVEEKHQSHHDRYRQKNPNSAIHADIVLQRDGSIGSYTTSLRRHRRTPSYLKERGA >ONIVA08G18840.1 pep chromosome:AWHD00000000:8:20246197:20246658:1 gene:ONIVA08G18840 transcript:ONIVA08G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIDFLQLEPPREPRPQPAVQAALPVAKSALFVAINGLIAPSYLRWCLACDDGGGGGGDLRRRCFRGEAGGDVEQMWYQMASFATAVLGVALLAFHVAASSAPAALAAWLVWLTKVFTCGTLQFGLNIVHFCIKIIYFKLIRGLDSNLSRE >ONIVA08G18830.1 pep chromosome:AWHD00000000:8:20244927:20245376:1 gene:ONIVA08G18830 transcript:ONIVA08G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIWPGPPGAQPVGDLRVAQLALLVAGNGLIVSPSYLSSCPCDDGGRCFFQDGVAEQRCFDLANLVTAVLGLALLVGDMAFSTAVPGRSTQSPALATVARWLVGLAKVATVGTFQHWINAFYLCLKTWSITSYIIHPSLHDNPVLVFA >ONIVA08G18820.1 pep chromosome:AWHD00000000:8:20240215:20240607:1 gene:ONIVA08G18820 transcript:ONIVA08G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFWPGPPGAQPVGDLQVAKLALLVAGNGFISPSSYLRRCCDDDGPCFVDGAGERCLHLANLVTAMLGLALVLGQMAFFSAAAFRPQAALVTVAARWTVSLAKLATVGTLQLWVYVFCLCLKMLCIRY >ONIVA08G18810.1 pep chromosome:AWHD00000000:8:20235213:20235647:1 gene:ONIVA08G18810 transcript:ONIVA08G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPFFLAFAIIALLSRYVPFALPPMARDLLADNSAEPARAAKCAVSVAVAGLMLLVSLQCGAGGEQYCPDVRVEGRALWLNCAALFLGMVVGGVAVVVMPPLAAVSPLVQVMVEHLTRFTETIAVTAFAHDFCIFIKLVRLKQ >ONIVA08G18800.1 pep chromosome:AWHD00000000:8:20218737:20222580:1 gene:ONIVA08G18800 transcript:ONIVA08G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPRPKSPPRYPDLCGRRRLQLEMQILNREVGFLEISASLERDITWQWQSVGRDAAAAAAHCSSKLCNCLLCLCCWCRCLPKPKKPSCFSCSCCSCCDTSCCRPSCGCLKAPSSCCCKSNCSCCSSDCCTCSLPSCGCTGCGHCRPWCGGGGGCCPPSDCCSSCKCSCSSCTRCCSSCAGGCKPSCSGCGTGCSSCGGGCCPKCSSCAAPCVGCLALLRRWLSCRSSCCKGQPSCCKCQSSCCEGEPSCCCCCGGGKGSSACCCGRPCCLGGATPAPSCPECSCGCSCSCPRCKDGCSCPSCGNPCCAGGCLC >ONIVA08G18800.2 pep chromosome:AWHD00000000:8:20218737:20222580:1 gene:ONIVA08G18800 transcript:ONIVA08G18800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPRPKSPPRYPDLCGRRRLQLEMQILNREVGFLEQELQGLERIQPVSRSKLCNCLLCLCCWCRCLPKPKKPSCFSCSCCSCCDTSCCRPSCGCLKAPSSCCCKSNCSCCSSDCCTCSLPSCGCTGCGHCRPWCGGGGGCCPPSDCCSSCKCSCSSCTRCCSSCAGGCKPSCSGCGTGCSSCGGGCCPKCSSCAAPCVGCLALLRRWLSCRSSCCKGQPSCCKCQSSCCEGEPSCCCCCGGGKGSSACCCGRPCCLGGATPAPSCPECSCGCSCSCPRCKDGCSCPSCGNPCCAGGCLC >ONIVA08G18790.1 pep chromosome:AWHD00000000:8:20206704:20208266:-1 gene:ONIVA08G18790 transcript:ONIVA08G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSALATLLSMALAAFLLLGLIKRKGSRRGYNLPPGPTPWPVIGNFNLIGALPHRSIHELSRKYGELMLLRFGSFPVVVGSSVAMARLILKTHDAVFIDRPRTASGKHTTYGYADITWSPYGAYWRQARRICVTELFSARRVASFEHIRADEVRALVRGLFAAASSGRSGAVYLNRDHLSTLSMNVITRMVLGKRFFGEGADAAEGPVSTLSEFKWMLDELLLLNGVLNVGDWIPWVDWMDLQGYVRRMKKVGKMFDAFMEHVLDEHSERRRREGEAFVARDMVDVLMDLADDPSLEIKLGRVGVKAFTQDLIAGGTESSSVTVEWALSELFKNPAIFATATDELDRVVGRGRWVTEKDIPNLPYLDAIMKETMRMHPIVPLLIPRVARDDAAVAGYDIPKGARVLINVWTIGRDPELWDAAEEFMPERFIGSRIDVKGQDFELLPFGSGRRMCPGYNLGLKVMQLSLANLLHGFAWRLPEGMKEEELSMDEVFGLSTTRKYPLQVVVEPRLPVHLYSL >ONIVA08G18780.1 pep chromosome:AWHD00000000:8:20202048:20202947:1 gene:ONIVA08G18780 transcript:ONIVA08G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHHPPCLSPPLPSSSLSLSRFPPLGQASRRAATGVTGVDGVGFGDGGGGDVEEVGDGDGGGVERWGAAEHEVGVARPRGGGGGDVVLLLRPRRRPMALARAAPRRRGGRGGGRARAWRRRLGFAVASSPSARSAAAATTTTTRARAPPPPPPPPPPPLSRGEEEGVAAAGARWMRTRVAVPEPPRPALHEELLVGKLVVPHLGKDVAIGELSGFPPLRHEPEHPYGNEHEKQAVESQHHPHVLGVDAGGELQVLHLLQLGGLARNGEEVDGDGIGEVLHQIDGVPEHGICWAAAASP >ONIVA08G18770.1 pep chromosome:AWHD00000000:8:20199959:20200483:1 gene:ONIVA08G18770 transcript:ONIVA08G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMSFYWGTSVTILFDGWCTSGWPGYLASLLALFLAAALYQHLEARRVRLRAGRRHRAGGGGGGASSAAGPVVPAASDARALLSAAGGRLGLGLGRRWMKEPRAAASAAAAALFGLSAAVGYLLMLAVMSFNGGVFLAVVAGLAAGHLAFRGGADEADGGVGDDELESPCACA >ONIVA08G18760.1 pep chromosome:AWHD00000000:8:20195774:20198548:1 gene:ONIVA08G18760 transcript:ONIVA08G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEMTATEVAALLDLKPHPEGGYYTETFRDGSVSVTTAQLPPQYKVDRAISTAIYFLLPSGSVSHLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQRPQYTVPPNVWFGSFPTLDVESFASDGSVLVKSRKRDPELHYSLVGCTCAPGFQYEDFEMANFDDVKSIAPKAEPFLKYLIPSTK >ONIVA08G18750.1 pep chromosome:AWHD00000000:8:20190577:20192475:1 gene:ONIVA08G18750 transcript:ONIVA08G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAELEAAERVVMRWDSTSASDEPMLFDGARAEAERFLRAVDDLRRLAPPSPAAVGSPRRLSSGSSSVSAGGGGGAATAVQVAMARLEDEFRHVLSSRALDLEIEALADLGGSLSISSDRSNSASSAELQVVDEDDSVSSLVGRRSSYRSLPSIREIDLLPDDAVSDLRAIASRMAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWKALEAKIRRWIRAARAAVRGVFASERRLCFLIFHDLPISNITVTAAAPATHDTPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDVSDIFAASKVAESIYVQAAEIRSRLADAVRGILSEFENAVLRDPPKTAVPGGTVHPLTRYVMNYSSLISDYKVTLSELIVSRPSASARLAAEGNELAPSLAELDLPEPDNQTPLAAHIIWIIVVLEHNLEGKASLYRDTALSHLFLMNNVYYIVHKVKDSPDLWNLIGDDYLKRLTGKFTMAATNYQRSAWLKILNCLRDEGLHVSGGFSSGISKSALRERFRSFNAAFEEAHRVQSGWCVPDTQLREELRISISEKLVPAYRSFLGRFRHHIENGKHPELYIKYSAEDLEIAVNDFFEGVPPSPHIRRRSHS >ONIVA08G18740.1 pep chromosome:AWHD00000000:8:20172220:20173815:1 gene:ONIVA08G18740 transcript:ONIVA08G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ICX2] MAMDARAFFPLSLPLPPPPAGPVTACLVVAGADRFRPPARGGDRGMMDGNAVDELIRRLLDGKKVKPSSSAKKVQLSEAEIRQLCVTGKDIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEFGGLPPTANYLFLGDYVDRGKQSIETICLLLAYKIKYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDSLDRIREIARPVDVPDQGLLCDLLWSDPDRESSGWGENDRGVSFTFGADKVTEFLNKHDLDLICRAHQASRHRHRHLLLPCVAILHNRLNLEALLAFLGANGRTGGGGRLRVLRGQAAGDHILGAELLRRVQQRRRADERGRQPALLVPDPQAVQRQVAGGVTTKRRRRRG >ONIVA08G18730.1 pep chromosome:AWHD00000000:8:20171066:20171464:1 gene:ONIVA08G18730 transcript:ONIVA08G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDGARSEQVEGVGNRGGVGASGEEELGAAEEGSGEAIVAAAAGTAVVAAAEPTFPKSTPELVPRSRPTGKALHHPIEIDEMWMKFISDNTEMRMDLESIGLERLSMRKKKITAHTYVKGFVPTTSIVPK >ONIVA08G18720.1 pep chromosome:AWHD00000000:8:20170722:20171293:-1 gene:ONIVA08G18720 transcript:ONIVA08G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFARWARARDQLRCRLGEGGLCGCDHGSSCGGSNYGLSRSLLCRAQFLLSAGAHPTAVADALHLLAARTVEVLHGMAIPVELSDHETGLTSSSRQQSLTRLPGPSSPSQGRFLAAPAADAAFSPPLVGITSSLAQAVDRHRLVTTPSRHLLAAPAATTAAPITSSREETPRAGEREREEKRD >ONIVA08G18710.1 pep chromosome:AWHD00000000:8:20164008:20164737:-1 gene:ONIVA08G18710 transcript:ONIVA08G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTRLSKRCAGRSNPIHRNSRDGAAASPALRPVMPMRARTMYTYHGELAVQGCRPTAERHGEQRDGTMYTSTRRQPPGGRTH >ONIVA08G18700.1 pep chromosome:AWHD00000000:8:20162270:20162482:1 gene:ONIVA08G18700 transcript:ONIVA08G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSYVATAPSPSIVLAHLAAAKVSILLGLSDPELACVTSNFLPTSNSARGAVLAVTVAGKLARARGI >ONIVA08G18690.1 pep chromosome:AWHD00000000:8:20135983:20136591:1 gene:ONIVA08G18690 transcript:ONIVA08G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRQPLPATAPSTVTHARSDVTLSDLRAWGGAAARSAQEEGSGGGGGAAAPLSHPPRLPPSPVPDPQHRRRIRTCRAEWQLDLRRRKAVEEEVEPSRLPPAHSRPPPRRWQQGRPRLPSQQSPPLPPLALCPIPPSLVKHPNLRYVNLSGNIPAGTIPPGLLHGRAHIPSAAARHSPAAHDTRGERRKKTKKEEDAWKT >ONIVA08G18680.1 pep chromosome:AWHD00000000:8:20132746:20134836:-1 gene:ONIVA08G18680 transcript:ONIVA08G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKQDEILKKDRAELLQAQAELWCHTFCYLKSIALRCAVELGMPNAIHRNGGSASLPELLGTLPLAANKRSCLPRLMRFLVSFGIFKEDISREGTTTTTTSVYQLTPVSRLLVDASSRGIFVLGNWLTSSDENTPFGMAHGMDFWDFTGHDAEYSMLFNKGMASDSHFVVNIVIHECAEVFVGVRSLVDVGGGNGAMAKAIADAFPHIKCYVLDLPHVIHGTPTDGIVEFVAGDMMHFVPSADVVLLKFVLHDWSDEDCVRILTQCKQAITNKEEGGKVIIIDTVIGSPSQQILEAQLSMDICMMTLTTGKEPEERDWHKIFLEAGFTRYKIMPILGVRALIEVYP >ONIVA08G18670.1 pep chromosome:AWHD00000000:8:20131259:20131812:-1 gene:ONIVA08G18670 transcript:ONIVA08G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAASPWRWLLGDGGGAGSATSGRRLAASSRIGAQWLGSRRTEEGRKREGRKKKGERGWRRKKKEEDRWAWVRSWEERGGL >ONIVA08G18660.1 pep chromosome:AWHD00000000:8:20090228:20094693:1 gene:ONIVA08G18660 transcript:ONIVA08G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGWVMDIIWHTLLLGGDAMGGIWSSWSTEILLGLSFYAEKTMALRRANLANVRRTVERERRLQRRRSRTTKANLSFAGDDDEGGLLMKAHTLFPICKNSMVDSSVETASNTDDAAIVHAKETLFREENYKNVFRVMEMELSLMYDFLYTKAAVIHTWHGYAIRAVSPVFTAVSLVLVELSNVAGHHRRSDVVITRVLLVATFLLETLSLLRALASSWTGFWLDRELRPVWGCGWIRHEVLCRSRWTWLRRQVASIGRLAGAKDHRRWCGKMGQLSVLQLIITGSASEQEDRSWDKECETYSKEKTIVVPQDVKELFFRRLLGQLIDLRKRMKADTGTETELRTMVANMRSKRGQLTLQNYDLWNQLRWSLGDELQLGILTWHIATDIYLSQSVKAIVAAVEDANKEKETRRLQKGGRLLK >ONIVA08G18650.1 pep chromosome:AWHD00000000:8:20080731:20081696:1 gene:ONIVA08G18650 transcript:ONIVA08G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYSVIIGSSGGWIVTADKRGWMRLANPVTGEHGDHPAITTIPFINATSPGGHHFIMDMESFVHIRYRGELESWMYPYDTVTHIAADDMWLSFYRKVVLSASPRPGDYAAMLLLDDYFGTPAFATAEDGRWRVAPSLDGVEDAIHHEGKFLSVTYTGIVEAWERDGVSGEFTSNVVTTRMADGGDDDHRKYLAAAPDGRLMIVLKNTKRMKQQNHFKVQVFDEMTQRWEAAEDIGELPILVGVNSSLCVSTAKHLEINAGCVYYTDDDMWEASMRRDFWCSNRKPNVGAYSLKDGKAMSIPGLGEHLSWPPPAWFTPSFPR >ONIVA08G18640.1 pep chromosome:AWHD00000000:8:20072303:20074567:-1 gene:ONIVA08G18640 transcript:ONIVA08G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGWVMGIIWHVLVLARNTIVDVWGGWSMEILLGASFLMQLVLTFSAGFRWRGDSPMLRNVIWLFYVSGDFVATLALGHLSVSGTSGKRRLVAFWAPFFLLHLGGPDSITAYELEDNQLSARYVLELVLRVTGAVYIVYKSISGSWALVPAAWLMLLVGVAKYTEKTLALHGANLANVRRSLERQQHRHHMGGGNHHSQQLAFATDDNDGALVMKAHALFHICKNSLVDSSVDIESTSPSTALFDLRWKELFRVMEIELSLMYDFLYTKATIIHTWHGYCIRALSPLATTVSLVLVELSNEGGRRHKQSDIVITRVLLVATFLLESASLLRALSSTWTGFLLHSKLQPGWIRHEVLCMRRWHRFHSVIMSLGWPAKVQAHRQWLGKMGQLNMLQLVITQKELERPAPKGGQFWDKEYQRCSNETMIPENVKKLVSELVSRQLKELRDYVKKVVAQEGADALSQDVNLLEMAVYLRKKRGQQALEKNNLLSDLRWSLGNELQLGILTWHIATNMFLLLSGKAAKAKGDEGPKVCAIMTLSNYMMYLLALRPYMLPGLVTRKLIELTCEELAQIWSEHRAAPAAVDDLESSSSPSFCNIRVFMRGKFSQWHNRWRVSTRLSHGRAEEEEELARMLIERDNETALNKYLSRGIDVAQRLLDLVDSRKEIDMVQVILAVWVEMLFYASYQCSKESHAKQLSQGGELTTIVWLMAEHAGLFLVNKTIKGAEEANWKKRKANKKKEAGTSDATVNKLS >ONIVA08G18630.1 pep chromosome:AWHD00000000:8:20066644:20069457:1 gene:ONIVA08G18630 transcript:ONIVA08G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGWVLDIIWHTLLLGGGAVGNLWSSWSMEILLGVSFAAQLYTEKTMALRRANLASVRSSVERQRRRQQRHRTEGGGRRSTKLVFAGDDDGALVMKAHALFHICKNSMVDSSVETASNTYDAAAAADTKETLFQLEWPQLFRVMEMELSLMYDFLYTKAAVIYTWHGYAIRAVSPVFTAVSMVLVELSNVGGHHRRSDVVITRLLLVATFLLETASLLRAVGSSWTGFLLHRGLRHGWIRHEALCASRWLRFHHAMASVGRIANSQAHRKWCGKMGQLSVLQLIITGAGSDRGESQDRSWDKECARYSEKNTMVIPAEVKEVVFRRVRQQLLDLRARMNREAADMDLRKMAANLRTKRGQLALQGRNLLGELRWSLGDELQLGILTWHVATEIYLLLQQRIQA >ONIVA08G18620.1 pep chromosome:AWHD00000000:8:20060494:20060691:1 gene:ONIVA08G18620 transcript:ONIVA08G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILTQDLSVLLFLTFDDPVWWVALSRGDIKDTIHHQGWFYSVTYTGVVKQWDRRDRPPPASSRA >ONIVA08G18610.1 pep chromosome:AWHD00000000:8:20051724:20057930:1 gene:ONIVA08G18610 transcript:ONIVA08G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPPMQSDAAAPASAVDFSNLTTDLVIDIHGRLAFVDRLAVGAVFGAAGHAVKPEAPWLVLPGETPETSRLYSVADRRVAAARAPDPAMRGCCVVGSSGGWVVTADTRARLHMANPVTGEQHALPAITTCPFFYVSNPTWPLFHVNILQDQLIRVRHGGGEKVPAARLPLCTLVADQMRGWVYRKVILSASPRPGAYAAMLLLDVDRHRGNPAFATSDDPAWRVAPSPDGVEDAIHHRGKFYSITYSGVVEEWDRRGGDGVFTSRAVSPKLPAITGGGGDHHRRYLVAAPGGELMVVTKSFKVVEIGERYMDSERRVCFTVQVLDDGGGEGGRWRRAASIGETAVFVGASSNSVCVSTKAHPELRPDCVYFAADELVKGPFRRDDDDGFHSYRGCDDKKRVVGVYSLKDGGRVEGLPELGDHATWPPPASESSDATTTPVTADDDAAVDYSNLPPDIVVDIHARLTHLDRLTVAAVFGAAGHSMSPEAPWLAIPGGETATAPAPPTKLYSISDRRAAAARAGEAAMRGCFVLGGSGDGWLVTADKRSRLRMVNPVTGAHRALPAITTCPFFYTMSWAGRGSHVNLTAGPFMRVRHGGGGPPPPPPEQLIGTSLYTVTAGQVRQFVYRKVVLSAAAAARPGGSYAAMLILSPDLGAPMFATSDDPAWRVAPSRDGVEDAIHHRGRFYSITYTGVVEEWDRRGGGGGFTSRTVATAPLKPDDLKNRKYIAAAPDGKLMVVVKFFKDIKYQTRGRDGYLRRTHTVTDMRVLFKLLVLDDEESGRWRKKEEEVGDAAAAVLFVGANASMCVAATSGGDLAGACIYFTDDDVVRGRPFDKSKDDDHWRYREDDREIVAGVYSLEKHRAHKLPVLQRRRRTPSYGHDYDDDDDINDGDDNYDGNADADDNGGGGDDDGEDEEEVQIGKIWPPPVWYKPCTSAASTSS >ONIVA08G18600.1 pep chromosome:AWHD00000000:8:20035949:20048691:-1 gene:ONIVA08G18600 transcript:ONIVA08G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:limit dextrinase [Source:Projected from Arabidopsis thaliana (AT5G04360) TAIR;Acc:AT5G04360] MQMLLHANSLLLLAPTTSRLSASASPGRSGTARPLPPPQGHAHSARAAARGTRRPPAVAAASPRTPMAVGEECAAAVASQGFVSDARAYWVTRSLIAWNVNDQDTSLFLYASRDATMHVSDGAIHGYDSKIELEPEHASLPDNVAEKFPFIRSYRTFRVPSSVDVASLVKCQLAVASYDAHGRHQDVTGLQLPGVLDDMFAYTGPLGAVFSDKDVDLYLWAPTAQDVRVCFYDGPAGPLLQTVQLKELNGVWSVTVPRYRENQYYLYEVKVYHPSTSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPASWDELSDEKPNLESFSDISIYELHIRDFSAHDSTVDCNSRGGFRAFTFQDSAGIRHLRKLSAAGLTHVHLLPSFHFASVDDNKSNWKFVDEAQLAKLPPGSDEQQAAIVSIQQEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLDSSGPFGVSSVLDKIVPGYYLRRNVNGQIENSAAMNNTASEHFMVDRLIVDDLLNWAINYKVDGFRFDLMGHIMKSTMIRAKSAIRSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSFNDRIRDSVNGGNPFGNPLQQGFSTGLFLEPNGYYQGNEADTRRELATYADHIQIGLAGNLKDYVLRTHTGEAKKGSDIYTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSIKTPIGLSIDEKCRINHLASSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKLDFTYETNNWGVGLPPRDKNEENWHLIKPRLENPSFRPLKNHILSVFDNFVDILKIRYSSPLFRLSTASDIEQRVRFHNTGPSMVPGVIVMSIKDAQNEKCKMAQLDKNFSYVVTIFNVCPHEVSIEIHDLASLGLELHPIQVNSSDALVRQSAYEASKGRFTVPRRTTAVFVQPRC >ONIVA08G18600.2 pep chromosome:AWHD00000000:8:20035949:20048691:-1 gene:ONIVA08G18600 transcript:ONIVA08G18600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:limit dextrinase [Source:Projected from Arabidopsis thaliana (AT5G04360) TAIR;Acc:AT5G04360] MQMLLHANSLLLLAPTTSRLSASASPGRSGTARPLPPPQGHAHSARAAARGTRRPPAVAAASPRTPMAVGEECAAAVASQGFVSDARAYWVTRSLIAWNVNDQDTSLFLYASRDATMHVSDGAIHGYDSKIELEPEHASLPDNVAEKFPFIRSYRTFRVPSSVDVASLVKCQLAVASYDAHGRHQDVTGLQLPGVLDDMFAYTGPLGAVFSDKDVDLYLWAPTAQDVRVCFYDGPAGPLLQTVQLKELNGVWSVTVPRYRENQYYLYEVKVYHPSTSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPASWDELSDEKPNLESFSDISIYELHIRDFSVDDNKSNWKFVDEAQLAKLPPGSDEQQAAIVSIQQEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLDSSGPFGVSSVLDKIVPGYYLRRNVNGQIENSAAMNNTASEHFMVDRLIVDDLLNWAINYKVDGFRFDLMGHIMKSTMIRAKSAIRSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSFNDRIRDSVNGGNPFGNPLQQGFSTGLFLEPNGYYQGNEADTRRELATYADHIQIGLAGNLKDYVLRTHTGEAKKGSDIYTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSIKTPIGLSIDEKCRINHLASSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKIKPRLENPSFRPLKNHILSVFDNFVDILKIRYSSPLFRLSTASDIEQRVRFHNTGPSMVPGVIVMSIKDAQNEKCKMAQLDKNFSYVVTIFNVCPHEVSIEIHDLASLGLELHPIQVNSSDALVRQSAYEASKGRFTVPRRTTAVFVQPRC >ONIVA08G18600.3 pep chromosome:AWHD00000000:8:20035949:20048691:-1 gene:ONIVA08G18600 transcript:ONIVA08G18600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:limit dextrinase [Source:Projected from Arabidopsis thaliana (AT5G04360) TAIR;Acc:AT5G04360] MQMLLHANSLLLLAPTTSRLSASASPGRSGTARPLPPPQGHAHSARAAARGTRRPPAVAAASPRTPMAVGEECAAAVASQGFVSDARAYWVTRSLIAWNVNDQDTSLFLYASRDATMHVSDGAIHGYDSKIELEPEHASLPDNVAEKFPFIRSYRTFRVPSSVDVASLVKCQLAVASYDAHGRHQDVTGLQLPGVLDDMFAYTGPLGAVFSDKDVDLYLWAPTAQDVRVCFYDGPAGPLLQTVQLKELNGVWSVTVPRYRENQYYLYEVKVYHPSTSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPASWDELSDEKPNLESFSDISIYELHIRDFSAHDSTVDCNSRGGFRAFTFQDSAGIRHLRKLSAAGLTHVHLLPSFHFASVDDNKSNWKFVDEAQLAKLPPGSDEQQAAIVSIQQEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLDSSGPFGVSSVLDKIVPGYYLRRNVNGQIENSAAMNNTASEHFMVDRLIVDDLLNWAINYKVDGFRFDLMGHIMKSTMIRAKSAIRSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSFNDRIRDSVNGGNPFGNPLQQGFSTGLFLEIGLAGNLKDYVLRTHTGEAKKGSDIYTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSIKTPIGLSIDEKCRINHLASSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKIKPRLENPSFRPLKNHILSVFDNFVDILKIRYSSPLFRLSTASDIEQRVRFHNTGPSMVPGVIVMSIKDAQNEKCKMAQLDKNFSYVVTIFNVCPHEVSIEIHDLASLGLELHPIQVNSSDALVRQSAYEASKGRFTVPRRTTAVFVQPRC >ONIVA08G18590.1 pep chromosome:AWHD00000000:8:20033389:20035411:1 gene:ONIVA08G18590 transcript:ONIVA08G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHLLLQLISMAGNGVGACRVQIIPAIIFLQLLFRALGADGASSFSFTNSCQYPVWVGVLHGASSPALARSGFYLAPSGTYHLAAPSSGTWSGTFWARTGCAVDSSTGRFTCATADCGSGDVACNGRGPSPPVTLAEITLAAPGSGGQDFYDVSLVDGFNVPVRLAPSSSGGGGGDCHAVSCAGDVNAACPSDLRVVSGAGAVVACRSACDAYRSARYCCTGAYGSPAACGPTDYSQVFKAACPAAYSYAYDDASSTFTCFGASSYDVTFCPRS >ONIVA08G18580.1 pep chromosome:AWHD00000000:8:20027008:20028129:1 gene:ONIVA08G18580 transcript:ONIVA08G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22890) TAIR;Acc:AT5G22890] MNPQEFQFFQGVEQEMLLLSHGGGGAAAAASDDVLAYHVGVLRDKVQQLEPLVGMVVVSPAAPHGQLRRDAAAMAASSACSVLQEITAAASAVAHRLEQASAASSASFRRGVAFADDAHAAGGEQMFAAGAHGGGQGGDDEAGASLFHHHGPPAVGAPTVFRAGATTTAAASSSGGGDDDGDGDGDGEVDVVELEASYLLARYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTAAALTSTGAGMRAAARRCSYSCPAEGCRWNRRHPRFQALKSVVCAKNHYRRSHCPKMYVCGRCGGKQFAVLSDLRTHEKHCGELRWLCSCGTFFSRKDKLMGHVALFAAGHAPVPVAPEASPSTTTTGTTTDRSRTGLID >ONIVA08G18570.1 pep chromosome:AWHD00000000:8:20016488:20020275:-1 gene:ONIVA08G18570 transcript:ONIVA08G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDKEAAGEGATPRGADWEVVTLTASAYAAAPGPGGAGDRPAAETKGLDASQEGDQTKGLDGSQEGRGSESALFMSGHFVFPPSEHENLPIDAGFDDIQHEKDAQEASTSVEDEGFKNVGGNYGSGLERIQFYDEGRNLSANDVEMMMGDAAEHGSFHAQDEEQGLDDDNDFDDSHDKSDLPSESADSKSRDSGAPCKCWLKKHMSCLYHQAKETNALWSVVVAAALVGLVILGRWHKDKLHLKNLKWRSGSTVRG >ONIVA08G18570.2 pep chromosome:AWHD00000000:8:20016518:20020265:-1 gene:ONIVA08G18570 transcript:ONIVA08G18570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDKEAAGEGATPRGADWEVVTLTASAYAAAPGPGGAGDRPAAETKGLDASQEGDQTKGLDGSQEGRGSESALFMSGHFVFPPSEHENLPIDAGFDDIQHEKDAQEASTSVEDEGFKNVGGNYGSGLERIQFYDEGRNLSANDVEMMMGDAAEHGSFHAQDEEQGLDDDNDFDDSHDKSDLPSESADSKSRDSGAPCKCWLKKHMSCLYHQAKETNALWSVVVAAALVGLVILGRWHKDKLHLKNLKWRSGSTVSFA >ONIVA08G18560.1 pep chromosome:AWHD00000000:8:20013544:20016581:1 gene:ONIVA08G18560 transcript:ONIVA08G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YIF1b [Source:UniProtKB/TrEMBL;Acc:A0A0E0ICV1] MYNNYGNSPGMQMPPIGQMPPATGQMPSANPQPGQFGNPFYGASSGLIKTGLGAYGEKFLGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKVILFPFLHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLQFSRGLIGWALQIVILKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVSRLLWAYSYFVMMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSTRQHYFLLFLAISQFPLFFWLGKIGA >ONIVA08G18550.1 pep chromosome:AWHD00000000:8:20007522:20011852:1 gene:ONIVA08G18550 transcript:ONIVA08G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRHHYSFKQSAGFHFLLYCASIDANISEPSDTKMSWEFQEAKFTVERMHVISLSLSLSLFSFFFFFFCKEHLLDPPNIRIFFLGISSPVHSIDASLYNGLGIIGGTLAHHTFDSMCIHIPYVFKHL >ONIVA08G18540.1 pep chromosome:AWHD00000000:8:20003876:20004736:-1 gene:ONIVA08G18540 transcript:ONIVA08G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein [Source:Projected from Arabidopsis thaliana (AT3G08980) TAIR;Acc:AT3G08980] MAGPWPLLRSFLRNCVAGTLVLVTVNDRYASVVPVRGTSMNPTLESQQGDRALVSRLCLDARYGLSRGDVVVFRSPTEHRSLVVKRLIALPGDWIQVPAAQEIRQIPVGHCWVEGDNPDVSWDSRSYGPLWCALVADMYGYLSGSAGMFKRLPANSFGRKISMAHLGCC >ONIVA08G18530.1 pep chromosome:AWHD00000000:8:19995732:20003077:1 gene:ONIVA08G18530 transcript:ONIVA08G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine synthase C1 [Source:Projected from Arabidopsis thaliana (AT3G61440) TAIR;Acc:AT3G61440] MERALMSLMRRRSLLQSGGRAPPAMAAAAGGSPFFSTLQQAAAADPVQSPGILPGLKIRDSASQLIGRTPMVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAISMLEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGAKLVLTDPTKGMGGTVRKAAELYENHPSAFMLQQFENPANVKVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPEILNMDIMEKVLEVKGEDAVKMARELALKEGLLVGISSGANTVAALELAKKPENKGKLIVTVLPSLGERYLSSALFEELRAEAEAMQPVPVD >ONIVA08G18530.2 pep chromosome:AWHD00000000:8:19995732:20003077:1 gene:ONIVA08G18530 transcript:ONIVA08G18530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine synthase C1 [Source:Projected from Arabidopsis thaliana (AT3G61440) TAIR;Acc:AT3G61440] MERALMSLMRRRSLLQSGGRAPPAMAAAAGGSPFFSTLQQAAAADPVQSPGILPGLKIRDSASQLIGRTPMVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAISMLEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGAKLVLTDPTKGMGGTVRKAAELYENHPSAFMLQQFENPANVKVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPEILNMDIMEKVLEVKGEDAVKMARELALKEGLLVGISSGANTVAALELAKKPENKGKLIVTVLPSLGERYLSSALFEELRAEAEAMQPVPVD >ONIVA08G18520.1 pep chromosome:AWHD00000000:8:19992045:19994420:-1 gene:ONIVA08G18520 transcript:ONIVA08G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDPSSVTAGRPDPSPATAGRPDPSPATAGRPDPSPATAGRPDSSPVTAGRPDSSLATAGMTAASLGQGSDDDGGDSGGGRWIKPTLLIKTHMGVALCGLHTAGDICMRKKKGRWKESGLCPTLSSGIFGSFKFRERNSVAKNPDKLATKTTYVYVIICFMK >ONIVA08G18510.1 pep chromosome:AWHD00000000:8:19985014:19985226:1 gene:ONIVA08G18510 transcript:ONIVA08G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRGRRGRRLRAAASVRSCGAAVLVTNDPALRPRTKMELGCLMHANIAANDDAHACALQREDDDGSGGR >ONIVA08G18500.1 pep chromosome:AWHD00000000:8:19981805:19982362:1 gene:ONIVA08G18500 transcript:ONIVA08G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFFATSVEAAVEELITYLEDTSSAAQKSIYFDGWYGLGASAVLRAIAENPAPSLRKKFDRKNPRQLQRAIADQLKLPQHVMDLFDRQDEEDDFSGVEESSRAEIADIRREIYRTFMDLTYLLILNNGSDHTLDIASFGFPLNDIASFGFPLNDIASFGHDPSLFEPRHSMYYKKALPRCSLLR >ONIVA08G18490.1 pep chromosome:AWHD00000000:8:19954145:19969459:1 gene:ONIVA08G18490 transcript:ONIVA08G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGVVLSILSKLQSLGFCAHLRIGDAAASGPPSDLFDTVLAAFLREVYPGGREVRPLPAKLGDGSRVDLLRLFSAIRAAGGYAATSSSPAVWASAAESVCLDATLAAPVKLIYHKYLAALDRWIQRLVEAHGPFLDGNDGRKKPEPFFDSNGRENEEPLLECNGGDLQHPILKRKREDMVGMLDWVRELAENGGEAGTMAASSANGYYSLALAARKAVFAKRARRSSMTMNGALMQEIFPMDCKCCMSSSTTGIDTQEKCSKKIQLVIPQAGSDINELINVVENINVPSIGMEQENNIIGQAKYESRKHHNSDNWLFTSQQRNKIPVGSEFQAQVPQWTGELPVSYDNAETRKWLGTKVWPLENGNRKLSYFCNPVGKGREGVCGCNLPGSVECVRFHVAERRLQLRRELDSAFYAWGFDRMGEEIALSWTDKEEANFKACVQLNAPSSGRNFWKRLHMLFQSKGRKELVSYYFNCFLLRRRCYQNRMTPNNIDSDDEDETEFGFLGNRLGHNATKYDSSKYTLCIESTHCMDLNQ >ONIVA08G18490.2 pep chromosome:AWHD00000000:8:19954145:19959393:1 gene:ONIVA08G18490 transcript:ONIVA08G18490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGVVLSILSKLQSLGFCAHLRIGDAAASGPPSDLFDTVLAAFLREVYPGGREVRPLPAKLGDGSRVDLLRLFSAIRAAGGYAATSSSPAVWASAAESVCLDATLAAPVKLIYHKYLAALDRWIQRLVEAHGPFLDGNDGRKKPEPFFDSNGRENEEPLLECNGGDLQHPILKRKREDMVGMLDWVRELAENGGEAGTMAASSANGYYSLALAARKAVFAKRARRSSMTMNGALMQEIFPMDCKCCMSSSTTGIDTQEKCSKKIQLVIPQAGSDINELINVVENINVPSIGMEQENNIIGQAKYESRKHHNSDNWLFTSQQRNKIPVGSEFQAQVPQWTGELPVSYDNAETRKWLGTKVWPLENGNRKLSYFCNPVGKGREGVCGCNLPGSVECVRFHVAERRLQLRRELDSAFYAWGFDRMGEEIALSWTDKEEANFKACVQLNAPSSGRNFWKRLHMLFQSKGRKELVSYYFNCFLLRRRCYQNRMTPNNIDSDDEDETEFGFLGNRLGHNATKYDSSKYTLCIESTHCMDLNQ >ONIVA08G18480.1 pep chromosome:AWHD00000000:8:19938013:19939503:-1 gene:ONIVA08G18480 transcript:ONIVA08G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGIGYYTPKWPEDVFIACPHFYRTLDPNTSSGRRASASMAQPLLLVAASGSRLHMMLEKGEISQWSTCEGVEFGMVASRREPPKR >ONIVA08G18470.1 pep chromosome:AWHD00000000:8:19926218:19926946:1 gene:ONIVA08G18470 transcript:ONIVA08G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIGISGVGEGGVVSPAQPSSPERRYKGVRLRKWGRWVSEIRMPNSRERIWLGSYESAEKAARAFDAAAVCLRGSRGAGSLNFPESPPPDVRRRFPGAALTVEQIQAEAARHANRRFPANTAAAGGSSSYSISQRQEAAAPARSTSNDDTAMSSVPSTDGGAADYDGGDDVIDWSFMDTLPAMSSSAASTNADLVPAMDDFMYGFLHTMPPSPCEDGGEDVMIDGNCNMDQTFFSVDLWRF >ONIVA08G18460.1 pep chromosome:AWHD00000000:8:19913674:19917115:-1 gene:ONIVA08G18460 transcript:ONIVA08G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEAPPGALNLADYAPAGARTVDCFRRIRKIGEGTYGEVFEAMDIITGERAALKKIKLDDGKEGVQHMEQEDQYYMEQLLKGLHYCHVNNVLHRDIKDYLLPFIGANLLISGGGKLLKLADFGLARPFTRDGSFTNHVITLWYRPPELLLGATNYAEAVDIWSVGCIFAEFLLRKPLFPGRTEV >ONIVA08G18450.1 pep chromosome:AWHD00000000:8:19900442:19911306:1 gene:ONIVA08G18450 transcript:ONIVA08G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTPSRGSASGRRATGHRRIADYLADDRTEASTENGSFNTAYSDELFAPTSSSAGGDGVGGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTTGALYGPTSLAGGGAAHTPPGSGRSLSRCSSTSSRIRKKFAWLRSPSPAPAPRAPTPSEPPPPREAAMAARERRRIQARLNRSRSGARRALKGLRFISRTTGSAEAAELWTRVEHRFNALSRDGLLSRDDFGDCIGKQAKPSSMSMARRARARSRDDTAYGAGIGAGMEDSKEFAGGIFDALARRRRQELERISKEELYDFWLIVLSASANKLSKLKEQAEEYASLIMEELDPEDLGYIELWQLEALLLQRDAYMNYSRPLSSGSTAQWSQNLGGGGGGGGGQQGGQGQGQGQSEGRRNDWRRRWSPRRAAARAQVAAEENWRRAWVLALWFAAMAGLFAWKFVQYRRTPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDSITFHKIIATAIALGICTHAGTHLACDFPRLIGSSREEYELLLSGFFGASRPTYRGLLAGVEGVTGIVMVVLMVVSFTLATRPLRKREAPRLPFPLGHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVTKWHQRTTWMYIAVPLMLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFVPSVPRRASFGALGMAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSDDSANSFSVSTASSNKRRAYRTSRAHFYWVTREPGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARSTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGKPTLAKELKKLSLDMSHKTTTRFHFHKEYF >ONIVA08G18440.1 pep chromosome:AWHD00000000:8:19883288:19883470:-1 gene:ONIVA08G18440 transcript:ONIVA08G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLLAARGNSDGIEGRGRGRHHGGEGRGNVGLVADNGGAVVRTWGRSPTAVQPRWSRL >ONIVA08G18430.1 pep chromosome:AWHD00000000:8:19883122:19883560:1 gene:ONIVA08G18430 transcript:ONIVA08G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEQLAELVQGRGSIWRSRAPRRRRRHSEGASPALGCRDHRERVHRLTVARLGEGLPEEGEEGSKPPATKSS >ONIVA08G18420.1 pep chromosome:AWHD00000000:8:19880015:19882059:1 gene:ONIVA08G18420 transcript:ONIVA08G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDETVAGPRPDSGLGKLRKYSSFSPSSPSAAAAAPGTAPPDAPAATVTRSITIVRPPSLSVPSPRGGGGEYSSSVPSSPASAPDSPFASATTPKGDSWRRLRRKPKTATDAAPEAAAAVGPRSPTVYDWFALFLSLSARVVISSLDR >ONIVA08G18410.1 pep chromosome:AWHD00000000:8:19865760:19866260:1 gene:ONIVA08G18410 transcript:ONIVA08G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTTTLAAVSSCLLLAQQLLLLAPATTATSIPVGGGGGGSTASSTVPVSSHRDSDDADADVPPFFPFPGGSGAAAGCWNAVLRAEVCAGDVLRSVASLLLHDGERHPWGVHVGAPCCGVLQTVGDRCFRDLLTDSPFRPLYAPLVNHVCSALPVGGGVTPIHRH >ONIVA08G18400.1 pep chromosome:AWHD00000000:8:19854733:19857934:1 gene:ONIVA08G18400 transcript:ONIVA08G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNGSLYAVLGVASDCSDADLRTAYRKLAMKWHPDKCGAAGSSAGGGAEAAKVRFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDGAGEILGDILEAMNKTGPTENGKNESFEDLQRQFEELFLRPPAPAPPTSSSFRSAVPRGRWEVFKEKGRKNVEDI >ONIVA08G18400.2 pep chromosome:AWHD00000000:8:19854730:19857003:1 gene:ONIVA08G18400 transcript:ONIVA08G18400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNGSLYAVLGVASDCSDADLRTAYRKLAMKWHPDKCGAAGSSAGGGAEAAKVRFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDGAGEILGDILEAMNKTGPTENGKNESFEDLQRQFEELFLRPPAPAPPTSSSFRSAQEDAGKSSKRRAGRT >ONIVA08G18390.1 pep chromosome:AWHD00000000:8:19844413:19845321:-1 gene:ONIVA08G18390 transcript:ONIVA08G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIDRRRRRVEATASASDGAERERCESGSEDCTIWSIDNEAPTVTSSISNMSTQSFKVAHRDKLCV >ONIVA08G18380.1 pep chromosome:AWHD00000000:8:19829709:19830119:1 gene:ONIVA08G18380 transcript:ONIVA08G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGLSKLRCMIRRWHSSSRIARAPPSAGELEEGSAAAAAAAGRAASFHGADEVPKGLHPVYVGKSRRRYLIAEELVGHPLFQNLVDRTGGGGGAGAATVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >ONIVA08G18370.1 pep chromosome:AWHD00000000:8:19820508:19820970:-1 gene:ONIVA08G18370 transcript:ONIVA08G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTVAASRGRSHRNQTDAACCLGRTQGYDGESLRPRHRVRVLLGVPYACGARGLRYHKTTRGRRVVQAPAAPRVAGWLWPIARYLHAMRPAPMPQVAAYSRAHRIGSALRVAVSSGRPAMSTSGASVFPAQGVQAWAV >ONIVA08G18360.1 pep chromosome:AWHD00000000:8:19806467:19808857:1 gene:ONIVA08G18360 transcript:ONIVA08G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:Projected from Arabidopsis thaliana (AT3G14240) TAIR;Acc:AT3G14240] MASSASRTLLLLLVVVVVAAAVGGVLGGGGEERTFIVRVDADAKPSAFPTHAHWYEAAVMAAEGGGGGGEWREGGPLIHTYSAAFHGFSARMSPAAAAALAEAPGVAAVVPERVRQLATTRSPRFLGLLSSPPSALLADSDFGSDLVIAIIDTGISPTHRSFHDRGLGPVPSKWRGVCSSGPGFPPNSCNRKLVGARFFSAGYEATSGRMNETAEVRSPLDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWVGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVQLGNGQVLDGVSVYGGPALQSGKMYELVYAGASSGAASSAADGYSASMCLDGSLDPAAVRGKIVVCDRGVNSRAAKGDVVHRAGGIGMVLANGVFDGEGLVADCHVLPATAVGAAAGDKLRKYIGSSTRQAPATGTILFEGTHLGVHPAPVVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPSGVGPAGIPSDGRRTEFNILSGTSMACPHISGLAALLKAAHPTWSPAAIKSALMTTAYIKDNSNGTMVDESTGVVADVFDFGAGHVDPMRAMDPGLVYDITPVDYVNFLCNLNYTEQNIRAITRRPADCRGARRAGHAGNLNYPSMSATFAADGTRATMKTHFIRTVTNVGGGRAVYRATVRSPEGCAVTVQPRQLAFRRDGQKLSFTVRVEAAAPAKKMEPGSSQVRSGAVTWSDGRHAVNTPVVVTVQAPLQ >ONIVA08G18350.1 pep chromosome:AWHD00000000:8:19804743:19805063:-1 gene:ONIVA08G18350 transcript:ONIVA08G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTSPKKRHVHLDASPSCMTSVVAKGELVGEVPNRLAVPPNHVARQGDLALLQLVVSSGSSSPEREGWGKGGVFTGEGFHADGEYAAFTKEERGVVEVQVIVTR >ONIVA08G18340.1 pep chromosome:AWHD00000000:8:19799655:19804348:1 gene:ONIVA08G18340 transcript:ONIVA08G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDDAGEAVTESECPSCRRLFCAQCAVPWHSGVDCAANKKLGKGDRGKEDLLLVEMAKGKKWKRCPKCKYFVEKSQGCLHITCRCGFEFCYGCGGHSEKAFPSFPAKLAPRTRAMAMAEAAEGQRPRQRQRRQPQPQRQQHPSCGICMEPMPPSEAHRGGGGGCAHAFCRACLAGHVRAKVESGGGAGAVRCPDPSCGGALDPELCRGALPGDVFERWCAALCEAMFAGARRTYCPYPGCSEMMVADADDEGCAGVTQSECQACRRLFCARCGVPWHAGVSCAEFGRLGEGERGREDLLLVQAARNGGWKRCPRCRFYVEKSHGCLHITCSMNVMQNSG >ONIVA08G18330.1 pep chromosome:AWHD00000000:8:19766815:19768014:1 gene:ONIVA08G18330 transcript:ONIVA08G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHRRRQENDEAAVLVKREHPGRAVVADAARHEHLDRTAAFDSAAAACHLWSAFDLMTRRKDPLDGLKLYSGDEHYWFGQFDGSTTATVEYMTGRGGERANVGHSGGDGVIEAERWSSLVTATRWWRSERNTAQKGILVSPEIGIARQVSDWEACERRVEAPCWIASECDGVHPVPACSSGS >ONIVA08G18320.1 pep chromosome:AWHD00000000:8:19738537:19777155:-1 gene:ONIVA08G18320 transcript:ONIVA08G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSLAHQQASQGRGSMLSESKFRKAKQAVQPNLMYLQGQQLENEEEIGCYVIRCGGSISIRSSCSNVRNWGDPCFLAQFDLHWLERSRVKCTMLAVLCGKVGKQRTPPGPMPESQRLWPSFPFPELISSGSGGISIRGSYSYVIRAPFDLPWLERWSASLAKDRKQNKSLVPLGIACVDGTLVCSFNRQGVGPLLFFCTNQDEDEDIENDLYSDLPRWSASLEKDRVKGRIGSKPARQIGYKGSDNVEWGKGGVDAKVGDYSLAHEHYESSSSSSPSRRGRDREKGKRARDISTATALASAAVAMVQRLRICGVLCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPVPESQRPRPSFPFPELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLMSVVPSSCSHAWDAFQLAYTSFEQYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNKMAEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKGNMVSKPVLYSLSTVNESSPRSSSPSSSSSSSSSPRGEEEAQEGSSRSCGFRFRARSLVNCTLLAVLCGKVTDKQQKPPGLVPEVKRPRLSYPFPELISSGRLEVHTLINPTVDQFRKAQQAVQPNLMYLQGQQLENEEEIGTLVWGDADVSDPQIFSSLISPPFPTIVYLEVPAGEKLAQSLQLKGIPYVLYWRNSFSSYAASHFRQALISVVQSSCSHTWDAFQLAQASFRLYCARNNDAQSVKLGPRLLGDAPKINIFLPENEMVEEEGSSEHFPAIKIYDEDVNMKLLICGAPCILDASLLGSLEDGLNALLNIEIRGCRLQNRVSAAPPPLHAETLPHGVVTMRCDITTCSSSHVSLLVSGSPQTCFDDKLLENHIKKEIVEKGQLVRAVLVREDDKPSSVEPLTSISVASGASTFEVWMTLPKWAGQVLKYLAQETSYKSLVPLGIACVNGTPVSSFDRQDVDRLLFFCKNEAIVNGLYSHLPRWSASLVKDRLKGTPESKSSTFSANGVGEYQKHPMKGTSLLVKPKLKSAKMRPIPHSSKRQMHPFVGIPPSFIHDASQVKPSLPAPPVRHNALPVAPTTQRKLSSGTSRVEPAVPLNPLPMKKHGCDRLPIGICSEEDFLKDVMQFLLQRGHTRLVPQGGLAEFPDAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMSNHTVTNKMTGVGNTLKRHYETYLLEYELSHDDVGGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPESANGFRIASAQRNI >ONIVA08G18320.2 pep chromosome:AWHD00000000:8:19738537:19777155:-1 gene:ONIVA08G18320 transcript:ONIVA08G18320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSLAHQQASQGRGSMLSESKFRKAKQAVQPNLMYLQGQQLENEEEIGCYVIRCGGSISIRSSCSNVRNWGDPCFLAQFDLHWLERSRVKCTMLAVLCGKVGKQRTPPGPMPESQRLWPSFPFPELISSGSGGISIRGSYSYVIRAPFDLPWLERWSASLAKDRKQNKSLVPLGIACVDGTLVCSFNRQGVGPLLFFCTNQDEDEDIENDLYSDLPRWSASLEKDRVKGRIGSKPARQIGYKGSDNVEWGKGGVDAKVGDYSLAHEHYESSSSSSPSRRGRDREKGKRARDISTATALASAAVAMVQRLRICGVLCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPVPESQRPRPSFPFPELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLMSVVPSSCSHAWDAFQLAYTSFEQYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNKMAEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPDSSILNSLEDGLNALLNIELVSAHNISLCSAAPPLHVDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKGNMVSKPVLYSLSTVYLEVPAGEKLAQSLQLKGIPYVLYWRNSFSSYAASHFRQALISVVQSSCSHTWDAFQLAQASFRLYCARNNDAQSVKLGPRLLGDAPKINIFLPENEMVEEEGSSEHFPAIKIYDEDVNMKLLICGAPCILDASLLGSLEDGLNALLNIEIRGCRLQNRVSAAPPPLHAETLPHGVVTMRCDITTCSSSHVSLLVSGSPQTCFDDKLLENHIKKEIVEKGQLVRAVLVREDDKPSSVEPLTSISVASGASTFEVWMTLPKWAGQVLKYLAQETSYKSLVPLGIACVNGTPVSSFDRQDVDRLLFFCKNEAIVNGLYSHLPRWSASLVKDRLKGTPESKSSTFSANGVGEYQKHPMKGTSLLVKPKLKSAKMRPIPHSSKRQMHPFVGIPPSFIHDASQVKPSLPAPPVRHNALPVAPTTQRKLSSGTSRVEPAVPLNPLPMKKHGCDRLPIGICSEEDFLKDVMQFLLQRGHTRLVPQGGLAEFPDAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMSNHTVTNKMTGVGNTLKRHYETYLLEYELSHDDVGGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPESANGFRIASAQRNI >ONIVA08G18320.3 pep chromosome:AWHD00000000:8:19745918:19788581:-1 gene:ONIVA08G18320 transcript:ONIVA08G18320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGICARVHHILALTSQLYPLLFLLRRRRRRQIEPALRLRQRPSRSSSTMSHFKSGSRVKCTMLAVLCGKVGKQRTPPGPVPESQRPRPSFPFLELISSGRLDVHTLINPTVDQFLEAQRALQPRFIYLQGQLLDNEEEIGALVWGDADVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLLSVVQRIYMTPPGSKMAEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPDSSVLNSVEDGLNALLNIELVSAHNISLCSAAPPLHVDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDGPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASFLRSIKKMWIGFFSFAQTKMKMKLLEVKQNKSLVPLGIACVDGTLVCSFNRQGVGPLLFFCTNQDEDEDIENDLYSDLPRWSASLEKDRVKGRIGSKPARQIGYKGSDNVEWGKGGVDAKVGDYSLAHEHYESSSSSSPSRRGRDREKGKRARDISTATALASAAVAISTPSSSSVAAAAATKSSAPCTSAGVLASRKSPFWVDMFLWRVQRLRICGVLCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPVPESQRPRPSFPFPELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLMSVVPSSCSHAWDAFQLAYTSFEQYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNKMAEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKGNMVSKPVLYSLSTVNESSPRSSSPSSSSSSSSSPRGEEEAQEGSSRSCGFRFRARLLFDPRTGSGDLSFMNDLLLLVVLQGSMVE >ONIVA08G18320.4 pep chromosome:AWHD00000000:8:19745918:19788581:-1 gene:ONIVA08G18320 transcript:ONIVA08G18320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGICARVHHILALTSQLYPLLFLLRRRRRRQIEPALRLRQRPSRSSSTMSHFKSGSRVKCTMLAVLCGKVGKQRTPPGPVPESQRPRPSFPFLELISSGRLDVHTLINPTVDQFLEAQRALQPRFIYLQGQLLDNEEEIGALVWGDADVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLLSVVQRIYMTPPGSKMAEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPDSSVLNSVEDGLNALLNIELVSAHNISLCSAAPPLHVDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDGPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETQGVGPLLFFCTNQDEDEDIENDLYSDLPRWSASLEKDRVKGRIGSKPARQIGYKGSDNVEWGKGGVDAKVGDYSLAHEHYESSSSSSPSRRGRDREKGKRARDISTATALASAAVAISTPSSSSVAAAAATKSSAPCTSAGVLASRKSPFWVDMFLWRVQRLRICGVLCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPVPESQRPRPSFPFPELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLMSVVPSSCSHAWDAFQLAYTSFEQYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNKMAEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKGNMVSKPVLYSLSTVNESSPRSSSPSSSSSSSSSPRGEEEAQEGSSRSCGFRFRARLLFDPRTGSGDLSFMNDLLLLVVLQGSMVE >ONIVA08G18320.5 pep chromosome:AWHD00000000:8:19738537:19777155:-1 gene:ONIVA08G18320 transcript:ONIVA08G18320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSLAHQQASQGRGSMLSESKFRKAKQAVQPNLMYLQGQQLENEEEIGCYVIRCGGSISIRSSCSNVRNWGDPCFLAQFDLHWLERSRVKCTMLAVLCGKVGKQRTPPGPMPESQRLWPSFPFPELISSGSGGISIRGSYSYVIRAPFDLPWLERWSASLAKDRKQNKSLVPLGIACVDGTLVCSFNRQGVGPLLFFCTNQDEDEDIENDLYSDLPRWSASLEKDRVKGRIGSKPARQIGYKGSDNVEWGKGGVDAKVGDYSLAHEHYESSSSSSPSRRGRDREKGKRARDISTATALASAAVAMVQRLRICGVLCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPVPESQRPRPSFPFPELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLMSVVPSSCSHAWDAFQLAYTSFEQYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNKMAEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPDSSILNSLEDGLNALLNIELVSAHNISLCSAAPPLHVDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKGNMDASLLGSLEDGLNALLNIEIRGCRLQNRVSAAPPPLHAETLPHGVVTMRCDITTCSSSHVSLLVSGSPQTCFDDKLLENHIKKEIVEKGQLVRAVLVREDDKPSSVEPLTSISVASGASTFEVWMTLPKWAGQVLKYLAQETSYKSLVPLGIACVNGTPVSSFDRQDVDRLLFFCKNEAIVNGLYSHLPRWSASLVKDRLKGTPESKSSTFSANGVGEYQKHPMKGTSLLVKPKLKSAKMRPIPHSSKRQMHPFVGIPPSFIHDASQVKPSLPAPPVRHNALPVAPTTQRKLSSGTSRVEPAVPLNPLPMKKHGCDRLPIGICSEEDFLKDVMQFLLQRGHTRLVPQGGLAEFPDAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMSNHTVTNKMTGVGNTLKRHYETYLLEYELSHDDVGGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPESANGFRIASAQRNI >ONIVA08G18320.6 pep chromosome:AWHD00000000:8:19745918:19777107:-1 gene:ONIVA08G18320 transcript:ONIVA08G18320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSESKFRKAKQAVQPNLMYLQGQQLENEEEIGTLVWGEADSTFSDHRCYVIRCGGSISIRSSCSNVRNWGDPCFLAQFDLHWLERSRVKCTMLAVLCGKVGKQRTPPGPMPESQRLWPSFPFPELISSGSGGISIRGSYSYFLHSIDKAWIGFFFFARTKMKMKLLEMIYIPICQMVCIPCEGQSEEKQGVGPLLFFCTNQDEDEDIENDLYSDLPRWSASLEKDRVKGRIGSKPARQIGYKGSDNVEWGKGGVDAKVGDYSLAHEHYESSSSSSPSRRGRDREKGKRARDISTATALASAAVAISTPSSSSVAAAAATKSSAPCTSAGVLASRKSPFWVDMFLWRVQRLRICGVLCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPVPESQRPRPSFPFPELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLMSVVPSSCSHAWDAFQLAYTSFEQYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNKMAEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKGNMVSKPVLYSLSTVNESSPRSSSPSSSSSSSSSPRGEEEAQEGSSRSCGFRFRARLLFDPRTGSGDLSFMNDLLLLVVLQGSMVE >ONIVA08G18320.7 pep chromosome:AWHD00000000:8:19745918:19777155:-1 gene:ONIVA08G18320 transcript:ONIVA08G18320.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSLAHQQASQGRGSMLSESKFRKAKQAVQPNLMYLQGQQLENEEEIGCYVIRCGGSISIRSSCSNVRNWGDPCFLAQFDLHWLERSRVKCTMLAVLCGKVGKQRTPPGPMPESQRLWPSFPFPELISSGSGGISIRGSYSYFLHSIDKAWIGFFFFARTKMKMKLLEMIYIPICQMVCIPCEGQSEEKQGVGPLLFFCTNQDEDEDIENDLYSDLPRWSASLEKDRVKGRIGSKPARQIGYKGSDNVEWGKGGVDAKVGDYSLAHEHYESSSSSSPSRRGRDREKGKRARDISTATALASAAVAISTPSSSSVAAAAATKSSAPCTSAGVLASRKSPFWVDMFLWRVQRLRICGVLCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPVPESQRPRPSFPFPELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLMSVVPSSCSHAWDAFQLAYTSFEQYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNKMAEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKGNMVSKPVLYSLSTVNESSPRSSSPSSSSSSSSSPRGEEEAQEGSSRSCGFRFRARYDYDLQWLERLLFDPRTGSGDLSFMNDLLLLVVLQGSMVE >ONIVA08G18320.8 pep chromosome:AWHD00000000:8:19745918:19777155:-1 gene:ONIVA08G18320 transcript:ONIVA08G18320.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSLAHQQASQGRGSMLSESKFRKAKQAVQPNLMYLQGQQLENEEEIGCYVIRCGGSISIRSSCSNVRNWGDPCFLAQFDLHWLERSRVKCTMLAVLCGKVGKQRTPPGPMPESQRLWPSFPFPELISSGSGGISIRGSYSYFLHSIDKAWIGFFFFARTKMKMKLLEMIYIPICQMVCIPCEGQSEEKQGVGPLLFFCTNQDEDEDIENDLYSDLPRWSASLEKDRVKGRIGSKPARQIGYKGSDNVEWGKGGVDAKVGDYSLAHEHYESSSSSSPSRRGRDREKGKRARDISTATALASAAVAMVQRLRICGVLCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPVPESQRPRPSFPFPELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLMSVVPSSCSHAWDAFQLAYTSFEQYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNKMAEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKGNMVSKPVLYSLSTVNESSPRSSSPSSSSSSSSSPRGEEEAQEGSSRSCGFRFRARYDYDLQWLERLLFDPRTGSGDLSFMNDLLLLVVLQGSMVE >ONIVA08G18310.1 pep chromosome:AWHD00000000:8:19736826:19737143:1 gene:ONIVA08G18310 transcript:ONIVA08G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARALLSSARVAGAGIAAVSCCCRRSFSAAAAAAVRQQEPATAAAAGTTAKLQAAEGAAAGSKEGFFWMREPRTGNWMPENHFNDVDAADLRSQLLFAKKN >ONIVA08G18300.1 pep chromosome:AWHD00000000:8:19733489:19735501:1 gene:ONIVA08G18300 transcript:ONIVA08G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSQSIFHLGEEGGVVHCHRGGNHLVAASMGMGGAGGHYGSGTRGGGRRARERERLVVGLQIIVHSQHHHGRHAHAHAASVVLKQMVRPRAAAAAAAGRHGAVSCSFLKACSLCRRELSPTKDVYMYRGDQGFCSEECRWQQIAVDEAREREAAAAAAAGRPERRGLARHHSPHRAAAPVRGRPRKTLAVA >ONIVA08G18290.1 pep chromosome:AWHD00000000:8:19729118:19729547:-1 gene:ONIVA08G18290 transcript:ONIVA08G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGGGTGSFPRLKGMAPKEDGGLAMGVSEAPVATLKPLGWRRVTNKVDAGVKGGGGDDILHKHAHIREERH >ONIVA08G18280.1 pep chromosome:AWHD00000000:8:19713362:19713785:-1 gene:ONIVA08G18280 transcript:ONIVA08G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLTRSTSATTGSHTHPTRSEARKPTFSQAWETASELGSVSGVVAMGVPGGGRLMRPRPRAGVAGGVGRRRSRPLVALRCGPSGTRGGGGRLSGG >ONIVA08G18270.1 pep chromosome:AWHD00000000:8:19713204:19724156:1 gene:ONIVA08G18270 transcript:ONIVA08G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sec34-like family protein [Source:Projected from Arabidopsis thaliana (AT1G73430) TAIR;Acc:AT1G73430] MATTPETLPNSEAVSHAYKFASTWEKNAPLTEQQNAAIAALSRAVSERPFPANLEKSLVKDGGVVVPEKEAALEEGAMDAVLVNTHQFYKWFSELESAMKSETEEKYRLYESTLEERVKTCDGILQQVDDTLNLFEELQSLHLSVATKTKTLHDACDQLLLEKQRLIEFAEALRSRLNYFDELENVSTSFYSQAMNIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKAASSQVQAAIRGNGSAKNVVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYTQILSECHSLFCEQRLYLIRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPASASDVSSIAPLMDPLCTYLYDTLRPRLIYEGSIDSLCELVDILKVEVLGEQLSRRGESVAGLRPILQRILADIHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSVDATTSSNVSGNSDIYVTWYRPLEKTVSCLSKLYHRLEPTVFTGLAQNASKVIAKKATAMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLENQIDARKELEKSLKSTCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELVQKVGTAIQQDLPKVMTMMRLYLQNPSTRLILFKPIKTNIVEAHIQLHSLLKSEYTADEIQSIGMLPIPDLQSQLDALL >ONIVA08G18270.2 pep chromosome:AWHD00000000:8:19713204:19724156:1 gene:ONIVA08G18270 transcript:ONIVA08G18270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sec34-like family protein [Source:Projected from Arabidopsis thaliana (AT1G73430) TAIR;Acc:AT1G73430] MATTPETLPNSEAVSHAYKFASTWEKEKSLVKDGGVVVPEKEAALEEGAMDAVLVNTHQFYKWFSELESAMKSETEEKYRLYESTLEERVKTCDGILQQVDDTLNLFEELQSLHLSVATKTKTLHDACDQLLLEKQRLIEFAEALRSRLNYFDELENVSTSFYSQAMNIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKAASSQVQAAIRGNGSAKNVVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYTQILSECHSLFCEQRLYLIRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPASASDVSSIAPLMDPLCTYLYDTLRPRLIYEGSIDSLCELVDILKVEVLGEQLSRRGESVAGLRPILQRILADIHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSVDATTSSNVSGNSDIYVTWYRPLEKTVSCLSKLYHRLEPTVFTGLAQNASKVIAKKATAMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLENQIDARKELEKSLKSTCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELVQKVGTAIQQDLPKVMTMMRLYLQNPSTRLILFKPIKTNIVEAHIQLHSLLKSEYTADEIQSIGMLPIPDLQSQLDALL >ONIVA08G18260.1 pep chromosome:AWHD00000000:8:19712067:19712669:1 gene:ONIVA08G18260 transcript:ONIVA08G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGDGSGGGRKTPWTQEEDEALRRAVREHRRQNWAEIALALPRRGPKSCRLRWCQHLSPELDSRVFTAEEDAIILAQQRVHGNKWATIARCLPGRSDNAVKNRWNSALRKLLQVQHARGAGSPPTAAAAAAGDDRDDAPVCLQLFPARAGGVKEAGLFAGEKDVEEEDVATSLTLGLPVLCEAELELRLGPAWPATA >ONIVA08G18250.1 pep chromosome:AWHD00000000:8:19700408:19711768:1 gene:ONIVA08G18250 transcript:ONIVA08G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPEGRLVELFGAVKSWMPRRGDHSPPPPLPPPPASQVGAGGGGGAASPQPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRAPGDAAREDGERIRVCNYCFRRWLEEEAAARRDVAQPSSPVLSPSASAVSIGSDKSSSTARSSAGTNGQMSSYTNVSYTDFPSMAVEGQGECCEREGCAEKQLPAMEPAGGVEPATYVDNTSDPYNFGINRSDDEDDDYAVFHSDSKTQHLQNSDEYFKTVCFDAHQVDCSHVKESVSPMQDTENFIGSVGVDKTGDHIMDNTEECNTRSSSLYSMEMLENEPVDFENNSSLWLPPEPEDEEDDHDGALCDEDDGEDATGEWGYLRSNSFGSGHCRSRDKSAEEHKRAMKDIVDGHFRALVAQLLQAEKVQLVDKSGKQSWLDIVTSLSWEAASILKPDTSKGGRMDPGGYVKVKCLACGRPSDSFVVKGVVCKKNVAHRRMASRKEKPRILILGGALEYQRISNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYVSSQKLGHCDLFHVEKHVEEHGTAGEGGKKMLKTLMFFEGCPKPLGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILQMPTASSPNDSLQAFDVQTDGSTFNGFQTMDQTMVMCSPEYKSCKKLGAGSAQTESAHFNGQDKNIACLHGMVPWSSTDPLVQQSASSLCHCPSCSRDVVNKKHFEERQPETSGHTLDNDFNALSAHRTNLESVESGHLFAYNSENGDKIRAKLSVPLNVQISLDDDSSKDDSVIKKDEIPASPADNQSILVSLSSRCVWKETVCQRPHLLRIKYYGNFDKPLGRFLRDQLFDQNNNCISCELPPEAHVYCYVHPQGSLTISVRKLAVKLPGEHDGKIWMWHRCLRCPRVIGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPPKLDFTSQHQEWVEQEANEVVDSAELLFTEVLNALHQISEGRPITGSFDGNMKILELRRNIMELEEILQIEKADFTESLKNLLNKEIRKGKPFIDILEVNKLRRQLLFLCYLWDQRLIFIANSGGKYCDTLGGLRVGSRSSDSNDKSVDTNATTKLEKSSKGSEVLSNAKEGSLKQSQSPLHANDKEPNQPDQSNGNSSRIGAGLNGMEDAIAKINHSNSADVKDNLDHQESSIGVRRVLSDGQFPVNADISDTLDAKWRGENGTVPDTSILKPLALLEGSADLKNQAKVVPTNASLSVRSGDTVEDLSSWLKMPYMTFYDSLNTNSGTAPRFGALADYNPVYITLFRELSQQGGARLFLPTGANDVVIPVFDDEPTSIISYALVSPMYCHQMLDENSKNKDGPDSSLPLPVYDSGNFNPFHLFEDFGSADDLASSISGTRGSFVPDLVHLRVPFEDGGPLGKVKYTVTCYYAKSFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGLDYFKYLSESISTGSPTSLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAPPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKSSSNLCQEDPQNASQE >ONIVA08G18250.2 pep chromosome:AWHD00000000:8:19700408:19711768:1 gene:ONIVA08G18250 transcript:ONIVA08G18250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPEGRLVELFGAVKSWMPRRGDHSPPPPLPPPPASQVGAGGGGGAASPQPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRAPGDAAREDGERIRVCNYCFRRWLEEEAAARRDVAQPSSPVLSPSASAVSIGSDKSSSTARSSAGTNGQMSSYTNVSYTDFPSMAVEGQGECCEREGCAEKQLPAMEPAGGVEPATYVDNTSDPYNFGINRSDDEDDDYAVFHSDSKTQHLQNSDEYFKTVCFDAHQVDCSHVKESVSPMQDTENFIGSVGVDKTGDHIMDNTEECNTRSSSLYSMEMLENEPVDFENNSSLWLPPEPEDEEDDHDGALCDEDDGEDATGEWGYLRSNSFGSGHCRSRDKSAEEHKRAMKDIVDGHFRALVAQLLQAEKVQLVDKSGKQSWLDIVTSLSWEAASILKPDTSKGGRMDPGGYVKVKCLACGRPSDSFVVKGVVCKKNVAHRRMASRKEKPRILILGGALEYQRISNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYVSSQKLGHCDLFHVEKHVEEHGTAGEGGKKMLKTLMFFEGCPKPLGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILQMPTASSPNDSLQAFDVQTDGSTFNGFQTMDQTMVMCSPEYKSCKKLGAGSAQTESAHFNGQDKNIACLHGMVPWSSTDPLVQQSASSLCHCPSCSRDVVNKKHFEERQPETSGHTLDNDFNALSAHRTNLESVESGHLFAYNSENGDKIRAKLSVPLNVQISLDDDSSKDDSVIKKDEIPASPADNQSILVSLSSRCVWKETVCQRPHLLRIKYYGNFDKPLGRFLRDQLFDQNNNCISCELPPEAHVYCYVHPQGSLTISVRKLAVKLPGEHDGKIWMWHRCLRCPRVIGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPPKLDFTSQHQEWVEQEANEGRPITGSFDGNMKILELRRNIMELEEILQIEKADFTESLKNLLNKEIRKGKPFIDILEVNKLRRQLLFLCYLWDQRLIFIANSGGKYCDTLGGLRVGSRSSDSNDKSVDTNATTKLEKSSKGSEVLSNAKEGSLKQSQSPLHANDKEPNQPDQSNGNSSRIGAGLNGMEDAIAKINHSNSADVKDNLDHQESSIGVRRVLSDGQFPVNADISDTLDAKWRGENGTVPDTSILKPLALLEGSADLKNQAKVVPTNASLSVRSGDTVEDLSSWLKMPYMTFYDSLNTNSGTAPRFGALADYNPVYITLFRELSQQGGARLFLPTGANDVVIPVFDDEPTSIISYALVSPMYCHQMLDENSKNKDGPDSSLPLPVYDSGNFNPFHLFEDFGSADDLASSISGTRGSFVPDLVHLRVPFEDGGPLGKVKYTVTCYYAKSFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGLDYFKYLSESISTGSPTSLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAPPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKSSSNLCQEDPQNASQE >ONIVA08G18240.1 pep chromosome:AWHD00000000:8:19690873:19697988:-1 gene:ONIVA08G18240 transcript:ONIVA08G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRWCKLQDRVSAVAPLHVDSALLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDELLEKHIKKELIESRRLVRVVSVSEDDGPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDKEDVDRLLFFCTNQDEDEAIGSGLYHHLPRWSASLVKDRVKGNIVSKPGLQPLAWRKGGVRKREEGKQDGHANRSGSISTSPSFTATVVLHCRTPPFLPILPDLGSLQCREAAKLALEEAADDVHLLILRRKDVGAFELELKEATANTPPPWSPTQGCDR >ONIVA08G18230.1 pep chromosome:AWHD00000000:8:19686145:19689111:1 gene:ONIVA08G18230 transcript:ONIVA08G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSRYQVSNDSTHVSGDTCKYHAISTTYCVILARYHVKPVRYQTISITYRVILTRYHAIPVMYQTISTTYRVILARYHVILVKYQTISTMYRAILARYRMIPTKYQLGIPLGRSTSTRTVGPLQPSLVDEDGDDGGRGQARQRRW >ONIVA08G18220.1 pep chromosome:AWHD00000000:8:19675066:19679663:-1 gene:ONIVA08G18220 transcript:ONIVA08G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAASMGRATATPAHGAHSRWPRAVARLRLAFRSPAPAASGGARWIGCFRPAPPAAVKEAKGKRPEVEKEPARGGGEDVWSAQAEAEVAQGGGFPEHLVVMVNGLVGSADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRRGVKKISFVAHSLGGLVARYAIGRLYEPNNKTKSSSEKSRDEGERLEGFIAGLEPMNFITFASPHLGSSGNKQTMMMADAHSSFKWLMTHRLLVRDEKYPHIVHVDKGATNSNEAEARSDLYDPEEEMIRGLTQVPWERVDVSFQKSSQRLVAHNTIQVKSYWLNSDGADVTVLIDNVIQLFRFHSKCF >ONIVA08G18220.2 pep chromosome:AWHD00000000:8:19676743:19679663:-1 gene:ONIVA08G18220 transcript:ONIVA08G18220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAASMGRATATPAHGAHSRWPRAVARLRLAFRSPAPAASGGARWIGCFRPAPPAAVKEAKGKRPEVEKEPARGGGEDVWSAQAEAEVAQGGGFPEHLVVMVNGLVGSADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRRGVKKISFVAHSLGGLVARYAIGRLYEPNNKTKSSSEKSRDEGERLEGFIAGLEPMNFITFASPHLGSSGNKQILPPAPFLMWPAFPGKKSFGNCTFACWENRKAFIPYRQ >ONIVA08G18220.3 pep chromosome:AWHD00000000:8:19675066:19676740:-1 gene:ONIVA08G18220 transcript:ONIVA08G18220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHSSFKWLMTHRLLVRDEKYPHIVHVDKGATNSNEAEARSDLYDPEEEMIRGLTQVPWERVDVSFQKSSQRLVAHNTIQVKSYWLNSDGADVTVLIDNVIQLFRFHSKCF >ONIVA08G18220.4 pep chromosome:AWHD00000000:8:19675221:19676740:-1 gene:ONIVA08G18220 transcript:ONIVA08G18220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHSSFKWLMTHRLLVRDEKYPHIVHVDKGATNSNEAEARSDLYDPEEEMIRGLTQVPWERVDVSFQKSSQRLVAHNTIQVKSYWLNSDGADVINHMMDNFIV >ONIVA08G18210.1 pep chromosome:AWHD00000000:8:19668416:19673289:-1 gene:ONIVA08G18210 transcript:ONIVA08G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: SGT1 (InterPro:IPR010770); Has 1807 Blast /.../o 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G65490) TAIR;Acc:AT5G65490] MATSASASTSSPFPFPARRPPDDTLFYAVYSLPLPTALPPPDLHAALRSLHLSLSSHLAPFLASHLFHRDAFALTIPPPDLTAATSPCALCASPPVPHLHGALRFGDSLADEWLGVSLLFELTRAFPSLAARAWDSDGEFLLIEAAFALPRWLDPDTAPNRVFIFRGELHILPPSLFPGTPSLDAALAAVHDDAVDTRAPDAVQAAIQRRIAGLPEKASENLHTVRVIVPVPVVKVLKEEPCLIARAVEGFYDRDIDTMKHAARIEKFLRGPGGEGVEMVRTSVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMLYQERRREGEEGKGSTWEVYRKSLEATGCFNGLLPGSKEYKRVMEDAMQYYKSSSLFSRTREILSAPVRRIDEILAMPYSAEEFEGSDLPPSDDDSWLYNGEDELAAELCARQQEMEEYETAKQYRKSQRKNVSGSSSSQSNEFNLGEIAESMQEFVRKMSSFEGAEVPANRKDMESVDLDVNQFFKAMESVLGRSKDEPGNEAGFDGKSSSSDIDLEDDSDYGSDFGEESGEKGMDNAFMESYSDALNKELSMTTIEKSFARAPHPDTSNEGPSGAADTDGEMTPVDVDLNLVESFLNSYSSQQGLPGPASNLLGLMGVKVPPDGKKP >ONIVA08G18210.2 pep chromosome:AWHD00000000:8:19668418:19673289:-1 gene:ONIVA08G18210 transcript:ONIVA08G18210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: SGT1 (InterPro:IPR010770); Has 1807 Blast /.../o 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G65490) TAIR;Acc:AT5G65490] MATSASASTSSPFPFPARRPPDDTLFYAVYSLPLPTALPPPDLHAALRSLHLSLSSHLAPFLASHLFHRDAFALTIPPPDLTAATSPCALCASPPVPHLHGALRFGDSLADEWLGVSLLFELTRAFPSLAARAWDSDGEFLLIEAAFALPRWLDPDTAPNRVFIFRGELHILPPSLFPGTPSLDAALAAVHDDAVDTRAPDAVQAAIQRRIAGLPEKASENLHTVRVIVPVPVVKVLKEEPCLIARAVEGFYDRDIDTMKHAARIEKFLRGPGGEGVEMVRTSVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMLYQERRREGEEGKGSTWEVYRKSLEATGCFNGLLPGSKEYKRVMEDAMQYYKSSSLFSRTREILSAPVRRIDEILAMPYSAEEFEGSDLPPSDDDSWLYNGEDELAAELCARQQEMEEYETAKQYRKSQRKNVSGSSSSQSNEFNLGEIAESMQEFVRKMSSFEGAEVPANRKDMESVDLDVNQFFKAMESVLGRSKDEPGNEAGFDGKSSSSDIDLEDDSDYGSDFGEESGEKGMDNAFMESYSDALNKELSMTTIEKSFARAPHPDTSNEGPSGAADTDGEMTPVDVDLNLVESFLNSYSSQQGLPGPASNLLGLMGVKVPPDGKKP >ONIVA08G18200.1 pep chromosome:AWHD00000000:8:19663169:19664291:-1 gene:ONIVA08G18200 transcript:ONIVA08G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSQAPRQWEKSPPNNRRKATTT >ONIVA08G18190.1 pep chromosome:AWHD00000000:8:19625764:19629888:-1 gene:ONIVA08G18190 transcript:ONIVA08G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTDAIHRLRSSLREPSSAPASASATPPFPSVADAVAAFDSRVGVGAAGATPRCGRCGAAGGLLRGEGSAVCAYCGCPRREGCGGVAAFRGSVAYRWLLGSLGLDGSEPVEFDNESTDSSKTKEALKNGMVLSDLLDLKLSFPPENKETSGSTENNEQSSAEHMLKLSGVNLDSFFAARMENTTTAAVPTQKHTVVQEKQSTDSHGSSSLEMRATYLTGTKTSSQNTNQIEVTPAFANWDADFQSASSESVTEDSKKSDLFNSASNVKASSFPAHVTAISPVVPSGNETYMRSTKLEDSKDLASASGMLVKDESNSGIFPENNIAEFTESSLSKSSAHSDQLPARGDTGVGIDEAFDDWQEFTGGNQGSLSNAGEHMEGPIESNPSEIKTVDTWPVSSMESSNNVTDNSVDDWQAFTSSSGQGGNSVKPIEGSAASQGGDVVKPVGQTASISFEHFSEANSVELWPVGNINELHNTKVVNETNDSFDDWQDFTTSGQGQGAPSNQVGGMIEVSRITQKETSDDSWFTTDVKEEINKDLVNTTNAMLDDFQSFSGSDLAPQSSSFVSGEMMNPSFGQHEGTDTVQSWLGGSNNMGTNMATTNSEDNSFDIWKDFTTSGHQKENISIFERKTTSTSSEPAKETDPMDLWLTSNAQESNSSKDANRINDSSGGWQDFANFGQKESMKIPGVGHSAKDSSSNSAELKNHEQINEDSDPFDDWQDFKNSHPLDTSLQVPSNSSFDNSLVRMPDALEGLEFGSFAQSVPSQRQRDNKENSNETNTVSSNHNLERMVGRQQTGDLGSLSTIWPTTSHDTQSVSKPESADANVERLLSQMHDLSFMLKDELSIPDKPVGHSKP >ONIVA08G18180.1 pep chromosome:AWHD00000000:8:19621674:19622447:1 gene:ONIVA08G18180 transcript:ONIVA08G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKQSAVDSSSISSQSPCMQPKHVFPRTSGTTVSCRLRSVCWPEALIGRWDWVGRRLTSTSPPQIAKASPASLPPDYCLCREP >ONIVA08G18170.1 pep chromosome:AWHD00000000:8:19619665:19622065:-1 gene:ONIVA08G18170 transcript:ONIVA08G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSDDNSLPPGFGTRPWLVQGSRGDTLTFVDVSDLSLHETVVPEVRGKTCLGCMHGDWLLMLDESTADCFLLRITTNPRTKIQLPPLHQPPLFLSTYEMLESPESANCTILVASSTEAEEECYLLHCHPGDEMWTKSVSPYDDISFSSLMRNYGGKIYDFASNLIAIDVIDGKIELQQLGTIKDEEEDSRRCGRYHIIESCGKLFLLWIDDLGCFYDDGLLTAIRVFCLNLETLSWERVEGIGNDRAFLISGTYAFSCPSIEGVLQGNCVYLVWSSCDSERLYKFCLDDMTISFHQILPQPTKSSSRAFWAIPASTQSISMPQESTIPNNLLWRKPSKDNPPNDFDEHKEDGQENSLRPWDHLPVELLELIVSNLSLVDRIRSPTVCKAWSEVLNPIEQAKVWPWLMHISKQDGTCKLFDPLRSENYNIQVTIFDTNEDRHIFRSSKDGWVLASAGIYGNDIFIINPFTEEIVEPPMLAFLYNYNGVSFSSSNPMCLDCAFFGINSSDSGKFLSTFTWQHGEPHWIEQEFEYNVSFPVGYNNPVMFDGKFYCLGRKGNLGVFDPTSNTWRILDKPEPIHVEMDLFEEDHIGREFCYLVDMDGELISVFLRNANELPRVFKLNRTEISWVEVEDIGGGALFLDYRSSYGVASPDGGNGNRIYFPRYSKDGKPVFYDMNKKTYSPSFYGVIEPLNCVWVVPNLRKNESTSED >ONIVA08G18160.1 pep chromosome:AWHD00000000:8:19608618:19616580:-1 gene:ONIVA08G18160 transcript:ONIVA08G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINTVTPGVRYAKSSSSFPYYDVPSIHKSSAPLFFSAIFVSSEFSPLRDCAFSHGVHRTVFLDVSPTAFLLTVFCCSCRYSICRDNSEWIMHQVLLILLYAVVVGHAEVPLLLPCHSLTQLLLLSLGAGVGKLSMQIGTEHQPLQINVQCANGSLENPLFLILQSKMNPIFILVPFHQINHSITDVSYKLGSPSLQQQLPKIVRLSLVQAAGKIYNLEEISAMPVSAFQGGVTYSAVAKVSSIMSSIKWYYIGCHRCDKGYKLPVTITDKSGSLDAVAFSFVAEDLVELDAAQASQNMKIDSVEHPFPISYVLKRSFSIDDTMPNPLLTSEKYQTT >ONIVA08G18150.1 pep chromosome:AWHD00000000:8:19605408:19607383:-1 gene:ONIVA08G18150 transcript:ONIVA08G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSKLRSFYQAVCSCCCRNECKFIPIPIVYYHCSSSYGLSLRSVSITFPSKAAAYLIVSSGISKLLRLLLKTDKYERLKRTLISSSILDELSVR >ONIVA08G18140.1 pep chromosome:AWHD00000000:8:19599861:19600639:-1 gene:ONIVA08G18140 transcript:ONIVA08G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSKHKLLSTCMSDQSFTREPFRRWKHCRGCIDNGGDLLLMLDEPTGDCFLLRLITGSRQSKILLPPLRQPAKSVGAFGVLGSTASFTVEAQARWRGEG >ONIVA08G18130.1 pep chromosome:AWHD00000000:8:19589645:19592386:-1 gene:ONIVA08G18130 transcript:ONIVA08G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNNFGGAVGSLPSGFGKKPWLVQAQGTETLSFVDILDRSLHVRVVPDLQGKLCLGCVHGGDWLLMVDEITGGCFLFCLSNSSTISLPPLREPLGDMGACVVLGSSPLNRDCTVVITSLPEPEESFLLHCHPGDEEWTKLMVPLGSDRLFGKLVNCAGQLYSLSSFRKLLTIDVIDDALHAKILNIEWESSCGHNFEPYIVESFGELFVVLASLYGYPYNYPLNGVSVYRLDRAESMLKKVDDIGTDRAFLISGHYGFSCTAMEGLVQGNCVYIVWSGYDCERIYKFCLDDMTISLQPILAHPTEDLRRGFWSVPAGIEATELVQSAPSIHCDTEVNVLNNFNKDEDAQATIKASWQDLPIEMLELIVSNLSLVDRLRFPSVCKQWSSVSNPVAQAKVWPWLMHCVRQDGACKMFDPLCGVEYSMKVGPFDANERQAFRFSKDGWVIVTQSDDNIFVINPFTKEIVKLSMASGWYRFTGISFSSVPTSPDCVFLGVCSSPKGDGIKVWTCRPNEEETEDNEIYYEEETEDEERDSEENEINYEEEADQDEEREAEENEINYNEEAEDEESETEEDYWSEFDFENDEVMFPVARNNPVYFRGEFYFLGQRGNLSVFNPGNNEWRILDKPEPIHADLTPYDEGKEACYMVELRGELIAVFHRNANEPPRVLKLDESKMEWVEIEDIGGGALFLDYRASIALPSSEAGHGNRIYFPKFSEDGKKAIFYDLEAKKYSPMFYGAKEPMNCVWFVPKLQSDEYS >ONIVA08G18120.1 pep chromosome:AWHD00000000:8:19576981:19577478:1 gene:ONIVA08G18120 transcript:ONIVA08G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPERRSSTTTTSSLLGSFRTAVKKVRFLLSFSATRWILSSIVGSRAGPRRRVSFGPAARPPSLLDYEGSAIVSPPARSGAPSRTASLGPSPARTVTRTSSAASSELLRTSSAGSSSSSPAGDGGGDDDIDRRAELFIANFYKHIQMERQVSLQLRYLDRTPSR >ONIVA08G18110.1 pep chromosome:AWHD00000000:8:19566134:19568195:-1 gene:ONIVA08G18110 transcript:ONIVA08G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEQFVFRSRLPDIAIPDHLPLHDYVFERLADRRDRACLIDGATGETLSFGDVDALSRRVAAGLSSIGVCHGSTVMLLLPNSVEFAVAFLASSRLGAVTTTANPLHTPPEIAKQVAASGATVVVTEPAFVAKVSGLAGVTVVATGDGAEGCASFAGLAAADGSALPEVAIDVASDAVALPYSSGTTGLPKGVMLSHRGLVTSVAQLVDGENPNLHLREDDVVLCVLPMFHVYSLHSILLCGMRAGAAIVVMKRFDTVKMLQLVERHGVTIAPLVPPIVVEMAKSDALDRHDLSSVRMVISGAAPMGKELQDIVHAKLPNAVLGQGYGMTEAGPVLSMCMAFAKEPTPVKSGACGTVVRNAELKIVDPDTGLSLPRNQPGEICIRGKQIMKGYLNNPEATEKTIDKDGWLHTGDIGFVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHAAVADAAVVPMKDDSCGEIPVAFVVARDGSGITEDEIKQYVAKQVVFYKRLHKIFFVDAIPKAPSGKILRKDLRAKLAAGIPAC >ONIVA08G18100.1 pep chromosome:AWHD00000000:8:19562078:19566458:1 gene:ONIVA08G18100 transcript:ONIVA08G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ICN9] MDEALVGRLEAAVSRLEALNGAAGATARGGYGGQVDHASAHDPAILAFDELVAGAVGRVSAAAGKIGAEVAEVTRVLEKAFLVGKDLLVRTKQTQKPTVDSIAVFMGPLNETILEANSLAEGTRSSHGNHLKAVAGSLAALAWIGYTGKGCGMPLPIAHVEESWQMAEFYSNKVLVEYKSKDPDHVEWAKALKELFVPSLRDYVKTFYPLGPVWQPPGSSTSKAPSAPCPPSASLFSSSAQSSQPKTGMSAVFAEISSGKSMTQGLRTVTADMKSKNRTDRTGVVTAEGKEAHKKPSSSSTKLPSKLELQMGRKWIVEHHVGNKNLVIEDCDTKQSIYVFGCKDSVLQVKGKVNNITIDKCSKMGLLFKGVVAACEIVNCNSIEVQCEGSVPTISIDNTSGCQLYLSKESLETSITTAKSSEINALVPDANSDGDWAEHSLPQQFIHAFKDGQFTTLPACHSGG >ONIVA08G18090.1 pep chromosome:AWHD00000000:8:19555216:19560525:1 gene:ONIVA08G18090 transcript:ONIVA08G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDITQVLLSAQSPDGATRKLAEESLKQFQEQNLPGFLFSLSNELANEEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDVGVKAQIKGLLLQTLSSPVASARSTSSQVIAKVAGIEIPQKQWPELIASLLSNIHQVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEGNSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSTDVKIRQAAFECLVAISSTYYDKLATYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKPDWRHREAATYAFGSILEGPSADKLAPLVNVALNFMLSALVNDPSNHVKDTTAWTLGRIFEFLHGSALETAPIITSENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYVDAGSASPLTPFFQDIIQSLLFVTHREDAGESRLRTAAYETLNEVVRCSIEETGPIVMQLVPVIMMELHQTLEAGKLSTDEREKRSELQGLLCGCLQVIIQKLGAMESTKYSFLQYADQMMELFLRVFACRNATVHEEAMLAIGALAYAAGPNFSKYMPQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHAAAADDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMQYAPNILNFLDALYNGKDMDDTVMKTAIGVLGDLADTLGVHAGPLINQSISSKKFLEECLASDDPLVKESADWARVAISRAVSG >ONIVA08G18080.1 pep chromosome:AWHD00000000:8:19551653:19552964:1 gene:ONIVA08G18080 transcript:ONIVA08G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0497) [Source:Projected from Arabidopsis thaliana (AT3G55390) TAIR;Acc:AT3G55390] MPSSSSPAAARNGGELSAAGEGGRKKAAGRRLAGVMLLLRLASLCFAVAAAAFASTDGAALRAAPFRFLLAANAIVAVYSAFEVAAAAWEVAGGATLLPEAMQLWFDFGHDQGFGYMALAAAAAAAREAATCGSHGGGGGTACVQGDIAVGLGFAGFAAVAAAAVASGYRLACFLATGSRSPASPSSSPY >ONIVA08G18070.1 pep chromosome:AWHD00000000:8:19545528:19546934:-1 gene:ONIVA08G18070 transcript:ONIVA08G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVATEPGTCLRRLPDDLVADEILTRLPARSLARFASVCGAWRAAISGDPSSFLRRRRKKEHSSSFLLLLYALVEDAERRLAFSNHVPFYRLRWPDDGGDGAPQLVHVSVFGDGGEEPTLSLPRTCDGLVLLPNGDDVHVINPATGDVLTLPQSSRVAAAADHSTGLGLDTRTNTYKVARYIHLSTAAAAAAAEDDGAAVMEVFTIGHGDAAAWRETTTPPPPSYPVCHVRTAIHSRGNLFWKLQLRRSPAAAAAGSFLLLRFNLAHETFTVVHHPRPPSHLPSADQNGEPPPPPPPLLSELDGELCLGLLVSGRQELWVYSNNGGGGGGGGGDDRWQWEQRFSIAVSGPDAMYLPLGVLRRHDRLLLQKGVHLYHHDSGGGEESVREVARMDEFEFEWSFPGEPYAFMVIPYTESLVRVTAARPINKTAAATATAGETAATMMATPERPKRARRANSRYIGDMWDV >ONIVA08G18060.1 pep chromosome:AWHD00000000:8:19542246:19542886:-1 gene:ONIVA08G18060 transcript:ONIVA08G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKINTTITTATTVLGVAPEKGHFEVMVGKAGESGEAAHGCSCGSSCKCNPCNC >ONIVA08G18050.1 pep chromosome:AWHD00000000:8:19530158:19537762:1 gene:ONIVA08G18050 transcript:ONIVA08G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHTRVALAAGAAAAAMAGLTGAGSQLETRFAEIICNEVLRKLEGLHLQLEPQLQPWAASPDSAALPTITSKFRDIIPLLRCNAEDSGCSSGGGGDLLSQVRTTDNVRQCLQDKVSKEEDSIETQTTSV >ONIVA08G18040.1 pep chromosome:AWHD00000000:8:19520465:19537852:-1 gene:ONIVA08G18040 transcript:ONIVA08G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVGVVFLLEGIITLLSPFLRVKTLFRFSDGRCLRFNAIFLLGDFVLKTLPYVVCGLFIRSRSCSFELGNDDLCFNLQLYCCKFLLSISHFIQLHKFLKSLQETQKFKMSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGVAPEKGHFEVMVGKAAESGEAAHGCSCGSSCKGSKLCFQRWVSLD >ONIVA08G18040.2 pep chromosome:AWHD00000000:8:19535158:19537852:-1 gene:ONIVA08G18040 transcript:ONIVA08G18040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVGVVFLLEGIITLLSPFLRVKTLFRFSDGRCLRFNAIFLLGDFVLKTLPYVVCGLFIRSRSCSFELGNDDLCFNLQLYCCKFLLSISHFIQLHKFLKSLQETQKFKMSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGVAPEKGHFEVMVGKAAESGEAAHGCSCGSSCKCNPCNC >ONIVA08G18030.1 pep chromosome:AWHD00000000:8:19518399:19521670:1 gene:ONIVA08G18030 transcript:ONIVA08G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPIRSVPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >ONIVA08G18020.1 pep chromosome:AWHD00000000:8:19495826:19500054:-1 gene:ONIVA08G18020 transcript:ONIVA08G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGPRRVGPTAQTGRRLGESGVGLAAEAEHADGGGGGGGVVTGHGGIPEEEHNGTAHMVLVGCLVQLGPIVFLSADGLALLDLAKTLILPSSISSNWSADDATPCTWKGVDCDEMSNVVSLNLSYSGLSGSLGPQIGLMKHLKVIDLSGNGISGPMPSSIGNCTKLEVLHLLRNRLSGILPDTLSNIEALRVFDLSRNSFTGEVNFRFENCKLEEFILSFNYLRGEIPVWIGNCSSLTQLAFVNNSITGQIPSSIGLLRNLSYLVLSQNSLSGTIPPEIGNCQLLIWLHLDANQLEGTIPKELANLRNLQKLYLFENCLTGEFPEDIWGIQSLLSVDIYKNNFTGQLPIVLAEMKQLQQITLFNNSFTGVIPQGLGVNSSLSVIDFINNSFVGTIPPKICSGGRLEVLNLGSNLLNGSIPSGIADCPTLRRVILNQNNLIGSIPQFVNCSSLNYIDLSYNLLSGDIPASLSKCINVTFVNWSWNKLAGLIPSEIGNLGNLSSLNLSGNRLYGELPVEISGCSKLYKLDLSYNSLNGSALTTVSSLKFLSQLRLQENKFSGGIPDSLSQLDMLIELQLGGNILGGSIPSSLGKLVKLGIALNLSRNGLVGDIPPLGNLVELQSLDLSFNNLTGGLASLGNLQFLYFLNVSYNMFSGPVPKNLVRFLNSTPSSFSGNADLCISCHENDSSCTGSNVLRPCGSMSKKSALTPLKVAMIVLGSVFAGAFLILCVLLKYNFKPKINSDLGILFQGSSSKLNEAVEVTENFNNKYIIGSGAHGIVYKAVLRSGEVYAVKKLVHAAHKGSNASMIRELRTLGQIRHRNLIRLNEFLFKHEYGLILYDFMENGSLYDVLHGTEPTPTLDWSIRYSIALGTAHGLAYLHNDCHPAIIHRDIKPKNILLDNDMVPHISDFGIAKLMDQYPAALQTTGIVGTIGYMAPEMAFSTKATTEFDVYSYGVVLLELITRKMAVDSSFPGNMDIVSWVSSKLNETNQIETICDPALITEVYGTHEMEEVRKLLSLALRCTAKEASQRPSMAVVVKELTDARHVAGSYSKQNSGPSNS >ONIVA08G18020.2 pep chromosome:AWHD00000000:8:19495828:19499565:-1 gene:ONIVA08G18020 transcript:ONIVA08G18020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGCLVQLGPIVFLSADGLALLDLAKTLILPSSISSNWSADDATPCTWKGVDCDEMSNVVSLNLSYSGLSGSLGPQIGLMKHLKVIDLSGNGISGPMPSSIGNCTKLEVLHLLRNRLSGILPDTLSNIEALRVFDLSRNSFTGEVNFRFENCKLEEFILSFNYLRGEIPVWIGNCSSLTQLAFVNNSITGQIPSSIGLLRNLSYLVLSQNSLSGTIPPEIGNCQLLIWLHLDANQLEGTIPKELANLRNLQKLYLFENCLTGEFPEDIWGIQSLLSVDIYKNNFTGQLPIVLAEMKQLQQITLFNNSFTGVIPQGLGVNSSLSVIDFINNSFVGTIPPKICSGGRLEVLNLGSNLLNGSIPSGIADCPTLRRVILNQNNLIGSIPQFVNCSSLNYIDLSYNLLSGDIPASLSKCINVTFVNWSWNKLAGLIPSEIGNLGNLSSLNLSGNRLYGELPVEISGCSKLYKLDLSYNSLNGSALTTVSSLKFLSQLRLQENKFSGGIPDSLSQLDMLIELQLGGNILGGSIPSSLGKLVKLGIALNLSRNGLVGDIPPLGNLVELQSLDLSFNNLTGGLASLGNLQFLYFLNVSYNMFSGPVPKNLVRFLNSTPSSFSGNADLCISCHENDSSCTGSNVLRPCGSMSKKSALTPLKVAMIVLGSVFAGAFLILCVLLKYNFKPKINSDLGILFQGSSSKLNEAVEVTENFNNKYIIGSGAHGIVYKAVLRSGEVYAVKKLVHAAHKGSNASMIRELRTLGQIRHRNLIRLNEFLFKHEYGLILYDFMENGSLYDVLHGTEPTPTLDWSIRYSIALGTAHGLAYLHNDCHPAIIHRDIKPKNILLDNDMVPHISDFGIAKLMDQYPAALQTTGIVGTIGYMAPEMAFSTKATTEFDVYSYGVVLLELITRKMAVDSSFPGNMDIVSWVSSKLNETNQIETICDPALITEVYGTHEMEEVRKLLSLALRCTAKEASQRPSMAVVVKELTDARHVAGSYSKQNSGPSNS >ONIVA08G18010.1 pep chromosome:AWHD00000000:8:19491569:19493038:1 gene:ONIVA08G18010 transcript:ONIVA08G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAVHGGRNGSTREHGRDEAAIAPTAFTGETVVIVHFLSTGSGYRISFSRRPTQGRLGSRRSGHPFPPPSSFSASPSPERPAAKPRSRKDGGGGASRQLAQAARGVTLGGGDDNHQICVASAGSSGVAAGSWEGIGNEGNGDSDRDSDGDGSLGNRRRRWRRPRIRWQGTQIWHLCAGSARWRRGRAGAAWCGWQEDGATVGDGGDGPARCGGVAWETCGDDGDSGQPTRGAAAEAVCVEAQLVTPEADKAWPVTAAVRGGEAEVPVRHDKACRCGDSGEVLVEASSVIRDRW >ONIVA08G18000.1 pep chromosome:AWHD00000000:8:19486370:19495791:-1 gene:ONIVA08G18000 transcript:ONIVA08G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRCNLKFPSRMRVCETALVAVAWSRPVRRPHTRHYYDNHLHHHHHQRRQEQSHAHLILSTEMGAIAASSLPCSRVLPFLPPWTANSAIRAVLASIFALFWHKMRLVVWHRDLILPHSISSTWKASDTTPCNWDGVSCNKKNSVVSLDLSSSGVSGSLGPQIGLMKSLQVLSLSNNSISGSIPLELGNCSMLDQLDLSSNSFSGEIPASLGDIKKLSSLSLYSNSLTGEIPEGLFKNQFLEQVYLHYNKLSGSIPLTVGEMTSLRYLWLHGNKLSGVLPDSIGNCTKLEELYLLDNQLSGSLPKTLSYIKGLKIFDITANSFTGEITFSFEDCKLEISNEIPSWLGNCSSLTQLALVNNNISGQIPLSLGLLRNLSQLLLSENSLSGPIPPEIGNCQLLVWLELDANQLNGTVPKELANLRKLEKLFLFENRLIGEFPEDIWSIKSLQSVLIYENSFTGRLPPVLAELKFLKNITLFNNFFTGVIPPDLGVNSRLTQIDFTNNSFVGGIPPNICSGKRLRILDLGLNLLNGSIPSNVMDCPSLERFILQNNNLSGLIPQFRNCANLSYIDLSHNSLSGNIPASLGRCVNITMIKWSENKLVGPIPSEIRDLVNLRVLNLSQNSLQGVLPVQISSCSKLYLLDLSFNSLNGSALTTVSNLKFLSQLRLQENKFSGGIPDSLSQLDMLIELQLGGNVLGGSIPSSLGRLVKLGIALNISSNGLVGGIPPLLSNLVELQSLDLSLNGLTGDLDMLGNLQLLHVLNVSYNRFSGPVPENLLNFLVSSPSSFNGNPDLCISCHTNGSYCKGSNVLKPCGETKKLHKHVKIAVIVIGSLFVGAVSILILSCILLKFYHPKTKNLESVSTLFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKATLRSGEVYAVKKLAISAQKGSYKSMMRELKTLGKIKHRNLIKLKEFWLRSEYGFMLYVYMEQGSLQDVLHGIQPPPSLDWSVRYTIALGTAHGLAYLHDDCQPAIIHRDIKPSNILLNGDMVPHIADFGIAKLMDQSSSAPQTTGVIGTFGYMAPELAFSTRSSIESDVYSYGVILLELLTKKQAVDPSFPDNMDIVGWVTATLNGTDQIELVCDSTLMEEVYGTVEIEEVSKVLSLALRCAAKEASRRPPMADVVKELTDVRKSAGKLSKPEKTASRSSS >ONIVA08G18000.2 pep chromosome:AWHD00000000:8:19486370:19495791:-1 gene:ONIVA08G18000 transcript:ONIVA08G18000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRCNLKFPSRMRVCETALVAVAWSRPVRRPHTRHYYDNHLHHHHHQRRQEQSHAHLILSTEMGAIAASSLPCSRVLPFLPPWTANSAIRAVLASIFALFWHKMRLVVWHRFFFFFFTSVSSSWSLTSDGLALLSLSRDLILPHSISSTWKASDTTPCNWDGVSCNKKNSVVSLDLSSSGVSGSLGPQIGLMKSLQVLSLSNNSISGSIPLELGNCSMLDQLDLSSNSFSGEIPASLGDIKKLSSLSLYSNSLTGEIPEGLFKNQFLEQVYLHYNKLSGSIPLTVGEMTSLRYLWLHGNKLSGVLPDSIGNCTKLEELYLLDNQLSGSLPKTLSYIKGLKIFDITANSFTGEITFSFEDCKLEISNEIPSWLGNCSSLTQLALVNNNISGQIPLSLGLLRNLSQLLLSENSLSGPIPPEIGNCQLLVWLELDANQLNGTVPKELANLRKLEKLFLFENRLIGEFPEDIWSIKSLQSVLIYENSFTGRLPPVLAELKFLKNITLFNNFFTGVIPPDLGVNSRLTQIDFTNNSFVGGIPPNICSGKRLRILDLGLNLLNGSIPSNVMDCPSLERFILQNNNLSGLIPQFRNCANLSYIDLSHNSLSGNIPASLGRCVNITMIKWSENKLVGPIPSEIRDLVNLRVLNLSQNSLQGVLPVQISSCSKLYLLDLSFNSLNGSALTTVSNLKFLSQLRLQENKFSGGIPDSLSQLDMLIELQLGGNVLGGSIPSSLGRLVKLGIALNISSNGLVGGIPPLLSNLVELQSLDLSLNGLTGDLDMLGNLQLLHVLNVSYNRFSGPVPENLLNFLVSSPSSFNGNPDLCISCHTNGSYCKGSNVLKPCGETKKLHKHVKIAVIVIGSLFVGAVSILILSCILLKFYHPKTKNLESVSTLFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKATLRSGEVYAVKKLAISAQKGSYKSMMRELKTLGKIKHRNLIKLKEFWLRSEYGFMLYVYMEQGSLQDVLHGIQPPPSLDWSVRYTIALGTAHGLAYLHDDCQPAIIHRDIKPSNILLNGDMVPHIADFGIAKLMDQSSSAPQTTGVIGTFGYMAPELAFSTRSSIESDVYSYGVILLELLTKKQAVDPSFPDNMDIVGWVTATLNGTDQIELVCDSTLMEEVYGTVEIEEVSKVLSLALRCAAKEASRRPPMADVVKELTDVRKSAGKLSKPEKTASRSSS >ONIVA08G18000.3 pep chromosome:AWHD00000000:8:19490167:19495791:-1 gene:ONIVA08G18000 transcript:ONIVA08G18000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRCNLKFPSRMRVCETALVAVAWSRPVRRPHTRHYYDNHLHHHHHQRRQEQSHAHLILSTEMGAIAASSLPCSRVLPFLPPWTANSAIRAVLASIFALFCFC >ONIVA08G18000.4 pep chromosome:AWHD00000000:8:19486370:19490138:-1 gene:ONIVA08G18000 transcript:ONIVA08G18000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVVWHRFFFFFFTSVSSSWSLTSDGLALLSLSRDLILPHSISSTWKASDTTPCNWDGVSCNKKNSVVSLDLSSSGVSGSLGPQIGLMKSLQVLSLSNNSISGSIPLELGNCSMLDQLDLSSNSFSGEIPASLGDIKKLSSLSLYSNSLTGEIPEGLFKNQFLEQVYLHYNKLSGSIPLTVGEMTSLRYLWLHGNKLSGVLPDSIGNCTKLEELYLLDNQLSGSLPKTLSYIKGLKIFDITANSFTGEITFSFEDCKLEVFILSFNQISNEIPSWLGNCSSLTQLALVNNNISGQIPLSLGLLRNLSQLLLSENSLSGPIPPEIGNCQLLVWLELDANQLNGTVPKELANLRKLEKLFLFENRLIGEFPEDIWSIKSLQSVLIYENSFTGRLPPVLAELKFLKNITLFNNFFTGVIPPDLGVNSRLTQIDFTNNSFVGGIPPNICSGKRLRILDLGLNLLNGSIPSNVMDCPSLERFILQNNNLSGLIPQFRNCANLSYIDLSHNSLSGNIPASLGRCVNITMIKWSENKLVGPIPSEIRDLVNLRVLNLSQNSLQGVLPVQISSCSKLYLLDLSFNSLNGSALTTVSNLKFLSQLRLQENKFSGGIPDSLSQLDMLIELQLGGNVLGGSIPSSLGRLVKLGIALNISSNGLVGGIPPLLSNLVELQSLDLSLNGLTGDLDMLGNLQLLHVLNVSYNRFSGPVPENLLNFLVSSPSSFNGNPDLCISCHTNGSYCKGSNVLKPCGETKKLHKHVKIAVIVIGSLFVGAVSILILSCILLKFYHPKTKNLESVSTLFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKATLRSGEVYAVKKLAISAQKGSYKSMMRELKTLGKIKHRNLIKLKEFWLRSEYGFMLYVYMEQGSLQDVLHGIQPPPSLDWSVRYTIALGTAHGLAYLHDDCQPAIIHRDIKPSNILLNGDMVPHIADFGIAKLMDQSSSAPQTTGVIGTFGYMAPELAFSTRSSIESDVYSYGVILLELLTKKQAVDPSFPDNMDIVGWVTATLNGTDQIELVCDSTLMEEVYGTVEIEEVSKVLSLALRCAAKEASRRPPMADVVKELTDVRKSAGKLSKPEKTASRSSS >ONIVA08G17990.1 pep chromosome:AWHD00000000:8:19485276:19485539:-1 gene:ONIVA08G17990 transcript:ONIVA08G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEAKDVHDHPGAANVLAVATARSRMLVRAPLAGGLSPLEALLPPPHLRNAELLRLPMRPMPLRRSQQWGRNAAVVAVRFPPSMSS >ONIVA08G17980.1 pep chromosome:AWHD00000000:8:19483109:19484944:1 gene:ONIVA08G17980 transcript:ONIVA08G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASTATADDNAMVANQATAVPAGRSPSSPVSFTTATGGTTTGSTGGEGETTTGSGSGDGRALLSLPHWPLPLPSLPQILQHHSRAVARMQHSDGECLDVNCLLHHQEKQYGGTRLVDGTTEE >ONIVA08G17970.1 pep chromosome:AWHD00000000:8:19477695:19479552:-1 gene:ONIVA08G17970 transcript:ONIVA08G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPLDSIDGPTPPRWYMNGGRQAPKQRNPLERILQALEPNSVPSATVCPSLSFARSPTPAALRNCTDSHRRFRFA >ONIVA08G17960.1 pep chromosome:AWHD00000000:8:19428922:19432932:1 gene:ONIVA08G17960 transcript:ONIVA08G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPNSGDEGGAPPPTPPPPGARRVVVAHRLPLRADPNPGAPHGFDFSLDPHALPLQLSHGVPRPVVFVGVLPSAVAEAVQASDELAADLLARFSCYPVFLPAKLHADFYDGFCKHYMWPHLHYLLPLAPSYGSGGGLPFNGDLYRAFLTVNTHFAERVFELLNPDEDLVFVHDYHLWAFPTFLRHKSPRARIGFFLHSPFPSSELFRAIPVREDLLRALLNADLVGFHTFDYARHFLSACSRVLGLSNRSRRGYIGIEYFGRTVVVKILSVGIDMGQLRAVLPLPETVAKANEIADKYRGRQLMLGVDDMDLFKGIGLKLLAMERLLESRADLRGQVVLVQINNPARSLGRDVDEVRAEVLAIRDRINARFGWAGYEPVVVIDGAMPMHDKVAFYTSADICIVNAVRDGLNRIPYFYTVCRQEGPVPTAPAGKPRQSAIIVSEFVGCSPSLSGAIRVNPWNVDDVADAMNTALRMSDGEKQLRQEKHYRYVSTHDVVYWAQSFDQDLQKACKDNSSMVILNFGLGMGFRVVALGPSFKKLSPELIDQAYRQTGNRLILLDYDGTVMPQGLINKAPSEEVIRTLNELCSDPMNTVFVVSGRGKDELAEWFAPCDEKLGISAEHGYFTRWSRDSPWESCKLVTHFNWKNIAGPVMKHYSDATDGSYIEVKETSLVWHYEEADPDFGSCQAKELQDHLQNVLANEPVFVKSGHQIVEVNPQGVGKGVAVRNLISTMGNRGSLPDFILCVGDDRSDEDMFEAMISPSPAFPETAQIFPCTVGNKPSLAKYYLDDPADVVKMLQGLTDSPTQQQPRPPVSFENSLDD >ONIVA08G17950.1 pep chromosome:AWHD00000000:8:19421966:19428899:1 gene:ONIVA08G17950 transcript:ONIVA08G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSRWRRLDPRRAGGHALGLSKKLGARKLLELDSIIKRNKDTNRGRVRLTVQAVKTRFGREAPAVSLVCPRGAAKANK >ONIVA08G17940.1 pep chromosome:AWHD00000000:8:19415222:19416135:1 gene:ONIVA08G17940 transcript:ONIVA08G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIHAPNFVCQATIVLGLWAFYSLLDAVPSLRCIITISAGINHIDLRESSTPAGSTPPMSPTTLSALFDVLVVACALTAETQHIWTGGVLDALGEHRVVARFCYREQLDLHRVAAANADEKR >ONIVA08G17930.1 pep chromosome:AWHD00000000:8:19407007:19408509:1 gene:ONIVA08G17930 transcript:ONIVA08G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYTMHAHINYTALPPTSPLQLPLPYLPPPPPPPQPPLLQLQPPPPSSPVSFDTAFQSRISPSILLIILILAVIFFVSGLLHLLVRFILRPAPRDAGDADSGDANVTAFQGQLQQLFHLHDAGVDQSFIDTLPVFLYGAVVGAGRKDPFDCAVCLCEFADDDRLRLLPKCSHAFHVDCIDTWLLSHSTCPLCRRSLLADFSPYGGGCSPLLFVLESGSEGSISDRLDAASSAHLSLVMEQEEEAEPEQKHAEAAEKADEVVVSVKLGKFRSQATEVAAAGGGGGSSSANPEQDVRRCYSMGTYEYVMDERSLLRVAVKPPTKKRPTTQMPGHRVAMSECDCHSKRESFRGLDALPGGKLAQAQPPKPPAKVGKKESFSFSKIWMRGAPRIRKDGASSRRASSFRLSSVLQRAASDVGATAAPKPLRPDVVSPVTESEYNVSAWDKSEKSASGSVADWDLESAAATAAVPGAGAGNGLSSRADEAPSFARRTLLWIRGHL >ONIVA08G17920.1 pep chromosome:AWHD00000000:8:19370391:19370672:-1 gene:ONIVA08G17920 transcript:ONIVA08G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSMVAVGRSNGRSSGGGMVSLRRRRPFQLMVLRRLRELKKIVPATSRRSSGGRGGGGADVDALLHRAAEYICALEVKVAVLRKLSAIYGA >ONIVA08G17910.1 pep chromosome:AWHD00000000:8:19360532:19366108:1 gene:ONIVA08G17910 transcript:ONIVA08G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTSNLLEPSDGRPHHAGATRWQEGSKQENVLSHLPATHPSFLAEAQLSRGIWSLELRPASSMLDMMCGAYLELTELAVDLSKKVGDQEERVVEEETNRIWRRDQKKIVTGGIWSRGPIPLGRRPKLTVRFHVFSARGFVARHVSPSKFWKPPERATLIPSSLLVSSSSALPDCHLPRFPSLAVASTQIRKTLGQRTGIRNTARNSGARATRSTSMEKKELLGVRKSPPLTKRRRKVTAGGAGGGSMAKAIAAYLASDSFMYAPLVSNSPPPPPPPPSSPPAGGGSSDKMVALVQKYRGSWRGALAFNIEESEHQRRQRLAAWRARCATSDCHLYSCCDQEMLMINRVQANAEHMLLQPEPCLRWIVQIVEPHKQQAQLLTHGWAPGAAKKAIPLFASFA >ONIVA08G17900.1 pep chromosome:AWHD00000000:8:19352475:19356369:-1 gene:ONIVA08G17900 transcript:ONIVA08G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPMLAYFSIAENESIGRERYNFMQKMLLPCGLPPERDED >ONIVA08G17890.1 pep chromosome:AWHD00000000:8:19351326:19351925:-1 gene:ONIVA08G17890 transcript:ONIVA08G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWVLCVVARLGDLGEPEAERGEDEDVAGLDAREQAVGVHDAEGEHGQGELLPLHADEELLPLLHATTGAIGANNGKAGGEEDVLEEVWKAWCRREARRWPSSWRGEGVRWRMRRERRSGVSWGRKREREAGGGRRHGGEVAMEGGYEEEVRSSPASGGRVKCGSSSVGVGAGIANGDGLEVSREAGCHHQRTRWGGR >ONIVA08G17880.1 pep chromosome:AWHD00000000:8:19347047:19347880:1 gene:ONIVA08G17880 transcript:ONIVA08G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNNVIGALNLVTLLLSAPILGGGIWMATRGDGGECDRHLSSPAIALGAILMAVSLAGLVGACCRVTWLLWVYLLAMFALIVALLGFTAFAFAVTNRGAGEAVSGRGYREYRLGDYSTWLRRHVGSSKNWDKIRSCLAGADVCRSLQDRNETWAQFVADDLSPVQSGCCKPPTSCNFTYGGGTRWGKTARLSSADPDCDEWSNDADEVCYGCRSCKAGVVAALKRDWKRVAIVNVVFLAFIVVVYSVGCCAFKNSRRDSVHRRSGGWKQAGYA >ONIVA08G17870.1 pep chromosome:AWHD00000000:8:19328146:19345343:-1 gene:ONIVA08G17870 transcript:ONIVA08G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLPALRPTPPASNFPALLPTPPRSQMLPLLPTPCLIILPASFRAPPASDPKPGRADAVERWDARKEAGSSAASSSSSSSSSGLPCRADSCERWDAHKNKKAGGSAASSSSRARGGISPGRADSYERWDAHKTPGSPASSTGSSRSPCRADSVERWDSNKRPLSRASSAERWDINKKPRPKKDAVGSGKNNSTSQTTTMVKPPQPFSQFSGPAFFASPDPGMLPIPPSCWLTTMAAAVLPALRPTPPASNFPALLPTPPRSQMLPLLPTPCLIILPASFRAPPASNPKPGRADAVERWDAHKKPGYSVASSSSSSSEIPCRADACERWDANKNKKAGGSAASSSTSKASGGNPGRADSCERWDAHKTPGSPASSTGSTGSPCRADSVERWDSSKRPLSRASSTAERWDINKKPRPAVGSGKSNSTSRTMKTTTSAQMISKSQTETMVKAPLALLPFSGPAYFSAPDPSMLPCPPSCWLASYS >ONIVA08G17860.1 pep chromosome:AWHD00000000:8:19327376:19328143:-1 gene:ONIVA08G17860 transcript:ONIVA08G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLPSLLPTPPASKLPALLPTPPRSQMLPLLPTPCLIILPASFRAPPVSDPKPGRADAVERWDARKKAGGSAASSSSSSSGSAIPCRADACERWDAHKNKKAGVSAASSSTSSRASGGSPGRADSCERWDAHKTPGSPASSTGSNGSACRSDSVERWDSSKRSLSRASSAERWDINKKPRPEEDYALCSGKSNSTSRTMKTTTSAQMISKPHTETLVKPPPALLPFAGPAAYFSAPDPSMLPMPTFLLARCR >ONIVA08G17850.1 pep chromosome:AWHD00000000:8:19314880:19315542:-1 gene:ONIVA08G17850 transcript:ONIVA08G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAGVVLGVAVVAAAVLAAEGRAARKDLGVNLGGGLGVGGGGGLGGGLGLGSGIGVGIGGGGGGGGGGSGSASGSGSGSYSGSGSGSGSGSGSGSWSGSSSGSSSRSGGGGSSAGSSAESGAGSNAGPGGAGSYAGSRAGSYAGSNGGDGGSGAGSYAGSSAGSYAGSNGGGAGSYAGSEAGSYAGSGAGPHGGSGAGSGSYAGSRAGSYAGSGHGK >ONIVA08G17840.1 pep chromosome:AWHD00000000:8:19302368:19307190:-1 gene:ONIVA08G17840 transcript:ONIVA08G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLFLLLFFLVVSYAPFLAFSSEPLNPEVEALIAIRQGLVDPHGVLNNWDEDSVDPCSWAMVTCSAHNLVIGLGAPSQGLSGTLSGRMANLTNLEQVLLQNNNITGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRLSTLRYLRLNNNSLSGAFPSSLAKIPQLSFLDLSYNNLTGPVPHFPTRTFNVVGNPMICGSSSGSHAGNANAAECATVVAPVTVPFPLDSTPSSSSSSSSRAAAAAVGRSKGGGGAARLPIGVGTSLGASALVLLAVSCFLWRRRRRHRCLLSGPSSVLGILEKGRDVEDGGGGEVMARLGNVRQFGLRELHAATDGFSARNILGKGGFGDVYRGRLSDGTVVAVKRLKDPTASGEAQFRTEVEMISLAVHRHLLRLVGFCAAASGERLLVYPYMPNGSVASRLRGKPPLDWQTRKRIAVGTARGLLYLHEQCDPKIIHRDVKAANVLLDECHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALEVGKGSGVIQHQKGVMLDWVRKVHQEKLHDLLVDQDLGPHYDRIEVAEMVQVALLCTQFQPSHRPRMSEVVRMLEGDGLAEKWEANHRPAAMAAAAAPHELGYDHRNDSNGSVFFNDFHDNDSSLSSDEVRSIDMVEEMELSGPR >ONIVA08G17830.1 pep chromosome:AWHD00000000:8:19292603:19301100:1 gene:ONIVA08G17830 transcript:ONIVA08G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPGPAPGTSSSSSSLPSRRRRRPPPHLGRRRGGDDQQPPHPPKASSEALPCGASPPARRRGGDHQPPHPPEAALEALRGAASPPARRRGGDLHPSHPPEAASEALRGAASPPERRRGGDRQPSHPTEAASEAPSGSASPPARRRGGDQQPPPAVAVAVTSEGGVGPRRSFRISLRHRVRVVPWVKPPVARKPKDPAKPPRPSIEALAAEWAKEKAASGAPEEECVLPFLQKDAPKKLDIVKVLGLDGFGYMDTVILSLKSYRKNIPITVGNFVIVMQSCIRLVLKKVMDAVLDMMQVQQMEAFRRLPLPHTFQEFNIDPIKKEELDNGTEPPPYKIKIIPTGLYWLAALGLVTAQMSALTNHFADRKRLRSLSGILLQTQYCGWGSRALEAIEKDDFVIEFVGEVIDDETCEERLEDMRRRGDKNFYMCKVKKDFVIDATFKGNDCRFFNHSCEPNCQLQKWQVNGKTRLGVFASKAIEVGEPLTFDYRFEQHYGPEIECFCGAQNCQGNMSVSGKD >ONIVA08G17820.1 pep chromosome:AWHD00000000:8:19287750:19292242:1 gene:ONIVA08G17820 transcript:ONIVA08G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRSNGETAAASSDDSSSGVCGGGGGGSGEVEPRRRQKRPRRSAPRDCPSQRSSAFRGVTRHRWTGRFEAHLWDKNTWNESQSKKGRQGAYDGEEAAARAYDLAALKYWGHDTVLNFPLSTYDEELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGKWEARIGRVFGNKYLYLGTYATQEEAAVAYDIAAIEHRGLNAVTNFDINLYIRWYHGSCRSSSAAAATTIEDDDFAEAIAAALQGVDEQPSSSPATTRQLQTADDDDDDLVAQLPPQLRPLARAASTSPIGLLLRSPKFKEIIEQAAAAAASSSGSSSSSSTDSPSSSSSSSLSPSPLPSPPPQQQPTVPKDDQYNVDMSSVAAARCSFPDDVQTYFGLDDDGFGYPEVDTFLFGDLGAYAAPMFQFELDV >ONIVA08G17810.1 pep chromosome:AWHD00000000:8:19273450:19281402:1 gene:ONIVA08G17810 transcript:ONIVA08G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDTQLQKAIFAQYIMMKKLFMELEEEREASATAASAALSMIRKLQKEKEEQRMEAWQYKRIAEEKISHSDKALEILQEVLQQKELENFYLRNQLLVYKHKLLDVGIDECDIADETITNNIPLFESKTVENLCRNIKRNFSLPTLQMKKLFAEKDTDRNVESAKSRLGGFVCNLSEDELKHISGNASDFKALEVQKSLLTDGDATEEHGEEPNPPSSDLSQQPHLLEESSCSSSLLSNHRDTSSERAIQVGENAEDTQHGDQLKQSHSGIGKEEVQSHPLEESSSCSSFSTSGNHGDICSEREMQVGKNAEDAPHGDQTKESHSGIEAEEVAVHPISDIVDTIKIQERSQGVEESSCTTNEIITKESELSPKDVPKERRPQALSKLSATRKVGSMNNLYRNVHVITDKSSTPRGKNSTPRAGTEKTRSRLKRVQSEKMVEMNDTRKNKEQIIMLKEVYEQLNMIESHMRPSTSQETPRNDQSLESVLEAALSFSL >ONIVA08G17810.2 pep chromosome:AWHD00000000:8:19274217:19281402:1 gene:ONIVA08G17810 transcript:ONIVA08G17810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDTQLQKAIFAQYIMMKKLFMELEEEREASATAASAALSMIRKLQKEKEEQRMEAWQYKRIAEEKISHSDKALEILQEVLQQKELENFYLRNQLLVYKHKLLDVGIDECDIADETITNNIPLFESKTVENLCRNIKRNFSLPTLQMKKLFAEKDTDRNVESAKSRLGGFVCNLSEDELKHISGNASDFKALEVQKSLLTDGDATEEHGEEPNPPSSDLSQQPHLLEESSCSSSLLSNHRDTSSERAIQVGENAEDTQHGDQLKQSHSGIGKEEVQSHPLEESSSCSSFSTSGNHGDICSEREMQVGKNAEDAPHGDQTKESHSGIEAEEVAVHPISDIVDTIKIQERSQGVEESSCTTNEIITKESELSPKDVPKERRPQALSKLSATRKVGSMNNLYRNVHVITDKSSTPRGKNSTPRAGTEKTRSRLKRVQSEKMVEMNDTRKNKEQIIMLKEVYEQLNMIESHMRPSTSQETPRNDQSLESVLEAALSFSL >ONIVA08G17810.3 pep chromosome:AWHD00000000:8:19274217:19281402:1 gene:ONIVA08G17810 transcript:ONIVA08G17810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDTQLQKAIFAQYIMMKKLFMELEEEREASATAASAALSMIRKLQKEKEEQRMEAWQYKRIAEEKISHSDKALEILQEVLQQKELENFYLRNQLLVYKHKLLDVGIDECDIADETITNNIPLFESKTVENLCRNIKRNFSLPTLQMKKLFAEKDTDRNVESAKSRLGGFVCNLSEDELKHISGNASDFKALEVQKSLLTDGDATEEHGEEPNPPSSDLSQQPHLLEESSCSSSLLSNHRDTSSERAIQVGENAEDTQHGDQLKQSHSGIGKEEVQSHPLEESSSCSSFSTSGNHGDICSEREMQVGKNAEDAPHGDQTKESHSGIEAEEVAVHPISDIVDTIKIQERSQGVEESSCTTNEIITKESELSPKDVPKERRPQALSKLSATRKVGSMNNLYRNVHVITDKSSTPRGKNSTPRAGTEKTRSRLKRVQSEKMVEMNDTRKNKEQIIMLKEVYEQLNMIESHMRPSTSQETPRNDQSLESVLEAALSFSL >ONIVA08G17810.4 pep chromosome:AWHD00000000:8:19273450:19281402:1 gene:ONIVA08G17810 transcript:ONIVA08G17810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDTQLQKAIFAQYIMMKKLFMELEEEREASATAASAALSMIRKLQKEKEEQRMEAWQYKRIAEEKISHSDKALEILQEVLQQKELENFYLRNQLLVYKHKLLDVGIDECDIADETITNNIPLFESKTVENLCRNIKRNFSLPTLQMKKLFAEKDTDRNVESAKSRLGGFVCNLSEDELKHISGNASDFKALEVQKSLLTDGDATEEHGEEPNPPSSDLSQQPHLLEESSCSSSLLSNHRDTSSERAIQVGENAEDTQHGDQLKQSHSGIGKEEVQSHPLEESSSCSSFSTSGNHGDICSEREMQVGKNAEDAPHGDQTKESHSGIEAEEVAVHPISDIVDTIKIQERSQGVEESSCTTNEIITKESELSPKDVPKERRPQALSKLSATRKVGSMNNLYRNVHVITDKSSTPRGKNSTPRAGTEKTRSRLKRVQSEKMVEMNDTRKNKEQIIMLKEVYEQLNMIESHMRPSTSQETPRNDQSLESVLEAALSFSL >ONIVA08G17800.1 pep chromosome:AWHD00000000:8:19272328:19273733:-1 gene:ONIVA08G17800 transcript:ONIVA08G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTVFLLLSLVCLASPCAAQRVICEAPPCTASSQVKTTPTNRASHVRLPEGVTGAESLAFDSSNRGPFTGVSDGRVLKWGGDSAGWTTFAYSPNYRSSPTCAASSEETESTCGRPLGLAFHLKTGILYFADAYKGLMRVGPRGGQADVLATEADGVPFNYLNGVDVDQDTGDVYFTDSSTTITRRYQENIMRNRDATARLMKYDAKTKQVTVLKDRLPYANGVAVSHDGRYLVVAHTGPAQVFRYWLKGAKAGQYELFADLPGYPDNVRRDAKGGYWVGLNREKITFNVPAAAAAASPAKHLVGVRLNGDGVEVEELTAASRAVTLSEVVERDRKLWLGSVDLDYVGLLQ >ONIVA08G17790.1 pep chromosome:AWHD00000000:8:19263793:19265524:-1 gene:ONIVA08G17790 transcript:ONIVA08G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHARKSAGVRRTATARDPIAATNLRSLARGEEADEAAAAATRRSGNGGGSSRRDPRSSRVYPGGWRRLVVDLSGDIAC >ONIVA08G17780.1 pep chromosome:AWHD00000000:8:19260051:19263717:-1 gene:ONIVA08G17780 transcript:ONIVA08G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKTAGRKAAGTVDCALRQPLVPPEKNIAAPAGRRREVASRFKSGGTPAPQAAASSVRRCTSPSLSRASAAEGTASTNRAQSAERRRSSTPSSSSTPSGGGGGAASRPRTPVRVAAATEVHGISRRAASTKPPDGLWASARSVPPLLQKESMAMATSAKKRHKLADGSSSDQTKVQARNVTETERKRSPLRGRNIGNQCENARPSETPNKRVVEQHRWPAAMMGGHGSAVLTSRSNGVANTPIRSVTPSNPTRGLSPRRICPAEVKANGLNQPLNGLAKRLATHESRREDKTESGSDVSSQTSENSKAATRPSRTLSSPVLHRSSSPNKVLSAASPASTAFQSPLRTRPSAPCRSRCCSTSQSGVAPPVFNYIVDARKGKKSASQFENIHQLRLLYNRCLQWQFVYARSEDTLTFQKSSIESILYSVWKSIVQLRDSVTVRRIDVQLLQQELKLYYVLKEQIAYLQHWPELEGENGSTLIGAIEALQACTLRLPVTSGAQADAVAVKNSISSAVDVMQALSSSILYLLSKVEGRTSLVSELSDMARQEKVALGTGVQPPYSSDATEGRSFTMTLIIQVEETSHAFNMYRHGVKMERTYKILE >ONIVA08G17770.1 pep chromosome:AWHD00000000:8:19254198:19258108:-1 gene:ONIVA08G17770 transcript:ONIVA08G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVDNDNSLHTRAVPRRPTPCSFIRRLRATDGLPPSDGTKMTSCLIQRLAVTPCTGPKASKLVLPPLRSARLPSPEAEPSRRSSAAEKPSRFPHHLSHHNQTLTPPTPTMEFARRATAPVDADDGCGVPHPSPRVQVTMDALRRELWEEGIRQEVIAAEIAEQRELEAKVQRDTGLLCDVPSRLSVSFQPVRGDTFPSPHGELWLGGPMAMPAGASVFRVPVKDRIEEWYRPPWDRTADEENASFNGLYKEATRISLNDHICCLAKMRRKVSSGVKRKRGADTFQMNNKKICVPRSCDGIQEHSAGHRNEENKALESRKEAIGTKKKVETESLSVTRHYPPTWNYGICKANCSSELDLKNHLRGRRHQENLEALKREDKEMEAKVYAKEVAQFVEKNQKFVPRWSCSTCKANCTSASDLENHFRGRRHQQNVGRSSNVAMLRA >ONIVA08G17770.2 pep chromosome:AWHD00000000:8:19254198:19258108:-1 gene:ONIVA08G17770 transcript:ONIVA08G17770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVDNDNSLHTRAVPRRPTPCSFIRRLRATDGLPPSDGTKMTSCLIQRLAVTPCTGPKASKLVLPPLRSARLPSPEAEPSRRSSAAEKPSRFPHHLSHHNQTLTPPTPTMEFARRATAPVDADDGCGVPHPSPRVQVTMDALRRELWEEGIRQEVIAAEIAEQRELEAKVQRDTGLLCDVPSRLSVSFQPVRGDTFPSPHGELWLGGPMAMPAGASVFRVPVKDRIEEWYRPPWDRTADEENASFNGAKMRRKVSSGVKRKRGADTFQMNNKKICVPRSCDGIQEHSAGHRNEENKALESRKEAIGTKKKVETESLSVTRHYPPTWNYGICKANCSSELDLKNHLRGRRHQENLEALKREDKEMEAKVYAKEVAQFVEKNQKFVPRWSCSTCKANCTSASDLENHFRGRRHQQNVGRSSNVAMLRA >ONIVA08G17770.3 pep chromosome:AWHD00000000:8:19254198:19258108:-1 gene:ONIVA08G17770 transcript:ONIVA08G17770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVDNDNSLHTRAVPRRPTPCSFIRRLRATDGLPPSDGTKMTSCLIQRLAVTPCTGPKASKLVLPPLRSARLPSPEAEPSRRSSAAEKPSRFPHHLSHHNQTLTPPTPTMEFARRATAPVDADDGCGVPHPSPRGQDAQKGVIWSEEEKGCRYFPDEQQKNMCAKELNEENKALESRKEAIGTKKKVETESLSVTRHYPPTWNYGICKANCSSELDLKNHLRGRRHQENLEALKREDKEMEAKVYAKEVAQFVEKNQKFVPRWSCSTCKANCTSASDLENHFRGRRHQQNVGRSSNVAMLRA >ONIVA08G17760.1 pep chromosome:AWHD00000000:8:19245963:19248296:1 gene:ONIVA08G17760 transcript:ONIVA08G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ICJ5] MAAAMILSCRYLLICSATLALLLLRLCSGLSLDTVREFLTREEDTVVFGLIERAKHPRNTPAYDPGYLAGGGHGHDASFAEMFVRESEVVQAKAGRYQSLQEIPFFPFRVPFTLAPPYNFTTELYSAAALVNVNDAIWSMYFNELLPLLAKNGDDGNYAATVSSDLVCLQALSRRINYGRYVAEVKFIGDQQNYTTLIRNKDTDALMKLLTSEAQEDVVKRRVQKKAMVFGQNVTLDGPVETGNSNSSQTSFKVDPSLVYKLYDKWVIPLTKQVEVEYLLHRLD >ONIVA08G17750.1 pep chromosome:AWHD00000000:8:19228404:19235331:-1 gene:ONIVA08G17750 transcript:ONIVA08G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDGAVAADAGGAAAAVVQPGNGQTVCVTGAAGYIASWLVKLLLEKGYTVKGTVRNPGMHTHGRHKLLQLVHDPKNAHLKALDGAGERLVLCKADLLDYDAICRAVAGCHGVFHTASPVTDDPEQMVEPAVRGTEYVINAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLDYCKETRNWYCYGKAVAEQAAWEAARRRGVELVVVNPVLVIGPLLQPTVNASVAHILKYLDGSASKFANAVQAYVDVRDVAAAHLLVFESPSAAGRFLCAESVLHREGVVRILAKLFPEYPVPTRCSDEKNPRKQPYKMSNQKLRDLGLEFRPASQSLYETVKCLQEKGHLPVLAAEKTEEEAGEVQGGIAIRA >ONIVA08G17740.1 pep chromosome:AWHD00000000:8:19222650:19227339:1 gene:ONIVA08G17740 transcript:ONIVA08G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit Vib family protein [Source:Projected from Arabidopsis thaliana (AT5G58005) TAIR;Acc:AT5G58005] MSTLSPDGDSDYGGGGGEAAGAVMADVLSKGREACYKARDAFYTCVEKHADKKPTEIATMGLLYPADCKKSRANFVNSCRPSWVKHFDRQYSAKKRVQRLLDGGDDRRGPISLPQPYTFKQ >ONIVA08G17740.2 pep chromosome:AWHD00000000:8:19222650:19223658:1 gene:ONIVA08G17740 transcript:ONIVA08G17740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit Vib family protein [Source:Projected from Arabidopsis thaliana (AT5G58005) TAIR;Acc:AT5G58005] MSTLSPDGDSDYGGGGGEAAGAVMADVLSKGREACYKARDAFYTCVEKHADKKPTEIATMGLLYPADCKKSRANFVNSCRPSWVKHFDRQYSAKKRVQRLLDGGDDRRGPISLPQPYTFKQ >ONIVA08G17730.1 pep chromosome:AWHD00000000:8:19209254:19209454:1 gene:ONIVA08G17730 transcript:ONIVA08G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKSSWPELVGVLATLAATQIGKDRPDVAVEVLPPGAPLTPDFNDKRVRVFMDDNGIVFKIPVIG >ONIVA08G17720.1 pep chromosome:AWHD00000000:8:19201693:19203347:1 gene:ONIVA08G17720 transcript:ONIVA08G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ICJ0] MAAAAEEGEGKKGGGAVLQGRYEMGRVLGHGNFGRVHVARDLRTGRSVAVKVVAKEKVVRAGMMEQIKREIAVMKRVSHPNIVELHEVMATRSKIYLALELVRGGELFGRIVRLGRVREDAARHYFRQLVSAVDFCHSRGVYHRDLKPENLLLDEAGNLKVVDFGLSALADHARADGLLHTLCGTPGYAAPEVLRDKGYDGAKADLWSCGVILYVLLAGSLPFPDDNIVTLYRKAQRGDYRCPAWLSTDARRLIPRLLDPNPTTRISVAQLVETPWFKKTSISRPVSIELPPAFADPALAKEEAEKDEPETLNAFHLISLSEGFDLSPLFEGDSAKGRRDGGMLFATREPASGVISRLEGVAARGGGRMRVTKSGARGVRLEGAERGGAKGRLAVAADIFSVAPSVLVVDVKKDGGDTLEYRSFCSEELRPALQDIVWGAAADPTPTAAV >ONIVA08G17710.1 pep chromosome:AWHD00000000:8:19201399:19201632:-1 gene:ONIVA08G17710 transcript:ONIVA08G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVLDVLPVAGAAAAQLALDGGVSASCPPHRSAFPLPRTASGVGVGVVLLVVVVARMRMPRCDNSALVLRCACGS >ONIVA08G17700.1 pep chromosome:AWHD00000000:8:19190191:19194578:-1 gene:ONIVA08G17700 transcript:ONIVA08G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid desaturase 6 [Source:Projected from Arabidopsis thaliana (AT4G30950) TAIR;Acc:AT4G30950] MATASGVSTPLQLPSTRRVGGCCSRPGSPAPGKNAFPRRAAGGAPAGTFFLKRDSVYKEQSCHQFLPLKQSGRLQAAVLPVTPPLLDDEEKRKQMCEDYGFKQIGEQLPDNVTLKDVMDSLPKEVFEIDDLKSWTSVLISVTSYALGIFLISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLIEDTAWQPVFSKEFRTNSLLRKAMIFAYGPIRPWMSIAHWLIWHFDLKKFRPNELPRVKISLASVLAFMAIGWPLIILKSGIAGWFKFWFMPWMQYMSTFTMVHHTAPHIPFKTSEEWNAAQAQLNGTVHCDYPRWIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYINEASWNWRLMKTILTKCHVYDKDRYYVPFDEVAPEESQPIKFLKKVMPDYA >ONIVA08G17700.2 pep chromosome:AWHD00000000:8:19190191:19194578:-1 gene:ONIVA08G17700 transcript:ONIVA08G17700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid desaturase 6 [Source:Projected from Arabidopsis thaliana (AT4G30950) TAIR;Acc:AT4G30950] MATASGVSTPLQLPSTRRVGGCCSRPGSPAPGKNAFPRRAAGGAPAGTFFLKRDSVYKEQSCHQFLPLKQSGRLQAAVLPVTPPLLDDEEKRKQMCEDYGFKQIGEQLPDNVTLKDVMDSLPKEVFEIDDLKSWTSVLISVTSYALGIFLISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLIEDTAWQPVFSKEFRTNSLLRKAMIFAYGPIRPWMSIAHWLIWHFDLKKFRPNELPRVKISLASVLAFMAIGWPLIILKSGIAGWFKFWFMPWMMSTFTMVHHTAPHIPFKTSEEWNAAQAQLNGTVHCDYPRWIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYINEASWNWRLMKTILTKCHVYDKDRYYVPFDEVAPEESQPIKFLKKVMPDYA >ONIVA08G17690.1 pep chromosome:AWHD00000000:8:19184625:19191844:1 gene:ONIVA08G17690 transcript:ONIVA08G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 11A3 [Source:Projected from Arabidopsis thaliana (AT2G24270) TAIR;Acc:AT2G24270] MAAVAGTGVFAEILEGEVYRYYADGEWRVSASGKSVAIVNPTTRLTQYRVQACTQEEVNKVMETAKVAQKAWARTPLWKRAELLHKAAAILKEHKTPIAECLVKEIAKPAKDAISEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKVPLGVVLAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLINCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACVVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMESVADIVVEKVKAKLAKLTVGPPEADSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAIMISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >ONIVA08G17680.1 pep chromosome:AWHD00000000:8:19175296:19175742:-1 gene:ONIVA08G17680 transcript:ONIVA08G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTVCTPSFDVSVPRAVPPFAHYTWRGSGPQSPATQTRIASPLPPFSTPTETPPPPPRPRLGKIPIPNGRDTHTPTPRPPRARERSRSTATVSASRFPARGHGTAGLSRRRLRSSQEERHSQLRLLRLFDAFILLAAAAAASATGVP >ONIVA08G17670.1 pep chromosome:AWHD00000000:8:19172695:19175279:-1 gene:ONIVA08G17670 transcript:ONIVA08G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVLALAVAFLLGASGYGVDRGAAAASPAAEGVEVAYGSTIKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEVDDSNSYWIVRPSPDSSAKQGDAIETGSIIRLQHMRTRKWLHSHLHASPLSGNLEVSCFGGDGQSDTGDYWRLEIEGGGKLWKQDQKVRLRHVDTGGYLHSHNKKYNRLGGGQQEVCGVREKRAENIWLATEGVYLPVNKSK >ONIVA08G17660.1 pep chromosome:AWHD00000000:8:19168506:19168856:-1 gene:ONIVA08G17660 transcript:ONIVA08G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEASDRHNHFVHGVHLVYQVPTLEITHEEQFPGRLHDVVDIGDKLRHQG >ONIVA08G17650.1 pep chromosome:AWHD00000000:8:19164947:19168448:-1 gene:ONIVA08G17650 transcript:ONIVA08G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFFLDTGKESGEDGFMVHGFALPQVLQSSVGETNILIGCRVWLHEVDATSLDGGQVIGFHSETPDLADKEGAVVKASDRDKHLVHGVHLVYQVHGFALPEVVQSWNGCSHHDDVHIAGLLNSLVAFFLDINEDNGEDGSMVGEMHLIEDRCQIHKVAGKEVNTINGEFVVATSLTSSAWMSSLEWATLRMKGVEHAGLMGWRHLQDHDKANGHVGDEEVLFHEVDAASLDSRQVVSFDRETPDLADKEGAVVKASDRDKHLVTVSTLCTRC >ONIVA08G17640.1 pep chromosome:AWHD00000000:8:19164870:19166464:1 gene:ONIVA08G17640 transcript:ONIVA08G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELDAYVHHIMESVGELLLEAICMVNLRNVAEAEGCILPLNQEQVMLNYYINNEKSTMLNLLGGDSFLKKLAILELNGNRYEDASIATFFKLDTGSFVRKCVPVLRDYLFIANMAIGLVMILEMTPPHKTSMLNPFHAELIHAEEVREVATTNSPLMVFTSWFHGRCVRWDDQESEGFHKVMAYFPGNFVNLAPIFDEVHLTNHGSILSIILIYVEEECDQAVQ >ONIVA08G17630.1 pep chromosome:AWHD00000000:8:19163625:19164732:-1 gene:ONIVA08G17630 transcript:ONIVA08G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIKDRRQIHQIVGKEIQQARSEILRKPTDVIKVFRWSWAVQRRGEGRHWGVMKGDAGEAVRRERRGAAQARRLHGGAAQAQRLGTRNCEARRVPQK >ONIVA08G17620.1 pep chromosome:AWHD00000000:8:19157750:19158616:1 gene:ONIVA08G17620 transcript:ONIVA08G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAACVESPDEGVEIREVWAGNLEAEIAAIRDEVDRYPYVAMDTEFPGIVCRPVGNFRTTDEFNYANLEANVNMLKLIQLGLTLSDEGGDLPRRGTGGRRCIWQFNFRGFDPRTDPSNADSIQMLRTCGIDFDRFAAEGADPIRFAELLMSSGVVLNADVQWITFHSGYDFGYLLRLLTGRNLPDNMPAFFDLIRIYFPVLYDIKHLMRFCSNLHGGLSRLGELLDVKRVGTCHQAGSDSLLTLGCYNKIKEVYFKGSTEKHAGVLYGLVIEDGVNRPPPTQPNE >ONIVA08G17610.1 pep chromosome:AWHD00000000:8:19149281:19156057:1 gene:ONIVA08G17610 transcript:ONIVA08G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25430) TAIR;Acc:AT3G25430] MAAATRAATASAARQVTKRNFAEAVQDLAAHVEACDYVAIAAQRTGAPTGWRRALPVDTPETAYLKAKLAAESFQPLHFAICPFRVDATSPSTLVAYPYNFHLFPRDELQLGMPAYSFSCQSSYLSSMAHSGFDFNMCIYDGSLVNTLRQLILGSESYGSRPSFSIDVCSDRQVQLVLEAANHISDDLVPLVVPDKAGTARAVRVIFTSSPEDKNLLLMDIKKMEDEHNLKFRGFREVIDLLSSSQKPIISYNFLNDFTMIHSKFVAPLPPNLHEFMCSLRMVFSNVIDISHMWREIGPLRKAKNIQGALSYLQRQYFVPMDVEIPQQDGNNSVTKSGENVLRITKLFAKLSNLLKISPNGQTHSGDKCHTVEEYSYILYPSCTAEESEDDKSSNESNTTRSVRTDNVVFLWGFRETSVKELRSRLASLHHAFSKDFELRLLDNSCSALIFHSSDAAIDLLREINSESPSLNNFFLEGLKAAGFDAYRKVCRSGLWDSDLAEALDGVSSEPSTSTLSGRGTSEICWNTSLMLDLKEYLER >ONIVA08G17610.2 pep chromosome:AWHD00000000:8:19149281:19156055:1 gene:ONIVA08G17610 transcript:ONIVA08G17610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25430) TAIR;Acc:AT3G25430] MAAATRAATASAARQVTKRNFAEAVQDLAAHVEACDYVAIAAQRTGAPTGWRRALPVDTPETAYLKAKLAAESFQPLHFAICPFRVDATSPSTLVAYPYNFHLFPRDELQLGMPAYSFSCQSSYLSSMAHSGFDFNMCIYDGSLVNTLRQLILGSESYGSRPSFSIDVCSDRQVQLVLEAANHISDDLVPLVVPDKAGTARAVRVIFTSSPEDKNLLLMDIKKMEDEHNLKFRGFREVIDLLSSSQKPIISYNFLNDFTMIHSKFVAPLPPNLHEFMCSLRMVFSNVIDISHMWREIGPLRKAKNIQGALSYLQRQYFVPMDVEIPQQDGNNSVTKSGENVLRITKLFAKLSNLLKISPNGQTHSGDKCHTVEEYSYILYPSCTAEESEDDKSSNESNTTRSVRTDNVVFLWGFRETSVKELRSRLASLHHAFSKDFELRLLDNSCSALIFHSSDAAIDLLREINSESPSLNNFFLEGLKAAGFDAYRKVCRSGLWDSDLAEALDGVSSEPSTSTLSGRGTSEICWNTSLMLDLKEYLER >ONIVA08G17600.1 pep chromosome:AWHD00000000:8:19146429:19147636:1 gene:ONIVA08G17600 transcript:ONIVA08G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRNLDLERYMGRWYEIACFPSRFQPRDGTNTRATYTLAGDGAVKVLNETWTDGRRGHIEGTAYRADPVSDEAKLKVKFYVPPFLPIFPVVGDYWVLHVDDAYSYALVGQPSLNYLWILCRQPHMDEEVYGQLVERAKEEGYDVSKLKKTAHPDPPPETEQSAGDRGVWWIKSLFGR >ONIVA08G17590.1 pep chromosome:AWHD00000000:8:19139069:19142210:-1 gene:ONIVA08G17590 transcript:ONIVA08G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0ICH5] MEASSWDALRKQARRLEAQLDDQMSAYRKLISMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRAKQQHASLLDLRDFDRAKFDVEEGADSDQALLKEQAAISRSTGQMDTVISQAQATLGTLMLQRSTFGGITTKISNVSSRLPTINQILSSIKRKKSMDTIILSLVASVCAFLIFIYWMSK >ONIVA08G17580.1 pep chromosome:AWHD00000000:8:19136469:19138341:1 gene:ONIVA08G17580 transcript:ONIVA08G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLRLRAHAAGLSQRLAPRLLPSRPYISDMRRSAFSDRLLRSLRSEISSRRAPSPPPSAAPFAVDDRPGEQWIRLRRAFGGDDDDEKEEVRVDATMVDGATAPTRSGEVAGAGPDDAAGPQLRMHISVNVEVTKAARRDLALTFECSAWPDEMEVERVYPVRRGGPAAAQQYMGRQFSELDDEMQSTVHDYLEHRGVNDELAAFLHSYMENKEQTELVRWFKNVECFIKK >ONIVA08G17570.1 pep chromosome:AWHD00000000:8:19132531:19133739:1 gene:ONIVA08G17570 transcript:ONIVA08G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAIAACFRCAPSAAAAASGPAGPSLATSVYETHLGLAALSWTRTSLGLSLRAVLRLSSPATAASVGTCFDEDADEETLAFRVRPWLLWRRRGTRRFRAAGDRRVDLAWDLTRARFPGSGSPEPSSGFFVAVVVDGEMVLAAGDLSDAAYRRTRARRPAGPRPVLLSRREHVAMRDAGRGGRGHRSWVTVRGKEREISVDLVSRGRGRDTGSSSSSREKDRADVGLSVSIDGERVLHVRRLRWKFRGSERVDLGGGDRVQLSWDLHNWLFPQREPPTTDAAAHAHAHAVFVFRFDLGGGGEEASEHAKALCDKATRRDASGILRGYFARWGQKDWSETGSERRKRGRGRRLAKASSSSSASVASSTASWASGSTVMDWASPEEAEMQRGDGFSLLIYAWKS >ONIVA08G17560.1 pep chromosome:AWHD00000000:8:19121930:19122798:-1 gene:ONIVA08G17560 transcript:ONIVA08G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYTASASSRRMGKPALPLIQCQQCELKTIVWRKAKTSENYGRIFYTCPSHQRDGIGCDFWYWEEYYEQYLIKRGYLQACSGSRGKRQVIDLHGEGEGEGEGVGGRQVAEQIEDKQLLTESQKNELTESHHNSEITTGDRTYITRAVPLLDKLQCITIIFQITTGA >ONIVA08G17550.1 pep chromosome:AWHD00000000:8:19105793:19106752:-1 gene:ONIVA08G17550 transcript:ONIVA08G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPVSCCLCSWRSTYLLVSVVAPEQREQQTTPPLNPPLCPHRQQQQQKEKRNLDTQGTRYQSIPRYQGLIPRYLVPSISSILRVTGISRYQGTRYQVPADTQGTRYQDGEEVEVPEQVVAGVAAAEAPGPPASSASTVAAEDPSTLTGKEAAGAAGKDSGTSGSREAGDGDLGEEHEGGGERPRHRQRREVCARRRRRSGRRTASRRRSEITPDAPLTSPTTPQK >ONIVA08G17540.1 pep chromosome:AWHD00000000:8:19100342:19100695:1 gene:ONIVA08G17540 transcript:ONIVA08G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISSAAGGMLRARLRGGARVRGGGGHGEGGGRWTTPGHEEQPKGYLFNRPPPPAGESRKWEDWELPCYVTSFLTVVILGVGLNAKPDLTLETWAHQKALERLQQQELASGEAQAE >ONIVA08G17530.1 pep chromosome:AWHD00000000:8:19096844:19099205:1 gene:ONIVA08G17530 transcript:ONIVA08G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57790) TAIR;Acc:AT3G57790] MASPLLLLLPLAVSSLLLPFAAAARVFSVADYGAAGDGARYDTGAIQAAVDACAAAGGGRVLLPAPGDYLTATVHLRSRVVLDVAPGARLLGGTRQADYPPESRRWYVVLAENTTGAGVTGGGEINGQGGAFVVTPNPQKNIMVSWNATGDCEGDECRPRLVGFIDSKDVTIHDITLNQPAYWWCDNTMIHNVSIYGDFDTPNNDGIDIEDSNNTAITHCHIDTGDDAICPKSTTGPVYNLTATNCWIRTKSCAIKFGSASFFDFKKLVFDNITIVDSHRGLGMQIRDGGNVSDVVFSNIKMSTRYYHPLWWGRAEPIYITTCPRHPDSKEGTISDIQFINISSVSENGVFLAGSKHGLLRNLKFKNVDLTYKRWTNYSGGLYDYRPGCQKMVKHRTGGMMLEHISGLEIDNVRMRWSRGSLKGWDVDPLLFQPSTVDKLSFHDWQSLAVSR >ONIVA08G17520.1 pep chromosome:AWHD00000000:8:19091702:19095209:-1 gene:ONIVA08G17520 transcript:ONIVA08G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGALRGQQAVAGEAKTARVAELGESYIDYDFPPCRPATADRYHIRRVHLNRPIYHARWISIRRRLIVFLLACGGGGGGGAPVAVTDSAPAAAAACTGESPRGNGGVSPRLRSLRTVRRLSLYSVARCCLKQMAIYTPTLQGGLTQGMALWKYSRSQAVGYHVKIRLVGLPPKMNIKSLRTCFASSGKQLCGRRPARDNILKLKLDEPSRQKLYSILWDSRSIGHKVGATGTGLFLSFAVPAKANAEGPVDNNTDSPQTTESSTSYAHGKKVCTDYSVTGIPGDGRCLFRSVAHGACIRSGKRPDDDLQRKMADDLRAMILNVALEATQPFLVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALQIPGKGGPRSRL >ONIVA08G17520.2 pep chromosome:AWHD00000000:8:19091702:19095209:-1 gene:ONIVA08G17520 transcript:ONIVA08G17520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGALRGQQAVAGEAKTARVAELGESYIDYDFPPCRPATADRYHIRRVHLNRPIYHARWISIRRRLIVFLLACGGGGGGGAPVAVTDSAPAAAAACTGESPRGNGGVSPRLRSLRTVRRLSLYSVARCCLKQMAIYTPTLQGGLTQGMALWKYSRSQAVGYHVKIRLVGLPPKMNIKSLRTCFASSGKQLCGRRPARDNILKLKLDEPSRQKLYSILWDSRSIGHKVGATGTGLFLSFAVPAKANAEGPVDNNTDSPQTTESSTSYAHGKKVCTDYSVTGIPGDGRCLFRSVAHGACIRSGKRPDDDLQRKMADDLRAMVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALQIPGKGGPRSRL >ONIVA08G17520.3 pep chromosome:AWHD00000000:8:19091702:19095209:-1 gene:ONIVA08G17520 transcript:ONIVA08G17520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGALRGQQAVAGEAKTARVAELGESYIDYDFPPCRPATADRYHIRRVHLNRPIYHARWISIRRRLIVFLLACGGGGGGGAPVAVTDSAPAAAAACTGESPRGNGGLKPLPPPLSLPLRLTLPSPITSAFVSSILAGVSPRLRSLRTVRRLSLYSVARCCLKQMAIYTPTLQGGLTQGMALWKYSRSQAVGYHVKIRLVGLPPKMNIKSLRTCFASSGKQLCGRRPARDNILKLKLDEPSRQKLYSILWDSRSIGHKVGATGTGLFLSFAVPAKANAEGPVDNNTDSPQTTESSTSYAHGKKAFLEMEGACSDLWLMVHVLGQILNVALEATQPFLVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALQIPGKGGPRSRL >ONIVA08G17520.4 pep chromosome:AWHD00000000:8:19091702:19095209:-1 gene:ONIVA08G17520 transcript:ONIVA08G17520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGALRGQQAVAGEAKTARVAELGESYIDYDFPPCRPATADRYHIRRVHLNRPIYHARWISIRRRLIVFLLACGGGGGGGAPVAVTDSAPAAAAACTGESPRGNGGLKPLPPPLSLPLRLTLPSPITSAFVSSILAGVSPRLRSLRTVRRLSLYSVARCCLKQMAIYTPTLQGGLTQGMALWKYSRSQAVGYHVKIRLVGLPPKMNIKSLRTCFASSGKQLCGRRPARDNILKLKLDEPSRQKLYSILWDSRSIGHKVGATGTGLFLSFAVPAKANAEGPVDNNTDSPQTTESSTSYAHGKKVCTDYSVTGIPGDGRCLFRSVAHGACIRSGKRPDDDLQRKMADDLRAMILNVALEATQPFLVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALQIPGKGGPRSRL >ONIVA08G17520.5 pep chromosome:AWHD00000000:8:19091702:19095209:-1 gene:ONIVA08G17520 transcript:ONIVA08G17520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGALRGQQAVAGEAKTARVAELGESYIDYDFPPCRPATADRYHIRRVHLNRPIYHARWISIRRRLIVFLLACGGGGGGGAPVAVTDSAPAAAAACTGESPRGNGGLKPLPPPLSLPLRLTLPSPITSAFVSSILAGVSPRLRSLRTVRRLSLYSVARCCLKQMAIYTPTLQGGLTQGMALWKYSRSQAVGYHVKIRLVGLPPKMNIKSLRTCFASSGKQLCGRRPARDNILKLKLDEPSRQKLYSILWDSRSIGHKVGATGTGLFLSFAVPAKANAEGPVDNNTDSPQTTESSTSYAHGKKVCTDYSVTGIPGDGRCLFRSVAHGACIRSGKRPDDDLQRKMADDLRAMVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALQIPGKGGPRSRL >ONIVA08G17510.1 pep chromosome:AWHD00000000:8:19087354:19088498:1 gene:ONIVA08G17510 transcript:ONIVA08G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVRAAISAGHDRSNTEFILLMMQWRPSAHHKLFPGDTFVLILGPYLAAVLLVALEEHMKFTAISCGFSRISVGLKFENSEMRGRKKVSKEKRKAGSVAPSAFVGEPTRDKNMATLWRVWEGGLSRHKVLKKEGIGGDTVGFDTGCGQPRSSH >ONIVA08G17500.1 pep chromosome:AWHD00000000:8:19083781:19085871:-1 gene:ONIVA08G17500 transcript:ONIVA08G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAPVSKDLSLQPAGVRTPPQMSSPGLLRYRSAPSTLLGEVCGDFVLPGGGGGGGQLQLQQQRPGSPDHAADTVLARFLAGHGGHDNKPPRPAAHFAPPEDSMASHQQQLMYQSHQQQQQMASAMEGLYRTVSSGGTESTAAAAGNSLLRQSSSPAGFLNHLTMDNGYGRNMLRAGMGGGGGGGDPRLKGQLSFSSRQGSVMSQISEMGSEDEELAGGGGSPEAGSNGGGAARGGYGGGYAMGSSAWEEPSPPATSLLPDSSLPSKRPRDDLPRQLSLPAASKSSSKPPSSASAAASPEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMEKQTNTADMLDLAVDYIKELQKQVKVLNDSRSSCTCSASKQKHFAG >ONIVA08G17490.1 pep chromosome:AWHD00000000:8:19074034:19074776:1 gene:ONIVA08G17490 transcript:ONIVA08G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLPTAAAEAAAAGGDGDGEAYRIRPLELADISRGFLGLLNQLSPSPPLTEEAFRARFEELAALGADHLVLVAEDAATGRLAAAGAVLVERKFIRRCGRVGHVEDVVVDAAARGRGLGERVVRRLVEHARGRGCYKVIINCTSELTGFYAKCGFVEKNVQMGLYF >ONIVA08G17480.1 pep chromosome:AWHD00000000:8:19056089:19058239:-1 gene:ONIVA08G17480 transcript:ONIVA08G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVGEAIPPPGGPPSRVSVSSSSSTPRRRCAALTSRFREPASPRRHAWVSLQGRLVGAEEATSAASAAPGLPPDEAVAWELFSPLHRVLLVATVAAASSRSHEARRIEQLQRSIHIRDEVLQSMQQKLDDLFDEMNSLQQQYVKCNTFISSEQGKSELVGSKKVGDYDGARCCACAKPEIAATPHKTKDICGMDDAKSDVVDRSSVSHMDHEERRMSDLSDFCWSVVSSVDNHVNGDNQLSSLAAEQELYNLQKECEEKDAIIKELAATAHTSSTADAKRIAELQEILKRKNMVISKLKKDMSALKQMVVELTRAKRTSSVNLSTSCSELPVMSSNVLYDMSSTSPSSSDSESPVAPREYLDDHLKVDDGIAGDSEYKGSSRFSMESACFPTKNSSAGKLRSTSPLKENRIDPNVETSLVGRQKQRISTNGDFKRTRRQSQQDSRNRATKRWM >ONIVA08G17470.1 pep chromosome:AWHD00000000:8:19048163:19053365:-1 gene:ONIVA08G17470 transcript:ONIVA08G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEDARRKMAAAAGERGQVVVLECVAGSSKAEEWGGGGGVVQEGDVVEAVRVGRGGGPGPGAAVLEAPFKGGRAALHKAMHAAFKRGDTSVEVRVRGGSELQACILPHSGGGGGGGGGGGGGGGGGGGKKQYVLRSLHDPNYVLGFVDRLESECLLLQGTRSTRVASALSRAQLQDGYVAYPWEKKMRDSLRMPNSSSYLSMLVLPKALDLNSCRYESFEDTLARANAWIYSSQVSGIPIEFMNVQSEALLTKISGETASATVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSSIAEEMPLEIPLEEGDTRLGFAISRTEEGFIFISSVVDNDKDNEPPSTRSGLRDLFNQAREASKLLVISRVSNEKVLPWMISSSGAIRCFDTISLSQKLSLHRLAVRPIQLHLLMWEKPSGPVERIIRSPKLPPQSELPQVPWNLIESIEPRVDVDEDYVGDLSFRIDDLSVGTSWSNSRSIWD >ONIVA08G17460.1 pep chromosome:AWHD00000000:8:19047618:19050315:1 gene:ONIVA08G17460 transcript:ONIVA08G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAAEAFGTAAATSTPPVQATRQDVQAAIAKAVELRALHAALLQRGGGGGGGGASASRSPAIIRLPPAASPALSRAGAAAAAVATVDEDYPVFTPAYDEEQMAGLSHICQDNRSRSENWSGIALGGGGSGDDDDDAAFSDYDNLNAFSSSNSELRFPSSTDHHRRHKVHPAFLHSAPSADRFLASAGRATMAGTAELLKAPATCGSAFRPATIGRDHGIDVGALKFLASSGAPLSAAAAAAQPRPAKHRGAQILSWLFPRAKKKAKPMSPSAIERENMSQLLKEWGLLSLDSLRRELADANAHRDAALQEAAEMRSSLGELTTKLAGLEAYCSELKKALRLATSSTSNAQPSSSSMSRRSTRSIGASQELPGPVSHEAMVEGFLQIASEARLSVKQFCKALIQQVEEPDNGLIEKLNILLQPYQLMITDNKHCSKLVLYHLEALMNQAMYQDFENCTFQKNGSPRCLDPKQGSQESFASFVALRNLSWNEVLRKGTKYYSEDFSRFCDQKMSCIVSTLKNWSRPWPEQLLQCFFVAAKCVWLLHLLAFSFTPALTIMRVKESRVFDQMYMEDILPDKQQLHNPCQVKIMVMPGFYVQYRVLKCRVLTT >ONIVA08G17450.1 pep chromosome:AWHD00000000:8:19035632:19039889:1 gene:ONIVA08G17450 transcript:ONIVA08G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEPPAPATEAEEGRRAALLREITEQGGFAFVASAEKAACGDLRAAEAAREMAWEQLHSGPWSEVGAAWRDAYALACLHVARLRRLGAAAADRRAALRALDMGLIMGGNLLRADLEAAIARIVADPGGGGDAEAVDEETRRWREGLERNRDVADALNILPAKSLSCKKVERRSCISLEEFICDYFLRESPVIISGSIDHWPARTKWKDIQYLKKIAGDRTVPVEVGKNYVCSEWKQELITFSQFLERMWSAGCPSNLTYLAQHPLFEQIKELHEDIMVPDYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNILAQVLGRKYIRLYPASISEDLYPHTETMLSNTSQVDLDNVDLKEFPRMYIVTSPVMTKHVVATIPNVTMTMYLLVTSDA >ONIVA08G17440.1 pep chromosome:AWHD00000000:8:19027301:19029904:-1 gene:ONIVA08G17440 transcript:ONIVA08G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAYLGSRGVQFLFELSKPDPAGLQLLDAQQRKVGAAGGGDPVSCSLSPTPPWLLLLAADGGGDDQVHEQGLVQLPQMIISSCRCLLAAPGGATQEAKLGAAQEAKLLVMRYSYMYV >ONIVA08G17440.2 pep chromosome:AWHD00000000:8:19028971:19029904:-1 gene:ONIVA08G17440 transcript:ONIVA08G17440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAYLGSRGVQFLFELSKPDPAGLQLLDAQQRKVGAAGGGDPVSCSLSPTPPWLLLLAADGGGDDQVTSPSWFPQLLGTALN >ONIVA08G17430.1 pep chromosome:AWHD00000000:8:19025483:19034812:1 gene:ONIVA08G17430 transcript:ONIVA08G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSESQQEREHRQQQEMNISFGMMMNHGHHHYGQHQQQHHHHPPPSSSSSSSMHAAAASFMSSKEASAGAYDHLGELDQALFMYLDHGSHAASHQEQRQTLNIFPSQPMHVEPSPKGEISLVLSPAAVGTKMARSPDHHHHHQQQAAAAMEELATGSRRQDHHHLQHQPFAAEPAGINRDVKPVTAKKDHRRGVSTGERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFFPGSGGLIGEGGGGKGVLLGGIDGVGGGGGGGLSSEAAMFDVEYGRWQEEHHRLMYELRAALQQQLPEGELQVYVESCLAHHDEVAAIKDGAIRGDVFHLISGVWMSPAERCFLWLGGFRPSEVIKMLVAHVEPLTEQQIVGVYGLQQSALETEEALTQGLDALYQSLSDTVVSDALSCPSNVANYMGQMAVAINKLSTLEGFVRQAENLRQQTLHRLQQVLTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRHPPHDHQPPPHSAAPHT >ONIVA08G17420.1 pep chromosome:AWHD00000000:8:19016760:19020423:1 gene:ONIVA08G17420 transcript:ONIVA08G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLAIIATELGDSSDFEVEGIQNLTENDVSDEEIEAEDLARRMWKDRIKLRRIKERQDRLALALQQAELDKSKGKPISDQAMRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYESENLASADAPSSGIKSQHSLMDLQDATLGSLLSSLMQHCDPPQRKYPLEKGTPPPWWPSGNEDWWIALGLPRGQIPPYKKPHDLKKVWKVGVLTGVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVLSIDNGMSEVTHHGALEYRNGDTHSSSNEYDVDGFEEAPLSTSSRDDEQDLSPAAQLSEEHAPTRRERAKVKRPNQVVPKKAGTKEPPKRKRPRHSVTVIEQEVQRADDASEHSRNMIPDMNRLDQVEIQGMANQITSFNEEANTSEALQHRGNTQELAHLPADFNHYGNAKATIATPVSICMRGQAVPYESSDNSRPKTGNIFPQDSDSGFNNMPSSYQTIPPKQPLPLSIMEHHVVPLGIRTPADNSPYSDQIIGSGNSTSVPGDMQLIDYPFYGEQDKFAGSSFAGLPLDYISISSPIPDIDDLLLHDDDLMEYLGT >ONIVA08G17410.1 pep chromosome:AWHD00000000:8:19009305:19013559:1 gene:ONIVA08G17410 transcript:ONIVA08G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGIGMGRQIVPVLVFVAVLCSGVDASFNRYSFPKDFIFGTGSAAYQYEGAAKEGGRGPSVWDTFSHIPGKILNGDTGDVADDFYHRYKEDVNLLKDMNMDAFRFSISWSRILPNGTLSGGVNKEGVAFYNNLINEIIAKGMKPFVTIFHWDTPQALESKYGGFLSENIMQIWIVNIFSKDYVDFAEVCFREFGDRVKFWATFNEPWTYCSQGYGTGIHAPGRCSPYVSTSCAGGDSSREPYLAAHHVILAHATAVHLYRTKYQPTQHGQIGITAVSHWFVPYNDTAADRRAVQRSLDFMYGWFLDPIVHGDYPGTMRGWLGARLPAFTAEQAAAARGSYDFIGVNYYTTYYAKSVPPPSSNRLSYDTDIRANTTGFRNGKPIGPQEFTPIFFNYPPGLRELLLYTKRRYNNPIIYVTENGIAEGNNKSLPITEALKDGHRIEFHSKHLQFVNHAIKNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYIDRLNNLKRYRKQSSYWIANFLKRKKY >ONIVA08G17400.1 pep chromosome:AWHD00000000:8:18989896:19000311:1 gene:ONIVA08G17400 transcript:ONIVA08G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPGPEALLAVKAAPHDTANVLADWNAGFGVGDGGPCNWSMVACSKAGHMDGSTGMPRWASARFLGYDIDLSHTGSDDLRALRRGAGDGVHDARQQGGRGRSCNAAGSARPDLVGIT >ONIVA08G17390.1 pep chromosome:AWHD00000000:8:18987768:18989820:-1 gene:ONIVA08G17390 transcript:ONIVA08G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ICF0] MEKLSMVTSLLCAITVAVLAVAVVSGEAAVVEHTFVVHEMNATHLCNTTKIYVVNGQFPGPTVDVTEGDTVVVHVINKLPFGLTIHWHGVRQMRSCWADGAGFVTECPIPPGNEHTYRFNVTGQVGTLWWHAHVTCLRATINGAFIVRPRDGKYPFPTPAKDVPIIIGEWWELDLIELDRRMMDGNFDDNPLSATINGKLGDLSNCSRMVEESFILDVKHGESYLLRVINTALFSEYYFRVAGHTFTVVGADGNYLTPFKTDMVTVAPGEAIDVIMVADAPPAHYHMIALANQPPEPDPQIPVFTSRGLVRYAGATANNNGLPVPMPIMPNQHNTMPSYYFHANLTGLAHPERHRVPMHVDERLFVTLGLGSICRGQNTTCKRRRSPETIVVATMNNVSFAHPKTTALLERYYDGTSKGVYTEDFPIRPPRPFNYTNRDLIPPGPLEEALEPTFKATKLKRFKYNTSVEIIFQSTTLMQSDSNPMHLHGYDVFLLAQGLGNFNAKRDVRKFNYHNPQLRNTVQVPRGGWAAIRFVTDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFKRCGNNGLSQP >ONIVA08G17380.1 pep chromosome:AWHD00000000:8:18984297:18987210:1 gene:ONIVA08G17380 transcript:ONIVA08G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGEFAEFGMDVGTVSPSPLANVGGSQPRWKKPGEGYLKINVDGAFSGIAQNLVAGASSSEIAIAVSAGSGNLQNVSEPSQAEAEAETCLQAFKFASDAAQLHNEPSPDDNLPSRLRRPPPPPRWMPATDEGTASTVSASSAASTEAGRALDAVARRVRQRPHRLDDERSSAWASAQHTYPARTTAATTIDDDDDNDLTTMSSESEDDGDRRISRLRLPPVTARVAPQPGGAKGAKPRHGRPSLRLRCPASVTKLAMAKCRWKMKNARRRRDDSEPWTSTRRRYDTSSTGLRPPPLAAILTHNAIAIAGEESLGAAAVAALVNLDRLELDLRHNAPCSRKRSAVAMANLYKRDYSSRSLLLQFRYKLDFDKSVDLFVHRSSKPKLSLDDDDEEEEVSDIAVLSGQSFECLQSTLKRVSLQFRMEIEDQLLWIAACQLLRRKRHGS >ONIVA08G17370.1 pep chromosome:AWHD00000000:8:18980181:18982196:-1 gene:ONIVA08G17370 transcript:ONIVA08G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLLCTVAVAVLAVAAVGGEAGVVEHTFVVHEMNVTHLCNTTKIFVVNGQLPGPTVDVTEGDTVVVHVVNKIPHGLTIHWHGVRQLRSCWADGAGFITECPIPPGSERTYRFNVTDQVGTLWWHAHVTCLRSTINGAFIIRPRDGKYPFPTPVKDVPIIIGEWWELDLVELDRRMRDGNFDDNPLSATINGKLGDLSNCSGIVEESFVLNVKHGESYLLRVINTAFFSEYYFKVAGHTFTVVGADGNYLTPFKTDMVTVAPGEAIDVLMVADAPPAHYHMIALANQPPEPDPQIPEYISRGLVRYTSADANNNGLPVPMPIMPNQHNTMPSYYFHANLTGLMHPKHRRVPMHVDERIFIILGLGTICRGRNTTCKRQRSLETIEVATMNNVSFTHPNTTALLERYYDGTPEGVYTEDFPVRPPRPYNYTNPALIPPGPLEEVLEPTFKATKLKRFKYNTSVEIIFQSSTLLMSDSNPMHLHGYDVFLLAQGLGSFNAKRDIRKFNYHNPQLRNTILVPRGGWAAVRFITDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFKRCDAS >ONIVA08G17360.1 pep chromosome:AWHD00000000:8:18977783:18979585:1 gene:ONIVA08G17360 transcript:ONIVA08G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDRLSVLSDDLLRRILHFVPSREAASTSLLSRRWGSLWRSTGTVNLAVRVVYDDVSGDDEAFSSRRDAFVRASQAALAAAAAGAGVTRLTLNVAGRCLSGVDKFLNYGGGGGGEEIGIVDAVVSHPAARHVEELRVGVAGPMYRTDGAEQRPWQEARRWRSDDIYTYTLSFASLPSATLRVLDITECNFSDSKLALPDAGVAFPRLDTLRLRLCAVRLAHLQRLIDAAPALATVHLESVYFEFNIYLDYYGVYGGLVAVESRLLLRCPAATELAMEFCGSSSYINSHLDGGIGIDAPKLRSFRYTGHPRRFYLESPAPEMTAVNIHFIDGDHRFADRLWRFLGNFTNVKILKLTVQELGHLAVAGKARRAELLCKFGNLERLELEAVRKPTKTKAPAPPPAVAIANLLHCCPALVDLSLKLKMLNYAWSKNNSMYLSSFHAKFKPDFDKSVGLFMRHKSKMTAVSSSLIDEHHDDKFSNISGLSGKSFACLNSSLRRVNLQFQLGSASNCFGVRLIRFFAQNAMVLEEMCIDSGNRKLCEHMNLNVERWVGVDSSKIRLKDKNLTGSSWEFSRIHPDSAPEFERNATSFKVLPLERR >ONIVA08G17350.1 pep chromosome:AWHD00000000:8:18969990:18977777:1 gene:ONIVA08G17350 transcript:ONIVA08G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDDRLSDLPDDLLLRILHFANAKEAASTSLLSRRFGALWRSSGAVNLAASVPCGSRYIRSAALLARRGAFVRAAEAALSAAAAADHRVTRLTVHVECDKEDKGSIASFLLSSENDERRGHRDVFDAVLSHPAARRVEELSVAAVHPHWGDKGNIVSSDGAGIYSINPATLPSNTLRTLRLRHCTANIDDLHRIIDAAPELAAVRLEFVHLMSNIHDVPFLVQLAMSSTTRLRFPAATALTLINCLTNGGMSGSVIDAPRLRSLTYKGAARSQFELTSPAPDMKMVHLHFNHYFHQRDYLRFIHNFTKVKVLKLKAENVDDMAVHGVFFPNIARLELDGGFNRWSKTAKAAAAIASMIHCCPVLCDLNLNLISTVSPDYFKKSKQVQHFFQRKSQLDFDRSIDDFMRNSISKRGDHRHNGDEVSGFIPGLTACSFTCLQNNLRRVSLQFRLDENSENFGVRLYNTDTHNARALTPMNAPRSHRIASPARAHDEMAAGGEDDRLSDLPDDLLRRILHFVPFREAASTSLLSRRWGSLWRSSGAVNLVEHVEDEEDFDFDDEEDDDEVTAEEPSARRRDAFLRAAGAALTAADGDISCDHVTRLSVDVDGPDGYCITNFLDCDESAAAYDIFTGMDVLHTVVSHPAARLVEELCLRVASESDSYGVHRRRRDKEEEPSTDLGVYGLSLASLPFEKLRVLDIAGCNNLSLPPPPAAAAAAAAFPRLQTLRLRRCAAKVTHLQRLIDAAPGLATAHLESVVFNTDDNNDNQSYNHRDTGACSSISLRCPAATSLALEWCGSTDYKFYYAHSRYSDDDDSCGGSIAIDAPKLRSFRYKGLPRPFHLKSPAPETTTTTTAVSLHFNSDYYLKEDTARVHSWRFIGNFTNAKTLKLKVDNLDHLAVADKASRSKLLCVLPNLVSVELEAAQLMNTKKSAVAIANLLRCCPVLSEFTMKLNSATTCTDRYWPNHRGRFQPDFYDSVDHFMRRKSNTTTAISSIDSRKGDGDRHVDEVPDIPALSRRSFTCLQRSLKKVSLKFKWSGDDCFGVQLVKFFAQNAMVLEEMRIDSGDRKLCDHMNLNVERWVGADSTKISLKRKNFANSTWEFSRTCPDSTPELETSTTSFIVLPLESLEQIDTNCYLN >ONIVA08G17340.1 pep chromosome:AWHD00000000:8:18969925:18971136:-1 gene:ONIVA08G17340 transcript:ONIVA08G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHARDRGGGLRRLAPTVETSVELEASNVGEEYAMDSHWLKWRCTIFMSGAGEVSSNCDLAAPLYVKDRSLGASITLPLMPPLVRQLISVSAVAAGKRRRVVEDIASCTRKGTSWMLDMRWTNSRRTAASSGAASMMRWRSSMFAVQCRSRSVSSRGXGGRRRREAAAVGEVQNAEGVRRKGGGIDAVDPSAVAAHDVALVAPVRVDGGDAELLDAARRRVGEDGVEHVAVAAALVVLAAEEEAGDRAFVLLVALDVDGEPRDAVVGGGGGGERRLGRTDEGAAAGEQGRAPDVSAAAGDARGEVDGAGGAPERAEPPREQAGGRGLLGVREVEDAEEEVVGEVRQAVIAGGHRSSTSAAAAIGLLRSILVGL >ONIVA08G17330.1 pep chromosome:AWHD00000000:8:18967534:18969932:1 gene:ONIVA08G17330 transcript:ONIVA08G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAGGGDRLSALPDDLLLRVLHFAPAVEAASTSLLSRRFGALWRSTGAVNLAARVPGAGGRDAFVRAADAASPPPPPAAALSRGSPYTSTTTEIIATAPPYHDVVDAVVSHPAARRVEELRVAVAVAVHPFGHDKINTMSSSGSVRIYDIKLASLPSNTLRELDLTNCRNLTPTTAAAAAAAFPRLDTLRLRHCTANIDDLHRVIHAAPVLATVHLEFVYLVSDGHSSDTVWSTRLRFPAATVLVLINCDTTGAMEIYAPRLRSFTYKGDAVQPFDLISPAPPPPDMALVLKLKAPAPRHLSDMAFVRVFPNIEHLELDRAYDTWNKTAAAVASILHCCPVLRELKLNLVGTSKNSKQVQHFFRRNSQVGFDCLIDHFRRDHCDDDDGFLLGLSYYSFACLKNSLRRVSLQFKLANDNSNLMGPARIGPTPPRYSLYL >ONIVA08G17320.1 pep chromosome:AWHD00000000:8:18964562:18965920:1 gene:ONIVA08G17320 transcript:ONIVA08G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSSAMLKTTTTPPHPLAGEKVPLTAFDRAAFDVFVPLVFAYRAPAPSSEAVKEGLRVAVAAYPLAAGRLAVDVAVDGQGRRRRRRVLHVNDEGVLVLDATVEADLDAVLAANVATDLYPALPEHSFGAALLQVQLTRFRCGGLVVGLIGHHHVFDGHSMSTFCATWARAVRDGEAFIVPSPSLDRAITGVPRSPPAPVFDHRSIEFKVGNKSSDSSGAAAAAVDMPMEKITNIGVHFTAKFVAELKARVGGRCSTFECVLAHAWKKITAARGLKPEEFTRVRVAVNCRRRANPPAPADLFGNMVLWAFPRLQVRRLLSSSYRDVVGAIRAAVARVDAEYIQSFVDYVEAADARGEELAATAPEPGETLCPDLEVDSWLGFRFHEMDLGTGPPAAVLSPNLPVEGLMILVPASGGGGGVDLLVALADDHAQAFEQICYSLEEHAMIHSHL >ONIVA08G17310.1 pep chromosome:AWHD00000000:8:18954237:18955171:1 gene:ONIVA08G17310 transcript:ONIVA08G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIMLSGRLMCYSTCILDYDYAIREDHPEEPFSVEHDYEEKLKLYREKTNEWEKSNRISLMYIKSAISTVIIGGIEDSDDVKTYLENIDRNFRRESSNSQNKKKKPNTPSTQASNGAHKGKAHIPAGQNSACKFLCKFCKAEGHAQRDCEGFRAWLAKKGTNVDIVSNVDELLYVEFFS >ONIVA08G17300.1 pep chromosome:AWHD00000000:8:18948247:18949725:1 gene:ONIVA08G17300 transcript:ONIVA08G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDDRLSALPDDLLVRVLHFAPAKEAASTSLLSRRFGALWRSSGAVNLAACVPDGRDAFVRAADAALAAADRAVTRLTLHVEGDDECSAYNSLRAGDHDMLDAVVAHPAARRVEELRVAAVHRGQPDEHDVAVMDDGEVFVYILRFSSLPSNTLRVLDLTRCHNFSPPPPPPCTASAAAAFPRLTTLRLRHCTYRVKHLHGIVDAAPELATVHLEFVLLTSDRRHRRFGPVTWNTGLRFPSATALALIHCRGEDGTPGRSMEVTAPRLRSFTYKGEALRFDLTSPPSPDTTTVVAADLHFTHGLGRCVDYSHFIHNFTNAKVLRLKANHLDDMAIAEVFLNLEHLRLELDGAYSGWSMAAETISGLLHCCPVLRQLELNFISDLPPDSCKNSKQVKHLFQKKCDADFEKSIDDFMRLTKFESKQRLDIPGLSDCSFACLQNSLRRVGLQFRLGEDSDCFGVRLIKFFAENAMVFEELHVLTAETEISEST >ONIVA08G17290.1 pep chromosome:AWHD00000000:8:18944841:18946580:1 gene:ONIVA08G17290 transcript:ONIVA08G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGDRLSKLDDEVLGHILSFLPAREAARASSLSSRWRHVFAAVHTVSMAETDADHPAIRGYVSPPYRRGLDPLAPPVFSAVVTTALLSRHRRRRHRPVPLRALRVDMMGYVRADSAAVDQWVSYAVQQAAADHGLEIDLRLGRPAICDRASSLRDSATIPEDDDDDDDDNAEDEQHDNAAADDDEKQEARDRSPSPAKRARSHSPSSSDYDDDVASSDDEEVRGYTPVFRPWRPVHTIASMLFSCAVLRSLALGSCGFALPATVALPSVETLVLSHVRGPASDVQRLVSGCPRLADLTLEACGAVTAVTILVGARLRRLAIRCCHRLAAVAVDASELHTFEYRGAVPRGRDFLTLHHGDAPRRRRGIACCHVDICGEEATSEEELTGLRRFLQLFADDATHLHLQSARLGAGADKDALASFPTFPNLRHLELWGSLPDDEDDAAAAVTTVTTILNSTPGLEALSLVFHPRGGDGDSSRSQNELRDAHQLRYNQHAVLAAAAGAMAVPCLRSTVREINLVHYQGGMAQRSLAKFLLCNAPAIAELFCVSAEGPLFMLEQLKQELRGWLMNKLAKTSFR >ONIVA08G17280.1 pep chromosome:AWHD00000000:8:18931481:18936344:-1 gene:ONIVA08G17280 transcript:ONIVA08G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ICD9] MSSCVTTPHHHHHHHGCFSGSRRRRRLLQAPAPRARPLHVVAAAAFLKHVAPPPRCAVASRAMSAEAPLGVTPAAAAAEGEEMVAVVDEMAEEAAVWCAVHGLVVGDRAEPRSGTIPGVGLVHAPFALLPTRFPASFWKQARELAPIFNDLVDRVSLDGEFLQDSLSRTRQVDDFTSRLLDIHAKMMEVNKEEDIRLGLHRSDYMLDSETNSLLQIELNTISSSFPGLSSLVSELHRTLLNRHGKVLGLDSKRIPQNWVATQFAEALSMAWTEFNNKRDGQTVSVVYFRAGYSPNDYPSEAEWRARLLMEQSSAIKCPSISYHLVGTKKIQQELAKPNILERFLNNKEDIAKLRKCFAGLWSLDNEEIVKTAIEKPDLFVLKPQREGGGNNIYGHDLRETLVRLQKEQGEALAAYILMQRIFPRASLTHLVQGGVCFEDLTISELGIFGAYLRNKDKVVLNNQCGYLMRTKVSSSNEGGVAAGFAVLDSILLTDEYGLET >ONIVA08G17270.1 pep chromosome:AWHD00000000:8:18926401:18929012:-1 gene:ONIVA08G17270 transcript:ONIVA08G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSSAMLKTTTTPPHPLAGEKVPLTAFDRAAFDVFVPLVFAYRAPAPSSEAVKEGLLVAVAAYPLAAGRLAVDVAVDGQGRRRRRRVLHVNDEGVLVLDATVEADLDAVLAANVATDLYPALPEHSFGAALLQVQLTRFRCGGLVVGLIGHHHVFDGHSMSTFCATWARAVRDGEAFIVPSPSLDRAITGVPRSPPAPVFDHRSIEFKVGNKSSDSSGAAAAAVEKIANIGVRFTAKFVAELKARVGGRCSTFECMLAHAWKKITAARGLKPEEFTRVRVAVNCRRRANPPAPADLFGNMVLWAFPRLQVRRLLSSSYRDVVGAIRAAVARVDAEYIQSFVDYVEAADARGEELAATAAEPGETLCPDLEVDSWLGFRFHEMDLGTGSPAAVLSPDLPIEGLMILVPAGGGGGGVDLH >ONIVA08G17260.1 pep chromosome:AWHD00000000:8:18913181:18918877:1 gene:ONIVA08G17260 transcript:ONIVA08G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ICD6] MERKGNPISRHPKNQIQETQGEQWGPTGIVVAGPARGAQPACPRAESYKSRGSTCAHTSASLLSSPLLSSARQPTRNSQPKPRARRGLRVPPARRRRRRRRRRRRLLWGRAPGGEGGSNRVSEGARRGAVMRRSSKKSSSSAAAATAGDVQVNEKQNRKRKGVSTNLTSRKAQCGTTRGVSKEIERIDQLFYTYADSSSGMIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRSGLKALRADTINKLKKAFPELVQEVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLVNYLKINFPSLDNYDSDLAWPLILDNFVEWLRENKS >ONIVA08G17260.2 pep chromosome:AWHD00000000:8:18913181:18918877:1 gene:ONIVA08G17260 transcript:ONIVA08G17260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ICD6] MERKGNPISRHPKNQIQETQGEQWGPTGIVVAGPARGAQPACPRAESYKSRGSTCAHTSASLLSSPLLSSARQPTRNSQPKPRARRGLRVPPARRRRRRRRRRRRLLWGRAPGGEGGSNRVSEGARRGAVMRRSSKKSSSSAAAATAGTTRGVSKEIERIDQLFYTYADSSSGMIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRSGLKALRADTINKLKKAFPELVQEVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLVNYLKINFPSLDNYDSDLAWPLILDNFVEWLRENKS >ONIVA08G17250.1 pep chromosome:AWHD00000000:8:18895582:18912690:1 gene:ONIVA08G17250 transcript:ONIVA08G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT5G35180) TAIR;Acc:AT5G35180] MATITLKPPATAAAAGGEVSEVELSEAGSPDVGSQSSGSGGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIQPIRKGVVSHTLMVEELGRRITSHGELYVLRFYNRLDQTKKGEIACGDPGEARKWVEAFEQAKQQADYDLMTRGVSWNRSQNENELNLDGHRPRVRRYAQGLGKLVRIGKGPEKLLRQSSNLQSHEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANTKGGKGVLLKSVGVVGANPDTVFAVVLSSDKHKRYEWDMLTADLELVETIDGYYDVIPACHKNKPPRHGYEPSTWELRRLNPPGSSTPKCLVTHMLEMSPSFWDRWKRRHNENFDRSIAFALLSQVAGLREYFAANPALTSDLPSTVVKPKQSDSLIIQSELDDSELNDEFYDALARGESFEDEDSDDDDDMIPKAGKVKFKNISWAIAGLAMKPTKASVEKSELVTNSTPVTIDSNHFHGTLRRAKSENDPNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTGLVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVPI >ONIVA08G17250.2 pep chromosome:AWHD00000000:8:18895582:18911310:1 gene:ONIVA08G17250 transcript:ONIVA08G17250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT5G35180) TAIR;Acc:AT5G35180] MATITLKPPATAAAAGGEVSEVELSEAGSPDVGSQSSGSGGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIQPIRKGVVSHTLMVEELGRRITSHGELYVLRFYNRLDQTKKGEIACGDPGEARKWVEAFEQAKQQADYDLMTRGVSWNRSQNENELNLDGHRPRVRRYAQGLGKLVRIGKGPEKLLRQSSNLQSHEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANTKGGKGVLLKSVGVVGANPDTVFAVVLSSDKHKRYEWDMLTADLELVETIDGYYDVIPACHKNKPPRHGYEPSTWELRRLNPPGSSTPKCLVTHMLEMSPSFWDRWKRRHNENFDRSIAFALLSQVAGLREYFAANPALTSDLPSTVVKPKQSDSLIIQSELDDSELNDEFYDALARGESFEDEDSDDDDDMIPKAGKVKFKNISWAIAGLAMKPTKASVEKSELVTNSTPVTIDSNHFHGTLRRAKSENDPNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTGLVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVPI >ONIVA08G17240.1 pep chromosome:AWHD00000000:8:18881263:18886523:-1 gene:ONIVA08G17240 transcript:ONIVA08G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ICD3] MCCSPASLCPPGPTTTPPLRRPPRARCVEGRGAASEYHSAATTRSLCSTPFPHRSPVLRPRRRIPASPSSARVAAALVHTASVAAFNKGSNFIRLFSFKCQRVHLQENKKACSTKFQEDWDKRFNLPRITDIYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNSDDRALLKVIKYSSPNSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECQKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITVPKRVNPNSRMWHRCLTSTGQPDFH >ONIVA08G17240.2 pep chromosome:AWHD00000000:8:18881263:18885710:-1 gene:ONIVA08G17240 transcript:ONIVA08G17240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ICD3] MGTALLDWYLSAMIWSFVGLCRRIWVLTRLLDDNHFELVHILIKMAVSLKSSGSFCSTPPQWLHSTRDRILYGYSHSNAKECTCKKTKRPAPLCVKATSTKVELDFNDPSWKQKFQEDWDKRFNLPRITDIYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNSDDRALLKVIKYSSPNSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECQKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITVPKRVNPNSRMWHRCLTSTGQPDFH >ONIVA08G17240.3 pep chromosome:AWHD00000000:8:18881263:18885710:-1 gene:ONIVA08G17240 transcript:ONIVA08G17240.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ICD3] MGTALLDWYLSAMIWSFVGLCRRIWVLTRLLDDNHFELVHILIKMAVSLKSSGSFCSTPPQWLHSTRDRILYGYSHSNAKECTCKKTKRPAPLCVKATSTKVELDFNDPSWKQKFQEDWDKRFNLPRITDIYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNSDDRALLKVIKYSSPNSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECQKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQIQLEARESRAYDLVILRSLQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITVPKRVNPNSRMWHRCLTSTGQPDFH >ONIVA08G17230.1 pep chromosome:AWHD00000000:8:18878364:18879606:-1 gene:ONIVA08G17230 transcript:ONIVA08G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSDAKSAFSFFCSLLSLPHLSLSILCGDGGYGQRGAEWWPAEVACERAAAATTLASSGGSGSRRRQRASARRPAEQSGGGRVRGGGCRCRRRAVTKRPGDADAVADMPRRRPGEAVAVHWLRHAMFDAAGDDGAAGGGHGRFMDRNKKFSFLSEIMLLQYELTCLTRLNFDDERINSEEASTFMVRSHEDVQVQNLPSVRLHRPPTPRPSAGVGGRVRLWRGGGDR >ONIVA08G17230.2 pep chromosome:AWHD00000000:8:18878364:18879606:-1 gene:ONIVA08G17230 transcript:ONIVA08G17230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSDAKSAFSFFCSLLSLPHLSLSILCGDGGYGQRGAEWWPAEVACERAAAATTLASSGGSGSRRRQRASARRPAEQSGGGRVRGGGCRCRRRAVTKRPGDADAVADMPRRRPGEAVAVHWLRHAMFDAAGDDGAAGGGHGRFMDRLNFDDERINSEEASTFMVRSHEDVQVQNLPSVRLHRPPTPRPSAGVGGRVRLWRGGGDR >ONIVA08G17220.1 pep chromosome:AWHD00000000:8:18877888:18878351:-1 gene:ONIVA08G17220 transcript:ONIVA08G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSADDSAPLGLIVVGGFRWGKRSKGAGGVAGKRLRAAAGIGPTGGTRVVVVLRVADCRPSHHPSSQPRSSLAGYRPLPVAPASSPPPKAAPALFPDRPPDAPAAPALFPCWPCSSRGEEYERERGGRGGERGRVLTWHHDMWGLR >ONIVA08G17210.1 pep chromosome:AWHD00000000:8:18875594:18877498:-1 gene:ONIVA08G17210 transcript:ONIVA08G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGQALGVGVAAECVSVGNGDRGGGRRMELLAVAVEAEECADDEEKEVVAAERNALAGSTPAAEANRRARAASLCGGNGGGGRRRRRLIAVVPLEIEQKVYGLKGISLAFGREEDEVPEKKPRVLAQSNAANLNNKGGYSASPSSADPNRMSERRVRRGSDPIHNRY >ONIVA08G17210.2 pep chromosome:AWHD00000000:8:18876118:18877498:-1 gene:ONIVA08G17210 transcript:ONIVA08G17210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGQALGVGVAAECVSVGNGDRGGGRRMELLAVAVEAEECADDEEKEVVAAERNALAGSTPAAEANRRARAASLCGGNGGGGRRRRRLIAVVPLEIEDRD >ONIVA08G17200.1 pep chromosome:AWHD00000000:8:18871730:18876211:1 gene:ONIVA08G17200 transcript:ONIVA08G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G74680) TAIR;Acc:AT1G74680] MKKRTKKKTERRQRHASQHRPVKKTMALTRRLLIDLSSRRRLFNAGKFSTTHKKKPVLHEAVSLAGFLRCSRALVSWMVAERKMQPSPAAPPAAEHRRRALLRYVVFLAVSLLAFSCWALVSSRIDGAVLAATAGGEHDDHDGIIVRSSTQAEMPASGGNATSRGAVEVGVGTPAAMITRQPSSGETTTTAASAATCDAESALLRVYLYDLPPEFHFGMLGWDGKAAGAAWPDVAGDPRAVPRYPGGLNLQHSVEYWLTLDILSSTTSGDHRRRRPCTAVRVTNASLADVFLVPFFASLSYNRQSKSPHGGHGSGGRSDRQLQGELVRYLARREEWRRWGGADHLVVPHHPNSMMDARRRLSAAMFVLSDFGRYPPDVANLRKDVIAPYKHVVPSLGDGDSPGFEQRPVLAYFQGAIHRKNGGRVRQRLYQLIKDEKDVHFTYGSVRQNGIRRATKGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPFEDVLDYSDFCVFVRASDAVKRGFLLHLLRGISQEEWTAMWRRLKEVAHHFEYQYPSQPGDAVQMIWGAVARKMHLVKLQLHKRGRYQRTLSES >ONIVA08G17190.1 pep chromosome:AWHD00000000:8:18865239:18866327:-1 gene:ONIVA08G17190 transcript:ONIVA08G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVGVKYRPVVFPNGGAAAAAAGKSKATPASATAAVYRECLKNHAASLGGHAVDGCGEFMPSPAADAADPASLKCAACGCHRNFHRRLPEAPPSPPLLALPPPPPPPPPPPPPPQPQQHLPRTAAVAVAVAPQLLLHGSHQRHEQSPETDRVRGPGHHHDDDAAADDDDSEDSEMSDYDDDRSASPLQAPPPVLSPGYLPSATHMLLSLGSASAPAVAASRPHAAAAAMGPPPPPGAATSASRKRFRTKFSPEQKQRMQALSERLGWRLQKRDEAVVDECCREIGVGKGVFKVWMHNNKHNFLGGHSARRSAAAAAAAAPLAPPPVLTDFSINGSSTHAAAADHAAATASGGGGGSPQST >ONIVA08G17180.1 pep chromosome:AWHD00000000:8:18858861:18859849:1 gene:ONIVA08G17180 transcript:ONIVA08G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHCWARDVPNLSVYRVLSEVHFFRSYVHHVAISDTTGEMLRDVYQIPNRRVHVILNGVDEAQFEPDAALGRAFREDLRLPKGANLVLGVSGRLVKGADLPPSTVGRSPPPLLPFLFPFTIGFASPRRVHFSPFPFPSTSESGHQRHHHSRPELSSLCCRSGHLLLPLCLTPPSPDARRPGTLSATNVADPWPPEH >ONIVA08G17170.1 pep chromosome:AWHD00000000:8:18824341:18838375:1 gene:ONIVA08G17170 transcript:ONIVA08G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFLSYAWAIIWASISWIGGPLDTGLVVWWRYREEIGQSEDATRDGNCARLPVYPRAGGTQDSAKRTASRSHHVAAVSLQRRLGEQREQEYVARATRGHRDALQRDRQITECDESGRSGSINAMMKRMVILRRCEPPPPPPPQPAAAVVAAMGGCCGRVRYGECRRNHAARMGGHAVDGCREFLAEGEEGTGGALRCAACGCHRSFHRRVVVVQQCCACDTAAAAAAAGGWEWRDCSPESSSSASSTTAS >ONIVA08G17160.1 pep chromosome:AWHD00000000:8:18822744:18823115:1 gene:ONIVA08G17160 transcript:ONIVA08G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRAENGGWRWRRLTGRRLGAIAFLWQWRAVAAAWRGRVLAAAEPMAMRVGGGGERRRWAMAMGVGGGGGLARSRSRSGGDAGWWRRRGATLMGDGDGSGADAAVRCSHVRIDGRAVRVV >ONIVA08G17130.1 pep chromosome:AWHD00000000:8:18783915:18785331:-1 gene:ONIVA08G17130 transcript:ONIVA08G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTAK >ONIVA08G17120.1 pep chromosome:AWHD00000000:8:18777606:18779648:1 gene:ONIVA08G17120 transcript:ONIVA08G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26870) TAIR;Acc:AT1G26870] MEERNDVNMDKSDEILLPGFRFHPTDEELVSFYLKRKIQQKPISIELIRQLDIYKFDPWDLPKLASTGEKEWYFYCPRDRKYRNSVRPNRVTAAGFWKATGTDRPIYSTEGTKCIGLKKSLVFYKGRAARGIKTDWMMHEFRLPTLTDPSLPKKPIDKNIPLNDSWTICRIFKKTSSMAQRALCQTWGAQLPGTIDPDIFSTLQSVQASQFALESSSCSLQAAATAAHQITSKYALQGNNNNQQQQQQHKPSNPLDGSSCKVINFNCSQSAEVQNSQIILPFEAHTSQKTATPLLFDTQFGQPDQISRFVVDSSVNANGGGISNKSQDPSARKPGSGFSMNSDWDGVARINFPFDLGADSSEDWRSSIPWESFLSPTTVHAEMPN >ONIVA08G17110.1 pep chromosome:AWHD00000000:8:18768062:18773296:1 gene:ONIVA08G17110 transcript:ONIVA08G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAPPSLLFRLRPTPRGGGGMWPLRVVSKRRRLRQLLSPRTGRRRVGEAAVEQLVLRLPETGRRRGDGGFACSCSFDDSNESPQLPPDNKEILDDWSVLRRWDVPWEWPTISLTMVACAYSSVTAAVLGVIFGITNTYRPFSNDIFRYDLKEPFKLENGWLLWAGIGFFAAIISIALAGAAMSFLGGETPERETDSLVLLLPLIGSSNISTACLLGITGVLAPILEETVFRGFLMVSLTMWFSTPISVLITASVFAFAHLTPGEFPQLFVLGIVLGFSYAQTRNLLTPIIIHAMWNSGVILLLTVLQGYNIKELLLAS >ONIVA08G17100.1 pep chromosome:AWHD00000000:8:18767375:18767758:-1 gene:ONIVA08G17100 transcript:ONIVA08G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQLELDAGGGGGGVPSCANCCGGVVATTTARSKNLCARCYRDHLNAVDGTAEAARTRALLASLACDLNVGTFGDAHGGASGFGFKNADRDSARGGHHQVVEVGDDGEGAAVTRLRRRRMVRTCE >ONIVA08G17090.1 pep chromosome:AWHD00000000:8:18764944:18765615:1 gene:ONIVA08G17090 transcript:ONIVA08G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQAAAAGGGGGGGGASMCANGCGFFGSEATKKLCSKCYRDQLKAAPSSPPAAPDLVANEEEEASTAAAAADEQLALCSSGCGFFGSKETNNMCSKCYRDHLKATSPLFSSSSSPATASTTDITVPIAPATTAPTPSLKGKEEEATAAASSSAAAAAKPNRCVACRKKVGLLGFECRCGGTFCSTHRHADKHACTFDFKKSDREKIAKENPLIVAPKITKF >ONIVA08G17080.1 pep chromosome:AWHD00000000:8:18749886:18759620:1 gene:ONIVA08G17080 transcript:ONIVA08G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNARAHHPRGESQEAAATTLAPPPPPPRPTISSAMGSRRARGRRLLAALLAAAFAVALLLLVPRSPRRRPHEYGVVIDAGSTGSRVHVIAYRSSSSSPASALPRIDWARTASMKAAPGLSSFASDPGGAGRSLAPLLEFARRRVPPESWAETDVRLMATAGLRLLDAAVAEAVLDSCRVLLRGSGFQFQDDWATEGMYAWIAANYALGTLGDDSQDTTGIIELGGASVQVTFVTDKPLPPEFSHTLKFGDATYNLYSHSFLQLGQNVAYESLHDMLSTPGHKSMATHLISQAKYRDPCTPRGFSPMEGAVKLPASVLESKVEYRPYAHAVGNFSECRSAALTLLQKGREECRYHECRMGAAFVPDLDGKFLATENFYHTSKFFRLRSKSFLSDLMLAGEKFCRGDWSKIKKEYRSFNEGELLLFCFSSAYIVALLHDTLKVPLDDKMIDVANQIGGVPVDWALGAFIVQKASNQTDMLHPEGEKISPEDGIRHGERPVHHNQGETMSLARRRWDLVEFLRWGASDRRRLHECEATSTRTRERGGTHESSSSHPSTVELMFSVPVLNPNKDHLMLNVSNLPESVEATEAAMNLPSGGVSLPPLSTTHTLLSVRESMGAEEEPLIQTVECRICQEEDNISNLESPCACTGSLKYAHRACVQRWCDEKGDLTCEICHEPYKHGGMIIYCMFFLMRLSSSSLVYSGGWTITGTTFDLRDPRIIAMAQNHIMEADYDDYSVTNASSAAFCRSAALILFLLRVAGFLLPFYIMAWAINILQGRRQRQVAAALAATEVAFILQSGQRRGMNFTIAPDSPATPQHEPIP >ONIVA08G17080.2 pep chromosome:AWHD00000000:8:18750276:18759618:1 gene:ONIVA08G17080 transcript:ONIVA08G17080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRARGRRLLAALLAAAFAVALLLLVPRSPRRRPHEYGVVIDAGSTGSRVHVIAYRSSSSSPASALPRIDWARTASMKAAPGLSSFASDPGGAGRSLAPLLEFARRRVPPESWAETDVRLMATAGLRLLDAAVAEAVLDSCRVLLRGSGFQFQDDWATVISGMLHNESVEFLSFVYSGKVRSHRAEEGMYAWIAANYALGTLGDDSQDTTGIIELGGASVQVTFVTDKPLPPEFSHTLKFGDATYNLYSHSFLQLGQNVAYESLHDMLSTPGHKSMATHLISQAKYRDPCTPRGFSPMEGAVKLPASVLESKVEYRPYAHAVGNFSECRSAALTLLQKGREECRYHECRMGAAFVPDLDGKFLATENFYHTSKFFRLRSKSFLSDLMLAGEKFCRGDWSKIKKEYRSFNEGELLLFCFSSAYIVALLHDTLKVPLDDKMIDVANQIGGVPVDWALGAFIVQKASNQTEYSDSSVPYLNSYYYSGLVPLLFISAVVLFTACSILRGRRSRLKTVYDMEKGRYIITRRRLHECEATSTRTRERGGTHESSSSHPSTVELMFSVPVLNPNKDHLMLNVSNLPESVEATEAAMNLPSGGVSLPPLSTTHTLLSVRESMGAEEEPLIQTVECRICQEEDNISNLESPCACTGSLKYAHRACVQRWCDEKGDLTCEICHEPYKHGGMIIYCMFFLMRLSSSSLVYSGGWTITGTTFDLRDPRIIAMAQNHIMEADYDDYSVTNASSAAFCRSAALILFLLRVAGFLLPFYIMAWAINILQGRRQRQVAAALAATEVAFILQSGQRRGMNFTIAPDSPATPQHEPIP >ONIVA08G17070.1 pep chromosome:AWHD00000000:8:18733196:18738439:-1 gene:ONIVA08G17070 transcript:ONIVA08G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:polypyrimidine tract-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT3G01150) TAIR;Acc:AT3G01150] MPSGSATQFRYTQTPSKVLHLRNMPWECTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDISIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPRYLLPEHVPTCHLRITFSAHKDLNIKFQSHRSRDYTNPYLPVNPTAIEGIAQPTLGPDGKIKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAMFEKNGGMQALIQYPDITTAAVAKQALEGHCIYDGGYCKLHLSYSRHTDLNVKAHDERSRDYTVSSDPSAQMQAAAQAPGPSTPGVAWQNTAPSASFYGSTAAATPVGQVPAWNPNMQAGAFGSASSAYPTQPMMPGSVPHYPGIGSSSGALPVSFQASHQMPQYGVPPAAPHHAPPAGQPMYFPK >ONIVA08G17070.2 pep chromosome:AWHD00000000:8:18733196:18738439:-1 gene:ONIVA08G17070 transcript:ONIVA08G17070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:polypyrimidine tract-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT3G01150) TAIR;Acc:AT3G01150] MPSGSATQFRYTQTPSKVLHLRNMPWECTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDISIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPRYLLPEHVPTCHLRITFSAHKDLNIKFQSHRSRDYTNPYLPVNPTAIEGIAQPTLGPDGKIKEPESNVLLASIENMQYAVTVDVLHTTSQRQQLLNKLWKGTAYMMEVTAHDERSRDYTVSSDPSAQMQAAAQAPGPSTPGVAWQNTAPSASFYGSTAAATPVGQVPAWNPNMQAGAFGSASSAYPTQPMMPGSVPHYPGIGSSSGALPVSFQASHQMPQYGVPPAAPHHAPPAGQPMYFPK >ONIVA08G17060.1 pep chromosome:AWHD00000000:8:18731135:18732052:-1 gene:ONIVA08G17060 transcript:ONIVA08G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light-harvesting chlorophyll-protein complex I subunit A4 [Source:Projected from Arabidopsis thaliana (AT3G47470) TAIR;Acc:AT3G47470] MASVTARAPVAALRPSASLKSTFLGQSSTRLARAPTTRRNVRAEAKGEWLPGLPSPTYLNGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVLTKIGLIDAPQWYDAGKATYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKSYSLPPHECGYPGSVFNPLNFEPTLEAKEKELANGRLAMLAFLGFLVQHNVTQKGPFDNLLQHLSDPWHNTIIQTLSG >ONIVA08G17050.1 pep chromosome:AWHD00000000:8:18724427:18728941:-1 gene:ONIVA08G17050 transcript:ONIVA08G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoate A/B protein ligase family [Source:Projected from Arabidopsis thaliana (AT3G29010) TAIR;Acc:AT3G29010] MAAGVAGARGAGRPLMRLVTMSGVPILRQLHLEERLLRRTGDNWCIINDGTAPATIVMGVSGRVSELVEIEPVLRDKVPVIRRFSGGGTVIVDQGTVFATFICSKTAIPGLQPFPRDIMSWTGQLYDKVFDGFGEFHLRENDYAFSQRKFGGNAQSITRDRWVHHTSFLWDYDMKNMDYLKIPKRAPDYRLARNHTDFLCRMKEYMPSRSVFTEGIISALGDHFTVEPTEPEAVLSDDTGFKPSTKLLSPQDLEDVIASKESLKIELITVMDRPARAAGRVAALLDLDRLRGRRKTGGSVDGDRRPCTPVTGSSARST >ONIVA08G17040.1 pep chromosome:AWHD00000000:8:18714906:18717303:1 gene:ONIVA08G17040 transcript:ONIVA08G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTSAAWMLHVGGAAAEQASSSSSSKGGGRVVTAGTTTMDTGGYNNGGGGGGGGGNGRGVGDHQESSSSGGGGGQSSRLAARGHWRPAEDAKLRELVALYGPQNWNLIADKLDGRSGKSCRLRWFNQLDPRISKRPFSDEEEERLMAAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLDATTASDVVVAHHHPYAAAHDPYAFTFRHYCFPFPAASPAAADEPPFTCLFPGTAATAGRGGVGGMTWPDAMAAGEVIDDGAGGGRYVVAEPPPPFLVPAAPHGWLGGHEMMVMVNDGGDVAAGVASSYDGMIGRDQGGGGSHFEAAAAAAAAPAFIDFLGVGAT >ONIVA08G17030.1 pep chromosome:AWHD00000000:8:18683895:18686969:-1 gene:ONIVA08G17030 transcript:ONIVA08G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGVMNSLLTKLATLIREECYSKLKGVRNEVVSLEGELRSMEALLEKLACMDELDVQVKEWRDQVREMSYDIEDCIDDFVHRLGKYDVRSGLIKKTTELPRKLRARHQIAKKIEEIKNHVKEVNERRMRYKLDEYTSKSSCEPIDPRVVTIYANTADLVGIDIPRDEVVKLLMGDDEQQLKVASTVGFGGLGKTTLANEVYRKLDGHFHCRAFVSVSQRPDITRLMSKVLSELTGQYNLHIGELDNLLKVIREYLQDKRYLFMCRIFGTGEACSEVFEEISGDILKRCGGLPLAIMSISSLLAGQSKTKWEYVRNSLGSMFERNPTLEDMKHILDFSYRNLPQHLKTCLLYLSIYPEDHTIERNDLVRQWMAEGFISRTHGLDSEDVAQSYFNELINRSMIQPVQVDYNDEVLSCRVHDIMLDFIRSKSAEENFIVVLDHPQVVGHTKRSTESVQYDADEEHGIISTTILGSLSQVRSIAVFRSSFRPSLLELKHLRVLHLELPMREVMDLTGICGLSLLRYLKIRGYYACFKLGMKIRQLLHLETFDLGESFVPRIAIPSDIVHLPCLLHLVIPCGTTLPDGIGSLKSLRTLTSLDLALNSVNNIE >ONIVA08G17030.2 pep chromosome:AWHD00000000:8:18683895:18686969:-1 gene:ONIVA08G17030 transcript:ONIVA08G17030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGVMNSLLTKLATLIREECYSKLKGVRNEVVSLEGELRSMEALLEKLACMDELDVQVKEWRDQVREMSYDIEDCIDDFVHRLGKYDVRSGLIKKTTELPRKLRARHQIAKKIEEIKNHVKEVNERRMRYKLDEYTSKSSCEPIDPRVVTIYANTADLVGIDIPRDEVVKLLMGDDEQQLKVASTVGFGGLGKTTLANEVYRKLDGHFHCRAFVSVSQRPDITRLMSKVLSELTGQYNLHIGELDNLLKVIREYLQDKRCGGLPLAIMSISSLLAGQSKTKWEYVRNSLGSMFERNPTLEDMKHILDFSYRNLPQHLKTCLLYLSIYPEDHTIERNDLVRQWMAEGFISRTHGLDSEDVAQSYFNELINRSMIQPVQVDYNDEVLSCRVHDIMLDFIRSKSAEENFIVVLDHPQVVGHTKRSTESVQYDADEEHGIISTTILGSLSQVRSIAVFRSSFRPSLLELKHLRVLHLELPMREVMDLTGICGLSLLRYLKIRGYYACFKLGMKIRQLLHLETFDLGESFVPRIAIPSDIVHLPCLLHLVIPCGTTLPDGIGSLKSLRTLTSLDLALNSVNNIE >ONIVA08G17020.1 pep chromosome:AWHD00000000:8:18681339:18683378:-1 gene:ONIVA08G17020 transcript:ONIVA08G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLQRLDLRFYVNGWEQQGGTCLPVGIENLPSSGLKIHLYLSHRLNARDVSAEVVERFSARDVGIDPPPPRVHVEPELIPSLMWQGLSANRVGIEVAD >ONIVA08G17010.1 pep chromosome:AWHD00000000:8:18670033:18674040:-1 gene:ONIVA08G17010 transcript:ONIVA08G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSGAINSLLSKLAALMGEEYGKLRGVRKEVASLEDELRSMRALLEKLAAMDELDGQAKEWRDQVREMSYDIEDCIDDFLHQLDKNNGSNGFVHKTVKFLKEIRARHQIGNSIQEIKNLVKEVSERRMRYKIDEYTPNSRHVPVDPRVVAIYSEAAGLVGIDAPRDELLKLLMGEEQGLKVASIVGFGGVGKTTLAKEVYRKLERKFDCGAFVSVSQKPDIPKLLNRILLEVRGQCSVHNTNLDGILNDIINSLRDKRYFIVVDDLWDSFEWNIIRCAFPENKYGSRVLTTTRILSVATTCCSNSQAYIYKMKSLTDQNSRNLFYSRIFGSHEAFPDKFEEVTTNILKKCDGLPLAIISIASLLSGQPYITWEYVNNSMRSMFEGNPTLGGMRQILELSYNNLPHHLKTCLLYVSMYPEDYIIKKNDLVRQWIAEGFVSKISGLDVDDVAGSYFNELINRSMVQPIYTDYNDEVLSCRIHDIMLEIIRSKSAEENFFSVIDDRTVAPGLHTKIRRVSFHYADEEDGVIPASNNRSLSQVRSAAFFKNSFRPSSLEFKYVRVLLLEFPRRWRGKRVDLTGICGFSLLRYLKISHDVKLVLPSQLGGMWHLETIELHTSEELSIPSDIVSLPHLSQLFIPANTVLPNGIGDLKSLRNLEWFDLIKNSMSNIECLGELTNLRDLKLDCSSSEPLEDVTSRIEALRCSLERLSRSSGSLRNIVLLKHFPSWLQVDGLSTLSPPPRHLWKLHLERCLFSRIPSWIVQLRDLHSLKLTIRKALPMDDGVTILACLPSLVHLDLRLLVCPEERVIFSGTGMAFRALKHLLFRCHKPFLDFKACSMPRLQKLELWLDATGWEKCSGTCLPVGIDHLPACLREIHINRDYGADRRDIETAKSALSSLFATHHPGAGLIFGGAPWNYPSNDPDQW >ONIVA08G17000.1 pep chromosome:AWHD00000000:8:18663541:18667269:-1 gene:ONIVA08G17000 transcript:ONIVA08G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPLPLPSSRVSAVDPNVGSTPPSSSPHDDADKLADGTTSLAAGSPDLAARTTTAAVPLPLPSSRALAAGSSGGDGSGDRMRRRTGLRLRQCVASSRGFALPEPKRGASSGSSASAESACGATVLTATGAHVRREAIQMSSWVIGPAPEISELDERAALYRDKRKAMDYVRNWICPGCRKEYEPIKNMLVDLPPFGCVDCGLKNDAAYEWKITQCTLNGISLDFKTYDQKLEPHCTLYAITAVIDCTRRLEGAQKGFVFSAPFDINEMVETYNKRTGFKLGNEPQDKLYETYDNCPIVMEVLKSDGIAILIGASDVTTTPVPRLKIQSYFRVDPKDVLYITRLLAGGFPLVAGIRHGFLFNYLSDGQYYCAPTCENTSDAHAVALIGCGVGSNGNKTETFYKVRNSHGIKAHSHYQKREFGGDFIVWSSDVTDVWGLYLAQHEC >ONIVA08G16990.1 pep chromosome:AWHD00000000:8:18647786:18651246:1 gene:ONIVA08G16990 transcript:ONIVA08G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIRAAAAAAGDMAPPSASDPTLGFLTKRDTEVKLPRATRVKNKTPASVQITAEQILREARSGRSPRSKIADSVELSEYRLRRRKEFEDAIRRARWSVGAWVKYARWEERQGDFARARSVYERALDVAHRDHTLWRGDQLWYKYIHMEQVLGAVANARKVFELWMAWRPDAAGWNSYIKFELRYGEIERVRAIFERFVAEHPQPHTFILYAKFEMKRGEVERARRVYERAADLLADDEHAEVLFVAFAEFEERCREVERARAVYKYALDRVPKGQAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDRIREVYERAIANIPPAEEKRYWQRYIYLWINYALYEELDAKDMERTREVYSECLKLIPHKKLTFSKVWLMAAQFEIRQKNLKAARRILGNAIGMAPKGKIFKKYIEIELYLGNFERCRTLYEKYIEWSPANCYAWMKYAELEKSLGETDRARSIYELAIAQSALDTPEVLWKEYLQFEIDKNEFHRTCELYERLLDRTKDLKASVGLGGEDSQSEEIKNEVSYQQQQIEQVRRCRAVFERAFEYFRTSAPELKEERAMLLEEWLNKEVSFGHLGDVTLVQKKAPRKVKRKRPVPSEDGSTTAYEEYMDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDD >ONIVA08G16990.2 pep chromosome:AWHD00000000:8:18647786:18651906:1 gene:ONIVA08G16990 transcript:ONIVA08G16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIRAAAAAAGDMAPPSASDPTLGFLTKRDTEVKLPRATRVKNKTPASVQITAEQILREARSGRSPRSKIADSVELSEYRLRRRKEFEDAIRRARWSVGAWVKYARWEERQGDFARARSVYERALDVAHRDHTLWRGDQLWYKYIHMEQVLGAVANARKVFELWMAWRPDAAGWNSYIKFELRYGEIERVRAIFERFVAEHPQPHTFILYAKFEMKRGEVERARRVYERAADLLADDEHAEVLFVAFAEFEERCREVERARAVYKYALDRVPKGQAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDRIREVYERAIANIPPAEEKRYWQRYIYLWINYALYEELDAKDMERTREVYSECLKLIPHKKLTFSKVWLMAAQFEIRQKNLKAARRILGNAIGMAPKGKIFKKYIEIELYLGNFERCRTLYEKYIEWSPANCYAWMKYAELEKSLGETDRARSIYELAIAQSALDTPEVLWKEYLQFEIDKNEFHRTCELYERLLDRTKDLKASVGLGGEDSQSEEIKNEVSYQQQQIEQVRRCRAVFERAFEYFRTSAPELKEERAMLLEEWLNKEVSFGHLGDVTLVQKKAPRKVKRKRPVPSEDGSTTAYEEYMDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDD >ONIVA08G16980.1 pep chromosome:AWHD00000000:8:18631550:18636857:-1 gene:ONIVA08G16980 transcript:ONIVA08G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G41760) TAIR;Acc:AT5G41760] MQWYLVAALLTGILTTLSQSNGKYKYDYATIPFLAELFKLSFSSFFLWKECQSSSPPRMTKEWRSIRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAVVLLAVGTTTSQVKGCGDAPCDSLFSAPFQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFERGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSVYLFNVRATLQLFLGIVICIISLQMYFMPVNMLVELPQALPVTSK >ONIVA08G16970.1 pep chromosome:AWHD00000000:8:18626713:18626961:-1 gene:ONIVA08G16970 transcript:ONIVA08G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQQPSTERKETSSAFGGGCCGGGFQMPLHYPRYKKADYEAMPEWRVDCLLREYGLPVDGGVEEKRRFAMGAFLWPDQY >ONIVA08G16960.1 pep chromosome:AWHD00000000:8:18610427:18612313:1 gene:ONIVA08G16960 transcript:ONIVA08G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQLGFLEFTGTNPRNAEKWTTVSPPVSQPMACSSQLDVLAQDSMHNPLSRGIKRKWVDLSLGLGNSSSSSDSSKQSMGTCCTMSSAKDRDDGSSVDLDMNFQFNLYNEGTSKLDSYDCNGKKVLEKPVDLELSLNFGPCESAVTNVDFSAATKQQAVYLQSCNMSSVPTVDEGSTSARWKSGGKLLPYLYQSRNNTGHFSSKELPGSSNQSQDLAPLPKMIQTPQSPVTSTSGVVSFQQRCNSTKICSQPGCAKGARGSSGRCIAHGGGRRCQREGCKKGAEGKTIFCKAHGGGRRCEHLGCTKSAEGRTDFCIAHGGGRRCSRDGCRKAARGKSGLCIKHGGGKRCQKLNCTKSAEGQSGFCIAHGGGRRCKHDGCTKGAQGSTNFCKAHGGGKRCTHPNCSKGAEGSTALCKAHGGGKRCSAEGCPKSVHGGTEFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCQFTGCSKSAQGSTDFCKAHGGGKRCLWGQSGSGLGDGSGTCERFARGKKGLCVAHNALVEDSRVRGGQTVGTIALPGSTGADSDVSHGTLPGNSFNFGETFAANTKQALHHVQSPVPEGRVHGGNIAAMLANSMDYQKQLNFSTGASTSDRNWL >ONIVA08G16950.1 pep chromosome:AWHD00000000:8:18601678:18602987:1 gene:ONIVA08G16950 transcript:ONIVA08G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKQPGDVPVSAAASEADLAQLSIAITAGEDLGPLVRCVFTCRCPEPLLASLWAAARNRETEIEELCRAHFHDFICAIDNLRSLADADALKGSLSGSHAVLLSFAALLLASLESFLVARGLAGNLSSALASSRRRVRLLVLANRANAHLQGGNHNLYLALRAVPLTATSPSAPPHPPPHGAQPRPPHPCPRRHPRRECSGAAAAVVLLQSRRMEEKMGRWDWHVGRERRREDMEQLSSSCWRSIEYKKIAKCDKKLLQTRSR >ONIVA08G16940.1 pep chromosome:AWHD00000000:8:18594266:18595471:-1 gene:ONIVA08G16940 transcript:ONIVA08G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKEYSKGDSRYVARDPATVTVEAITAVLEGPGLLLSVYGFSHLVF >ONIVA08G16920.1 pep chromosome:AWHD00000000:8:18573895:18574350:-1 gene:ONIVA08G16920 transcript:ONIVA08G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKDAEPNATLAGFDVIDGIKSELERSCPATVSCADVLALAARDAVAMLGGPSWGVLLGRKDSLTASIDMAKEDLPNPKDSLAELIRMFKEHDLDERDLTALSGAHTVGMAHDCXRALPCCRPGPRRRALSYSPEHERARSLGTRHAHA >ONIVA08G16910.1 pep chromosome:AWHD00000000:8:18565218:18573699:-1 gene:ONIVA08G16910 transcript:ONIVA08G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IC95] MSFRCKGGVAWVALLVAVAALASAAQGFPNPFGHEDFTESYYDETCPNAQSIVRSVMERHAAANPRTAPAILRLFFHDCFVNGCDASILLNATDSMESEKDAEPNATLAGFDVIDGIKSELERSCPATVSCADVLALAARDAVAMLGGPSWGVLLGRKDSLTASIDMAKEDLPNPKDSLAELIRMFKEHDLDERDLTALSGAHTVGMAHDCKNYDDRIYSRVGQGGDSIDPSFAALRRQECEQKHDKATAPFDERTPAKFDNAYYVDLLARRGLLTSDQELYTQGCQTGDLVKTYAMNGDVFFADFVRAMVKMGNIRPKHWWTPAEVRLKCSGGVAWVALLVAVAALASAAQGFPNPFGHEEFTESYYDETCPNAQSIVRSVMERHAAANPRTAPAILRLFFHDCFVNGCDASILLNATDSMESEKDAKPNASVVGYDVIEDIKSELERSCPATVSCADVLALAARDAVAMLGGPSWGVLLGRKDSLAARMDMANKDLPRPTDSLAELIRMFKENNLDERDLTALSGAHTVGRTHSCEHYEERIYSLVGQGGDSIDPSFAAQRRQECEQKHGNATAPFDERTPAKFDNAYYVDLLARRGLLTSDQELYTQGCETGDLVKTYAMNGDVFFADFARAMVKMGNIRPKHWWTPTEVRLKCSVANTHY >ONIVA08G16900.1 pep chromosome:AWHD00000000:8:18527095:18528333:-1 gene:ONIVA08G16900 transcript:ONIVA08G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQQQQHAGEAPASAAAAARARLRWTGQLHERFVLAVAELGGADSKPHPRAFLLLLPPLTSLIMGMADRSALFLGAIAGATPKSVLRAMAVPGLTLYHLKSHLQVRACVRHAAGELSPAADQLLANSVCIGSWFLTVLSSLPLLQKYRQAVSRGGNGGGGGSGSLNDRSSSSERQPADHDGDSAADEPRTIAYDGDSDGDAKEALRDSSRSMVQMQREVQRKLQEQIEVKRHLQLRMEAQGRYLQSVLRRAQQVLADHSLASSPEAATTELSELASAVDIECMSSSSPPRHHRQSAATDSCVTTTSSSEAESKAAGSKRLHTSDCTVEQPVQGKRTFNFLQRHTQADQEEEQEEEYAGAEDGSSSEIDLNR >ONIVA08G16890.1 pep chromosome:AWHD00000000:8:18514885:18523270:1 gene:ONIVA08G16890 transcript:ONIVA08G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGVAEEVARLWGELPVRVDWAAVAAQWAAAAAAARAAVVVPAVRALVAVSLAMTVMILAEKLFVAAVCLAVRAFRLRPDRRYKWLPIGAAGAAASSEDDEESGLVAAAAAFPMVLVQIPMFNEREVYKLSIGAACSLDWPSDRVVIQVLDDSTDLVVKVFIVIYFTDISSRIIRSTSSLVIKDLVEKECQKWQGKGVNIKYEVRGNRKGYKAGALKEGLKHDYVKECEYIAMFDADFQPESDFLLRTVPFLVHNSEIALVQTRWKFVNANECLLTRFQEMSLDYHFKYEQEAGSSVYSFFGFNGTAGVWRIAAIDDAGGWKDRTTVEDMDLAVRATLQGWKFVYVGDVKVKSELPSTFKAYRFQQHRWSCGPANLFKKMMVEILENKKVSFWNKIHLWYDFFFVGKIAAHTVTFIYYCFVIPVSVWLPEIEIPLWGVVYVPTVITLCKAVGTPSSFHLVILWVLFENVMSLHRIKAAVTGILEAGRVNEWVVTEKLGDANKTKPDTNGSDAVKVIDVELTTPLIPKLKKRRTRFWDKYHYSEIFVGICIILSGFYDVLYAKKGYYIFLFIQGLAFLIVGFDYIGVCPP >ONIVA08G16880.1 pep chromosome:AWHD00000000:8:18494837:18497503:-1 gene:ONIVA08G16880 transcript:ONIVA08G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQSIHRIHAVHGRRRQKPVVSEALTPPPPPPPSPSPATTTIKSEPRTPLPAALFTTQKKRGQRQPTHPLPSPSSAHESTTNPAIELKMASAVTISSVGAQAGLISKPRNHGFTSYSGLKAASSVSFESGSSFLGRNASLRASVAPRIVPKAKSGSQISPEALYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNTPSQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTDEETEQLTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFVQSELTELPFFASRVKLGKNGVESIISADLEGVTEYEAKALEALKSELKASIEKGIEFVHKQQTAAASV >ONIVA08G16870.1 pep chromosome:AWHD00000000:8:18490321:18493682:1 gene:ONIVA08G16870 transcript:ONIVA08G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease 1 [Source:Projected from Arabidopsis thaliana (AT2G02990) TAIR;Acc:AT2G02990] MAAAARATARVGLALLLAVVGVGAQDYDFFFLVLQWPGSYCDTKQSCCYPRSGKPAADFGIHGLWPNRDDGSYPQNCDPDSEFDPSKVSDLLGSMRSEWPTLACPSNDGIRFWAHEWEKHGTCAAAALGDEHGYFEAALRLRSRLPVLAALRDGGVSPDGGYYTLSQIKGAIQRGVGAEPFVECNRDESGNSQLYQLYFCVDAAGERFVDCPVSPGGRPCGNRIEFPAF >ONIVA08G16860.1 pep chromosome:AWHD00000000:8:18483177:18484982:1 gene:ONIVA08G16860 transcript:ONIVA08G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47530) TAIR;Acc:AT3G47530] MASHLTRALLSSPPSSAAAAATASAAAALLSSTSPLPAARFLQLHAHLLRTGLLLLPLAPTAASAFLSLAAASLPSHRALPVLLHHLALAPETLPSTFRLNAILRSLRGPDALRFLRRARELGRRGNAFSLSIVLGHCRALAHARQLHANVVAEGHSPDALLATSLVSSYAACGDGDSARKVFDEMPVRDTIAWNVLITCYTRNRRTKDALKLFDAMRGGENGAEPDDVTCILLLQACTSLGALDFGEKVWEYAVDHGYGGELKVRNSLITMYTKCGCVDKAYQVFCETPKKSVVTWSAMISGLASNGFGKDAISAFEEMGRSGVAPDEQTFTGVLSACSHSGLVDEGFKFFDIMCYEYQLKPNVHHYGCMVDLMGRAGLLDQAYELVVKDMRVAPDATIWRTLLGACRIHGHIDLGERVINHLIELKAQQAGDYVLLLNTYAAVEDWGKVAEVRKLMKEKGIQTTPGCTTVELNGEIHEFIAADASHPRKAEIYEKLDEINKHLRIAGYVPNVSSELHDLDSEGKECALAYHSEKLAIAFALLVTPQHRPIRLAKNLRVCVDCHNFTKVFSGVYHRLVIVRDRTRFHHFKEFQCSCNDYW >ONIVA08G16850.1 pep chromosome:AWHD00000000:8:18477084:18478220:1 gene:ONIVA08G16850 transcript:ONIVA08G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVRCLGWGSAARSCAGARPARLGRQSRRGGGADAVRRRYRACKGGRARRMTSTEKFSAGEVVAAQRTVEREMEAAARAIPIVVVAGVVAAVPEPATTRLAAKLLLVVLVVEGNREAAWDHKSIHPSDSQGDQL >ONIVA08G16840.1 pep chromosome:AWHD00000000:8:18475948:18476406:-1 gene:ONIVA08G16840 transcript:ONIVA08G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKTTTRRRRRGVLDLEAQFAFFRSQHRHPVNAAAHALLAWPILFTGLLVLHFLPSPPALPLDPALALALAYAAAYVAADRRAGALAGLLLAAGWAASRALAARLGFALAWKAALAIQLFCWTWQFLGHGLFEASKASPCLPLENLT >ONIVA08G16830.1 pep chromosome:AWHD00000000:8:18464804:18466775:-1 gene:ONIVA08G16830 transcript:ONIVA08G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQRLPAGFRFFPTDEELVTYYLARKAMDATFTSAAIRDVDLYTSDPWHLPCDSSAASTGGGGGGECYFFCRRSSKYPSGARVRRATAGGYWKSTGKDKGVYAAAAGGGLVGTKKTLVFYEGRAPRGEKTSWVMHEYSRAPSTNFIRGAQARTHNLLDIIYSEWVICRVFKKQPPIEHWLEMEQEVETTTTTTTVQEHTPNRRRLPPAEAAAAAPPPSGQPWQHTSRRSGDGRAAIDGGNREEEEDEHGLAREESSSPVVISSPSRCTSSPSSRLLNHEHLGASSSDDLPELMEFGDIYGGIAAGGPTDQQASSSNSNSICNFLDEPYYCWNF >ONIVA08G16820.1 pep chromosome:AWHD00000000:8:18459245:18465694:1 gene:ONIVA08G16820 transcript:ONIVA08G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILLLLTVATIDGSTAIAGPATRVLPRLTGRRRRRRRSLRRRETAPVWRVLLHCRRRRRRLHLLLHFQPVFNWRLLLEHPADHPLALHRGNINENTSRTSINV >ONIVA08G16820.2 pep chromosome:AWHD00000000:8:18459245:18464040:1 gene:ONIVA08G16820 transcript:ONIVA08G16820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRLAVTLADLSPAVLFRVPLMALWVTGSIPILANLFFSRRITTTVKDNEKRTSHGNIDT >ONIVA08G16810.1 pep chromosome:AWHD00000000:8:18458623:18460149:-1 gene:ONIVA08G16810 transcript:ONIVA08G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKAINGTLNNTAGDKSAKVTASLSHMAQWESARLEAEARLARESKMRIAASTPSKLHAQSTNPPASTPSPCFDVLNAWQSAKIDLESPTSTLTFAGSNASMLPFSTTTALELSESNSNVWQQRSDELEGEESEWKFVSKQQLQGMHGKETEEHFIGCEESWFPGTANIGAGFTGMLLDGSNMHDTSECWDESSNGQDEQRSQVSEDAENKNYWNGIFSMVNSEQPPLQPPLL >ONIVA08G16800.1 pep chromosome:AWHD00000000:8:18453313:18457451:1 gene:ONIVA08G16800 transcript:ONIVA08G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinanase/levansucrase/invertase [Source:Projected from Arabidopsis thaliana (AT1G26761) TAIR;Acc:AT1G26761] MLTAAPLLSPAPAPAPAPPPPRCHVAIPLRCHAPTQQVAAAAADRGLLLRGAGSPVVKRAPGGWLLWYQCGARVALAASTDGLRWGPPVEPDPLVASTDWWAFDTAAVRPSDVLLFSGPDASARSGFPSSAVYWLYYSGSTDERFGSPFPAAAAAAEDVPALPGLAISQDGRHWARIEGDHHTGALLGVGEEEEGGEPRRGWEARCVAAPKVVLHAEGDLRMYYHSFDEMSQRHAIGLARSKDGVRWRKAGKVLEGGKAGLFDEGGVRHGHVVRDRAAGRYVMVYEGVDANGRVSIGMAVSEDGLKGWRRSSELPILRPSDDDEGWDSTVVGSPCLVQMDGAYDWRMYYTGVGRDGEAAIGMAYSEGHGLQKFEKWDAVLM >ONIVA08G16790.1 pep chromosome:AWHD00000000:8:18450476:18452098:1 gene:ONIVA08G16790 transcript:ONIVA08G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVREDSRPLGGLDGLYGVQLAGRSMYSDDEAVKTSIIDPLAREPQEGVGTSRRLLIRRLWQQRPPCLRPIHCSLSCDKHPGETIANVVTSIPFIVLGLQTPRRCQLTVMCQSCRKNLNTALYANSLIGVGIASSLYHTSRGRIRKYMRWADYTMIATTTLCLSRALRNEHPKLLMAASTLLLPFQPLVVSAVHTGIMEAKMANQVVSFAKRASMEPELRMAHNLHKMSSLLGGALFIADDAFPETPYLHAAWHLAAALGVGTCNKLLE >ONIVA08G16780.1 pep chromosome:AWHD00000000:8:18438705:18442327:-1 gene:ONIVA08G16780 transcript:ONIVA08G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLGGFTKSLAMTVLSEIGDKTFFAAAILAMRYPRKLVLAGCLTSLTVMTALSVSLGWVAPNLISRKWTHHVTTLLFFVFGILSLWEGFKEDGDSEELAEVEAELDANFKSNKAESKSKSKANDDKKKQQRPFVLQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGVLAQALCTTAAVMGGKSLASQISEKMVGLSSGVLFLLFGIMSYLSGPEGEL >ONIVA08G16770.1 pep chromosome:AWHD00000000:8:18433555:18436022:1 gene:ONIVA08G16770 transcript:ONIVA08G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IC80] MQEKANATCRCCSATPAKAQAYSLQRQWIYCREPDAQAFAMADLMKEPTILCLPKLSNLSVFTSLPDMWAIGQMFPIIPIQCLGERPAIDGVLSDLTSDSDGKVDHFISGRHSLPLHELPVHGTRGYYLGGTYQEALGGLHNLFGGPSVHEHEVMFEVFKQRTDGATAAALAKAFGAMPYLSFYPEAAAMARGESSGMSSDSEVSAAGVAEDDDEWEFMRGLIV >ONIVA08G16760.1 pep chromosome:AWHD00000000:8:18433340:18433525:-1 gene:ONIVA08G16760 transcript:ONIVA08G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVPHPSHLNPPGPAPLPPTCHIHDITGGRREGEMDGAWREGKRLIAVEGWVKVMRRGRR >ONIVA08G16750.1 pep chromosome:AWHD00000000:8:18412744:18415319:-1 gene:ONIVA08G16750 transcript:ONIVA08G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAVGGGDGTALLPWPGSAAAATTSGAAAPLYMPPAAAAAAPFAAGEQLPVEQPFYFDGGGGVAGHNHHPHHHQYGMEAPPPLTMMQMGGGGSSSSRMVVSGLLGTLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINGHLARLRSLLPNTTKTDKASLLAEVIEHVKELKRQTSAMMEDGAAGGEAAAAPVVLLPTEDDELEVDAAADEGGRLVARASLCCEDRADLIPGIARALAALRLRARRAEIATLGGRVRSVLLIAAVEEEDPDEAGNDDDGEHGYGVAASHRRHELVASIHEALRGVMNRKAASSDTSSSGAGGGGGSIKRQRMISAHDQQGSFNSSGW >ONIVA08G16740.1 pep chromosome:AWHD00000000:8:18405860:18407265:-1 gene:ONIVA08G16740 transcript:ONIVA08G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IC77] MAMATAACRRPAVMLMAFAMAAAVMMSTVPPASGTTTLQYDFYSSSCPKAEETVRNVVEPMIFNDPTMGAAFIRLFFHDCFVRGCDASILLDPTSSNTQPEKTAIPLRGYDAVNKIKAAVEAVCPGKVSCADILAFAARDSAVVNGNFAFAMPSGRRDGTASSASDVARFIPSPAFHLQDLVDSFAAKGLTADDLVILSGAHSFGLTHCAFVTGRLYPTVDPTMNATFAAALKKLCPPPASGGGGRAVSNNQVTDPNVLSNQYFKNVAAGEVMFTSDQTLTSRDDTKAMVDDNAANPVAWMARFAAAMVKMGGVEVLTGNAGEVRKVCFATNTAS >ONIVA08G16730.1 pep chromosome:AWHD00000000:8:18398308:18400815:-1 gene:ONIVA08G16730 transcript:ONIVA08G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein [Source:Projected from Arabidopsis thaliana (AT1G68660) TAIR;Acc:AT1G68660] MEAAVPSRVALSASRLSNHHHHAVGGERYAIYRGRCPNPAIPMALAAAAAPGKGGGVLDRPIEKVTPGRQSEFDVRKSRKMTPPYRVLLHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIICSQSEAEEHCTSLRGNGLRSSIEPASGGC >ONIVA08G16720.1 pep chromosome:AWHD00000000:8:18383829:18384629:1 gene:ONIVA08G16720 transcript:ONIVA08G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSSSSSPSTLDEYDARFFFPGADAYTAGHRQDEETLEAVLRQPVTTTAAVAAAAAAVEGGGGGGGGGAGGSPAAAAAATRRRPFRTDRHSKIRTAQGVRDRRMRLSVGVARDFFALQDKLGFDKASRTVEWLLTQSKHAINRLTLPDSADAAAAPAFAAAPPPADQHSSAMAAAAALAAKEKGEASSSSTTNASSARARNRDHDGSSPVAPMDERGRRGVELDWTAAAAASTEQPMDGLEYYFQYYNHLEEIMSCDPTTTTDE >ONIVA08G16710.1 pep chromosome:AWHD00000000:8:18377103:18379405:-1 gene:ONIVA08G16710 transcript:ONIVA08G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLCCHCSGMSGTQMTSGGEAVGVPPEPWRWADGGQGWQWKRGRLWVRMWQSVAANPTTQASRDEEESGWLGWGGGDGVTAGLGRKARSCKGEDPSLAGLASTIDVIF >ONIVA08G16700.1 pep chromosome:AWHD00000000:8:18359319:18369381:-1 gene:ONIVA08G16700 transcript:ONIVA08G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIDNATSRQVTFSKRRSGLFKKARELSILCDAEVGLLVFSSTGRLYDFASSSMKSIIERYNETKEDPHLTMNASSEAKEAASLRQQLHNLQEYHRQLLGQQLSGLDVEDLQNLESKLEMSLKNIRLRKDNVMMDQIQELSRKVYKTKSNGHPTGSTIQHSFLITDNEIGPNLELSLPENVEKE >ONIVA08G16700.2 pep chromosome:AWHD00000000:8:18359319:18369381:-1 gene:ONIVA08G16700 transcript:ONIVA08G16700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIDNATSRQVTFSKRRSGLFKKARELSILCDAEVGLLVFSSTGRLYDFASSSMKSIIERYNETKEDPHLTMNASSEAKLWQQEAASLRQQLHNLQEYHRQLLGQQLSGLDVEDLQNLESKLEMSLKNIRLRKDNVMMDQIQELSRKVYKTKSNGHPTGSTIQHSFLITDNEIGPNLELSLPENVEKE >ONIVA08G16690.1 pep chromosome:AWHD00000000:8:18343376:18344995:1 gene:ONIVA08G16690 transcript:ONIVA08G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRPTPPARWGRPLDRGAAADQPPVAKLSPPPIRAPRHCSHLENRAVRPRQPRRRCPGLPFMVHVEDILMM >ONIVA08G16680.1 pep chromosome:AWHD00000000:8:18335904:18337193:1 gene:ONIVA08G16680 transcript:ONIVA08G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISF >ONIVA08G16670.1 pep chromosome:AWHD00000000:8:18324427:18329552:-1 gene:ONIVA08G16670 transcript:ONIVA08G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0IC70] MATAPAPVSLSAAASTVPARLLVGRGAAAAPVARRRARMVVVRAKIREIFMPALSSTMTEGKIVSWSAAEGDRVAKGDAVVVVESDKADMDVETFHDGIVAAVLVPAGESAPVGAPIALLAESEDDLQAALAKAQELSKAQPQQAPPPSDAAAPPPPPPPPAAAPAAPAPVAAGTKGIATPQAKKLAKQHRVDLAKVAGTGPFGRITPADVEAAAGIEPKPKVVPAASAAPVPLSAPAIGAVPQAAELPPVPGATVVPFTGMQAAVSKNMVESLAVPAFRVGYPIVTDKLDELYEKVKSKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNTNINIAVAVAIDGGLITPVLEDADKLDIYLLSQKWKELVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGGIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTLIANLTGVCI >ONIVA08G16660.1 pep chromosome:AWHD00000000:8:18322142:18325863:1 gene:ONIVA08G16660 transcript:ONIVA08G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 (formin homology 2) family protein [Source:Projected from Arabidopsis thaliana (AT3G05470) TAIR;Acc:AT3G05470] MGMAMRCVLVLFSVSPVLLLFNFEMLEVALHLASREKELDTAAVTPSASLSFLSRFRIMLGMNHHRSRGRRHKRCSEAPAPALVPAHQARSEAPAPLVHVPRKGMPSTHRSHIAPARSPVHKVKDGGHTKIPRSAIVALGVVGLCLVVLGVVIAAFSVRRSRKFKKVCTKAFKPFHHGSRDQRSPAATRKVSSHPSPDPLTLSSIVQYQQNLPNLKQSSESKSLSIQSTIPMGTELIVSDHAVINNSQSDEVESFHSIPCSDLSAGSITELPQQICDRRAIMNRSEYFLQTHDSPSDSSYQSLSPDCTSRLSPKDQTFTASSHLSLRSKTCPEKSDGENAEINCHDGLEITCISGSMEHQEAPIEERARINFRNPPSQHIFPPSYRTDTSQSKINIAFTMTNSEVESSSKESSRIETSSSMGIPKPAPPPPPQKNPPPNLKGQCYGQPPPPPPLPLQIQVGKDGSPLPRLKPLHWDKVRAAPNRSMVWNDIRSSSFEFEFDEQMIKSLFAYNLQGSMKDEEAMNKTASTTKHVIEHHRLQNTTILLKTLNANTSQVCNSVIQGNGLSVQQLEALVKMKPTKEEEEKLLNYDGDINMLDPAENFVKVLLTIPMAFPRMEVMLYKENFDGEVAHIKMSFAMIEGACTELKSSKLFLRLLEAVLKTGNRMNVGTLRGGASAFKLDALLKLADIRGTDGKTTLLHFVVKEMARSKGLKALEKLNETPSSCHDTPTEREEYSSMGTEFVSELSNELGNVKKVASIDLDTLRNSISNLSCGLAQLRNLVEKDLASDDKNNNFLQCMKSFLNHAENTMKGLKADEAQVLLNVRELTEYYHGEVSKDESNLLQIFIIVKDFLGLLDKVCREMRGTKHNQTLNLVLPLK >ONIVA08G16650.1 pep chromosome:AWHD00000000:8:18315179:18321963:1 gene:ONIVA08G16650 transcript:ONIVA08G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELAAASAAARRRWVQWEEVVVSNDRGRRLVHYYLRGAAAGGGGGGEVRELAVVGRERSPRHMSYVVQGRFLRSLAAAGGGGGVGAVVAVPSPSRSPLPASAEGGAPRKWRSRREVVDWLSSLVSGCNYGSSSMSNRFNENPYDDIEFTDVAASKDVSHPSSVRNNSKEFTWLGPAWLCEKRWKHYRSFCRKGITISVHNFVYILSEEKKRLIAQVEDLYEDTNSTNVVMVRWFDKVDEVGVELPPDVGDREIFFSPGLQDLSVECIDGLAAVLSAQHFEKFQSSPKHSYWQPYICRRQIDEDGVKPFDVTQLQGYWSQEVLRTMFNAASSLKVRFKVTKGASSSDGAQKRKRDAFSETDPQQCVPSAAFGSDSLKNDLEHKTQKQLYPGSRAEVLSQDSGIRGCWFRCFVLKRRGDKIKVRYEDLQDADETGNLEEWVLLTRIAKPDQLGIRIPERPMVRPYHVHSKDPCSFDAGSIVDAWWNSGWWEGIVLQQGNDRRLQVYFPGEKQIADFCEDDLRHSREWAGGKWNSLGERKDITHLLPPTSVHEEGGLLSKPVSQEGNPSSKLESDKRCDDKSLGTKISHDQKHQKRVLADLTNALKFDNLKWRPRKRSRRSGSKRQSDTSSGSGSSSQGDMEESSPCGSFAVLNSAPDEEVCKSSGEPLFMRVSNLVMSR >ONIVA08G16640.1 pep chromosome:AWHD00000000:8:18295430:18299078:1 gene:ONIVA08G16640 transcript:ONIVA08G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPETVYTGPQRLECGPRKGWPHWLAARKPGRGEHRVPPPTAAAAMAAAAAATARSSVAPHASPTLRRSGSSGSGEAMSRGSLHFLRVLLKILPSLSTLTGIADSVYLLRLQKQARAAAGCIPSTHFSVAMLAC >ONIVA08G16640.2 pep chromosome:AWHD00000000:8:18295430:18299078:1 gene:ONIVA08G16640 transcript:ONIVA08G16640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKGERKKKESTNFAQLNGPARNRLYWAATSRVRPTKRMATLARRKEARPRRASRPTPHRRGGDGRRRRRHRALLGGAARIAHPSPLGLISGSLHFLRVLLKILPSLSTLTGIADSVYLLRLQKQARAAAGCIPSTHFSVAMLAC >ONIVA08G16640.3 pep chromosome:AWHD00000000:8:18295430:18298434:1 gene:ONIVA08G16640 transcript:ONIVA08G16640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPETVYTGPQRLECGPRKGWPHWLAARKPGRGEHRVPPPTAAAAMAAAAAATARSSVAPHASPTLRRSGSSGDRQWIAALPESSFKDITVSLNAYRNCRFGLFASTAKAVRHIQFRLVTLMKEQEEEKEVSVADRDSSNPGRHLHAHFTQKSTLQTAPCAAAASSRPAASLVRFFHLVQFHRVQFTELSTATSVQALFRRLIGPLTDVLAC >ONIVA08G16630.1 pep chromosome:AWHD00000000:8:18285023:18292102:-1 gene:ONIVA08G16630 transcript:ONIVA08G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAFSLPTAVLAWGSGEDGQLGMGGYEEEDWARGVAALDALAVSAVVAGSRNSLAICADGRLFTWGWNQRGTLGHPPETKTESSPAPVDALAGVSIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEAERKEDGTRALRRDIPSPQRCAPKLKVRQVAAGGTHSVVLTQDGHVWTWGQPWPPGDIKQISTPVRVQGLENVKVIAVGAFHNLALTEDGILWAWGNNEYGQLGTGDTQPRSQPIRVEGLSDLSLVDIAAGGWHSTALTKEGEVYAWGRGEHGRLGFGDDKSSHMVPQKVELLAGEDIIQVSCGGTHSVALTRDGRMFSYGRGDHGRLGYGRKVTTGHPMEVPIDLPPPGTSGGDFGGQWQARYVACGGRHTLAIATWTEADDESHSRRSDLAGDAAAATPPPCVAVAEMAGMVAAAAPPASLCRPIAVPRAPMRRRRLTPRVKTTPSLRCEDSPKIAQLQVQAMRSSEESSSDEDDEILSELKEKWDAIENKSSVLFYGGGAIIAVWLSSIVVKAVDSVPVLPNILELVGLGYSGWFVYRYLLFKENREELANGFDALKKRITGNEE >ONIVA08G16630.2 pep chromosome:AWHD00000000:8:18288649:18292102:-1 gene:ONIVA08G16630 transcript:ONIVA08G16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAFSLPTAVLAWGSGEDGQLGMGGYEEEDWARGVAALDALAVSAVVAGSRNSLAICADGRLFTWGWNQRGTLGHPPETKTESSPAPVDALAGVSIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEAERKEDGTRALRRDIPSPQRCAPKLKVRQVAAGGTHSVVLTQDGHVWTWGQPWPPGDIKQISTPVRVQGLENVKVIAVGAFHNLALTEDGILWAWGNNEYGQLGTGDTQPRSQPIRVEGLSDLSLVDIAAGGWHSTALTKEGEVYAWGRGEHGRLGFGDDKSSHMVPQKVELLAGEDIIQVSCGGTHSVALTRDGRMFSYGRGDHGRLGYGRKVTTGHPMEVPIDLPPPGTSGGDFGGQWQARYVACGGRHTLAIATWTEADE >ONIVA08G16630.3 pep chromosome:AWHD00000000:8:18285023:18287537:-1 gene:ONIVA08G16630 transcript:ONIVA08G16630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVAAAAPPASLCRPIAVPRAPMRRRRLTPRVKTTPSLRCEDSPKIAQLQVQAMRSSEESSSDEDDEILSELKEKWDAIENKSSVLFYGGGAIIAVWLSSIVVKAVDSVPVLPNILELVGLGYSGWFVYRYLLFKENREELANGFDALKKRITGNEE >ONIVA08G16620.1 pep chromosome:AWHD00000000:8:18280276:18281862:-1 gene:ONIVA08G16620 transcript:ONIVA08G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNEEHVTLIKEYRGKIEAELSKICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTMVAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGGDEVKEASKGDAGEGQ >ONIVA08G16610.1 pep chromosome:AWHD00000000:8:18269466:18271966:-1 gene:ONIVA08G16610 transcript:ONIVA08G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEKTTRAGSESSGHHWAGYSCSAGEASSPRLPLRHRPPPRDFRRYIIFGCPYRHPKKPKAPAKDKVQEKVPQQTMIASWGMANYVEYNQVKNIFQEIGEIVVARHLAVVDFSTEQAAESALYHFMGYHLMGRPLKLAWFDPKDFAVLRDIPTRGERMPNYLMQTVCVTGFDSSLEIGTIRHALEEIFANDHMKKLVTPVNLDGTSTGKAYIRYDVASSYNGALHCDGVSEIGGRILRVTKWPDFSWCKKRRIGRAGCDKDDAGLAVPDQDDTPKWHTPSTGKRTLFDDGSGDEAGVTM >ONIVA08G16610.2 pep chromosome:AWHD00000000:8:18269466:18271966:-1 gene:ONIVA08G16610 transcript:ONIVA08G16610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEKTTRAGSESSGHHWAGYSCSAGEASSPRLPLRHRPPPRDFRRYIIFGCPYRHPKKPKAPAKDKVQEKVPQQTMIASWGMANYVEYNQALYHFMGYHLMGRPLKLAWFDPKDFAVLRDIPTRGERMPNYLMQTVCVTGFDSSLEIGTIRHALEEIFANDHMKKLVTPVNLDGTSTGKAYIRYDVASSYNGALHCDGVSEIGGRILRVTKWPDFSWCKKRRIGRAGCDKDDAGLAVPDQDDTPKWHTPSTGKRTLFDDGSGDEAGVTM >ONIVA08G16600.1 pep chromosome:AWHD00000000:8:18263678:18268978:1 gene:ONIVA08G16600 transcript:ONIVA08G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSIKTLKGSSFEIDVEPTSKVSDVKKLIEVTQGDNVYPADQQMLIHQGNVLKNDTTLEENKVVENNFIVIMLSKKGSSSAASSTAKEPTKQPSVDRAIPTAPATQPPAPPAPVSEPVTAPVPTATTASAPAAAVTAASTEADNYGQAASNLVAGSNLEGTVQSILEMGGGAWDRDTVMRALRAAYNNPERAVEYLYTGVPEQAEAPAAVQALPVPAAVQPVDPSQAPQSAQLSIPSSGPNANPLDLFPQVLPNASANAGGGNLDVLRNNSQFRGLLSLVQANPQILQPLLQELGKQNPQILQLIQENQAEFLRLINEPAEGAEGNLLDQFAAGMPQTVAVTPEENEAIQRLEQMGFDRDLVLEVFFACNKDEQLAANYLLDHMNEFDDDAPEPPQ >ONIVA08G16590.1 pep chromosome:AWHD00000000:8:18257271:18261672:1 gene:ONIVA08G16590 transcript:ONIVA08G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRIPREYYDERRGFRDGPPPPLARARPISPRRLEEELSSRRAEMRRIHDDNQRLADEIVGLRQAMPRLKEDLHAASQAIPKLRAEKELESRDLTQRNLKLEAELRSLEPLRQDALQLRSEAGKLQSIRQEMTAKVQGLLKELEHQNSENQKIPVMIAERDALRQELVRMRGTLEYEKKARPDLTAQVQAMEKDLVSMAQESEKLRAEIKKRNAPSFSGHGAYGPPMATPGMGLQGVYDDGYPSIGSRYGTGSWAPHDPHGYPQL >ONIVA08G16580.1 pep chromosome:AWHD00000000:8:18237692:18246710:-1 gene:ONIVA08G16580 transcript:ONIVA08G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKSAAAAAATRLAATGRTEGRGRRRRPPIGSRPGEGGSQKARGKGKGSSRSSISHSRRTQGIPVEEGEEEKGKRKSGWGGAISTEKAEPRRRRARRWEERRERFCPWGLMRKAGGAKEDFKGSIGPEGAVDVKQSLVSLGATGRRPGFMIRLCTCTGRPSSLRNLNNSGVSMTCKGCGGESTTDRAGPSCSSKLNTMGLELPRPIDPEVRWKTVNRRQRAARRARTSFSGEDRIRDEIRSFYACGNAPNQELAQDDAPVSESEKFGVSILGRRFSDPVGNVPIKKRRFLMDCSPSPPPTPLLVDPYEKLLSRSCGGISSHGKHHKVKTPRLDYMEETKEHFGVDDFSGISILAAAACTSELDDDTLNVECSKSAHCVERKPENITGSSELNFLNNIKEDMLNSLDASNCKSDPLLESSESVPDTKPVVATRLNCENLVESTHTQKDCSASFSALSSANKADDSSTASDTKSSGVTMSINASNPDKSVGGLQDAVVETKHSNGTRDSRLHWDLNVAMEAWDTDCGGDDVPDAADPDPVAFAISSCSDAENVLNKLQVCQAPFDSTIAGNIPDLSEDKTPVIDAPKDASTKSESDFAGNGSSQPLCSLSPQNVPVLESRPLECDGLSAGTKELPDNNDISKVKSQLGSDPDCSSLPPMTERFALTVIEEKLDVSHASALDCVGLSQMVSTDGCDGINSVQMSELGSRVKPFTSRLVSEESTNLATVTVFNKSSTDLGWSNDKLGQTSQQSISELKNQDLLDVDSGTSKIGQSGHDKVEHVTNELSISKKAADVDDDLDLSDSHMNDNPGSSDRGMSHAHEEEGADATISNNLTCTDSSNALICRIDGACVAPPINSECIKPSTTDMDSIADSQSAEQSYLGKVLSNNFMEHCNETEAPHIIKDLAGTGNIVAEEDDSQYEDGELRESGEYWGDDCYEEVKPANYQVLDCKSDAPGISPFPLGSMSKNTGDRVANFNGKHSRNGGGDVSPAALKRSWSTTCIDDGSGTMCAGSTGEKALSVHLRVNGETRMYEMNPGHVIAGSSATVNQSERVNDGLGDDLSSLRTKPTGWDMLPEDQRHSQHDSRDTVDSSNRCVLSTSDTAGGGESLRHMELSSGDMQPRADRPRSFDRAHINELCRSDDGYGSGSKAERTIDIRKSHERGGASRHIQGSSRVEQWVENSNNSRTTWRKSPDYYNYGLPGPRNAAEAAVAKMQSNGFVVAPDGTLVKAVDTANASKMARRVRNNTLSSSYHPLSGRGSPIDRDGGCGVSRGPAHAREASPERRFSTSGNRSVRYGPDMDKDHANVNMSSARCSLASRQRRFPQHRASLNLSRAHSRSPSGSRSRSPHAWTSPRNRREVMVNGSSSLWRHSRSRSPPNYMTEVRMGRMTSPSRQPGFGDRVMRYSPSSRDRAYSQHASTWADGRNCSTVDLPDHKKRYSRRSPPLRVTSRNDRFDVDSHGQPRSGELYRPTQGRLPYGFERGRGNRHDGNGDDQREYADRYETHSAKPYDRNGATKQFRNHTGDKLRPCISAPRSPEPQRRGSPRRFDRGFGRQLGDKVWNSALAHVCSEPQTKIAELIVPCKDSCSRYLECRDKVEPE >ONIVA08G16580.2 pep chromosome:AWHD00000000:8:18240759:18246710:-1 gene:ONIVA08G16580 transcript:ONIVA08G16580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKSAAAAAATRLAATGRTEGRGRRRRPPIGSRPGEGGSQKARGKGKGSSRSSISHSRRTQGIPVEEGEEEKGKRKSGWGGAISTEKAEPRRRRARRWEERRERFCPWGLMRKAGGAKEDFKGSIGPEGAVDVKQSLVSLGATGRRPGFMIRLCTCTGRPSSLRNLNNSGVSMTCKGCGGESTTDRAGPSCSSKLNTMGLELPRPIDPEVRWKTVNRRQRAARRARTSFSGEDRIRDEIRSFYACGNAPNQELAQDDAPVSESEKFGVSILGRRFSDPVGNVPIKKRRFLMDCSPSPPPTPLLVDPYEKLLSRSCGGISSHGKHHKVKTPRLDYMEETKEHFGVDDFSGISILAAAACTSELDDDTLNVECSKSAHCVERKPENITGSSELNFLNNIKEDMLNSLDASNCKSDPLLESSESVPDTKPVVATRLNCENLVESTHTQKDCSASFSALSSANKADDSSTASDTKSSGVTMSINASNPDKSVGGLQDAVVETKHSNGTRDSRLHWDLNVAMEAWDTDCGGDDVPDAADPDPVAFAISSCSDAENVLNKLQVCQAPFDSTIAGNIPDLSEDKTPVIDAPKDASTKSESDFAGNGSSQPLCSLSPQNVPVLESRPLECDGLSAGTKELPDNNDISKVKSQLGSDPDCSSLPPMTERFALTVIEEKLDVSHASALDCVGLSQMVSTDGCDGINSVQMSELGSRVKPFTSRLVSEESTNLATVTVFNKSSTDLGWSNDKLGQTSQQSISELKNQDLLDVDSGTSKIGQSGHDKVEHVTNELSISKKAADVDDDLDLSDSHMNDNPGSSDRGMSHAHEEEGADATISNNLTCTDSSNALICRIDGACVAPPINSECIKPSTTDMDSIADSQSAEQSYLGKVLSNNFMEHCNETEAPHIIKDLAGTGNIVAEEDDSQYEDGELRESGEYWGDDCYEEVKPANYQVLDCKSDAPGISPFPLGSMSKNTGDRVANFNGKHSRNGGGDVSPAALKRSWSTTCIDDGSGTMCAGSTGEKALSVHLRVNGETRMYEMNPGHVIAGSSATVNQSERVNDGLGDDLSSLRTKPTGWDMLPEDQRHSQHDSRDTVDSSNRCVLSTSDTAGGGESLRHMELSSGDMQPRADRPRSFDRAHINELCRSDDGYGSGSKAERTIDIRKSHERGGASRHIQGSSRVEQWVENSNNSRTTWRKSPDYYNYGLPGPRNAAEAAVAKMQSNGFVVAPDGTLVKAVDTANASKMARRVRNNTLSSSYHPLSGRGSPIDRDGGCGVSRGPAHAREASPERRFSTSGNRSVRYGPDMDKDHANVNMSSARCSLASRQRRFPQHRASLNLSRAHSRSPSGSRSRSPHAWTSPRNRREVMVNGSSSLWRHSRSRSPPNYMTEVRMGRMTSPSRQPGFGDRVMRYSPSSRDRAYSQHASTWADGRNCSTVDLPDHKKRYSRRSPPLRVTSRNDRFDVDSHGQPRSGELYRPTQGRLPYGFERGRGNRHDGNGDDQREYADRYETHSAKPYDRNGATKQFRNHTGDKLRPCISAPRSPEPQRRGSPRRFDRGFGRQLGVDLPRGTKEDNKNPFRYD >ONIVA08G16570.1 pep chromosome:AWHD00000000:8:18231048:18233464:-1 gene:ONIVA08G16570 transcript:ONIVA08G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVLVAIALPVSLALLLVAKAVWVTVSCYYLTPARIRRVLASQGVRGPPPRPLVGNLRDVSALVAESTAADMASLSHDIVARLLPHYVLWSNTYGRRFVYWYGSEPRVCVTEAGMVRELLSSRHAHVTGKSWLQRQGAKHFIGRGLLMANGATWSHQRHVVAPAFMADRLKGRVGHMVECTRQTVRALREAVARSGNEVEIGAHMARLAGDVIARTEFDTSYETGKRIFLLIEELQRLTARSSRYLWVPGSQYFPSKYRREIKRLNGELERLLKESIDRSREIADEGRTPSASPCGRGLLGMLLAEMEKKEAGGNGGGEVGYDAQMMIDECKTFFFAGHETSALLLTWAIMLLATHPAWQDKARAEVAAVCGGGAPSPDSLPKLAVLQMVINETLRLYPPATLLPRMAFEDIELGGGALRVPSGASVWIPVLAIHHDEGAWGRDAHEFRPDRFAPGRPRPPAGAFLPFAAGPRNCVGQAYAMVEAKVALAMLLSSFRFAISDEYRHAPVNVLTLRPRHGVPVRLLPLPPPRP >ONIVA08G16560.1 pep chromosome:AWHD00000000:8:18213994:18216054:1 gene:ONIVA08G16560 transcript:ONIVA08G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFYKEIHIAGSRQITHGQGPHVTIYFCMRPLKRSTCENRWRGDGGHRGGRAPACGSTAPNLRNGDGRSERPQRPASRSAVHRSTRHPHPRERAATTAGRRIRCPCPWEQRRWADPPLPPSGAAVEANGHGDQQENSPPPPSGATAVQGYAALVLGSSGLRQWDGSRSDDDGSDGGCDDDNDGNSCTMTMVVMAAPTTLVVVAAPTTTAVVTSVDGRYP >ONIVA08G16550.1 pep chromosome:AWHD00000000:8:18181441:18185529:-1 gene:ONIVA08G16550 transcript:ONIVA08G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAIAGGRRRTARVMTVDGTTYKYRPPASAAAALRDHPGYQVLESEEVRRLGMRARPLDADAPLKPGKLYFLVELPRLARAPRRTWSGALVHVGGAGERLESMMLSRRSASDVAASPVSSVEAGEGGAVRLRMRLPKAEVARLVKESRDAAEAAEKIMQLCVDRDHSSAPATPVLRRPPPLPLPLPAALVSSDKKKVADKKQKKARFMTDVPDEIIGF >ONIVA08G16540.1 pep chromosome:AWHD00000000:8:18149804:18156312:-1 gene:ONIVA08G16540 transcript:ONIVA08G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEFSVLGAVQKFRSLIAGPTPPAASAAEGEEVTRQTSGPPSPATPTRSRSGAADTTPPLPARPGGGRRAIALRRQISSPQLLRCHAVRRGDGEDDDEPGVQFFTPGNDYLHDFSDTDSLSVSTPNGVARSLTPSPLDSPTWMVGHNDASPTSKRNERLSLDSLGCDTRLNGGIADRSGGDMTRYPADFDANVWLPPSPEDEGDDVEARLFGFDYEDDEAGDSGKLLALGNFNTNKIVGVDTITDIAHKEGLRNAVLGHFRALVAQLLKGECIDLENDNGSKSWLEIVSSLAWLAAGYVRPDTKKGGSMDPTDYVKVKCLASGDPSDSNLVRGVVCSKNVKHKRMASEHVNAKLLILGGALEYQKVTNKLASIDTILEQEKEHLRAIVAKIESRRPNVLLVEKSVSSYAQELLAKDISLVLNVKRPLLDRISRCSGAQIASSIDNIASARLGQCELFKVHKVSEFSSGKQTNRRSMKTLMFFEGCPRRLGCTVLLRGSCREELKKIKRVVQLAVFAAYHLSLETSFFADEGATLPKVPSRPMVVVNDIRSDPSNYFAGSAGVGIPHGLKPVQGKHSEATRVNGMFKENSISPGSLSLNEEGEGVISEHRESKIPVEHMNCHDHDSFHAIESCKGHKIFPCSLDHDIRTSDMVMQYQYLNDSTQLPINDDRQGMVSGKKFQEVDHYGPKPHDDYLMGDADGPNELSGEYFPATDNHQSILVSLSSTCIPKSMLCERSQLFRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKESSESHVRCYTHQHGSLTISVRRLLSQKLPGERDGRIWMWHRCLKCEPKDGVPPATRRVIMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPPSVLDFNCRSRQDWMRRMAVEIYSKMETLHSEVYDFLHHNEKSVTSEDEPVKAGVQRQIIEMKDLLKMERNGYEILLLPVITDSNHSVQVSIDVLELNRLRRGLLLDAYIWDRRLCYIDSLLKKDSHVSNPDIFLDVRLKEWKADLLVGDTKIGKSTNLSQSSGSPRKSLLSREGCLNDTEYRMGETNSQIDLVTHPVDDAEDLDKVFRRFNGETEQPFTTATMGKEPVERLPSLASIFSDKIDLAWTGSSEIQDDLLQGFTKIDEYGSFNFPDNPSYGNSVTPVRIHSFDSKFAIHQRERNGLAPTSLHLSSFRSAEYFGDFTSILKDPMPNIRRACSQRSPGAVEKLNVVLTRTLTYISSASHMIDDGARLLLPQIGYEDDAVIAVYDDEPTSIVSYAMTSQEYVQQVTRKLNSSLSFLHLPNAIDSSHGLDGTLLSQEDHLDSKGTHFKFSFDDESPLSEDKAKFSVTCYFAKHFAALRKKCCPKDIDFIRSLSRCKRWNAQGGKSNVYFAKTLDERFIIKQVTRTELESFVEFAPQYFRYLMESLTSGSPTCLAKIVGVYQVNIKGLKGGREVKMDLMVMENLFFERKISRVYDLKGSLRSRYTSSESKVLLDSNLLEALHTKPIFLGSKAKRRLERAVWNDTSFLATADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNESPTVISPMQYKKRFRKAMSKYFLTVPDQWSS >ONIVA08G16530.1 pep chromosome:AWHD00000000:8:18136873:18137477:-1 gene:ONIVA08G16530 transcript:ONIVA08G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADEASKPPPLPPYPEMILAAIEGLNEKSGSNKSAISKFIEGKYGDLPPAHASLLTAHLARMKESGELIFLKNNYFRADAPDAPPKRGRGRPPKPRDPNAPPPPPKPSSPRPRGRPPKSKDPISDAIPKSRGRPPKKAKTAPAPPPAAGDGSAPVKRGRGRPPKVRPAVPSEAAAA >ONIVA08G16520.1 pep chromosome:AWHD00000000:8:18134092:18135115:-1 gene:ONIVA08G16520 transcript:ONIVA08G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPDLDGLGGGGGGASGSGCRHPARVRVCVGGGDGVCVAPGTSVVVVEVATVSTLSSALRRLSSPFAVPELAVALFPSVAPVLAVAPAGVGMCAMIGASSSVGGSGWLIVIYILLQAEAFGSLVIGCLFCSKSYGSMLQVALSLAISGLKARQKSIGSLSKAPLLLVGWSTFWPSLLIPSSRSRTWFVIRVELGPPVQFRLSGLLEFLRFNDESHGDVLLSPVTLTPNIYGSTTNLDLVPFPWRQPKGINVVTAPPQLPVGLLLFLLFGFIWKVVSIVRLTLFLQGCLCF >ONIVA08G16510.1 pep chromosome:AWHD00000000:8:18117078:18117563:-1 gene:ONIVA08G16510 transcript:ONIVA08G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLAPQENVIITAATDIVAAPMPDPPSLRSSTPPDPACHCGPSAGSTVAEVPHAGYAAPPSSSHGEEEAARRRRRGRGEEADGVDPVRAVTTKRQRRGGRRCRPRRAATMKRQKRGGARSHSLEVAQAGADPPMSTGVKKGDMGSLSAARCLMGVGLAS >ONIVA08G16500.1 pep chromosome:AWHD00000000:8:18105090:18107322:-1 gene:ONIVA08G16500 transcript:ONIVA08G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGAIGRKEEAAGKPEEHSDYRGGGGGASAAMQWQFPATKVGAASSAFMSFRSSAAAAREEDPKEAAVFDRFSLSGFRPPPRPSPGDAFDGAAAMKQRQFGFNGRQQYAAAAQHGHREQGVDSYGVAAPHHFPSPSPSPRHPVPFGHANPMLRVHSLPNVAGGSPYRNQSFSVGNSVAGSTVGVYGGPRDLQNPKVTQMTIFYDGLVNVFDNIPVEKAQELMLLASRASIPSPPSAARKSDSPISAAAKLTVPEALPARQIVVQKPEASVPLVSGVSNPITIVSQAVTLPKSSSSSNDSAGPKSGGLPLAVTPLSQASPSQPIPVATTNASAIMPRAVPQARKASLARFLEKRKERVSSVAPYPSSKSPLESSDTIGSPSTLSKSSCTDITPSTNNCEDSLCLGQPRNISFSSQEPPSTKLQI >ONIVA08G16490.1 pep chromosome:AWHD00000000:8:18097262:18101961:-1 gene:ONIVA08G16490 transcript:ONIVA08G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGERRNQSVLWGGERDWMRPRPTRREQTQQHSSTSTSTSTSTKRARWQGEDDEEDDQINGLPDRLELRRARVPSARLMPQLLYPFVPIKYVISCSIWEQAKDLHFLRPKQEGYLKKDGVKFNDITIMRFGPGQAIELEAYAVKGMRKVHSKWSPVATTAWYRISLSRFNGKRYQLLLSQEAHTLCRECVIMGPSGEQVGLKRVRDHFICKYWNTCHRPPFLNLTPFAEFVAVSDQLSCSNHYLSIVSPG >ONIVA08G16490.2 pep chromosome:AWHD00000000:8:18094730:18101961:-1 gene:ONIVA08G16490 transcript:ONIVA08G16490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGERRNQSVLWGGERDWMRPRPTRREQTQQHSSTSTSTSTSTKRARWQGEDDEEDDQINGLPDRLELRRARVPSARLMPQLLYPFVPIKYVISCSIWEQAKDLHFLRPKQEGYLKKDGVKFNDITIMRFGPGQAIELEAYAVKGMRKVHSKWSPVATTAWYRISLRNDRESD >ONIVA08G16490.3 pep chromosome:AWHD00000000:8:18097262:18101961:-1 gene:ONIVA08G16490 transcript:ONIVA08G16490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGERRNQSVLWGGERDWMRPRPTRREQTQQHSSTSTSTSTSTKRARWQGEDDEEDDQINGLPDRLELRRARVPSARLMPQLLYPFVPIKYVISCSIWEQAKDLHFLRPKQEGYLKKDGVKFNDITIMRFGPGQAIELEAYAVKGMRKGKRYQLLLSQEAHTLCRECVIMGPSGEQVGLKRVRDHFICKYWNTCHRPPFLNLTPFAEFVAVSDQLSCSNHYLSIVSPG >ONIVA08G16490.4 pep chromosome:AWHD00000000:8:18098220:18101961:-1 gene:ONIVA08G16490 transcript:ONIVA08G16490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGERRNQSVLWGGERDWMRPRPTRREQTQQHSSTSTSTSTSTKRARWQGEDDEEDDQINGLPDRLELRRARVPSARLMPQLLYPFVPIKYVISCSIWEQAKDLHFLRPKQEGYLKKDGVKFNDITIMRFGPGQAIELEAYAVKGMRKVHSKWSPVATTAWYRISLR >ONIVA08G16470.1 pep chromosome:AWHD00000000:8:18070865:18076094:1 gene:ONIVA08G16470 transcript:ONIVA08G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATATTSAAPPPPPPPLPASPGGEPHAALLLSLAYLPLRELLSCAGACRRLRDAVAGDPLLWRRVAVAAPPLARRLTDEALLALTGRAGGTLRSLRLLGCTRVSDAGLLRVVERNPGITEEKG >ONIVA08G16460.1 pep chromosome:AWHD00000000:8:18063529:18064236:1 gene:ONIVA08G16460 transcript:ONIVA08G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKVKLQRIINDAKWRAAFKKRLKGLKKKASELSTLCSVDTCLMVYGEGEVQATEVWPSVQEATRVLERFKAMPQLDKYKKTMDLDGFIKERTDKLQEKLHKVRRDADESETKILIVEAFYSRCAGLEDLTIEQLTSLGWMANAQLKIVNNHFQKFHEQGLLPESASLSGMNVPPYTIAGYLAVEEAQMQREGWLMNIARGIGSLGYNGFEGSSGSATAEPNGDMVQHLNKGA >ONIVA08G16450.1 pep chromosome:AWHD00000000:8:18053404:18057195:-1 gene:ONIVA08G16450 transcript:ONIVA08G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVEDQGCLLEGPLASGTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTPEIGQRGSGSSSRPTGPTVNRYNRGAFQAGRGRGRGRGRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >ONIVA08G16450.2 pep chromosome:AWHD00000000:8:18053406:18056020:-1 gene:ONIVA08G16450 transcript:ONIVA08G16450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTPEIGQRGSGSSSRPTGPTVNRYNRGAFQAGRGRGRGRGRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >ONIVA08G16450.3 pep chromosome:AWHD00000000:8:18053404:18057195:-1 gene:ONIVA08G16450 transcript:ONIVA08G16450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVEDQGCLLEGPLASGTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTNRYNRGAFQAGRGRGRGRGRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >ONIVA08G16450.4 pep chromosome:AWHD00000000:8:18053406:18056020:-1 gene:ONIVA08G16450 transcript:ONIVA08G16450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTNRYNRGAFQAGRGRGRGRGRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >ONIVA08G16440.1 pep chromosome:AWHD00000000:8:18052234:18052774:1 gene:ONIVA08G16440 transcript:ONIVA08G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMLEEQGESRRVVRLEQEVLIHIQVRRIKQEDEKARGLLQRLQLLEMRPTATAVIGFREPARPPSPSPLCRAGCIVLVFLFDPFLAGIASDKNAEVTSASKADEAKRED >ONIVA08G16430.1 pep chromosome:AWHD00000000:8:18047244:18048160:1 gene:ONIVA08G16430 transcript:ONIVA08G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0IC35] MAGRGKAIGSSAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGAVTIANGGVMPNIHNLLLPKKAGSSAKAAAADDE >ONIVA08G16420.1 pep chromosome:AWHD00000000:8:18040540:18043426:-1 gene:ONIVA08G16420 transcript:ONIVA08G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRRRDVLLLLLLLVLVARAAAADMAGDRAALLALRDAVGGRHLPWDPSAPTPCGGAWRGVGCSASGDRVTELRLPGKSLRGAVPVGTVGNLTALRTLSLRMNAISGGIPADIGGCVQLRSLNLSGNRLAGGLPEGLFSLALLEKVDLSGNRLTGGVSPEFSRLASLTTLNLDRNGFDGTLPGNLTLPKLARFNVSYNGQLGGAVPASLAGMPASAFLGTSLCGAPLAPCANPSPTPPSPPGDSKGGGKLSRGAIIGIVLGAVAALVVALTVGFLACFRRRATAPRSRSTAAAAAAHDVAEPITVTVARTDMDAAVKQSHSPPPPGEGSTKLVFVGGAPERPYDLDTLLRASAEVVGKGAAGTTYRATLDGGEPVLAVKRLREVSLSEREFRDRVAAIGAVRHDSLPRLLAYFYSREEKLLVYEFVVGAGSLAALLHGNGEKLDFAARARIALAVARGVAFIHRGGPISSHGDIKSSNVVVTATRDAAYVTDYGLAQLVGGAAAPPTTKRGAGYRAPEVVDARRVSQSADVYSFGVLLLELLSGRPPLDATPDGGAAVDLPRWMRSVVQEEWTSEVFDAAIGNEARTEGEMMRLLQLGMECTEHHPDRRPAMAEVEARIERIVEDACRNADSGSTDGSRSMSA >ONIVA08G16410.1 pep chromosome:AWHD00000000:8:18033301:18040795:1 gene:ONIVA08G16410 transcript:ONIVA08G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad4 family [Source:Projected from Arabidopsis thaliana (AT5G16630) TAIR;Acc:AT5G16630] MRTRSQRDGHHAAAAAAAGAETPPSGASGGSGGDVARGGGTPRRRRRASPAAEKGKSPAKVEMESALEDKSKNVKVHAEGYDDAGMTRFGRDGSEKNSLEEEDPDAADMDWEEGIVFAAEHDECYSHELGETVTVEFTDLPSSTEKKTARRLTAEEKELAELVHRVHLLCLLARGRVIDKACNDPLIQASILSVLPQHVLRNSVDTPILKANELRSLVSWFHNTFSVISQSDDKGSFKSNLAFALQSYVGTAEEVCALSVALFRALNLTARFVANLDVACLKPDTKSMGTSNQDEPRLCTKALPSSSFVAGHNKYNNLSPVLSQNNTEGSINTTPKQVKVQGCRKSLSKKLSKCKANQRDSSASLSKDSSSSSQYPSTSSNAEVPRRKGDLEFELQLEMALLASAAKSQDNKLATQLNQSTDSLLSSTPPLKKLRKSEEASSNSSVVWSRNRAPLFWAEVFCGGEASFGRWVHVDVANDIIDGEQKVEAASAVCRKPLRYVVAFAGNGAKDVTRRYCLQWHRIVQGRVNPEWWKSVLAPLERLELAATNNTEEMELQTRALTEPLPTNQQAMHLLSLRYWPTKTIIYMPLRSGFTRTKFFTPKAQCLASVKGILFILDLTLQSRHGWLREGLQVRENELPAKVVTRPKRTFNSQSIQSNSNSNEDGLKPTMELYGKWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRIFQVAKRLGIDFAPAMVGFDYRNTRCLPVFDGIVVCSEFKNTILEAYAEQEERRQAEERKQEEAQALIRWYQLLCSVVTTQRLKDSYKAPSSEHGPEGPSQDVSQQKGTRESRSSETKTRSSRLQADRPFDSPFPVHDHEHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >ONIVA08G16410.2 pep chromosome:AWHD00000000:8:18033301:18040795:1 gene:ONIVA08G16410 transcript:ONIVA08G16410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad4 family [Source:Projected from Arabidopsis thaliana (AT5G16630) TAIR;Acc:AT5G16630] MRTRSQRDGHHAAAAAAAGAETPPSGASGGSGGDVARGGGTPRRRRRASPAAEKGKSPAKVEMESALEDKSKNVKVHAEGYDDAGMTRFGRDGSEKNSLEEEDPDAADMDWEEGIVFAAEHDECYSHELGETVTVEFTDLPSSTEKKTARRLTAEEKELAELVHRVHLLCLLARGRVIDKACNDPLIQASILSVLPQHVLRNSVDTPILKANELRSLVSWFHNTFSVISQSDDKGSFKSNLAFALQSYVGTAEEVCALSVALFRALNLTARFVANLDVACLKPDTKSMGTSNQDEPRLCTKALPSSSFVAGHNKYNNLSPVLSQNNTEGSINTTPKQVKVQGCRKSLSKKLSKCKANQRDSSASLSKDSSSSSQYPSTSSNAEVPRRKGDLEFELQLEMALLASAAKSQDNKLATQLNQSTDSLLSSTPPLKKLRKSEEASSNSSVVWSRNRAPLFWAEVFCGGEASFGRWVHVDVANDIIDGEQKVEAASAVCRKPLRYVVAFAGNGAKDVTRRPTKTIIYMPLRSGFTRTKFFTPKAQCLASVKGILFILDLVFRHCNQDMDGCGRVVTRPKRTFNSQSIQSNSNSNEDGLKPTMELYGKWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRIFQVAKRLGIDFAPAMVGFDYRNTRCLPVFDGIVVCSEFKNTILEAYAEQEERRQAEERKQEEAQALIRWYQLLCSVVTTQRLKDSYKAPSSEHGPEGPSQDVSQQKGTRESRSSETKTRSSRLQADRPFDSPFPVHDHEHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >ONIVA08G16410.3 pep chromosome:AWHD00000000:8:18033301:18040795:1 gene:ONIVA08G16410 transcript:ONIVA08G16410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad4 family [Source:Projected from Arabidopsis thaliana (AT5G16630) TAIR;Acc:AT5G16630] MRTRSQRDGHHAAAAAAAGASGGSGGDVARGGGTPRRRRRASPAAEKGKSPAKVEMESALEDKSKNVKVHAEGYDDAGMTRFGRDGSEKNSLEEEDPDAADMDWEEGIVFAAEHDECYSHELGETVTVEFTDLPSSTEKKTARRLTAEEKELAELVHRVHLLCLLARGRVIDKACNDPLIQASILSVLPQHVLRNSVDTPILKANELRSLVSWFHNTFSVISQSDDKGSFKSNLAFALQSYVGTAEEVCALSVALFRALNLTARFVANLDVACLKPDTKSMGTSNQDEPRLCTKALPSSSFVAGHNKYNNLSPVLSQNNTEGSINTTPKQVKVQGCRKSLSKKLSKCKANQRDSSASLSKDSSSSSQYPSTSSNAEVPRRKGDLEFELQLEMALLASAAKSQDNKLATQLNQSTDSLLSSTPPLKKLRKSEEASSNSSVVWSRNRAPLFWAEVFCGGEASFGRWVHVDVANDIIDGEQKVEAASAVCRKPLRYVVAFAGNGAKDVTRRPTKTIIYMPLRSGFTRTKFFTPKAQCLASVKGILFILDLVFRHCNQDMDGCGRVVTRPKRTFNSQSIQSNSNSNEDGLKPTMELYGKWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRIFQVAKRLGIDFAPAMVGFDYRNTRCLPVFDGIVVCSEFKNTILEAYAEQEERRQAEERKQEEAQALIRWYQLLCSVVTTQRLKDSYKAPSSEHGPEGPSQDVSQQKGTRESRSSETKTRSSRLQADRPFDSPFPVHDHEHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >ONIVA08G16400.1 pep chromosome:AWHD00000000:8:18027162:18032276:1 gene:ONIVA08G16400 transcript:ONIVA08G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAAAGEGPQLCVFDLRRGQQEGQELDKILFFHPTDCPILLQLSVIGLCEGIITFARIFSPDDDCEVIESEKHSHVFYQAEADIWMVLVVEKNKDIESTWRCGALQGILKEVHSLFTMFHGPIRTLLDRQPSAELARGHLRTFFTDYLSDFNAGKKIQLPTFRDCLKERGTVQMLTISREVALEVQSLTTVLGSCLGNVMCQSLVLFEDLLVSTTLPPDDTLNLYTYAILRLTPRALLSNATSWSYLRKGTSVHAGPTSSSSNGTASVERPLQREKLYKGKDGFVAAGSTTSEVRGAVAWVPILWFQQAEDRMHLCVYQHKNITILLLIPASSLINGDDGIAHVKRHLLENASQNIVTLELKLSRGWGGENAYHVGGYRYLLVDPDRKVSRASPPGKVTTLSKDSLLSLNRLREEIDLEKSRAKRSDSCHDKDFEVCIRAKNNAWVIAKVTRGRELYMALEKAGTVKEHSLQTRTPK >ONIVA08G16400.2 pep chromosome:AWHD00000000:8:18027162:18032276:1 gene:ONIVA08G16400 transcript:ONIVA08G16400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAAAGEGPQLCVFDLRRGQQEGQELDKILFFHPTDCPILLQLSVIGLCEGIITFARIFSPDDDCEVIESEKHSHVFYQAEADIWMVLVVEKNKDIESTWRCGALQGILKEVHSLFTMFHGPIRTLLDRQPSAELARGHLRTFFTDYLSDFNAGKKIQLPTFRDCLKERGTVQMLTISREVALEVQSLTTVLGSCLGNVMCQSLVLFEDLLVSTTLPPDDTLNLYTYAILRLTPRALLSNATSWSYLRKGTSVHAGPTSSSSNGTASVERYRSRSRDTSPGGQNQMHHYFRPLQREKLYKGKDGFVAAGSTTSEVRGAVAWVPILWFQQAEDRMHLCVYQHKNITILLLIPASSLINGDDGIAHVKRHLLENASQNIVTLELKLSRGWGGENAYHVGGYRYLLVDPDRKVSRASPPGKVTTLSKDSLLSLNRLREEIDLEKSRAKRSDSCHDKDFEVCIRAKNNAWVIAKVTRGRELYMALEKAGTVKEHSLQTRTPK >ONIVA08G16390.1 pep chromosome:AWHD00000000:8:18009617:18015868:1 gene:ONIVA08G16390 transcript:ONIVA08G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSTTPDLSLHISLPSGGAAVGTTAPPGLGNGGAVGGAGGGKAAGGGDPWRRLDGSTASTELSLSTPQQQQEGSTSAADVLPWRLRQPTAAAASVPVTLPTIPMDGSAAAARAPIRGVPVYSGGGGGGHPFLGGGGGDHRHNRLYNPYHSTAWPSSSLCSTSPAPAPPPPPAALDPTTSSLLSPSAYHRMLSSTGRLHGVLADTLRGYAGAAAVAGSIGYGSAAAAAAAMGGYGGAGAGGGFASSRFMPRLLPASRRSIRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAAASGPMDGSGSGSGSGDDELLAGDGRQATSSGADADRRMSFTEHRSSSEGAASHAGGGGDGDCSSSAVNSDTIRARSNSPRDLWLSSSVCNMDPQHLVTVEDMEPCRSSSLQVSSHELSSPSLEFTLGRPSWHSIDHD >ONIVA08G16380.1 pep chromosome:AWHD00000000:8:17966821:17974328:-1 gene:ONIVA08G16380 transcript:ONIVA08G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLVSSHLIDLHRAGGVSPSPLPRRIRSSSASGALAAARSTSSPWPDPARLPSSFLLKPAIQASDAILEAASELGQLLGHGDGGEAVNGVIVRADQPWLAAGGGVAGEEGRILPRPWSTSELVAGDRVLRRDLVTCGHVHRSRLSRWLHPPRRSSSPVPPPSPRRILRRPHPTPKLVAGAVSVTMQDPPPSMRPCPMPELASGHVLHAGARRRQPRPPRRSLVAAAAAASAPPTSASSMLLPWWPSFSHLREVDRERSRWDSIPTGYRYIAAPKSKA >ONIVA08G16370.1 pep chromosome:AWHD00000000:8:17956010:17957621:-1 gene:ONIVA08G16370 transcript:ONIVA08G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPPPPPPPPAAGRDWSDLPADMVDIVLCKLELPDFIRAAALDLRRRGVYSFPRTPCLLYIPAAAAANGGSSTRSAELYCLADERPYTVTLPDPPIAERSIVGSSRGWLVTADARSELHLLNPATREQIELPPIATLEQMRPILDAAGDGGDLRGYEVSFYDGDMREYRAPGIYRPDELRDLLNIKAILSCDPSSSSSRRRGGGGGEGGEDGCGGCIVLLIYHVYQQLSFARVGDNKQWHWITTSSYYRSPYSDIAYRDGAFYAMNLLGGIHRYDIHHSRATRTVVLADTLHHAYMAWTPSSGDVWRLTHLPEDEEEEELRTVGFHVYKVDFDSQDVVPIDSLGDEALFIGHNGTFCLSTKDYPALLPNHVYFTDDDEYMYDSRGARRDVGVFSLDSDSSDEIVSPQRWFNWPAPVWIMPSF >ONIVA08G16360.1 pep chromosome:AWHD00000000:8:17950478:17953570:-1 gene:ONIVA08G16360 transcript:ONIVA08G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDAAAASAKAPNGEASGGGGRPVEVEDTLPGVLRSFVDGVCSQGGGGGGGEPLLRRIRAASCETAPRLREASRNSARDLLEWTRRGSGLRAILVISVGTITLIALTGLLIFMFFLLVATTNAVVVSVLMSLAAAGGFLAMFFACLVAVYIGVVSVAVFVISATVISAIVGVMIATGWLGFFWMIWFAARKSMDLTKHSIGVTNSAIQSYSASRHAKQKPID >ONIVA08G16350.1 pep chromosome:AWHD00000000:8:17948153:17950143:1 gene:ONIVA08G16350 transcript:ONIVA08G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IC24] MASLSVPPVPTDPRRDAIDLHRAFKGFGCDATAVTAILAHRDASQRALIRRHYAAVYHQDLLHRLAAELSGHHKRAVLLWVLDPASRDAAVLHQALNGDVTDMRAATEVVCSRTPSQLLVVRQAYLARFGGGLEHDVAVRASGDHQRLLLAYLRSPRYEGPEVVDMAAAARDARELYRAGERRLGTDERTFIRVFSERSAAHMAAVAAAYHHMYDRSLEKAVKSETSGNFGFGLLTILRCAESPAKYFAKVLHEAMKGLGTNDTTLIRVVTTRAEVDMQYIKAEYHRSYKRSLADAVHSETSGNYRTFLLSLVGRDR >ONIVA08G16340.1 pep chromosome:AWHD00000000:8:17939801:17941590:-1 gene:ONIVA08G16340 transcript:ONIVA08G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKHPSSKLSEILRPGKLLHRRRRLVSEFADVGREDALHESDTVKYRVFAGTWNVAGVAPPDDLDLGDWLDAKADSYDIYVLGLQEIVPLNARNVLGPTRSSAAMKWNSLIGDALNRFTTGRRRRDNDDDEGAQRHGQQQQPFRCVVSEQMVGIFVSVWARSGLRRHVRHAAASCVGAGVLGRLGNKGAVSVRFLLHGTSFCFVCCHLASGGKDGDAQLRNADAADILSRTTFRRRTTAAASPAPEELPLPRKILDHDRVVLLGDLNYRIAMDDAEARLLVRAGKWSMLLENDELLLELAEGGSFDGWREGLVTFSPTYKYHLNSDMFYWSIDAAGGGGDKQQQRAPAWCDRILWRGKGMRQASYERCGGYRISDHRPVRALFDAVCELAGGGVGVEHSTAGIASFGHVPLL >ONIVA08G16330.1 pep chromosome:AWHD00000000:8:17919418:17921007:-1 gene:ONIVA08G16330 transcript:ONIVA08G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IC22] MAAAMTMCAAVAVLLVLTSTMAAAAGDGDGDGGGFDYKKALHSGLLYFEAQRSGHLPYNQRVRWRGHSGLADGLQQGVDLVGGYYDAGDNVKFGLPMAFTMTMLSWAAAEFWDEIAAAGERRHVLEAIKWGTDYLVKAHTAADELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDNPGSDVAGETAAALAAASIVFRRSNPRYSRLLLRHAEQLFDFGDRYRGKYDSSIGEVRAYYASVSGYGDELLWAALWLHRATGHRGYLDYAVAMADELGGVGWAVTEFSWDVKYAGLQILAAKVLMDGGDHPAAHAATLEQYRSKAEHYLCACLGKNAAAGDNVNRTAGGMLFVRRWNNMQYVTNAAFLLTVYSRYLRDSGGDTIRCSGGAMATGDELAAMARAQADYVLGDNPAGVSYMVGYGRRFPRRVHHRGASMVSHRADGRFVGCVQGYDRWFRRGGANPNVVAGAIVGGPDDRDRFRDSRDNYMQTEACTYNTAPMVGVFAHLHAQKMAARTANNNADRSMIKRVD >ONIVA08G16320.1 pep chromosome:AWHD00000000:8:17913405:17918099:1 gene:ONIVA08G16320 transcript:ONIVA08G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RbcX protein [Source:Projected from Arabidopsis thaliana (AT4G04330) TAIR;Acc:AT4G04330] MASPRCAAVALLHPAGVAAGGGARRLVLLLDQERPLWGTEVRRRRRRRFSSLETPPRCSKMYVPGFGEGSPEKKAARNLQHFFNYIAVRVVLTQLESYNREAYGELMDFVNRNSLNDADTFCKKLIRESPRHKQLAMRILEVRSAYVKHDFEWDNLKRLSFKMVDEANTKLMRDYVLETSHIEDDN >ONIVA08G16310.1 pep chromosome:AWHD00000000:8:17898155:17908718:1 gene:ONIVA08G16310 transcript:ONIVA08G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELSELADATRQASALLADDDPSELPAQRRGGSSFLTVVALGNIGAGKSAVLNGLIGHPVLPTGENGATRAPICVDLQRDASLSSKSIMLQIDSKSQQVSASSLRHSLQDRLTKAGSFGKGRSEEINVKLCTSTAPPLKLIDLPGIDQRSMDESMIGNYAARNDAILLVIVPALQAPDVASSRALRIARELDSEGSRTIGVVSKVDQVAEDQRALLAVQALLVNQGPRTAADIQWIATIGNSVPIASVQSGVGSESSPETIWKVEVESLKSILLGSPQSKLGREALVDSLAKQIRTRIKVRLPNILNGLQGKSQIIQEELAKLGEQMVQSSEGTRVIALGLCREFEDKFLQHITGVEGGGWKVVASFEGKFPTRIKQLPLDKHFDMKNVKRIVLEADGYQPYLISPEKGLRSLIKGLLELAKEPSILLVDEVHRVLLDIVSATANATPGLGRYPPFKREVIAIGSAALDGFKDEAKKMVIALVDMERAFVPPQHFIRLLQRRMERQRHEDELKSRSTKKVSDAEPSKATSPQTQTKEGSNLQVLGPAGEITAGFLFKKRAKANDWSNRWFVLNERSGKLGYTKKQEERHFRGVINLEECNLEEVLDEEDPTRSLKDPKKPNVPDIGTPGLIFKITHKVAYKNVLKAHKAIILKAESMAEKTEWVTKIRSIVDQKGASATSGLPMRQSHSDGSLMSTSKKDGSLDTMLRKPVDPEEELKWISQEVRGYVEAVLSSLAANVPKLSMSASCINIIDPVEQAVVLCQVEKAKEDMLNQLYTSISVERIEELIQEDHSVKHRREKIKKQSSLLSKVTRLLRIHDNRSATSNWSNDSAGSDSNPGSSGQSGDEWKSAFDPSQDVDPSAAGTNTGSRRIPSRMPPPPPQGG >ONIVA08G16310.2 pep chromosome:AWHD00000000:8:17898155:17908718:1 gene:ONIVA08G16310 transcript:ONIVA08G16310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELSELADATRQASALLADDDPSELPAQRRGGSSFLTVVALGNIGAGKSAVLNGLIGHPVLPTGENGATRAPICVDLQRDASLSSKSIMLQIDSKSQQVSASSLRHSLQDRLTKAGSFGKGRSEEINVKLCTSTAPPLKLIDLPGIDQRSMDESMIGNYAARNDAILLVIVPALQAPDVASSRALRIARELDSEGSRTIGVVSKVDQVAEDQRALLAVQALLVNQGPRTAADIQWIATIGNSVPIASVQSGVGSESSPETIWKVEVESLKSILLGSPQSKLGREALVDSLAKQIRTRIKVRLPNILNGLQGKSQIIQEELAKLGEQMVQSSEGTRVIALGLCREFEDKFLQHITGVEGGGWKVVASFEGKFPTRIKQLPLDKHFDMKNVKRIVLEADGYQPYLISPEKGLRSLIKGLLELAKEPSILLVDEVHRVLLDIVSATANATPGLGRYPPFKREVIAIGSAALDGFKDEAKKMVIALVDMERAFVPPQHFIRLLQRRMERQRHEDELKSRSTKKVSDAEPSKATSPQTQTKEGSNLQVLGPAGEITAGFLFKKRAKANDWSNRWFVLNERSGKLGYTKKQEERHFRGVINLEECNLEEVLDEEDPTRSLKDPKKPNVPDIGTPGLIFKITHKVAYKNVLKAHKAIILKAESMAEKTEWVTKIRSIVDQKGASATSGLPMRQSHSDGSLMSTSKKDGSLDTMLRKPVDPEEELKWISQEVRGYVEAVLSSLAANAVVLCQVEKAKEDMLNQLYTSISVERIEELIQEDHSVKHRREKIKKQSSLLSKVTRLLRIHDNRSATSNWSNDSAGSDSNPGSSGQSGDEWKSAFDPSQDVDPSAAGTNTGSRRIPSRMPPPPPQGG >ONIVA08G16300.1 pep chromosome:AWHD00000000:8:17891737:17896045:1 gene:ONIVA08G16300 transcript:ONIVA08G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRRPVVQRSLISLLLLPVVLLALPTAAAAGAGWAPFRARDLLPLLPRGLAWPAVGSVHSAVDLLPRFVAHVAPEASSAVAWRATCFADNEAVLTLTHSSSAAAAGRNATTAGAAALGGALLRLKTASAQSWTCMDLYVFATPYRIGWDYYITAQEHTFEIKAWEEPGEMEYVKQHGIAIFLMPSGMLGTLLSLIDVIPLFSNTIWGQDANLAFLQKHMGASFEKRTQPWSANIRKEDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVCLKDENGTLWVAESGYENKKGEEVIAIVPWDEWWGMALKDDSNPQVAFLPLHPDVRARFNETAAWEFARSMYGKPYGYHNMIFSWIDTMSDNYPPPLDANLVMAVMSMWTRLQPLYASNMWNEALNKRLGTEKLDLHGIITETGKRGMSFNQLLTIPEQDDWEYSDGKSTTCVAFILSMYKKAGVFAPFTESIQIRDAYMLKIFEDNQTRLPSWCNSGADRLPFCQILGEYKMELPEYNTIEPYANMNENCPSSPPTYTRPLGC >ONIVA08G16290.1 pep chromosome:AWHD00000000:8:17889950:17890297:-1 gene:ONIVA08G16290 transcript:ONIVA08G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERRGDTVALRHGRRGHAATVDSVGADVDLADLKPWPAIVSPPSSPRVLTNILFATVAAPAASARASPAFPLTPRFIVASIGFDAVTLGPTSSLFGAHRRSSPFAIHRRAAPR >ONIVA08G16280.1 pep chromosome:AWHD00000000:8:17885238:17888833:1 gene:ONIVA08G16280 transcript:ONIVA08G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLTLGRGAAETVVRPAFDKVQYWIELLQGKHTSTEEMVNELDILEGLIKDTDSSSAIHTLKAARGQAEDLCASIRDVIDDAKRFARYNHQHVPLRCIRKYTHNLVGKPSICETAERIVKLRSQVRRLQEILTPFVGQGMVPTSAQAGRLQHRHVAHAEGHWEGMEEPKTSLFQYVLGRESHRQMVALVGMPGVGKTSLARYVYEDNKVKGHFNCHAWMTVEESCATKQLLLGMISRLYEEANVRLPDAINIMDEDELSGMIQRFLKQEERRYVIVFDDISRRGQLKLLSDLALPDKNHPNYGRVIVTSRNREVIESCDHTITITQLTSPDDWNLFCYKAFGSSSFSPGEEICQHRERISNLCAGLPLAIDVLSALLAKKDHSQWSSIISELESHGDLGAATEILETSINELPKNMGHKNCLLYFSMFPKSSTVSHNTLVRLWIAEGFIKRQPRQTRQAVAEKYLSDLVDLHVLMVEDSIMIQKKAENEDFCTSCSDGNQQAPERVRRMSIQIEEDDFRQNVSLSKLQTLFISNKIPHVPKLLSSTTALKVLSMQGSLIEEFPKEIGNLTHLRYLNLRDTKISNLPMSLGNLTNLETLNLKGTFVSELPKSILKIQSLRHLLAYRYDAPKKPERQPEAIFGVRVPKGIGQLKQMRTFSVVVADKESKIVKELINLKKLRRLGVLNLRREDGSDLCESIAKMDQLSSISITAMDDEYLDIHNLSVVPPQLQRLYLRGQLQVVPQWFTSLHRLVRLLLSGSSLNEDSINILQSLPQLAELSLIRALNVDQIECQIGGFRNLKILDLDQLNGLVNVTLHGSMRWSLTPETVAEMSKVTFLTGCKYLAQNGTMEFAL >ONIVA08G16270.1 pep chromosome:AWHD00000000:8:17879315:17882038:1 gene:ONIVA08G16270 transcript:ONIVA08G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVPSPQKKLIEVLQREQDILWRILWENIDKVKELTDSTSATLRGPEIESMPKTAKIWLHQVREINRDIEDILEKSPSKTCSSKGYNILSCITQPINFVARQRIYKQVQSLSARIDTIKLRLSLLTNFDDKEAPANPTRYQLDDRQLDMLSLDEAKVIGIGYPKAKVTQLLLDEEKQLRVISIIGSAGVGKTTLARSVYNDKKVQGRFRCHAWITIGAPIPMVDRLKSIMVQIFVEKLEEIPARLDFMDEIQIAEVIGRYLADKSFLVVLDDIWNSDTWDYLKLALPNNGQGSRIIVSTRAQEIGRDCRLASDIQIFEKRPLNEDDAWLLFCNKAFPAIQARCPAELEETGRKIVRECHGVPLLVVTIGGLMLMKEQTVQVWKNVLDNLHKKYLPEFTLPSILWFAYSDLPHHLKCCLLYFIMFPRKYSIKRMTLIRLWMAEGFIKNDQESTLEDTAGRYLTELIDRGMVQVADFYDYGRVKSCSVHDMLREIIILKSTEDNFGIPVTRGVNKVRGNVRRLSIINTNDDFLEDNSCTNLRTLFVFGASSISTTSLHAFLVGFRLLRILDLEGAPVESLPDELPDLFYLRYLSLRNTRIDKLPKSLKKMMNLQTLDLKGTYVSQLPSGITKLESLRHLLAYRYYSGRHPPYYYTLGVTLPRGIGNLKELQKLTYVEANQGNGTIEELGNLTQLRRLGIVKLRERDCMHLCSSVAKMTELLSLSASSLDDEILDLGSLNPAPQCLRRLYLRGPLPGIPSWLHSLKNLVRIRLRWSRLNEDSLKELQSLPLVELALIQAYDGTKLEFTQGFARLEILELDHLTNLEHINLEKSMPGLQKISIRSCDKLLTIPHGIEGLENLKELYLFAMPKNFVESLMTGGVKHRRVEHIPVIRHFNEHRDISLTNL >ONIVA08G16260.1 pep chromosome:AWHD00000000:8:17869410:17876249:1 gene:ONIVA08G16260 transcript:ONIVA08G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIPQRQLFVAGEWRAPALGRRLPVVNPATESPIGEIPAGTAEDVDAAVAAAREALKRNRGRDWARAPGAVRAKYLRAIAAKIIERKSELARLETLDCGKPLDEAAWDMDDVAGCFEYFADLAESLDKRQNAPVSLPMENFKCYLRKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNIVTGLGSEAGAPLSSHPGVDKVAFTGSYETGKKIMASAAPMVKPVSLELGGKSPIVVFDDVDVEKAVEWTLFGCFWTNGQICSATSRLILHKKIAKEFQERMVAWAKNIKVSDPLEEGCRLGPVVSEGQYEKIKQFVSTAKSQGATILTGGVRPKHLEKGFYIEPTIITDVDTSMQIWREEVFGPVLCVKEFSTEEEAIELANDTHYGLAGAVLSGDRERCQRLTEEIDAGIIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLSVKQVTEYASDEPWGWYKSPSKL >ONIVA08G16250.1 pep chromosome:AWHD00000000:8:17848603:17855497:-1 gene:ONIVA08G16250 transcript:ONIVA08G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methylcrotonyl-CoA carboxylase [Source:Projected from Arabidopsis thaliana (AT4G34030) TAIR;Acc:AT4G34030] MLRRFAARRLRPPATAGYPSSAAAAAAAYAHGGGASVLPDGLDRASDAHSRNAAAVGGLLSDLRARVSQVLAGGGAEAVRRNKARGKLLPRERIDRLLDPGASFLELSQLAGSGVYEEALPSGGIITGIGPVHGRLCMFVANDPTTKGGTYYPITVKKHLRAQEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDSIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKISGVSDHFAQDELHGLTLGRNIVKNLHLAAKVPNVQNSACDYQEPLYDVQELRSIAPADMKQSFDIRQVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCAQRNIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCSKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFMWPTARISVMGGIQAAGVLAQIEKNNRKRQGVEWTKDEEEAFKAKVVEAYDKEGSPYYSTARLWDDGIIDPADTRRVLSLCLSAAAKPVPEDTKYGVFRIGEGIVILRREVRGVW >ONIVA08G16240.1 pep chromosome:AWHD00000000:8:17810762:17843548:1 gene:ONIVA08G16240 transcript:ONIVA08G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSARRLLLLAGAAAAIALLLSATAPVAGAEDDGYSYIPGSPRGPQNWGSLKPEWATCSSGKMQSPINLGLLDLTLAPGLGNLNYTYQNANASVVNRGHDIMVRFDGDAGSLTINGTAYQLRQMHWHTPSEHTIDGRRYDMELHMLEPYIIEISKQEGKERVIIGGADPNVAKGQDTVYYRYMGSFTTPPCTEGVIWTVVRKVRTVSLSQITLLKAAVLTGNENNARPLQGVNNREIDLQPNSSNMSSARRLLLLAGAAAAIALLLSATAPVAGAEDDGYSYIPGSPRGPQNWGSLKPEWATCSSGKMQSPINLGLLDLTLAPGLGNLNYTYQNANASVVNRGHDIMLEPYIIAISNQEGKERMVVGGADPNVAKGKDTVYYRYMGSFTTPPCTEGVIWTVVRKVHTVSLSQITLLKAAVLMLASSIYIIHPSSTLHSTQRNTNMHRARLLLVVVTAVVALLLPAPAAGAKEDDQFGYIPGTPRGPENWGSLKPEWATCSSGEMQSPINLGLLDLTLAPGLGDLNYTYRNANATVVNRGHDIMVRFDGGDDAAAAGGLVINGTAYRLRQVHWHAPSEHAVDGRRYDMELHMVHLNTQNQTAVVGVLYAIGAQDEFLHKIVNGGVDPNVAKEHDIVYYRYMGSLTTPPCTEGVIWTIVRKVHTVSLSQLALLKAAVVNGNEKNARPLQDVNNRNIGLFIPLPLINV >ONIVA08G16240.2 pep chromosome:AWHD00000000:8:17810762:17843548:1 gene:ONIVA08G16240 transcript:ONIVA08G16240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSARRLLLLAGAAAAIALLLSATAPVAGAEDDGYSYIPGSPRGPQNWGSLKPEWATCSSGKMQSPINLGLLDLTLAPGLGNLNYTYQNANASVVNRGHDIMVRFDGDAGSLTINGTAYQLRQMHWHTPSEHTIDGRRYDMELHMTAVVGVLYAIGAQDEFLHKIVNGGVDPNVAKEHDIVYYRYMGSLTTPPCTEGVIWTIVRKVHTVSLSQLALLKAAVVNGNEKNARPLQDVNNRNIGLFIPLPLINV >ONIVA08G16230.1 pep chromosome:AWHD00000000:8:17807027:17808530:1 gene:ONIVA08G16230 transcript:ONIVA08G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHGKEGGNVASATEQREKARVVGDAKAKILLLHLIRDVNFDVVAFNPCRWKNNFDVVAFDLWRWKKQ >ONIVA08G16220.1 pep chromosome:AWHD00000000:8:17802845:17803166:-1 gene:ONIVA08G16220 transcript:ONIVA08G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEVTDSGGKSDNADLEKGGSATLGPGKATMTRVSALANLRAADPAALPSALGEVMAMAGGDGRLNVPYAKILFRT >ONIVA08G16210.1 pep chromosome:AWHD00000000:8:17797311:17802241:1 gene:ONIVA08G16210 transcript:ONIVA08G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRVTAESTPRTRATSHPHPRPRPLPRSPNPNPNLPSSLHDSPPHADSSSPRAAPALPSPLTGKRRALIPPQVVYTDESFRPIPEEGLTMVTNDAQLLCSRVNPHSAGWISAVD >ONIVA08G16210.2 pep chromosome:AWHD00000000:8:17797311:17802264:1 gene:ONIVA08G16210 transcript:ONIVA08G16210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRVTAESTPRTRATSHPHPRPRPLPRSPNPNPNLPSSLHDSPPHADSSSPRAAPALPSPLTGKRRALIPPQVVYTDESFRPIPEEGLTMVTNDAQLLCSRVNPHSAGWISAVD >ONIVA08G16200.1 pep chromosome:AWHD00000000:8:17791326:17796361:-1 gene:ONIVA08G16200 transcript:ONIVA08G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPRVSYDASGSCMEERSSFLAPTVRRWRSGGALPRDDAGAEDEGEPVELQPLAASSNYEPGPAFEENSEEAMLDISQTESTELWLIQWPLNQLDASDFHGQEVTLKLHRDGKLSSLDSSSGKSYDLVSFAAQQPDATVFLPSGPEAKAVRYPDPEEPEKPGLGSLTPSSKKSAGSSKKTRSRFSSGSKNRSSQGSALSLGQQSAEPAHKHNQKRKDESGLGHSNVSGKSAEGSQVRGGDSGTTSEVPQTPVEKSKKKNKKVRIAE >ONIVA08G16200.2 pep chromosome:AWHD00000000:8:17791326:17796361:-1 gene:ONIVA08G16200 transcript:ONIVA08G16200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPRVSYDASYEPGPAFEENSEEAMLDISQTESTELWLIQWPLNQLDASDFHGQEVTLKLHRDGKLSSLDSSSGKSYDLVSFAAQQPDATVFLPSGPEAKAVRYPDPEEPEKPGLGSLTPSSKKSAGSSKKTRSRFSSGSKNRSSQGSALSLGQQSAEPAHKHNQKRKDESGLGHSNVSGKSAEGSQVRGGDSGTTSEVPQTPVEKSKKKNKKVRIAE >ONIVA08G16190.1 pep chromosome:AWHD00000000:8:17787009:17787233:-1 gene:ONIVA08G16190 transcript:ONIVA08G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHAGTESAVHAARLGEALGDAGGASRDVGCRGGGEAALPGAGRHLRQADEARVREMLKMHATSIPLLFFPS >ONIVA08G16180.1 pep chromosome:AWHD00000000:8:17779549:17779809:-1 gene:ONIVA08G16180 transcript:ONIVA08G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFRYASSAAAASGPAGPSLATSIYETHLGLAALSWTRTSLGLSLCAVHAPPLVLDHPGVPLSDGVGIYFNKETLAFRIHLRLL >ONIVA08G16170.1 pep chromosome:AWHD00000000:8:17757952:17760805:1 gene:ONIVA08G16170 transcript:ONIVA08G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGALYINPKKFGGGAKPCMIEMVSFLNCLALNKQNDDKCVRQKDLLVACTQAQGGQRMQRRPSITIFSGLRETRACSFPTIRNHFFIMSIALSSLQDSRRYFLPSSLDEMSSREMASIEIIFTCQTGNIRTISI >ONIVA08G16170.2 pep chromosome:AWHD00000000:8:17757952:17761100:1 gene:ONIVA08G16170 transcript:ONIVA08G16170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSVLGRRISWLLVLRLRKGGQRMQRRPSITIFSGLRETRACSFPTIRNHFFIMSIALSSLQDSRRYFLPSSLDEMSSREMASIEIIFTCQTGNIRTISI >ONIVA08G16160.1 pep chromosome:AWHD00000000:8:17753903:17755668:-1 gene:ONIVA08G16160 transcript:ONIVA08G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPRDAGDGDGDGGAVLPISQLWWLLLNAAVFGMVLGRRPDYDGSSSRPSVDFAMTFLCTLVLELLYYPKLSLPGFLICGLLLWIASRELAASGYVELGSADVSESVYEAIMGPVRHILNERKSRKIAAFLLINTAYMFVEFTSGFMSDSLGLISDACHMLFDCAALAIGLYASYIARLPANGLYNYGRGRFEVLSGYVNAVFLVLVGALIVLESFERILEPREISTSSLLTVSIGGLVVNVIGLVFFHEEHHHAHGGSCSHSHSHSHSHSHSHSHSHSHVHGHEDHHNHDHALQGVNHNGACCEHHGDANKSHHHDHHHDSSNEESHHNSLTENSCKENHSHCHGHDHHHHHHHDHSEHHQQSGDHAHQDISNISSDPAILEIPLNSIHTHCSEAHSCNGGLQSSENHNKSRNRHHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLIADPICSVFISIMIVSSVLPLLRNSAEILLQRVPRSLEKDIKEALDDVMKIKGVIGVHNFHVWNLTNTDIVGTFHLHITTEADKSSIREKASDIFHEAGIQDLTIQIECVKR >ONIVA08G16150.1 pep chromosome:AWHD00000000:8:17743240:17753619:1 gene:ONIVA08G16150 transcript:ONIVA08G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene beta/epsilon cyclase protein [Source:Projected from Arabidopsis thaliana (AT2G32640) TAIR;Acc:AT2G32640] MLMPPLPVVRLPLPPSAAHAAPTAQWPRALRHRGGGGIEARGDGRGRGRRGVVCAMAAGEAGPGPGPAPSRTQMIMDKISSGEEVGGAGGAYSYNALKRLDQIWSSICEAQSDSKVPEVVTRVQGPLVDYDLGDGSEIFDVLVCGGTLGIFVATALSYKGLRVGIIERNIIKGREQEWNISRKELMELVEVGILSEEEIEQIISSDFNPTRCAFESKGEIWVENILNLGISPAKLVEIMKERFVSSGGAIFEGKSLSSISVHDDFAVLNLSDGGSLPCRLVIDAMGNFSPIVRQGFPAGSGPTDRTTYMFTYVDPHSGGPKLEELLETFWDLMPAYEDVVLENLDIMRVIFGIFPTYRNRHAISLLRKPVGCTHFSPLTSNALHCFALQVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVSGDLLDARSLQLLNPYMVRPNLSASWLFQRAMSARPQIDVSPTFINELLFANFESMQDVIQFGPLVKTLGLVMITRPQILPSIFKQAGPGVILNWSGHFVMLGYYTFLSTFIDPILRPWVESLPLRNKYQWKRYLEAWKYGAGLDYRQGE >ONIVA08G16150.2 pep chromosome:AWHD00000000:8:17743240:17756002:1 gene:ONIVA08G16150 transcript:ONIVA08G16150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene beta/epsilon cyclase protein [Source:Projected from Arabidopsis thaliana (AT2G32640) TAIR;Acc:AT2G32640] MLMPPLPVVRLPLPPSAAHAAPTAQWPRALRHRGGGGIEARGDGRGRGRRGVVCAMAAGEAGPGPGPAPSRTQMIMDKISSGEEVGGAGGAYSYNALKRLDQIWSSICEAQSDSKVPEVVTRVQGPLVDYDLGDGSEIFDVLVCGGTLGIFVATALSYKGLRVGIIERNIIKGREQEWNISRKELMELVEVGILSEEEIEQIISSDFNPTRCAFESKGEIWVENILNLGISPAKLVEIMKERFVSSGGAIFEGKSLSSISVHDDFAVLNLSDGGSLPCRLVIDAMGNFSPIVRQGFPAGSGPTDRTTYMFTYVDPHSGGPKLEELLETFWDLMPAYEDVVLENLDIMRVIFGIFPTYRNRHAISLLRKPVGCTHFSPLTSNALHCFALQVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVSGDLLDARSLQLLNPYMVRPNLSASWLFQRAMSARPQIDVSPTFINELLFANFESMQDVIQFGPLVKTLGLVMITRPQILPSIFKQAGPGVILNWSGHFVMLGYYTFLSTFIDPILRPWVESLPLRNKYQWKRYLEAWKYGAGLDYRQGE >ONIVA08G16140.1 pep chromosome:AWHD00000000:8:17738966:17742825:1 gene:ONIVA08G16140 transcript:ONIVA08G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKRDVDSYTISGTNKVVRVGDCVLMRPVDSDNQPYVARVEKMELDGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDMQSANTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCDDCKDWFHPSCMSMTIEQAKKLDHFVCSDCVKENGAKRPSHAYAGSTKYEPKAESKRQRR >ONIVA08G16130.1 pep chromosome:AWHD00000000:8:17730223:17731983:-1 gene:ONIVA08G16130 transcript:ONIVA08G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBZ6] MGAPRARRWKLLLPPFHSAKRKPPLPPPPQAPVAVAPAPGKEEEEEEVVPEEFLCPISGAPMADPVILPPGRTYERACVDACAGLSLCPPGASAAAAAIPNDALRAAIRTWCARHGRAPPAPPSAAEAREAALLRAVPAAAARTTTVAAMVPARSSSNLSCSSRASAASTSSSGSSSEMATVEVPRAKEVAELRVAEAEEEMEKEKEVAVLRVAEAEEEKEVAVLRVAEAKEEEEEVVMVVAKVVEKGDEDQVEAAMAVLLRETRESEERRRALCVPRLLAALRRVLHSKRHTPKAHADAAAALANLTNEPENRIPIVRAGAVTALVEVLSLGTASPEACEHAAGALFGLALDEENRAAIGVLGAVQPLLDLFTARDHAPRARRDAGMALYHLSLSAVNQSKLARAPAAAKNLLSIASDSTTAEPMPIRRLALMVVCNLAKCAEGRAALMDTGAVATVSAILSDDTHRSELEELCVAALFGMSRGNPRFRGLARAAGADRPLILISERAPAGVHKEMARKALRVVLGLGDDSERDLPGFMNSERNVNGIAGSSVPVHRRRAASWAAAPPPAPTPPNAHQWRSVCID >ONIVA08G16120.1 pep chromosome:AWHD00000000:8:17721710:17726465:-1 gene:ONIVA08G16120 transcript:ONIVA08G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAAVGGGGGFQELFDSVRRSISFRPGGSAAAATLDEPASASGGGGFRGRISTCLRKSRGMGLLGMVASKSPSPPRRRLLPPPPSPPPAPTKSLSPQPAAAAAVVSQGGDGAGGIGGEEENPPIRWRKGDLLGSGAFGSVFLGMDLDSGELLAVKQVLIGSSNATREKAQGHIRELEDEVKLLKNLSHPNIVRYIGTVREENSLNILLEFVPGGSIQSLLGRLGSFPEAGANILVDNKGCIKLADFGASKQVEKLATTAKTMKGTPYWMAPEVIVGSGHDFSADIWSVGCTVIEMATGKTPWNQEIQEVSLLYYVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLLHPFVTGGLEDLHQETSELPAYDMLTGDLGLNHSGNPSNLNSYKSSDVRPIWDGHNSDDMCQFADNDVVMIGSSFNPMSEPFDDWESPEQRSSQSREFGGLAKHVENNMSENDFTFPCEGGCEEDDELTESKIKEFLDEKAIDLKKLQTPLYEFYNTVNAGLSQGVGETCQVDNITDLQLPLQGRSPPIQMEGVAAVGPTSDVLKSASPKSCTRRFSRSGVENGRILREIASPQLNKFEDKVHDIQENPSISFSERQKKWKEELDQELEIGRVMRLGSFGKAPSPKSRGMTRKRDRVY >ONIVA08G16110.1 pep chromosome:AWHD00000000:8:17719896:17720075:1 gene:ONIVA08G16110 transcript:ONIVA08G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCGEIRRGEHTQDKEDDDDQGGGYPDEDHRSPKRKRRDRGPCYNCGKTGHIARDCRG >ONIVA08G16100.1 pep chromosome:AWHD00000000:8:17719337:17719888:1 gene:ONIVA08G16100 transcript:ONIVA08G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSPTPAPLLPVAPLDGGGGYLRWKESVLLRLRTLDLAYVLSEHQPEDGRSDSAKKKWARDDELCRGHILATLSDRLLPDYAHHATAAAAWRALARTYDMDGKLPNLPLDRFFAYRFVDGEPVLEQLAHLEAMGVAGKLDDRTMYGLVHQKLPPALVKAIALASPPVPGPSIHGPHLGHGST >ONIVA08G16090.1 pep chromosome:AWHD00000000:8:17713191:17714681:1 gene:ONIVA08G16090 transcript:ONIVA08G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHALDFCTFRANSQHVLALIMATTVRPLNGADGYLRWKESMLLRLHTVGVAYVLFDDPPPAPAPGPASGEEESAAAAAAAAAARRKRARDDAVCRGHILTALSDRIFPDYVRHGTARAAWEAVARTYDGAGASDVARRMLDDLEFFDDDGGGGGAPATLLEQIAHAEALAAAMDSPPSDGALAHALCKKLPQEVAIAAIMRSSGGGGGATMGDVWHVARIMEGFRVCREGMEELHGKCGNCGEPGHHAGDCMG >ONIVA08G16080.1 pep chromosome:AWHD00000000:8:17707830:17708483:1 gene:ONIVA08G16080 transcript:ONIVA08G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATNVNVKPLNGAEGYLRWKESMLLRLHTVGVAHVLSDDPPPPAGVEEEDGDAAARRMWARDDAVCRGHILAALSDRIFPDYVRHRTARDAWDAVARTYDNADAASAVTQRMLYDDLALDGAPLLERIARAEALNAATRVTLSLSDAELAELLCQTVLPANAAAAIRSGAATMRNVWRVARIMEAQRIRREDEALHGKCRKCGRSRYHGCNCMR >ONIVA08G16070.1 pep chromosome:AWHD00000000:8:17707763:17714236:-1 gene:ONIVA08G16070 transcript:ONIVA08G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSESAVRMWPRHTASSRALFLLAAAAAAAAAALSSSPLAGPGAGAGGGSSKRTYATPTVWRRRSIDSFHRRYPSAPLSGLTLTFVAAAAIALDQTENLAETNQSRRGVSKQ >ONIVA08G16060.1 pep chromosome:AWHD00000000:8:17705860:17706486:1 gene:ONIVA08G16060 transcript:ONIVA08G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVIHTAAVAHVLSDEPPPPPPAAAAAGVKEENGEAEAEAAAAAAAARRKWARDDAVCRGHILAALHDRIFPDYVRHGTARAAWEAVARAYDGAGALSAGVARRAFDDLEFYANSPLLEQIAHAEALNAATRLPLGDEDLAGTLCEMLPENVGGPASARSGGGVTMRDVWRVARLVETRRVCREDMERHGRCWRCGKPGHHTSNCMG >ONIVA08G16050.1 pep chromosome:AWHD00000000:8:17698442:17704232:-1 gene:ONIVA08G16050 transcript:ONIVA08G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAAGGGDDDRDVVFEYLLCTEEDAASAGSSFQQLQGLAPAVSSSPSTTTTAPAAAGSCDDCGEEEEEVWTVDVVAELIGGEAERSHSPRADYPGRPADLAARADSVAWILKGNGWAMQLLAVTCLSLAAKMEETLIDTRYIFEPRTIFRMELLVLDALDWRLRSITPFTFMYLFAFKVDPNGKHIRELIHQATQVTLATIHDTEFLDHCPSSIAAAAVLCASSEIMQLVSIDHGTLVSWRIIGLDEEAIIRCYRLMQQLISSNKVGRESTEITMAATTTTATTAVSSEEVVSSSPPSKRRKMSPGT >ONIVA08G16040.1 pep chromosome:AWHD00000000:8:17689587:17691408:1 gene:ONIVA08G16040 transcript:ONIVA08G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPYLPPRYKPPTPRLPRPPQPQAQLQLPRRRRRRPPPPPPPLRRREPLVTPARWWAVGTGGGGGDGELPGGGGGGGGDPAPGRQGGAALLAGHRGGRHAQQPRPLRRPRVAPCLRLLVRWWRWRQGRTLRRRSGRRRRVRRGGGEDHQDQAAQAQGDAAPRQGLPPRHLPRGDQGAAGEAAGEDAAVQGGHRPPPPATADRRLRRRRRRTEATLRSPGAEAGGERPAPEQRRRRRRQRAELAAVAAEHLGVGELTSYGQD >ONIVA08G16030.1 pep chromosome:AWHD00000000:8:17681706:17682350:-1 gene:ONIVA08G16030 transcript:ONIVA08G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPGFSFGQKWRGGRRVVERRSPGPALRGGGSMKSADEGASVRCGGCCVLPFVCVGVLSWWTAICSQGCKVPGESLVRWFTGPAVATSSGVVISLGRCQGWAMAASLDVVTTVVASFPESLLCGVAVGLAAFGHA >ONIVA08G16020.1 pep chromosome:AWHD00000000:8:17675001:17679569:1 gene:ONIVA08G16020 transcript:ONIVA08G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQEELQPHQVKDQLPSVSYCITSPPPWPEAVILGFQHYIVMLGTSVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGGSYTIVAPTISIILAGRYSNEADPHEKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVRFLSPLSAVPLISLAGFGLYELGFPGVAKCVEIGLPEIILLLVFSQYLPHVIHVAKPVFDRFAVIFTIAIVWLYAYILTASGAYKNARPKTQVHCRVDRSGIISGAPWIRVPFPFQWGAPTFDAGESFAMMMASFVALVESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLIGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYIVSKLSMPLFAGACGLSFLQFCNLNSFRTKFIVGFSFFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMINVPFASKPFVAGLIAYFLDNTIQRRDNGVRRDRGYHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >ONIVA08G16010.1 pep chromosome:AWHD00000000:8:17673390:17673707:-1 gene:ONIVA08G16010 transcript:ONIVA08G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLTGSVTTRAREREKRGDGNGRRRLEVPVLAAEEHKDDVVVVVIALGDDGDDDHRAPCPAFFANTLLATAGAVPATAGAATVSSPIWPRGAQIRPLRRRIWRP >ONIVA08G16000.1 pep chromosome:AWHD00000000:8:17634758:17637873:1 gene:ONIVA08G16000 transcript:ONIVA08G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVIVEVLAGGRLVAALPPPPPEPELLPTRDVIASFPPPPPLQSPVRKAVAAVLARSRLAAAAADALMYLWIAGLAAMAASHVAVRVQGEGSRANAVALQVSLAASLVVLPVVPVALSLHAIRIAVSGTGEDTEPDKPAPESFAASVRASFNHPILAASAAITPFAVLVPVGNLVKGISAASGSRGERIGSAIEVVGLVGFYPMFLFFFLPTAAWMVWRRVKLPAGHGQRVAPVI >ONIVA08G15990.1 pep chromosome:AWHD00000000:8:17630197:17634369:1 gene:ONIVA08G15990 transcript:ONIVA08G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPDAAVVVPVLLPPPVPQVQPTLKDIVVEFPVDGGRGRLVAVLPLPPDHELRRSLDSVAEALASGRLAAVLPPARRNTVAAVLARSRLAAAAADALMYLALAGMWIFQAGMAAQDVAARALGEGSRAYALAFEVSRVAVLLVFLPVFPFATLLHAVRLAVSDTDEPDEKPAPKSFAAAAREVLSDTICVGSIALMAFVLLVSLGALVKGDSAAKGSCREMIGSVIGDVGLVGFHVINLFVLTPNLALRVWRVKLPGHGHRVVPVQRLEEKYGCEDGQTGTYRMTLADDR >ONIVA08G15980.1 pep chromosome:AWHD00000000:8:17625023:17627104:1 gene:ONIVA08G15980 transcript:ONIVA08G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTGSSAAAARGWRRQVMSGKVAWARRALAAAAAAGRALPAVTLGVGWVTAAAGVLPAIAGRWVGGEAGLAMERGGFAVLEAGQFAFALLVFPTVVPQLLAMAMERLRDAGPPTLMEDREMLVSTNAAMELPPEQHPECRVKWNQIIKEIEFPCKIGFVLLGFGMIGSLIIGFSPENEFSRQSIGWILADVGLFGWHALSVFFLLPKVIRAVWIHS >ONIVA08G15970.1 pep chromosome:AWHD00000000:8:17612265:17622306:1 gene:ONIVA08G15970 transcript:ONIVA08G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGAARGFRRRVPEPGWWVLRAVERSLRRRLSRAVAAWLPATVVVGWVTAVAGIAPIVGRWVGGEVGRAMEVAGVHVVGAGQHVFMLLVVPIAVLLMVMQLRLLAGPLMGGRGMLVRTIAAMELSILEHHRERRGKWNQLAQEIVFPLSISFVLLGVAMIGLMITGFSPEKEFSRKNIGWILADVGFLGWHALVGFFLLPKVILTLRVRQT >ONIVA08G15960.1 pep chromosome:AWHD00000000:8:17607000:17607914:1 gene:ONIVA08G15960 transcript:ONIVA08G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLGGIVPEILGRWACGEGSAVSAAGEEVLACSKFIIVRFLPAFVVQLFVRILARARFEAREAKKEKEKRENNEKTSSTAIRVESSKEQRPESRGARRWGPKGFMPYAIYLAPPLIQLSCLGIKMKAHHEEGSLEWRVGYVLDDFARFTSAILISFVGVPSMLLTAMIPKVKDDDTSSQ >ONIVA08G15950.1 pep chromosome:AWHD00000000:8:17601224:17604787:1 gene:ONIVA08G15950 transcript:ONIVA08G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVAAEEADAAAAALLTLQELAAAARRMERVRVVPMEVEAPAARPPHPRPRPPPSRVQRLVAALRGRYSRGVDAVLYGFIGAVWVALVAGCVPMAVSRWVGVHGHAVAVVGGAVSWVSVRAMGVLAPAFVPLFVLRGMDRGRAQVVEVRDELENSNVARENPPTDAINPENNGAWRQLAKQANSVAKVICIVFFLVILTGLLIKHLAPVKRSELWAGGSILVEIGFCVCSALSSMIILPKLVFEMRRMGVLFSDTWLFSVAA >ONIVA08G15940.1 pep chromosome:AWHD00000000:8:17592686:17599609:1 gene:ONIVA08G15940 transcript:ONIVA08G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAKEVAEAVAKEAARQAVEAIAAVNAATDAAAEAVAVGKAVAEAMEVAKAAFEAAMKKVAEALAVANEVMAKAALEAAATEAAAKEVAEAVAVLKAALEAAAMEEAEAAAVAKAAAEAAEAVAVAKVAVEAAAKEAATAVAVAKTAFEAAAAKGVSVAKALAKEAAEVVAMAKDLVEAAAKEAAEAVAVAKAVAEAAEAVAVPKAALEASMAVAKDLAEAAAKWAAEAKAAAEAAAEAKATAEAAAVANAVAKAAAEAAAVADAAAKAAAAAAAEDAAAATRTQLGRLALVVVGFAFALGAVWLASAFRIASAVDHCLAVKVHWLADPMCRDTVVFAAPLAVASLILMAAAVHRQVKAEAEAGAQIREAAGVTHDVLPDPELQPPLPPPPQPAVALASGLERRLLLCGSDEVVMLTIISIYLCCVTFILVGMLLRMFGALFLVYYPGDELEIALLMGVGSGFKNVGYLWLAVGHFFLIIPYAVLRLRRFLNQFVSIFVMPVANRVLDQRQYRIADLNAAAFQFVRLLVILSSCRCCHRHCLLLADSPAYCACAKSNCTIAVAFLAMLGMAVCAEVKADAEEEAQIIRVAAGLCRPDVALPAGPDHLGRRGCDAAVVFFISAMYPYCVAFILMGKLLRTIGVFGRVPGGGGDEGITRLMNLGSDFENMGYLWLALGHFFLVAPYAALRLRRFIKEEECCWM >ONIVA08G15930.1 pep chromosome:AWHD00000000:8:17587899:17588680:-1 gene:ONIVA08G15930 transcript:ONIVA08G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLAMGALTPFASPVLLSRLLERPEAAREEGGARGGGGGGGAKAHLSCRDSSGGARGRQDGGFVGRVVVLFMVFACCVAFVCVMLRDFAPEKGSCQEWVRSILAYIGVFAGSAMMA >ONIVA08G15920.1 pep chromosome:AWHD00000000:8:17585079:17585758:-1 gene:ONIVA08G15920 transcript:ONIVA08G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGAAQPPPPPLRAPAAVPETLRRLLALVTDVLVCCFLAAMWVTPAASAAAAFSRWACGEGSPAADVAAKVSVASFLATAALAPFASPVVTWRLLGRPRRGGRARERGRGDVTGERRRRQEGGLGRVVQFALFSVCFAVVGLLLQELAPEEKGSIQEKVGSVLADIGLFANSVMICFVVAPNLLIFLARDRWR >ONIVA08G15910.1 pep chromosome:AWHD00000000:8:17577167:17581020:-1 gene:ONIVA08G15910 transcript:ONIVA08G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVFVFTPSLGLTLFGSVLTLLPPPDPALVPLGSIMANVGLLGVSITLCILGIPYSMLRLRKALSVKAGGIGMSWNTSCFLVHESVGNLMRDLKLSE >ONIVA08G15900.1 pep chromosome:AWHD00000000:8:17569464:17575678:-1 gene:ONIVA08G15900 transcript:ONIVA08G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPRGLLLAFAPALHLLLRALWVAGVLNIAKDVVHCARLQSTTSVLDDHRCNLSPYPLSLCLSFALAMLFISLLGRSILRRSDAMAEAELGGGEEDGDDVLPEKASSSPETHPRAHWMLQGWSPRDVEVGFVMGLAFLPYLWITMLVSLFLLVPRLHPPPPAERGVPVVELLGDLMVHVGCLCVSINLCSLGVPYAMLRLRKALDAKDGDAWIL >ONIVA08G15890.1 pep chromosome:AWHD00000000:8:17560531:17563473:-1 gene:ONIVA08G15890 transcript:ONIVA08G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAEAAIRRGLLVSGAVSVAAALGALWLACALRIASAVNHCLAVKAAGVSVWANARCDATIELAAPAAVVLLILNAVAARREAKAEAEADAQIREAAGAAARNVVPDPGDLQQPLVTLALPAPTPTPRPERLRLRGSDAVLMFVVAFIYVCCAVLIVVGELLPVVGDLIPVDCQRQCQVQRRCLAWFFKNIGYLWLAVGHCCLIIPYAVLRLRRLARKKVASFFVSVPCMIGFANPADNYVAKYGTLHRLRGAAQAAANASRLALPKSCPLPK >ONIVA08G15880.1 pep chromosome:AWHD00000000:8:17555365:17557399:1 gene:ONIVA08G15880 transcript:ONIVA08G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEAALLPPPPPPPQARWASVAATLLRLLALAADVLVYGLLAAMWLNNAAFAAAIFSRWASGEGSTTVAILSRSARGEGSAAVAVAAATKVFWASFLAVGVFFPFAGPLLMWRLGIGPPPPPETRESGSGGRAAAQRGRRGSTGPASRRQEGGGRPVALLFMFFSWWVAFAGILLQELAPEKASCQEKVGSVLTDIGGFANSVLVCFVFFPDLVIFLMRDSRSSGTHVQSALSCFSRNLMCDCKKLLPVAVYLLKCSFTFTNFSFDFIWNVNSKCSAYGHMAVFKPY >ONIVA08G15870.1 pep chromosome:AWHD00000000:8:17541291:17541785:1 gene:ONIVA08G15870 transcript:ONIVA08G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKLAIALAMVAAMALPSQAQNSPQDYVRLHNAARAAVGVGPVTWDTSVQAFAENYASQRSGDCSLIHSSNRNNLGENLFWGSAGGDWTAASAVQSWVGEKSDYDYASNSCAQGKVCGHYTQVVWRASTSIGCARVVCSNGRGVFITCNYKPAGNFVGQRPY >ONIVA08G15860.1 pep chromosome:AWHD00000000:8:17523544:17523825:-1 gene:ONIVA08G15860 transcript:ONIVA08G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFLGGGGGKKAISSSSRRRRKAGPELSFRVEGGVWRKEILMEERCQSLDFSGMIYYDVAGRRLEQPPPPRALLHSPLPSSIKLVANAAGGY >ONIVA08G15850.1 pep chromosome:AWHD00000000:8:17519744:17520087:-1 gene:ONIVA08G15850 transcript:ONIVA08G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGFISYYSVPLHDILVSIPYWTALKAEKNKTKRGRSTALAIFPKDCCNGLILCVYKNYTRDESDYVVYNPATQRWIILPKIDRVNPVSTVRFSFDPALL >ONIVA08G15840.1 pep chromosome:AWHD00000000:8:17516351:17517841:-1 gene:ONIVA08G15840 transcript:ONIVA08G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVALRTPHLDAYSGQSFTTPSTSTAYRTLAFKLCAILAALLPLTAMDTANSSGIQGADVDPDGYAEAAGNLKAQGKT >ONIVA08G15830.1 pep chromosome:AWHD00000000:8:17511624:17511928:1 gene:ONIVA08G15830 transcript:ONIVA08G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGEGCCRRRWRCWGREVASEVSRDTIDGKGRRRWASAASAIEVEGGDIMDPRGSGSGGCGMSTAETLHERERNLSGLSGDSGDVWRP >ONIVA08G15820.1 pep chromosome:AWHD00000000:8:17486755:17487930:1 gene:ONIVA08G15820 transcript:ONIVA08G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSGDFGSGNKLPDDLTLDVLSRLPYKSFCRAKCTCTGWLSFSSNPHYCDKLPKPLTGFLYQKSDSSAVEVASLCPDDGSFDTSLSFLPRYEWLELTDSCNGLVLCKYGRNTSSPSVAHFVVCNPATRQWMELPETLLEPEGDSYATKLAFDPSWSPYFYVFNFEEKRNPVERWACISKVAIFSSRNSTWFMDDKWEPSNQISVDCQPHVLLGGKLFLQTSSCRVLVIDAFDNTEQPSHWIFDLPGYKPTSPMVDCLTGYLGHKSGVLHYVQPDTGGRTLLVWARDGYPHGHWNLKHRLSMSDAFGQNIFLDEHFDGFVSCHYDIVSLDLERGLVFLCHFAAERLLSYSLSTGKLTKIRDGLRRYLYYVPNCSMFPAKETDKDQDVSEP >ONIVA08G15810.1 pep chromosome:AWHD00000000:8:17479427:17480632:-1 gene:ONIVA08G15810 transcript:ONIVA08G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRKRKRAGKPTCLPPADASSPSIESLNDDVLEEILVRLPSIASLARAACACARLRAIASSWAFLRRFRALHPSLLGHFATDADDESVIPTFHPARAQFDGCSDAAVRGGDFFLTRVDANAGWRVQDCRHGRLLFANESDFLVYDPLSRRGVSIRRPSWYPSSHFTHCLLAGYGGDGCPGSFRVVSVEHNGERAARGAVYSSCTSAWRRGRWDYDRVINPKRPSEYSYFPGMQAAGRIYWKHRDTTKLQVFDAGPMRFSYVHLPEGVHPRSKYAVGEAEDGGCCLVVLADAPHGTVFKVWRLRTGKGSWPWAWTWELERRLPACEVIGKVQYPPIRHVCAVVAGVVLICFQNHAGPHRHIAFWLSNMQVEATFRSTGWAYPFLMPWRHSSPLLLPSAKF >ONIVA08G15800.1 pep chromosome:AWHD00000000:8:17471632:17476643:-1 gene:ONIVA08G15800 transcript:ONIVA08G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLGRAPCCYCFTEPHPAAVRLRVLPPARAANTSSEPAARLRAVLEQVDEELRKGNDEAALSLVRGSQGADGGLRFFGAARQVPQRLYTLDELKLNGIDTSAFLSPVDLTLGSIERNLQIAAVLGGLSVSAAFELSQLQVLFLFLGLLSLWSVDLVYFGGGVRNLILDTIGHNLSQKYRNRVIQHEAGHFLIAYLLGVLPKGYSITSLDTFIKKGSLNVQAGTAFVDFEFLQEMLNKFSCIALAGVATEYLLYGYAEGGLADIGQLDGLLKGLGFTQKKADSQVRWAVLNTVLALRRHKKARSQLAEAMSSGKSVGSCIGVIEENINSEDI >ONIVA08G15790.1 pep chromosome:AWHD00000000:8:17459739:17460996:1 gene:ONIVA08G15790 transcript:ONIVA08G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQGFTTDTFTLASVLSAFAASKDLDVGMELHGRLIKSNFAHYPHVASGLVDLNAKCGSIQDARKAFNEVHKPGLVLWNMLIFGYSLHDEVSEDALLCFRAMQRAGLYPDDCSFVAEAKMLFDRMTEKNTVPYNSIIAGLAQHGHAVEALRLFKDMLSSDYEPTGITFISVLSACAHTRKVGECWEYFYSMKHKYGIEPCEEHYSCMVDVLARAKNFENAEELTKEMPFNLSSIGWTSLLSACGTHGNMDLGARAAKEILNLTPYSASTHVVLSNIYATVDKWEEAAQIRKLLRNRGIRKKPGCSWIELGRIVHIFVANDVSHRRIKDVYKFLEVMSEKMKLAGYVPDERWALAKDHAAEGETRLRHHS >ONIVA08G15780.1 pep chromosome:AWHD00000000:8:17458205:17459079:-1 gene:ONIVA08G15780 transcript:ONIVA08G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVMTSNRHYSLSANRSIPSTACTTSLPAAPATPVPHRNHASFPSAASDPNPSRTALAAPQPHCVVSRRARAVRRIAPDPRPRPRRPFRAASCQGPRHAKPHL >ONIVA08G15770.1 pep chromosome:AWHD00000000:8:17457402:17458490:1 gene:ONIVA08G15770 transcript:ONIVA08G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQVWALAGGEGSVLSIGRGWGRRDARIWVMKAGVATATRAHGRWRSSVFPGENPRERLEVRLGVARALARRGTEGTTRARAWVRRDATHGAGTARYNAMRLRSGKCGLGSDAAEGKEAWLRCGTGVAGAAGSDVVHAVDGIERLADSE >ONIVA08G15760.1 pep chromosome:AWHD00000000:8:17439352:17440925:-1 gene:ONIVA08G15760 transcript:ONIVA08G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKEKDDSVLKSARAKERQRRSGAEREAAGADDERRRPVAEAVADEVEAGAETRRGAVERRRPVADEAADVAERAGGGGMGGRGGVEWEAAGANDERRRPVADEAKAGAETRRGAVERRGPGAGGGGTGGRGGAAAELSGRRRELMTSGDGRSRRRLRMRRRPVADAKEDGAAPGKGAGGGGRSGAGGGSRRRRDGRPWRRRGGAEREQTPFSPSKWDGLVRPILADRFVPDLGGIFLSRDHPIPLTSQPNTPKSGFIPSHPIPSPQPNTT >ONIVA08G15750.1 pep chromosome:AWHD00000000:8:17438286:17439250:1 gene:ONIVA08G15750 transcript:ONIVA08G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLFSAPSRHASMVPPPPICRRSTAVVSAAAAARKQEQTLRLFLQDIERSIVFGICKNPETTEFFRDHRRRLDDYFAAAKNLLQMLEHPVLASGDLHNRAKSLLVTVHMMLNDNPDKFGQVVIQLISSLEFLLDMNSRSLGLQGQQQVFLLNNMNFVLEQANNSTDLKLILGENWCLQRHVQLDQFLASYVEASWTPVMSSFIITRIPKILWPQQLFDKFNSRFEMTYNVQKTWKVTDPVIRQKLREKITQKVIPLYRMYLESYSEKKQKSARFNVEHLEAQLLEIFEG >ONIVA08G15740.1 pep chromosome:AWHD00000000:8:17416108:17422563:-1 gene:ONIVA08G15740 transcript:ONIVA08G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKRKTHHGGSCHQQQSCPSHGNELSAIDEEVSHLTRLKSEPCERTRASLHAGKKRHISTFKLLSGRESNCLGIGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRIYNAEKKWTIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPIVHMVDIQNGMRESHANITQVHEGLEFSNDDDGFSFAIFSVKFSKDGRELVVGNNNESICIYDIGSNKVTERIHAHSADVNAVTFADEGSDVLYSGSDDSLCKVWDRRCNKRGKQVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDIRKMSSTVKDCTPKAYEWDYRWMTYPSEARYFKHPYDQSLSTFRGHSVLRTLIRCYFSPIHSTGQRYIYTGSSDQCVYIYDVATGKIVEKLRWHGSIIRDCSWHPYFPTLVSSSWDGYLVRWEATEDDKDPSMLKTGKQRMHPEGYTFSFVF >ONIVA08G15730.1 pep chromosome:AWHD00000000:8:17409798:17415290:-1 gene:ONIVA08G15730 transcript:ONIVA08G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFRRPKQALADAAAEHETVMTRRSSARARWSKGSAMMRCSSVVAVTSSAQDWGIGDVEDGNPASSGIEIQRRSSVPVEKLMPLLSTTPARSSILCVTSSLPSLSFLLPAAAGIKIQRRSSVPTEKLMPPPSTTPSRSSTLHVTCSFPAHDGSGGGGGNACAPLQLHR >ONIVA08G15720.1 pep chromosome:AWHD00000000:8:17402701:17408196:-1 gene:ONIVA08G15720 transcript:ONIVA08G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYISKSHEELRHEDYQRGDKGGDGLQKIMVNSAPVPPMPMLSSVGAPVNMINSSQPAFSLKSKTNFSTPFSPAATADQQSAQLQFSTQNHYYTSNPFWPAPIGSVRPCSSTGLQSSTPGLNCTGITSFPFLPTKQPSVGTQSSTLFPTTFVHPSVELQKNASTHCASSQTAPCTTHGGILFGTAANTVSLTTSSTEPTTSSLGPTSCPATRHGDAPSTGFACQENVFSNSAAYTSTINADVPTNTIDLLLPNNIRLVRLRFSSTNDGNGSVASEVHCHHDAKTSETPISLCIYPGENQELIIKSMVQPAKSHTGKQSSPTGGHPDDQSGRSKVCNSAAGPPSSGPGENQKGNSSAGHKTPKSPLAAPRCEAIAESVLPRLYSADYYTVPSIVELAVRERDEPGYCSHVKGFTVGRHGYGSVKFDGETDVRKLDIGSIVEFNEREIIVYRDVSNTPAVGQELNKPAEVTLLNVKCVEQKNGLQFTEGPAVDRYKEILVQWTKDHGAEFVSFDAAKGEWKFRVKNFNM >ONIVA08G15710.1 pep chromosome:AWHD00000000:8:17382227:17384146:-1 gene:ONIVA08G15710 transcript:ONIVA08G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:receptor-like kinase in in flowers 3 [Source:Projected from Arabidopsis thaliana (AT2G48010) TAIR;Acc:AT2G48010] MSSSLPSPLLLLLLLPFPAAVRSQPAAAPAPQQQCPLNFTALRPFLAQPLPPDDSARCLLAVQSVRLLLALHLAATGSFVLPANSSCLPPLRAELPFALPSPSACGLQGLDALLASPGCANVSTLGDFDAAVPPSSRADMNASCNRDLTPVPDCTSCTTSLSKAAAAYLLPGSPNDGGNNVTGCVQYPFIYAGAAASPRGADDPATANCLYLLKVNSASKASSSVPGWVYGVVFGCVAMVLLVAAAAASWFLVRRRRRRAAAAALAKAAADSRSKRSQAMESISASTTLVQFTYDEIKAATGGFARESIIGRGGFGNVYKGVLPDGAEVAVKRFKNCSAAGDAAFAHEVEVVASVRHVNLVAIRGYCIATTEREGHQRMIVCDLMHNGSLHDHLFGAGECQMTWPVRQRIAIGMARGLAYLHRGAQPAIIHRDIKASNILLDDDFEAMVADFGLAKFAPEGMTHVSTRVAGTLGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGKRAFISLGEGQNFVLSEWAWLLVRRGKTVDVIQEGMVEPGPTEVMEKYVLVAALCTHPQLHARPTMDQVVKILEADSASGPLIIPERPLPVVANLAEIERSASSSGSGQLFSPSGFRSFTHINEDAALESPKEE >ONIVA08G15700.1 pep chromosome:AWHD00000000:8:17320224:17322334:1 gene:ONIVA08G15700 transcript:ONIVA08G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAASPGRLRRLMGAATDAVLFTSLCAMWVTNAGSVASILARRAGADDRLAPVAAARGATSFSVAVFSVLLPAFVPMFVSRVESLKKLLFPTIGCVGLLSAGIGTCLFGVPCLMHMLKLPVSALYAREENHFCFYPGQVVYVRFVGSVDLYEVV >ONIVA08G15690.1 pep chromosome:AWHD00000000:8:17296581:17301880:-1 gene:ONIVA08G15690 transcript:ONIVA08G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIPKVDLRGLEPGTPGWEAARATVTASMVSHGCVVVAHGELGADLREALFGRAVREAFALPAEAKRRNVSTVGPYRGYIANIPGMDWESLRVHDADDAARVREFAGLLWPEGNPEFCETIVSFATKMRDLERTVERMTLEGLGVGEDHIASHLAAQDYGVRLSHYGPPPDASTAISLQAHRDDSMTTIIVQHEVEGLEVQAGDGSWHAIPPEPDTIAIVAGELFRVVTNGRVPASVHRVRTPSGRERYCVLVGSRSKDGAVLSAMDELVDGEHPLAYRPCKAEEFIQFRYSEEGRKFSDPLKAFCGINLACDVRCTGEVAIARKATKLRAKPRRAGAAAPRPSRAMAIPKVDLRGLEPGTPGWEAARAAVTASMVSHGCVVVAHGALGPELREALFSRAARELFALPAEAKRRNVSTVGPYRGYITNTPGMNWESLQVGAAADAGRVPEFAGLLWPDGNPECSSSDTIVSFAKKMTELERAVERMTLEGLGVGEDHIASHLDAHDDAVRLSRYGPPPDAASAMSMGEHRDDTVITIIVQHEVEGLEVQASDGSWHTIPPEPDTVAFMAGELFTVSTITILAVRRRRRRTPSHRERLVALFTTRCKGGTVVSAMDELVDGDHPLAYRPCNEDEYVQFRHSEEGGRFSEPLKAFCGVDVH >ONIVA08G15690.2 pep chromosome:AWHD00000000:8:17296581:17301880:-1 gene:ONIVA08G15690 transcript:ONIVA08G15690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIPKVDLRGLEPGTPGWEAARATVTASMVSHGCVVVAHGELGADLREALFGRAVREAFALPAEAKRRNVSTVGPYRGYIANIPGMDWESLRVHDADDAARVREFAGLLWPEGNPEFCETIVSFATKMRDLERTVERMTLEGLGVGEDHIASHLAAQDYGVRLSHYGPPPDASTAISLQAHRDDSMTTIIVQHEVEGLEVQAGDGSWHAIPPEPDTIAIVAGELFRVVTNGRVPASVHRVRTPSGRERYCVLVGSRSKDGAVLSAMDELVDGEHPLAYRPCKAEEFIQFRYSEEGRKFSDPLKAFCGINLACDVRCTGEVAIARKATKLRAKPRRAGAAAPRPSRAMAIPKVDLRGLEPGTPGWEAARAAVTASMVSHGCVVVAHGALGPELREALFSRAARELFALPAEAKRRNVSTVGPYRGYITNTPGMNWESLQVGAAADAGRVPEFAGLLWPDGNPEFCDTIVSFAKKMTELERAVERMTLEGLGVGEDHIASHLDAHDDAVRLSRYGPPPDAASAMSMGEHRDDTVITIIVQHEVEGLEVQASDGSWHTIPPEPDTVAFMAGELFTRLVALFTTRCKGGTVVSAMDELVDGDHPLAYRPCNEDEYVQFRHSEEGGRFSEPLKAFCGVDVH >ONIVA08G15690.3 pep chromosome:AWHD00000000:8:17296581:17301880:-1 gene:ONIVA08G15690 transcript:ONIVA08G15690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIPKVDLRGLEPGTPGWEAARATVTASMVSHGCVVVAHGELGADLREALFGRAVREAFALPAEAKRRNVSTVGPYRGYIANIPGMDWESLRVHDADDAARVREFAGLLWPEGNPEFCETIVSFATKMRDLERTVERMTLEGLGVGEDHIASHLAAQDYGVRLSHYGPPPDASTAISLQAHRDDSMTTIIVQHEVEGLEVQAGDGSWHAIPPEPDTIAIVAGELFRVVTNGRVPASVHRVRTPSGRERYCVLVGSRSKDGAVLSAMDELVDGEHPLAYRPCKAEEFIQFRYSEEGRKFSDPLKAFCGINLACDVRCTGEVAIARKATKLRAKPRRAGAAAPRPSRAMAIPKVDLRGLEPGTPGWEAARAAVTASMVSHGCVVVAHGALGPELREALFSRAARELFALPAEAKRRNVSTVGPYRGYITNTPGMNWESLQVGAAADAGRVPEFAGLLWPDGNPECSSSDTIVSFAKKMTELERAVERMTLEGLGVGEDHIASHLDAHDDAVRLSRYGPPPDAASAMSMGEHRDDTVITIIVQHEVEGLEVQASDGSWHTIPPEPDTVAFMAGELFTRLVALFTTRCKGGTVVSAMDELVDGDHPLAYRPCNEDEYVQFRHSEEGGRFSEPLKAFCGVDVH >ONIVA08G15690.4 pep chromosome:AWHD00000000:8:17296581:17301880:-1 gene:ONIVA08G15690 transcript:ONIVA08G15690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIPKVDLRGLEPGTPGWEAARATVTASMVSHGCVVVAHGELGADLREALFGRAVREAFALPAEAKRRNVSTVGPYRGYIANIPGMDWESLRVHDADDAARVREFAGLLWPEGNPEFCETIVSFATKMRDLERTVERMTLEGLGVGEDHIASHLAAQDYGVRLSHYGPPPDASTAISLQAHRDDSMTTIIVQHEVEGLEVQAGDGSWHAIPPEPDTIAIVAGELFRVVTNGRVPASVHRVRTPSGRERYCVLVGSRSKDGAVLSAMDELVDGEHPLAYRPCKAEEFIQFRYSEEGRKFSDPLKAFCGINLACDVRCTGEVAIARKATKLRAKPRRAGAAAPRPSRAMAIPKVDLRGLEPGTPGWEAARAAVTASMVSHGCVVVAHGALGPELREALFSRAARELFALPAEAKRRNVSTVGPYRGYITNTPGMNWESLQVGAAADAGRVPEFAGLLWPDGNPDDTIVSFAKKMTELERAVERMTLEGLGVGEDHIASHLDAHDDAVRLSRYGPPPDAASAMSMGEHRDDTVITIIVQHEVEGLEVQASDGSWHTIPPEPDTVAFMAGELFTVSTITILAVRRRRRRTPSHRERLVALFTTRCKGGTVVSAMDELVDGDHPLAYRPCNEDEYVQFRHSEEGGRFSEPLKAFCGVDVH >ONIVA08G15690.5 pep chromosome:AWHD00000000:8:17300668:17301880:-1 gene:ONIVA08G15690 transcript:ONIVA08G15690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIPKVDLRGLEPGTPGWEAARATVTASMVSHGCVVVAHGELGADLREALFGRAVREAFALPAEAKRRNVSTVGPYRGYIANIPGMDWESLRVHDADDAARVREFAGLLWPEGNPEFCETIVSFATKMRDLERTVERMTLEGLGVGEDHIASHLAAQDYGVRLSHYGPPPDASTAISLQAHRDDSMTTIIVQHEVEGLEVQAGDGSWHAIPPEPDTIAIVAGELFRVVTNGRVPASVHRVRTPSGRERYCVLVGSRSKDGAVLSAMDELVDGEHPLAYRPCKAEEFIQFRYSEEGRKFSDPLKAFCGVNATE >ONIVA08G15680.1 pep chromosome:AWHD00000000:8:17287211:17290754:1 gene:ONIVA08G15680 transcript:ONIVA08G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWAEAGGVAAGRGRASLPRPRLSASLSIGAGGYGDEHAPLFPRQQAWDPYKILGVDHDASEEEIRSARNFLLKQYAGHEETEEAIEGAYEKIIMKSYSHRKKSKINLKSKIQKQVEESPSWFKAMLGFFEVPSAEIISRRLALFAFIAGWSIVTSAETGPTFQLALSLVSCIYFLNEKMKNLSRASMTGFGVFVGGWIVGSLLVPVIPTFAIPPTWSIELLSSLVAYVFLFLGCTFLK >ONIVA08G15670.1 pep chromosome:AWHD00000000:8:17278693:17280123:1 gene:ONIVA08G15670 transcript:ONIVA08G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGQLGRFMDGIKSKLRGGGGRKTGRKKEAAAMMTYDKMDKTESMRVEIRSRQAQKLIAKNLVAADSIGRRNKRFFLAF >ONIVA08G15660.1 pep chromosome:AWHD00000000:8:17270745:17273656:1 gene:ONIVA08G15660 transcript:ONIVA08G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNPKSPIIQYKNGIVNWQAVQEEGMAMYTRVVRRRHDGEEQDGVAVLDDVRERPDDGGRGDVPGGGPHSLRPLCRWPPPNRPTPASPPPPPRRLSPAETRMDLVGEEVVREVYLTKGKAVGARSCRRVCRGQIFLPGGWGWGEAVEYRSHRREGRRGKILLDRTVIGADLVIEEVIGAHIIGNKAIMGGSYERAYQSGAADLVREEAIRGSILPEPRREATNE >ONIVA08G15650.1 pep chromosome:AWHD00000000:8:17268978:17270073:-1 gene:ONIVA08G15650 transcript:ONIVA08G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVTATASSVAGRRRPFVSTFVVAPLQGQPAAVRLFGAAMRAGLKDTLFPDDPFRGLGGMPPAWRAWRVARYFVGGHVVTAGGVHRRWQGEGLRRPTAVHAARLHVGLLHRRPAALGFLRLGILMDFMSRPAITGFMGGMAVVIMLQQLKGIVGMTHFTTKKVSAFVVGAVALIATPFAVPSASFTRDVGRAVAERAERDGGHGRGEAKAPRGGRPPGEKIPTQSRLLLLDGFDRSIIILY >ONIVA08G15650.2 pep chromosome:AWHD00000000:8:17268978:17270073:-1 gene:ONIVA08G15650 transcript:ONIVA08G15650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVTATASSVAGRRRPFVSTFVVAPLQGQPAAVRLFGAAMRAGLKDTLFPDDPFRGLGGMPPAWRAWRVARYFVGGHVVTAGGVHRRWQGEGLRRPTAVHAARLHVGLLHRRPVGRSWIPQVTRDRYDRSLRSLCVFLRFPYWYIYRSVFAARLGILMDFMSRPAITGFMGGMAVVIMLQQLKGIVGMTHFTTKKVSAFVVGAVALIATPFAVPSASFTRDVGRAVAERAERDGGHGRGEAKAPRGGRPPGEKIPTQSRLLLLDGFDRSIIILY >ONIVA08G15640.1 pep chromosome:AWHD00000000:8:17260469:17268936:-1 gene:ONIVA08G15640 transcript:ONIVA08G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:splicing factor PWI domain-containing protein / RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G60200) TAIR;Acc:AT1G60200] MAAVAPPPDGLDPPPATPPTAAAGTPPLAVPATNTPPNPATTPTPLTPNPNPSPTLPPPPMSTPPVVAPPMHSFAPSFRPLGAPPPPPPPQQVQVQVPPQYGGVPNPGYPMAQQMQPPGVPHVMPPGAVRPPAMYAPQPGVYLQQPGAALPPGMPRYPGPYPMMRPGFPPRPMPPVGVMPLQRPPIIPGIRGAPPVVPPTARPPTPAVTPPDKPPTAVYVGKIAPTVDNEFLLSLLRLCGPVKSWKRTQNPSSGKPVSFGFCEFESAEGILRATRLLNKLSIDGQELVVNINDATKEYLKKHVEEKKKAQEKTKEMEDGGGSGTTAVTGNELSKPVSDETETGTGDAGDKGNEENPKKFGIVTEEDSKADKDVAEKISSMIEEWLKTRPPPPPPPVEQSADISSKHKNGEYSVDVTKNDSEDKSGTGSDKRAVNETERIETGSPDKRKERERDKEKRDKDKELERHERERERERVRRDREKDIKYKEVERLYKERLKEWEFREREKEYQRQHEKEREKDRERERKREIMKQEDDSDEEDNRKRRRRSSGTLEERKRRRLREKEEDLADKLREEEEIAEARRRAVELQQQADAAAAAAAAVVAESATVMEVDGDDGKERDAQDKPAVVDVGNNDAFANGVDSGVNNGKDNIGDDKNMTPGEISDTKHNTSAPAKKLGFGLIGSGKRTSVPSVFAEEDDEDNDDKRARPLVPIDYSTEELQAVQANSSTGQPNIVAAAEFAKRILVTKDDKSDVEKDRSRRSNDRTNQRDRDRNDQDVGRTGDERREKTHDREKDKQDKPKSESKNILDAKQLIDMIPRTKEELFAYDINWAIYDKHELHERMRPWIAKKIIEFLGEEESTLVDYIVSCTKDHVQASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVKVSAPSIHLVPMRMWGCVKFCEVYELLCSRNDRNAPSSPSSSSSYDIVIVITVFLLRGEVVGVLVGDRFLEEVEGRGRRRKGVKAGATGDLVLGAVHVDGGEVNAARWSAAALVLAERVSWLTAEAAVAASTEEGFLPPPLPHRHRPVCLFPTTPCPPQHHRTQTIAVVGEEVLTAFGLSSRHFLASWSQLPTIILHHNPCLVFPTITTNGNSVLLRCWNGSTPCTTKCWKIDQQQLAHHVAINRLEQGVRDRGRHQKAIPAIILVAVAILVAFELDYFGHDNNYMDRDSGDYKDQ >ONIVA08G15630.1 pep chromosome:AWHD00000000:8:17249125:17257813:-1 gene:ONIVA08G15630 transcript:ONIVA08G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides;ATP-dependent helicases;nucleic acid binding;ATP binding;RNA binding;helicases [Source:Projected from Arabidopsis thaliana (AT1G77030) TAIR;Acc:AT1G77030] MARLNPSKPSSRGGKPRSSSADAMAEHKPPPGRPKREGEGASKKKAKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKAMPLILAGHDIAAMARTGSGKTAAFLVPMIQRLRRHDAGAGIRALILSPTRDLATQTLKFAQQLGKFTDLKISLIVGGDSMESQFEELAENPDIIIATPGRLVHHLAEVEDLNLRTVEYVVFDEADSLFSLGLIQQLHDILHKLSDTRQTLLFSATLPQALADFAKAGLRDPQIVRLDLDKKISPDLKLAFFTLRQEEKLAALLYLVRERISSEEQTIIFVSTKHHVEFLNILFREEGLEPSLSYGAMDQEARNIHISKFRARKTMILIVTDVAARGLDIPLLDNVVNWDFPAKPKLFVHRVGRVARQGRSGTAYTFVTSEDMAYLLDLHLFLSKPLRPAPTEEELLKDMEGMNLKIDRALANGETVYGRFPQTIIDLVSDGIKEVINGCTDLIALEKPCTNAFHLYLKTRPMPSTESIRRVKDLPREGLHPIFRDVLGSDELSALAFSERLKSFRPKQTILEAEGEAARARNSKGSNQWLDVMKKKREVHEGIINLVHQKNNVDHEPKEELVENISNWERKDVCGNKRKLQSFRDEEYYISSVPQNQHLEAGLSVRANEGFVENRLDAAVLDLVDDETSGMQAQKTRYHWKKNKFVKLNSGDRVTATGKIKTESGAKLKPTKTGIYKKWQQKTHRSIDTGRKYGGFAEEGASTTGSHQRGNRKHTAAGRGRRYIPNADVPSEIRNPEQIQKSRQQKAMDIARMKNRSTKESKFQKFQKNNRRHDGPSKDGKFQKNRRPDGNGKGRGKGKGNANGFGKGKGKMKGKGTR >ONIVA08G15620.1 pep chromosome:AWHD00000000:8:17235827:17239460:-1 gene:ONIVA08G15620 transcript:ONIVA08G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLYPMKTSTRMLWSTSFFRHKPTPSRAAAASRRRSARLVGARACVNGRAASRSGFLGFLEKGGEEGEESMDPGRVVFDSGVARRACPGGAQMLLFGGGGSANSGGFFRGVPAAVLGMDESRSSSSAAGAGAKRPFFTTHEELLEEEYYDEQAPEKKRRLTAEQVQMLERSFEEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKTKQLEHDFDRLKAAYDALAADHHALLSDNDRLRAQVISLTEKLQDKETSPSSATITTAAQEVDQPDEHTEAASTTGFATVDGALAAPPPGHQQPPHKDDLVSSGGTNDDGDGGGAAVVVFDVTEGANDRLSCESAYFADAAEAYERDCAGHYALSSEEEDGGAVSDEGCSFDLPDAAAAAAAMFGAAGVVHHDAADDEEAQLGSWTAWFWS >ONIVA08G15610.1 pep chromosome:AWHD00000000:8:17226869:17228950:1 gene:ONIVA08G15610 transcript:ONIVA08G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBT9] MTPPPPPPPPPRRRMLAMPAVCPCEDISPGTLLASLATLSADVADGCDVDRLPALRGGVGVAVRVAGLLREFLEEVRWAAAAELPGGSVLGMSELHVALQKMRFLLEDCGRKGARMWVLMNAEAVASELRVVLGSVATAMDVLPAGVVAASDDAREFAALVSQQAWRAAVRPDEEDSRAARSVRSMLARFRSGATPDAEDARLVLGRVGVASWWDCSQEVSFLEAEMLERLEAGGENDNDLVLISGLMAFLLYCRVVLFDRIDYGKADEPAPAPAPRAASYLARINPEGLQCPITLELMTDPVTLATGQTYDRASIKRWVKSGCRTCPVTGEKLRSADVVPNVAVRGIVEQLLLSSGVSLHEPSSKHRCAVDKTASPFGAAAAGGARLAVAFLVSKLCRGTPEEQKKATYECRKLSKRNVFHRACLVDAGAVPWLLHLLSSPDASVQDNAVAGLLNLSKHPAGRRALVEAGGLGLIVDAVSVAAKVEAQQNAAAILFYLSSDAGYCDEISRIPEAIPTLVRLVREGAYRGRKNALVSLYGVLQRGAGGHGRAVSAGAVAALASLLPGDRDDLANDAVALLARLAEQPAGAAAVLSSSALVTRLVDFLGASASRSAKDHCAALLASLCRHGGDAVVALLGKTPGLMPSLYALIADGGAQGSKKARWLVNEIHRHYEQRQPPVAAPPAGDRVIRV >ONIVA08G15600.1 pep chromosome:AWHD00000000:8:17208667:17209164:-1 gene:ONIVA08G15600 transcript:ONIVA08G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGREARDKEEADLAALGCFLHCLQLSNINGAEITVTSIADQLPALVTGLPKLSQRRIRRQLLEVNLEAPIGSRMMGGRQQGGNDDFPSLPSSPYLGSLLHRK >ONIVA08G15590.1 pep chromosome:AWHD00000000:8:17180692:17183494:-1 gene:ONIVA08G15590 transcript:ONIVA08G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLFEMSCGDGVDFRQPFKSLPRVVTSPGIISDPDWDTRSDGDSVGSASSVERKIIVANFLPLNCTKDEAGQWSFSRDDDALLMQLKDGFSNETDVIYVGSLKVQVDPSEQDQVAQKLLRDYRCIPTFLPPDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKLFADKVMEAINTDDDHVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRSLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLHYESKRGYIGIDYFGRTVSLKILSVGVHVGRLESILKLHATVKKVQEIEQRYKGKMLMLGVDDMDIFKGISLKLLGLELLLDRNPKLRGKVVLVQIVNPARSTGKDVEEAITESVSVAERINLKYGSVDYKPVVLIDHSIPFYEKIAFYAASDCCIVNAVRDGMNLVPYEYTVCRQGNEEIDNARGSDTNCHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALHHATDLTESEKRLRHEKHYRYVRSHSVAYWAHSFAQDLERACKDHYSRRCWAIGFGLNFRVIALSPGFRKLSLEHFASSYNKATRRAIFLDYDGTLVPQSSINKAPSDELITILNSLCDDPKNDVFIVSGRARSLLDEWFAPCQKLGIAAEHGYFVRWNKAAEWESSYPNHDFEWKHIAEPVMQVYTETTDGSSIEPKESALVWHYLDADHDFGSCQAKELLGHLERVLSNEPVVVKCGHYIVEVKPQGVSKGLVVDKVIHRLMNNGKTPDFVVCIGNDQSDEDMFKSIDSMASSSAFPAVPEVFACSVGQKPSKAKYYVNNVGEVVRLLKNVAGISSHREAVSHGRVTFRDVMDYVD >ONIVA08G15580.1 pep chromosome:AWHD00000000:8:17176683:17179729:1 gene:ONIVA08G15580 transcript:ONIVA08G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G23890) TAIR;Acc:AT1G23890] MAASPPLALLTLTLSLALALASAGLVLDDGYTVTTAADLNHPVSAAPHPYALLPRPRAGDLVLLDSAASALYTLALPLSGGAAARSLAGGGGGPAGFADGEPRDAAFDRPRSLAVDHADNVYVADRINGAVRKIAPSGFTTTIAGGRSKGPGRKDGPAQNATFSPDFELVYVPKMCALLITDRGNRLIRQINLKREDCARETQPGLGTTSVSIIAVLCALLGSVIGFSVRHFYPAHEVSINRFFRRMQMQYKTIQRTAALISFSDIRSVVANSTFHALLLKLVRVCVGYLSVVFPSFRLEKRAPVKTCPSLLDLDYPVITSTGPDNKADESTELVGNFIGFDGDTSSEEDNVPASDGKEPAGELVALLDGPELSNKIDDMIEANLSGFSGQENNHCSAVKCSGISRRRLRGESNVL >ONIVA08G15570.1 pep chromosome:AWHD00000000:8:17173917:17174786:1 gene:ONIVA08G15570 transcript:ONIVA08G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEALRLFAQMETEAGFVPCETTMRVRALQGVRDKEAMHGYVVKGGMAGNRFMQNALMDMYARLGKTDVARRIFGTVELSDVNTLITGCVVQGHVTDTFQLQEEDGIAGVVPNGITLMTLLRGCAILAAPARGKEFHGYAERHALDTDVAVRSALVDMYAKCGVRPAAAADTITWNILIMAYGMHGLGGEAMAMFNRMTASGEVSPNEVTFIAALVACSHSSMVERGATMASSRCQTSTTQRGHPGARWQAGGGICHGDLDGAREWV >ONIVA08G15550.1 pep chromosome:AWHD00000000:8:17166604:17168381:1 gene:ONIVA08G15550 transcript:ONIVA08G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRGGVEAHKAARRTGAAEERSLDRSVISIVHTRRNTTQKRRTNDKAPFPAGTVSCPPPATLARINKKSDGLCKRANKEVFTRGRRRG >ONIVA08G15540.1 pep chromosome:AWHD00000000:8:17158448:17158714:-1 gene:ONIVA08G15540 transcript:ONIVA08G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRLAVSGGRRRRIRPAAARRSGVALRRKVRELRRLVPGGEGAPARSLLVRTADYIVRLKARVELLRALSALYDELPLPAGAAAGV >ONIVA08G15530.1 pep chromosome:AWHD00000000:8:17150303:17153318:1 gene:ONIVA08G15530 transcript:ONIVA08G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPEFHRRPTSTPAAAAAADAVAARATARRPEIWIWLTVASRPRRMKRAVRFTGILVMEEFGRQPCKREQELGWESFHPSNTHQLFDEMSSPLEVFEEDVLLVMSEENITWDEALHLLQEELKDAQCRFDEKLDRFLEVFGLMGDKSNQSEEDKRSNESEEFSASIKELTPTTEAAAFQSPQASPSSAPTKCSIICFSLDTMSDLNMAAAVVCATTSLASMELVAGGNATCEPYVDTPGHPKETHVKCSMVGLEVKGGTDHTRVTCQTMMGVPDGVLVPDALSKVFSLWLIVEMDLVPLLLTVCSMKCSKDKKKLLLGNAKRNSWTPSWVGGVIRRWELQPLPWPGSKLYWEGLPLMPPWPPPTRVSFLACETFDIGALVIGTVILTQAMVEIKPWPPPSEVSGLPLLPIQSIGAFHTERKVTDLHWAELKAWSLFDENGTSHILTDEPCELYLGCVIFTAGNTRNLEKLEFIRWTKWQQQLFAERDYPNSAEGNQLIAWNFKQYIDGGVLSFVAIKLSIQPTNVEQISQYIVQYSSIGRELDFYHKLHTLAQVIGRKDSLLQLSEPQLTSCKVGQNTEKGGWCLIMDKRCSDFFKLLALLDGKWEAIRHAMVYLITKLIHISPRNAPFRSTINYLLGGNNLVVLHKSIVGIHLGWWVFPCDIGVRPLEKLVRRLAQFWQHKGQAKPSLAFLNSNPRTSRDMILHRPWDPGGGINSILFHFYWLEGKPNVKERGLLGT >ONIVA08G15520.1 pep chromosome:AWHD00000000:8:17145582:17149538:1 gene:ONIVA08G15520 transcript:ONIVA08G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRFSDWIWPGSRTTRRARDAPASSVAVAMAGALFPDSPSGFREPTMGTLRGPASSGGGARRARKGTSRPRSREVVDREHDMVVVPLDVGGGVDGYSSDSGSDDSDWSIGWLEPQGPELHSDGDSDGSFAVLVPCYRHGRRRVEEPGRGRLVDGNFSGAKNFVERWLSSLPN >ONIVA08G15510.1 pep chromosome:AWHD00000000:8:17137186:17143879:1 gene:ONIVA08G15510 transcript:ONIVA08G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVEGMRDVVATVSGYHGDERHRLVRLIAETGASYVGAMSRSITHLVCWRLEGKKYDIARRLRTRVVSHRWFEDCLKEGRRLPEKPYVLESGEEAGPVPELPTFPRSRSTRNASMEDRCLKELPDDFCNTSYATDVLIVADSGSDCEHQRWSDSSLLKENFVGDHENSKIGATHVKERRKRLKHAQKSNNEDALDPEDNISSLMVARKGRHESSYTSSRSASKQKGDLSKLLHNDDASMMRKRNSLMKKESRTKHAGYLIESCENESLTDSFDEPQMSDTLPTEDRRKTRKTRLRQSTLDMSMILRKVRIKKILNWVKVQEAFSQVIHPDKNQLSVLRKQIKEALTLQLMMTREVMRKPLWKNQPVVKGKQNFPVSFAGLIFHPQGASYHVDTDFVIHAFKNGRILWGKVSTCPLCKTSFAWISKIDEAGTSDQKIYSQTIPCLTSTDTFIFDDSLYGLPESPSGQGACYQCHCREPEELLLSCHVCRSQWVHSYCLDPPLTPWTCIHCRDLRMLYQRYR >ONIVA08G15510.2 pep chromosome:AWHD00000000:8:17137186:17143975:1 gene:ONIVA08G15510 transcript:ONIVA08G15510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVEGMRDVVATVSGYHGDERHRLVRLIAETGASYVGAMSRSITHLVCWRLEGKKYDIARRLRTRVVSHRWFEDCLKEGRRLPEKPYVLESGEEAGPVPELPTFPRSRSTRNASMEDRCLKELPDDFCNTSYATDVLIVADSGSDCEHQRWSDSSLLKENFVGDHENSKIGATHVKERRKRLKHAQKSNNEDALDPEDNISSLMVARKGRHESSYTSSRSASKQKGDLSKLLHNDDASMMRKRNSLMKKESRTKHAGYLIESCENESLTDSFDEPQMSDTLPTEDRRKTRKTRLRQSTLDMSMILRKVRIKKILNWVKVQEAFSQVIHPDKNQLSVLRKQIKEALTLQLMMTREVMRKPLWKNQPVVKGKQNFPVSFAGLIFHPQGASYHVDTDFVIHAFKNGRILWGKVSTCPLCKTSFAWISKIDEAGTSDQKIYSQTIPCLTSTDTFIFDDSLYGLPESPSGQGACYQCHCREPEELLLSCHVCRSQWVHSYCLDPPLTPWTCIHCRDLRMLYQRYR >ONIVA08G15500.1 pep chromosome:AWHD00000000:8:17132213:17134376:-1 gene:ONIVA08G15500 transcript:ONIVA08G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASIAAHGGPAGCGGCVKPPVSRVASAHRHRRAITGQQTSYTAPFAILELLEVQLFIRAMSGRRRAETKKQHVLRERTPARRHELSRGNNTLRS >ONIVA08G15490.1 pep chromosome:AWHD00000000:8:17128807:17130191:1 gene:ONIVA08G15490 transcript:ONIVA08G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTDLILSCGSSSGSPMVEISRKQRILGESCMVISLVCFADWALRGLDYGGGSSDLSMLVGSSGGGRRTVGDGGGEAPKLENFLDGILFSDVHGQAAGGYLYSGSTVNGAGGYSNGGCGGGTIELSMIKTWLRSNQPQPQPSPPQHADQDTSTDASASSYACSDVLVGSCNGGGGGAGGTASSHGQSLALSMSTWSVASTAGGSVVVAAESSSSENRRVDSPGGAVPRKSIDTFGQRTSIYRAVRDFH >ONIVA08G15480.1 pep chromosome:AWHD00000000:8:17125594:17126482:-1 gene:ONIVA08G15480 transcript:ONIVA08G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSRYLDNPDAAAERPPEGPGSGFLVVEDEAAVERATVCCGLLDVGEDDIVLFVPIVGEPLSAGRYYVVKAIGHHAGMVLACSREEDKTRILFFSFVDDAPPRPFHHGDIYQQVEVVAVAQAPHCLRGFKAVAVAPDGIPPSLLRRKGWEVSKAMRTSYDGLSDDAHGIDWPLRRRMPDLDGFAIGAGGSPAAVVGKWYCPFMFIRDGERRLKDQVNRCMFYEMTLEQRWEEIYSCDNTHWGSISGKQPDDEVKVNVTVRRSTALLGGTGAVVQEGGPQVVDGVMWF >ONIVA08G15470.1 pep chromosome:AWHD00000000:8:17124823:17125539:-1 gene:ONIVA08G15470 transcript:ONIVA08G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWEKMKWELERGGWVAGNGDLESIERVERREALGRYWDKFGCYLLLESLVLRRMDGSVALTCVQQNEKKELYTATASSWALLARATAVYVLLIGLSSFPMGGPPSYHHHSFILSSTTDGPYLCRHGACS >ONIVA08G15460.1 pep chromosome:AWHD00000000:8:17113505:17114755:1 gene:ONIVA08G15460 transcript:ONIVA08G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQDNPQAAAEPPPDGPGSGILVVEDEAAVERATRWWGLWLDRQVYDLPFPQSRKLKVEYATARMDGGSRAGTTHRTHTHTYLDDVVFVPVVGQPLSSGRYYAVRATGRHAGKVSACSREEDVTSCCFWPLVNDVPPRPFDRGDVYQQVEVLRLPPRGRGFMAVAVAPDGIPPGYLRREAWKVHTSASTSYNLTDTAHGTDWPLRRRMPDLDSFDVGVGGSPPVVVGRWYCPFMFIKDGGEQRLKDQVKRWMFYKMTLEQSWKEIYSCDNIHRGSISSSVNNEVKVSVTVRRSTALLGGAGAVQGGAPQVFDGVMWFLPAASPAAAAGGGGGLGLDMVVWVKMEWELEKGGWVAGNGDDVERIKRVERRDGLDGQWDKFGCYLLVERFVLTRMDGSVALTYEFRHTDKITTKWE >ONIVA08G15450.1 pep chromosome:AWHD00000000:8:17109336:17112374:1 gene:ONIVA08G15450 transcript:ONIVA08G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTGEGIKLSTSWSQSSHIHHESKLRCDGGREDDNDDEEGGAYSGNSKGEQAFVVLMVSLAAASACTSATVSGSVHVGLAAKNLKLMHEGAQDLNLAFPYHRGRALQSPEFMAFPSLESSSMCNPRTGGGERRRW >ONIVA08G15440.1 pep chromosome:AWHD00000000:8:17106529:17107119:-1 gene:ONIVA08G15440 transcript:ONIVA08G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCCGLWSLVNDVPPRPFDRGDVYQQVEVLRLPPRGRGFTAVAVAPDGIPPHLGGLPEEGGVEGPHLGVHELRPRRRGARDGLAAPPPDACPRQLRRRRRWLAARRRRQVVLPFMFINDGGEQRLKDQVKRCMFYEMTLEQRWEEIYSCDNTHWGSISGKQPDDEVKVNVTVRRSTALLGGTYAVRGSGLRWSTG >ONIVA08G15430.1 pep chromosome:AWHD00000000:8:17100999:17104525:-1 gene:ONIVA08G15430 transcript:ONIVA08G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADVSSSKTTTTRDYSTAILECAKKKSPNRLMADDAEGGVAVDNSTVTLSEATMEELGIFRGDLVTLRGRWRREAVCYAQKDESCPDGRLRLSRGVRSNLHVRLGDLVAVKPCPTIRNAKRVQLRPFDDSVEGISGDLFEPYLKPYFMDALRPVKKGDRFLVRGHMHAVEFKVIDTEPNNEPVIVAGDTEIFCDEGDPVKREDEERLDGPGYDDVGGVRKQLAQIRELVELPLRHPKLFQTLGVRPPKGILLYGPPGTGKTLLARAIAAESGAHFVVVNGPEIMSGMPGESEANLRAVFAEADAAAPSIVFMDEIDSIAPSREKAHGEVERRVVSQLLTLMDGLRPRAQVIVIGATNCPNSLDPALRRFGRFDRELDIGVPDELGRLEILRIHTKNMPLSDDVDLERVGKDTHGFVGSDLASLCSEAAMQCIREKLDIIDIENDTIDVEILNSLTVTMDHLKFAMEVTKPSALRETGIVEVPKVSWDDIGGLGEVKRELQETVQYPVEHPEMFDLFGMSPSRGVLFYGPPGCGKTMMAKAIAKECKANFISIKGPELLTMWFGESEGNVRNLFDKARQSAPCILFFDELDSIAVKRGNSVGDAGGTPDRVLNQLLTEMDGINAKKTVFVIGASDRPDIIDPAMLRPGRLDQLIYIPLPDASSRLEIFRANLHKAPMSRHVDLPAMAASTDGFSGADIKEICQRACKLAVREVVQKSTLVGKALAMAGAELTVDHFKSAMKHARKSVSELDVIKYEYFKRKFSGGIPEEEATEPEPPVGQLRLVAKPKPKTKAELEAEAEAEAAAKAKAEAEAKAKAKLKGKAVAVDDDDDDSTYSMDSMDEDLLY >ONIVA08G15420.1 pep chromosome:AWHD00000000:8:17093966:17095133:-1 gene:ONIVA08G15420 transcript:ONIVA08G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTKPLSAFAGHPEARPPPAAAAEGGCSGYLAVKSDEEGTDETRCWGLRSQPRVRGLPFPQNRVVTVSDPALGEYADAYADAVVFVPVPGAPPSSNRYYAVLAAGKHRGLVRACSREDDAATHCFCRCVRDAKPRPFDPADVYQQMEIVPNRGGFTARSVAADGLPYFLYRSKRWLAYASRPKHFDLAEALGLNDPLRSRSLTTPPPATATATAATAATAVAVGRWYTPFFYIKEDGVPLKAQMDRSTFYEIVLEQRWEEAMGSEASKRVLVGGSVEGKQEAVGAAASARTGGGSGDGYVWFSSATTTWPAGQRVGVHASLWEKMVWEEQRGGWVSDEEVDGGGVRKRVAGGGGAGSRSVLVDRFAVKRLDGSVVVAFDFSHVNKIN >ONIVA08G15410.1 pep chromosome:AWHD00000000:8:17090235:17091481:1 gene:ONIVA08G15410 transcript:ONIVA08G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKPLSLFKSHPEAATQPPPDGRNSGYVVVKGPEDDGGGGDDETCCWGTCGGSRVRDLPFPQNRVLTVRYTEQHGESSTTHADAVVFVPVPDLPLASNRYYAVVAAGKHKGLVRACSREEDMTTLCFCRCINDAKPRVFDPADVYQQVEIVQRRRGRFTARSVAADGFPYFLYRKKYWRVYASKPKHFDLGEAPGLNAALRSRQLALDAFPATTTTAVGKWYAPFFLVKESGVSPPEQMDRATFYEVSLEQRWEAAAHGHGDGYAGKLDSKRVLIGGSVEGRQEGGGRHGDDGYVWFRAAAAAGGGGQRMGLCASLWERMRWEEYRGGWVDEHEDAGEVAGGGSVLVERFVVKRMDGSVAVAVDFVHFNKVREKQV >ONIVA08G15400.1 pep chromosome:AWHD00000000:8:17085050:17088714:-1 gene:ONIVA08G15400 transcript:ONIVA08G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKAVDDTEPGPAPSRPVDRFGFIKPEQGTSPDGISKSKSIHERERERDGRRIKKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLYQFEKLVLEQMPQLGQHFIEEMINPSMYASQWFITVFSYSFPFHLTVRVWDVFLYEGIKVVFQVGLALLRFCHDELVKLPFEKLLHAFRNFPEEATDPDVLLPIAFTFKVSSRLEELEKVYQKKLEGSNASTSTKRSHPLKLKTMSRIGSRALSNFTNSEK >ONIVA08G15390.1 pep chromosome:AWHD00000000:8:17081150:17084216:-1 gene:ONIVA08G15390 transcript:ONIVA08G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBR6] MFFRHFSNHPTSKSPIPSLPKSRSSTASASPTAAAAAAVAFAVVPFPGMATAAKAFWNSPVGPRTTHFWGPVANWGFVLAVCMDGTTAELLASGLSRFQRKCSVISVLTLGESSGAKHMNLLLRILQVPGE >ONIVA08G15390.2 pep chromosome:AWHD00000000:8:17081150:17083935:-1 gene:ONIVA08G15390 transcript:ONIVA08G15390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBR6] MATAAKAFWNSPVGPRTTHFWGPVANWGFVLAVCMDGTTAELLASGLSRFQRKCSVISVLTLGESSGAKHMNLLLRILQVPGE >ONIVA08G15390.3 pep chromosome:AWHD00000000:8:17081103:17084216:-1 gene:ONIVA08G15390 transcript:ONIVA08G15390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBR6] MFFRHFSNHPTSKSPIPSLPKSRSSTASASPTAAAAAAVAFAVVPFPGMATAAKAFWNSPVGPRTTHFWGPVANWGFVLAVCMDGTTAELLASGLSRFQRKCSVISVLTLGESSGVRFPQCFGCFSIVATMKLTSCESTFKYISNGNTCMPLKMSCMYTVPKRNCHGHGLCLHFFPVVSCMYKFLCFAWEYCSSAGKAHEPPASYFAGTWRIKSQRASSKDEVSSSM >ONIVA08G15390.4 pep chromosome:AWHD00000000:8:17081103:17083935:-1 gene:ONIVA08G15390 transcript:ONIVA08G15390.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBR6] MATAAKAFWNSPVGPRTTHFWGPVANWGFVLAVCMDGTTAELLASGLSRFQRKCSVISVLTLGESSGVRFPQCFGCFSIVATMKLTSCESTFKYISNGNTCMPLKMSCMYTVPKRNCHGHGLCLHFFPVVSCMYKFLCFAWEYCSSAGKAHEPPASYFAGTWRIKSQRASSKDEVSSSM >ONIVA08G15380.1 pep chromosome:AWHD00000000:8:17080324:17080533:-1 gene:ONIVA08G15380 transcript:ONIVA08G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSESAPAASETSADTTAYSAAKPKPAFYRPHTMGYDDIPTRMAPPCVVRPTTATKTHKRAGTIRRKQ >ONIVA08G15370.1 pep chromosome:AWHD00000000:8:17075685:17078735:1 gene:ONIVA08G15370 transcript:ONIVA08G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASASASASAAAFLLLPLLAAAATAGHGVCPRQPAAAAVLPRQAPAASSSSSSSSSSCPAAGSPGHRAHHVGVVEIGSGRLKYIEIYVRIMIGPLNVEFILHFMCQGDDVVLQKAVTLVLQNREDFVAILFYASWCPFSKIFRTDFQKLSSFFPTIAHFSFEESCIKPRMLSRYGVRAFPTLFLVNSTMRVRYHGSRTMNSLAMFYKDVTGMNPVSLDAISLERMEEAVNIIENDKKTEQGDSLFMFARSPDRLLHQDTCLALASSFVLMRLLCFLLPKLNACVKQAWRMQFYELKRLFPSLS >ONIVA08G15370.2 pep chromosome:AWHD00000000:8:17075685:17078735:1 gene:ONIVA08G15370 transcript:ONIVA08G15370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASASASASAAAFLLLPLLAAAATAGHGVCPRQPAAAAVLPRQAPAASSSSSSSSSSCPAAGSPGHRAHHVGVVEGDDVVLQKAVTLVLQNREDFVAILFYASWCPFSKIFRTDFQKLSSFFPTIAHFSFEESCIKPRMLSRYGVRAFPTLFLVNSTMRVRYHGSRTMNSLAMFYKDVTGMNPVSLDAISLERMEEAVNIIENDKKTEQGDSLFMFARSPDRLLHQDTCLALASSFVLMRLLCFLLPKLNACVKQAWRMQFYELKRLFPSLS >ONIVA08G15370.3 pep chromosome:AWHD00000000:8:17075685:17078735:1 gene:ONIVA08G15370 transcript:ONIVA08G15370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASASASASAAAFLLLPLLAAAATAGHGVCPRQPAAAAVLPRQAPAASSSSSSSSSSCPAAGSPGHRAHHVGVVENVVKIWSSCLSDTFPCELYNACMNPVSLDAISLERMEEAVNIIENDKKTEQGDSLFMFARSPDRLLHQDTCLALASSFVLMRLLCFLLPKLNACVKQAWRMQFYELKRLFPSLS >ONIVA08G15360.1 pep chromosome:AWHD00000000:8:17067025:17071182:-1 gene:ONIVA08G15360 transcript:ONIVA08G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G04600) TAIR;Acc:AT5G04600] MGLREKKRNQRRVLARRSAAPRSGEGKDFLPLEERPGKKRAREEQPEEPENTSTVLYIGHIPHGFYEEQMQGFFQQFGTVKRLRIARNRKTGKSKHYGFIEFENPEVAKIVADEMNNYLLFEHTLQIAIVPPEKIHPKLWKGVRRGFIPIDRVAIERRRLNKDKTIEEHKKLVDKIVKRDEKRRKRIKAAGIDYECPPLIGSVQPSAKKIKFED >ONIVA08G15350.1 pep chromosome:AWHD00000000:8:17061197:17064604:-1 gene:ONIVA08G15350 transcript:ONIVA08G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATASALIGVMNPLLTKLSGLLEREYGKLKGVGREVALLRDELSSMNTALEAVSDSEEEPSSQVKEWMRQLRELSYDVEDCIDVFVHRLGHHDPGDGLFRRTKRRLKALRSRHCIAGQIAELKDRAVLVNDRRKRYELDAAASSSAAIAIDSRLPALFEEMDRLVGIEGPRDELVEFLTGGINLAPQRRVVSIVGFGGLGKTTLANQVYQHIKSQFDRTAFVSVSRNPNVNKILANILIGILETRKLSSVHQKQHSDTIEDLKHKTFEDCKLISLIRENLQNSRYFIVIDDIWDKAAWRDHLRFAFPENNSASRIITTTRINDVAIACHFSHEDFVYAMKPLSSENSEALFFRRIFSSKEKCPPELEEVADDILKKCDGLPLAIVSIASLLSCKPVTKQEWVWVLNSFGSTVMKDQGSHELAVVKRILFLSYSDLPHHLKSCLLYLSIFPEDHTITRDFLISRWIAEGFITEQRGESLEEVGEKYFNELINRNMVQSFEIDPFSRREAYRMHDIMLDLMISLSTEENFATILDGQHCAPSSNKIHRFSLQCKSVERITWLRTTSFSHARSLSVFGDFNKIPPLVDLEVLRVLDLLNCSSLKDDHIENIGSLFQLRYVRLGNISRIPRQIGKLKLLQTLDLSGTAVKKLPQSIVQLLQLVRLFLPWRVELPNGIGNMEALQVLSVFDGTENSSAIIQELGNLTKLKDLDVYWNCDDTESGHEVYINHLVRSLCKLGGFNLQSLCIRNIYPCSLDILAESWSPPPRHLQTFQTDMGCYFSSLPTWMSSLSELTCLRIHMKKVGEEDLQVLKCLPALLRLDLYPGYPKHTLKVSCSGFSCLKEFTYGPSYVDLALILRHQSTIKNGLGMGVMFEAGAMPKLQQLEFGFNAHDMVSAYGAGLDFGIQLLASLRHVLIFIDCRDASDCEEAALAATTNSVSLRGSYHVEILTILRNVENDEQS >ONIVA08G15340.1 pep chromosome:AWHD00000000:8:17052669:17053595:1 gene:ONIVA08G15340 transcript:ONIVA08G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGPVDPNVNFEDAPAWQFGNVQNFDQEENQGWGNWDEGQDNVNDNVDFLPEIPQPTNVLQINSSSASSILAVNSGNDVPVVSGANEILDPVPLAVAPPPSVFLALTDSDAPVKPAKRAYKKRAVGSATPVVATGLRRSTRLVAISDGRKLSFKDDILVELDPNQGIGKPRGKSVKKLKQVAHEVGLLFSGSSLQESDFMEGTAEVEGPADCPIPLLQKMATDLCGVAPQDVT >ONIVA08G15330.1 pep chromosome:AWHD00000000:8:17044957:17048432:-1 gene:ONIVA08G15330 transcript:ONIVA08G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVTAIALMGVTNSLLGKLTVLLGREYSKLRGVQAGITSLRDELIIMKAALEDLSQLEDCNSQVKLWMHQLRELSYDIEDCIDIFLHSLDHGSVSDGLINKIISWLRTLKVYRHTGKQITALKERAVEVNDRRKRLKLDVDILTLKAVAIDPRLPALFEEADRLVGINGPRDELVEWLTKGNGFAQNRKVVSIVGFGGLGKTTLACQVYQMIKSQFDCTAFVSVSRNPNINKILRDILSQVLYTSNLMSDYQKDHFWRIKENLNQPLEDHQLINMIKEYLKINRYFIVIDDIWSKSAWQVIQCAFPYNSNASRIMTTTRIQDVAQSCCFTHEDYIYDIKPLGSDDSRKLFLKRIFGNEDNHPTELKEVTDEILRKCSGLPLAIINIASLLSTKPVTKHEWKKVWNSIGSMLKQNQDLEIVKRILFLSYYDLPHQLKLCLLHISVFPEDHVIKRERLIWRWIAEGLITEEQGLNLEEVGEKYFNELVNRNMVQPVDIDYTGRAKACRVHDIMLDLIICLSIEENFITIIDDQKSMLSTNKVRRLSLQTNHEKTNIWLGTNRFSQVRSLSVFGDLKQMPPFFDLQVLRVLDLEDCSSLKDGDIENIASLFQLRYLSLRNCHISRVPAQIGKLQLLQTLDLRGTRIKELPETITQLQQLVRLLLGRFGVKMPNGISNMRSLEELVVLDGSKNSVDVVVELGNLTNLKVFSIYWHPNGEIHDEGSYTKSIISSLCKIGEHNLRSLHITHGYSLLLDFLVDSWYPPPCHLEMFRMVSHFYFPRLPNWMSSLSELTFLDINVKQFGVEDMKILQNMPALLSLKLYLEESPQETLVISCCGFQSLKLFYFYPVNGELGLMFRKNKKDGLGLMFEEGATPKLQRLEFRYCAHDAMSAYGVDFDFGIKQLTSLKHLGVSIHCRGARNWEVEAAESAIRNAVYLLPNHPTLEMYRLSEHEIVKDEEEWDDDTGYDGDEHSGSTRWDQTNEYFQQQLS >ONIVA08G15320.1 pep chromosome:AWHD00000000:8:17043988:17049619:1 gene:ONIVA08G15320 transcript:ONIVA08G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRQRPIFHSPRLRERATEADPPPSPAPAKRLGGGGRGSGERPFLFPEDGVWLLLQRALQRSARCRMVGKKVDCISNCRLSSLHLPIPCTSAMNADTEMLEGGTLGDMGG >ONIVA08G15320.2 pep chromosome:AWHD00000000:8:17043997:17049619:1 gene:ONIVA08G15320 transcript:ONIVA08G15320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHFSSPVTVVKILFEFLATCSILNKNVRAKICLYRFIFSLAYIAIFVLFFPCRSNSTWKSRKQR >ONIVA08G15310.1 pep chromosome:AWHD00000000:8:17035962:17037401:1 gene:ONIVA08G15310 transcript:ONIVA08G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPRPALLLLLVAVLLLSHIALCSSAAASGKPKGKGVGGRKALLADDGGEEEEVVVVPPPVKKAKGAAAAVGKIKKKVVGVDGKNQTKVVKGKKSEPAGAVKATKKLSAAAAAKASADAAVVNAKVPKTDKAATAKSKGTDTAKPAKVAKAGSAKAVKPVKTAKSESGVAAKAKKASNSTVDGGAKQAKSSKKAAQAVVDGEASGGKVNATASNEAAEVEEDVVFAEAAEGTDDLISEFKGLPARLQETLMPDLARLSHSSKLYLSAANAGIADGVRPILGGRWAAAAASAASIALLLLPLFMLTALVRRMAPYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLMLQMVDLVAVFSGAASPEEDGNGDATKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFVVVCACARAERRKKAYLAGGTDGGAEEWKKS >ONIVA08G15300.1 pep chromosome:AWHD00000000:8:17025751:17029035:-1 gene:ONIVA08G15300 transcript:ONIVA08G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78140) TAIR;Acc:AT1G78140] MWSRCSAGSAVALCGGRGGHRLLSCAAAPAQQRAPGASASAKGIPRSALRASVTPEFVTAAPDEAVEESSVEREPAAENKLRKLACPICYYPLISSSDQSAPVSAASSSSLECSTCKKFYPNRGDYWDMTVAVGSTEYSESTTVTTEVFRTLALVRADISRLPFVSGSIDAVHAAAAIHCWPSPACAVAEISRVLRPGGVFVASTFVADILPPAVPVLRIGRPYISQFTGSNIFLSEAEFEDLCRACGLIDFKFVRNGFYIMFSATKAS >ONIVA08G15290.1 pep chromosome:AWHD00000000:8:17018087:17022741:-1 gene:ONIVA08G15290 transcript:ONIVA08G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSLSFPVAAASKSLSFPAAAQSNKGTPVARKRIKSGGGAREKKENSGGAREEQGKDDGVGEEQVQRRRDGRRRRRGTGLREMRERQILGFLSIYRLLSLSLARGITLLPFHLHSTRGTASGNAAARSLVLSNEDDLALERELMMLNKPYVKSFKIPPRSYSKSLITHFGLQESCPDGTVLIRRTLKEDLLRARAFRGPLKPQKDQSFTPMSYTSTIPGQHFALLLINSEEGSKFQATGAVLEVYPLNVQQGQSSSAQILLVDDSSNAVSVIQSGWHVDPDRKGDTQTRLVTYWTADDYHKTGCMNMLCPGFVLLSRTTSPGMVLTTGSIPLNMTKDVQTGNWQVVVGDEVVGYFPKEIINGMSGGTEVQMGGIVYASPGQKSPPMGNGIQPVHGGNYRAARFTWVAAQGARIANWTVARDVADINIYDATVTSSSGTGPEGVVFEYGGPGGQP >ONIVA08G15280.1 pep chromosome:AWHD00000000:8:17010237:17014282:-1 gene:ONIVA08G15280 transcript:ONIVA08G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRQCGRGKVRLFRPVAPAAESAVKAVESAWRWLAGGSGGCGLGGFLSTKSGAHAFVLSVELLCILSASAKFVVVDLRLDLKRSRYIQRIEAAVLEEKERQLGGMHHVVYTYKPQLRSGSTLVRIC >ONIVA08G15280.2 pep chromosome:AWHD00000000:8:17010237:17014282:-1 gene:ONIVA08G15280 transcript:ONIVA08G15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRQCGRGKVRLFRPVAPAAESAVKAVESAWRWLAGGSGGCGLGGFLSTKSGAHAFVLSVELLCILSASAKFVVVDLRLDLKTFHSLKKCLEQPYKVLEEKERQLGGMHHVVYTYKPQLRSGSTLVRIC >ONIVA08G15270.1 pep chromosome:AWHD00000000:8:17007866:17008539:1 gene:ONIVA08G15270 transcript:ONIVA08G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIRGFHRAVPSDAADGTAGGAPISAGAIAGTVCAVVVVVGLLAPLVYWLYRRKLKAAASPPLRPPAAAATPLVRAQEAGAAVGGQGDYTRRRAQVAVPARDGGDLCCGMCGSAYDIVRGGGELPETLECGHHFHRRCVQRWLRVNLACPTCNATHIQLCGDDDDGQATTPRHRLTASDYSGWDELSTGVGSSSCRF >ONIVA08G15260.1 pep chromosome:AWHD00000000:8:17000209:17002379:1 gene:ONIVA08G15260 transcript:ONIVA08G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAEARRRWTLVLVNLASVLEKADEVLLPAVYREVGAELGVSPTALGSLTLCRAIVQAASYPLAAYASARHDRARVIAVGAFLWAAATLLVAVSGSFLQMAISRGLNGVGLALVLPAISSLVADYTDDHTRGAAFGWLQMTCNLGSIMGGSFGVLLAPVTFLGVAGWRLAFHAVALVSAVLGILMWCFAADPRAKSKTAASAAEEARELLRDARGVIGVPTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLLATALGALFGGLVGDPVSRRFPNTGRIALAQISSASALPLAAVLLLALPNDPSTGVAHAAVFFIMGFAISWNASSTNNPIFAEIVPEKARTTVYALDKCFEAVFASFAPPIVGVLAEQVFGYKPVSSDASVETDRENAAALAKAVYTEIAVPMAICCLTYTFLYCTYPRDRDRARRNILMASDDQLCQEAGESDSSEIRTQEDEEFVVGSINQRFSTIGELCLSRIALIN >ONIVA08G15250.1 pep chromosome:AWHD00000000:8:16996687:16997949:-1 gene:ONIVA08G15250 transcript:ONIVA08G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEATAARARQQRQPPSWADIPRDLAVQVLRFLPAQVDRACFAAVCPQWRAAARNALLPAPLPLLALPDGAFYCLPYGKPFRFPRAGCAGYKTAACGRWLVFPHDDGCFLVDPFAGATVTLPALSRVRLRPPNAVASYVNVGIAGRNAHVSMFYPHATWMHIKTSDKMPINKLLLCSQNLVAAFIGSSLANAGRNSQILVCQPGASSWSVRAYDKCKLFEDMAFYRGKLYALAHDENLLVVNISQDPNTGDPQISQIGQVIKGDPTWSSVLITDDDDTSTTDKKKLYLVESCGVLLMVRRKVCCRVVGKTVVAGQNEFEVFKADLENSRWVNVTTLGVDQMVFLGRPCSKAVSASQYGMPNDQIFFLDDVMENNKEYSYEEETTSVSVYDMRSAEVSSPLPMAWKHEMISATWLFPWD >ONIVA08G15240.1 pep chromosome:AWHD00000000:8:16985278:16989126:1 gene:ONIVA08G15240 transcript:ONIVA08G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIGLALVTPAIQSLVADYSDDNSRGSAFGWLQLTGNLGSLIGGLFSIMLASTTFMGIAGWRIAFHVVALISVTVGILVRLFAVDPHYINFRNKKQHVRKSAWREMKDLVVEAKAVVKIPSFQIIVAQGITGSFPWSALSFAPMWLELMGFTHKGTGILMVTSAVASSLGGLFGGKMGDYLAKHYPNFARIVISQISSASAIPLAALLLLGLPEDPSTGFLHGSVMFIVGFCISWNAPATNNPIFAEIVPERSRTSIYALDRSLESLFASFAPPVVGYLAEHAYGYNPITYGVGISSVERDKENAAALAKALYTAIAIPMLLCCFIYSLLYQTYPRDRERARMDSLITSELQRIEPDRSHRTSDYYNGEGVSVINIEYGEEGVDAEDDEKPLMQFRIEQSAADK >ONIVA08G15240.2 pep chromosome:AWHD00000000:8:16985236:16986935:1 gene:ONIVA08G15240 transcript:ONIVA08G15240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIGLALVTPAIQSLVADYSDDNSRGSAFGWLQLTGNLGSLIGGLFSIMLASTTFMGIAGWRIAFHVVALISVTVGILVRLFAVDPHYINFRNKKQHVRKSAWREMKDLVVEAKAVVKIPSFQIIVAQGITGSFPWSALSFAPMWLELMGFTHKGTGILMVTSAVASSLGGLFGGKMGDYLAKHYPNFARIVISQISSASAIPLAALLLLGLPEDPSTGFLHGSVMFIVGFCISWNAPATNK >ONIVA08G15230.1 pep chromosome:AWHD00000000:8:16963175:16964437:1 gene:ONIVA08G15230 transcript:ONIVA08G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGDDEFDSRCGGGGVDSSPLSALLRQSASASGFGGGTGSFYGLQELASPPPQLPPLSQAAAHQWTAPLPGGGGAGGASSSPHGVQVSAEQVVAQQGQGGGAPPARGSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFAGPPARSRFDHLFPAPSSLRSAATAAGGGSPSSLPAYLLRPFAQKHHPSPFPPFPSPSTSSPSPSNIAIATSTAAATTAAVAAPGDRYHLASAPSSSLLGMQDHGGSYLSFQSHLGGAQLGASDDVKYTAHTMFDAPGSDLAPRPPPQRLQDPAAGFLGLTHGIMGADGSHMHQQQRSRGHGHGGDELSGVVGGASMTRSVGGGGKKTTFSSGAGAAAHAVPQLEHNAESTSVTVAAAPTPSSAAATAAMRTQSLDSWICTSE >ONIVA08G15220.1 pep chromosome:AWHD00000000:8:16943446:16944966:1 gene:ONIVA08G15220 transcript:ONIVA08G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGWYGLATGAGGGWRTSLGGGASFMRKRPRRAWGVMSPAAPLGRGRRPRPLSPPVSHPATAACPPPLSAAAMSWRPSPRWQWLLRDGCDDGDSHVWIRRWLLLRVDPAVAVSLLLSHPTTAEAQTLLLPSLPLRRRGVHALDGSDSCGFDESINGNLDVPVSNLCCVKLLDIH >ONIVA08G15210.1 pep chromosome:AWHD00000000:8:16931410:16935696:1 gene:ONIVA08G15210 transcript:ONIVA08G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBP1] MTKQSVVVPEVAVPVPPNSAPLLPYPPPRAAPGVAVRKKYLQAQLDLGAGLPLINGWVESMRASSPTHAKAAAALAAAGAVDDERAAWMVRHPSALSKFEQIVAASKGKKIVMFLDYDGTLSPIVDDPDSAFMSDTMRRAVRSVAKHFPTAIVSGRCSDKVFEFVKLAELYYAGSHGMDIKGPAKASRHNKAKAKGVLFQPASEFLPMIEQVHDSLIERTKCIPGAKVENNKFCVSVHFRCVDEKSWSTLADIVKAELKDYPKLKLTQGRMVFEIRPTIKWDKGKALEFLLESLGFADCTNVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKYPKDTNASYSLQEPAEVMEFLLRLVEWERLSRARPKW >ONIVA08G15200.1 pep chromosome:AWHD00000000:8:16927635:16930330:1 gene:ONIVA08G15200 transcript:ONIVA08G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVASDSLDQQRKVAMYGRILAKLDELGARLDHAMGSLSPSSVPATPADSLVSVSSPGATSSVDAQKVFDEMPSNKEPTTASVLHVTMSHVLYPVTAEVLLQVFSPYGAEEVRVYNQGTIQVEAFILSRLCQDATRAREALHGCCIYNGCCFLDVKYMQSCPSDIMSVAPVSD >ONIVA08G15190.1 pep chromosome:AWHD00000000:8:16910986:16912381:1 gene:ONIVA08G15190 transcript:ONIVA08G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRHTHRCVRVLAPRASRTGWYWVEVEPSSWMARLVLTKYVCKEPIAFTYSSSLDLMRQSLWRRQTVADEGEDASNG >ONIVA08G15180.1 pep chromosome:AWHD00000000:8:16908189:16908623:1 gene:ONIVA08G15180 transcript:ONIVA08G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQHDDNRGAGPHGASGSDWRRRGGEPIGGVDLVDRGELLAKRVLVVRDGDRLADAVGADVSEGDAKREPAGEDAAGCRKIAVSSALRSARRAHGSIVIFPAAASIATTNAPATVAAGHGVSVPPLSDDHEEREREEGKERED >ONIVA08G15170.1 pep chromosome:AWHD00000000:8:16902894:16903184:1 gene:ONIVA08G15170 transcript:ONIVA08G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRVLLLLEVVTIIVVFGGHDDEEASGEAGAHSLPPKTRTLSRSKGGAEDNGDGGGGCDLLDPSLSSSTAGKQVGKRELACRGSWRGRCRGRRAA >ONIVA08G15160.1 pep chromosome:AWHD00000000:8:16899681:16900520:1 gene:ONIVA08G15160 transcript:ONIVA08G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIEGNLMRALGEAPSPQMQKIAPPPFHPGLPPAPANFSSAGVHGFHYMGPAQLSPAQIQRVQAQLHMQRQAQSGLGPRAQPMKPASAAAPAAAAARAQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALTYDQAAYRLRGDAARLNFPDNAASRGPLDAAVDAKLQAICDTIAASKNASSRSRGGAGRAMPINAPLVAAASSSSGSDHSAGGDDGGSETSSSSAAASPLAMEQLDFSEVPWDEAEGFALTKYPSYEIDWDSLLNNNN >ONIVA08G15150.1 pep chromosome:AWHD00000000:8:16872067:16879054:1 gene:ONIVA08G15150 transcript:ONIVA08G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLELLLQSIQELIEDQGENNPFGAANHDELIASLLHNNQENPLTDVSVEDVRDGKDMQGIPWEKIVFRRDQYREMKMKNYRNYQNLSYAREEALKDCKKVEKDSPYYDFQYNTRRARPSIVHFQLRNLVWATTKHDVYTVHNQSVTHWSSLDQTSTELINADDCIIPKQRGHGSQSVAMVQVTTMAVDDSLLVIGGFQGELICKRLEDDGVLFSTRVTDDENAITNSLEIYQDPTGSRRLVAANNDCSVRIFDIEYFDLLKHHVFPWSVNILVFNQSVSVSPKGGLFAVLGDHKDGLVVDPKCGKAIGALKGHLDYSFASAWHPDGNILATGSQDTTCRLWDIRNLSESVAVLGGRMGSIRCIKFSSDGRFLATAEPVDFVHIYDSYADYGRSHEIDLFGEIAGLSFSPDAEALYVGIADPTYGGLIEFNRRHQHHYLNCMW >ONIVA08G15140.1 pep chromosome:AWHD00000000:8:16860538:16860813:1 gene:ONIVA08G15140 transcript:ONIVA08G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIRSATAIPSDLQPSDLCRLRAVRVGLHDMLQECCFLASNLSSSAAQAHRRPLVAGPPEQPPPATTTIVRHRSHLGKEKGKMEKEKGRR >ONIVA08G15130.1 pep chromosome:AWHD00000000:8:16860090:16860480:-1 gene:ONIVA08G15130 transcript:ONIVA08G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYTPHLTRWRVATSDGDELDCVDYKVRSLIFQCEDCHRLFPDYKEDTHECRQGVLTDGREDGTVTKGEKKGVAEVDVDYEVWVLGCVGHYLYPESNTVE >ONIVA08G15120.1 pep chromosome:AWHD00000000:8:16849936:16850871:-1 gene:ONIVA08G15120 transcript:ONIVA08G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSRAPWLDLAVVGVGWAWGGTEVDAARGGAVGEGRRLSRCVDASSSFLGVLSSRPLPLVGLPGENPVLVFPETLMDGGSSVIVALLPRDVVKEVPSPNLLSNSWCRLTLDSSSCDSALAFVLSVCCGGGGIFSFLATTF >ONIVA08G15110.1 pep chromosome:AWHD00000000:8:16848520:16849208:-1 gene:ONIVA08G15110 transcript:ONIVA08G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGKRGGRKNPMRRTTSMTEFAPPVDVLVGGRVADEAEAEAEADEATELEVSGGGEVEEEDAAVEEASYGWFGAGADGAGVRADWLAAYRARAAPALAGLRRNSADFSAVETAAFLRACGLCNRRLGPGRDTFMYKGDTAFCSLECRQQHITHEEWKEKRALAIATAAAAPPQPPPSMPDPTAAGSDNPAGGTLAAA >ONIVA08G15100.1 pep chromosome:AWHD00000000:8:16829822:16831916:-1 gene:ONIVA08G15100 transcript:ONIVA08G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSECSTQCRDGKGTTATTTTATTILPLPLSPSASMAGLRAYGAETSTMAIRLSFSPLTPSKRRALEGGAPEHGRTQPLDTLNHGPELMVESPWAKTYFHHARMRWYVAN >ONIVA08G15090.1 pep chromosome:AWHD00000000:8:16821576:16827229:1 gene:ONIVA08G15090 transcript:ONIVA08G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARSFLLPPVRLSPTPLTGALPPRQQPGRPPASPSLASRPPLDEDALTAPPPPFFPTEASSSSYSYYWARGLVVPLPSDHRLPPRRRPSMELHRSSSSSSSCRRYPAGGRDCVAVVRAEEEEEEEEEELPWHFGSSLIDSASADIWKAHAGSSQSEGSALDMERNGCNHNCCPSPLQPIASGGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPTGQRATTLLDLMIIRAFHSKILRRFSLGTAIGFRIKKGTLTDTPAILVFVARKVHRKWLSTTQCLPAHLEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPSIGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDYDITSVNTSVKGVGVIGDVKAIDLQSPISSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGKDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQEALEEQRIILAAAAAAANSTAGESSPVAGPQENEKVDKIYEPLGINIQQLPRDNSATSTGPDEFHVDTVEGVTNVEERQFLIGMSPAREGQEANGDLNNLAELENSPEDICFSLHLGEREPKRLRSDSSLDIDLQK >ONIVA08G15090.2 pep chromosome:AWHD00000000:8:16821534:16827229:1 gene:ONIVA08G15090 transcript:ONIVA08G15090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSDIWKAHAGSSQSEGSALDMERNGCNHNCCPSPLQPIASGGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPTGQRATTLLDLMIIRAFHSKILRRFSLGTAIGFRIKKGTLTDTPAILVFVARKVHRKWLSTTQCLPAHLEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPSIGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDYDITSVNTSVKGVGVIGDVKAIDLQSPISSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGKDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQEALEEQRIILAAAAAAANSTAGESSPVAGPQENEKVDKIYEPLGINIQQLPRDNSATSTGPDEFHVDTVEGVTNVEERQFLIGMSPAREGQEANGDLNNLAELENSPEDICFSLHLGEREPKRLRSDSSLDIDLQK >ONIVA08G15080.1 pep chromosome:AWHD00000000:8:16790071:16792950:1 gene:ONIVA08G15080 transcript:ONIVA08G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:asparaginyl-tRNA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT3G07420) TAIR;Acc:AT3G07420] MAASSSSTSFSSSSSHEGDAGSSYIECFKYSRRATLRSVVGRPDGGAGLVGERAVVGGWVRSSAVVRARRAAAGPASPSRKPEVEATGLTCTEVLMSRVPLIRCIARLMAGGITAAASAGGSARRPAVGTALVRINDGSCVADLQIVVDSALLPLDQINATGACVLVEGKIEQVEGTLPQYVVQMKVEKILHIGPVDSEKYPRSNAHSSPDLVRGYPHLAARTATVASTARVRSELVHAVHAFFQSNGFFHVNTPTITTTTATSAGNRGKMLRLTRLFSKSDNGNRITPEAVRAAIKEKTKQVEALKRSESNREALEAAEQDLQRANALSRQLEQGASAESSQDEFFHRPAYLTPCHTLHLETYACALSSVYTFSPVFQAESESLDSDRSLAERWTVDVELAFAELEDAISCAEDCVKSLCSTVSKDCSDELKFLSSNQAGDATSSVIEAAVSSPWQKIKYTEAVNTLLQVTDKTFESKLELGMPLSREHLSYLADDLYKKPVIIYDYPKQLKPFYARLKEDLKTVSAFDLVVPKVGIVACGAQKEERMDNLTSRIEESGLQVEQLEWYLDTRRHGTVKHSGFSIDLESLILFVTGLKDVRDAIPFHRTKGHAKC >ONIVA08G15070.1 pep chromosome:AWHD00000000:8:16772705:16776377:-1 gene:ONIVA08G15070 transcript:ONIVA08G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLFSLAPSPRLLLPLRRLDPKPLLRPKPLLLSTAMGSPARAQPSSWRSAGRPPPQQQWSCATCTLDNPGHSRACDACGNSRPVEVDGDAVAKAQTPTLPTMSTPPARASTSSGCGAGRPPTERKWSCAACTLDNPGHSRACEACGNSRPMEVVAVDDDDEDALDLGALAGASFLPLQRHSMKRERAASPEVVGVCADEGDGAKGGEDKPAKKKACAEIILDKKTFKIMTYNVWFHEDLELCRRMDALGDLIKNHNPDLICFQEVTPNIYLLLQKSDWWQEYRCSLSNSMAMQRKYYCMQMSKLPVESFDCTPFSNSIMGRELCVAHVKTGGAVKLVLATSHLESPMPGPPTWDQMYSTERVAQANKSLKILGSFRNVIFCGDMNWDDKGDGPFPLPAGWTDAWIELKPGEDGWTYDTKANSMLSANRKLQKRLDRFVCKLADFKINSIQMIGKNAIPGLSYVKEKKVRKEVHKLELPVLPSDHFGLVLSITLES >ONIVA08G15060.1 pep chromosome:AWHD00000000:8:16763495:16770176:-1 gene:ONIVA08G15060 transcript:ONIVA08G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLHLLLELGFRFNPSPEEVVTYYLPRLIAGHPPKDTESCIHRANVYGAEPRELAAQFAPVARSSNGDRFFLTECKRIKGKVSRVAGGGSWVSQTSKDIKNREGIKVGEAKNFRFKKDGTNTDWLMEEYHLCLRQASDLEPVLCRVYVSPRAAKDSAAHQESAALTPQEPAPPLAHAPAPAPIQEPAALPRQELAPAPPRLEAVITQQQATMKMGGSVPASKATRQSCVTASAPPPRRVAPQPAPPSLRTAPAAVAPPRQVPVITQQQAPPLKRPAPPVPSPPCAKKIRGPVSASPAAPPPSPDPPIQSYAIDPPIQSYAMDPFEQPPSPYAPHGVDDMDEFTKSLEAQLEEADGDEIAAATVAPPMAQNVAPDDDMDEFTRSLEAQLEEADGDDKIDDDEIDEEIFQIPLKD >ONIVA08G15050.1 pep chromosome:AWHD00000000:8:16760645:16760854:-1 gene:ONIVA08G15050 transcript:ONIVA08G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERGGATDGAQDLLKEEKGLGGCEGVGNGEERWPEAMGATELSVERGRRDFGGSGGGGRQMVAGERR >ONIVA08G15040.1 pep chromosome:AWHD00000000:8:16758616:16759026:1 gene:ONIVA08G15040 transcript:ONIVA08G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTAKKSTAGNVPRKLLVMKVARKSAPMMAGLKKPHRFNPWIVALREIRTYQKSTELLIRKLPFQRLVQEITQDIRSYVRFQSSAMAVLQEAAETYLVGLFEDTNLCVIHAKRVTIMPKDIQLARRIRGEKA >ONIVA08G15030.1 pep chromosome:AWHD00000000:8:16743045:16743774:1 gene:ONIVA08G15030 transcript:ONIVA08G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTAKKSTASNVPRKLLVMKVARKSAPTMAGLKKPHRFKPGIVALREIRTYQKSTELLIRKLPFQRLVQEITQDIRSYVRFQSSAMAVLQEAAETYLKSTAGNVPRKLLVMKVARKSAPMMAGLKKPHRFNPWIVALREIRTYQKSTELLIRKLPFQRLVQEIAQDVRSYVRFQSSALVALQEAAETYLVGLFEDTNLCVIHAKRVTIMPKDIQLARRIRGEKA >ONIVA08G15020.1 pep chromosome:AWHD00000000:8:16697935:16698890:1 gene:ONIVA08G15020 transcript:ONIVA08G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGERLTRGRWWGQKAAKWMEHTLPRVWGRKDNVFHHECTCIENIRMPAVPYIEDADAGVLQDHVEDHDDALPPYLSGQIVDEQE >ONIVA08G15010.1 pep chromosome:AWHD00000000:8:16696828:16697564:-1 gene:ONIVA08G15010 transcript:ONIVA08G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNHHASATSASAASSDPMDSTASYQGGLGHKNKTKIKKGKEKGSSTVPTVYDVNADIEEEYRLFLENVRVHENEDFVLEYDGKVIRYGGEEMDDDDSCIEVLMKEKEEVLKALVISSDDESPTSLRRVYENDSSRQKVEMVVDDQEKMNEKNEVALRLKGKGGPIEDVEKLSPFNLSQGCKCLGFLYGANTL >ONIVA08G15000.1 pep chromosome:AWHD00000000:8:16678213:16688902:1 gene:ONIVA08G15000 transcript:ONIVA08G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATAAMGSLLSRLAELLSLEENQLQEGVRNRVRQFSRGLKTMDTTLRLVANIPRDHQLDEQVRLWAHDVRMLSYDLEDVVDTVLISLRVGSDPEQADLEMLMRLVAKMNDQLFNGSVEYGQMFSDAVAKQRLRYVATKHGAGNYTAVGHPTHDPYTKAMSTKLVGIDGPRDEVIEMLSMGDQSKLKIVSVFGFGGLGKTTLVKVIYDKLEPGFQCGAFVTVSRINPNMNRVFRDILYYLDKKKFTNSYTLMLDEKQLINELQEFLQNKRYFIVIDGLWDINSWNKIRSALPDDNCGSKVVTTSGISNVARDVGDVYNLQPLSHGNSKKLLSTRLYVDESKCLESTSAEASERFLEKCGGVPLGVIAIAGLLASNPEDNWSEMYNSFCLGKGINDDVENIRRILSFCYYHLPSHLKTCLLYISIFREDYEINKCLVIWKWIAEGFIHGDQQIGLFELAEGYFVELINRSMIQPVEAQGTGHVIGCRVHDMVLDLVRSLSSEENFVTVLSEDGDEQQKFPLTNANRLSLQSRVVEKRHPQLANVGMEQVRSFVAILSDIHVVSPSFQVLRVLALEDCKFIEGYTSNGLEHLGKLLHLRYLGLTRTRGFHRLPEEIGQDLKFLQTLDLYETDLEEMPFTVGLLTQLLCLRVDVGTRVPAGLIGNLTSLQELWIYPAMKDFSMGFATAMQFVKDLGKLSELRVLKTRIHGWDQSMEIALVESLHNFHKIQLLELHGESYLGKGVTWETGFVSSQHLRYLSLACMQLTRLPAWMNSSLLPNLSYLVVNVQFWQEQDMETLGRMPELCSLELQSCNIRVVNIKHTCGDIGYFQKLRSLISYAILIRFDLYKLSSSSVRIDEPTTMPTLEYLQLMVHVHFLKDANLGFDKLVSENLPSLQRVKAIINCSDARLTEVQEAEEALTDAANVHPNHPTLKLMRYNEHRMVSSDQAQQVYAITPINSTSLDVTQFGGKNRVIQAISSSLGTMGCLITKLDMLLDQGCKLPKGVKNRILLLKGDLEEVGTYLEDLSKVDDPHLMAKCWMKEVRELSYDIEDYTYNIEDKIKLAGHVHLNTKTRFVCRINHLKISGVPRRLKWHQQIGSMISEFRIYVQEAIERYERYDLHSCTYRQRYASVSYVLSTPYEQTADLVIDGRTSEFIKWLANDGDPKLKMVSIVGCGGIGKTTLAKLFYNKFGGRFDCRAFIQVPQKPNMKRLFCDIISQVQQNNPHEDCKELELIDNIRRHLQDKRYLIIIDNLSAASVWDILNQAFPECTQRSRIITTTRIISVALNCCLHRSEYIFEMKPLGDDCSRKLFFKGLFGSERDCPHRFKEASNKIVQICGGLPLAIIIIASLLASQPVVSMRLWIHICNSLRPDLWTDSTSDGMKQVLNLCYNNLPHYLKNCMLYLNKYPEGYKISKDALVKAWVAEGFINVTKDLGMEKVAARYFDELIGRRFIQPIEINYNDEVSSCTVHDLVRDLIAQKSADENFIVIIDGYRKNVGLIDKVRRLSVQFFYSKYTKVPSNIKRSQVRSLTFFGLLRCMPSITDFKLIRVLNLQLVGHLGENTLDLTGISVLFQLKYLKIVCDICIELPNQMRGLQLLETMDMKTKLTAVPWDVFHLPGLLHLYLLLEPNLLDWIGQMKSTITLDASSNSSQGNLNNLQDICLSCCALPSEHLQRNMETLGSLLGAVSNLKTLSIVSSSNQNVDMVSGTSDATVAWDFLTPPRFLQRFEWLLHDCIFSKIPEWIGELDNLCILNIAVRELVKNGINILRGLPALTSLSLNVHTTSIEKVIFDKGGFSVLKYLEFRCSVPWLKFESDALPNLRKLKLVFNSLFENIHGTAPISIEHLSCLEEISAKIRGGGNVEFPLTSAISNHPGNPRINFQLVDGVFYGDEDEEHATPTMGLEGRCWETVSGDSDGVGRCPQPNHVLENVLLESILQFLTTARDRNMASLVCRYWYHAEAETRQELFIRNCYAVSPNRVIERFRGLRSITLKGRPCFADSTLVPKGWGGYASPWVAALGPAYPHLKCIFLKRMTVSDNDLRLIAQSFPQLRELSLMSCDKFSATGLAIIAEQCRHLHVLDLINDKVEDTVDEQVDWISMFPKPSTSLESLLFSCVDTPCNFESLEALVARSPGLCQLGVNRHVTVEQLCCLMAIAPNLTHLGTGVFRSKTGYPAGEAPPSVSELATYFAACRSLHSLSGLQDANPDYLPAIYPVCANLTSLNISSATLTGQQLAPIIRSCGNLRTFCVRDSIGDDGLSAIAETCLDLQDLRVYRLLRGSEHHLSVSDVGLETISKGCQKLKTLTYYCGSMTNAAMVIMSSNCPNLEVFRLNILKTYLPDRITGEPMDEGFGAIVMNCKKLSRLSTSGLVTDKAFAYIGQYGKSIKTLSVAFSGNTDMSLRYVFEGCTRLQKLEVRECPFGDEGLLSGLSHFWNMRFLWMSSCRVTMTGCRYVAQQMPNLVAEVISGHSGNEDVTADNVDHLYLYRSLAGPRDDAPSFVKIL >ONIVA08G14990.1 pep chromosome:AWHD00000000:8:16669052:16674947:1 gene:ONIVA08G14990 transcript:ONIVA08G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGPSRAPIEPTQTHKPLRCPPLRRLSSCPPERERSKLAAQARGGGGRVCVPPDRARERRKGGVGRRSTAASRTGDAAAEDWQSSLSFVVSSTPPDGKVS >ONIVA08G14980.1 pep chromosome:AWHD00000000:8:16659038:16660831:1 gene:ONIVA08G14980 transcript:ONIVA08G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARVGSAMIFLLLAFLSEVSASISQQQQQQCGGASSAGVRAGFWLPSSSHYSPLGSIDTSLYSHLYYSSLSIDETRCAVAPPSSGEESSILSNFSSSIKSSGGGFAVKTILSIGTDEFREDVSNAAFSRMASEKNLRRAFINSSIELARANGFDGLDLAWRFPATQLDMENLGDLLAEWRAEIMEDSTTRSTEPLLLTATVYFSNHLFGMADTNLNYPIDDMSSSLDWVNIITFSLHKNSNVTTADAPLYDKDSHFSASYGVISWLDAGLPPCKLVMGIPLFGRSWFLRNKDKNGLGAPTAAAGTKQRKSNQIGVIAYAEIEEYLKSQSVFVTHDNQSVADYFYSGDLWVSFDSAVVVQEKVEFVAKSQLLGYFLSTISFDDSNYTLSKQASQSWNQYHVSSYAQGSFGIMQEGAIIQDLHASTGSPSSWYSKTLSYLLLSIILVLEVL >ONIVA08G14970.1 pep chromosome:AWHD00000000:8:16645192:16645600:-1 gene:ONIVA08G14970 transcript:ONIVA08G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFKPLTTMAADRFLHFASLVSMVVLAAGSRSLDGMAALPRRGQLVAGGDNDKNECVYTLYVETGWIWMAGTDAAIGVELAAVDSSGFAAGDLERWGRLMGAGHD >ONIVA08G14960.1 pep chromosome:AWHD00000000:8:16643218:16643877:-1 gene:ONIVA08G14960 transcript:ONIVA08G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAENEAERRRTGSSQSPARMSPRRDAPVVMGAKTATRWERVTAAWTGSGGERRRRQQRTAPAVADEDGANEVGRREAAENVVEGMVGEHCGGGNLTAATPPPPSAPPPRLLLRRRSSVGAAATPPRPSRGARPLPLCLLLHQCRCYYSFSTDAAVPPSPFPREARPPSLHLLFRRRCSPPRGACPPPLCFNLRRHRRSASSAATSDRRERGRRERRG >ONIVA08G14950.1 pep chromosome:AWHD00000000:8:16640782:16642194:1 gene:ONIVA08G14950 transcript:ONIVA08G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVEADDEAMHVALFPFLAFGHISPFAQLARSLGAVGGVRVTFLSAAANVARVEAMLPADGTAVVAALHLPRVPGLPVGAESTAEVDADGAELLKLALDGTRPQVEVLLARLRPDVVLFDFATPWVADVARQLGARAAHFSVFTAVTSAYLTVPARRRLHHGAASCPTVDDLATAPVGFPPSSSLATVPTYQAADFTYMFTSFHGMPSAYDRVAACDKASDVLVFKTCAEMEGPYIEYIATQYDKPILVTGPLVPEPPHGELEERWATWLSSFPDNAVVFASFGSETFLPTAAATELLLGLEATGRPFVAVLNFPRSVDAEAELKKCMAPGFEERVKGRGVVHSGWVQQQHILRHRSVGCYVNHAGFSSVVEGLVAGCRLVLLPMKSDQFFNAALLARELRVGIEVARRDGDGWFGHDAVRDAVNAAVAGAGGGDDDERKWREFLTDDAVQRRFVEEFVRELRKLVL >ONIVA08G14940.1 pep chromosome:AWHD00000000:8:16636155:16636469:1 gene:ONIVA08G14940 transcript:ONIVA08G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIAATVEGEEIGSGKGISGLWRRRRRRRSTGGRSGGLRRSEEKRHVLGTAAAATPEGDDPPTVANGECEEEEGGEGRALAGPAVAGRGGARRIGVCWQRQQRR >ONIVA08G14930.1 pep chromosome:AWHD00000000:8:16616814:16618122:1 gene:ONIVA08G14930 transcript:ONIVA08G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLHYLSDLLLGGSSGKTSHKKKRQFNTVELKVRMDCDGCELKVRNTLANMKGVQSVEINRKQQKVTVQGMVDTQRVLRRAQSTGKRTELWPYVPYTNPYVAPPAAYDKKAPNGHIRRVDAVLPVTPSQEERLATLFSDDNPNACAVM >ONIVA08G14920.1 pep chromosome:AWHD00000000:8:16593402:16598478:-1 gene:ONIVA08G14920 transcript:ONIVA08G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBL0] MDITGAGAMGGGSTAATAAAAAGAGWKTPVSMVLVQLFITGQILLSKVSIGSGMLIFVLLAYNSFFAVVFLLPFALIFERGKWRDMDWGAFGWIFLNAFIGYSVPMSLYYYGLKDTTSSYSVIFLNITPLFTFILSLMFRLEAFKLRSIPGVLKIASILLSIGGTMLISLYKGKSLHLWDSIIQHQNEHKSATNQLRGTILLVGSSFTFACWFLIQSKILKVYPYKYWSSMVTCLVGVFQTALVGIILRRDKSAWELGWNLNLVTIVYTGALATAGKYILNSWAITKRGPTYPTMFSPLSVIFTVVLDSVLLGNDITIGSLLGTALVIVGLYLFLWAKAREIPKKST >ONIVA08G14920.2 pep chromosome:AWHD00000000:8:16593415:16598478:-1 gene:ONIVA08G14920 transcript:ONIVA08G14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBL0] MDITGAGAMGGGSTAATAAAAAGAGWKTPVSMVLVQLFITGQILLSKVSIGSGMLIFVLLAYNSFFAVVFLLPFALIFERGKWRDMDWGAFGWIFLNAFIGYSVPMSLYYYGLKDTTSSYSVIFLNITPLFTFILSLMFRLEAFKLRSIPGVLKIASILLSIGGTMLISLYKGKSLHLWDSIIQHQNEHKSATNQLRGTILLVGSSFTFACWFLIQSKILKVYPYKYWSSMVTCLVGVFQTALVGIILRRDKSAWELGWNLNLVTIVYTSSRHSIGDCRALPFSLGQSTRNT >ONIVA08G14910.1 pep chromosome:AWHD00000000:8:16591530:16592226:1 gene:ONIVA08G14910 transcript:ONIVA08G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAEKAAALRQGLTAGDGEARRTGALWRTDAWRQRAAASAAAASVARTWPSSAPWLRWRRCRAAAAAGGATGLWRTGPADRGLRRRRRRRGAQLRDAPRRQRGAFAGVRGVRGELLQHGTHGVAGPAARRAPGAP >ONIVA08G14900.1 pep chromosome:AWHD00000000:8:16586563:16588635:1 gene:ONIVA08G14900 transcript:ONIVA08G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G12100) TAIR;Acc:AT5G12100] MSRRLLLPRRSLCSTSAAAAATHLHELASLLAAGRFHASVDLAKSLLLTAQPPAASVVPDLYHAVAAAAAAASASASASPGDPHPASSFLCDAASALVVASARLRLPDGALRLLSDLADEARAPLPSLSSCNLLLEALLSLGRHADVRRAFGILASAGARPDTFAWNKAVQACVAAGDLGEAVGMLRRMGRDGAPPPNAFSYNVVIAGMWRAGRGGDAVEVFDEMTERAVLPNHITYNTMIDGHIKGGDLEAGFRLRDQMVCHGLKPNAITYNVLLSGLCRAGRMGETSALLDEMASQKMVPDGFTYSILFDGLSRNGDSKAMLSLFGKSLKNGVTIGDYTCSILLNGLCKDGKVSIAEEVLQSLVNAGLVPTRVIYNTLINGYCQTGELEGAFSTFGQMKSRHIKPDHITYNALINGLCKAERITNAQDLLMEMQDNGVNPTVETFNTLIDAYGRTGQLEKCFIVLSEMQENGLKPNVVSYGSIVNAFCKNGKIPEAVAILDDMFHKDVLPNAQVYNAIIDAYVEHGPNDQAFILVEKMKSNGISPSIVTYNLLIKGLCNQSQISEAEEIINSLSNHRLIPDAVSYNTLISACCYRGNIDKALDLQQRMHKYGIKSTVRTYHQLISGLGGAGRLNEMEYLYQKMMQNNVVPSNAIHNIMVESYSKYGNEIKAEDLRKEMLQKRNNHDDT >ONIVA08G14890.1 pep chromosome:AWHD00000000:8:16577927:16578718:1 gene:ONIVA08G14890 transcript:ONIVA08G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQMLSWLSSGAAWFVFLNVIVGAIAVVSWLGQGVGGTTSTPSSAARRRLARTASTVVMERLRSVANFPFQYLSGDYSATPLHVHGDISSGSDYFYYPREAEEELVEAVAAAPFRPEPPAPVREVVAATTPSMAAPRSSPVAAATAAAIKNEEEEAEAEEEEESISLDEAYALAQQAQARSPRSAPDAAAAAKAADAKPRRARAEEVEEGKAEVNARAERFIKQFREDLKLQRINSIINYTNALRRRGAGGVAATAPAPAR >ONIVA08G14880.1 pep chromosome:AWHD00000000:8:16557495:16558865:1 gene:ONIVA08G14880 transcript:ONIVA08G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTTITTTNTTTTTNNNNNNPVSTTTTTTASHQRQSLPVAARPPHSSGSGSGSGGSHRDASGGGSGGGGGGGGGGGGGGGGTNQACAACKYQRRKCNPDCPMAPYFPADQQRRFLNAHRLFGVSNILKTLRHLKPELCDDAMRTLIYQAEMRAHDPVGGCCRVICDLERQLELDTAELNTVLHHLAMCRQAAAAGASGSVAATLPAGVLDDACADLDVTSSNQPLLLSAEHEVVDALYANQEADAAILHADGHHNQEESQREHHHGQPQQLYDYFYYDSTAGDDVSSKPNLDINVDGMQHFDFDTNYDAEHKVELTSDHQMPVGVDEHNQIDDKGFEIKSGPSLVDVFDLRQEEEQVQTVDVNTDIEVKEMVDMNADIDVKTMVDENSNIDIIKTMVDVNADIVDVVKTVVDVNGDIGVKEELPELENGKIIAGDATQMAESSHCILGLGVSSF >ONIVA08G14870.1 pep chromosome:AWHD00000000:8:16552309:16552962:-1 gene:ONIVA08G14870 transcript:ONIVA08G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVESSSSPSLWWWPLPPWLSPGAAWFVLFTVVVGAVAVSSRAHEQAPPPPPSSTRRRLTRSASSMVMERLRSFSAFSFVHAISGVQEDDITVGPPTSPAASGNAEAAEENPIGLDEAHAHPAVAAVRAPPPQAAAAAAATAGEVAAAATAEERPRKRREAAKGRRAFREVEGKAEVNARAERFIRQFREDLRLQRLMSVLNRTHALAGAASSSAP >ONIVA08G14860.1 pep chromosome:AWHD00000000:8:16536735:16547575:-1 gene:ONIVA08G14860 transcript:ONIVA08G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBK4] MAQRLLHGVMEAKVLEAKLSSVSSEASDYGHGQPKLAAYSKEVDSLNEMEDLRLTLESQKLPRTKLLSLIMKLPFIFTCQRFDKSTDQAHHGDGKCLVYATIGMDAARVARTRATDQPQWTEEPLHVYCAHDASDIVFTIVTTGGHRDGDPEDGTAEEVVGQAYLPADDVGGGKEIDRWLPLCDEKRKPLEGLDKVHVQLRFTDVMSDVTSRWGKGVDGPVPPPPYTGLPRAFFGQHRGCKVTLYQDAHVAPPLAGSRCWEDVFDAVANARSLVYIAGWSVSTDVALVRDPRRPAQTLGHLLKSKAGERVAVLLLVWDDRAATGLGAARRDGRMGAARGEDTASYFRGTGVHCVVCPRDAVFTHHQKAVVADGPRGLVAFLGGIDLCGGRYDTQEHPLFRTLATAHRDDFHQPSFPGASVAKGGPREPWHDVHCRIEGPAAWDVLDNFEQRWRGQGGAGGEALLARLPRSSAAREAVEQDNQEWHVQVFRSIDSRAVDGFPDTAGEAARCGLVTGATGDTVERSIQDGYIHAIRRAKYFIYIESQCFLGSSYGWNRDVAGGAATAKNAAAAAVAPHTIPKELSLKLASKIRSGDSFRVYVVLPMWPEGVPESATVQAVLDWQRRTMEMMYKDVAAALAARGSTQNPREYLSFFCLGNREPYVPGEHAPPERPELDSDYMRAQQARRFKINVNANIMIVDDEYIIVGSANVNQRSMDGGRDTEIAMGAYQPRHLYQAMNSWPYEYWPRGQVHQFRLALWREHLGQSAFQAATTGDNVIYPSRDGCMSRVNQAARQHWDMYASDKFQGSLPGHLMAYPVGVGDRGELWEAVPFFPDTNAKVFGRSSDELPPVLTT >ONIVA08G14850.1 pep chromosome:AWHD00000000:8:16521213:16525469:-1 gene:ONIVA08G14850 transcript:ONIVA08G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLINRGVFFKILAFLCFIRSSQGRNHFTTLDLPPLLKASSFSRIQHEAYDYIIVGGGTAGCPLAATLSQKYKVLLLERGGSPYGNRNISHLENFHICLADDSPNSPSQGFISTDGVINARAKVLGGGTSVNAGFYSRADPSKNVIVKVIAEIPTVSFVQDAGWDAELVNQSYPWIEERIVYWPNITPWQAALRDGLLEAGVSPYNGYSYDHLFGTKVGGTIFDEAGYRHTAADLLAAGNHNNLRVLLHASVTRIIFNTEQEHRKPRTIGVEFKDENGGQQHAFLTRNRDSEIIISAGAIGSPQLLLLSGIGPRKELKKHNISVVLRNEHVGKGMSDNPMNSIFIPTKDAPKQSLIQTVGITDGGAFIEASSGFSQSPDSIQCHHGIMSAEIGQLSTIPPKQRNLDAVKKYVHKKYNLPKEVFSGGFILSKIDGPLSTGNLVLVDTDINSNPTVTFNYFQHPKDLSRCVYGIKTIERILKTNHFTNFTLNGGGYPMEVVLNMSVTANINLIPKHTNDSTSMEQFCRDTVVTIWHYHGGCHVGKVVDQQYRVIGVSGLRVIDGSTLFRSPGTNPQATVMMMGRYMGVKILRRRLGRAAGV >ONIVA08G14840.1 pep chromosome:AWHD00000000:8:16519122:16530669:1 gene:ONIVA08G14840 transcript:ONIVA08G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPLGLKIGLTGAPHTRGNPREHEEPRAQRGDVRRRWRRRRRSALPAVPTFCVLHIAPRLHHPRQAMRRRRALVSGWRFRIAPVRRAHQVFAVMPRPHLTDWIDHPAAVAPPLLHRGRVRWRRRTDAEQAGTDSCAVSSEYSLQAEIIRQQSGEAVVEIHPQHGTGQPRGCKYYLYLSALQLAIMFK >ONIVA08G14840.2 pep chromosome:AWHD00000000:8:16530369:16532734:1 gene:ONIVA08G14840 transcript:ONIVA08G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEPATSVPSKGHLVVAVGTGSGVLGIILDVDEEQVLLHCPCPTLELVSSGHVLPVEQVLHPHGTPELIAGGCVLRK >ONIVA08G14830.1 pep chromosome:AWHD00000000:8:16503079:16506424:1 gene:ONIVA08G14830 transcript:ONIVA08G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVIMDPQLLMAVRSGACKALENLLVNHEEAAAESHLVIRMPEEGASNTSSTSSDLAQQGQPANRPAAASPLLEGLTLDSDQDSALHVVATSGDGEQYVQCAEMIHGRARHLLGATNNRGDTPLHCAARAGHHAMVCRLISLAAHEGGAANGRILSTRNKLGETALHGAIRGGNRMVVERLVSEDPELARIPEDRGIGASPLYLAVSLGRLEIARDLLDRSPTTLSYSGPEGQNVLHISVYRGEALSILLNKCKDVKVNIDQGGRYRSMPVLLHLTSQGDKNGSTPLHFAASLKTSTTGLSRWSEYFHPKPSPTTLLLDANESAMYQPDNGGSYPIHVAASNGTLKAVITLLGRSPGCIALRNMQGKTFLHVAVEKKRHSIVAFVCKRPELASVLNVQDNQGDTALHLAVKAGLVSIFNLLFRNREVSLNLPNKDGLTPRDLSWIMIPARFYYEKNSRGMIHQSLALARAPVGHSRQDHFYEKHSKRRDEEIDSEYLTNATSVLGISSVLIATVTFAAAFTLPGGYRADDHANGGTPTLAGSYSFNAFITANTLAFSCSLLATVSLLYSGMPSREISIRYVYKSLSLVMMRSSATSLVAAFALGMYVVLAPVALTMAKSVCAITFLSFLSACMEVRRPLIVANSVRIRVGIWAARYQAAPVLKFIGKRFWSYVIIFGLPAVLKIRGTQ >ONIVA08G14820.1 pep chromosome:AWHD00000000:8:16487848:16491469:1 gene:ONIVA08G14820 transcript:ONIVA08G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLGVGDEALEAIGSSCSALENLSLDNLNKCSDRLNNGFFFMSIIFQRNLVIDLPMVHMYGVGLGVQSPACDVCQDRLLQNTAYKYWTADIIGQSVASLNVTSGRSWTYNLWMARSGSARRRLPWTQIISIVMVLLVYRFRVFVPENHLPLYEQPIPGSSIN >ONIVA08G14810.1 pep chromosome:AWHD00000000:8:16471176:16475776:1 gene:ONIVA08G14810 transcript:ONIVA08G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRAANRCIVQEDRKRAPKLACCPPSSEQQHVKSNGNCRNSEDRPVPNFMPLSWNPMNSSLPPDIRWWLQLQPNLGGQKNLAGEHLYFLGREISDKEVEDSAQKNIHDEPLFCEMFDTNPEKIEDVFEPSWMVSTASMKYSSETGLQDLKNIGGYSQVPSKCKENASDCLFNDKEFLDFKNFNPPPSKNPQKDDFDMNAPWKGGERSRPWWQITDENELALLVAERAMQHIENCDLPRPTQIVRVQGTESRSHENMGRYRGSSGPAGTMSYPDTGQCEHIECSYSTASTDEVDLTSDGVWQQQERNVARSDALDFSRGINTEPRGKRTYQNPAEQAQLLEALCHSQTRAREAEMAGKKAQSEKDDVIKLFFRQASHLFACKQWLKMLQLENICLQLKHREHQIATMIPDIPWITLKKRTTPDHEKEDWTRKKGRRHKNAGSFCDALLFAVGLGLAGAGLLLGWTFGWLLAKF >ONIVA08G14800.1 pep chromosome:AWHD00000000:8:16461563:16466534:1 gene:ONIVA08G14800 transcript:ONIVA08G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVGRRRRRSLGRASGSLSSSPSSSSSRGCGWAWRRGERWIAGARGGGDESWWKRSEARGRGGDREECGGRRRRWLGHVRRGAGRRSMDGPTLELSEMMLHAAQPWRSRCTQRDVRPGAVPPRPVAADGRGEGTSTVRGRVLEGTTRGGGRGGGMEREREVVAPARNAVAVAGDLATHGGERVAGPLVAKEKRNGGGELGTKRGLEKRAPLPPPKRRVVSAKRQFPPDFGRDSAVPLGRGRGRGGGVRPSDGAPARAVLGEKVASAGNGDSMANVHHHAVMDTVLMKSSHASDENLVAFKVGSPENGAEGAARGKGAHNGELLGKREVLAQAVNLLPMRRTVSATHRFTAGCGRDAAAPLARREEGKVGSGLEVMPVDVGGGVSKEVMATDGSKHSVNQCTANIVGAVGVLDGTVQYQELEEGEVADEAYCDVESQKVVGCDSFDDSAGERHEGVVPVTFAVTEVLTSHAYDEMMQIKALQEGGSDAAQETEHDLPMGGKCETILPDASPKCSFGGPSNEIVHGKRVLGSHGMKGEVPSLAIEDHGGIAQIDQELEDVEMTTGEYRVQDAQIATHVIPHESTTGRHEGGLCASAAAEDVKVMNKYKGTLPKAAAKSSMNIATGVFGDGIMRSKILSTARKVVKPPVRASHKPPLNTLHRPFSTNSASFGHKKLKVKRPDQSKDIPMKIASTSGLAGKDNLTDEKALSLEDDDILKALVVHDGKLEVYLNVPSCVQLHRQHGSGNADDRSKIRMLCRRFQFICRALLHAVEQGSLKIRRVDLAADKIIRKLPGFTKPGPTVGNVNGVEVGDEFMYRVELALVGLHRPYQGGIDTTDYNGVLVAISIVCSGGYPDELSSSGELIYTGSGGKPAGKKKDEDQKLERGNLALKNCIETKTPVRVIHGFKGQNREDNSHSRAKQILTFTYDGLYLVVDCWTEGLKGSRIFKYKLQRIPGQPELPLHIAKGLRRSLSRPGLCIADISQGKEMDPICVINDVSNVHPTSFQYISRIKYPSWLTKRHPQHHGCDCSDGCIDSTKCFCAVKNGGKIPFNSNGAIVHDKPLIFECGPSCRCHSSCHNRVSQKGMKIHLEVFRTANKGWGVRSLRSISSGSFICEYVGILLTDKEADKRTNDEYLFDISHNCDDEDCSKGRPSTISSLNSSGGCSQTMEDVCFTIDASEYGNIGRFINHSCSPNLYAQNVLWDHDDQRVPHIMFFAAENIPPLQELTYDYNYKIGEVRDLNGRVKVKDCHCGSPQCCGRLY >ONIVA08G14790.1 pep chromosome:AWHD00000000:8:16447376:16453627:1 gene:ONIVA08G14790 transcript:ONIVA08G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGARWQPRRSGWAEWGGDARPARSPPPRRRCPDVAAGWRGEGTSRVRGRGEKGTTHGGAEGEGAVVAPVRTADAVPARNAVAVAGGLATRGVERDAGQVVAREEKRHGGELGTKRGLEERAARSPPPPPPPKRRAVSAIRQFPPGCGRDAAAPVARGRGCDGGVRLLDEATAAPLAGSKDDSAVPGVVEKVASVDGGDSMANAHHHHHAMMDTVLMKSSHVSDENQVARKVGSLENGAEGAARGKGGHGGELLGRKEVLAQAANLLPKRRIVSATRRFPPGCGRDAVAPLAHREESKVGSSLEAMPVDAGWGVSKEVVTTDGRNNSVNQCASNIVGTVKCQELEEGEVAAEACCDVESQKVAGHGEKLESAVPVTSAVTEVLTRCGSDEMEGCSYAAEATEKHLSMGGKCSIGGPFNEIVHGKRVLGSDGIKREVPSLAMEDHGSIAHDQELVEVELTTGDHIQEAQVATTVNPHESTISRHEAAVSANTAPEVSIRHFSSVKNRNTSQHEETIYASAAADVVKVMNKCKGTKSKAAAEPWAEGPSKEHFKAKRECEKDGMKKSSMNVPTEVFRDGIMRTKLLLTARKAVKPPLNTLHIPFSMGKEESVVTNSASFGPKKKVKVKSPHESKGIPMKIVSTSGLAGKDNLINEKALSLEDDDILKALAVHNGKLELYLNVPSCVERHRQHGSENGNDRSKIRMLCRRFQFICNALLHAVEQGSLMVRRIDLEADKIIRKLPGFTKHGPTVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDELSSSGELIYTGSGGKPAGKEKHEDQKLGRGNLALKNCIKTKTPVRVIHGFKGQNREDVSHSRAKQISTFTYDGLYLVLDCWREGLKGSRVLKYKLQKIPGQPKLPLHIAKYQNTRLGSQGW >ONIVA08G14780.1 pep chromosome:AWHD00000000:8:16439945:16440172:1 gene:ONIVA08G14780 transcript:ONIVA08G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVAIDVAAATVVRSTGSSPALLRLLLLRCDFYCSIVPLFSPPGTHHHLPSPRHQPLGHMHQHTSTVHNYGQH >ONIVA08G14770.1 pep chromosome:AWHD00000000:8:16437226:16442985:-1 gene:ONIVA08G14770 transcript:ONIVA08G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11120) UniProtKB/Swiss-Prot;Acc:Q5XF75] MGFNPTGPRKLGPSTYKPALRDGKPKTLVSLTVPSLRLRSTRRRRRRRRPRLRLRPRRGIPSRLLRSLRHLSAEQGRGADLHLASRAGEGILNIVRMAWSQSARKPMIGLLFRAQQHAARGYSYSAFQAHLSSSNVDQSATLLRRFSSEVPASEQMNLIKQLRERTSAPIKDVKASLVSCNWDIDAAQKDLRKRGVVLAAKKSSRTAAEGLLAIAQDEKRAAVVELNCETDFVARNDVFQYLASSLAKLALSARDPGELVFPFGPDYLENLNVNLDHPKLSGETTVQSAVTELAAMVGENVKFRRGFIMSTTAHGVVCSYMHTCPQPGLGRLAGLITLEAEDSNAPLDALQRVGKSIAMHIVATKPLFLSKELVSASAVENERDILRTQAESSGKSQMAMEKMVEGRLRKYFEEVVLLEQKYVVNDSTNIKSVLNDLSKEVGSKVTVGNFARMEVGEGVSKVQYICHSNNGLSIFWVLVGVDGNFI >ONIVA08G14760.1 pep chromosome:AWHD00000000:8:16425164:16427890:1 gene:ONIVA08G14760 transcript:ONIVA08G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACWMMCVTANLVRVFWAWWWWWWWWGDLQGGWRRGMDRWEALIAAAARRSEPPRSGWAEWGGGGDARAGAAALPSRCPRRPVAAAGGRGEGGDRMRGRGGEEGTWTAHGGGGRGAERAGAVVAPTRTADAAGTGTGRNAVAVGGGAAEDGRRTPSVSVRHVLCLGFVECLGCVTVNLVLFVCSVVVIVSGRRSVGEPTLDVSEMLLQAAQAWRSRRTQREARPDALPPRHPPRPVAADGRGGSGEGTSRARGRGEEGTARGGDGEMMALVRTVDVAVAGGLATLTHGGERDAGAAVATEEKRNGGEVGTKRGMEERAARSPPPPKRSAVSSVRQFPPGCGRDAALPLGRRHGRGRDGDGGVPPLAGNRTDLPLEAVVDGGDPIANVHQIFSKSSHATDENQVACKVGSLEDVVQEGAANSGELLGWKQVLAQAANVLPKRRMVSATRRFPPGCGRDVKTGSGLEFMAVDASCGGVSKEVVATDGGDSLVSQELEEGEVADEAYSDVDSQNVAVDDSMAAATEDVKVMNKCKGTLPRAAAEPCAEGPSKEHFKGTRECENDRMGKSSMNVATEVFGDGMMRSKILLTARKAVKSPLNTLHRWPFSKGKEECAVTNSAPFGPMKKFKVKGAYQTKDIPIKIVSTPGLGGKDNLVDKEALILEDDDILKALAVHDGKLKLYLNASSSVQRHGQHGSGNADDRRKTMMLCRRFQFIHRALVHAVKQGSLKVLRADLEADKIVRKLPGFIKPGPIVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDRLSSSGELIYTGSGGQPAGKKKGEDQKLERGNLALKNCIKTKTPVRVIHGFKGQNGKDDSYSRAKQISAFTYDGLYRVVDFWREGLKGSMVFKYRLQRIHAGR >ONIVA08G14750.1 pep chromosome:AWHD00000000:8:16419320:16420000:1 gene:ONIVA08G14750 transcript:ONIVA08G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRPLVLLCLLLRPACFIASVAVSFLTTLRSSSATARCSGILPLRHSHAATVPEALFASLLRHLRMIHGGPLPCRHDIGNTVALRLHRLFGIIFLNDCRDRVTVIVSSASSRTLVHDALLCVHDHSTAPHARSAAWVPRHQLPDFGYIDHGYFTHGFVAHGSFASFALATSTWHKGQSFVLSTLAGFFSNPSVRFAHAWTTGGC >ONIVA08G14740.1 pep chromosome:AWHD00000000:8:16414384:16419017:-1 gene:ONIVA08G14740 transcript:ONIVA08G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHCGPRGRRSGNPKLDGVVDMLADDQLQKSSCDQTEVAHNGTMKSKPKLMPISETPTHEITAETMASQQGQKEALQKVVTGRSANDCPARDADGGRRRSKTEAVRKESGGRVERSTGWCRQSAPGRGRSGLGDAGRAPRRGEEEATVHPAAAGMAR >ONIVA08G14730.1 pep chromosome:AWHD00000000:8:16409413:16410695:-1 gene:ONIVA08G14730 transcript:ONIVA08G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of CFIM-25 [Source:Projected from Arabidopsis thaliana (AT4G29820) TAIR;Acc:AT4G29820] MGLEIMTDEAAAAPSPAAAAARVEIYPLCRYYFGARDVAAGGAGAGLETTADRALRLKANFAAHGLRTSVHGVLLVELFDHPHVLLLQVRNSSFLLPGGRLRPGEQDVQGLKRKLSTKLSVAGHQDDKDGDGDDEWQIGECIGMWWRSEFDAAPFPYLLPNARAPKECIKLFLIKLPVSRQFVVPRNMKLLAVPLSQIHDNAQVYGSIIAGIPNLLSKFSLNIISD >ONIVA08G14720.1 pep chromosome:AWHD00000000:8:16399555:16410780:1 gene:ONIVA08G14720 transcript:ONIVA08G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBI7] MAASPEQFRGQARLPRCASPLSYDLRLRPDLAACAFSGSAAVAVAVSAPTRFLVLNAAELAVDGSSDLVPSEVVQFEEDEIVVIGFGQDLPIGEGVLKMDFTGTLNDQMRGFYRSKYEYKGESRNMAVTQFEAADARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVIKETVHGPLKTVYYEESPLMSTYLVAIVVGLFDYIEGSTLEGTKVRVYTQVGKSNQGKFALDVAVKSLDLFKDYFATPYPLPKLDMVAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVEALFPEWNNWTQFLDETTSGLRLDALAESHPIEVDINHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKLDGHDLHLEQAQFLSDGSSGPGLWIVPITSCCGSYDAQKKFLLKGKTDKVHIDLTASQNAGGEKGENCWIKLNVDQTGFYRVKYDDELAAGLEKAIKANKLSLMDKIGIVEDSYSLSVARKQTLTSLLRLLNAYRNESDYTVLSHVCLGIDKISVDATPELSRDIKQLLINLLLSAAKTLGWDPKEGESHLDVMLRSLLLIALVKLGHDETINEGVRRFHIFIKDRKTNILPPDTRKSSYLAVMRTVTTSSRAGYDALLKIYRETAEAQEKSRILGSLSSCLDKDIVLEALNFMLTDEVRNQDAFYVLGGISLEGREVAWAWLKENWDHVLKTWPSSSLISDFVKSTVSRFTTEEKAAEVSEFFAGKTKPSFERALKQSLERVRISARWIESIRSEPNLAQTVNELLQHDM >ONIVA08G14720.2 pep chromosome:AWHD00000000:8:16399555:16410038:1 gene:ONIVA08G14720 transcript:ONIVA08G14720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBI7] MAASPEQFRGQARLPRCASPLSYDLRLRPDLAACAFSGSAAVAVAVSAPTRFLVLNAAELAVDGSSDLVPSEVVQFEEDEIVVIGFGQDLPIGEGVLKMDFTGTLNDQMRGFYRSKYEYKGESRNMAVTQFEAADARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVIKETVHGPLKTVYYEESPLMSTYLVAIVVGLFDYIEGSTLEGTKVRVYTQVGKSNQGKFALDVAVKSLDLFKDYFATPYPLPKLDMVAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVEALFPEWNNWTQFLDETTSGLRLDALAESHPIEVDINHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKLDGHDLHLEQAQFLSDGSSGPGLWIVPITSCCGSYDAQKKFLLKGKTDKVHIDLTASQNAGGEKGENCWIKLNVDQTGFYRVKYDDELAAGLEKAIKANKLSLMDKIGIVEDSYSLSVARKQTLTSLLRLLNAYRNESDYTVLSHVCLGIDKISVDATPELSRDIKQLLINLLLSAAKTLGWDPKEGESHLDVMLRSLLLIALVKLGHDETINEGVRRFHIFIKDRKTNILPPDTRKSSYLAVMRTVTTSSRAGYDALLKIYRETAEAQEKSRILGSLSSCLDKDIVLEALNFMLTDEVRNQDAFYVLGGISLEGREVAWAWLKENWDHVLKTWPSSSLISDFVKSTVSRFTTEEKAAEVSEFFAGKTKPSFERALKQSLERVRISARWIESIRSEPNLAQTVNELLQHDIYLPFVVPVAVFVILMSSYR >ONIVA08G14720.3 pep chromosome:AWHD00000000:8:16399555:16410866:1 gene:ONIVA08G14720 transcript:ONIVA08G14720.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBI7] MAASPEQFRGQARLPRCASPLSYDLRLRPDLAACAFSGSAAVAVAVSAPTRFLVLNAAELAVDGSSDLVPSEVVQFEEDEIVVIGFGQDLPIGEGVLKMDFTGTLNDQMRGFYRSKYEYKGESRNMAVTQFEAADARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVIKETVHGPLKTVYYEESPLMSTYLVAIVVGLFDYIEGSTLEGTKVRVYTQVGKSNQGKFALDVAVKSLDLFKDYFATPYPLPKLDMVAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVEALFPEWNNWTQFLDETTSGLRLDALAESHPIEVDINHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKLDGHDLHLEQAQFLSDGSSGPGLWIVPITSCCGSYDAQKKFLLKGKTDKVHIDLTASQNAGGEKGENCWIKLNVDQTGFYRVKYDDELAAGLEKAIKANKLSLMDKIGIVEDSYSLSVARKQTLTSLLRLLNAYRNESDYTVLSHVCLGIDKISVDATPELSRDIKQLLINLLLSAAKTLGWDPKEGESHLDVMLRSLLLIALVKLGHDETINEGVRRFHIFIKDRKTNILPPDTRKSSYLAVMRTVTTSSRAGYDALLKIYRETAEAQEKSRILGSLSSCLDKDIVLEALNFMLTDEVRNQDAFYVLGGISLEGREVAWAWLKENWDHVLKTWPSSSLISDFVKSTVSRFTTEEKAAEVSEFFAGKTKPSFERALKQSLERVRISARWIESIRSEPNLAQTVNELLQHDM >ONIVA08G14720.4 pep chromosome:AWHD00000000:8:16408945:16410682:1 gene:ONIVA08G14720 transcript:ONIVA08G14720.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBI7] MEDPATGEEEGGVPDLQEQHVRMIKELNQQDAMDAGPEPAEGAVGGGLQPRTRATSGNIPGAEVVAAERRAKAQQQPRPS >ONIVA08G14710.1 pep chromosome:AWHD00000000:8:16395242:16395909:-1 gene:ONIVA08G14710 transcript:ONIVA08G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADVAKEHHKEEKKDKEHAKEAKPEKEKKEKKEKNGEEAAKPAKEKKEKKEKKEKGKEKKEKVEETTDVAKLRAKLEKLDAKIDDLKAKKQEIVARLVQLEEGATANAAAADAAPPASG >ONIVA08G14700.1 pep chromosome:AWHD00000000:8:16389485:16393724:1 gene:ONIVA08G14700 transcript:ONIVA08G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDDHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGQLQAKLI >ONIVA08G14690.1 pep chromosome:AWHD00000000:8:16370485:16385156:1 gene:ONIVA08G14690 transcript:ONIVA08G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSSSSSRGAAGFATQANALLRKNLCFQRRNMKTNACITVFPVFLCVILVVLQGVINHEINKPKYQCGCACVDAAPDGTCRRTECGVEHSTLDQVGSCPIKSPTPWPALVQVPRPESRAVRIASQPFDGLPDPTCRDTGSCPASVLVTGMNRSLAQSLWGGLFPAVPPSLNFTDYLDAFSKIVAGSDTWTWTTQFIEPVFTPGHSLYVVQPQCSSNLSRTISNKAGPVPIQLNIDCVQGLSLWRESASQINNELFRGYRQQGGGGGGGKTNEFIAGYDFLNTNNNGLEINIWYNSTYNNNTAYDVISLLRVPRLVNTASNAYMKFLKGSGVEMLLEYVKDMPKVGTKPKFDLSSLLGALFFTWIIELLFPVILTYLVYEKQQKLKIMMKMHGLKDEPYWMISYSYFFALSAVYMIVFVVFGSLIGLNFFKTNNYGIQFVFYFIYINLQIALAFFVAAFFSSVKTATEGWIVVMEIIPGFSLYRGLYELGQYAFSGNAMGTNGMEWTNLSDSENGMRNVLIIMVVEWAILLPLAFYLDKISSLGSGARKTPMFFLKRFKNRAVSLRRSFGRQGSKVVVEMDNPDVSQEREVVEQLLLEPNASQAIICDNLKKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTALVHGMDINTDMDSIYTNMGVCPQHDLLWETLTGKEHLLFYGRLKNLKGAELEKAVDDSLKNVNLFHGGVGNKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCLGNPKELKARYGGTYVFTMTTSSEHEQEVKQLVQHLSPSANRIYHISGTQKFELPKQEVKIADVFHAVEKAKRQFSIHAWGLVDTTLEDVFIKVAKGAQGVNVIAFFRQVHALLLKNLSFQRRNAKANAAIAAFPALLCVLLVTIQAVIDGELDRPPFRCGCACVRRDGGRAGAGACAATECGVQHSTATQALSCAVPAPPRWPAVTQVPDAPYRALTPVHPARCRGDGGGGASEAPCPVAVLTTGQNRRLTEGLGRGFFPDVPPSYYLGVPNSNNSSYIDELSKIVPGTSTLPAHVLFIEPGFVPNSTLYVIQRKCIWDSHNTSRSSDAMPIQLDVKCVQGLSLWCRNSAVMNDHLYKGYKGGNKRRTSNEYLAGYDFLDTSKRRFHVYVSYNSTFSRDNGHHPMTVLRVARLVNMASTAYLKFLRGPNVEMRLEYLKEMPKAAMKIRLDLTTLLDALFFTWTVQLLLPVMLTYLVYEKQHNLRLMMKMHGLKDGPYWMISYAYFLSLSAAYMMFFVMFGSLIGLDIFRLNSYSIQFVFYFLYINLQIVLAFLLASFFSSVKSASVISYIYVFGSSLLGEALLQLFIEDITFPKQWLVTMELVPGFALYRGFYELAEYAFAGRQMGKPGMQWRDLNDPINGMKDVLLLMSIEWILLLPVAFLLDHRPTWHPLFLFGFMSTKHSSPTIIPDKVKQRSRKVFADMAKPDVFLERKVVKKLLKEMDMRNMIICHNLKKVYPGKNGNPDKLAVKGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLVAPTYGTAYIHGMDLRRDMNEIYANIGVCPQHDLLWETLTGREHLMFYGRLKNLTGAALLKAVNESLKSVNLFHSGFGDKSVNKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDTTSRSNLWNVIKRAKKNCTIILTTHSMEEAEELCDRVGIFVDGNFQCLGTPKELKARYGGVRALTITTAAGHEEAVERAVARRCPGAAKVYGVGGTQRFEVPRRGARLDGVLGAVEAARRAAPVVAWGVADATLEDVFVRVAMDARAAAHVLS >ONIVA08G14680.1 pep chromosome:AWHD00000000:8:16348798:16354620:-1 gene:ONIVA08G14680 transcript:ONIVA08G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGAALAWQQYRALLRKNATLTWRHRRSASLQLLSSLVFIFLIFCIDRAIRSRFSYTTAYRNVPDPAALVAPPIPPCEDKYFVREPCYDFLWSGGGGAGSARVAGIVEAIRRNNPGRPIPAEKVLGFNTPDEVDAWLFQNPMRCPGALHFQVINDTQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQIAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSIMGLYESAYWLSWLTWEAFVTLLSALLTVLFGMMFQFDFFLHNNFGILFLLFFLFQLNMLSFAFMISTFVTKAASATTVGFAIFIIGFLTQLVTTFGFPYSTSYQKYYRTIWSLFPPDVFAQALNILGKATATPEDKGISWNQRGQCQSFETDCVITIDDIYKWLISTFFLWFVLAIYFDNIIPNVNGVRKSVFYFLMPSYWTGKGGGKLQEGGLFSFFGSNRPADDASPTDEDVIAEENLVKQQAANNEVDPGVAVQICGLRKTYPGSFSMGCCRCRTTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDAMIYGHSVRSTAGMSNIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPTSTIKSVAEQSLIQVKLSQAANVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFSGNGHTQSPNVNGNTEVPVNPNIESVKWFFKERLDVDPKEESRTFLTFVIPHEKEPLLTRFFGELQDREREFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGSSIQIPKGARFVGIPGTESEDHPRGVMVEVYWDQDDNGSLCISGHSDEIPVPANVQLGRPPSLSRRASVGRGNPVGYIIDPNEVTAAR >ONIVA08G14670.1 pep chromosome:AWHD00000000:8:16345148:16349389:1 gene:ONIVA08G14670 transcript:ONIVA08G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRKAEAARAAEEEADRALYGAFRGAANSLSQLYALAGAHQRLSFHAGERHALEKLYQWMVRQHEIGLRLTVSDIASHIQNEIEYGGDNALTSPRSQHAFQNLQAPMHIPNTSTQQPPSCSITPSNPSKDSMIFSKALSSPVRQNLQLYHVQQGGDTGCFADGIFCPGNRDSDPAASNDSSGFHGPLRPYETAKGADPAEHWLGQGFHQSDQKCREYFFERREAELNV >ONIVA08G14670.2 pep chromosome:AWHD00000000:8:16345148:16351605:1 gene:ONIVA08G14670 transcript:ONIVA08G14670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRKAEAARAAEEEADRALYGAFRGAANSLSQLYALAGAHQRLSFHAGERHALEKLYQWMVRQHEIGLRLTVSDIASHIQNEIEYGGDNALTSPRSQHAFQNLQAPMHIPNTSTQQPPSCSITPSNPSKDSMIFSKALSSPVRQNLQLYHVQQGGDTGCFADGIFCPGNRDSDPAASNDSSVDMHSDSPGHDS >ONIVA08G14660.1 pep chromosome:AWHD00000000:8:16338135:16339241:-1 gene:ONIVA08G14660 transcript:ONIVA08G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWSRVGDWESFGAGHRAWIDDSVPLPPGSGVGGSGRWIRWGPQQQSSGVAGHRWKISGE >ONIVA08G14650.1 pep chromosome:AWHD00000000:8:16303787:16306537:1 gene:ONIVA08G14650 transcript:ONIVA08G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKSATSKSKVAVTCASSGGDATGLLDTEGSPRTCPPESRARCGLPSRATASCGKRRRGERALMPLVASMAVSAAARRGSTLPISPPAKRPEMLVSPHRRDHHNAPRRSAPIWQPPRSIVAFAGKNGLSENLMKQPASMARPSESGGRPSMFLAATSWHCVHPPSPTVSTHWDAASHEARGAAAASSPTSPRAASTNPPSATSAEPLHTCVFSGSVAGPSRQAALSYLTTQPSRMARPLLAAPHLARRRDAAAGVEARDAVRSRDVRAALRHGERRRVGEWLRRHHHRWMTGWRGARRSKRAYSPGAAGRGFLVPDRSGRRELYAQICGGGDKDLLVCVRRVGESVVGSGPRFVASRRAAGAVARLCCRCVVRQRPMVAGLCRCMFAQRSRVTRLDRRVFAKRLRTPRVAGLRRCRCSCMFAQRSRERLRTSRVAGLCRCVFAQRLWRVAWASALSRRPWWRESATRRRVARPSSSRSRTTSQPRGSRRQLNWEWCTSKWRPYGLRSSEVEERRKNREIAKKTRDWASAIDSTKRSEAKIDVWGSFGSVWHKVKLQN >ONIVA08G14640.1 pep chromosome:AWHD00000000:8:16297114:16297807:1 gene:ONIVA08G14640 transcript:ONIVA08G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLWFQLGACTAGRVRIKDPSSETISTSRADEARKSRDSSILQQRLQSMSQALDFNVVINISHASHAAPTQMSGK >ONIVA08G14630.1 pep chromosome:AWHD00000000:8:16288050:16291962:1 gene:ONIVA08G14630 transcript:ONIVA08G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPMSDTCAIVACTVALEGMHRKVYEESNGVGTFPAAWQAAGSWNEQLRLACERKGVWKAREGANVGDVLIKIQELAGVVTSVPGLLMPLLRWEKHSSELTRERVAELIDLGPCIGRLWVCPWYHHFNADNGWVYRGCGRDKHARDECKELYEDKVMGSHAVVCLAYRFWEEGEEMHVLVLDNHDDDGPQRWVDFEELDAIFTLSVECLTNEDASPTKALFG >ONIVA08G14620.1 pep chromosome:AWHD00000000:8:16281977:16284198:-1 gene:ONIVA08G14620 transcript:ONIVA08G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGRMTAKPGVVTTDQERALPESRTTATDAPEAAGLEVTTVAPHPSLYLATSNMINMLQCLCFHEGLYPWQAAPGLWASGGPAIWASGNRKGSNQANKMNLWHGSRSTCRSHKGSPGQKARSSSYKEPGTAQELPHQLLHKSKAMDMFAQMLQVKWMRCYYWVMLLCDALGSSCCC >ONIVA08G14610.1 pep chromosome:AWHD00000000:8:16280410:16281176:1 gene:ONIVA08G14610 transcript:ONIVA08G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARRRSVRDAVRLFDEMPERLLNETPTMLTEGLADEGKKLFTRMKEYSLEPNLKHFACMVDRLGRASSRGRRYGFYNANRT >ONIVA08G14600.1 pep chromosome:AWHD00000000:8:16280068:16280304:1 gene:ONIVA08G14600 transcript:ONIVA08G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWIPSMEAGIKDLNDPGDQATGDATARAAITASLRTITVTSGLSTRPDFAANLFSAYSSAGRPVLAAAELRARPPT >ONIVA08G14580.1 pep chromosome:AWHD00000000:8:16261132:16274027:-1 gene:ONIVA08G14580 transcript:ONIVA08G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25120) TAIR;Acc:AT4G25120] MSRWKENASPLPLHPGGSSSLLPRKRPPPSPPPPQPPCPPPRRPLADVTGNALRQRGSGGGGCGYGYSTPAPKAPRPSCGFLLDDDEGMDEAFLREVDAICEEHERSSARKDKEAGEAPPSIPSKPESGVSGDAFRKEENAIGEEGDAQPLATSQEEMEDADEEEICELWFGDDSLPPAISIATGGGEFEDAFWNISDITEEVHHTGSSAKCQEDMDGKNSDGPSVPSVICHEEQEGELVDAFLEDLDAIHQGDATKGQEEPQETELEIEENEGCVPKKYYEYLQSLNDRQREAACSDVTIPLMIVAGPGSGKTSTMVGRVLTLLKEEFPPSNILAMTFTTAAASEMRDRIGTVVGKAVAKEIVISTFHSFCLQLCRTHAEKLGRTSEFIIYGNGQQRRAVIEAERLLESDKNNGLGDANKNCDGDIKNSFKDKAKKWQKFVTQAKASGRTPEEYEKKGDLTGASILRHYNEILRSCNALDYHDFINSSITLLTKFPEVYDECRNTWQAIVVDEFQDTSAMQYYLLKTLASHNRVTIVGDEDQSIFSFNGADVSGFDSFRRDFPNHKEVRLSKNYRSTRAIVEAATALIHNNTKRQSHKLVETDNPSGNKIIVKECHSEDSQCAFVIDKIIETTSSSVEGCHFGKIAVLYRRQITGKAFQASFRNRKIPFNIHGVAFYRKKVIKAIMAILKTTLPGCDDDAPWHQAFKAILPGDKEEKKKIIHHIEKISLARKCSFISAATDIFSAKVSGTFKRAQITQGRKVLSALDSLSKLVEREQSVSVVISSAGDMLPQKYLLEKRAIVDADGGKLLNEDNDIRSVLQFLMDDVSDFLSTHFSSSVDTSKTEEKGCASTLKAFIDYISLRETENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHEYNGTVKEAGSTLEEERRLFYVAMTRARKKLYILHVTLLQPSRFLREIPAHLLEVQGEGTVRRTPEQPVNIPFDQPEGDTSVERPMVVRNETSPFPEMDQPCLANDFLKRFEIEDRAIISHIFHQWAKKQAFQNPKRLLDKIGFVIDERLRGKGYKRKDVLCKLKSFLSGDEAFGYAQYEHFQKQRIENSMGSSEPTPKQISYLRNLGCTITPTSRLHASHLIEKYKSL >ONIVA08G14580.2 pep chromosome:AWHD00000000:8:16261132:16274027:-1 gene:ONIVA08G14580 transcript:ONIVA08G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25120) TAIR;Acc:AT4G25120] MSRWKENASPLPLHPGGSSSLLPRKRPPPSPPPPQPPCPPPRRPLADVTGNALRQRGSGGGGCGYGYSTPAPKAPRPSCGFLLDDDEGMDEAFLREVDAICEEHERSSARKDKEAGEAPPSIPSKPESGVSGDAFRKEENAIGEEGDAQPLATSQEEMEDADEEEICELWFGDDSLPPAISIATGGGEFEDAFWNISDITEEVHHTGSSAKCQEDMDGKNSDGPSVPSVICHEEQEGELVDAFLEDLDAIHQGDATKGQEEPQETELEIEENEGCVPKKYYEYLQSLNDRQREAACSDVTIPLMIVAGPGSGKTSTMVGRVLTLLKEEFPPSNILAMTFTTAAASEMRDRIGTVVGKAVAKEIVISTFHSFCLQLCRTHAEKLGRTSEFIIYGNGQQRRAVIEAERLLESDKNNGLGDANKNCDGDIKNSFKDKAKKWQKFVTQAKASGRTPEEYEKKGDLTGASILRHYNEILRSCNALDYHDFINSSITLLTKFPEVYDECRNTWQAIVVDEFQDTSAMQYYLLKTLASHNRVTIVGDEDQSIFSFNGADVSGFDSFRRDFPNHKEVRLSKNYRSTRAIVEAATALIHNNTKRQSHKLVETDNPSGNKIIVKECHSEDSQCAFVIDKIIETTSSSVEGCHFGKIAVLYRRQITGKAFQASFRNRKIPFNIHGVAFYRKKVIKAIMAILKTTLPGCDDDAPWHQAFKAILPGDKEEKKKITQGRKVLSALDSLSKLVEREQSVSVVISSAGDMLPQKYLLEKRAIVDADGGKLLNEDNDIRSVLQFLMDDVSDFLSTHFSSSVDTSKTEEKGCASTLKAFIDYISLRETENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHEYNGTVKEAGSTLEEERRLFYVAMTRARKKLYILHVTLLQPSRFLREIPAHLLEVQGEGTVRRTPEQPVNIPFDQPEGDTSVERPMVVRNETSPFPEMDQPCLANDFLKRFEIEDRAIISHIFHQWAKKQAFQNPKRLLDKIGFVIDERLRGKGYKRKDVLCKLKSFLSGDEAFGYAQYEHFQKQRIENSMGSSEPTPKQISYLRNLGCTITPTSRLHASHLIEKYKSL >ONIVA08G14570.1 pep chromosome:AWHD00000000:8:16257717:16259785:-1 gene:ONIVA08G14570 transcript:ONIVA08G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBH0] MEQSFIMIKPDGVQRGLIGDIISRFEKKGFYLRGMKFMNVERSFAQQHYADLSDKPFFPGLVEYIISGPVVAMVWEGKDVVATGRRIIGATRPWEAAPGTIRADYAVEVGRNVIHGSDSVDNGKKEIALWFPEGLAEWRSNLHPWIYES >ONIVA08G14560.1 pep chromosome:AWHD00000000:8:16254360:16256337:-1 gene:ONIVA08G14560 transcript:ONIVA08G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATTSSHALSCYLHPRRRHRGNVAVSPRSEGGGARRKEEVEIVIVGAGVAGLATAASLRRLGVGATVLEQGASLRAGGTSLTLFKNGWRVLDAIGVADELRAKHLRIQGMKMRSASSPASAAGGVLREFSFEEEAPGQEVRAVERRALLEALASKLPPGAISFSSKVRRVAVAGQQQGPGGATELELEDGRRIVAKVVVGCDGVNSPIARWMGFSEPRYVGHMAFRGLARYDGDGGQPFEAKVNYIYGRGMRAGFVPVSPTRVYWFICFNRPSPGPKITDPAALKREALELVRGWPEDLLAVMRDTPDDAVVRTPLVDRWLWPGLAPPASRGGVVLAGDAWHPMTPNLGQGACCALEDAVVLARRLATAAASEGGEASSYGEAMRAYERERWGRVFPLTARAGLVGALVQWGNPAVCAARDGVVIPRLVRLGPFLEHTNFDCGLLEPSAAAAAPSP >ONIVA08G14550.1 pep chromosome:AWHD00000000:8:16251410:16252944:1 gene:ONIVA08G14550 transcript:ONIVA08G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAVAVVIALLAAFLTPLAVYLARRASPPPPPRRNLPPGSLGLPLIGQSLSLLRAMRRNTADRWLQDRIDRYGPVSKLSLFGAPTVLVAGPAANKVVFHHEALAPKQPRSLAAIIGRRNILELVGDDHRRVRGAILQFLRPDMVRRYVGKIDGEVRRHLAARWAGRRTVAVFPLMKTLAFDVIATLLFGLDRGAIREQLADAFDGMHEGLWTVPVDLPFTPFRRGLMASARARRLVEATVREKAAKLEHGESSPSDDLISCLLSLRDGGRQLLTEEEIVDNSVLALVAGHDTSAVLLTFMLRHLANDPATLAAMAQGKNSTLPSPDEHSEHEEIARGKRDGEALTWEDVAKMKLSWRVAQETLRMVPPVLGSFRRAPVDVEFEGYTIPRGWQIFWSPSVTHMDPAIFHEPTKFEPSRFDGTAAAAAYSFVPFGGGPRICPGMELARVETLVTAHYLVRHFRWKLCLGEEKNTFLRDPMPTPHDGLPVELDHIAPLC >ONIVA08G14540.1 pep chromosome:AWHD00000000:8:16239969:16248058:1 gene:ONIVA08G14540 transcript:ONIVA08G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain family protein [Source:Projected from Arabidopsis thaliana (AT1G02120) TAIR;Acc:AT1G02120] MASPAVASPSRTPSRGPPAAAADTTAPSPASPPRRLASAPPAVDASSPESARSGELAATPDPSSPLLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQEVTDVRKAKTAAIFHNAIEIIAGTKRHFFGSFLSRDEAFRIIVEGWEQHVSDARLLLERQDAKSGNSSDENGYVLLEGAKETKQDDDSSPLDRSVNGTAVTSGSNDSGDSDVNISKRSSEVLENESEDKCTAATALNPFILGPFDDEAPNVPEPFALITESKFQVPVEVLFNMLLSDSSFGFLDDFHKKCGDKGAKFGSCQEVQKLRVYKNRHLMIQTSQQIGDAPYGDHFTVEGIWDVEQDSLDESSCYLRVYINVAFSKKTIFRGKIDQSTKDECRDVFGLWVKLGHDLLKQDSSCHSRGPSSSTNVDDPSGTTLSSENPLENTDPGSSSAPDEPVVRSIVPSIHDHQQSIVWDSIISTSQELWRSLLSYIQSSQLGPVLALTLVTIIVLLLRSPKVYMVNQETSPSGFSYSKESIEWVQKRLNLLGEEMRMAESHLEMMQHEFAWLKSHLERLQRLRSSSS >ONIVA08G14530.1 pep chromosome:AWHD00000000:8:16231966:16233939:1 gene:ONIVA08G14530 transcript:ONIVA08G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03560) TAIR;Acc:AT1G03560] MRRFCHVPLCRRVAAASHGGHPHQPPLPPPEWIEPYTDLSDPSPYAASSASSSPTPSPWLARVVSLVLRSPPATLAADLRAFCATFLLRLSPAFVAAALRSPHLLPNPLPSLHFYRSLPSAVAADLLSHPHHLVSCYVSLLRSFAHSSSSSSREAAPDADAAAAHARQLVAELRAHGDTALRHLTPSSSASLIRSLAALGLAEELLWAWKAMRLAGVEPSRLIYNCLLDGLVNAGLLDTAVNVFDAMSTEDQVRPDVVSYNILIKGYCRAGRAHDAMARLSEMQEQAKLTPDKVTYLTLMQCHYSEGTFPVCIGLFQEMEERGMGNDIPQHAYVLVIGALCKDGKPFEGMAVFERMLKRGCAANAAIYTALIDSMGKFGREKEAMAFFERMKDSGLKLDSVTYGVIVNCLCRFGKLDEAVACFRSCQEKGIAVNAIFYTSLIDGFGKAGMVDQSKELFEEMIAKGFVPDSYCYNVLIDGLAKSGRMDDACALYKRMEDDGCDQTVYTYTILIDGLFKEHKNEEALKFWDAMIDKGITPTAAAFRTLASGLCLSGKFSRACRILDELAPMGVIPETAHEDMINVLCKAGRFKQACKLADGIVQKGREIPGRVRTMMINALRKAGNTDLAVKLVHSKIGIGYERSGSIKRRVKFQTLFQ >ONIVA08G14520.1 pep chromosome:AWHD00000000:8:16199691:16203528:1 gene:ONIVA08G14520 transcript:ONIVA08G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVITAFVNTVMPPLFKALSGSCKMVMNVIDDSDSMRRKLVLLAASMDDDLRRTKNPTAAAKVFGDQLRELTHDMEDCIERFLHRVSCAEGASRARRLGRFLLTICTRYRFGDKIKALNRRLEELTNERLCQFVYDKPPPPPPLAPAAAQQREHVQLNPVGVEGAKGDILAMLEESPEELRVIAIVGFSGSGKTTLAKAVFRSTDDDRIRVFRSCRAWVDRAKEKNAGEIFRSLLQQFGCRGQGLLVDDEQYLQAQLMDYLRGRRYLIVIDDIGNGQWNSIKSAFEENSRNNGSRIIVTTAIKSEANTCCGNGKGFIYQMQNLEDQYCKTIALGEAPSPELQMGSEELLKKCDGHPLSLVCVANYLGGLNEPTGQRCRELCRYLGSKIHDNGNFERLKGVIMDNYTSLSNHVVRACLLYLSIFPNDVPLEKKVIIRRWIAEGFARSEDVDIDDQTIARWNFETFVDWDIFHPIIDTSNNGDVKMCKIRSIVHEYMLYKASRLERFIMSFPDWRRKVRHLCIDHRTPHKRRTTTDMDLSCVRSLTIFGTAGDTICEFHRYKILRVLDLEECNDVKNKHLKNIHKLWNLRYLSLGAEITSIPKDIAKLKLLETLCLSKTSVEELPVQVISLPFLLHLIGKFRIQYHGYSKSTLKKLSENSKLETLSGFIADFKSQGFLEILGHMSNLKKVKIWCQSSAAGDHDSILARKLSEAIQEYINPPLYIGDNRKLSICLQTFSGSFLNSLDTPCELTSLKLHGELRSLPRFVKWHTGLVELCLSSITVTRDVLSDLCNLKCLLYLKLISDCLDEFVIPQGAFRSLRRLCFKVQVSVFPRIEAGALRDLVSLQLLCRHLVGLAGIEIEDLRELKEVTLDSEVSRYTKESWETRARQHPNRPRILYTYKAA >ONIVA08G14510.1 pep chromosome:AWHD00000000:8:16196113:16196979:-1 gene:ONIVA08G14510 transcript:ONIVA08G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVVSASKGVIMSIPTMLKSLLQDPEHRHGLPEQARTKIGLLKGDMDELKALVLEPSDVEHPASTAQSWMKEVRELSYDVQDFINELITTKTTPPSAAPSSSSLPGKITRLHREKVRRRRIIDDISRFRSRAKEAIEGYTSYGLNNRSMGPRYVPDEHMDLQFKPDGASRTLFGIDDENTVEKIEEWLTTGLGDQPTSWTVVLIVGPGGVGKTTLAKKLYDDFGYRFQHQAFVRTSKRPDRRTTLTSMMSQLQRLEPLHETCDEKLLVDKMKTHLRGKRYTIPHLV >ONIVA08G14500.1 pep chromosome:AWHD00000000:8:16174633:16192025:-1 gene:ONIVA08G14500 transcript:ONIVA08G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGPAVAPPPISLHAAASRTGRQRYAPVDRRASMGRSNPPRPTRAAWWRTRRREGVPPLPSPPTGTTPPQSSSPAAGAARFGGRERRKGEKRGKSLRRRRPRGRRRRGLPPSQVIRGAIRRPEKSGGEEAEPAAWGEWGAPTAVFPADISPPAAANHVALSLSLHNTRRQQRPVVRGCGLRLGGTDGSGWVASRAWTPWRRRRGEGGEIESCDVGVGLWLGKCDENEHARWRLLEEHVEVGPLAAARCLIVLDNVWTVSTWNVVRHALPEDCCSRIVVTTEINDVAQAWSFYKSQHNASHVIKKHIFKMEPLNDDFSRDLLVSRVFGDKDKCPEELNEVSYEIARKCGGLPLATIAAASLLLSRPDKPDEWIYVQKSLIPDLRIQPTSEGMAQLLNNSFNNLPHHLKACMLYLSIYKEDHIINPDDLVKQWVAEDFVSATEGKSLDEAARNHFYELVHRGILETEHISCSDEVVSCTVHDMVLNFIKRKAMEENFVTAIDHSQSGIRLADKVRRLSLHFGYSKDAKAPACIRLSQLRSLVFSGFWKCGPSISEFHLLRVLFLHGKHGEVLDLTRIRELFLLTYLQIEGNVCIDLPSELQGLKYLRTLHIGAMVTFLRSEVVHLPPLLHFRLPPNLGYFVDAGSVQSIEELTNLQDLQLACPQIATSDQIKHNMKLLGSTIGILKSLKSLTVVPVCFSEDSNDNENSSIAIFLDFLDKVPPPCSLLQRLELFPRVCVFHRLPSWIITIEKLCILKVAVMELLRKDIETLSMLNALTVFSLYVRTTPAEPIIFDKSGFHVLKYFKFKCSTVSSLRFDEGAMPNLQRLKMEFNGSTVEQYNLENVGFKHLSGVKVSAKICIAGTSEANRMAIASVLNNAIRQNTRISSVIIRFINRVIKDLPIVPTPHTDHMHENLRLDKSVRSWPTQCDGCKELGAGRRFKCEQCNSKVYYDMCCATAPHTLKHPLFPGSVFRFLRKPLASECGRACDACGDLMHGFVYHCFERGLDLHPRCARLPVRTANVKGYVMELRRVSACSRCCICMCGKEGYRNKFWSYRSSQEGQDINVHMACLKDLASKSHETLTKLYEILMGESQWTPTEVLGEDTSNQLVVSSAEDGDRTPLRQDEITEEFQHKDEVCNVLEHGREELRKKETDTRSQTVTEPLFSPGQAPEEEPVAEPEMITHHDHPQHKLRLVTTTCDMPFRCDGCLEPGYAPRYRCDACNFDMHTFCSNLPAILQHPMYKGRIFRFCPKPPPPSGRRVCDACGDPVRGFIYHCFGANLDLHPCCASLHGPIILDGLAFDIGAPRKCCLCMREKGPERDLWCYHSNINGEGVYLHVACIKHFAGRRWQAGREKKYGGQIMLASEELMMEGPLKSISSEKDRIIVGGVMRIIISVIFGDPTANKGDNARGPITLDGHDFDVRAPRKCSLCKKEKGPDRELWCYHTNINGEGVYLHVACVKHLAGKRRQAGREKKHGGQIMLASKELMMKEGPLKSISSEQDRIVVGGVVRIIISVIFGDPTANKGDNARVALSLQGLADLFSIQS >ONIVA08G14500.2 pep chromosome:AWHD00000000:8:16174633:16192025:-1 gene:ONIVA08G14500 transcript:ONIVA08G14500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGPAVAPPPISLHAAASRTGRQRYAPVDRRASMGRSNPPRPTRAAWWRTRRREGVPPLPSPPTGTTPPQSSSPAAGAARFGGRERRKGEKRGKSLRRRRPRGRRRRGLPPSQVIRGAIRRPEKSGGEEAEPAAWGEWGAPTAVFPADISPPAAANHVALSLSLHNTRRQQRPVVRGCGLRLGGTDGSGWVASRAWTPWRRRRGEGGEIESCDVGVGLWLGKCDENEHARWRLLEEHVEVGPLAAARCLIVLDNVWTVSTWNVVRHALPEDCCSRIVVTTEINDVAQAWSFYKSQHNASHVIKKHIFKMEPLNDDFSRDLLVSRVFGDKDKCPEELNEVSYEIARKCGGLPLATIAAASLLLSRPDKPDEWIYVQKSLIPDLRIQPTSEGMAQLLNNSFNNLPHHLKACMLYLSIYKEDHIINPDDLVKQWVAEDFVSATEGKSLDEAARNHFYELVHRGILETEHISCSDEVVSCTVHDMVLNFIKRKAMEENFVTAIDHSQSGIRLADKVRRLSLHFGYSKDAKAPACIRLSQLRSLVFSGFWKCGPSISEFHLLRVLFLHGKHGEVLDLTRIRELFLLTYLQIEGNVCIDLPSELQGLKYLRTLHIGAMVTFLRSEVVHLPPLLHFRLPPNLGYFVDAGSVQSIEELTNLQDLQLACPQIATSDQIKHNMKLLGSTIGILKSLKSLTVVPVCFSEDSNDNENSSIAIFLDFLDKVPPPCSLLQRLELFPRVCVFHRLPSWIITIEKLCILKVAVMELLRKDIETLSMLNALTVFSLYVRTTPAEPIIFDKSGFHVLKYFKFKCSTVSSLRFDEGAMPNLQRLKMEFNGSTVEQYNLENVGFKHLSGVKVSAKICIAGTSEANRMAIASVLNNAIRQNTRISSVIIRFINRVIKDLPIVPTPHTDHMHENLRLDKSVRSWPTQCDGCKELGAGRRFKCEQCNSKVYYDMCCATAPHTLKHPLFPGSVFRFLRKPLASECGRACDACGDLMHGFVYHCFERGLDLHPRCARLPVRTANVKGYVMELRRVSACSRCCICMCGKEGYRNKFWSYRSSQEGQDINVHMACLKDLASKSHETLTKLYEILMGESQWTPTEDGDRTPLRQDEITEEFQHKDEVCNVLEHGREELRKKETDTRSQTVTEPLFSPGQAPEEEPVAEPEMITHHDHPQHKLRLVTTTCDMPFRCDGCLEPGYAPRYRCDACNFDMHTFCSNLPAILQHPMYKGRIFRFCPKPPPPSGRRVCDACGDPVRGFIYHCFGANLDLHPCCASLHGPIILDGLAFDIGAPRKCCLCMREKGPERDLWCYHSNINGEGVYLHVACIKHFAGRRWQAGREKKYGGQIMLASEELMMEGPLKSISSEKDRIIVGGVMRIIISVIFGDPTANKGDNARGPITLDGHDFDVRAPRKCSLCKKEKGPDRELWCYHTNINGEGVYLHVACVKHLAGKRRQAGREKKHGGQIMLASKELMMKEGPLKSISSEQDRIVVGGVVRIIISVIFGDPTANKGDNARVALSLQGLADLFSIQS >ONIVA08G14500.3 pep chromosome:AWHD00000000:8:16183169:16192025:-1 gene:ONIVA08G14500 transcript:ONIVA08G14500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGPAVAPPPISLHAAASRTGRQRYAPVDRRASMGRSNPPRPTRAAWWRTRRREGVPPLPSPPTGTTPPQSSSPAAGAARFGGRERRKGEKRGKSLRRRRPRGRRRRGLPPSQVIRGAIRRPEKSGGEEAEPAAWGEWGAPTAVFPADISPPAAANHVALSLSLHNTRRQQRPVVRGCGLRLGGTDGSGWVASRAWTPWRRRRGEGGEIESCDVGVGLWLGKCDENEHARWRLLEEHVEVGPLAAARCLIVLDNVWTVSTWNVVRHALPEDCCSRIVVTTEINDVAQAWSFYKSQHNASHVIKKHIFKMEPLNDDFSRDLLVSRVFGDKDKCPEELNEVSYEIARKCGGLPLATIAAASLLLSRPDKPDEWIYVQKSLIPDLRIQPTSEGMAQLLNNSFNNLPHHLKACMLYLSIYKEDHIINPDDLVKQWVAEDFVSATEGKSLDEAARNHFYELVHRGILETEHISCSDEVVSCTVHDMVLNFIKRKAMEENFVTAIDHSQSGIRLADKVRRLSLHFGYSKDAKAPACIRLSQLRSLVFSGFWKCGPSISEFHLLRVLFLHGKHGEVLDLTRIRELFLLTYLQIEGNVCIDLPSELQGLKYLRTLHIGAMVTFLRSEVVHLPPLLHFRLPPNLGYFVDAGSVQSIEELTNLQDLQLACPQIATSDQIKHNMKLLGSTIGILKSLKSLTVVPVCFSEDSNDNENSSIAIFLDFLDKVPPPCSLLQRLELFPRVCVFHRLPSWIITIEKLCILKVAVMELLRKDIETLSMLNALTVFSLYVRTTPAEPIIFDKSGFHVLKYFKFKCSTVSSLRFDEGAMPNLQRLKMEFNGSTVEQYNLENVGFKHLSGVKVSAKICIAGTSEANRMAIASVLNNAIRQNTRISSVIIRFINRVIKDLPIVPTPHTDHMHENLRLDKSVRSWPTQCDGCKELGAGRRFKCEQCNSKVYYDMCCATAPHTLKHPLFPGSVFRFLRKPLASECGRACDACGDLMHGFVYHCFERGLDLHPRCARLPVRTANVKGYVMELRRVSACSRCCICMCGKEGYRNKFWSYRSSQEGQDINVHMACLKDLASKSHETLTKLYEILMGESQWTPTEVLGEDTSNQLVVSSAEDGDRTPLRQDEITEEFQHKDEVCNVLEHGREELRKKETDTSGKGGQQSHQWDS >ONIVA08G14500.4 pep chromosome:AWHD00000000:8:16174633:16183125:-1 gene:ONIVA08G14500 transcript:ONIVA08G14500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLQQLLEEDRAQESPHQSQTVTEPLFSPGQAPEEEPVAEPEMITHHDHPQHKLRLVTTTCDMPFRCDGCLEPGYAPRYRCDACNFDMHTFCSNLPAILQHPMYKGRIFRFCPKPPPPSGRRVCDACGDPVRGFIYHCFGANLDLHPCCASLHGPIILDGLAFDIGAPRKCCLCMREKGPERDLWCYHSNINGEGVYLHVACIKHFAGRRWQAGREKKYGGQIMLASEELMMEGPLKSISSEKDRIIVGGVMRIIISVIFGDPTANKGDNARGPITLDGHDFDVRAPRKCSLCKKEKGPDRELWCYHTNINGEGVYLHVACVKHLAGKRRQAGREKKHGGQIMLASKELMMKEGPLKSISSEQDRIVVGGVVRIIISVIFGDPTANKGDNARVALSLQGLADLFSIQS >ONIVA08G14490.1 pep chromosome:AWHD00000000:8:16172740:16173659:-1 gene:ONIVA08G14490 transcript:ONIVA08G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGASGEGCEDWDGGDDAREVSWSGWAAATGRERRGWGRWESCEDWDGGDDARRSELEWMGGSDRPREEGMGAMGECERR >ONIVA08G14480.1 pep chromosome:AWHD00000000:8:16158347:16161493:-1 gene:ONIVA08G14480 transcript:ONIVA08G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTPDLGDPPVAEITHPFHPHKLRLADNVTDGHWPFRCGGCKELAAGRRRYRCEPCDLTLYTCCATAPLTLEHPLLPGRHFRLLERPPPPPPWLAADDRGGGGWRPACDACGDLLRGDGFAYHCADGHGLVGLNLHPRCARLRLPVAAARGAAAVKLCRRAAPRRRCGVCMSGEDGYRHGFWTCRFRRSGGDELVDVHLSCLKELMSHSHETLTNLYEILMEDGTPTNDCGEGTSAQDNSSYSGESNGDAEEFENQNGTNNGMVPEMKTITHPSHPEHKLRMVTTTGEAPFKCDACKEPGDGPRYHCLTCEDFNMHKFCAHAPSTLYHHLFGRTFELLAKPPQGRPEKPHPAATGGGRGESGGRWCDTCGDHVFGLVYHCSGANLDLHPCCASLQELPVQNRETLDQPKESLATPQKLVKEGLAKITIDGVAFDIAAFSKCSLCSRQEEEGPDHCCRRLRRQEQWCYYNSDVVDGGGEAVSLHVSCIKQIARRRWQAARDMKCGGQIMLAISEEMIGEGGPLHGIPSERDRNIVGAVVRVIIAVIFGDRTAMEGDISSWVALSFPWLTNLFTVQR >ONIVA08G14470.1 pep chromosome:AWHD00000000:8:16138728:16140222:1 gene:ONIVA08G14470 transcript:ONIVA08G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRLAPAHDRTLGSQPQPPTAHTRRTKFCPKSKNWDRGCSNYSGWAMQNCKLARTNRKVIPHMSWCIACSR >ONIVA08G14460.1 pep chromosome:AWHD00000000:8:16129292:16133777:-1 gene:ONIVA08G14460 transcript:ONIVA08G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPFLFLSLPPLPLTSLRRLASGWGGDYDNLNYNLKQACLTLRVFSLQATKKEKHARGGGAEEVATGVGEAAAVVETEEAVVGCLPGGHEGGRCRGQREGGGCCGGRGGGGRWSSRRVGGRRRPMIDVGEASLGGVGRQCGGGCQWKPAVKGGEVEPSVMDTERSRARRCRSVEFDDGGRTAVDVEEAREVLGME >ONIVA08G14450.1 pep chromosome:AWHD00000000:8:16098640:16099224:1 gene:ONIVA08G14450 transcript:ONIVA08G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLIEQASPTFAHRAPWGWPQRIGWNPPVTDMMDGCYCPTSSSSLYHTTTSFHNSIIDYCSPPGCPLHYQSQDTDHALHPYSKIQQSHQMNFWLNHFFSFLV >ONIVA08G14440.1 pep chromosome:AWHD00000000:8:16083332:16085269:1 gene:ONIVA08G14440 transcript:ONIVA08G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPEILRLSVEMTGLSNSADSAPASPNNGTEPPMMRTNGGEQMGGSEAAHIRIDEIIRRLDAAEKEAQAQVVEARREIFRVPGRHRLADEDAYQPSLFSVGPYHRHGTEEMGRNELTKVRLMKLQLGADADQAASLQRECLLSMASLEQEARRCYDGDVAMDSGEFCMMLLVDGAFLIAMLTAFGIQEQDDAPANKEEEEDSGPGTGSRTQKRVLVDGFLDLVLLENQIPFFVVHSIFGLLVDHAGTTLAKTAWNAVRNFMQHIPTASNADDVKEDCKHLVDLCHTYLRPAGWQQAAAAGGGHIQRFRTATEYRESGVRFRVRSDSEPAPRFGLLDVDFSGGVVTMSRHVIDEKMSCVFRNVLAFEQDSGAGVERDAYVTAYVVFMSQLLGSAGDVAVLSRSGVMEHSLGNDGDACALFRGLARGLAFDTDGDHYLRGVGLELNRHHGRRLNRWLAWVARRHFDNPWLILAWLAAAVLLLCTLVQTVFAVMSYRPSAKLNS >ONIVA08G14430.1 pep chromosome:AWHD00000000:8:16077072:16077886:-1 gene:ONIVA08G14430 transcript:ONIVA08G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSAKYLLFSLAILLVLSSQQQALLPSAFARQLVPMPTNTAAGHADVTEEKPSVPPSSVTKICRVLRICKRSSSAATSAKP >ONIVA08G14420.1 pep chromosome:AWHD00000000:8:16072451:16074756:1 gene:ONIVA08G14420 transcript:ONIVA08G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSAGRPCYMFYASPAMAAKQYSLLIYFAGLFVDITNVINAKLTNKRAARLSQVKSMFQKTVRTINRSTRTLHLRFREPQLLDLAMKITLLSLGITAELKRKCARERYPLLKKELKEDRNKKRRDSRKRRKDESIGFYKLTHLLLSKFGLFEHNTLLLLN >ONIVA08G14420.2 pep chromosome:AWHD00000000:8:16072451:16074756:1 gene:ONIVA08G14420 transcript:ONIVA08G14420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSAGRPCYMFYASPAMAAKQYSLLIYFAVDKQACRAVIPSEINVPKDRENYQQINKNSTSKIQRTSTIGFGNENNASVIRHVRLPCTDKAELSYGTPNMHEDVTNPSTAELKRKCARERYPLLKKELKEDRNKKRRDSRKRRKDESIGFYKLTHLLLSKFGLFEHNTLLLLN >ONIVA08G14410.1 pep chromosome:AWHD00000000:8:16063990:16065928:1 gene:ONIVA08G14410 transcript:ONIVA08G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLFVNKQGQTRLAQYYEHLSIDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLFVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETNYKATDCEIIISATA >ONIVA08G14400.1 pep chromosome:AWHD00000000:8:16032770:16033276:1 gene:ONIVA08G14400 transcript:ONIVA08G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLEWFWWRRPVVLAAATVAASSGGRETGWSCAGMQGDGTRPAHGRRMRWTGVSTTAIAREEARKEVTGNGEEGERRPHAGMVGCGRQRRREQFDGCGARPEADTARGGADDGDGGRHGARRCHRWQRRPAQHVEARSAGGGGWRGTQRLTGGESRCSEAHAPVEA >ONIVA08G14390.1 pep chromosome:AWHD00000000:8:16018858:16019074:-1 gene:ONIVA08G14390 transcript:ONIVA08G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAARGGCIHTAGYGETSANMSWLQELTELLLQQHTVAVLGTECKLYRL >ONIVA08G14380.1 pep chromosome:AWHD00000000:8:16014953:16015417:1 gene:ONIVA08G14380 transcript:ONIVA08G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRAATADLLRRHLRVPLASLLHPALSPPPQSTESSCPDDPSTPGPVARRGDLFTAPLRRWPRPPIPVSSSSSVDSTGVRLYSHLSGAGSAGGDSTPPPHQSPQAKPPPPSWVDRWVPLAARPYVMLARIDKPIGTWLLAWPSFWYYTTVPP >ONIVA08G14370.1 pep chromosome:AWHD00000000:8:16012053:16014333:1 gene:ONIVA08G14370 transcript:ONIVA08G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQGFGPTIAIAEVHDYSGRIKKMTSKQESTYNLEFDGARGSSPGDRTGPPLPVRPGA >ONIVA08G14360.1 pep chromosome:AWHD00000000:8:16002536:16010274:1 gene:ONIVA08G14360 transcript:ONIVA08G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBE5] MAFLRAAAAADLVRRHRRVPHASLILHPALLPPPPPPSMESFPDGPSTPGPVAQNDIFAATPCHRHRHRRWPRPLIPISSSSYDSSGARLPATVSLYSHLSSTSWGAGGDSTPPHQSPPAPPPPSPWVDRWVPLAARPYAMLARIDKPVGTWLLAWPSFWSIAMAAMPGELPDMRMLALFACGSVLIRGAGCTINDLLDRDIDRKVERTKSRPLASGILTPTQGVGFLGFQLLLGLPILLQLNNLSQILGPQAYLGLVFNWGALIGWAAMKGTIDPAIILPLYTAGICWTLVYDTIYAHQDKEDDVKIGVKSTALRFGDSTKPWISGFGAACIANLALSGYNADLAWPYYPFLAAASAHLAWQISTVDLSDPLDCNRRFVSNKWFGALIFGGILCGRLVS >ONIVA08G14350.1 pep chromosome:AWHD00000000:8:15983723:15987774:-1 gene:ONIVA08G14350 transcript:ONIVA08G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAAPSSTSLVASFPSRARRRGLPGRRGVVVAAAGAGPGAGAAERFAASSSSITDYLRYRRPGSAGVGGGTGVCGGELQTAVVRYEKRLPWSLIHPFLHVDLVSTVHIADKEYFDRLQQALQDYDCVLYEMVTSRENLNNRKDPTFAKKLKSSRRGFSILGFIQKQMANILSLDYQLDCLDYGSEKWQHADLDFETFKQLQSERGESLFTFAVDMTLKSTKALVQPTLPDGLDFWRSKLLWASRVLPMPLVGLFLISGLCLPIDDQGGYPELEALSRLDFGAALKIFLAKQLTSDFTSMTSPIEEKSVIIGERNRVATEKIQEAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSRELDRESLPFLKTMAEVSGWPLNRYETLALLIFSSVLAVDLWFWELLVGTAVNWASLAGSWIEQFNGSSSFTTCFCPIAAAQAPTKAALIVGAVEGAGGLE >ONIVA08G14350.2 pep chromosome:AWHD00000000:8:15983800:15987774:-1 gene:ONIVA08G14350 transcript:ONIVA08G14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTAAPSSTSLVASFPSRARRRGLPGRRGVVVAAAGAGPGAGAAERFAASSSSITDYLRYRRPGSAGVGGGTGVCGGELQTAVVRYEKRLPWSLIHPFLHVDLVSTVHIADKEYFDRLQQALQDYDCVLYEMVTSRENLNNRKDPTFAKKLKSSRRGFSILGFIQKQMANILSLDYQLDCLDYGSEKWQHADLDFETFKQLQSERGESLFTFAVDMTLKSTKALVQPTLPDGLDFWRSKLLWASRVLPMPLVGLFLISGLCLPIDDQGGYPELEALSRLDFGAALKIFLAKQLTSDFTSMTSPIEEKSVIIGERNRVATEKIQEAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSRELDRESLPFLKTMAEVSGWPLNRYETLALLIFSSVLAVDLWFWELLVGTAVNWASLAGSWIEQFNDRLVQEL >ONIVA08G14340.1 pep chromosome:AWHD00000000:8:15980939:15986194:1 gene:ONIVA08G14340 transcript:ONIVA08G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMVATMVLLTCLAAGGLVVGAEEDGGGGGLGRLGSPDYGDALAKAILFFEGQRSGRLPANQRATWRGDSALTDGREENVNLTGGYYDAGDNVKFGYPMAFTVTLLGWSAVEYGAAVAAAGELGNLRAAIRWGADFLLRAHASPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITADSPGSEAAAEASAALAAAYVALKDDGDTAFSSRLLAASRSLFDFANNYRGSFQSSCPFYCSYSGFQDELLWASAWLFKATRDAKYLDFLTNNQGSSNPVNEFSWDNKYAGAQMLAAQEYLGGRTQLARYKDNLDSFVCALMPNSGNVQIRTTPGGLLFTRDSVNLQYTTTATLVLSIYSKVLKSSGSSGVRCSAATFSPNQISSFATSQVDYILGKNPLGMSYMVGFSTKFPRRIHHRGSSIPSIKVLSRKVTCKEGFSSWLPTSDPNPNIHVGAIVGGPDGNDQFSDNRGDSSHSEPATYINAAFVGACAAAMGQKQVVKLEEPADNLESMVSTY >ONIVA08G14330.1 pep chromosome:AWHD00000000:8:15967573:15975200:1 gene:ONIVA08G14330 transcript:ONIVA08G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKWHHVAAALAVACAATAAATVAAAADRGLWSAAAAAVAEEGEEASHLRKVANFLWRSGGENSYHHVWPPMEFGWQIVLGSFVGFIGAAFGSIGGVGGGGFFVPMLTLIIGFDAKSSVAISKCMIMGAAVSTVYCNLKRKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVIFPDWLVTVLLIILFLGTSTKAFLKGIETWKKETIIKREAEKRSEQTSEELEYRPVPASESKPPSDEAVSILHNVYWKEFGLLAFVWIAFLALQVTKNYMPTCSTWYWVLNLLQIPVSVGVTMYEGLGLMQGRRVISSNGNEQTNLKFHQLLMYCFFGITAGIVAGLLGVGGGSILGPMFLDLGVPPQVASATATFSMMFSASMSAVEYYFLDRFPVPYGGIGIVNWIGKIERHEYMGFEDICKFDA >ONIVA08G14320.1 pep chromosome:AWHD00000000:8:15933879:15940518:1 gene:ONIVA08G14320 transcript:ONIVA08G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSSGRGRWIGYVAMSTDEMTWRLGRCESVRLNPCDLHPNVKVDSWFLSLYTSAVGASRADPSSAATGGLFGRPERRVSSCGRRCTEESAAKWWYRVVVLKISGGGGKVGLVALDVALTFPQATMAS >ONIVA08G14310.1 pep chromosome:AWHD00000000:8:15929600:15930332:-1 gene:ONIVA08G14310 transcript:ONIVA08G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKKPPWKLYTRGLKPRRQTVGRKTRHNLILRSKCRSSHPTPQRVQWSEPHYSLDLHKHAAHNRTTSFISIQGANVDPDGYAKAAGNLKAQGKT >ONIVA08G14300.1 pep chromosome:AWHD00000000:8:15924830:15926001:-1 gene:ONIVA08G14300 transcript:ONIVA08G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAAADPAASASRPQQEAAAGEQGRRWGAGEQEVISVEELAELESIIGREKKRGAPLPPTDQPALRDRSRLLARCLQRQHCACPALARMRNPHPQPSGEQPNSERCFAHRTSSRGPPEGWGGRKVGERRGGGVSWRRKVWRAPDLTLPSPPPA >ONIVA08G14290.1 pep chromosome:AWHD00000000:8:15915917:15921732:1 gene:ONIVA08G14290 transcript:ONIVA08G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSIATYRESLSRLAGEVDDAAADEAEPQASAASSPPARGAADRSHTTPPSSGRRRRYSASASSAAAARPDPAEPDEVPVSKLKEDIQKLQVSEAEIKALSFNYVAMLKEKEEQLGKLREENGSLKRSLESSKAVSANSNGALERSPRGVQRNTVQDNPLNVSKQNGYGGGASQGIQPNGLHSMTGHRKADISEGDRSFFAAKQASLENEIKQLKKQLSDNSEKETETKRRLEDEHKRNELLQQQLNELNVSKERISTNMEELHNELSEKEAKLRRLQEDLSRREKEHVSDASLQSLRSMVMALQKENSDLKIEKSRLEADLVRKKSTSQINEVGTSDVNGISDVEKVKEEMASVKKSLHDASYERDKAVQDLARLKQHLLDKDLEDQEKMDEDSKLIEELRAICDQQRAHIVQLERALKFEMAKQEESKKIISEEHQRSNEQITDLKYKLANCMNALESKNLELLNLQTALGQYYAESEAKERLGGDLAMAREELAKLSESLKVANQAIEISRREKEEVAARLSQVEGMLADGKRSMQKLENDNSRLRRALEQSMTTLNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGFAQSNAGKGVVRGVLGLPGRLVGGIVGGNSAGKPTQASQDNQSFADLWVDFLLKETEEREKREASEAARLSQEENQTASTSNASSAQPSGHISNQAPGPSTSHHMFGRQDTEFATVPLTSSTYTSTQTPFSRPPQR >ONIVA08G14280.1 pep chromosome:AWHD00000000:8:15904657:15909953:1 gene:ONIVA08G14280 transcript:ONIVA08G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSRGGGLPSWMTAAAARVDLSSAGGGGGIPGSGASSSSSSQPGYSGPHQQAGVAGAAADQELGMAERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVPYYQPSQMASLGPDAILSDFRCSPSCTRGVILGSEPICPPDCFQYKGTLDVFLKVVRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDLFRNWIEDFTQSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLLGVVSPLASSTQNAQNYRALWTGVGAQLARDVPFSAICWSTLEPIRRKLLGIVGEEGDAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTQKAMRMTTRQTLADIWSSGGMKGLFTGVGPRVARAGPSVGIVISFYEVVKYALHQRHIS >ONIVA08G14280.2 pep chromosome:AWHD00000000:8:15904653:15909953:1 gene:ONIVA08G14280 transcript:ONIVA08G14280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSRGGGLPSWMTAAAARVDLSSAGGGGGIPGSGASSSSSSQPGYSGPHQQAGVAGAAADQELGMAERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVPYYQPSQMASLGPDAILSDFRCSPSCTRGVILGSEPICPPDCFQYKGTLDVFLKVVRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDLFRNWIEDFTQSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLLGVVSPLASSTQNAQNYRALWTGVGAQLARDVPFSAICWSTLEPIRRKLLGIVGEEGDAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTQKAMRMTTRQTLADIWSSGGMKGLFTGVGPRVARAGPSVGIVISFYEVVKYALHQRHIS >ONIVA08G14270.1 pep chromosome:AWHD00000000:8:15896181:15900632:1 gene:ONIVA08G14270 transcript:ONIVA08G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G53340) TAIR;Acc:AT5G53340] MQIREGGPRRGAASAARSPMSAMMLAMFATMASFYVAGRLWQDAQSRVYLIKELDRRTGQGHSTISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYTYETNGTNSRKRPLIVIGIMTSFGRKNYRDAVRKSWLPTGSMLKKLEEEKGIVVRFIVGRSVNRGDASDREIDEENRSTKDFMILDDHTESEEESPKKTKSFFANAAESFDAEFYAKVNDDIYINVDTLSAMLKEHWDKPRVYIGCMKSGEVFSESFPKNRTHKWYEPEWWKFGDGKTYFRHASGEMFVISKAVAQFISINRSVLRTYAHDDVSVGSWLIGLAVKHVNEAKLCCSSWPSVIVILVTHMIASIQMPYQDSDYVFITETSDTFDINI >ONIVA08G14260.1 pep chromosome:AWHD00000000:8:15879515:15882673:1 gene:ONIVA08G14260 transcript:ONIVA08G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 28 [Source:Projected from Arabidopsis thaliana (AT4G16710) TAIR;Acc:AT4G16710] MGDRERRTVFVTVGTTCFDALVKAVDSPQVKEALLEKGYTDLIIQMGRGTYVPSKVSGDGTLQVDYFTFSPSIADYIRDASLVISHAGSGSIFETLRHGKPLIVVVNEDLMDNHQSELAEELATRKHLFCASPQTLGETIQEMDIETLNPYVPGDAKPVVSLINKFFGFPDD >ONIVA08G14260.2 pep chromosome:AWHD00000000:8:15879497:15882673:1 gene:ONIVA08G14260 transcript:ONIVA08G14260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 28 [Source:Projected from Arabidopsis thaliana (AT4G16710) TAIR;Acc:AT4G16710] MYPPQLGIDFRRLLLVSSPLRRLRRSPSRERAGAPGAGAVVRPVASARILIPRKSKKLYWKKVSGDGTLQVDYFTFSPSIADYIRDASLVISHAGSGSIFETLRHGKPLIVVVNEDLMDNHQSELAEELATRKHLFCASPQTLGETIQEMDIETLNPYVPGDAKPVVSLINKFFGFPDD >ONIVA08G14250.1 pep chromosome:AWHD00000000:8:15874286:15876032:1 gene:ONIVA08G14250 transcript:ONIVA08G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMRVAIATGASLAVHLFVKSFVQAQHPALTLLLPVAVFVGIAVGAKGGSGGDGKAPPGPAAVPVFGNWLQVGNDLNHRFLAAMSARYGPVFRLRLGVRNLVVVSDPKLATEVLHTQGVENKLRCAIDHILEAEKNGELTAENVIYIVENINVAAIETTLWSIEWALAEVVNHPAVQSKVRAEINDVLGDDEPITESNIHKLTYLQAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVVNAWWLANNPALWENPEEFRPERFLEKESGVDATVAGKVDFRFLPFGVGRRSCPGIILALPILALIVGKLVRSFEMVPPPGVEKLDVSEKGGQFSLHIAKHSVVAFHPISA >ONIVA08G14240.1 pep chromosome:AWHD00000000:8:15867585:15869313:-1 gene:ONIVA08G14240 transcript:ONIVA08G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVVRVAIATGASLAVHLFVKSFLQAQHPALTLLLPVAVFAGIAVGAKGGNGGDGKAPPGPAAVPVFGNWLQVGNDLNHRFLAAMSARYGPVFRLRLGVRNLVVVSDPKLATEVLHTQGVEFGSRPRNVVFDIFTANGADMVFTEYGDHWRRMRRVMTLPFFTARVVQQYKAMWEAEMDAVVDDVRGDAVAQGTGFVVRRRLQLMLYNIMYRMMFDARFKSVDDPMFIEATRFNSERSRLAQSFEYNYGDFIPILRPFLRGYLNKCRDLQSRRLAFFNNNYVEKRRKVMDTPGDRNKLRCAIDHILEAEKNGELTAENVIYIVENINVAAIETTLWSIEWALAEVVNHPAVQSKVRAEINDVLGDDEPITESSIHKLTYLQAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVVNAWWLANNPALWENPEEFRPERFLEESSVDATVAGKVDFRFLPFGVGRRSCPGIILALPILALIVGKLVRSFEMVPPPGVEKLDVSEKGGQFSLHIAKHSVVAFHPISA >ONIVA08G14230.1 pep chromosome:AWHD00000000:8:15856603:15859867:1 gene:ONIVA08G14230 transcript:ONIVA08G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLDPHHVARPARANNRTNSKAFSFRKWHHGTQVLGSFITKLANYLPPSVPSYASTKMLYEALSQSPLTKCCIKERSLKRLAAILYGCGALIYTMYITPNLKKSKKFHPDVACTRNQHNSGRAYQDGVNEAVKRHGKRLEWIGRRRGGGGGGGDGRIYHETGLADLAIAEQADLEAASLTSGALRSAAAPPSSLGSRDRTAPLAMRCGGVEETGVGVWGVGAATAARRRGIAVMGWTTKEREKAAASRGSWSVGRRRWRRGKWRRGLGGVGRRTEEAWIPLQAGQGGRRWQGAMATVVGGRAMRDGVWAEWAADAEKKEWGRRRSIRATTMCLKSR >ONIVA08G14220.1 pep chromosome:AWHD00000000:8:15856577:15859863:-1 gene:ONIVA08G14220 transcript:ONIVA08G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLVRGDCDRASYNILVDAYEDSEATFKQLKQQVWQRGEGQVEVMAWLHKSGMS >ONIVA08G14220.2 pep chromosome:AWHD00000000:8:15856577:15857071:-1 gene:ONIVA08G14220 transcript:ONIVA08G14220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIINPWLHEPTFLPTSGWNFLLFFKFGVIYIVYINAPQPYRIAARRLRDLSLMQHLVRGDCDRASYNILVDAYEDSEATFKQLKQQVWQRGEGQVEVMAWLHKSGMS >ONIVA08G14220.3 pep chromosome:AWHD00000000:8:15857233:15859863:-1 gene:ONIVA08G14220 transcript:ONIVA08G14220.3 gene_biotype:protein_coding transcript_biotype:protein_coding LLFKHIVVALIERRLPHSFFSASAAHSAHTPSRIALPPTTVAIAPCHRRPPCPACSGIHASSVRRPTPPNPRRHFPRRHRLRPTLQLPRDAAAFSLSFVVHPITAIPRLLAAVAAPTPHTPTPVSSTPPQRIANGAVLSLLPRDDGGAAADRKAPDVKDAASRATDVVDSNLK >ONIVA08G14210.1 pep chromosome:AWHD00000000:8:15848651:15849718:1 gene:ONIVA08G14210 transcript:ONIVA08G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G16760) UniProtKB/Swiss-Prot;Acc:Q9SBA5] MAPELSSPSSSPRYTVGYALLPEKVSSVVRPSLVALAADRGVRLVAVDVSRPLAEQGPFDLLVHKMYDRGWRAQLEELAARHPGVPVVVDSPGAIDRLLDRATMLDVVSGLRTPVSVPPQVVVSDAAADADELLARAALRFPLIAKPLAVDGSAESHDMRLVYRRDGVLPLLRAPLVLQEFVNHGGVLFKVYVVGDRATCVRRSSLPDVPARRLLDLDAEPSVPFANISNQPLPPPDDDGGAADDDTPAAGFVDEVARGLRRGLGLHLFNFDMIRERSEEHGDRYFIIDINYFPGYAKMPGYEAALTDFFLEMLRGTRPVPEQLGPGSGLDMEARKLEPGLGIGLRELESGRAQA >ONIVA08G14200.1 pep chromosome:AWHD00000000:8:15826222:15829128:-1 gene:ONIVA08G14200 transcript:ONIVA08G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IBC4] MVLWVFGYGSLIWNPGFDFDEKILGFVKGYKRTFNLACIDHRGTPEHPARTCTLESDEEAICWGIAYCVKGGLKKEQEAMKYLERRECEYDQKISVDFYKEGDSLKPAVTGVLVFVSTPDPVGNKYYLGPAPLEDMARQIATANGPNGNNRDYLFSMEKALSNICHEDDSIIELANEVRKVLSRPKEKITGSDSPQKSHALVHLSALPEGTVVDSR >ONIVA08G14190.1 pep chromosome:AWHD00000000:8:15808897:15810102:-1 gene:ONIVA08G14190 transcript:ONIVA08G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADAADSVLHGDLLECVLLRVPHGELTASPALVSREWRRAAREAHQRHCRRRRHLPCLVAHVHGAAAGVGRSTHVYDPRAGAWASDGWRVAGALPVRRCACAGGDRVYALSLASMAVSEDAVGAAWRELPPPRVWRVDPVVAAVGPHVVVLGGGCGATAAAGVVEVLDEGAGWATCPPMPAPLASRWVSSAASERRVYVVERRTGWASWFDPAARQWGPARQLQLPEGNNTASVESWAACGVTTSGGGGASERLLVLAGGGGGKVSLWGVDGDTLLLDAEANNTSMPPEMSERLGGAGSIAAAAAGAASGYVYNASEPSKGAVRYELVDAEVGGGHGSYSDSDSKNGRHEKTWGKRSSGGSRWEWEWLPCPPAAAAAMSTSSSAVVVFACCGSSSAPNK >ONIVA08G14180.1 pep chromosome:AWHD00000000:8:15799902:15805021:-1 gene:ONIVA08G14180 transcript:ONIVA08G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQLKSTEGHQLSGVPRQRFLPKPTSASVAPCPCVRFRVCRCRPPTADRRCAPSPREEESVIEQFQQGRGLNLLVQLVNLRVGVGKKFGAWIKLAEREIWEMSELANKFLVLHLDGEGGGADDTEEALIQTSSSIKEADAGENALSDTLVLNYDEGSLVSSSGDYQMPLVWIDLEMTGLDVAKDRILEIACIITDGKLTKQIEGPDLVINQKKDLLDNMDEWCKTHHAASGLTQRVLQSTISEHDAETQVLDFVKKHVGSSPPLIAGNSVYVDLLFLKNYMPQLAAIFSHVIVDVSSIMALCIRWYPKERKRTPQKGKKHRAMNDIKESIAELKYYKDNIFKPQKSKQ >ONIVA08G14170.1 pep chromosome:AWHD00000000:8:15789864:15795628:-1 gene:ONIVA08G14170 transcript:ONIVA08G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 family [Source:Projected from Arabidopsis thaliana (AT1G50430) TAIR;Acc:AT1G50430] MAKPRASAAAAAAAAKAPASTPPKTVHSALVTYASMLSLLSLCPPFVILLWYTMVHADGSVVRAYEHLREHGVLEGLKAIWPMPTMAAWKIIFGFGLFEAALQLLLPGKRFEGPVSPSGNVPVYKANGLQAYAVTLITYLSLWWFGIFNPAIVYDHLGEIYSALVFGSFVFCIFLYIKGHLAPSSSDSGSSGNVIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYVTKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGPQLALSILLAGILCIYINYDCDRQRQEFRRTNGKCSIWGKAPSKTTNGETKSSLLLTSGWWGLSRHFHYVPEILSAFFWTVPALFDHFLPYFYVIFLTILLFDRAKRDDDRCSSKIRFGLIGFNLLSLLYPTLTQMSQRCRQIVIFLVSSLIGEMIAGS >ONIVA08G14170.2 pep chromosome:AWHD00000000:8:15789864:15795628:-1 gene:ONIVA08G14170 transcript:ONIVA08G14170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 family [Source:Projected from Arabidopsis thaliana (AT1G50430) TAIR;Acc:AT1G50430] MAKPRASAAAAAAAAKAPASTPPKTVHSALVTYASMLSLLSLCPPFVILLWYTMVHADGSVVRAYEHLREHGVLEGLKAIWPMPTMAAWKIIFGFGLFEAALQLLLPGKRFEGPVSPSGNVPVYKANGLQAYAVTLITYLSLWWFGIFNPAIVYDHLGEIYSALVFGSFVFCIFLYIKGHLAPSSSDSGSSGNVIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYVTKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGPQLALSILLAGILCIYINYDCDRQRQEFRRTNGKCSIWGKAPSKFLPYFYVIFLTILLFDRAKRDDDRCSSKIRFGLIGFNLLSLLYPTLTQMSQRCRQIVIFLVSSLIGEMIAGS >ONIVA08G14160.1 pep chromosome:AWHD00000000:8:15785925:15787878:-1 gene:ONIVA08G14160 transcript:ONIVA08G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRGKVAESAESRLVYSLDPEKFPLLHRRSRLRLLHGGESGVVRGDGAGDLHHGRGGDGLQYGHLDLVGMMTSSVPYREGPLDYEPPVFCDCKVKAARWISWSVGNPGRRYFTCYNARTGGCDFWEWHDPENAVWTAREEINGLKAHLQDNRNEALKNRAVSRSKESNELESLRAALEQIEATNCVLVDRITQQQKCMNMLMYALAFAVVVLLVEHLAEQVWSWAENL >ONIVA08G14150.1 pep chromosome:AWHD00000000:8:15775624:15776995:1 gene:ONIVA08G14150 transcript:ONIVA08G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQLDIGGLPLPCYIYGQAASNLVAGSNLEGTVQSILEMGGGAWDRDTVMRALGAAYNNPERAVEYLYTGLPEQAEASAVVQALSVPAAVQAFPTSG >ONIVA08G14140.1 pep chromosome:AWHD00000000:8:15774606:15775010:-1 gene:ONIVA08G14140 transcript:ONIVA08G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKQLHSQRCGGHCQLHHHRPEEIAGAESHERDGSSGCGSAGPMVVLILGSGAASEAEDDGGRRWRCCCGCSCGAGGGADAGDGLLPICGPSFWDLGTVSPPDLLFPDLVASDRCRRHRHLRLNLGDHAAAD >ONIVA08G14130.1 pep chromosome:AWHD00000000:8:15764454:15768009:-1 gene:ONIVA08G14130 transcript:ONIVA08G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGYTVHQALSADAAAVLKLALALARRRGHAQLTPLHVAFTLLRSSSSSSSSSSPSDPPPFACSGGEPSCCAHGLLRRACVRAHPAVAACAPAAAAASHPLRCRALELCFNVALNRLPATNAMADCGRACSPASSLVPPDPTLSNALVAALKRAQANQRRGCIELQSLQPPQHALQPQQQPLLAIKVELDQLIISILDDPSVSRVMREAGFSSAAVKSTLEEGGAMLPSLGGHHVCYSSSSPEPHIDLDAHAASGGGAPWPAQFLHRPDTGSSCKEEDVRAILEVMVRKQWARPNPVVVGDSVSVAEASVAELMRRLETGDVPGELRGAHVLRLHLSRVHLRLMTRADVDAQVAELRRTANSIVVDAKAAGLVIYVGDVRWAVDDDDHHHHHALAEYSAPEDHMVAELARLMSELRAASRGRAWLVAAASYQTYVRCQQRRRRRRAPSLEATWSLQAVVVPAGAGADAGTGLSLGRRAPPAPPPSRVAEDDQIAKLGEIPTLDLALGGDDGGVPALCAECADGYEKEASQVRAKADGTTLALTYFPGWPHANEPQTSHKAELMELRRKWGILCQRVHSRSHNDQASVPSPMPWWCRPSSVSRDGEARTELNPSSAGLRLSFGTPGDHDRSESVDERGADTTLSLLPPDSAAAATTWQDTRGRWSEGGGGGADGEMMTVNGLDATVDAVSIRRVWLEQLLLSGDLKRKAEKGRLSGEPKPRRRGGVSLDLNVCAAADDDDDGGDSEEEVAPSDLTNEGGCDGGGEPGRLDDSLDSHE >ONIVA08G14120.1 pep chromosome:AWHD00000000:8:15762026:15762274:1 gene:ONIVA08G14120 transcript:ONIVA08G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQLETRWKRNSRQRGAEMTAQQPAWAAARWKRRSWQRAAETTAQPPTWAAVQGADEAKWPRSVAISCNDSGSADAVATH >ONIVA08G14110.1 pep chromosome:AWHD00000000:8:15760596:15761536:1 gene:ONIVA08G14110 transcript:ONIVA08G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVLLLVRLDTAAVDPTMVANLRDVIGSLNGKTFYLACDSQIVAAAAGDNDPGMFHLRLQPSLLAGFLDKVASAINALEELLRKVIPALAAYEHHVIFLKRAQQKEAVGAVDGGGS >ONIVA08G14100.1 pep chromosome:AWHD00000000:8:15757706:15759854:-1 gene:ONIVA08G14100 transcript:ONIVA08G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDKLDLILRRMEEFERRRVEADQRRRAEYQSLKAAVESWMPEIHKNAEDLQILVGDEQSKCPNGSSPSTTARSIYDDEGTTPTIILELGDGEDKIHDPDIVAKVSLEVTPTMCSMKCSVPDTESNLIMVAEVTYASTATVSMELVAAQEAIGATYSDTSDHSKLTHTKCLTVVLDAIGDTVHKIFWSVMIKSVRHVPSISSELDDIQGKSTRIFIVVKIPEGCNPKKSSSATTETQVSHLFNSFSELLDVHLTTTEMLVSKRSQEVRCWQGALELQVSVIFWLLARNIHRPKFEVQVLEFLLRVLIGSLSEKYSGNTIDLEVKLNHSHKTIIVHLLIRNKLKTWISEMIGRTDRVDAKFTIVCQLSRSLQSGTHILDLYSAEEHIFDNFLNAIMWCSVPIKNLHKQWDPGGSGDTLHRLGDKPKFKERRLLGTQMGCLWAVNHFQSKAETSKSGALYKQQE >ONIVA08G14080.1 pep chromosome:AWHD00000000:8:15745123:15748383:1 gene:ONIVA08G14080 transcript:ONIVA08G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAHKLRFVRCPKCLQLLVEYPSISVYQCGGCGAVLRAKNRFMSVTQTGSKPDEHNNISSSLNGSSQDNESACSDGQKIDSSSAQPNEDAVEENIPSTTKDAKSCEAVNQEQNTTTVQSVTPTEDENKEKCPTTDADIRDTGCMVKVPHDMCTGADSSPMLIDKVENIGTSENTDLGKGYSYDCVSDGNAGSDVAAVHIAGEEPGVISSHSMKGEVDSVTDQIFSVSNKNVNCKELDERTNLCKETEAKSCNELIQMEERSQPNEGFHVESHEDLIEELERSLSFSDDEESLLDATGNNELNEALQFQIGSRRFSPGSKMNDASRSDPHGRLIEELERSFSDAEEAAEQHVVVVDKVITERDFGNEHGKVPTSLVAESGHPCEGNISSYDDGHQKSGQSFQQNELTADETEEKEHGLLENDSKINCIHGNEHAMVADNDIAEIHSEHDKDPQLLDGESAKLCEGTISSFDGHLKSGQCFQEDEPTADGNKQKEESHMGNNNVTDCAHEDNATVVGFSSLSNDGIHCKSPIFNEKEEERSDKYRANQLYQGLSLDSEDFMSIQNFIESQMDGTSSSLSSGSPNQGNLSLKSSTKFKFDRLERLKKIDELRDQLNRLCSKKRLENRYRMKGLEYQPQLSSYYVDQHSQNVDADSIQSSSTLGSYYWNGKQPSYPPRNQFSPPHSCTHCHFGHVETHMPHNYGAWDEFNSYYQPSYAGSSIIDHDSLNSSYKEQKRVVRKHILRPVSGASPFTVCNTCFNLVQMPSDIYISKTKMGKMQCGQCSKVLVLSFPAIHHACANSSKEVASKSNKHKGSIVVKPEDAASHFAESFTRDPVSMNEEYGASFTRSFSTQAGSALAASQSGKNVSDSTLHRLMGYDSASQLLHDLRHSKVYDDGYESFESMVPVSSRVSRRKNT >ONIVA08G14070.1 pep chromosome:AWHD00000000:8:15733231:15739520:1 gene:ONIVA08G14070 transcript:ONIVA08G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLKNLRLALDLHELISQQRNKYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTPKMSELIAQEDAAIRENEPAIAKRLKKLLTLSKDGTLNMHALWLVRRELGLPDDYRCSILPNHQSDFSLSSPDTLTLITRDENLAVADVEEWRAKEYTEKWLAESETKYAFPINFPTGFKIEKGFRGKLGNWQRLPYTKAYEKNELHPIRNIERLEKRIVGILHELLSLTIEKMIPLERLSHFRKPFEMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVHPNPVYNDVVALMKWTVHFGFLRSTIRKAVTRDYLKFYDAISKAYPDIQMISNFDGSSRPLDHPADLYDFHDASNGRLLASLAEAAFLTGLEKNSWNPDAIVFNSWQQYGTHILATLMRWLHLQSPGKTLRYLSESKGS >ONIVA08G14070.2 pep chromosome:AWHD00000000:8:15733231:15739504:1 gene:ONIVA08G14070 transcript:ONIVA08G14070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLKNLRLALDLHELISQQRNKYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTPKMSELIAQEDAAIRENEPAIAKRLKKLLTLSKDGTLNMHALWLVRRELGLPDDYRCSILPNHQSDFSLSSPDTLTLITRDENLAVADVEEWRAKEYTEKWLAESETKYAFPINFPTGFKIEKGFRGKLGNWQRLPYTKAYEKNELHPIRNIERLEKRIVGILHELLSLTIEKMIPLERLSHFRKPFEMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVHPNPVYNDVVALMKWTVHFGFLRSTIRKAVTRDYLKFYDAISKAYPDIQMISNFDGSSRPLDHPADLYDFHDASNGRLLASLAEAAFLTGLEKNSSGYSDALAASAITWQDTQISF >ONIVA08G14060.1 pep chromosome:AWHD00000000:8:15727340:15727537:-1 gene:ONIVA08G14060 transcript:ONIVA08G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQRDVGNAVASTPPTRRRAAGRRMGKRLGARRRAAGRRMGKRRGEEGTGTPGAGAVGRRRCRA >ONIVA08G14040.1 pep chromosome:AWHD00000000:8:15704699:15713708:-1 gene:ONIVA08G14040 transcript:ONIVA08G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASTAISAGRVVVVAGAAALVVVLLCAAPAPAAVEAVPAGAEVSEFPGFDGDLPSKHYAGYITVGHQPLKRHMYYYFATSERNSTTDPVIIWINGGPACSGFSAFLHSIGPFKIEGPMIHARDEPKTKLNPFSWTKMSSVLLVDSPAGVGYSYSENEDDYVTNDTSRVLDLYDFLSKWFSEYLEFLSNPFYIAGCSYSGVIVPVLAQEILKRNEDNGRIKINFKGYSLCNPAVDVEIENNAFVPYAFRMGLISDELYQNLVSTCNGKYWNNKGPSCLANLEQFHKQISGINMEHILCPPCRYQMGITKEANEEYDFGQMFELLSESSEYGLECNNQELVLEKLFDTKSSREKLHAKPEFFQCSGDHALLVPFSATLEWLKTLNYKEIEKWHPWFVEKQIAGYSVRYENNILFATIKGAGHVPSDYLPFEVFVAYQRWIDGSDSL >ONIVA08G14030.1 pep chromosome:AWHD00000000:8:15699128:15699373:-1 gene:ONIVA08G14030 transcript:ONIVA08G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCHASHGGGEPASAQQKQRQRSCDDSQLPASSLNPTAARLLREAIVSAPAGGGEKTAAEGSSDILAFARAVDRVDSPLE >ONIVA08G14020.1 pep chromosome:AWHD00000000:8:15698557:15698760:1 gene:ONIVA08G14020 transcript:ONIVA08G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVLVCDEPERVVATYQAPGRCPYCGGGVVATDVESAPRLCFLPLCFRLRRRFFCSLCSRRLVSVA >ONIVA08G14010.1 pep chromosome:AWHD00000000:8:15679890:15684198:1 gene:ONIVA08G14010 transcript:ONIVA08G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PREFOLDIN 1 [Source:Projected from Arabidopsis thaliana (AT2G07340) TAIR;Acc:AT2G07340] MADEANRAAFVELQGRMIDTTGKIKQLQTQMRSKEGEKKRAYLTLEELRQLPDNTNTYKTVGKVFILEPKSLLLNEQEQKLNDSESAIASMQTSKEYLEKQLAEVENNIRELLQQDPGLARQILSMTVQ >ONIVA08G14000.1 pep chromosome:AWHD00000000:8:15677293:15678767:1 gene:ONIVA08G14000 transcript:ONIVA08G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFPAAARGGEQGGGTAGQLVATPSRLRTAVASMLNRTGHARFRRAAPVVVQEEEDEAAAAARDAVVRCDGLSASASSSFPSSVTGVTGDGSVSNARAVLPAAGAGDKPPPMQSASDYASDGRLKRSSDDDGERCHCSKKKRKASWRARRRIRVPAISSRNADIPADDYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPGEPAMLIVTYDGDHRHGEPGHRRPDEAATTTEHRTTDQTTGRLL >ONIVA08G13990.1 pep chromosome:AWHD00000000:8:15666340:15668800:1 gene:ONIVA08G13990 transcript:ONIVA08G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQHPQPPAGSRLLDAVPLFVVVLLAAHVLALVSLGQAAAAEEDAVATTTTGPDGFVLAFIKS >ONIVA08G13980.1 pep chromosome:AWHD00000000:8:15658618:15663201:-1 gene:ONIVA08G13980 transcript:ONIVA08G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFPASLILPSPAMGAPPPPARPGRRSRSRAVARGPGPPLPSKNRIIPGQPSRLWLDRCLRALFVAALALSLSLSPPPPSLLLPAAPARAASSPVGERRRNPEAPPYPCEDVGRYYAGLDGLAGDELMAKLRAVVSPHAALRYKDVWEALKILDATDAPKPEASLDVIEIYSQRAVPKNLAGKPDGWNINSSRGNKYYGHCAATSVRCMRPANHEAAPDTETDSERWAPPLKVRGDVSRALMYMAVSYGSDQKDGAPHLELSDSPSIQSRKMGLLSALLKWNELDPPSRSEQLRNNRVCSLYQHNRNPFVDHPEYANLIWGNSLGESSSSVRTFPEAWVNEFHYENKGKDENEFVELAVRTSLDAKDLTLILYNGANGQMYNSLNLDDKDDFSVAESSSSSSYLIYTAFITLQNGPADGIALVYKNGNRKEVLDFLSYEGSMRALDGPAKGMVSVDMMLKETDESSQQDSLGLTGNKIGDFAWRKLEGYATPGKLNVGQMF >ONIVA08G13970.1 pep chromosome:AWHD00000000:8:15652584:15653894:-1 gene:ONIVA08G13970 transcript:ONIVA08G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRREPDARNNRTIRAMSTGIKPTDPAKGLRRARSVPSSPDRKLSPSHDASSSNAYRPSSSFSTRTGTSRSTFGSASSSIHSSKAPQTSSSTTTAKPANTTKGKADKSGGSSVWPPALTARNRSSKDMNRTAKSSSAMQKSNLSSRPGVDKMAASSAKQRTQKATPGALAGGKTQAVPSVRAPGTTTKKTMGVANSVPSIQRTSIPSRPIEAPKVNEQEVELLMEFDEMESISTPSIEEHLQERLPDPVELKQVDVIAYLLFGDNPSEPASNQQEDKNEEVVELISEEKHQVPDNNSFKGRDNADIGINSKVQAVKEAIDNSELKEAANETELKEAVDETELNEVVSESELYKDVNTTKYTEDALEPMLIEKEEAEENVEMVVPPKKTLKPVQGWSKDDGKSNEMKEEGRSKPTEERKSKVMALIGRFETAMSG >ONIVA08G13960.1 pep chromosome:AWHD00000000:8:15645217:15653706:1 gene:ONIVA08G13960 transcript:ONIVA08G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPLALLLSNRSPCHGPPPSRAATSYAPIAPATSRASNSGREATVLCAPRPRRIQIHPCSADLILSPPHFLLTSNTTNKSWTMKSAVPCCCEWMKKLSQMSTGMFPCGC >ONIVA08G13960.2 pep chromosome:AWHD00000000:8:15645552:15653706:1 gene:ONIVA08G13960 transcript:ONIVA08G13960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAMKRLFASNSGREATVLCAPRPRRIQIHPCSADLILSPPHFLLTSNTTNKSWTMKSAVPCCCEWMKKLSQMSTGMFPCGC >ONIVA08G13960.3 pep chromosome:AWHD00000000:8:15645217:15646145:1 gene:ONIVA08G13960 transcript:ONIVA08G13960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPLALLLSNRSPCHGPPPSRAATSYAPIAPATSRASNSGREATVLCAPRPRRIQIHPCSADLILSPPHFLLTSNTTNKEVAAHKAAAPVLRDRASEPERSSARGNLAISKKFSPLLTQKL >ONIVA08G13960.4 pep chromosome:AWHD00000000:8:15645552:15646145:1 gene:ONIVA08G13960 transcript:ONIVA08G13960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAMKRLFASNSGREATVLCAPRPRRIQIHPCSADLILSPPHFLLTSNTTNKEVAAHKAAAPVLRDRASEPERSSARGNLAISKKFSPLLTQKL >ONIVA08G13950.1 pep chromosome:AWHD00000000:8:15639113:15642055:-1 gene:ONIVA08G13950 transcript:ONIVA08G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSPSPPPPPMIGRAGNLTVFITPPSPASTPRSSRPSESPRSGFSTPATAPRTAASPSPPSPAPSPQQQRVASPPPTIPVKFSPPAAPVKVPPPPPVQVPPPQYEKASAGGKHDGSAFGFFWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYESTGKEVECGKAGKPKELTTSKVQKLSKCVEKFDLLDLGGVKVYGLRWKGIETARRFVHSCQDLYCNPML >ONIVA08G13940.1 pep chromosome:AWHD00000000:8:15631249:15631652:-1 gene:ONIVA08G13940 transcript:ONIVA08G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAAGKGLASPRLLRRLAADPVSGMGKRARHGGFARSHSPYFDSAASSVSPAALDSLPSPSPAIPIPTLAVAAAHLTVAAYLVVSAPNFDAAAGALFAPPGGRVRSPAAL >ONIVA08G13930.1 pep chromosome:AWHD00000000:8:15629657:15630596:-1 gene:ONIVA08G13930 transcript:ONIVA08G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIVILSLVVLLVLGALFVLPKSRNKGKAKEASSNAKSVVYDVTSYVEEHPGGDAILNNAGGDSTEGFFGLCQGFYGVE >ONIVA08G13920.1 pep chromosome:AWHD00000000:8:15626966:15629728:1 gene:ONIVA08G13920 transcript:ONIVA08G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVDGSGAQLVVAELVRVQGLLRQLEAHLSAPCSVELCRGLVAQIVALTDRSIGIATRSFSSASGGGAHFADTAPPMPALTSCTPSPLSDGSDHQPFRTTNAKKRKTTARWTSQVRVSAAGGAEGPADDGHSWRKYGQKDILGAKHPRGYYRCTHRNTQGCTATKQVQRTDDDASLFDVVYHGEHTCRPGAASAAAAKRPHAQTLLQSLSASLTVNTDTNTPLTPENRAPAPPLQQQQQQQSVSASPVASDSYGLGGAGYGDWRCCDGDLQEVVSALATVTSAPDHAAMDAADFMSYCFDFDPAVYGGIIKLFNTIKPLALTDEQRTQGCSSPI >ONIVA08G13920.2 pep chromosome:AWHD00000000:8:15626966:15628470:1 gene:ONIVA08G13920 transcript:ONIVA08G13920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVDGSGAQLVVAELVRVQGLLRQLEAHLSAPCSVELCRGLVAQIVALTDRSIGIATRSFSSASGGGAHFADTAPPMPALTSCTPSPLSDGSDHQPFRTTNAKKRKTTARWTSQVRVSAAGGAEGPADDGHSWRKYGQKDILGAKHPRGYYRCTHRNTQGCTATKQVQRTDDDASLFDVVYHGEHTCRPGAASAAAAKRPHAQTLLQSLSASLTVNTDTNTPLTPENRAPAPPLQQQQQQQSVSASPVASDSYGLGGAGYGDWRCCDGDLQEVVSALATVTSAPDHAAMDAADFMSYCFDFDPAVYGGIVGTPSFFL >ONIVA08G13910.1 pep chromosome:AWHD00000000:8:15594345:15600672:1 gene:ONIVA08G13910 transcript:ONIVA08G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLSRNTHHAHGAPKSPNPPPHPSSSPRRRRRRRSSPSIPRPDPPPPASSTAPVCRRRRASTPHMSQSKEVRYTARSITPPADRNGTSKSKSPTPVRRSTSRSPPPKKSDSRSPPPRRRSTSRSPRPRRHGRSRSRSRDDDLRNPGNNLYVTGLSTRVTEEDLEKFFSKEGKVQSCHVVLDPRTKESRGFAFVTMDSVDDARRCIKYLHRTVLEGRLVTVEKAKRTRERTPTPGKYCGRRDTFRSSKFQALKEVPGAHHLTGLAEGSDLAQEIVRGTAHALGIAAGTALARGIAAGTALARGIAAGTARAQGIVAGTVRAQGIVGAALHMTEILTGGVETGPGHRLPTGTTKRTSKFSLRCVLGQTAND >ONIVA08G13910.2 pep chromosome:AWHD00000000:8:15594345:15600366:1 gene:ONIVA08G13910 transcript:ONIVA08G13910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLSRNTHHAHGAPKSPNPPPHPSSSPRRRRRRRSSPSIPRPDPPPPASSTAPVCRRRRASTPHMSQSKEVRYTARSITPPADRNGTSKSKSPTPVRRSTSRSPPPKKSDSRSPPPRRRSTSRSPRPRRHGRSRSRSRDDDLRNPGNNLYVTGLSTRVTEEDLEKFFSKEGKVQSCHVVLDPRTKESRGFAFVTMDSVDDARRCIKYLHRTVLEGRLVTVEKNTNICANYLHDRLKELGRELLHLENIVAAEALKEVPGAHHLTGLAEGSDLAQEIGPLALKGSSQGPFALKGSSGQLST >ONIVA08G13910.3 pep chromosome:AWHD00000000:8:15594345:15600366:1 gene:ONIVA08G13910 transcript:ONIVA08G13910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLSRNTHHAHGAPKSPNPPPHPSSSPRRRRRRRSSPSIPRPDPPPPASSTAPVCRRRRASTPHMSQSKEVRYTARSITPPADRNGTSKSKSPTPVRRSTSRSPPPKKSDSRSPPPRRRSTSRSPRPRRHGRSRSRSRDDDLRNPGNNLYVTGLSTRVTEEDLEKFFSKEGKVQSCHVVLDPRTKESRGFAFVTMDSVDDARRCIKYLHRTVLEGRLVTVEKALKEVPGAHHLTGLAEGSDLAQEIGPLALKGSSQGPFALKGSSGQLST >ONIVA08G13910.4 pep chromosome:AWHD00000000:8:15594345:15600959:1 gene:ONIVA08G13910 transcript:ONIVA08G13910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLSRNTHHAHGAPKSPNPPPHPSSSPRRRRRRRSSPSIPRPDPPPPASSTAPVCRRRRASTPHMSQSKEVRYTARSITPPADRNGTSKSKSPTPVRRSTSRSPPPKKSDSRSPPPRRRSTSRSPRPRRHGRSRSRSRDDDLRNPGNNLYVTGLSTRVTEEDLEKFFSKEGKVQSCHVVLDPRTKESRGFAFVTMDSVDDARRCIKYLHRTVLEGRLVTVEKAKRTRERTPTPGKYCGRRGSQRSSRSPSPYRSRRRERSRSRDRQRDRSRSRDRRRDRSRSRDRRRDRSRSRDRRRDRSRSRDRRRDRSRSRDRRGSSPHDRDSHRRRGDRSRSPATNGNHKKD >ONIVA08G13900.1 pep chromosome:AWHD00000000:8:15589932:15591326:1 gene:ONIVA08G13900 transcript:ONIVA08G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTDSRHQISSSSCQMVDALLQPSLLSRASMRMTDSWRSTCRSIKRLLLLTCCSFHEKAESTSIMIPNLHVPVLWSRPVIVARFENPQATLS >ONIVA08G13890.1 pep chromosome:AWHD00000000:8:15576841:15579415:1 gene:ONIVA08G13890 transcript:ONIVA08G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGRSGDGVGGRRIRRRRPREGRIRRHREWLRWRRRRLGDLGSAARHPLLTAADNDDDEATLPPPQHRGRGGGSATPRGSGAADDKAAIALLKGFSNWWYLLVLYVQFAMPMPMPVPQFAMQGFKALYGHMQGFKVLYGCGYKYCATNVCFSIGQV >ONIVA08G13890.2 pep chromosome:AWHD00000000:8:15576841:15579711:1 gene:ONIVA08G13890 transcript:ONIVA08G13890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGRSGDGVGGRRIRRRRPREGRIRRHREWLRWRRRRLGDLGSAARHPLLTAADNDDDEATLPPPQHRGRGGGSATPRGSGAADDKAAIALLKGFSNWCS >ONIVA08G13880.1 pep chromosome:AWHD00000000:8:15569378:15569656:-1 gene:ONIVA08G13880 transcript:ONIVA08G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVQGAQPKGAFTATTYRSAAAAATGGEEESRHPPPPPARTELRSTEDERGLPVKRLEDKVDDAAGKGGPVFGAGEDDGKPDLGVTGTGGG >ONIVA08G13870.1 pep chromosome:AWHD00000000:8:15564847:15570169:1 gene:ONIVA08G13870 transcript:ONIVA08G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDRNEEEMVTNDSDPLLKRENEEAESSSQLTPPKPATLSALEIEDEETDGSSAGCCRICLETDSELGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVILVFLAVQLTIAMIGAISYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLILHCSSFNDNQDPCLAGCRNCCYGWGVLDCLPASLEACFALVVVFVVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKEYVVEDLHGSYTPPKLDPEHEERLKMLKLL >ONIVA08G13870.2 pep chromosome:AWHD00000000:8:15564902:15570169:1 gene:ONIVA08G13870 transcript:ONIVA08G13870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDRNEEEMVTNDSDPLLKRENEEAESSSQLTPPKPATLSALEIEDEETDGSSAGCCRICLETDSELGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVILVFLAVQLTIAMIGAISYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLILHCSSFNDNQDPCLAGCRNCCYGWGVLDCLPASLEACFALVVVFVVVFAILGIAYGFLAATMAEYVVEDLHGSYTPPKLDPEHEERLKMLKLL >ONIVA08G13860.1 pep chromosome:AWHD00000000:8:15555740:15557754:-1 gene:ONIVA08G13860 transcript:ONIVA08G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPTLTDKRSMSRRIAKSNGATIPTQLPGSSLTHRGIAPASTASVLATAIAVLPHHVADPALLSVDLHHGPFRATPEAARQSSGRRWLLSAVLWSPVSPPSPSDIPIYKSEILPLDTSSLFFRTSGSFITRIKGEGRGRVLQRFNTLALPGKICCFLFCNCTFCFS >ONIVA08G13860.2 pep chromosome:AWHD00000000:8:15555097:15557754:-1 gene:ONIVA08G13860 transcript:ONIVA08G13860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPTLTDKRSMSRRIAKSNGATIPTQLPGSSLTHRGIAPASTASVLATAIAVLPHHVADPALLSVDLHHGPFRATPEAARQSSGRRWLLSAVLWSPVSPPSPSDIPIYKRL >ONIVA08G13850.1 pep chromosome:AWHD00000000:8:15550752:15551658:-1 gene:ONIVA08G13850 transcript:ONIVA08G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRTVPVSEPTPRMDEQRADKGGVACPDAVPSQRWCRLGRQQQMVSTCSPSGPSLVRGCLDPVTVAVVEKAHAEGAVAADGREVVEEKWQSKSLNKPMPLSHLFFYFFHHNSHTAKSSSQKEER >ONIVA08G13840.1 pep chromosome:AWHD00000000:8:15537261:15545590:-1 gene:ONIVA08G13840 transcript:ONIVA08G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEAAFGVASLRLRGSMASASSRRAPSYRDYDVFSIASSSRAEAEDDEEALKWAALEKLPTHARVRKGIVAAADDGQGSGAAGEVVDVAGLGFQERKHLLERLVRVAEEDHESFLLKLKQRIDRVGLDFPTIEVRYEHLSIDALAHVGSRGLPTFLNTTLNSLEVKNLDPQNPLISDDFWANFSLNLLFFDPHLDVQSLANLLHVVPNKKRPLNILNDVHGVIKPRRMTLLLGPPGSGKTTLLLALAGKLGSDLKVSGKVTYNGYGMDEFVAQRSAAYISQHDLHIPEMTVRETLAFSARCQGVGTRYDMLTELARREKAANIKPDPDLDVYMKAISVGGQETNIITDYVLKILGLDICADTIVGNEMLRGISGGQRKRVTTGEMIVGPARAMFMDEISTGLDSSTTFQIVKSLGQITSILGGTTVISLLQPAPETYNLFDDIILLSDGHIVYQGPREHVLEFFESMGFKCPDRKGVADFLQEVTSRKDQQQYWARTHQPYCYIPVQEFARAFQSFHVGQTLSDELSHPFDKSTSHPASLTTSTYGASKLELLRTCIARELLLMKRNMFVYRFRAFQLLVITIIVMTLFLRTNMHHETRTDGIVYLGALFFAMVAHMFNGFSELAMATIKLPVFFKQRDYLFFPSWAYTIPTWILKIPISCFEVAITVFLSYYVIGFDPNVGRLFKQYLLLLLVNQMAAALFRFIAALGRTMVVANTLASFALLVLLVLSGFILSHHDVKKWWIWGYWISPLQYAMNAIAVNEFLGHKWNRLVQGTNTTLGIEVLKSRGMFTEAKWYWIGVGALFGYVIVFNILFTIALGYLKPSGKAQQILSEEALKEKHANITGETINDPRNSASSGQTTNTRRNAAPGEASENRRGMVLPFPPLAVAFNNIRYSVDMPPEMKAQGVDQDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAYSAWLRLPSDVDSETRKMFIEQVMELVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSCDLIEYFEGVEGVSKIKPGYNPATWMLEVTTLAQEDVLGISFTDVYKNSDLYQHEVLTKSLDSYGIVAHCRRNQSLIKGISRPPQGSKDLFFPTQFSQSFSTQCMACLWKQNLSYWRNPPYTVVRFFFSLIVALMFGTIFWRLGSKRSRQQDLFNAMGSMYAAVLFMGISYSSSVQPVVAVERTVFYRERAAGMYSALPYAFGQVVVELPYVLVQSAVYGVIVYAMIGFEWEAKKFFWYLYFMYFTLLYFTFYGMLAVGLTPSYNIASIVSSFFYGIWNLFSGFVIPRPSMPVWWRWYSWACPVSWTLYGLVASQFGDLKEPLRDTGVPIDVFLREYFGFKHDFLGVVAVAVAGFATLFAVSFSLSIKMLNFQRR >ONIVA08G13820.1 pep chromosome:AWHD00000000:8:15515370:15520000:-1 gene:ONIVA08G13820 transcript:ONIVA08G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAEPLAVAVAHTATAGTDHSPAPLPPPPPHCNYKSKLQEYLQQANKQLPIYCTKCKGEHHQLKFKSTVMVDGEEFSSTFCHRRVKDAEQDAAKVAYDTLLERKETETDDTDVFELIDQDVVFSKSILHEYTTKTKTDQPEYSVTKTEGSVTPYVSSVSFAGHTYTGGAARNKKDAEQKAARAAVKSLLATNYTSMAKIVRSKEKLIRAISPSGYNKGIDSNPTNKKLPFAPIKFTPPSIFKLYDGEIDMLSVPQALFAPLVAAEEPKVRPAAEPASNPSEQAVHVSKKHKDNKVRGPEVKEERVAQSLDSIFLCWRLTGFGDNMVLCR >ONIVA08G13810.1 pep chromosome:AWHD00000000:8:15510466:15514761:1 gene:ONIVA08G13810 transcript:ONIVA08G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome B561-1 [Source:Projected from Arabidopsis thaliana (AT5G38630) TAIR;Acc:AT5G38630] MAAAPAARFPVFGLVRLLGLAAAAAIVVWAVHFRGGMALSSETDKLLIFNVHPVLMLIGLVVLNGEAILAYKTVPGTKKLKKLVHLALQFLAMLLSLIGLWTVWKFHDERQIDHLYTLHSWLGLSCIIFFSLQWATGFYTFWYPGGSRSGRASLLPWHVFFGLFLYVLAIATSVSGLLEKSIFMQSAKMIGRFSTEAMFMNSLGMLLVLLGALVILAVVTPGVGKIDTYRGSSE >ONIVA08G13800.1 pep chromosome:AWHD00000000:8:15505189:15507548:-1 gene:ONIVA08G13800 transcript:ONIVA08G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGEFFSVGECDGQKTIDGEQVPLVLTPASSGSGERGGEALAAALRARREWVEAKVVSNSAVLLRGFGVRDAAEFDAVVGALGWPDIRYVGPAPRTHVHGRVWTANEGPLDEFIYYHHEMVLIKEFPGKVILFCEVAPPEGGETPFVPSFRVTERVMEEFPEMVEELDEKGLRYTFTALSKNDTKSMRGRGWEDAFATTDKAEAEKRKLTRVFPGRQGRRMWFNTVVGMHGKELSSATMADGAEIPTAFVARCGEIIEEESIQFRWEVGDVLILDNLATLHGRRPSPPPRRVLVATCK >ONIVA08G13790.1 pep chromosome:AWHD00000000:8:15499374:15504000:1 gene:ONIVA08G13790 transcript:ONIVA08G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDAQDLLVECCVEFINLLSSESNEVCSREDKKTIAPEHVLRALQDLGFREYIEEVQAAYEHHKHDTLDSPKASKFTGVEMTEEQAVAEQQRMFAEARARMNNGAAKPKEPEPEAQQQTQQPPQPQLHPQPQQPLQPQLQLHPQPQQQPSQLHPQQLLHPQSQQTPQPQPQVHPQPQQPPQLQPQPQLLQQPQLPQQLQPQSQLPPQPQQPPQLQLQSQLHPQPQQPPQLQPQPQLHQQPQPQAELQSQSQPQTEHGLDSS >ONIVA08G13790.2 pep chromosome:AWHD00000000:8:15499613:15504000:1 gene:ONIVA08G13790 transcript:ONIVA08G13790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDAQDLLVECCVEFINLLSSESNEVCSREDKKTIAPEHVLRALQDLGFREYIEEVQAAYEHHKHDTLDSPKASKFTGVEMTEEQAVAEQQRMFAEARARMNNGAAKPKEPEPEAQQQTQQPPQPQLHPQPQQPLQPQLQLHPQPQQQPSQLHPQQLLHPQSQQTPQPQPQVHPQPQQPPQLQPQPQLLQQPQLPQQLQPQSQLPPQPQQPPQLQLQSQLHPQPQQPPQLQPQPQLHQQPQPQAELQSQSQPQTEHGLDSS >ONIVA08G13780.1 pep chromosome:AWHD00000000:8:15405737:15414321:-1 gene:ONIVA08G13780 transcript:ONIVA08G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFTARRSKPVLVAPAWATPNERKYLSDIDSQRSLRFYATFVEFFQLSTFDRSRPSDPAKAIKSALAEALVYFYPIAGRLTELPEGKLVVDCTAEGVVFVEADADVELEELGKPLLPPYPCVEEFLCDPGDTKMVVGKPLFFLQVTRLKCGGFVVGFHICHNMSDGFGMFNFIRAIADIARGEALPTIFPLWNRELLTMCFPSRISHMHLAYELLRDCHLGNDIMQSTPPDAMVGQYFLFGPTQISAMRSHVSAYLRQSYTIFELIAGAIWKCRTTALDYSPGQLVRFMFTLNSRGKWKRNPPIPEGYYGCGLVFPVAETLVADLCGNPLEYAVQLVRKARFNVTDEYIKSTVDMIASRKWPSLVVDRTYVVSDITSIGEDKIDFGWGKRVGGGVPMAGDIMSKLISYFMKCKNADGEDCVVVPMYLPSIIMDRFAAEISLVRKARFNVTDEYIKSTVDMIASRKWPSLVVDRTYVVSDITSIGEDKIDFGWGKRVGGGVPMAGDIMSKLISYFMKCKNADGEDCVVVPMYLPSIIMDRFAAEISVWSRKQGNKFIVSAL >ONIVA08G13770.1 pep chromosome:AWHD00000000:8:15402164:15405103:1 gene:ONIVA08G13770 transcript:ONIVA08G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASGPGASSSAQKPIVTQEQIAAMFKPIQPVGEPTQQIPLGQQTPINQQHLPFGAQFIPEHPVHNVYQSPQQYPGGGSPQPQLLPQFNQFDPIKQQISHQNLGKTQPIQIHEHENIPQQKRARQWGSGETPVEKRYRRRGGAGEFRRQRKGTGFTSTTGGRSHALHLRSIAMDDD >ONIVA08G13760.1 pep chromosome:AWHD00000000:8:15353374:15354010:-1 gene:ONIVA08G13760 transcript:ONIVA08G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEKLLIYYYLPNGNLHDRLHDVRGVAVRLDNEGEASPRRGARAACIHREYRTSAIPHGNIKSTNVLLDKNGAVCVADFSLALLLIPAHTIARLGGYMAPEKEDNKRLSQEADVYSFSVLVLETLIGKVPVQYPQPSPVTTSSRTPLLLQRPLPPLRPPLAAAAAADPAPAPRV >ONIVA08G13750.1 pep chromosome:AWHD00000000:8:15279448:15279903:-1 gene:ONIVA08G13750 transcript:ONIVA08G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPPPKWWGEEAEPHAGGGVASHARGEGLPTAASRAGGGVGELGARGAGASSTAAVGRQGKLDSDSSHVGATIGVVGRRGRRRTSLGGSASFMRRQPRRGERSLTAAARAGGGIGEIGTKGVGACSTVAAGQLGELELGGTCSTALAGRP >ONIVA08G13740.1 pep chromosome:AWHD00000000:8:15268228:15270114:1 gene:ONIVA08G13740 transcript:ONIVA08G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPHLPLLLLLLLVVVCNAAGGDGARVNPFTAKAAFIRYWNRRVPNNRPHPAFFVAKLSPLQAADAASFAAALPRLLPPLCARAALLCPSASDTETAASLAVGGGGGGGPFKGYSNANFTNYGSGGVGGADGFSAYSPDLNVVGDSFRRYGRDSTRRVDTFASYEAEGNVVTANFTSYAGAATGGSGSFSAYAADTNVPDSTFTNYDAEANGRRREFTSYSQEANHGSNTFAGYGKNGNGLRETFTTYGNDSNVIASGFTNYGESGNGATDTFTAYGKEGNVPDNTFRSYGAGGNAGVDTFKGYRSESNVGDDSFASYAKGANGNAAEFQNYGGSFNPGTVTFKGYGEGSNPNHHIGFKEYAGSNNSFKGYAKSGVDFKEYHNTSSADAATAMSLEAVSSGHQHLKWSPEPGKFFRETELVSGNTMPMPDIKDKMPPRAFLPRDIAKKIPFKPNAVSEVFGVPLDTAMGKAVTSTVAECEREPSRGETKRCATSAEDIVDFAVEMLGNDIVVRSTASTAGSGGQIRLGNVTGVDGGKVTRSVSCHQSLFPYLVYYCHSVPKVRVYEADIMAADSDQKINHGVAICHLDTSDWSPTHGAFIALGGKPGEVEVCHWIFEGDMTWTVAD >ONIVA08G13730.1 pep chromosome:AWHD00000000:8:15231557:15233027:-1 gene:ONIVA08G13730 transcript:ONIVA08G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G23740) TAIR;Acc:AT1G23740] MQSLLSSSVLANPCTTGSPLFPPTAAKLAAAASVPVAAAARSGAIAAVSRRSASGGRCVVAAASSSSPAVTTAEAGEVPATMKAWAYDDYGDGSVLKLNDAAAVPDIADDQVLVRVAAAALNPVDAKRRAGKFKATDSPLPTVPGYDVAGVVVKAGRKVKGLKEGDEVYGNISEKALEGPKQSGSLAEYTAVEEKLLALKPKSLGFAQAAGLPLAVETAHEGLERAGFSAGKSILILGGAGGVGSLAIQVNQPDTHHHCISIHQLNLHLTFTWPERTSRTLQLAKHVYGASKVAATASTPKLELLKSLGADVAIDYTKENFEDLPDKYDVVLDAVGQGEKAVKVVKEGGSVVVLTGAVVPPGFRFVVTSDGSVLEKLNPYLESGKVKPLVDPKGPFAFSQVVEAFSYLETGRATGKVVISPIP >ONIVA08G13720.1 pep chromosome:AWHD00000000:8:15227263:15233016:1 gene:ONIVA08G13720 transcript:ONIVA08G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:like SEX4 1 [Source:Projected from Arabidopsis thaliana (AT3G01510) TAIR;Acc:AT3G01510] MALHLTAAPIIAPSAAAACRSLAPPLPAVSCSTSRRGWRRRRRCVSVVAMAAAADGEMRHGHAAEAGGGGAGTGTGRMNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKKGGNAEKSRIIMVGDTLKKAGSREGVGLVDIRDLGDTEMVLKETSGPCDLVLERPFAPFPIHQLHQNEDYHLLFNKGRVPLTSWNGALLSSKLNESSEGNGNPGFAIFSPRLLNSHGWAVLSSEQDGLNQRSTSLANRISEIVGLYSDEDDADTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETMGITAVLNFQSESERTNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVCFVWNSGREGEDVELVGDFTSNWKDKVKCNHKGGSRYEAEIRLRHGKYYYKFIAGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLQIRDPTVVKVIERALTEDERFLLAFAARRMAFAICPIRLSPKQ >ONIVA08G13720.2 pep chromosome:AWHD00000000:8:15227263:15233016:1 gene:ONIVA08G13720 transcript:ONIVA08G13720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:like SEX4 1 [Source:Projected from Arabidopsis thaliana (AT3G01510) TAIR;Acc:AT3G01510] MALHLTAAPIIAPSAAAACRSLAPPLPAVSCSTSRRGWRRRRRCVSVVAMAAAADGEMRHGHAAEAGGGGAGTGTGRMNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKKGGNAEKSRIIMVGDTLKKAGSREGVGLVDIRDLGDTEMVLKETSGPCDLVLERPFAPFPIHQLHQNEDYHLLFNKGRVPLTSWNGALLSSKLNESSEGNGNPGFAIFSPRLLNSHGWAVLSSEQDGLNQRSTSLANRISEIVGLYSDEDDADTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETMGITAVLNFQSESERTNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVCFVWNSGREGEDVELVGDFTSNWKDKVKCNHKGGSRYEAEIRLRHGKYYYKFIAGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLQIRDPTVVKVIERALTEDERFLLAFAARRMAFAICPIRLSPKQ >ONIVA08G13720.3 pep chromosome:AWHD00000000:8:15227263:15233016:1 gene:ONIVA08G13720 transcript:ONIVA08G13720.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:like SEX4 1 [Source:Projected from Arabidopsis thaliana (AT3G01510) TAIR;Acc:AT3G01510] MALHLTAAPIIAPSAAAACRSLAPPLPAVSCSTSRRGWRRRRRCVSVVAMAAAADGEMRHGHAAEAGGGGAGTGTGRMNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKKGGNAEKSRIIMVGDTLKKAGSREGVGLVDIRDLGDTEMVLKETSGPCDLVLERPFAPFPIHQLHQNEDYHLLFNKGRVPLTSWNGALLSSKLNESSEGNGNPGFAIFSPRLLNSHGWAVLSSEQDGLNQRSTSLANRISEIVGLYSDEDDADTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETMGITAVLNFQSESERTNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVCFVWNSGREGEDVELVGDFTSNWKDKVKCNHKGGSRYEAEIRLRHGKYYYKFIAGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLQIRDPTVVKVIERALTEDERFLLAFAARRMAFAICPIRLSPKQ >ONIVA08G13720.4 pep chromosome:AWHD00000000:8:15227263:15234446:1 gene:ONIVA08G13720 transcript:ONIVA08G13720.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:like SEX4 1 [Source:Projected from Arabidopsis thaliana (AT3G01510) TAIR;Acc:AT3G01510] MALHLTAAPIIAPSAAAACRSLAPPLPAVSCSTSRRGWRRRRRCVSVVAMAAAADGEMRHGHAAEAGGGGAGTGTGRMNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKKGGNAEKSRIIMVGDTLKKAGSREGVGLVDIRDLGDTEMVLKETSGPCDLVLERPFAPFPIHQLHQNEDYHLLFNKGRVPLTSWNGALLSSKLNESSEGNGNPGFAIFSPRLLNSHGWAVLSSEQDGLNQRSTSLANRISEIVGLYSDEDDADTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETMGITAVLNFQSESERTNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVCFVWNSGREGEDVELVGDFTSNWKDKVKCNHKGGSRYEAEIRLRHGKYYYKFIAGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLQIRDPTVVKVIERALTEDERFLLAFAARRMAFAICPIRLSPKQ >ONIVA08G13720.5 pep chromosome:AWHD00000000:8:15227263:15233416:1 gene:ONIVA08G13720 transcript:ONIVA08G13720.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:like SEX4 1 [Source:Projected from Arabidopsis thaliana (AT3G01510) TAIR;Acc:AT3G01510] MALHLTAAPIIAPSAAAACRSLAPPLPAVSCSTSRRGWRRRRRCVSVVAMAAAADGEMRHGHAAEAGGGGAGTGTGRMNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKKGGNAEKSRIIMVGDTLKKAGSREGVGLVDIRDLGDTEMVLKETSGPCDLVLERPFAPFPIHQLHQNEDYHLLFNKGRVPLTSWNGALLSSKLNESSEGNGNPGFAIFSPRLLNSHGWAVLSSEQDGLNQRSTSLANRISEIVGLYSDEDDADTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETMGITAVLNFQSESERTNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVCFVWNSGREGEDVELVGDFTSNWKDKVKCNHKGGSRYEAEIRLRHGKYYYKFIAGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLQIRDPTVVKVIERALTEDERFLLAFAARRMAFAICPIRLSPKQ >ONIVA08G13710.1 pep chromosome:AWHD00000000:8:15218992:15226135:1 gene:ONIVA08G13710 transcript:ONIVA08G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G27870) TAIR;Acc:AT3G27870] MRPPAASAADERPLVELASAAATAPASTETSTFSSAPGFTRAVRCSGAGCSSSSSSSSSSSDEGGGGAYPGNAISTTKYTAASFVPKSLFEQFRRAANCFFLVVACVSFSPLAPYRAVSVLLPLVVVVGAAMAKEAVEDWRRKQQDIEVNSRKVEVYDGTQSFHQTEWKKLQVGDIVKVKKDEFFPADLVLLSSSYEDGICYVETMNLDGETNLKRKQSLDVTVGLNEDHSFHTFKAFIQCEDPNEKLYSFLGTLHYNGQQYPLSPQQILLRDSKLRNTNQIYGIVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFVILFAIASFGSVMFGIRTRAELSAGNYAWYLRPDNSTMYFDPNRATLAAICHFLTSLMLYVCLVPISLYISIEIVKVLQSTFINQDQNMYCEESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGNRPIEVQMPYGGIEEECVDIGQKGAVKSVRPVKGFNFTDDRLMNGQWSKECHQDVIEMFFRVLAVCHTAIPVADRTSGGMSYEAESPDEGALVAAARELGFEFYHRSQTSISVHEYDPVFGRKVDRTYKLLNTLEFSSARKRMSVIVSTEEGRLFLFCKGADSVILERLSKDNSKACLTNTKCHIDEYSEAGLRTLALAYRELTEDEYVAWNMEYSAAKNSVHNDHDVAVEKASENIEKDLVLLGATAVEDRLQKGVPECIHKLAQAGIKIWILTGDKLETAVNIGYACNLLRKGMEEVYITLDNPGTNVPEEHNGESSGMAPYEQIGRKLEDARRQILQKGTSAPFALIIDGNALTHALMGGLKTAFLDLAVDCASVLCCRISPKQKALITRLVKNRIRKTTLAIGDGANDVGMLQEADIGVGISGAEGMQNITFGFTLFWFEAHAMFSAQPGYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVPSLHQDGVNNLFFSWSRILSWMLNGVCCSIIIYFGALHAVLIQAVRQDGHVAGFDILGVTMYTCVVWTVNCQLALYISYFTWIQHFVIWGSILIWYTFLVIYGSFPPTISTSAYHVFWEACASSPLYWLSTLVIVVTALIPYFLYKITRSLFCPQHCDQVQRPNSKELVAQ >ONIVA08G13700.1 pep chromosome:AWHD00000000:8:15215891:15217821:1 gene:ONIVA08G13700 transcript:ONIVA08G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREGRGVEREYGGGETVTWDAHRCNACVQSVPWPKRRRRRVAQARVACHRQGTTRTEKSGNGSRRGRRMRRRLEAWAAELEAWGGGGDARERGPAAAAAVAFYLYQRTRRGSASPRLRAQLPPLTAPSPTPPQSTAVDGSRRGWRIQRRLGARAADLATMRGAGDGLGLGRRRRGKREGAPRHRRRRLLPPRADAGGAPHHAVDAPSECLRRPLSAPPPPPQSIAAARIT >ONIVA08G13690.1 pep chromosome:AWHD00000000:8:15190830:15208895:-1 gene:ONIVA08G13690 transcript:ONIVA08G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEQPGAGAPAPEPLPSQLDILKNTIQSLRDSNRHDFDAWVSLIKAAEETSMNDIEVIDLVYHNFLLEFPLFYGYWIKYAAHKARLCTNKEVEEVYEQAVQAVPHSIDLWVSYCGFAMCTYEEPAHIRRLFERALSLVGKDYLCYHLWDKYIEFEKSQKQLIQLATIYIDTLKFPTKKLRRYYESFRKLVTLMEHEAAGAERSSENLRTLEVIKAEDSEVDASIKISALLDEHSGHLRADAVKQYLLSGESLYQRSSKIDKEISCFEASIKRPFFHVKPLDDDQLENWHRYLDFVEKKGDFDWAVKLYERCLIPCANYSEFWIRYAEFVDAKGGREIASYALGRASSYFVKGVPTFHMYYAMFKEQIGDAQGARSLFIEGSNNLTSNFCANINRLANMEKRMGNTKAASEIYETAIQDALQKNVKILPDLYTNFAQFKYAVNHNISEAKEVFVDGIKQAPCKALIKGFMQFMSTHGGPTEIPILDSVISNAVVPGSDISTILSPEDREDISLLFLEFVDLYGDVRDLRKAWARHSKLFPHNTRHMLQQYCNSENSLQENNKRRRTESYIVSQDDSPKDAITLKQLSKSDTSLLVDKVVGLQVDKSTVDSGIGHTVEEQNILGNVDVHHEVGDTAQECIDMTDSQHNLDKSGMQNQVSAHGAHESCEQNDQTTESHPSVCENAPHAESFTCDSPSKSNSFSKISALDKANTIDVSASVDQGAICSRSDSPSVASLPKEETSPDPVRISPELEEKKHDKIQGQLETKDDMSLSNANIEKSSDSPDATQHDRGVSALSQEHVQSSQPQQLPDCARPSSSEMATTPATTSSQFSPSTAVTSQTQLQHQIVSSQMHQSDKLSLAEQNTQQQGLAYEIPQNVQASLQSQAQIFAQPNQGDQQHLQTMQGYASQMWQYYQQQMYYLQAQHNQQLQSLQQQQLPTEHLQQNFMQQVQQLNQQMVLWQQQVQQQQQQQQQQLQLQQHALPLQQQPDQKYSQLPSSGDTRHEQNKPQKHESQMDHQSKLVQQQQVYFQQQQQMYLMQQQQQMYQQQQQLQQQLLQQQLLQQQQYLSQMPQQQQNMTQQQQLFQQQQQQLFQQQQQQMVVLQQQQQQQFIQQQMQQYLQQQTNQQGANSQSCELNPQDARNMKMEHGQQSEASQSDGSKIEALAFKEERRVVDNLIIYSTFIYWENSKSISSDSS >ONIVA08G13680.1 pep chromosome:AWHD00000000:8:15189144:15190774:-1 gene:ONIVA08G13680 transcript:ONIVA08G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin z [Source:Projected from Arabidopsis thaliana (AT3G06730) TAIR;Acc:AT3G06730] MAMAAAASLLPACAAPTLPGRAFRPRRNSTPTASLSCDGGSRGRGVGLGVILGGGRAQGVRRNAAAETYVPGSGKYIAPDYLVKKVTAKELEELVRGERKVPLIVDFYATWCGPCVLMAQDIEMLAVEYENNALFVKVDTDDEYELARDMQVRGLPTLYFFSPDQSKDALRTEGLIPIDMIRNIIDNEL >ONIVA08G13670.1 pep chromosome:AWHD00000000:8:15184651:15185848:-1 gene:ONIVA08G13670 transcript:ONIVA08G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding LETKPLTQVWIQSFLSVSVDSHTNHHSLSQSFVLKEKERTAARTQPPANWPRRRLLLPNPALALLPCSASSRARPAAGFGATPGGGGARRCRLPGPHSTGLHLVRIDSKVAFLNSKEILSFP >ONIVA08G13660.1 pep chromosome:AWHD00000000:8:15183918:15184622:-1 gene:ONIVA08G13660 transcript:ONIVA08G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIDGNKPPSEVLIDENDDWVIVKKQRIIILIPPPSPAAASLQDDMQKISSEQACLAKKSMENCDAARKKHPKQMTTNKAQEPLLEGIKVSANIKKAQEIATSSHHPVAPVKANHASIQGQFHENIEKAGNSFGNIYKEELPVISSQVTNRIMRARLLERRIAGFGGLKNWLFTCGFGWFVDILDSEKLGMYQIVSLTMNQLKDMGLDAVGPRRKLIHAIERVSQPNEFEMFS >ONIVA08G13650.1 pep chromosome:AWHD00000000:8:15162671:15164935:-1 gene:ONIVA08G13650 transcript:ONIVA08G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKMSNERLPPSDNRRGEARGREEAWLPQQLPELELVELMRQCYALVTACLWTLVASNVAFWWRSPRSESEVGGLWHKQELVAPLRYSAPPPQPILFLSSRECHRSIYTGRRLAIVFSISLKSLTKKGLSMSSVLVAAVMAGVFFSALVSTDTAQQITNVAAAAGADDNRGLVLPLPITPSI >ONIVA08G13640.1 pep chromosome:AWHD00000000:8:15155669:15159342:-1 gene:ONIVA08G13640 transcript:ONIVA08G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLWGVLGQASNVAQLVGVDALGLVSMVVQAALAARCHRDACRRLGQHVELVGGLLRELELAELMRREATRRPLEQLQGALRRCYALVTACQEDRGYLHRLLLGARMANELRAAQHEIDMYIRLIPLIALVDNSSSNRRVTQATEGVRAVVPSCSDHHTRFQRRALEFIELHVQGAAKPSEIGGKSLVVTVDPQPQEEKIMDIEAVLKLFVHTEENYPGFRKFDFIQIVDATDGFSEKRILGKGGFGTVYKGHLLDGLTVAIKTFDADTAIFDLKSELQLVRIQHTNLIRLLGWCIHEKERILVYEYIQKGSLHNIIFQQHVTITSSVSQYKTKGALLNWSKRFQIIKGLAEGLLYLHKHCWIVHRDLKPSNILLDHDMNPKIGDFGSAVTLVSDVAEERTKRVVGTSGYIAPEYASQGLYSLKTDVFSFGVVVLETISGRKNFIMEKQRDIVGYLVRDAWHMWKDGRLQELVDPALCDGYESTVIMRCTQVALLCAQEDPADRPTMTDVTAMLDSESIMLSDPKEPTELTHGGASPDRSSTYIGHSSKAIDITITSSAPVSTRVRIIVEPEI >ONIVA08G13630.1 pep chromosome:AWHD00000000:8:15154328:15154882:1 gene:ONIVA08G13630 transcript:ONIVA08G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWAALWRDDATRFAAAVTSQCRAEKDLCCLAAAALKHSSRLGFGSIAEETEMAAAAPCSWTSAAVFMAAVFMSLPRHPPARRRQRLWPSTRRPRRRRTRWLLSLGRFEALEQCIDESNSSYSNVFRSILQTRVALLNIQTRIGTWKQCIPPKEILFQPGDDWSCFLLMIGVLDYQWLLFTDD >ONIVA08G13620.1 pep chromosome:AWHD00000000:8:15153694:15153954:1 gene:ONIVA08G13620 transcript:ONIVA08G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDVFAYTAMISGLSDHSRCVKAIELFERMQEERGGQGSSARQGRSSGAWRRSTAWSPAWRTMAAWWMCWRGWWRRRRMPMRPD >ONIVA08G13610.1 pep chromosome:AWHD00000000:8:15141578:15145609:-1 gene:ONIVA08G13610 transcript:ONIVA08G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15080) TAIR;Acc:AT3G15080] MASPPPPATAAAAAANPKRRPKPKPKAAGASTLNPNWAQLQSKLPRPAAATTLGKRKHRPDHPSPVPAPTEPAAEDAAAAAEVKLVPTSDDASLTKAVAVDCEMVGVGAGGSKSALGRVTLVNSWGNVVYDEYTRPVERIVDYRTHISGIRPKHMNKAKDFWVVQKDVAELIKGRILVGHALHHDLKVLLLGHPKKDIRDTSEYEVFRREGKRRSLKDLTAQVLGAKIQQKEHCPIEDARAAMFIYNKHKKVWEKNMKEQFRFRKKLKKRGKKKSAEGSGNDPNVPTLLHVAGQPAVGGLPAPCGGPMPACCGSRSR >ONIVA08G13610.2 pep chromosome:AWHD00000000:8:15141546:15145609:-1 gene:ONIVA08G13610 transcript:ONIVA08G13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15080) TAIR;Acc:AT3G15080] MASPPPPATAAAAAANPKRRPKPKPKAAGASTLNPNWAQLQSKLPRPAAATTLGKRKHRPDHPSPVPAPTEPAAEDAAAAAEVKLVPTSDDASLTKAVAVDCEMVGVGAGGSKSALGRVTLVNSWGNVVYDEYTRPVERIVDYRTHISGIRPKHMNKAKDFWVVQKDVAELIKGRILVGHALHHDLKVLLLGHPKKDIRDTSEYEVFRREGKRRSLKDLTAQVLGAKIQQKEHCPIEDARAAMFIYNKHKKVWEKNMKEQFRFRKKLKKRGKKKSAEGSGNDPNVPTPMTVAAGRRRIR >ONIVA08G13600.1 pep chromosome:AWHD00000000:8:15141220:15142780:1 gene:ONIVA08G13600 transcript:ONIVA08G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT5G46060) TAIR;Acc:AT5G46060] MVVMMMQKSSLDLVLVPCGLVIMFGYHLILLYRILRRPAATVIGYENHNKLAWVRRMVQASPDETGLALSVISSNISASTNLASLCIALGSLIGAWVSSTSKVFMTELVYGDRTQATATVKYISLLVCFLVSFTCFIHSARYYVQASFLITTLDSDVPASYIQHAVIRGGNFWSMGLRALYFATTLLMWIFGPIPMFACSVLMVFILHLLDSNSLPLHNHQFTIRKRHDQRALASTVVTRHPSPQNPILSNPVLSPVTFSIN >ONIVA08G13590.1 pep chromosome:AWHD00000000:8:15110094:15135977:1 gene:ONIVA08G13590 transcript:ONIVA08G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEEGVDWRTRLGQDIRDRVKRDILFSLQMKLQTTTSTTLIDLQKVAARIEERIYKIAIDFGDYLRRISLIKGDLDDSYPLMLNNFLHIHQQASTSSFFLLHQKNKQGQIIQAEGNVQGTSSSSHKEPSHPHGKDRISELPNDLIHHIMSFLSMKEAVRTSVLSHWWVNKWTCLQSIKLDINWFRLDREKFRSSIDKLLLSRDHLDAPMDTFQLDSFAVDRASSWINHAIKHNAKVVKFSEYPSWEPFYLDPELVEFSSRYLKTLELTNAALNEMGQQTGSSSFTNSYLTFTTITLVDASDVTSIELTATDRQFTFMEQQGSRQMFRNLRTLRLGEWCMADNFLPLRQYVNHSPVLRKVFLKLSLVDWWSELTTNQLMALVEISSGVTAVESVMQALMFLDSEHDVNMIVSDYCMPDMTGYDLLMEVKKSPKLAHLPVVIASSDNIPERIRKCLDGGAKDYILKPVKIKHTMDELGIIDEGVDWRTRLGQDIRDRVTHDILVSLQMKLKTTTSTTLIDLQNGDYLWRTGLIKGDLDDSYPVLLNNFLHVRKQASTPSVVLLHEKNKHGEIIHAQGNVQGTSSSGMFFFFMVNWSFKLTTNQLMALV >ONIVA08G13590.2 pep chromosome:AWHD00000000:8:15110094:15135977:1 gene:ONIVA08G13590 transcript:ONIVA08G13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEEGVDWRTRLGQDIRDRVKRDILFSLQMKLQTTTSTTLIDLQKVAARIEERIYKIAIDFGDYLRRISLIKGDLDDSYPLMLNNFLHIHQQASTSSFFLLHQKNKQGQIIQAEGNVQGTSSSSHKEPSHPHGKDRISELPNDLIHHIMSFLSMKEAVRTSVLSHWWVNKWTCLQSIKLDINWFRLDREKFRSSIDKLLLSRDHLDAPMDTFQLDSFAVDRASSWINHAIKHNAKVVKFSEYPSWEPFYLDPELVEFSSRYLKTLELTNAALNEMGQQTGSSSFTNSYLTFTTITLVDASDVTSIELTATDRQFTFMEQQGSRQMFRNLRTLRLGEWCMADNFLPLRQYVNHSPVLRKVFLKLSLVDWWSELTTNQLMALVEISSGVTAVESVMQALMFLDSEHDVNMIVSDYCMPDMTGYDLLMEVKKSPKLAHLPVVIASSDNIPERIRKCLDGGAKDYILKPVKIVDLPRGKTHEEDGPILVSLQMKLKTTTSTTLIDLQNGDYLWRTGLIKGDLDDSYPVLLNNFLHVRKQASTPSVVLLHEKNKHGEIIHAQGNVQGTSSSGMFFFFMVNWSFKLTTNQLMALV >ONIVA08G13590.3 pep chromosome:AWHD00000000:8:15110094:15135977:1 gene:ONIVA08G13590 transcript:ONIVA08G13590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEEGVDWRTRLGQDIRDRVKRDILFSLQMKLQTTTSTTLIDLQKVAARIEERIYKIAIDFGDYLRRISLIKGDLDDSYPLMLNNFLHIHQQASTSSFFLLHQKNKQGQIIQAEGNVQGTSSSSHKEPSHPHGKDRISELPNDLIHHIMSFLSMKEAVRTSVLSHWWVNKWTCLQSIKLDINWFRLDREKFRSSIDKLLLSRDHLDAPMDTFQLDSFAVDRASSWINHAIKHNAKVVKFSEYPSWEPFYLDPELVEFSSRYLKTLELTNAALNEMGQQTGSSSFTNSYLTFTTITLVDASDVTSIELTATDRQFTFMEQQGSRQMFRNLRTLRLGEWCMADNFLPLRQYVNHSPVLRKVFLKLSLVDWWSELTTNQLMALVEISSGVTAVESVMQALMFLDSEHDVNMIVSDYCMPDMTGYDLLMEVKKSPKLAHLPVVIASSDNIPERIRKCLDGGAKDYILKPVKIVDLPRGKTHEEDGPILVSLQMKLKTTTSTTLIDLQNGDYLWRTGLIKGDLDDSYPVLLNNFLHVRKQASTPSVVLLHEKNKHGEIIHAQGNVQGTSSSGELVVQTHDQSVDGIGLN >ONIVA08G13590.4 pep chromosome:AWHD00000000:8:15110094:15135977:1 gene:ONIVA08G13590 transcript:ONIVA08G13590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEEGVDWRTRLGQDIRDRGDYLWRTGLIKGDLDDSYPVLLNNFLHVRKQASTPSVVLLHEKNKHGEIIHAQGNVQGTSSSGELVVQTHDQSVDGIGLN >ONIVA08G13580.1 pep chromosome:AWHD00000000:8:15091830:15100445:1 gene:ONIVA08G13580 transcript:ONIVA08G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHCVHINICRNNQFHVPASSRCQLPWGVSQVAGEGSGTTSNKVSEQGISGQIQTYSCLMTLPYGIIIDMDVLMILLGTSIPMIVPWGNVMRRLYIIYGVHVHCWFIYLFTELCCWWYRCLSRYLTYSP >ONIVA08G13570.1 pep chromosome:AWHD00000000:8:15088946:15089883:1 gene:ONIVA08G13570 transcript:ONIVA08G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHVLVVDDTHVDRHVVSMALMRHNVRVTAVESVMQALMFLDSEHDVDMIVSDYCMPDMTGYNLLMEVKKSPKLAHLPVVIAFSDNIPERIRKCLDGGAKDYILKPVKIVDVPRIMNYI >ONIVA08G13560.1 pep chromosome:AWHD00000000:8:15084008:15085171:1 gene:ONIVA08G13560 transcript:ONIVA08G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46080) TAIR;Acc:AT5G46080] MRESVEEAVHHAVVAVAAAAVVALAVALFLLWRNKRVARAGGGAGGGGGGGDGDGGAIAVAVAPLPVVPLADVERATDGFHPSRVIGQGRHFTVYAAAPGLAAKRMRPHLVLGEPGGRRFPAALRSLAVPPHPNLAGIVGLSEGPGERVLIVERASAGSVGLDALLYHGGTDAPLLPWPERAAVAAGAARGLVHLHAHGVAHGRVRPRNVLVDAAAAARGPCGVRVSDYGLSTFLHSDDGDGDDHGRLDARAENDVYMFGAVLLHLLTGRQWDGGRLAHWALPLIRAGPPALAEVLDERAGKPADKAESRLLARTARVALACVANDGRSRPRMAEVSAILDDVEAAYRRRGRSPEHDVDGGEERFSGCLLAPSRSAHRSETLLRLPV >ONIVA08G13550.1 pep chromosome:AWHD00000000:8:15074689:15077592:1 gene:ONIVA08G13550 transcript:ONIVA08G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0IB41] MAPVQVPAELIGSSNGRGSAGGSLTVDPALGRRQATTAAAALPTPRSPPPAFGSIVAVLSIDGGGVRGIIPGTILAFLEEKLQELDGPAARVADYFDVIAGTSTGGLVTAMLAAPGADGRPLFAAKDIVDFYLRHSPKIFPPVIKGPLGLLKSMMGPKYDGRYLRSIVQELLGDTRISQAITNVVIPTFDIKLLQPTIFSRFDAQKDASKNALLSDVCISTSAAPTYLPGHRFETKDKAGQPRVFNLIDGGVAANNPTLVAMTHVSKQILLGNQDFFPIKPADYGKFMVLSLGTGSAKVEGRSFDADESGRWGLLGWLRNDGGSAPPLIDSFAQSSSDLVDIHASVLFQALRCDRHYLRIQDDDLTGDAASVDVATPENLRALAGAGAALLRRQACRVDVETGRNVADAGRGTNEEELARFARMLSMERRARLGKQESTPRV >ONIVA08G13540.1 pep chromosome:AWHD00000000:8:15061732:15064742:-1 gene:ONIVA08G13540 transcript:ONIVA08G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLLLLALAAGAGAASFPADFANLFAAKAALSDPSSALAAWDPGLSPSLSPCRWPHLLCSNPSSSSSAAIAAVLLSNLSLAGEFPAPLCELRSLARLDLSYNDLTGPLPGCLAAMPSLRHLDLAGNGFSGEVPRSYGAGFPSLLTLSLAGNELSGELPAFLANVSALEELLLAYNQFAPSPLPETFTGIRRLQVLWLAGCNLVGDIPPSIGSLKNLVNLDLSTNNLTGEIPSSIGGLESVVQLELYSNQLTGSLPEGMSALKKLRFFDAAMNQLSGEIPADLFLAPRLESLHLYQNELTGRVPATVADAAALNDLRLFTNRLVGELPPEFGKKSPLEFLDLSDNRISGEIPATLCSAGKLEQLLMLNNELVGPIPAELGQCRTLTRVRLPNNRLSGAVPPDMWGLPHLYLLELAGNALSGAVAPAIATARNLSQLLISDNRFAGALPPELGSLPNLFELSASNNVFSGPLPASLTVVTTLGRLDLRNNSLSGELPRGVRRWQKLTQLDLADNRLTGNIPAELGDLPVLNSLDLSNNELTGGVPVQLENLKLSLLNLSNNRLAGVLPPLFAGEMYKDSFLGNPGLCTGGSCSSGRRARAGRRGLVGSVTVAVAGVILLLGAAWFAHRYRSQRRWSTEDAAGEKSRWVVTSFHKAEFDEEDILSCLDDEDNVVGTGAAGKVYKAVLGNGARGGDDGAVVAVKKLWANGGAAKKAAAMEAGGGGGGGGGGGKDTFEAEVATLGRIRHKNIVKLWCSLSSGDRRLLVYEYMPNGSLGDLLHGGKGGLLDWPARHRIMVDAAEGLSYLHHDCAPPIVHRDVKSNNILLDADLRAKVADFGVARAVSAAPPTAVSAIAGSCGYIAPEYSYTLRITEKSDVYSFGVVMLELLTGKAPAGPELGEKDLVRWVCGGVERDGVDRVLDARLAGAPRDETRRALNVALLCASSLPINRPSMRSVVKLLLELRPESKEKAMAEEKPLLV >ONIVA08G13530.1 pep chromosome:AWHD00000000:8:15054299:15057827:1 gene:ONIVA08G13530 transcript:ONIVA08G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTTEVEGIEALVRRLRLYQPPPSPYDGASTTAAGGGGELFRPRRAAVLVCLFRRGGGDGELRVILTKRSSSLSTHSGEVALPGGKAEEGDADDAATALREAKEEIGLDPSLVTVVASLEHFLSKHLLVVVPIVGILSDIEAFKPVLNVDEVDDIFDVPLEMFLKDENRTSEEREKMGQTFTIHYFNYEKENQKYLIWGLTARILIHAASVVYQRPPDFPERRVHFNLPKF >ONIVA08G13520.1 pep chromosome:AWHD00000000:8:15045634:15050187:1 gene:ONIVA08G13520 transcript:ONIVA08G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLTIPPSMEAPGADIQALIQRLRLHRPRPSPYAAAAAPSPDAAAPPAELLFRPRRAAVLICLFRGAAGELRVLLTKRSSKLSSHSGEVSLPGGKAEEGDADDAATALREAKEEIGLDPASVTVVASLEHFLSKHLLVVVPVVGILSDIQAFKPVLNVDEVDSIFDVPLEMFLKDEKRTSEEREWMGQEFTIHYFNYEKGSEKYVIWGLTAGILIHAASVVFQRPPDFPARTVQFNLPKYSKECSSMP >ONIVA08G13520.2 pep chromosome:AWHD00000000:8:15045634:15050187:1 gene:ONIVA08G13520 transcript:ONIVA08G13520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLTIPPSMEAPGADIQALIQRLRLHRPRPSPYAAAAAPSPDAAAPPAELLFRPRRAAVLICLFRGAAGELRVLLTKRSSKLSSHSGEVSLPGGKAEEGDADDAATALREAKEEIGLDPASVTVVASLEHFLSKHLLVVVPVVGILSDIQAFKPVLNVDEVDSIFDVPLEMFLKDEKRTSEEREWMGQEFTIHYFNYEKGSEKYVIWGLTAGILIHAASVVFQRPPDFPARTVQFNLPKYSKECSSMP >ONIVA08G13510.1 pep chromosome:AWHD00000000:8:15039846:15041936:1 gene:ONIVA08G13510 transcript:ONIVA08G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25970) TAIR;Acc:AT3G25970] MRHHHLAVAAARSHASLLKSGVAAPTPWNQLLTAYSRSSPDGLAAARRVFDEVPRRDEVSWNALLAAHAASGAHPEAWRLLRAMHAQGLASNTFALGSALRSAAVARRPAIGAQLQSLALKSGLANNVFAASALLDVYAKCGRVRDARQVFDGMPERNTVSWNALIAGYTESGDMASALELFLEMEREGLVPDEATFASLLTAVEGPSCFLMHQLHGKIVKYGSALGLTVLNAAITAYSQCGSLKDSRRIFDGIGDIRDLISWNAMLGAYTHNGMDDEAMKFFVRMMQESGVHPDMYSFTSIISSCSEHGHDDHQGRVIHGLVIKSALEGVTPVCNALIAMYTRYNENCMMEDAYKCFNSLVLKDTVSWNSMLTGYSQHGLSADALKFFRCMCSENVRTDEYAFSAALRSSSELAVLQLGKQIHGLVIHSGFASNDFVSSSLIFMYSKSGIIDDARKSFEEADKSSSVPWNAMIFGYAQHGQAENVDILFNEMLQRKAPLDHITFVGLITSCSHAGLVDEGSEILNTMETKYGVPLRMEHYACGVDLYGRAGQLDKAKKLIDSMPFEPDAMVWMTLLGACRIHGNVELASDVASHLFVAEPRQHSTYVLLSSMYSGLGMWSDRATVQRVMKKRGLSKVPGWSWIEVKNEVHSFNAEDKSHPKMDEIYEMLRVLLQVEQMLSNCEDEDILMITSSGI >ONIVA08G13500.1 pep chromosome:AWHD00000000:8:15037557:15037934:1 gene:ONIVA08G13500 transcript:ONIVA08G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSIRLKRIACWLHAIQRPLREGSLKRGKAARQCDRGHPKEWMQTNRELDIKGDGSGGEFHKEEGHQWEIMGMGTRLEAWRAKGRSRRGHACIDAFSKESDIHGCHHRRIEHRADGCRFGWGD >ONIVA08G13490.1 pep chromosome:AWHD00000000:8:15033778:15034287:1 gene:ONIVA08G13490 transcript:ONIVA08G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADNGEKMILLISSDGERFELSEAAASQSKTLSHMIEDDCTDNGVPLPNVTAVVLAKVVEYFKKHAAVTPKTATEAVAADKAKREKELKSFDAEFVDVDRTMLLELILAANFLNAQDLLDLTCQHAADLIKDMSVEEVREVFNITNDFTPEEEAEVRKENAWAFDN >ONIVA08G13480.1 pep chromosome:AWHD00000000:8:15031023:15031532:1 gene:ONIVA08G13480 transcript:ONIVA08G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADNGEKMILLISSDGERFELSEAAASQSKTLSHMIEDDCTDNGVPLPNVTAVVLAKVVEYFKKHAAVTPKPATEAVAADKAKREEELKSFDAEFVDVDRTMLFELILAANFLNAQDLLDLTCQHAADLIKDMSVEEVREVFNITNDFTPEEEAEVRKENAWAFDN >ONIVA08G13470.1 pep chromosome:AWHD00000000:8:15027078:15028566:-1 gene:ONIVA08G13470 transcript:ONIVA08G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRRGQRTSHAASALLLHTPASSPPKPPPMKLGGCKDGGGGAPSPSLVVKPDGTPARQELRLGAGDEEKRPAVARSGAVEAGSGPPWRDLLGGGVGRLQAGGLVLPQPFGHHFPLSACSWHSALWLGVLDSKAA >ONIVA08G13460.1 pep chromosome:AWHD00000000:8:15019750:15021773:-1 gene:ONIVA08G13460 transcript:ONIVA08G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPQHPLPSPCRRHCCLLLLLLSTLLLSSASSLEEGRVLTVGDELMGETMLLRHGSRLYRLDGTRSSAWYEVKISYPASIPSSFSIRLVDDPHSVEDLGSMNRRLLNTEKIIFKAQNSWPVYVLVTVEPEGVVAKPNVPERELAVFNIVCDELMFGIPHFAWWVGIGSLFCIALASVAPYFLPLHKLLNYEATELRDDDAAKLS >ONIVA08G13450.1 pep chromosome:AWHD00000000:8:15008300:15013967:-1 gene:ONIVA08G13450 transcript:ONIVA08G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTVPGGVRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDHSRNLAFHKVDLRDKGALEKVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVNGTVNLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRTDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTILGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFESSSIGCEAYNLGTGKGTSVLEIVKAFEKASGKKIPLIIGPRRPGDAEILFSLPAKAEKELNWKAKFGIDEMCRDQWNWASKNPYGYGSLDSTKQNGHHSYGSIGSPKQNGHCTNGFSESTRHNGHNGYGLVDSAKHNGNGHFH >ONIVA08G13440.1 pep chromosome:AWHD00000000:8:14996456:14998011:-1 gene:ONIVA08G13440 transcript:ONIVA08G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGELGNAATVAQLVGANLGGLISKIIQAAATARQNKRECEHLAVRASMIYDLLPHLQHPEVMRRPEVLRPLALLEDTLQEAHELVTCCQQKSPTYRFVMAWRLADKIRNVQTRIDSYLLFLPIISHIDIIHCLDQIHRMLISTGDGDCRTMASPSADSQSLLQRRLVLHGDGECEKFTMPQLAMATNNFAVDRQIGEGRSFGMMMYKGRLPDRREVAIRRASPRRKGDFLRELAILSPLRHDHIVRLLGCCVAAAATTTSSAEDEDCLLVYEYIDNGTLYDHLHGSDGASSLVTTSWKTRIEILVGVSRAIEHLHSHAVPPVIHRDVKLSNILLDSSYAPRLSDFGLAVSCDEVECTAEMPILGTFEYMDPEYLSTGNLTPASDVYSFGVVMLELLTGKKAIHDEKHGAVVATSLVASVLPNMEAGDLMKELDRGPGLKPTPRQLKATEVVARTAVRCVHSQGKERPPMTEVVANLQEALDLLSLDE >ONIVA08G13430.1 pep chromosome:AWHD00000000:8:14975473:14977949:-1 gene:ONIVA08G13430 transcript:ONIVA08G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYEILHVDRSATDDDIRRAYRRLAMRWHPDKNHTGKKDAEAKFKDITEAYNVLGDAGKRALYDQYGEEGLRAGGAPPQPGGGGGGADDIFAEFFGSTPFTYCNTGAGTTARAKQQAAWDAGGGGAYFGRGGFARDHGGGGAAASPQPPPVESKLACTLEELYVGVTKNMKISRNVVDASGRMKTESEILSIEVKPGWKKGTKITFPGKGNQQWSQLPADLVFVVDEKPHDVYRRDGNDLVAEARVTLADALGGTVVVLATLDGRELLVEVSGGGVAAGDEDDDDEDPVVYPGYELVVPSEGMPIAREPGRHGCLRIRFDVAFPERLTRRQRAQIKRILDAAG >ONIVA08G13420.1 pep chromosome:AWHD00000000:8:14955689:14960318:-1 gene:ONIVA08G13420 transcript:ONIVA08G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKKLPSRRLRSLRVRPPPPARRFPSPEQSGGRATAAAAAAAVVLLLPPHPEMESVPLTAEAIAFTEKKMDMTLDDIIKMSKKKNPGGKKPARQPIKKRPFQNDNTNQGNAKVQRFMETRSSLRQGVLAQRRTNLGGNQFAATKQAAKKAATMPIRSRGVRWNKPSAPSNSIQRRPVGQAFQNGKGKEVQNKAPRTMDALFAQMKQQRMRTIPQQQTNTAPGRQIAQQQRNQQQRRGRGYGGRNGGNQ >ONIVA08G13420.2 pep chromosome:AWHD00000000:8:14955691:14960318:-1 gene:ONIVA08G13420 transcript:ONIVA08G13420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKKLPSRRLRSLRVRPPPPARRFPSPEQSGGRATAAAAAAAVVLLLPPHPEMESVPLTAEAIAFTEKKMDMTLDDIIKMSKKKNPGGKKPARQPIKKRPFQNDNTNQGNAKVQRFMETRSSLRQGVLAQRRTNLGGNQFAATKQAAKKAATMPIRSRGVRWNKPSAPSNSIQRRPVGQAFQNGKGKEVQNKAPRTMDALFAQMKQQRMRTIPQQQTNTAPGRQIAQQQRNQQQRRGRGYGGRNGGNQ >ONIVA08G13410.1 pep chromosome:AWHD00000000:8:14950006:14952072:-1 gene:ONIVA08G13410 transcript:ONIVA08G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLIKIKGQKKEDAANANGKPPAKKQSPGELRLHKDIAELNLPKSTRISFPNGKDDLMNFEVTIRPDEGYYVGGKFIFTFQVPPAYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNVNTIVYGLNLLFSQPNDEDPLNHEAAAVLRDNPEKFERNVQRAMAGGYVGETHFPRSRENAEQYVCAALTACYDLWLPGSKNKFTNIA >ONIVA08G13400.1 pep chromosome:AWHD00000000:8:14947868:14948469:-1 gene:ONIVA08G13400 transcript:ONIVA08G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQGEVHLNIPASKAWEMFTNNETLGKINPEMLSGAEYLEGDGSPGSLRIFKLGPALHHFVKESVQKVEKVETGRSFGYEVVSGELKEVYDPYHVTFSFAPVPGKEGEQCVAGWKAEFEPITPTSPPPEKAKDAALGFLKLFETCEATN >ONIVA08G13390.1 pep chromosome:AWHD00000000:8:14943000:14948520:1 gene:ONIVA08G13390 transcript:ONIVA08G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRREHAGGGGSDDDDHYRCDDDTTLPAVLGDRGGRRAAALPSPPPTASEWDSGRPDRYGGDRRSGARTGVACVRVRKRLGRMGAEGHTGRCCSGTQCQADVIAKLGRRTVRHGIVSSTWRAPGAREAARRLHDAANGEDSGDEFNDDEGAQDFGRLATSANSGSGSAWLAAHQIGSAVRRSSITKRAKKLVTKKLEDPEAARAAISFQVLGARQHFGVDLAQGLIVGEHLPCLGCRDVQVDLTLQALHLPSCCCCCSQLQSPTE >ONIVA08G13380.1 pep chromosome:AWHD00000000:8:14942524:14942715:1 gene:ONIVA08G13380 transcript:ONIVA08G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALAAVIGGDRRRGGSGGGDWRLPAPPCPPIPSSPLSQIYPRGGGRCRRRNRGEHAARRS >ONIVA08G13370.1 pep chromosome:AWHD00000000:8:14940391:14940723:1 gene:ONIVA08G13370 transcript:ONIVA08G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIEEVEINRISMKVLAQPQFLNDDVMDAYIQCLRYKEKGIMGDGKAFLELALKTGLLNVEGAHVEASKPREKRWIRDMAILI >ONIVA08G13350.1 pep chromosome:AWHD00000000:8:14914020:14918222:1 gene:ONIVA08G13350 transcript:ONIVA08G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIVSIATGALKPVLMKLAALLCNEYMISKDVHKEIESLSSELTAIHSFLLKMSEEENPDAQDHAWMTDVRELSYDIEDIIDEFMVRVDGDSANPDGFISKCKNSLAKMKTKRRIAKVIRDFKSQIKKVRDRHARYRTRETILRTNNRIVDHRALSIFELASNLVGIDDPKNEVIKLLSSDYGCESMQQQPKVVSIVGFGGLGKTTLAYQVYQELKGKFDCSAFLSVSRNPNMMRILRTILSEVAQCDYAVTEDGYEQQLIIKISNFLSNKRYLIVIDDIWNVEIWNIIKGAFSMSSQCSKIITTTRINDVARSCCSSFSGHVYNIRPLNMVHSRHLFHRRLFNSEEKCPSHLEEVSDQILKKCDGLPLAIIAISGLLVNKPMTKDQWDHVKNSIGCALERNPSVDIMISILSLSYYDLPPHLKTCLLHLSIFPEDYLIEKDDLILRWIAEGFIHKKGSYTSLELGEMCFNELANRNLIQRCSNKDDWKVHDTILDFIISMSIKDNFVTLVASPDQTIGTNKVRRLSLQIGIKDGNSILQRRLSDLTHARSLDVFCNQPKLPSLLEFRHLRVLSFGYCKWLRSHCIANIGRLFQLRYLNLKKTGLTELPEEIGCLQNLETLNVMGNHMAQLPPCITRLGNLVHLFISNQIQLPDGIAKMQALETLQGADLSKHSSNIVKELGQLKNLRELNLLIYDYDACTEEHMKTIASCLLQLGTYNLRRLNIMTSIILGNIYLPDPWCPAPLKLEELDILGSPMPRVPTWIGSLDNLKRLGLALEGVSCEDLSIIGCLPSLLQLSLRVPGYRDSLIISGCYGFSCLRDFCFIGQQPIFTAGSMPRLELLILNINASKPETVTNAALENLPCLMTVKYLLYQYDKNDHEIAEAALKRAVSSHPNHPSLVRIVHTPSSLPKRVYF >ONIVA08G13340.1 pep chromosome:AWHD00000000:8:14900450:14905790:-1 gene:ONIVA08G13340 transcript:ONIVA08G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSSSKTATCPLCHADVLLPRRRSAGSSTHRSHDLDDGPAPPSPESSSRSNAAVCGCARCRRPDLISPGTGMRMRNRFGDGPNRISAQSGAWLCRERTAETCRSTSSRSGCYRRLQPAAVAGTRRAELIKRLQELCHPANNLPNCSASWQPQRQRQQTIDRSPDSLDCGVTMERGKNKRDGSDNGLIFSNLMHGVAAGIYGYPPHQGYTQAQSYLLLPEAYPPPPWTYPLSSAYPPQPVGYPSGGYPPAVYSDSYVHQGSRVAREQCPLSYAYNAVTCREDGQMNCENGTVNMEKSAMSSNKMATSLLKSCGNVMPCRNMERSGPAMYKVDMRGSTKQFSMDSKMMMCLIVFGCLIAALDMFRNVA >ONIVA08G13320.1 pep chromosome:AWHD00000000:8:14887982:14892115:1 gene:ONIVA08G13320 transcript:ONIVA08G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALVSTATGALKPVLAKLAALLGDKYTIFKGLHKEIKSLSTELMAIHAFLLNMSEEENPDAQDQAWMTGVRELSYDMEDIIDEFMVHVDDESATPDGFISKCKKSLANMKTKRRIAKAIQDFKSQINIVGERHARYRSEKTICRTSNTIIDHRALYIFEHASNLVGIEGPKNEIIKLLSDDDGCESKQQHPKVVSVVGFGGLGKTTLAYQVYQQLKGNFSCSVFVSVSRNPDMMRILRTILSEVTQRDYAVTEAGDEQQLIIKISNFLSKKRYFVVIDDIWNVEIWNIIKGAFSMNSRCSKIITTTRISDVARSCCSSFRGHLYNIRPLDTVHSRTLFHRRLFNPQEKCPSHLEEVSDQILKKCDGLPLAIIAISGLLANKLRTKDQWEHVKNSIGCALERNPTVEGMISILSLSYFDLPPHLKTCLLYLSIFPEDYVIRKNDLILRWIAEGFIHKEDSNTLYESGEMCFNELVNRNLLQLEKQNYNGCRVHDTVLDFIISMSKKNNFVTLVTSPCLTIEGQNKIRRLSLQVGSEEGNSIQRTMLSHARSLDVFWLHLQEIPSLVEFRHLRVLSFQGCRWLQSQHLANIGKLFQLRFLNLRKTGLNELPEEIGFLQNLQALNLEGSNMHRLPPHITRLGNLVHLFTDIRIQLPEGIAKMRSLETLKWVDLSNHSSNIVKELGQLKNLRELKLFMPNDDICDEHVKVIASCLVELGRHNLRRLYIVGYTELPNSLLPDPWCPSPLKLEELDISDSPMVQVPSWMRSFVQLKRLGLILKDVSDEDLTTLGRLPSLLHLHLNIPRGEPGYEDRLLISGCHGFSCLRQFYLTGQQPIFTAGSMPRLELLRVEMDESKPEFLTNASLENLPCLTTVKCLLFNFQRGEVAQNGKTAMARAMSSHPNHPSLVYE >ONIVA08G13310.1 pep chromosome:AWHD00000000:8:14845954:14849953:1 gene:ONIVA08G13310 transcript:ONIVA08G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFAASSSPYLLFLHGYRNMASSSIVNGKELGEPERRPLNPSAKGFIISLGKHSAISQGTKDIEKSNTDTMNKFITHCSRNGAEDGRTSSGNVISGYEGVCGTTFGVIDNKADTTGEIVDVTGCELSSGDKESLAAGVPQLIVYPIEDGVPPMEILPNSSHCDGSIYRGTDCWKKEYHIADRNETRWEAMMFSKSTDCNIDSNGICMDDPIVVEQGSLIHMAGPKRGIQLIGTNLIEYDMKIKTVMGAQMDLKFKVARDSCIPAEHLLFRRGDRD >ONIVA08G13310.2 pep chromosome:AWHD00000000:8:14845954:14849953:1 gene:ONIVA08G13310 transcript:ONIVA08G13310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFAASSSPYLLFLHGYRNMASSSIVNGKELGEPERRPLNPSAKGFIISLGKHSAISQGTKDIEKSNTDTMNKFITHCSRNGAEDGRTSSGNVISGYEGVCGTTFGVIDNKADTTGEIVDVTGCELSSGDKESLAAGVPQLIVYPIEDGVPPMEILPNSSHCDGSIYRGTDCWKKEYHIADRNETRWEAMMFSKSTDCNIDSNGICMSHGTCCMLQFFSLRLAKVPADHGLVELYGYIAVRDRLDTFLNYFVNFSRDDPIVVEQGSLIHMAGPKRGIQLIGTNLIEYDMKIKTVMGAQMDLKFKVARDSCIPAEHLLFRRGDRD >ONIVA08G13300.1 pep chromosome:AWHD00000000:8:14831912:14846026:-1 gene:ONIVA08G13300 transcript:ONIVA08G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMEMPRKTGDKERTRRQRGGALRQEKLSSRSPPSLVPLRSPPSAGRSRGGGAGASIVGWDRWGTILDDILGEGATACRHLPVGSTPPLQHSPTSITREIEWIEREGLPMDNGRPRYFIGNKGLVTRMFSRISSRPMSLHLIGKPVTFVDKDRQSSAYIKIRKCTDLPQGLLISPSAPPSFPRITADLSLRTVEEHMEGFLVTAATGALKSVLVKLAAMAGEFEGVRGQISFLADEFAAMHAFLLRMSDSEEGNADPQDKAWTRETMARRRIAKMIAEFKAQIKEVGERNARYMNGGISLMRTANATVDQRALTIFQDVSSLVGVDQPKKELIDLLMKDDGHVASEHLRIISIVGIGGLGKTTIANLIFEELREQFDCSAFVSVSRNPDISGILRIILSEVSAEPYGNTESGDIQQLIRKITTSLKNKSITGSRIITTTRINEVAESCCFPHVHRRIFGLEECPSHLTEVCCNILAKCDGLPLATIAISGLLATKAPTEDQWNQVEKSIGYALERNEDVNGMIRILSLSYFDLPHNLQGFIHEEHGHTLYELGQRCFNELINRSLIEPRYIGKFGEVKSCRVHDTILDFIRSKSIEENFVTLLGIPNVPIDRQKKVRRLSLLVNTEEYYSSLEENNIKYVLDKKEDDSNEQEDNSNGFHILKKLNLCNARLLTIFPHSVKVPSLLQFRRLRVLDFEGCEQLENHHLANIENLLHLKYLSIKDTIVNVLPTQITRLRCLQTLNVDVRGAINIPTHIYRLRQLTYLLVDMRCQLPDKIGNMQALQELKVVNVLAQSLNTLQGLGKLTNLRKLSIFMPGHHADAAERYKGHMKAMISSICKLRRDNLHCLTIHISSMTSSRNHGAPPPLSLQELVINQAPMSRVPRWIGSLVNLQRLGLYLKELSQEDVSTLGGLPALLSLILYVEEVITEEGGRLRISSSYGFPSLERIRIGGESCRLELTFEVGCLPKLQQLNLECMVAEENHTSSSNVVFGIEHLSRLTSVYCCIHYKYETRLAKVAMLAALERSIISHPNQPTFTKEEYAFPKKVVTEFNGAC >ONIVA08G13300.2 pep chromosome:AWHD00000000:8:14831912:14846026:-1 gene:ONIVA08G13300 transcript:ONIVA08G13300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMEMPRKTGDKERTRRQRGGALRQEKLSSRSPPSLVPLRSPPSAGRSRGGGAGASIVGWDRWGTILDDILGEGATACRHLPVGSTPPLQHSPTSITREIEWIEREGLPMDNGRPRYFIGNKGLVTRMFSRISSRPMSLHLIGKPVTFVDKDRQSSAYIKIRKCTDLPQGLLISPSAPPSFPRITADLSLRTVEEHMEGFLVTAATGALKSVLVKLAAMAGEFEGVRGQISFLADEFAAMHAFLLRMSDSEEGNADPQDKAWTREVRELSYDIEDSLDEFMLHVVDGSANPDGFIVKCRNLLTKTMARRRIAKMIAEFKAQIKEVGERNARYMNGGISLMRTANATVDQRALTIFQDVSSLVGVDQPKKELIDLLMKDDGHVASEHLRIISIVGIGGLGKTTIANLIFEELREQFDCSAFVSVSRNPDISGILRIILSEVSAEPYGNTESGDIQQLIRKITTSLKNKSITGSRIITTTRINEVAESCCFPHVHRRIFGLEECPSHLTEVCCNILAKCDGLPLATIAISGLLATKAPTEDQWNQVEKSIGYALERNEDVNGMIRILSLSYFDLPHNLRTCLLYLCTFPEDYIIHKKRLVRRWIAEGFIHEEHGHTLYELGQRCFNELINRSLIEPRYIGKFGEVKSCRVHDTILDFIRSKSIEENFVTLLGIPNVPIDRQKKVRRLSLLVNTEEYYSSLEENNIKYVLDKKEDDSNEQEDNSNGFHILKKLNLCNARLLTIFPHSVKVPSLLQFRRLRVLDFEGCEQLENHHLANIENLLHLKYLSIKDTIVNVLPTQITRLRCLQTLNVDVRGAINIPTHIYRLRQLTYLLVDMRCQLPDKIGNMQALQELKVVNVLAQSLNTLQGLGKLTNLRKLSIFMPGHHADAAERYKGHMKAMISSICKLRRDNLHCLTIHISSMTSSRNHGAPPPLSLQELVINQAPMSRVPRWIGSLVNLQRLGLYLKELSQEDVSTLGGLPALLSLILYVEEVITEEGGRLRISSSYGFPSLERIRIGGESCRLELTFEVGCLPKLQQLNLECMVAEENHTSSSNVVFGIEHLSRLTSVYCCIHYKYETRLAKVAMLAALERSIISHPNQPTFTKEEYAFPKKVVTEFNGAC >ONIVA08G13290.1 pep chromosome:AWHD00000000:8:14806975:14817732:-1 gene:ONIVA08G13290 transcript:ONIVA08G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLLDAFVDSTFTFSHQSLRPTESNFAPVDEIGGRTEIWRIEGTIPDDFPEGVYIRNGSNPLFGALHKVNSIFGQSEDIWVEGEGMLHALYFTKSGEGSTWSISYNNRYVQSDTFNTERDRQRPCFLSAIKGDPLAIIAASILNMLRFGKVFRNMSNTGVFEHAERVFSVAENDIPYEIDLDNLGTLCSWVVDGQWNMPFTAHPKVAPGSGELVIYGFNIVKPFLTIGVVSEDGKKLERKVDLKLERCTYCHEIGVTKMYNIIMDMPLTVDLTRILRGAPLIDFETESYARIGVMPRHEDADSVIWFDVEPFCTLHLINCFEEDHEVVIRGFRVPGSIITGITLEHTANEEPANQGPSEKSFPRLYEWRLNMKSRAVTGKYLTGTDVALEFPVINNKYAGLHHKYAYAQVIDVQGSLEGGYGTVRPKFGGFAKLHLQDNNKAHVIDAQRFENGPIAKITLPQRVPYGFHGTFIPRTTYKKT >ONIVA08G13280.1 pep chromosome:AWHD00000000:8:14774399:14786761:-1 gene:ONIVA08G13280 transcript:ONIVA08G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVSMHIYGFSLQPRLCSTGGRPAKITRTKPLATHDSSAHNPPRFKELQQVLKTKLREASAAGSSASKKLLDAFVDSIFTFSHQSLRPTESNFAPVEEIGQITNILRIEGAIPEDFPEGVYIRNGPNPLFGALHTVNSIFGQTEDIWVEGEGMLHALYFNKKGEDNTWSISYNNRYVQSDTFRIERDRQKPCFLSSAKGDPIAIFAASILNKQRFGKASRNYSNTNVFQHAGRVFSAAENDNPHEIDLENLGTICSWDVGGDWNMPFTAHPKVAPGSGDLVIHGVSFVKPFLTVGVISEDGKKLKHKVDLKQQRCAFSHEIGITERHNIIMDMPLTMNLSRILQGAPFLDYDAESYARIGVMPRYGHADSVIWFDVEPFCTIHLVNCFEEHNEVVIRGFRVPGSILMGPTVLEHSVDEEPANQGLNEEYFSRLYEWRLNMKSMSVIEKYLTGAGIDMEFPVINDKYVGLNHKYAYAQVVDSQGSLAGGCGIVRPKFGGFAKLYLEDKIKPCQDLINVEYYHLGRNKFCSGATFVPKAHIIDAQRFENGPVAKIILKHRVPYGFHGAFISRSTYKKR >ONIVA08G13270.1 pep chromosome:AWHD00000000:8:14746353:14746878:1 gene:ONIVA08G13270 transcript:ONIVA08G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRQKALKAEASAEKDAERFTQGMVKATESTKTACRTLRLALNDMGERVQGVPREDASVFDFSEWTQQAGGAQFGCEHVAEFPNFAKGGWEVSCQDVSLALCAWRKQFGQKDGRSTTKARLLEQLAKAEAANQGEEAAAGEGGGDAQDHPEL >ONIVA08G13260.1 pep chromosome:AWHD00000000:8:14719169:14723519:-1 gene:ONIVA08G13260 transcript:ONIVA08G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHEPIRRCCCSCCFFFFTSLFLPSTSSIYVAMAAASDMPNCRNECGGVLIPYPFGVGENCSRPGFDVFPITCNNSFVPPRPYWSNLEIIDINIATAEMRVYLPVSHKCFSSTNQSADPNWGWSLNSSSSQFLVSPTKNIFTALGCYTIAMLSGRDNGTYSTGCISYCASESEVKVGDGKGCTGLGCCQTSIAGGLSYIAFYFNVPNNPSWNYSPCSYAMVADKSWDIKISKEDVIGNMTFARRIERGAPLVLDWAIRNNGTCPPALISGENGKQRQQAAAACVSPHSYCLNATNGPGYFCNCSDGYAGNPYVSNGCKNVNECDPSFYKENYPCIGGKCLDTEGGFKCKCNFGRKRDSKNSHICQPVLSKPAIVVTATTCAISILSIIFLFLHMEREKRKLREFFKKNDGQLLQSMGIKIFKKKTIEKITNNYSTIIGKGGFGLVYKGAVDNDQKVAVKCPNPISVDTARQNDFANEVSIQSQISHKNVVRLLGCCLETNIPILVYEFIPRGSLYDVLHGNGDDSNMTEHKLSLDVRLGIAIESAEALAYMHSSASQKILHGDVKSSNILLDENFTPKVSDFGISRLLSVEKDHTKFVIGDANYMDPVYMKTGLLTEKSDVYSFGVVLLELITGKKARYEGNESLPLNFVKSYMTESRAREMFDKELMCTEEVNCLEMIGDIAVQCLEEDVDKRPAMKEVSEHLHLARKEFMQNQGKISCEEADEIAIDFPLSHQLSPA >ONIVA08G13250.1 pep chromosome:AWHD00000000:8:14682343:14682684:1 gene:ONIVA08G13250 transcript:ONIVA08G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSEGRYHRCRIRRWEEGTAVEADEKRETGRDGRRCRLRHTPLSPRRAGETGGRGGEGAAPPEGRGEESGAARGERGEGRASANGEREGERQRWAREAAGSGREDGGRADG >ONIVA08G13240.1 pep chromosome:AWHD00000000:8:14657054:14663367:-1 gene:ONIVA08G13240 transcript:ONIVA08G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLQPRVCISSSRSSISPKAARLSHQKASTGKPYFREIQEHLSSKLGEASNAMNSTYQQLLDSFVDHTFTFKCQPLRPTESNFAPVDEIGEITRVIEIEGEIPADFPEGVYIRNGGNPLYGALQSVSSIFGQSHNIWVEGEGMLHAVYFCKSNNSTWSISYNNRYVQSETFRIEKERQKPCFLPMTDGNPPAMLIASVLNTVWCLLLLLHRISSVIFLGLSQTFWKCYMLTVEFVQLRFRKVMKSMSNTSVFEHAGRVYAASEDDVPHEVDLHNLSTLGSWHLGGEWKLPFTAHPKVIPGSKEMVIFGINAVQPFLTVGIISEDGEKLKQKVGLKLDRCTYCHEIGVTGTYNIIIDSPLTLNPTRMLRGAPVLEFEEESYSRIGVMPHYGDADSNHSAHSILSIASRKVMSKGISCTKFCDYGSKAEEHARPKFIGFAKLCLEEKQNIATKIDREDLIKVEYHQLAKNQFCSGVTFVPKAAGAHEDDGWIVSFVHDEETNISKVHIIDARNFESEPIAKIILPQRVPYGLHGAFITKRT >ONIVA08G13240.2 pep chromosome:AWHD00000000:8:14657054:14663367:-1 gene:ONIVA08G13240 transcript:ONIVA08G13240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLQPRVCISSSRSSISPKAARLSHQKASTGKPYFREIQEHLSSKLGEASNAMNSTYQQLLDSFVDHTFTFKCQPLRPTESNFAPVDEIGEITRVIEIEGEIPADFPEGVYIRNGGNPLYGALQSVSSIFGQSHNIWVEGEGMLHAVYFCKSNNSTWSISYNNRYVQSETFRIEKERQKPCFLPMTDGNPPAMLIASVLNTLRFRKVMKSMSNTSVFEHAGRVYAASEDDVPHEVDLHNLSTLGSWHLGGEWKLPFTAHPKVIPGSKEMVIFGINAVQPFLTVGIISEDGEKLKQKVGLKLDRCTYCHEIGVTGTYNIIIDSPLTLNPTRMLRGAPVLEFEEESYSRIGVMPHYGDADSVIWFYVEPFCTFHLVNCFEEGHEVVVRGFHVPSSAIMGPRQKNMVMDTSSQEPNEENFSRLYEWRLNLKTRTVAGKYLTSLDVALEFPVINDKFSGLRHSLNLAARPKFIGFAKLCLEEKQNIATKIDREDLIKVEYHQLAKNQFCSGVTFVPKAAGAHEDDGWIVSFVHDEETNISKVHIIDARNFESEPIAKIILPQRVPYGLHGAFITKRT >ONIVA08G13230.1 pep chromosome:AWHD00000000:8:14652732:14656211:1 gene:ONIVA08G13230 transcript:ONIVA08G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSRPLLRRVAALLGGRVRASHRLLSSSPSSSSAAAAATERASPPSPADPDTVHMTDGCIRRLKELHAKEPPSEGKMLRLSVEAGGCSGFQYSFSLDDKKNSDDRIFEKDGVKLVVDDVSYDFVKGATVDYEEELIRSAFVVSTNPSAVGGCSCKSSFMVN >ONIVA08G13220.1 pep chromosome:AWHD00000000:8:14642340:14648781:-1 gene:ONIVA08G13220 transcript:ONIVA08G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLQLCPGSVKIDFASASYAGKADIAATAAALLHALGPPRTPPCYQLPLAKVNSSLPLWPPVICPSTFAQKRPMSLPSPPPAKKLQVQQSSSMLPQDFPKLEVLPVEKPTKLQVRRSPQHVSPALKQDSPKMERPLLPRPATFIDVMLSSQKPSSEMWSETKDVTLTRKTNCSCKYSKCLKLYCECFEKGRYCIGCNCTNCCNNVNHENARQDAINVALERNPAAFMPKVSNSTAHNCESKAAEGDIVGKHTKGCKCKRTECLKKYCECFKASVFCSENCRCTGCKNYKSNADRISQKNTVHAHHVQNPASSGMVGQSVIIFHAAENDSSLSLAASVSDHSINNNTSHALSCSLTSSVTREDAKSLVKYAPHEVTYRPSLADIIQIEDVNELCNLLILVSRQAGATLLESGMKENTKRKKLNRVDSCLSSTNHDREAIQKEPAKQMCSLEKSSNEVLIAEGRLELPRADPFDIQKGNKRPLSPGTQALMCDEQDAIFQDSKAPDATPSTTEENLVDIFKEQEKLVLTNFRDYLCKLATCGKLQELKLSLASTNCSEQAFADHGNNSSITRVAKDQTNNPSVLQQGGKHYRSGRLILARTKIGNAGIWKLMGQKSPLHNGVDKC >ONIVA08G13220.2 pep chromosome:AWHD00000000:8:14642340:14648781:-1 gene:ONIVA08G13220 transcript:ONIVA08G13220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLQLCPGSVKIDFASASYAGKADIAATAAALLHALGPPRTPPCYQLPLAKVNSSLPLWPPVICPSTFAQKRPMSLPSPPPAKKLQVQQSSSMLPQDFPKLEVLPVEKPTKLQVRRSPQHVSPALKQDSPKMERPLLPRPATFIDVMLSSQKPSSEMWSETKDVTLTRKTNCSCKYSKCLKLYCECFEKGRYCIGCNCTNCCNNVNHENARQDAINVALERNPAAFMPKVSNSTAHNCESKAAEGDIVGKHTKGCKCKRTECLKKYCECFKASVFCSENCRCTGCKNYKSNADRISQKNTVHAHHVQNPASSGMVGQSVIIFHAAENDSSLSLAASALSCSLTSSVTREDAKSLVKYAPHEVTYRPSLADIIQIEDVNELCNLLILVSRQAGATLLESGMKENTKRKKLNRVDSCLSSTNHDREAIQKEPAKQMCSLEKSSNEVLIAEGRLELPRADPFDIQKGNKRPLSPGTQALMCDEQDAIFQDSKAPDATPSTTEENLVDIFKEQEKLVLTNFRDYLCKLATCGKLQELKLSLASTNCSEQAFADHGNNSSITRVAKDQTNNPSVLQQGGKHYRSGRLILARTKIGNAGIWKLMGQKSPLHNGVDKC >ONIVA08G13220.3 pep chromosome:AWHD00000000:8:14642342:14647397:-1 gene:ONIVA08G13220 transcript:ONIVA08G13220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWSETKDVTLTRKTNCSCKYSKCLKLYCECFEKGRYCIGCNCTNCCNNVNHENARQDAINVALERNPAAFMPKVSNSTAHNCESKAAEGDIVGKHTKGCKCKRTECLKKYCECFKASVFCSENCRCTGCKNYKSNADRISQKNTVHAHHVQNPASSGMVGQSVIIFHAAENDSSLSLAASVSDHSINNNTSHALSCSLTSSVTREDAKSLVKYAPHEVTYRPSLADIIQIEDVNELCNLLILVSRQAGATLLESGMKENTKRKKLNRVDSCLSSTNHDREAIQKEPAKQMCSLEKSSNEVLIAEGRLELPRADPFDIQKGNKRPLSPGTQALMCDEQDAIFQDSKAPDATPSTTEENLVDIFKEQEKLVLTNFRDYLCKLATCGKLQELKLSLASTNCSEQAFADHGNNSSITRVAKDQTNNPSVLQQGGKHYRSGRLILARTKIGNAGIWKLMGQKSPLHNGVDKC >ONIVA08G13220.4 pep chromosome:AWHD00000000:8:14647315:14648764:-1 gene:ONIVA08G13220 transcript:ONIVA08G13220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQKPSSEMWSETKDVTLTRKTNCSCKYSKCLKL >ONIVA08G13210.1 pep chromosome:AWHD00000000:8:14639312:14640874:1 gene:ONIVA08G13210 transcript:ONIVA08G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQELMDRDPLLVFGEGKHGVMDMFYAAARGGNAEVFKLLLDHAMSPRSGGGCSSVFWLEIMSRAVHAAAGGGSVEMLRELIERRSDVSEYLDFRGSTMLHAVAGRGQLELGN >ONIVA08G13200.1 pep chromosome:AWHD00000000:8:14632494:14636942:-1 gene:ONIVA08G13200 transcript:ONIVA08G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G27000) TAIR;Acc:AT3G27000] MDSGNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQSWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPVKNREKMIETMFEKYNFAGVFIQVQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGFSYPHITKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYLSLLSHFIELKRENMYSYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEMLDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITRQEYQEEGLACLRKCGQA >ONIVA08G13190.1 pep chromosome:AWHD00000000:8:14626803:14630547:1 gene:ONIVA08G13190 transcript:ONIVA08G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLPATAASRRLLCTTTHLLALPPVEPSPAADELARLLLAHHNPFHPAESPLQLLSGGGVSLTGDLLVQLLLRLRGASKLALSLLHAARLHPPPAASSRAADAYDAVVDALGRARQFDAAWRLVVEAAADGAATPRTFAVLARRYVAAGMTRQAVRAFDDMEAFVGREPDAAEFTTLLDTLCKYKYPKVAAEVFNKRKYKYEPNEKMYTVLIYGWCKVNRNDMAQKFLKDMIYHGIEPNIVTYNILLNGICRHASLHPDYRFDRTVRAAEDLLKEMHQRGIEPDVTSYSVILHVYSRAHKPELCLCMFRSMKERGICPTVATYTSVIKCLASCGRLDDAESLLDEMASEGVCPSPATYNCFFKEYRGRKDVNGALQLYNKMKAPGSPATPDIHTYNILLGMFIKLNQHDTVMQVWNDMCESTVGPDLNSYTLLVHGLCDNKKWREACQFFMEMIERGFLPQKITFETLYRGLIQADMLRTWRRLKKRVDEEAAKFGEEFKPYHIKPYKR >ONIVA08G13190.2 pep chromosome:AWHD00000000:8:14626803:14630569:1 gene:ONIVA08G13190 transcript:ONIVA08G13190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLPATAASRRLLCTTTHLLALPPVEPSPAADELARLLLAHHNPFHPAESPLQLLSGGGVSLTGDLLVQLLLRLRGASKLALSLLHAARLHPPPAASSRAADAYDAVVDALGRARQFDAAWRLVVEAAADGAATPRTFAVLARRYVAAGMTRQAVRAFDDMEAFVGREPDAAEFTTLLDTLCKYKYPKVAAEVFNKRKYKYEPNEKMYTVLIYGWCKVNRNDMAQKFLKDMIYHGIEPNIVTYNILLNGICRHASLHPDYRFDRTVRAAEDLLKEMHQRGIEPDVTSYSVILHVYSRAHKPELCLCMFRSMKERGICPTVATYTSVIKCLASCGRLDDAESLLDEMASEGVCPSPATYNCFFKEYRGRKDVNGALQLYNKMKAPGSPATPDIHTYNILLGMFIKLNQHDTVMQVWNDMCESTVGPDLNSYTLLVHGLCDNKKWREACQFFMEMIERGFLPQKITFETLYRGLIQADMLRTWRRLKKRVDEEAAKFGEEFKPYHIKPYKR >ONIVA08G13180.1 pep chromosome:AWHD00000000:8:14618074:14623777:1 gene:ONIVA08G13180 transcript:ONIVA08G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKQEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLFVTGINTMLQTLFGTRLPTIIGGSYAFVIPVISIIKDPSLAQITDDHTRFIMTMRAIQGALIISSCIQIILGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLVLFVALSQYLKHVQVRHFPILERFSVLISIALVWVYAHILTASGTYKHTSLLTQINCRTDRANLITSADWIDIPYPLQWGPPTFSADHAFGMMAAVVVSLIESTGAFKAAARLASATPPPPYVLSRGIGWQEYNAFALYVNNQGIGLLFDGLFGTGTGSTVSVENIGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTMFAAIYCVMFGYVGAVGLSFMQFTNMNSMRSLFIVGVSLFLGISIPEYFFRYTMSALHGPAHTRAGWFNDYINTVFSSPPTVGLIVAVILDNTLEVRDAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >ONIVA08G13180.2 pep chromosome:AWHD00000000:8:14615761:14623777:1 gene:ONIVA08G13180 transcript:ONIVA08G13180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVVSFGQKFWSDIPDLQHDEEDELYWKEWCSSPTLTAITVDHPEQAEEQGGEVPASVEMADMKQEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLFVTGINTMLQTLFGTRLPTIIGGSYAFVIPVISIIKDPSLAQITDDHTRFIMTMRAIQGALIISSCIQIILGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLVLFVALSQYLKHVQVRHFPILERFSVLISIALVWVYAHILTASGTYKHTSLLTQINCRTDRANLITSADWIDIPYPLQWGPPTFSADHAFGMMAAVVVSLIESTGAFKAAARLASATPPPPYVLSRGIGWQEYNAFALYVNNQGIGLLFDGLFGTGTGSTVSVENIGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTMFAAIYCVMFGYVGAVGLSFMQFTNMNSMRSLFIVGVSLFLGISIPEYFFRYTMSALHGPAHTRAGWFNDYINTVFSSPPTVGLIVAVILDNTLEVRDAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >ONIVA08G13180.3 pep chromosome:AWHD00000000:8:14618158:14623777:1 gene:ONIVA08G13180 transcript:ONIVA08G13180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKQEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLFVTGINTMLQTLFGTRLPTIIGGSYAFVIPVISIIKDPSLAQITDDHTRFIMTMRAIQGALIISSCIQIILGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLVLFVALSQYLKHVQVRHFPILERFSVLISIALVWVYAHILTASGTYKHTSLLTQINCRTDRANLITSADWIDIPYPLQWGPPTFSADHAFGMMAAVVVSLIESTGAFKAAARLASATPPPPYVLSRGIGWQEYNAFALYVNNQGIGLLFDGLFGTGTGSTVSVENIGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTMFAAIYCVMFGYVGAVGLSFMQFTNMNSMRSLFIVGVSLFLGISIPEYFFRYTMSALHGPAHTRAGWFNDYINTVFSSPPTVGLIVAVILDNTLEVRDAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >ONIVA08G13180.4 pep chromosome:AWHD00000000:8:14615593:14615999:1 gene:ONIVA08G13180 transcript:ONIVA08G13180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNFSPLFKGLMEHVVSFGQKFWSDIPDLQHDEEDELYWKEWCSSPTLTAITVGSCHLMPIEGYGKDAIIFAQNHEPSTGKLFDTCDDI >ONIVA08G13170.1 pep chromosome:AWHD00000000:8:14552011:14557731:-1 gene:ONIVA08G13170 transcript:ONIVA08G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASQPDKVRSFERTASKRRRRNSETSSPRRRPGSPSARLPSAARSAPTTTTATTSNRRNSIAGSSPPRVDRPESGGLDLIALGGSCCFYHLKIRKRQYVDMTRIRIEGLLAAFPKLVGNGNQHTYIETENVRYVYQPIEGLYLVVITNKQSNILEDLDTLRLLSKLVPEYCPSLDDDGVCKTAFELIFAFDEAISLGNKEKITVQQVKEYCEMDSVEEMEYKQMMQEKIKETKDFMKKKVIEIEKTRMEKGKHNKGGYSSISGPQVIEKSFNDMSISCTGFGSGSGLGGLNTDTDTFTSRPKGRTSGGTTGAGKGIGMKLGNTKKTNQFLESLKAEGEVIMEDFQPCSLQSRSSPLPPSDPVTVAVEEKLNVAVKRDGGVNNFDVQGTLALQVLNDADGLILLQIESQDIPGLSFKTHPNINKDLFNSQQILGAKDPIRPFPSGQNETPLVKWRIQGMNESSLPLSVNCWPSILGNETYVNIEYEASEMFDLHSVIISIPLPALREAPRVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFVVPPADPSMFYPISVGFSASNTFSNVKVTGIRPLKEGSNPPKYSQRVRLVADNYQVV >ONIVA08G13170.2 pep chromosome:AWHD00000000:8:14552011:14557731:-1 gene:ONIVA08G13170 transcript:ONIVA08G13170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASQPDKVRSFERTASKRRRRNSETSSPRRRPGSPSARLPSAARSAPTTTTATTSNRRNSIAGSSPPRVDRPESGGLDLIALGGSCCFYHLKIRKRQYVDMTRIRIEGLLAAFPKLVGNGNQHTYIETENVRYVYQPIEGLYLVVITNKQTLHSPLTTKGVICRELDLSVNFYECCYAFVVSYLLATIHSHGLLNLNNVKVPEYCPSLDDDGVCKTAFELIFAFDEAISLGNKEKITVQQVKEYCEMDSVEEMEYKQMMQEKIKETKDFMKKKVIEIEKTRMEKGKHNKGGYSSISGPQVIEKSFNDMSISCTGFGSGSGLGGLNTDTDTFTSRPKGRTSGGTTGAGKGIGMKLGNTKKTNQFLESLKAEGEVIMEDFQPCSLQSRSSPLPPSDPVTVAVEEKLNVAVKRDGGVNNFDVQGTLALQVLNDADGLILLQIESQDIPGLSFKTHPNINKDLFNSQQILGAKDPIRPFPSGQNETPLVKWRIQGMNESSLPLSVNCWPSILGNETYVNIEYEASEMFDLHSVIISIPLPALREAPRVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFVVPPADPSMFYPISVGFSASNTFSNVKVTGIRPLKEGSNPPKYSQRVRLVADNYQVV >ONIVA08G13170.3 pep chromosome:AWHD00000000:8:14552011:14557731:-1 gene:ONIVA08G13170 transcript:ONIVA08G13170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASQPDKVRSFERTASKRRRRNSETSSPRRRPGSPSARLPSAARSAPTTTTATTSNRRNSIAGSSPPRVDRPESGGLDLIALGGSCCFYHLKIRKRQYVDMTRIRIEGLLAAFPKLVGNGNQHTYIETENVRYVPEYCPSLDDDGVCKTAFELIFAFDEAISLGNKEKITVQQVKEYCEMDSVEEMEYKQMMQEKIKETKDFMKKKVIEIEKTRMEKGKHNKGGYSSISGPQVIEKSFNDMSISCTGFGSGSGLGGLNTDTDTFTSRPKGRTSGGTTGAGKGIGMKLGNTKKTNQFLESLKAEGEVIMEDFQPCSLQSRSSPLPPSDPVTVAVEEKLNVAVKRDGGVNNFDVQGTLALQVLNDADGLILLQIESQDIPGLSFKTHPNINKDLFNSQQILGAKDPIRPFPSGQNETPLVKWRIQGMNESSLPLSVNCWPSILGNETYVNIEYEASEMFDLHSVIISIPLPALREAPRVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFVVPPADPSMFYPISVGFSASNTFSNVKVTGIRPLKEGSNPPKYSQRVRLVADNYQVV >ONIVA08G13160.1 pep chromosome:AWHD00000000:8:14528827:14532539:-1 gene:ONIVA08G13160 transcript:ONIVA08G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQGFNFSIDMLVDEHPGGLPALLDWVISNSTSTCPRNTSAPECCCAHSSCRDSEAYAHRGYHCKCSHGYQGNPYIIDGCYVPIFIYRYHECRSPDIYPCYGNCKNKPGGYDCDCLEGFKGNATLLKGCEDFGDRMIITLEDLQKATNNFDRTRQVGAGGHGIVFEGIIGLNVVAIKKSKIVVQREISEFINEVTILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHIKGPVSLSWDDRLRIALEVARALSYLHSASSMPIYHRDIKSANILLDDSLTAKVSDFGASKYTPVERSEITTTIQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPIADMFDNGDNLISYFIKLLSKGNLVDILDPQVKMEEGGEVHEVATLAAICTKLKGDERPSMREVEMTLENIVLKKGPSCRGNTSLCRPDENGNSALQTLIEGVTTKASRQYSMELEMLSSSFPR >ONIVA08G13150.1 pep chromosome:AWHD00000000:8:14514145:14514630:-1 gene:ONIVA08G13150 transcript:ONIVA08G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGERTPCGKVFAMGFTEVNVKLSGFAKKIGRRRDWMNVQVVVKKIGRRRDSAVQEVQVHRCYDRCSASACTHVVVVVTHAHYHDFVRRCRRRSVFALPPLLPKRFPVHAVTTASAFAAPKPRQILQPPPLSDRNLPGTDLR >ONIVA08G13140.1 pep chromosome:AWHD00000000:8:14470340:14470986:-1 gene:ONIVA08G13140 transcript:ONIVA08G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSGQSSQHWWKMQSFYEDMRFNHFDNLRSDAEAGILQENVRHVDWDKFLSEQVKTIAPNELELYYKEDILPRVDDFDILTWWKANSLKYPLLSRIARDVLAIPASTVPSEKCF >ONIVA08G13130.1 pep chromosome:AWHD00000000:8:14449159:14461120:1 gene:ONIVA08G13130 transcript:ONIVA08G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IAY7] MGSQVHCPNENVSSGNKKRGQHPRRGLKQNGQTDEPKIVYVHYNVVEECYGQFIEKLRNKLADHPNASNVFVGHPVLAKQTSKHPARWIFINLYLATGENEEMTTTLAIRDDNLYLKAFRNKDEEWYELGKKENNKKLMHPKYNSIFLECGDNYGSLVGGDNDFEQVKRNLSQLELGKVSMENAVSKLALYNQPPDNGVDDVDPTLKQDLAQLIVMVCEPARMTKHYLTVKNAWDRDHTSQINELEVYYLWNWGNMSGALLACIRDKGYTWPTEEYFYGKPQIKNKDEALQVVHLVKDTVSPQTKRQHQQQNKKKKKESPPPSPPPADGNNDADGRQSQSQPPQADDNQAVHRKPQPPSDHPPPSVGGRQHEGAASSSQQVQAAGGAGCHGRLLVEVFALAVAANSQGKFPVVGTTIAVFDGKRGQIIYKNDKEDDHKQGNNLVLTGPHKAISAEGCFSIEIETPVNTLDKSTIIQSWEWDSFDNEIEYNEQYEENIGPVDITYAVLSNALEATVEVKLPPSIGRSNIHVFGKITAYNESFDVGSVLFRRDSMHKAELRSACTVPLARSVLAVRFSSKVNIEMDLHIENPDRVHFNGTVQLYCPGPPNAILQTNNGDVEVSITCYPPSAHCGGGNILHGGTTHYEEDTSEENRPADLVYNVLDGDYVAFMTEFRALLVENADREQVGNKGHVVLGRQRAKEPRRWIHIKLVAAEETTTLAIRDDNLYLKGFMNKRGDWFELIGSDQTEQSARMLPRRYNSSQTRWFIMNYGFIVAMMQQHDTCLDQSFAIDAVSRLSGYSPDQGMMPGIGDLVALAGLIVMVCESARMSSHYNTILSRNGKLTKWQLGYAQHWKRMSRALLHWKHSGDWPNDPEVGAMHITSEREAKDVVQLLLNWSPRMRAAPALPGRCLVEVLAVRADFEVVGTTITVFDGKRGQIIYTHHQLDDDHASNLDSQGNLVLTGPYKAISADGCFAIQVDIPTAAQSDDNVGDAIVWEWDGYDEVYADEVDERPHVKRRISTGPGRTVHVTYAVMSNAMEGTVRVSLPIAVGTLVYGEITAYNSTMNRVLFSRTAPGAVAVAALSADDSTVPLARPVITVPLGQCLNIRVSMHVHPDHAVKYFEGTVQFDGHSVRQFPTTHGIVEVMIIWYPAFPPSRGCV >ONIVA08G13130.2 pep chromosome:AWHD00000000:8:14451666:14461120:1 gene:ONIVA08G13130 transcript:ONIVA08G13130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IAY7] MGSQVHCPNENVSSGNKKRGQHPRRGLKQNGQTDEPKIVYVHYNVVEECYGQFIEKLRNKLADHPNASNVFVGHPVLAKQTSKHPARWIFINLYLATGENEEMTTTLAIRDDNLYLKAFRNKDEEWYELGKKENNKKLMHPKYNSIFLECGDNYGSLVGGDNDFEQVKRNLSQLELGKVSMENAVSKLALYNQPPDNGVDDVDPTLKQDLAQLIVMVCEPARMTKHYLTVKNAWDRDHTSQINELEVYYLWNWGNMSGALLACIRDKGYTWPTEEYFYGKPQIKNKDEALQVVHLVKDTVSPQTKRQHQQQNKKKKKESPPPSPPPADGNNDADGRQSQSQPPQADDNQAVHRKPQPPSDHPPPSVGGRQHEGAASSSQQVQAAGGAGCHGRLLVEVFALAVAANSQGKFPVVGTTIAVFDGKRGQIIYKNDKEDDHKQGNNLVLTGPHKAISAEGCFSIEIETPVNTLDKSTIIQSWEWDSFDNEIEYNEQYEENIGPVDITYAVLSNALEATVEVKLPPSIGRSNIHVFGKITAYNESFDVGSVLFRRDSMHKAELRSACTVPLARSVLAVRFSSKVNIEMDLHIENPDRVHFNGTVQLYCPGPPNAILQTNNGDVEVSITCYPPSAHCGGGNILHGGTTHYEEDTSEENRPADLVYNVLDGDYVAFMTEFRALLVENADREQVGNKGHVVLGRQRAKEPRRWIHIKLVAAEETTTLAIRDDNLYLKGFMNKRGDWFELIGSDQTEQSARMLPRRYNSSQTRWFIMNYGFIVAMMQQHDTCLDQSFAIDAVSRLSGYSPDQGMMPGIGDLVALAGLIVMVCESARMSSHYNTILSRNGKLTKWQLGYAQHWKRMSRALLHWKHSGDWPNDPEVGAMHITSEREAKDVVQLLLNWSPRMRAAPALPGRCLVEVLAVRADFEVVGTTITVFDGKRGQIIYTHHQLDDDHASNLDSQGNLVLTGPYKAISADGCFAIQVDIPTAAQSDDNVGDAIVWEWDGYDEVYADEVDERPHVKRRISTGPGRTVHVTYAVMSNAMEGTVRVSLPIAVGTLVYGEITAYNSTMNRVLFSRTAPGAVAVAALSADDSTVPLARPVITVPLGQCLNIRVSMHVHPDHAVKYFEGTVQFDGHSVRQFPTTHGIVEVMIIWYPAFPPSRGCV >ONIVA08G13120.1 pep chromosome:AWHD00000000:8:14405170:14405856:-1 gene:ONIVA08G13120 transcript:ONIVA08G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPAWLRVRFGGGGGAREETGLKILAFKVAAAMSRLVSLYCSLSDVEVRRLRVDALRAEGVARVTSTDQSLLLWLACGEVVADLDRAAGSAARFGTRCCAARRSCTIFDRVSAEAKRGNGLARLDATVGFYKSATKQFRKMERHVAATVKLYAEMDALSELEKAARAGEATMLDGEETPGQATEAEWRGSADEGEEWKGIILINCDNTLRKLLSLDKYTLLHFYYH >ONIVA08G13110.1 pep chromosome:AWHD00000000:8:14363910:14364282:-1 gene:ONIVA08G13110 transcript:ONIVA08G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVECIGQTHMLELSQQRLDRLSKKIFPSNQVIFELGRFGVSRHCDAIFEWSAPTAIGKET >ONIVA08G13100.1 pep chromosome:AWHD00000000:8:14343863:14344900:1 gene:ONIVA08G13100 transcript:ONIVA08G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHCLAGVEPALPEHETEHHEASYVWMYGGTGQDVGGKERVGVESILIFEVKLPEVRGAAVLEDGERQRRSEQEESLASLVARPRSHGRRRQEHGGGAAAAALVGDASGTESGGGYGDHEVLTRDGRGGRRRQELGEERRQRPSSAVPAASDLEETGIPATLLAGWLLCGAGVAAAARCARREDGEEQRRWTSSAVLAAPDLELSSGWLLSGAGVAAAAWYVRGEDREEQRWRPSPAGRRRGRCGAVVLGTKKMWCGVDNGKGNSVREEDVKSDGSGMVPILEFFSGMEPI >ONIVA08G13090.1 pep chromosome:AWHD00000000:8:14322006:14322856:-1 gene:ONIVA08G13090 transcript:ONIVA08G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEDSFINNDVGEEVVVANGGDGEGVGGMARSDDGGDGGEGNVVQDPPLSLGEVRGILEQNHTLIQEISQNHKARDADRLTRNVALIRDLNTNIARVVDLYANLTGSSHSHTTSDVASATNTNATNSSSPSAAAATAEVSKQPHTTDTDAK >ONIVA08G13080.1 pep chromosome:AWHD00000000:8:14318081:14318515:1 gene:ONIVA08G13080 transcript:ONIVA08G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSVISNGGREEEVVVANGSGGGVGGTARSSGGGGGKVVQVLQRNFGEVQGILEQNRVLIQEISQNHEARDADGLTRNVALIRELNTNIARVVDLYANLSGSFSRSVTAAASANNTNATNSTSPSAAAAAKASKRSRATDTE >ONIVA08G13070.1 pep chromosome:AWHD00000000:8:14309661:14312990:1 gene:ONIVA08G13070 transcript:ONIVA08G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTESLAHERVSSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >ONIVA08G13060.1 pep chromosome:AWHD00000000:8:14292574:14297773:-1 gene:ONIVA08G13060 transcript:ONIVA08G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVEKMASIDAQLRMLAPAKLSEDDKLVEYDALLLDRFLDILQDLHGDDLREMVQECYEIAAEYEGKHDSQKLDELGNMLTSLDPGDSIVMAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSALTESDIEETFKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRLDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMASNLYCSQIEDLMFELSMWRCNDELRARADELHLSSKKDAKHYIEFWKKVPPSEPYRVVLGDVRDKLYNTRERARQLLSSGYSDIPEETTLTSVEQFLEPLELCYRSLCDCGDRVIADGTLLDFLRQVSTFGLCLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQDWLLSELNGKRPLFGPDLPKTDEIADVLDTFRVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLESAPAAVARLFSIDWYRERINGKQEVMIGYSDSGKDAGRLSAAWQLYKSQEELINVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPIAPKPEWRALLDEMAVVATKEYRSIVFQEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGSAFKHILEKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEELWPLGEKLRANCEETKQLLLQVAGHKDLLEGDLYLKQRLRLRNAYITTLNVCQAYTMKRIRDPDYHVTLRPHMSKEIMDWSKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >ONIVA08G13050.1 pep chromosome:AWHD00000000:8:14272764:14283768:-1 gene:ONIVA08G13050 transcript:ONIVA08G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein [Source:Projected from Arabidopsis thaliana (AT1G06560) TAIR;Acc:AT1G06560] MDSSASPTAAAAADADRYTYSPRLRWQPEVEEYFAAAYGRDRFARISEALAHPSCYSCIRVNTLKSSTDAVMHKLMNLVDQNGLCGGINGLEIGQQNGGEQAHEGNSVVHKCPYSGLDNVLFVQGSGPHALHYNSQPDQSIKEVIVSRKCAESVLRGAQVYIPGVLACSSHVEKGDKVAVSVAIEQPAEDGGWTVDAHHEERKGLYIGQGITAMSRSGIFRVPHGVAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAARVLDPQPGERILDMCAAPGGKTTAIAILMKDQGEIIALDRSHNKLAAEMDLNCIKAYKLDALKSVRKTNEAKYIGEAGSRTDAIVTLAEDSEPCINKVDAGTTNASEDSSTRSVVQTDNKKTDDKRYVSKADLRKNLRRMRNGPGRNNCSGGRVENSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEETLESLRNHATYQRRMFDQAVKLVRPGGVIVYSTCTINPGENEALVRYALDKYKFLSLGSQHPKVGGPGIVGSFELSTKKYTE >ONIVA08G13040.1 pep chromosome:AWHD00000000:8:14262458:14264622:1 gene:ONIVA08G13040 transcript:ONIVA08G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYSRTTNTQAAYVPSLVDLIHGGGRPVAYRRRRGRERGRRRGRGRSRLREAAEGACGAAPAAAAGDMDRGGGHARGDVAVHVLVDTRQVAHRQIGHARGGRVVSGRCGCCPSLPRPRCRRA >ONIVA08G13040.2 pep chromosome:AWHD00000000:8:14262815:14264622:1 gene:ONIVA08G13040 transcript:ONIVA08G13040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVDYAVGTGADDDAVAAFKVKLLVSDLKPHHGCLVGLVVWCPAERQEDLDRADAAARELEAAAGGSPVDLERDMDKLQGRDVTLNYQFKATNSSHESL >ONIVA08G13030.1 pep chromosome:AWHD00000000:8:14260905:14262309:1 gene:ONIVA08G13030 transcript:ONIVA08G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHCLAGVEPALPEHETEHHEASYVWMYGGTGQDVGGKERVGVESILIFEVKLPEVRGAAVLEDGERQRRSEQEESLASLVARPRSHGRRRQEHGGGAAAAALVGDASGTESGGGYGDHEVLTRDGRGGRRRQELGEERRQRPSSAVPAASDLEETGIPATLLAGWLLCGAGVAAAARCARREDGEEQRRWTSSAVLAAPDLELSSGWLLSGAGVAAAAWYVRGEDREEQRWRPSPAGRRRGRCGAVVLGTKKMWPKP >ONIVA08G13020.1 pep chromosome:AWHD00000000:8:14253079:14254793:-1 gene:ONIVA08G13020 transcript:ONIVA08G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFSMPCQVSVDLVHRHSPIGVAMLLADRSVMAIQQPRALNYEGSRNHTQREREGATRVMEVFLVWRALVWLALITPAAALAQQEEAPGCRRRCGNVTVPYPFGICSERCYRGGVRGFRLDCDDARRPPRLTVAGYGHEVTSISLAAAEVTVLLNASRACYGGGDYGRGRRGREEQPMSLNGSAFLFSSMKSKFVAIGCPGLAYFVDDGGDYVTGCMSVCRPSARALPGSCRGDDGCCQSNIPLGLASYRPRLRSFGRRQGGAFLANATACAYAFMVDAWWFWYAGSNFNRTGDFAVPVVLDWAIRPDAGSGSGSCAAASRTPLPSYACRSAHSVCIDSSNGPGYICNCTAGYHGNPYVCEHKDEYPCYGVCTNTAGSYACSCPKGSSGNASVEGGCRRDDKFTLALKTVTGTYERIFFIYFIFFLYTFPKSIFKYEF >ONIVA08G13010.1 pep chromosome:AWHD00000000:8:14241468:14243963:1 gene:ONIVA08G13010 transcript:ONIVA08G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQSNDHGAGLLDAQLELYANTLAVVKSMALKTAMDLGIADAIHHHGGAATLPQILTRVTLHPSKIPCLRRLMRVLTLTGVFAVEKPTAADEPPVYALTPVSRLLVSSGNLQQAPIMSLLLHPSCITPFLRIGDWLQRELPGSSIFEHTHGQSLWEVADGDAAFSKVFNDAMVSDSRLVMDVVVREHGEVFRGISSLVDVAGGHGTAAQAIARAFPEVKCSVMDLAHVVAKAPGGTGVEYIAGDMFESIPPANAVFLKWIMHDWGDDECVKVLKNAKKAIPSKDAGGKVIIIDVVVGAGPPDQKHIELQALFGAYMMLINGVERDEKEWKKVFIEAGFSGYKIIPVLGFRSIIEVYP >ONIVA08G13000.1 pep chromosome:AWHD00000000:8:14233826:14235382:1 gene:ONIVA08G13000 transcript:ONIVA08G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDDRLSDLPDDLLLRILHFANAKEATSTSLLSRRFGALWRSSGAVNLAASVPCGSRYIRSAALLARRGAFVRAAEAALSAAAAAADHRVTRLTVHVECDKEDKGSIASFLLSSENDERRSHRDVFDAVLSHPAARRVEELSVAAVHPHWGDKGNIVSSDGAGIYSINPATLPSNTLRVLDLTNCRSLTPSPAAAAAAAAAAALPRLETLRLRHCTANIDDLHRIIDAAPELAAVRLEFVHLMSNIHDVPFLVQLAMSSTTRLRFPAATALTLINCLTNGGMSGSVIDAPRLRSLTYKGAARSQFELTSPAPDMKMVHLHFNHYFHQRDYLRFIHNFTKVKVLKLKAENVDDMAVHGVFFPNIARLELDGGFNRWSKTAKAAAAIASMLHCCPVLCDLNLNLISTVSPDYFKKSKQVQHFFQRKSQLDFDRSIDDFMRNSISKRGDHRHNGDEVSGFIPGLTACSFTCLQNNLRRVSLQFRLDENSENFGVRLVKFFAENAMVLEELRVLTVETET >ONIVA08G12990.1 pep chromosome:AWHD00000000:8:14181940:14184495:-1 gene:ONIVA08G12990 transcript:ONIVA08G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFLLKLLRAGSMVGTDAALLQELESRAVHTSPSQLSRPGYGRLTSRRSRPRRACQRPMSLTRRRFQPQPPSMEAHQISTWILLGYCAVTQEYGLVDGPGILESVWACGLVVH >ONIVA08G12980.1 pep chromosome:AWHD00000000:8:14177460:14177837:-1 gene:ONIVA08G12980 transcript:ONIVA08G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFRNGVVKLVENPPASANSGGGGGGGGGGGIRRKALLHMPTGEVVTSYASLERKLAALGWERYYSGGGGGAAAAAAMMLQFHKRSSVDLISLPKDFSQFGSVHMYDIVVKNRDAFRVIDV >ONIVA08G12970.1 pep chromosome:AWHD00000000:8:14169495:14171094:-1 gene:ONIVA08G12970 transcript:ONIVA08G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSEPIECQVLVLRVSIHCEGCKKKVKKVLQHVPGVFRCDVDARSNKVIVTASRNMDANILVAKLRKSGKQAEPWPEEPKQQQPPPPPAESQSQETKNQSDESSKPSDQPAEKPGPDKAEGSAAEPNNPRPSPEPTKSTDETPKPNQEIQEPSNAKANTDANASGNASDETKEAAATGEQPSEPKGKVKQHRERPIDARVTMEYGGGSHVNYMPQPQPVPVMSYNVARPTASAAYYAAPPAPAPMSMPMPMARPGPSSQGYIDEEYSPSYYNRSSPYEPYYYPQPSPYRYQHYQQSSADDYYYGAPQQRSAFSPPRDAYGEMFNDENANSCSVM >ONIVA08G12960.1 pep chromosome:AWHD00000000:8:14165950:14166711:-1 gene:ONIVA08G12960 transcript:ONIVA08G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAPAPQEQKVEGESSDASLAALSSRPRAAATRRQSARPTVMVSSSSSSAAGGGFELPPACGFHSAATGAADRRGLLLLLRLAAAVASTVVVVAAASDAGKLSLWALVKVVAPLPPLPPTPPRAAPSSSAGAHRGEGRRTWCGRRVAILLPVRGEEKRVLRRVVVLSGGGSSSHSGCPVLSLPLSSRPRGAKPNLYVHNQARPPESKKSAMFSLKLFMKSAYN >ONIVA08G12950.1 pep chromosome:AWHD00000000:8:14165304:14165816:-1 gene:ONIVA08G12950 transcript:ONIVA08G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKPVVNADGEVLLVRRATGARVAHVGVIVADVADSARQRDGSLYARHSYRAGPVPSTMPHHTSAMTPSTPASHHIRRSRALSPAASSSSFAAVTTPSCTPRHGAAPLDTINSNESLKRLRELGAYRQQASSPPGAVACCRVAARRWPPTPSSSPALPLRSSPHPSASC >ONIVA08G12940.1 pep chromosome:AWHD00000000:8:14167482:14183956:1 gene:ONIVA08G12940 transcript:ONIVA08G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPVPRRLWLEPTASQGHRSLAGTARARSPRSQSAVARPRELTRRGMHRPGFQLLQQSRVGAHHAPCPEQLEQEVAHDVVLGNSAVAAAADAGNWVSPRPPIVVVTV >ONIVA08G12940.2 pep chromosome:AWHD00000000:8:14165847:14185083:1 gene:ONIVA08G12940 transcript:ONIVA08G12940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAASWSGKRGCRDWGWRQRRSRTRPWGAAGLDGVLTAAVSLPLPRPLQPPPLTLPCSPRGRQATLCHGGGNEDADGSVAFAGATPAAGPPPPPSPRRPPPNSAARRRLRKEWFTSSSGEFLLSSGSEEYGGLGRRQLYTRFRCCWTDGLRDDGLAQAYYTTAQEYAIEEIARSIAINGIDEQLN >ONIVA08G12940.3 pep chromosome:AWHD00000000:8:14165847:14183956:1 gene:ONIVA08G12940 transcript:ONIVA08G12940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAASWSGKRGCRDWGWRQRRSRTRPWGAAGLDGVLTAAVSLPLPRPLQPPPLTLPCSPRGRQATLCHGGGNEDADGSVAFAGATPAAGPPPPPSPRRPPPNSAARRRLRKEWFTSSSGEFLLSSGSEEYGGLGRRQLYTRFRCCWTDGLRDDGLAQAYYTTAQERLWLEPTASQGHRSLAGTARARSPRSQSAVARPRELTRRGMHRPGFQLLQQSRVGAHHAPCPEQLEQEVAHDVVLGNSAVAAAADAGNWVSPRPPIVVVTV >ONIVA08G12940.4 pep chromosome:AWHD00000000:8:14165847:14182180:1 gene:ONIVA08G12940 transcript:ONIVA08G12940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAASWSGKRGCRDWGWRQRRSRTRPWGAAGLDGVLTAAVSLPLPRPLQPPPLTLPCSPRGRQATLCHGGGNEDADGSVAFAGATPAAGPPPPPSPRRPPPNSAARRRLRKEWFTSSSGEFLLSSGSEEYGGLGRRQLYTRFRCCWTDGLRDDGLAQAYYTTAQEFRFTYKSGSKAQESRNK >ONIVA08G12940.5 pep chromosome:AWHD00000000:8:14165232:14166027:1 gene:ONIVA08G12940 transcript:ONIVA08G12940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWWDAGVDGVMADVWWGIVEGTGPARYEWRAYREPSRCRAESATSATMTPTCATRAPVARRTRSTSPSALTTGFCSMAELPSRENMADFLDSGGLA >ONIVA08G12940.6 pep chromosome:AWHD00000000:8:14165847:14172384:1 gene:ONIVA08G12940 transcript:ONIVA08G12940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAASWSGKRGCRDWGWRQRRSRTRPWGAAGLDGVLTAAVSLPLPRPLQPPPLTLPCSPRGRQATLCHGGGNEDADGSVAFAGATPAAGPPPPPSPRRPPPNSAARRRLRKAVAVAAAALAPLAMAQLACRTCAASQRGCWRPYFLRP >ONIVA08G12930.1 pep chromosome:AWHD00000000:8:14162500:14162841:-1 gene:ONIVA08G12930 transcript:ONIVA08G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRAALAAAAVLLLLVVAAATAQAVEVAPYCVGEPGEECVAGGGGEEAVAVAVAAAARRRLQGGGYISYDAMRRNAVPCSYRGASYYNCRPGGQANPYTRGCSAITQCRG >ONIVA08G12920.1 pep chromosome:AWHD00000000:8:14160272:14161209:1 gene:ONIVA08G12920 transcript:ONIVA08G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNHINYMQVSGCIYHPPVHAIPSQFSSSSSIQFPQPKKKKKKFFHSDYLIPSHVDGREEDDHHACHCLTHGHPLRLTLRHRSYHLQSKEQDVPREVQGQQKLRHDLRPRGVHQRLLLQGRLLQVHVHQAVRRRRR >ONIVA08G12910.1 pep chromosome:AWHD00000000:8:14154061:14159594:1 gene:ONIVA08G12910 transcript:ONIVA08G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G15840) TAIR;Acc:AT4G15840] MAAPPVSGDGAAAAAPVVALGAAGAVGGPRPYEVAVAAAELRPVDCNLAALCDHVQAEGFGSGAFSDVVVEAMGATYRLHRLIISRSAYFRNMLHGPWREAGAPTVVLHIDDPNIDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELALYALLAKVTLPDVEVSGNENLNLTSSSANSDHSMRKGKSPMNEAGEEQLMGSELQNLKLHDNTETISAHNTSDIPDMVIPQDSTAYSIEQNAEASRRKVNDFSTGGPSGESTSYQFNEDIWLSSDQTRNYLSRTSSSNGLVPTEWGKPNAPLWGGRVVGRRQVRCVRGSSSLSADEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFGFPCKAVNDGLWLQMLLCHRVQAIVADTCTNCCLTGNSCACKQAHVSSHHHYRQEHDRSSASGTVGNIYLTDAHGEGNAVFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQPFANDESEPRVDYNGDISGDGLTALVNLSQESSASHHQTESIFETGIQVRYSGAASVSTPGGSSLQMQESKEHELGSNLETTENTTISLDMKTPLSHFPPFRFGVEFEDVHRLADSQVKHSTEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAEPLDPLRKANMYVDHREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELGDLLQGGSLRIAAVVQLV >ONIVA08G12910.2 pep chromosome:AWHD00000000:8:14154061:14159594:1 gene:ONIVA08G12910 transcript:ONIVA08G12910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G15840) TAIR;Acc:AT4G15840] MAAPPVSGDGAAAAAPVVALGAAGAVGGPRPYEVAVAAAELRPVDCNLAALCDHVQAEGFGSGAFSDVVVEAMGATYRLHRLIISRSAYFRNMLHGPWREAGAPTVVLHIDDPNIDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELALYALLAKVTLPDVEVSGNENLNLTSSSANSDHSMRKGKSPMNEAGEEQLMGSELQNLKLHDNTETISAHNTSDIPDMNAEASRRKVNDFSTGGPSGESTSYQFNEDIWLSSDQTRNYLSRTSSSNGLVPTEWGKPNAPLWGGRVVGRRQVRCVRGSSSLSADEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFGFPCKAVNDGLWLQMLLCHRVQAIVADTCTNCCLTGNSCACKQAHVSSHHHYRQEHDRSSASGTVGNIYLTDAHGEGNAVFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQPFANDESEPRVDYNGDISGDGLTALVNLSQESSASHHQTESIFETGIQVRYSGAASVSTPGGSSLQMQESKEHELGSNLETTENTTISLDMKTPLSHFPPFRFGVEFEDVHRLADSQVKHSTEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAEPLDPLRKANMYVDHREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELGDLLQGGSLRIAAVVQLV >ONIVA08G12900.1 pep chromosome:AWHD00000000:8:14148595:14152633:-1 gene:ONIVA08G12900 transcript:ONIVA08G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFHFCIDEQTQGCIARFQADYMWKTEKRTVGSKCFAKCVTKPGSSLSGSESSCISRCVDHYIEATGIVSRALFSTTR >ONIVA08G12900.2 pep chromosome:AWHD00000000:8:14148593:14152633:-1 gene:ONIVA08G12900 transcript:ONIVA08G12900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFHFCIDEQTQGCIARFQADYMWKTEKRTVGSKCFAKCVTKPGSSLSGSESSCISRCVDHYIEATGIVSRALFSTTR >ONIVA08G12900.3 pep chromosome:AWHD00000000:8:14148683:14152633:-1 gene:ONIVA08G12900 transcript:ONIVA08G12900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFHFCIDEQTQGCIARFQADCWEQVLCQVCDKARLKLER >ONIVA08G12900.4 pep chromosome:AWHD00000000:8:14148593:14152644:-1 gene:ONIVA08G12900 transcript:ONIVA08G12900.4 gene_biotype:protein_coding transcript_biotype:protein_coding LFISLPATHSRSSGKTLLLGPSPLPHPASATTVGSKCFAKCVTKPGSSLSGSESSCISRCVDHYIEATGIVSRALFSTTR >ONIVA08G12900.5 pep chromosome:AWHD00000000:8:14148593:14152644:-1 gene:ONIVA08G12900 transcript:ONIVA08G12900.5 gene_biotype:protein_coding transcript_biotype:protein_coding LFISLPATHSRSSGKTLLLGPSPLPHPASATTVGSKCFAKCVTKPGSSLSGSESSCISRCVDHYIEATGIVSRALFSTTR >ONIVA08G12890.1 pep chromosome:AWHD00000000:8:14141375:14146055:-1 gene:ONIVA08G12890 transcript:ONIVA08G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0IAV1] MVPPGNGNGAAAAAAAGNDVILELSTPGDDWSHELQGDDVEANGGGNGDAPPRRTFSFGQAYKTRHRQPQVFTVWQTLMLGYQSLGIVYGDLGTSPLYVFPSVVLPDADATDFLGILSLIIWTLTLMSLVKYALIVLKADDHGEGGTFALYSLLRQHVNFKGNIPVPLTRLESDVHLKFHSKRRSRPSRLQLFMENSPTAQLAITIIVLIGTCMLIGDGALTPAISVLSAVQGIQSRSSHIKQKHVVVLSAVILVLLFLVQRFGTSRVSFTFSPIMLLWFASIAGIGVYNIVMHYPPVLKAVSPHYIYYYFAKNKRVGWEQLGAVILCITGAEAMFADMGHFNKSSIQVAFSTAVFPSLILAYSGQAAYLIKNPGDLSTAFYSSVPAPLFWPMFVVSTLAAIVASQSLISASYSIIRQSIALGCFPRTTVKHTSDKYEGQVYCPEINYVLMVVCVLITVGFQGGPEIGRAFGVAVIWVMLLTTTLMTVVMVVIWEVNGALAGGFFVFYLAIEGTYMTSLMTKVPQGGWVPFAITVAFLSVTLSWTYGRKKKREYEARHAVGDGEFAGIVSRSARVPGMCLFCTDLMDGVPPIVRHYAANTGSLRELLLFVTFRTLPVRTVLAGERFLVAREGARAGVYRCIAQYGYMDEQDMVGDDFVRAAVAALVEVAAAAAEADSGEEEAEMIGRAPASGVSYVIGRTVLRMRRRARNWPKRFVINELYRFLQKNFRSNVSTLKLDHAKTLQVGMIYEI >ONIVA08G12880.1 pep chromosome:AWHD00000000:8:14129216:14129533:1 gene:ONIVA08G12880 transcript:ONIVA08G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGHPAPAEWRCRLDSSLSSLSREAVAGPVPSAQAAGRWLRSPALGDNQQDWGSRAREGRRGGGAEAETESGGARGDGSRGGGAPALRRVWSCGCVAGSASP >ONIVA08G12870.1 pep chromosome:AWHD00000000:8:14123126:14130364:-1 gene:ONIVA08G12870 transcript:ONIVA08G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGAINVSKMLQYIKSDFFLPNELPAQQQGDAVSSLVYEANDRIRDPVYGCNQVSQLQMQLAVAQGEILCSQMQHCDGNENEENVVPRRRQVLLPAQLVSPYGVDTVCRRREPGTTQRKILGYATGCHLPSPLVPRSAGPCSGFPSIVGSEGVLREGGAGMGEEEDPDWLRAFQPPTTSMVMLSSDSYDSPENSPTRTTPSGEEQKGENKANAFGKDEKPTMESNQDKPAKRSTPKKLVKFPSSSNASKVTGPKAGPDQIDDTLEHREEGVAEVDMQDKLTEHSVSQRLPLIIPDKVHRSKALIECDGDSIDLSGDVGAVGRIVISNSPNGNQDLLLDLKGTIYKSTIVPSRTFCVIESIMNDFIQLEPQSNLFEAETMVEGTLDGFTFDSDEEGDKLPEPHASQNDQNNEDGDQPKAKTKRKAEKPAVCSLLPF >ONIVA08G12870.2 pep chromosome:AWHD00000000:8:14123126:14130364:-1 gene:ONIVA08G12870 transcript:ONIVA08G12870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGAINVSKMLQYIKSDFFLPNELPAQQQGDAVSSLVYEANDRIRDPVYGCVGPGPSPSCRTRCHSCRCSSPSHRARSSAARCSTAMATRTRRMTTQRKILGYATGCHLPSPLVPRSAGPCSGFPSIVGSEGVLREGGAGMGEEEDPDWLRAFQPPTTSMVMLSSDSYDSPENSPTRTTPSGEEQKGENKANAFGKDEKPTMESNQDKPAKRSTPKKLVKFPSSSNASKVTGPKAGPDQIDDTLEHREEGVAEVDMQDKLTEHSVSQRLPLIIPDKVHRSKALIECDGDSIDLSGDVGAVGRIVISNSPNGNQDLLLDLKGTIYKSTIVPSRTFCVIESIMNDFIQLEPQSNLFEAETMVEGTLDGFTFDSDEEGDKLPEPHASQNDQNNEDGDQPKAKTKRKAEKPAVCSLLPF >ONIVA08G12870.3 pep chromosome:AWHD00000000:8:14123126:14130364:-1 gene:ONIVA08G12870 transcript:ONIVA08G12870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGAINVSKMLQYIKSDFFLPNELPAQQQGDAVSSLVYEANDRIRDPVYGCNQVSQLQMQLAVAQGEILCSQMQHCDGNENEENVVPRRRQVLLPAQLVSPYGVDTVCRRREPGTTQRKILGYATGCHLPSPLVPRSAGPCSGFPSIVGSEGVLREGGAGMGEEEDPDWLRAFQPPTTSMALIECDGDSIDLSGDVGAVGRIVISNSPNGNQDLLLDLKGTIYKSTIVPSRTFCVIESIMNDFIQLEPQSNLFEAETMVEGTLDGFTFDSDEEGDKLPEPHASQNDQNNEDGDQPKAKTKRKAEKPAVCSLLPF >ONIVA08G12870.4 pep chromosome:AWHD00000000:8:14125223:14130364:-1 gene:ONIVA08G12870 transcript:ONIVA08G12870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGAINVSKMLQYIKSDFFLPNELPAQQQGDAVSSLVYEANDRIRDPVYGCVGPGPSPSCRTRCHSCRCSSPSHRARSSAARCSTAMATRTRRMTTQRKILGYATGCHLPSPLVPRSAGPCSGFPSIVGSEGVLREGGAGMGEEEDPDWLRAFQPPTTSMVMLSSDSYDSPENSPTRTTPSGEEQKGENKANAFGKDEKPTMESNQDKPAKRSIPWNIEKRELLR >ONIVA08G12870.5 pep chromosome:AWHD00000000:8:14123126:14125220:-1 gene:ONIVA08G12870 transcript:ONIVA08G12870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKLTEHSVSQRLPLIIPDKVHRSKALIECDGDSIDLSGDVGAVGRIVISNSPNGNQDLLLDLKGTIYKSTIVPSRTFCVIESIMNDFIQLEPQSNLFEAETMVEGTLDGFTFDSDEEGDKLPEPHASQNDQNNEDGDQPKAKTKRKAEKPAVCSLLPF >ONIVA08G12860.1 pep chromosome:AWHD00000000:8:14114098:14115115:1 gene:ONIVA08G12860 transcript:ONIVA08G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSDDSPENSPTRTTPSREEQKGKTRLVQTMQGMEMLLHQIRAKKATSTRRKTPTSQEDAFGKDEKPTMESNQDKPAKHSTSKKKLVKLPSGSNATRRIQQLAH >ONIVA08G12850.1 pep chromosome:AWHD00000000:8:14100445:14116134:-1 gene:ONIVA08G12850 transcript:ONIVA08G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMGTARHGRRGEVAMAGAAAAAAEPGSTCARGERRGFEATTLAELYPVTSGHDAASSLAIDAPPVDGRWLPMLYPTSPKKKLVKLPSGSNASKVTGPKAGPDQIDDTLEHQEEGVAEEDMQDKLTEHSVSQRLPLIIPDKVHRSKALIECDGDSIDLSGDVGAVGRIVISNNPNGYQDLLLDLEGTIYKSTIVPSRTFYVIEYIMNEFIQLEPQSNLFGRDYDGIDYDEIWQAKLHKNSKSVRYLPATDDMLALDIAYTIYMKFEDLTSALRIALLLDNKSIQYMKQVYTATEDLQPKKQFSFIIARHGLAMEIDNEIAADDNDKEGLQEIVNNTKLSEGYLTLARDIEVMEPKSLEDIYKVHLIDGGGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGASGNCLFKNKEHGKASAAASLGMILLWDPDSGLAQLDKYLHSNDAHVVAGALLGIGIALAILMEYINKDDTNIRIGAILGFGIAYAGSQKEELKSYLSTVLGDSQSTLEVLIFSAIALGLSIIFALMECNEAEPAEPIIRLLPVALGLLYLGKQESVEATTEVSKTFDEKIRKYCDVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRPLERLLQYGEQNIRRAVPLALGILCISNPKSMAWPVWLMPTILPSFLRMYSIHMAIAEVPEE >ONIVA08G12850.2 pep chromosome:AWHD00000000:8:14100445:14117919:-1 gene:ONIVA08G12850 transcript:ONIVA08G12850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHASRAARPQHHTEVSAPVPAPELPRHTKPSRGGASPPPHSAGGAGVEREIRPGRPGFSFPPARSQPIVILSITSNEYPGKETTVLYFEKSTATEDRSPKKKLVKLPSGSNASKVTGPKAGPDQIDDTLEHQEEGVAEEDMQDKLTEHSVSQRLPLIIPDKVHRSKALIECDGDSIDLSGDVGAVGRIVISNNPNGYQDLLLDLEGTIYKSTIVPSRTFYVIEYIMNEFIQLEPQSNLFGRDYDGIDYDEIWQAKLHKNSKSVRYLPATDDMLALDIAYTIYMKFEDLTSALRIALLLDNKSIQYMKQVYTATEDLQPKKQFSFIIARHGLAMEIDNEIAADDNDKEGLQEIVNNTKLSEGYLTLARDIEVMEPKSLEDIYKVHLIDGGGASSSLDSARQNLAATFVNAFVSSTNNMILLVLSEERMNLKTISSVQDKLMTAPSDSSSSGASGNCLFKNKEHGKASAAASLALAILMEYINKDDTNIRIGAILGFGIAYAGSQKEELKSYLSTVLGDSQSTLEVLIFSAIALGLSIIFALMECNEAEPAEPIIRLLPVALGLLYLGKQESVEATTEVSKTFDEKIRKYCDVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRPLERLLQYGEQNIRRAVPLALGILCISNPKSMAWPVWLMPTILPSFLRMYSIHMAIAEVPEE >ONIVA08G12850.3 pep chromosome:AWHD00000000:8:14100445:14116134:-1 gene:ONIVA08G12850 transcript:ONIVA08G12850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMGTARHGRRGEVAMAGAAAAAAEPGSTCARGERRGFEATTLAELYPVTSGHDAASSLAIDAPPVDGRWLPMLYPTSPKKKLVKLPSGSNASKVTGPKAGPDQIDDTLEHQEEGVAEEDMQDKLTEHSVSQRLPLIIPDKVHRSKALIECDGDSIDLSGDVGAVGRIVISNNPNGYQDLLLDLEGTIYKSTIVPSRTFYVIEYIMNEFIQLEPQSNLFGRDYDGIDYDEIWQAKLHKNSKSVRYLPATDDMLALDIAYTIYMKFEDLTSALRIALLLDNKSIQYMKQVYTATEDLQPKKQFSFIIARHGLAMEIDNEIAADDNDKEGLQEIVNNTKLSEGYLTLARDIEVMEPKSLEDIYKVHLIDGGGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGASGNCLFKNKEHGKASAAASLALAILMEYINKDDTNIRIGAILGFGIAYAGSQKEELKSYLSTVLGDSQSTLEVLIFSAIALGLSIIFALMECNEAEPAEPIIRLLPVALGLLYLGKQESVEATTEVSKTFDEKIRKYCDVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRPLERLLQYGEQNIRRAVPLALGILCISNPKSMAWPVWLMPTILPSFLRMYSIHMAIAEVPEE >ONIVA08G12850.4 pep chromosome:AWHD00000000:8:14102071:14116134:-1 gene:ONIVA08G12850 transcript:ONIVA08G12850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMGTARHGRRGEVAMAGAAAAAAEPGSTCARGERRGFEATTLAELYPVTSGHDAASSLAIDAPPVDGRWLPMLYPTSPKKKLVKLPSGSNASKVTGPKAGPDQIDDTLEHQEEGVAEEDMQDKLTEHSVSQRLPLIIPDKVHRSKALIECDGDSIDLSGDVGAVGRIVISNNPNGYQDLLLDLEGTIYKSTIVPSRTFYVIEYIMNEFIQLEPQSNLFGRDYDGIDYDEIWQAKLHKNSKSVRYLPATDDMLALDIAYTIYMKFEDLTSALRIALLLDNKSIQYMKQVYTATEDLQPKKQFSFIIARHGLAMEIDNEIAADDNDKEGLQEIVNNTKLSEGYLTLARDIEVMEPKSLEDIYKVHLIDGGGASSSLDSARQNLAATFVNAFVSSTNNMILLVLSEERMNLKTISSVQDKLMTAPSDSSSSGASGNCLFKNKEHGKASAAASLGMILLWDPDSGLAQLDKYLHSNDAHVVAGALLGIGIALAILMEYINKDDTNIRIGAILGFGIAYAGSQKEELKSYLSTVLGDSQSTLEVLIFSAIALGLVFVGSCNEEIRLLSLSYLP >ONIVA08G12850.5 pep chromosome:AWHD00000000:8:14102071:14116134:-1 gene:ONIVA08G12850 transcript:ONIVA08G12850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMGTARHGRRGEVAMAGAAAAAAEPGSTCARGERRGFEATTLAELYPVTSGHDAASSLAIDAPPVDGRWLPMLYPTSPKKKLVKLPSGSNASKVTGPKAGPDQIDDTLEHQEEGVAEEDMQDKLTEHSVSQRLPLIIPDKVHRSKALIECDGDSIDLSGDVGAVGRIVISNNPNGYQDLLLDLEGTIYKSTIVPSRTFYVIEYIMNEFIQLEPQSNLFGRDYDGIDYDEIWQAKLHKNSKSVRYLPATDDMLALDIAYTIYMKFEDLTSALRIALLLDNKSIQYMKQVYTATEDLQPKKQFSFIIARHGLAMEIDNEIAADDNDKEGLQEIVNNTKLSEGYLTLARDIEVMEPKSLEDIYKVHLIDGGGASSSLDSARQNLAATFVNAFVSSTNNMILLVLSEERMNLKTISSVQDKLMTAPSDSSSSGASGNCLFKNKEHGKASAAASLALAILMEYINKDDTNIRIGAILGFGIAYAGSQKEELKSYLSTVLGDSQSTLEVLIFSAIALGLVFVGSCNEEIRLLSLSYLP >ONIVA08G12850.6 pep chromosome:AWHD00000000:8:14100445:14117919:-1 gene:ONIVA08G12850 transcript:ONIVA08G12850.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDHASRAARPQHHTEVSAPVPAPELPRHTKALIECDGDSIDLSGDVGAVGRIVISNNPNGYQDLLLDLEGTIYKSTIVPSRTFYVAKLHKNSKSVRYLPATDDMLALDIAYTIYMKFEDLTSALRIALLLDNKVYTATEDLQPKKQFSFIIARHGLAMEIDNEIAADDNDKEGLQEIVNNTKLSEGYLTLARDIEVMEPKSLEDIYKVHLIDGGGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGASGNCLFKNKEHGKASAAASLALAILMEYINKDDTNIRIGAILGFGIAYAGSQKEELKSYLSTVLGDSQSTLEVLIFSAIALGLSIIFALMECNEAEPAEPIIRLLPVALGLLYLGKQESVEATTEVSKTFDEKIRKYCDVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRPLERLLQYGEQNIRRAVPLALGILCISNPKSMAWPVWLMPTILPSFLRMYSIHMAIAEVPEE >ONIVA08G12850.7 pep chromosome:AWHD00000000:8:14100445:14102071:-1 gene:ONIVA08G12850 transcript:ONIVA08G12850.7 gene_biotype:protein_coding transcript_biotype:protein_coding MECNEAEPAEPIIRLLPVALGLLYLGKQESVEATTEVSKTFDEKIRKYCDVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRPLERLLQYGEQNIRRAVPLALGILCISNPKSMAWPVWLMPTILPSFLRMYSIHMAIAEVPEE >ONIVA08G12850.8 pep chromosome:AWHD00000000:8:14116384:14117919:-1 gene:ONIVA08G12850 transcript:ONIVA08G12850.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDHASRAARPQHHTEVSAPVPAPELPRHTKPSRGGASPPPHSAGGAGVEREIRPGRPGFSFPPARSQPIVILSITSNEYPGKETTVLYFEKSTATEDRVLFGIDFCIKKSNSPEYFTQAEFSS >ONIVA08G12840.1 pep chromosome:AWHD00000000:8:14098996:14099294:-1 gene:ONIVA08G12840 transcript:ONIVA08G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAAHNASAAGTTEARAHLSSFPCEALSAKAYASSNPSASAAANAAADLSTENNKGKY >ONIVA08G12830.1 pep chromosome:AWHD00000000:8:14089781:14091575:-1 gene:ONIVA08G12830 transcript:ONIVA08G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQLRLTSKQVSSAMDSNGGGSAPTSSKRPGPFVDITNVINAKLINKRAAVIQCEINVPKDHENCQQINKNSTSKIQRASTIGFGIILILAFFS >ONIVA08G12830.2 pep chromosome:AWHD00000000:8:14089781:14091575:-1 gene:ONIVA08G12830 transcript:ONIVA08G12830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQQWWRFSTNIVQKTRSLFHPSSHGPFVDITNVINAKLINKRAAVIQCEINVPKDHENCQQINKNSTSKIQRASTIGFGIILILAFFS >ONIVA08G12830.3 pep chromosome:AWHD00000000:8:14089781:14090114:-1 gene:ONIVA08G12830 transcript:ONIVA08G12830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGGGSAPTSSKRPGPFVDITNVINAKLINKRAAVIQCEINVPKDHENCQQINKNSTSKIQRASTIGFGIILILAFFS >ONIVA08G12820.1 pep chromosome:AWHD00000000:8:14083793:14091477:1 gene:ONIVA08G12820 transcript:ONIVA08G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKTSPPPKKSPSSSFAAPNTPVDRRSLPPQSTFRLPPHIVSPTCTQQISTQNPISPPPTAMSRRTKRLVAPPPRTIASSSGPPGVPLPSAAPYSYGGPWFPTPPPGWFAPPSQAMPSSSACPLSAAGKTNINVQLDLEEWILLCWPLHSTELLTGTPVRVVAAEHYGS >ONIVA08G12800.1 pep chromosome:AWHD00000000:8:14074390:14074815:1 gene:ONIVA08G12800 transcript:ONIVA08G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVFALFLGTTTILVVSTAPHAHSYPAAAALHHQCIGAPAIGGENPLEYKEYIVLLRPWPDAATAGMDDDDGARRSWYLSFLPGNITAGGKPRLVCSYKHVVNGFAALLTEAEMDAVSKKPGFWRCFQNGIARLCLQAP >ONIVA08G12790.1 pep chromosome:AWHD00000000:8:14072604:14072876:1 gene:ONIVA08G12790 transcript:ONIVA08G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAWKRDRRAILAVELLLPPDGDDINCGLVEPKPRVVALSGRPVGTACNGSGGDDDSSGRRAARAGVDDEVEYNVCEGDGAGAGRVLTR >ONIVA08G12770.1 pep chromosome:AWHD00000000:8:14055002:14055229:1 gene:ONIVA08G12770 transcript:ONIVA08G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATTTTTICGCPATSIEVEATTVVFTALGDVGQRVHHLLSQLHSSGSRTSGAARKPPRQEQDALSRHFCNSS >ONIVA08G12760.1 pep chromosome:AWHD00000000:8:14053610:14053927:1 gene:ONIVA08G12760 transcript:ONIVA08G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPWFRVQKEYKILKKEGRYNVRAVVEVALTGEVYRIIDGASHKLEYRIISAGGEVLVEIRRKQTDIGVVLGDDVLSLTVGPIVDRLLVVGLVVVCGLLDHCI >ONIVA08G12750.1 pep chromosome:AWHD00000000:8:14052057:14053013:1 gene:ONIVA08G12750 transcript:ONIVA08G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPPRIQPVSAHLHSSASPKDSDHQEKKQVCYTVWMKSLVFNGRGCTVYGQDGRAAYRVDNYACRRSREVFVMDSGGKTLLKLLKKNFGVFKTWQGYSCCNNNNGDAAATIEDQPWFRVQKEYKILKKEGRYNVRAVVEVALSGEVYRIIDSASHKSEYRIVGAGGEVLAEIRRKQTDAGVVLGDDVLTLTVGPTTDRLLVVGLVVVCGLLNRCI >ONIVA08G12740.1 pep chromosome:AWHD00000000:8:14034886:14035923:-1 gene:ONIVA08G12740 transcript:ONIVA08G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRMLRAKQRFFEQNGGLLLQQQLGSLAASGVAFKIFSEEEVSKATDGFAEARVLGRGGHGVVYRGSLADGSTVTVKRSRVVEEKQLREFSREMLILSQINHRNVVKLLGCCLEVQVPMLVYEYVPNGSLHRYIHGGGAGAGEGLSPADRLRVAAESADALAYMHSSASPPILHGDVKSANILLDAGLTAKVSDFGASRLAPAADEAEVATLVQGTCGYLDPEYLLTCQLTSKSDVYSFAVVLLELLTGRKAFCPPPDSAAGSQDDDDDDDDRSLAFFFLTAAHKGRHREIMDGWVREEVGGEVLDNAAELVMQCLSMAGEERPTMKEVADRLAGMRSRASDS >ONIVA08G12730.1 pep chromosome:AWHD00000000:8:14027501:14033148:-1 gene:ONIVA08G12730 transcript:ONIVA08G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGTEEIKLDADVKKKSTVQEIRKDADVEEFKQADHMLLILREMMVMMKLMLVGCVCSVGLIAKPYCVGLQCAMRCETFEMVMSAQSFYLFLVAFFAGATTAAFLLVALAFPAFVAVMNPEICEFRFRGKVIVFDKLLVQKITGLNDGDLPVKLSGANSEVVKEIRTLYHPYFVSNRLGTGMCEKLLLSLHDEEKFLRTFILYLLATILCPATGNYVNLDYLHSLVDVKMCSQYDWCTHVASCLMREIRKYQGFSTEQRDSIFQIGECLPLLVIAYMDHLQMPTTGLHLRIIDYNTPRFCHVTDEDFEYVAVVDRCRMNLGYVTYGSRPFHPRNEIPYLAQVHAVVGGSEAENAGVARAEDVPIGAVQDGVGIGATVAQDSVAQDSASLNEWIRLSASSSQGTTVLADSNTVVGISEAVSNPPSTGLAAEVVSNTPSTEGAAEAVSKPSSIEGAAEATDFDGPSKEASGGSVPSSPAIDDYIFASHSDISNLDDACDAPSFRLFNEFDPDFISTQDLAVEMLSLDVSNVPQSAMDGRIPSPSPQSPVSARTSSATPSPTARTPSPALPSPIATKTSTSVVLSLAAVRATSPATSSPPRATSSLPLVGAGSSGPSTHEKKNRKKRARKGDSDVEAKKLKTTSEIDDVYRRSVVDSLPNRSRKADVKELTTPFLRIGEFHVSLEYFREAMKPRGELNNEVMSCWIEMFNANCREDSKMKSSIKKFVFPPGLTDKLICNPEKFVSESCVKWLKSINKEHKLPKLDLLFLVPVII >ONIVA08G12720.1 pep chromosome:AWHD00000000:8:14023047:14023568:1 gene:ONIVA08G12720 transcript:ONIVA08G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLIHQVERDFSPSSSSANRGTTPMHNATNLIDVQAPSSSGGLKLTWLWMWKVLTTQMWLL >ONIVA08G12710.1 pep chromosome:AWHD00000000:8:14021519:14021719:-1 gene:ONIVA08G12710 transcript:ONIVA08G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFSYPGLLTALQYLTSVAGVWTLAKLGLLYHDPFNFQTAKKFAPAALVFYLAIFTNTYTSPEAC >ONIVA08G12700.1 pep chromosome:AWHD00000000:8:13999655:14003958:1 gene:ONIVA08G12700 transcript:ONIVA08G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAACISSFPPPPMAAAAAPAPETTIDVFVVANHTPVTAAADKSSCEGDVVAGGGRTVRRPRAVARTLECERRVVGEGFAVRRGIGRKELDSLDPFISLDEFEFSPPAGFHDHPHRGFENVTYMLEGGFSYHDFSGHKGTINTGDVQWMTAGRGVVHAEMPGGHGVQRGINLWINLSSKDKMVEPRYQELASHDIPAAERDGVSVKVIAGEALGARSPLQTRTPALCLDVAMRPGARLRAPVPPGWSACSYVIDGEAVFGDEAAAAGAHTCVVFGGGGDGVAARATERSAARFLLVAARPHGEAVVKDGPFVMNTREEVEQARDDYRNRRNGFEMAAGWSSDHVATAAAAH >ONIVA08G12690.1 pep chromosome:AWHD00000000:8:13980436:13985769:-1 gene:ONIVA08G12690 transcript:ONIVA08G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMREDRFNLLYGSSSYGECGKVVVNCPPEDPAPVSSSDNRRRILRALSSGSYATKIKNTRATIVAFTGATSRSCKAMSHDSSGSTKQHVESSAGKRKWQKESVEFHIYGQYGQKYSYERIVGSQCRHEKVFSHHMNSEEKQYSQHQKDLPIHNNANTGTLCLNLEYPESHPHRHFQIFSSFLLDRQRLAIQILD >ONIVA08G12680.1 pep chromosome:AWHD00000000:8:13979012:13981876:1 gene:ONIVA08G12680 transcript:ONIVA08G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGSPSPSTRAPWRGFAAGRQRLHRREARAPPLLRAPPRACPAAQLATRRRLVRLPVAASAGVGGGGGPELRTCKNCKKQYDPAANHPSACRHHTAHFGGETKRKFESVYAGGTLDTPDSGKLASI >ONIVA08G12680.2 pep chromosome:AWHD00000000:8:13979012:13981664:1 gene:ONIVA08G12680 transcript:ONIVA08G12680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGSPSPSTRAPWRGFAAGRQRLHRREARAPPLLRAPPRACPAAQLATRRRLVRLPVAASAGVGGGGGPELRTCKNCKKQYDPAANHPSACRHHTAHFGGETKRKFESVYAGGTLDTPDSGKNSVLLKFYGGCQKMTLGQDLQEDN >ONIVA08G12680.3 pep chromosome:AWHD00000000:8:13979012:13982544:1 gene:ONIVA08G12680 transcript:ONIVA08G12680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGSPSPSTRAPWRGFAAGRQRLHRREARAPPLLRAPPRACPAAQLATRRRLVRLPVAASAGVGGGGGPELRTCKNCKKQYDPAANHPSACRHHTAHFGGETKRKFESVYAGGTLDTPDSGKVFQYWHCCGSEDPFDVGCTASPHCSYDD >ONIVA08G12680.4 pep chromosome:AWHD00000000:8:13979012:13981664:1 gene:ONIVA08G12680 transcript:ONIVA08G12680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGSPSPSTRAPWRGFAAGRQRLHRREGETKRKFESVYAGGTLDTPDSGKNSVLLKFYGGCQKMTLGQDLQEDN >ONIVA08G12670.1 pep chromosome:AWHD00000000:8:13976122:13977640:1 gene:ONIVA08G12670 transcript:ONIVA08G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTGSSITAHAALVAVVAVAVLCLTGAKPSAACKLGKSNCLPPIVPRAAPPAVEKADGNGNVLNALIHAVVGAAPPPSREPCCPLIAVLADCAAVCLCLAIKASVLGDGVKLEDVAVDLPLLVNYCGRNVPEGFKCA >ONIVA08G12660.1 pep chromosome:AWHD00000000:8:13963333:13963840:1 gene:ONIVA08G12660 transcript:ONIVA08G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSPPPAKKLQVQQSSSILPQDFPKLEVLPVEKPTKLQERRSPCAVWENLYFRVRSACPHGIIDYLVRSGYTDRTG >ONIVA08G12650.1 pep chromosome:AWHD00000000:8:13954723:13956751:-1 gene:ONIVA08G12650 transcript:ONIVA08G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGCIRRLKELHAKEPPSEGKMLRLSVEAGGCSGLQYSFSLDVKKNSDDRIFEKDGVKLVVDDVSYDFVKGATVDYEEELIHSTFVVSLNLTCLILDLNTLTMETCLVKSSAGY >ONIVA08G12640.1 pep chromosome:AWHD00000000:8:13931055:13933086:1 gene:ONIVA08G12640 transcript:ONIVA08G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGRGAVEDVATTPSIRDDATTSVRADPTLPMPPVLRPRTAAADPPFARRYRCLHRRPRRPHATHAGGLLPHIRDSARRLEEARSGLPSIDGRLREARSGLPSIDTPRRRSPQPHQRRRSIAVPTSKANRFRVPRAATDPRAPQPCKPSILPANKVRVLLDPVPVQ >ONIVA08G12640.2 pep chromosome:AWHD00000000:8:13931061:13933086:1 gene:ONIVA08G12640 transcript:ONIVA08G12640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGRGAVVPLQTGPLQTGPFLALSSLGPLRGQEDVATTPSIRDDATTSVRADPTLPMPPVLRPRTAAADPPFARRYRCLHRRPRRPHATHAGGLLPHIRDSARRLEEARSGLPSIDGRLREARSGLPSIDTPRRRSPQPHQRRRSIAVPTSKANRFRVPRAATDPRAPQPCKPSILPANKVRVLLDPVPVQ >ONIVA08G12630.1 pep chromosome:AWHD00000000:8:13921024:13921378:1 gene:ONIVA08G12630 transcript:ONIVA08G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPRDSRAHPVVSSKRMVASSSFVLEVEVLQIHIGEVAPAVQEFSSKKIHKNGVLLLRHRCRIYKGRL >ONIVA08G12620.1 pep chromosome:AWHD00000000:8:13917346:13919607:1 gene:ONIVA08G12620 transcript:ONIVA08G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALVACSGKRRRRTATTHAARDSSSWASLAEDLVSLIGWRVLAGDVRDYICFRAACHHWRSCTASPCGRGVVDPRFHPRRWMMLPEGNGLYPGHGKLRGFVRFFNLSTGAFVRLKLPIVRDHRILDSVDGILLLQRNRDTAVRLLHPFTGDIVDFPPLDTLLPYTSRRSEEYLRDVAAASITSSADDQAVLLMIWLFRTVRVAFAASGDKQWRVSSWSMYQAYTPLPFQGKLYMLDQATAYGGPEVLQIDPPLQLQLEGTTELSLPPPKSIAKCPARTPDSFFLYHLVECDSDILLVTFGVSVYAQISVYRLADLISGTTVPDQGTFIVSKGEQGMADETEVGIDGVTRQASQPQLAQLNLIMVVIYNCNVITITNHT >ONIVA08G12610.1 pep chromosome:AWHD00000000:8:13914985:13916252:-1 gene:ONIVA08G12610 transcript:ONIVA08G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLNTLQVTFHFNRDFVVSGREKHYVGGSEAMSYLDQDKVSLPKIVGHLHDHYKVGEGTMLHLLFPGKDLNSELRALLDDDSMCQYMNDCIVDGGVAEVYVDAPILVDLSYEDEGSDYELEMEEDMGDESDGNGVEQVDMESDNAEMEQVGMEGDNAEVQQVGMEGDNAEAEAEQVHDLEPLATQTPDLTEEAEPMPMSQALLEVVSRVGIGRHLHLLPLAPMEHLQIVKINAKAKVATQQGGSACVNLQAIVSHSQASTSASIQIKSGKASISLSVQEPAKKGNKSQHVRPAKKAKKKVQLDHSFCSHLGNLISCDSLFVGFVMQTTTLNA >ONIVA08G12600.1 pep chromosome:AWHD00000000:8:13860779:13861609:-1 gene:ONIVA08G12600 transcript:ONIVA08G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLVRNWNKVFTWRFVGNMKERHDNASKEVNGAHERRRRRTGQMHGKAFANIFTSRTQAPHINDHGKVHDVSQAVIPRRNQTRQRIHIHTSRAGGSPPVSNPAGARLDSAQPPSSLEPSRAVQRRHTQPPPMPRPLPVSFPAAQLLPRLHMLPLTSTRRLSHGATVASQHHHGRRHQPPWSAGRLHATVTSRGRQPPPSTVAATSRRPSRSGRGGADPGDGARLGAGLERDDNDDAAEVRATASWTRRGPPPTSSRRAFLLDRAVHVHPPRAHP >ONIVA08G12590.1 pep chromosome:AWHD00000000:8:13856392:13856703:-1 gene:ONIVA08G12590 transcript:ONIVA08G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGRRGGSTSTVERWWARHRRIRHGTDTGAAAMGDGMWEAGYPAAAPCPTRGDPAAVRRIRCDGESGAEPARGGAAAPPPPTSGGWCGVAGSGTARLRARR >ONIVA08G12580.1 pep chromosome:AWHD00000000:8:13840804:13841331:-1 gene:ONIVA08G12580 transcript:ONIVA08G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAREATTAAPSSTVHTPTPANATTSTSATEGDGTHSDANRDVPTDGTANTGTAKRGEGRRSAEHDEEFVATSTTAAETLSTTLTPSPPPTRIRSPAGHRPPPPCIPPPPPPRPTRSEIFTTLQDPCSRMIRPPKLRFAIKEAQSHHFPMPPPMRTRTPVATKGGNTGQTLGH >ONIVA08G12570.1 pep chromosome:AWHD00000000:8:13839712:13841575:1 gene:ONIVA08G12570 transcript:ONIVA08G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAASAPSLLPSQIWPEGVAGGRRWPAALPSLALVRYGAGWPMAEQTAAAVVAEDLVGLGGGGGGIHGGGGRCPAGERIRVGGGDGVRVVLSVSAAVVEVATNSSSCSALRRPSPRFAVPVLAVPSVVPSPSVALVLVVALAGVGVCTVLDGAAVVASRAPVAISALAGAAPGADSSSVGGSGRLVINILLQANAFGILVTGCLICSESCGSTLQVTLFLAISALIARQKSIGSLLKAPLLMVG >ONIVA08G12560.1 pep chromosome:AWHD00000000:8:13835082:13836389:1 gene:ONIVA08G12560 transcript:ONIVA08G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRNLMQSKPICNQQRKPINLELSANEKEVAMLDFSGNIGPFVLLAEFRAKEDDEHLDDGARNRDDKVKILESHQRTNLDITQVKKLECFSNISDQKIQSAINEGRLNFATPVMSHAKDDRFGKTNRSRWPNKKSLAQTRGSSIRKQIWVPKSRGQEKGLVAGVHVSVQKASVKKKVIELWGHARVEQLENVCHVSVKQEGSSAKVVTNQNPPKSSGDNGSQSRHSHSLSNWQKKQLHKLKCRKAE >ONIVA08G12550.1 pep chromosome:AWHD00000000:8:13815242:13818909:1 gene:ONIVA08G12550 transcript:ONIVA08G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRPRVEEEVDDDDQDGSSGSAEEHQQRRHGARRLRPVLSFRSVVRRAVAAETIQQIVLNLEPVIRRVVREEIRNIFPQYGHDLPHRSLPLQIQDVGVSPPLKLVFTKQLKLPIFTNNKLVDIDNNPIEIQLVDTRTNLIVTPSNTHLGYSAIKLEVLVLDGDFRYDEDGARWTDDQFSTAIVKAREGRRPLLVGTVSVTMSNHGVAVIDDVSFTDNSSWIRSRKFRIGVRVVMLTDSCGLRIQEAVSESFTVKDHRGELYKKHFPPLLTDNVWRLRNIGKDGPIDKRLEAEGIKNVQDFLKLNTMNPNKLKSLVGMSDRQWSATLKQAKSCDMGGKCYVFKSEGCEIKFNPVGEILAVRFGGRMCSLHELLPHQMVQIKQLVSQAYQQWDQMEEVQNEMALVDKSLIPFHDEKPMISSGMPSYINQAEGLMENSGWEPSEMSQESMISSASQNAMYVDSLGTATTSTAEMVTNNISTLEPASTVPDSALYSWNSGMAADDHFSWQNNTNLVPWDHAN >ONIVA08G12550.2 pep chromosome:AWHD00000000:8:13815343:13818909:1 gene:ONIVA08G12550 transcript:ONIVA08G12550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRPRVEEEVDDDDQDGSSGSAEEHQQRRHGARRLRPVLSFRSVVRRAVAAETIQQIVLNLEPVIRRVVREEIRNIFPQYGHDLPHRSLPLQIQDVGVSPPLKLVFTKQLKLPIFTNNKLVDIDNNPIEIQLVDTRTNLIVTPSNTHLGYSAIKLEVLVLDGDFRYDEDGARWTDDQFSTAIVKAREGRRPLLVGTVSVTMSNHGVAVIDDVSFTDNSSWIRSRKFRIGVRVVMLTDSCGLRIQEAVSESFTVKDHRGELYKKHFPPLLTDNVWRLRNIGKDGPIDKRLEAEGIKNVQDFLKLNTMNPNKLKSLVGMSDRQWSATLKQAKSCDMGGKCYVFKSEGCEIKFNPVGEILAVRFGGRMCSLHELLPHQMVQIKQLVSQAYQQWDQMEEVQNEMALVDKSLIPFHDEKPMISSGMPSYINQAEGLMENSGWEPSEMSQESMISSASQNAMYVDSLGTATTSTAEMVTNNISTLEPASTVPDSALYSWNSGMAADDHFSWQNNTNLVPWDHAN >ONIVA08G12540.1 pep chromosome:AWHD00000000:8:13809456:13810095:1 gene:ONIVA08G12540 transcript:ONIVA08G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLPVPTMLLRSLFFFLLRPTSLLLLFPQQQIADRGLRASLTSTGRIRARALNYDPDIYWRDTYVVSVPFFAMLSAEMTLGWAAKGAFATSNLYSFTTGDGYERVTGVDAVEERVKEEEPNAEERDGDGRSGAPQGRATVASDAPKSARGFGRTVGKGERGGRVIKGKDMG >ONIVA08G12530.1 pep chromosome:AWHD00000000:8:13805177:13808674:-1 gene:ONIVA08G12530 transcript:ONIVA08G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ortholog of maize chloroplast splicing factor CRS1 [Source:Projected from Arabidopsis thaliana (AT5G16180) TAIR;Acc:AT5G16180] MAPPPLHLFSPSPRPPSPTPPPWLHGPHVPSTSVSPPDPATEAPPAPKQHRGPRPAPRNPGSAKPLTAGVPGGRTRRAVLGIIRKVRSLELSDPRTPSPNGGGGSSSSSTATARVPFHLPIHPPPPEREEEEEKKGIRRAVPWAAARDEETKVVLRREKKTRVPTRAETELEAGELERLRRAARGKERWARAKKAGITDEVVEEVRGQWAKGQELAGVRIVEPLRRCMDRAREILEIKTGGLVVWTRGGIHFVYRGSSYLENAKRHRDFVNYNEELSPVTSNNPTSQGKYWSKDETLTNDNDEADDKDDKPIKGTLYEREVNRLLDSLGPRFIDWWWNTPLPVDADLLPEVVPDFKTPFRQCPPGVRPTLADEELTYLRKHARPLPTHFVLGRNTKLQGLAAAILKLWEKSLIAKVAVKVGIQNSNHEQMARNLKRLTGGTVILRNKDYIIIYRGKDFLPGGVAESVIERESQVHDQQAKEEEARLKMADSLQMIVGLSSERSYVGTFREYQDFHDSHARRTTENNFRIQLEAKKHRLEKELKDQEWRLSMLTKKIERSNQVLAKLHSSWSPSKKDGDRELLTEEERRIFRKIGLKMDEHVLLGRRGVFEGVIEEIHQHWKHKEVVKVITKQNQASQITYTSMMLEVETGGTLIAIERFTTSHAIILYRGKNYRRPTKSAPSNLLTKREALQRSIEVQRRGSMKYFAQERKKSIDELKRELRNVTWEIRKLNHDTEQSWTA >ONIVA08G12520.1 pep chromosome:AWHD00000000:8:13803619:13805909:1 gene:ONIVA08G12520 transcript:ONIVA08G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAARWAAKKGKPKMAPIELPAPPEQAQSITRTIFDVVREHGPLTISDVWDHVKDVGLRGLTSKRQMKIMMRWMREKQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKRDHHRQQQQQQFRGEPRKP >ONIVA08G12520.2 pep chromosome:AWHD00000000:8:13803619:13806808:1 gene:ONIVA08G12520 transcript:ONIVA08G12520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAARWAAKKGKPKMAPIELPAPPEQAQSITRTIFDVVREHGPLTISDVWDHVKDVGLRGLTSKRQMKIMMRWMREKQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKRDHHRQQQQQQFRGEPRKP >ONIVA08G12510.1 pep chromosome:AWHD00000000:8:13797503:13798045:1 gene:ONIVA08G12510 transcript:ONIVA08G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTVPILFIFLLCFLRLLLPLFGFFFSLVLIHLLLRFAAVNMEYQITTITQSINLTPNCKFALVLLCMHHQFITNSPFLFSSPQTATRYAATGHDDLVIIIISTAAAAAKPSLLPLYCSLAKNPWPWPPESTEEVVVARSTPVGIGTGSRHEADA >ONIVA08G12500.1 pep chromosome:AWHD00000000:8:13796902:13797441:1 gene:ONIVA08G12500 transcript:ONIVA08G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQRFKLLATRCAAGAAAPSPSRSPAPSYAGASPGYRLRRRRRRRGGVDGRLRRFLSRRVGVGGCGGGGGGGGKEAAAAREQEEYRKPLVGRGGRTLRDLFVASPEAARRRGGDDDEGGGIGGFRSGHGGGGGGGGGGRRFGSGGLRSLLMRRSWRPVLVAIPEGEGRPELAVIEE >ONIVA08G12490.1 pep chromosome:AWHD00000000:8:13784248:13787979:-1 gene:ONIVA08G12490 transcript:ONIVA08G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKMYNRYVKRTSKFMAHDETEDCNIGDRVKLDPSRPLSKRKHWVVAEILRRAKVYSPSAAAAAVSAQPGATVQQAEAASKS >ONIVA08G12480.1 pep chromosome:AWHD00000000:8:13773054:13775409:-1 gene:ONIVA08G12480 transcript:ONIVA08G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSNFGGMGGMGGMGGMGDMMGGMGGMGGMGGMAEMMGGMGGMGGMGGMGGMDEFEDESDDEEEVSKPQDAEKAAEAGKSQESDAKAETS >ONIVA08G12470.1 pep chromosome:AWHD00000000:8:13770818:13771819:-1 gene:ONIVA08G12470 transcript:ONIVA08G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSHAWGNEATTAWGNARDNEAPAQHTLLDAQLGVRIKGERESVLLGLTAMVEYANHFGTEEVLLKQISSAALSVLKIMPTLKRLHETYLDFYQDHTENFQQYLYLVAPLRRNHTIAAMSVRRGAKVTTAPVPVRREGRLALAARSAATAGPIAGG >ONIVA08G12460.1 pep chromosome:AWHD00000000:8:13768676:13769185:1 gene:ONIVA08G12460 transcript:ONIVA08G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPTPDRSISGWFLAGACTNTGEIKSNQARLWKLENNGKKHHRSYMRRRPVPPERETPPGAGDGRVVELVGGADGRLRRPRGGRRGEVDRGRRVGADRAEDAAAEASGRRRGESLGGAPASAGAGAGDGLEKHRSCRFGHFLVLFEINFWVVINGALSALLSAINLA >ONIVA08G12450.1 pep chromosome:AWHD00000000:8:13763964:13769101:-1 gene:ONIVA08G12450 transcript:ONIVA08G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTATPMLLQPISGAGAGARRSSAEGLSPPPTARLRRRVLCAIRADAPPPIHLSAAAPSRPAKPPVCTADELHHAPVSGAGWRLALWRYRPPPHAPARNHPLMLLSGVGTNAIGFDLSPGASFARHMSNQGFDTWIVEVRGSGLSIRDHDNSASSPSGAFDDISNGTLALDKSSTLEVASVQSSGFSGIDYDDLGIVALDDPPLLMEMTSFFDRISKLLEEASLNKNFHEITDKISVLSEMVESSAVIGPMREESLRLLKNFQEQIDSWEHFVATQMNLNSEYNWDFDHYLEEDIPTAVEYIRQHSRVKDGKLLAIGHSMGGILLYAMLSKYGFEGVPSNLAAIVTLASSVDYTTSNSSLKMLLPLVYPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNHQISAQDMMHPELLSKLVQCLQRLSFNLQQLFGKGGYAIELKLSHTKIICDITPVLALAGDKDLICPPEAVYETVKLIPQHMVKYGVFGKPEGPHYAHYDLVGGRLVHILSLFT >ONIVA08G12440.1 pep chromosome:AWHD00000000:8:13751939:13761887:-1 gene:ONIVA08G12440 transcript:ONIVA08G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSHRCVAHLQFSSCFLFVPLRGFTPCPRHSPASASTPLPSPHSPASPAPPPRKSTRRRRRSVGLVRLWFGLGKMPDIQLGCHTIRSHGTSVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNVTTNVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKLKAFDRKGHIAKLCIVFLPLLVASLVAVSRVDDYWHHWQDFFPYPFDADAVWPHAYFQQLADTQSNGIANSYNMGPTDIEIADEGHGAISLRDTSPILDTMESEKDFSPLIFCCGMLLPRCLLSPGLLLLLSIVSIHPFAFAAARQAGECDAAGDSGAEIPDSPADHLGWGGDRNCNISRRCELEEEEPLVSRQRGSEPGIMADTQLGCHTIGSHGIKVAKFHLYDWMILIFLAVVYGLFNIIEPFHRFVGSDMLTDLRYPLKGNTVPFWAVPLIAIVLPWAIFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKNGVGRPRPDFFWRCFPDGKPNFNNVTGDVICHGERSVIKEGYKSFPSGHSSGAFAGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNENSHNMGWTETDAFHEGAGAVALRDTSLVLDSMESGSRF >ONIVA08G12440.2 pep chromosome:AWHD00000000:8:13751939:13761887:-1 gene:ONIVA08G12440 transcript:ONIVA08G12440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSHRCVAHLQFSSCFLFVPLRGFTPCPRHSPASASTPLPSPHSPASPAPPPRKSTRRRRRSVGLVGKMPDIQLGCHTIRSHGTSVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNVTTNVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKLKAFDRKGHIAKLCIVFLPLLVASLVAVSRVDDYWHHWQDFFPYPFDADAVWPHAYFQQLADTQSNGIANSYNMGPTDIEIADEGHGAISLRDTSPILDTMESEKDFSPLIFCCGMLLPRCLLSPGLLLLLSIVSIHPFAFAAARQAGECDAAGDSGAEIPDSPADHLGWGGDRNCNISRRCELEEEEPLVSRQRGSEPGIMADTQLGCHTIGSHGIKVAKFHLYDWMILIFLAVVYGLFNIIEPFHRFVGSDMLTDLRYPLKGNTVPFWAVPLIAIVLPWAIFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKNGVGRPRPDFFWRCFPDGKPNFNNVTGDVICHGERSVIKEGYKSFPSGHSSGAFAGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNENSHNMGWTETDAFHEGAGAVALRDTSLVLDSMESGSRF >ONIVA08G12440.3 pep chromosome:AWHD00000000:8:13751939:13761887:-1 gene:ONIVA08G12440 transcript:ONIVA08G12440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSHRCVAHLQFSSCFLFVPLRGFTPCPRHSPASASTPLPSPHSPASPAPPPRKSTRRRRRSVGLVRLWFGLGKMPDIQLGCHTIRSHGTSVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNVTTNVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKLKAFDRKGHIAKLCIVFLPLLVASLVAVSRVDDYWHHWQDFFPYPFDADAVWPHAYFQQLADTQSNGIANSYNMGPTDIEIADEGHGAISLRDTSPILDTMESEKRRTFLPSSSAAGCCFRVASSLLDSCCYSASSPSIHSLSRPRGKPGNATLRATPAPRSQNCNISRRCELEEEEPLVSRQRGSEPGIMADTQLGCHTIGSHGIKVAKFHLYDWMILIFLAVVYGLFNIIEPFHRFVGSDMLTDLRYPLKGNTVPFWAVPLIAIVLPWAIFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKNGVGRPRPDFFWRCFPDGKPNFNNVTGDVICHGERSVIKEGYKSFPSGHSSGAFAGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNENSHNMGWTETDAFHEGAGAVALRDTSLVLDSMESGSRF >ONIVA08G12430.1 pep chromosome:AWHD00000000:8:13750567:13750875:1 gene:ONIVA08G12430 transcript:ONIVA08G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTTFAKPAVAILSALLLLFFLLCNSSTSSPHEQPSVLRSRRLLSQCDGAGSCSTRVDELGRFEKTPKAVFESLKRVPSSKSNPSHN >ONIVA08G12420.1 pep chromosome:AWHD00000000:8:13744002:13749096:1 gene:ONIVA08G12420 transcript:ONIVA08G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCAVRPLAALPAAAGTSRSRRVGGASHVAVAAVPSSPRRPRRAASVAPVRAAESSPPAAAPAPPSSGAAAGKAVVPDEEFTLAKVSFGVIGLGVGGTLLSYGFGAYFNLLPGSEWSALMLTYGFPLTIIGMALKARTIPHYAELKPVPCTTYTDAFALREKCATPILNQVRSDVTRYRYGDEQHLDEALKRIFQYGLVTDDGKYSLVLVFEAKALEISDFEKRQAKFTSFFGPGIKAEIGKGGDDLYEVRLISETT >ONIVA08G12420.2 pep chromosome:AWHD00000000:8:13744002:13749096:1 gene:ONIVA08G12420 transcript:ONIVA08G12420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCAVRPLAALPAAAGTSRSRRVGGASHVAVAAVPSSPRRPRRAASVAPVRAAESSPPAAAPAPPSSGAAAGKAVVPDEEFTLAKVSFGVIGLGVGGTLLSYGFGAYFNLLPGSEWSALMLTYGFPLTIIGMALKARTIPHYAELKPVPCTTYTDAFALREKCATPILNQVRSDVTRYRYGDEQHLDEALKRIFQYGLVTDDGKYSLVLVFEAKALEISDFEKRQVNPLS >ONIVA08G12420.3 pep chromosome:AWHD00000000:8:13744002:13749096:1 gene:ONIVA08G12420 transcript:ONIVA08G12420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCAVRPLAALPAAAGTSRSRRVGGASHVAVAAVPSSPRRPRRAASVAPVRAAESSPPAAAPAPPSSGAAAGKAVVPDEEFTLAKVWIRGVFQPASWFGVYAELKPVPCTTYTDAFALREKCATPILNQVRSDVTRYRYGDEQHLDEALKRIFQYGLVTDDGKYSLVLVFEAKALEISDFEKRQAKFTSFFGPGIKAEIGKGGDDLYEVRLISETT >ONIVA08G12420.4 pep chromosome:AWHD00000000:8:13744002:13749096:1 gene:ONIVA08G12420 transcript:ONIVA08G12420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCAVRPLAALPAAAGTSRSRRVGGASHVAVAAVPSSPRRPRRAASVAPVRAAESSPPAAAPAPPSSGAAAGKAVVPDEEFTLAKVWIRGVFQPASWFGVYAELKPVPCTTYTDAFALREKCATPILNQVRSDVTRYRYGDEQHLDEALKRIFQYGLVTDDGKYSLVLVFEAKALEISDFEKRQVNPLS >ONIVA08G12410.1 pep chromosome:AWHD00000000:8:13734250:13734702:1 gene:ONIVA08G12410 transcript:ONIVA08G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSDDHSLPPGFGTRPWLVQGSRGDTLTFVDVSDLSLHETVVPEVRGKTCLGCMHGDWLLMLDESTADCFLLRITTNPRTKVQLPPLRQPLEFLSTCEMLESPESPNCTVVFSSSAEEEEESYLLHCHPGEEEWTKLVYSKEETGTSW >ONIVA08G12400.1 pep chromosome:AWHD00000000:8:13713981:13714691:1 gene:ONIVA08G12400 transcript:ONIVA08G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVIVDAALGGGGEANSTSEGDLGRWRRGQLLQEFEASQQWWLGEAHKASLEECATNGEYEMTYFIDRD >ONIVA08G12390.1 pep chromosome:AWHD00000000:8:13705729:13706347:-1 gene:ONIVA08G12390 transcript:ONIVA08G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLARHSLRALLNLFLLLLLTVVVLAASMYIPLQLPWQLIDLNEQDVYLYIPDRNGACVVAWQQPPLQTLFGSP >ONIVA08G12380.1 pep chromosome:AWHD00000000:8:13664833:13666983:1 gene:ONIVA08G12380 transcript:ONIVA08G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIIDLSQYIGPELDKKKAKLEKAKSKLLELEKKADIEENTRVTAVESVMQALVFLDSEHDVNMIVSDYCMPEMTGYDLLMEVKKSPRLVHLPVIIASSDNIPERIRKCFDGGAKDYILKPVKIADVPRILNYI >ONIVA08G12370.1 pep chromosome:AWHD00000000:8:13639358:13639558:1 gene:ONIVA08G12370 transcript:ONIVA08G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTSPAARNPAPAGYLVHAWHRFCLNAWLRRVGDGATRLVGWRGEVAEIAVRTNTPAKRPMRKTS >ONIVA08G12360.1 pep chromosome:AWHD00000000:8:13635986:13639068:1 gene:ONIVA08G12360 transcript:ONIVA08G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGGTLSSGITSGSSHRTRSSGSERDIQLQVQMDLKRKRRKESNRESAKRSRLRKQQHLDDLTSQVNQLKMENQQLTTTLNMVTQSCAFAESQNSVLRTQMMELDSRLSALREIIFYMNNGNQSPSNPTIAATTCPSTTTMTAATTGHYDYYDAFTANAWGSGMQMIQQPIDQFLYQC >ONIVA08G12340.1 pep chromosome:AWHD00000000:8:13596037:13601269:-1 gene:ONIVA08G12340 transcript:ONIVA08G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKCTKGQKRKVGHLLPTASPSLSGGSSPDKAKAFSSAPTDPHSRSPGEVEKIIHPHLLVPRAPPSTAASSSSSSPVPAFALPPRSRRAHSRRASWFGEGARRPDSALDWNRIQVMQAKLPVTMISNSLTKSGQLGTAFLGSVCKYRNITRLISPIYQPAQKNFAPVCGSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELFLTKVGDHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPARMAIAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAVEERYKDAATWRDKLILLRDTQL >ONIVA08G12340.2 pep chromosome:AWHD00000000:8:13596677:13601269:-1 gene:ONIVA08G12340 transcript:ONIVA08G12340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKCTKGQKRKVGHLLPTASPSLSGGSSPDKAKAFSSAPTDPHSRSPGEVEKIIHPHLLVPRAPPSTAASSSSSSPVPAFALPPRSRRAHSRRASWFGEGARRPDSALDWNRIQDSVTSTVWKKTRLMAMEGPILCRAVMQAKLPVTMISNSLTKSGQLGTAFLGSVCKYRNITRLISPIYQPAQKNFAPVCGSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELFLTKVGDHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPARMAIAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAVEERYKDAAWLVSMV >ONIVA08G12340.3 pep chromosome:AWHD00000000:8:13596677:13601269:-1 gene:ONIVA08G12340 transcript:ONIVA08G12340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKCTKGQKRKVGHLLPTASPSLSGGSSPDKAKAFSSAPTDPHSRSPGEVEKIIHPHLLVPRAPPSTAASSSSSSPVPAFALPPRSRRAHSRRASWFGEGARRPDSALDWNRIQVMQAKLPVTMISNSLTKSGQLGTAFLGSVCKYRNITRLISPIYQPAQKNFAPVCGSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELFLTKVGDHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPARMAIAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAVEERYKDAAWLVSMV >ONIVA08G12340.4 pep chromosome:AWHD00000000:8:13596677:13600617:-1 gene:ONIVA08G12340 transcript:ONIVA08G12340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPILCRAVMQAKLPVTMISNSLTKSGQLGTAFLGSVCKYRNITRLISPIYQPAQKNFAPVCGSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELFLTKVGDHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPARMAIAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAVEERYKDAAWLVSMV >ONIVA08G12340.5 pep chromosome:AWHD00000000:8:13596677:13601269:-1 gene:ONIVA08G12340 transcript:ONIVA08G12340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKCTKGQKRKVGHLLPTASPSLSGGSSPDKAKAFSSAPTDPHSRSPGEVEKIIHPHLLVPRAPPSTAASSSSSSPVPAFALPPRSRRAHSRRASWFGEGARRPDSALDWNRIQVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELFLTKVGDHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPARMAIAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAVEERYKDAAWLVSMV >ONIVA08G12330.1 pep chromosome:AWHD00000000:8:13593632:13595139:1 gene:ONIVA08G12330 transcript:ONIVA08G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAPPSGNEEAHQATTISPTSTDLESLWSQIPDPSLQPQSLEHTAEVHILDAGRSDRRSTVLNGTTMASTQGGHALEPHPKLHGCTTITRSSRGQMSNSRLSHEGSGTLRED >ONIVA08G12320.1 pep chromosome:AWHD00000000:8:13572475:13588216:-1 gene:ONIVA08G12320 transcript:ONIVA08G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAGLATDDDDKLRTLQEVLAAAAAYSTAPPLQLKDFVAELELLEARARSCYGHPFGVQSKEFLRWLLLDACYILVRFGDVDDVVGRRPRPAAPAAVASANGVVQGGSRVVPSVERRRASAADRQYILDVVRDVFYLAENQVPFFVVERIRQMTFLDHDTPALDAIARFAGKLLEQKQYSVATPTMVGPPERRPEPANLLHLLHMHFTPTVLASAAAIGGGGAPVGRWRTAMEYYFVGVKFKRRPLDRRSKGGALSIVDVKVSGGGGGTLEVPQLNIDGETWRLLRNLMAMEQSNPSGAGSHVTAYCVFMSQLASTPMDVELLSRRGVIVHGLGNNGEVAKRFADLCKGTVFDVDDADQNYLRPVCQVLDRRFQSRPRRWMAWLKKKYFANPWLAAGLAAAAVIFVCTVIQAMALLFAQELKDELASLNVRGGPTTNQHVIEMASLLAQELKHELASLNSPTTGRAGGESSPPSIMIDKVAELTRNVDKQEYEPHFVSIGPYNRSCDCKSKLARDSDKVGRLQEVLSAAAAHTTAPLQLEDFITELARMEARARKCYKLSFDHVQSKDFLRWLLLDGCYILVRFGDVVMRRRPEDEEVEEEEETTADGIVLRRVRRWFHVPSCKGFLRRRLLDACYVLVRLRDVVVGRRSKAPVAAEANRVVPSVEEREEESAVDQQEAVAVVRDVFYLAENQIPFFVVDKIHQLTFLDGQTPAVHAIARYAHELLRVNGYSVATPTKVEEPERPPEPANLLHLLHMHFTPTVLTSAATTGSRRRGGGRPVGRWRTAMEYYFAGVTFKKRPLDRRGGARCVLDVKVSGCGGGTLEMPQLTVDAETWPLLRNLMALEQSNPAAAGSHVTAYCVFMSQLACTAADVELLSRRGVIVHGLGNAGLVAATVGLVCTVIQAVYSVLGYTKPGS >ONIVA08G12310.1 pep chromosome:AWHD00000000:8:13566549:13566953:1 gene:ONIVA08G12310 transcript:ONIVA08G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTHRGKAAGISAASVETTTNFERIRRSQPRERPSIIDPTVAAAEFDGDSREQIHYCQPWEGLSVRDPAAAARGGTDQPLLTSRASLPRRFSDSDRVRCGSTIAGLKNATPLQIRG >ONIVA08G12300.1 pep chromosome:AWHD00000000:8:13561253:13563245:-1 gene:ONIVA08G12300 transcript:ONIVA08G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPTRCVAELEMKTDSCVIDIPSLAKELRVELSSFKSSTARVVGGAAPPPCIIVDKVGESTRIHDPHEYVPQYVSIGPYHRAADLAGEAIKVKYLGDVLSAAAASSTAPMTLEDYLSELAHLEHSVRRCYVHSFDIPSREFVRMLLLDACYILVRFGDVLTPRTAAPPQPAAAAAEEVAVANGVVHAGHRVVPTEERRAAADDQQMAVAVVRDVLYLAENQIPFFVVDKVHQLTFLDGETPVLDAIARYAHDLLSWTEYSVATPTIVAPPALRPEPANLLHLLHMHFTPTVLASGKVSRGGRSVGRWRTATEYHYAGVTFKRRPLSGDGGARSILDVKVSRRGGALQVPRLSIDGETWRLLRNLMALEQSNPSAAGSHVTAYCVFMSQLACTARDVELLSRRGVIVHGLGNDGEVAGLFANLCKGAVFDFDEADQNYLRPVCQVLDRRFRSRPRRWMASLRQKYFLNPWLTAGLVAATIGLVCTGSAKVPRSTSVIGNVGRMSEGAPVQHIEKWIWRHLMGEIDSEDLLWWIILEESSGHWSAIKIELSWVQPPR >ONIVA08G12290.1 pep chromosome:AWHD00000000:8:13547875:13549121:-1 gene:ONIVA08G12290 transcript:ONIVA08G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSSVPPQGGSGTSKRHADIHHEVTNNFPGSKGFTPSRNNNQQPYNGQGAHSLTYGFKTFATKARACPNWSYKP >ONIVA08G12280.1 pep chromosome:AWHD00000000:8:13540888:13546784:-1 gene:ONIVA08G12280 transcript:ONIVA08G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHPSPPIAPISSCGAPPPIVPTLPVRPSANRRLNPCPRHRRPTPLPTSSAIRPSPPARRRSLAAGSPNRRNRPHTSAAAHPGHRPSPVVRSPFIPQPDPVATPSRI >ONIVA08G12270.1 pep chromosome:AWHD00000000:8:13532801:13533775:1 gene:ONIVA08G12270 transcript:ONIVA08G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IAL4] MATPSHSLIFVFLCLPAVLVKANILGDIYPCPCKCSQENETSLHMYLHQFPALPGVPNRNEYGMINSTEPIGFGQMYVHDWFLTIGTSANENVVGRLQGFHLQAGQTTTSWYMAHTMVFSDGSFAGSTIEVSGLLGVKPNGQWSITGGTGTFASAHGTIKFTNSQSSTATDDIRELDIHVFHTPEAVV >ONIVA08G12260.1 pep chromosome:AWHD00000000:8:13530359:13530619:1 gene:ONIVA08G12260 transcript:ONIVA08G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHPQQNHGRRGTGPTRVNQCGKGFREIQPSAAAATCPASNFDALDVVPINHGVQYIGNSSIGDNQMQMTPVTDGINCE >ONIVA08G12250.1 pep chromosome:AWHD00000000:8:13501462:13502264:1 gene:ONIVA08G12250 transcript:ONIVA08G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IAL2] MATPSHCLIFLFLCLPAVLVKANIFGDIYPCPCKCSQENETSLHMYLHQFPALPGVPNRNEYGVINSTEPIGFGQMYVHDWLLTTGPSANENIVGLLYFAGSTIEVSGLLGVKPNGQWSITGGTGTFASAHGTIKFTNSQSSTATDDIRELDIHVFHTPEAVV >ONIVA08G12240.1 pep chromosome:AWHD00000000:8:13471838:13473274:1 gene:ONIVA08G12240 transcript:ONIVA08G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHVLEHHLCPQVLGGSNLEKFLLYKSMHRVLFHNLDKSMDEVGPSLNGIYQSLERNFSAGGNRKGKRSRVDKEDEEIEFEESEDGEEEVEFDDASSGAEDDNGHDVSIDGLNANNDDNGDELMGTSTRVEEDIEASVFLTFEH >ONIVA08G12230.1 pep chromosome:AWHD00000000:8:13450020:13450819:-1 gene:ONIVA08G12230 transcript:ONIVA08G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGSGFHNTVPPQQGTTFYGSSYGGNNSQSTASSYHNGSSKSTTGRVPAQQYSDYKSKTADQYSYYYNSISSSQGQQLGGAGYYDKQPSKGTTKRYPSLKG >ONIVA08G12220.1 pep chromosome:AWHD00000000:8:13446979:13447559:1 gene:ONIVA08G12220 transcript:ONIVA08G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRVDACLKFSIPRCVDRISIADSSCTNASSRAFADVFPPFSNRTDDVLGYCS >ONIVA08G12210.1 pep chromosome:AWHD00000000:8:13434651:13435534:-1 gene:ONIVA08G12210 transcript:ONIVA08G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGTRKPVPTQGGGSSSGASTGTNQGGDDPIGTSHPVPAASFTGGLAQSMSHYIYTTSIGQADKGKVKGERE >ONIVA08G12200.1 pep chromosome:AWHD00000000:8:13432669:13432863:1 gene:ONIVA08G12200 transcript:ONIVA08G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSDNDDYRATTTTTAGHVASPLRDPSSPRSGGASAGRAGGGSGSAGRSGGSLARLGDEGQR >ONIVA08G12190.1 pep chromosome:AWHD00000000:8:13421916:13430206:-1 gene:ONIVA08G12190 transcript:ONIVA08G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPPEVAATLHPRPPSDSGGTRSAATGSGRDENRRRRIWPVASSSSSAAAVRRRWRWRHRPRSPLLFTQGRRRIRAGRGKSIRMKKFPSLNG >ONIVA08G12180.1 pep chromosome:AWHD00000000:8:13404553:13404908:-1 gene:ONIVA08G12180 transcript:ONIVA08G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVTANADEGGSGGGHGRGRQLLRAAAVVDGVVDADEGGGDDDGGGRGHRRRQQRRSDVRMSTLRLSVAYRECLLPVMPSMFRF >ONIVA08G12170.1 pep chromosome:AWHD00000000:8:13390163:13391206:1 gene:ONIVA08G12170 transcript:ONIVA08G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSHRPVLPCLAVQCSCCKPNTTFISAAEKKPVAHGLPELLNVKATVCPTPLGWILVRESASVEPQDTVYHHIGAGAGGEWTRHEYDIGIQGDKYGFTEKIHIVPIAACRGKFYFNSFFTEISVLEFCGPAGPPRFSSIRLDGGAPGDWGRGAFHVFLLESDGELYMVRLKTTLGSQSSPASSSSSSSPSPLQVGVYKMDFSERRWCRAVDLGDRAFFVAPFYFGASCVAGGKYGIQKNCVYSVRYLGDKSFTVSNVEDGTSHVHSIHGAEASPDSILRTLWMLPTDQKP >ONIVA08G12160.1 pep chromosome:AWHD00000000:8:13365467:13366831:1 gene:ONIVA08G12160 transcript:ONIVA08G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQENVEITCFIKNVDNRFRLAPPKADLFTPLYVSIGPYHMNSDLPTGKEEKERNLDKILQDQVTRGGAASNTWAVKNGWLTLLNNHMSDALRYYGWNPDLLTPTRKDEFLNMLLEDGCYILSKFVVPTISIARGSWQRQHVPPQHLEHDIIYLVENQIPFFILEKINEITGLIPTAGGSQLVRHFCSYIAEYVLKLRGYAVGDRYVEMQIPTPSHLLHLLHILLNGPQAVEQTLATDGQDSTAIQITRFLRWRPAKQYDMVCVNLTGVDLISIEKGCHDGKCKARSILDVEPRRCGIGLEFPSLYLDSETFCMLRNLIVLEQQNANTLQQYRVTAYCTLMSQLASTAEDVQLLSANRVADHLMVHADCAKQLTDLCKGIIFDIDNPTLNYLRDECVMLERRCRSRPFKWMAWMRRKYFRNPCIAVGSVIAIIITAFAVLQAVYTVLKLKGKVK >ONIVA08G12150.1 pep chromosome:AWHD00000000:8:13340575:13341888:1 gene:ONIVA08G12150 transcript:ONIVA08G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGVSVPRFSRWSSASAWWWSQWRQMWWLACGVQAGGARRWRPACSVPDDGGEGVPTAGGGAPPTSRALTHIKENHKFQLNGRKGHREENPANGLALLHILYVYVWHAITRYWGGYPVSSPGVQKNEPCDALNSITTNGLGLVNPDRVFSFYDELHAYLASTGIDGVKSIHPMAEYHAAARAVGGCAIYVSDKPGNHDFNLLKKLVLPDGSILRTKLPEMPCHDDPV >ONIVA08G12140.1 pep chromosome:AWHD00000000:8:13236140:13242233:-1 gene:ONIVA08G12140 transcript:ONIVA08G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IAK1] MAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVAAVSAALHVRFREALSAGRLSKVEVIHNCSILAAVGLKMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGRTLLNQLKDQAAVLKENMNIDLRVMGITGSRTMVLSDTGIDLAHWKEQLQTEAEPANLDKFVDHLSENQLFPNRVLVDCTADTSVASHYYDWLKKGIHVITPNKKANSGPLDKYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSDVVSEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVRSLVPEALRSCSTADEYMQKLPSFDQDWARESKDAEAAGEVLRYVGVVDLVNKEGQVELRRYKKDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >ONIVA08G12130.1 pep chromosome:AWHD00000000:8:13228135:13231782:-1 gene:ONIVA08G12130 transcript:ONIVA08G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRVVAPAPAVLLLVAAAVVLLHLARAIAGAADEAAALLAFKDASVAADPGGALAGWANSTTPGSPCAWAGVSCAAGRVRALDLSGMSLSGRLRLDALLALSALRRLDLRGNAFHGDLSRHGSPRRAAPCALVEVDISSNTFNGTLPRAFLASCGGLQTLNLSRNSLTGGGYPFPPSLRRLDMSWNQLSDAGLLNYSLTGCHGIQYLNLSANQFTGSLPGLAPCTEVSVLDLSWNLMSGVLPPRFVAMAPANLTYLSIAGNNFSMDISDYEFGGCANLTLLDWSYNRLRSTGLPWSLVDCRRLEALDMSGNKLLSGPIPTFLVELQALRRLSLAGNRFTGEISDKLSILCKTLVELDLSSNKLIGSLPASFGQCRFLQVLDLGNNQLSGDFVETVITNISSLRVLRLPFNNITGANPLPALASRCPLLEVIDLGSNEFDGEIMPDLCSSLPSLRKLLLPNNYINGTVPSSLSNCVNLESIDLSFNLLVGQIPPEILFLPKLVDLVLWANNLSGEIPDKFCFNSTALETLVISYNSFTGNIPESITRCVNLIWLSLAGNNLTGSIPSGFGNLQNLAILQLNKNSLSGKVPAELGSCSNLIWLDLNSNELTGTIPPQLAAQAGLITGAIVSGKQFAFLRNEAGNICPGAGVLFEFLDIRPDRLANFPAVHLCSSTRIYTGTTVYTFRNNGSMIFLDLSYNSLTGTIPASFGNMTYLEVLNLGHNELTGAIPDAFTGLKGIGALDLSHNHLTGVIPPGFGCLHFLADFDVSNNNLTGEIPTSGQLITFPASRYENNSGLCGIPLNPCVHNSGAGGLPQTSYGHRNFARQSVFLAVTLSVLILFSLLIIHYKLWKFHKNKTKEIQAGCSESLPGSSKSSWKLSGIGEPLSINMAIFENPLRKLTFSDLHQATNGFCAETLIGSGGFGEVYKAKLKDGNIVAVKKLMHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKNGSLDFVLHDKGEANMDLNWATRKKIAIGSARGLAFLHHSCVPHIIHRDMKSSNVLLDGNFDAYVSDFGMARLMNALDSHLTVSMLSGTPGYVPPEYCQDFRCTTKGDVYSYGVVLLELLTGKKPIDPTEFGDSNLVGWVKQMVEEDRCSEIYDPTLMATTSSELELYQYLKIACRCLDDQPNRRPTMIQVMTMFKEFQVDSGSNFLDDFSLNSTNMEESSEKSV >ONIVA08G12120.1 pep chromosome:AWHD00000000:8:13200873:13207321:1 gene:ONIVA08G12120 transcript:ONIVA08G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G24840) TAIR;Acc:AT3G24840] MSVRRRSESMEGLFSFDERKDRRSDVENSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVENRPSFTIEDVRDEEEERAVFSFQQELFSRNLLPDKHNDYHMLLRFLKARKFDTEKAIQMWAEMLQWRKEFGADTILEDFNFEELDEVLVYYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFERAFHEKFPACSIAAKRHIDSTTTILDVDGVGLKNFSKTARDMLGRMQKIDSDYYPEMFVVNAGNGFKLLWNTVKGFLDPKTASKIHVLGTKFHGKLLEVIDASQLPEFLGGACTCAAEGGCLKSNKGPWNDPNIMKLAHNKEAKFTRHTRRLSEIEQRRGSFARLHLLKGRSSDTSTVESGSDVDDLSSPMMRRPVECSRLAPVREEMQIRARDSAAYYSCDDHFVVVDKTVDYGRGGAMPDKTSAPEVRAQARPFGGSTTSYATGSSSNRGGISSSNRSRTVVPKENMDEGFFRRFFRLLLALIIKVFAFFHIAYGQQEMRVDNPLPPAEPEPTSDDHPAVETFSVDRISPVIERLQRLEGKVDELGNKPPEIPLEKERSLLESWDRIKCIESDLERTKKVLQATVMKQLEIAESIEEVIRRQLRVKLVAPSVSSSNMDWRIICIFHLTVFLH >ONIVA08G12120.2 pep chromosome:AWHD00000000:8:13200873:13207321:1 gene:ONIVA08G12120 transcript:ONIVA08G12120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G24840) TAIR;Acc:AT3G24840] MSVRRRSESMEGLFSFDERKDRRSDVENSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVENRPSFTIEDVRDEEEERAVFSFQQELFSRNLLPDKHNDYHMLLRFLKARKFDTEKAIQMWAEMLQWRKEFGADTILEDFNFEELDEVLVYYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFERAFHEKFPACSIAAKRHIDSTTTILDVDGVGLKNFSKTARDMLGRMQKIDSDYYPEMFVVNAGNGFKLLWNTVKGFLDPKTASKIHVLGTKFHGKLLEVIDASQLPEFLGGACTCAAEGGCLKSNKGPWNDPNIMKLAHNKEAKFTRHTRRLSEIEQRRGSFARLHLLKGRSSDTSTVESGSDVDDLSSPMMRRPVECSRLAPVREEMQIRARDSAAYYSCDDHFVVVDKTVDYGRGGAMPDKTSAPEVRAQARPFGGSTTSYATGSSSNRGGISSSNRSRTVVPKENMDEGFFRRFFRLLLALIIKVFAFFHIAYGQQEMRVDNPLPPAEPEPTSDDHPAVETFSVDRISPVIERLQRLEGKVDELGNKPPEIPLEKERSLLESWDRIKCIESDLERTKKVLQATVMKQLEIAESIEEVIRRQLRVKLVAPSVSSSNMDWRIICIFHLTVFLH >ONIVA08G12110.1 pep chromosome:AWHD00000000:8:13200130:13200327:1 gene:ONIVA08G12110 transcript:ONIVA08G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSYPTRILVDSSEQKCDASEKGAWTSSSIRAPLQGCGSFRGDANIRFQRCETTLQGGALGMP >ONIVA08G12090.1 pep chromosome:AWHD00000000:8:13182759:13183407:1 gene:ONIVA08G12090 transcript:ONIVA08G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEDLDVPRLCPLDLVTARRGGVRALWWQSSSEGKSRHHDGNGVMATERAQQGWGRSQQRMKSGQWRPRRVVRPTIDMAKWLSKFGQCVVRFGQVGKAVGDIFSPKLLNFGLESH >ONIVA08G12080.1 pep chromosome:AWHD00000000:8:13154230:13161752:1 gene:ONIVA08G12080 transcript:ONIVA08G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39530) TAIR;Acc:AT4G39530] MPLLNPLSRAPSTHIRSLARVLLSCLPTGGDRLRRLNPAIHARATVAGGLDDVFLTNLLLRGYSNLGRLRDARHLFDRMPHRNLVSWGSVISMYTQHGRDDCAISLFAAFQKASCEVPNEFLLASVLRACTQSKAVSLGEQVHGIAVKLDLDANVYVGTALINLYAKLGCMDEAMLVFHALPVRTPVTWNTVITEYAQIGCGGVALELFDRMGIEGVRPDRFVLASAVSACSALGFLEGGRQIHGYAYRSATETDTSVINVLIDLYCKCSRLSAARKLFDCMEYRNLVSWTTMISGYMQNSFNAEAITMFWNMTQAGWQPDGFACTSILNSCGSLAAIWQGRQIHAHVIKADLEADEYVKNALIDMYAKCEHLTEARAVFDALAEDDAISYNAMIEGYSKNRDLAEAVNIFHRMRFFSLRPSLLTFVSLLGVSSSQLAIELSKQIHGLIIKSGTSLDLYAASALIDVYSKCSLVNDAKTVFNMLHYKDMVIWNSMIFGHAQNEQGEEAIKLFNQLLLSGMAPNEFTFVALVTVASTLASMFHGQQFHAWIIKAGVDNDPHVSNALIDMYAKCGFIKEGRMLFESTCGEDVICWNSMITTYAQHGHAEEALQVFRLMGEAEVEPNYVTFVGVLSACAHAGFVGEGLNHFNSMKSNYDIEPGIEHYASVVNLFGRSGKLHAAKEFIERMPIKPAAAVWRSLLSACHLFGNAEIGRYAAEMALLADPTDSGPYVLLSNIYASKGLWADVHNLRQQMDSSGTVKETGCSWIEVTKEVHTFIVRGREHPEAELIYSVLDELTSLIKNLGYVPDTSDHTLLCETGTSAVLKGRWELSVLNDLSKEVGEGVQRPDEYTGSEATARAA >ONIVA08G12080.2 pep chromosome:AWHD00000000:8:13154230:13161268:1 gene:ONIVA08G12080 transcript:ONIVA08G12080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39530) TAIR;Acc:AT4G39530] MPLLNPLSRAPSTHIRSLARVLLSCLPTGGDRLRRLNPAIHARATVAGGLDDVFLTNLLLRGYSNLGRLRDARHLFDRMPHRNLVSWGSVISMYTQHGRDDCAISLFAAFQKASCEVPNEFLLASVLRACTQSKAVSLGEQVHGIAVKLDLDANVYVGTALINLYAKLGCMDEAMLVFHALPVRTPVTWNTVITEYAQIGCGGVALELFDRMGIEGVRPDRFVLASAVSACSALGFLEGGRQIHGYAYRSATETDTSVINVLIDLYCKCSRLSAARKLFDCMEYRNLVSWTTMISGYMQNSFNAEAITMFWNMTQAGWQPDGFACTSILNSCGSLAAIWQGRQIHAHVIKADLEADEYVKNALIDMYAKCEHLTEARAVFDALAEDDAISYNAMIEGYSKNRDLAEAVNIFHRMRFFSLRPSLLTFVSLLGVSSSQLAIELSKQIHGLIIKSGTSLDLYAASALIDVYSKCSLVNDAKTVFNMLHYKDMVIWNSMIFGHAQNEQGEEAIKLFNQLLLSGMAPNEFTFVALVTVASTLASMFHGQQFHAWIIKAGVDNDPHVSNALIDMYAKCGFIKEGRMLFESTCGEDVICWNSMITTYAQHGHAEEALQVFRLMGEAEVEPNYVTFVGVLSACAHAGFVGEGLNHFNSMKSNYDIEPGIEHYASVVNLFGRSGKLHAAKEFIERMPIKPAAAVWRSLLSACHLFGNAEIGRYAAEMALLADPTDSGPYVLLSNIYASKGLWADVHNLRQQMDSSGTVKETGCSWIEVTKEVHTFIVRGREHPEAELIYSVLDELTSLIKNLGYVPDTSDHTLLCETGTSAVLKGRWELSVLNDLSKEVGEGVQRYNIFVTTTMD >ONIVA08G12070.1 pep chromosome:AWHD00000000:8:13152495:13153659:1 gene:ONIVA08G12070 transcript:ONIVA08G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHESASLFIPLGRHALCPLPPLPRPLVTRPSFGRIDSGDLPRPAPVAPGEAVLHPPPRLRIPAPRVSSSAFSQLLDATADRGLSELAVCLHRNGFLPKNLLSIRSLTVVSLNSCGLPRRLWRNGRWATQAVRAASGNPSNHSNSHLIGGYAATSLGRWCLVSTFGGGGHGRRMDHTAMSLDWVRKEEDREIGEETWVPAVLLGHVRLSRAAGRPAARDGEGGGGADEGDGQAEELACSAQRRVAGERRNVKRGRGRRGRRKRV >ONIVA08G12060.1 pep chromosome:AWHD00000000:8:13138229:13142275:1 gene:ONIVA08G12060 transcript:ONIVA08G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGFSEVEEFEGSNMSEVFEGNIVLSGDYISWNLASPVPLSASRHKRLWISNPPRRSRGWSGRQTIYGCA >ONIVA08G12050.1 pep chromosome:AWHD00000000:8:13135715:13140287:-1 gene:ONIVA08G12050 transcript:ONIVA08G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVILHLSPRQKEAIEGSGLGNLLKIDNIHIDRNLCNEIARSYDKEKKAFNINEYLNLVDDVDNMRELNWSSLTLNQLLKGILKFREKTTNIEGNVCLLQVVDDIRGTIHCNEIPDEKAQDNDSETRSNEDFQGTSEEDVEQEQIDPREHVSNHNEESYINQNVNMTCETKDNSNQSNQSRKMLTGPTGRTYKPTNRTDFIYETRDLLLICPTFVELTKKEDPFITYINNTEDNKVMVHIEEVEVKRIRMKVLTQPEFLNDDVMDAYILCLRYKEKGIRGDGKAFLEMAMKTEYRLHWVKSVAKGMFTLQRRGRLGRWRQRWSGEGCVGPWGGERGGGGAGRRRGEEDSSRAGGGGWRGGYVTRLARQGGGGGGQRRWGLTSRRGDAGGGEGGEGDKEGLRRREAANIGGGGRRQRGAGGGEGGEEGRVRRGSREGDGAGGGGDARTGDGGGEGDGRRRKRGWGGMATGSGEMVKWR >ONIVA08G12040.1 pep chromosome:AWHD00000000:8:13134107:13135210:-1 gene:ONIVA08G12040 transcript:ONIVA08G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPSSGDRAPTRETASTQRLTIAPYSSFSGLSSGKPVISGLFSLGGHLWDILFFPGGYYSGSPYAAVFLRLVSSDHREQVRVLVDFTLVYRRGGMTGGGEDDGSYTRCGYHVFGPGPATVGRGCFGFPEFILQHDLAASGVLLRGDRLVVECAVLLAADADEVLRRGPRPLDDELRRGLRRMLEDGTGADVTFVVRGERFRAHRCVLAARSPVLLAELHGPAARAMGGTQDTDDATTTITIDDMEPDAFAAMLRFAYDDTLPELPGNSERDATGVHMAQHLLAAADLYRMDALSQACQDRLARCVTPATAADTYALADRLGLRLLKAAVVGTSPPPARAASRPSRTARGSGGSRRPTRRPQRRW >ONIVA08G12030.1 pep chromosome:AWHD00000000:8:13130246:13131261:-1 gene:ONIVA08G12030 transcript:ONIVA08G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPTLPRPGLVAQRRGGGSGDGAATARDLTVSSCGRRRGAAKRWRKSSFMDSGRESSPSARHGRSRGRKRDLTASESAAARKSRQTTASSFTGRSRAAAVALL >ONIVA08G12020.1 pep chromosome:AWHD00000000:8:13129806:13130187:-1 gene:ONIVA08G12020 transcript:ONIVA08G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTLSVASGKSIGNQVYNVEWTNSTSYYFNSTSCPATHHHPATRAHDCLADDTAWPMVDFQNFFTGSVT >ONIVA08G12010.1 pep chromosome:AWHD00000000:8:13121928:13123199:1 gene:ONIVA08G12010 transcript:ONIVA08G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELRLVAGARSGRSWDDIHRCPDVEGTQLCSLLNELYHKPVVPAGILLPPDTGDDRDRSDMMRWLDKQLARSVVYIALGTEAPITSGNVRELDSSRHYATPASGCRRGTRRGVVEMRHLTQIILSSLVICLPRTRIAESEQ >ONIVA08G12000.1 pep chromosome:AWHD00000000:8:13117820:13119513:-1 gene:ONIVA08G12000 transcript:ONIVA08G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKRSIKPQTSMRTSVKVHKLTTEDAMNYILTIKNKFLRHPEKFHAFIHTMIDFSRGRINTHTVIERVKILFDGYPDLLLAFNKFLPRGINAI >ONIVA08G11990.1 pep chromosome:AWHD00000000:8:13092570:13102874:-1 gene:ONIVA08G11990 transcript:ONIVA08G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IAI5] MSRLSFRPRPLDIHKKLPIVKSARELEDDETTLALRAAPPVLRHSQPEPAADGEAHPTSSKKNVQEIPTPQYDDVDTYERDYTRTFAQPTSYIRARGEKLLLAARAEIGEFVEYDLDNEDEDWLEDYNNERKNLNPEKLEVLLFKLETLDHKARERAGIITPTFLGPIPVILQLDSAMEALQYLSVRYAVFQAVYNYWKSKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAYRLHTRRMQRRENSVQSFDKLRVVRRNLEQAKALMGALIKREERKRETMECEVHLRRIQMRYKHEAQLIDDGIALSGLQQAGSSEDDYADSDDTANEQPYVRSVAFHPRFPDNKLSAVPPLRLKRERELKRRPHQNGWLFKRVPEMRDPEEPVMLFTRPIDPDKLKMAGIRPPLDPPIDSGTTAPPFRWQARIGRGGRIIFDRWNPFLQVPVGQETNHRPSMPEG >ONIVA08G11980.1 pep chromosome:AWHD00000000:8:13081230:13084176:-1 gene:ONIVA08G11980 transcript:ONIVA08G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0IAI4] MAAAARLLARISRQGVASAAAARRQAEAAALLGASAGRHLAPPCSSIKALPLLNQPRLYSTSTFQRFGFSSSAPQQDDKAANKQTEDGVNKSTQSEASNETNSSPGTENASQAGSQDSVPQSNRRRRGTKRTAFSDSDTEDLDLSKEDLTKLVLEKEELLKSKDEEVKDMKDKVLRSYAEMENVIARTKRESDNAKKYAVQGFSKSLLDVADNLSRASSVVKESFSKIDTSNESAEAVKLLNTLLEGVEMTEKQLGEVFKKFGVEKFDPLNEKFDPNKHAALFQIPDPSKPSGTVAAVVKVGYMLHDRVLRPAEVGVTEGGPIEEEPEEKSDKSD >ONIVA08G11970.1 pep chromosome:AWHD00000000:8:13075816:13079575:1 gene:ONIVA08G11970 transcript:ONIVA08G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRTSARYGTTTTFTGPVRKWRKDWVPVAAAAAAAAAAAASAASSTAGAGSRGNNLVLFKWTPMNGANGNGGGGDGDQAAAAAAAAEEEKATKRRRYVPVSVVEDERQESGKSDDENKANDGEPSSAETEPSNGKTNINDTPMDESQASDEARYSGKNGGGTDLNLNLGLKDPDGDNDIDTEEQDAENNTHTENRLKRKSVAPDLEMRM >ONIVA08G11960.1 pep chromosome:AWHD00000000:8:13064720:13065304:-1 gene:ONIVA08G11960 transcript:ONIVA08G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRGVTVPFLMILPLLFVVAALPAEVTVTGDGILLPSCKTVGGGSTYFDVQFCLDALGSVGAGAGARSYRDLAAVAVGLLTANATSTSVKIDALLRGGGGGGKVDAATARCLRSCRALYAGIARRQPGCAAAVRGGRLGEARSSLEESAAAARRCEDGFRGGNATSPVTAEDDAAFKLAKLGVALLGFA >ONIVA08G11950.1 pep chromosome:AWHD00000000:8:13014554:13022894:-1 gene:ONIVA08G11950 transcript:ONIVA08G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTLKDPGTTGVLRMNEDKFTFTPHDPRSAMKLNVDFRSIKGHKFNKVDGSKPAPPLLNLSKDSDKGGGYMFEFDNVTNRDLSRDFVGKQQGTIPPKPNVPPENSVTSTGEEQLSAAEMQRRMKLLQEDSELQKLHMKFVLGNILQESEFWATRKNLLEDEANKGSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAAEYLLRTKNTVAATAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKETIDNDGELARRTLSQDLNRHAAVVLEGRSSDVELTDTKTVAEAIARSKKEPPSSSISDDTNHERLVKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANALRSLGGNNDGRHARNCRLSTDDAFDHLLGQISSIKVNGLNYPVIQSDVALKHWAAIQELLQHFWSSYPITTSVLYNKVQRIKDAMTQIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >ONIVA08G11950.2 pep chromosome:AWHD00000000:8:13014556:13022839:-1 gene:ONIVA08G11950 transcript:ONIVA08G11950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTLKDPGTTGVLRMNEDKFTFTPHDPRSAMKLNVDFRSIKGHKFNKVDGSKPAPPLLNLSKDSDKGGGYMFEFDNVTNRDLSRDFVGKQQGTIPPKPNVPPENSVTSTGEEQLSAAEMQRRMKLLQEDSELQKLHMKFVLGNILQESEFWATRKNLLEDEANKGSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAAEYLLRTKNTVAATAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKETIDNDGELARRTLSQDLNRHAAVVLEGRSSDVELTDTKTVAEAIARSKKEPPSSSISDDTNHERLVKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANALRSLGGNNDGRHARNCRLSTDDAFDHLLGQISSIKVNGLNYPVIQSDVALKHWAAIQELLQHFWSSYPITTSVLYNKVQRIKDAMTQIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >ONIVA08G11950.3 pep chromosome:AWHD00000000:8:13014556:13022839:-1 gene:ONIVA08G11950 transcript:ONIVA08G11950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTLKDPGTTGVLRMNEDKFTFTPHDPRSAMKLNVDFRSIKGHKFNKVDGSKPAPPLLNLSKDSDKGGGYMFEFDNVTNRDLSRDFVAKVLGKQQGTIPPKPNVPPENSVTSTGEEQLSAAEMQRRMKLLQEDSELQKLHMKFVLGNILQESEFWATRKNLLEDEANKGSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAAEYLLRTKNTVAATAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKETIDNDGELARRTLSQDLNRHAAVVLEGRSSDVELTDTKTVAEAIARSKKEPPSSSISDDTNHERLVKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANALRSLGGNNDGRHARNCRLSTDDAFDHLLGQISSIKVNGLNYPVIQSDVALKHWAAIQELLQHFWSSYPITTSVLYNKVQRIKDAMTQIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >ONIVA08G11950.4 pep chromosome:AWHD00000000:8:13014556:13022839:-1 gene:ONIVA08G11950 transcript:ONIVA08G11950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTLKDPGTTGVLRMNEDKFTFTPHDPRSAMKLNVDFRSIKGHKFNKVDGSKPAPPLLNLSKDSDKGGGYMFEFDNVTNRDLSRDFVGKQQGTIPPKPNVPPENSVTSTGEEQLSAAEMQRRMKLLQEDSELQKLHMKFVLGNILQESEFWATRKNLLEDEANKGSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAAEYLLRTKNTVAATAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKETIDNDGELARRTLSQDLNRHAAVVLEGRSSDVELTDTKTVAEAIARSKKEPPSSSISDDTNHERLVKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANALRSLGGNNDGRHARNCRLSTDDAFDHLLGQISSIKVNGLNYPVIQSDVALKVQRIKDAMTQIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >ONIVA08G11940.1 pep chromosome:AWHD00000000:8:13010985:13011918:-1 gene:ONIVA08G11940 transcript:ONIVA08G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRTYWDKALTKIFLDLCIAEKTKRNHNKKGRTNIGWQNLYRNFREQSGKNYDSKQLQNKFSTFKRQYKLWKSLKNKSGGGHARQFRGKPLEHEDELTTHFGCMDTEEGTMLCVGGIGKRTPSSGSDDNLTPMSNDNVGLSSAGRAGKEQVVDRPPPKKSKNMEYYVGCVSEIMLERSRNESSVLRGQQDEIKELLQLVEEDGVAQGSELYFIATDLFRSAARWAAFRCIYPAENRKAWLRWTWDNARKK >ONIVA08G11930.1 pep chromosome:AWHD00000000:8:13010007:13010446:1 gene:ONIVA08G11930 transcript:ONIVA08G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAAGNGSARSAAAAAYAARAVVYDGTQPQPSGVDAAPAIGGGRGAVDTELDRALPAAAASSRAVLPPPSLLTRSTRGRHPPLPRPPPVPPSTAACPSPSAGCSRLSEREREGPGREREGGT >ONIVA08G11920.1 pep chromosome:AWHD00000000:8:13007913:13009734:1 gene:ONIVA08G11920 transcript:ONIVA08G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEQQRVKTTSQWVSHVEHLLDAGGNPSEEEARRWRRQTVYRVPAYIKHRTSYGAPQLVSLGPFHHGVPELRPAEEHKERALLHLLRRGGGGGDGRRLRLGSLVASMEEVVVELQDAYQGLGATKWRDDRDGFLKMMVLDGCFLLEVMRAAAEAAQGDGDGEGVGGGYAANDPVFSCHGELYVFPYVRRDMLMIENQLPLLVLQRIVAFVHGGAAPEASDDAINNMVLSFVSMIPDPPAMRGGGGLALHPLDVCHRSLLHGSPPRPCHTGRREEFVPSATELDQAGVRFRPSRTRSLHDISFRHGALRIPRLAVDDTTEHKLFSLMAFEQLHGAGANEVTAYVFFMDNVIKSGDDARLLGASGVVSNGLGSDEAVAEMFNRLASEAELDRRSALHGVHREVNAYREKRWNQWRASLVRNHAGNPWAIVSLVVAFVLLVLTVLQTLYTVLPYYQEQAAAGEAALREL >ONIVA08G11910.1 pep chromosome:AWHD00000000:8:12995196:12996797:1 gene:ONIVA08G11910 transcript:ONIVA08G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESRKGKAAAPWVAAVEEQLRQDGVGDRAAEEARWRKHSVYRVPAHIKKAAAAAAASRYEPQLVSLGPFHHGRADLQPMEEHKRRALLHLLRRTGRHAGDLASAVEAVAEALEDAYMDLDGDRWHGGGGGGRDRFVEVMVTDGCFLLEVMRTAEVDGEVDDYAANDPVFSRHGELYVFPYVRRDMLMMENQLPLLVLQRLVAVVRGPHKATDDVINDMVLRFVSMTRDPPPITGDGLALHPIDVCHRSLLHGTPPPPPSTSRKRQREDEFVPSATELEQAGVHFSRSPTRSLRDISFRRGTLYIPELAVDDTTEHKLFSLMAYERLHAGAGANEVTAYVFFMDSVIKSVDDARLLGASGVVSNGLGSDKAVARMFNRLAKNAVLDRRSPLRGVQGQVNDHRENAWNEWRASLIRNHAGNPWAIISLVAAVFLLVLTVVQTVYTVLPYHYQTPPPQMGWGCGLRDEGPV >ONIVA08G11900.1 pep chromosome:AWHD00000000:8:12962861:12965629:-1 gene:ONIVA08G11900 transcript:ONIVA08G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGGEDEQYPYYGSSGLRSAAGEARYRKSRGESGGGSNDVGAAEVLQDRTTSCLQPSAISSAARPASTTSTRQHNATSNQYR >ONIVA08G11890.1 pep chromosome:AWHD00000000:8:12950289:12953188:-1 gene:ONIVA08G11890 transcript:ONIVA08G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07890) TAIR;Acc:AT3G07890] MFGTQVRGVVEDDFNPRRRRTLWNTPAASMPTTTHTIRYSTPRKGHANAHQLLAKFEDLYGFMVEGNVDDVNVLNDVRERMREQGRVWWALEASKGANWYLQPRISSNGGSEGVISVTSLKLSVLTNTITLRRLIRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATLQIDHDLPRTFPCHSWLNSEEGQASLRRVLVGYSFRDSEVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTDNLSGCHVEQRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKTLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLRIQHIGDVIDILQTTAHHLYDPDELLTFAFDKIGSMTTNTITKERKKQETVVMAELDQRIRRLSSLKMDG >ONIVA08G11880.1 pep chromosome:AWHD00000000:8:12938210:12944918:-1 gene:ONIVA08G11880 transcript:ONIVA08G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMSAFRVYFGEDMEEEWPYPLLSKEINARHRAKKISDGNSCSSLAVLIPRTAGWWGIDPRWKPRSSYLRSDDPQWARIQVHNVYEYFTEAFESLGENEVRWTPYTNEEAILRAPHGVSILCYRDEAYWMTRKMLVYDIFIEGYNVQRVMRQMGLYQQVPVPVGLHLPPDVHTAYSVGSTACTYIWHQPMARTIHGLRCFDNFIDIYIASVGSFRPELLSGFRPYTASYCDMSSFGGGSSSVPNELRTSQTDDAPHVTQPTQPEVGKGNDNDPRRSNRERHEPNRLSLSGPRHATGQRKKNYKKARWNI >ONIVA08G11870.1 pep chromosome:AWHD00000000:8:12915729:12916094:-1 gene:ONIVA08G11870 transcript:ONIVA08G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMVDEPGQQRLQRQWWQWRKRLRRWPMAVVAGLMAVSEQRVETGTSMTVSEPGGSGFDSGSDGGGSGARKRAVASMMVGGSGKRHWEAGGGDNFDDGQRARRRLASGAGKQLPAMGNR >ONIVA08G11860.1 pep chromosome:AWHD00000000:8:12897587:12934749:1 gene:ONIVA08G11860 transcript:ONIVA08G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDDDDVEPPVNDVDIYYFEESEDKPVCFSVLPIKFDENEEVSCSDYKELNLRAFTDNNRHVFKKVIAWRVDLDCQRPKISVLSSDGKWIELLKPRMCYYEKKVRSILITVQMLHFVRKCPKKQQRSLFDHLGEVFNKFGPVPNGDDIKKHHHLIKLFMERDPILVKSKIIRCFIEDASRKAIEPIPRRACTEDRFIVSDESLESSDDCSYSDSDSSDDNNDDSTDEDTDTDGNATDDDTDMICAICDDGGKLLSCEGPCKRSFHARVKHGRKSKCRTLRFTSVELKLKESGTFLCENCEHNEHQCFKCGELEPSYGPNAKVFQCNKESCGHFYHPKCIAVLLEPEDTDGACKLEEMIADGMSFTCPVHWCFKCAKMEDRTQSEFQFAVCRRCPRSYHTECLPRHHGIDATTGTPSGEHIKFPSIPKIKKTKNLSKKDAKVTGKRKKSGNKFSTKSTKLANVSPRGESKQTRRVARNSSSEHIALEHGCAVKRLKKDLQFEVPLVDVSARLSGAKTVEGKEGQPGTPSSFVMGEISKSASCAVDGETEKRVTSTAEKEISAGTSQDMATKDVLRQPRIEIDGLSECLVQIADKLHWYVQPGNTIVDLCFNMDNFSRLMKEKLEDVSKGCNFKNYDLFQHKNNLCFDESNWVTMQPKDLPHGLNLVMVLDLPLDVQAVSANKFVDKVLTFKPRLIIIVSPTDIERLDCKEEPYHLIWEYNQHIFGKPLYQPGDVDVNDDAKNGLHVIPLCVSLWSFPDKTEENMRIAGKHGHLNVGHKAHSVMLKDSSVDKGAKGDNEIFTVGKEDTSEREQTSEHCSGERLIIPNVVTFHANQEQNDELQSLYKEKHANGSGGGNNLTSGKESDVHRGENACGHNSAARQKTEISKRENRMTDSTHEENMRSGKEKIPRDGSNKGTVKPDLVDGLPPDKHVDVAFVHKTTTNRVDTQQECGYNVAVDVDDNYALQHEPKSPHGNDNLRGTEMDTSGDNSRKTREQKEVTDGKRLDLDRKREAVRMKNARDGHHEDDRTAHPQCVDVKSPSHNDDQRARGASVCKSRKRGTSNRILESRDTVSNNSARRQVPVERRNGHAPYSRRPDYSATARRGSPSYPRRRRRSRHFSSERDWSTVPPRGNSPDTEYDGTRYNTEYDGYGSQSGCGYHGMDTLPVDRYYPQEHHNGAYRPQTNFGEEEQAAAYGRRRNDHGWGPDYRRRDSFDWEHRSSARGTVTDRYVPDLEQTNHQIPGQASLQDGYFMRRSSRPFESRNRCIPWRYAQHPPSPSSLPLHTDAIWALPLGAATVVALDANAVRSSHCRPRAPTILPLPSFAWRCHRGPPSECSNHRRAPQPLSSLMPRPTELPCLSALPPSVRRWHSKSPSTRATARAERMRSLTVYGNSVRREIADWDTAATMMSSDDDLEPQLKAVENYYFVDDNDVPVSFDVLPFQFDAAEGVASFKKDVYLRGFTDGGLQKVYKQVVAWKLVLDGDSPEIAVLSTEGSWIALLKPRPSYEETIRSVLITVEMLHFVRRRPTDSEKDMWDHLYGVFERFVVRPLEDDFANHQNLIKLFAQRDPDLANSQVLQVFIKDKIMEKTNEVAQVGSNNLDNKREPDIKQEPDIKQEPVAAGDEMEEIVEEGIPDVPSNDDDDDEEDEEDGDLFDSVCAICDNGGELLCCEGPCMRSFHAKIRDGEDSYCATLGYTKAEVKALKNFVCKNCDHKQHQCFVCGELEPSDGPNAKVFLCNNATCGHFYHPRCVAQLLHPNSRNEASEMEKKIMAGFSFTCPKTGLKNHCNLLFADAAQDRIIENACQDINTQGIITRAWELSKRILIYCLDHEIDLDIGTPPRDHIKFPHVEKSAYSAKKKVKELAEKKRRICDDSYVSEPLQKRAKLNEKFNAKGDKSKKAGVKSEFEEVLESEKKKTRSLKKRTQPEEPLVECAAAAAANNANRPVKEREKELGTSSLDMGKIPLSSFPIVDSETEKRISALVEKEVSSLTVADISRRCVIPSTYACSGRQIDKIVVRGKLERSIQAVKAALEKLENGGAVDDAKAVCESEVLRQLTRWHNKLRVYLAPFIHGMRYTSFGRHFTKKEKLIEIAEKLHWYVQPGDMIVDFSCGTNDFSQFMKEKLDKVGKRCNFKNYDVIQPKNSFSFEKRDWMTVRQKELPHGSKLIMGLNPPFGPKAMLANKFIDKALTFKPKLIILIVPKEAERLDRKQQPYDLVWEDDQRLSGKSFYLPGSLDVSDKQIDQWNKSPPPLYLWSRPDWTQKHKRIAEQHGHTKANVFSHNEEDLVYLFEDRATQNHDVNNKNYTSGNGNFTAEKPVQADAFPPEKLVEVAYEEMKVASNRSSMYQSDQISVHDERDAHSDLPMSRHNSMKAKEVSNSSRDRRKSDKTGHEADSDMSILPSDSRNFLHKSGNLEPPISSRSGYTSERLRYHDNHFDHLVGEHSSSSLQMPIFEDSYFRSVNEYGVASVENNIALSTDNVGAGSRMYSPDPELNGYAVDPTVNAYGSVSGGTGGSFYRRQNLEDYTMDSSESAQMNPVPGRDVQEYARTYYGHNRDEVPQTAINTPSMDIRTHIRMYGRHIRDDHTQTTMNPPANDIRAQIRMYGQHATSDHQHASRYSSGSPDARFEQQPSFTSYGMPSLGSTGRSMMDRYSPSIDETSYRTGQRGPYNASDFRRDRHPDDMNFAPHNQYPYPHPGSSGGWHD >ONIVA08G11860.2 pep chromosome:AWHD00000000:8:12898700:12934749:1 gene:ONIVA08G11860 transcript:ONIVA08G11860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDDDDVEPPVIAWRVDLDCQRPKISVLSSDGKWIELLKPRMCYYEKKVRSILITVQMLHFVRKCPKKQQRSLFDHLGEVFNKFGPVPNGDDIKKHHHLIKLFMERDPILVKSKIIRCFIEDASRKAIEPIPRRACTEDRFIVSDESLESSDDCSYSDSDSSDDNNDDSTDEDTDTDGNATDDDTDMICAICDDGGKLLSCEGPCKRSFHARVKHGRKSKCRTLRFTSVELKLKESGTFLCENCEHNEHQCFKCGELEPSYGPNAKVFQCNKESCGHFYHPKCIAVLLEPEDTDGACKLEEMIADGMSFTCPVHWCFKCAKMEDRTQSEFQFAVCRRCPRSYHTECLPRHHGIDATTGTPSGEHIKFPSIPKIKKTKNLSKKDAKVTGKRKKSGNKFSTKSTKLANVSPRGESKQTRRVARNSSSEHIALEHGCAVKRLKKDLQFEVPLVDVSARLSGAKTVEGKEGQPGTPSSFVMGEISKSASCAVDGETEKRVTSTAEKEISAGTSQDMATKDVLRQPRIEIDGLSECLVQIADKLHWYVQPGNTIVDLCFNMDNFSRLMKEKLEDVSKGCNFKNYDLFQHKNNLCFDESNWVTMQPKDLPHGLNLVMVLDLPLDVQAVSANKFVDKVLTFKPRLIIIVSPTDIERLDCKEEPYHLIWEYNQHIFGKPLYQPGDVDVNDDAKNGLHVIPLCVSLWSFPDKTEENMRIAGKHGHLNVGHKAHSVMLKDSSVDKGAKGDNEIFTVGKEDTSEREQTSEHCSGERLIIPNVVTFHANQEQNDELQSLYKEKHANGSGGGNNLTSGKESDVHRGENACGHNSAARQKTEISKRENRMTDSTHEENMRSGKEKIPRDGSNKGTVKPDLVDGLPPDKHVDVAFVHKTTTNRVDTQQECGYNVAVDVDDNYALQHEPKSPHGNDNLRGTEMDTSGDNSRKTREQKEVTDGKRLDLDRKREAVRMKNARDGHHEDDRTAHPQCVDVKSPSHNDDQRARGASVCKSRKRGTSNRILESRDTVSNNSARRQVPVERRNGHAPYSRRPDYSATARRGSPSYPRRRRRSRHFSSERDWSTVPPRGNSPDTEYDGTRYNTEYDGYGSQSGCGYHGMDTLPVDRYYPQEHHNGAYRPQTNFGEEEQAAAYGRRRNDHGWGPDYRRRDSFDWEHRSSARGTVTDRYVPDLEQTNHQIPGQASLQDGYFMRRSSRPFESRNRCIPWRYAQHPPSPSSLPLHTDAIWALPLGAATVVALDANAVRSSHCRPRAPTILPLPSFAWRCHRGPPSECSNHRRAPQPLSSLMPRPTELPCLSALPPSVRRWHSKSPSTRATARAERMRSLTVYGNSVRREIADWDTAATMMSSDDDLEPQLKAVENYYFVDDNDVPVSFDVLPFQFDAAEGVASFKKDVYLRGFTDGGLQKVYKQVVAWKLVLDGDSPEIAVLSTEGSWIALLKPRPSYEETIRSVLITVEMLHFVRRRPTDSEKDMWDHLYGVFERFVVRPLEDDFANHQNLIKLFAQRDPDLANSQVLQVFIKDKIMEKTNEVAQVGSNNLDNKREPDIKQEPDIKQEPVAAGDEMEEIVEEGIPDVPSNDDDDDEEDEEDGDLFDSVCAICDNGGELLCCEGPCMRSFHAKIRDGEDSYCATLGYTKAEVKALKNFVCKNCDHKQHQCFVCGELEPSDGPNAKVFLCNNATCGHFYHPRCVAQLLHPNSRNEASEMEKKIMAGFSFTCPKTGLKNHCNLLFADAAQDRIIENACQDINTQGIITRAWELSKRILIYCLDHEIDLDIGTPPRDHIKFPHVEKSAYSAKKKVKELAEKKRRICDDSYVSEPLQKRAKLNEKFNAKGDKSKKAGVKSEFEEVLESEKKKTRSLKKRTQPEEPLVECAAAAAANNANRPVKEREKELGTSSLDMGKIPLSSFPIVDSETEKRISALVEKEVSSLTVADISRRCVIPSTYACSGRQIDKIVVRGKLERSIQAVKAALEKLENGGAVDDAKAVCESEVLRQLTRWHNKLRVYLAPFIHGMRYTSFGRHFTKKEKLIEIAEKLHWYVQPGDMIVDFSCGTNDFSQFMKEKLDKVGKRCNFKNYDVIQPKNSFSFEKRDWMTVRQKELPHGSKLIMGLNPPFGPKAMLANKFIDKALTFKPKLIILIVPKEAERLDRKQQPYDLVWEDDQRLSGKSFYLPGSLDVSDKQIDQWNKSPPPLYLWSRPDWTQKHKRIAEQHGHTKANVFSHNEEDLVYLFEDRATQNHDVNNKNYTSGNGNFTAEKPVQADAFPPEKLVEVAYEEMKVASNRSSMYQSDQISVHDERDAHSDLPMSRHNSMKAKEVSNSSRDRRKSDKTGHEADSDMSILPSDSRNFLHKSGNLEPPISSRSGYTSERLRYHDNHFDHLVGEHSSSSLQMPIFEDSYFRSVNEYGVASVENNIALSTDNVGAGSRMYSPDPELNGYAVDPTVNAYGSVSGGTGGSFYRRQNLEDYTMDSSESAQMNPVPGRDVQEYARTYYGHNRDEVPQTAINTPSMDIRTHIRMYGRHIRDDHTQTTMNPPANDIRAQIRMYGQHATSDHQHASRYSSGSPDARFEQQPSFTSYGMPSLGSTGRSMMDRYSPSIDETSYRTGQRGPYNASDFRRDRHPDDMNFAPHNQYPYPHPGSSGGWHD >ONIVA08G11850.1 pep chromosome:AWHD00000000:8:12891874:12892354:1 gene:ONIVA08G11850 transcript:ONIVA08G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPRSGPGLSAARLEVVFNLQPEREAARGRGWAQPGRREAGTRWPGNMRRGSGDLPPVATAQGGAGVSGTAWPKWPSSGAVWGWAIGEASDQIDGGMSIYGVRGFRFTHEMAKRSSGRACPRRARGQRNSGRTGTASGANRQN >ONIVA08G11840.1 pep chromosome:AWHD00000000:8:12882395:12883042:1 gene:ONIVA08G11840 transcript:ONIVA08G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSACTVVLSPCWRRCHIWASTPLVSDNADLHPDDDSGRCPHRCAVPVLAPHLGLHATHLQRFRPPPRQRQPPNLLARHSRHRLPRPRRSHRCIRLTNACSYVDSRSAAVLSRWLGVLAAKGVQELILVFLPIWPMRVEPPADVLCIASLHRLYLGLRRSFPDTEHIRLGADVFPYLVELGICRTNMKAKDLDRLLRSLTPNQSIAPFLTREQV >ONIVA08G11830.1 pep chromosome:AWHD00000000:8:12859477:12880481:1 gene:ONIVA08G11830 transcript:ONIVA08G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLPGSEKGAYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASLNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGCKGECKRSFHPTLEDGTKSFCKTLGYTSREVEVDFQSIYDYCCTFIVSTSFLKLVIMAFMHINRKYLSLFARTANISNTSVSNVDSLILRMRQIQREISFKKKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPSREEGDQNQEVPISNKQMDHNLLEHECATNNLRVDLQYESPIVRAAASVLISSEAAKLQENQLGSSILMEKTSKSSPCPVNSGAEKRLVSIAGKGGSLGTCEDIVIQANTKSQESYEDQFNVSKKPTHISSQAGTCIASCQTRMNNKEHKSRRNKEDIPEMRSEKVATPKVSIKRKRKCEFEFLDDEVATTKYLMNEGHGLPKGGKITKSYCGKGN >ONIVA08G11830.2 pep chromosome:AWHD00000000:8:12859477:12871739:1 gene:ONIVA08G11830 transcript:ONIVA08G11830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLPGSEKGAYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASLNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGCKGECKRSFHPTLEDGTKSFCKTLGYTSREVEVDFQSIYDYCCTFIVSTSFLKLVIMAFMHINRKYLSLFARTANISNTSVSNVDSLILRMRQIQREISFKKKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPSREEGDQNQEVPISNKQMDHNLLEHECATNNLRVDLQYESPIVRAAASVLISSEAAKLQENQLGSSILMEKTSKSSPCPVNSGAEKRLVSIAGKGGSLGTCEDIVIQANTKSQESYEDQFNVSKKPTHISSQAGTCIASCQTRMNNKEHKSRRNKEDIPEMRSEKVATPKVSIKRKRKCEFEFLDDEVATTKYLMNEGHGLPKGGKITKSYCGKGN >ONIVA08G11820.1 pep chromosome:AWHD00000000:8:12840967:12842205:-1 gene:ONIVA08G11820 transcript:ONIVA08G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHLAYHLPDLALEIVLSHLQSLADRASFRGVCRQWAAVWRDQWPRTPPMPWLAAPGHCVALSDASVHRVPLPNGVDVDGVVCCGSLGNWIALAPKRRRWRPRHQVRHLLLNPFSGASVQLPILTPAAFRGGGDDINVEKIVISSAPDSDGCVVAAISSTREIVIWRRGQESWSTPAAAAPSNVADAVFHGGDLYVVDKCSQLYVFSGDDGGGGQELHPVWLEMDLTRTGRFVARVLLECDGRLLMADRHRHGGDAGYHEYSVYALERDAACGDWCWSPVTRLDGHVLFLGAGCCRALPVTGRDRVKDGNVVFLDDSAEITAVVTVDDRKPLERSALIRRSMDVPASNVMDTFRRRGGGGGGRPASPAASMAGRRNQCFGFGGLQDLIVLMKSFMSPQEDECTHRQ >ONIVA08G11810.1 pep chromosome:AWHD00000000:8:12836930:12837346:-1 gene:ONIVA08G11810 transcript:ONIVA08G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDYAEEFDQADEKLASFCLHLEAMAEAGDEIDQADEKLATFCLHLQAMAGTAGDDEIEQADESLAAFCLDLEAMASEAAAVASNDDDMAAAAAVDETKGKAAVALPTASCEAAGMAMIHHGHGAASSQVHLAAAC >ONIVA08G11800.1 pep chromosome:AWHD00000000:8:12819924:12820538:1 gene:ONIVA08G11800 transcript:ONIVA08G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRVAISLLLLVAAMASSFSFSPCTAQSSSSCASYTFSSNQQYGSCAALPRLGATLHYNYTAAASTVAVAFRAPQPAGGKGWVAWGINPSGSGMVGTQAVVAFRHSNGSLVAYPTVLGSYAPSMAPAAAKDLALPVSGVSAEENGKAKEVVVYATVALPAGKGTKFNHVWQQGSSVAGDVPAAHPTSGDNVLSVGSIDFSK >ONIVA08G11790.1 pep chromosome:AWHD00000000:8:12814281:12817522:1 gene:ONIVA08G11790 transcript:ONIVA08G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRATAMGSGNGRDRGPSHTTGLVNGKGGWISLFNRFSTYFRIKKEEDKNIHNSFYIKFIGDKLFLVYKKHKCLLPWQRSTTAPRLHSLLRSQLKSYTYSKVRKMTKSFTHTLGKGGYGTVYKGSLSDGSTIAVKILEDSNNDGEDFINEVSSIGRTSHINVVTLLGLCHHGSKRALIYEYMPNGSLDKFAVGGNDTMQQEKFLISWEKLYDILVGVAQGLDYLHHWCNHRVVHLDIKPQNILLDQDFCPKISDFGLAKLCKPKESKISIGCARGTIGYMAPEVFWGHRGAVTTKSDVYSYGMLILHMVGERENINASTESGSKYFPEWLYDNLNQFCGVPSGGIDGSNSTSEVAHKLVIIGFWCIQSAPTDRPSMSEVIDMFDRSLTELQLPPRISCCGNYNESFG >ONIVA08G11790.2 pep chromosome:AWHD00000000:8:12808405:12817522:1 gene:ONIVA08G11790 transcript:ONIVA08G11790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRDQDGTTKFYLSIVPLVNRYTTRTGWCNSICHKFFFPQDAGNSSHDNNSSKAAKQANATAARLRSAMAMAGNHRSSQLHLLLLFCCTTTLRAAALSFDYDFSADAAKNLVFMGDAAHAGDRINLTNLGVWRAGRVAHRQLVRLWDDDVGGGRTTTTSFTTAFSFAIGRNSTNQPADGMAFFVGLPRDNLPPHSDGAFFGLLSNNYFGPYGSPRTVGVEFDTFSNPMWDPEGTVDHVGIDVNTVTSKNTTAMPTLSLLAGVMRAEVSYDAAAARMAVTLRTLDGMSYSVEAAVDLRAAGLPQDAAVGFSAATGDLVESHQLLSWSFNSSTVFLVYKKHKCLLPWQRSTTAPRLHSLLRSQLKSYTYSKVRKMTKSFTHTLGKGGYGTVYKGSLSDGSTIAVKILEDSNNDGEDFINEVSSIGRTSHINVVTLLGLCHHGSKRALIYEYMPNGSLDKFAVGGNDTMQQEKFLISWEKLYDILVGVAQGLDYLHHWCNHRVVHLDIKPQNILLDQDFCPKISDFGLAKLCKPKESKISIGCARGTIGYMAPEVFWGHRGAVTTKSDVYSYGMLILHMVGERENINASTESGSKYFPEWLYDNLNQFCGVPSGGIDGSNSTSEVAHKLVIIGFWCIQSAPTDRPSMSEVIDMFDRSLTELQLPPRISCCGNYNESFG >ONIVA08G11790.3 pep chromosome:AWHD00000000:8:12808405:12814185:1 gene:ONIVA08G11790 transcript:ONIVA08G11790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRDQDGTTKFYLSIVPLVNRYTTRTGWCNSICHKFFFPQDAGNSSHDNNSSKAAKQANATAARLRSAMAMAGNHRSSQLHLLLLFCCTTTLRAAALSFDYDFSADAAKNLVFMGDAAHAGDRINLTNLGVWRAGRVAHRQLVRLWDDDVGGGRTTTTSFTTAFSFAIGRNSTNQPADGMAFFVGLPRDNLPPHSDGAFFGLLSNNYFGPYGSPRTVGVEFDTFSNPMWDPEGTVDHVGIDVNTVTSKNTTAMPTLSLLAGVMRAEVSYDAAAARMAVTLRTLDGMSYSVEAAVDLRAAGLPQDAAVGFSAATGDLVESHQLLSWSFNSSTGSGSMYRRGMIAYA >ONIVA08G11780.1 pep chromosome:AWHD00000000:8:12793906:12804280:-1 gene:ONIVA08G11780 transcript:ONIVA08G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLRDWVSDKLMSLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFTSSAETRSFAADIYAKVPRKASGISNYQKQEREAAKLVKKQSTYKLLADEEDNDAETITSTSRQSSASTSSKSRKHFRRKAEDQDDGNDDDETKIKQDSGRNVKRRTEEVDDEDDGNDTDEEQERIRDQQERAQLEKNMRERDAANTRKLMERQLSKEEQEEITRRSQAMDKNDTSDLRKFSRQAYLQKRRDKKIDEIRDEILDHEYIFQDVKLTEAEEKEFRYKKKIYDLVKEHVESADDVAEYKMPEAYDMGDSVNQEKRFSVAMQRYKDPEARDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDGIDFVKSSVIEGTQHEEDSDQEDIDEKDMLKRELQDERKTLPIYKFRDELLKAVEEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTLIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEVHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETIDEILKHRTRGLGTKIAELLICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSVGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETDYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEISSNASDLDAIKKAITSGFFHHSSRLQKNGSYRTVKNPQTVTELKPDWLVEIAPHYYQLKDVDDTGTKKLPKGQGRAAL >ONIVA08G11780.2 pep chromosome:AWHD00000000:8:12793906:12804280:-1 gene:ONIVA08G11780 transcript:ONIVA08G11780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLRDWVSDKLMSLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFTSSAETRSFAADIYAKVPRKASGISNYQKQEREAAKLVKKQSTYKLLADEEDNDAETITSTSRQSSASTSSKSRKHFRRKAEDQDDGNDDDETKIKQDSGRNVKRRTEEVDDEDDGNDTDEEQERIRDQQERAQLEKNMRERDAANTRKLMERQLSKEEQEEITRRSQAMDKNDTSDLRKFSRQAYLQKRRDKKIDEIRDEILDHEYIFQDVKLTEAEEKEFRYKKKIYDLVKEHVESADDVAEYKMPEAYDMGDSVNQEKRFSVAMQRYKDPEARDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDGIDFVKSSVIEGTQHEEDSDQEDIDEKDMLKRELQDERKTLPIYKFRDELLKAVEEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTLIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEVHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETIDEILKHRTRGLGTKIAELLICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSVGNSIFYRPKDKQVYNSWKETDYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEISSNASDLDAIKKAITSGKNGSYRTVKNPQTVTELKPDWLVEIAPHYYQLKDVDDTGTKKLPKGQGRAAL >ONIVA08G11780.3 pep chromosome:AWHD00000000:8:12793906:12804280:-1 gene:ONIVA08G11780 transcript:ONIVA08G11780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLRDWVSDKLMSLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFTSSAETRSFAADIYAKVPRKASGISNYQKQEREAAKLVKKQSTYKLLADEEDNDAETITSTSRQSSASTSSKSRKHFRRKAEDQDDGNDDDETKIKQDSGRNVKRRTEEVDDEDDGNDTDEEQERIRDQQERAQLEKNMRERDAANTRKLMERQLSKEEQEEITRRSQAMDKNDTSDLRKFSRQAYLQKRRDKKIDEIRDEILDHEYIFQDVKLTEAEEKEFRYKKKIYDLVKEHVESADDVAEYKMPEAYDMGDSVNQEKRFSVAMQRYKDPEARDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDGIDFVKSSVIEGTQHEEDSDQEDIDEKDMLKRELQDERKTLPIYKFRDELLKAVEEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTLIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEVHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETIDEILKHRTRGLGTKIAELLICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSVGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETDYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEISSNASDLDAIKKAITSGKNGSYRTVKNPQTVTELKPDWLVEIAPHYYQLKDVDDTGTKKLPKGQGRAAL >ONIVA08G11770.1 pep chromosome:AWHD00000000:8:12788421:12790211:1 gene:ONIVA08G11770 transcript:ONIVA08G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGAGAGGVPTKLEHDDAAAVAAEREPCGGGAPRREEKERWRRVLVVGCLVALLLFAFFVLGRESASEVLQIASSKLSAMNGGFTTKNPSHGGGAAKHADELLGGLLAPGMDRRSCRSRYQAAHYYKHFPYAPSPHLLDKLRAYEARHRRCAPGTPLYNRSVEQLRSGRSAGGVECNYVVWLPFDGLGNRMLSMVSGFLYALLTDRVLLVDLPHDSSDLFCEPFPGATWLLPPDFPVANLFGLGPRPEQSYTTLLNKKKITAVVNNDDDPASKNATAALPPPPAYVYLSLGYQMADKLFFCGDDQRALAKVNWLLLYSDLYFVPSLYSVAEFNGELRRLFPAKESACHLLARYLLHPTNAVWGMVTRYYNSYLAQASRRIGVQIRMFNFASIPVDDLYNQILTCSRQEHVLPETTTDNDNDDDLATAYDSNSSNGSGGGNYTAILIASLYPDYYERIRATYYEHATRGRVRVGVFQPTHEERQATQRLFHNQKALAEILLLGFSDELVTSGMSTFGYVGSSLAGVRPTILMPAHGHRVPAPPCRRAVSMEPCNLTPPRVGEAECREMAAVVDKEDVARHVKVCEDFDRGVKFFD >ONIVA08G11760.1 pep chromosome:AWHD00000000:8:12748746:12749726:-1 gene:ONIVA08G11760 transcript:ONIVA08G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLWLANWHIAQEHNLRRDRVTSWLSDDFVNPVNFCVKSMPDQVQEQPTQECNPDSSANEEERGCGPGPARRSSRTKTTNSRYYGPTWAV >ONIVA08G11750.1 pep chromosome:AWHD00000000:8:12730316:12738455:-1 gene:ONIVA08G11750 transcript:ONIVA08G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVPAMLPVLNPLANIVVSKLQAALDKEYEMIDGLAGDIRSMKTELRMIASETEDKLSQNIIASAVQIASLQEMRDWAHEMQDCLDFFLPCGECHRKLRSFTSPLQFAEEIKRLKKSLEEAHKRRKDYHVNDGHQAAAGSQENTGGTGTVVGIEKSKQELLELLKSDINGQANQLKVIPIVGFGGSGKTTLAKAVYDCLCNQGEHCLCAEPAAFPCHAWVEARKHKDDTKGLLSAVLDGLRPAGSQATEAQSDLGQHEAIKKYMNIHRCLIVFDDIDQQQWDCIKYAFPEKAESRIIVTTTSQQVAKACSSHGNGYVYNMRALDAKESMDLLETVLRGNSPGLNSTLIVDKCDGHPLALVSVANFLAGETLAKKEDCEIFCRNLGRHMEEKLVFTKLRQVLMRDFISLPGDLPRTFLQYMSVFPNGHPIRRNSLIRRWSAEGYVHSQYPRSDQEIADENFQELINRNVIRPIDASNKRKVKRCRTHGIMYEFMLRMSMSNKFITSLCDPERSSFCHLFLQNSAVGSTSSMIQHTSSVNEKLRARSLTICGNAGDAVAWFKKCELLRVLDLEECKDVEDSHIKDIHRLWHLKYLSLGGTIKMLPGRIDRLHCLETIDLKKTSVETMPIEIIRLPHLVHLLGKIKINNPRFRLRKLRSYLSKQSNLQTLAGIVVDENSAFPQLMAHMKMLMKVKIWCDSTAQGIKTWKHLLMAVNKFIMDGIDTVSEFRSLSLYLGNSLANFLDSLQDLVLKDPSQLNLPGHLTSLKLHGELSQLPQFVTSLSGLTELCLSSRNLSANELSNLHKLRQLNYLKLVQDDIRGFIIKKGHFPELIDLCLVVGNPNLPTIEEGASPKLVSLQLLCQALDGLSGINIGWLKRLEEVALDCKVNPDTIETWENEAKKHPKRPKVLFLERIDPNDEDSMVKYVASERPAARTGSSASQQQTHAAAPTPTSSTGETTTGLNRSLPGPSTASIEISSVA >ONIVA08G11740.1 pep chromosome:AWHD00000000:8:12728950:12730302:-1 gene:ONIVA08G11740 transcript:ONIVA08G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQLQDTTTEASRIMGVQRSAATYYDDLKTSESAFYRVVCLVHCLQNKSCSQTRAID >ONIVA08G11730.1 pep chromosome:AWHD00000000:8:12702902:12711338:-1 gene:ONIVA08G11730 transcript:ONIVA08G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKIQHSTDAMPTDLKFSNFSEEHQIGHGGYGIVYKGVLENGKKIAVKKLHDIASLDDVKFMNEFINLMSVQHQNIVQLVGYCYETRCKLVLHNEKFVLAHVEERALCFEYLERGSLDKYLSDESSGFDWCTRYKIIKGICEGVNYLHNGPQDRILHLDLKPSNILLDKYNVPKIADFGLSRLFGETLSHHTTKRSIGTVGYMPPEYIDKCHITEKFDIFSLGVIIIEIVTGPKERSKRPDMSSQQFIELVHKNWNNRFQQGIPMYTSEEVCGLQLQLKTCIEMGLQCVEAERLKRPTIAEVVSRLNKLDAMIQKISPSLLPPKLPVVPASARGQVRIAKIGQWGGIGGNYRDIEVAPCRLKSLIIGSGGAIYSIGFSYYDDNGKQHKVGPWGGHGANKGIDHTIHLGPSEYLIEISGTVGPFTYAPHGVITSLTLVTTIRTYGPYGELVGNPFHIPMQNKGGSIVGFFARVGWYVDAFGIYVNPNLGATQEDELPLFIFVSLRFLRLDHGVEIERRHTISMWHHVVFKVEFGPSEFLTGFSGTTGHNVVTSLTLITNARSYGPFGQVGGAPFQVPMRNNASIVGFFGRADQYLNAIGVYANPGQEKIEQEDGLTKMGPWGGMGGDAHENDITVAPRRLKSITISCDVVVDSLAFTCTDQNGQQHAAGPWGESGSRIEKIELGPSEFVTAVYGTVGPFGNYSSVITSLRFVTNAGKYGPFGQGIGTHFQAPMHKGSSSIVGFFGRSSSCVESIGFYVVPV >ONIVA08G11720.1 pep chromosome:AWHD00000000:8:12682200:12682985:-1 gene:ONIVA08G11720 transcript:ONIVA08G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVLLHLFLCCTTLRAAAALSFDYDFAAVGRDVAAANLVFMGNASYAGDRINLTRLGTWSTGRVAHRQLVRLWDDGAGGSVTSFTTAFSFAIGRNSTNQADGMAFYVGPPADTLAPDMTGGFLGLIPNTGEASPRTVGVEFDTCRNPWDPQDGVIDHIGVDVNQIVSQNFTALPTLTLAGVMRAEIRYDAAARKMVVNLTANGSNYGVEAAVDLRAAGLPQDAAVGFSAATGDLVESHQLLSWSFNSSTGMWLFISIFY >ONIVA08G11710.1 pep chromosome:AWHD00000000:8:12671880:12672791:-1 gene:ONIVA08G11710 transcript:ONIVA08G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSFAHTLGKGGYGIVYKGSLSDGSEIAVKMLEDTKDDAEDFINEVVSIGRTSHINVVTLLGLCLHRSKRALVYEYMPNGSLDKYAVGVVDTVQGEKSLSWEKLYEILVGIAQGLDYLHRWCNHRVVHLDIKPQNILLDQDFRPKISDFGLAKLCKPKESKISIGGARGTIGYMAPEVFWRHHGAVTTKSDVYSYGMLILQMVGARENTNASMQTVSKYFPEWLYDNLNQFCGAATEGIDSRNTCISEVARKLVTIGFWCIQSTPEDRPSMSEVIDMFDRSMHELQLPPRMSCCGIDNPSIV >ONIVA08G11700.1 pep chromosome:AWHD00000000:8:12659269:12659484:1 gene:ONIVA08G11700 transcript:ONIVA08G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAHRRWHVSFLPSTVLGYSGEPRLLDSYYRYVTHGIYAFSARLTFAEIEDLAKKPGVLGSWARGVALQ >ONIVA08G11690.1 pep chromosome:AWHD00000000:8:12657072:12657260:-1 gene:ONIVA08G11690 transcript:ONIVA08G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRPRLRRRHCFGPRYRTLGSTLTSKEMFIWANSNNQRLLHIGDIDRTSR >ONIVA08G11680.1 pep chromosome:AWHD00000000:8:12647929:12648522:1 gene:ONIVA08G11680 transcript:ONIVA08G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSPGGWKGDARWTPRAGAGPSSPRRHRPYTPAPASPPPLPCSTASSVARSTSALALATRHPGSCSSAAGRPFRLLLSRLPPRELPLHLRLPAPPTPPPPPQPPAPPKASTSASAACRPGSSTSAVSHRPPTLPPPQPPAARGAPPPPPVARCLARARA >ONIVA08G11670.1 pep chromosome:AWHD00000000:8:12631872:12637694:-1 gene:ONIVA08G11670 transcript:ONIVA08G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQTPAKPKASPVRSRPQLPASAAAAAAAAVEPPLQLQQLHTTPPPPPPPLMPAGGEVTGGSKAAKKRGMQKLLKSAFKRGDHHAPAGASSGGGEQSGDDEAAAAAAQDLSRSSSSSTGGSSGRKGRKGDSSVEGDLSSRDSLELQESKNVKGAAAALRNAKLSHSYEAFPWERKMRDLLQVAGASGFLSLLLLPRATDETQTKFHSLEDTLARAESWLMSSQMSGVPIVPMNVQTEALLTKICGDVASSTVNMNSLGDLANMATVSLYGFEDYHGVDIGVVRAIRLWYAPFAGEMALEIKLQPGDTRLGFAISRTEEGFIYVSSVAEESTPGVASTRSGLLELYRRARRASKLLVVSRVGDDKVLPWATSTAGDIRCFDTVSLSQRLSLHRHALRPVTLHFLMWERLPPAAVIRGGAAARPTVQMIVQGDEEGGGDAADESTDEVAFDGDGPEIVLSGKDDSDDRSFRFQNIGLPDSWL >ONIVA08G11660.1 pep chromosome:AWHD00000000:8:12615338:12631111:1 gene:ONIVA08G11660 transcript:ONIVA08G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVELGAVVRRAAEDSYLALRELVEKSRVEAEGKNGQQRSDTEKKIDLLKFIDRTRQRMLRLHVLAKWCQQVPLVHYCQQLASTLSSHETCFTQTADSLFFMHEGLQQARAPIFDVPSAIEVLHTGSYRRVPKCVEEIGTQNTLFQDEQKPTLKKLSTLVRAKLLEISLPKEISEVSVTDGIANVQVDGEFKVLITLGYRGHFSLWRILHMELLVGEKAGPIKLEETRRYALGDDIERRMAVADNPFMILYTILHELCISLVMDTVIRQTNVLRQGRWKDAIKSELISDIGTGQGGSNAVMQLGQDGELDSSGSRIPGLKINYWLEEKNNASAESDSSPYIKIEAGQDMQIKCQHSSFVLDPFTDKEADLSIDLSCIDVEALILKAIACNRHTRLLEIQRELMKNVQMSQSSTEVILKREVHGEGFQKRVHRRDSNDCCTNEMLQVRAYGQSYIDLGINIRNGRFLLQSLGNILPPSAVLDSEEALNKGSTTATDVFVSLRTRSILHLFAATGSSLGLKVYSQSQVTLKIPKSILCGSEFMVMGFPQCANAYYLLMQLDKSFKPVFCLLEIQSNEGDNNNADATTDAKEAIRFIRIDISKLKIDEDVQIGNFFDKDKLLALQNVEDRPQRQSGADEPLPARPSFFSIVDEVFRCDRGSPITESQRLPPNSLPSSHSSYQVGLHGFSGGAGSPDQDYGSLQSNINAAKVTSGTGLNNYLLSNSKNAQSTSAFSGSVPAGLGNVSSSRSEGAYKKRSLSEFLQNIPSSKQSIIGDGPGKRRKLSEFMLDGLPLKANSPNMQPRTSLTYGKLLEEVNNCITANIYSSVLLHVIKHCSICIKYAQLTAQMDSLNIPHVEEVGMPTSSSNLWLRLPFAHDASWKHICLHLGKAGSMSWDVRINDPHYGALWKLHGGSTTTEWGSGVRVANTSEVDSHISFDDDGVLLTYNTVEADSIQRLVSDLQRLSHARAFSCGMRRLIGVKLDGKLAEHQTAAETKLHSRRKASRDRLSEQMRKTFRIEAVGLMSLWFSYGAVPMVYFVVEWETGKSGCTMRVSPDQLWPHTKFLEDFVNGDEIASFLDCIRLTAGPLLALGGAIRPAKMPVTVPAGYSALPKQNNILTTAGSANSLSSSTVHNMSVPQGAAVAHSNSQLQTSSMLSVAGRTAPGLVPSSLLPFDVSVVLRGPYWIRIIYRNKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNLLNARHTGPPLNASANTVSGNQQLASAPNRFGGAPGVARPTSTVANQVASSLSRAGNAMMPSGFASGIAGAPAHLSPGNVPAHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPDLLKEILGSVLKDNEGALLNLDHEQPALRFFVGGYVFAVSVHRVQLLLQVLNVKRFHHQQQQQQQTPQNNGQEELTVPEISEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFIKLIAWKKSLPQAHADNATTHRARIEICLEKHPRLVSDDYTASSSSSKSNIHHDRANNSVDFALTFVLDQALVPHMSISGGAAWLPYCVSVRVRYTFGEDSHIAFLAMDGSHSGRACWLQHEDWERCKQRVSRAVETMNGSAAVGDMSQGRLRMVAEMVQKQLQLSLLQLRDSPVSTGSAAS >ONIVA08G11650.1 pep chromosome:AWHD00000000:8:12609597:12610420:-1 gene:ONIVA08G11650 transcript:ONIVA08G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALADRSASGGPCVAFTSGVWCDAVVGRYKAEATTVDFKNKINEWTRQVRRGLIDSVLPPGSVGPTMAIVLSNAIYLKGSWEHPFMNTKKKPFYRLDAGVHVVEQLQSLNSTSPCMTGSRCSSSTTARRISVTSASAAAAADDLTQYAMVIFLPDARDGLRGIRPGSCTSTSSESVRVGEFMVPKFKVSFADSVVGVLGQLGLRLPFSP >ONIVA08G11640.1 pep chromosome:AWHD00000000:8:12608717:12609578:-1 gene:ONIVA08G11640 transcript:ONIVA08G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDDGSGLPLFVSQVIHKAVIEVNEEGSKAAAVPMAMGRCLRRRWTSSPTICLFTSLAKLWSLIFVSSCMEAMAICQSSMALSR >ONIVA08G11640.2 pep chromosome:AWHD00000000:8:12608784:12609578:-1 gene:ONIVA08G11640 transcript:ONIVA08G11640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDDGSGLPLFVSQVIHKAVIEVNEEGSKAAAVPMAMGRCLRRRWTSSPTISWPNYGL >ONIVA08G11630.1 pep chromosome:AWHD00000000:8:12597380:12604087:-1 gene:ONIVA08G11630 transcript:ONIVA08G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64430) TAIR;Acc:AT1G64430] MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVAESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQTVSCSKCRYQYELFSGDIMSIESEEVSMDISALDKALRFINVIKDGLPAAVHSIVIRTPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGVLALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKEVWMLARMSQLENKILAVGEPSYRARRGRVKRVLESLESTLLAKIELMESYAKLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHIFCGTKMIDVSINLLLSTVY >ONIVA08G11630.2 pep chromosome:AWHD00000000:8:12597380:12604087:-1 gene:ONIVA08G11630 transcript:ONIVA08G11630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64430) TAIR;Acc:AT1G64430] MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVAESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQTVSCSKCRYQYELFSGDIMSIESEEVSMDISALDKALRFINVIKDGLPAAVHSIVIRTPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGVLALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKEVWMLARMSQLENKILAVGEPSYRARRGRVKRVLESLESTLLAKIELMESYAKVIEQILYMLNSEMLDMPLQLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHIFCGTKMIDVSINLLLSTVY >ONIVA08G11630.3 pep chromosome:AWHD00000000:8:12598277:12604087:-1 gene:ONIVA08G11630 transcript:ONIVA08G11630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64430) TAIR;Acc:AT1G64430] MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVAESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQTVSCSKCRYQYELFSGDIMSIESEEVSMDISALDKALRFINVIKDGLPAAVHSIVIRTPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGVLALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKEVWMLARMSQLENKILAVGEPSYRARRGRVKRVLESLESTLLAKIELMESYAKVIEQILYMLNSEMLDMPLQLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHV >ONIVA08G11630.4 pep chromosome:AWHD00000000:8:12597380:12604087:-1 gene:ONIVA08G11630 transcript:ONIVA08G11630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64430) TAIR;Acc:AT1G64430] MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVAESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQTVSCSKCRYQYELFSGDIMSIESEEVSMDISALDKALRFINVIKDGLPAAVHSIVIRTPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGVLALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKELNSEMLDMPLQLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHIFCGTKMIDVSINLLLSTVY >ONIVA08G11630.5 pep chromosome:AWHD00000000:8:12597380:12604087:-1 gene:ONIVA08G11630 transcript:ONIVA08G11630.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64430) TAIR;Acc:AT1G64430] MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVAESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQIRTPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGVLALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKEVWMLARMSQLENKILAVGEPSYRARRGRVKRVLESLESTLLAKIELMESYAKVIEQILYMLNSEMLDMPLQLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHIFCGTKMIDVSINLLLSTVY >ONIVA08G11620.1 pep chromosome:AWHD00000000:8:12592381:12593471:-1 gene:ONIVA08G11620 transcript:ONIVA08G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGAWELDSMAPGRPLCLCVSYLEIGIGRGEEQSCENRQSHALPLLLLIGVDPLYTGSSSPMRLDAAELHQSPPQIETPD >ONIVA08G11610.1 pep chromosome:AWHD00000000:8:12587912:12589754:-1 gene:ONIVA08G11610 transcript:ONIVA08G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILVCLSSKCLEKLVGILREEFVKTLFVRRDIRRLRESLKYFDSVREDADALALENRVTGTWWSDVKDVMYDVDDIVDFLRAHSYKQRCCDRVLFSRLAQLPLDYRIARRIKYVNERLVQITMNSKMFVPLAMRSPQTLQRNGVSRYVAALVDELDVVGREIKETTDDMVQMIIGCGHQSTISIYGILGMGGIGKTTLAQKIYNDRRIRERFHNVLIWLSISESISETDLLKEAIEKAGGQSYQGKSKDQLLQALLNCITGQSIFLVLDNMTSSHIWIDLLRSPIERCADAHVLVTTRSRDVLSQMNAIHVHEVHKLKEHDGLELLQIIPNRRRNKCVLSSKATKEEWARVLESRWCYEGLPEEIQGPLYLSYNDLSPQLKSCFLWCALMPQNFHIHRDVTYFWIAEGFVKKEGSRPIQEVAEDYYHELIMRNLLQARPEYIDKGISTMHDLLRLLGQYLTRDEAVFMDEDETPPNVRCLAVGNAVEEIPGIQDQKNLRCLLVYHHDACRSVKRDIYRKLEHLRILILVGAGLQSIPESVGHLVLLRLLDVSLMKKSSNFRDPSETLPALNTSQCLVAKNWHRCRRV >ONIVA08G11600.1 pep chromosome:AWHD00000000:8:12578631:12580181:-1 gene:ONIVA08G11600 transcript:ONIVA08G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDRISELSDDLLLHILSSLHTKDAAATTVLSRRWRPLWRRTCVLNLYSEPFLPTHNAFFRFADGALAAVLRRGDDPALKKLSLAVDSAAARPVLSNFDSRIGAILSHRAAAGLQDLRVDCLPVAGAGDAGDTIGMYKLRLASLPCAATLRVLHLACCCCYSSPPSVVAAFPSLTDLAMTRCMLSLSKGGHLLQTIVDAAPRLAMLRLDRVHLLISAAKTKEETAVLRLRCPTVTTLVLVAVTSRIEALQLDAPSLVSFSYGGHPMAISLAPPPANLALVDVDISRPSFFTGKYEPVCRVLRSLGGGDTTTMRAMTLRVYCVDDILDDGGGGALPVFPNLAFLHLEAQYLHSRYQTPISLSAMAKLLQSCPAVSELRLRLTTKDDSHSHPVSEEQRFNRRISGNSIGRRIESSSSSSSKDEGDLELKRQRVSEPAIECLEKTVRKVTMEFTAKEMDSFPVHLTKFLVENAMVLEELHVDDTAQFFLDQKVEKWRADSFQRRNLPIVGRFEVKPM >ONIVA08G11590.1 pep chromosome:AWHD00000000:8:12573121:12573974:-1 gene:ONIVA08G11590 transcript:ONIVA08G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRGQTLVLSPALSMMVDQLRKLHAFLPDGLLASSQAYASEFCRKEHQALEISLIYVKENKGAATVASTEAWSDTKIEGERLISVVHF >ONIVA08G11580.1 pep chromosome:AWHD00000000:8:12568797:12569812:1 gene:ONIVA08G11580 transcript:ONIVA08G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLGLEKKPARVLKMDDIPLCHSRCSQSEGCEEAVVDESVRRVAMVDVVEIGCADVEVAEQHHPQGEGIMDQGAREHAGDEEGDTIAAVVGEDDAEESMSLNREGQDITPGLLDLRTTRVECVQRCCQYRVGDGRRPPSIYDNPGDAAARLEVNEEDPERLMMTSADNEVVNARTVMNTTKAAKLWSKGTTQLQLLCRCSSMNSTGGEAMLRRAPLSIPIANGGGPRAMALQPEGGMQRQPEA >ONIVA08G11570.1 pep chromosome:AWHD00000000:8:12551075:12552714:-1 gene:ONIVA08G11570 transcript:ONIVA08G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTASPSRDRISELPDDLLIRILSFAPVKQAASTTLLSRRWHLQPLWLETGTVNIDLTSEEFRHWTCPWWCGWGREGDARAALRRRRHGLKKLTVTRPADRDDGYLRSDCRDFSWYLKPIVFDGTCVGLLRQVDELRLECQVAGGSSSSSAASSPQYKYKYAADPGVEYYLSMDKLPCEDFRVLDITGCCLQEVTTAEWLWEWDWIGYPCLTTLRLRRCTVRLCDLQNVILAGPRLAELRLESVTFPDRPSLCGFIFDEHIHLHCPAVTSFTMVNCHIDGRTFELDAPSLICFRCAQVPSLYFSISLKSAAPCLAQVDLESISGTATFGPLLNTMCHISILKLTVYSIVGDIKFGHLPLFPNLKRLVIEELCGFAMDGGLSAAATVVGDMLRRCPAIRELWIRFSWLEYLNESADDHLDADLTAYLKSSICRLQESGYCNHCKESDKPAAGSTQNFNGSWQSSLRKVVIQFQKGKLTCFQVQLVKFLAENASVLEEFEIEGGNHHGSDHIIKSKVDRWGAASSMENEAPPGVGAGDISG >ONIVA08G11560.1 pep chromosome:AWHD00000000:8:12550861:12551524:1 gene:ONIVA08G11560 transcript:ONIVA08G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSLDFKLLKNRSIFCQELHELDLEAGRQVCVEMIICTLIEIFQPAESYPELTDRRAST >ONIVA08G11550.1 pep chromosome:AWHD00000000:8:12540351:12542805:-1 gene:ONIVA08G11550 transcript:ONIVA08G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRLSELPDDLLIRILSFVPVKEAASTTLLSRRWRNPLWLETGTFNVDLTSEQFSNHAHNCLAMWRDEGDAREALRRDRRPRRLKKLSVTVTASRDDDNYYSDFSEYLNPFVFHGKCVRMFRNVEELRLECQIDAPAGAGPLSSSSPPRYTYAMPGPEYNLRLHHLPCEDFRVLHLTGCSLKEEIHLCLRNRIAYPCLTTLRLRRCTVPLGELQRLITAAPALADVCLESVTFPDQGSVGGMTTDKRVRLHCPAVTAFAMVNCHMFCRSFELDAPALICFRYAQISSYEQSISLKPPAPCLEKANLESISGTEIFRSLLHDMCHVKVLKLTVYSIVGDIKFERLRCFPNLKHLVIEELCGFAMGNGSAAAAATAIGELLQRCPVIRELWIRFSWSKYLHESPDLAGYLESMACRFDESDYCDRCAVSAADRGRQELNDSWKNSLRTVTVQFQKGKLTCSQVELVMFLVENAAVLEEFDIDGESQDVTDQINTKIATWRARSSSSREKEAHPAGVGAERPTRRPPPQHLHETRYLYNGWHPAFRRSDKEKVTPTRIH >ONIVA08G11540.1 pep chromosome:AWHD00000000:8:12535931:12537722:-1 gene:ONIVA08G11540 transcript:ONIVA08G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAHRIKRRRLTPPGAEEPILSLVQPPAVPSLAAAAAAAAGDGYPPWVILQPDGEREGEDEDDEDHPCLTPDAETATASHTSTGHPITVAFSLAAPPAPSRMWFRFSYDADSETRCNCSVIAAHGDSVLINIFYFSNASVHNHEDRFVYRASAAASDRSWARPPSLSMLPPPPVEYTPFQDATGMLRRGEDDLVVAELTVEGKLRHDTLLGVVAKLLVFRSGEWGVKRAPINHGSGSGSSSSRGHDLPAPWPWETDMVVPVGDRLLCYVDLHHTTASSSSPSSPAERFHDDEDDPRGYPKVSRTVGATGDSGGGGGGALKFVDISPRCCCGSLSKGQTSCDRSSQAFVIRTWTLRIGDDVNGDDMAWEMDAMVDASELWSLDAYAGLPLVRPEYPVVNMDDPHLIRLAVTGARQEEGRTYSDETDENYSSLIMVDTRRKTMPSVPPFLHLPFNGETLVSRFSSYFNSNHSSNNGGGGGGGGALPSKVHVNIEPPPPPAAVATGEPRTSDTAEPKIVLVLERFSWRLKGYSIQDMAGGDDMLKAYTILSQDNGRCFRSLLGLPMSFNFELGLAVDDDQE >ONIVA08G11530.1 pep chromosome:AWHD00000000:8:12531774:12532363:-1 gene:ONIVA08G11530 transcript:ONIVA08G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTEWEVLGVELDELAAWLVEDALDEAPVLAEHLDHKATAWKSQKMCAWRWDTFIPPPRMPRSTVAVDVVHCHGRGGGAADAGMVPQPEPAMASPSATEAVAWGAAPWLRRRWEEGEGEGPCSGWPAAPRCSACSLTSFTSTARVPPTTCASSMPSRRSKLLVFVRVLGCYTADSNVYDLQ >ONIVA08G11520.1 pep chromosome:AWHD00000000:8:12524220:12530927:1 gene:ONIVA08G11520 transcript:ONIVA08G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNPVDSSGTDDDLPPTYQNRGVRGSGRVSGNGRDIVSAVPYNRTKPQTDMETQIHQLEQDAYCSVLRAFKAQSDAISWEKEGLITELRKELRVSDKEHRELLNRVNGDDIIQRIREWRETKGGLQADMVNNAQRSHDRVPSPTTSARKRQKTSQSIPSASVPVPSPAVHSQTLTAPMQPLSSATKKVAPPGTKGKKTKPGQKIPGGSAIKTMSSAGPSGRGPIMNKNLSGGLPTEPISVNPLIGRKVMTRWPDDNSFYEAVITDYDPQLDRYALVYDINTADETWEWVDFKEMAPEDIRWEGGDPGIIQQGRGATVHGGKKSSSRNGPMSGPGRGSRGPQKNTSRKEFPPSQNGVGKKSSDCIEILHTESLIKEVERVFSASNPDPLEMEKAKKVLKEHEQSLIDAIARLAEASDGESEERAQPLQHNRGWRNHHGGNYANDMTIDGHMVGDADAL >ONIVA08G11520.2 pep chromosome:AWHD00000000:8:12524220:12530927:1 gene:ONIVA08G11520 transcript:ONIVA08G11520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNPVDSSGTDDDLPPTYQNRGVRGSGRVSGNGRDIVSAVPYNRTKPQTDMETQIHQLEQDAYCSVLRAFKAQSDAISWEKEGLITELRKELRVSDKEHRELLNRVNGDDIIQRIREWRETKGGLQADMVNNAQRSHDRVPSPTTSARKRQKTSQSIPSASVPVPSPAVHSQTLTAPMQPLSSATKKVAPPGTKGKKTKPGQKIPGGSAIKTMSSAGPSGRGPIMNKNLSGGLPTEPISVNPLIGRKVMTRWPDDNSFYEAVITDYDPQLDRYALVYDINTADETWEWVDFKEMAPEDIRWEGGDPGIIQQGRGATVHGGKKSSSRNGPMSGPGRGSRGPQKNTSRKEFPPSQNGVGKKSSDCIEILHTESLIKEVERVFSASNPDPLEMEKAKKVLKQKKGHNPCNTTVDGETIMVETMQMI >ONIVA08G11510.1 pep chromosome:AWHD00000000:8:12517044:12518387:1 gene:ONIVA08G11510 transcript:ONIVA08G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKMAGLTPGFKFEPSDEQLVQFFLLPYLRELPVPLGGLVIRDDPRSVPPWKLFARNGRGDEEDAYFLAPADGEGRQARTCDGGRGRWITQRLERTGNLRLAGGGGSGEAVVFEKHRLNYHAGEGRCGSTGWVMHEYAVVKPAALGARHRACHIAFTGHGQKRKRVPDGYVDVEDDGSKASTNAAAAVPPSSTAAMSACPSNVTYNQGCHISPEQSIEQHFPAEHNNIQIQQQAYYQSQDHEQCQYSDEEKYLLQQIKQEQYYYNQQNCFLPGQGNQELYYNDEQQQIFSLPEHQCSQEQYCHHDDQQDCVLPEQHSQELHGYNNEEQGYLLPPEPIDQEEQALFVGGEPQHEQQPLTSTPRQALLDYDDGKLLPPVGVNGAIAIPPQDAAVASNDDDGGQATEAPAAKMTAEEKKWFMEELLTEGCWSGPLLFDQPYYGSALKN >ONIVA08G11500.1 pep chromosome:AWHD00000000:8:12512853:12513305:1 gene:ONIVA08G11500 transcript:ONIVA08G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGKNAMQSTKEAAANVGASARAGMDKSRAAVQGQVEKATARNAADKDAAEVRRQERVQAAEEEKQHAMAANAAAKERATGGAGAYHPSQGAPGVDPRAAQPTGGHVQDGVAESRPVGTATGTARPSAAHNPHVGSDFSQAHGTGGQYQ >ONIVA08G11490.1 pep chromosome:AWHD00000000:8:12506246:12506562:1 gene:ONIVA08G11490 transcript:ONIVA08G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAASSVYLHGRPPRDSVVRGRGSGGAVGGGKECVAGGGDRRGGLQGEVQSPRVATTASAGEALGGAQ >ONIVA08G11480.1 pep chromosome:AWHD00000000:8:12503968:12504252:1 gene:ONIVA08G11480 transcript:ONIVA08G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRMGGEIVVECAAAAAADGEDLGSMGGWEDLASVQCAAEAVECTAAAAEWDDDARRSESRVKRVMRRILCAETARGEGEIMRRRWVDGTDYT >ONIVA08G11470.1 pep chromosome:AWHD00000000:8:12492847:12498701:1 gene:ONIVA08G11470 transcript:ONIVA08G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTQGRMKSPPTQQKGPKKTLPSSNKQAATLPLVSQRLDSARPANSAESPTRTPPPLFLPPSVSSKTPTITTTPPRLLRLHSDQQPRRAPQIPRAFRLLPSRTMGASAATGMQMVAARPCISASQGMLTSRAAVSRIGRALSTTTGFATCPRICYSSPLGSSKRSGVAIRAMSSESGPQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIVKVYPLDAVYDSPEDVPEDVKGNKRYAGSSNWTVKEVAESVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAALSASSYSFVSLLQHFLPIMNPGGASISLTYIASERAIPGYGGGMSSAKAALESDTKVLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTISS >ONIVA08G11460.1 pep chromosome:AWHD00000000:8:12484518:12485098:1 gene:ONIVA08G11460 transcript:ONIVA08G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIWQHGRSSSTVASPSPHDLNDYLGVQGGGIIDRRRHGARLHLEAVGLGIIDLGGEGGGVAKALGGLGGGRGARRWSRVASSSTSFSRSRRAAEATRTSAWHCRFCTYFSPARIHSHSIRTTASIFALCGHNSNSSASSTAIAKATNHPLIDVIADSTNRLIGAATTTNCIIPGIGSSAADTTNPTAVVA >ONIVA08G11450.1 pep chromosome:AWHD00000000:8:12479101:12480783:-1 gene:ONIVA08G11450 transcript:ONIVA08G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18180) TAIR;Acc:AT4G18180] MEARLRLLVVVVVVAGHCAAVASAAGNSSVVGYHGDPTFNVRNYGAKGNGQTDDSKVAEEQSIDQASSLVELSPSFLANCEVFVRDMQALMTAWKAACAATGAVTLVLPPGTYYIGPVQFHGPCSKATTMTFLMQASILYTNQPAGSLGTLKAATDLKRFGNDWVEFGWVNHLIVSGQNGAAFDGQGAASWPFNKCPIRKDCKVLPTSVLFVNNKNMVVQNVASVNSKFFHMALLQCSGAKISGVKISAPESSPNTDGIHIERSNGVSIADTTIATGDDCISIGQGNDNIDVARVHCGPGHGMSVGSLGRYVGEGDVTRIHVRDMTFHGTMNGVRIKTWENSPTKSNAAHMLFENLVMNDVQNPIIIDQKYCPYYNCEHKFVSGVTIKDVQFKNIKGTATTQVAVLLKCGVPCQGVVLQDVDLRYKGNGVSSSKCENVRAKYAGFQNPKPCP >ONIVA08G11440.1 pep chromosome:AWHD00000000:8:12472387:12478002:1 gene:ONIVA08G11440 transcript:ONIVA08G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IAB5] MVRRRRRRSVLLLLLALTVLSPLVLYTRRLSAALNPNQRRDLPGEIVNQGRGVKASKLNALPLETVGSLKEPVGIVFSEESRESASKSTEPDSQEFLLRKAGEHKNRVLSEATAADSARSEDDDLIEQVTSKDGEDDGLATVSVDQQQITTASQQRSASEASSLENVPEQTSMENSLEGNKDGALLDTRIRNIRDLLIKAKVYLGLGAIRANPQYLKDLRQRIREVQKVLGDASKDSDLPKNANEKVKTLEQTLIKGKLMQDDCSVVVKKLRAMLHSAEEQLHAHKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFLLDPSHQQFPNKEKLDDPKLYHYALFSDNILAAAVVVNSTVLNAKHPSHHVFHIVTDRLNYAPMRMWFLSNPPGKATIEVRNIEEFTWLNASYSPVLKQLESQSMIDYYFRTHRANSDSNLKYRNPKYLSILNHLRFYLPEIYPNLHKIVFLDDDVVIKKDLTSLWSIDMKGKVIGVVETCGESFHRFDRYLNFSNPVIVKNFDPHACGWAFGMNVFDLAEWRRQNITEIYHSWQKLNQDRLLWKLGTLPPGLITFWNKTLPLNRSWHVLGLGYNPHVSSRDIERAAVIHYNGNMKPWLEIGLPKFRNYWSAYLDYDQPFLRECNINP >ONIVA08G11430.1 pep chromosome:AWHD00000000:8:12459015:12464072:-1 gene:ONIVA08G11430 transcript:ONIVA08G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVSAGAASTPIHQIGIQGRTTKALHGCSVVIKSSTSVVGPYAWVNTTTPAAEEEQTAAAAQTAPAAPLPDLRREPAGSGGKGGAPLALGQRRRTEVESRRPEGDAGAGGVTCGVPECWHCANNTSTATYPSTVYACVGRSPPRWSSCTSVPLCFGGGGRRPTSSLSSSDGCP >ONIVA08G11430.2 pep chromosome:AWHD00000000:8:12459015:12464072:-1 gene:ONIVA08G11430 transcript:ONIVA08G11430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVSAGAASTPIHQIGIQGRTTKALHGCSVVIKSSTSVVGPYAWTPAAEEEQTAAAAQTAPAAPLPDLRREPAGSGGKGGAPLALGQRRRTEVESRRPEGDAGAGGVTCGVPECWHCANNTSTATYPSTVYACVGRSPPRWSSCTSVPLCFGGGGRRPTSSLSSSDGCP >ONIVA08G11420.1 pep chromosome:AWHD00000000:8:12455376:12455895:1 gene:ONIVA08G11420 transcript:ONIVA08G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRLCMLEGGFFVQGTFTLLLILSFVRQKDFFANADLIRPAKTDAHAALGGCRHHPLLDFLRYCLVVLPSALRPNLLGFNVRSFLLLLGFGLVILFVIFSAVYL >ONIVA08G11410.1 pep chromosome:AWHD00000000:8:12454279:12454822:-1 gene:ONIVA08G11410 transcript:ONIVA08G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYAARWIYMALMMWKGASNSGEDLARQKGRVGGGSRMGEARNRNRGKAEEIAVGGWGRRTAARCVGNIGGGRDASAALGAIGVGRGGCEDVVGGGRWRGHREERWTATTIQGAQRRGTDRDDSGGERCGAESEGTAAVTGKGNGRQDGRRPIWRNRW >ONIVA08G11400.1 pep chromosome:AWHD00000000:8:12449644:12453969:1 gene:ONIVA08G11400 transcript:ONIVA08G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLFLAAALLCLASSAQAFKKPYIVYLGSHPYGPDASAEEHARATQSHHDLLGSVLGSKQLAKDAILYSYTKNINGFAAHLEEEVATQIARHPDVVTVMASTMLKLHTTRSWDFMDMERDGQILPDSIWKHGRFGQDVIIANLDSGVWPESNSFTDEEVVGEVPKRWKGSCSDTAKYGVSCNKKLIGARYFNKDMLLSNPGAVDGNWSRDTEGHGTHTLSTAGGRFVPRASLFGYANGTAKGGAPRARVAAYKVCWSGECAAADVLAGFEAAIHDGADVISVSFGQDAPVATVASFLQEPVTLGSLHAAMNGVSVVCSAGNSGPLEDTVVNAAPWVTTVAASTVDRDFPNVVTLGNNAHMTGMSLETTTLHSTQLYSMIKASDAALASSDPAVASTCPPGTLDPEKVKNKIVVCVRGGDIPRVTKGMTVLNAGGTGMILANGEMDGDDIVADPHVLPATMITYSEAMSLYKYMDSSKNPVANISPSKTEVGVKNSPSVAAFSSRGPSGTLPCVLKPDIAAPGVDILAAFTEYVSPTEVPNDERRSEYAILSGTSMACPHISGVIGLLKAARPEWSPAAMRSAIMTTARTQDNTGAPMRDHDGREATAFAFGAGNIHPNRAVDPGLVYDLSKEDYFVFLCSMGFNSSDLAKLSAGNFTCPEKVPPMEDLNYPSIVVPALRHTSTVARRLKCVGRPATYRATWRAPYGVNMTVEPAALEFGKDGEVKEFKVTFKSEKDKLGKGYVFGRLVWSDGTHHVRSPVVVNALD >ONIVA08G11390.1 pep chromosome:AWHD00000000:8:12444056:12448181:1 gene:ONIVA08G11390 transcript:ONIVA08G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASASSLPASAGFGENLVLILDFGSQYTHLITRRVRQLGVLSLCVSGTAPLASLAGLRPRAVVLSGGPHSVHASGAPTFPEGFLEFAAGAGAHVLGVCYGMQLLVQSLGGAVEAGEKQEYGKMEVEVTARSSALYGEGEVGKRQTVWMSHGDEVVRLPEGFEVVARSVQGAVAAVENREKRFYGLQYHPEVTHSPQGMETLRRFLFDVCGIKADWKMQDVLDEEIRTIQSMVGPDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYNERERVMLTFESDLHLPVTCVDASEQFLSKLKGVKDPEMKRKIIGREFIAVFDDFAHKLEQKIGKRPGYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPESFLKRHPFPGPGLAVRVLGDVTEGNALEVLRQVDEIFVQAIKDAGLYDIIWQAFAVFLPVQTVGVQGDQRTHSNAVALRAITSEDGMTADWYYFEREFLVDVVNKICNNVRGVNRVVQDITQKPPATVEWE >ONIVA08G11380.1 pep chromosome:AWHD00000000:8:12436292:12441829:1 gene:ONIVA08G11380 transcript:ONIVA08G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAGAMVRFVAAFLAAVLVMMVPCAPRAAAAAVGVNWGTVSAHRMPAPVVVELMRANRIGRVKLFDADQAALRALMGSGLQVMVGITNEMLQGIAASPAAADAWVARNVSRYVGPGGADIRYIAVGNEPFLTSYQGQFQSYVLPAMTNIQQSLVKANLARYIKLVVPCNADAYQSASVPSQGVFRPDLIQIITQLAAFLSSSGAPFVVNIYPFLSLYQSSDFPQDYAFFDGSSHPVVDGPNVYYNAFDGNFDTLVSALSKIGYGQLPIAIGEVGWPTEGAPSANLTAARAFTQGLISHVLSNKGTPLRPGVPPMDVYLFSLLDEEQKSTLPGNFERHWGVFSFDGQAKYPLNLGLGSPVLKNAKDVPYLPPRWCVANPGRDLNNVENHLKLACTMADCTTLYYGGSCNAIGEKGNISYAFNSYYQLRKQDAQSCDFDGLGMITYLDPSIGDCRFLVGIDDSRTSTIYSSGDCSQRRFGLWILAFLVIGFLQIGVYL >ONIVA08G11370.1 pep chromosome:AWHD00000000:8:12427798:12434233:-1 gene:ONIVA08G11370 transcript:ONIVA08G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLCLKVSLGPGSVPGPGLTLALEGSTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKAREKLLAKEAAQRMT >ONIVA08G11360.1 pep chromosome:AWHD00000000:8:12405887:12410279:1 gene:ONIVA08G11360 transcript:ONIVA08G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKEGTLQALAYFIVPSELPSGNSCYCYCCCFANSIK >ONIVA08G11360.2 pep chromosome:AWHD00000000:8:12405887:12425556:1 gene:ONIVA08G11360 transcript:ONIVA08G11360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKEGTLQALAYFIVPSELPSGLLDITSFGGCRLAKLVLL >ONIVA08G11350.1 pep chromosome:AWHD00000000:8:12402407:12403169:-1 gene:ONIVA08G11350 transcript:ONIVA08G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEADQAQPSPERNTALKSSSPIPGSFPARTPPVASHRPHRSLPSAPAAPPAPRVPSRAPLTPAPPSLPPSLPSPPLLRRPPWGEKSGAGRGEEVGDPRRGVLPPPLHPDQRPSSRSPQTPPGKPQSPSSPTPPPPPQPKHPGGLDLLGSFQPSLDESGLALLGSRGQGHQLLDLLCY >ONIVA08G11350.2 pep chromosome:AWHD00000000:8:12402488:12403169:-1 gene:ONIVA08G11350 transcript:ONIVA08G11350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEADQAQPSPERNTALKSSSPIPGSFPARTPPVASHRPHRSLPSAPAAPPAPRVPSRAPLTPAPPSLPPSLPSPPLLRRPPWGEKSGAGRGEEVGDPRRGVLPPPLHPDQRPSSRSPQTPPGKPQSPSSPTPPPPPQPKHPGGLDLLGSFQPSLDESGLALLGSRPEILVLAI >ONIVA08G11340.1 pep chromosome:AWHD00000000:8:12400680:12402365:-1 gene:ONIVA08G11340 transcript:ONIVA08G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G47790) TAIR;Acc:AT5G47790] MYRGGLDRFKKAQALEPFSVQSGSAAKNVPAAARTAKGPPAPLTLPQNSHVGTSQSHPSPQGASLRVAGQETGAPGHAGTQVGGGQSAWQPPDWAIEPRPGVYYLEVLKDGDVIDRINLEKKRHIFGRQVPACDFVLDHQSVSRQHAAVVPHRNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRTYILRKNSAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDLSRSKDSSGDASGANDDNEPAGRPLKRSKKLRVSFRDQVGGELIQVVGISDGADVETEPGPVGVKEGSLVGKYESLVQVTVIPKGKEQPSPKESASPSGVTDKLKQVLTKVKSTAKGGIYDDLYGDTVPQLLGPSWAYRSDDQAEKVKAADEKKSSGNMDTNSADDNDDLFGDL >ONIVA08G11330.1 pep chromosome:AWHD00000000:8:12370730:12395302:-1 gene:ONIVA08G11330 transcript:ONIVA08G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTRLLVLPASMTTPYPWGRRRGERDRRRRRRSARADAAARRRRPRPRREPIMEEMEANGSKYQQQKLGDVPSSQPHHLNWKKELLKLLLLAAATELQRPWREGVTLRRPPPRLPSSCAVTAAAAARVRETLTLEEEGRGVGDELTEEKVATGGETVPSPSRPSQAAPDAAPGAALPLAMDLDPDDATLFSNRSLCWLRRGHGGKALLDAHECRKKQPDWSKACYRLGASLMSLKDYGSACDALFDGLKLDPADVQIENALREAFQNLKLSRSTKAK >ONIVA08G11330.2 pep chromosome:AWHD00000000:8:12370730:12395302:-1 gene:ONIVA08G11330 transcript:ONIVA08G11330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTRLLVLPASMTTPYPWGRRRGERDRRRRRRSARADAAARRRRPRPRREPIMEEMEANGSKYQQQKLGDVPSSQPHHLNAMDLDPDDATLFSNRSLCWLRRGHGGKALLDAHECRKKQPDWSKACYRLGASLMSLKDYGSACDALFDGLKLDPADVQIENALREAFQNLKLSRSTKAK >ONIVA08G11330.3 pep chromosome:AWHD00000000:8:12395306:12400650:-1 gene:ONIVA08G11330 transcript:ONIVA08G11330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKMLKSRSIKRRRRRINPAVAISTGLTLRLAPEKETARQKERKKERTPKRIISAARHTSHAARLTRRPRDFPPCLTRPCRASPPPTMSELHEQRQRPTHAARIGGEGQQPGAAFIDAVRAQSIHGSAAAAAEAAGER >ONIVA08G11320.1 pep chromosome:AWHD00000000:8:12356558:12365651:-1 gene:ONIVA08G11320 transcript:ONIVA08G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWCERCRRRDEQDYRNLDDCQKHLLLLMMGDFQHEITIPKEFVQRLKGDIPEEIQLETHNRNSYTVRVDKSQEKVIFAAGWAQFVKTFDLRMGDSMMFRFKGNSQFDVIIFDQVGREKVCSVAVDDYLDPNVQEGRTDATETLNSSRAHSQDDYLDPNVQEGRTNATETLNSSRAHSQPMPMQTPATETLNSSRAHPQPMPMQLPTETVNHFHAPHYPMQMPIENMALSRTQAMPTQMQSPPTYRWTQVQRDNLRYSLPSEDQGCRVGVIPDPIIGRRTKLNPVQEKVVNFKIQHIHSEIPIFVAVIKRSNVSGVLSTLSVAKRYVDEYLGGERFISLSRLGGKWGIRLAGGGGSGTRMVGGWQKFVNENDFGVGDICLFELLKNHKGTMEVHIIKAKDIF >ONIVA08G11310.1 pep chromosome:AWHD00000000:8:12354686:12355177:-1 gene:ONIVA08G11310 transcript:ONIVA08G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMCSCWLGQSMRQLVGGVPLWVGKNLGCNRLPEIGVMANDGNDIVLAINMSPGLPTRQLQVRRRRRR >ONIVA08G11300.1 pep chromosome:AWHD00000000:8:12321993:12322301:1 gene:ONIVA08G11300 transcript:ONIVA08G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVCRCFWCFGLGYKKEWSGGVRERASIGHGGTVDAVRGEWEAVMWVPEGVGPTVSERNSKIALEAKSKRVACQGSLEGIQGGFCAARNLG >ONIVA08G11290.1 pep chromosome:AWHD00000000:8:12317973:12318778:1 gene:ONIVA08G11290 transcript:ONIVA08G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGAAPVGNAASGKKIFRTKCAQCHTVERGGAHMQGPNLHGLFGRQSGTTPGYAYSTANKNMAVVWEEGTLYDYLLNPKKYIPGTKMVFPGLKKPQERTDLIAYLKESTA >ONIVA08G11280.1 pep chromosome:AWHD00000000:8:12314800:12315756:-1 gene:ONIVA08G11280 transcript:ONIVA08G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKQNNPRSASHAPRRPRPSPSAASSTAEAPPPSRRRCDPRHPRPPTREEDVTPRHHRRGAASSPPSLRPLACPLIVTTAKEEYTPTACADSSPSFSSGLSATTTRKETPPPLLIPAAISSEASSPSGQGRRLVVANLPLTGSVAAGSSSGYIGRLQPDLEAHSLQLSILHHPGRGTRI >ONIVA08G11270.1 pep chromosome:AWHD00000000:8:12305899:12309113:1 gene:ONIVA08G11270 transcript:ONIVA08G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRILFSIAHVIAWTCNIEYIDFSIAYSEDFIKIMYIASGFGKSPVHHDNIDVAKTVCTFIMQIEYKNNPNMRYIVKLLTIADHINKATWKARPCSRWNKDITLWRQIMVNNPVLDSFFWIARFFFRDQQNFLLQCTATLLNRKLRIRKRNRILSNTDTERIRRRRVEVVRRPALLRGRRGGAATGVAASPRGGGTAAETARRRRRRQRWRGGRRGEVETTGDGRRRQGWGGAAGAQDLDAPPMPRELGNGECRTPPPTQPLSRSRVNLAMGLGQKIFGLLGYGELRISGKKSRIVSDRFRVPTDTIATVFEFISEKNYPNPYPILKISEENPTEAIRIRKQVWNSETIRTTFIPTSKIK >ONIVA08G11260.1 pep chromosome:AWHD00000000:8:12303582:12308833:-1 gene:ONIVA08G11260 transcript:ONIVA08G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSIEYSTENCTMVDMGEFFVRKNHLTCLLSEDEFVNDDEDITPFRFKVPGVLLCCKTNKAVMTTGEQSEDTKDSDDDVVILGNRQWKFNSIKDINETKELELQSVLKKDEPLESDCFNMAIRKFIRFIKLKKQYRIIAWTCNFGYITILVCHALSFIVVILDQEAMRKACPSSRWNKDITLWRQIIVNNPFLDRMMAS >ONIVA08G11260.2 pep chromosome:AWHD00000000:8:12303582:12308833:-1 gene:ONIVA08G11260 transcript:ONIVA08G11260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSIEYSTENCTMVDMGEFFVRKNHLTCLLSEDEFVNDDEDITPFRFKVPGVLLCCKTNKAVMTTGEQSEDTKDSDDDVVILGNRQWKFNSIKDINETKEITILVCHALSFIVVILDQEAMRKACPSSRWNKDITLWRQIIVNNPFLDRMMAS >ONIVA08G11250.1 pep chromosome:AWHD00000000:8:12279930:12280220:-1 gene:ONIVA08G11250 transcript:ONIVA08G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKLAEMLMQLLLVASAVAAFVVGYAMADFQLMLLVYAGGVVLTALVTVPNWPFFNRHPLKWLDAAEADRHPRPQVSATPSTVGKKKAGKNK >ONIVA08G11240.1 pep chromosome:AWHD00000000:8:12264063:12267340:-1 gene:ONIVA08G11240 transcript:ONIVA08G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IA87] MEEEQSPRLAAGEPERKLEDGVTDADAEDPGCTGNGAMSSLEQPLLKRSNTLTASHLAMVGAKVSHIESLDYEIIENDLFKHDWRRRSNVEVLQYIFLKWAMAFLVGLLTGVIASLINLAIENISGLKMLHMVQLVREKTHNSFFFLIAGDEFLMVKNLPSPYCRYWAGFLYFAGVNFGLTFIAAMLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSICAVSSGLDLGKEGPLVHIGACLANLLSQGGSGRHRLRWRWLRYFDNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRNGRCGLFGEGGLILFDVGDVAVRYHAGDLLPVTIVGVLGGVLGALYNHVLHKVLRVYNLINEKGRAAKLALALAVCALTSALLYVTPFAVPCTPCDPAFGGACPTLGKSGNFKRFNCPEGHYNDLATLLHATNVDATRNIFSTGTAGEFRLDSLLIFFAVYCVLGLFTFGIAVPSGLFLPIILMGSAYGRVTALVLSRFARIDHGLYAVLGAAALMSGSMRMTVSLVVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEAKPEPWMKDLTVGELAAAKPRAVALQVVERVSTVVEALRATRHNGFPVLDRPRPGVSELHGLVLRSHLVAALRKRWFLPERRRTEEWEAREMFSSAELADKCGGVDELEISPEEMGMYVDLHPLTNTTPYTVVETMSVAKAVVLFRSISPIVGILTRQDLIAHNILGAFPHLASKRKTH >ONIVA08G11240.2 pep chromosome:AWHD00000000:8:12264063:12267340:-1 gene:ONIVA08G11240 transcript:ONIVA08G11240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IA87] MEEEQSPRLAAGEPERKLEDGVTDADAEDPGCTGNGAMSSLEQPLLKRSNTLTASHLAMVGAKVSHIESLDYEIIENDLFKHDWRRRSNVEVLQYIFLKWAMAFLVGLLTGVIASLINLAIENISGLKMLHMVQLVREKTHNSFFFLIAGDEFLMVKNLPSPYCRYWAGFLYFAGVNFGLTFIAAMLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKVTKKMSHFSNIIGSICAVSSGLDLGKEGPLVHIGACLANLLSQGGSGRHRLRWRWLRYFDNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRNGRCGLFGEGGLILFDVGDVAVRYHAGDLLPVTIVGVLGGVLGALYNHVLHKVLRVYNLINEKGRAAKLALALAVCALTSALLYVTPFAVPCTPCDPAFGGACPTLGKSGNFKRFNCPEGHYNDLATLLHATNVDATRNIFSTGTAGEFRLDSLLIFFAVYCVLGLFTFGIAVPSGLFLPIILMGSAYGRVTALVLSRFARIDHGLYAVLGAAALMSGSMRMTVSLVVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEAKPEPWMKDLTVGELAAAKPRAVALQVVERVSTVVEALRATRHNGFPVLDRPRPGVSELHGLVLRSHLVAALRKRWFLPERRRTEEWEAREMFSSAELADKCGGVDELEISPEEMGMYVDLHPLTNTTPYTVVETMSVAKAVVLFRSISPIVGILTRQDLIAHNILGAFPHLASKRKTH >ONIVA08G11240.3 pep chromosome:AWHD00000000:8:12264063:12266622:-1 gene:ONIVA08G11240 transcript:ONIVA08G11240.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IA87] MLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSICAVSSGLDLGKEGPLVHIGACLANLLSQGGSGRHRLRWRWLRYFDNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRNGRCGLFGEGGLILFDVGDVAVRYHAGDLLPVTIVGVLGGVLGALYNHVLHKVLRVYNLINEKGRAAKLALALAVCALTSALLYVTPFAVPCTPCDPAFGGACPTLGKSGNFKRFNCPEGHYNDLATLLHATNVDATRNIFSTGTAGEFRLDSLLIFFAVYCVLGLFTFGIAVPSGLFLPIILMGSAYGRVTALVLSRFARIDHGLYAVLGAAALMSGSMRMTVSLVVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEAKPEPWMKDLTVGELAAAKPRAVALQVVERVSTVVEALRATRHNGFPVLDRPRPGVSELHGLVLRSHLVAALRKRWFLPERRRTEEWEAREMFSSAELADKCGGVDELEISPEEMGMYVDLHPLTNTTPYTVVETMSVAKAVVLFRSISPIVGILTRQDLIAHNILGAFPHLASKRKTH >ONIVA08G11240.4 pep chromosome:AWHD00000000:8:12266637:12267340:-1 gene:ONIVA08G11240 transcript:ONIVA08G11240.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IA87] MEEEQSPRLAAGEPERKLEDGVTDADAEDPGCTGNGAMSSLEQPLLKRSNTLTASHLAMVGAKVSHIESLDYEIIENDLFKHDWRRRSNVEVLQYIFLKWAMAFLVGLLTGVIASLINLAIENISGLKMLHMVQLVREKNTGPVSCISPASTSA >ONIVA08G11230.1 pep chromosome:AWHD00000000:8:12230289:12234264:1 gene:ONIVA08G11230 transcript:ONIVA08G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGRGKSSKAKKGSSTPLQSKEKIAANVETVAAGSNNRQVAPDDNMPLGEAGYASSRDEVFFEACPWLESDCEDEFYSINGDGTPARSFRTNSSNHAIQPEPRKLPTLGAILKAEPLRPPPPPQETQPTPPSPATTMRLADLLRERQESFTCYDGPACAISRTGSSCGPGNGEQWSHCCIPSFVPRTSVSYAKGRRKRR >ONIVA08G11220.1 pep chromosome:AWHD00000000:8:12227141:12228679:1 gene:ONIVA08G11220 transcript:ONIVA08G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIFSAIVGDVIGRVISLVVSNFNGDHSTEVKLQRICRMLIKIHSVVEEAKGRQITNHGTLGWLSELIDGAYQGRYLLDTIGCGEPDLDDKNHDEVDPKPFSLSKFNPAKRVRVAAFTVRNILSRHDIGVDEIDRVVESLQSMCGDLKEFMMLLQGCQPIHRPLATNIFIEGQMFGRHVEKEMIINFLLHEDDLPRGKLGVLPILGDIGVGKTTLVQHACDDARVRSHFTTILLFNFSHTYKMEMCEPKPVLRPKHVIGDVGNSDDPLHELEQSFFNKRFLIVFEDVDIHKKNMLEELLKSLNCGKQGSKIIVTTSNKHVTTIGTVQPIKLKFLPCPEYWFFFKAHAFAGTDVQENPRLVAAGKSIAAKLNGSFFGAKIIGAILKENPYPKFWCTVLQRDIGGLSLLGDGLGYIADLVEILLPSRLSVKEVFVSKNPLSSETELARLQGLCLPCPSSAPLATHSSELSLAKATSYERVLLCKAVLPFYSLYYTAKCAVDSENCYSKFSVV >ONIVA08G11210.1 pep chromosome:AWHD00000000:8:12215106:12216485:1 gene:ONIVA08G11210 transcript:ONIVA08G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLSTIHHLVTKIQSVIEDAEGRQICNNSLLNWLSELNNSAYQGWYVLDMLRYSAADGEEDVEDDGDNRNQSFAMSRFNHAKRLRLAVNTSKAILFRSSDTCELNCVLANLHKISEDLKEFILLLRSQPPMVDRPTTTSLYIDNRIFGRHVVREKIINFLLQEHNGPFEEALSILPIIGHTGVGKNTLVQLVCNDPRVRNYFPVILYYDFYFMNPTEDSISSTCYHPPRVLTEKFCGKRFLIVFKNIDFRHIQQLKALFPSLRSGKPGSKIIVTSNNRHVSSIGTAKPIILQVLPEAEFWFFFKAHAFGSTDLEENHKLMTIGKAIAQRLKGSFFGAKIVGGMLRANPSPKFWWKVMNSDVWKLPINGNGFAYIQNVTSHLLLPHVKKHYVAVTTALASNCITHPDVQSLCSAGQFVNNPDKRIMGDDGRVHNFDVLLCRSVFPLYTLYHIAHCTMQ >ONIVA08G11200.1 pep chromosome:AWHD00000000:8:12193696:12194148:-1 gene:ONIVA08G11200 transcript:ONIVA08G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCRRRMTSPLSSFVAVLFLIVVAAVQSQAWAVDQLDAEVADDMVPTKPTKPVVVAITGGGDVVVGTLAAPLCLQCRCCSKTNPSNCELTSCSSTFNCDPAGKCTLVQQRCGC >ONIVA08G11190.1 pep chromosome:AWHD00000000:8:12187955:12188402:-1 gene:ONIVA08G11190 transcript:ONIVA08G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCRRRMTSPLSSLVAVLLIVAAVHSRAWALDQLDDMVPMKPAKPVVVAITGGGGGDGVVGTLAAPLCLQCRFCSKANPSNCQLTSCSSSFNCDPAGKCNLVQQRCGC >ONIVA08G11180.1 pep chromosome:AWHD00000000:8:12180387:12180997:-1 gene:ONIVA08G11180 transcript:ONIVA08G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0IA80] MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAGSSKASHADDDDN >ONIVA08G11170.1 pep chromosome:AWHD00000000:8:12175812:12176986:1 gene:ONIVA08G11170 transcript:ONIVA08G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGGLPSQTPGILRPETWPSTKANKRIVRESHKQKANRTRCRDCHRPRLLIFSSRRRIRAAQQRKATHRSAGGRLPIFLPLLRTTVDGSRARGAAMTLRSPSAAAAAAAVYRLLFQFYAGA >ONIVA08G11160.1 pep chromosome:AWHD00000000:8:12166882:12167357:-1 gene:ONIVA08G11160 transcript:ONIVA08G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSHFVAISFFHHQLDQTRRGKMSSSAKTSWPEVVGLSIEEAKKVILKDKPDADIVVLPFGTAVPEDFRFNRVRIFVDTVAETPRVG >ONIVA08G11150.1 pep chromosome:AWHD00000000:8:12146314:12148103:-1 gene:ONIVA08G11150 transcript:ONIVA08G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACASCVLDLPRMQPLPLPVSHYLPHPPRASHAGAGNPSAMPASTAPSLILFGVTCAVGKIPEDWYISVLDSEEPQTCSVRLLFS >ONIVA08G11140.1 pep chromosome:AWHD00000000:8:12099314:12107701:-1 gene:ONIVA08G11140 transcript:ONIVA08G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEIEGAADDGVPVPAPPNGRRYRPVGSSDRAVIQMTSMEPGSSSSTAVAAVSGITPQPPRNLTVDPSMQEDHTVSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKETGPKFGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGVWQSLVLVSFCGACTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAGFFKESVTVVNNTLVNGTATASTATISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSITTFKDNWGSEYQRTNNAGVPDPNGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLFSVLLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGAEPHSATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCVVIMFLISWSFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDTYIEYKRCEGVAEIIVAPSMSEGFRSIVQTMGLGNLKPNIIVMRYPEIWRRENLIQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKETFESCKIQVFCIAEEDTDAEELKADVKKFLYDLRMHAEVIVVTMKSWEPHMESSSSGAPQDDSQEAYTSAQRRISTYLSEMKETAQREGHPLMEDGKQVVVNEQKIEKFLYTMFKLNSTILRYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTFFT >ONIVA08G11130.1 pep chromosome:AWHD00000000:8:12080808:12091419:-1 gene:ONIVA08G11130 transcript:ONIVA08G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse E/G-like [Source:Projected from Arabidopsis thaliana (AT2G04270) TAIR;Acc:AT2G04270] MAARALGPPPPHALGATRQAPRCPHAAAADASAPPTHGYRRGAAALGSALSARRGRHTLCSVQLMDALRGGNLQVEPNMLHSPKPLMSTRRDDSAITCKGFCTISWNLKSDVLDGYIIFVTGDPVTLGCWESDMAVQLSPSVESNNLWTAEIKVPYGVHFKYNYFVREENDASSDIIWRPGPEYSLSIPPVGRKKHVIVVKDLWMKTSVAGIPTPSWGSWLMEANFLEDQFAKSGEHQNIVKAHSVIDTVDRASSVGEHIILRLGNGTPLHVKNISENPSASVHDDFTVTDKANSIKSSISEHERNQPVEEPWILGSVMAPKKSVAAGKHEKNRWKFVNKKQNLSEVSENIPEQDQPVEEPWLFQSKVVAKKPVVQTKGKIEAKDIIRKLRKMDKPPAPLEEDKATSGEPSSRVIVINSSVCTMQRIAVLEDGKLVELLLEPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGLSRPSLMSIKQNRDPFVYPQIVKNAKRDSANFSDYNDDSLPTYEDEDDDMTDGELADEENDDESSAFPAEVVSENEEHMAFLPNSKINMIHSAEFESISSYDEEKDDEIDDHMEDEYNEDLLPGDQSEVSNDLKTLSSIQHALRESSDDTNGSRWSQVRKGTKIMVQVVKEGLGSKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQKDLDRLLSTWKGIIEHAQSAALAAEEGVEGAVPVMLHRSKGQALSVVQDDFNEKVKRLVVDSPRTYHEVTNYLQEVAPELCNRVDLYEKRTPIFDEYKIEKEIDNILCKRVPLHNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDTNKKLVFEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCPCCHGIGRVEALDTSFSKIEREICRRLAASGHKSDPEKPKSWPRFVLRVDHEMCTYLTSGKKTKLGLLSSSLKVWILLKIARGFARGAFELLPYSDEKETDERKEETSESPPKEAGSPKLSVFPIKKWMSRAKRAK >ONIVA08G11120.1 pep chromosome:AWHD00000000:8:12077308:12079667:1 gene:ONIVA08G11120 transcript:ONIVA08G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGANASLLLELESMVARRLDQATLGVVMIPCTALLDVPLVMMARLVDAYLVESALEARLRPAEFEESSPAPSDGLKCYDLVEGKGPTAVKGSIVQVEKFVSYSVM >ONIVA08G11110.1 pep chromosome:AWHD00000000:8:12070790:12073635:-1 gene:ONIVA08G11110 transcript:ONIVA08G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEIDRAINRIPLLRFLPPQWQLRLRLSSTSGFPQSPFDPLTLASPRLGESDSEHSQPDRSEFHGLNHGGTGRGEGRLSLSHTHTEDVTQTAISQAH >ONIVA08G11100.1 pep chromosome:AWHD00000000:8:12069304:12069957:-1 gene:ONIVA08G11100 transcript:ONIVA08G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54500) TAIR;Acc:AT1G54500] MALAMAPRLVHHPCCMMLSKNPRTPPPPPAMHHHHAHKPLITALTSTSSFLLRSVDVSKDDKPLETATTTTPPTPAPAAAAPETEQAEAVASPELELELEEGPKVDPRRLEEKFAVLNTGVYECRSCGYRYDQAAGDPSYPVPPGLPFEQLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNSLTGDQKALLIYGSLLVGFLFFLSGYFLQ >ONIVA08G11090.1 pep chromosome:AWHD00000000:8:12049953:12053739:1 gene:ONIVA08G11090 transcript:ONIVA08G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IA71] MAPKRRKEASTSTTISPPLPPGHSSIFYLELSNLATSYGQLMSAISSEAEVIITLESPSNSKGVKQFCKISDKLYTPSGGTFLVQITPDNSSPLEDRLTLLFRWKDLYFEAFHSKGKWYRMGDAEETLPPRSQLNYPMKEHQGIVKIPFETTYASVLGKKSFQCAPMGPGSFHRCYKTLMTSGEVHKDSLKQLLGHGFPLALPVMGISEPLRFPLYQEWLQNVLILAGASDGDQLDRDPLDNMFDKR >ONIVA08G11080.1 pep chromosome:AWHD00000000:8:12045931:12049411:-1 gene:ONIVA08G11080 transcript:ONIVA08G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACARAATREGGGGGVLSDATRAVFEPRTPASADKNTHAYGSCGLHGSTVKPASWQSGTRRRGRESRTRDFGKRLKRDQGLWVPLDFQQKLDDVGYINYEIPGFV >ONIVA08G11080.2 pep chromosome:AWHD00000000:8:12046205:12049411:-1 gene:ONIVA08G11080 transcript:ONIVA08G11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACARAATREGGGGGVLSDATRAVFEPRTPASADKNTHAYGSCGLHGSTVKPASWQSGTRRRGQDQRFWEEIEEGPRAMGPLGLSAVLPGYAICDSFRARPSLISGLRTYIK >ONIVA08G11070.1 pep chromosome:AWHD00000000:8:12043297:12045743:1 gene:ONIVA08G11070 transcript:ONIVA08G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASGLCAMMEPSGSGDEGPAAAAAAVVNNPMQVLDLEGESVAGHLIEQQTNGDDSIGKMGELTKKVTMEKEEEHGGGMAAGKEEKQQPTHLKKQQQQVGKVKKKRLPDFGQELTWEEKAVSVLDIVRRYQLTEYDPKSKEFTPTRVSFCFCNMAFFDHDKESKISPGSPIRTIPSSKFVMLEGSVNVIAIKVTESDSGYPISIFGTVLARDKQDYRCVYLFRRDRDHPQLITSPEDTLTLTGPKRGLATKGSMYFEFNLKIKGDGATDKDFSKGFIEHDAVAYEKPLKTLELESFMSRVAFIYTPVPYAVQATLAVNFLEGLSNFTGTVSAWTTGNVENEIILYDSRVEGTETTVRNDGRVTLTRNIVAVVCKHKLVLKVCVFEGGSEVACFKFVLGHRNEECTRKKGPYVLQVKVRWIGIIEHYNRKMWERIGRFGNILW >ONIVA08G11060.1 pep chromosome:AWHD00000000:8:12036203:12042631:-1 gene:ONIVA08G11060 transcript:ONIVA08G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESERSGMVIDDVGGGGLNLPIVAGKRKRELTWEEKAALTVLDIPAERDCSLIDSEKDYSSKLARGPPIKSLAFPDYWWEMDSVNVIAIKVAESDVGYPIRVFGTVLARDEYDFRCVYLFRRDRNNPQIITSPEDTLTLTGPNRALGATDKMYFEFNLKIRDDGDVDKDFCKGVREHNAICYTKQPMTLSLESCLSTIDFVYSPVQLAVEASVAVKIKGVVSTFFTGKVTAWTTGDDQNKIILYDSEVEGSNRVLGADGSVDLTRCFVAVNLDDELVLNVCVSEGAGSIFELVLGHDDEECVREQGPYELQVNVVWTAALKHRQRRKLFERIGDFRVLR >ONIVA08G11050.1 pep chromosome:AWHD00000000:8:12028129:12030940:-1 gene:ONIVA08G11050 transcript:ONIVA08G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVVETHSRVGAETRGWGQRTRPSFGWERPISPPPPPPSDRRGGFPLPAMFLSGQMLVLSPLLALMLDQLRKLPAFVQDGLFASSQHHRCLFGVGYQDHRQLPPLSPPAIRFAT >ONIVA08G11040.1 pep chromosome:AWHD00000000:8:11999492:12001829:1 gene:ONIVA08G11040 transcript:ONIVA08G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAVIHSHGMETCIATMLNTGAKEFRL >ONIVA08G11040.2 pep chromosome:AWHD00000000:8:11999492:12001824:1 gene:ONIVA08G11040 transcript:ONIVA08G11040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAVIHSHGMETCIATMLNTGAKEFRVNLLVSFPHES >ONIVA08G11040.3 pep chromosome:AWHD00000000:8:11999492:12001824:1 gene:ONIVA08G11040 transcript:ONIVA08G11040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAVIHSHGMETCIATMLNTGAKEFRVNLLVSFPHES >ONIVA08G11030.1 pep chromosome:AWHD00000000:8:11987710:11987973:-1 gene:ONIVA08G11030 transcript:ONIVA08G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPALPRLHVVCTPLPPRGWASPPVDLVADPRTASARQGPPSICELQPAGLVPAASLAPPASLWLPPTQDQRPCRYLYMATDVAV >ONIVA08G11020.1 pep chromosome:AWHD00000000:8:11970811:11973297:-1 gene:ONIVA08G11020 transcript:ONIVA08G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLRRLAVVVAVVALTAATAAEGYNITKILGDHPEYSQFNKLLTETRLAGDINRRRTITVLVVANGDMGALSGGHYTLPTLRHILEMHILVDYYGAKKLHQLARGDTASSSMFQESGSAPGTTGYVNITQHRGGRVSFTAEDAADSATPSSFVKSVKEIPYDLAVLQISKPLSSPEAEAPVAPPAPVNLTELLSKKYCKNFAGLLASNADVYSNINATKDNGLTLFCPVDAAVDAFLPKYKNLTAKGKAAILLYHAVPDYYSLQLLKSNSGKVSTLATASVAKKDYSYDVSNDRDSVLLDTKVNSASVTATVKDADPLAVYAISKFLQPKELFKVTEDLAPAPAPEGPKKKTKKKKPSTTSAAAAPSDDSSAADSPDGTPADDVADKAAAAPSVLARWVTAAATVAAALALAA >ONIVA08G11010.1 pep chromosome:AWHD00000000:8:11961472:11961924:1 gene:ONIVA08G11010 transcript:ONIVA08G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLRLLLLAGAATAAAVAADNATATGGSGDGATGNNNNNNNPTICSGEGCQPPPGQPLPIYGYPSPPPPSQPAGPSSHTPPCPPAAVVCCGGGQYTPQQPYYYAPPAGYVPYYNNSAASPPVLLAHAAVGYYYYVMAAYLLLWLVV >ONIVA08G11000.1 pep chromosome:AWHD00000000:8:11956399:11960344:1 gene:ONIVA08G11000 transcript:ONIVA08G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDFEMHFLLHEIAGCVASPTIANVDDCTEGEGALGYTMTEICDKFIEFFMYKKPQTKDWRKLLVFREEWERYRPYFYKHSQARIDMENDSSMKQKENPTDINGIVARRRKDFNGGFFQHLNFLANAYNGLDERDAWVELNTAFDDILNSSSLDDACDKIKSLAKTKELDSSLILLINRAWAAAKDSTTMKNEVDYTSSVNYGVSRVIHASSSSLLATAVITPDHTLCGFMFPLHLKYNVSYLYNNYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPGDEHEAKDEDALYT >ONIVA08G10990.1 pep chromosome:AWHD00000000:8:11942709:11946855:1 gene:ONIVA08G10990 transcript:ONIVA08G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLASPSVYRASFPGASSASRSPSRARLAVTPAGAGARARARSLLRCGAKDSILEELAQDDMVNAVELAQWENGKSINDIAASQGIRIRRHCRPNVPLKEIEEELGAPRNILEKIIWDKEVEVAEGHAKKPLKEVIEAAGQAPPARDFYGALASAFKRNGMPALIAEVKKASPSRGVLRENFNPVEIAQAYEKNGAACLSILTDEKYFQVALELDVLMDLELEHGSFENLAKVRKSGVKCPLLCKEFVIDKWQIYYARSQGADAILLIAAVLPDLDIKYFLRICKELGMTALIEVHDEREMERVLNISGVQLIGINNRSLETFVVDTSNTKMLLDMHGDTIREKGILVVGESGLFNPDDVAYVQNAGVSAVLVGESLVKQDDPGRAIAGLFGKELLH >ONIVA08G10980.1 pep chromosome:AWHD00000000:8:11932024:11938867:1 gene:ONIVA08G10980 transcript:ONIVA08G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANMKTLRSYMSDLEEEATKRSAEEQRQRTAIDAHGADLAQVRAQTKQASEESEQLSKARAELCVEISEKQGRIATLEIECATLKQTLELLHQEIASTSSKLIEKRLFYTKTIESLTVKLQEQQEWLGAFKLKVEESQSKQNLQGQSHGILNSCGSLDKGNDIGSKQGELRIQLESTKHKIDEIKEKQSALLTEISESKQVIEQEKNAISGFLAPLQQMDMKSLEEEHKALQADKAGEIEYFQSLEERINEMKGVSDAVKCRCGLEYKVELGGEAMDLS >ONIVA08G10980.2 pep chromosome:AWHD00000000:8:11932024:11938867:1 gene:ONIVA08G10980 transcript:ONIVA08G10980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANMKTLRSYMSDLEEEATKRSAEEQRQRTAIDAHGADLAQVRAQTKQASEESEQLSKARAELCVEISEKQGRIATLEIECATLKQTLELLHQEIASTSSKLIEKRLFYTKTIESLTVKLQEQQEWLGAFKLKVEESQSKQNLQGQSHGILNSCGSLDKGNDIGSKQGELRIQLESTKHKIDEIKEKQSALLTEISEAPLQQMDMKSLEEEHKALQADKAGEIEYFQSLEERINEMKGVSDAVKCRCGLEYKVELGGEAMDLS >ONIVA08G10980.3 pep chromosome:AWHD00000000:8:11932024:11938867:1 gene:ONIVA08G10980 transcript:ONIVA08G10980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANMKTLRSYMSDLEEEATKRSAEEQRQRTAIDAHGADLAQVRAQTKQASEESEQLSKARAELCVEISEKQGRIATLEIECATLKQTLELLHQEIASTSSKLIEKRLFYTKTIESLTVKLQEQQEWLGAFKLKVEESQSKQNLQGQSHGILNSCGSLDKSKQVIEQEKNAISGFLAPLQQMDMKSLEEEHKALQADKAGEIEYFQSLEERINEMKGVSDAVKCRCGLEYKVELGGEAMDLS >ONIVA08G10970.1 pep chromosome:AWHD00000000:8:11925876:11930235:-1 gene:ONIVA08G10970 transcript:ONIVA08G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIPPESGDENVSASADEEGMEEEVNDHPIEEVRNTVPVTDDPSEPCLTFRVWVLGLSSCVFLAFVNEFFMYRTTQLSIGTVVVQIITLPIGRLMASTLPARRLRVGGWSFSLNPGPFSLKEHCLIIIFAGAGASGVYAMNIIAIVKVFYKRQISPYAAMLLAQTTQLLGYGWAGLFRKYLVDSAYMWWPSNLVQVTLFRAMHEEEKRNKGQLTRLQFFIMVMTCSFAYYIVPSYLFPAISTISVLCWLYRDSVTAQQIGSGASGLGVGSFGLDWNTVVGFLGNPLASPAFAIFNVMAGFALSTYVAVPILYWTDTYNAKRFPLVSSHVFNAAGGRYDTARILDPATFTLNLREYDAYGRINLSILFAINYGIGFAGLMSTLSHVALYHGKQLAKLLTSICSSRDIWGLWRKATAEQANGGGKERQDVHTRIMKRNYKAVPQWWFHLMLAIVMALSLYTCEGFGRQLQLPYWGLLLACAIAFTFTLPIGVISATTNMQPGLNIITELIIGYLYPGKPLANVVFKTYGYISMTQALTFVSDFKLGHYMKIPPRSMFMVQLAGTVVASTVHFATAWWLLTTVRNICDVDSLPLGSPWTCPGEDVFYNASIIWGVVGPLRMFGRLGNYWQMNYFFLIGVLAPVPVWLLSRRYPRSALLRDINLPLVLAGASGLLPARSVNFVMWGLVGFVFNHVVYRRCRAWWMRHNYVLAAGLDAGVAFMGVLTFVSLGYFDIYGVQWWGGAADDHCPLASCPTAPGVFARGCPPVPS >ONIVA08G10960.1 pep chromosome:AWHD00000000:8:11915884:11920484:1 gene:ONIVA08G10960 transcript:ONIVA08G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKMKEHDGASPAKIFIGGLPKDTTMGTFKEYFGKYGEIVDAVIMKDRFTQKPRGFGFITFADPAVVDRVIEDNHVINGKEVEIKRTIPKGAAPLKDFKTKKIFVGGLPSALKEDEFKEFFSKFGKVVEHEIIRDHSTNRSRGFGFVVFDAEKTVDELLAKKGNMIDLNGSQVEIKKAEPKKPSNPPHRSFDSEPRGRPHADGYDGLGNSYNYGGSFGPYRSPGSFGTRPGGYSSSYGPGDYGSGYGAYGGALGGYRGESSLYSSRFGSTYGGSFGGGYAGGSYAGGLGGAYGRDAGGFGGSSYGPSYDSSSGPGAGVGFGTGGLYGARGGYGSSGAGASGRYHPYAR >ONIVA08G10950.1 pep chromosome:AWHD00000000:8:11905226:11911189:1 gene:ONIVA08G10950 transcript:ONIVA08G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAPSGSSADASASSSSSAVEDLAPGMAAMSLQDRFELLRGIGEECIQEDELMNLLQKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWRAAGMNLDGVEFLWSSEEINNRANEYWPLVMDIACKNNVKRIMRCCQIMGRNDSDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCTDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEVNLKIKQAFCPPNVVDGNPCLEYIKYIVFPWFEMFEVVRKEANGGNKTFTNMDELIDDYKTGALHPADVKPALAKAINQILQPIRDHFNNNSEAKILLNTVKKYRVTN >ONIVA08G10940.1 pep chromosome:AWHD00000000:8:11899623:11905020:-1 gene:ONIVA08G10940 transcript:ONIVA08G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLAPLFLLLLLLLLPLHAAATPSAHPAYPNEPPSCAAAAAAAVPVPERREAHGGGRILDITHYYREDMPSWESDGGVGQFLWLPASMRNGSRANNSEMRLPTHTGTHVDAPGHVFQHYFDAGFDVDSLDLEVLNGLALLVDVPRDDNITAKMMESLHIPKGIQRVLFRTLNTDRQLMWKKEFDTSYVGFMEDGAQWLVDNTDIKLVGIDYLSVAAFDDLIPSHLVLLKNRDIILVEGLKLENIMPGIYSLHCLPLRLRGAEGSPIRCILIK >ONIVA08G10930.1 pep chromosome:AWHD00000000:8:11859832:11862008:-1 gene:ONIVA08G10930 transcript:ONIVA08G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAAAYRDLERLRGFVEREGGGGGASLAAPDGNGYHALQWAALNNYPKRRSLHHRGPAALLHGRDVNAGDNAQQTAQHWAAVRRAIAAAKQEMNGGGEVEYWEEWVTVFGFRNELPDPSFKLKWLPLNKDKDQPKVPWLLGGIFLLAAGKMHSEI >ONIVA08G10930.2 pep chromosome:AWHD00000000:8:11860131:11862008:-1 gene:ONIVA08G10930 transcript:ONIVA08G10930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAAAYRDLERLRGFVEREGGGGGASLAAPDGNGYHALQWAALNNYPKRRSLHHRGPAALLHGRDVNAGDNAQQTAQHWAAVRRAIAAAKQEMNGGGEVEYWEEWVTVFGFRNELPDPSFKLKWLPLNKDKDQNEVAELGI >ONIVA08G10930.3 pep chromosome:AWHD00000000:8:11860131:11862008:-1 gene:ONIVA08G10930 transcript:ONIVA08G10930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAAAYRDLERLRGFVEREGGGGGASLAAPDGNGYHALQWAALNNYPKRRSLHHRGPAALLHGRDVNAGDNAQQTAQHWAAVRRAIAAAKQEMNGGGEVEYWEEWVTVFGNEVAELGI >ONIVA08G10920.1 pep chromosome:AWHD00000000:8:11645852:11671851:-1 gene:ONIVA08G10920 transcript:ONIVA08G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subunit of exocyst complex 8 [Source:Projected from Arabidopsis thaliana (AT3G10380) TAIR;Acc:AT3G10380] MSRTGGRRRIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLAKLRGVLFYKILEELHSHLYNNGEYSSVTLSMVDNEELPTSTATGRLVNSMQPLSRRTRSVKGDNHFGASADGIPKTSSVGGSSFDGPDDDSSIDMHESDGGRSRRDSKSISREVPIFLSCATPDEFLESVTKADASLSVKYLRTLVHQRVRPTIHDVITSKIRAYSEETSKSNVNKAANENSDVSHSNGRAARYQLLKQKTKNGASLMASQLVVSPISPAMAPTGDAQCAASQLLSAIFECLVDILENHITVGELLEQKSSTEVDNANTPHMANGDASWNPDSESSQATGGFTSECQQLLCEILRATPEAATADAAVQTARLANKDPGWIRRPFFCFSHYGCSNHCAKRRNDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSVYSPLVENGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLDPANLSLQNSFGQLDHSIPDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFINSSTMLENKNHIHQGRHTRSTSAIPKSLASLANEYRRLAIDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIAESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRIDRVRTFYELLNLPFESLLGFIAEHEYLFSAKEYLSVLKVNVPGREMPMDAERRISQILGH >ONIVA08G10920.2 pep chromosome:AWHD00000000:8:11645852:11671851:-1 gene:ONIVA08G10920 transcript:ONIVA08G10920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:subunit of exocyst complex 8 [Source:Projected from Arabidopsis thaliana (AT3G10380) TAIR;Acc:AT3G10380] MSRTGGRRRIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLAKLRGVLFYKILEELHSHLYNNGEYSSVTLSMVDNEELPTSTATGRLVNSMQPLSRRTRSVKGDNHFGASADGIPKTSSVGGSSFDGPDDDSSIDMHESDGGRSRRDSKSISREVPIFLSCATPDEFLESVTKADASLSVKYLRTLVHQRVRPTIHDVITSKIRAYSEETSKSNVNKAANENSDVSHSNGRAARYQLLKQKTKNGASLMASQLVVSPISPAMAPTGDAQCAASQLLSAIFECLVDILENHITVGELLEQKSSTEVDNANTPHMANGDASWNPDSESSQATGGFTSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRQFMNKIGLMLPQKYWQLGNDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSVYSPLVENGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLDPANLSLQNSFGQLDHSIPDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFINSSTMLENKNHIHQGRHTRSTSAIPKSLASLANEYRRLAIDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIAESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRIDRVRTFYELLNLPFESLLGFIAEHEYLFSAKEYLSVLKVNVPGREMPMDAERRISQILGH >ONIVA08G10920.3 pep chromosome:AWHD00000000:8:11645336:11671851:-1 gene:ONIVA08G10920 transcript:ONIVA08G10920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:subunit of exocyst complex 8 [Source:Projected from Arabidopsis thaliana (AT3G10380) TAIR;Acc:AT3G10380] MSRTGGRRRIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLAKLRGVLFYKILEELHSHLYNNGEYSSVTLSMVDNEELPTSTATGRLVNSMQPLSRRTRSVKGDNHFGASADGIPKTSSVGGSSFDGPDDDSSIDMHESDGGRSRRDSKSISREVPIFLSCATPDEFLESVTKADASLSVKYLRTLVHQRVRPTIHDVITSKIRAYSEETSKSNVNKAANENSDVSHSNGRAARYQLLKQKTKNGASLMASQLVVSPISPAMAPTGDAQCAASQLLSAIFECLVDILENHITVGELLEQKSSTEVDNANTPHMANGDASWNPDSESSQATGGFTSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRQFMNKIGLMLPQKYWQLGNDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSVYSPLVENGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLDPANLSLQNSFGQLDHSIPDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFINSSTMLENKNHIHQGRHTRSTSAIPKSLASLANEYRRLAIDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIAESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRIDRVRTFYELLNLPFEEYMTDKTTTYNMVST >ONIVA08G10910.1 pep chromosome:AWHD00000000:8:11642145:11643536:1 gene:ONIVA08G10910 transcript:ONIVA08G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGGVVHAQLVNVARDESPVCQALEAAGGAGWDGMDGCPTDCECRSFTGNVTTSYLPFLVVLPASASTARERGEKGSTKLGLLGGMSVVLSRR >ONIVA08G10900.1 pep chromosome:AWHD00000000:8:11640504:11640698:1 gene:ONIVA08G10900 transcript:ONIVA08G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATPAISGEGSGLGELQGSESAAVAVALAEVAGSGDGQTRWRWGDLTGKVPAADWMGKERGK >ONIVA08G10890.1 pep chromosome:AWHD00000000:8:11579863:11587993:1 gene:ONIVA08G10890 transcript:ONIVA08G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0IA42] MAAQVQAVPAAEGGGAPPQANGVVAAGSAAAAAATFQATSLYVGDLDVSVQDAQLFDVFSQVGSVVSVRVCRDVNTRLSLGYAYVNFSSPADAARALEMLNFTPINGKPIRIMYSNRDPSSRKSGAANIFIKNLDKSIDNKALYDTFSVFGNILSCKVATEMSGESKGYGFVQFELEEAAQNAISKLNGMLLNDKKVYVGPFVRKQERENVSGNPKFNNVYVKNLSESTTEDNLKEIFGKFGPITSVVVMREGDGKSRCFGFVNFENPDDAARAVEDLNGKKFDDKEWYVCRAQKKSEREMELKEKFEKNIKEAADKNQGTNLYLKNLDDSIDDDEKLKEIFADFGTITSCKVMRDLNGVSKGSGFVAFKSAEDASRALVAMNGKMIGSKPLYVALAQRKEERRARLQAQFSQMRPMVMPPSVAPRMPMYPPGVPGVGQQLFYGQPPPAFVNPQPGFGFQQHLIPGMRPSVGPIPNFVMPMVQQGQQPQRPAGRRAGTGGIQQPMPMGHQQMLPRGSRGGYRYASGRGMPDNAFRGVGGLVPSPYEMGRMPLSDAGAPPQVPIGALASALANSPPDQQRLMLGESLYPLVDQLEHDQAAKVTGMLLEMDQTEVLHLIESPDALKAKVAEAMEVLRNAQQQQANTPTDQLAALTLSDGVVS >ONIVA08G10880.1 pep chromosome:AWHD00000000:8:11560266:11561453:-1 gene:ONIVA08G10880 transcript:ONIVA08G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTGTELVWRRRGRSVARRRWDGGSWGATITHIPASDYNGTLLSKGRGRMQCDVRAEALAVASPMGGGGLIMEDDDSVIIDNATSLEASFEGSFLSKPSSDGLSSSCGMLVNARWPQ >ONIVA08G10870.1 pep chromosome:AWHD00000000:8:11532142:11551336:1 gene:ONIVA08G10870 transcript:ONIVA08G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQVEEGVVQIKHAASGNDDQEFIAIDGEPHLYDGPWEYSVSVGWAVANLTCPEAKQNVSGYACVSTNSNCVPVNSTFGYVGYRCNCTKGFQGNPYIQNGCKGICSSIFVVLIALLGMQVIIHRRSMKRQFLIRQRDEYFQQHGGQLLSDMMKIDRNLEFTLYRQEDIEVATNNFDKNQIIGEGGQGTVYKGFIENIPVAIKRCKGMDESRRMEFGQELLILCRVNHDHVVKLLGCCLLFEVPILVYEFVPNKTLHDLLHGQDRRYYISLATRLRIAAESSQALGHLHSLARPILHGDVKSANILLGDNLIAKVADFGCSIIARMDEEALVAKGTVRYLDPEYLQSCKLTDKSDVYSFGVVLVELLTGKKPRCLVSMFQDAMKEGTVDELIDEEIINEDNLEVIHQVAELTSRCLTMPGDKRPAMSQVAQELRQFTELVRQRPDAVGDLIALREVDRSFTGTTDSTGYTRSRTTGTIDSTGYTRSRTTEASTMRSLEPCLHSRCPENVSKPSPWREERPLGGERRALALFVLFTEDPHSLSFPSPQFPLLPLTWDDDGRAAATNLDGAGEDSSLRRSRARRTRMMQALLMPPLVLRRTMVATLLCLTVAMAACLAAVAASCQRKCGEIDIPFPFGIASQPGCAMTGFELSCNHTGNSVPTLLLRNVEVLGISLPLGQARMKMDMSYECYNTTRKDIDCVDMVDLNLKGSPFTFSDTANKFIVFGCRMLVYLGPGEQNNVGSNLTIGCAATCGIGDDLVSINSAGSFGIGCCQTNIPKGIQYYKWFDGRFNTTDIYNWTRCAYAALVETSSFNFSTILMNVRILESTFAMGNASINQAGMIVFALRVPEEMLLLDHVGKRFHCLLVLLLVWLLVLEFLYSAYLWYYLSVNKEVTFRSNNGKNILGKNQGLLLQQLISSDERASDNTKIFSLEELKQATNNFDPARVLRSGGHGMVYKGILSDQCVVAIKKPNIIREEEISQFINEVAILSQINHRHIVKLFGCCLETEVPLLVYDFVPNGSLNQIIHGATSNRESSLSWDDCLRIATEAADALYYLHSAASVSVLHRDVKSSNILLDANYTAKVADFGASRLIPNDQTHVFTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRRQPSFECESGTKKNLSIYFLYEIKGRPITEIVAPEVLEEATEDEINTVASIAQACLRLRGEERPTMKQVEMSLQSVRNKCFSSAGTSPESNHGMQQPLSETYVNQHQSLGVHTIGIINLASSNCNSFQQEFMLSASFAR >ONIVA08G10870.2 pep chromosome:AWHD00000000:8:11526235:11532142:1 gene:ONIVA08G10870 transcript:ONIVA08G10870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIFLLPGAYSLLVLVLPLIPGSAVPAPTLAGCNNSCGNLTFAYPFGVGQDCFRNPDFELICLLSNATQPPSLFLQGGILQVVDIITVDDIVVDDSFISFRVNMSDAITVVPGVDVYNYTWTSPKSFRLIDTVVYIVGCDVDVYYENKSVSLCNITCPNKTMTEADAMMNCNGTGCCSIWLEDYISSFDLQFVRHNKTESHSSNSLWNSIQLSLYPPYLKWSIVDQPRCSSALHNRTSYACVSRNSSCLDSNSTGFGYLCSCDSGFAGNPYIPEGCSCDKDIILFNRRQTVPGGVGTSVFPIHLVSKRVVLQENYSISTVQTQILQLCNSTTIIR >ONIVA08G10860.1 pep chromosome:AWHD00000000:8:11501063:11503334:-1 gene:ONIVA08G10860 transcript:ONIVA08G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPRPVLPAAAAASTSSSSRCRYRITTSSSARGWSNCQGWRLRHRVWAAHAADQQGGVQQQQQQESEDDVVDSNVLPYYSINRKEKKTIGEMAEEFLSRRCRPAFYYDKKAVISNEVFDNLKEELMWEGSTVVMLNDSEFVIAFAGLAPSYYVHPMQVTLEAQFLRQHISIIKKREVKSYKKVQDAVIRVESLVIT >ONIVA08G10850.1 pep chromosome:AWHD00000000:8:11481416:11482376:1 gene:ONIVA08G10850 transcript:ONIVA08G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAKRVRWSSSVWLDPDDNNTVTLRFDNGTEAWRYWCASSYGGQWAPKLSWRELLDGVTASRHHRARTAFVPCYTARTAAGGGRCGNAGIGQLKQRVLELDAGGVLSVGEIRVGVDEDSEPVQAWKSRMGQSSKRRSSSADDVASAAAGSSNENMAPASAPCLASQTPLRPSVAPRPASPRR >ONIVA08G10840.1 pep chromosome:AWHD00000000:8:11475887:11476310:-1 gene:ONIVA08G10840 transcript:ONIVA08G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSLQRNIPQIRDQSVRPKLADELVPHGTSDGVKPPVCSCALPRETAAGSATAAVGSATAAVGGSPTAAVAVLALLSTGLSLSLSRVDLAAVVVVEPRLLYAKADRASSRFSARPTTSGGEGRGGVGHGCCKRRCRWL >ONIVA08G10830.1 pep chromosome:AWHD00000000:8:11456109:11462063:1 gene:ONIVA08G10830 transcript:ONIVA08G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSMDSQDKFVFQSKSLQHGDASKPHEINLFQNSGIYTVGDFMTKREELHVVKSTTSVDEALEMLVEHRITGFPVIDDEWNLVGVVSDYDLLALDSISGNGLAEVDIFPEVDSTWKTFNEIQKLLSKTNGKVIGDVMTSAPLVVRETTNLEDAARLLLETKYRRLPVVDSSGKLVGIITRGNVVRAALKIKKKFEEI >ONIVA08G10830.2 pep chromosome:AWHD00000000:8:11451957:11462063:1 gene:ONIVA08G10830 transcript:ONIVA08G10830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLLLLCADFSAVAGGRRRPSLPSEPRLAAPAAGSRAPPSRASVRPSAAAAPLAARGLPHHASVAGQNSGIYTVGDFMTKREELHVVKSTTSVDEALEMLVEHRITGFPVIDDEWNLVGVVSDYDLLALDSISGNGLAEVDIFPEVDSTWKTFNEIQKLLSKTNGKVIGDVMTSAPLVVRETTNLEDAARLLLETKYRRLPVVDSSGKLVGIITRGNVVRAALKIKKKFEEI >ONIVA08G10830.3 pep chromosome:AWHD00000000:8:11451931:11453104:1 gene:ONIVA08G10830 transcript:ONIVA08G10830.3 gene_biotype:protein_coding transcript_biotype:protein_coding LPGCLSSIPFYPNGTQAQAEPQVPPPHLLARHSPQPHHDEPRRERAGGGVREGERLCVCVTGVGGTCSHGRHAAAPVRRLLGCCRRPPAAFPALGATVGGSRCWVPCAAVESLRPPVCCRRPARRARPAAPRLRRWGCRRRRRGRSDGVTA >ONIVA08G10820.1 pep chromosome:AWHD00000000:8:11420667:11426618:-1 gene:ONIVA08G10820 transcript:ONIVA08G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRASSSFFEDLTHPIGGSPPAAKRTRCGGAFFPPPPPPTWPRGVTKNDLVARLSTQFPAMSLEMIEKALDKSGNNVDSAIRSLLNLHLESVQNNSGVAFEPIQETTEVQVSAEDGNEIGAPSESAPCPENFPSNGSEWVELLVNEMTTASNMDDAKSRATRVLEAFEKAVVSHVNAQGPHDFQKENAVLKGQMESLTRENTILKRALAIQHERQKDYDAKNQELQDEKQRIAEFQEQVRNLELNNYRLSMLLRQAQQGSSIPGRFNPDVF >ONIVA08G10810.1 pep chromosome:AWHD00000000:8:11419377:11420040:-1 gene:ONIVA08G10810 transcript:ONIVA08G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLAVAALVLGSAAHPPPLLSSTREGDARPSTNAACLIRLWGFAGTATCPHIPFPGGLLRHTPPRVVLVVP >ONIVA08G10800.1 pep chromosome:AWHD00000000:8:11410095:11413126:1 gene:ONIVA08G10800 transcript:ONIVA08G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e/L15 superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G64670) TAIR;Acc:AT5G64670] MWRRASHLLRATATATATAVSRRVPHPHPAPATAISTVLPAPKLASSLSYATQAAAAAAVPAARAPRTVGSLLRLNDLRDNPGARKQKTRKGRGIGSGKGKTAGRGHKGQKARGTARFGFEGGQTPLRRRLPRRGFKNRFSLTFQPCGLGKIAKLINAGKIDSSELITMKTLKVSRTTARAKAAVEAAGGTVRLVYYNNLGFRALLKPEWFAKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELEFAAKREAARVIA >ONIVA08G10790.1 pep chromosome:AWHD00000000:8:11391056:11391605:-1 gene:ONIVA08G10790 transcript:ONIVA08G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRQYQRRLAEKASEMITKKNLAAEDHQCRQRGCRCAGGDGDNESGDVVVQRDDTVVAPCRCSDEKSTGDEVMLRRAPLSTATTNRGGPRAVALKPKGVT >ONIVA08G10780.1 pep chromosome:AWHD00000000:8:11386737:11387162:-1 gene:ONIVA08G10780 transcript:ONIVA08G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEQAHIEMAWWSWGRHPRGPGGRRPRPVESGTSSGATRRRESSDIGLGPRRGRPPGGCVDGSLRRRRSPAVEVTSSSLKRKQLGGGGGQATGGDEVSGDRVTGGAMVSEERQGSAWPAEESRWWWSAEGDVDSGGAVP >ONIVA08G10770.1 pep chromosome:AWHD00000000:8:11377720:11380855:1 gene:ONIVA08G10770 transcript:ONIVA08G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTTTTTATTATVAAMTTMMMTSSGRRAGGVGPRRRAGAGQGCMATGQRRVGAPAQELYAVAAASVSATRSTGPAMVAPECQPKNVTIGMRASGLQHQVALVSIGGWSPNQGMPQPAIILNPSFSRHRRGLCPGRPYWVEAMGLSNRTLSTLTGSRQVEPRGKTMGTAIDSEPTAIDLFKELHCSKTKCFSEPVKKAIEDMHAREVLISPSVEDGQPAKTSIEAVSKVLRKSNTFLCNLAAKTTNVMKEIQVELDAKKLEFAVLQEDLERLNAHKLVSDTPSVMTTISSLRAVSDRKQAVIIVM >ONIVA08G10760.1 pep chromosome:AWHD00000000:8:11362427:11374474:1 gene:ONIVA08G10760 transcript:ONIVA08G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRYKLQDIIAYSVTGHIVPGDETRPWQSPGGGGGMHGGWVEEAAAAAATDPPVRRGFLRWNNRYAGLVVTAPVHVPVSSSCHVNVFVCFCVFVP >ONIVA08G10750.1 pep chromosome:AWHD00000000:8:11324305:11324919:-1 gene:ONIVA08G10750 transcript:ONIVA08G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFKERQLLLSFLTRKIFSNYHLLVRPIVSSMLVKELFTKLCVISGIISRIVWGILYITTI >ONIVA08G10740.1 pep chromosome:AWHD00000000:8:11296706:11302298:-1 gene:ONIVA08G10740 transcript:ONIVA08G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rer1 family protein [Source:Projected from Arabidopsis thaliana (AT2G23310) TAIR;Acc:AT2G23310] MDPSSSSAAVPAAAPAVGDGGGGGGGGPAVAVSSAVAMASRRFQHLLDRSTPHVGRRWLAFAGVAAAYALRVWFAGGYYIVTYALGIYILNLLIAFLSPQVDPEVAEVLGEGGAALPTRASDEFRPFVRRLPEFKFWYSIVKAFCIAFVLTFFITMKRQILHMIKYRYVPFSFGKQRYNGKRVASADDLTLPKD >ONIVA08G10730.1 pep chromosome:AWHD00000000:8:11288182:11289448:1 gene:ONIVA08G10730 transcript:ONIVA08G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWVDMAFPKDFGGLGFTETRAMNTALIAKCIFKLKSNDQNVLNWDRVPLNFDDFFILADIRSDFKHRAVKIALLAAICWTLRTTRNNMWSPLHRLEEKSDLEKLVKRLKEGGGEELA >ONIVA08G10720.1 pep chromosome:AWHD00000000:8:11285658:11290166:-1 gene:ONIVA08G10720 transcript:ONIVA08G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAALARAATDAGPLFLRARPLAPCTAAPAPALSRRRSLLSGAHTADEPPPPTQPPPSKLPDAPGAVPPLPWRAAEAEIVRDIDPVVQLIKDILHSDRYGDGECLSPKDENIIVEKLLAYHPRVDDKIGCGLDAIMVDKHPQFRKSRCLFVVRTDGVWIDFSYQKCLRAYIREKYPSHAERFIREHFKRT >ONIVA08G10710.1 pep chromosome:AWHD00000000:8:11240599:11241019:-1 gene:ONIVA08G10710 transcript:ONIVA08G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDDIEAVLLVDPKEPQFKGEPYSFPKSPDFHKAGQRGSVTGRLFVRDRYMIRQDMAAGLAYVGLASPGQPGSWATESKNYQFWTRATTCGSL >ONIVA08G10700.1 pep chromosome:AWHD00000000:8:11220727:11238801:-1 gene:ONIVA08G10700 transcript:ONIVA08G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPDEAQAGVTTGTADHSSCSGGKEFRFILSQDISLPLSFRVNRFVPDRTLLIERSAPVLFVECTLYIDGVQFGLSTNTRLKSLGSPYCWNELVTLSAKYRDLTPFSHLAFTVWDMSSGEDNIYIVGGTTISLFNSKNQLKTGRLRLRVWPNKMADGSLSTSTPGKVPKTKREEIERLERVANKYIRGQIPHIGWLDNLIANAADKLEKESERTENLHSSLIVELCSFEHRVVFQEYGAKLHTSVPSSLVDISKNKLVIACDPEIGRINPSEHKHSVLAWSLARGVNDREMKPSSVDRKLIQNILKYPPTRTLNVDEKQLLWKFRFYLTSEKKALVKFLLSVEWSDIQEAKQAVALIPRWESIDVADALGLLSPVFQNEEVRAYAVSVLERASDEELQCYLLQLVQGLRFERSDRSRLAHFLLCQITNLLAFFAVHKEENGFQVWQSLIEQAELTAQLRSIMKELSNVKHDAQTKGRILEQLFSGIFSELKNFSEPIPSPLTPTVLLDGIVPEESLVFKSANYPLCIAFSTVNGGTSKMIFKKGDNLRKDQLVIQIISLMDRLLKSDNLDLHLTPYQVLATGLEEGLVEFIPSISVAKIIQKTGSIESYLQKCNPDEDGPFGITAQCLETFIKSCAGYSVITYILGIGDRHLDNLLLQDDGRLFHVDFSYMLGEQPHRFAPPSPPMKLCKEMVEAMGGTESEYYARFKSYCCEAYNILRKSSNLILNLFYLMTGSNIESITDKGTSKLQQNFRLDLDDEDAIHFLQGLINESISAFFPQVVETIHQWAQSRQHITRLSRL >ONIVA08G10700.2 pep chromosome:AWHD00000000:8:11222495:11238801:-1 gene:ONIVA08G10700 transcript:ONIVA08G10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPDEAQAGVTTGTADHSSCSGGKEFRFILSQDISLPLSFRVNRFVPDRTLLIERSAPVLFVECTLYIDGVQFGLSTNTRLKSLGSPYCWNELVTLSAKYRDLTPFSHLAFTVWDMSSGEDNIYIVGGTTISLFNSKNQLKTGRLRLRVWPNKMADGSLSTSTPGKVPKTKREEIERLERVANKYIRGQIPHIGWLDNLIANAADKLEKESERTENLHSSLIVELCSFEHRVVFQEYGAKLHTSVPSSLVDISKNKLVIACDPEIGRINPSEHKHSVLAWSLARGVNDREMKPSSVDRKLIQNILKYPPTRTLNVDEKQLLWKFRFYLTSEKKALVKFLLSVEWSDIQEAKQAVALIPRWESIDVADALGLLSPVFQNEEVRAYAVSVLERASDEELQCYLLQLVQGLRFERSDRSRLAHFLLCQITNLLAFFAVHKEENGFQVWQSLIEQAELTAQLRSIMKELSNVKHDAQTKGRILEQLFSGIFSELKNFSEPIPSPLTPTVLLDGIVPEESLVFKSANYPLCIAFSTVNGGTSKMIFKKGDNLRKDQLVIQIISLMDRLLKSDNLDLHLTPYQVLATGLEEGLVEFIPSISVAKIIQKTGSIESYLQKCNPDEDGPFGITAQCLETFIKSCAGYSVITYILGIGDRHLDNLLLQDDGRLFHVDFSYMLGEQPHRFAPPSPPMKLCKEMVEAMGGTESEYYARFKSYCCEAYNILRKSSNLILNLFYLMTGSNIESITDKGTSKGPTVSSEAFFSSLSDLSSPLVPYVDAPKTINGIEALLSGICKLKLGG >ONIVA08G10700.3 pep chromosome:AWHD00000000:8:11222497:11231677:-1 gene:ONIVA08G10700 transcript:ONIVA08G10700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSVDRKLIQNILKYPPTRTLNVDEKQLLWKFRFYLTSEKKALVKFLLSVEWSDIQEAKQAVALIPRWESIDVADALGLLSPVFQNEEVRAYAVSVLERASDEELQCYLLQLVQGLRFERSDRSRLAHFLLCQITNLLAFFAVHKEENGFQVWQSLIEQAELTAQLRSIMKELSNVKHDAQTKGRILEQLFSGIFSELKNFSEPIPSPLTPTVLLDGIVPEESLVFKSANYPLCIAFSTVNGGTSKMIFKKGDNLRKDQLVIQIISLMDRLLKSDNLDLHLTPYQVLATGLEEGLVEFIPSISVAKIIQKTGSIESYLQKCNPDEDGPFGITAQCLETFIKSCAGYSVITYILGIGDRHLDNLLLQDDGRLFHVDFSYMLGEQPHRFAPPSPPMKLCKEMVEAMGGTESEYYARFKSYCCEAYNILRKSSNLILNLFYLMTGSNIESITDKGTSKGPTVSSEAFFSSLSDLSSPLVPYVDAPKTINGIEALLSGICKLKLGG >ONIVA08G10700.4 pep chromosome:AWHD00000000:8:11231785:11238801:-1 gene:ONIVA08G10700 transcript:ONIVA08G10700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPDEAQAGVTTGTADHSSCSGGKEFRFILSQDISLPLSFRVNRFVPDRTLLIERSAPVLFVECTLYIDGVQFGLSTNTRLKSLGSPYCWNELVTLSAKYRDLTPFSHLAFTVWDMSSGEDNIYIVGGTTISLFNSKNQLKTGRLRLRVWPNKMADGSLSTSTPGKVPKTKREEIERLERVANKYIRGQIPHIGWLDNLIANAADKLEKERIWSKVAYISPFILSGYI >ONIVA08G10700.5 pep chromosome:AWHD00000000:8:11220729:11222075:-1 gene:ONIVA08G10700 transcript:ONIVA08G10700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEALGETEFNLTGREAELQQNFRLDLDDEDAIHFLQGLINESISAFFPQVVETIHQWAQSRQHITRLSRL >ONIVA08G10690.1 pep chromosome:AWHD00000000:8:11209345:11216635:1 gene:ONIVA08G10690 transcript:ONIVA08G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ONIVA08G10690.10 pep chromosome:AWHD00000000:8:11209190:11216807:1 gene:ONIVA08G10690 transcript:ONIVA08G10690.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLQSLPPSRLRPLWPPPRAARLRSLVLLVSAFSSSSRGLGSERPLPVDPGGVSGRRNQDPGDDALIRRAHRALARPDWHRSAALASLTPAQAASVAESHPIAARGLDLLLFLSRERSHSYRPGTFAALARRLVDARRYAAAGRARIHLIKSCHSKEAMARTMSFLDMLSQSGLRMGLFAYSALLIHLSRLGMTAAVMDRYHRMLSEGVQPNLLIYNAVINALCKDGNVADAETIMKKVFESEMSPDTFTYTSMILGHCRKHDLDSALQVFNQMAKEGCEPNTVTYSTLINGLCDSGRVNEAFDLIREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKRIYHKGEEGYMLYIVYRTVLLFKSFQVTWSHGGIVALSDCLC >ONIVA08G10690.11 pep chromosome:AWHD00000000:8:11209190:11216979:1 gene:ONIVA08G10690 transcript:ONIVA08G10690.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLQSLPPSRLRPLWPPPRAARLRSLVLLVSAFSSSSRGLGSERPLPVDPGGVSGRRNQDPGDDALIRRAHRALARPDWHRSAALASLTPAQAASVAESHPIAARGLDLLLFLSRERSHSYRPGTFAALARRLVDARRYAAAGRARIHLIKSCHSKEAMARTMSFLDMLSQSGLRMGLFAYSALLIHLSRLGMTAAVMDRYHRMLSEGVQPNLLIYNAVINALCKDGNVADAETIMKKVFESEMSPDTFTYTSMILGHCRKHDLDSALQVFNQMAKEGCEPNTVTYSTLINGLCDSGRVNEAFDLIREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKRIYHKGEEGYMLYIVYRTVLLFKSFQVTWSHGGIVALSDCLC >ONIVA08G10690.2 pep chromosome:AWHD00000000:8:11209345:11216635:1 gene:ONIVA08G10690 transcript:ONIVA08G10690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQHCRFSTRWLRRAVSQTREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ONIVA08G10690.3 pep chromosome:AWHD00000000:8:11208592:11216635:1 gene:ONIVA08G10690 transcript:ONIVA08G10690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLQSLPPSRLRPLWPPPRAARLRSLVLLVSAFSSSSRGLGSERPLPVDPGGVSGRRNQDPGDDALIRRAHRALARPDWHRSAALASLTPAQAASVAESHPIAARGLDLLLFLSRERSHSYRPGTFAALARRLVDARRYAAAGRARIHLIKSCHSKEAMARTMSFLDMLSQSGLRMGLFAYSALLIHLSRLGMTAAVMDRYHRMLSEGVQPNLLIYNAVINALCKDGNVADAETIMKKVFESEMSPDTFTYTSMILGHCRKHDLDSALQVFNQMAKEGCEPNTVTYSTLINGLCDSGRVNEAFDLIREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ONIVA08G10690.4 pep chromosome:AWHD00000000:8:11208592:11216635:1 gene:ONIVA08G10690 transcript:ONIVA08G10690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLQSLPPSRLRPLWPPPRAARLRSLVLLVSAFSSSSRGLGSERPLPVDPGGVSGRRNQDPGDDALIRRAHRALARPDWHRSAALASLTPAQAASVAESHPIAARGLDLLLFLSRERSHSYRPGTFAALARRLVDARRYAAAGRARIHLIKSCHSKEAMARTMSFLDMLSQSGLRMGLFAYSALLIHLSRLGMTAAVMDRYHRMLSEGVQPNLLIYNAVINALCKDGNVADAETIMKKVFESEMSPDTFTYTSMILGHCRKHDLDSALQVFNQMAKEGCEPNTVTYSTLINGLCDSGRVNEAFDLIREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ONIVA08G10690.5 pep chromosome:AWHD00000000:8:11209190:11216979:1 gene:ONIVA08G10690 transcript:ONIVA08G10690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ONIVA08G10690.6 pep chromosome:AWHD00000000:8:11209190:11216979:1 gene:ONIVA08G10690 transcript:ONIVA08G10690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQHCRFSTRWLRRAVSQTREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ONIVA08G10690.7 pep chromosome:AWHD00000000:8:11208592:11216635:1 gene:ONIVA08G10690 transcript:ONIVA08G10690.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLQSLPPSRLRPLWPPPRAARLRSLVLLVSAFSSSSRGLGSERPLPVDPGGVSGRRNQDPGDDALIRRAHRALARPDWHRSAALASLTPAQAASVAESHPIAARGLDLLLFLSRERSHSYRPGTFAALARRLVDARRYAAAGRARIHLIKSCHSKEAMARTMSFLDMLSQSGLRMGLFAYSALLIHLSRLGMTAAVMDRYHRMLSEGVQPNLLIYNAVINALCKDGNVADAETIMKKVFESEMSPDTFTYTSMILGHCRKHDLDSALQVFNQMAKEGCEPNTVTYSTLINGLCDSGRVNEAFDLIREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ONIVA08G10690.8 pep chromosome:AWHD00000000:8:11209190:11216807:1 gene:ONIVA08G10690 transcript:ONIVA08G10690.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQHCRFSTRWLRRAVSQTREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ONIVA08G10690.9 pep chromosome:AWHD00000000:8:11209190:11216807:1 gene:ONIVA08G10690 transcript:ONIVA08G10690.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQHCRFSTRWLRRAVSQTREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPKKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTELICGFCKISKMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFNFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSNLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRRYMPSFHIYTILAREASKKR >ONIVA08G10680.1 pep chromosome:AWHD00000000:8:11204889:11207609:-1 gene:ONIVA08G10680 transcript:ONIVA08G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSPFPSILFLSVFFLTPLGFAGTNGHGADPRARRRQCGYRCARRRWMGSRRRRSYLPVLVICAHLRRPRRGAQRNSWMDMDMDAHATELQLIGHGLTATTSSSSCFSSGGSGDNGMVIVTTTPKSAAASGSQKRARTPSSPSHGAELLEYSKKQRANNMETQSSTAKSQHERKEMRERISERKETLLIVAGFTLCGMLSVVGFSSVLGPTVVGSVGVDMAGLKNGMVDRSSMVVSFQGVDGGMAGKEALEGLKSLQAGQRPQDIREYCKEVLCSKVVE >ONIVA08G10670.1 pep chromosome:AWHD00000000:8:11111524:11117669:-1 gene:ONIVA08G10670 transcript:ONIVA08G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomerase activating protein Est1 [Source:Projected from Arabidopsis thaliana (AT5G19400) TAIR;Acc:AT5G19400] MTVPMDKATASPSSRELAQRLLKKNAEHESRLRRSAQSKVPSDPNIWFQMRENYEKIILADHDFSEKREIEYLLWQLHYKRIEEFRAHIVSAGKNNANPDRIKRIRSSVRSFLSEATGFYHDLMLKIRSTYGLPLGYFSEGPDSSVVPDKDGKKVVGVKKGLLSCYRCLIYLGDLTRYKGLYGDVDYASREYAAASIYYKEAASLCPSNGNPHHQLAILASYAGDEVTAIYRYFRSLAVDNPFSAARENLILAFDKNHDIYAQLSGNSKVPNAKSLPSRSVGRARGRGETRFQPKGSSTEENSKEREHSIQEILKAFYIRFVRLNGILFTRTSLETFGELSATVISDLQILLSSGPYEELNFGVEAAENALSVVKLIAILIFTVHNANKDADNQSYAEIVQRRVVLQNAFAAAFEFVGYLLKRCAELHDVASSIYLPAILVFIEWLACHPDFVASSEMDEKQADARSFFWSQCVPFMNKLILTGLAHVDGDNDETCFFDMGTYEEGETGNRLALWEDVELRGFSPLVPAQGILDFSTKQGFGSDGGTKEKKARVERILAAWKALLNFVQIDQLRIYFDASSKKFLMASEPPPPASSVPLVVSSNAQTTNHIQQEPEVSSKIGSVAEDLGVLQSKAQLFLDGDDDEEIVFKPPVSEKLPRVTSEQTSNELLQPVVVSDVNWSNDGAPPPMTFQSNGPVLTPNVYVQSLPISSLGWAANAGQHVIPDVGARSTSDIFESLKAPDHNWVSTGAPLVGSLDTVPMASFSNIISDQRTPPSSLGCFSNPDNTAILPGQDSFLLSALNNVNIGASGFLDQRVNGGLSGLQSVGNVPQVSAQATMNSTNPMIGQYKHTEVTIPSAFYSVLPSVVSSDGVSKKNPVSRPGRHVGPPPGFNNAPPKRQDDSILAGNGQHVQTNDGIWLDGYRSSLDYVNNQRFAHSNVTTASSTFTTPFPFPGKQAFSMHPRGSDEKQWQDFHLFGPTKQLPELNFQQGNQQNGPLAEQLPAQSAWSGNYLV >ONIVA08G10660.1 pep chromosome:AWHD00000000:8:11106648:11106929:1 gene:ONIVA08G10660 transcript:ONIVA08G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLRDAANRLRVPQPSPPPPLPLTTTKPESLPAEMDSEPEFKALPPPPLLALPQPQADGAARPWNLLQWTRRRPAASMLWAAAVPVPSSSRR >ONIVA08G10650.1 pep chromosome:AWHD00000000:8:11096580:11106037:1 gene:ONIVA08G10650 transcript:ONIVA08G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEVARRALEEHCVGKRIVRCSAADDTKVIDGVAPPRLEAALVGRTISAARRKGKNLWLALDSPPFPSFQFGMAGAIYIKGVELSKYKRSAVSPTEEWPLKYSKLLVVMDDGLEFSFTDKRRFAKIRFLDNPEAVPPISELGPDALFEPLHLDDFVESLSRKKAPIKALLLDQSFISGIGNWIADEVLYQARIHPMQTASMISKEKCKALHQCIIEVIEKSLEVGCNSSQYPENWIFHSREKKPGKAFVEGKKIDFITVGGRTSAYVPELQKLDGIDATASRAKISKEQSKSNKAAREVDDDEEEAKPAKRGRKQLVKATHEIQEDEEDTKASKRGRKQPAKTSKGSWKKAHHSSEGSGDDDSDDEAVDKVVAEQGKRRDPKQPAEAKSSSDKGGSAAPAKRPQRKKRQL >ONIVA08G10650.2 pep chromosome:AWHD00000000:8:11096580:11106037:1 gene:ONIVA08G10650 transcript:ONIVA08G10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEVARRALEEHCVGKRIVRCSAADDTKVIDGVAPPRLEAALVGRTISAARRKGKNLWLALDSPPFPSFQFGMAGAIYIKGVELSKYKRSAVSPTEEWPLKYSKLLVVMDDGLEFSFTDKRRFAKIRFLDNPEAVPPISELGPDALFEPLHLDDFVESLSRKKAPIKALLLDQSFISGIGNWIADEVLYQVIEKSLEVGCNSSQYPENWIFHSREKKPGKAFVEGKKIDFITVGGRTSAYVPELQKLDGIDATASRAKISKEQSKSNKAAREVDDDEEEAKPAKRGRKQLVKATHEIQEDEEDTKASKRGRKQPAKTSKGSWKKAHHSSEGSGDDDSDDEAVDKVVAEQGKRRDPKQPAEAKSSSDKGGSAAPAKRPQRKKRQL >ONIVA08G10640.1 pep chromosome:AWHD00000000:8:11095632:11095922:-1 gene:ONIVA08G10640 transcript:ONIVA08G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLSSSRTSHEPSDVADMKLRSGFVHDRTWPWAIVPVVWVNLLLFGGGEPAESSDLSVEMWTEDGDDVQVYPDGATSRRMAPPLSPRKPHQDVV >ONIVA08G10630.1 pep chromosome:AWHD00000000:8:10984338:10984793:1 gene:ONIVA08G10630 transcript:ONIVA08G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIFVFALLAIAACSATAQFDVLGQNIRQYQVQSPLLLQQQAIAQQLHLQQFGDLYIDQNLAQAQALLAFSLPSTYGIYPSYYSAPSSITTLGGILY >ONIVA08G10610.1 pep chromosome:AWHD00000000:8:10929763:10942885:1 gene:ONIVA08G10610 transcript:ONIVA08G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAGEISAAAGGGGDGAAATGEKRDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTAAMRSPQERNTRLENMSWRIWNLARKKKQYLLENLSFGVSGGISLVPENTYISLLEEIEGEEASRLAKQRLEREKARRYAAADMSEDLSEGEKGENINESSSTHDESTRGRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENFGHDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQLVWPVVIHGHYADAGDSAALLSGALNVPMIFTGHSLGRDKLEQLLKQGRQTRDEINTIYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCYGRYMPRMIAVPPGMEFSHIVPHDVDQDGEEANEDGSGSTDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNSAVLTSILKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKQLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSDDATEVSEADSPGDSLRDVHDISLNLKLSLDSEKSSTKENSVRRNLEDAVQKLSRGVSANRKTESVENMEATTGNKWPSLRRRKHIVVIAIDSVQDANLVEIIKNIFVASSNERLSGSVGFVLSTSRAISEVHSLLTSGGIEATDFDAFICNSGSDLCYPSSNSEDMLSPAELPFMIDLDYHTQIEYRWGGEGLRKTLIRWAAEKSEGGQVVLVEDEECSSTYCISFRVKNAEAVPPVKELRKTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRYLYIRWGVELSNMTVVVGESGDTDYEGLLGGVHKTIILKGSFNAVPNQVHAARSYSLQDVISFDKPGITSIEGYSPDNLKSALQQFGILKDNV >ONIVA08G10600.1 pep chromosome:AWHD00000000:8:10925952:10926152:-1 gene:ONIVA08G10600 transcript:ONIVA08G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQLTSAPNAGRPCCPISHGCGLTGSRCPLSTTVVEDATMGHSTAKAKRIWSLHHQGCQPRALPP >ONIVA08G10590.1 pep chromosome:AWHD00000000:8:10899335:10908102:1 gene:ONIVA08G10590 transcript:ONIVA08G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTPLARLLAAISAAASSPADLRRLSHRVLSPSAPLPPLRCLNTLLMALARHRMFPDMESLASRMPARNLRTYTTLINAYCLAGDIPAAKQHLTSLLHAGLAPDSYAYTSFVLGYCRAGMLTHACRVFVLMPLRGCLRTAFTYTALLHGLLGAGMVREAMTVFVGMRADSCAPDTHVYATMVHGLCEAGRTEEAEVLLEEAMSNGFEPNIVVYNALIDGYCNAGEMEHALKVFEGMDGNRCSPNVRTYTELIHGLCKSGKVERAMVLFSRMVEAGLEPNVVTYTALIQGQCNEGHLQCAFRLLHLMETNGLVPNDWTFSVLIDALCKREKVEEAQLFLGSLVKKGVKVNEVVYTSLIDGLCKTGKIDAADELMQKMISEGFVPDAHSYSSLIDGLCRQKKLSQATLMLEDMMEKGIQASPVTYTIIIDELVREVGSEGPKKIFDKMIATGINPDIVTYTVFVRSYCEEGRMEDAESMIVQMVDRGVFPNLVTYNTLIRGYANLGLVSQAFSTFEVMVGKGWKPNEDSYTVLLRLVVKKSSSDNSVDIWKIADMKDLQVLLEDITERQLPLAADIYSCFIRCLCRVDRLEEAKHFFMGMQNANLTPSEDVYTSIIECCCRLKILTDALTLLDSMTKSGYLPHLESYRIIISSLCEGGNFRTAKEVFGDLLLKESNYDEIVWKILIYGLLQKGSVAEFSSLLSVMKEHGYQPSNTINAMITGEITVTNEVQEIAR >ONIVA08G10590.2 pep chromosome:AWHD00000000:8:10899473:10908102:1 gene:ONIVA08G10590 transcript:ONIVA08G10590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTPLARLLAAISAAASSPADLRRLSHRVLSPSAPLPPLRCLNTLLMALARHRMFPDMESLASRMPARNLRTYTTLINAYCLAGDIPAAKQHLTSLLHAGLAPDSYAYTSFVLGYCRAGMLTHACRVFVLMPLRGCLRTAFTYTALLHGLLGAGMVREAMTVFVGMRADSCAPDTHVYATMVHGLCEAGRTEEAEVLLEEAMSNGFEPNIVVYNALIDGYCNAGEMEHALKVFEGMDGNRCSPNVRTYTELIHGLCKSGKVERAMVLFSRMVEAGLEPNVVTYTALIQGQCNEGHLQCAFRLLHLMETNGLVPNDWTFSVLIDALCKREKVEEAQLFLGSLVKKGVKVNEVVYTSLIDGLCKTGKIDAADELMQKMISEGFVPDAHSYSSLIDGLCRQKKLSQATLMLEDMMEKGIQASPVTYTIIIDELVREVGSEGPKKIFDKMIATGINPDIVTYTVFVRSYCEEGRMEDAESMIVQMVDRGVFPNLVTYNTLIRGYANLGLVSQAFSTFEVMVGKGWKPNEDSYTVLLRLVVKKSSSDNSVDIWKIADMKDLQVLLEDITERQLPLAADIYSCFIRCLCRVDRLEEAKHFFMGMQNANLTPSEDVYTSIIECCCRLKILTDALTLLDSMTKSGYLPHLESYRIIISSLCEGGNFRTAKEVFGDLLLKESNYDEIVWKILIYGLLQKGSVAEFSSLLSVMKEHGYQPSNTINAMITGVQLNIVAFLCTVKQQILMDYFHLWHQHRAQSSQAQYRHSSLRTRGHASGQAHGHADGHQARASRTIDESFKICHERQHDGILAPILQNLGEAWNILFDRNMD >ONIVA08G10580.1 pep chromosome:AWHD00000000:8:10884986:10888531:1 gene:ONIVA08G10580 transcript:ONIVA08G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSETSNTTSSLLWGHSELGYGKSRAISHGGRRGRRGWGDFGKELVVAWPMLRWPRRPPHNPRLPPPVLRRPTSRRPPATRESGHTAGWAQAIHPRCDARDDVNEQQRSMEVYVTWPAAKPRTKPHPTRKKTQWWYIHDRPVLSIASTNRLVFHRQPGQPRRYIWSSPSPLFLSLFVSSRFLLGVLFDS >ONIVA08G10580.2 pep chromosome:AWHD00000000:8:10884986:10885905:1 gene:ONIVA08G10580 transcript:ONIVA08G10580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTTIQVTPTPGLGVWDVTATMLRPSETSNTTSSLLWGHSELGYGKSRAISHGGRRGRRGWGVGGGLANAAMASEAATQPSSPASRFAKANFAAPTSYARIRPYGWMGTGDPS >ONIVA08G10570.1 pep chromosome:AWHD00000000:8:10879011:10879251:1 gene:ONIVA08G10570 transcript:ONIVA08G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARGGHHRVQAPPPLSALVRPSAGAWRPQDEPPGQAADSARSSGNGHSAARAFDLNLPAGAIRDR >ONIVA08G10560.1 pep chromosome:AWHD00000000:8:10877743:10878582:1 gene:ONIVA08G10560 transcript:ONIVA08G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRENRGPTCQRGHVSTLSLFPLLSLPPLSLSLFSASRPVAGAKAARVRRRRQVANGETEALHAAVLKEEEQQHEVEEAAVVTSSSATSGEEGGHLPQGWAKRKRSRRQRSEEENLALCLLMLALGGHHRVQAPPPLSAPVGAEFKCSVCGRSFSSYQALGGHKTSHRFKLPTPPASPVLAPASSEVQSPLAFSPRNSAAARI >ONIVA08G10550.1 pep chromosome:AWHD00000000:8:10870197:10876593:1 gene:ONIVA08G10550 transcript:ONIVA08G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I9Y9] MACTAPREEDLLMEEDEHRPPLNRALLHRSATNNTSQVAMVGSNPCPIESLDYEIIENDLFDQNWRSRGKADQVRYVVLKWTFCFAIGIITGIAGFVINLAVENVAGLKHTAVSALMESSRYWTAFWLFAGTNLALLLFASSITAFVSPAAGGSGIPEVKAYLNGVDAPNIFSLRTLAVKIIGNIAAVSSSLHVGKAGPMVHTGACIAAIFGQGGSRKYGLTCRWLRYFKNDRDRRDLVTIGAGAGVTAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVVAVVLRMFIELCASGKCGLFGKGGLIMYDVSTKFDDLMTYHLKDIPIVVLIGVIGAILGALYNFLMMKVLRVYSVINERGNAHKLLLAAVVSILTSCCVFGLPWLAPCRPCPTAGAPSPPNGTCHSLNRFRRFHCPAGHYNDLASLFLNINDDAIRNLYSTGTNDVYHPGSMLAFFVASYALGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLGGRSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNSSIYDLILNLKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFNGVEKVGHIVHTLRTTGHHAFPVVDEPPFSPAPVLYGLVLRAHLLVLLKKREFLTAPVRCPKDYMAGRFEAQDFDKRGSGKQDTIADVELSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKSCDRSPVVGILTRHDFMPEHILGLHPVLVGSRWKRLRWQKGAVAKKFRSLLDWLANDSG >ONIVA08G10540.1 pep chromosome:AWHD00000000:8:10851381:10861565:-1 gene:ONIVA08G10540 transcript:ONIVA08G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLGAFLGLVLGVAVVMAFARLENTRAEQRRELAATVSSFSKLTVEDLRKLIPLELYPSWLKWLNQELVKIWPFVNEAASELIKTSVEPIFEQYKSFILSSLHFSKLTLGTVAPQFTGVSILDSDSSGITMELELQWDGNPNIVLDIQTTLGISLPVQVKNIGFTGVLRLVFKPLVAELPCFGAVCCSLREKSKVDFTLKVIGGEMTAIPGISDAIEGTIRDTIEDQLTWPNRIVVPIVPGDYSDLELKPVGLLEVKLVEARDLTNKDLVGKSDPFAVLYIRPLQDKMKKSKTINNDLNPIWNEHYEFVVEDTSTQRLTVKIYDDEGLQASELIGCARVDLSDLQPGKVKEVWLDLVKDLEIQRDKKRRGQVHLELLYYPFGKQEGVSNPFADQIQLTSLEKVLKTESNGFDVNQRKNVIMRGVLSVTVISAEDLPPMDVMGKADPFVVLYLKKGETKKKTRVVTETLNPIWNQTFDFVVEDALHDLLMVEVWDHDTFGKDYIGRCILTLTRVILEGEFQDEFVLQGAKSGKLNLHFKWTPQPIYRDRDRDQ >ONIVA08G10530.1 pep chromosome:AWHD00000000:8:10808332:10817292:-1 gene:ONIVA08G10530 transcript:ONIVA08G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALASAAATWLINKLLDRLSDYAIKKLLGSEGLDAEASSLRDALRRATLVLGAVPAGAAAGVRIGNDQLLPQIDLVQRLAADLARHLDELEYYDVKKKVKKNQKSSNPLSKMNLPLTQAGQSKPKYNRTDIKQIRDTVGYLHSICDDVHKALLLDKLDAIKQAAQDASTDKRETVDNFTENPRNKVFPREEMKDIIELINSAASSDQELLVVPIVGAGGVGKTTLARLVYHDPEVKDKFDIMLWIYVSANFDEVKLTQGILEQIPECEFKSAKNLTVLQRGINKYLTKRFLLVLDDMWEESEGRWDKLLAPLRSAQAKGNVLLVTTRKLSVARITSNTEAHIDLDGMKKDDFWLFFKRCIFGDENYQGQRKLQNIAKKIATRLNGNPLAAKSVGTLLRRNINEDYWTRILDSNEWKLQESIDDIIPALKLSYNQLPYRLQLLFSYCAMFPKGYNFDKGQLIRTWIALGFVMNERKKLEDEGSDCFDDLVDRSFFQKYGVSQYYTVHDLMHDVAQEVSINKCLIIDGSDLRTVPSSICHLSIWTEPVYNEQSIERNDNFEEKLDAVQDNVLGSLESLILAGVYDENYSAKFVKTLERVRYVRMLQLTAMPFNSDILLSSIKKLIHLRYLELRCTSDKPKSLPEAICKLYHLQVLDVQHWSGLNDLPKDMSNLVNLRHLFVPGSGSLHSKISRVGELKFLQELKEFQVQEADGFEISQLGNINEIRGSLSILGLETVKKKGDATHARLKVKKHLRTLSLTWGSASGSTTTVQKEVMEGLKPHENLSHLLVYNYSGATPSWLLGDSFSLGNLESLHLQDCAAVKILPPFEEMPFLKKLSLVCMPCLKSIRIDFNSADEEDELELSKIEISKCLALTSIRLHSCKALTMLSINDCEALGSLEGLSFSEKLKQCVVQGCPKLPSDQRRGGNRDVDDLVSAEKGMF >ONIVA08G10530.2 pep chromosome:AWHD00000000:8:10808191:10817292:-1 gene:ONIVA08G10530 transcript:ONIVA08G10530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALASAAATWLINKLLDRLSDYAIKKLLGSEGLDAEASSLRDALRRATLVLGAVPAGAAAGVRIGNDQLLPQIDLVQRLAADLARHLDELEYYDVKKKTKEEEGTGMWTTLFLLKKACFNFCQWRQLGRLLVHAVSLWFLLLYSLLFSYTLLSFYSHYHIWKCYA >ONIVA08G10520.1 pep chromosome:AWHD00000000:8:10790762:10791013:1 gene:ONIVA08G10520 transcript:ONIVA08G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDLAEGAASKAKAGNWWIGGGQRAMRRLATTTPSLDLVVGCGGGRRRHRWQRCDHDIKWSNVVHLQSELVAIDLVLGLKK >ONIVA08G10510.1 pep chromosome:AWHD00000000:8:10790504:10790962:-1 gene:ONIVA08G10510 transcript:ONIVA08G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIGPLDVVVTPLPPVPAPSSAATYNQIQRRCGRRQPSHCSLSSTNPPIASLCLAGCTLCQIHRRHGHCYRLLVLFVVVVAISLALMGIRRDAPRVADVMCLGIELDVPHAAERGAVERHHDGQEEKGRSRRRMRGGGKEKAKVWWRQRRR >ONIVA08G10500.1 pep chromosome:AWHD00000000:8:10787382:10787883:1 gene:ONIVA08G10500 transcript:ONIVA08G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVAGTPEGSWLPCLGGRPSQVVEPDSSGDSMAQAEPNKVPVRLMICLSKIFFRSLI >ONIVA08G10480.1 pep chromosome:AWHD00000000:8:10754181:10757546:-1 gene:ONIVA08G10480 transcript:ONIVA08G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAAAKKPPASAAVDGDEEVFLGLSRELKEEGGRLFNRRDYVGAAFKYDKAVQLLPSGGHADAAAHLRTCVAQCYMRMAPAEHHRAIHECNLALEAAPRYSRALLRRAACFQALDRPDLAWEDVRTVLAWEPANRAAREISDKVRAALEVKGVLVLEKEPVPPPPEHKAVSAKGQGKLKKSHKQCDSAIEGQELIHVEDYEQSEKTELKINGQENGENRAGKEQFDCNVPVKQEIRTDQPEANGVRKHQYHMDDKENKGLDKEGKNGKPGKHSAGKKIRRADAKKQKHSAMEPVHHAEENRHERYTETSVHVKEAMKDLKLVFGEDIRCAQMPANCNLSQLRDIVQNKFPSLKALLIKYKDKEGDLVTITSSDELRWAYSLADLEGPIRLYIVAVDPAQELGVDVVRRRSSFASLEKAYYSMSENGSSRHDDDHNCSIDDWMIQFARLFKNHLGFDSDSYLDLHDLGMRLYYEAMEDTVASEEAQEIFQVAELKFQEMAALALFNWGNVHMASARKRPPLSDDASMECILEQVKVAYEWACAEYAKAGAKYGEAVKTKPDFFEGLIALGQQQFEQAKLCWYYALACKIDMGTEVLGLFNHAEDNMEKGMGMWEGMENTRLRGLSKPSKEKAIFEKMGIDGYMKDMSSDEAFEQASSIRSHVNILWGTILYERSVVEFILGLPSWEESLTVAIEKFKTGGASPADINVMVKNHSANETTQEGLSFKVEEIVQAWNEMYDAKKWRNGVPSFRLEPIFRPVELKELTVVSHPSGVD >ONIVA08G10480.2 pep chromosome:AWHD00000000:8:10754863:10757546:-1 gene:ONIVA08G10480 transcript:ONIVA08G10480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAAAKKPPASAAVDGDEEVFLGLSRELKEEGGRLFNRRDYVGAAFKYDKAVQLLPSGGHADAAAHLRTCVAQCYMRMAPAEHHRAIHECNLALEAAPRYSRALLRRAACFQALDRPDLAWEDVRTVLAWEPANRAAREISDKVRAALEVKGVLVLEKEPVPPPPEHKAVSAKGQGKLKKSHKQCDSAIEGQELIHVEDYEQSEKTELKINGQENGENRAGKEQFDCNVPVKQEIRTDQPEANGVRKHQYHMDDKENKGLDKEGKNGKPGKHSAGKKIRRADAKKQKHSAMEPVHHAEENRHERYTETSVHVKEAMKDLKLVFGEDIRCAQMPANCNLSQLRDIVQNKFPSLKALLIKYKDKEGDLVTITSSDELRWAYSLADLEGPIRLYIVAVDPAQELGVDVVRRRSSFASLEKAYYSMSENGSSRHDDDHNCSIDDWMIQFARLFKNHLGFDSDSYLDLHDLGMRLYYEAMEDTVASEEAQEIFQVAELKFQEMAALALFNWGNVHMASARKRPPLSDDASMECILEQVKVAYEWACAEYAKAGAKYGEAVKTKPDFFEGLIALGQQQFEQAKLCWYYALACKIDMGTEVLGLFNHAEDNMEKGMGMWEGMENTRLRGLSKPSKEKAIFEKMGIDGYMKDMSSDEAFEQASSIRSHVNILWGTILYERSVVEFILGLPSWEESLTVAIEKFKTGGASPADINVMVKNHSANETTQEGLSFKVEEIVQAWNEMYDAKKWRNGVPSFRLEPIFRRRAPKLHHMLEHIHYA >ONIVA08G10470.1 pep chromosome:AWHD00000000:8:10742896:10750227:1 gene:ONIVA08G10470 transcript:ONIVA08G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAAVVPLPITGGVNDDRTAALQQWASTVGFGGEVGLLVEAHRRLDSVLAETQGKEIRNKELQRRLREASHDAARARDLLGELEYYRIREEVERDDHDKLLHDNANGNLLLSMPQRDVEFFNNDAAKDDKDTTESSLSNTDSSASALQVTTYIASSSSPVPCLETLNKCISNEISKYTEKCYRIAKQVSEALELESLDYLYAHKYQRTRTDHRETSPCQSEPKVHGRDQQRDLIISKLTSEECARKKLSILAIIGDGGIGKTTLAKLVFNNSTVSKHFDVLLWVYVSVHFDQNKIMQEMLDSFCGDEHDEIKKSKELQLQDKLDYLLKSKRVLLVMDDMWEDSTKEKWDELLNPLLKNDVMGNSVLVTTRKPSVATMIEAADHINLDGLKKDDFWCLFKECVFGHENYKGEPRLEKIGQQIVDKLKGNPLAAKTVSKVLRRSFDVDYWRRILHTSEWKYKNDENDIMPALMISYKYLPAHLQSCFSYCAVFPKYHRYEKERLINMWIAQDLLCSADIHTRPEDIGNEYFDDLLDWGFFEKQFEHSTLLIMHDLIHDLAQKVSSDESFTIEGNEPRNAPPCVRHVSVITEWEYKTKLNGTVYPNDSFLQEFSNSFRELQQRSLSTLMLFGPHDLDFADTFRQELNEVRSIRVLKLEMVFFDLDSLIGNISAFVNLRYLELGCFYKGPRLELPEAICRLYHLKVLDIKKNWGPSTSLPREMSKLVNLRHFIAEKELHAKIAGIGKMVSLQELKAFDVKKDHEFSISQLRGLNQLRGSISISSLYNAGHEEASQARLCDKDNLTCLHLSWLTLSRNRVARRTLPILEDLKPHSGLRNLQVVGYRHSLPSWLCSTVHLTSLRSLHLDRCIRWQTIPHPQQLPLLQELHLIQLPRVYKIEIGPLKVLEIRWLQNLRQCILLDKEQSYATLQILEVEGCPKLDEFVLQIFMSSGVQSTYQFLGIHRLKIHNDFLRASIPLLLLNSLSDIDLCGEHSKFTRFRLKPFGTSDGLSLQIKGDRYIQKIEERLFTLEKLKDLRELEIRDYQSVIFQRQFWEGFEQLTSLKKFRVIKCPEIFSTNFELFLPPSVEELELSGCNITLIQLSQLLVNLHLLKSFKLTNCQGVTSLPVGLFTDEQNTMSEGSWHIPPRCFTSLESLQISFTTAPSDANSIMHFTSKKGLGRFVSLKKIVIENCPTLLSRALSGGASHISPSSLDKLCMTGIQDSTLQFSDVSSIADLDVSGCPKLACLDLSSCTALEKLCVIDCRLLQSIEGLPSCSALRDLKIRNCALLPSLSASLHTLKTLSIENNTNLASLELKSCTSLQKLCIKDCPALTSWEGLKSLVSLEILKVEASPGFITRWISAAAEVNIEEKNFSLPLEKLNVDNIDVLCVPICSQLTSLKILSIEEDRHDPDGHVEFLTDNHVKGLSFLTCLRFLDLENFEQLRSLPAELGSLASLQRLHVGNCGHITSLPVGGLPASLKDMELYNCSKELNVLCRDMLRLRRNLHLWVDGDEEDFFSQNCSDEEIS >ONIVA08G10460.1 pep chromosome:AWHD00000000:8:10726499:10728727:1 gene:ONIVA08G10460 transcript:ONIVA08G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDEQERDVCGEACNREGFSITMAGDHRVVLEESSYSQKVTFVGTLLHGNQSTGMASTDHSVGRGHAREEAVQGEDVQEEEDLQCIGLVLIIQQMLVSTYTNRATSLVFGGIFKPSRAASYRDSC >ONIVA08G10450.1 pep chromosome:AWHD00000000:8:10717516:10719286:1 gene:ONIVA08G10450 transcript:ONIVA08G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I9X8] MSTTAKLAGLLRDEQGPVAFNDAVDEDAVPVEPLTEHDDAISTMSAAHCNNMQFRCYRGFWISEMWAPGVVAVHRSFAPRADDVLVASLQKSGTTWLKALTFATMARGAWPPSSHDHPLRRLNPHLCVPSLEVLYTLGRDALLDMLPSPRLLSTHMPLSLLPPSTCKIVYIYRDQKDTAVSLWHFMKRRHPDLTFSEVHEAFCNGICMGGPAWDNILEFWYASNAEPTRVLFLTYEKVLQDPCDAVKKLAQFLGQPFSGAEEEAGVVTEIADLCSIDNLRNQKANKYGSIGGKISHESFFRKGMAGDWTNHMTLEMAERLDSILREKLDGSGLIV >ONIVA08G10440.1 pep chromosome:AWHD00000000:8:10674297:10682073:1 gene:ONIVA08G10440 transcript:ONIVA08G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGQRIKTRKRNIAAPLDPASFSDAIVQIYLDNAGDLELVAKSIESSDLNFSRYGDTFFEVVFIGGRTQPGTIKPEEEGERHPYSVLDCAAQREAILPSVLYIQKTLRRRPFLIKNLENVMRKFLQSLEFFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVSKGIVLSFITEFFKEYLKENTLDDLIALLKKGKMEDNLLEFFPSAKRTSEALSEHFTKEGLTSLVEYNEKKMFEVKLKEIKLTLTTMINEEAEISEVTEAVKQQVKDAKFPDIEVVRMLWDVLMEAVQWSGKNQQQNSNSALRQVKAWAGLLNAFCTSGRLELELIYKVQTQCYEDAKLMKLFPEIIRTLYDQDVLAEDTILLWFRKGSNPKGRQSFVKALEPFVKWLEEAEEEE >ONIVA08G10430.1 pep chromosome:AWHD00000000:8:10651482:10660830:-1 gene:ONIVA08G10430 transcript:ONIVA08G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCLRRRGLSLLRQRPPRPFPPLPRPRSSPPRVASLRPLSAAAMGENSAAAAGKGKEAKGKATTSASASAPNVEPDVAYLEAVTQKRIRQFEEIQAKQALERLNIGGEPIRITLPDGAVKDGKKWISTPMDIAKEISSGLANSCLIAQVNGTLWDMTRPLEGDCELKLFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCIGPCTTRGEGFYYDAYYNDLTLNETHFGIIDAQAQKAVAVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKADRESLQRVYGISFPDSKRLKEYKHLLEEAKKRDHRLLGQTQDLFFFHQLSPGSCFFLPHGAIIYNKLMDFLRQQYRDRGYQEVLSPNIYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFEHRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQIKDEVKAVLDFINYVYEIFGFKYELELSTRPEKYLGDIETWNKAEQQLTEALNEFGKPWQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLTYSAEDEAKLERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSISSNSVEYAKQVRARIHEAGFHVAIDETDRTIQKKVREAQLAQFNYILVVGAQEAETGQVSVRVRDKAELATVSIDDIITRFKEEVAAYK >ONIVA08G10420.1 pep chromosome:AWHD00000000:8:10643728:10644488:1 gene:ONIVA08G10420 transcript:ONIVA08G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRFPSSFPSLVPSHRCYGSEESGSEPSELKPEASKLEMDSMNATRAKGGNMMKQEGHMACACAMAPDSATWGNTCRHFGVSTAQPVQPLTSPPRPSASSASSMKVK >ONIVA08G10410.1 pep chromosome:AWHD00000000:8:10633694:10642978:-1 gene:ONIVA08G10410 transcript:ONIVA08G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25270) TAIR;Acc:AT5G25270] MESSSSDVQMSHCAEDLNSESTIEIKIKTLDSQTYNLRVNKRVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQSATSGNAGNEGTTSNPARRRGPTMTRSIVLEAINVGQGSELSVAQLLQSLLRAPSSTQVSSGPAPSDARPSEGAQSSTQNGVRAVLDQMPVPPLFQSETSVGLSEPNVIPDSLTTISQYINFLRDSFRREGFLENGQTLNNADHGTAGSAHGGGTQNHESQPDSASAHGLPTAALLAETMHSTRQLLVEHAGALLSQLPNQLGDIVNVTDATTRRNLQNSVVRYGVLIQYLGSLLLELGRTTMMLRINPATSEAVVNSGPALFISPSGPNPLMVQPAPFVPGTGSVQVGPIFSSLTSHRSVLHPRDIDIHVRTSGSVSLTGTNPPERVEEHQTQDRTDRSANASPANSSEAFAGVTAGAPFSVESGVRLVPHRTVVAVPAGISHPPSMSSSGVGIIYPLFARIQQRAYTNAQVAHSANQIPNPQTSQYHEAGTLGSPVDINAENGTQTSPGEQNGQGPFSQLMDSIPWIASLFSGENSRVNGANQHAPASAEQVDGRNHGAPEVSGVSDEGLRFASLVRQIMPFISQVESHHQSASAVSSSTPSQAAHGNLNTARAGPSHSRSSHQHNRDPVDGPNSKRQRTSE >ONIVA08G10410.2 pep chromosome:AWHD00000000:8:10633694:10642539:-1 gene:ONIVA08G10410 transcript:ONIVA08G10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25270) TAIR;Acc:AT5G25270] MSQVGSAPEIMESSSSDVQMSHCAEDLNSESTIEIKIKTLDSQTYNLRVNKRVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQSATSGNAGNEGTTSNPARRRGPTMTRSIVLEAINVGQGSELSVAQLLQSLLRAPSSTQVSSGPAPSDARPSEGAQSSTQNGVRAVLDQMPVPPLFQSETSVGLSEPNVIPDSLTTISQYINFLRDSFRREGFLENGQTLNNADHGTAGSAHGGGTQNHESQPDSASAHGLPTAALLAETMHSTRQLLVEHAGALLSQLPNQLGDIVNVTDATTRRNLQNSVVRYGVLIQYLGSLLLELGRTTMMLRINPATSEAVVNSGPALFISPSGPNPLMVQPAPFVPGTGSVQVGPIFSSLTSHRSVLHPRDIDIHVRTSGSVSLTGTNPPERVEEHQTQDRTDRSANASPANSSEAFAGVTAGAPFSVESGVRLVPHRTVVAVPAGISHPPSMSSSGVGIIYPLFARIQQRAYTNAQVAHSANQIPNPQTSQYHEAGTLGSPVDINAENGTQTSPGEQNGQGPFSQLMDSIPWIASLFSGENSRVNGANQHAPASAEQVDGRNHGAPEVSGVSDEGLRFASLVRQIMPFISQVESHHQSASAVSSSTPSQAAHGNLNTARAGPSHSRSSHQHNRDPVDGPNSKRQRTSE >ONIVA08G10410.3 pep chromosome:AWHD00000000:8:10633694:10642978:-1 gene:ONIVA08G10410 transcript:ONIVA08G10410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25270) TAIR;Acc:AT5G25270] MESSSSDVQMSHCAEDLNSESTIEIKIKTLDSQTYNLRVNKRVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQSATSGNAGNEGTTSNPARRRGPTMTRSIVLEAINVGQGSELSVAQLLQSLLRAPSSTQVSSGPAPSDARPSEGAQSSTQNGVRAVLDQMPVPPLFQSETSVGLSEPNVIPDSLTTISQYINFLRDSFRREGFLENGSAHGGGTQNHESQPDSASAHGLPTAALLAETMHSTRQLLVEHAGALLSQLPNQLGDIVNVTDATTRRNLQNSVVRYGVLIQYLGSLLLELGRTTMMLRINPATSEAVVNSGPALFISPSGPNPLMVQPAPFVPGTGSVQVGPIFSSLTSHRSVLHPRDIDIHVRTSTLGSPVDINAENGTQTSPGEQNGQGPFSQLMDSIPWIASLFSGENSRVNGANQHAPASAEQVDGRNHGAPEVSGVSDEGLRFASLVRQIMPFISQVESHHQSASAVSSSTPSQAAHGNLNTARAGPSHSRSSHQHNRDPVDGPNSKRQRTSE >ONIVA08G10410.4 pep chromosome:AWHD00000000:8:10633694:10642539:-1 gene:ONIVA08G10410 transcript:ONIVA08G10410.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25270) TAIR;Acc:AT5G25270] MSQVGSAPEIMESSSSDVQMSHCAEDLNSESTIEIKIKTLDSQTYNLRVNKRVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQSATSGNAGNEGTTSNPARRRGPTMTRSIVLEAINVGQGSELSVAQLLQSLLRAPSSTQVSSGPAPSDARPSEGAQSSTQNGVRAVLDQMPVPPLFQSETSVGLSEPNVIPDSLTTISQYINFLRDSFRREGFLENGQTLNNADHGTAGSAHGGGTQNHESQPDSASAHGLPTAALLAETMHSTRQLLVEHAGALLSQLPNQLGDIVNVTDATTRRNLQNSVVRYGVLIQYLGSLLLELGRTTMMLRINPATSEAVVNSGPALFISPSGPNPLMVQPAPFVPGTGSVQVGPIFSSLTSHRSVLHPRDIDIHVRTSTLGSPVDINAENGTQTSPGEQNGQGPFSQLMDSIPWIASLFSGENSRVNGANQHAPASAEQVDGRNHGAPEVSGVSDEGLRFASLVRQIMPFISQVESHHQSASAVSSSTPSQAAHGNLNTARAGPSHSRSSHQHNRDPVDGPNSKRQRTSE >ONIVA08G10400.1 pep chromosome:AWHD00000000:8:10617053:10618094:-1 gene:ONIVA08G10400 transcript:ONIVA08G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVISVRSNVYSLSKWTQEWASEFIARNIDTMRNNTNGQLLDSGYSNLVESVRTNVNVPHTAVEVNLLQTIMSAPSADHHQNAADQVAAPAAQPFSAAPPVALPPKAAPRKARKDRDYASMLVAVEEFYVAATSRPVPNSDVEILESSHVSQQQDGGRAIIYPSLQARRGKAKQEVPRRNAKDVLEYLSLARKETEKEINTLSSFDGIYRNDGTLSYLMTEVRRLNRKIWRLQKNAPSTLSSRLLASVKEIDDIKVEKGRLYAQFISALKKLCRKKMDDGGSAPSANN >ONIVA08G10390.1 pep chromosome:AWHD00000000:8:10604168:10604356:1 gene:ONIVA08G10390 transcript:ONIVA08G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAWRQKGIRQRREGRRRWSNGPAAATVVPRQRRPPPLHLTTAAAVETSVAAVAVAVKAV >ONIVA08G10380.1 pep chromosome:AWHD00000000:8:10602646:10603244:-1 gene:ONIVA08G10380 transcript:ONIVA08G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLLQVVLIAINAGSLSPPCAAAAKDWVKLSLGLPPRTPPGQEPSPAAYQFSRTICRIPKDPIYKICCFGELLPYAESFQDNQMKATQVATIILLTKIQALDREVGAVRSRGIRDKNIDDCTSFFGIGSSNSESTNSVLAALDRLAAAGKGRRKKEDVETVLKWTKNLETQYNGATSKCKLGD >ONIVA08G10370.1 pep chromosome:AWHD00000000:8:10531410:10533050:1 gene:ONIVA08G10370 transcript:ONIVA08G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQVTTPMADSSFNPEFLQAHAELWNLTFSYLKAMALECAIKLGIPNAIHRCGGSASLSELVISIPVPETRKPHLPRLMRFLAAVCVFSLDNPTIDEEVTEKGMGIYCLTPLSRLLVDGSIGGHGSLSPFVLSQTTKYHVSAAMNLSDWFMTEDKEVAIEIPFRAAHGTDLWGVMSRDANMNEVFNAGMGSDSRLAINFIISKCGEVFEGISSLVDVGGGTGTTARAIAKAFPHIKCSVLDLPNVIDTITVDGIVEYIAGDMMEQIPPTDAVLLKYILHDWNDEDCVKILKQCRNAIHAQKPGGKVIIIDIVVGSPSKDMFEAQVSFDLLMMVITSGKERDQHEWHKIFMDAGFSHYKTRPVLGFLAITELYP >ONIVA08G10360.1 pep chromosome:AWHD00000000:8:10527298:10527735:1 gene:ONIVA08G10360 transcript:ONIVA08G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPKSLNAFDIIASSLSFDLSELFEERGERMHFMSGALVADIIAKLEEIAGMVSIEATRNGHKGALAISSKVFELTRELVMVQVCKKAGDTAEYRRFCDNELKAGMRGLVVDALPPLVDPDECIMWHCCCLIRLLIPCSHRCAH >ONIVA08G10350.1 pep chromosome:AWHD00000000:8:10518528:10519051:-1 gene:ONIVA08G10350 transcript:ONIVA08G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAISRHTGSSAAARGRGRAPATAWAGAAPRLGRRCTVMRKGQGRHDATEVAPELEERLCRHGWAASVAVVARIVAAVREPTTARLAVKVLLDVGPILDSFEPRANLA >ONIVA08G10340.1 pep chromosome:AWHD00000000:8:10510147:10510458:1 gene:ONIVA08G10340 transcript:ONIVA08G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPTTRSVAPAVAARLRAAPETPPHCPPLEDRLRGRGILPTLPPPPDVLASKTNNRRPVHDAELLRVDCRLGLGQRHPRCQCHGRLWQAVHLPQPPLAAAAH >ONIVA08G10330.1 pep chromosome:AWHD00000000:8:10503812:10507501:1 gene:ONIVA08G10330 transcript:ONIVA08G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPLPALSTRWKTSPAPAPALTLALVGDLTGKRGPVPPTWVATLGHLLLRLEAVHHNKVMVEGSDKVSTAEDDGDVVAPARSCDEEVVSTMGSVLRGGNTSRGWSSSYGSWCHLNSSDGLRNVGFKTEDFLLGLFTQYSPQMHRNVKTLLKAFRKIAPPKDLTFTLEKLFYKSIYIFK >ONIVA08G10320.1 pep chromosome:AWHD00000000:8:10490893:10499065:-1 gene:ONIVA08G10320 transcript:ONIVA08G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79790) TAIR;Acc:AT1G79790] MVSLLPRAPCLASSIPKPTALRPPCMASTSAAAPAAAAATAEASASRPPRKLPVLLFDVMDTVVRDPFYHHIPVFFQMSMKELLENKHPTAWSEFEMGLIDENELAKKFFNDGRSFDLEGLKACMVRAYEYIDGVEDILYSLKQNNYEVHAFTNYPVWYQLVEEKLKLSKYLSWTFCSCTVGKRKPSPDFYLHAVDHLNVDPASCIFIDDRMTNIEAALSVGMVGLQFKNAEVLKKDLCSLGVEFAPVHEGEIQLINTESWTEAVCAIAFIQAKHVILGL >ONIVA08G10320.2 pep chromosome:AWHD00000000:8:10491134:10500228:-1 gene:ONIVA08G10320 transcript:ONIVA08G10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79790) TAIR;Acc:AT1G79790] MNELAKKFFNDGRSFDLEGLKACMVRAYEYIDGVEDILYSLKQNNYEVHAFTNYPVWYQLVEEKLKLSKYLSWTFCSCTVGKRKPSPDFYLHAVDHLNVDPASCIFIDDRMTNIEAALSVGMVGLQFKNAEVLKKDLCSLGVEFAPVHEAN >ONIVA08G10320.3 pep chromosome:AWHD00000000:8:10491134:10499065:-1 gene:ONIVA08G10320 transcript:ONIVA08G10320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79790) TAIR;Acc:AT1G79790] MVSLLPRAPCLASSIPKPTALRPPCMASTSAAAPAAAAATAEASASRPPRKLPVLLFDVMDTVVRDPFYHHIPVFFQMSMKELLENKHPTAWSEFEMGLIDENELAKKFFNDGRSFDLEGLKACMVRAYEYIDGVEDILYSLKQNNYEVHAFTNYPVWYQLVEEKLKLSKYLSWTFCSCTVGKRKPSPDFYLHAVDHLNVDPASCIFIDDRMTNIEAALSVGMVGLQFKNAEVLKKDLCSLGVEFAPVHEAN >ONIVA08G10320.4 pep chromosome:AWHD00000000:8:10491134:10499065:-1 gene:ONIVA08G10320 transcript:ONIVA08G10320.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79790) TAIR;Acc:AT1G79790] MVSLLPRAPCLASSIPKPTALRPPCMASTSAAAPAAAAATAEASASRPPRKLPVLLFDVMDTVVRDPFYHHIPVFFQMSMKELLENKHPTAWSEFEMGLIDENELAKKFFNDGRSFDLEGLKACMVRAYEYIDGVEDILYSLKQNNYEVHAFTNYPVWWVSTYLDTFVHMYQLVEEKLKLSKYLSWTFCSCTVGKRKPSPDFYLHAVDHLNVDPASCIFIDDRMTNIEAALSVGMVGLQFKNAEVLKKDLCSLGVEFAPVHEAN >ONIVA08G10310.1 pep chromosome:AWHD00000000:8:10490320:10490640:-1 gene:ONIVA08G10310 transcript:ONIVA08G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWTCSAMAAARRRLSVTAHGCRDERQRRQEEMEANPVARHGALGWRGGGVAATDGIGRNWGREREAVARGKEGFGLGAHRRRRHRKETAMSMAQHPAVMASTTR >ONIVA08G10300.1 pep chromosome:AWHD00000000:8:10489714:10490401:1 gene:ONIVA08G10300 transcript:ONIVA08G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLDREEWAQDFTSPENRGVARGGAVREVLLTRWEGHDQVLVYGLLLAGVDHGELMGRARFCLCPTGDDEGAAAASYRVVEAITAGCCAMDIAVSFLCRRRR >ONIVA08G10290.1 pep chromosome:AWHD00000000:8:10486355:10488731:-1 gene:ONIVA08G10290 transcript:ONIVA08G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLLLPLLVAVAATVPLAAALSADGVALLAFKTAVTDDPSGALSSWSDADDDPCRWAGVTCANTSSSGPRVVGVAVAGKNLSGYVPSVLGSLALLRRLNLHGNRLSGTVPPALANATSLHSLFLYGNSLTGGLPPELCDLPRLQNLDLSDNSLTGSLPPELRRCKQLQRLMLSGNGFSGEIPAGVWPEMVSLQLLDLSDNSLTGAIPPELGKLAALAGTLNLSRNHLSGGVPPELGHLPATITLDLRFNNLSGEIPQSGSLASQGPTAFLNNPGLCGFPLQVPCRAAPPSSSTPPPPSAAGSISGAGGPRQPVKTSLIVLISVADAAGVALIGVIVVYIYWKLRDRRGDGCGGDGDDDEEGKRGLFPFPCMRADDSSDDGSDAGDDVKRNNTTTTTTASGGGGGGEEGQLVAIDKGFKMELDELLRSSAYVLGKGGKGIVYKVVVGNGTTPVAVRRLGGGAAAAERYKEFAAEAGAIGRVRHPNVVRLRAYYWSADEKLVVTDFVNNGNLATALRVHQ >ONIVA08G10280.1 pep chromosome:AWHD00000000:8:10477133:10480051:-1 gene:ONIVA08G10280 transcript:ONIVA08G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKKGVAAKQKPPEPPAADAPLRDRLRWLNDQEYEHRSAAIKAIQAAEIESILSRLRLVESYISKEQQEGCALQYFQENLPNLSVVRNEEQNELELKREDWDKRLIGDHCDDKIFRASVSSLPNVGYVQFSGDSVRKSFIESMPFNFNDFAWGELPEDQLAGIADALQTPGAVSTRLSFGMTPKTLRLPKKGEMLLSVRGSPLGVYKEENLAAVHGIYHLFFLGSSQASLTMVLLTVG >ONIVA08G10270.1 pep chromosome:AWHD00000000:8:10472912:10474973:-1 gene:ONIVA08G10270 transcript:ONIVA08G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCRMGATAGDGAVDARGESWEAGRLYVCNSSVLPTAVGVNPMNTIQSVRIMDSRNLSRRKQRARLEHKTADGDERERSEEGRRQIAVRLAAVVAGSLMLAAAVLLEMHMLMGGLRELDAMSFSGFVMQHVVVVLAAAGLLLVALAGCPPARSSTST >ONIVA08G10260.1 pep chromosome:AWHD00000000:8:10470166:10471149:1 gene:ONIVA08G10260 transcript:ONIVA08G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGNGNGNSHRVVGRKRLLVAGGAGEEDRLRGKKRAAAGALVPYVAAASASAPIDAVPLAAVAPASSSSLAAGEVPNEPSWIRKIVFYQLGLPYDLPLVFIEEKTVTRTDLDSHQNRFRLACGGVGRSLIPMLTRREAIAASFLRKEEDEAQAAGLTPPQPEENNTAVVVEQQEEEEEEEAAVTTPPQPESDTAVAVAEQQQQEEEEETAGPGGRKKKKKKGRSHGGLPVTLVHLRGGMKRLLLTRWDSSGAAIIKGEGYLDFIARCGIKEKDVVHVWAFKQQGFRLFGATYPPGPLYILIAGTARLAAPPPPQPPVAQSPPSC >ONIVA08G10250.1 pep chromosome:AWHD00000000:8:10464147:10469731:-1 gene:ONIVA08G10250 transcript:ONIVA08G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSTEATPDHHARLRRRSMDAGDTSAAAAVAPTPARLREALAALSKAFESGDCSDGSAAAAVSDLLNAAADAADADADAEDEAAAGVVEEMLREVHAFLSSPSSNQLAIDALSLELVKPVAKLGALMRNCWDIANAIIEFFVSNCNPRDMLSILCEAVDAPLASNGSVYFVLLFKELAKVLVLIQKRHTEQVKVTLPAVLRVMNAVIPECDEEHGKIIVDMYNAALRIGNAIQEMCKKMVNQTNEELCSVLSLYSLQNIALVSRCKQQHILSACGSVVLQHSKILTFCGFTYLGLLTGNDVTSATDKISKDEDADLLECFSFAMNGANLAVIWTYMDDEISKYAGAELESALKDVKGNHTRMWQAINILRYVLSSTHYPWVIKSHSLDLLLTIANESRIEEINDHVDVSSSGPQIFATLKTAILLDLVKDEVSREIRRADQDIVESDQLQDGGEWPPPWFSHALELVELILKPPEGGPPCLPDHGEQVLSALNLLRFVLIIDSRGSRSRKMFGEETMRKVYSEWLMPLRPIVAGIQSESEEDGSDVANHIMCSLNPVQLVLYRCIELAEEKMKVILSSFIIHFAGWSRSLFAKLHRPSRARAPRMAATRLRPIRARTPSTRRMAAAAAHGRRPGAPFTGRRKGKGKEGKRKKKEGKKLAALQKSRWIFWKSRA >ONIVA08G10250.2 pep chromosome:AWHD00000000:8:10464576:10469731:-1 gene:ONIVA08G10250 transcript:ONIVA08G10250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSTEATPDHHARLRRRSMDAGDTSAAAAVAPTPARLREALAALSKAFESGDCSDGSAAAAVSDLLNAAADAADADADAEDEAAAGVVEEMLREVHAFLSSPSSNQLAIDALSLELVKPVAKLGALMRNCWDIANAIIEFFVSNCNPRDMLSILCEAVDAPLASNGSVYFVLLFKELAKVLVLIQKRHTEQVKVTLPAVLRVMNAVIPECDEEHGKIIVDMYNAALRIGNAIQEMCKKMVNQTNEELCSVLSLYSLQNIALVSRCKQQHILSACGSVVLQHSKILTFCGFTYLGLLTGNDVTSATDKISKDEDADLLECFSFAMNGANLAVIWTYMDDEISKYAGAELESALKDVKGNHTRMWQAINILRYVLSSTHYPWVIKSHSLDLLLTIANESRIEEINDHVDVSSSGPQIFATLKTAILLDLVKDEVSREIRRADQDIVESDQLQDGGEWPPPWFSHALELVELILKPPEGGPPCLPDHGEQVLSALNLLRFVLIIDSRGSRSRKMFGEETMRKVYSEWLMPLRPIVAGIQSESEEDGSDVANHIMCSLNPVQLVLYRCIELAEEKMKGF >ONIVA08G10250.3 pep chromosome:AWHD00000000:8:10464576:10469731:-1 gene:ONIVA08G10250 transcript:ONIVA08G10250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSTEATPDHHARLRRRSMDAGDTSAAAAVAPTPARLREALAALSKAFESGDCSDGSAAAAVSDLLNAAADAADADADAEDEAAAGVVEEMLREVHAFLSSPSSNQLAIDALSLELVKPVAKLGALMRNCWDIANAIIEFFVSNCNPRDMLSILCEAVDAPLASNGSVYFVLLFKELAKVLVLIQKRHTEQVKVTLPAVLRVMNAVIPECDEEHGKIIVDMYNAALRIGNAIQEMCKKMVNQTNEELCSVLSLYSLQNIALVSRCKQQHILSACGSVVLQHSKILTFCGFTYLGLLTGNDVTSATDKISKDEDADLLECFSFAMNGANLAVIWTYMDDEISKYAGAELESALKDVKGNHTRMWQAINILRYVLSSTHYPWVIKSHSLDLLLTIANESRIEEINDHVDVSSSGPQIFATLKTAILLDLVKDEVSREIRRADQDIVESDQLQDGGEWPPPWFSHALELVELILKPPEGGPPCLPDHGSRSRKMFGEETMRKVYSEWLMPLRPIVAGIQSESEEDGSDVANHIMCSLNPVQLVLYRCIELAEEKMKGF >ONIVA08G10240.1 pep chromosome:AWHD00000000:8:10458516:10460930:-1 gene:ONIVA08G10240 transcript:ONIVA08G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19440) TAIR;Acc:AT4G19440] MRPPPPHLLLPRRRRHASSSAAAAAAAGELVGALSALPSPDSARHLDALLRRIGGGGLAAVLSSLPSPLPAASALRLLLHLLSRTSSTSSRSEDDLLTPRVSALLLPSLIADRTAIRTARRLLSRLLHVHPLRTAAEAVADAASTPSSDFLIHTFITSPAQGSLCRAADAFRVLSSRGAPPSIKTCNAFLEALVRAGQLDAAREVFDEMRESRNVALNEYSYTAMIKALCKAGKVDAGFEMLAELWRAGLQPTVVTYNVLMDALCKSGRVEEAFRLKGRMEQGGMTPSVVTFGILINGLARGERFGEVGIVLQEMEQLGVSPNEVIYNELIGWHCRKGHCSQALRLFDEMVLKKMKPTAVTYNLIAKALCKEGEMERAERILEDMLSIGMTVHCGLFNTVVAWLLQRTRRLESVVSITNEMVTRGMRPNDPLMTACMRELCKGGKHQEAVGIWFKTLNKGLGVNLATSNALIHGLCEGKYMKEATKVIQTMLNKGIELDSITYNIMIRGCCKDSKMEEAIKLHGDMTRRGFKPDLFTFNTLLHAYCNLGKMEETFHLLDQMKTEGLQPDIVSYGTIIDGHCKAKDIRKAKEYLTELMDRGLKPNVFIYNALIGGYGRNGDISGAIDAVETMKSNGIQPTNVTYGSLMYWMCHAGLVEEAKTIFSQARENNVDLGVIGYTIMIQGYCKLGKMVEAVAYFEEMRSRGISPNKLTYTTLMYAYSKSGNSEEASKLFDEMVGSGVIPDNITYGTLIARCSEVNSLDKDIGHTAELSSGALTKDDRMHNILSNGINAPWCQKEAASSVE >ONIVA08G10220.1 pep chromosome:AWHD00000000:8:10425978:10434101:-1 gene:ONIVA08G10220 transcript:ONIVA08G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQKDSSAIIVLDSDDEDEYTEGCEQLTSENNKEQAPSGPTSPYTTWIVSSTKDQVNGTLHVDGVQSTQIVPYGQNAPLINQFPLQTSWQPSIQYERVILQKRPEEQRVQDLVAASHAEKIAETQVLLTLPTLPTERKRRKTEPTTLVDVDGGTNLGKRKRKNHQNQAAVDSNLDLQQNDVPSQSYRTMIEEEKPVKESEGLEDLWKDFSLAAECTKIEYRCAQTHVIFFVQLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCCICGMIVRKAETIIDYQWKKRQLLKPQALDLVILDTTHQEGLVYECSLLDSHANGSDNLRLTRTSNHACMQISVTNTCSHESCSKPAFSKTKSTYAYASRTRTNYYESRSKDADEIDTGAFPKISLIVSDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGIGSTWKREFQRWQVEDIPLYDFYSVKADKRVEQLEVLKYWEAQMSILFLGYKQFSRIICGDGDGNIAAACWDRLLMVLNLLILDEGHTPRNRETDVLASLKRHHAKWSCLVHFSRTTFRKCLTSWTLCTRPCLDGTKTFKSLSHRMFEN >ONIVA08G10210.1 pep chromosome:AWHD00000000:8:10399515:10402560:1 gene:ONIVA08G10210 transcript:ONIVA08G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTMDARWAHIVYALLVPEAFFRDPDGRDGVASRSFRRPLAISTATPLSLPGEGRRYQAHDERAVGAHRRHRHVIFTVVATSLYSAGSPYFTSIAVSSGVTVAAAAACLMWVGAVASRSFTSRRHDEIVPPSYSAATSPSEELGTTRSGDPVLLTGGSRNPDEDDSRYHPFLLFHLHIGSLSIFLSSLAWESHMIRGFTGAGSDHCFCERIEDRCQ >ONIVA08G10200.1 pep chromosome:AWHD00000000:8:10396268:10398458:-1 gene:ONIVA08G10200 transcript:ONIVA08G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISTIPRAMIRGQFPVLVVPMVEWAAFCLCYNNYKRVSSHLSDVAALYNYYGRSGQAATLSWSVRLRIAKGAARGLAHLHECSPRRFVHGEVKPSNVLLDADYNAVLADFGLARLLTIAGCADPSSASGGGLMGCALPPYAVKPASAAGDHHHRPSAYRAPEARAVGARPSQKSDVYSFGVVLLELLTGRPPEHHASPSASTSSSASFSGTTTTVSGGGGGGDQAQAVPEVVRWVRQGFEDARPLSELADAGVLRDGGARKEVVAAFHVALGCVEADPERRPRMKAVAESLDKIGS >ONIVA08G10190.1 pep chromosome:AWHD00000000:8:10386657:10386950:1 gene:ONIVA08G10190 transcript:ONIVA08G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKEEKVPVVTDDSHSLTFRCIICMEYKPKHSHLRCKGCLHYFWFNCIVDHISYHVIRGDIPVHCSVPGSNIGDLPMRCGTSISWMMCEMLRKLHF >ONIVA08G10180.1 pep chromosome:AWHD00000000:8:10313984:10314538:1 gene:ONIVA08G10180 transcript:ONIVA08G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLVPFFPCRVNRQATFPAPLPAILPGASSSLLLPPPPFTDAAGTSMARCSAVVRHVPIDSARTTSCRQSRHPPPPPSDGDGAVATGAAVIAAVLAPLWYSPAYKKPRPPPSAPLKSNPMSARIFDPSTPLTPTSSIPQDSISGRTEGRWRFLIASWAARPTMTRQEEDSKEKGKRNGTGQE >ONIVA08G10170.1 pep chromosome:AWHD00000000:8:10308086:10314391:-1 gene:ONIVA08G10170 transcript:ONIVA08G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCLTTAEQRAMEVPAASVKGGGGRRSDEEAPGRIAGNGAGNVACLFTRQGKKGTNQDAMVAWENYNGRSDTVFCGVFDGHGPHGHLIARKVRDILPSRLCDLIYEDCGDSPTSNSDVSTLEENLSLYADAECRSPTLAGQKEHQEFFNAMKESFRKAFKNVDKELKLQRNIDSICSGTTAVTLIKQGHDLIVGNLGDSRAVLGTRDQNDKLVAHQLTVDLKPDHPREARRIRRCNGRVFAHQDEPDVARLWLPNCNSPGLAMARAFGDFCLKDFGLISVPDVTYRQITEKDEFIVLATDGVWDVLSNQEVVDVVASCSGRFAAARSVVDLANETWRFKYPTSKTDDCAVVCLFLNKYEVTGGLSGQPGYSPRMPALSGITRPNSKRVTPDDVDDGSDSNVSGDERSLDGFTRLNTLLALPKFGDTSPTKK >ONIVA08G10160.1 pep chromosome:AWHD00000000:8:10275595:10281930:1 gene:ONIVA08G10160 transcript:ONIVA08G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAQLVGAALTLLLLSVAAAPARANEVPRLFLQEIASDYDDGIGGTAGDKGAKTIAGSPIVAGVMNDRLKALTSSFAKAIGDKLDYCIKDTDKEWNAAFNFSKDTTFLTNCMKQTNGDLQQRVCTAAEMKFYFNSLIDAGEKSGEINYVRPNKNCNLSSWMDGCEPGWACTVGKEQKINLQDAKDIPYRALDCQACCPGFFCPHGLTCMIPCPLGAYCPLSSLNKTTGICDPYNYQPPAGNPNHSCGGADNWADVVSTDDIFCPPGFYCPSTTQKLPCSSGFYCRKGSTSQTRCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKKQAKSREAAARYARETAQARERWKSAKDVAKKAGTGLQSQLSRTFSRKKAAQTPKGGGGGGSSLPPSGEDGGGRKKNLTDMMQSLEDNPDNDEGFNLEIGDKGLRKNMPKGKQMHSRSQIFKYAYGQIEKEKAMQQENHNLTFSGVISMAKEHDVSTRPVIEIAFKDLTLTLKGSKKKLLRSVTGKLRPGRVAAVMGPSGAGKTTFLSAIAGKATGCETSGMVLINGKVEPIRAYKRIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFTGLGITVPERVNPPDYYIDILEGIVKPTMSAGVSVKDLPLRWMLHNGYDVPRDMLQSSSDSESSFRGSTSPASGDASVAAEVWGNVKDIVGQKKDEYDYNKSTEDLSNRCTPGILRQYRYFLGRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFALDKIYYWRERASGMSSLAYFMSKDTIDHLNTIVKPIVYLSMFYFFNNPRSSIWENYVILVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKNTFFADLCYTKWALEGFVMANAQNYSGVWLITRCGSLVKSGYDINDKALCIVVLIANGIVFRCVAFFCMVTFQKH >ONIVA08G10160.2 pep chromosome:AWHD00000000:8:10275595:10281930:1 gene:ONIVA08G10160 transcript:ONIVA08G10160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAQLVGAALTLLLLSVAAAPARANEVPRLFLQEIASDYDDGIGGTAGDKGAKTIAGSPIVAGVMNDRLKALTSSFAKAIGDKLDYCIKDTDKEWNAAFNFSKDTTFLTNCMKQTNGDLQQRVCTAAEMKFYFNSLIDAGEKSGEINYVRPNKNCNLSSWMDGCEPGWACTVGKEQKINLQDAKDIPYRALDCQACCPGFFCPHGLTCMIPCPLGAYCPLSSLNKTTGICDPYVGRHTTINHPLEIRIILAVVLTIGQTWFYCRKGSTSQTRCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKKQAKSREAAARYARETAQARERWKSAKDVAKKAGTGLQSQLSRTFSRKKAAQTPKGGGGGGSSLPPSGEDGGGRKKNLTDMMQSLEDNPDNDEGFNLEIGDKGLRKNMPKGKQMHSRSQIFKYAYGQIEKEKAMQQENHNLTFSGVISMAKEHDVSTRPVIEIAFKDLTLTLKGSKKKLLRSVTGKLRPGRVAAVMGPSGAGKTTFLSAIAGKATGCETSGMVLINGKVEPIRAYKRIIGFVPQDDIVHGNLTVQENLWLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFTGLGITVPERVNPPDYYIDILEGIVKPTMSAGVSVKDLPLRWMLHNGYDVPRDMLQSSSDSESSFRGSTSPASGDASVAAEVWGNVKDIVGQKKDEYDYNKSTEDLSNRCTPGILRQYRYFLGRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFALDKIYYWRERASGMSSLAYFMSKDTIDHLNTIVKPIVYLSMFYFFNNPRSSIWENYVILVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKNTFFADLCYTKWALEGFVMANAQNYSGVWLITRCGSLVKSGYDINDKALCIVVLIANGIVFRCVAFFCMVTFQKH >ONIVA08G10160.3 pep chromosome:AWHD00000000:8:10275595:10281930:1 gene:ONIVA08G10160 transcript:ONIVA08G10160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAQLVGAALTLLLLSVAAAPARANEVPRLFLQEIASDYDDGIGGTAGDKGAKTIAGSPIVAGVMNDRLKALTSSFAKAIGDKLDYCIKDTDKEWNAAFNFSKDTTFLTNCMKQTNGDLQQRVCTAAEMKFYFNSLIDAGEKSGEINYVRPNKNCNLSSWMDGCEPGWACTVGKEQKINLQDAKDIPYRALDCQACCPGFFCPHGLTCMIPCPLGAYCPLSSLNKTTGICDPYVGRHTTINHPLEIRIILAVVLTIGQTWLALTIFSAHLDSTARARHRNSLVVVGCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKKQAKSREAAARYARETAQARERWKSAKDVAKKAGTGLQSQLSRTFSRKKAAQTPKGGGGGGSSLPPSGEDGGGRKKNLTDMMQSLEDNPDNDEGFNLEIGDKGLRKNMPKGKQMHSRSQIFKYAYGQIEKEKAMQQENHNLTFSGVISMAKEHDVSTRPVIEIAFKDLTLTLKGSKKKLLRSVTGKLRPGRVAAVMGPSGAGKTTFLSAIAGKATGCETSGMVLINGKVEPIRAYKRIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFTGLGITVPERVNPPDYYIDILEGIVKPTMSAGVSVKDLPLRWMLHNGYDVPRDMLQSSSDSESSFRGSTSPASGDASVAAEVWGNVKDIVGQKKDEYDYNKSTEDLSNRCTPGILRQYRYFLGRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFALDKIYYWRERASGMSSLAYFMSKDTIDHLNTIVKPIVYLSMFYFFNNPRSSIWENYVILVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKNTFFADLCYTKWALEGFVMANAQNYSGVWLITRCGSLVKSGYDINDKALCIVVLIANGIVFRCVAFFCMVTFQKH >ONIVA08G10150.1 pep chromosome:AWHD00000000:8:10150798:10151595:-1 gene:ONIVA08G10150 transcript:ONIVA08G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVNRASVFVILLIVASALSVFTAGGRELVAQETNQKKYSSAALGEGATSSGEAHPRNLMVKTNDYGRYDPSPAFSKPRFKIIPN >ONIVA08G10140.1 pep chromosome:AWHD00000000:8:10088832:10115400:1 gene:ONIVA08G10140 transcript:ONIVA08G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKANIEPDIVTYNILASGFCKSGLVMEVFDLLDRMADQGLEPNSLTYGIAIVGFCRGGNLSEAEEVNIADEELSVKIRKENEAPVVERKVKNISDTNIKRLPYLMVLLWLWKTNDRVTLLWFYSFF >ONIVA08G10130.1 pep chromosome:AWHD00000000:8:10086099:10087052:1 gene:ONIVA08G10130 transcript:ONIVA08G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPTESRTPRRRESARARERSEAGQAIRPIGLETLNPNFPFVQFSNPQPVPCARRGAVGRRAARAWVGGAAAGTGSAAQRREQGRGSHQPGTEGRQSSFKKWLLVFLLSSCDIAGYSLCFIM >ONIVA08G10120.1 pep chromosome:AWHD00000000:8:10082927:10084061:1 gene:ONIVA08G10120 transcript:ONIVA08G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLCVLLEKQHAAAMTTATAAAARTAQVISKTAVLSTAGGGGSKIHGCYSSSSSSSRAPVVVATTASFLHRCFLCRRELAGGDDIYIYRGDRAFCSDDCRFRHILTEEEEEDGDMSCRAKDAAVAAPTRRRSRNRRAVAGGGGRGFFAY >ONIVA08G10110.1 pep chromosome:AWHD00000000:8:10073591:10074255:1 gene:ONIVA08G10110 transcript:ONIVA08G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSYVDVSHRADEVMCIGRMLKLTKLGIVVTGKEASYLMKLLQTLSISGLHQLVKITLRETYLTGDALYVLGNLRSLRCLRFLPKALAEGIIKFSAGEFSNLVHVFFQEDYIISVIFDHETAPRLETVVFDVKIITSLHGIRNLPSLKDLQIKGELRGEQAVQQAIADHPNSPDYESKIQGKDRYRYSPGLFVLCCICC >ONIVA08G10100.1 pep chromosome:AWHD00000000:8:10068355:10073585:1 gene:ONIVA08G10100 transcript:ONIVA08G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFEEETDLRTICTETTHLKYLSLRNTGVTQLPKHIQNLQQLETLDVRGTNVSKLDVVLPMLKELHSGQSEWSLYRSRRRRERYGG >ONIVA08G10090.1 pep chromosome:AWHD00000000:8:10065661:10068057:-1 gene:ONIVA08G10090 transcript:ONIVA08G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEVKFHETAFKKEVIPKLWFEWSELCTTEDFKLNQVDIDDSEDELLEANAIRQDIKALYRRWTLTSAAKKAVGRKLGGPKKPVPRSVKAGLQFLVSRYLENGRHAQRVGTGTPVYLAAVLGYLAAEAGGFNYLPPLSQ >ONIVA08G10080.1 pep chromosome:AWHD00000000:8:10059892:10060173:1 gene:ONIVA08G10080 transcript:ONIVA08G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSPSSSSSAGSDSSSAKGWNRNTSRGTDVYRSPSPPNASLDCIAQPKSGERLVPQPRDALHRGEPARMEKQTSVGEGTTQRHALVMALYG >ONIVA08G10070.1 pep chromosome:AWHD00000000:8:10040811:10044945:1 gene:ONIVA08G10070 transcript:ONIVA08G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPLSPLPFQETTNLSLSLSLSLAAERRSSLPSAAAGGVRACGWLVLWIPFPMDDAVETAAEILVSFQNRRLVRWPEWVPRPDEKGAAAEEKKLPGWKDRRKRTSKSKPPPAILVCGLELRDSGGEKAASIPAPLPPPARKKMLFKMKVKDEPTAARGPETPPEYGAGAGSGANFSRDGVARPLPPRAVVKAEPTPAARMPESPPYYVAAAGSAPSTAGGDRRPRPRPVERAHVKTVLAAAKEAMEASSPETPLDYAATTGSGASSSGDESSRKRKAAPGAGGSGGASSSGDEGCSSPEKRPCLVAGGGAQTAAAAKAEGEKFADSMNRNDDGVLLFDLNECVDNCEDWS >ONIVA08G10060.1 pep chromosome:AWHD00000000:8:10030480:10031193:-1 gene:ONIVA08G10060 transcript:ONIVA08G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHYYGYHTRSSPPRMPTAHANPQQAQLYPIACRPTRSAKADLVTLPVGGGYRVADLLHLVLDVIIFVHVIELLLPLYTMCLVIHSPAVALDVAHPGRGGDCGFWGVTVAMMHILLVLFQGESFYQTLHAPQATLSVSQPGGVGPCWSDERACHQCWSSRICGHSTDGRRQSPRCRTSLPTALTTVVPRGINPACRLLSTYSGVPWRSTPPHPSPLHTTILLTACHQPSPHTCLPR >ONIVA08G10050.1 pep chromosome:AWHD00000000:8:9994821:9995045:-1 gene:ONIVA08G10050 transcript:ONIVA08G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRGGGREQRRRDDGNDEDGSDDERQRGDDGDNDGSGNGATTTRRRTAAGSVGYAITATTMGFFPFFHMRVV >ONIVA08G10040.1 pep chromosome:AWHD00000000:8:9986779:9993930:-1 gene:ONIVA08G10040 transcript:ONIVA08G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I9S8] MKTKTAAAATCLVALLVVVLAEAAGVGGTTVTYNDRSLVIDGERRIIISGSIHYPRSTPEMWPDLIKKAKEGGLDAIETYVFWNGHEPHRRQYNFVGNYDIVRFFKEIQNAGLYAILRIGPYICGEWNYGGLPAWLRDIPGMQFRLHNAPFENEMEIFTTLIVNKMKDANMFAGQGGPIILAQIENEYGNIMGQLNNNQSASEYIHWCADMANKQNVGVPWIMCQQDSDVPHNVVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKDLHSVIKSIEKILVHGEYVDTNYSDKVTVTKYTLDSTSACFINNRNDNMDVNVTLDGTTHLLPAWSVSILPDCKTVAFNSAKIKAQTTVMVNKANMVEKEPESLKWSWMRENLTPFMTDEKGSYRKNELLEQIVTSTDQSDYLWYRTSINHKGEASYTLFVNTTGHELYAFVNGMLVGQNHSPNGHFVFQLESPAKLHDGKNYISLLSATIGLKNYGPLFEKMPAGIVGGPVKLIDNNGKGIDLSNSSWSYKAGLAGEYRQIHLDKPGCTWDNNNGTVPINKPFTWYKTTFQAPAGEDTVVADLLGLNKGVAWVNGNNLGRYWPSYTAAEMGGCHHCDYRGVFQAEGDGQKCLTGCGEPSQRFYHVPRSFLKNGEPNTLILFEEAGGDPSHVSFRTVAAGSVCASAEVGDTITLSCGQHSKTISAINMTSFGVARGQCGAYKGGCESKAAYKAFTEACLGKESCTVQITNAVTGSGCLSNVLTVQASC >ONIVA08G10030.1 pep chromosome:AWHD00000000:8:9971859:9977568:-1 gene:ONIVA08G10030 transcript:ONIVA08G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G09850) TAIR;Acc:AT3G09850] MASGKRRHARSNPTAGGPRHGAGAGRRRPVPELPSFVSPASVAAAFSSSSSGRRGRGGRGGRRGGGGGESSNSASDSSSHAVPFSYAALRPSASFEGATQVLDVTIDTAPCADPASASVPVYSYGPVGGIGLGFHGEEEDEEEEAGEAGLHLGLGFRGCSNEEVELEEATLVTPRKPKEKPKGKRNEGFLSIGGIRIYTEDISSPESGVGDSNEESESDYEGRDGNDDGDSDEEGSDVNEGGSESDEELSGSDSEEDLSIGDSSVDDEVVADYMEGIGGSEELLSSKWVAGMNLVDSDDDDEMDTDEDEDGFLKKVKGQLEGYALMNASEQYGMKRPSSADRLKGKGTAVRACDRDLASMRVMGLDDVMMVKDVRMANRLRKGAKVASSSSHLSRSWPNEGRKSKKYQSVPGEKKKHRKELIAKKRRQRMLGRGVDLDQINTKLRKMVVDQVDMVCFQPMHTRDCSQVQRLASIYHLKSGCQGSGKKRFVTVTLTADSSLPSSEGQIRLEKLLGTEPEDFTVNWENSKRPAQVKGLSAPGKLARNQTSSGKKSSKKQVSFAERPVSFVSCGTMAESVTETIAVATTSGEVSCEKIVESDSVKLGTFEMHTKGFGSKMMAKMGFIEGTGLGKDGQGMMQPIQPIQRPKSLGLGVEFDSEAEAIKARSEPPTKARSEPWRNLRKVEIGGVGSFERHTKGFGSKMMARMGFVEGSGLGKDGQGIVNPLTAPLEFDNLVVYMLGEHNPVGRGKQHAKSWVPMPLAVGSCIRLASRIPLLV >ONIVA08G10020.1 pep chromosome:AWHD00000000:8:9969268:9971283:1 gene:ONIVA08G10020 transcript:ONIVA08G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0I9S6] MAMATPMAPRSTFAIFLILLLRSGHSPAAAFYLPGSYPHRYRPGEALAAKVNSLTSPSSKLPFPYYSLPFCAPQGGVNRAAESLGELLLGDRIETSPYRFSMLKNATAFLCRTDPLPPATADLLMSRIDDAYHVNLLLDTLPVVRYVKNLAAPGVFVRSTGFPVGVRADDGEYYVYNHLKLTVLVNKPRNGTTRAEALMATADAVELISFAGGSKDGGGYTVVGFEVVPCSVEHDAAAIKGKKMYDELPARAAAGCDPSVVGMRVRANRPLVFSYEVAFVESGVEWPSRWDAYLEMGGAKVHWFSILNSIVVVAFLAAILLVILLRTVRRDLAQYDEHGGEAGLAPQADELAGWKLVAGDVFREPAHPVLLCVMVGDGVRILAMAVATILFAALGFMSPASRGALVTGMLGIYLLLGFAAGYAAVRLWKTVRHGDSAGWKRVAWRASCAFPGAGFAVFTALNCVLWYNGSTGAVPFLLFAVILLLWAFVSVPLTLAGGLVASRVGHLEYPVKTNKIARQVPAAQCSPWVFVAVAGTLPFGTLFIELLFIMSSLWLGRVYYVFGFLLVVMALLVAVCGEVSVVLTYMGLCVEDWRWWWRAFFASGSVAAYTLGYAVYYLVFDLHSLSGPVSAALYVGYSLLMALAVMLATGAVGLAASFAFVYYLFSAVKLD >ONIVA08G10010.1 pep chromosome:AWHD00000000:8:9967531:9967872:-1 gene:ONIVA08G10010 transcript:ONIVA08G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTTTHGTEAEAVRGQRPHGGGRGRAPTPNPVGAEPSESGGGGRRAWLEASRLGDLGDDGSEWRRSRRRQRRLATETSAVAVDGDGDLDDDGGDGAPLCGCGIGAATATRG >ONIVA08G10000.1 pep chromosome:AWHD00000000:8:9951739:9962704:-1 gene:ONIVA08G10000 transcript:ONIVA08G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenylate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G35170) TAIR;Acc:AT5G35170] MAASSSSSSSPAAASAPFAAPGPHRRPGLALRPSPPTPPSSSLSCCRASPAAAAVSSVSATAAPNRGPRGMGLRCRASEGAAAAARKEAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDNGMLVPDQVVTDMVVSRLSQPDVRERGWLLDGYPRSYAQAQSLESMKIRPDIFIVLEVPDDILIDRCVGRRLDPETGKIYHIKNFPPENDEVSARLVTRSDDTFEKVKSRLETYKQNSEAVIPTYSDLLNQEFRGIPTRLNNIPHSREIRKYFYNDVLVATRHAVEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEIMDWGEYGAKGTFINFGAVGASEVDKEDDMFILIAPQNAVGNCIIDDMKAMTDAAGDRPVILVNPRLKDMPGSSGVMQTMGRDMRLKYAASFETCYSFRLLFYAGSFYPIMGALRMAYPNKYEIYRRVDEPNGQERYVLLEEFVEKPTPDEITNAFRPRKNENEKSASGFCKG >ONIVA08G10000.2 pep chromosome:AWHD00000000:8:9951866:9962704:-1 gene:ONIVA08G10000 transcript:ONIVA08G10000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenylate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G35170) TAIR;Acc:AT5G35170] MAASSSSSSSPAAASAPFAAPGPHRRPGLALRPSPPTPPSSSLSCCRASPAAAAVSSVSATAAPNRGPRGMGLRCRASEGAAAAARKEAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMDNGMLVPDQVVTDMVVSRLSQPDVRERGWLLDGYPRSYAQAQSLESMKIRPDIFIVLEVPDDILIDRCVGRRLDPETGKIYHIKNFPPENDEVSARLVTRSDDTFEKVKSRLETYKQNSEAVIPTYSDLLNQEFRGIPTRLNNIPHSREIRKYFYNDVLVATRHAVEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEIMDWGEYGAKGTFINFGAVGASEVDKEDDMFILIAPQNAVGNCIIDDMKAMTDAAGDRPVILVNPRLKDMPGSSGVMQTMGRDMRLKYAASFETCYSFRLLFYAGSFYPIMGALRMAYPNKYEIYRRVDEPNGQERYVLLEEFVEKPTPDEITNAFRPRKNENEKSASGFW >ONIVA08G09990.1 pep chromosome:AWHD00000000:8:9950634:9951028:1 gene:ONIVA08G09990 transcript:ONIVA08G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMQRPCALISLLCVLLPSQVMYLQCGGVVLSLATHHTNCRRCPNRVVLRGDLGEKL >ONIVA08G09980.1 pep chromosome:AWHD00000000:8:9948254:9950586:1 gene:ONIVA08G09980 transcript:ONIVA08G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELNSAVTDVLSCAYAVLLSSPGRSWSRGIGFDEVAMTSVMAALTSGEQQRKAVDSQSTSQNNGE >ONIVA08G09970.1 pep chromosome:AWHD00000000:8:9908086:9912901:1 gene:ONIVA08G09970 transcript:ONIVA08G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIYPSVFPYRPCLPRRGIAWRRALHVARSSLPLPHPHAARSPRLPLSPTACFLPLSPAPLHQTLRPSPSLRLPTHHLPVVSSAAPSGAAASATERPRFLERCGLNADEFDDDAEAEPMVGLFGRSIRGLAEVNFTAVSGGLSVFLREEEGKAIVMAGSGLSLLSGSGNVLPFVLASPSSSQKIPHCWLHR >ONIVA08G09970.2 pep chromosome:AWHD00000000:8:9908054:9908683:1 gene:ONIVA08G09970 transcript:ONIVA08G09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIYPSVFPYRPCLPRRGIAWRRALHVARSSLPLPHPHAARSPRLPLSPTACFLPLSPAPLHQTLRPSPSLRLPTHHLPVVSSAAPSGAAASATERPRFLERCGLNADEFDDDAEAEPMEEGKAIVMAGSGLSLLSGSGNVLPFVLASPSSSQKIPHCWLHR >ONIVA08G09960.1 pep chromosome:AWHD00000000:8:9907252:9908444:-1 gene:ONIVA08G09960 transcript:ONIVA08G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASASSSNSSALRPHRSRKRGRSVADAAAPEGAAEDTTGRCETSLSAFQPSSPLLSLSAQTGGDRLAAAAADEAVAELLAAEAREAGAAASGGIAVAAAAADDEEEEAAARSRRRRQPGAALLPTTRRRRLPGAAPPSPPHAAADDEEEEAAGSGAILPTSCG >ONIVA08G09950.1 pep chromosome:AWHD00000000:8:9904866:9905992:-1 gene:ONIVA08G09950 transcript:ONIVA08G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQSHTPPTLNEALPPQPSIFVAASASKDPGAWRRGTRATTTFFPSSATVRSLPLASVIFFFYGNVCMENLITFTTAILVRSKLNYLMAIYLSVLHYIGCFDFISTRCRSFQTEKNKLLGSPYACVAVQMQPAMECHNELR >ONIVA08G09950.2 pep chromosome:AWHD00000000:8:9904866:9905992:-1 gene:ONIVA08G09950 transcript:ONIVA08G09950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQSHTPPTLNEALPPQPSIFVAASASKDPGAWRRGTRATTTFFPSSASEDGPFPTAGALPPPRISNFLFLWQCMHGKSHHIYNSNTGSKQAQLFNGNIFVGTPLHRLLRFYFYKMQTEKNKLLGSPYACVAVQMQPAMECHNELR >ONIVA08G09950.3 pep chromosome:AWHD00000000:8:9904866:9905992:-1 gene:ONIVA08G09950 transcript:ONIVA08G09950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQSHTPPTLNEALPPQPSIFVAASASKDPGAWRRGTRATTTFFPSSASEDGPFPTANTGSKQAQLFNGNIFVGTPLHRLLRFYFYKMQTEKNKLLGSPYACVAVQMQPAMECHNELR >ONIVA08G09940.1 pep chromosome:AWHD00000000:8:9898637:9900110:1 gene:ONIVA08G09940 transcript:ONIVA08G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEVADKGGKQRSGAAAGASGSIASGAKAPISMSDDGDWSGLPSSTTKVEADENVLRYYCCIKALPAAPLPLPNPRPWTSLACLDPHVGTWTTSVLHTSAADDLASLRLDLPVAVVVVERLVTNLVHNLQQPDPVLPSRESSRFGAPARPDHRHRATALGREG >ONIVA08G09930.1 pep chromosome:AWHD00000000:8:9874437:9875368:1 gene:ONIVA08G09930 transcript:ONIVA08G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLVRQLGSIEINVILTSCMHFTVQRKRHACKIFSLSQSIFDHMYPHALLTWDVVQNRMPPLHKTVDHIYHL >ONIVA08G09920.1 pep chromosome:AWHD00000000:8:9871766:9872362:-1 gene:ONIVA08G09920 transcript:ONIVA08G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQSTETVKFAERRVVSTYSQHSSSTPIPSRVGPLDYQLAVMCNCRVKAARWISWSADNPSRWYLKCRNARGGLILDWGLKFMARDCRMVDVVFFAWYDGPTTTFLREVLNDLRDAVWSVRREKEELVLAIQEERLRRREQRLMLLGKSWRQQESCHVTIQKELLHGRTELVG >ONIVA08G09900.1 pep chromosome:AWHD00000000:8:9827566:9827824:1 gene:ONIVA08G09900 transcript:ONIVA08G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRLAQPLYVKNFTTIDGRGADVHVAGGGKDQWHWHSVGDAFENGAWETGVRPNYNRHQAFPAASAGDVGALTCSATVAC >ONIVA08G09890.1 pep chromosome:AWHD00000000:8:9812926:9820374:1 gene:ONIVA08G09890 transcript:ONIVA08G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I9R0] MANRNVPMLLPLAVLFLLGSGGVATAANVIDRCWRGQRNWAADRQRLAVCSVGFAGKMRQNRGAGVVAYTVTDPSDDPVRPRPGTLRYGATVLPAKVWITFARDMRIRLAQPLYVKNFTTIDGRGADVHVAGGAGIVLYHARDVIVHGLHVHDCRAQPPGRVVVPGGAVQPSGSGDGDAIRLVASSKVWIDHNTLSRCEDGLLDVTVGSTDVTVSNNWFHDHDKVMLLGHDDGFTTDRRMRVTVAFNRFGPNVNQRMPRIRHGYAHVVNNLYDGWRDYAIGGSMGPSVKSQGNLFAASGGAGDNKKVTRRMPAVARGGGGGGKDQWHLHSVGDAFENGAFFRQVGNRVRPNYNQHQAFSAASAGDVRALTGGVLPAGINMSSFLKFSLSLISIALSSCSRWQQELGPAYLSTKAVAVGTSGLFIEGASATSDRRLRCRRVGGSDPRLRCWCLTPLPPLPPPRTPAFAAAASHAVARLGASRAPAPPRTAASGDGASDPRLRCRCEPRGCSSWINSRLFNKPHLDGVNEFMKFVLERFDENAEILCPCRWCLNGIHRQKGHVEDHLYIYGMASTYTRWIYHGEQSDAGINENEDHLDEHTSFSEDVGINEDEEENPPDRIPDMVDELYIAEGQDGGKSMFAAILDEMKQ >ONIVA08G09870.1 pep chromosome:AWHD00000000:8:9794587:9798601:1 gene:ONIVA08G09870 transcript:ONIVA08G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIGAAEARRRRCHEVSCPLRRYHCCRYRHFPRDTAHRHQGPPTATKGHRLLPFSGESGTKSKHSQFSDIFTKAIGDPSHKPLPSQPKTLIRMSHVGVGGITDAREDMKLVEVGGEETHALILTQIQRSTIYMISFPNLCRMPFSKSVK >ONIVA08G09860.1 pep chromosome:AWHD00000000:8:9787210:9790830:-1 gene:ONIVA08G09860 transcript:ONIVA08G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKGGFLAVVAVVGRGAVLLADAARVVDFGEVGSPPAPSPVADAPYLPDVASPPAVQTEGGNHYQKEILVAVILALAAVIVTVVSAIYAWTFWKKARQALDSKDKKLSSTTKGHMLLPMFGKLNSMKTSKKEVVAMMDFSVLDSATGKFSENNILGKGGFGCVYRACLDRGVVAAVKKLNCCRQEVEKEFENELEFLGKIRHPNVISVLGYCIHEDTRLLVYELMQNGSLETQLHGPSNGSALSWYIRLKIALDAARGLEHLHEHCNPLIIHRDIKSSNILLDSDFNAKISDFGLAIYGGNHNKADLKPSGTVGYVAPEYLLDGQLTEKSDVYAFGVVLLELLLGRKPVEKIGDSHCQSIVSWAIPQISDRTKLPNIIDPVIRNTMDLRHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVVEQPRQTG >ONIVA08G09850.1 pep chromosome:AWHD00000000:8:9784138:9784317:-1 gene:ONIVA08G09850 transcript:ONIVA08G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRLRREWGGRWQRRRPSPPDLARRRAAAMASTDDGSGDFRQYGCFAATAIELKFVLN >ONIVA08G09840.1 pep chromosome:AWHD00000000:8:9783540:9784029:-1 gene:ONIVA08G09840 transcript:ONIVA08G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEVSLGQRIGAREAPGFRRRAQGATWPKRSKEQMARWWLIPREDDEVGSGGVDGQTVGEPAVATSEPMRKRRLELGEEATKDGWVDSYPGRKRVRRKWRRAGVGCENQSDGKAASPHAALDA >ONIVA08G09830.1 pep chromosome:AWHD00000000:8:9779323:9780968:-1 gene:ONIVA08G09830 transcript:ONIVA08G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRGRGYGEVVATGMARPQRGGGALRGSGDGRRRRQIWSPRFLIWPGCPRRARSTPAPLRRRRVAGDGLLRHGLVGDDGIGSAAARVRSAAAPVRLSDAGCRGPGRGQPTCCGFVGVGDDASISLPPVPPDPVLLFFSCSSSGAHRRCGARSPLLRCSSLLRLSYFQSMLGSSLSQSCLSCKLGNDDHQQTSRQRDEGLEVEHFESLGLRSKGFYSIRRCLVVLETSCIMLSKLLAFGKLGNDDFCEVTNESPQILQFNLMRFFLQ >ONIVA08G09820.1 pep chromosome:AWHD00000000:8:9775425:9779083:1 gene:ONIVA08G09820 transcript:ONIVA08G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEPEPDAGGLTDYERRRAENIRRNGVILDSLRRKAAELSAIIQLSRPPTKKQQPRARPRAAPAVVRRSLRTQGLPPPPASGPAPAPPRRSTRITPSLASAILDATSPPASEAKVRDDGFDARTELVLRPSNVRRLVSADRIPSLRILPLVDRTVVAAGSNVGHVGFWVVDDDGDDAHRVFEYMPHLSSVGAIVAHAAKPHKIYSCSHGGEICLMDLERENFNITRLSEFPILSLCQAPNSPSCLYFGEETDVKLFDDRIGKVSTSWNAHASRINSIDFHPENTYMLATSSRDGTACMWDLRTMKKKGAESLVVLEHDRGLQSAYFSPSGHMVATTSLDGIVRVFSVDNFENFHTVERNNNIGTHLSTFKAIWGWNDMDLFIGNATRAIDVISVDLNDSSISTTNNACLKSEHMVSIPYRFSAHPCKVGHLACSSSSGKVFLWTRA >ONIVA08G09810.1 pep chromosome:AWHD00000000:8:9770743:9772242:-1 gene:ONIVA08G09810 transcript:ONIVA08G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARARSLRRSLPQPQPQPQPPTGGASKSKSIAHESEEMIIRTRRRKAEAVLVLHDPQDWRDWANLLAEMVGEIAGRLLAVDVAEYIRFRAVCGPWRERTADPRVRRLDARFRPRNWAVLTITPPPPRRLPPRRRLLNLATAASIGVVLPALSTHCHLCAADGLLVLFNKATNLISLLDPLTNTITGFPAIFLIAATATAAAAVPSSLSAMCRDRRFNLRIFNGAGFDDTTSPPTLVLCLRDTVRSIIVAKPGDSHWTLVNPGEASYREYDSQGQLLFHSVLSWRGRCYVASPEGSVYVLELRPPLPRLVEIIDQRRMCPPDTHHLNRVLSFLVGSGTGMLMARNWIDIKHFGGAEAYDPAELFTTVGGFTGRLEVLELDDIAKPKSERSLLPVRSLGRHAAFVGNTHCLLMSTETFPSLATDAIYLGYRLQRYRTSKFSVYTIDDRRIEPPHQFCLDEEWRLHPSARPCNLDQYLVCYVDRLHSWSGDCINSKLPHP >ONIVA08G09800.1 pep chromosome:AWHD00000000:8:9766222:9767988:-1 gene:ONIVA08G09800 transcript:ONIVA08G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLDFRAATRTRRVTTSDVKCPIEILGADNTPSRLVYLFVNAFVKEMRLVPVGLHWHLMPNYAR >ONIVA08G09780.1 pep chromosome:AWHD00000000:8:9749350:9749676:1 gene:ONIVA08G09780 transcript:ONIVA08G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPDMYNDNSGLCGPPLQSDCLGGIAPKQQGYVGHKETADVPEPIYILLSWARFIVGLWAVFCIILFKKTWRIAYFRLFDKVCDKVYVLIVVAWASLSQKMSAQ >ONIVA08G09770.1 pep chromosome:AWHD00000000:8:9746854:9749339:1 gene:ONIVA08G09770 transcript:ONIVA08G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELLEMLSHGSKKLRQLKMAMNDISGTLPVGMLQQFADLDILDFRNNNLTGHVSTEIGMLTSLTYMDLSHNNLSGVITEEHFASLKRLKYMDLSYNSLRIAVDPGWIAPFRLQHACFASCQMGPQFPSWLQGQVDITYFDISIFGITDKLPDRFWTTFSKVKYLDFSNNGISGALPTNMENMPMEYLQLGSNQISGQIPPLPRNITLLDVSNNSLQGPLPSELIVPQNHMEVLILSSNKLSGPFLERCTQLLVIDLSRNNFSGKLPMWIGDKEKLLFLRLSYNAFSGFIPANFTNLKNLCHLDLASNNLSVCLWSQRGKNFIMAQQFRTLRALINFSSNYLVGAIPGEISSLAQLKNLNLSRNYLSGKIPDKIGSSQSLESLDLSRNKLSGEIPLSLSNLSYLGDLDLSHNNLSGKNTIRITT >ONIVA08G09760.1 pep chromosome:AWHD00000000:8:9745909:9746679:1 gene:ONIVA08G09760 transcript:ONIVA08G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRRQNSASVPLTWWWVACQGSRMPCWPSSELLTSWRRDGSDHDCCRWRGISCSNLTGHVVKFQLNGAYVDERQETQGLVGEISPQLLNLDCIEHLDLGTNLLQGPSGRIPEFLGSMKSLRYLSLSNIPFTGVVPPQLGNLSRLEHLDLSYLVGTHLTDLSWLSHLGSLEYLDLTSVDLSMASDWAHAINMIPSIRVLYLCDCQIQSADHSLTHLNLTKLEELDLSSNYINHSYASGSGTRQASSS >ONIVA08G09750.1 pep chromosome:AWHD00000000:8:9731338:9731907:1 gene:ONIVA08G09750 transcript:ONIVA08G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLESLDLSENNLSGEIPSSISNLTYLSSLDLSYNHLTGRIPSGGQLDILYAENPSMYNGNNGLCGCPLRRNCSDDGNNNLCGYPLRRNCSDDGNNGLCGYPLRRNCSDHNSCPSKHGVEIKKYSDTMFLCFGLGSGFVAGLWVVFCTILFKKAWRMAYFRLFDKVNDKVYLFVVVTWAHVCQKSGTR >ONIVA08G09740.1 pep chromosome:AWHD00000000:8:9700706:9702976:1 gene:ONIVA08G09740 transcript:ONIVA08G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I9P7] MPPSPMSSTTAAGQSAGPVPFKDIVVVGGGGARQLPAEEYRDIIATLPRKQSTPFTTVHEYDGAWILDDWLPGTMAFRRRFEARAAGDVVLASLPKCGTTWLKALAFATAARGRYDVPSSCEDGDRRHPLLRLNPHECVPFVEVVYNAGEEAKLDAAPSPRIISTHAPYSLLPASITQSSTCKIIYISRQPKDMLISFWHFINKRIKPNIIPFSDIWNSIYNDAYPESPIWEHILGYWNMSKMEPDRVLFLKYEDVLRDPIKNVEKIAEFIGQPFSDAEKEAGIIESIVKLCSLENLKASAMNSTSNYQRLMKEVPSESFFRKGVVGDWANYVTPDMAERMDKYLAEKLQGSGFSFTDCL >ONIVA08G09730.1 pep chromosome:AWHD00000000:8:9687162:9687431:-1 gene:ONIVA08G09730 transcript:ONIVA08G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSGVWQVTACRLQAGEDQGRLPLVVDVVGRWRSGEANKKVDESCDDRANGNEPEEGNIVLPRLSIIVAARASPSHRYRLGIPGLLP >ONIVA08G09720.1 pep chromosome:AWHD00000000:8:9673978:9677103:1 gene:ONIVA08G09720 transcript:ONIVA08G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQDPPCDVAAAAVVVSPPDPKSHHGGGGDEDVGRPAQPPSAAVPANNPRRPVETETSYYVLMPVHELDGGAGGSGDRPPPKKRPATSGSCSGAVDDDSSPPSLPPKKRKVAVDYRVDSFGGSGSRNRETPPAVTRHGGGGGAPAGSTAMDPALANELRRREIKFGKRKVTAEAALTAPITTKLPPREKLMHDGIRAAAAAAATPRLLPPPAMNGQLHNDIVDNLSAELRERLDALGATAPRYVCTKSLKRSDVDLNQNRLLISCKQGEVFNCPITHLFTGEETIIVNKNPDETKETKKKKKKKRRKNNDDDEEEEPGLKVKKKKNKNEEKERPGLKVTMLDHGGDTYATTCRYLTSNGGYRFIGEWGKFLRNNGLAVCVNKGEEWTRSVHVKLLEFRSRRLPQADKSGHPDGALGFVVLHGDDSDSDDGEDDPCKGKAPPPANAKKNKKKKSNSKEHVNATSSSAAASASVAVERVTRSVEQEADDEDERIQRAVNGMLKLHDEGSQGEQQALLASKEEAEAKRAARRYGSQVIKKLGRGGGESGHLKPRDEGMGSVVVAHVK >ONIVA08G09710.1 pep chromosome:AWHD00000000:8:9665309:9671655:-1 gene:ONIVA08G09710 transcript:ONIVA08G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFECLLKLLNFVLTVAGLAMVGYGIYLLVEWMRISGGGGGAPPSPAPPAELLMFGRPMLTAVALGDGGSFFDKLPKAWFIYLFIGVGAIIFVISLFGCIGAATRNTCCLCCYAFLVILLILVEAGAAAFIFFDESWKDVIPVDKTENFDVMYDFLKENWEIARWVALGSVIFEVLLFLLALVVRAMNKPAEYDSDDEIIATSRSTSIRQPLIHSQNVPATGVPVATLEQRASRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGAPPAEERNRCVIL >ONIVA08G09700.1 pep chromosome:AWHD00000000:8:9661436:9664258:1 gene:ONIVA08G09700 transcript:ONIVA08G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMATVVVEFRESNDDAAFGNGLGRAAPSGGRVRAAGTVKAVALFADPAMARRPAVEGSCGAGGLHRPTSPLASVGNGGGWLNRAPRSRSGASSVGVEWCGVRSPCKWCEYCRWHLGASAVDALVDRGSEVKILLRSSASNGDALGHLYQCQVFAGFPEINCAVNARMSSSSDVSATMPCSRSAPQGRGQQGRGKTVGVADTSSSDESGALNDGPTMALVEEHLAAMAMDMGQELSRKIPIIEISNVRLFALHLCGSALASNPQMYGGRATKIM >ONIVA08G09690.1 pep chromosome:AWHD00000000:8:9654797:9660829:-1 gene:ONIVA08G09690 transcript:ONIVA08G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNLLGGKAFLSQEKQNIIVLAMEGSGGGDWRSQLQPEARGRITAKILESLNKKLGADFAKGLVDLQRVSARIEGRFFSISCTQSDYLRRLSLRMLKLETEPIQLGHQQAVDQSSESKVGQYPTSMLQQQTSCDQQSLRIQVDTKLQEMPPNEHLLDQVPPRSQKKLCVDDRLSDLPDTILHHIMSLLSAQEVARTCILSKRWKELSASAPCLDICVDKFGMDRVRFSEFVAHLLLSRAPNSLHTFRLHSFAIDHASSWINRAIELKAQVLEFTEYIRWESFYLDPQLMAFASQYLKCLKLTNVTLDSNAFEPLNHACPALENLQLSQCFLEVPEICSASLKKLDIMECSLLMNLQIQTPRLVSLRFRCLQYKCSSCSRYPVITAAVTLCDLPNAENIDLSCSGRQVTFGREIQKFPMYGKLTSISLGEWCLSDKFSRLFCLLRHSPELKELTLKLEVERQDHNGEDEIRLMVGRSFSAENLKKICISTMQELSRKIARRQSWGMLSRFNKKDTAVSTITMPQEVKPEAMAYLVACTPIRSRSSLCKSHLEARLGGNTEIGLG >ONIVA08G09680.1 pep chromosome:AWHD00000000:8:9650120:9651466:1 gene:ONIVA08G09680 transcript:ONIVA08G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDTLPPPDLRHGGGGASDQTNSAVPVSETPMEDGGGAPAAAGSSKTAAPPQPPRLDGPTLERELRRCNIKLGKQKVSGEASTATTEPPRKKLMHDGIRAAAAAAPPPRSPPTRRIISYMVTAAAPPERKKTAADDRFRNLGTCSAQLRRRLSELDATEPEFVCEKTLRMSDVHRNQNRLLFSCKRKEDLDQCPITHLFTDKETQIVHKKDEIAVEKKKKKKKKIKKDEKKKEIKKEEEKQIREKLGLKVTVFDQGGNEYGMTCRYLESNGGYRFIEGWGKFVETNGMAISDSQRWTRDVVVKLLAFRSRRLARGAEQSDHPDGPIGFIVLHHENGSRGRGDNDNDNEEEEEEEYQGKAPPANTKKEKSNGKEEHVVRASTSSSSSSAEAAVGVVAPMHEVSAAPRNMLEEDACLGMVKLWSDTGSSSSSSKYNGPESSEEKRKKD >ONIVA08G09670.1 pep chromosome:AWHD00000000:8:9646987:9647598:-1 gene:ONIVA08G09670 transcript:ONIVA08G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYELAVFDPSDPVLDPMWRQGVACFGFGAFHVTGLYGLGIRESDDNWNIRSYSGSRVYDNAEDGDCQVRRKEV >ONIVA08G09660.1 pep chromosome:AWHD00000000:8:9646412:9646690:1 gene:ONIVA08G09660 transcript:ONIVA08G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEHVTTSAGSFRAVFDLGLVRQGLHPTRRSNSSSTTAARIESYQEQQQQFNNSSSNAS >ONIVA08G09650.1 pep chromosome:AWHD00000000:8:9630271:9631618:-1 gene:ONIVA08G09650 transcript:ONIVA08G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSHRPRRVGPLEHPESCLANNGSDSGGSVGAVCELDLRKEKSRESSKMSLGPHVISSIFLQPGAPSSAEWSARSTDSRAAAAVPVVAAATPTTTHGNGGCGNCGTVVTTPSPRGAAVARVRTTTRRRRRMASISRSWRAAATAAAALTTAVALCAGDGRRRPWQPRRHGDGECGSGDGDDNDDNGAKMDGGDGRLEEIKRDRRGGTGRDGDPPRMQDGAVLGTAQDGVRLLLRLPLLLYPILPDESKWRASYGREDVLSTSDLKQMWVRFKKVPRGSGAAVTSIA >ONIVA08G09640.1 pep chromosome:AWHD00000000:8:9620118:9627719:1 gene:ONIVA08G09640 transcript:ONIVA08G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I9N7] MQQQTTGGVATRRRLSVPRGAGAAAAAASAASVWETRMKMDEVKGGVKVFSAGGDDADEEGLRVYRRLRRNQSDGGGGASGSTNAAAAAKKRRNWKASEPVTAIGDLRKSRSDVAVAAAAPAVGIVTTAKRSVTRVTTPEKKVAASAAAAEVKEVVVVEVPETNNLDEETEEEEDEEELEEELEAEDEEEEEEKEVLDQDHMAIDDDEAAKSTAPHQGKYQLLQCCHHQDFLMNLVLILFFPHNFSVDDQDLEPPTKKFSGDPVKKLAATIDLRAVNPEPVTIPPVEKKAMPVIIHRMTNAEPAKPSPVDLVMWRDVSKSTLVFGLGTFLLISSSYAKDLNYNTITAASYLGLVYLGLRFLSKSILNRGENVDCDDAERSGERYLVGEEEAIWLLRLVLPYINEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLASSLSSFQQPHGALNHSQTNVHMNNFEEIVFFGVFIIPKVCSSYSTQLARYELRLLDLVTLVTAFTGPPRHLGMCTGRFWLERFRDGWESCSHKKAVVAAVFTLVWNVSSTVARVWAVFMLVVAMKCYQQRMMEYGWSSTVEDSQEATAAATGDDESPQEETPARSSPAKAHDHEPAQGFGAAAPARHRRTPVSGEFARERLRVRGGIQPR >ONIVA08G09630.1 pep chromosome:AWHD00000000:8:9615903:9616885:-1 gene:ONIVA08G09630 transcript:ONIVA08G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPKFTQIELRSGLVLLPPGYTPSTVARDGGKKNRGTAVGAGLGSWRANMDSMEATDI >ONIVA08G09620.1 pep chromosome:AWHD00000000:8:9600245:9607063:1 gene:ONIVA08G09620 transcript:ONIVA08G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEARGGQRVVVDDDREDASSVASSTERAFEGEPLPSLGETVTARSAAVSGVLGAVVSVVAMRLNLTSGLLPSLGVPAGLLGFFLARVWIRALDVVGVSHLPFTRQENTLIQIAVVSCSTIAFSGGFGTYILGMSGKSANEGHIGSHGRNVEEPNIGRVIAFLFLVNFSGLFIIVPLRKMMIIRHRLTFPSGTATAHLINSFHTPHGAKQARLQVVTLFKSLGATVLWPIFQWFFAGGKNCGFQIFPTFGMAAYRRGFYFDFSTTNVGIGMICPPMITASMLAGSIVSWGILWPYIETKAGRWFPENLDANDLGGIMGYRVFVGVSMILADGLFTILSALVRTACSMRKRRRGASTVTAAVPPFQCLSATERTMQSFDDRRRAQVFLRDSFPTWVAVASYAALAALSVVAVPLLYPQLGHRHVAAAYVAAPVFAFCNAYGVGVTDMNLSATYGKIAMMVFSSWVGMDGGGVVAGLAACGIIVSAVSGSSDFMQDFKTGYLTLTSPRAMLVGQVAGTALGCVVNPAIFWVFYKVYNMGGGGGDGADVDSANAEVAPYARAYRGIAVLSVGRHGLPDHSVLLCKLFFAMALALSAAREVAERRRWRALRYIPSTIGVAVAFFVPPRIPVGMAVGCLALHVWRRHVDAGGARLLLPAVASGLICGDGLGSLASSMLTLLRARPPICIKFVSRFENQKLDAFLATRHA >ONIVA08G09610.1 pep chromosome:AWHD00000000:8:9595801:9596587:1 gene:ONIVA08G09610 transcript:ONIVA08G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSERKGGTAHRACHTAVQPQRRATVRQACRLGAGRGWLCGLSLFIISSPSTPPSCLGPICISF >ONIVA08G09600.1 pep chromosome:AWHD00000000:8:9584431:9595459:1 gene:ONIVA08G09600 transcript:ONIVA08G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I9N2] MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFNDDKYQDYIGDIVAQLQCHFADASFMVFNFREGESQSLLANILSSYEMVVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLSQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQRTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAVWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKVDCELIKIDIHCHIQGDVVLECISLDADQQREEMIFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEVLFSEMDSVNQLDSMEVGGIGEKEGLPVEAFAKVQEMFSNVDWLDPTADAAALLFQQLTSSENIQLRKGLLSPNKKDFHLSSISPTKKQSDNVEDKLSNAELSTIYVHKQENNDVQGLIPQKQATIPDEKSGSSVIHEKMISLVHEEITRVVDINTGCLSSLDMTVPSTMNSSRPVLIDQNAKLDDQFGSLQSSSPTMIMSQQFPVSRSSSVLSSDFSPRSLSACPRFHSAPSALGITALLEDHAAFGDTKNSVKVSSAVVKIPSKQSSQQHPITVTPVVTKCTPSPPPLLPPLAPVVPVPSDDQMISQEKDMSQQAQKHPDLSSFPSLSPTQQKQSTSKLCQTILPTNHQLSSSNITKEPLQISPAPTPPPLPTPSTSSSSSCHCLPPDSMLSTTTALFRPPAPPPPPLQSPSTPRCSPVRTLASPPPPPAPTSSPVRMSGPPPPPPPPALNSCPSRPAPPPPPPPPLASTSSPPRPAAPSPCQLHASTSSPARPVPPPPPTLSTIRSSAPTPPLLPGATSAPSPPPPPPPCSSSNQLSAPPPPPPSFSKNNGSIAPPPAPPGGNAKLPGMRGRGPAPPSGPMSRSLQSGQAASRRSNLKPLHWVKVTRAMQGSLWEESQKTDEASKPPVFDMSELEHLFSAVLPSSDGKRSDKSGSRASGSKPEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILTLDDTVLDADQVENLIKFTPTKEEAELLKGYKGDKQVLGECEQFFMELMKLPRVDSKLRVFLFKIQFPSQVSDLKRSLNIVNSSAEEIRGSAKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLASLELAAKVQLKSLAEEMQAINKGLEKVEQELTTSENDGPVSEIFRKTLKDFLSGAEAEVRSLTSLYSNVGRNADALALYFGEDPARCPFEQVVITLQNFVRLFVRSHDENCKQLDLEKKKALKEAEAEKTKKEPENAQKEAEAKKTKEPGNDKAKHNNSIKELDISLQSPAQTARQFAAS >ONIVA08G09600.2 pep chromosome:AWHD00000000:8:9584431:9595459:1 gene:ONIVA08G09600 transcript:ONIVA08G09600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I9N2] MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFNDDKYQDYIGDIVAQLQCHFADASFMVFNFREGESQSLLANILSSYEMVVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLSQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQRTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAVWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKVDCELIKIDIHCHIQDSMLSTTTALFRPPAPPPPPLQSPSTPRCSPVRTLASPPPPPAPTSSPVRMSGPPPPPPPPALNSCPSRPAPPPPPPPPLASTSSPPRPAAPSPCQLHASTSSPARPVPPPPPTLSTIRSSAPTPPLLPGATSAPSPPPPPPPCSSSNQLSAPPPPPPSFSKNNGSIAPPPAPPGGNAKLPGMRGRGPAPPSGPMSRSLQSGQAASRRSNLKPLHWVKVTRAMQGSLWEESQKTDEASKPPVFDMSELEHLFSAVLPSSDGKRSDKSGSRASGSKPEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILTLDDTVLDADQVENLIKFTPTKEEAELLKGYKGDKQVLGECEQFFMELMKLPRVDSKLRVFLFKIQFPSQVSDLKRSLNIVNSSAEEIRGSAKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLASLELAAKVQLKSLAEEMQAINKGLEKVEQELTTSENDGPVSEIFRKTLKDFLSGAEAEVRSLTSLYSNVGRNADALALYFGEDPARCPFEQVVITLQNFVRLFVRSHDENCKQLDLEKKKALKEAEAEKTKKEPENAQKEAEAKKTKEPGNDKAKHNNSIKELDISLQSPAQTARQFAAS >ONIVA08G09590.1 pep chromosome:AWHD00000000:8:9561461:9571455:1 gene:ONIVA08G09590 transcript:ONIVA08G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I9N0] MAAPAAAGDLRFLLVGLLLVVVVGSRLVRPPDGGGIPTTLDGPFEPATRAFDRALRQGSDDVPLTDPRLAPRARPPAPEQIALAASSDATSVWVSWVTGEAQVGSHLTPLDPSTVRSEVWYSERPSPTAAAAGDVSGHYPHVARGKAEVYSQLYPYPGLLNYTSGAIHHVRLRGLRPATRYYYRCGDSSVRGGAGLSGELSFETLPSSAAAAYPRRVAVVGDLGLTGNSTSTVEHLARNDPSLVVVVGDMTYANQYRTTGGRGVPCFSCSFPDAPLRESYQPRWDGWGRFMEPLTSRIPMMVIEGNHEIEPQGQGGAVTFASYLARFAVPSEESGSNTKFYYSFNAGGIHFIMLGAYVDYNRTGAQYSWLEKDLRKIDRRVTPWVVAAWHPPWYNSYSSHYQEFECMRQAMEGLLYQHGVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADDPGKCPGPGDNHPEFGGVCHLNFTSGPAKGKFCWEKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDAYGEDSVGDQIYIVRQPDKCLLQTTSASSENNCPSEGCPSLVSNSGYGAQKDIIRSGHLIWNASLVIWMILISTSSCNGAAHSALDLFVSSSKTADMLAAHVVIGSCPACQLSARGRVRRRTSRVAQKWRDSQQGGKASSVRAPPPLFDAEFVVALMETRGKKRKRELELSAIASRTAVVQAPSTTLPISVQAANLESGEGSCDSYTSDAGTAKAGEDNVLHAANALTKRVSPATVGLSINWDVILDAESTKMSDGRFRWTDMELRLFLESCLEEIAAYNITTSNPKQVALDNFG >ONIVA08G09590.2 pep chromosome:AWHD00000000:8:9561461:9569110:1 gene:ONIVA08G09590 transcript:ONIVA08G09590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I9N0] MAAPAAAGDLRFLLVGLLLVVVVGSRLVRPPDGGGIPTTLDGPFEPATRAFDRALRQGSDDVPLTDPRLAPRARPPAPEQIALAASSDATSVWVSWVTGEAQVGSHLTPLDPSTVRSEVWYSERPSPTAAAAGDVSGHYPHVARGKAEVYSQLYPYPGLLNYTSGAIHHVRLRGLRPATRYYYRCGDSSVRGGAGLSGELSFETLPSSAAAAYPRRVAVVGDLGLTGNSTSTVEHLARNDPSLVVVVGDMTYANQYRTTGGRGVPCFSCSFPDAPLRESYQPRWDGWGRFMEPLTSRIPMMVIEGNHEIEPQGQGGAVTFASYLARFAVPSEESGSNTKFYYSFNAGGIHFIMLGAYVDYNRTGAQYSWLEKDLRKIDRRVTPWVVAAWHPPWYNSYSSHYQEFECMRQAMEGLLYQHGVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADDPGKCPGPGDNHPEFGGVCHLNFTSGPAKGKFCWEKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDAYGEDSVGDQIYIVRQPDKCLLQTTSASSENNCPSEGCPSLVSNSGYGAQKDIIRSGHLIWNASLVIWMILISTSSCNGAAHSALDLFVSSSKTADMLAAHVVIGSCPACQLSARGRVRRRTSRVAQKWRDSQQGGKASSVRAPPPLFDAEFVVALMETRGKKRKRELELSAIASRTAVVQAPSTTLPISVQAANLESGEGSCDSYTSDAGTAKAGEDNVLHAANALTKRVSPATVGLSINWDVILDAESTKLTTSPPATPSRWHWTILAKKMYEKCRKKVNRSQIQYIWHGCWKRLNMWVWLESQAAGLGRDPHMSAIVVDGGKYKIGYVTYHLQYTSPMNTCH >ONIVA08G09590.3 pep chromosome:AWHD00000000:8:9561461:9571455:1 gene:ONIVA08G09590 transcript:ONIVA08G09590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I9N0] MAAPAAAGDLRFLLVGLLLVVVVGSRLVRPPDGGGIPTTLDGPFEPATRAFDRALRQGSDDVPLTDPRLAPRARPPAPEQIALAASSDATSVWVSWVTGEAQVGSHLTPLDPSTVRSEVWYSERPSPTAAAAGDVSGHYPHVARGKAEVYSQLYPYPGLLNYTSGAIHHVRLRGLRPATRYYYRCGDSSVRGGAGLSGELSFETLPSSAAAAYPRRVAVVGDLGLTGNSTSTVEHLARNDPSLVVVVGDMTYANQYRTTGGRGVPCFSCSFPDAPLRESYQPRWDGWGRFMEPLTSRIPMMVIEGNHEIEPQGQGGAVTFASYLARFAVPSEESGSNTKFYYSFNAGGIHFIMLGAYVDYNRTGAQYSWLEKDLRKIDRRVTPWVVAAWHPPWYNSYSSHYQEFECMRQAMEGLLYQHGVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADDPGKCPGPGDNHPEFGGVCHLNFTSGPAKGKFCWEKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDAYGEDSVGDQIYIVRQPDKCLLQTTSASSENNCPSEGCPSLVSNSGYGAQKDIIRSGHLIWNASLVIWMILISTVFMKGNLCSRF >ONIVA08G09580.1 pep chromosome:AWHD00000000:8:9555276:9555962:-1 gene:ONIVA08G09580 transcript:ONIVA08G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALEKLQCPNFFSHSDKGKFDPYHCKCVYSDNMPEFFAADATGNPREAPEEGHGQRAPPHLVRLHVPQSHPSDAQCLTAQPPDPHRRNVVVAAFAGGSSSPAPLQVLVFQQESTSKGKYSNQLQQQFCCTSGRCPDLLQRLCRVYNQAGVGGDGTSSVWWYPVAGCSPAEAVLWALLNQGRPPPSMRNHINLLPTALPPQVGMAMDKGKALLIELPYGIPMDDFLVV >ONIVA08G09570.1 pep chromosome:AWHD00000000:8:9554810:9555172:-1 gene:ONIVA08G09570 transcript:ONIVA08G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNGPTFSITKPTVFLLGLDYDMLLPMEDTSATDAAVSDDLAWTLDVTFDLDDILVENTNDFVFLDNLAGSE >ONIVA08G09560.1 pep chromosome:AWHD00000000:8:9539385:9540769:-1 gene:ONIVA08G09560 transcript:ONIVA08G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMLSFFPSGLHVMLVDDDTKNTRTATKTLSMLHCPVVSTHTTACAGLRTLSGDNMLDVQTVLCDVSKVVSSGFDFRRVNETEHQIPVIYLLSTTEPEQMVAGEDAEFLNHLLLKATYIVRKPLDRATIA >ONIVA08G09550.1 pep chromosome:AWHD00000000:8:9533625:9539324:-1 gene:ONIVA08G09550 transcript:ONIVA08G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIAAHAGAGGEDGNDDDVVVIEEPQMHFKLVRSPFKYAVWLIISIRSTDIDLSDANPRKKIEHMNDAKGLVGQHVASHLQKDLDERRLISSDSLFLKAIFPTLNVSPSSPLILAGGAGPSCIPTTTIAGSRTAAPFQVPVFQQQPAGTTVISFSNTAVQAPIGNAFISFNNAASPASTGNTVISFNNIAAPAAMQRLSGGVQPDAPQQRLYMGPFSYQGPPPPPTMRNHINIVPTAFIPRVRMTVNIRKAPMIELPFGVPVDDFLVGETAYGGAGPSIGAPGDDAAVAYAYTGALNNNTAVGSLMAPPIDEPTFTLTDPIVGTKGEGVVPIVITSEDQNALAAVEAAAPNNAEPFMMPDQVDLEEDIMFSLESLLGLDEDMISMEDAGGEAAEGSLNIGEGGMEIGWYLDLDDILMNNTNEFAFLDDLAWIRLA >ONIVA08G09540.1 pep chromosome:AWHD00000000:8:9474876:9479308:1 gene:ONIVA08G09540 transcript:ONIVA08G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSNMASDRFNINSQLEHLQAKYVGTGHADLNRFEWALNIQRDSYASYIGHYPVLAYFAIAENESIGRERYNFMQLYRKCCFLVVSLPRETKINVAFRLYWDFGSVAVLYPRKTKLLA >ONIVA08G09530.1 pep chromosome:AWHD00000000:8:9468485:9471309:-1 gene:ONIVA08G09530 transcript:ONIVA08G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stromal cell-derived factor 2-like protein precursor [Source:Projected from Arabidopsis thaliana (AT2G25110) TAIR;Acc:AT2G25110] MSLMSRPRGEPSRSFRAGALPSNEAAAVAPPATQPSQGLSRRSRAGPGERQQPGEAASLAVALARERIRRSGEAEGEEEGPVPFWQRTWFLALLLAMAAASFAIALLLYLGLDLPEASPAQSYAADPDNVVEITYGSAIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDSNSYWIVRPQPDTSAKQGNPITHGTVVRLQHMRTRKWLHSHMHASPITGNLEVSCFGGENESDTGDYWRLEIEGSGKSWRQNQKIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNVWLAAEGVYLPVNQQK >ONIVA08G09510.1 pep chromosome:AWHD00000000:8:9452029:9455449:-1 gene:ONIVA08G09510 transcript:ONIVA08G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein [Source:Projected from Arabidopsis thaliana (AT2G39725) TAIR;Acc:AT2G39725] MASRSKLSGIQRQVLALYRGFLRTARLKSPEERHRIESVVSAEFRENARNIDRKNFVYIEYLLRRGKKQLEQLKDPDITGLSTLEINKNFRLVL >ONIVA08G09500.1 pep chromosome:AWHD00000000:8:9439904:9440806:-1 gene:ONIVA08G09500 transcript:ONIVA08G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQTTVSMEVAMKVEGSGGGGGGGEEGEVVAKKEEEVAAAMELDLLGALRAEEVMPAEKGKAAVVMVGEAVAPSVEERASAVAAAAANGGGGGGEARRRLFKCNYCQRKFYTSQALGGHQNAHKRERSLAKRGAAVAAAAAAAGRGLYGFGDPFVPHHLRFRSLWPYPAAAGAARTTPFLGRGSAAAAAAAPAAPFYGAVHHGWSAQPPSSLQGIAARHAAAERPVYPADAFGYGAGSSSSRATGAPASAGLRWAEGGGSGTIHSAAAAAAGEQHTAAEVKAQEEMSSSKIDLTLKL >ONIVA08G09490.1 pep chromosome:AWHD00000000:8:9425442:9429124:1 gene:ONIVA08G09490 transcript:ONIVA08G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAALRPLRRIPLARLRSRLLSSSASGEGGPPAVASTDEAVRAAKLREEAYKQVANLDWSSNADFWKAVDIVKTLPPKRKEFGLDFHLVQLFFVCLPSLAVYLVAQYARSEIKRMEAEAEEKKKKDEELEKEKQLEADSVKDETDSKLSAVLVRLDTLEGVVNEIVDDKRKGSAPGFSNKEEATKKGERQSNSTDGQVVPVKSKDIINGATNASPNSTQQSPTGNGDKASSDPKG >ONIVA08G09480.1 pep chromosome:AWHD00000000:8:9417218:9421118:1 gene:ONIVA08G09480 transcript:ONIVA08G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIIRHTSAIRRKITILCTRLDSLEALLSKIPPKSLSDKELHKRQDTLSNLKSKTKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYM >ONIVA08G09480.2 pep chromosome:AWHD00000000:8:9417166:9421118:1 gene:ONIVA08G09480 transcript:ONIVA08G09480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIIRHTSAIRRKITILCTRLDSLEALLSKIPPKSLSDKELHKRQDTLSNLKSKTKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYM >ONIVA08G09480.3 pep chromosome:AWHD00000000:8:9417218:9421412:1 gene:ONIVA08G09480 transcript:ONIVA08G09480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIIRHTSAIRRKITILCTRLDSLEALLSKIPPKSLSDKELHKRQDTLSNLKSKTKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYM >ONIVA08G09480.4 pep chromosome:AWHD00000000:8:9417218:9421412:1 gene:ONIVA08G09480 transcript:ONIVA08G09480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIIRHTSAIRRKITILCTRLDSLEALLSKIPPKSLSDKELHKRQDTLSNLKSKTKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYM >ONIVA08G09480.5 pep chromosome:AWHD00000000:8:9417166:9421412:1 gene:ONIVA08G09480 transcript:ONIVA08G09480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIIRHTSAIRRKITILCTRLDSLEALLSKIPPKSLSDKELHKRQDTLSNLKSKTKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYM >ONIVA08G09470.1 pep chromosome:AWHD00000000:8:9411366:9412485:-1 gene:ONIVA08G09470 transcript:ONIVA08G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPRCPICIATSQAWDPFLSKTEDETKRSEGKAKLFPSPTCLDHASCVTSITPLADRSRYVARSLLPAACWGELSPLGFLRRKETRPSGQPRGGGAPSVLTGRSTARCHACRLQPAAVLLEDHYPFSPVGIVGWGIIGNSPTLLI >ONIVA08G09460.1 pep chromosome:AWHD00000000:8:9383584:9387019:-1 gene:ONIVA08G09460 transcript:ONIVA08G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I9L3] MAKVQGALLPDHDGQAAGPVPFKEVAGVDAIPARPVTEHDAAISGMPRRLVYNNSLPILSYRGFWLLEDWARGTAAMADERRGGFVARPGDVMLATLPKAGTTWLKALAFATMARGLFPPASPDHPLRRLNSHDCVPTVESGLFACGREGVLDKLPSPRLLNTHLPLSLLPSSITDNDDCKIVYVCRDEKDRAVSTWHFIKRIKRIGSDVPFSEVYKSICEGTSACGPVWDHILGYWNASKKEPSRVLFLTYEQMLQDPMGTIRQLAEFLGQPISDAEEETGVVAEIVELCSLESMKKQKINREGSQGVGIKFSNDAYFRKGVAGDWLNHMTLEMGQHLDSILNEKFDGSGFTI >ONIVA08G09450.1 pep chromosome:AWHD00000000:8:9375477:9377912:1 gene:ONIVA08G09450 transcript:ONIVA08G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKTTANGGSGAAAVSGGGRTVCVTGAGGFIASWLVKLLLEKGYAVRGTVRNPDDAAKNAHLMALAGAAERLTLVRAELLDKESLAAAFAGCEGVFHTASPITDDPVRDSSPAPAGRRRRRDDVAEKMIEPAVSGARNVITAAADAGGVRRVVMTSSIGAVYMGGGGGEEVDETCWSDLDHCRDTGNWYCYAKTVAEQAAWELAKERRLDLVVVNPSLVLGPLLQRGVNASTWHVLKYLDGSARTYADAAQAYVHVRDVADAHALAYESPAARGRYLCAGRTLHRGEVCRILAALFPGYPVPTRCKGDAGETAEGCRFSSRKLAELGVAVMPASQCLYDTVVSLQDKGLLPFVPAAAMP >ONIVA08G09440.1 pep chromosome:AWHD00000000:8:9358159:9359029:1 gene:ONIVA08G09440 transcript:ONIVA08G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGGCPYIHFRLYEDCQRMTSSYVQMVSDIKRHVSHLAAAENQGVVPIISAGQIFPGPAAGEAYCKYKTLVLPSVPNHNMHFVVVLHTPPNWAGGTREPQPKATKRSMLRTVVSISEAVRFRELLTRIVMGFAAGLYGPTLQPWVMLWPSLVPNTPTPRIFTTAFDDDHFKNWDVYCKLARAGAQGFAPGTRGFATYESLIAFLGACLH >ONIVA08G09430.1 pep chromosome:AWHD00000000:8:9351972:9355691:-1 gene:ONIVA08G09430 transcript:ONIVA08G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAALHSQAAAAATSSSSPPLPAMTRIPNARDGGDPLDTEDGFWSSGDQRSKDPIEVAAARGLLLVLLGNGKLDFPCLCSFLVLDLGLHIPSSSHPTFEIGDFENWMKIMDFDCKSINAAVPNIYQS >ONIVA08G09430.2 pep chromosome:AWHD00000000:8:9352167:9355691:-1 gene:ONIVA08G09430 transcript:ONIVA08G09430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAALHSQAAAAATSSSSPPLPAMTRIPNARDGGDPLDTEDGFWSSGDQRSKDPIEVAAARGLLLVLLGNVSMHTTALE >ONIVA08G09420.1 pep chromosome:AWHD00000000:8:9347945:9351309:1 gene:ONIVA08G09420 transcript:ONIVA08G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQRWQWWLKLDGGKLMPLVSLATSNDDVKLIGSACNESCHVWMKIETEIGRVMDLFFTDGVLGLDGGVSPEEEEEVEVDLEPLFYDEAATVAESVAAAERRRVREEDRARVVEQQTKVRKAKEAYFKKNRKFNPKTGLHYFTRIFFINPLTFDLNEESPLGPMRYTDRIYNEHEALRMRNSVNILSVKILSSDVGFPINVYGTVIARDSLDEKCIYLFRRPRDDCQLINSKDDSLILTGPTRGLVLQDAVYFEVDLRIKDDRLRGKKKEHSKGLLMIDGIRRTIKVKTEVENDTFVGKLGTVELRYAVIKDAVEATVEIKVVEGYFCGEVAACTTNIQDKVVLLDSRTCCVMADNLDVQLSRRVMAVHNKEKLLLTVVNQDDEVPTGCVTQTIDFTPKFNGSDVTEVTCGSVKMLVKVTWSLMV >ONIVA08G09420.2 pep chromosome:AWHD00000000:8:9347945:9351309:1 gene:ONIVA08G09420 transcript:ONIVA08G09420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQRWQWWLKLDGGKLMPLVSLATSNDDVKLIGSACNESCHVWMKIETAPLGPMRYTDRIYNEHEALRMRNSVNILSVKILSSDVGFPINVYGTVIARDSLDEKCIYLFRRPRDDCQLINSKDDSLILTGPTRGLVLQDAVYFEVDLRIKDDRLRGKKKEHSKGLLMIDGIRRTIKVKTEVENDTFVGKLGTVELRYAVIKDAVEATVEIKVVEGYFCGEVAACTTNIQDKVVLLDSRTCCVMADNLDVQLSRRVMAVHNKEKLLLTVVNQDDEVPTGCVTQTIDFTPKFNGSDVTEVTCGSVKMLVKVTWSLMV >ONIVA08G09410.1 pep chromosome:AWHD00000000:8:9316289:9316444:-1 gene:ONIVA08G09410 transcript:ONIVA08G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQSQDAAWGAVDAGEERLAGVGQEVKERPAAAEVVVEEDTAVLAGGATL >ONIVA08G09400.1 pep chromosome:AWHD00000000:8:9300190:9300910:-1 gene:ONIVA08G09400 transcript:ONIVA08G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVHFPNPFHPLPNSHQALSGQAGGEAGEGDGKPPPTAATWSTAPLLERPRPCRRVNGWKGAAGASPATLGVGLPLQAPSYIFLCSVRHLHRLIVVLSSLGSDSDPSSRARPPSTNCTALELVPSRSRAHCASSSLLAACSKRLAARVASARQSAEQVAGTKEEEQLLCSAIPPAPVTWPSRRLVMN >ONIVA08G09390.1 pep chromosome:AWHD00000000:8:9287213:9289696:-1 gene:ONIVA08G09390 transcript:ONIVA08G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G24230) TAIR;Acc:AT2G24230] MGARVVLFLAVAVVAAVAQEPNTDAYFVSRFFAKMGRAAPASGAAVCGWPGVACDGEGRVVEFSAAGMGLEGAVPEDTVGKLARLRSLDLSGNRLAALPNDLWEVGASLLELNLSRNAIRGDLPNNIVNFAALQVLDVSHNAFSGALPPALGSIAALRVLDASHNLFQGQLLGTVISGWTNLSSMDLSGNALDGDLPDLSPLLSLSYLNLSGNRLRGSVIGAFHEQMKVIDLSNNSFSGLNFSSGYAGSSLAYLDLSGNELTGEFSVGNRFQNLKHLNLAFNQLSVANLLVSMGEISGLEFVNLSSTGLHGQIPRELSSQLSRLKVLDLSRNNISGVVPDLSSIRLQVLDLSVNNLTGEIPVALVKKLVSMERFNFSYNNLTVCASELSPEAFAAAFARSRNDCPIAVNPDRIQRSGGKRKGMKLALAIVLSLFFSVLGLLCVAVACRRRRKRGDVLPAVKQVSFKEEPGISGPFAFQTDSTTWVADVKVATSVPVVIFEKPLLSFTFADLLAATSNFDRGTLLAEGRFGPVYRGFLPGGIQVAVKVLVHGSAMADQDAARELERLGRIKHPNLVPLTGYCLAGEQRIAIYEYMENGNLHNLLHDLPLGVQTTEDWSTDTWEDNNGGVATENITPEGTATWMFRHKIALGAARALAFLHHGCIPQIVHRDVKASSIYFDCGMEPRLSDFGLSMIAGTSTDNNLLHHSPGYAPPEFSDSENAMATAKSDVYSFGVVLFELITGKKPLGDDYPGQKEASLVNWARAMVKANLGPGIIDPKIRDTGLERQMEEALRIAYLCTAELPSKRPAMQQIVGLLKDIEPKVAEQD >ONIVA08G09380.1 pep chromosome:AWHD00000000:8:9265388:9270933:-1 gene:ONIVA08G09380 transcript:ONIVA08G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGYPAAPPGMERPAPEAAAAAAEPPGAKAEEEEEGPKDVGVKGTGKEKETEKVEAEKEMEGKGKGKESMEVEEEGKEKEAKGKESMEVEEGKEKEGKGKAKEKEKETKVKVKEEGGEEKEKGKVEVVEAKRRPAGVGAETPILAVPMVAVPCFLASPAFAGHFSMSHQAALASVTAQAQIQLQSPTTPYSEGLPSPFPITPKAVMPLQRSPSGTEGSVRRSVLEKSASFQSRPHNHVSVNMVGDGFNWRKYGQKQVKSSENSRSYYRCTNSNCLAKKKVEHCPDGRVVEIIYRGTHNHEPPQKTRFVKERVAHITASSGDDETLRLVNNEIIESPSPGCKLEPGAVSEASEQQLFCSSDCEGDAGNKSEDDHPSTEPQPKRRIIETSTPLTPVLRTVREQKIIVQAGKTSDGYRWRKYGQKIVKGNPNPRSYYRCTHDGCPVRKHVEKAPDDDNNIVVTYEGKHNHDQPFRNNSESKDGPVPMIIPAETTSEQPSTMTSTSEQKQPISLLKDGGDEPMKGKTSEIGGEKAVESAQTLISIKTNPDDMKNTLLKDTSAVVPVQNN >ONIVA08G09370.1 pep chromosome:AWHD00000000:8:9261467:9265564:1 gene:ONIVA08G09370 transcript:ONIVA08G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G15980) TAIR;Acc:AT1G15980] MQTPTMSTSMGTPAKLPSPPSLPIARQCCCHLLQLGRRGGSGVARASAKKKNPWLDPFDDGPDDEFDYRGAFSGGKQEEDPRPPEDPANPYGFLRFPAGYNPELDSLASKVRRDVRRACCVVSGGVYENVLFFPVVQLLRDRYPGVVVDVVASARGKQVYEMCKNVRYADVYDPDDDWPEPAEYTHQLGVLKNRYYDLIISTKLAGIGHALFLFMSSARDKVGYVYPNVNSAGAGLFLTEMFRPPTTNLADGGYNMYQDMLEWIGRPAKGVPEHPVPPLRVSISKKLRAFVEDKYSRAGVEKGKFVVVHGIASDSFANMRSRGDDDCLLPLEHWAEIAKEIRFFTSPHSLTQARLLLHLHFQLGTDCEKVELKPKKWWCLGSSEDNGLKPLFVIPHQKHREEVEETVGKDTNILFITTPGQLTCLINDSVGVVATNTAAVQLANARDKPCVALFSSKEKARLFLPYLEEKKGCTVVASETGKLIDIDVEAVKKAVKEFKAAPSVALAQT >ONIVA08G09360.1 pep chromosome:AWHD00000000:8:9253799:9256682:-1 gene:ONIVA08G09360 transcript:ONIVA08G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0I9K1] MAISPVVAMALAIALAALCLAGLAAGFYLPGKDPLQVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAQNLGEVLRGDRIENSPYTLFQLKEWTKKVHISISMSSMLEPKDNMQGRVISSGHLAGWDTYLLMTDDQIHWFSIVNSLMIILFLSGMVAMIMLRTLYRDISKYNQLGTQEEAQEETGWKLVHGVQFIGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSSLYKLFKGAEWKNIALRTAFTFPGSVFAIFFFLNSLIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPAIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFILTSIWLHQFYYIFGF >ONIVA08G09350.1 pep chromosome:AWHD00000000:8:9222058:9223965:1 gene:ONIVA08G09350 transcript:ONIVA08G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80870) TAIR;Acc:AT1G80870] MPSRLLQQLPPPPPPPPLNRRHHHLLLAASAAAATATALLLLLLLLLVALYLRSRRARRRSPTLPFSPPPAPARPLRRYSRRALRRATGGFHPSRLLGRGAASPVYLATFPDASLAAVKTCSSPHELHLLASLPESPRLVSLLGYSGPGGGADDRPLLLVFEYLPHGSLQAALFGDARDGRFLDWPRRLAVIRDVARALAFLHAECQPPVVHGDLKPSNVLLDADFRAKLADFGLARFKTPDAIAASGAGADDFMSQELGEAGELFSTACAAAAGGGVKADAKDESGPAAAWGKEWWWKQDGSGELDSRDYVAEWIGSQICPARNPDWADDNDGDANDNNKNSPSGTDEHAVAASPEDKKDADCNSNAGGGGDKKPEATKMREWWKEEFFEEMSKKGGSFDKRRGGGGGGGGKPWLRSISMNTGNGNANGDGGNNIEATSGLDISSFRRNRKRSRRRGRSVGSGDMHSGDFLSRELSTTTSMRGTVCYVAPECGGGPCEHGAELLEKADIYSFGVLALVILSGRRPLHILSSPMKLEKANLVSWCRQLARAGNVLELMDERLDGGYDKDQATLCVQLALRCLQRQPEQRPDSTDIVKILAGEMDLPPPPVDYSPSPRVRPFPRSSRRAQPPDATD >ONIVA08G09340.1 pep chromosome:AWHD00000000:8:9209663:9210046:1 gene:ONIVA08G09340 transcript:ONIVA08G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVACGPRGAEGGSTSSGPKTTDDGATKLWIKLAGRGVTAREEEAPLPLPPPIFLTTGDLSSFPNDSSGASPLPFCVATSPSPASAQPLCRHYRRRPLSDPLSPFPEGRGTKDCGPKAANLIMNL >ONIVA08G09330.1 pep chromosome:AWHD00000000:8:9198662:9209208:1 gene:ONIVA08G09330 transcript:ONIVA08G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDIDDILMEEEPISVVFQVSANGVGLLDPGAERNSVEKGAKVDLPFWLAHGLLSLEQAVSINVPPCFTQKTRKEIQADAACVDLRIRCPYFYELGCKIVPLVNDRSIGLFLRYAFTSRYKEILSKSHSSSMMTVPKFVPRLTKEEAQVFESARDSMTAFKKWRAGGVRLQKASILGRKRKTKLPDGPSAP >ONIVA08G09330.2 pep chromosome:AWHD00000000:8:9200421:9209208:1 gene:ONIVA08G09330 transcript:ONIVA08G09330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKCERVLRVTTMLLKKGVDTGLAAFDPISVVFQVSANGVGLLDPGAERNSVEKGAKVDLPFWLAHGLLSLEQAVSINVPPCFTQKTRKEIQADAACVDLRIRCPYFYELGCKIVPLVNDRSIGLFLRYAFTSRYKEILSKSHSSSMMTVPKFVPRLTKEEAQVFESARDSMTAFKKWRAGGVRLQKASILGRKRKTKLPDGPSAP >ONIVA08G09320.1 pep chromosome:AWHD00000000:8:9157042:9157637:1 gene:ONIVA08G09320 transcript:ONIVA08G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTREHVVASRSPEHRRPVSPPLCVARAGEDKKRRRRRKKKKKKKKKRRERTPDRWAPPLARTLRLPWGCSRSTGPDVEPLVVKPDRTKPKVEVLLLAPYTSMRITVGASPLNF >ONIVA08G09310.1 pep chromosome:AWHD00000000:8:9140914:9142140:-1 gene:ONIVA08G09310 transcript:ONIVA08G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRRVGGEGNDLISELNDDVLDSLPTMADVVRAGAASRRWRHLSTRVPFLRFGFTEDGVDAKPKRREKFDRFVAFVNHVLDARAASAGIEQLAISIQLYDRGAAHAVAAWIRGYGSSAPEPEPIAAAYSAGSCYPRLRKLRLVSVVGLRSSAVARRRRSLQQFPRNRAFGVLELNTPNLRSLKMCCYAPGTLRISAPRLEELRSSNNVIDMRWQCVEQLDVGDLSCVRGLREIDLSSRGHPVRDAGINDGPIHLLRRCTAVESLGVRLVSPDKVYSLRPKINRFLGRSGCPPKNRFLPRKRDTFNILRGHGWSRRSQTIAAFPFSLFRR >ONIVA08G09300.1 pep chromosome:AWHD00000000:8:9130352:9131038:1 gene:ONIVA08G09300 transcript:ONIVA08G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCHHAITVMIISRLKRSTGHKSLRNSPAACLDPCTLDVTCTSNYSLNKSMVIQVLYRDGSCRTERGANEPHAIVHALLCLWLCRPLYQVPIKPCVWIY >ONIVA08G09290.1 pep chromosome:AWHD00000000:8:9125653:9129833:1 gene:ONIVA08G09290 transcript:ONIVA08G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80880) TAIR;Acc:AT1G80880] MAPPPLAAAALRRHVLRALLPQSRHLCLLAAHSPSPSDDSDFEPPDHPLPRAPDGDGELAAFLHRLSDASTAASSPKHALSLLLSSPPSPGLPPASRRDLLVRALWELRRDPDAAALALRWGEEGCAAAGERAGPPPPPPPPAEAWHLAIWAAGKARRFDLAWAVVRRMLRRGVLTSRAMVIVMERYAAANEVNKAIKTFDAMEKFKTEADQTVFYSLLRALCKNKNIEDAEELLLMRKKFFPLTAEGFNIILDGWCNVITDIAEAKRIWREMSNYCITPDGTSYTLMVSCFAKVGNLFDTLRVYDEMKKRGWTPSIAVYNSLIYVLTKENCMKDAQNIFTRIIDEGLQPNVKTYNSMIVPLCESRKLDEARMVLEDMMLKGIVPTILTYHTFLRQENIDETLKLLKKMKDDGCGPKSDTFLMLIDRFFQLNEPGHALKLWNEMKRYDIRPSYSHYMSVVQGLIKHGCMERALEYYDEMKENGFASDPKLEKEFRTFLLANRDHWRGAGKYNIIPQRGKHFARRSRIQ >ONIVA08G09290.2 pep chromosome:AWHD00000000:8:9125653:9128238:1 gene:ONIVA08G09290 transcript:ONIVA08G09290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80880) TAIR;Acc:AT1G80880] MAPPPLAAAALRRHVLRALLPQSRHLCLLAAHSPSPSDDSDFEPPDHPLPRAPDGDGELAAFLHRLSDASTAASSPKHALSLLLSSPPSPGLPPASRRDLLVRALWELRRDPDAAALALRWGEEGCAAAGERAGPPPPPPPPAEAWHLAIWAAGKARRFDLAWAVVRRMLRRGVLTSRAMVIVMERYAAANEVNKAIKTFDAMEKFKTEADQTVFYSLLRALCKNKNIEDAEELLLMRKKFFPLTAEGFNIILDGWCNVITDIAEAKRIWREMSNYCITPDGTSYTLMVSCFAKVGNLFDTLRVYDEMKKRGWTPSIAVYNSLIYVLTKENCMKDAQNIFTRIIDEGLQPNVKTYNSMIVPLCESRKLDEARMVLEDMMLKGIVPTILTYHTFLRQENIDETLKLLKKMKDDGCGPKSDTFLMLIDRFFQLNEPGHALKLWNEMKRYDIRPSYSHYMSVVQGLIKHGCMERALEYYDEMKENGFASDPKLEKEFRTFLLANRDHWRGAGKYNIIPQRGKHFARRSRIQ >ONIVA08G09280.1 pep chromosome:AWHD00000000:8:9097231:9098491:1 gene:ONIVA08G09280 transcript:ONIVA08G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNAVATRLRGTQCYSTASPPERSNTPTVTSTPPPYLYPPPMAATASSPPPRSPVSLLSCSRVLLLLVLPPYFDGEGVGYRALRRAGLRGGRGRGERQGPRGRRQQRRRVEGAGAARRAQQVGRGHPLPHRRQPRVQVRRRGGRGAGGDAGRLQPLRHGEPGRHPQAHRRRRHGAADELRVPLLRRRRAGELRQGRARHRPRHVGEAQPPRPGVLRARAGPRAVPAGRRPLPGPRPSAGDWERREDRGERRRARCRRPPRRGGGRVLRRWCSLGALGTWGEDLGIL >ONIVA08G09270.1 pep chromosome:AWHD00000000:8:9078062:9080111:-1 gene:ONIVA08G09270 transcript:ONIVA08G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRRPQTTSTHHRYYLRRRHGGDLISELNDDVLAHVLGLLPNATDVARACAVSRRWRCLRARVPSLRFSLSHLINPSGDVKRQEDVERFVAFVNRVLATRRAGVEQLTISIELHEGCCARAVPAVHGAHANAWIRYAMEQQGVRSFALKLDQPNLLPLPEQRRSYDFDEDADGLLDDAGVHGPLTYLTLPLPATVAFDSLVDLSLNEIRLDDVHRRPPSRPPPLVGVLPAPPEASPGGNSRELRLDAGELLELSLIWDGVGLTLVELNTPKLRVLGIECYFIHHTTLTMSAPGLEELKSSFNWETLERLDVGDIMSCVRSLKNLYLSSDGLRIGFGDVSGRLLRRCPAVESLDVHLACKVSHADEEGEEEEEGVIDDVMMMNDIPHLPLVTSLTEQTKPTDPAGNQADYLSVSLPHLVEIGINGFQGTKCEARLMEWLHRSAPVVNKICFFET >ONIVA08G09260.1 pep chromosome:AWHD00000000:8:9062530:9063119:-1 gene:ONIVA08G09260 transcript:ONIVA08G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRYRDGDDRSRSSPPPPPPPTASSGSARVLPANEDDGHRGADGGGTGDYNGGDSAVSLGQSSSPSAAGRDELRQAKKQKIMERILREEAEEWELESEVRREIMEHIFPLLRRSGNARPPTPAAAAALLQLTFSYCSD >ONIVA08G09250.1 pep chromosome:AWHD00000000:8:9055305:9060123:-1 gene:ONIVA08G09250 transcript:ONIVA08G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGLPRVCHGVKRVDERVHFTQGAVTNANASSSAAALPAKRKKNPAAAASAVSAATSSKKPKAADLTCAVCGITSTGEKAMQDHLNGKSHKKKAAALALSAPPPLPAAEPEPEPEQDEEDAASMIPPASDGGGGGGGGFSPTKLSMLTSAGVVYEVMQMDGYLLCEGCNVRTADRVTMMCHLDGGKHVSKATKLKQQQAGKPPAPATATASPMNGVKAAGAPPTTAAAADGEHGTVVVEVDGEPHAMRRLDEVFIICDVCNVKAPSVTVMRSHLAGRKHKSMAAATAKAKGAEAAASTTMAAAGKVGGNLEAGAMAVAEGVATNNVADNTCPEKGTVDIVVGGEHHAVKQIGEFLGCASCNVMATSESGMRLHLAGKKHRNKSMAEKAAMDMEIVKARSKETAAAAAASSPSSAPRAVVAAQTGDGSVAPMEVDQTAEARDGGAPVAADAAAAAAATGGQQQVKVQVEGRMLAVLREANGALLCEPCGVRCSGKTDMVLHLYTKEHSDKCGAHPPA >ONIVA08G09240.1 pep chromosome:AWHD00000000:8:9048937:9051761:1 gene:ONIVA08G09240 transcript:ONIVA08G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKVVLAQPAARAPPSPLLPRAPGHSRGGGVGGGEEAYRARAKYKNLLQDYKELLEETEAKKKRLQMEKLKKQRLLAEVKFLRKRYKSMSENPSQTFVYRVKNPALPPTLRQPGWSHGEEYHTVRAIGSSSKGPSAHRRLNAAPRASPVIDLNEACEPSSEEMEELHGYQEPVRVGRVMRYPMEGDFAAGPSDAKMAAFWDVRNAASSGAGKRKISWQDQLALRV >ONIVA08G09230.1 pep chromosome:AWHD00000000:8:9047680:9048012:-1 gene:ONIVA08G09230 transcript:ONIVA08G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVWKPRMSRLMAWLQERALAGIGTEEDDGEGGIILDPEKRWQGGVLGGARVPCGDGGGRRRRRAAKTDLELAKPSLNGLHQKDGVPEGGPCKRGEEGPVRGMQCTDQS >ONIVA08G09220.1 pep chromosome:AWHD00000000:8:9037787:9040772:-1 gene:ONIVA08G09220 transcript:ONIVA08G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAAGLAARDASGHLSPLTISRRSTGDDDVVIKILYCGICHSDLHSIKNEWKNATYPLVPGHEIAGVVTEAGKNVTKFKGGDKVGVGCMVNSCHSCDSCNQGLENHCPGVIFTYNSVDKDGTVTYGGYSSMVVVHERFVVRFPEAMPLDKGAPLLCAGITVYSPMKYHGLNVPGKHVGVLGLGGLGHVAVKFAKAFGMTVTVISSSPGKRQEALERLGADAFVVSKNADEMNAATGTMDGIINTVSANIPIAPLLGLLKPNGKMILVGLPEKPMEIPPFALVASNKTLAGSCIGGMADTQETIDLAAKHGVTAEIEVIGADYVNTAMERLAKADVRYRFVIDIGNTLKDAIE >ONIVA08G09210.1 pep chromosome:AWHD00000000:8:9008687:9014905:1 gene:ONIVA08G09210 transcript:ONIVA08G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D family [Source:Projected from Arabidopsis thaliana (AT5G25080) TAIR;Acc:AT5G25080] MAAAAAADSTSAVPPAVVSAAEDTLAAAESVGDHLAEMVAAAGEDPDAIAELPPLRRARACSGVDPDEHPIKKEFERLSLWEEKLNRFEDWDKAPLRPTTTVNTQAAARFIGHSLPHLTTDQKRSMQAISRGEGGSYSGNKRKPQPPRPNKKSVRAATEEFLAKAALELSGHNDSKVKGPIRLLSDEDED >ONIVA08G09210.2 pep chromosome:AWHD00000000:8:9008687:9014532:1 gene:ONIVA08G09210 transcript:ONIVA08G09210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D family [Source:Projected from Arabidopsis thaliana (AT5G25080) TAIR;Acc:AT5G25080] MAAAAAADSTSAVPPAVVSAAEDTLAAAESVGDHLAEMVAAAGEDPDAIAELPPLRRARACSGVDPDEHPIKKEFERLSLWEEKLNRFEDWDKAPLRPTTTVNTQAAARFIGHSLPHLTTDQKRSMQAISRGEGGSYSGNKRKPQPPRPNKKSVRAATEEFLAKAALELSGHNDSKLKH >ONIVA08G09200.1 pep chromosome:AWHD00000000:8:9003934:9006000:-1 gene:ONIVA08G09200 transcript:ONIVA08G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTQPNKSMGSVRSLLHDSRASTCSLARKIANAVTMDGRMSRWRRFTSDEWRWLDFFKGLPCMHVFRVREVHATGLGLTREGEIKNGARRPVVEDDADLQDHCAIGWGTWGYMERGRHEADGVVVWLATVHCSQNDNDVKAATRRARSKAGDDTWRSRRSATQEDGIKRKIFGMISDDARRLGHGGARWRRNEKEMNREREEEEEQRKKD >ONIVA08G09190.1 pep chromosome:AWHD00000000:8:8988098:8999307:1 gene:ONIVA08G09190 transcript:ONIVA08G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSNNMAGQSLNWRTQISQEARNTIIEMTQLITYLSTMGMAMRSLGEMASTTHTYAMPANGDLPAHCLPCLRLLELVPAMLKSKLLEEFPIDFPGRMKMIQDNATNEEDKTYMVATSKADYVLRIALQMVNSKSKRNSNPLLPQDSSTPVNLQRQHRVAGHNNGLVIMQPHHKQASDGMVMTKDGHMLDQGSSINTRNGSIDRISNLPNELLYAIMSTLPALELVYTGMLSTRWRHLWTSSAYLNIDVNQFGRHRGQKFCNFVNRMLRQRGSSLLDALRLHSADTRDAGSWITYAIKRSSKVVEFSEDIDCEPFKLDYGVVDFTSICLKFLVLNNVCIDANVFYPINSSCPALENLELRDCSLEVPEISSGSLLHLDIDNCCLFEDLLISSSSLMSLCIKNPQHRAPMIMTLPCLEVAIVILDEFFHSTDDLADMDEGEEQDGEEINHGIVSGLTKARSIELIAPLREDKFEMEIWTSPMFDNLISLTLGEWCMSNEFSPLLHFLWYSPLLEDLTLKLNMEVCEYCLQEPPTAPPLVKEFTTDYLKKITIYFWLGDERVSKLLTLLAPICKSLEDIKLIPSTPPGVRAFVSRVQRIIK >ONIVA08G09190.2 pep chromosome:AWHD00000000:8:8988098:8999307:1 gene:ONIVA08G09190 transcript:ONIVA08G09190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSNNMAGQSLNWRTQISQEARNTIIEMTMEKLLEEFPIDFPGRMKMIQDNATNEEDKTYMVATSKADYVLRIALQMVNSKSKRNSNPLLPQDSSTPVNLQRQHRVAGHNNGLVIMQPHHKQASDGMVMTKDGHMLDQGSSINTRNGSIDRISNLPNELLYAIMSTLPALELVYTGMLSTRWRHLWTSSAYLNIDVNQFGRHRGQKFCNFVNRMLRQRGSSLLDALRLHSADTRDAGSWITYAIKRSSKVVEFSEDIDCEPFKLDYGVVDFTSICLKFLVLNNVCIDANVFYPINSSCPALENLELRDCSLENPQHRAPMIMTLPCLEVAIVILDEFFHSTDDLADMDEGEEQDGEEINHGIVSGLTKARSIELIAPLREDKFEMEIWTSPMFDNLISLTLGEWCMSNEFSPLLHFLWYSPLLEDLTLKLNMEVCEYCLQEPPTAPPLVKEFTTDYLKKITIYFWLGDERVSKLLTLLAPICKSLEDIKLIPSTPPGVRAFVSRVQRIIK >ONIVA08G09180.1 pep chromosome:AWHD00000000:8:8967567:8971094:-1 gene:ONIVA08G09180 transcript:ONIVA08G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDVTGGESAAVAEERDWSEMTPVCLAEAFSRLGLEDVWRGAMACCRAWRDAAASRPALFAALDLEPAFASVGADAAEWWKPAFQRRVDAMLRSASSLAAGELREVRVRHCSDDALAFAAERSPKLSILSIRSSPSVSDRSMFIVASSCHMLTELDISYCHEVSYKSLEMIGQNCRNLNVLKRNIFNWLDSSEHVGIVPDDYLRDCPQDGDREAIAISKFMQNLKHLVIRFSKLSVVGLNAISGGCKELEVLDLYGCANLTLRGIQQATSNMKNLKELEKPNFYIPRSSFHMGRYGHWQLYDERFQTNVFQI >ONIVA08G09170.1 pep chromosome:AWHD00000000:8:8957672:8965419:-1 gene:ONIVA08G09170 transcript:ONIVA08G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSLAVFLLLLTLASQGTWCAAERSHRINGAHLRPHVQVEESHITEGKKLLEIQVPRKLGHEVKFPKRMAIAHKGGSTGGGSTGGTTGGASNVNSGPADTRPRKNAAAMPAPATTTSILALAFSFAIAATWCDAERSHAINGARLRPHLQFEELHVTDGKKLGQAADTDTDTDTDSHKHHDEVKVPMRMAIAHKGGGRGGGVGGGVGGPGGGTGVGGRNVNGAADTRPVHNGRSNAAAMPAPAKATASVLALAFACAIMMKSFLALFLLLLTFASHGTWCAAAADRSHRITTAHLRPHLHVEELHGKKLMEIQVPRKLGHEVKVPKRMAIAHKGGSAGAAAAAGAGGGGVSESRPRNGKNGAATLPAPATTSILALAITCAAVLSSFSF >ONIVA08G09170.2 pep chromosome:AWHD00000000:8:8957674:8963205:-1 gene:ONIVA08G09170 transcript:ONIVA08G09170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGFLALSLFLLLTLASQATWCDAERSHAINGARLRPHLQFEELHVTDGKKLGQAADTDTDTDTDSHKHHDEVKVPMRMAIAHKGGGRGGGVGGGVGGPGGGTGVGGRNVNGAADTRPVHNGRSNAAAMPAPAKATASVLALAFACAIMMKSFLALFLLLLTFASHGTWCAAAADRSHRITTAHLRPHLHVEELHGKKLMEIQVPRKLGHEVKVPKRMAIAHKGGSAGAAAAAGAGGGGVSESRPRNGKNGAATLPAPATTSILALAITCAAVLSSFSF >ONIVA08G09170.3 pep chromosome:AWHD00000000:8:8964836:8965419:-1 gene:ONIVA08G09170 transcript:ONIVA08G09170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSLAVFLLLLTLASQGTWCAAERSHRINGAHLRPHVQVEESHITEGKKLLEIQVPRKLGHEVKFPKRMAIAHKGGSTGGGSTGGTTGGASNVNSGPADTRPRKNAAAMPAPATTTSILALAFSFAIAVSAFSF >ONIVA08G09170.4 pep chromosome:AWHD00000000:8:8964834:8965419:-1 gene:ONIVA08G09170 transcript:ONIVA08G09170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSLAVFLLLLTLASQGTWCAAERSHRINGAHLRPHVQVEESHITEGKKLLEIQVPRKLGHEVKFPKRMAIAHKGGSTGGGSTGGTTGGASNVNSGPADTRPRKNAAAMPAPATTTSILALAFSFAIAVSAFSF >ONIVA08G09160.1 pep chromosome:AWHD00000000:8:8955241:8955594:-1 gene:ONIVA08G09160 transcript:ONIVA08G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAESSGARHGSGGEGARAPPVLHRDGVQHVLVLQRRRRADVGPRPGHVLLVPEVQQDDEGGVCPWEHGRCHERARAVEPLELVGVAECTARRLVEEVGLLAGGAVPDADATYS >ONIVA08G09150.1 pep chromosome:AWHD00000000:8:8937151:8939028:-1 gene:ONIVA08G09150 transcript:ONIVA08G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEECGEVAALREALRQQAAAAEELRGELEEERQAAASGADEALAMIVRLQAEKAAERMEAEQFRRVAEERIQHDGDSLAFLKAVVFHQEMEISSLNRRLLAAGDGRAAAAVDLPWLRKLAKNGVVPSRRNASLPAARLEELCSVIDAGGGGDRRPARTVSDIGEVIGRENEWPTSNASHRQPPPPPRLHRSASHRLRRVPSYSDTATLRSTRRASPEIISEEEEDDDDHLISRHGEKARKPCNCSDRTAIAELGADMAQIKLNLQSLHTEFIKAKEATISRGDSQARLLAEICAKLDAISKTRQDSSSDDPVQVRVSRKEGSSSKGRSYTNSELLMNHFIEVCTM >ONIVA08G09140.1 pep chromosome:AWHD00000000:8:8925814:8937807:1 gene:ONIVA08G09140 transcript:ONIVA08G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDDKPPSLDADIDMADLATLDAPASSDAAAAARFRPRAKGKPKPKPKPEPKAEDSKLKADDSIPMSNPEEPKPESAAPMEDSMEVDGVGPSASASAEGVGAEEVEDEEDYVLREIDVYFTPKPFDEDTMLYVMQYPLRPCWRPYELNEICKEVRVKPLSSKVEVDLDINTECENYDPEVPLPSRLTEQTLSSSKAADVADYAVGVLRGNLAHGGASSDASGSTMPSVKRNEHSEDSKDYTEESEPWISLTYQQAGSNVARKYHAEMVSDDGGPIDFTMSTSDYVMSLCPGGSTNSRDKNKSHAIREMLKLPLEERLKKWFTEVSQVNRFDALMHLAPLYSEDDVLKVLPVYADLVRGLWVCKSSLLFDDGYAWKRDRILLEFRKKDSIPLKDIHRIIKEQDHAWSVRETTMRESQETSSNTEARKTKNTTKSNIPSKGPDPNMNKARDGPVQGNENLVHSVLDTIFTANKVRSIQAIRRDLRQLAAKYASDRKDGPKLQALSNAATNCASFPLVDLQKSLNQVAVPVHGVYVAKPAKPNSPRNILIKLFRDKDPDSKLTKQEILDCAANHLKKGLNEKDYHQAVTEVCILTEDGHLVLKNGIKIK >ONIVA08G09140.2 pep chromosome:AWHD00000000:8:8925814:8939562:1 gene:ONIVA08G09140 transcript:ONIVA08G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDDKPPSLDADIDMADLATLDAPASSDAAAAARFRPRAKGKPKPKPKPEPKAEDSKLKADDSIPMSNPEEPKPESAAPMEDSMEVDGVGPSASASAEGVGAEEVEDEEDYVLREIDVYFTPKPFDEDTMLYVMQYPLRPCWRPYELNEICKEVRVKPLSSKVEVDLDINTECENYDPEVPLPSRLTEQTLSSSKAADVADYAVGVLRGNLVHLNHIDAVMQLRPSMLHVNSGRSNARQAHGGASSDASGSTMPSVKRNEHSEDSKDYTEESEPWISLTYQQAGSNVARKYHAEMVSDDGGPIDFTMSTSDYVMSLCPGGSTNSRDKNKSHAIREMLKLPLEERLKKWFTEVSQVNRFDALMHLAPLYSEDDVLKVLPVYADLVRGLWVCKSSLLFDDGYAWKRDRILLEFRKKDSIPLKDIHRIIKVKLDDNLKKKFLYPLCKIRAKLEDCKFVLPVDSSFIRRYPHIVKEQDHAWSVRETTMRESQETSSNTEARKTKNTTKSNIPSKGPDPNMNKARDGPVQGNENLVHSVLDTIFTANKVRSIQAIRRDLRQLAAKYASDRKDGPKLQALSNAATNCASFPLVDLQKSLNQVAVPVHGVYVAKPAKPNSPRNILIKLFRDKDPDSKLTKQEILDCAANHLKKGLNEKDYHQAVTEVCILTEDGHLVLKNGDEP >ONIVA08G09140.3 pep chromosome:AWHD00000000:8:8925814:8936606:1 gene:ONIVA08G09140 transcript:ONIVA08G09140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDDKPPSLDADIDMADLATLDAPASSDAAAAARFRPRAKGKPKPKPKPEPKAEDSKLKADDSIPMSNPEEPKPESAAPMEDSMEVDGVGPSASASAEGVGAEEVEDEEDYVLREIDVYFTPKPFDEDTMLYVMQYPLRPCWRPYELNEICKEVRVKPLSSKVEVDLDINTECENYDPEVPLPSRLTEQTLSSSKAADVADYAVGVLRGNLVHLNHIDAVMQLRPSMLHVNSGRSNARQAHGGASSDASGSTMPSVKRNEHSEDSKDYTEESEPWISLTYQQAGSNVARKYHAEMVSDDGGPIDFTMSTSDYVMSLCPGGSTNSRDKNKSHAIREMLKLPLEERLKKWFTEVSQVNRFDALMHLAPLYSEDDVLKVLPVYADLVRGLWVCKSSLLFDDGYAWKRDRILLEFRKKDSIPLKDIHRIIKVKLDDNLKKKFLYPLCKIRAKLEDCKFVLPVDSSFIRRYPHIVKEQDHAWSVRETTMRESQETSSNTEARKTKNTTKSNIPSKGPDPNMNKARDGPVQGNENLVHSVLDTIFTANKVRSIQAIRRDLRQLAAKYASDRKDGPKLQALSNAATNCASFPLVDLQKSLNQVAVPVHGVYVAKPAKPNSPRNILIKLFRDKDPDSKLTKQEILDCAANHLKKGLNEKDYHQAVTEVCILTEDGHLSYGNQYISI >ONIVA08G09140.4 pep chromosome:AWHD00000000:8:8925814:8937807:1 gene:ONIVA08G09140 transcript:ONIVA08G09140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDDKPPSLDADIDMADLATLDAPASSDAAAAARFRPRAKGKPKPKPKPEPKAEDSKLKADDSIPMSNPEEPKPESAAPMEDSMEVDGVGPSASASAEGVGAEEVEDEEDYVLREIDVYFTPKPFDEDTMVRVKPLSSKVEVDLDINTECENYDPEVPLPSRLTEQTLSSSKAADVADYAVGVLRGNLVHLNHIDAVMQLRPSMLHVNSGRSNARQAHGGASSDASGSTMPSVKRNEHSEDSKDYTEESEPWISLTYQQAGSNVARKYHAEMVSDDGGPIDFTMSTSDYVMSLCPGGSTNSRDKNKSHAIREMLKLPLEERLKKWFTEVSQVNRFDALMHLAPLYSEDDVLKVLPVYADLVRGLWVCKSSLLFDDGYAWKRDRILLEFRKKDSIPLKDIHRIIKVKLDDNLKKKFLYPLCKIRAKLEDCKFVLPVDSSFIRRYPHIVKEQDHAWSVRETTMRESQETSSNTEARKTKNTTKSNIPSKGPDPNMNKARDGPVQGNENLVHSVLDTIFTANKVRSIQAIRRDLRQLAAKYASDRKDGPKLQALSNAATNCASFPLVDLQKSLNQVAVPVHGVYVAKPAKPNSPRNILIKLFRDKDPDSKLTKQEILDCAANHLKKGLNEKDYHQAVTEVCILTEDGHLVLKNGIKIK >ONIVA08G09130.1 pep chromosome:AWHD00000000:8:8912544:8913070:-1 gene:ONIVA08G09130 transcript:ONIVA08G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFM >ONIVA08G09120.1 pep chromosome:AWHD00000000:8:8899507:8902584:1 gene:ONIVA08G09120 transcript:ONIVA08G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGSSSSPSSPKRQRLPAQAPPPPPPPRTDMLMALPSDILDDRILVLLPFHKLVRTSCLSRAWRRRWESIKNLEIELPRAYSGGGRALWRCARPVRGFRARVARRDVFRAARWLCAMARKGVQDLSLEFSLGRKPCRLPGPALFSCAALVRLDLEQCDMPAAPPGFPGFPNLERLDLVYVTLPFAGAGTQLEHLIVAAEKLAVLKLSLVITTTGGGVDTWAIRAPKLRELFITMAMGDDNGCRIPMPLPMLEEATISFDRLFGTQDFLDAFQNISTVNKLCFISDKFNINMLEGITCKFENLREARLTIDFGQRSNVLSLASLLKFAPHIEHLCISVILDSHLQLICYNLKTSLGQIAYSEWDEDEIDEDPLNSEDEIDEDPLNSEDEIDEDSLSSED >ONIVA08G09110.1 pep chromosome:AWHD00000000:8:8892364:8893212:-1 gene:ONIVA08G09110 transcript:ONIVA08G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSCASCSAAADSFSSSASSCCCCGSSRIQELGVGSGGEGCFCCASSPGYTPSSPMVMGSPRRAASPDYTPSTPPRRAASPDYTPSTPTTPRRAASPDYTPSTPTPPRRAASPDYTPSTPPPRAASPDYTPSTPTPPRRAASPNYTPSTPQRHAASPDYTPSTPTPPRRAASLDYTPSTPPPRAASPDYTPLSPPPRAASPEYSPSTPPPSPVPSDAESGTSPLWRRRRRHHPYQRRGSSIACSPRAVQVAGGHHHHPRCAILHGY >ONIVA08G09100.1 pep chromosome:AWHD00000000:8:8872660:8890826:-1 gene:ONIVA08G09100 transcript:ONIVA08G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGSSSSPSSPSSPKRQRVAPPPPPPPPRRTDMLMALPPDILDDRILVLLPFHKLVRTSCLSRAWRRRWESVRNLEIELPRAYSGGGRALWRCARPVRFFSARVARRDVFRAARWLRALARKGVQDLSLEFSLAGKQRPLPGPALFSCAALVQLDLEQCDMPAAPPGFLGFPNLERLDLVYVTLPFAGAGTQLEHLIVAAEKLAVLNLSPVITINGGGVDTWAIRAPKLRKLYITMEMGDDNGCRIPMPLPMLEEVTISFDRLFGTQDFLDAFQNISTVNKLFFKSDKFNINMLEGITCKFENLREGGLIIDLGQRSSVLSLVSLLKFAPHIEHLYIRTDHSILDPVSSEDEMDEDSLNSEDEFDEDSLNSQDEIDEDSLNSEISSDLLASLKYVTLINMNYESNGRYGNACRELTECQKASPQVVLTAKRVAAEAPPPPPPPATTGTRTDMLMALPSDILNDRILVLLPFDKLVRTSCLSRAWRRRWESVANLRIEFPASVSSSRALWRCAAPIRGFRARVATRNVYRAARWLRAMASRLTICRAFPDPPSSPAPNFVSLRLEKCDMPAAPPGFPGFPNLERLYLVGVTLPYARAGTQLEHLILASENLAVLELSNLGTMDGAVVVDPWAIRAPNLRELSVTMPMGVDFGCRITEALPKLEDAYISFDCVFGTQEFLDAFQNISTVNKLCFMVAEFSINMLEGITCKFENLREASLNIDFGQFSSVLSIDSLLKFAPHIEHLEIQTLDTELDKEKIDEDSLNSEISSDLFASLKHVSLTGAKHRENQMCFMKFLLSKAGSLQTFAVTFMFDDDGKSEWFENKCKELIECQKASPQLLLTAKVTQDGSCQGAILDQLTGHLKGGRADVAPHGRPRHHPRADPLRPAGPHVLPLPRVAAPVGVRALPRHPPRLGLPRRALRRDLWRCAAPVVGFRACVHARHFHHLPTWFPALASKGVRELAIECDGVRRGHPDTPPYWVIDQGLFSCAALAVLHLEDCDMPLAPPGFRGFPSLVSLTLRGVTLPAEGGGARVEHLVAAAPLLAELRLDDVDVEELEDPTPPLYMWAVRAPRLRVLKMATRLDIGCRIPHCWRRRTSTLFNEYPLEGISCKFDNLREAEDISFSHRDDPYEIEEDDFISSGINENSFSSLKYVSLSGITYSSNQLCFMKFLLSKTESLQSFAVTFLYSKSNKEYGTKSLYVKACRVLRAFRRASASPQARFEVRLWDKPTPRPSFFSCLPP >ONIVA08G09100.2 pep chromosome:AWHD00000000:8:8872660:8890826:-1 gene:ONIVA08G09100 transcript:ONIVA08G09100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGSSSSPSSPSSPKRQRVAPPPPPPPPRRTDMLMALPPDILDDRILVLLPFHKLVRTSCLSRAWRRRWESVRNLEIELPRAYSGGGRALWRCARPVRFFSARVARRDVFRAARWLRALARKGVQDLSLEFSLAGKQRPLPGPALFSCAALVQLDLEQCDMPAAPPGFLGFPNLERLDLVYVTLPFAGAGTQLEHLIVAAEKLAVLNLSPVITINGGGVDTWAIRAPKLRKLYITMEMGDDNGCRIPMPLPMLEEVTISFDRLFGTQDFLDAFQNISTVNKLFFKSDKFNINMLEGITCKFENLREGGLIIDLGQRSSVLSLVSLLKFAPHIEHLYIRTDHSILDPVSSEDEMDEDSLNSEDEFDEDSLNSQDEIDEDSLNSEISSDLLASLKYVTLINMKYNSNQMCFMKLLLSKARSLQTFDVTFVYSYESNGRYGNACRELTECQKASPQVVLTAKRVAAEAPPPPPPPATTGTRTDMLMALPSDILNDRILVLLPFDKLVRTSCLSRAWRRRWESVANLRIEFPASVSSSRALWRCAAPIRGFRARVATRNVYRAARWLRAMASRLTICRAFPDPPSSPAPNFVSLRLEKCDMPAAPPGFPGFPNLERLYLVGVTLPYARAGTQLEHLILASENLAVLELSNLGTMDGAVVVDPWAIRAPNLRELSVTMPMGVDFGCRITEALPKLEDAYISFDCVFGTQEFLDAFQNISTVNKLCFMVAEFSINMLEGITCKFENLREASLNIDFGQFSSVLSIDSLLKFAPHIEHLEIQTLDTELDKEKIDEDSLNSEISSDLFASLKHVSLTGAKHRENQMCFMKFLLSKAGSLQTFAVTFMFDDDGKSEWFENKCKELIECQKASPQLLLTAKVTQDGSCQGAILDQLTGHLKGGRADVAPHGRPRHHPRADPLRPAGPHVLPLPRVAAPVGVRALPRHPPRLGLPRRALRRDLWRCAAPVVGFRACVHARHFHHLPTWFPALASKGVRELAIECDGVRRGHPDTPPYWVIDQGLFSCAALAVLHLEDCDMPLAPPGFRGFPSLVSLTLRGVTLPAEGGGARVEHLVAAAPLLAELRLDDVDVEELEDPTPPLYMWAVRAPRLRVLKMATRLDIGCRIPHCWRRRTSTLFNEYPLEGISCKFDNLREAEDISFSHRDDPYEIEEDDFISSGINENSFSSLKYVSLSGITYSSNQLCFMKFLLSKTESLQSFAVTFLYSKSNKEYGTKSLYVKACRVLRAFRRASASPQARFEVRLWDKPTPRPSFFSCLPP >ONIVA08G09090.1 pep chromosome:AWHD00000000:8:8864032:8867097:-1 gene:ONIVA08G09090 transcript:ONIVA08G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLLLLLHVLALLLLAVVVAAGGGAVVGRDSAVLQLRELQWGSSGQKAAAAARTRTRSTTVLELKHHSLTAIPDHPAAQETYLRRLLAADEARANSLQLRNKAAFTQSGKKATAAAAAAAGAEVPLTSGIRFQTLNYVTTIALGGGGSSRAGAGNLTVIVDTGSDLTWVQCKPCSVCYAQRDPLFDPSGSASYAAVPCNASACEASLKAATGVPGSCATVGGGGSGGKSERCYYSLAYGDGSFSRGVLATDTVALGGASVDGFVFGCGLSNRGLFGGTAGLMGLGRTELSLVSQTAPRFGGVFSYCLPAATSGDAAGSLSLGGDTSSYRNATPVSYTRMIADPAQPPFYFMNVTGASVGGAAVAAAGLGAANVLLDSGTVITRLAPSVYRAVRAEFARQFGAERYPAAPPFSLLDACYNLTGHDEVKLPLLTLRLEGGADMTVDAAGMLFMARKDGSQVCLAMASLSFEDQTPIIGNYQQKNKRVVYDTVGSRLGFADEDCSYA >ONIVA08G09080.1 pep chromosome:AWHD00000000:8:8861952:8863312:1 gene:ONIVA08G09080 transcript:ONIVA08G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMVDENPLERITWKFRNLRTSNLSVDFGKISSIMSIFSLLRCAPQIEQLNIEVDLKEAQGDDEIHEGILEAYMSEDLVRTLKRVTLSFIKCFPGEMSFIKLLLSKAASLESLKVMMFWHHIMPVSDACLLFTTYKKESSTQVKFIVEHGMDTFDIGS >ONIVA08G09070.1 pep chromosome:AWHD00000000:8:8858447:8858638:1 gene:ONIVA08G09070 transcript:ONIVA08G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPVSSVSESKRRGRARSGPGGGQAREEDGPHGQWHGVGGWRAACTRRRWRRDGGLLLLGS >ONIVA08G09060.1 pep chromosome:AWHD00000000:8:8855706:8857211:1 gene:ONIVA08G09060 transcript:ONIVA08G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMSSSSPPSPPLPPPAAASDVTGTDILLSLLPEILDDILTRLPLKEVSASGLDVRFRGFYSAGAVAGVLARCAAPVASFDIEVRPRLRPRAAYWLRALAEKRVRSLQLAFGSSRADEPGVFPGVGRAIYARAELSNLYLRYCELPRPPPPQPGQGSLFAGFPRLTRLALNSVKLPFAGAGALLERVIAGAPDLADLLLVDVITGVVAGGEKKVEEEEPEAWAIRAPKLHSLTLWTPAVDNGCRVAGELPLLNAANISVDAFLGTEDFLDTLWLVSRVKVLKFSVRDRENRKEYDLVVVFGLCILEMMRRIFVKIC >ONIVA08G09050.1 pep chromosome:AWHD00000000:8:8849221:8850289:1 gene:ONIVA08G09050 transcript:ONIVA08G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNDDSAYLPSKRRGSNNVLAINEQEGKEGRNDLGFRKQDDHDMAMKLNLVVAEQQWEIASRPVQTPNGEQGGGNNEELECGACAYCDRGVVARWSRNGALMSQDDDGTGNGMAVGEAPMDGEMARALGHSRGGTLNKVAGENDDRPVPSQAATAVLAQSPLSRVAPRALQAMMSSGSNDMGDGVIVEQKGDEGGV >ONIVA08G09040.1 pep chromosome:AWHD00000000:8:8840977:8846589:1 gene:ONIVA08G09040 transcript:ONIVA08G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPSYAEFIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFHDCNRMLSTIRTAFASVQVDLPLHADHAPFDTITLPDTFRLDDINLDDAIRLIDTPDNHRKSLDQITLAEGDYLMIDLDEDARVELSAPGQSSHMGPEPTDEERLPPFHIDFGPSDNMDEEISVDPSQGNNSEAVNTSNINQADKAPDLPEIMREAPFEGPELNLPDIDEVNNDPMDVTEESSPFVSKNITPPALERTISPGQGGLSGTSIPNARGSTSTTYDNIEDVIPMDIGMPDFRIEPSPPRVQDEMNAQPVQGEMNAQPVQDEMNAQPVQDEMNAHPAQDKRRIRYDNEIVFSNSYMKRQIDGGELHRLVSKRRKLPQAAVDVWKFNRIRQKDGFLLDPLVHGMCATLRQTYERTFPHVIDPEAESGSVEHTPGVANDSIQDTHDHQLSPKSPGNTDAQPEHQFNQQAPRNSDGQPEPELNPKSPIPRFSPQNIPSPIRDDNSPFKTPGAGGTPKSRLGETPASGTPADMSYMSPGQDSDPQVSPFPFNDELDGDLPEIPSLMSTPGVISTAGTGTTGLGSMSARTRAVAQYFKDQMASATSDDQPGKFILNRILEGRHRKQAARMFFETLVLKSYDYIDVEQEAAYGDIAVSVKPSLSGAKF >ONIVA08G09040.2 pep chromosome:AWHD00000000:8:8840977:8846589:1 gene:ONIVA08G09040 transcript:ONIVA08G09040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPSYAEFIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFHDCNRMLSTIRTAFASVQVDLPLHADHAPFDTITLPDTFRLDDINLDDAIRLIDTPDNHRKSLDQITLAEGDYLMIDLDEDARVELSAPGQSSHMGPEPTDEERLPPFHIDFGPSDNMDEEISVDPSQGNNSEAVNTSNINQADKAPDLPEIMREAPFEGPELNLPDIDEMNAQPVQGEMNAQPVQDEMNAQPVQDEMNAHPAQDKRRIRYDNEIVFSNSYMKRQIDGGELHRLVSKRRKLPQAAVDVWKFNRIRQKDGFLLDPLVHGMCATLRQTYERTFPHVIDPEAESGSVEHTPGVANDSIQDTHDHQLSPKSPGNTDAQPEHQFNQQAPRNSDGQPEPELNPKSPIPRFSPQNIPSPIRDDNSPFKTPGAGGTPKSRLGETPASGTPADMSYMSPGQDSDPQVSPFPFNDELDGDLPEIPSLMSTPGVISTAGTGTTGLGSMSARTRAVAQYFKDQMASATSDDQPGKFILNRILEGRHRKQAARMFFETLVLKSYDYIDVEQEAAYGDIAVSVKPSLSGAKF >ONIVA08G09040.3 pep chromosome:AWHD00000000:8:8840977:8846589:1 gene:ONIVA08G09040 transcript:ONIVA08G09040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPSYAEFIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFHDCNRMLSTIRTAFASVQVDLPLHADHAPFDTITLPDTFRLDDINLDDAIRLIDTPDNHRKSLDQITLAEGDYLMIDLDEDARVELSAPGQSSHMGPEPTDEERLPPFHIDFGPSDNMDEEISVDPSQGNNSEAVNTSNINQADKAPDLPEIMREAPFEGPELNLPDIDEVNNDPMDVTEESSPFVSKNITPPALERTISPGQGGLSGTSIPNARGSTSTTYDNIEDVIPMDIGMPDFRIEPSPPRVQDEMNAQPVQGEMNAQPVQDEMNAQPVQDEMNAHPAQDKRRIRYDNEIVFSNSYMKRQIDGGELHRLVSKRRKLPQAAVDVWKFNRIRQKDGFLLDPLVHGMCATLRQTYERTFPHVIDPEAESGSVEHTPGVANDSIQDTHDHQLSPKSPGNTDAQPEHQFNQQAPRNSDGQPEPELNPKSPVNAEAQPESQINPQSPRNADGQPEPELNPKSPGEAGTSHFDDMPEIPRFSPQNIPSPIRDDNSPFKTPGAGGTPKSRLGETPASGTPADMSYMSPGQDSDPQVSPFPFNDELDGDLPEIPSLMSTPGVISTAGTGTTGLGSMSARTRAVAQYFKDQMASATSDDQPGKFILNRILEGRHRKQAARMFFETLVLKSYDYIDVEQEAAYGDIAVSVKPSLSGAKF >ONIVA08G09040.4 pep chromosome:AWHD00000000:8:8840977:8846589:1 gene:ONIVA08G09040 transcript:ONIVA08G09040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPSYAEFIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFHDCNRMLSTIRTAFASVQVDLPLHADHAPFDTITLPDTFRLDDINLDDAIRLIDTPDNHRKSLDQITLAEGDYLMIDLDEDARVELSAPGQSSHMGPEPTDEERLPPFHIDFGPSDNMDEEISVDPSQGNNSEAVNTSNINQADKAPDLPEIMREAPFEGPELNLPDIDEVNNDPMDVTEESSPFVIEPSPPRVQDEMNAQPVQGEMNAQPVQDEMNAQPVQDEMNAHPAQDKRRIRYDNEIVFSNSYMKRQIDGGELHRLVSKRRKLPQAAVDVWKFNRIRQKDGFLLDPLVHGMCATLRQTYERTFPHVIDPEAESGSVEHTPGVANDSIQDTHDHQLSPKSPGNTDAQPEHQFNQQAPRNSDGQPEPELNPKSPVNAEAQPESQINPQSPRNADGQPEPELNPKSPGEAGTSHFDDMPEIPRFSPQNIPSPIRDDNSPFKTPGAGGTPKSRLGETPASGTPADMSYMSPGQDSDPQVSPFPFNDELDGDLPEIPSLMSTPGVISTAGTGTTGLGSMSARTRAVAQYFKDQMASATSDDQPGKFILNRILEGRHRKQAARMFFETLVLKSYDYIDVEQEAAYGDIAVSVKPSLSGAKF >ONIVA08G09030.1 pep chromosome:AWHD00000000:8:8832939:8837807:1 gene:ONIVA08G09030 transcript:ONIVA08G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30840) TAIR;Acc:AT4G30840] MADPPSFRRHPHPFSIDLIRWLPCSSSSSSDRLLAAAVHDPAAPSSSSSHLHLLPLHDPSSPLAALPLPSRAASLRCSPSVLAAATSSGSLHLLPSSLDAAGSAGVSVPAGAGFHVGPVRGLDCGGGGEEWVTAGEDGRVHVVGGGGDGRVVARRLWDGKGMAGYEAARWASAAEFATGGAGCGVQWWDRRKGDAVVAQCKGVWGRGIVTGMVHSIDIHPSRKHICVVGGSSGTIFAWDLRWPQQPIPLSGLGLNGTAQPVSESEVWEVLFDNYTQSTDIISSASTRILPVMMCSEDGILAVVEQDERPLELLAEPCAINSFDIDPENPSDVVCALEWESIGVLTRGRDTMAEE >ONIVA08G09020.1 pep chromosome:AWHD00000000:8:8823243:8825549:-1 gene:ONIVA08G09020 transcript:ONIVA08G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSRTHASKSIIPLRISLLLLLLSTPPCSSSTIIQHGEAESLLRWKSTLSAAASASPLTTWSPATSSSACSSWRGVTCDAAGHVAELSLPGAGLHGELRALDLAAFPALAKLDLRRNNITAGVVAANVSTRASNLTYLDLSDNAFAGHILDVLPLSPGTLQQLSYLNLSSNGLYGPILRSLSAMGKMTVFDVSRNRLNSDIPSELFTNWVELTQFRVQNNSITGSIPPTICNTTKLKYLRLAKNKLTGEIPAEIGRLASLQALELADNFLTGPIPNSVGNLTDLLVMDLFSNGFTGVIPPEIFNLTALRTIDVGTNRLEGEVPASISSLRNLYGLDLSNNRFSGTIPSDLGSRQFVTIVLASNSFSGEFPLTFCQLDSLEILDLSNNHLHGEIPSCLWHLQDLVFMDLSYNSFSGEVSPMSAYPNSSLESVHLANNNLTGGYPMVLKGCKWLIILDLGGNHFAGTIPSWIGTCNPLLRFLILRSNVFNGSIPKELSQLSHLQLLDLAMNNLVGSIPRSFGNFTSMIQPKTELNLPWKVQHHILDGRVDYTYTDRIGINWKRQNHTFQGTVALMAGIDLSSNYLSNEIPSELCNLESMRFLNLSRNHLSGIIPKEIGYLKILESLDFSWNELSGSIPSSISNLMSLSSLNLSNNHLSGEIPSGYQLRTLADPSIYSNNFGLCGFPLNISCSDGSNSTSALIGGSTDSQELEILSWFYSVLAGLVFGFWLWFGVLLLFETWRFAFFGQVDHLQKKIMQKICCMYAKSE >ONIVA08G09010.1 pep chromosome:AWHD00000000:8:8819398:8826272:1 gene:ONIVA08G09010 transcript:ONIVA08G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAVSVPFAVTPLRRLSSSTTTRAFSFRVSAAAMAAAAKTAVQPAVVVGGGRVGQALLSMGPPGADVLVGRGEKVPEDAPGPILVCTRNDDLDAVLDATPRSRWRDLVFFQNGMLDPWFESKGLVDANQVLAYFAVSKLGEPPVDGITDTNPEGLTAAFGNWAPAVATRLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGAVEKEYRSEVASLIAELASAAAEERGLTFDAGIEERLCAYSRAVAHFPTAFKWRNGWFYSLTEKALAQGKPDPCPFHTAWLKEIKVI >ONIVA08G09010.2 pep chromosome:AWHD00000000:8:8824993:8825589:1 gene:ONIVA08G09010 transcript:ONIVA08G09010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDLRIGPYSPLEDRLSVPGDNGKTSSMWPAKALSERSRYVRLLALVETFAATTPAVMLLRRRSSFASAGNAARSSARSSPWSPAPGRLSSATWPAASHVTPRQDEQAELEVAGDHVVSGDADAAADKVDFHRRRDSASPCWMIVELEHGGVDSSRSRREMRRGMMDFDACVRDRRAISGTSCSWDYSLQ >ONIVA08G09000.1 pep chromosome:AWHD00000000:8:8787218:8793450:1 gene:ONIVA08G09000 transcript:ONIVA08G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVRSLRQLRRFGQLHAERHSSTNRLIKQQNALVLCSSASSSMSTLCCNREIGRYVSPSVEILRSSFSTVAADSIKDVARGGPMVEYERRIASGELVDGDNFQIDTIQHLQRLYEELVENEEACQLDRYQSSEKSGRPANWRKKRIHFHDFMLNMHKGVSDPLEVVAAEISDEAIILCLDEFMVPLGANGIAYFPFEDLCDRPLGAADYFGLFSKLTEINSREYLEDFEAKLRQPLQGVDNDIDVVLA >ONIVA08G08990.1 pep chromosome:AWHD00000000:8:8765076:8770654:1 gene:ONIVA08G08990 transcript:ONIVA08G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAASIPVSLYGVATAFRLTPDSTPYNEHHRPDPRETTSKVIQSSIIGRDKERKQVVSLLSASDEEDTVILPIYGFGGIGKTALAQLVFNDTQFRGYDHRVWVYVSQVFDLKKIGNTIISQVSNEGNKNEYTRENINGRLCDLLEDKNTLIVLDDLWETNDFHLNELKLMLNTKGKIKVLVTTRNEDVAKKICTHKPYRLNPLDSTMCWNIIKQRSNLEARGDKDQIEQVGWVIAKKCGGIALAAHALGFLLSGMDLVEWRALSNSDIWTEAFSDNSVLPSLKLTYKNMPPYLRLCFAYCAIFPKGHNIAKASLVHQWIALGFIEPSKTFSSVRLGEKYIRQLVGMSFLQRSILHTQYLNLSNCFLLSQLPSHIGSLTELQYLNLSGCQGLVKLSMSFRNLKNLVHLDLSGCSRVQDFKQVFGGLTKLQYLNLSKIFGRTRVGDNWDGYPETISTLNDLEYLNLSRNSRIDYLPRSLGNLKKLQLLDLSYCRSLRSLPHSIELIDSLEFLIVVGCSDQLKEYLRKSQFKNIPISLPHFIVHSINPVRLEISCLENVKSIEEARGIELSEKQNLSTLTFHWTSRADRLLEDKDVLGELMPPRGLWNLSIQGYDSTTFPTWFVGISHHLPSLVKIELKDLHRCRHLPPLGQLPNLNEVHLQQMDSLTKIDGGFCGGDKGAFRKLKVFTLRDMKQLEEWSTTTHSKGGEDSIEFMFPMLVTLSIELCPMLRLKPCPPMFHSWLISESDKVISSWGEIRHQPAASVSHSFSSSSDTNLEIKGVGVAADEWRLLHHHPTLQQLEISWSKNLLHLPDAIRHLPSLSYPNS >ONIVA08G08980.1 pep chromosome:AWHD00000000:8:8764904:8765891:-1 gene:ONIVA08G08980 transcript:ONIVA08G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSSLGRRQHGWRPPPATSVRVRHGGRRTAPEAGAVPLDDGASHSGAPKRTISGIRIEAAAPAMLAPSSLGRRQHGWRPPPATSVRVRHGGRRTAPERLAPSHWMTAPATLALQKGPFLG >ONIVA08G08970.1 pep chromosome:AWHD00000000:8:8760915:8761244:1 gene:ONIVA08G08970 transcript:ONIVA08G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVGLLAMAVVREAGAKLGTAIGEQVMMMCGFKEDLEDMKDMLESMAAVLKDAERRSVTEESVLLWLKRLKNAAYDISDMLDGFQDKSKSATLLALVVSTYKGTYTS >ONIVA08G08960.1 pep chromosome:AWHD00000000:8:8757694:8760344:1 gene:ONIVA08G08960 transcript:ONIVA08G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVAGEAPSGAADLVPRNQITVAYPRVDVAAPSIPLSQFAVIASDPAGCASIPWYSSVATADGSSMSVRNSALSAHNQFIGLEIISPTKIPSPFSQYSSTATADENGRPVWIVRRHV >ONIVA08G08950.1 pep chromosome:AWHD00000000:8:8746690:8748243:1 gene:ONIVA08G08950 transcript:ONIVA08G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLIISSLMMLLALVLYLCIGWPILSTLELPSIAANLHNLHDHLAGVLAASGHTVMARGTAATSSIRFFITCDPENVRHIFTSNHGNYPKGHEFAEIFDIMAGVSFTLDGEPYLRLRAKMLSILGDPWLVASMAASCLDKVRDGLLPFLARVATAGAPFDMQDLTGRLMFDVTSMAVFGVHPGYLSPGPDMPPAHAAAAMDTVMEVGLIRHAVPASWWKSMRRLNIGPERRLAAAHRVLHGFVGEMMGARTKATQSPHRNADADADSVDIISSHINDPEYNGAALLQTTAIAYMVAGRDTIGTTLPWFFYNLAVNPRVVSGIREELKPIAASRRAASPSPSPSNGDRTTTVMFSPEDTKPLVYLQAALFETLRLYPPGHMERKTAVADDVMPSGHEVRAGDAVLISLYSMGRMESLWGKDCREYRPERWLTGGDGGGSGGAQLRHVPSHKFLAFNSGARMCPGKSIAVMLMKTIAAAVVWNFDVEMVEGQAVEPKMSCLFQMKNGLMLKVKKWQI >ONIVA08G08940.1 pep chromosome:AWHD00000000:8:8721784:8722200:-1 gene:ONIVA08G08940 transcript:ONIVA08G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRYDCSLTEDGARDEDMPSLIFRTATIVSKIGQGEQGGALMFLCNGICTWRLPTSIMEMWSQRCLGNETHGEDGTSVRTPGDSGDTANGTLGWRRVAQPLDV >ONIVA08G08930.1 pep chromosome:AWHD00000000:8:8673768:8674550:-1 gene:ONIVA08G08930 transcript:ONIVA08G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPPSRRPHPCQIWPTAAGSGRVGRGAATGCTGVPSPRLPPAIADHRRARSHAVPDPPTPCTPSLGARGGTAVSPAHSRPSPPRPQPYRAASLS >ONIVA08G08920.1 pep chromosome:AWHD00000000:8:8661646:8665920:1 gene:ONIVA08G08920 transcript:ONIVA08G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHSDDEIAIPLSDDDERFNTPKRNQAFLSILQGFERYYIQFNLDEHFYHQWRPTTRGKDDDLFTARFVAATNGDEDAEEMQRYRIARMATKEAEGAAGAMGDGLRRGFRAIAAATMHIRLLLINLQRNLDDGECVVRKDPSIGCDGWWRPPASSGVTDVRSCSLTFFTWITLCFLC >ONIVA08G08910.1 pep chromosome:AWHD00000000:8:8658665:8658950:1 gene:ONIVA08G08910 transcript:ONIVA08G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAVIADCVREARRRWIRPPLRPHAHGVTSTAASSDSNGYGGAAAATSTLHCCRLRIPSI >ONIVA08G08900.1 pep chromosome:AWHD00000000:8:8656507:8658277:-1 gene:ONIVA08G08900 transcript:ONIVA08G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPLCKPVAACSPEVSVSTTWCRSSHPWMPLATMSVVSCLLAPVTGGETDPKNTEKRFEIEKKSKKNRGDWLLDACRAPSSHPAAGLLPARAAKPPSERRSQLLSHAPPSCCRAMLPRRTLPRSRRYAARTRRRSTAVCCPAARRRAAIILLPGRAPPSRRRALLPRRAQPPAYFLRAPPSRRRALLPRRSAAGLLPARAAEPPPERLFARRHRLARWEGYGREMRRWVGGIGQWQFRVNT >ONIVA08G08890.1 pep chromosome:AWHD00000000:8:8655471:8656102:-1 gene:ONIVA08G08890 transcript:ONIVA08G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVLRPDPLAAGVPRLAPHGSTGGERPSPGPARIHRRRGEESSVLNRFSSAAVAPAGFVVRMTVGSLPSFLPQRRLHIREAGDASRLRGSAVDQEHTAPAMAPPPTPVILICCFFHNRSTVPLRRRRGSTPGRFHGHRSWSGPAAADFTGAGVEESHLSFFQRWWSSSPTAFIFSP >ONIVA08G08880.1 pep chromosome:AWHD00000000:8:8653461:8655450:-1 gene:ONIVA08G08880 transcript:ONIVA08G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGPSSLPPRAGGDGAGTSSGGGACGDPVPAPPPAVAAAAFLSSCSDEPGKQVRSAVKIKNISKSHIAFKVLSYVGGFQGGEGWILGGAGQCDQLRHGCSWQWDFDVDRESSAPALVVVLRELGCTPFISICRNLLYIRSFRIDLI >ONIVA08G08880.2 pep chromosome:AWHD00000000:8:8653459:8655450:-1 gene:ONIVA08G08880 transcript:ONIVA08G08880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGPSSLPPRAGGDGAGTSSGGGACGDPVPAPPPAVAAAAFLSSCSDEPGKQVRSAVKIKNISKSHIAFKGGEGWILGGAGQCDQLRHGCSWQWDFDVDRESSAPALVVVLRELGCTPFISICRNLLYIRSFRIDLI >ONIVA08G08870.1 pep chromosome:AWHD00000000:8:8635954:8637477:-1 gene:ONIVA08G08870 transcript:ONIVA08G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPSLQDLLVSSLMILLVLLYIAYWRSKYQSLFPVDWPIVGLLPTLVANLHRLHDKITEYLAASGHSFTGNGPAASNMRLFVTCDPDNVRHIFTTNHDNYPKGHEFAEIFDIMAGAFFTMDGELYRRQRAKTHSILSDPRMVASMASSCADKVRDGLLPLLTSMASTRTPFELQDLATRLMFDVTAMPVFGVDPGRLSPDMPSMHVSAAMDTIMEVGLFRHTVPPSCWKAMRRLKIGPERKLAAAHAALHVFVSEMMEKARKQEAAPSSMSMDVLSSYINDPDYVGLLHSILITYMVAGRDTVGTTLPWFFYNLAMNPRVVSGIREELAHNIATSTCNGDGAPVMFSPEDTKSLVYLHAALFETLRLYPPGWIERKTVVADDVMPSGHEVRAGDAVLISIYSMGRMESLWGEDCRVYRPERWLYDSRGGRKMRHVPSHKFLSFNSGPRMCPGKNIAVMQMKIIAAAVVWNFDLEVVEGQAVVPKLSCLLQMKNGVMVKVKKRAV >ONIVA08G08860.1 pep chromosome:AWHD00000000:8:8631048:8632803:-1 gene:ONIVA08G08860 transcript:ONIVA08G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAREERSSRQRVSCGRKTRARDGGGLRDNLGYGSGSFPREETGQRRATLSSAAGGNGVQDEGGDTGCDLVFHRRAGGLPDVVVVVLDVAGEDSIVGRLLGALPKREKWLGLERPARGAIGTSSSGRGDGNGDLRAPSGGGQGLGSRNTCREARPLLWLTGVAFSLVASSLGNSHVFLRSDLRHYHYHCDY >ONIVA08G08850.1 pep chromosome:AWHD00000000:8:8612936:8613715:1 gene:ONIVA08G08850 transcript:ONIVA08G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSFPAEIIHPARLGCMLRLHVVEHPTGDAAAVAFQCDGCMLPGEGTRYTSVVDNHPTHLALHTSCALATPTLQHALVKGTMELRHEAPAGGAGVCSACFETVRGFHYYGSRKTGKGEHPKLHPCCARLPVSIAVRGGLTFELRAEVSHRCTGCRAMEWYYRPWCYRSTNSPDHRVYLHVKCIREIMESPGGGGGGGAGDEDDRVVARLLERADQSSKLERRVCKILVILVRVVVRMLIGDPTALLTEGVSAIVSPW >ONIVA08G08840.1 pep chromosome:AWHD00000000:8:8584442:8585401:-1 gene:ONIVA08G08840 transcript:ONIVA08G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSRSPRTERSTVTSDCSTTMVRESLASTHDMRRMSPTLRAATVAPPLPSVTLVAPGPSTPASAEKAERHGGRTATSALGQRCARAASLGHVDTLRELRHCLWKGYGVRCSMLDGRRLLIQTNAREIAPRSPRRPRSSAPPASWLPPARHSCLFCDFGCHAAAKAPSCSPVATRGEETGMRRGGEGRGIRG >ONIVA08G08830.1 pep chromosome:AWHD00000000:8:8582305:8583279:-1 gene:ONIVA08G08830 transcript:ONIVA08G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAPPGFFSFLKHGVLVPARGRGVFLPLLALTAALAGALLLANSLAVQPRAVAALLDADALSRADPASAAYPKLVRRFRDDLRGLLVDAAACVAAAVVAGSAIKIATVFGAVAAFSPAGGEDRRATVSGLIGAARGNVWGPVLTIAFGYVLEVVCAAAIVAMAMLVVPLLEYSLLLLFLDAMAVLLASLFLVYLTVVCAVALAVSAAEPGRRGAGAVSRAWRLMSGKNAQAVLYVVATFALAAAVSPVYTLALRWWPRSAPAGIAAGVAYVLLLGAVEVFSVAAVTAYYFECREMKQVEEDMAAGHHHYTKLSNGDEANI >ONIVA08G08820.1 pep chromosome:AWHD00000000:8:8575490:8576880:-1 gene:ONIVA08G08820 transcript:ONIVA08G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISHRETETRRMFVEWKAKYDKAYASIAEEECRYAVFRETRRAVDQHNAGFHSYRVGLNMSADLTDDDAPPPPPGEYAGNVIPRCLLCGFYVQVRSPAGSPRNSPSKISRHTSAGDQVPRADKLL >ONIVA08G08810.1 pep chromosome:AWHD00000000:8:8571169:8572650:-1 gene:ONIVA08G08810 transcript:ONIVA08G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSPALARPTWPSSGGKPSPQEDNCLERSFSTPTSWRYRHRRLDHVARVPATGHLVASPSPPSPHHDSLATAAHHPCRARTTAPSASAFHSPYQSSTATSPHWRPLEPLGTPPITTVPAYRHLAAPEAPRAFGHASNHHSDFSPSPPPSSPASHRRRRGCAPTVDVALFRLQTSPPPPLTLPPPRCRVSPMPAQGLAPRPRHYHRRIRTGGYRIWPSAPPCHRGVSHTVGVVGVNYMT >ONIVA08G08800.1 pep chromosome:AWHD00000000:8:8570083:8570610:-1 gene:ONIVA08G08800 transcript:ONIVA08G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKAAVCLIIVLVLALGNPTSAGVCWQSNTKMPFCAGFMCKISCWISSKFTKQNVKSYRCRGSGPKGRCYCNICDKNY >ONIVA08G08790.1 pep chromosome:AWHD00000000:8:8567848:8568455:-1 gene:ONIVA08G08790 transcript:ONIVA08G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWCCHQLREVVPHYHYDTLRAMVEVLVEEEEAPPMCKKEAMAAIVEGLPGRDECDLETVVVVVVVVVVGPAVAAVAARAAAGYESGQGVVLKDRLGASRERTCCGGV >ONIVA08G08780.1 pep chromosome:AWHD00000000:8:8565119:8566020:1 gene:ONIVA08G08780 transcript:ONIVA08G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPPLGVGVLHRHGDAATIFDCEGCREPGEGTRYTSGDLVLHTHCALAAPTLQHPLVKGDMVLRHVAPTGRDAVLCDACYGAVRGFHYHSSTGGLDLHPGCAKMPLSITLQEGGATFDFVLRRKVKHRCSSCRAMEGYYRPWCYRSKNIPDHHQRVYLHINCIKEIMAGLGHGGGGEASKMHRHEIMAAGSSRGADAAGGEGANDRVNRVIARLQERAGGGGSSKSKLVRRVCEVLVMLMRVVMGVLLGDPTAPLIAFNFIMP >ONIVA08G08770.1 pep chromosome:AWHD00000000:8:8562779:8563075:-1 gene:ONIVA08G08770 transcript:ONIVA08G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIKSLERVAELGMGRVVVETDAANLKLAVSSQEMDRSSDGALFKIIRALLITSFDQYLVSVCPRICNKVADRMASFGAVTVAPGSTCYWSQAPEFL >ONIVA08G08760.1 pep chromosome:AWHD00000000:8:8559407:8560133:-1 gene:ONIVA08G08760 transcript:ONIVA08G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRERVEEAAAKALGNLDPVVPNLLETRSSIPYGEAAADNLRAVKGSPMMGLYVSMSNVLCVECTYGHATTPYV >ONIVA08G08750.1 pep chromosome:AWHD00000000:8:8552984:8557390:-1 gene:ONIVA08G08750 transcript:ONIVA08G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSYKSRILVHNLFPYCRSAWVSHASTTVGFSRNSPKAKPLITSAWEKVTRQNVTA >ONIVA08G08740.1 pep chromosome:AWHD00000000:8:8541508:8541981:-1 gene:ONIVA08G08740 transcript:ONIVA08G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKASVVCCILLLVLALGGPASATDYCYKAIGKLIVCVGPTCKLDCWLEAKYNKGRVKRHKCMKHGIFAKCYCEICVTF >ONIVA08G08730.1 pep chromosome:AWHD00000000:8:8535617:8539647:1 gene:ONIVA08G08730 transcript:ONIVA08G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proton gradient regulation 7 [Source:Projected from Arabidopsis thaliana (AT3G21200) TAIR;Acc:AT3G21200] MSPPPSSLLPSPLPSPAILLSTNPSSLRLPPLAAGRRGAARAVAAAAASATPVQAQQRASRPSAAEVARTVVELAPSGTLSVVGPDGWPLGVGARFVADGSGAPALCLAASGPAAAAPDAPSSFHVEFRQSGARTPQCTLLGALTKPSDEYELKKLSTRWQKKFGEEIDEDLLYLISVERILHIEDFNEDGMWVIPSEYSDAEPDPLRNFAESIVEEMNSKHAEDVHRIYSIYVESDFQATEVKMIWVDRLGFDLHVHSGEGTFAARIPFTREVSDEKGVKSSFNMMSHHAWEVEKSYAAPEFEKVELLKKGYRNGYRQ >ONIVA08G08720.1 pep chromosome:AWHD00000000:8:8529335:8532595:-1 gene:ONIVA08G08720 transcript:ONIVA08G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPMVDWWLEQQPATPQPPSLTEGILNVNVIQVTSVRSAAYFNGQHRSSTLEWLTTMLNDFGKQEVNISIFAECNSGLSPIPDLLHSGHCSIIHSLLKLCPCFWRC >ONIVA08G08720.2 pep chromosome:AWHD00000000:8:8530104:8532595:-1 gene:ONIVA08G08720 transcript:ONIVA08G08720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPMVDWWLEQQPATPQPPSLTEGILNVNVIQVTSVRSAAYFNGQHRSSTLEWLTTMLNDFGKQEVNISIFAECNSGLSPIPDLLHSGLIKRMNCS >ONIVA08G08710.1 pep chromosome:AWHD00000000:8:8515369:8518696:-1 gene:ONIVA08G08710 transcript:ONIVA08G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIVLKLKDFSRIWINREESMLDPGGNAVLIWKRVFLVSCVASHFIDPLFFFLPTVEGRDRQLCMTMDHHLAIILTCLRSFLDIFFIAHIAISFSTAYVDPSSKVLGKGELVTDPKKIANRYIRRNFFIDLVAALPVPQVLVWIVMPSISFKHINAPFFLIILVQSAIRLYIVILLSLSIMEMVGFIAKNGWEGAIYSLVLYLVASHVVGAIFYLTAVDRQKTCWETQCSIEDRMAHKGLCDLHFLDCKYATSSNSQSWANSTNVFTDCNANSNSVSINYGIFIQAIQNGVTKTSFSEKYFYSLWWGLQQLTTYGNPLVTSSFIGENLFAIGLTLLSIGLFAQLIGNMQIHMRSLSKNTEDWRMWQTEMEDWMKDHQIPDELRYRISQFFKYKWIATQGVEEDSILRQLPADLHRDIKRYLCLDLVERVPFFSAMDHQLLDAICERMTYFLRTEGTYITREGDPVKVMLFIIRGKLESSTTDCGRTGFFNSIILKPGDFCGEELLTWALLPSSRDSYPSSTRTVKTITELEAFSLQADDIKCVASTFRMMHSKHLQHTFRLHSYQWRTWAARFIQSAWRRRRNRQKMAEVGLSNRWKSFFSLVNDFNEMRSEDVNGSSSTVSHRETVTVSKIASIFKKAQKERPEEPDFSADHHPE >ONIVA08G08710.2 pep chromosome:AWHD00000000:8:8515369:8518696:-1 gene:ONIVA08G08710 transcript:ONIVA08G08710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIVLKLKDFSRIWINREESMLDPGGNAVLIWKRVFLVSCVASHFIDPLFFFLPTVEGRDRQLCMTMDHHLAIILTCLRSFLDIFFIAHIAISFSTAYVDPSSKVLGKGELVTDPKKIANRYIRRNFFIDLVAALPVPQVLVWIVMPSISFKHINAPFFLIILVQSAIRLYIVILLSLSIMEMVGFIAKNGWEGAIYSLVLYLVASHVVGAIFYLTAVDRQKTCWETQCSIEDRMAHKGLCDLHFLDCKYATSSNSQSWANSTNVFTDCNANSNSVSINYGIFIQAIQNGVTKTSFSEKYFYSLWWGLQQLTTYGNPLVTSSFIGENLFAIGLTLLSIGLFAQLIGNMQVPFFSAMDHQLLDAICERMTYFLRTEGTYITREGDPVKVMLFIIRGKLESSTTDCGRTGFFNSIILKPGDFCGEELLTWALLPSSRDSYPSSTRTVKTITELEAFSLQADDIKCVASTFRMMHSKHLQHTFRLHSYQWRTWAARFIQSAWRRRRNRQKMAEVGLSNRWKSFFSLVNDFNEMRSEDVNGSSSTVSHRETVTVSKIASIFKKAQKERPEEPDFSADHHPE >ONIVA08G08700.1 pep chromosome:AWHD00000000:8:8507673:8519225:1 gene:ONIVA08G08700 transcript:ONIVA08G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECY homolog 1 [Source:Projected from Arabidopsis thaliana (AT2G18710) TAIR;Acc:AT2G18710] MAAMATPQQCWLPTRARAPPPPPPRAPPYAALVSGAPASLRFRGRRSASASASASAARRRGASLPCSPRCALETAGPGFDPLGLYNDGPSRNDTQSPLSSFFGILSPVFGSSSGGRKEKSSYGRGAAAAIEDSSIDIGDFFKGPLPGKFLKLLGYLALSRLGIYIPLGGVNRDAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLFLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFLFLVLGIVYVQEAERKIPLNYASRYSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLDFLKKAAISLNPGGALYIPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAFIKTVLSNISVLGSAFLAVLAAGPSVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >ONIVA08G08700.2 pep chromosome:AWHD00000000:8:8507673:8519225:1 gene:ONIVA08G08700 transcript:ONIVA08G08700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECY homolog 1 [Source:Projected from Arabidopsis thaliana (AT2G18710) TAIR;Acc:AT2G18710] MAAMATPQQCWLPTRARAPPPPPPRAPPYAALVSGAPASLRFRGRRSASASASASAARRRGASLPCSPRCALETAGPGFDPLGLYNDGPSRNDTQSPLSSFFGILSPVFGSSSGGRKEKSSYGRGAAAAIEDSSIDIGDFFKGPLPGKFLKLLGYLALSRLGIYIPLGGVNRDAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLFLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFLFLVLGIVYVQEAERKIPLNYASRYSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLDFLKKAAISLNPGGALYIPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAFIKTVLSNISVLGSAFLAVLAAGPSVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >ONIVA08G08700.3 pep chromosome:AWHD00000000:8:8507673:8519225:1 gene:ONIVA08G08700 transcript:ONIVA08G08700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECY homolog 1 [Source:Projected from Arabidopsis thaliana (AT2G18710) TAIR;Acc:AT2G18710] MAAMATPQQCWLPTRARAPPPPPPRAPPYAALVSGAPASLRFRGRRSASASASASAARRRGASLPCSPRCALETAGPGFDPLGLYNDGPSRNDTQSPLSSFFGILSPVFGSSSGGRKEKSSYGRGAAAAIEDSSIDIGDFFKGPLPGKFLKLLGYLALSRLGIYIPLGGVNRDAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLFLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFLFLVLGIVYVQEAERKIPLNYASRYSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLDFLKKAAISLNPGGALYIPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAFIKTVLSNISVLGSAFLAVLAAGPSVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNLCVTPDDDLQRNQKIFEGTGLTYTFDKIKAQDL >ONIVA08G08700.4 pep chromosome:AWHD00000000:8:8507673:8519225:1 gene:ONIVA08G08700 transcript:ONIVA08G08700.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECY homolog 1 [Source:Projected from Arabidopsis thaliana (AT2G18710) TAIR;Acc:AT2G18710] MAAMATPQQCWLPTRARAPPPPPPRAPPYAALVSGAPASLRFRGRRSASASASASAARRRGASLPCSPRCALETAGPGFDPLGLYNDGPSRNDTQSPLSSFFGILSPVFGSSSGGRKEKSSYGRGAAAAIEDSSIDIGDFFKGPLPGKFLKLLGYLALSRLGIYIPLGGVNRDAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLFLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFLFLVLGIVYVQEAERKIPLNYASRYSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLDFLKKAAISLNPGGALYIPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAFIKTVLSNISVLGSAFLAVLAAGPSVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >ONIVA08G08690.1 pep chromosome:AWHD00000000:8:8502516:8505392:1 gene:ONIVA08G08690 transcript:ONIVA08G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGVRLKAGTRPPWVGLAAAVWVQMAAGNAYTFPLYSPAIKAALGYTQQQLAVLGVAKDVGENFGVVAGVLCNSFPPWVVLLVGAAFCFVGYGALWLAVSGAVVAMPYWLLWIVLAMATNSNAWFLTAVLVTNMRNFPLRRGVVAGLLKGYIGVSAALFTQVFSGVLHRSPTSLLLLLATGLPTICLATMYFVRPCTPATLDAATTDADTEEDGHFAFTQAVSVVLAVYLVTTTVLGNAIKLSDATSYTLFIVTVLLLLAPLAIPVKMTLFRSSPRRRSTETTEEPLLIPPHVVVDSGGDGDEEESDKVDLLLAEGKGAVVRRTKRRRPRRGEDFEFSEALVKADFWLLFVGYFIGVGTGVTVLNNLAQIGVAAGIGDTTVLLSLFALGNFFGRLGGGAISEKFVRSTLLVPRPIWMALTQTVLVVAYLCLAYTLGPAVAYACTAAVGLCYGVQFSVMIPTTSELFGLKNFGLFYNLMSLANPLGAALFSGELAGRLYDEEAARQQHSGGACLGPGCFRAAFVVLAGACSVGTAVSLVLAARIRPVYRALYSGGSFRLPNASQQH >ONIVA08G08680.1 pep chromosome:AWHD00000000:8:8492134:8492463:-1 gene:ONIVA08G08680 transcript:ONIVA08G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRLTAHWIDWYMIAWFRCVVLQGSMNAPFYNTRSVKVAYVLDGEGEPEIVYPHMYPHMSPGGRGGESEERRRERGKGNWREEEEEEEEQKGQEDEEEEQVGHTGGSS >ONIVA08G08670.1 pep chromosome:AWHD00000000:8:8486302:8490601:1 gene:ONIVA08G08670 transcript:ONIVA08G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose-synthase-like C4 [Source:Projected from Arabidopsis thaliana (AT3G28180) TAIR;Acc:AT3G28180] MAPPPNTYSESWWGGKEERGTPVVVKMDNPYSLVEIDGPGMAAPSEKARGKNAKQLTWVLLLRAHRAVGCVAWLAAGFWAVLGAVNRRVRRSRDADAEPDAEASGRGRAMLRFLRGFLLLSLAMLAFETVAHLKGWHFPRSAAGLPEKYLRRLPEHLQHLPEHLRRHLPEHLRMPEKEEIEGWLHRAYVAWLAFRIDYIAWAIQKLSGFCIALFMVQSVDRLVLCLGCFWIKLRGIKPVADTSISNDDIEATAGDGGGYFPMVLIQMPMCNEKEVYETSISHVCQIDWPRERMLVQVLDDSDDETCQMLIKAEVTKWSQRGVNIIYRHRLNRTGYKAGNLKSAMSCDYVHDYEFVAIFDADFQPNPDFLKLTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLSFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYQAYRKQQHRWHSGPMQLFRLCLPAVFKSKISTWKKANLVMLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPIWVICYVPVIMSVLNILPAPKSFPFVIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRTSSESDILALAEAADADARPPQAKLHRGVSEGGLKEWAKLHKEQEDATAAAAAAAPGTPVKKSKAAKAPNRIFKKELALAFLLLTAATRSLLSAQGLHFYFLLFQGVTFLAVGLDLIGEQVS >ONIVA08G08660.1 pep chromosome:AWHD00000000:8:8460925:8461395:-1 gene:ONIVA08G08660 transcript:ONIVA08G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIVGVTATATAAMRSGGADPPPAGSKRADPPLAVSGVADPSPSSSTTAYPIPAISGAADSPPGPAAVKGRVDGALAAVALNKGLIVEVSLADEPNLPPGQSSSECTPPPTVVEGDGEASSSSGANPPLSNPRSSPTLVPMFPRVSVMATTVDR >ONIVA08G08650.1 pep chromosome:AWHD00000000:8:8451252:8451572:1 gene:ONIVA08G08650 transcript:ONIVA08G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIWWASVMEGVSSGVPMVCRLFFGNQKMNVLLVSHIWGFGMAFDRVMTCDGVATVMVSPVGGKDGCWMRARAQELQAKVATMFIEPNGNCRKNFTRLVEIICAS >ONIVA08G08640.1 pep chromosome:AWHD00000000:8:8381241:8384744:-1 gene:ONIVA08G08640 transcript:ONIVA08G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLSTIQQTLTPEAAAALARAMDEAGRRRHGQTTPLHVAAALLAAPAGLLRQACARAASAAGAGGGGGAAAGAGAGAHPLHCRALELCFSVALDRLPAAAAAAAAAHGAGASPPVSNALVAALKRAQAQQRRGCPEAAQQPLLAVKVELEQLVLSILDDPSVSRVMREASFSSAAVKSIIEQSLSAPSPCPSAAASTTTTGPGPLSPSPSPLPRAGAANAYLNPRLAAAAAVASGGGGGGGDDARKVIDVMLKPTRRNPVLVGDAGPDAVLKEAIRRIPTAGFPALAGAKVLPLEAELAKLAGDKAAMAARIGDLGAVVERLLGEHGGVVLDLGDLKWLVDGPAAAASEGGKAAVAEMGRLLRRFGRAGVWAVCTAACTTYLRCKVYHPGMEAEWDLHAVPIARGGGAPIAAAAAAGSALRPGGSGILNSSMGMLSPALRPMPVTPTALRWPPPGSDQSPAAKLAMCLLCKGSYERELAKLEAEQTDKPASRPEAAKPGLPHWLQLSNDQNKAKEQELKLKRSKDELERKWRETCARIHSACPMAPALSVPLATFTPRPPVEPKLGVARGAAVPTLKMNPSWEKPSVAPTLELRKSPPASPVKTDLVLGRLDPGTNPAVENEQKESCEGLTALQKAKIAGISDIESFKRLLKGLTEKVSWQSDAASAIAAVVIQCRSGSGKRRNVGTRGDMWLLFVGPDQAGKRKMVNALSELMANTRPVVVNFGGDSRLGRVGNDGPNMGFWGKTALDRVTEAVRQNPFSVIVLEGIDQVDVVVHGKIKRAMETGRLPDSRGREVSLGNVIFVLTTNWVPEELKGSNVETLLRGEERMLESTSSSWQLELSIGDKQVKHRADWLCDDVRPAKLAKELPSSHGLSLDLNLAVGALDDTEGSHNSSDVSVEQEQEKGQLAVKRSTPAPGSDILELVDDAIVFRPVDFAPFRKTVTDCISAKFESVMGSSSSFRIDEDAVDRMVGSVWLTDEKIEDWAEKVLKPSIERLWHNVKHDSGRSIIRLTAVADKALPRWGGGREGLPVAVTIAIDGM >ONIVA08G08630.1 pep chromosome:AWHD00000000:8:8348784:8358727:1 gene:ONIVA08G08630 transcript:ONIVA08G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSIVGQILEKQVLSVAKAVEDKLDEQIAALDRLDPDDIEALRERRILQMRRAAERRAKWRALGHGEYGEVPEKEFFAAAKASDRLVCHFYRDNWPCKVMDKHLSILAKQHVETRFVKVHAEKAPFLTEKLRIVVLPTLALVKNTKVEDYVVGFDELGGKDDFSTEDLEERLAKAQVIFLDGEGPAHASKQATKRSVRQSDTGNSSDSE >ONIVA08G08620.1 pep chromosome:AWHD00000000:8:8339777:8340625:-1 gene:ONIVA08G08620 transcript:ONIVA08G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKLDDQDASSCVAMPGKRRSPALYPSIDLPALANSKSQVRFSTDFLIVVDFEFHRIEITITMRFVSAYLMAYIGGNESPSKDDVRAILGSVGADVDEAKLDLLFEEIAGKDIPELIAAGRERLALAAPCGGVAAAAAGGQAVAAGGAAAAAEEEAEEEEKKKEEEDDDDGLFNLFD >ONIVA08G08610.1 pep chromosome:AWHD00000000:8:8335925:8339013:-1 gene:ONIVA08G08610 transcript:ONIVA08G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase epsilon chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G51650) TAIR;Acc:AT1G51650] MSATTAAVPFWRAAGMTYIGYSNVCAALVRRCLKEPHKSEAASREKVHFAISKWADGKQEKPTVRTDDE >ONIVA08G08600.1 pep chromosome:AWHD00000000:8:8331440:8331664:1 gene:ONIVA08G08600 transcript:ONIVA08G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLGAGAPLWFFVVSALSLSRHQRPAQGLSGLRLTTSNTDENVRIHSATIGCAPFTTGYNGESTMKPTAMAGG >ONIVA08G08590.1 pep chromosome:AWHD00000000:8:8328430:8332707:-1 gene:ONIVA08G08590 transcript:ONIVA08G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKSQCVHLEEVAAGEVMGGLPMDQAFVQAPEHRAKPSVAEADGIPVIDLSPLFAADGVDVDALAAEVGRASQDWGFFVVVRHGVPEEVVARAAEAQRAFFALPPARRAAVARSEAAQMGYYASDHTQNVRDWKEAFDLVPTRHSLLPPPSAVFLTKFLSREAMEEYGEAVEELAFKLLELIARSLGLRPDRLHGFFEDHQTTFIRLNHYPPCPSPDLALGLGHHKDAGALTVLYQDAVGGLDVRRRCDGEWVRVRPIPQSFIINIGDIIQVWSNDRYESVEHRVVVNVEKERFSIPFFFYPASYTMVEPLEEVVREESPARYNPYSWGEFFSARKNGNFKKLDVDYV >ONIVA08G08590.2 pep chromosome:AWHD00000000:8:8328430:8332707:-1 gene:ONIVA08G08590 transcript:ONIVA08G08590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKSQCVHLEEVAAGEVMGGLPMDQAFVQAPEHRAKPSVAEADGIPVIDLSPLFAADGVDVDALAAEVGRASQDWGFFVVVRHGVPEEVVARAAEAQRAFFALPPARRAAVARSEAAQMGYYASDHTQNVRDWKEAFDLVPTRHSLLPPPSAVVLDNKWPDDLPGFREAMEEYGEAVEELAFKLLELIARSLGLRPDRLHGFFEDHQTTFIRLNHYPPCPSPDLALGLGHHKDAGALTVLYQDAVGGLDVRRRCDGEWVRVRPIPQSFIINIGDIIQVWSNDRYESVEHRVVVNVEKERFSIPFFFYPASYTMVEPLEEVVREESPARYNPYSWGEFFSARKNGNFKKLDVDYV >ONIVA08G08580.1 pep chromosome:AWHD00000000:8:8310866:8319497:-1 gene:ONIVA08G08580 transcript:ONIVA08G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I994] MSSSASEQPRGDQVWSSSSPPRSSTPPPQPLVGGLIESLSFRSCGFGRAAVSAFEKEDLRARAALPQRLRAAVHAALRAKDPSAGAFAYVAGNAAARGGRGGGGGEVSAANPWFEVAHDDAPESPLVAFVNPRSGGRLGPVLKTRLQELIGEDQAALVTSGWLRRSADLHNALIRALAGSARPHLALPLYAHLLRAGHHPTPHTLPSLLKSLALSPAVPGARGLALAVHAHAVKLGLAGFLLVSNALIRVHAGLLGRLSDALLLLRTAASVDASTFNTLITAYARAGRVTDARALFDEMPARNAVSWSAMVNGYVQAGDGREALGLFARMQADGVIPDDTVLVGVLAACAQLGVLEQGKWVHGYLKANNIRITVFLGTALVDMYAKCGEMQLAMEVFKVMKEKNVLAWTTMIKGLAMHGRGSEALELFSQMERLGVKPDDIAFIGALCACTHTGLVDKGRELFDSMVRKYGIKPKIEHYGCMVDLLARNGLLNEAREMVEKMPMKPDALIWGALMAGCRFHKNVELAEYVVKHWIELEPDKSGAYVLLGNIYAASGRHASAREIRHLMREKGVDKTPGCSTVEIKGVIHQFIAGDLSHPFIEEILSKWDEIDSRIRLEEGYVPDKKEVLLDIEEEEKENALSRHSEKMAIAFALINTSDDMPIRIVKNLRVCHDCHHVTKLISKVFDLTIVKPSDFVQYVLGCLEQLADAGDHSAKSIRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPIPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFGSVSCLDSWHIVVSMPERRDEEEEELDFPHSLRNLGECTFYDDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHQLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIIRLSIKRLDSSEWENIPRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGQWRDAYMQMDGEPWKQPLDHEYSTFVDIKKVPYPSLIINGGDRDAAIYPCALIQVLN >ONIVA08G08580.2 pep chromosome:AWHD00000000:8:8310866:8319497:-1 gene:ONIVA08G08580 transcript:ONIVA08G08580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I994] MSSSASEQPRGDQVWSSSSPPRSSTPPPQPLVGGLIESLSFRSCGFGRAAVSAFEKEDLRARAALPQRLRAAVHAALRAKDPSAGAFAYVAGNAAARGGRGGGGGEVSAANPWFEVAHDDAPESPLVAFVNPRSGGRLGPVLKTRLQELIGEDQVFDLTIVKPSDFVQYVLGCLEQLADAGDHSAKSIRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPIPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFGSVSCLDSWHIVVSMPERRDEEEEELDFPHSLRNLGECTFYDDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHQLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIIRLSIKRLDSSEWENIPRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGQWRDAYMQMDGEPWKQPLDHEYSTFVDIKKVPYPSLIINGGDRDAAIYPCALIQVLN >ONIVA08G08580.3 pep chromosome:AWHD00000000:8:8310866:8319497:-1 gene:ONIVA08G08580 transcript:ONIVA08G08580.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I994] MSSSASEQPRGDQVWSSSSPPRSSTPPPQPLVGGLIESLSFRSCGFGRAAVSAFEKEDLRARAALPQRLRAAVHAALRAKDPSAGAFAYVAGNAAARGGRGGGGGEVSAANPWFEVAHDDAPESPLVAFVNPRSGGRLGPVLKTRLQELIGEDQAALVTSGWLRRSADLHNALIRALAGSARPHLALPLYAHLLRAGHHPTPHTLPSLLKSLALSPAVPGARGLALAVHAHAVKLGLAGFLLVSNALIRVHAGLLGRLSDALLLLRTAASVDASTFNTLITAYARAGRVTDARALFDEMPARNAVSWSAMVNGYVQAGDGREALGLFARMQADGVIPDDTVLVGVLAACAQLGVLEQGKWVHGYLKANNIRITVFLGTALVDMYAKCGEMQLAMEVFKVMKEKNVLAWTTMIKGLAMHGRGSEALELFSQMERLGVKPDDIAFIGALCACTHTGLVDKGRELFDSMVRKYGIKPKIEHYGCMVDLLARNGLLNEAREMVEKMPMKPDALIWGALMAGCRFHKNVELAEYVVKHWIELEPDKSGAYVLLGNIYAASGRHASAREIRHLMREKGVDKTPGCSTVEIKGVIHQFIAGDLSHPFIEEILSKWDEIDSRIRLEEGYVPDKKEVLLDIEEEEKENALSRHSEKMAIAFALINTSDDMPIRIVKNLRVCHDCHHVTKLISKVFDLTIVKPSDFVQYVLGCLEQLADAGDHSAKSIRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPIPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFGSVSCLDSWHIVVSMPERRDEEEEELDFPHSLRNLGECMDAQVAYGFHQLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIIRLSIKRLDSSEWENIPRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGQWRDAYMQMDGEPWKQPLDHEYSTFVDIKKVPYPSLIINGGDRDAAIYPCALIQVLN >ONIVA08G08580.4 pep chromosome:AWHD00000000:8:8312073:8319497:-1 gene:ONIVA08G08580 transcript:ONIVA08G08580.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I994] MSSSASEQPRGDQVWSSSSPPRSSTPPPQPLVGGLIESLSFRSCGFGRAAVSAFEKEDLRARAALPQRLRAAVHAALRAKDPSAGAFAYVAGNAAARGGRGGGGGEVSAANPWFEVAHDDAPESPLVAFVNPRSGGRLGPVLKTRLQELIGEDQAALVTSGWLRRSADLHNALIRALAGSARPHLALPLYAHLLRAGHHPTPHTLPSLLKSLALSPAVPGARGLALAVHAHAVKLGLAGFLLVSNALIRVHAGLLGRLSDALLLLRTAASVDASTFNTLITAYARAGRVTDARALFDEMPARNAVSWSAMVNGYVQAGDGREALGLFARMQADGVIPDDTVLVGVLAACAQLGVLEQGKWVHGYLKANNIRITVFLGTALVDMYAKCGEMQLAMEVFKVMKEKNVLAWTTMIKGLAMHGRGSEALELFSQMERLGVKPDDIAFIGALCACTHTGLVDKGRELFDSMVRKYGIKPKIEHYGCMVDLLARNGLLNEAREMVEKMPMKPDALIWGALMAGCRFHKNVELAEYVVKHWIELEPDKSGAYVLLGNIYAASGRHASAREIRHLMREKGVDKTPGCSTVEIKGVIHQFIAGDLSHPFIEEILSKWDEIDSRIRLEEGYVPDKKEVLLDIEEEEKENALSRHSEKMAIAFALINTSDDMPIRIVKNLRVCHDCHHVTKLISKVFDLTIVKPSDFVQYVLGCLEQLADAGDHSAKSIRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPIPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFGSVSCLDSWHIVVSMPERRDEEEEELDFPHSLRNLGECTFYDDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHQLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIIRLSIKRLDSSEWENIPVPSRQVEFQI >ONIVA08G08580.5 pep chromosome:AWHD00000000:8:8310866:8319497:-1 gene:ONIVA08G08580 transcript:ONIVA08G08580.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I994] MSSSASEQPRGDQVWSSSSPPRSSTPPPQPLVGGLIESLSFRSCGFGRAAVSAFEKEDLRARAALPQRLRAAVHAALRAKDPSAGAFAYVAGNAAARGGRGGGGGEVSAANPWFEVAHDDAPESPLVAFVNPRSGGRLGPVLKTRLQELIGEDQVFDLTIVKPSDFVQYVLGCLEQLADAGDHSAKSIRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPIPPVAVIPLGTGNDLSRSFGWDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHQLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIIRLSIKRLDSSEWENIPRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGQWRDAYMQMDGEPWKQPLDHEYSTFVDIKKVPYPSLIINGGDRDAAIYPCALIQVLN >ONIVA08G08580.6 pep chromosome:AWHD00000000:8:8312073:8319497:-1 gene:ONIVA08G08580 transcript:ONIVA08G08580.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I994] MSSSASEQPRGDQVWSSSSPPRSSTPPPQPLVGGLIESLSFRSCGFGRAAVSAFEKEDLRARAALPQRLRAAVHAALRAKDPSAGAFAYVAGNAAARGGRGGGGGEVSAANPWFEVAHDDAPESPLVAFVNPRSGGRLGPVLKTRLQELIGEDQVFDLTIVKPSDFVQYVLGCLEQLADAGDHSAKSIRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPIPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFGSVSCLDSWHIVVSMPERRDEEEEELDFPHSLRNLGECTFYDDGTAEGELPETVSCFDGVFYNYFSIGMDAQVAYGFHQLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIIRLSIKRLDSSEWENIPVPSRQVEFQI >ONIVA08G08580.7 pep chromosome:AWHD00000000:8:8312073:8319497:-1 gene:ONIVA08G08580 transcript:ONIVA08G08580.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I994] MSSSASEQPRGDQVWSSSSPPRSSTPPPQPLVGGLIESLSFRSCGFGRAAVSAFEKEDLRARAALPQRLRAAVHAALRAKDPSAGAFAYVAGNAAARGGRGGGGGEVSAANPWFEVAHDDAPESPLVAFVNPRSGGRLGPVLKTRLQELIGEDQAALVTSGWLRRSADLHNALIRALAGSARPHLALPLYAHLLRAGHHPTPHTLPSLLKSLALSPAVPGARGLALAVHAHAVKLGLAGFLLVSNALIRVHAGLLGRLSDALLLLRTAASVDASTFNTLITAYARAGRVTDARALFDEMPARNAVSWSAMVNGYVQAGDGREALGLFARMQADGVIPDDTVLVGVLAACAQLGVLEQGKWVHGYLKANNIRITVFLGTALVDMYAKCGEMQLAMEVFKVMKEKNVLAWTTMIKGLAMHGRGSEALELFSQMERLGVKPDDIAFIGALCACTHTGLVDKGRELFDSMVRKYGIKPKIEHYGCMVDLLARNGLLNEAREMVEKMPMKPDALIWGALMAGCRFHKNVELAEYVVKHWIELEPDKSGAYVLLGNIYAASGRHASAREIRHLMREKGVDKTPGCSTVEIKGVIHQFIAGDLSHPFIEEILSKWDEIDSRIRLEEGYVPDKKEVLLDIEEEEKENALSRHSEKMAIAFALINTSDDMPIRIVKNLRVCHDCHHVTKLISKVFDLTIVKPSDFVQYVLGCLEQLADAGDHSAKSIRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPIPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFGSVSCLDSWHIVVSMPERRDEEEEELDFPHSLRNLGECMDAQVAYGFHQLRDEKPFLASGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLTNIIRLSIKRLDSSEWENIPVPSRQVEFQI >ONIVA08G08580.8 pep chromosome:AWHD00000000:8:8310866:8311889:-1 gene:ONIVA08G08580 transcript:ONIVA08G08580.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I994] MLYFSVRAIVALNLHNYASGRNPWGNLKPEYLEKRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGQWRDAYMQMDGEPWKQPLDHEYSTFVDIKKVPYPSLIINGGDRDAAIYPCALIQVLN >ONIVA08G08580.9 pep chromosome:AWHD00000000:8:8311081:8311889:-1 gene:ONIVA08G08580 transcript:ONIVA08G08580.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I994] MLYFSVRAIVALNLHNYASGRNPWGNLKPEYLEKRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGQWRDAYMQMDGEPWKQPLDHEYSTFVDIKKVPYPSLIINGGDR >ONIVA08G08570.1 pep chromosome:AWHD00000000:8:8306189:8308919:1 gene:ONIVA08G08570 transcript:ONIVA08G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFALFSSAHHAIAAKAALQDLVFDAETKTALHTEMAKKNLFVKRGVGNDANAMDQSKRLRTGGDYTHSPYAAPPYHPPPPAVSMWGTPGYIAAPPPYNHYAAYSVPPVAMTSPSPVPGPTAYAPVQNTKDNPPCNTLFIGNLGETVIEEELRSLFSVQPGYKQMKVLRQDRNTVCFIEFEDVNAASAVHHNLQGAVIPSSGRGGMRIQYPLFAQ >ONIVA08G08560.1 pep chromosome:AWHD00000000:8:8294850:8295353:-1 gene:ONIVA08G08560 transcript:ONIVA08G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMVAAPPPSPAMAVSPWHSPVPYLFGGLAAMLGLITLALLILACSYWKLNNYLGTSHSSSAAAGDGGDGDGGSKSPATAAAAFPVVYGDLVAVVMAGERMPTFLAAPIVRRPPSTDTSSSAAAAAAVAEVASPENGCDAAEGGAAASRPPPQPVAARQAVQLAQL >ONIVA08G08550.1 pep chromosome:AWHD00000000:8:8283818:8287595:-1 gene:ONIVA08G08550 transcript:ONIVA08G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57670) TAIR;Acc:AT5G57670] MDTIEECSVDDGRRLMLMGSRILVGVPNNSRGCSELLSWAIRVVARPNDSVVAVHVLGGRGRKNRLQKANAFVIYMLGEFVETCEAKQVNLEAKVVCSPSIWRALTQEATLTDANFLIVGRSGNACRRNHFEVANYCYMNAPRNCSVIAVGRDGLPQSAARFKSRSFDDSSIFSSSTWSRRFPPLQKLLRSNSARKPAQSTGEATEDKSSPRAVLDGPEAGEQHVTEECYSTTSSNEVSRRGQNGIWRRLSDMKLWLPFLRSIDDENVKGGDNSSNYTEDQKPAWRCFSYQEISVATNDFHPDNMAGRGGYAEVYKGILSDGQCVAVKRLAQGKPTEQKEKEFLTELGIQGHVCHPNTAYLLGCCVENGLYLVFEFCENGTLASALHGKSAKILEWPLRYKIAVGVARGLQYLHMFCRHRIIHRDIKASNVLLGDDFEPQISDFGLAKWLPKQWTHHSVVPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKLSLLQWAKPLLEAGQVTELADPNLGGDYDKDQLKRMVAVASRCIMRPAMWRPSMAEVLHFLSTDECLKEPEKWNIPEDEVDDMDDCTMFSESLSP >ONIVA08G08540.1 pep chromosome:AWHD00000000:8:8280914:8281822:1 gene:ONIVA08G08540 transcript:ONIVA08G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGSASPASCGGAACGVCGGAATVYCAADAAALCVPCDAAVHAANPLASRHDRVPLAVAMAAASSGVYDHLFAPDDDAASSWAAAAAAGAAVQGQGQGSPNDSSSSFTNDSAGGGGGGGAERSLFDLLSDVDIMSCGGGGLASSFDGAAAPPLWLHPGQLAALTPWSPADSVVVPTSAAGAVAAAAAAREERVRRYREKRKNRKFQKTIRYASRKAYAEARPRIKGRFVKRATTAAASSSSDDDSTAAAGVSGAGGAGAGATEEAKFWLSFSDDGRADGVGFYMDSTTAATAAYGVVPTF >ONIVA08G08530.1 pep chromosome:AWHD00000000:8:8264155:8269414:1 gene:ONIVA08G08530 transcript:ONIVA08G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 [Source:Projected from Arabidopsis thaliana (AT2G24765) TAIR;Acc:AT2G24765] MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGAFAYWLLAFNWQEDELKGAVVLVYANKQDLPGALDDAAITESLELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNALKSKSS >ONIVA08G08520.1 pep chromosome:AWHD00000000:8:8254235:8258147:-1 gene:ONIVA08G08520 transcript:ONIVA08G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYRIMIDINE B [Source:Projected from Arabidopsis thaliana (AT3G20330) TAIR;Acc:AT3G20330] MAAARATLPLPRVPAPSPRPQLRPFPSLPARRGAVACGAGSAAAGVAASLRLGDVIEAQQFDRDALTEIFEVAREMEALERGSSSRGAGRSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAAATADIPVINAGDGPGQHPTQALLDVYTIEREIGTLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKEYLTSQGVEWEESSDLLEVASKCDVIYQTRIQKERFGERIDLYEAARGKYIVDKKVLDVLPKHAVIMHPLPRLDEITIDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGR >ONIVA08G08510.1 pep chromosome:AWHD00000000:8:8251408:8253561:1 gene:ONIVA08G08510 transcript:ONIVA08G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWSPEEDAMLKNYIEEHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSIICSLYISIGSRWSIIAAQLPGRTDNDVKNYWNTKLKKRLLGRRKDRGGGHHHRSQSTADDLPAGGDGGMNDGGGGGGERSLSASAMERIQLCMQLQELQNPLSIHHNPLLSHQWPSKATIDDQNHNNVTVAEHGMSSSVSDHHRLDGQQLESGAGAAAMQQASPSSGGENSNVVVAIEAELQELLYAGGGAIVDGGAPPQGDVDWWSYDQGKQSPVTCWDFTPETSSIFQDYATVYDI >ONIVA08G08500.1 pep chromosome:AWHD00000000:8:8243920:8245221:-1 gene:ONIVA08G08500 transcript:ONIVA08G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPSVAAPHQRAASTSLAETTEEHVAHHKKEADPRKRRPRRTQGDMKERHDNASKKGNGAHGRRCRRTGSRHGKAFASIFTSPTQAPPHQWPQNSPRRPTSSHTLTITNGKDGPLATLETPSIRRKLLQQAGASALPLEFSTTRIGSGQRRGGGTERREKHSADNEEVTTADVKPHSCFAPTQAIVPPTPHPHVTATRSRPADRLPWLSGVEVTDPATEQTARAVQAGDQPGGGHKPRRRKPAVHATAATHRRRYHCATATDADADADAVKCERAAVARQTAPLPLRRHPSRRCRCLPTAMPPLLAAGLRAIQCRRRSPPPPAATSGRPARSGRSRPGSRRRSCRRRLIGGFLGLREAPPPPSLRPRGFAGGRSGGGEAEL >ONIVA08G08490.1 pep chromosome:AWHD00000000:8:8236690:8241361:1 gene:ONIVA08G08490 transcript:ONIVA08G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVPARARSVGGDPRSEGARPGPKTGAAAGRGGAEDALHVFDELLRQGRGGGGGASIIRGLNRALAAVARDSPAAAVSGFNRMARSSDDAVTPTLHTYGILIGCCCRVGRLDLGFAGLGNVIKKGYRVEPIIFTPLLKSLCAEKRTSNAMNIVLRRMTELGCAPHVFSYNILLKGLCHESRSQEALELLHMMADDGGDCPPDVVSYSTIIDGLFKEGNSDKAYSTYHEMLDRGIFPDVVTYNTIVSALCKAQAMDKAMDVLNRMVKNGAMPDCITYNSIVHGYCSSGQSKEAIGILEKMCGDGVEPDAVTYTSLMDYLCKNGRCIEARKILDSMIKRGLKPNVITYSTLLHGYATKGVLVEMRDLLDLMVRNGIQPDRHVFSILICACTKHQNVDVAMFVFSKMRQQGLTPDVVTYGTLIDGLCKSGKVDDAMLNLEQMISEGVTPNIVIFNTLIHGLCTSDKWEKAEELIFEMINRGICPDTISFNSIIDNLCKEGRFIESEKLFGLMGRIDVKVDVITYSKMDEAMKLLSGMVSVGLKPNTVTYSTLINGYCKISRMEDALSLFRQMTGRTRAAKEIYVRIIKRGIQLEISTCNIVLQGLCKNNLTDEALQMFQNLCLMDVKLETRTFNIMIGSLLRSGRKDESKDLFAALSANGLAPDIVTYTLMIENLIEDGLLEESDNLFLSMEKNGCVPNSRMLNCIVRKLLHKGEIGRAGIYLTKIDKNDFSLEASTAELLILLVSSGKYNQHMKGLPEKWAARVLVNSTEACWHCRKEVVLPSHVATFSQGHINTSGWVQQSECHFQASGVPDDQISSKNSESILALEFNSLQMHVDAPKIVLPHSKKKELRYLSS >ONIVA08G08490.2 pep chromosome:AWHD00000000:8:8236690:8241208:1 gene:ONIVA08G08490 transcript:ONIVA08G08490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVPARARSVGGDPRSEGARPGPKTGAAAGRGGAEDALHVFDELLRQGRGGGGGASIIRGLNRALAAVARDSPAAAVSGFNRMARSSDDAVTPTLHTYGILIGCCCRVGRLDLGFAGLGNVIKKGYRVEPIIFTPLLKSLCAEKRTSNAMNIVLRRMTELGCAPHVFSYNILLKGLCHESRSQEALELLHMMADDGGDCPPDVVSYSTIIDGLFKEGNSDKAYSTYHEMLDRGIFPDVVTYNTIVSALCKAQAMDKAMDVLNRMVKNGAMPDCITYNSIVHGYCSSGQSKEAIGILEKMCGDGVEPDAVTYTSLMDYLCKNGRCIEARKILDSMIKRGLKPNVITYSTLLHGYATKGVLVEMRDLLDLMVRNGIQPDRHVFSILICACTKHQNVDVAMFVFSKMRQQGLTPDVVTYGTLIDGLCKSGKVDDAMLNLEQMISEGVTPNIVIFNTLIHGLCTSDKWEKAEELIFEMINRGICPDTISFNSIIDNLCKEGRFIESEKLFGLMGRIDVKVDVITYSKMDEAMKLLSGMVSVGLKPNTVTYSTLINGYCKISRMEDALSLFRQMTGRTRAAKEIYVRIIKRGIQLEISTCNIVLQGLCKNNLTDEALQMFQNLCLMDVKLETRTFNIMIGSLLRSGRKDESKDLFAALSANGLAPDIVTYTLMIENLIEDGLLEESDNLFLSMEKNGCVPNSRMLNCIVRKLLHKGEIGRAGIYLTKIDKNDFSLEASTAELLILLVSSGKYNQHMKGLPEKWAARVLVNSTEACWHCRKEVVLPSHVATFSQTETDVLTNKPLVKSNGFLSLLGLRSTVSKQGNDREIKITRHLCKCPTYQTAEPQECLMTRSAAKTLKY >ONIVA08G08480.1 pep chromosome:AWHD00000000:8:8221113:8223551:-1 gene:ONIVA08G08480 transcript:ONIVA08G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSSGSSQGTRSSRSEDDLNLQAQMEKKRKRRKESNRESARRSRMRKQQHLDELTSQVNQLKNQNQQLSMALSLTTQNLVAVQAQNSVLQTQELELQSRLCALTDILMCMNNTSATPTPTIPATTTSACDIFGASSWNQPPIDLYQYQAELSC >ONIVA08G08470.1 pep chromosome:AWHD00000000:8:8202730:8207879:1 gene:ONIVA08G08470 transcript:ONIVA08G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNLQREHYYFVLSGSSFLMQWRSSDLPRRQGPCIPAMCVASPWILDGIQWKNMQYWSSFMPAKEHDDAPADDVEPGSMLFQSSGHPSSLLALVHSLHVFSFA >ONIVA08G08470.2 pep chromosome:AWHD00000000:8:8202730:8207879:1 gene:ONIVA08G08470 transcript:ONIVA08G08470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNLQREHYYFVLSGSSFLMQWRSSDLPRRQGPCIPAMCVASPWILDGIQWKNMQYWSSFMPAKEHDDAPADDVEPGSMLFQSSGHPSSLLALVHSLHVFSFA >ONIVA08G08470.3 pep chromosome:AWHD00000000:8:8203392:8207879:1 gene:ONIVA08G08470 transcript:ONIVA08G08470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIRVVVVSMSNAHEQSAGMYGHLILSAARRMVLNHGAAPGYCASAPIKGPSMITLLTMEKVKKKRGKLQNVLREQKARLYIIRRCVVMLLCWSD >ONIVA08G08470.4 pep chromosome:AWHD00000000:8:8203884:8207879:1 gene:ONIVA08G08470 transcript:ONIVA08G08470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIRVVVVSMSNAHEQSAGMYGHLILSAARRMVLNHGAAPGYCASAPIKGPSMITLLTMEKVKKKRGKLQNVLREQKARLYIIRRCVVMLLCWSD >ONIVA08G08460.1 pep chromosome:AWHD00000000:8:8191845:8194387:1 gene:ONIVA08G08460 transcript:ONIVA08G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASCCCRRHLAVAAPRAPRLAAAAAVVVASSASGAAAGEPVRRIRRRAPGPQPTQPLKSAPPTQPSVAEVRRAIGLGDDDPSASSRRGERQSAFMELIASTPIGQPEGHAERRLREAAEWVVDTTEDRACQAQKSLLLLCMKIFPLWLLLLSIALGIIKLPFDIPGLDNLLICGHLKEIAVDMIWTIEVIAVVLALPKFGKLEMKNVWFPTKMNQKDSKSAYYCHISCAILSFLSTYNFFQYISHGSMNSHFLLKDSYLVINLTWVDAALTNDKMIATYLVPYLK >ONIVA08G08450.1 pep chromosome:AWHD00000000:8:8174161:8185204:-1 gene:ONIVA08G08450 transcript:ONIVA08G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome and flowering time regulatory protein (PFT1) [Source:Projected from Arabidopsis thaliana (AT1G25540) TAIR;Acc:AT1G25540] MAAAAAERQLVVAVEGTAALGPYWPVTVADYVEKIVRSFCAHEMAGQKLAGTPPELALVVFHTHGPYSAFCVQRSGWTKDMNVFLSWLSGISFSGGGFSEAAISEGLAEALMILQGSSSNSQNHQSHEVQKHCILVAASNPYPLPTPVYRPLVQSSDHKENNDGAKESCLADAETVAKSFAQCSVSLSVVSPKQLPTLKAIYNAAKRNPRAADPSVDHAKNPHFLVLLSDNFLEARTALSRPLPGNLVTNHPITKMDTAATSVPVPTSNGNPSVNGPMLTRQPNGVVANIKTEPTTLPPMVSAPAFSHVTPVANGVSQGLSSVQSPSPSLISQETNLANDSVQEHKPLINPIQQSIRPGGPANVSILNNLSQHRSVATIISGGMPGIPMSGTGQSIGSQQVVQNTAFGSNTPITGNSNIAVSSSLGGIQSNIGISGPPVTQGGSMGSTQLGQGGINTNQNMISSLGTTTVSSAPAMMPTPGMAQQAGVNSLGVTNSSAMNMPIVQHPNAQQQQQQQQQQQQQQPPPKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASETLAADWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAGRLIGMLFPGDMVVFKPQVPTQQPPMQQQQLQQQQNQLQQQNQLHQQHQLQPQNQLQQQHQLQQQLQQQQLQQHMQLQTQGLPLQQQQSQGHPLQQQQMQQMQQQQQQQQIQQMQQQQQMQQMQQQQQQPQQLQQQQQPQMVGTGMGQQQPQMQQPQMVGAGMGQQYMQGHGRTVQQMMQGKMAPQGPGSMPGAGSMPGGGYLS >ONIVA08G08450.2 pep chromosome:AWHD00000000:8:8174161:8185204:-1 gene:ONIVA08G08450 transcript:ONIVA08G08450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome and flowering time regulatory protein (PFT1) [Source:Projected from Arabidopsis thaliana (AT1G25540) TAIR;Acc:AT1G25540] MAAAAAERQLVVAVEGTAALGPYWPVTVADYVEKIVRSFCAHEMAGQKLAGTPPELALVVFHTHGPYSAFCVQRSGWTKDMNVFLSWLSGISFSGGGFSEAAISEGLAEALMILQGSSSNSQNHQSHEVQKHCILVAASNPYPLPTPVYRPLVQSSDHKENNDGAKESCLADAETVAKSFAQCSVSLSVVSPKQLPTLKAIYNAAKRNPRAADPSVDHAKNPHFLVLLSDNFLEARTALSRPLPGNLVTNHPITKMDTAATSVPVPTSNGNPSVNGPMLTRQPNGVVANIKTEPTTLPPMVSAPAFSHVTPVANGVSQGLSSVQSPSPSLISQETNLANDSVQEHKPLINPIQQSIRPGGPANVSILNNLSQHRSVATIISGGMPGIPMSGTGQSIGSQQVVQNTAFGSNTPITGNSNIAVSSSLGGIQSNIGISGPPVTQGGSMGSTQLGQGGINTNQNMISSLGTTTVSSAPAMMPTPGMAQQAGVNSLGVTNSSAMNMPIVQHPNAQQQQQQQQQQQQQQPPPKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASETLAADWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAGRLIGMLFPGDMVVFKPQVPTQQPPMQQQQLQQQQNQLQQQNQLHQQHQLQPQNQLQQQHQLQQQLQQQQLQQHMQLQTQGLPLQQQQSQGHPLQQQQMQQMQQQQQQQQIQQMQQQQQMQQMQQQQQQPQQLQQQQQPQMVGTGMGQQQPQMVGTGMGQQQPQMVGSGMGQQQPQMVGAGMGQQQPQMVGAGMGQQQPQMVGAGMGQQYMQGHGRTVQQMMQGKMAPQGPGSMPGAGSMPGGGYLS >ONIVA08G08450.3 pep chromosome:AWHD00000000:8:8174161:8185204:-1 gene:ONIVA08G08450 transcript:ONIVA08G08450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome and flowering time regulatory protein (PFT1) [Source:Projected from Arabidopsis thaliana (AT1G25540) TAIR;Acc:AT1G25540] MAAAAAERQLVVAVEGTAALGPYWPVTVADYVEKIVRSFCAHEMAGQKLAGTPPELALVVFHTHGPYSAFCVQRSGWTKDMNVFLSWLSGISFSGGGFSEAAISEGLAEALMILQGSSSNSQNHQSHEVQKHCILVAASNPYPLPTPVYRPLVQSSDHKENNDGAKESCLADAETVAKSFAQCSVSLSVVSPKQLPTLKAIYNAAKRNPRAADPSVDHAKNPHFLVLLSDNFLEARTALSRPLPGNLVTNHPITKMDTAATSVPVPTSNGNPSVNGPMLTRQPNGVVANIKTEPTTLPPMVSAPAFSHVTPVANGVSQGLSSVQSPSPSLISQETNLANDSVQEHKPLINPIQQSIRPGGPANVSILNNLSQHRSVATIISGGMPGIPMSGTGQSIGSQQVVQNTAFGSNTPITGNSNIAVSSSLGGIQSNIGISGPPVTQGGSMGSTQLGQGGINTNQNMISSLGTTTVSSAPAMMPTPGMAQQAGVNSLGVTNSSAMNMPIVQHPNAQQQQQQQQQQQQQQPPPKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASETLAADWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAGRLIGMLFPGLQQQQLQQHMQLQTQGLPLQQQQSQGHPLQQQQMQQMQQQQQQQQIQQMQQQQQMQQMQQQQQQPQQLQQQQQPQMVGTGMGQQQPQMVGTGMGQQQPQMVGSGMGQQQPQMVGAGMGQQQPQMVGAGMGQQQPQMVGAGMGQQYMQGHGRTVQQMMQGKMAPQGPGSMPGAGSMPGGGYLS >ONIVA08G08440.1 pep chromosome:AWHD00000000:8:8160967:8175698:1 gene:ONIVA08G08440 transcript:ONIVA08G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHQPRARLSPDEQLAAEESFALYCKPVELYNIIQRRSIKNPAFLQRCLLYKIHARRKKRSLITISLSGGTNKELRAQNIFPLYVLLARPTNNVSLEGHSPIYRFSRACLLTSFHEFGNKDYTEATFVIPDVKNLATSRACSLNIILISCGRAEQTFDDNNCSGNHVEGSTLQKLEGKCFWGKIPIDLLASSLGNCVSLSLGHTVEMSSTVEMTPSFLEPKFLEDDSCLTFCSQKVDATGSFQLQVSISAQEAGAKDMSESPYSVYSYNDVPPSSLTHIIRLRSGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKISEECQAVNVSLKTDSWRTELLAEGVDPRHQTFSYRSRFKKRKRVEISSDKIRHVHPHIVDSGSPEDAQAGSEDDYVQRENGSSVAHASVDPANSLHGSNLSAPTVLQFGKTRKLSVERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQRVLADGHIPWACEAFSQFHGQELVQNPALLWCWRFFMVKLWNHSLLDARAMNACNTILEGYLNGSSDPKKN >ONIVA08G08440.2 pep chromosome:AWHD00000000:8:8161423:8175698:1 gene:ONIVA08G08440 transcript:ONIVA08G08440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHQPRARLSPDEQLAAEESFALYCKPVELYNIIQRRSIKNPAFLQRCLLYKIHARRKKRSLITISLSGGTNKELRAQNIFPLYVLLARPTNNVSLEGHSPIYRFSRACLLTSFHEFGNKDYTEATFVIPDVKNLATSRACSLNIILISCGRAEQTFDDNNCSGNHVEGSTLQKLEGKCFWGKIPIDLLASSLGNCVSLSLGHTVEMSSTVEMTPSFLEPKFLEDDSCLTFCSQKVDATGSFQLQVSISAQEAGAKDMSESPYSVYSYNDVPPSSLTHIIRLRSGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKISEECQAVNVSLKTDSWRTELLAEGVDPRHQTFSYRSRFKKRKRVEISSDKIRHVHPHIVDSGSPEDAQAGSEDDYVQRENGSSVAHASVDPANSLHGSNLSAPTVLQFGKTRKLSVERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQRVLADGHIPWACEAFSQFHGQELVQNPALLWCWRFFMVKLWNHSLLDARAMNACNTILEGYLNGSSDPKKN >ONIVA08G08440.3 pep chromosome:AWHD00000000:8:8160967:8174865:1 gene:ONIVA08G08440 transcript:ONIVA08G08440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHQPRARLSPDEQLAAEESFALYCKPVELYNIIQRRSIKNPAFLQRCLLYKIHARRKKRSLITISLSGGTNKELRAQNIFPLYVLLARPTNNVSLEGHSPIYRFSRACLLTSFHEFGNKDYTEATFVIPDVKNLATSRACSLNIILISCGRAEQTFDDNNCSGNHVEGSTLQKLEGKCFWGKIPIDLLASSLGNCVSLSLGHTVEMSSTVEMTPSFLEPKFLEDDSCLTFCSQKVDATGSFQLQVSISAQEAGAKDMSESPYSVYSYNDVPPSSLTHIIRLRSGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKISEECQAVNVSLKTDSWRTELLAEGVDPRHQTFSYRSRFKKRKRVEISSDKIRHVHPHIVDSGSPEDAQAGSEDDYVQRENGSSVAHASVDPANSLHGSNLSAPTVLQFGKTRKLSVERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRRMAIFPGHAKHSRSFMDKNLYKIQLYYAVGAAAAAVASAASAAAAAFAESAAAAVVAAFAASAAAEADGLGIAAAEAEGLVSAVACVVVVAAVVTVVAAGVAVAAGSVVAAGAAGGADSAVVVGSAAVVTVVAALVAAGLVPAV >ONIVA08G08440.4 pep chromosome:AWHD00000000:8:8160967:8174865:1 gene:ONIVA08G08440 transcript:ONIVA08G08440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHQPRARLSPDEQLAAEESFALYCKPVELYNIIQRRSIKNPAFLQRCLLYKIHARRKKRSLITISLSGGTNKELRAQNIFPLYVLLARPTNNVSLEGDELSKLLMTITVLGTMWKALLSKPKFLEDDSCLTFCSQKVDATGSFQLQVSISAQEAGAKDMSESPYSVYSYNDVPPSSLTHIIRLRSGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKISEECQAVNVSLKTDSWRTELLAEGVDPRHQTFSYRSRFKKRKRVEISSDKIRHVHPHIVDSGSPEDAQAGSEDDYVQRENGSSVAHASVDPANSLHGSNLSAPTVLQFGKTRKLSVERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRRMAIFPGHAKHSRSFMDKNLYKIQLYYAVGAAAAAVASAASAAAAAFAESAAAAVVAAFAASAAAEADGLGIAAAEAEGLVSAVACVVVVAAVVTVVAAGVAVAAGSVVAAGAAGGADSAVVVGSAAVVTVVAALVAAGLVPAV >ONIVA08G08440.5 pep chromosome:AWHD00000000:8:8174188:8174863:1 gene:ONIVA08G08440 transcript:ONIVA08G08440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAPGMLPGPCGAIFPCIICCTVLPCPCMYCCPIPAPTICGCCCPIPAPTICGCCCPIPAPTICGCCCPIPEPTICGCCCPIPVPTICGCCCPIPVPTICAVGAAAAAVASAASAAAAAFAESAAAAVVAAFAASAAAEADGLGIAAAEAEGLVSAVACVVVVAAVVTVVAAGVAVAAGSVVAAGAAGGADSAVVVGSAAVVTVVAALVAAGLVPAV >ONIVA08G08430.1 pep chromosome:AWHD00000000:8:8131663:8135045:-1 gene:ONIVA08G08430 transcript:ONIVA08G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRKATSPKSSMTSSTGPKSPVRNGGSPPHKKSTSEFRGRKNESQILRKGGQDSITLDESKRRSPTSQTSPKRSSPKHEQPLSYFRLHTEERAIKRAGFNYQVASKINTNEIIRRFEEKLSKVIEEREIKMMRKEMVHKAQLMPASTRPLTVPKEPSFLRLKCCIGGEFHRHFCYNAKAIK >ONIVA08G08420.1 pep chromosome:AWHD00000000:8:8113452:8114668:-1 gene:ONIVA08G08420 transcript:ONIVA08G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHLYQSHHHHQHVAPPTKHGGGEEDDQSMLLLSLWPPGHSSHHHQHHHHGAASSSACSSASISAAAVAAAHSGGGGGGHGAAAAASSWFNPSHYHGGSGGGGGFLFQEQQEPNVSISLSIAPPCGVSGGNAAAMAAAGSFATSPLPQQPVASSAVATAAGGGQAPSQYWIPSAAEILVGSTQFSCAVCNKTFNRFNNMQMHMWGHGSQYRKGSESLRGAITVGGAAPPASLMRLPCYCCAEGCRNNVEHPRARPLKDFRTLQTHYRRKHGARPYACRRCGKRFAVRGDWRTHEKNCGKLWFCVCGSDFKHKRSLKDHVRSFGGGHAPHIVESSSTGAGGGGGCGGAGADDDDDEYYDDDDDTVSLSRATSAHDDADMIVV >ONIVA08G08410.1 pep chromosome:AWHD00000000:8:8103430:8107172:-1 gene:ONIVA08G08410 transcript:ONIVA08G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNTAFPGGAGPCGDGITASIHRLFLSSRLPMRDTSIVGIDGADNRTLQHVWSSGDGAESMIPLIQVCKVSAPSALALRPCHIAPRPPRWRDVSASPFWTGGPTGQADMGSSQGTISGEEQAQESGCMDAKLQALYMHGHAQPDADAETQHTQRKKERERETMQRPAWQRLACIGTVPPASLNRR >ONIVA08G08400.1 pep chromosome:AWHD00000000:8:8086792:8094658:1 gene:ONIVA08G08400 transcript:ONIVA08G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRYGHPPNPLLLLRPHLASFLRRLPPLRHCSLAYGLELVADPRLRRDLCLPPMPRPAKIRKKHENVFDQLIKAIKAPVDFDLPPVLKEWKSNYYVPIKRNAYMTRKRVEDDGIFCSCTPSGSSATCDKDCQCGMLFSCCSSTCKCENKCANKPFQHRTLRKTKLIKTEKCGNGVVAEEDIKKGEFVIEYVGEVIDDRTCEQRLWKMKRQGDTNFYLCEVSSNMVIDATNKGNMSRFINHSCEPNTEMQKWTVEGETRVGIFALRDIKTGEELTYDYKFVQFGADQDCHCGSSNCRKMLGITKPVNSIVLHNGNLSQDQHVRKKRKTYLENCIGEIVRLWHRRHSMYLAASIYDFNERNGIHTLLFTDATIEEFDLTEEDWDFLPDPDGPEEVFVSIEVHLVMLVKIVGWVIN >ONIVA08G08390.1 pep chromosome:AWHD00000000:8:8070621:8071316:1 gene:ONIVA08G08390 transcript:ONIVA08G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCPSLNLSCDNTSCNLFCCHPKQHEPPPAPHAAATKPPPPPPHDDPPLKPPPQQQFITAQPPPPDEPPLKPPPTTTTTMPVILVQPPPSFYPAVLPPEPPPPRRPAATPYSNREGVDDDDSHHVAPPPRRSPAARPPSAPETPLPLPCCEKPPPPPRQPPAHDQFAAGVPNGGGYGEKHPVAVAARAVPARHYVPHKNHEVAAPPSPSPPAAAARYDDNWQIIESSRYC >ONIVA08G08380.1 pep chromosome:AWHD00000000:8:8037402:8038667:-1 gene:ONIVA08G08380 transcript:ONIVA08G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVAGGVERGGGGGGRARGRRSHPSLPVPCPCLLRQAWGSLNALVGRFRAAFEEHGGQPEANPFGARAVRLYLHEVCDCQAKARGIAYEKKRRKRPPTSSSHSQAAAAATSSVSLPLARCRHRRCRRDQPTWELVSPSLWLTVPDSLMVVLCAQVQIKSKAERKRLWMLPSDSPSTGTHLTLKDSSEISENTISW >ONIVA08G08370.1 pep chromosome:AWHD00000000:8:8021044:8046737:1 gene:ONIVA08G08370 transcript:ONIVA08G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVWLISVLSLYYNLSTSFHYHGNQVAAFDALSLLTHALLLLTASSQTINGDDLSALMSFKSLIRNDPRGVLSSWDAIGNGTNMTAPVFCQWTGVTCNDRQYPSRVTTLNLRDAGLTGTISQQLGNLTHLHVLDLSANSLDGDIPTSLGGCPKLRSLNFSRNHLSGTIPADLGKLSKLAVFDIGHNNLTYDIPKSLSNLTTLTKFIVERNFIHGQDLSWMGNLTTLTHFVLEGNSFTGNIPETFGKMVKLIYFSVQDNHLEGHVPLSIFNISSIRFFDLGFNRLSGSLPLDVGVKLPRINRFNTLANHFEGIIPPTFSNASALESLLLRGNNYHGIIPREIGIHGNLKVFSLGDNALQATRPSDWEFFISLTNCSSLRFLDIGKNNLVGAMPINIANLSNELSWIDLGANQIIGTIPEDLWKFNKLTSVNLSYNLFTGTLPPDIGRLPRLNSFYISHNRIDGKIPQSLGNITQLSYLSLSNNFLDGSIPTSLGNFTKLEVMDLSCNSLTGQIPQEILAITSLTRRLNLSNNALIGSIPTQIGLLNSLVKMDMSMNKLSGGIPEAIGSCVQLSSLNFQGNLLQGQIPKSLNNLRSLQILDLSKNSLEGRIPEFLANFTFLTNLNLSFNKLSGPVPNTGIFRNVTIVLLLGNKMLCGGPPYMQFPSCSYEDSDQASGHRLHVLIFCIVGTLISSMCCMTAYCFIKRKMKLNVVDNENLFLNETNERISYAELQAATNSFSPANLIGSGSFGHVYIGNLIIDQNLVPVAIKVLNLSQRGASRSFLTECDALRRIRHRKLVKVITVCSGSDQNGDEFKALVLEFICNGSLDEWLHANTTAVRRSYTRINLMKRLHIALDVADALEYLHHHIVPPIVHCDIKPSNILLDDDLVAHVTDFVLARIMNIAEPFKESSSFVIKGTIGYVAPEYGSGSQVSMDGDIYSYGVLLLEMFTGRRPTDSFNYGTTSLVDYVKAAYPNNILEILDASATYNGNTQDIIELVVYPIFRLGLACCKESPRERMKMNDLWMLSLIKHALLLLTATSQTINGDDLSALLSFKSLIRNDPRQVLSSWDSIGNDTNMPAHVFCRWTGVSCNNCRHPGRVTTLRLSGAGLVGTISPQLGNLTLLRVLDLSANSLDGDIPASLGSCRKLHTLNLSRNHLLGSMPADLGQSSKLAIFDAGYNNLTGNVPKSLSNLTTLMKFIIKRNIIHGKNLSWMGNLTSLTHFVLDGNRFTGNISESFGKMANLIYFNVRDNQLEGHVPLPIFNISSIRFLDLGFNRLSGSIPLDIGFKLPRINYFSTIANHFEGIIPPTFSNTSAIESLLLRGNKYHDTIPREIGIHGNLKFFALGDNMLQATRPSDWEFFTSLANCSSLQMLDVGQNNLAGAMPISIANLSKELNWIDLGGNQIIGTIHTDLWKLKLIFLNLSYNLLTGTLPPDIGRLPSINYIYISHNRITGQIPESLGNISQLSSLDLSNNLLYGSVPVSLGNLTELQKARSHGVASVAAEMVRTAASGVEWGGGGDVSNVMWGIGYNQTWEEKRAVIRLGRFTMEC >ONIVA08G08370.2 pep chromosome:AWHD00000000:8:8021044:8050115:1 gene:ONIVA08G08370 transcript:ONIVA08G08370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVWLISVLSLYYNLSTSFHYHGNQVAAFDALSLLTHALLLLTASSQTINGDDLSALMSFKSLIRNDPRGVLSSWDAIGNGTNMTAPVFCQWTGVTCNDRQYPSRVTTLNLRDAGLTGTISQQLGNLTHLHVLDLSANSLDGDIPTSLGGCPKLRSLNFSRNHLSGTIPADLGKLSKLAVFDIGHNNLTYDIPKSLSNLTTLTKFIVERNFIHGQDLSWMGNLTTLTHFVLEGNSFTGNIPETFGKMVKLIYFSVQDNHLEGHVPLSIFNISSIRFFDLGFNRLSGSLPLDVGVKLPRINRFNTLANHFEGIIPPTFSNASALESLLLRGNNYHGIIPREIGIHGNLKVFSLGDNALQATRPSDWEFFISLTNCSSLRFLDIGKNNLVGAMPINIANLSNELSWIDLGANQIIGTIPEDLWKFNKLTSVNLSYNLFTGTLPPDIGRLPRLNSFYISHNRIDGKIPQSLGNITQLSYLSLSNNFLDGSIPTSLGNFTKLEVMDLSCNSLTGQIPQEILAITSLTRRLNLSNNALIGSIPTQIGLLNSLVKMDMSMNKLSGGIPEAIGSCVQLSSLNFQGNLLQGQIPKSLNNLRSLQILDLSKNSLEGRIPEFLANFTFLTNLNLSFNKLSGPVPNTGIFRNVTIVLLLGNKMLCGGPPYMQFPSCSYEDSDQASGHRLHVLIFCIVGTLISSMCCMTAYCFIKRKMKLNVVDNENLFLNETNERISYAELQAATNSFSPANLIGSGSFGHVYIGNLIIDQNLVPVAIKVLNLSQRGASRSFLTECDALRRIRHRKLVKVITVCSGSDQNGDEFKALVLEFICNGSLDEWLHANTTAVRRSYTRINLMKRLHIALDVADALEYLHHHIVPPIVHCDIKPSNILLDDDLVAHVTDFVLARIMNIAEPFKESSSFVIKGTIGYVAPEYGSGSQLWGVVVGNVYWKKTN >ONIVA08G08370.3 pep chromosome:AWHD00000000:8:8047074:8050642:1 gene:ONIVA08G08370 transcript:ONIVA08G08370.3 gene_biotype:protein_coding transcript_biotype:protein_coding METMLSLLTHALLLQTVTSQTINGDDLSALLSFKSLIRDDPREVMSSWDTAGNATNMPAPVICQWTGVSCNNRRHPGRVTTLRLSGAGLVGTISPQLGNLTHLRVLDLSANSLDGDIPASLGGCRKLRTLNLSTNHLSGSIPDDLGQSSKLAIFDVGHNNLTGNVPKSFSNLTTLMKFIIETNFFDGKDLSWMGNLTSLTHFVLEGNRFTGNIPESFEALEYLHHHIVPPIVHCDIKPSNILLDDDMVAHVTDFGLAKIINIAEPCKESSSFVIKGTIGYVAPEYGSGSPVSMDGDIYSYGVLLLEMFTGRRPTDNFINGMASLIDYVKTAYPNNLLEILDTNATYNGNTQDMTQLVVYPIFRLGLACCKESPRERMKMDNVVKELNAIKKAFSAHTYA >ONIVA08G08370.4 pep chromosome:AWHD00000000:8:8047041:8050642:1 gene:ONIVA08G08370 transcript:ONIVA08G08370.4 gene_biotype:protein_coding transcript_biotype:protein_coding METMLSLLTHALLLQTVTSQTINGDDLSALLSFKSLIRDDPREVMSSWDTAGNATNMPAPVICQWTGVSCNNRRHPGRVTTLRLSGAGLVGTISPQLGNLTHLRVLDLSANSLDGDIPASLGGCRKLRTLNLSTNHLSGSIPDDLGQSSKLAIFDVGHNNLTGNVPKSFSNLTTLMKFIIETNFFDGKDLSWMGNLTSLTHFVLEGNRFTGNIPESFGKMANLIYFNVKDNQLEGHVPLPIFNISSIRFLDLGFNRLSGSLPLDIGFKLPRIKIFSTIANHFEGIIPPTFSNASALESLQLRGNKYHGMIPREIGIHGNLKFFALGDNVLQATRPSDLEFFTSLTNCSSLQMLDVGQNNLVGAMPINIANLSRELSWIDLSGNQLIGTIPADLWKFKLTSLNLSYNLFTGTLPHDIGWLTRINSIYVSHNRITGQIPQSLGNASQLSSLTLSNNFLDGSIPSSLGNLTKLQYLDLSGNALMGQIPQEILTIPSLTKLLSLSNNALSGSIPRQIGLLNSLVKMDLSMNKLSGEIPKAIGSCVQLSFLNFKGNLLQGQIPENLNNLRSLEILDLSNNNLAGPIPEFLANFTLLTNLNLSFNALSGPVPNTGIFCNGTIVSLSGNTMLCGGPPDLQFPSCPSKDSDQASVHRLHVLIFCIVGTLIFSLFCMTAYCFIKTRMKPNIIDNENLFLYETNERISYAELQAATESFSPANLIGSGSFGNVYIGNLIIDQNLVPIAVKVLNLSQRGASRSFLTECDALRRIRHRKLVKVITICSGSDQNGDEFKALVLEFICNGSLDEWLHASTAAISTSYRRLNLMKRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDMVAHVTDFGLAKIINIAEPCKESSSFVIKGTIGYVAPEYGSGSPVSMDGDIYSYGVLLLEMFTGRRPTDNFINGMASLIDYVKTAYPNNLLEILDTNATYNGNTQDMTQLVVYPIFRLGLACCKESPRERMKMDNVVKELNAIKKAFSAHTYA >ONIVA08G08360.1 pep chromosome:AWHD00000000:8:8019329:8020148:1 gene:ONIVA08G08360 transcript:ONIVA08G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVHCDIKPSNILLDDDMVTQATDFGLAKIMNIAEPCKESSSFVTKGTIRYVATEYGSGSPESPRERMKMNNVVKELIDTKKAFSAHTYA >ONIVA08G08360.2 pep chromosome:AWHD00000000:8:8019368:8020406:1 gene:ONIVA08G08360 transcript:ONIVA08G08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIVHCDIKPSNILLDDDMVTQATDFGLAKIMNIAEPCKESSSFVTKGTIRYVATGKIWLRVSGLYGDIYSYRVLLLEMFRRRPTNIFINGMTSLVDIVKTAYPNNLLEILDWMLMQSTTEIPKI >ONIVA08G08350.1 pep chromosome:AWHD00000000:8:7999855:8005643:1 gene:ONIVA08G08350 transcript:ONIVA08G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRTWQLWLLSLLTHAILLFTASSQSINGDDLSALLSFKSLIRNDPREVLSSWDTSSNTTNMTAPVFCRWTGISCNDRRHPGRVTTLNLSDAGLVGTISQQLGNLTHLRVLDLSTNSLDGDIPISLGGNIPADLGQLSKLVVFNVGDNNLTGDIPKSLSNFTTLTVFNVERNFIHGQDLSWMGNLTSLRDFILEGNIFTGNIPETFGKIVNLTYFSVQDNQLEGHVPLSIFNISSIRILDLGFNRLSGSHPLDIGIKLPRISRFNTISNRFEGIIPPTLSNASALEVLLLRGNKYHGLIPREIGSHGNLKVLMIGDNSLQATQSSDWEFMTSLTNCSRFIFLDVGQNNLRGAMPINIANLSNELSWIDLSGNQIIGTIPADLWKFKLTNLNLSNNLFTGTLPPDIGRLSVIRMFISHNRITGQIPQSLGNLTKLQNLDLSGPVPNTGIFRNATIVSISGNTMLCGGPPYLQFPSCSSEDSDQASVHRLHVLIFCIVGTFIFSLFCMTAYCFIKTRMKPDIVDNENPFLYETNERISYAELHAATNSFSPANLIGSGGFGNVYIGNLIIDKNLVPVAIKVLNLDQRGASRIFLSECHALRRIRHRKLVKVITVCSGLDQNGDEFKALVLEFVCNGSLDEWLHATKYGAGSQVSMDGDIYSYGVLLLEMFTGRKPTDNFIDVKMAYPNNLLEILDASATYNGNTQELVELVIYPIFRLGLACCKESPRERMKMDDIVKELNAVKKACSAHATK >ONIVA08G08340.1 pep chromosome:AWHD00000000:8:7989366:7989695:-1 gene:ONIVA08G08340 transcript:ONIVA08G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVVCNLIPFPTFRLASVRREEKRRRLLHAEEQRRSEGARPRCEKGRAAAATAAIAQAEGEGAAAVVVCEGVLALCGTAVATACGGVAALGGSTASMREGRDSSGCYA >ONIVA08G08330.1 pep chromosome:AWHD00000000:8:7981553:7982451:-1 gene:ONIVA08G08330 transcript:ONIVA08G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRAQVLETSGGSAPQLHLLLHSPPTAVSPFPQEATTAIYTSLLSAHGSSHSRGFLGGVECRSSFWVMWSVHMCRSPLQIGPGFVEWLFCKKLLVFVQNIAYILG >ONIVA08G08320.1 pep chromosome:AWHD00000000:8:7968541:7976860:1 gene:ONIVA08G08320 transcript:ONIVA08G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIEKQSPKGAFMKAGLRGRRKEENPHGTGSEKKQAQLHRCNTPVQGCYSHPMPINVIRYLKTKSNIRQQAIKSATIEEGAAAGTHREEAKRKGNTDFVMDDDGCGLRLWPWWRCKDGGGNRNDNDVAASPPQHQQHPQPRSILRPLCHHKEREKKGEGAPARGGGGDADSSCTSVWYSNSSLAASSSSAGFSVTAASHLTPTNLPFPFLLPACHLTPLTDAAAPLPCTATALHGVGLRVAVGLGRSAATDDAPRVLFSADSQREEGTRAVRSACPDHMGAGSRCLRSPAMTAAAV >ONIVA08G08320.2 pep chromosome:AWHD00000000:8:7968411:7976860:1 gene:ONIVA08G08320 transcript:ONIVA08G08320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRKEENPHGTGSEKKQAQLHRCNTPVQGCYSHPMPINVIRYLKTKSNIRQQAIKSATIEEGAAAGTHREEAKRKGNTDFVMDDDGCGLRLWPWWRCKDGGGNRNDNDVAASPPQHQQHPQPRSILRPLCHHKEREKKGEGAPARGGGGDADSSCTSVWYSNSSLAASSSSAGFSVTAASHLTPTNLPFPFLLPACHLTPLTDAAAPLPCTATALHGVGLRVAVGLGRSAATDDAPRVLFSADSQREEGTRAVRSACPDHMGAGSRCLRSPAMTAAAV >ONIVA08G08320.3 pep chromosome:AWHD00000000:8:7968584:7976862:1 gene:ONIVA08G08320 transcript:ONIVA08G08320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQAIKSATIEEGAAAGTHREEAKRKGNTDFVMDDDGCGLRLWPWWRCKDGGGNRNDNDVAASPPQHQQHPQPRSILRPLCHHKEREKKGEGAPARGGGGDADSSCTSVWYSNSSLAASSSSAGFSVTAASHLTPTNLPFPFLLPACHLTPLTDAAAPLPCTATALHGVGLRVAVGLGRSAATDDAPRVLFSADSQREEGTRAVRSACPDHMGAGSRCLRSPAMTAAAV >ONIVA08G08320.4 pep chromosome:AWHD00000000:8:7968411:7976860:1 gene:ONIVA08G08320 transcript:ONIVA08G08320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQAIKSATIEEGAAAGTHREEAKRKGNTDFVMDDDGCGLRLWPWWRCKDGGGNRNDNDVAASPPQHQQHPQPRSILRPLCHHKEREKKGEGAPARGGGGDADSSCTSVWYSNSSLAASSSSAGFSVTAASHLTPTNLPFPFLLPACHLTPLTDAAAPLPCTATALHGVGLRVAVGLGRSAATDDAPRVLFSADSQREEGTRAVRSACPDHMGAGSRCLRSPAMTAAAV >ONIVA08G08310.1 pep chromosome:AWHD00000000:8:7953231:7957391:1 gene:ONIVA08G08310 transcript:ONIVA08G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAPAGRIRRWQWRRRLPLANPAAAVFLAGGSSGSLPPRPHPPCPSFSRGCNGGIGAPAGRSGGGGGDGLRYDDLFDAYQYHGLNIKEALARLPREVVDAHNQRLKRTMDLSTKHQYLPADEQMPTYPATVFGENDGDGISLLLYFKLSGSFDKEISPQLKQSIKMPTYPATLFGENDGDGEAYCFISAI >ONIVA08G08300.1 pep chromosome:AWHD00000000:8:7937441:7950914:1 gene:ONIVA08G08300 transcript:ONIVA08G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVLGLAKTTVEGTVTMARSAMEEEEKLHKTVQRDLLVISDEFEMMHSFLNDAKGRVTDNVTRTLVRQVRNTALDVEDCIETIVYLDSKPHWWRRMILPWCMPSAAPGKDLDAAVANIEQLKARVEAMGHRNLRYNRIGDPGHKPEEQAHQQAVANAMAPTDAAKQSRQVDLVMLINRKGGQARHMLGGGGGDEDDDDDDDDNDNNEDDDDNGDCFHDCCEEQLKVEKEVLQVISVWGTGSDLEMMSIKKAYDDTETMKSFNCRAWVKMVHPFHPIEFIRSLLAQFHKNVCPEQDNTVKILDLMVATDDVLIEEFKQQLSQKYLVVLEDVTTMVDWEAVRGYLPDKKNGSCIVVHTRHHGIACSCVGHPYRVSELEKFSADYSVRVVFKEDAAGEVEGTVNEETAHEREKSGPSCFGRDTDLSWLHSLTRLGGVVSVWGMSGVGKSFLIRQFFNNIRESSKNTYYEKKKYGWVDVPRPRPFDLRDLSKSLHSELNPAFKDEVMSTIKDPIQQCREYLQKEENWPYLIVIDGLQSKEEWDSIRRNMDFKFNTSSDKSNRSGEKAGNVVIIITNEESVANYCATKNNNVWNVKGLEVTGAIKLFDQVAANQRQRSWPWNSESEENKMLEIKHRNDETRHILLQKCGGLPKVICAVAESLSMVGDIKEEDNLVSKLAATRSLDDVFSWLLSYFRSCPDSLKPCILYLSIFPVNHTIRRRRLVRRWIAEGYFRDNKESTAEENGEGSFSKLIDLSMIQAPRTRVDGSRRMPLCQVNGFVREYIVSQLVEENLVFELEGHCRKNIQRTGRHLAIDNSWDRDRNVFESIDLSLLRSLTVFGKWETFIISDNMKLLRVLDLEDVSSGVTNDDVEKMVKLLPRLKFLSLRRCKQITRLPDSLGDLKQLQTLDIRHTSVIKLPKSIIKLEKLQYVRAGTAKHQQASEAAENPSMAAAPATMSRPCIATPGSRFSKLGLGLHCKHGSHSGVKVPRGIGKLSSLHTLGVVNIQACGEDGILEDLKNLTQLHKLGVSGINRKNSEKLFSVILRLAHLESLSLKMQANQDNEAAGCMADISSPLEKLQSLKLYGLVDRLPSWIMQMCLQLPRLEKLDLQMKTLSQQELDFILTLKYLTSLRLRLAEFQDGELRFGWTIAQWSSTWIINILEIVCNSSLQAVKFGSKIYVEILKIRCYSVSSSLQFSGLQSMETLKEVWLSGSYELGFKQQLETELEGNENKPILELEKPSSST >ONIVA08G08290.1 pep chromosome:AWHD00000000:8:7927630:7928244:-1 gene:ONIVA08G08290 transcript:ONIVA08G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGRWGSVAGSAAWPVRGRRRHGEDGVTWWSHVARVGSETGAARTDGEVGGDKRGVTSVVVCARRYGDSGQGVAGISGRSCGVVGNGDRAGRRMMPAALRVLAMARRSDQRIDDGGGVRYLWRYSVEQLAGVQFGEAKSGWASWAAARVEKEEGKARGRGREKKGGTTSFPSRLSPTEGDGKGDGWAGWDWRKRKGNRLSARI >ONIVA08G08280.1 pep chromosome:AWHD00000000:8:7915921:7919401:-1 gene:ONIVA08G08280 transcript:ONIVA08G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFRVVESILTKMCSVIDQDWELGGKLKNDLICIKEEMGMMNASIEQWEGNIQGPVQQQWVHQLQDLAYDIEDFIDGHRIGPQEPNHFMPRAARMIFGTDRRPQQVLDVKILKERVAILQKWQQTFGSTQAVGGAGNGSPPSGGFGGCEADEQLVGIDNAKRELLSLLSAAESQPDQQNKLKVISILGSNGIGKTTLARRVYDEGDIVEQFHRRAWVASWACEDSASLLKEIVRQLTSEEDGAQGSTSSTEQAGGAGAPNVRNLRQDVAAHLQSHRYLIVIDDLKKVKVWSDIASAFPENNKGSRVIVTTSILSIATTCAYGSWVYKMQCLQKDDSENLFWNEVFKGANRIRTPDLDRGSVTIIDKCDGLPLALVSAAKYLNCKRHALSGSECKKVGLNLGNHLASEGSFFKEIKRVLAECYDSLPDHGHRMCLLSISMFPRGHRIRRKSLLRRWLAEGLVVSQIQLNEEDAEDRFKEFIDRNIIEAVDIGNELEAKHWRVHGVMLEFISHKSISDNFITFIGNDRSTMSSNGQLLKTQQLQRKWKFLVRSLTIRNSSVPNLKEYKSLRVLDLEECNGIDQRVVKNHICELLFLKYLSLRGTGVRLIPSKIKRLRYLETLDLRETEVEMLPLEVLKLPRLAHLFGSKLEMPQELSNDADLDLQRFFQHESELQVLAGFVMEDNKAFVHVIRHMSKLKKVKIWRKANAAPAKDLTEHLVVCIQRRLQGSRPLESLSIDFGDLSIDFLGDLAPPCALESLKLRGAMSSLPAFFTSPENQLRELHLWSTGLSGEALSALQNLPYLVYLTLKEDRRGFWSDTFAVQSGGFPSLRRLRFQAAMLPKVVFEQGSMPDLADLHLLCPEICSSIFFYRSFDMPRNLRLGVWHIENLVSLNDVVLHHSASEQQLTAWKDAASAHKNRPNVRRQPQ >ONIVA08G08270.1 pep chromosome:AWHD00000000:8:7896345:7901739:-1 gene:ONIVA08G08270 transcript:ONIVA08G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSLIRKLATVSSEDIDVRPLERKIIRFNSDRLLKVIHHVGDAQAMEWMRQILEVAYDVEDWIDLCIHLHGRVRADQLERIEEFIVRIDNVEERLSRYNLYHILDEFEPYSDYPPLSQSPIGDNPHMLYAMEEDLIHHCERKVRLRRDLVLNLMDKLVVDSDQQLKVLFILGDRGIACQINHTELYIKSGGDEHQVIDNFRNFLRNKRYFIVIDDIWTTTAWKAIKCAFADNKNGSRIITTAQIDKVSRLSYRHHTDLVVMLGGLRCTPLAIRIAAGLSLSAYPPPYSYTSAFKQYPLLQGIKRMLHISYAYLSLPLKSCLLYLSLFPENCTISKGRLIRRWAAEGFIDERDEGSIWETGESYFNELTIRQLIMREFIEDKTRNNIDLEDGSGFAVGCKVHGLVHDFIVLVQSREYAWCHSASNHKKRLQQYPKRQHPAVRLRHHGCTTINRALASAQKGKIRSLAFLGNSRLLSDVVGFKLLRVLDLEDCKSLGNEHVQKIRSLFLLRYLGLRGTGVTELQEDIGELHELQTIDVRRTRVKQLPVSVNELKKLVFLLGDGLQAQAGMTAMQNLEEVSTIDVSERSSLDMVSELLVKSNGLRKLGLQWGPGDGDSKTSYSYYGSSIETFIDNIILGRGTLKYLFLFDCPIALLEILLLRWGGYKTPELRIVLRGNLIRVPGEFVGMRELTHTCISAFLRCLDDGWLRLYLRANGLRLHGNYFGMRDFRSLTRVHVTVDCRDATVVDVEEAEAAITSLVKDNPNEPVLELTRKWPDQALDYQLSATKNSEDVVDSKSALLLSSTAERRLLGQKLHGQKGKLDDRDSRLEIEHMRPDPAFTKKIDPATREQAHWRISDGQ >ONIVA08G08260.1 pep chromosome:AWHD00000000:8:7887134:7888942:1 gene:ONIVA08G08260 transcript:ONIVA08G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEADQATVVASWGPDHGGRVGAVAHVERERARPPEMAPVRRQSILRGDVEVASKHLSHSSSLSRAVERRAHGERWAAPRDIEAVAAARDDEAVTANSGRIWSPGTEDGRRRRRGPTAGEGIGEAERNSGGGSEWEEPTAALGARGSDGGRTMAPTGSPSRPNLPPASRGLRHPPEVRKAAVSAWDHCGKHSHLSGSGSAGSSCGGGILCPVWLATKRDEARGQGLMVWEVRKRLAIEQQSEEDPDIISVSEIVDN >ONIVA08G08250.1 pep chromosome:AWHD00000000:8:7871792:7885874:-1 gene:ONIVA08G08250 transcript:ONIVA08G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosylmethionine-8-amino-7-oxononanoate transaminases [Source:Projected from Arabidopsis thaliana (AT5G57590) TAIR;Acc:AT5G57590] MLRLLRHARRHSTSSSSSAAAAAVPLTSPAFAVFGANTGVGKTLVSAGLVASLLASPSPSPSTVAYLKPLQTGFPDDSDARFVFDRAPALLRRLRLAGGGASTRLVASNHTLFPSPAVDPLPERQDTVVNYGGEEGVEEKALVCRTVYAWREPVSPHLAAEREGMPVEDEEVRWLVDRWLAEEDGGGEVWKVLETAGGVASPGPSGTLQCDLYRSSRLPAVLVGDGRLGGISSTLSAYETLLLRGYDVGSVILEDRGLSNDRFLLSYLRKRVPVHVLPPIPEDPKDDLTDWFSESSSAFSSLKDSLQSFHSRRVQRLNSMQRKSKYLLWWPFTQHDLVPVDSVTVIDSRFGENFSAYKVKDKTIVPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENVHEPALRCAELLLGGVGKDNGSTAIEIALKMAFRKYACDHGIIVDSEKDIRSEGSVHFKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVDTSSAANVYVSYISHQLSQYAMSNNSEHIAALIIEPVIQGAGGMHLIDPLFQRLLVKECKNRKIPVIFDESASELLGCFPDISCYAKLMTGGIVPLAATLATEPIFEAFRSDSKLTALLHGHSYTAHPMGCTAAVKAIQWYKDPSTNSNIDLDRMKLKELWDSALVNHLSSLPNVKRVVSLGTLCAIELKAEGSDAGYASLYASSLIRQLREEDNIYARPLGNVIYLMCGPCTTQDICTRQLAKLRPRYVSFFYTYCVCYG >ONIVA08G08240.1 pep chromosome:AWHD00000000:8:7866622:7871387:1 gene:ONIVA08G08240 transcript:ONIVA08G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEQQQPESAAPATEASPEIIFRSKLPDIAITNTLPLHRYCFERLPEVAARPCLIDGATGGVLTYADVDRLSRRLAAALRRAPLGLRRGGVVMSLVRNSPEFVLSFFAASRVGAAVTTANPMSTPHEIESQLAAAGATVVITESMAADKLPSDITLTVVLIDERRDGCLHFWDDLMSEDEASPLAGDENDDEDEKVFDPDDVVALPYSSGTTGLPKGVMLTHRSLSTSVAQQVDGENPNIGLHAGDVILCALPMFHIYSLNTIMMCGLRVGAAIVVMRRFDLAAMMDLVERHRVTIAPLVPPIVVAVAKSEAAAARDLSSVRMVLSGAAPMGKDIEDAFMAKLPGAVLGQGYGMTEAGPVLSMCLAFAKEPFKVKSGACGTVVRNAELKIIDPDTGKSLGRNLPGEICIRGQQIMKGYLNNPEATKNTIDAEGWLHTGDIGYVDDDDEIFIVDRLKEIIKYRGFQVAPAELEALLITHPSIADAAVVGKQIEPEIGEIPVAFVAKTEGSELSEDDVKQFVAKEVIYYKKIREVFFVDKIPKAPSGKILRKELRKQLQHLQQEA >ONIVA08G08230.1 pep chromosome:AWHD00000000:8:7843457:7844135:-1 gene:ONIVA08G08230 transcript:ONIVA08G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMDAGVLDKHRQRHAVRKHGGAEATRGCTLPDDHGKPVYVEASTDHLI >ONIVA08G08220.1 pep chromosome:AWHD00000000:8:7799713:7808065:-1 gene:ONIVA08G08220 transcript:ONIVA08G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADQGAKLERPYQTLRSFPSGDRNCYSLPTVAGAKYLLRMVFFYRNYDGQDSSSTLQFDLYLGVDRWTTVKADSDSWFEALFVAWASWTPVCLMRTSPKSTPFVSSVELRTLGSDVYPDLTANESMSLAERFNMGTNYSVIEYPYDPYDRYWWPRAPDPTWKNISTTLPIKPSFSYPIPSPVIQTAIEAVNTNTTLTFTWRDKRYHEDVYMVYLYFADFQNSLLRQFNISLNTLKADQFSPPYLAFSVMSNVDGWYKSNDGGYTITLEATAASKLPPMINAYELYTRISHINPMTLPTDFDAIMAIKFDYGIKKNWMGDPCFPPELGWDGVKCSNASGKTMRIISLDLSNSNLHGPISNNFTLLTALESLYDSNGDVCNNPPPPPIKKAKRAVTIAVSVVVPVMAIGALVLACLIWRHKRKSHVSSDDPPRGSELEIAPESRKDHGDAIQRVENRQFTYKELEKLTNKFQQFIGQGGFGLVYYGRLEDGTEVAVKMRSESSTHGLDEFFAEVQSLTKVHHRNLVSLVGYCREKDHLALVYEYMARGSLYDHLRGNNDGRETLNWRTRVRVVVEAAQGLDYLHKGCSLPIIHRDVKTQNILLGQNLQAKIADFGLCKTYLSETQTHISVTPAGSAGYMDPECYQTGRLTESSDVYSFGVVLLEIVTGESPILPGQGHIIQLVKKKIVSGNISLVADARLGGAYDVSSMWKVVDTALSCTADIGAERPTMATVVVQLKESLALEESRGDSGFRGSISTDVKKDDVGYAP >ONIVA08G08210.1 pep chromosome:AWHD00000000:8:7783102:7783612:1 gene:ONIVA08G08210 transcript:ONIVA08G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNSQEPEHGGAEEEDRLVAAGDGEAYVATWVVNDISDKVLELVLLRLHSDPPPASSAPRPVFLRRFRVHHGPDLVGHYHAVGGAVSHAAFVHVSRPVLVYGCRLSLDFVPDTASWTIAETPTAAAALMASSSASHLRGATRWSPSPRRR >ONIVA08G08200.1 pep chromosome:AWHD00000000:8:7768573:7769187:-1 gene:ONIVA08G08200 transcript:ONIVA08G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEERGTLLRRVLIRAARAARAAVRIAATTTASSPSSPAPAAAAPPRRLSRTPSLLDCMEDDDDGIFYTPASSPVVVHYPRRVQVQQQQQPQSLSPSPVAAAAAAAADDIDRRAAEFIERFRRNESLELRYCAVYSPLTPAKPPISPDTYFKISGAHHHGAVAAGGSPAPAPYVRKMSSPRPRRPSGMSIKWPTAGRPTVRV >ONIVA08G08180.1 pep chromosome:AWHD00000000:8:7755530:7759576:-1 gene:ONIVA08G08180 transcript:ONIVA08G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G18850) TAIR;Acc:AT2G18850] MAAAAAEVAAAGGGGEMVVVRLPPLSEDDPLFQDKKRILDSRNLSCLFQVPNSCSAADAFKVLDRMIQAARIAHMDELELYFTGDEDFGPLSTRNELESLNLLLKILNTLLLTANVGAMGVLQVLCDEILLRLRSLELEDNGQMVVQIRNQNMEDSLLKWGEQHGVKTKLQIAFFEGAGRGMVASENIDVGDIALEIPESSIISEELLCQSDMFLALKDLDSITTETMLLLWSIRERYSPSSKFKIYFEALPANFNTGLSFGIDALAALEGTLLFDELVQARQHLRQQYDELFPMLCINFPDIFKQDVSPHIINYGRVDKATKSLKFPLSRPCKAGEQCFLSYGKHPGSHLITFYGFLPRDNPYDVIPLDLDTSVDEEDSSSPSVTTSQTSHMVRGTWLSRLRGPPTYGLPHHLVSHLHAILGCNQNESAPEADNKENDRMVLETLLSIFTPMLEGLGEPDDFDRENACWDVKLALDYKDLQRRIVLSIVTSCTSGLAMLDS >ONIVA08G08180.2 pep chromosome:AWHD00000000:8:7755528:7759576:-1 gene:ONIVA08G08180 transcript:ONIVA08G08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G18850) TAIR;Acc:AT2G18850] MAAAAAEVAAAGGGGEMVVVRLPPLSEDDPLFQDKKRILDSRNLSCLFQVPNSCSAADAFKVLDRMIQAARIAHMDELELYFTGDEDFGPLSTRNELESLNLLLKILNTLLLTANVGAMGVLQVLCDEILLRLRSLELEDNGQMVVQIRNQNMEDSLLKWGEQHGVKTKLQIAFFEGAGRGMVASENIDVGDIALEIPESSIISEELLCQSDMFLALKDLDSITTETMLLLWSIRERYSPSSKFKIYFEALPANFNTGLSFGIDALAALEGTLLFDELVQARQHLRQQYDELFPMLCINFPDIFKQDVSPHIINYGRVDKATKSLKFPLSRPCKAGEQCFLSYGKHPGSHLITFYGFLPRDNPYDVIPLDLDTSVDEEDSSSPSVTTSQTSHMVRGTWLSRLRGPPTYGLPHHLVSHLHAILGCNQNESAPEADNKENDRMVLETLLSIFTPMLEGLGEPDDFDRENACWDVKLALDYKDLQRRIVLSIVTSCTSGLAMLDS >ONIVA08G08170.1 pep chromosome:AWHD00000000:8:7747557:7750390:-1 gene:ONIVA08G08170 transcript:ONIVA08G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGLEQWQKDGFFQAAEEVQESADLMESIYRTWMRECDSGANLEELSDLQRELKTALGTAKWQLEQFERAVSMSNDKYSLEEGTLARRRQFVVAMEDQISQVEKQINDYSIDNDRRGLNWVKLDDEERDDLVAFLSAPAQLSQDTKKRDNTYHSPSKQKNALIGANDPRDMAAISKDRHKTEALCREISNGQAEACCLAEQLNGHSTSPSSGGEHWKIDISDDKDDDRKLSPNKVEASSQATAFSGIMKTTESFTRVRWLRNSLWKAKSDEHLPLRYDMPNHLDWRVITLLAQSFNGLTERSRSCFSGWKENSRVSGRMGGLHIQGPQHNTQFGRSIRITLLLCHFSCTQLDNAHTGGCW >ONIVA08G08160.1 pep chromosome:AWHD00000000:8:7739528:7741450:-1 gene:ONIVA08G08160 transcript:ONIVA08G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSSFRLARLHLARGKQQQLSSSSAAAAAATLEAIGDDPLAAGSGQLVVGVESQYRVVYRLVNSIYVLGVTTSSDHASPAVHAFAVADAVNQAVSVVVAACRGVDVTPEKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEAKARGADPWPAVEHLAHDRHAARDGFTGASFELPQETLAAGDEFSASNIAPAAAAATGDEPPPEEAAPVEKDPFAASELVNKPEEALVGGFKKNKETAIVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLAEAFEGFNAPFGGGLDASEFVTTTKKDHKDKSITGLELLATSAGQGPNAPGGTPLENLLVTKSTEMTAPELYIVEEINAEFKESILARVGLKGTIFLRTLPPKKAAGKETEFSFRLEGTSGMKKAALQSTVLSNLENGMFHVRTPSKEEPIPIMKYSFLPKHSPLPLRMRLVKRHSGTLLSVMIQYASNPMLPQPLSNVTFIVKLPVDPTLLNVSPKAVLNRAERELRWHIPDIPLKGPAGRLRARMPVDQDSKDGELEVVGMVKFAYQGPFTLSGIKLCPATDGTAQFNEAGHTFSSGSYLCI >ONIVA08G08150.1 pep chromosome:AWHD00000000:8:7728102:7738635:-1 gene:ONIVA08G08150 transcript:ONIVA08G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSLSLLASSLPDEHLLHALCRLHSWSRLTGCNPSPSIKSCPRLLPLPSLFSAVLFALLYYFGKGRKVVHRLKNWLQRGTSACMSGSGNSLDTAALIIGGGSDNSGIVGRKRRRCDLIRERWCCLRPVWCKEAQEVVVPGRGRNGARQRGGGGCAVPWRSGGGLERICGWGYSTIEVLGRICNSSVEKVEERETIILAISNTEKMGEKQQKSDQDFHFSDGTMAIPRDRKRKGELDPATDYVKDLWGAFYVAAESTQLDTSEVNNKKQLDNCNHDIHVYEDLGHVCHECGLVVRKADSLFHYQWKKASRKRTNTYEACLKKVVSDAISLSEDFIFSDIAIHPRHAKNIRPHQLEGFKFLINNLVTDEPGGCILVHAPGSGKIFMLITFIQSFMARHSTARSLVVLPEGILGTWKMEFQQWQVEDIPLYDFDSIKADERVEQLEVLKFWSSKRSILFVGSKHFTQIVCDDRDENAVAECRDMLLMVPSLLIIDEGHTPSIHETDMLQSARKVQTPCKVVMSGTLFHNHVKEVLNTLDLVRPGFLKTETSWSIVTRMMGQLEISSARSITEISESVEDTLLNDDNFTRKVNVIRSLGELTKDVLHYCKGEDLNEFPGLLDFSVFLELSPKQKDILCKLEEDHEMLKTSAVGAALYVHPCLSEISEANAVDRDDRVDSLVNSINLGDGVKARFFLNILALANSAGEKLVAFSQYTLPMKFLERLLVKEMGWYVGKEIFVINGDTSMEDGQLAMDQFNGSADAKVLFGSIKAFGEGISLVGASRIVILDIHLNLSVTRQAIGSTFRPGQKKKVFVYRLVAADSPEEKTHETAFNKEVIPKLWFQWSGRCTTEDFKLNQVCIDGSGDELLETDVIRQDIKALYQSFYQLGTEIRELILLYPFSFGCVYPAQDYRVHDTGGNVIGQGDQDSEKNRYLSIASETMLVHFVHVFSFVCVPRNLMVLDWYPEVPFYRVKFGISSWIGKVTLIRIFCILYNEKGQIALLASKGSIKDKQEGCKSWRGCNPSPSIGSRPGPLPLPSLCSAVLFALLYYFGKGRKVVHTLKNWLQQAPSGLDLVGERCDAKDGGGVLQDSARTGNPSRLRGGEDLSMGIQHYRSSGRICNCSVEKAEERETIILASGNMEKMEEKHQKSDQDFHFSDSTMAIPRERKQKGEVDPAADCLKDRWGAFYVAVESTQLDTSEVNNKKQLNNYNHDIHVYEDLGHFHSRLHDKTFHCKALRDMEEGIPAVASGAL >ONIVA08G08150.2 pep chromosome:AWHD00000000:8:7728102:7734934:-1 gene:ONIVA08G08150 transcript:ONIVA08G08150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSTARSLVVLPEGILGTWKMEFQQWQVEDIPLYDFDSIKADERVEQLEVLKFWSSKRSILFVGSKHFTQIVCDDRDENAVAECRDMLLMVPSLLIIDEGHTPSIHETDMLQSARKVQTPCKVVMSGTLFHNHVKEVLNTLDLVRPGFLKTETSWSIVTRMMGQLEISSARSITEISESVEDTLLNDDNFTRKVNVIRSLGELTKDVLHYCKGEDLNEFPGLLDFSVFLELSPKQKDILCKLEEDHEMLKTSAVGAALYVHPCLSEISEANAVDRDDRVDSLVNSINLGDGVKARFFLNILALANSAGEKLVAFSQYTLPMKFLERLLVKEMGWYVGKEIFVINGDTSMEDGQLAMDQFNGSADAKVLFGSIKAFGEGISLVGASRIVILDIHLNLSVTRQAIGSTFRPGQKKKVFVYRLVAADSPEEKTHETAFNKEVIPKLWFQWSGRCTTEDFKLNQVCIDGSGDELLETDVIRQDIKALYQSFYQLGTEIRELILLYPFSFGCVYPAQDYRVHDTGGNVIGQGDQDSEKNRYLSIASETMLVHFVHVFSFVCVPRNLMVLDWYPEVPFYRVKFGISSWIGKVTLIRIFCILYNEKGQIALLASKGSIKDKQEGCKSWRGCNPSPSIGSRPGPLPLPSLCSAVLFALLYYFGKGRKVVHTLKNWLQQAPSGLDLVGERCDAKDGGGVLQDSARTGNPSRLRGGEDLSMGIQHYRSSGRICNCSVEKAEERETIILASGNMEKMEEKHQKSDQDFHFSDSTMAIPRERKQKGEVDPAADCLKDRWGAFYVAVESTQLDTSEVNNKKQLNNYNHDIHVYEDLGHFHSRLHDKTFHCKALRDMEEGIPAVASGAL >ONIVA08G08150.3 pep chromosome:AWHD00000000:8:7735033:7738635:-1 gene:ONIVA08G08150 transcript:ONIVA08G08150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSLSLLASSLPDEHLLHALCRLHSWSRLTGCNPSPSIKSCPRLLPLPSLFSAVLFALLYYFGKGRKVVHRLKNWLQRGTSACMSGSGNSLDTAALIIGGGSDNSGIVGRKRRRCDLIRERWCCLRPVWCKEAQEVVVPGRGRNGARQRGGGGCAVPWRSGGGLERICGWGYSTIEVLGRICNSSVEKVEERETIILAISNTEKMGEKQQKSDQDFHFSDGTMAIPRDRKRKGELDPATDYVKDLWGAFYVAAESTQLDTSEVNNKKQLDNCNHDIHVYEDLGHVCHECGLVVRKADSLFHYQWKKILPFIQDMPRISGLISWKDSNS >ONIVA08G08140.1 pep chromosome:AWHD00000000:8:7725523:7726128:1 gene:ONIVA08G08140 transcript:ONIVA08G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSPPYVAEADLPIGAAGERRHACSANYDHRGGVGGWEDDDFEFAPLLPQRRRLRGECRRPRTSAAAVAACRGTAVVHAVLTQPPTPVKQRTPRCRQAAAAAAAKSSAAPASAAAAAARRVRWHEMAFGSVRVPAAMDMGEIRRRLHARRRRLAYGDGEAGDAAAAAAGWAPWRLIRSLSCKGIEAVAVAAAAAPVRLV >ONIVA08G08130.1 pep chromosome:AWHD00000000:8:7718429:7718903:1 gene:ONIVA08G08130 transcript:ONIVA08G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTVADRGRRRQGKEMRRSAMDEREAASIEEGSGCTRCAGERRVGEPGMLVRQHRRHADGSRRSPPSPTRSAEAEGPPSSGVHGKKPAGGAVVGKAVSGEADVDEVRRESAGSKRR >ONIVA08G08120.1 pep chromosome:AWHD00000000:8:7712206:7715598:-1 gene:ONIVA08G08120 transcript:ONIVA08G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAADEGNAAAPRAAVSHVIFDMDGLLLGLSLSLFLSSDDSVSYAHSMVSFFAFLVAPIWMELDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKATESARIFVDECGLDGLLTPEQFLEERESMLQELFPSCAVLPGVLRLIHHLHANGVPMAVATGSHKRHFALKTQNHKEMFTLMHHVVMGDDPDVKTGKPSPDIFLAAMRRFEGNIEPSNCLVFEDAPSGVAAAKNAGMYAVMVPDSRLDVSYHKGADQVLSSLLDFKPGEWGLPPFTD >ONIVA08G08110.1 pep chromosome:AWHD00000000:8:7704171:7709357:1 gene:ONIVA08G08110 transcript:ONIVA08G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I928] MESSAGPMELVAALLRGLTPRAEQLLQLSSGGGEAAAGGAAEARAAVATVAAALLGCAFLVLWRRVSAGRKRKREEAERSAAAVAGVGKGGKNASAAAGEEAGGADGRKRVTVFFGTQTGTAEGFAKALAEEAKSRYDKAIFKVVDLDEYAMEDEEYEERLKKEKISLFFVATYGDGEPTDNAARFYKWFTEGNERGVWLNDFQYAIFGLGNRQYEHFNKVAKVVDELLVEQGGKRLVPVGLGDDDQCIEDDFNAWKETLWPELDQLLRDENDVSTGTTYTAAIPEYRVEFVKPDEAAHLERNFSLANGYAVHDAQHPCRANVAVRRELHTPASDRSCTHLEFDIAGTGLTYETGDHVGVYTENCLEVVEEAERLLGYSPETFFTIHADKEDGTPLGGGSLAPPFPSPITVRNALARYADLLNSPKKSALVALATYASDSTEADRLRFLASPAGKDEYAQWVVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPSMAPTRIHVTCALVHEKTPAGRVHKGVCSTWIKNAIPSEETKDCSWAPVFVRQSNFKLPADPSVPVIMIGPGTGLAPFRGFLQERLSQKQSGAELGRSVFFFGCRNSKMDFIYEDELNTFLEEGALSELVLAFSREGPTKEYVQHKMSQKASEIWDMISQGGYIYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKAESFVKSLQTEGRYLRDVW >ONIVA08G08100.1 pep chromosome:AWHD00000000:8:7688119:7688503:1 gene:ONIVA08G08100 transcript:ONIVA08G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNSMFRWSPSFLMTDRWRSSRFRSPRAQRCVPSSPAALGLFPNTAPTVLVSSACHRHHVGGAKTKRIAAKLALVGLSDTTSHAQHNLKRKLVFVREKGPMSAEAVAAYNTLFSQPLSQDHAIGP >ONIVA08G08090.1 pep chromosome:AWHD00000000:8:7673331:7678773:1 gene:ONIVA08G08090 transcript:ONIVA08G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRLLLRRGAMPVPPPLPPRTPPPGVARPFASLPPPPPLQSRREVHVWYVCPDELNDHSHLDMYMELLSPSERKNALSMNGPRLQKDAMLSRALLRTTLSRYTNSKIDPRSFEFKKNKFGKPEILWRSDDSNMEWPLHFNISHTSSLIACGIAMDAPIGIDVEEKKRKTTKSILSLARRYFTPSEVDSLAKIADSDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNRFSIQLKTNSRIQITKAPKVCNDSDSGDYLSENWRFALTELNSSYYMAVCIEDNSRGSENGSVPLGLKVWKTVPFIEDTLVSGTDAVKLIT >ONIVA08G08080.1 pep chromosome:AWHD00000000:8:7664242:7668716:-1 gene:ONIVA08G08080 transcript:ONIVA08G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSRFDLRASSHPHQHAIGGGGGGMSDGGGGAAAAAFADAGNLEHCARYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDLRQRLEAKIERMDAQLAAKDRELATLTRTEAKNTATLKAQIDKLQQERDEFQKMVIGNQQVRTQQIHEMKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWSGKKNDNDYYKMIVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGVPQPAVVGNGRQEAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASLMSKHFTKSDKPRRLSGLDAEREAVAAQEV >ONIVA08G08080.2 pep chromosome:AWHD00000000:8:7664242:7668716:-1 gene:ONIVA08G08080 transcript:ONIVA08G08080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSRFDLRVNPNPSLLADPRAAPANDRPVTSSPLAMQASSHPHQHAIGGGGGGMSDGGGGAAAAAFADAGNLEHCARYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDLRQRLEAKIERMDAQLAAKDRELATLTRTEAKNTATLKAQIDKLQQERDEFQKMVIGNQQVRTQQIHEMKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWSGKKNDNDYYKMIVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGVPQPAVVGNGRQEAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASLMSKHFTKSDKPRRLSGLDAEREAVAAQEV >ONIVA08G08070.1 pep chromosome:AWHD00000000:8:7660276:7663405:1 gene:ONIVA08G08070 transcript:ONIVA08G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase sigma-subunit F [Source:Projected from Arabidopsis thaliana (AT2G36990) TAIR;Acc:AT2G36990] MNSGGRSLLSSPLFASSSPAFRGGAAAASCSSSSSPSPSSRATVVPMVHDTGGGRASSTACHYSPSLVAAEPEEHVHGRSKDDDDDAAVSLMGEKALLELLLDMALEQHAPGKKLPAEEREESEFDIYLRDDKSHVLYHPEFSSTSVSSSPLSVKSSERSDLGTASAVLTKDVALLAEETDILATQLKASQLYSVDSSKSNEELQSKGQVFVRSTRLLERRSKRRYAPRASIADVSCSADNSKKKEKSKKYGRVLEPDEPFKLFLRDRETTEFLTAKEERHLFSKIQILMKIEEAHRKLEVQCGREPTLAEWAEAVGMSSKELQSSIRTGRRCREKMARSNFRLVIHVARKYEGYGLDIQDLVQDGCSGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVYALLRKVGKARMECIMEGEQPTNANVARRAGITIEKLAKLRAKTRKPRSMQDHVWSDEGVTFQVRDFLGILSPREKEIIEHRFGIHDGEPKTLHVIGDMFGLSKERIRQLQNRALEKLKRSASLQGFDVYFDLLT >ONIVA08G08060.1 pep chromosome:AWHD00000000:8:7651699:7654989:-1 gene:ONIVA08G08060 transcript:ONIVA08G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridylyltransferase-related [Source:Projected from Arabidopsis thaliana (AT1G16880) TAIR;Acc:AT1G16880] MAEMAVTAALRPCSGVSPAVSGTSHRRRRPAAWRALAPPPPHAGLRLSSPAVRVPRAASSAAVEDGSSSNTDTVPTPKVIIDQDSDPDATIVEITLGDRLGDLLDTMNALKNLGLNVVKASVCLDSTGKHIKLAITKLSTGRKIGEPELLEAVRLTIINNMIQYHPEASSQLALGATFGPEPPTELVDVDIATHIDIYDDGPDRSLLVVETADRPGLLVDLVKIIDDINITVQSGEFDTEGLLAKAKFHVSYRGKPLIKALQQVLANSLRYFLRRPTTEEGSY >ONIVA08G08060.2 pep chromosome:AWHD00000000:8:7651699:7654989:-1 gene:ONIVA08G08060 transcript:ONIVA08G08060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridylyltransferase-related [Source:Projected from Arabidopsis thaliana (AT1G16880) TAIR;Acc:AT1G16880] MAEMAVTAALRPCSGVSPAVSGTSHRRRRPAAWRALAPPPPHAGLRLSSPAVRVPRAASSAAVEDGSSSNTDTVPTPKVIIDQDSDPDATIVEITLGDRLGDLLDTMNALKNLGLNVVKASVCLDSTGKHIKLAITKLVTGILYRSTGRKIGEPELLEAVRLTIINNMIQYHPEASSQLALGATFGPEPPTELVDVDIATHIDIYDDGPDRSLLVVETADRPGLLVDLVKIIDDINITVQSGEFDTEGLLAKAKFHVSYRGKPLIKALQQVLANSLRYFLRRPTTEEGSY >ONIVA08G08050.1 pep chromosome:AWHD00000000:8:7639451:7639660:1 gene:ONIVA08G08050 transcript:ONIVA08G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTERVKGWSKSLGQYKRQRVGPEENEVEWSKWRSLASPLVEKSERNGSPSTPTNTYAFMAEDSIIAAT >ONIVA08G08040.1 pep chromosome:AWHD00000000:8:7629038:7629400:1 gene:ONIVA08G08040 transcript:ONIVA08G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSRSPLLSPLLLPPPSHCHMAFVCDGHIYEHCRHLSYNILTSSLGPGRSTDMEDEVGTRSGVPGLLAVRCNGGGILARVGEGRGGESRARSLVEERGRARESRDQVPPISLEAFGT >ONIVA08G08030.1 pep chromosome:AWHD00000000:8:7622324:7623499:-1 gene:ONIVA08G08030 transcript:ONIVA08G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTATASWEVMSRRGEQQQQLMMQAPASHNGGSGGGEPARSRWAPKPEQILILESIFNSGMVNPAKDETARIRRLLERFGAVRDANVFYWFQNRRSRSRRRARQLQQACGAALHQLPSAAAAAGAGGGGGYYHHHHQPSSSPFLMHGGGGGGVVTSTTAAPAVAASGHFLADEVDGGGDDDLFAISRQMGLMARHGGGDHHYGSYADSDATQLSYQPTGTIQVFINGVAYDVPSGGALDMAGTFGRDAMLVHSSGEVLPVDEHGVLINSLQMGECYYLVSKSI >ONIVA08G08020.1 pep chromosome:AWHD00000000:8:7619082:7619297:1 gene:ONIVA08G08020 transcript:ONIVA08G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYSMMQSNGVIPLDNALFKTLPPSLEMVDLHTLALLHYTNMFDVVHVAVKNLYVSGDRIPMPVLVMEVR >ONIVA08G08010.1 pep chromosome:AWHD00000000:8:7616555:7619024:1 gene:ONIVA08G08010 transcript:ONIVA08G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRGYRPPGKGDADQGRALLARRRVPLPRGKAPRVPWVVAEGGEGDTLRRRQRRAWVMVAVGVGSDGSEGPLATATGGVDPASTRVEQRCRRQVGVVPEIRTSASDLAQFLRAHRISHVCLRAIIGVPNYKLLALGSSLATASAWVARHVLPYAGANSSTSAHHRHRRR >ONIVA08G08000.1 pep chromosome:AWHD00000000:8:7613875:7615560:1 gene:ONIVA08G08000 transcript:ONIVA08G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEASRAADQAPRRGSLRIDKVCGEGSDGTGVGSRSEGLVKPHAPKAFHLIPISTTIVGDHHHRYPCIQIKNPRYNPQIPKSKSVHPNQNPQIAKSPRTPVTHPLPPPYELRAEEAAPSATGLRQLPHLLQPWLQPPLRPTVEPAGKEAEKEALPAVTGPGDGGEVTTEEWPRWGTSSLLPVTVAAVIRELLERRRRRCVWTERRGREGRET >ONIVA08G07990.1 pep chromosome:AWHD00000000:8:7596342:7596848:-1 gene:ONIVA08G07990 transcript:ONIVA08G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAHALAVRGVAVTFVNTEFNHGRVVAAMPAPPRRNGETAAGGGGKLGMGRNRIRLVAVPDGMGPDEDRNNLLRLTVLMQEHMAPRVEELIRQSGEEEAAVDGDGDGWGRITCVVTDYNVGIWALDVARRTAVKSAAVWPASAAVMASILSVPELIRDKIIDAQDGK >ONIVA08G07980.1 pep chromosome:AWHD00000000:8:7541444:7545007:1 gene:ONIVA08G07980 transcript:ONIVA08G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVAVAGDELRRGHPRQAPGIADSGDELQRGVRHGWRRTWPRRRARRGERRWRTRPPATWSSDCHLELQHCRPPSTASPTSGSIRVAGRCTLRRRPNSIAGPLRSASSPTVDPTASLGLHPIHLLLQGSKETRWGAKNLLTWYHLIPLKYHLIRGIIAWIPGRYQDLIHRKHHPPRGSCGTAQVSHIMILLRDPPRISFVESDDTRHDRDEDHDTSQVSHDPPKVSPMKPGETGHDTKEDHHTSQILLKYHLIPRNYHLPRGKNRMIPYRYHLTTSWYRVIPTRYHAILPRIRYRTIPITYQAILVRYHVIAIRYQKLGIEVLRHRRRRPRPPPSTLHTEPEPRHRQPHPLPSTVHIGARRQRPRPLSGARHRRPCPSSTPQPVSASSTPELVAGAAATTTQDPPPSTPHAGARRRRRLCDHAGLSAVHVTMLHAGACRRPRPPRWSSSPAAASSMPELGDGGCVSATGVSLVCAAPMVAYALVSEGGGPWIIRAWGQQASSIGAMPPWQWMRS >ONIVA08G07970.1 pep chromosome:AWHD00000000:8:7537593:7539863:1 gene:ONIVA08G07970 transcript:ONIVA08G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGGDGDEAEKAPTLSPAAVACARGWMRRRRRTAAMASDGLGGAVPRSNPVTTVVLRPDPVAAGVPRLTSHGSTGGADDHGGGCNPSEAGGCCPGGGPCLDDSIDHTWAEEAVDSMMGLLAASSLGGAFSCGAFACHGKASKMG >ONIVA08G07960.1 pep chromosome:AWHD00000000:8:7521628:7524866:1 gene:ONIVA08G07960 transcript:ONIVA08G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I911] MASSFFNVNLVKHVLRENEITMQLYLDQVYSGQNHNQENMVPSTHPASFGLIVVHDWPIYDGPDPKSSTIVAHARGS >ONIVA08G07950.1 pep chromosome:AWHD00000000:8:7498049:7499528:1 gene:ONIVA08G07950 transcript:ONIVA08G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I910] MAYYEIAPVECPLQQNELYMHLYLRQADRGPNRDQEVILNPKVRPNDFGLTATTAWTISDSLDPNAKIVARAEGFHMQTSYNNTSWYASFNIVFEDDRFKGSMLQVMGTTPAEGQWAISSGTGEFALAHGIIKQKVIQSTPGENVKELHVHAFYTPMNDSVVPGATDGKSWTLGA >ONIVA08G07940.1 pep chromosome:AWHD00000000:8:7470487:7476325:1 gene:ONIVA08G07940 transcript:ONIVA08G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I908] MAPSSPRRDLDGEGTGGGSTAPREKERPRSFDEKTRSACWRKAAVVMGRHPERWRQDAAGNVVCRCFWSCHGCLCYEYDHIVPFSKGGESTVDNCQILQTRVNRSKSDKAWIEQAEMQGFSCDIKFTDKELDVIEMAVYGDVIRPGKQCRCRTVAEMLGKVKSKNQMAACELPYNDAS >ONIVA08G07940.2 pep chromosome:AWHD00000000:8:7452307:7476325:1 gene:ONIVA08G07940 transcript:ONIVA08G07940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I908] MDGAARQKGQGRRNSTLGRSDSLHYVNCRSSSTRSRAAGNHSERGQSSKRHGRSLAGLFSCRNASSSTVFYGAEQTVFTALLGLGGSARWRQGGVLTRLKTATASCRCNLCFLFEK >ONIVA08G07940.3 pep chromosome:AWHD00000000:8:7462438:7470595:1 gene:ONIVA08G07940 transcript:ONIVA08G07940.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I908] MASPFFKVIPVRPVLRDQCEITMRLYLHQVVTGPNHNQETMVPSSHPASFGMIVINDWPIYDGPDFNTSTIVAHARGMHVQVDQVNNTWYTSMNIEFVDARFNGSKLQVMGTTPETGEWAIVGGTGQLAMAYGTIQHNIVKNNPGIETTRQLDIHAFYRCNDYNEYNRPAGRIMHHAAVQPKVKLRRLG >ONIVA08G07940.4 pep chromosome:AWHD00000000:8:7470487:7474764:1 gene:ONIVA08G07940 transcript:ONIVA08G07940.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I908] MAPSSPRRDLDGEGTGGGSTAPREKERPRSFDEKTRSACWRKAAVVMGRHPERWRQDAAGNVVCRCFWSCHGCLCYEYDHIVPFSKGGESTVDNCQILQTRVNRSKSDKAWIEQAEMQGFSCDIKFTDKELDVIEMAVYGDVIRPGKQCRCRTVAEMLGKVKSKNQMAACELPYNDAS >ONIVA08G07930.1 pep chromosome:AWHD00000000:8:7421160:7421593:-1 gene:ONIVA08G07930 transcript:ONIVA08G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNPSPAVLGRGGEQWRGRPLHLHDLDSDNVDDQDVLAATTAGGDVGSGGSGSAWTPPSKLAQSAISVRLACLSLV >ONIVA08G07920.1 pep chromosome:AWHD00000000:8:7418719:7419234:1 gene:ONIVA08G07920 transcript:ONIVA08G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLSTGEKTKKMATTSRPSSPLPPEEETAAETTTSEEEEQQQMERFYALVANVRALRAMFKEAALPSCREDDVSGGGGGEQRQKRPRAAPWRPAFEMAVFECGGGGGTTTDDIEAATTKGQDGNCKKGKRSEANAAAEEDKGEVIEGKPVAIAIVADGPGKSTTMPDSN >ONIVA08G07910.1 pep chromosome:AWHD00000000:8:7416334:7416645:-1 gene:ONIVA08G07910 transcript:ONIVA08G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVGEKKAVDMHGGARARHPPPFTPNPIATMGFLHTGGGRNDRNQSREKTAIAPLSLHRWPNPCRAEVFEASARTEEMDATSTRRRMEAATAESRERRMMWP >ONIVA08G07900.1 pep chromosome:AWHD00000000:8:7363390:7368611:-1 gene:ONIVA08G07900 transcript:ONIVA08G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADALEQIKNEAVDLEHIPLEEVFQYLKCTREGLTNAEGDARTQVFGPNKLEEKKESKILKFLGFMWNPLSWVMEVAAIMAIALANGGGRPPDWQDFVGIIALLLINSTISYWEESNAGSAAAALMKNLAPKTKVLRDGRWSETDAFVLVPGDVISVKLGDIVPADARLLDGDPLKIDQSALTGESLPVTKLPGDCVYSGSTCKQGEIDAVIIATGVHTFFGKAAHLVDTTNQVGHFQKVLRAIGNFCIGAIAIGMAVEVIVMYPIQHRLYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSDQGAITKRMTAIEEMAAMDVLCSDKTGTLTLNKLSVDRGLIEVFVQGLAKDEVIFLAARASRVENQDAIDTAMVGMLDDPKEARAGIREEHFLPFNPVDKRTALTYVDLADGSWHRILDLCKCSQDVRTKVHAIIDRYADRGLRSLAVARQEVPDRRKDSPGGPWEFVGLLPLLDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGVNMYPSSALLGQSKDESIASVPVDELIEKADGFAGVFPEHKYEIVKKLQEMKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTQPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLPEIFITGIVYGTYLAVMTVLFFWAMRSTDFFTSTFHVKPLMEKDEMMSALYLQVSIISQALIFVTRSRSWCFVERPGMLLCGAFVAAQIIATLVTVYATLGFAHIKGIGWGWAGVIWLYSIVTFLPLDIFKFAVRYALSGRAWDTLIEHKIAFTSKKDYGRGEREAQWATAQRTLHGLQTPEMGDHLGGVGGGERSSYRELSEIAEQAKRRAEVARLRELSTLKGQMESTVRLKGLDMDNVQHHYTSTAGELARRRRRRLRRARSPRLFSGAACMQLSLSSSSSSVIPFFLY >ONIVA08G07900.2 pep chromosome:AWHD00000000:8:7363390:7368611:-1 gene:ONIVA08G07900 transcript:ONIVA08G07900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADALEQIKNEAVDLEHIPLEEVFQYLKCTREGLTNAEGDARTQVFGPNKLEEKKESKILKFLGFMWNPLSWVMEVAAIMAIALANGGGRPPDWQDFVGIIALLLINSTISYWEESNAGSAAAALMKNLAPKTKVLRDGRWSETDAFVLVPGDVISVKLGDIVPADARLLDGDPLKIDQSALTGESLPVTKLPGDCVYSGSTCKQGEIDAVIIATGVHTFFGKAAHLVDTTNQVGHFQKVLRAIGNFCIGAIAIGMAVEVIVMYPIQHRLYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSDQGAITKRMTAIEEMAAMDVLCSDKTGTLTLNKLSVDRGLIEVFVQGLAKDEVIFLAARASRVENQDAIDTAMVGMLDDPKEARAGIREEHFLPFNPVDKRTALTYVDLADGSWHRVSKGAPEQATHPHPWIPIRFDLSSPPLLTCLLILDLCKCSQDVRTKVHAIIDRYADRGLRSLAVARQEVPDRRKDSPGGPWEFVGLLPLLDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGVNMYPSSALLGQSKDESIASVPVDELIEKADGFAGVFPEHKYEIVKKLQEMKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTQPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLPEIFITGIVYGTYLAVMTVLFFWAMRSTDFFTSTFHVKPLMEKDEMMSALYLQVSIISQALIFVTRSRSWCFVERPGMLLCGAFVAAQIIATLVTVYATLGFAHIKGIGWGWAGVIWLYSIVTFLPLDIFKFAVRYALSGRAWDTLIEHKIAFTSKKDYGRGEREAQWATAQRTLHGLQTPEMGDHLGGVGGGERSSYRELSEIAEQAKRRAEVARLRELSTLKGQMESTVRLKGLDMDNVQHHYTSTAGELARRRRRRLRRARSPRLFSGAACMQLSLSSSSSSVIPFFLY >ONIVA08G07890.1 pep chromosome:AWHD00000000:8:7347085:7351758:-1 gene:ONIVA08G07890 transcript:ONIVA08G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPSSPSPPPAAAAAAATQQTQPQPQTPFYELVKGNSGLEKVLLRGTRGFSAEVYLYGAQVTSWKNDHAEELLFVSSKAIFKPPKAIRGGIPICFPQFGTHGNLEQHGFARNRLWTIDDNPPPLPVNPAIKSFVDLILRPSDEDLKIWPHSFEFRLRVALGPNGDLSLTSRIRNTNTDGRSFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKGKERFTEQGDAIVFESEIDKVYLAAPSKIAIIDHEKKRTFVLTKEGLPDAVVWNPWDKKAKAMQDFGDGEYKHMLCVEPAAVEKPITLKPGEEWKGRLALSAVPSSYCSGQLDPLKEHLNALNI >ONIVA08G07880.1 pep chromosome:AWHD00000000:8:7343746:7344018:-1 gene:ONIVA08G07880 transcript:ONIVA08G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSCFGRLIVCVLCRKRADLLVAKKVSLLLSRLV >ONIVA08G07870.1 pep chromosome:AWHD00000000:8:7337581:7341708:1 gene:ONIVA08G07870 transcript:ONIVA08G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICHVGPTATSSSSPTAWSTQQRKRKKKIGRLNMDETMGRRTVGGLLLTKGGSILVYREDSPRHKATACCTRTGCSSKLFRDKEKKMRRPTKEAVIPQRSQLVSGSNRLLPQGRMAYGSRRNAAGTCSETGNRPRRETAGQDLLDHLKERVNSSRKRSLSGGSSPSSSNTSSAGSLSSSSRSISRQLHRSVPKTRKDGGTNGSNARMRSDSGGNSGANVHRRADLQGPTGRFVSQSLLRHRSRNQEEPVSHLENSLNDSTEYWRFGVDESDEDASSDRHRGMRMDIDDMSYEELLALGETIGTVSTGLPEDELSNCLKRIHYVPSASTSHEDGDIKCIICQEEYLPAEEVAEMACKHYYHLACIQQWLRQKNWCPICKSVGSATKH >ONIVA08G07870.2 pep chromosome:AWHD00000000:8:7337685:7341708:1 gene:ONIVA08G07870 transcript:ONIVA08G07870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETMGRRTVGGLLLTKGGSILVYREDSPRHKATACCTRTGCSSKLFRDKEKKMRRPTKEAVIPQRSQLVSGSNRLLPQGRMAYGSRRNAAGTCSETGNRPRRETAGQDLLDHLKERVNSSRKRSLSGGSSPSSSNTSSAGSLSSSSRSISRQLHRSVPKTRKDGGTNGSNARMRSDSGGNSGANVHRRADLQGPTGRFVSQSLLRHRSRNQEEPVSHLENSLNDSTEYWRFGVDESDEDASSDRHRGMRMDIDDMSYEELLALGETIGTVSTGLPEDELSNCLKRIHYVPSASTSHEDGDIKCIICQEEYLPAEEVAEMACKHYYHLACIQQWLRQKNWCPICKSVGSATKH >ONIVA08G07860.1 pep chromosome:AWHD00000000:8:7328322:7332918:1 gene:ONIVA08G07860 transcript:ONIVA08G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWRKKVAFRARRAWAALVSGRLRARKQGSRGLLKLHEDVQTCDYKDVQVMFEMLTSELEAQKQQQQLLPPLPRKPAWPGSSPSPAPAKQ >ONIVA08G07850.1 pep chromosome:AWHD00000000:8:7283498:7293528:1 gene:ONIVA08G07850 transcript:ONIVA08G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMKDLDPAFRGAGQKEGLEIWRIENFKPVPIPASSYGKFFMGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDESGTAAILTVELDAALGGRAVQYREIQGNETDKFLSYFRPCIMPQPGGVASGFKHVEVNEQEHETRLYVCTGNRVVHVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRLMADAEAGEFWGFFGGFAPLPRRAPVEDNEKYEETVFKLLCFNQGKLEPINYESLLHELLKTNKTTSLQERKSASEAAEKLLSDDNRTKTHVIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQAYIDCTGSLQVWRINDKDKILLPSADQSKFYTGDCYIFQYMYPGDDKEECLIGSWFGKKSIEEDRVTAISLASKMVESAKFQAVQTRLYEGKEPIQFFVIFQSFQVFKGGLSSGYKKFIAENGIDDDTYLEDGLALFRIQGSGPENMQAIQVDAAASSLNSSYSYILHDGNTVFTWTGNLTTSLDQEVVERQLDIIKPNSQSRSQKEGSETDQFWSLLGGKSEYPSQKIGRANESDPHLFSCILPKVHIALRIKEIYHFTQDDLMTEDVFILDCHSDIFVWVGQQVDVKVRLQALDIGEKFVKLDFLMENLSSDTPIFVIMEGSEPTFFTRFFTWDSAKSLMHGNSYQRKLSIVKGGGSPALDKPKRRTPTYSGRSTVQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESANSRNLSTPPPVVKKLYPKSATPDSSSAPSKSSATPSLTGSFDRPKSVKDGSELEKPKQEEDAKEGINTMTSRVESLTINEDVKENEPEDDEGLPVYPYDRLITTAADPVTEIDVTRRETYLSSAEFKDKFGMTKEAFSKLPKWKQNRMKIALQLF >ONIVA08G07850.2 pep chromosome:AWHD00000000:8:7283498:7293528:1 gene:ONIVA08G07850 transcript:ONIVA08G07850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMKDLDPAFRGAGQKEGLEIWRIENFKPVPIPASSYGKFFMGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDESGTAAILTVELDAALGGRAVQYREIQGNETDKFLSYFRPCIMPQPGGVASGFKHVEVNEQEHETRLYVCTGNRVVHVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRLMADAEAGEFWGFFGGFAPLPRRAPVEDNEKYEETVFKLLCFNQGKLEPINYESLLHELLKTNKTTSLQERKSASEAAEKLLSDDNRTKTHVIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQAYIDCTGSLQVWRINDKDKILLPSADQSKFYTGDCYIFQYMYPGDDKEECLIGSWFGKKSIEEDRVTAISLASKMVESAKFQAVQTRLYEGKEPIQFFVIFQSFQVFKGGLSSGYKKFIAENGIDDDTYLEDGLALFRIQGSGPENMQAIQVDAAASSLNSSYSYILHDGNTVFTWTGNLTTSLDQEVVERQLDIIKPNSQSRSQKEGSETDQFWSLLGGKSEYPSQKIGRANESDPHLFSCILPKDIFVWVGQQVDVKVRLQALDIGEKFVKLDFLMENLSSDTPIFVIMEGSEPTFFTRFFTWDSAKSLMHGNSYQRKLSIVKGGGSPALDKPKRRTPTYSGRSTVQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESANSRNLSTPPPVVKKLYPKSATPDSSSAPSKSSATPSLTGSFDRPKSVKDGSELEKPKQEEDAKEGINTMTSRVESLTINEDVKENEPEDDEGLPVYPYDRLITTAADPVTEIDVTRRETYLSSAEFKDKFGMTKEAFSKLPKWKQNRMKIALQLF >ONIVA08G07840.1 pep chromosome:AWHD00000000:8:7273575:7275980:-1 gene:ONIVA08G07840 transcript:ONIVA08G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAADHLDPAAPPSGPASSSAAVAEVNAWLASLAAEAGGAGGGGVGAPGGRGGVVGVGGGGAAPELSLGPEPTPRGVAYLRALAAASQARSRAAGIAAAGLRAQAAEYRAEAARLREALERAGLARDALSPPAAVSARAVAAVANLLAIRDTEMSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAITKLTELKKMLEKFKNDVEKQQAEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTPGL >ONIVA08G07830.1 pep chromosome:AWHD00000000:8:7263419:7271234:1 gene:ONIVA08G07830 transcript:ONIVA08G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARAHLLASLWAFYLILAISMVTGDLTNDLDILWGNSKVFYDNSGKQTISLTLDRWTTSAFRSKSTYLFSRIDMDIKLVAGDSAGTVTTLYMITEGLWQFHDEIDLEFLGNSTGEPYTLHTNLYARGKGGREKRYKLWFDPTADFHTYTIIWNQRNILILVDDKLIRQIKNNLMYSVPYPTYQPMRVYGSIWNADDWATMGGRVKTDWSQAPFTAYFRNYRAIACPPQQSSPLCGQSSGNWFNQELDVTRKQQLQEVDANYKIYDYCTDTKRFKDNLPKESTMGRLANWSDDRTNKSTPDYRIQAGSFLLKLWFRPIFIIFFMPTSSCQQMMSSSYTFQESKHTPQSAYSLRHFALAKAMGQPRAQLLPSMSMAALYLILATSPVISDMTDSLDMLWGNTQVLYDSTGHQIVSLSLDRWTTSAIRSKTKYLFARIDMDIKLVAKDSAGTVTTLYMITEGLWDIHDEIDLEFLGNTTGEPYTLHTNIYARGTGGREKQYRLWFDPTEDFHTYTIIWNPQMILILVDGTPIRQMKNQLRNDIPFPLYQPMRLYASIWDADDWATQGGRIKTDWSQAPFTAFFRNYQANACIPYKTAWICSQGSNDSSWFTQDLDEEGKQKLKDVDDNYKIYDYCTDSRRYPNGYPPECGSQ >ONIVA08G07820.1 pep chromosome:AWHD00000000:8:7248119:7251000:-1 gene:ONIVA08G07820 transcript:ONIVA08G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I8Z1] MANKGGEPAARAAVRHGGGPVAFKDAVDVDATPVRPPTELAAAVSALPAGVSYGQPMRCYGGTWVFESWAQGVVAMHRGGLVPRAGDVLLASLPKSGTTWLKALAFATMARRACPPPASPDHPLRRLNPHDCVPLLDRLFAAGRDALLDELPSPRLMCTHMPLSLLPPAKDRLVSIWHFRKRNVPDLLLQEVYESICDGTGFAGPVWDHLLGYWRASKIDLGRVLFLKYEEVLRDPVNTVRELAQFVGQPFSDTEEEAGIVAEIVKLCSLESLRSQKANKEGIQGVYIKFSHDSYFRKGVEGDWRNHMTPKMGEHLDSIMREKFDGSGLTI >ONIVA08G07810.1 pep chromosome:AWHD00000000:8:7240339:7244979:1 gene:ONIVA08G07810 transcript:ONIVA08G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I8Z0] MGSTGEVMLASGGGAAPAPATSRDGRGAAAGLGPVAFKDAADADAIPVRPPTEHDAAVSAMPARVFHNLKLRQHQGYWVLDAWARGAVAMQRGGGGLVPRADGDVLLASLPKSGTTWLKALAFAVMARAAHPPASPDHPLRRLNPHDCVPLVDRLFAAGRDAVLDELPSPRLMCTHMPLSLLPATVADGSSGCKIIYICRDQKDALVSMWHFLKRNGLQNLSLQEVYESFCEGTCFGGPVWNHILEYWRASKANPSRVLFLRYERLLQDPTDSIRELAEFVGQPFTSSEEEAGVVTEIVELCSMENLMSQKANKEGAQGVFIKFSHDSYFRKGVAGGWTSHMTPEMGRRLDAILRDKFDGSGLTI >ONIVA08G07800.1 pep chromosome:AWHD00000000:8:7230034:7231071:1 gene:ONIVA08G07800 transcript:ONIVA08G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPEGIHAEAIIHSWDPLPTIMGWLVRPRDLVALRLDVEAPIWSSLLGDDARVLATMMRSMELMELQWRSSTPSPRRDMNDLTRRIGPSLGHNVVVAELAAYTEGKTKSVPKV >ONIVA08G07790.1 pep chromosome:AWHD00000000:8:7209174:7227248:1 gene:ONIVA08G07790 transcript:ONIVA08G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIAWALLLCLAAAAAAVAAAPPHSQCLDNLPDLTAGGGEAGVVVHDLAGFEAYVTGAVHSTKAVLLASDLVSSGFEAPLLRKIADKVGQAGYYVAVPDFFHGDPYTLDLNLTEWFSKHSPVKAAEDAKAIFSDLRKKGISVIGVGGYCWGGKFAVEVAKTNEVEAIVTTHPGLVTVDDIKEVKCPIEIIGAQNDTLTPPKLVYQYVQALRHRTDRIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALDLMDICKTQLKATWKTMAPALLYPTILFLAAAAVGAAAPPHSQCLDNPPDLTTAGGGGEAGVVVHDLGGFEAYVTGAVHSRRTILLATDIFGFEAPLLRKIADKVGLAGYYVVVPDLFHGQPYTFDQNRTEWLSAHSPVKAAEDAKPIFAALSKEGKSIVGVGGYCWGGKFSVEVAKTNEVEAIVISHPAAVTADDMKEVKWPIEILGAQNDTVTPPRLVYQFVHALRQRTDQIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALALMLDWFHKHLK >ONIVA08G07790.2 pep chromosome:AWHD00000000:8:7209174:7227248:1 gene:ONIVA08G07790 transcript:ONIVA08G07790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIAWALLLCLAAAAAAVAAAPPHSQCLDNLPDLTAGGGEAGVVVHDLAGFEAYVTGFEAPLLRKIADKVGQAGYYVAVPDFFHGDPYTLDLNLTEWFSKHSPVKAAEDAKAIFSDLRKKGISVIGVGGYCWGGKFAVEVAKTNEVEAIVTTHPGLVTVDDIKEVKCPIEIIGAQNDTLTPPKLVYQYVQALRHRTDRIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALDLMDICKTQLKATWKTMAPALLYPTILFLAAAAVGAAAPPHSQCLDNPPDLTTAGGGGEAGVVVHDLGGFEAYVTGAVHSRRTILLATDIFGFEAPLLRKIADKVGLAGYYVVVPDLFHGQPYTFDQNRTEWLSAHSPVKAAEDAKPIFAALSKEGKSIVGVGGYCWGGKFSVEVAKTNEVEAIVISHPAAVTADDMKEVKWPIEILGAQNDTVTPPRLVYQFVHALRQRTDQIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALALMLDWFHKHLK >ONIVA08G07790.3 pep chromosome:AWHD00000000:8:7209174:7227248:1 gene:ONIVA08G07790 transcript:ONIVA08G07790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIAWALLLCLAAAAAAVAAAPPHSQCLDNLPDLTAGGGEAGVVVHDLAGFEAYVTGFEAPLLRKIADKVGQAGYYVAVPDFFHGDPYTLDLNLTEWFSKHSPVKAAEDAKAIFSDLRKKGISVIGVGGYCWGEVKCPIEIIGAQNDTLTPPKLVYQYVQALRHRTDRIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALDLMDICKTQLKATWKTMAPALLYPTILFLAAAAVGAAAPPHSQCLDNPPDLTTAGGGGEAGVVVHDLGGFEAYVTGAVHSRRTILLATDIFGFEAPLLRKIADKVGLAGYYVVVPDLFHGQPYTFDQNRTEWLSAHSPVKAAEDAKPIFAALSKEGKSIVGVGGYCWGGKFSVEVAKTNEVEAIVISHPAAVTADDMKEVKWPIEILGAQNDTVTPPRLVYQFVHALRQRTDQIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALALMLDWFHKHLK >ONIVA08G07780.1 pep chromosome:AWHD00000000:8:7201115:7201638:1 gene:ONIVA08G07780 transcript:ONIVA08G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRGKVFGLIRQPLTKGKTSCGGVRVSLRAGGGGGGGACSVFGRVVPNFVVGDITREESAQKAGQIHLFMLEIENN >ONIVA08G07770.1 pep chromosome:AWHD00000000:8:7194137:7194409:1 gene:ONIVA08G07770 transcript:ONIVA08G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPTRAEALSLFRSLLRTARQFSDYNIREYTRRRTVDAFCENRVLGDAVAAAAAFADGKKQLEVAKRQAVVYSLYAPKAKSIMEMKLQ >ONIVA08G07760.1 pep chromosome:AWHD00000000:8:7187094:7187318:-1 gene:ONIVA08G07760 transcript:ONIVA08G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLHGGWPSRGTLPFPFILSAIAGSCSQAPSSSRLCSYCSGLRKWRQRGERGRDSGVEGVEREEEEKNREDG >ONIVA08G07750.1 pep chromosome:AWHD00000000:8:7178963:7190874:1 gene:ONIVA08G07750 transcript:ONIVA08G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCWSILCLAVAGGGGGAATSADPLRLPCLDNPPELTADGDSEAGVVIDDLAGFPAYVTGDVHSGRAIIVASDIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVIKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGGYCWGVSAQVQLTAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDQFVNVLRQTTEPKHRLSPISMQINHMATASLSLLLCLAAAAGAAAAAVPPRLQCFEHPPDMKAGGGEAGVVVHDLAGYEAYVTGAAHSGRAIVLASDVYADKVGDAGYYVVVPDLFHGDPATTTVNFTEWLESHSPVKEPEKAKSIFAFLRNEGKSLVGVGGYCWGGKFTVEVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDIDFYAKIFPGVSHGFAGRYNTSDPFALETGKQALALMLDWFQKHLK >ONIVA08G07750.2 pep chromosome:AWHD00000000:8:7178963:7187078:1 gene:ONIVA08G07750 transcript:ONIVA08G07750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCWSILCLAVAGGGGGAATSADPLRLPCLDNPPELTADGDSEAGVVIDDLAGFPAYVTGDVHSGRAIIVASDIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVIKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGGYCWGVSAQVQLTAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDQFVNVLRQTTEPKHRLSPISMQINHMATASLSLLLCLAAAAGAAAAAVPPRLQCFEHPPDMKAGGGEAGVVVHDLAGYEAYVTGAAHSGRAIVLASDVYGPTC >ONIVA08G07750.3 pep chromosome:AWHD00000000:8:7178963:7187078:1 gene:ONIVA08G07750 transcript:ONIVA08G07750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCWSILCLAVAGGGGGAATSADPLRLPCLDNPPELTADGDSEAGVVIDDLAGFPAYVTGDVHSGRAIIVASDIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVIKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGGYCWGAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDQFVNVLRQTTEPKHRLSPISMQINHMATASLSLLLCLAAAAGAAAAAVPPRLQCFEHPPDMKAGGGEAGVVVHDLAGYEAYVTGAAHSGRAIVLASDVYGPTC >ONIVA08G07750.4 pep chromosome:AWHD00000000:8:7187567:7190874:1 gene:ONIVA08G07750 transcript:ONIVA08G07750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGASLGGDGVGVGGGLKGQRQMVVVVVITRSSRNQRGAGQGGSGNRTHLRDNNDADNYRQIADKVGDAGYYVVVPDLFHGDPATTTVNFTEWLESHSPVKEPEKAKSIFAFLRNEGKSLVGVGGYCWGGKFTVEVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDIDFYAKIFPGVSHGFAGRYNTSDPFALETGKQALALMLDWFQKHLK >ONIVA08G07750.5 pep chromosome:AWHD00000000:8:7187567:7190874:1 gene:ONIVA08G07750 transcript:ONIVA08G07750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGASLGGDGVGVGGGLKGQRQMVVVVVITRSSRNQRGAGQGGSGNRQIADKVGDAGYYVVVPDLFHGDPATTTVNFTEWLESHSPVKEPEKAKSIFAFLRNEGKSLVGVGGYCWGGKFTVEVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDIDFYAKIFPGVSHGFAGRYNTSDPFALETGKQALALMLDWFQKHLK >ONIVA08G07740.1 pep chromosome:AWHD00000000:8:7160217:7160438:-1 gene:ONIVA08G07740 transcript:ONIVA08G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLQLWVAAARVKKAPGLVDWFLDLCLCLRWQQQLWEEVRCILGSGEARFTEGGRDQCDMIGIDRFPFVRT >ONIVA08G07730.1 pep chromosome:AWHD00000000:8:7137960:7139630:-1 gene:ONIVA08G07730 transcript:ONIVA08G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVAGSSRSTNTALEEKVAGVAALIDKWRPDDGQCSLFLDGSRREAGRFLCAAVELHGAMLLVASDVDQERGDECLVRAQGVLEAAMRRLQLELELLLSTVRSNAVDGAISGHDVVGDAGVVGHITMVADAMMAAGYGMECVSTFNSHRRAEFAAAVRRLLGFAPSQHAHFHKLTWEDVDGKVQSWHTAAGFAFNIAFSRERVLCHRVFAADAALADKVFAGIASDHAADLLAVAEAAVMRARRAPERLFHVLDVHATLAEILPAIACILGDKSEAAARATAALRNAGNAARGILMSLEQAIQKTTSSKAAVTGSAVHPLTRYVMNYLVLLADYEDTLARIYQQGESTLTSGSGSASRVSPSSSADSIGRLVSVLQRKLEAMAVGYRPSALRSLFMANNTHYVSKKVRGSSKLEGIVGEDWIEEQMAETRRHVDAFVHSAWRDVLVAGGEGADAAVKEAVATQRSWVVADDEMGDAVRAAAAAVVVPAYRALYRRHGTAAWMTPGDVNAMISRQFGGLRNEAAGARPVSAGSATSRRHRLRLTSFSDKLAHVQ >ONIVA08G07720.1 pep chromosome:AWHD00000000:8:7107004:7109185:-1 gene:ONIVA08G07720 transcript:ONIVA08G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTDFIIMESAEIPGFGGTSWTDQETLLLLEALVILQAKWGDIAEHVDTKTKAQCMLN >ONIVA08G07710.1 pep chromosome:AWHD00000000:8:7111675:7120462:1 gene:ONIVA08G07710 transcript:ONIVA08G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLDTGQGEAAVVAAVEGSGFGHRALHMAASGGSVDVLRYLVEDLRLGVNQFNGKGQTPLFLSSIHGRAAATRYLLNHGSNPAIDKTVLPLHGAAVKGHCEIVELFLSKGVDVDLYSIAGTPLLAAAISGQHSTMKILLEHHADPNRVFNLDGTPLIMSIVSGSLECVKLLIKVGADMNFRDSNGVTCVMVAANHGSSVIMKCLLDAGANPNIPDEFNTTPIEVAANHGRRDIVEMLFPLTSPISTLSDWSIDGIISHVETFGLKPRDNDLSKRKSAELKLQAREAFERNDYALAVQHYTNAIELSTSAHDKATLLANRSLCWLRLSTGIGAIANANMCRMLRPSWPKACYRQGAAFMFIKDYGKACEAFADGLKLDPANEDIKKALRDAEEAMKKDKMERRG >ONIVA08G07710.2 pep chromosome:AWHD00000000:8:7105519:7112183:1 gene:ONIVA08G07710 transcript:ONIVA08G07710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGMMGKQEKEKMLLQAAYDGNLRLLRSLVRFECKRPRSPAPSGKPTTRGKNPCADKNRIRFGPGRWESRPITPQTLGILIHHPDRWKSTTLHPRGAGAVSPRRASVPPAPLSSSRRAPAPPTASTGDGASHRILLRRCLPPHPPASPPPLGSRCRHRRRLTSDLPEQPLVDASAAATDFPGAAFLPPSADIRSIGNYFSVQSPLSGHRVFPNKNLLEEHLLLHQLGTSVCCTKNVIVMYLRNVLFC >ONIVA08G07710.3 pep chromosome:AWHD00000000:8:7105519:7112183:1 gene:ONIVA08G07710 transcript:ONIVA08G07710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGMMGKQEKEKMLLQAAYDGNLRLLRSAHRRRLTSDLPEQPLVDASAAATDFPGAAFLPPSADIRSIGNYFSVQSPLSGHRVFPNKNLLEEHLLLHQLGTSVCCTKNVIVMYLRNVLFC >ONIVA08G07710.4 pep chromosome:AWHD00000000:8:7105519:7108508:1 gene:ONIVA08G07710 transcript:ONIVA08G07710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGMMGKQEKEKMLLQAAYDGNLRLLRSLVRFECKRPRSPAPSGKPTTRGKNPCADKNRIRFGPGRWESRPITPQTLGILIHHPDRWKSTTLHPRGAGAVSPRRASVPPAPLSSSRRAPAPPTASTGDGASHRILLRRCLPPHPPASPPPLGSRCRHRRRLTSDLPEQPLVDASAAATDFPGAAFLPPSADIRDLNATTVAASGEG >ONIVA08G07710.5 pep chromosome:AWHD00000000:8:7108515:7108859:1 gene:ONIVA08G07710 transcript:ONIVA08G07710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTLSQLLPSGTKGGGGAAAAGVLHRASKGAPVEEGGIGDEVESRAVPTSTAASIPSTAE >ONIVA08G07700.1 pep chromosome:AWHD00000000:8:7093593:7100054:1 gene:ONIVA08G07700 transcript:ONIVA08G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLVVFSVQPELQEERTARIFDQKRSTAAQLFADIKDGISIWKEAVVGHRGMRHSSRQPQVIANKGRG >ONIVA08G07700.2 pep chromosome:AWHD00000000:8:7093593:7100054:1 gene:ONIVA08G07700 transcript:ONIVA08G07700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSRQPQVIANKGRG >ONIVA08G07700.3 pep chromosome:AWHD00000000:8:7093593:7100054:1 gene:ONIVA08G07700 transcript:ONIVA08G07700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSRQPQVIANKGRG >ONIVA08G07700.4 pep chromosome:AWHD00000000:8:7093593:7100054:1 gene:ONIVA08G07700 transcript:ONIVA08G07700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSRQPQVIANKGRG >ONIVA08G07690.1 pep chromosome:AWHD00000000:8:7093588:7093989:-1 gene:ONIVA08G07690 transcript:ONIVA08G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGIGEGIGTAADEEDVGAGGGGKSAPAVADGAEVGTGCGGEEAAAPAAGMRRHSTRGRSTGSGGREESAALAAAVHAGRISSEDAAARRQNMRGGGGASEEEKRSLRVWSDVFAAGGGGAKYSARMDGAD >ONIVA08G07680.1 pep chromosome:AWHD00000000:8:7083560:7091849:-1 gene:ONIVA08G07680 transcript:ONIVA08G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGMGKQRWEKMLLQAAFDGNLRLLRKMARGLVTTGRGRGEADVLAAVADGGSGSRALHLAATEGRMDVLTYLVEDLRLDVNQTNDRGETPLFLSAFFGRTASTRYLLDHGADPMIVGKSGSPLHAAAGKGHCEIVELLLSRGIGIVFDSLYGTPLHTAAAHGQCSTMKILLDHHADPDKVFNLDDTPLNMAISSKSLECVKLLIQAGADVNFRDSNGATYVMMAANYGFSGIMKCLLDAGANPNIPDQFGVFPIEVAALQVHREIVEMLFPLTSPISTVLDWSIDGIFAHAKNFGSKPLPEDLCVKKIAQMKVEGKEAFKRKDYLLAAQLYTSALGLGPSPDDSAILLANRSLCWLRLENGKQALADANMCRMFRPHWIKACYRQGAAFMLLKEYGNACDAFSDGLKLDPANVDIENALRQRFRP >ONIVA08G07680.2 pep chromosome:AWHD00000000:8:7083560:7091849:-1 gene:ONIVA08G07680 transcript:ONIVA08G07680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGMGKQRWEKMLLQAAFDGNLRLLRKMARGLVTTGRGRGEADVLAAVADGGSGSRALHLAATEGRMDVLTYLVEDLRLDVNQTNDRGETPLFLSAFFGRTASTRYLLDHGADPMIVGKSGSPLHAAAGKGHCEIVELLLSRGIGIVFDSLYGTPLHTAAAHGQCSTMKILLDHHADAGADVNFRDSNGATYVMMAANYGFSGIMKCLLDAGANPNIPDQFGVFPIEVAALQVHREIVEMLFPLTSPISTVLDWSIDGIFAHAKNFGSKPLPEDLCVKKIAQMKVEGKEAFKRKDYLLAAQLYTSALGLGPSPDDSAILLANRSLCWLRLENGKQALADANMCRMFRPHWIKACYRQGAAFMLLKEYGNACDAFSDGLKLDPANVDIENALRQRFRP >ONIVA08G07680.3 pep chromosome:AWHD00000000:8:7083560:7091849:-1 gene:ONIVA08G07680 transcript:ONIVA08G07680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGMGKQRWEKMLLQAAFDGNLRLLRKMARGLVTTGRGRGEADVLAAVADGGSGSRALHLAATEGRMDVLTYLVEDLRLDVNQTNDRGETPLFLSAFFGRTASTRYLLDHGADPMIVGKSGSPLHAAAGKGHCEIVELLLSRGIGIVFDSLYGTPLHTAAAHGQCSTMKILLDHHADPDKVFNLDDTPLNMAISSKSLECVKLLIQAGADVNFRDSNGATYVMMAANYGFSGIMKCLLDAGANPNIPDQFGVFPIEVAALQVHREIVEMLFPLTSPISTVLDWSIDGIFAHAKNFGSKPLALGLGPSPDDSAILLANRSLCWLRLENGKQALADANMCRMFRPHWIKACYRQGAAFMLLKEYGNACDAFSDGLKLDPANVDIENALRQRFRP >ONIVA08G07680.4 pep chromosome:AWHD00000000:8:7083560:7091849:-1 gene:ONIVA08G07680 transcript:ONIVA08G07680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGMGKQRWEKMLLQAAFDGNLRLLRKMARGLVTTGRGRGEADVLAAVADGGSGSRALHLAATEGRMDVLTYLVEDLRLDVNQTNDRGETPLFLSAFFGRTASTRYLLDHGADPMIVGKSGSPLHAAAGKGHCEIVELLLSRGIGIVFDSLYGTPLHTAAAHGQCSTMKILLDHHADAGADVNFRDSNGATYVMMAANYGFSGIMKCLLDAGANPNIPDQFGVFPIEVAALQVHREIVEMLFPLTSPISTVLDWSIDGIFAHAKNFGSKPLALGLGPSPDDSAILLANRSLCWLRLENGKQALADANMCRMFRPHWIKACYRQGAAFMLLKEYGNACDAFSDGLKLDPANVDIENALRQRFRP >ONIVA08G07670.1 pep chromosome:AWHD00000000:8:7078738:7079209:1 gene:ONIVA08G07670 transcript:ONIVA08G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEKAFKGSSTIYIDVLITRLHFKSLKISYHTLKDKWSIRELISHDVNEEEQQNAERRNKQAFPTKALKTNENITDEPNELSATIVSKLRHKSRHEQEDCEGFRKWLP >ONIVA08G07660.1 pep chromosome:AWHD00000000:8:7074053:7078525:1 gene:ONIVA08G07660 transcript:ONIVA08G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVLRLRSRLVSAWSCTALERRTEAGRRDYARYQSATHHESMTCLYTMSPALARMGTYYGHGNPLDPAGDVLSINLSVHGRLNVTTPPASLPLRALLASVKSGRERPRIILLLTFEQLNGTNFQKWKERV >ONIVA08G07650.1 pep chromosome:AWHD00000000:8:7064543:7067325:1 gene:ONIVA08G07650 transcript:ONIVA08G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSQLNPNATPFVPPPRSSFEESLSGRKASEKQVGDSEKDETADKSSEYELPDSLSLDDYGESLGKLNISAESSSKGAASTALDPSHYEENGVDNHLAVVESLSKMFPDVSADFIVEALRAHDFDTELTIDMLADLCEGDD >ONIVA08G07640.1 pep chromosome:AWHD00000000:8:7053633:7054198:1 gene:ONIVA08G07640 transcript:ONIVA08G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLFFRVAAQPRLPLWPPGRTSCRPLSLAAVLSPHYSSLGLSETPTASSSPAASSASSWSTSPPSSSLHRLYWVCSRHAAPDPPLFPLTLAPPPTPSKLAAAMDGWTTAVGIAAAAPARDLAHLAPGRNGRKKEPLPPAMVGSLASSLSSELAGMTPRRNGRKNQEEMGERRKKTEKAGDGWKT >ONIVA08G07620.1 pep chromosome:AWHD00000000:8:7051184:7052074:1 gene:ONIVA08G07620 transcript:ONIVA08G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGDNGKKAMPQAENKEIAAKDAQDIRRQADEFNSWLDRMEAMDSQELKQYKEQNKDMFNSQKKAAINKIQQKEKKKRKRTVLSPILGAVMKFHRDNDVDPSASGPAA >ONIVA08G07610.1 pep chromosome:AWHD00000000:8:7040414:7042401:-1 gene:ONIVA08G07610 transcript:ONIVA08G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATVAGGREPTSSGARARGVGRLESVTAGGKWGKRKRVAWGGFLWAREGGTAIGSSGVVGRSRVGTWRGTREARSSKKTPRESKRLSSSRQMVIRVCRAPLISFLCREGPMRGRPKGTTTQLLT >ONIVA08G07600.1 pep chromosome:AWHD00000000:8:7019946:7020140:-1 gene:ONIVA08G07600 transcript:ONIVA08G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIFGLDEEVEDDGLGAADLTTKIDGTSSWRERRPEEGDDDGATFHGSSGSEEARPTMKTTR >ONIVA08G07590.1 pep chromosome:AWHD00000000:8:6966618:6969660:1 gene:ONIVA08G07590 transcript:ONIVA08G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCPVVVAFLRLSFPPRRSAVCLRRQVRSAKPIVLRLFVKPKDRRSHPVIVDPFVSVALLRSSSPVAVVVPLPHHARGARS >ONIVA08G07580.1 pep chromosome:AWHD00000000:8:6966304:6966570:-1 gene:ONIVA08G07580 transcript:ONIVA08G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMAATVQRAGSPASREDIRRKKTTVSSLIARKDELPAIFGLREGDAGYKHSKGRPSAATARAGTARLDGEGLPKDEDEGDVTTAHQ >ONIVA08G07570.1 pep chromosome:AWHD00000000:8:6959442:6962519:1 gene:ONIVA08G07570 transcript:ONIVA08G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASMLHRSLLCLTVLAAAAAAAQPRLQCLENPPELTAAGDGEAGVVVQNLGGFAAYVTGAAHSGRAIVLASDVFGFEAPLLRKIADKVGEAGYYVVVPDFFQGRPYNGDPSINITQWIMAHSPVKAAEDSKPIFAALKREGKSVVGVGGYCWGGKLAVEVAKTNEVGAIVISHPSSVTADDMTDVKCPIEILGAENDAVTPPRLVYQFVNALRQRPEVSSC >ONIVA08G07560.1 pep chromosome:AWHD00000000:8:6955466:6959069:1 gene:ONIVA08G07560 transcript:ONIVA08G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGVLGWRGGWGSPFPVQRARLIPRCGCRLSSKHVWGSTGTPWHMLSALDRLLFSAPQSCSLAHTWTASVMHNRLVRGCFSSLSAAAASAIRVPVASYGTSAAQSTSKDQHGSNINSALKVLNLVPRKADYDKVGGPCHHQLIHDCMNDILGVQSNHTIHKGNGVTFNSCSNPAQAKFDSFVSNNGSALRSRTRFIKEDMFMLIMELHRKGETSTDQSILAAAMSSCADRQMFTQGTQLHGLLVKVGCDSTVFIGSSLITLYSRCSQLESSYLVFQTMPTKNTVSWTAMISGFALHNRVEPCLHLFASMRLSSCKPNDITFATLFSVCTNHALLALGKSVHALQMRMGFHSYVHVSNALLSMYAKCGCIDEAQSIFGFIACKDLVSWNAMIFGCSQYGLAKHCLDLLKEMERQHIVPDALSFLGVLSSCRHARLVEEGRHCFKTMIEHGIKPGLDHYSCMVDLLGRAGLLEEAWDLIQTMSIPPNAVIWGSLLGSCRVHGNISIGIQAAEHRLKLEPGCAATHIQLANLYATIGCWSDVARVRMAMKARGLKTNIGCSWIEVGDKVYSFTAENRSKSHQVNNVLAILDCLQAHMECKYDMLTESLE >ONIVA08G07550.1 pep chromosome:AWHD00000000:8:6950405:6951511:-1 gene:ONIVA08G07550 transcript:ONIVA08G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I8U9] MPCSGERCRRVWWSAAAAVVAFFFVFVAAAAAAATASMYDDVEVVWGGDHSFFFMDGDGDALALCLDETHGSGFRSRDAYLYARFDVDMMLVANNSAGTVTTLYLMPDDVPWEYHDEVDLEFLGNVTGEPYTLHTNIFANGVGGREQQFRLWFDPTADFHTYSIVWNPKHIIILVDGVPIRDYRNTAARGGPAFPTWQKMRAHGSLWNADDWATQGGRVKTDWSEAPFFAYYRGLRVTPCAPSPGVAWCGDEPPESPWFDQQETDAAALSKARREHLLYDYCEDTKRFKDTGLPVECTIN >ONIVA08G07540.1 pep chromosome:AWHD00000000:8:6941811:6942329:-1 gene:ONIVA08G07540 transcript:ONIVA08G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLLALQFKKQIGTDNAGTYPRGEALLSLGAARAATSERHCQPLLLLPSASPPPERPLAKPGGRKDGSGGAPCLLSRARRLGALPRRREDPKWWSSATSFDDGGDRSRPLMAGSGVPAGVQAEVVGVRTEEVSGALGGGRRCVEKAVAMVTLGPVMGTAGSGAPWPDPPPG >ONIVA08G07530.1 pep chromosome:AWHD00000000:8:6937142:6939763:-1 gene:ONIVA08G07530 transcript:ONIVA08G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDGGDMARNGDGGGADMVGAGGMFSYADADGAAEPSSLPQPTSAPSPSPAAPAPPTSSPTPISILLDDLLLDTAQRLLLRPQLRYLPPRGLVVEKEERSSRLIPPIGSPSQACLRIPPPE >ONIVA08G07520.1 pep chromosome:AWHD00000000:8:6935376:6937107:-1 gene:ONIVA08G07520 transcript:ONIVA08G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMLNFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIKFHKNHGGEATIMVTKVDEPSKYGVVVMEEATGMVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRISADAKLFAMVLPGFWMDVGQPRDYITGLRLYLDSLRKRSANRLATGAHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVGDEVYTNGGVILPHKEIKSSILKPEIVM >ONIVA08G07510.1 pep chromosome:AWHD00000000:8:6921127:6926678:-1 gene:ONIVA08G07510 transcript:ONIVA08G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISPTPPLCPSHRSAPPGQFALPAPGLRGALLRASISPSPGVAGLVVAKPIPSAIDRSHHLALNSKVALAPLHHFQNAFAKIHYMQSGARVMHHCLLRQSLLHFHDRLLTHAASRPRLIIWNICLKAGNSANHFQVSEASEDIINYNGGIFKKILMKHVAYCKEDQLD >ONIVA08G07510.2 pep chromosome:AWHD00000000:8:6921127:6926678:-1 gene:ONIVA08G07510 transcript:ONIVA08G07510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTISPTPPLCPSHRSAPPGQFALPAPGLRGALLRASISPSPGVAGLVVAKPIPSAIDRRRWRHYIISRTPSPKFTICNQAQGNSANHFQVSEASEDIINYNGGIFKKILMKHVAYCKEDQLD >ONIVA08G07510.3 pep chromosome:AWHD00000000:8:6921127:6926678:-1 gene:ONIVA08G07510 transcript:ONIVA08G07510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTISPTPPLCPSHRSAPPGQFALPAPGLRGALLRASISPSPGVAGLVVAKPIPSAIDRSHHLALNSKVALAPLHHFQNAFAKIHYMQSGARNYNGGIFKKILMKHVAYCKEDQLD >ONIVA08G07510.4 pep chromosome:AWHD00000000:8:6921127:6926678:-1 gene:ONIVA08G07510 transcript:ONIVA08G07510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTISPTPPLCPSHRSAPPGQFALPAPGLRGALLRASISPSPGVAGLVVAKPIPSAIDRRRWRHYIISRTPSPKFTICNQAQGLCITAYSANRCSTSTTNYNGGIFKKILMKHVAYCKEDQLD >ONIVA08G07500.1 pep chromosome:AWHD00000000:8:6916377:6920884:1 gene:ONIVA08G07500 transcript:ONIVA08G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSESRRGTASATAKPSTASVPCAGATAADSRHIIGVVEVAREWYEGEGEGEAASGGVMDVRAVGEFRRLMALGEIKIGDGVRMVREFRPIGKREEGREEGKKREGGDVVSLTCGINVGPTLSQLPPTEGEGGGAKAVTTCFAEFASEANLDVDDGGGDNDEEEREYQRAKREDELKRRWISSVLAMAGREVDAGVVDPSSVYYYKRAEVLYESSRDLRHVRKTMDWHLFYNMHVLSPTPLGPMDHWNNCSNKGDGCKEEPYAMLQIFDVKVLPFTLDVTRPVEVYGIIAVRDDVDEYRRNYIFNRSRDNPVLITPAYDSLPLMSPTRGMSMAEACLIETDIRIKVQGEDATRDLTMADGCRCLQDNLCSSFDYHSKIRIDGELGAVLTHSMLIHDAVEATIQLDFRRLPTTATGGGDFRVRMTGYTRARPPSDDDHPDNYVFAGDGRELDEIVVLAAGELEHRFVAAVRLGDTLELSFMEEGREPLAFLSARHDSEVKPYRFQNGALVLVQVSWSVMELGP >ONIVA08G07490.1 pep chromosome:AWHD00000000:8:6909763:6916113:1 gene:ONIVA08G07490 transcript:ONIVA08G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPATDAAGRCEGGEEAKVVITCFAEFASEANLDGDDGGGDAGREYRRAKREDELKRRWISSVLAMAGREVDAGVVDPADGFYYRSVYPLHDSYRDLRHVSKTYPWHDFYNMSNLSPTPLGPMDHWNNCSNNGDGCEEEPYAMLQIFDIKVLPFTLDVTCPVEVYGIIAVRDDVDEYRRNYLFNRSRENPVIITPAYDSLPLMSPTRGISMWEACLIETDIRIKVEGEEAARDLTMVDGCVDIQEHRCGYDSHFKNRIDGELGAVLTHSMVIQAAVEATIQLEFRRLPAAAAGGGDFRVRMTGYTRARPSDHHHPDNTYVFAGDGRELDEIVVRADGELEHRFVAAVRLGDTLELRRRGREADGDRGLHRLSEGGRRLVLPLLLPLLTLPPPKKATPNAAAVATGNCKY >ONIVA08G07480.1 pep chromosome:AWHD00000000:8:6901901:6906849:-1 gene:ONIVA08G07480 transcript:ONIVA08G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I8T9] MGRRNASKPSSDRFSARVKAVTGAFPAARKGGEVKASTLSQAEDLTADLPFSPLAHHVLGHVRAALGEDALALVSLRRAIELAPGDLGIAFTLAERYAAREQFDLAAEECQRALSRGDADLVDPQLHAVFEPRHLEPSKEARISTAKNRLKQLLVYALSKIAIPMARDRWNGMSEEKRRSFLTVGIDEMVAYYCAKSSEQCQMSALTGAVDFVKDTGEWICWLCPQCEMTFLTAKTFQISDEQTEFIKCWTLPSDVNPTEEAEGEKILTKIKSTFQYLKDQKALSVDLFDNLIKFTKNRIEEAVTQNYSCITSLDPGGLLLLGTYLDLLRLRAKIDIEIMQLGAEIDNLKKNLLEVCTYNYREIILPAMKDFLWAKLCNVPPKGVSSSEDDKVAEASIENRDPVQEDINVEIVLQEDDEKGAKDNREGGDSNLPHDNGAELPGDSKVLQSGEKLELPPRANERNKVDTVLCKMLNDKAVSNEKRQVIIEEFEKLKAEEPTAVADWTNTEISFFRESLTIDLPAATDAPNLLNENVPPSVLCNISKDQNSLENYVASIAHVRSWQFSGSTVKKEFGSSLRRIYCTRSDGTFIFYFRYRNYQVGLIIDGSRFWVEGAITCTFDGEDLVTEQILQFARAKDEPDYILSDRKHGLPFTGSYLNARLITDIKMSIWELRRSTRSIALYKPDQSNAAKLAGNFQVLILYAVEAARFDPVFWFGQASLRSYVPRRTLKMGSWILSLVNKNKKLSGHALIAIKMKKAFKIVRGSFPRNLYQLCSSLRILQREVVKETRFVLWNAPKERKQDVIYQDYSPMHRGDQVYQSEVWLTEPWNGNMWVNLSQFGCVPDDAMRQDPWKNQNDNVYEMLDTVLPLAGIRALFRTVGASLNRSKWCPIAQQAKYRDKCQGVGACYSKISVAPIPSRRVMD >ONIVA08G07470.1 pep chromosome:AWHD00000000:8:6894339:6899610:1 gene:ONIVA08G07470 transcript:ONIVA08G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRNKRRMPRNRGAASASAPTNADWEDATAAFREEAEAALRSLSIGEDDGAAAERLAARHRGSPLAHHVVGHARAALARAGDAVAPLRRAAGLAPGARALAVGAPTDPALHAVSGRGLMAATPQCRVAVARERLRGVRADAEALTGVSHQATVPPPPPPPPSRSRRSALPDTDDEVWSFLTVRVEDLTAHCAKIGSSAGALAVADAVEFVKATNASVYWLCPVCDEVFLDSNSFQSHVESEYIHQLKQLLPLMQPSTTMKPTSKDSSWWKPIGAQEEEEEIARIREAFSIVNGSEVSYLGCVAFSILDGPEIPSIDLMVFSSLDEPEVSSVEPVSNEVKFKKGRRRRLKKWDAWLDHCREIERDCPSWEELLLPLCKEMPELWEHLESCVETEENENSFPLISLFQDGSALFLDSQKIARRDMDGSINVDALFNWLLRGSSPQKPVPSWTSIRKRCVHDGNEVLKRIGEISDLLQEQFGLKGHSEGTMHGDFFTTKVNSIDVEISHMFAEVSFLRKKLVKVCTFDYRIVILPLIKDYLWAKLNNGSPGKELHDMDDIHEEYVVQEKSEGADSDLDHQISMTEELENSSLSFSDSSDLSTLETESTSFNSGIGTVHQISTDELSLNVTLRALWHLRRFHVFRQIPHTLHHISVRDHCIVCLLCEIFYAWDHNENHTAAILLGNAGTAFSDILNDRNFDYKENENVASEIISIIVELLHMSQKHYYFETFEPVEISPSRCFGYCVPHDVFGVYLKQKKCNCVNKPGAENHYIAIFHTVDVGAVQKSEMKSFDDILKAAELDVESCRCGNMTEVFSWPTEKENYVDMSEVLINIAAPLDIVHTVHEVDIKRMYSLITVKILMPGQGVKHW >ONIVA08G07460.1 pep chromosome:AWHD00000000:8:6886963:6887169:-1 gene:ONIVA08G07460 transcript:ONIVA08G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVDNSCGGRGQRWQRLRWKNTPAAGVVDERRGGHRRLRWTRNASAMEKGDGNSKELGRLRPRRM >ONIVA08G07450.1 pep chromosome:AWHD00000000:8:6880338:6882967:1 gene:ONIVA08G07450 transcript:ONIVA08G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASSCCASTSARPLVRRAGSRSGKLWWAGGGRKARLLSISATAAAPSGVDYAAGTGAAADDDAVAALKVKLLSAVSGLNRGLAASQEDLDRADAAARELEAAAGGGPVDLEGDMDKLQGRWRLVFQRIDVVSKDFDNIVDVELGAPWPLPPVELMSTLAHKFEIIGTSSIKITFDKTTVKTKGNLSQLPPLEVPRIPDNLRPPSNTGSGEFEVTYLDGDTRITRGDRGELRVFVIS >ONIVA08G07450.2 pep chromosome:AWHD00000000:8:6880338:6883661:1 gene:ONIVA08G07450 transcript:ONIVA08G07450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASSCCASTSARPLVRRAGSRSGKLWWAGGGRKARLLSISATAAAPSGVDYAAGTGAAADDDAVAALKVKLLSAVSGLNRGLAASQEDLDRADAAARELEAAAGGGPVDLEGDMDKLQGRWRLVFQRIDVVSKDFDNIVDVELGAPWPLPPVELMSTLAHKFEIIGTSSIKITFDKTTVKTKGNLSQLPPLEVPRIPDNLRPPSNTGSGEFEVTYLDGDTRITRGDRGELRVFVIS >ONIVA08G07440.1 pep chromosome:AWHD00000000:8:6873225:6875032:1 gene:ONIVA08G07440 transcript:ONIVA08G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I8T4] MAKLLALSVAVSWLAASAAAAGFYEKFDVVGAGDHVRVVSDDGKTQQVALTLDRSSGSGFTSKDTYLFGEFSVQMKLVGGNSAGTVTSFYLSSGEGDGHDEIDIEFMGNLSGNPYVMNTNVWANGDGKKEHQFYLWFDPTADFHTYKIIWNPQNIIFQVDDVPVRTFKKYDDLAYPQSKPMRLHATLWDGSYWATRHGDVKIDWSGAPFVVSYRGYSANACVNNNPAGGWSSSSCPEGTSAWIHRELDGAELGTVAWAERNYMSYNYCADGWRFPQGFPAECYRK >ONIVA08G07430.1 pep chromosome:AWHD00000000:8:6851086:6855435:1 gene:ONIVA08G07430 transcript:ONIVA08G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILVPSRHLSSYIAILFGKLPHYSQIFCNVVVYACSKMDTTQIDETSLSLQLFSGPTLKNHFFPGIWKAKTMEMQAILTVHFSTCQHRSPLDWTGVFRYQCLSAHGPRPAIVPTATFGAHSVGCVPKVIPTCGPHSW >ONIVA08G07430.2 pep chromosome:AWHD00000000:8:6853443:6855435:1 gene:ONIVA08G07430 transcript:ONIVA08G07430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPILVPSRHLSSYIAILFGKLPHYSQIFCNVVVYACSKMDTTQIDETSLSLQLFSGPTLKNHFFPGIWKAKTMEMQAILTVHFSTCQHRSPLDWTGVFRYQCLSAHGPRPAIVPTATFGAHSVGCVPKVIPTCGPHSW >ONIVA08G07420.1 pep chromosome:AWHD00000000:8:6846250:6850799:-1 gene:ONIVA08G07420 transcript:ONIVA08G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding M >ONIVA08G07420.2 pep chromosome:AWHD00000000:8:6842400:6850799:-1 gene:ONIVA08G07420 transcript:ONIVA08G07420.2 gene_biotype:protein_coding transcript_biotype:protein_coding LCKSNAQDHPSQPHPPLRALSLALTRRRPSPTARRSPTLISPSHAAPPPSSPPSTAAAPPSSHPSSRRHRSPPPARPQPIPITVVASVVVQDSRRRHRSPPTDHPPPIPARIHVAADAFRRLVAADTFRLDLLLDATDPRRRLALNRSPSPPSSRRLALAIVSPQGIADGVYLAKDYIHVIAWVSTSLISFARNSIGKPRQSGPPTRRQDSIPCLVDLLRRRAAGSCIDTLRPRSLPRSSPHCRINVDVARLASLLSGTSYLRQRVAVSSIKALLSPLLEAPPPFLLDNAVRVDAADIKDL >ONIVA08G07410.1 pep chromosome:AWHD00000000:8:6839554:6840893:-1 gene:ONIVA08G07410 transcript:ONIVA08G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGCGTRGAVAMVRDCELLSPTGCSDGEGTATEASARRWRRQWGYGAEMASLPLPLLLVLGLFVPFVESGRRWRQGLGLEGDDGRSRRMTITTLSILGCPRLTLDGLISNLKSFNTNAVFGIKHLRVGTLFSLRKEQYEELLSLLNTDKTQEVHNRGPKIPSC >ONIVA08G07400.1 pep chromosome:AWHD00000000:8:6835478:6838299:1 gene:ONIVA08G07400 transcript:ONIVA08G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVRAPPPHSCYSRRRATLLDARYVLGHMPQRRAPPRTAGLRAPPAAAAASVSASFRRTPPLRVAGRAACPAASVPYLFRTLLPIPTAFRSTVRVRLTSPAFLPSRRNFEGYIPQSCSGSSLQIYSQSSLLSLSPSSALVVSSQLNSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWSEKVFGSTEIKLEDAARSAMNWGTVNESVAIEQYTSITGRSVGSLGFAVHTDANFGWLGASPDGVLGCDPDGGILEVKCPFNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSVFRVPRDRGYWELIHEVLRDFWWGNVMPARELVLLGKEAEARSFEPQPKHRLTNLVIFRSRKLASEAKLLCKDIGGHVEFFP >ONIVA08G07390.1 pep chromosome:AWHD00000000:8:6819865:6822131:-1 gene:ONIVA08G07390 transcript:ONIVA08G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIADFGLAKLLIGNQTKTFTGVRGTRGYLAPEWSKNTAITVKVDIYSFAVMLLEIISCRKSMALKLAGEECNISEWAYEYMFSGEMKEVAAGKGVDEVELERMWWSQV >ONIVA08G07380.1 pep chromosome:AWHD00000000:8:6809327:6810244:-1 gene:ONIVA08G07380 transcript:ONIVA08G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGFSPRGGCRPSVETEQLAFQEAAAAGLRSLELLVSSLSAGGEQHRRPQQKQSSPPLGEIADQAVSRFRKVISILDRTGHARFRRGPVVGAAAAAASASPSSSPVSPPLPPVTTQPATAVKSLTLDFTNPTKVAAASVTSTSFFSSVTAGGDGSVSKGRSLVSSGKPPLAGGVKRKHHPNPHPHPPCAAGGDGHGHGAAHAHGGCHCSKKRKQRVRRTVRVAAASARVADIPADEYSWRKYGQKPIKGSPYPRGYYRCSTVKGCPARKHVERAADDPATLVVTYEGDHRHSPPPPPLPLV >ONIVA08G07370.1 pep chromosome:AWHD00000000:8:6785041:6791671:1 gene:ONIVA08G07370 transcript:ONIVA08G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVSLVGSLIAGISTLVEKEATLLQSVPENTRFVKDELQTIGAFLGVVENMKEDSPKYQMARVWAEQVKDLAYDMEDCLEEQITALTTRNSSWSQYVTNYRALRPFAAKLSDLKSRIVEVSERNMRYHLVIADQVIDNHMNFMVALNKVLSRSVEGTTDNWTQNVVTWAEAPEESASNDKTCAPKVTAVVGMFGSGSTRVSEIYKRHKADKKYDSYVWIELSQDVNVTKVFKDMMKQLFDDSSSQHEYTGEDELAHGIQHELKQKRFLVVFDELWTTHAWHSIKKALPHVSRSGSQVIVTTEIVHVAKGCTESDDHVYWVQLLSKQESFERLKNLILVTENSKMTHEDREDFEDLDLKELDRLKVLEPPFNTIEQILRKCRGLELAIGTVAKLLASKSPHKWGKLCDDLPSLLYSNHPMLKDIWKVMIQRYKDLPPYLKPCFLYLSIFPENSDINVETIIDRWLAEGLVRDRTGMSPRTVAKWYLLWLIDRSMIMVSNLRKNRSFKTCWIHPMMRDILVMISQEEKFSITVGPRKSSSLLVKRLPHVTLDGGSGRKLARCVDLSGIRSLTVFNEPSESIAALICSSKLRAVRILDLSNANEFKITRRDIERVGELCHLRYLNLYKTNIYELPSSIGMLPFLQLLNVRKTRITKLPNEVTQLGKLQLLRASRRTEDSCHNRRNRCCIDSALTVPKGIENLQDIERLDIMDIKDNSGSEIEALGKLARLEHLGLVGIKIGNSKQVSKTLKRISSSLTYLYLGACQSDGTLACLPISEKKRKKPLEFPRLQTIKLDGHIGKMPYWISNSWTLAVIKLYRTNLQQNHIMSLEKLPCLVTLALLDNSYISETLVFYDKAFRELKTLEIIRLTKLKTVIFTEEAVPQLRSLAIRCCELRLKGKNNLKLRKVDLDDGVEEV >ONIVA08G07360.1 pep chromosome:AWHD00000000:8:6768027:6768509:-1 gene:ONIVA08G07360 transcript:ONIVA08G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSHLKLSPLEMRLSMMKNFDSLSMGCHICDDPPKPGGLCSKASLPLPSAPDLALPLPELTSVCAAARTAVAGRWEAEVRRWRPEAAGPVPSRVSWERLEVVGRAEGSGKTSAREALGGGGARRCPSGGGGPRGGGYPRRRGLPAAPATQDGRGSRQR >ONIVA08G07350.1 pep chromosome:AWHD00000000:8:6764232:6767105:1 gene:ONIVA08G07350 transcript:ONIVA08G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASGPAQANVHGQHEPQEDSISSAASTSIAAPPEAAADVSTPPSSVGSRLPQQPGDGGGRGADGWSPAAAAVGQKGKKEKAERDEERKSDSLGRRSVRVAYHGHAKEEVMSKKLPWDDPRLRKEQVTPVSTINKSHRTSALGCINKEEIDGLLVVERPRFGPPYVACNEFTLKWSLKNDEIWYPLFAEILGDADKSIESRKVWDDIRLVRKLRPLQNSEKISSRTHYKMILVAAHKHGRKPNLICSSLFTEDRKAKGKLEGFEIPQNLVCPLCGNVMVDPVMIATGKTMDRHCVRAWFDKHGHICPVTCQPVSSTVLRNERIRGYVEEWHEAELEVEEDARVPFTRP >ONIVA08G07330.1 pep chromosome:AWHD00000000:8:6746948:6747763:-1 gene:ONIVA08G07330 transcript:ONIVA08G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGDVAADALKVAGDDGGEKQWPSQSLSPEAQRRMRFYLSCAIIDLKFDLWDIVQTHFVLEPSRRDLEENLDPAAAGDGDGDSSGASAGERGRSSSHRSDVKLGDAEEEDDDDEDEEEKCRRFAEKCIDPYFIVLGSASRYDPETNLHPAGAGDSSSDHRDSSTTCGCHHRPEYVDDSDDDDDEEEDAIKKAEEESLEHNELWREFTDKYIIASGYDDRFKEMDAIGEVYFDTTLDEETRTDMIDKLWRHIDKELSDRARAVSTGKFKF >ONIVA08G07320.1 pep chromosome:AWHD00000000:8:6744841:6745600:-1 gene:ONIVA08G07320 transcript:ONIVA08G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGWLEDEEGTEPSGGRVCLLLYSTLVAPPTGGRGGKRGGLTGTSSRRRRRLPRRGHHQRRTASIVHPRLYRRRGRLPERQRRRDARNEPKEAKKRRHEDFDAFTSSKAQDPARRGHCRRI >ONIVA08G07310.1 pep chromosome:AWHD00000000:8:6740259:6743231:-1 gene:ONIVA08G07310 transcript:ONIVA08G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLPFSLALLRRRRFPTSRLQRRAAGCGCARAAPGGSGSRWRSLRGIGGGGRAVGSFSSGQVDGALAAGFSEDHQTILSIIWIVTQGYHLKCKLQVERAMVTDVQISYYGRE >ONIVA08G07300.1 pep chromosome:AWHD00000000:8:6730803:6738910:-1 gene:ONIVA08G07300 transcript:ONIVA08G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLPLRPAPSSAPPKVERHRRGEGRGCRRGEGRGHRRGEFVAEVAEWGDAEGGGAHRKGTGVAANDDLSNCTLEKDRAEMAAEEVVEAAMENVLNKVYDEVMEEEVVGQGVGMEENNLSATIERGEKAKEEEQILMAANVSEVVTTPTRASSRLASMDDAHVVEKAGKRKAWKNLESDLLNIGIQQTNRSE >ONIVA08G07290.1 pep chromosome:AWHD00000000:8:6727017:6730323:-1 gene:ONIVA08G07290 transcript:ONIVA08G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGKQPAADAPSLLLEDPHPSAVVYATSATAAGSFSSGQIDGALAAGPPWRAVEWNSLQHLVASAFLVAVYSDNMLRKMVATT >ONIVA08G07280.1 pep chromosome:AWHD00000000:8:6724220:6727032:1 gene:ONIVA08G07280 transcript:ONIVA08G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGITPAGGFRWRSYRDLIRDVFIAGDMYCGPAKLLFPQITGSAGEDQARCGWSSASSVASSPVVSSSYMSSSGSPAWSSASSSPVHKLRVIAQQMVGDGYIQELIRAFGRRRPDELIFQRWFSQLDVDFVLVLHTDGMVRADSFSVEDLMALIKRWIRALLTMVQVLNITLLELPLPVAGSTERMAAAADHAQFTGFAEESILRMLAFVDAVTLSALNVNDDHRHRTPELLPGMLQLYACVSEACDLLVSAGMGKDEITKMQALDAMNNGILMQSRRKLSDAIWVMMEKVRALFLMDACWQVSQEAAASGTHETTELTMNYITLLWRNHTMLDYFSVFVSDADSFSSVARLIAEMITCLECKLEETSLSIPDLGLRFIFLLNNWHRVLQRVESLRDLPAAVRQERILLLHASDSKIKRYIDDYLNASWSPLLRCLLIDKPLVALGRSHESKIETQLQTTYATQKFWKVPNPQLRQRLRRAIMSKVIPDYITLYVVA >ONIVA08G07260.1 pep chromosome:AWHD00000000:8:6688798:6689734:-1 gene:ONIVA08G07260 transcript:ONIVA08G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDAIGDGIRGGKLGFMVEVLNCESVTGKRACPWVVFLTCHVVTGMPAGMNYLAGMGMGSACRARLPSLGEGEGRQVLGGSAWARRPSGMDQVGREEGEARERREREGERKDFGCEPRKREEDFGPDLAQRRKEDYF >ONIVA08G07250.1 pep chromosome:AWHD00000000:8:6672842:6675258:1 gene:ONIVA08G07250 transcript:ONIVA08G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLDPIARAVNAGQRPASNSDGDKRRVAADDGRGSGDGRWRSNSGGIGGIAVAIGISEEERHGKEVHLINNILFQLLHLAEKNCSMIQSDGQQEDSSLQSPCPVSTSNNLYETICPNPFCRQHKNIFNAIFSTEKTPYLIGYHKVADI >ONIVA08G07240.1 pep chromosome:AWHD00000000:8:6672616:6673081:-1 gene:ONIVA08G07240 transcript:ONIVA08G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRRPHERSVADPSVAAHPPVAGSPPIIGCHPTFIAVTVGCRSLSGVDCPRYWIKAVAHRRASSTATAARWLAARSSRPAPISSAHQVNQVQRMYWRRGG >ONIVA08G07230.1 pep chromosome:AWHD00000000:8:6661213:6669345:-1 gene:ONIVA08G07230 transcript:ONIVA08G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSRLAAPTSSSAAAASMQHELLEAAASGDLRHLKRLVRALDKVRGRLQEVVEAARTDGGIWALQLAAGNEQLEVCRYLVEGLRVDVNAADDEGRTPLVFAVIGENAAIVKYILDHGADPDKADDDGLTPLHSAAGIGDCEMIEMLLAKGADIDPAVNVSSVECVKLLVEAGADVNSDCISTAALDSAMGNDGSTECLNFLMEAGANYGGPNDFRVYPVCGCSLPHFWQPKFGNILVEDMIHQPWHQDQHVNKKKIEELKTSGNKAVDREDYISASVFYTKAMDLDPNDATLFSNRSLCWLCMGDGKKAFLDALECREMRPDWPKACYRLGAALMTLKDFESACDALFDGFKLDPDNAEIERALREAMESLKISSKGTKAT >ONIVA08G07220.1 pep chromosome:AWHD00000000:8:6659088:6662522:1 gene:ONIVA08G07220 transcript:ONIVA08G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKVCAEHVMNAVGKFAVMCRKEGIKQLGVVLHMRWLILNFVRLNFPSSNGSKSLNFCCPDWFYVLSWFFRWLTVLFSFLYTYYYPSAVYEFDFFLFNWIDGHSELYTLLLDKVHYQFLEFFLLGREAQINSYDIYMRTNLLELYRRNSGT >ONIVA08G07210.1 pep chromosome:AWHD00000000:8:6639472:6643932:1 gene:ONIVA08G07210 transcript:ONIVA08G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGILPLYLVGVGVSGEATQQNQSEFKKRSLCRKMSELQQTMIKRKRNRTPAKGVSTGTPYLVIGQMLPIRYGKRVPGSNTTLFVKVYSYEPKRTASATSTAMLTSGAALAMLSLVLLSVSVMLCKRRPFLRYTRAAQHHETEFDEENIGIRPYSFHDLELSTDGFAEKLGRGAYGTVFKGILTNSGNKGIAVKRLERMAEDGEREFQWEVRAIARTHHRNLVRLLGFCNEGVYCLLVYEYMPNGSLANLLFKRDATLPSWSNRIAIALDVARGLQYLHEEIEEWQRSQIDSSGMAKIADFGLAKLLIGNQTKTFNGVRGTRGYLAPEWSKNTAITVKVDIYSFAVMLLEIISCRKSMALKLAGEKCNISEWAYEYMFSGEMKEVAAGKGVDEVELERMVKIGIWCTQNEPVTRPVMKSVIQMMEGSMQVQRPPPPASFSQSLR >ONIVA08G07200.1 pep chromosome:AWHD00000000:8:6637405:6656500:-1 gene:ONIVA08G07200 transcript:ONIVA08G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSPPITGHGRRLPSAINHADIMHANDYQKLRLEETEASQNKNHIQSMDMLLFENLLKPTATLQGARVYLKNGRRLHSITDTESSTSESMARAAPDVNMAVEPYRNGMEATCQYKEVPNFPGYLPCQADDVSVVNGAKLPSRELGLEDVNWTHGNLISWPTLYGRLDLASRRAAPLLPTSLPDGGASPRPAASSQLQMRHLCSIGHTLRGIGNGNGGGRAAPSFSSGQINGALAAGPPWRAGFSSK >ONIVA08G07190.1 pep chromosome:AWHD00000000:8:6634703:6637691:1 gene:ONIVA08G07190 transcript:ONIVA08G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVAKAAVVPESVLKKRKREEQWAADRKEKALAEKKKAVESRKLIFARAKQYAQEYDAQEKELVQLKREARMKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLQNNKVIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >ONIVA08G07190.2 pep chromosome:AWHD00000000:8:6634906:6637691:1 gene:ONIVA08G07190 transcript:ONIVA08G07190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVAKAAVVPESVLKKRKREEQWAADRKEKALAEKKKAVESRKLIFARAKQYAQEYDAQEKELVQLKREARMKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLQNNKVIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >ONIVA08G07170.1 pep chromosome:AWHD00000000:8:6589040:6589318:-1 gene:ONIVA08G07170 transcript:ONIVA08G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPMTRAAALVLAAALLITTIACATARKDAVSMPRHLHDVTAPPTPNRRALLRDEARGHASTAAGCCTGHRSGGQESGCNDPTPCPRPRP >ONIVA08G07160.1 pep chromosome:AWHD00000000:8:6582539:6588202:-1 gene:ONIVA08G07160 transcript:ONIVA08G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLAHRPYNFTAIGDADPSLDRNYVTKLTTRCTNHDGILLEIDPSPQVQNQVWASCGDGRVKGASINEVDSSFNLS >ONIVA08G07150.1 pep chromosome:AWHD00000000:8:6576902:6577544:1 gene:ONIVA08G07150 transcript:ONIVA08G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCHSLVLSLLAIVSVLPLCKTATQRCGSRRESHGWSKARQQTGDRGRWAGSEATTSSPPTRIPSSPLLPSCVDPPLLRGSSTSDWILSSLWPLAAAAAFPTVSGHGGSLHYFRWVDPAVAVAAAEVGGGGVGDGRRLSYAFGGCGGVGWIRRQWRWPRAIRRQSAVVASATAAGGSSGDGGCRGRIRSPFFSPEFVSFSWNWTLGSSS >ONIVA08G07140.1 pep chromosome:AWHD00000000:8:6573298:6573828:-1 gene:ONIVA08G07140 transcript:ONIVA08G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGLPERIAKGSIRTVSKRPMAPRAAALVLAAVLMITMIACGAARKKDAVSMPRRLHDVTAPPTPNRRALLRDEARGHASTAGCCTGHRSGGKASGCIDPPPCPRPIDLHGLTTPPSQNRRALQVSPSPVVELSGCCSGHRSEAVASWCPNPTPCHDP >ONIVA08G07130.1 pep chromosome:AWHD00000000:8:6552405:6555721:-1 gene:ONIVA08G07130 transcript:ONIVA08G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAYRLMAMLAMLPILLLDTQPMAAPVPCLPGQASALLQLKRSFDTTVGDYSAAFRSWAAAGTDCCSWEGVRCGGGGDGRVTSLDLRGRELQAASLDAALFGLTSLEYLDISRNNFSMSMLPSTGFEKLTELTRLDLSDTNFAGRVPAGIGRLTSLSYLDLSTAFGEDEMDEDEENSVMYYSSDEISQLWVPSLETLLTNLTRLEVLRLGMVNLSSNGERWCDAMARFSPNLQVISMPYCSLSGPICRSLSSLRSLSVIELHFNQLSGPVPEFLAALSNLTVLQLSNNMFEGVFPPIILQHEKLTTINLTKNLGISGNLPNFSADSNLQSLSVSKTNFSGTIPSSISNLKSLKELDLGVSGLSGVLPSSIGKLKSLSLLEVSGLELVGSMPSWISNLTSLTVLKFFSCGLSGPLPASIGNLTKLTKLALYNCHFSGEIPPQILNLTHLQSLLLHSNNFVGTVELASYSKMQNLSVLNLSNNKLVVMDGENSSSVVSYPSISFLRLASCSISSFPNILRHLHEIAFLDLSYNQIQGAIPQWAWKTSTQGFALFNLSHNKFTSIGSHPLLPVYIEFFDLSFNNIEGVIPIPKEGSVTLDYSNNRFSSLPLNFSTYLTKTVFFKASNNSISGNIPPSICDGIKSLQLIDLSNNNLTGLIPSCLMEDADALQVLSLKDNHLTGELPGNIKEGCALSALVFSGNSIQGQLPRSLVACRNLEILDIGNNKISDSFPCWMSKLPQLQVLVLKANRFIGQILDPSYSGDTNNCQFTKLRIADIASNNFSGMLPEEWFKMLKSMMNSSDNGTSVMEITYKGNDMTISKILTSLVLIDVSNNEFHGSIPSNIGELTLLHGLNMSHNMLTGPIPTQFGNLNNLESLDLSSNKLSGEIPQELPSLNFLATLNLSYNMLAGRIPQSSHFLTFSNASFEGNIGLCGPPLSKQCSYPTEPNIMTHASEKEPIDVLLFLFAGLGFGVCFGITILVIWGSNNSKQQA >ONIVA08G07120.1 pep chromosome:AWHD00000000:8:6546464:6548086:-1 gene:ONIVA08G07120 transcript:ONIVA08G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENEVASAKKLFSASDVVGHASRKDCWVVIHGKVYDVTKFLEDHPGGEDVLLHASASGDATEAFEDVGHSTSAISMMNNYLIGSIKDYVPPSASEATTIGGNDVPPNFRRMPQKKGPPAPNTFLDFLLPLFVLGLAFAAWYYLTFIAKA >ONIVA08G07110.1 pep chromosome:AWHD00000000:8:6524237:6530844:-1 gene:ONIVA08G07110 transcript:ONIVA08G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKKDRTAVLFVISLMLWFSLPAKQYIARMDGPINVAAYMAAFFILTTTFHYNLNCANLPKCTEHECVADCHRRGFQVGVGLVHCMDGRPDQCCCVHGGLLHPDDKLTTN >ONIVA08G07100.1 pep chromosome:AWHD00000000:8:6517145:6518373:-1 gene:ONIVA08G07100 transcript:ONIVA08G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFKKDGTAVLFVMSLMVIAMVLSSCQAAIHFNLHCADLPKCTEHECMADCHRRGFQVGVGLVDCMDGRPDQCCCRHGLLHHPDDKLTTN >ONIVA08G07090.1 pep chromosome:AWHD00000000:8:6506674:6512861:1 gene:ONIVA08G07090 transcript:ONIVA08G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPSKLPTPSLPPSRSSSYVPPCSTLLRQAPTSPCQVPRPTSCFHSDAAGEVYEQLDRMPEMAAVEVFGSIAPFMEAAENFRVLIQETRAKAEEACRLAVVIQKAVAVATAAGGGSWDAAAAEDVCKKAAAAVGGGRLLVDDSDHTALFEKKASVKQIGIEEMRGKAKDVSSEEVKSSDDDEDMVIGGYAQDPYDDSGLEDLLQDQDALEKSIFVWLFIFLI >ONIVA08G07080.1 pep chromosome:AWHD00000000:8:6499625:6504535:1 gene:ONIVA08G07080 transcript:ONIVA08G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diacylglycerol kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63770) TAIR;Acc:AT5G63770] MDLVGSLLVNMTHLVDTSGLQFFGWLITAGSFGLAALIYALLRLQRAASLYWIKAAAREKKAAWKSLRCPSSSHTWTEDYFRGGQPSTCCVCLSSLTTAQGVGSRGADAVVVHRCSVCGVAAHSGCSLGAEKDCKCVSQHGASTLLHHWSERWVELDDNPEMSSFCYYCDEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLLKETGNTCDLGLLRRLIVPPLSVKEVAQGPAITGMLNSIKEGLVTSSVRGRIKRRGNKKRMNNHPGGKTSPVPTNGSILDSVLEGFARLQNLNGKYALANHKSSGNSIKQTHGYGSPNGGKKQYEIIDLPQDSRPLLVFINGKSGGRNGPSLRRRLNMLLNPIQIFELSASQGPEVGLQFFHNVKHFRILVCGGDGTVAWVLDAIEKQNYESPPPVSILPLGTGNDLSRVMRWGGGLSSVEGQGGICALLNDVDHAAVTVLDRWNVAIKEKNGAEDQCTKQVKFMTNYIGVGCDAKVAYDFHTTREEKPDKFCSQFVNKLIYAREGAKDIMDRSCSDLPWHVSLEVDGKNVEIPEDAEGVIVLNIPSYMGGVDLWQNDNEHDDDFGLQSMHDKMLEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRLHLHSSFPVQVDGEPWIQPPGCLEISHRGQMFMLRRTSEEPTGHAAAIMSEVLMNAECNGVIDAAQKRLLLHEIALRLSS >ONIVA08G07070.1 pep chromosome:AWHD00000000:8:6483599:6485258:1 gene:ONIVA08G07070 transcript:ONIVA08G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANYPKYVLYGLLIVGSWLLSCLLHFQVFHLSLFPYPSYLLSRRVVLPLALDARFLPPPPDVAGDDDGGIVRRRSSSPAKAAAEASCDGRYVYVLEVPRRFQMLTECVEGPKVFDDPYHVCVVMSNSGLGPVIPPAAAGNATVDGDIIPNTGWYNTDQYALEVIFHNRMRRYECLTGDMAAATAVYVAFYPALELNRHKCGSSATERNEPPREFLRWLTSQPSWAALGGRDHFMVAARTTWMFRRGGAGDSLGCGNGFLSRPESGNMTVLTYESNIWERRDFAVPYPSYFHPSSAREVSAWQATARAARRPWLFAFAGARRANGTLAIRDHIIDECTASPPGRCGMLDCSHGLEGSITCRSPRRLVALFASARFCLQPPGDSFMRRSSIDTVLAGCIPVFFHEASTFKKQYQWHERDADADNDNATVDRRRYSVVIDPDDVVEGRVRIEEVLRRFSDDEVAAMREEVIRMIPRFVYKDPRVRFEGDMRDAFDITFDEIMARMRRIKNGEILGWKLDGDDDVVAKDS >ONIVA08G07060.1 pep chromosome:AWHD00000000:8:6477337:6480478:1 gene:ONIVA08G07060 transcript:ONIVA08G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRRPGSDRRYPPLRFRKRKRSSSDSRSSKLNNKPGSDKWEDVCSICDDGGDFLCCEGRCLRSFHATEKHITEINQCTTLGLTEEQWKIFRQNDEKSEPLYICKNCKYNQHQCFSCGLLGSSDLSSGAEVFQCEDEKCGHFYHPKCLARLLYPDSSMQPLNFEEEVARGLKFLCPVHKCHVCKGGENKNDMENQFAVCRRCPTVYHRKCLPSDIVFEDDESNGIVQRAWDDVLRDQILIYCMKHEIDPELGTPARNHIIFPDCKNLLTRGPSKPKGQEDAPAVLDIPEEDMSPDHSSEPSQPPPQPAAETDQTDQDLSNGFNSFAPKALFPLPYPGSCGWLDD >ONIVA08G07050.1 pep chromosome:AWHD00000000:8:6462733:6462942:-1 gene:ONIVA08G07050 transcript:ONIVA08G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSECWQGSNPCWRKDMTTWEGKGKRAADAPNREGEHAYLLTCEALDPTDDETWTTNGGCGEEARRN >ONIVA08G07040.1 pep chromosome:AWHD00000000:8:6462664:6465311:1 gene:ONIVA08G07040 transcript:ONIVA08G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRKRRRFTRVASSAAIPFPPSNSLIPPSFFAATTVRRPSFIVCWIQRLTGSDRFRWPSVLPPHPDHDPSTLGLIPYRIQY >ONIVA08G07030.1 pep chromosome:AWHD00000000:8:6453071:6458780:-1 gene:ONIVA08G07030 transcript:ONIVA08G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFIDPTTTWHRFPSPSRLLLRRRRFPTSGGEQPGCGCALAGPGRSGTHGRSLRGIGDGNGGRPAGSFSSGHIDGALAAGFRGEQVATQSVGHMVYIPGLTNLLLEFSEHTWC >ONIVA08G07020.1 pep chromosome:AWHD00000000:8:6440363:6441356:-1 gene:ONIVA08G07020 transcript:ONIVA08G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFLLGALLVLASWQAIVAYDPSPLQDFCVADMNSPVRVNGFACKNPMDVSSEDFFNAAKFDMPRNTFNKLGSNVTNLNVMEFPGLNTLGISLARIDYAPMGVNPPHIHPRATELLTVLEGTLYVGFVTSNPNKLFSKVVCKGDVFVFPKAMIHFQMNLDHDKPAVAQSALSSQNPGVITIVSAVFGSQPPISDDVLTKAFQVEKKLIDWLQSQFWENNY >ONIVA08G07010.1 pep chromosome:AWHD00000000:8:6432382:6433019:1 gene:ONIVA08G07010 transcript:ONIVA08G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAYKICWKSGCYDSDILAAMDEAAADGVDVISLSVDAGGYAPSFFRDSIAIGSLHAQGHCGVRVRWQLRLGEYTATNITPWILTVGASTIDREFPADVVLGNNQVYGGVSLYSGEPLNSTLLPVAYAGDCGSRLCIIGELDSTKVSGKIVLCEYGSNARSPAASGAHPRAQER >ONIVA08G07000.1 pep chromosome:AWHD00000000:8:6426346:6428745:1 gene:ONIVA08G07000 transcript:ONIVA08G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I8P1] MVHYIIPLSLILFIIQANPSTGAQINETTIPQGSQINAVGTQSWVSPSGRFAFGFYPEGEGFSIGVWLVTGATRTIVWTAFRDDPPVSGGSILLTAGGSLQWIPANQGSQGKLISAAPNSATSAAILDNGNFVLYDAKKQVLWSTFGSPTDTILPGQNLLPGNQLFSSISNTNHATGKYRLSNQEDGNLVMYPIGTVDPGSAYWASGTFGQGLLLTLSLDLNGTLWLFDRNSSYTKMLFLTNQSLSTSPDSESYYRLTLDADGLLRLYTHVFFKKGREPLTKIEWLEPSSNDRCGVKGVCGPNSFCQVTASGETSCSCLPGFEFSSANQTTQGCWRVRTGGCTGNSSNGDIGPTATMVMVKNTSWSDLSYNVPPQTTTMEECKAICLSDCACEIAMFDTYCSKQMLPMRYGKIDHSSNTTLFVKVYSYEPKGPMRRTRSAISTAMLISGSALAIFSLVVLSVSVLLSKRHQFSRYTRAPQHQDAEFDKESVGIRSYSFHDLELSTDGFAEELGRGAHGTVFRGVIANSGNKVIAVKRLERMAEDGEREFQREVRAIALTHHRNLVRLFGFCNEGAYRLLVYEYMPNGSLANLLFKPDPPLPSWSKRVAIALDVARGLQYLHEDIEVPIIHCDIKPENILIDGTGMAKIADFGLAKLLIGNQTKTFTGVRGTRGYLAPEWSKNTAITVKVDVYSFGVMLLEIISCRKSMELKMAGEECNISEWAYEYVVSGGLKEVAAGEDVDEVGLERMVKIGIWCTQNEPVTRPAMKSVVLMMEGSAQVRCPPPPASFSQSLLRTGSR >ONIVA08G06990.1 pep chromosome:AWHD00000000:8:6416979:6417227:1 gene:ONIVA08G06990 transcript:ONIVA08G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVEAARRAMGWCGAEEERETASRKAPGACPRCGGAVVATDVESSRRILCLPLCLRNKKKFSCSRCRRALVAIVSSSAAA >ONIVA08G06980.1 pep chromosome:AWHD00000000:8:6407987:6410153:-1 gene:ONIVA08G06980 transcript:ONIVA08G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative endonuclease or glycosyl hydrolase [Source:Projected from Arabidopsis thaliana (AT2G15560) TAIR;Acc:AT2G15560] MASRVASPSPKSMASESDPSMMLAITSNMEHSQANNQSVSVLGPVAIFWDIENCPVPSDVRPEDVAGNVRMALRLHPVVKGAVTMLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNRPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGEGIVAPRSIGRRFADPPGYQHGGNFGSFPDTQNEEEAIVYMGTSRNECSGRTTSNQMYCYNSSQTTREPSKAFYTVTDGNCGTSSRSHNLACSLNEGPDVDQGLPDERSWWVRPGDLQGLKGQLLRLFELSGGSVPLVRVPSEYLKLFGRHLYVSEYGAVKLIHLFEKLADSFVVIGKGHRKVICLRNSGDSNLKKYSTTPIILKKENRGGSILDESTIGTGQQLGSSSDDFSEDERNINPDVDGAYAFDSHLDNFRQEIQELLVCYSCPVPLGNFKSLYEQRYKKTLIYESFGVDGLEELVEKSLQLFRWTSMLQKHSFHATVRPIRIQCSEGVEKLYELGVLQSPQELVQVFSTGVSTLDLL >ONIVA08G06970.1 pep chromosome:AWHD00000000:8:6399710:6400450:1 gene:ONIVA08G06970 transcript:ONIVA08G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQSHFPSISFLLHLIPSLFSSSCVASNNDSGGRARDDDTVLSHQRPCRAGRMPAACSVTPSICPRPPQHRPRCAGRMLLRVRRRCPRHDGRMPNIAATTPTPLRRKECSRSVKMGCVLKDVDDDGKECHSAAPHMMMARGSRYVAACRRTMKMRCLCAQPRMRMTRGCGCFTSTALLLAHGTIHFSGVVAFAAAAPPSLPLSRLARISRACFPSMRLRTATTRSVVASMVAELCAALVLDLPSL >ONIVA08G06960.1 pep chromosome:AWHD00000000:8:6390748:6395063:-1 gene:ONIVA08G06960 transcript:ONIVA08G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G51550) TAIR;Acc:AT1G51550] MEEEAAVARLGCDQVLSILHLLPAESVLSFAAACRAFHAWASSDALWEALCRRDWGARAAAALAERRRRGGGGVPWRRIYAEVALLGALSARRVPVKGASPRPRASHSLNLVAGWLVLFGGGCEGGRHLDDTWVAYVGNGAGNRSSAVFSWQQLDSGTPSGRFGHSCSIVGDALVLFGGINDQGQRLNDTWIGQIICEESRRMKISWRLLESGSRLGDTWLLDLSNGLRSGIWHQIEDTEPLPLSRSGHTLTWIGGSRMVLFGGRGSEFDVLNDVWLLDINERYPKWKELKYDLSSVLGEMPFPRVGHSATLVLGGKILVYGGEDSQRRRKDDFWTLDLPALLQFESGSKKMTKRMWKKLRIDGQCPNYRSFHGACVDTSGCHVYIFGGMVDGLVHPAEASVFTSNCLIIDLKISLKIFRQTFTMLTFHLADIGHYNDGPNWCSSANCGGMHTCLPLRMSLSAYGFKLAFLCQVHMFPKNVCQYHPEQIVVSLPLMGSLMTVKRGISLPSMTRLKRHG >ONIVA08G06960.2 pep chromosome:AWHD00000000:8:6390748:6395063:-1 gene:ONIVA08G06960 transcript:ONIVA08G06960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G51550) TAIR;Acc:AT1G51550] MEEEAAVARLGCDQVLSILHLLPAESVLSFAAACRAFHAWASSDALWEALCRRDWGARAAAALAERRRRGGGGVPWRRIYAEVALLGALSARRVPVKGASPRPRASHSLNLVAGWLVLFGGGCEGGRHLDDTWVAYVGNGAGNRSSAVFSWQQLDSGTPSGRFGHSCSIVGDALVLFGGINDQGQRLNDTWIGQIICEESRRMKISWRLLEVGPHAPYPRGAHAACCVDDKFIVIHGGIGQSGSRLGDTWLLDLSNGLRSGIWHQIEDTEPLPLSRSGHTLTWIGGSRMVLFGGRGSEFDVLNDVWLLDINERYPKWKELKYDLSSVLGEMPFPRVGHSATLVLGGKILVYGGEDSQRRRKDDFWTLDLPALLQFESGSKKMTKRMWKKLRIDGQCPNYRSFHGACVDTSGCHVYIFGGMVDGLVHPAEASVFTSNCLIIDLKISLKIFRQTFTMLTFHLADIGHYNDGPNWCSSANCGGMHTCLPLRMSLSAYGFKLAFLCQVHMFPKNVCQYHPEQIVVSLPLMGSLMTVKRGISLPSMTRLKRHG >ONIVA08G06960.3 pep chromosome:AWHD00000000:8:6391180:6395063:-1 gene:ONIVA08G06960 transcript:ONIVA08G06960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G51550) TAIR;Acc:AT1G51550] MEEEAAVARLGCDQVLSILHLLPAESVLSFAAACRAFHAWASSDALWEALCRRDWGARAAAALAERRRRGGGGVPWRRIYAEVALLGALSARRVPVKGASPRPRASHSLNLVAGWLVLFGGGCEGGRHLDDTWVAYVGNGAGNRSSAVFSWQQLDSGTPSGRFGHSCSIVGDALVLFGGINDQGQRLNDTWIGQIICEESRRMKISWRLLEVGPHAPYPRGAHAACCVDDKFIVIHGGIGQSGSRLGDTWLLDLSNGLRSGIWHQIEDTEPLPLSRSGHTLTWIGGSRMVLFGGRGSEFDVLNDVWLLDINERYPKWKELKYDLSSVLGEMPFPRVGHSATLVLGGKILVYGGEDSQRRRKDDFWTLDLPALLQFESGSKKMTKRMWKKLRIDGQCPNYRSFHGACVDTSGCHVYIFGGMVDGLVHPAEASGLRFDGQLYQVDLVLHL >ONIVA08G06950.1 pep chromosome:AWHD00000000:8:6383339:6388103:1 gene:ONIVA08G06950 transcript:ONIVA08G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPVICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLGNQPSRNTSFGGAGSNSGPVSNALGRSNYSGSISSSVPGAGGSARAKSNSGPLNKHGEPGKKSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLDPSVSMKMRATSFAHNPAVTNLNADDGYSIKGSIPKTILWMVILLFLMGFIAGGFILGAVHNPILLVVVVVIFCFVAALVIWNICWGTRGVTGFVSRYPDADLRTAKDGQYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTEHRQFTWGLRSMERHAVDFYISDFQSGLRALVKTGYGARVTPYVDESVVIDINPDNKDMSPEFLRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPSEPISTGCQWAKCILPTSLDGLVLRCEDTSNIDVIPV >ONIVA08G06940.1 pep chromosome:AWHD00000000:8:6375297:6377850:-1 gene:ONIVA08G06940 transcript:ONIVA08G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNGLTSDEPALNGEGDGRLKLKLAAPVVSSKTRKVLVVSDNVGDVLKNLTVVLLAYMHSQELGKKSSGPLSPVLPTTGLIISAYLLWTYEFIWWCDLFFSQTGCYKSECCYLWKFSPRVLISFSLDLPRALVRKGYGTRVTSYVDESVVTDINPDNKDIYIKEGSRVCIMGVVQRNDNVLMIVHPSEPISTGCQSASG >ONIVA08G06940.2 pep chromosome:AWHD00000000:8:6375747:6377850:-1 gene:ONIVA08G06940 transcript:ONIVA08G06940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNGLTSDEPALNGEGDGRLKLKLAAPVVSSKTRKVLVVSDNVGDVLKNLTVVLLAYMHSQELGKKSSGPLSPVLPTTGLIISAYLLWTYEFIWWCDLFFSQTGCYKSECRRWLLNQGRYPDSDLRTAKDGQCMKVTGLGTRVNGGEFLFNWKFDYYQDDEISQFASVECHEDFIEECKLL >ONIVA08G06940.3 pep chromosome:AWHD00000000:8:6375299:6375706:-1 gene:ONIVA08G06940 transcript:ONIVA08G06940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIAATYAVDFYISDFQSGQRALVRKGYGTRVTSYVDESVVTDINPDNKDIYIKEGSRVCIMGVVQRNDNVLMIVHPSEPISTGCQSASG >ONIVA08G06930.1 pep chromosome:AWHD00000000:8:6366676:6372305:1 gene:ONIVA08G06930 transcript:ONIVA08G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAQTLTLTLARPAAAAPLLPLRGLATKVEVIEIDLAEDDDAASTSGPASSPASVEVVGVRRLEEAIHGVMVRRAAPDWLPFVPGGSFWVPPMRRPHGVADLVGRIAAAAGGDAEVVAGGLAYEPEVYAPMTEEEALSFSTARGWPSASYFVEGEPLASAGQDLGLPARASTRKGCSGLHVSAMTNVHMDDGKDPSAN >ONIVA08G06920.1 pep chromosome:AWHD00000000:8:6363524:6364197:-1 gene:ONIVA08G06920 transcript:ONIVA08G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFDLNQPINWDEIDDLEGVIPYLNYDFVWDPGNEDGEGSGEERSDGDDDAGGGAEAVDAAETTGINIRKRRHYPPDMKRSIYALCLERSTNGIMKEGVTKSVANDMRVGKLWRVVQRV >ONIVA08G06910.1 pep chromosome:AWHD00000000:8:6357730:6359284:-1 gene:ONIVA08G06910 transcript:ONIVA08G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALASFMDLEGRPHQHRWSMRRGGGLFFPQISSAAGELGWSSDSSTASSPAPSTLSSSCMSSPWGGGSGRWSASPAPAASSGLHQMVRDNYTQGLIRAFGRRRHDEALLHKWFSQLDVEWVLLLHAGQRDLDSSSVEDLMALMERWMRALLIMVQVLSMTLLELRDRRSSSSLAGTDDDDAFLLRTKGSAGNRSPAPELPDFASDVLAFGSGSGSGKNEARPGPAFDDAINGIFSRKRSKLSDAIWGMMEKDHHFRLFISDTEGFNAVVNLITDMISCLRSKLEEASLLISDPGLRCIFLLNNWQLVLRRVESMDLPSSALIETSMTQRYKDTYLHVSWSPLLSCLFIENPSISLGKTRDGKPFGFRRYLSLDRFESEFQRTYTNHKFWKIPNPDLRQRLRQAIVQKVVTHYSMYLEERAARGMHNQLPKSTPEQLKELLDELFEG >ONIVA08G06900.1 pep chromosome:AWHD00000000:8:6329917:6331071:-1 gene:ONIVA08G06900 transcript:ONIVA08G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASRLRPSSTTRTASSCKPETARGTHVFTIHGYSLQAVDGAGSNKASFIRSAAFDVGGFDWCLRYYHNGNIESDDDYISVFLELMTKDAEVRTIFDIRMLDQYTDDSSCVLVSTTNNTRKVFGTTNFKSKCLVWGSKNFIRRSELEGSVYLRDDRLMIECNLTVIKTPLVKTEERAAMPGDIIHFQVPPTNLSRDLGKLLEDNVGADLSFEVGGEVFPAHSVVLAARSPVFMAELYGPMRAERGERIAIQDMQPVVFKALLHFMYTDSFSPAINDDLSRDERQELAKHLLVAADRYAVEGLKTICEKALCMSLSVDNVATIVALADQHNCGRLKEACVKFIASSNRLDDVVETEGYGRLKTSCPSILLDVIEMATKSRKIN >ONIVA08G06890.1 pep chromosome:AWHD00000000:8:6317067:6323333:-1 gene:ONIVA08G06890 transcript:ONIVA08G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKRPKLSWKDKWCILKEATRLYGASWVRDIGPDLRPNDYNYKKAKESEPTTVEDLVGALKGGAEKAKNALQRMYMARASNYTDALKNYVESYKEGLKEHLEEEAMGKGHRQGNDETKPP >ONIVA08G06880.1 pep chromosome:AWHD00000000:8:6314322:6314798:1 gene:ONIVA08G06880 transcript:ONIVA08G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKEGATTTLMPAAKAFAGAGGGGDNFEKKPPVVSKKVPMPQVLLDYILSWTRMGPWPSSDDHSEFLLSPEHRNQDDDDGFAAFEAKVRAQREELSAFLNKKDDEFAVFQAKVRDEVDENGCYMVDGTYFADLEAAQALSNELFAKLNMSGILFEED >ONIVA08G06870.1 pep chromosome:AWHD00000000:8:6305480:6307477:1 gene:ONIVA08G06870 transcript:ONIVA08G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFTGTGTIVVSDDSLYAAFGYGHPFGFAPRARPPIRSLPFAAGGYQWCLWFHPTTFAGFFGFGVELLTAGAKARASFEFGPVDAASHNVIVRMPLFLFDHPHHPMVIMVWPKAMLAEEATLFVRDHAVVFRVDVTVVPDEPLPPDAGVGDDDVLPPSDMLAQLGNVYDTKEGADVTFSVDGELFAAHRVILAMRSPVFRAAVYGEMRESGRGGGPIAIDDMRPDVFDALLRYIYTDALPAAADDDDMEATWSDLLVAADRYGVERLKLICERALRGRLDAGNVADMLALADRQHCETLKDACIKFMATSGKMEEVKASQGYVQLRTSCPLLLVEMVADRETGAKDQIGIYLELITKGTKARASYKLRLLNHVTACPCLWYTCPLKLYDSIDDNKSFTWGTKKFTDRSKLEVASEYLQDVRLVIECDVTPLVWIEAITEIIRSPSSPWSQLPDGADVSFKVNGEAIDAHSMVLVARSPVFKAELNRLIRGERGHKITI >ONIVA08G06860.1 pep chromosome:AWHD00000000:8:6293804:6301564:-1 gene:ONIVA08G06860 transcript:ONIVA08G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLAQTLTLTLARLAATPLLSLSGLATKVELPFVPGGSFWVPPMRRPHGVTNLVGRIAAAAGGDTEVVAGGLAYEPEVYAPMMEEEALSFSTAWGVSVLLRLWNHLSQCAACRSATGGIKPCHHLVESSSGGVPVNIPELDEESSGGGPRGSLRRWEL >ONIVA08G06850.1 pep chromosome:AWHD00000000:8:6292454:6293802:-1 gene:ONIVA08G06850 transcript:ONIVA08G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWEGKISILPFHSLFSLDSLLLPQVATTQGLPAAGHRPALGLVVIVVILVIGALFLILGLTGSSSFAMPRIRWSSTNPSTLRWRLYLSHRRRCKLAKEDSGLPLTTSAFALTARLSCSTSRRCRASPRGSTLDPSPSAARTPSFLMVYRKKPLTVEPELKLLPDPEFPRAWLWRCTPLWRGRRIRTPLSLAGAPNAVEGLSSSGAVG >ONIVA08G06840.1 pep chromosome:AWHD00000000:8:6289124:6290412:1 gene:ONIVA08G06840 transcript:ONIVA08G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAQEDPKRRAIYSMNTLVAVVRIYLVLGQEKPCEVFLEFGGYRQSDILLRKSKTWRYKLQRFHLTMYC >ONIVA08G06830.1 pep chromosome:AWHD00000000:8:6277681:6278013:1 gene:ONIVA08G06830 transcript:ONIVA08G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTNPSPLMWFDNTTHNLVRAADCYGLERLKAICETKLCLDIDVKSVMVILLLADQHQCDMLKQACFSFIANPNTLETVTGTPEYHQFKSLYPILLIEVLENVCILRK >ONIVA08G06810.1 pep chromosome:AWHD00000000:8:6245392:6248556:-1 gene:ONIVA08G06810 transcript:ONIVA08G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGGGDAAAEGRRGEGGGGAARWAVGAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFLKVVSTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPVGRDSLGGAQDTT >ONIVA08G06800.1 pep chromosome:AWHD00000000:8:6214656:6216590:1 gene:ONIVA08G06800 transcript:ONIVA08G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEIRGGAGEDEHEVDLVSNCVLLTPVFGVVVAAAVDPSSSPSLLLDRAADWGDLAPPSLDPATVTAISIAGSSAALPAPWSLSPQGLVVIFLAVTVASSSESSVESWRVAQRMAEARDHLEWPVLLDPVTSFSSLSLHSFRGVSV >ONIVA08G06790.1 pep chromosome:AWHD00000000:8:6203893:6206193:1 gene:ONIVA08G06790 transcript:ONIVA08G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFRSAGVQGKLAGDGTIRRPAQRRRKFSSDVWVNSSSPFEYIFDDITGEEKAMCINCGLCMSAKSKNGTSHLRRHLETDGCKKKRQQGPISPAADSAAGPSPAGDGDQQQEAVDEDDDDAFVASICACYDKLLADDLVDVELLADDLVDVVKRNDVQQMPPVPSLTMTRFFGKRRERYYEV >ONIVA08G06780.1 pep chromosome:AWHD00000000:8:6199034:6201253:1 gene:ONIVA08G06780 transcript:ONIVA08G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGTLISIYPEDLTFLFELDKRCYCNLKVVNNSEHHAAFKVHGLPFHDQYFLKLGEKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKECPPNMQCKDKFLIQSTKLVATSTDMDEIPPNTFNKEVDKVIEEMKLKVVYTVPSGSFDDSSITSLGSMTFKLGSDDLTVGPAHLRTLCCSWLPPCRWYRKGKKEVVA >ONIVA08G06780.2 pep chromosome:AWHD00000000:8:6199034:6201253:1 gene:ONIVA08G06780 transcript:ONIVA08G06780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGTLISIYPEDLTFLFELDKRCYCNLKVVNNSEHHAAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKECPPNMQCKDKFLIQSTKLVATSTDMDEIPPNTFNKEVDKVIEEMKLKVVYTVPSGSFDDSSITSLGSMTFKLGSDDLTVGPAHLRTLCCSWLPPCRWYRKGKKEVVA >ONIVA08G06770.1 pep chromosome:AWHD00000000:8:6166316:6176779:1 gene:ONIVA08G06770 transcript:ONIVA08G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYTYPVRDWYPVRDWFPAEPARELTDEETAARVVFRQILSTPPFPSRNPKIAFMFLTPGKLPFEKLWELFFKGHEGRYTIYVHASREKPEHVSPVFVGRDIHSDKVGWGMISMVDAERRLLAKALEDTDNQLFVLLSDSCVPLHNFDYVYDFLMGSRHSFLDCFDDPGPHGVFRYSKHMLPEVREIDFRKGSQWFAIKRQHAMVVVADSLYYTKFRRFCKCFFLLSQPGMEEGRNCYADEHYLPTLFLMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVTYELLKNMTKELLQRPCLWNGLKRPCYLFARKFYPETLNNLMYLFSNYTIF >ONIVA08G06760.1 pep chromosome:AWHD00000000:8:6145514:6146590:-1 gene:ONIVA08G06760 transcript:ONIVA08G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTRSTLIAFFWLGDSKWTLIDSKLEYPVTCVVHCRDSFVAILSLGEISMFSGNNTDGVALLTASPSLLMPPPAHICQRSYLDMNNKMHLVSTILRVSSVKEISIFSGSNSDGVAPLTASPLLLVLPFAHIYQHSYLDMNGERYLFNGCHFACDQLDKNSWCSKVEDAEDTSFFVSNISISNWNRVYLYEPMLCHQDQEDTNDRHLQMVDITDIRFASLQPHHSCPCISPDTNVTFYPAMTRGGPRIGPQF >ONIVA08G06750.1 pep chromosome:AWHD00000000:8:6138042:6140326:1 gene:ONIVA08G06750 transcript:ONIVA08G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVRSPLDWSSGLPRELREIIAKKLPSGHDAASFRSVCWPWRAALPFARFAPLLMLPFDPTPTSPSPPEEKTVSFYSLVEEKTVSLPLPELHGKVVVGASRGWLALVDEAAAVSLLNPFTGSRVALPPADQRVAAASSRSVEMVGVGNDGGGRWVLRCSSGDVSSVTLDKMREVFFSEIVLSTTPGGDGCAAMAVLADSSEVAFCRVGDTGWTLIETNVECCVSAIVHCHGSFLAIGCLGEVSIINIAGDNDATPSPARPVSSLPEPAQMCHRSYLEVNGELHLVGIAIRAFHGEWPFGHHAVVYKCDLAAGETPVWSMVTDAGDMAMFMSKNFNSGFGGASVSKIKSNCIYLSEPTYGSDHSPELVDISTGMSEKIACPTMKGSEALCWIRPNLWT >ONIVA08G06740.1 pep chromosome:AWHD00000000:8:6116299:6119746:1 gene:ONIVA08G06740 transcript:ONIVA08G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVHHGGATPAAAATAVPCVTLNSGHAMPVLGFGTGSSTPPADLAATIAHAVRLGYRHLDTAAVYGTEGAVGAAVAEAVRCGAVASRGELFVTTKLSMADAHPPRVVAALRESLSRLGLDYVDLFLIHWPVAIGKKDAAGELTWDDLSRGLVPFDMEGVWRGMEECHRLGLARSIGVSNFSAAKMSRLLALAAVPPAVNQVEMNVGWRQEKVREVCGEGDVVVAAYSPLGAHGAHWGSDAVMNSGVLHDVAAARCKTIAQVALRWLYEQGVCMVARSFNEGRMKQNMDIFDWELSDQDKAMIAGVPQRRACHGNYFVSPDGPYKSLHDLWDGEI >ONIVA08G06730.1 pep chromosome:AWHD00000000:8:6107516:6113403:1 gene:ONIVA08G06730 transcript:ONIVA08G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 75 [Source:Projected from Arabidopsis thaliana (AT5G51760) UniProtKB/Swiss-Prot;Acc:Q9FLI3] MVMASAGVNMPGGDGDHPPAAAQECHRLRRRRYVPAAAAASEDGDNSSNGGGEKRSLPASSASPSPSPTSSAASSDCSSDRDDDGCSSTAGAAARRLPLPSGASTAAAVWPVAFGSVSLAGRMRDMEDAVSLRPSFCTWLDGSPMHFFAVFDGHGGPHVSALCREQMHVIVAEEMVAEAAALRQRQPAAMEEEEEERAWRAALSRSFGRVDALAAVACACGRATVPACRCPLSGQTGAIIGSTAVVALLVRDRLVVSNCGDSRAVLCRAGDPLPLSSDHKPDRPDEKARIEAVGGRVVYLNGPRVRGILAMSRALGDKYLKPEVICEPEITITVRTVDDECLILASDGMWDVISNETASDVARQCLEDGSPTSGRRAAGSGEATSSSAGAPAAAVGEESEPRCYRAAALLARLALGRESSDNISVVVIDLKGRG >ONIVA08G06720.1 pep chromosome:AWHD00000000:8:6105794:6106303:1 gene:ONIVA08G06720 transcript:ONIVA08G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVGDGDAGSTARGHGKGRSTGGGLGRGGSAAEEVGDGDVGSSTRGHGRGRSAAEEVGHGDAGSTARSHGRGRSIDGSLERGGSATEEVEDGDAGSTARDHERGGSTGNGLGRGRSSAEEVGDGDAGSTARGHGRSGSTGGALGRGRSIAEEVGDGGSSVVEVGNNV >ONIVA08G06710.1 pep chromosome:AWHD00000000:8:6075107:6079695:-1 gene:ONIVA08G06710 transcript:ONIVA08G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQKKRSPKIEPFRHRVDADPKSFDKSWKKLEDAIREIYNHNASGLSFEELYRTAYNLVLHKHGLKLYDKLTENLKGHLKEMCRSIGDAQGSLFLEELQRRWADHNKALQMIRDILMYMDRTFITTNKKTPVFDLGLELWRDIVVRAPKIHGRLLDTLLELIHRERMGEMINRGLMRSTTKMLMDLGSSVYHDDFEKPFLEVSASFYSGESQQFIECCDCGEYLKKAERRLAEELERVSQYMDAKTADKITSVVDTEMLANHMQRLILMENSGLVNMLVDDKHEDLSRMYNLFKRVPDGHSTIRSVMASHVKESGKALVSDPEKIKDPVEFVQRLLNEKDKYDEIISISFSNDKAFQNALNSSFENFINLNNRSPEFISLFVDDKLRKGVKGANEEDVETVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTTSDEAERSMLVKLKTECGYQFTSKLEGMFNDLKTSHDTMQSFYANLSGDTDSPTISVQILTTGSWPTQPCTPCKLPPEIVDISEKFRAFYLGTHNGRRLTWQTNMGNADIKATFGGRRHELNVSTYQMCVLMLFNSADGLTYGDIEQATGIPHADLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYYNDKFTSKLVKVKIGTVVAQKETEPEKLETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIITEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKVDRKMYRYLA >ONIVA08G06700.1 pep chromosome:AWHD00000000:8:6065875:6070022:1 gene:ONIVA08G06700 transcript:ONIVA08G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein [Source:Projected from Arabidopsis thaliana (AT3G25660) TAIR;Acc:AT3G25660] MHTSFPSPRLICCAPKPRTPTLTRGGVRRRASPPAAAMPPPLQAQRLLLSHRRLPSPHRRRFTAVSSLPSAPAKTVAAAAAHAPSSILSIRESLLSGERTAAEITAEYLSRLRRTEPSVRSFIHVADAAAEREAEELDRRIATEGLDAVGPLAGVLVGVKDNLCTANMPSTGGSRILDGYQPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSGFQVTTNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVVDTATILSVIAGHDKMDSTSSSHDVSDYKSELVPLDLLESKPLNGMRIGIIQETLGEGVETGVISSIKDAASHLEQLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSGDDLNELYGGSRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKKSFKEALERYDILVSPAAPSAAYKIGEKINDPLAMYAGDTMTVNVNLAGLPALVVPCGFVEGGSAGLPVGLQMIGSPFSEGNLLRIGHIFEQTLQNYSFVPPLLAES >ONIVA08G06700.2 pep chromosome:AWHD00000000:8:6065875:6072989:1 gene:ONIVA08G06700 transcript:ONIVA08G06700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein [Source:Projected from Arabidopsis thaliana (AT3G25660) TAIR;Acc:AT3G25660] MHTSFPSPRLICCAPKPRTPTLTRGGVRRRASPPAAAMPPPLQAQRLLLSHRRLPSPHRRRFTAVSSLPSAPAKTVAAAAAHAPSSILSIRESLLSGERTAAEITAEYLSRLRRTEPSVRSFIHVADAAAEREAEELDRRIATEGLDAVGPLAGVLVGVKDNLCTANMPSTGGSRILDGYQPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSGFQVTTNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVVDTATILSVIAGHDKMDSTSSSHDVSDYKSELVPLDLLESKPLNGMRIGIIQETLGEGVETGVISSIKDAASHLEQLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSGDDLNELYGGSRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKKSFKEALERYDILVSPAAPSAAYKIGEKINDPLAMYAGDTMTVNVNLAGLPALVVPCGFVEGGSAGLPVGLQMIGSPFSEGNLLRIGHIFEQTLQNYSFVPPLLAES >ONIVA08G06690.1 pep chromosome:AWHD00000000:8:6058492:6064067:-1 gene:ONIVA08G06690 transcript:ONIVA08G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-acyl carrier protein synthase III [Source:Projected from Arabidopsis thaliana (AT1G62640) TAIR;Acc:AT1G62640] MVAASGLAPPRLAVSCPRAAGRGCGGHHRVGFLRSAPVALAGPAAAQLRCCASTVDDGVVSAAAAPKPRLPRSLNYFRLWNELIKLMGTCRVVGMGSKLIGCGSATPSLSVSNDDLSKIVETSDEWIAARTGIRNRRVLSGNETLRELSVQAAKKALEMAQVNADDVDLVLLCTSTPDDLFGGAAQVLAEVGCANAFGFDITAACSGFIIGLITATRFIKGGGIRNILVIGADALSQFVDWTDRVFQACSADEDGLLGFCVQSDGNGQKHLNCVSSHVGSILSKTNGVPSFPPKKATFSNIEMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRAGKVKAGDVIAASGFGAGLTWGSAIVKWC >ONIVA08G06680.1 pep chromosome:AWHD00000000:8:6053801:6057730:1 gene:ONIVA08G06680 transcript:ONIVA08G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNNVGAGALPVVDLAPFLATGDDEGGVARASASATGAVREACRDYGFFRAVNHGVPAELMARALELSAAFFALPDEEKAKARPVEGSEAPLPAGYARQPAHSADKNEYLLAFDPQLGFNLYPDEPSGFREALEELYGKLTELGVLIQEILNKCMGLPPGFLKEYNGDRSFDFIAALRYSPATAEENNGVSEHEDGNCITFVLQDGVGGLEVLKDGAWVPVDPVEGSIIVNIGDVIQVLTNGKMKSATHRVVRKPAVHRHSLVFFFNVHGDRWVEPLPEYTEKIGEAPRYRRFLYSEYQQLRMRNKTHPPSRPEDVVHITHYAI >ONIVA08G06670.1 pep chromosome:AWHD00000000:8:6042582:6047399:-1 gene:ONIVA08G06670 transcript:ONIVA08G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSAHKAQYIDGQREMFKRLDESSPRSSVPSEVGGRSTLKFSMPSFGYDSFNPVRSFLSGVRKGSGRLKSLRQSLTSGAPKTAFAEDLKSFKKTIFDPQEKFLFQMNWFCFLSCVFAVAVDPLFFFLPIIDGDDKSSCIGIDKKLAVTSTIIRTILDLVYLIRVFLQFRTAYVAPSSRVFGTGELVIDPMRIAIRYLKSYFVMDFFALLPLPQIVVWRYLHTLDGPDVPSTKNALVWVVLFQYIPRLLRIFPVTKDLKRTAGVFIETAWLGAAYYLLWFMLAGHNVGTLWYFLTIEREDSCWRSNCHSNDGCNKSYLYCSDNHTGNYTSWLSKRTELLSACSTNSFQFGIFEQALVSGILRPGNFISKICYCFWWGLQNLSTLGQGLQTSIYPGEVLFSIAICVIGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPQIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPTLYTENEYILREGDPVDEMHFILHGCLESETTDGGRSGFFNKVQLKEGAFCGDELLTWALDPKSAANFPASTRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQHWRTWAACFIQAAWRRYYKRKMAEQHRKEEEAANRQSSSSHHPSLAATIYASRFAANALRGVHRLRSRASPTIVRLPKPPEPDFAVDEAD >ONIVA08G06660.1 pep chromosome:AWHD00000000:8:6038794:6042097:-1 gene:ONIVA08G06660 transcript:ONIVA08G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80550) TAIR;Acc:AT1G80550] MISLFRRRHGLPFSTLHTPAGDVAATPPSPLDATAVLETLSLYANDWRRALEFFHWSASPDGANVPPTPATVARAVDVLGKHFEFPLATSLLVSHHDPGRADPSFLRPALRSLLNRLAAANLIDDAIRAFDSTAGSIGLRDEASFHALVDALCDHRRVDEAHHLCFGKDPPPFPPVTKTYNLLLRGWAKTRAWARLRQLWFDMDSRGVAKDLHSYSIYMDALAKSGKPWKAFKVFKEMKQKGMAIDVVAYNTAIHSVGLAQGVDFAIRLYRQMVDAGCKPNASTFNTIVKLLCKEGRFKEGYAFVQQMHKFGIEPNVLTYHCFFQYLSRPQEVLGLFEKMLERGCWPRMDTYVMLIKRFGRWGFLRPVFIVWKAMEEQGLSPDAFAYNSLIDALLQKGMVDLARKYDEEMLSKGLSPKPRKELGTKIPGAESDSDNALSGGAEESRRGSDINRSTDGGFTNSSGAMKLQPAREDAYH >ONIVA08G06660.2 pep chromosome:AWHD00000000:8:6038904:6042097:-1 gene:ONIVA08G06660 transcript:ONIVA08G06660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80550) TAIR;Acc:AT1G80550] MISLFRRRHGLPFSTLHTPAGDVAATPPSPLDATAVLETLSLYANDWRRALEFFHWSASPDGANVPPTPATVARAVDVLGKHFEFPLATSLLVSHHDPGRADPSFLRPALRSLLNRLAAANLIDDAIRAFDSTAGSIGLRDEASFHALVDALCDHRRVDEAHHLCFGKDPPPFPPVTKTYNLLLRGWAKTRAWARLRQLWFDMDSRGVAKDLHSYSIYMDALAKSGKPWKAFKVFKEMKQKGMAIDVVAYNTAIHSVGLAQGVDFAIRLYRQMVDAGCKPNASTFNTIVKLLCKEGRFKEGYAFVQQMHKFGIEPNVLTYHCFFQYLSRPQEVLGLFEKMLERGCWPRMDTYVMLIKRFGRWGFLRPVFIVWKAMEEQGLSPDAFAYNSLIDALLQKGMVDLARKYDEEMLSKGLSPKPRKELGTKIPGAESDSDNALSGGAEESRRGSDINRSTDGGKIMTLLGGYWTLIINSRCCSYRS >ONIVA08G06650.1 pep chromosome:AWHD00000000:8:6033104:6034554:-1 gene:ONIVA08G06650 transcript:ONIVA08G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRTRTSLLALASLLLLLLATRAHGIRLDRQLHEAINNKQEIMRDSKAEQSLNTARLMNKHCTSDGHCNSGKVQRPVVQAEAGAAAKQQQQNQSLERSGDANQQEQETAPRQQEKTSSTATATMTTYPDILDIAGMDYSPATRKPPIHN >ONIVA08G06640.1 pep chromosome:AWHD00000000:8:6027370:6029711:-1 gene:ONIVA08G06640 transcript:ONIVA08G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATSLIGGGVGGVAYVVLLLFLANACVSDAGFEVSSRVLMSDEQGRSGLLATWRRLIVETPSPGAGADAAHPGTKSLPLAAARTHRRDPLANLTMYSGGWNISDQHYWASVAYTAVPLILVGMLWFIVFGIVLLIISCCCCFCRKKYNTYSPATYFISLILLIIFTLSTIAGCIILHCGQELFHSSTIKTVDYIVGQGNLTVDSLRNFSGSLAAAKNIGVDQVFLPVQVQQKIDVIEDKLNSSANEFSTRALENSKKIKHVMDKMQYNLMVIAAVMLGLAIFGFLFSILGLRFLVSLLVIAGWFVLVITIMMSAAFLLLHNVVADTCVAMDDWVTHPQAHTALDDILPCVDVATANESMYRSEEVTVQLVALVNNVIVNISNRDFPPSFRPLYINQSGPLMPKLCDPFNPDMSPRKCAPGEVNFDTAAAEWKKFECQTTGPPGSEVCATEGRVTPAAYGQMTAAASISQGLYQYGPFLMELQDCSFVRETFTAISDNNCPGLELYSRHVYLGLLLISGAVMMSIVFWMVHTRQRRRRSLGLGR >ONIVA08G06630.1 pep chromosome:AWHD00000000:8:6025516:6026073:-1 gene:ONIVA08G06630 transcript:ONIVA08G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDWYAWLSAARLDQAVAYEYALVFSRNELEADDLAYFDHEFLHSMGVSVAKHRLEILKLARRSRRRAALSSRLVAAVDRVARYVRALVVVVVQGREDESSSALVLVPSQQLQPDVDKTPRRSRSKPKSTKEVAAAPTTPKAMRSPVLAIGGRAASTVHAVKDGEKGGEDMVRWDRLFQDLKPN >ONIVA08G06620.1 pep chromosome:AWHD00000000:8:6020325:6021632:1 gene:ONIVA08G06620 transcript:ONIVA08G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGRKRRSRSALEVVPATPSPPSPPQLTSLLALLASSVSLALRFASDRDLLLHPSQTLELDPLVLAAARGVSRLLAMLPLHLQTLTLTSMSLSPPAPSPPLPSSWFLRLLSESHSLPDSAWRDAFRMSRPAFFQLLHSLALSDPAAASSSSLALPPDHKLGAALFRLAHAAPARAVARRFGLPSPAVAARAFYEVCRTIADRLAILLDLGAPDRIARAVPGFCALSLPNCCGALGYARIGDAVIAQALVDAEGRFLDVSVGWDTAMAPAEILPRTKLYSSQSLVLANAPHGELIGGSVPRYFLGPACCPMLPWLVTPYNDMDAKNGMSKESIFNNVHSHGMRLVRNAFGHVRSRWRLLDECWKGECQEALPYVVVAGCLLHNFLIKCVEPDPEEIQEGAAAELFSDFEGEKDKEGERIRDVLAVHLSLVSRNQ >ONIVA08G06610.1 pep chromosome:AWHD00000000:8:6014949:6018991:1 gene:ONIVA08G06610 transcript:ONIVA08G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipoic acid synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G20860) TAIR;Acc:AT2G20860] MHGRRHLAASLARALTYAPSRSISSTPSLLQTLDPSTPSPAAAPPTAGRLAELRQRLQADAPSLGDFTYSVEVGTRKKPLPKPKWMKETIPGGAKYAGIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKVLKPEMLIEALVPDFRGDPACVEKVATSGLHVFAHNIETVEELQRNVRDHRANFKQSIDVLKLAKEYAPAGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYVTPEAFERYRSLGVDMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKATTAI >ONIVA08G06600.1 pep chromosome:AWHD00000000:8:6008940:6014821:1 gene:ONIVA08G06600 transcript:ONIVA08G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein [Source:Projected from Arabidopsis thaliana (AT1G22200) TAIR;Acc:AT1G22200] MEGLLSKLRSLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGETLRINFDVTFPALQCSIISLDAMDISGQEHLDVKHDIFKQRIDVHGNVIATKQDAVGGMKVEQPLQRHGGRLEHNETYCGSCYGAEESDEQCCNSCEDVREAYRKKGWGVSNPDLIDQCKREGFLQSIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQKANVHVHDLLPFQKDSFNVSHKINKLSFGQRFPGVVNPLDGAQWMQHSSYGMYQYFIKVVPTVYTDINEHIILSNQFSVTEHFRSSESGRIQAVPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAIKKKMEIGKFN >ONIVA08G06590.1 pep chromosome:AWHD00000000:8:6006201:6007676:-1 gene:ONIVA08G06590 transcript:ONIVA08G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARIYTLVCFELVSLLTLAVPDLVVAAGEEKYGCVYCTPGPEDRGDDAAETGTGSPGPSMHGQSVYDAAEDAERTTPPACSIFTDIYRAPLWSSPSSSGSPASHRTSTAPSGGVCNNRRTGRFPKNRTASQVIDGQGEGRDGQRRYCSMGVGMAR >ONIVA08G06580.1 pep chromosome:AWHD00000000:8:6001105:6001779:-1 gene:ONIVA08G06580 transcript:ONIVA08G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKLLCFAALISMAALAAGAKSEEDTGALLLPGSTGSNQCVYTLYVETGSIWKAGTDAAIGLELYTAAGNGILIRNLQAWGGLMAAGHDYFERSNVDIFSGRGPCLGAPVCRMKLVSNGAGEHHGWFCKSVEVTVAGPHARCNRAAFDVQQWLATDAPPYQLYAERSVCGKISTAAAAAEEES >ONIVA08G06570.1 pep chromosome:AWHD00000000:8:5999896:6000554:-1 gene:ONIVA08G06570 transcript:ONIVA08G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKFLCFAFASLVSMVVLAAGSKSPDGVAALPRRGQLVAGGDNDKNECVYMLYVETGWIWKAGTDAAIGVELAAADGSGFAVGDLERWGGLMGAGHDYYERGNVDVFSGRAPCLPSPPCRMNLTSDGAGAHHGWYCKSVEVTATGPHAGCAKAAFGVEQWLATDAPPYQIYAERSVCAKSRPGGEEER >ONIVA08G06560.1 pep chromosome:AWHD00000000:8:5972353:5975925:-1 gene:ONIVA08G06560 transcript:ONIVA08G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFPVAGGAPPGDYGGGITFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLAAFFPGVLRRMAAGRRDEYCVYDSHVLTAFTSSLYLAGLAASLAAGRVTRAVGRQAVMLAGGALFFAGAAVNAAAVNIAMLIVGRMLLGFGIGFTNQAAPVYLAETAPAKWRGAFTTGFQLFLGIGNLTANLTNYGAARIPRWGWRLSLGLAAAPASVILVGALLISDTPSSLLVRGRVEQARAALRRVRGAKADVDAELEGVARAVEAARANEEGAYRRILWRQHRPHLVMAVAVPLLQQLTGVIVIAFFSPVLFQTAGFGSNASLMGAVILGAVNLGSTLVSIATVDRYGRRVLFLTGGLVMIACQVAVAWIMGSQIGRDGESAMARRYSVAVLALTCVFSAAFGWSWGPLTWVIPGEIFPVEIRSAGQGISVAVNLGATFVLTQTFLAMLCSFKYATFLYYAAWVAVMTAFVWAFLPETKGVPLEAMGAVWARHWYWRRFVQPPPAAKDAMLPEVLVN >ONIVA08G06550.1 pep chromosome:AWHD00000000:8:5960921:5965668:1 gene:ONIVA08G06550 transcript:ONIVA08G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPSRSILRLLRRPIVAPYSALRHRISIPSRDLSSPPWLPLVRLAGGYRRLREESLQSRGRLTLLPVVFPGSRNMASGSGVEGEGLGIPYRRRFIPFANDPIHTTKDNIISMDKHFPITQGKDSAATKEFISSYGHCRPTTYGDEDASRTAVEVTGGKQDPRGAVQNLIDGEVAVQELIDGEEIARNQRASEVSDNDGYEEFNDRAVNYHCDCHVWTPLIASSHRDGSIYDTRGTFGSGWKWDYRIADRNETRLEAMMLSHPTKDCYMRDGTCIWHPANGMLQIFSVKLAKTPVVDGSIELYGYIAVRDLQDPLLNYIVKIGRDDPIIVEQGSLIEMTGPKRGIDFSCAVLVEYDMRIKTGEREEDDLQLIDGATDLDHILTSHVPVRNRIYGDCGAVDITQANLLYAFEATVEVVISEVQTSFDLCLSCFTSGLHEEIRLFDGAISESRDLRRYVIAVMEHECMDLKFKVGLGSGCFAEHCRSFKATNHGCASEQIKIEFASISVKVTWSAMEF >ONIVA08G06540.1 pep chromosome:AWHD00000000:8:5954932:5971584:-1 gene:ONIVA08G06540 transcript:ONIVA08G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAAAASRRFAMPQPASSGSTSRRFDFPDSVLLDTVAHADGCGDDATTARAETSDGLPIEVSFVAADPPTFTRCVVRCSGLTAGEFSKGPPFIIGADGAFLVIRVIFPCRSDRRCFTDFFVYRSGPGTPLLELLRRPYPVKHLSDHLGILSCGEHFLVVNPKWQFHADGQVRCDLHVFSSKTTSWESKVARLPCGVEAYIGDFIPTKVLSVEGRSIAWVDLWNGILLFDSVTSNPEVRLIQLPPLMPINGRYLRGGGLDDTYISVDPNRDITCSNGWFRFIEMGFPLLDGSTGQRQATMFKRLVRPEECQWEPCGTETDSAELVCADSCSLDLLPVIWDSKDNQLTFMNLICTYPTMDLYDDNILYVMAKMKGTDPSGWVLSVNTENKKLEKEILFFHRIYLQCDLFKHLGKAPESHLTKVLDKHTNREMIELLDTNLLAALEQLQNIETHIESLKRRYNWSMPLVSSNSASSLDPKIRYLLAPIDVTKSKIRVAHGALYKLMGTLPSDVLDKYFVERTSFSGPERTKDAAMKGTDPSGWVLSVNTENKKLEKVSPFSQKILFFHRIYLQCDLFKHLGKAPGVGGPCHSHLTKVLDKHTNRDKRRIFEEKLLAPIDVTESKIRVAHGALYNLKGTLPSDVLDKNFVKRTSFSGPEKKRMLLCRVKDRSDYL >ONIVA08G06530.1 pep chromosome:AWHD00000000:8:5950104:5953963:1 gene:ONIVA08G06530 transcript:ONIVA08G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKPHTSTADLLTWSATGPDAAAAAASPVASSRPSLKPAGGITPAMFGAPVSEKEAEDLSKSERKFCSGSKLKEMTGSGIFAENSENDDSEASNPANKTSVRMYQQTVTGISQISFSADGSVSPKKPSSLPEVAKQRELSGTLETDAEAKMNKQHSEAKSKELSGSDIFGPPPEIPARPLAARNMELQGNLDFALPQPRSVHTSVKVSNPAGGPSNITFSEEPVVKTSKKIHNQKFQELTGNNIFKEDATPASAEKSLSSAKLKEMSGSDIFADGAAAPRDYLGGVRKPPGGESSIALI >ONIVA08G06520.1 pep chromosome:AWHD00000000:8:5947520:5948197:1 gene:ONIVA08G06520 transcript:ONIVA08G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWATEQPGVELEVKVVRVAGVEARPEGGGGGGGGLFVRYYVPAGDGKRRIRVDTREVPCGGGDGEEDGAFWGELARFERRGGGAPASVGGVVFELRWRPRRRSPAFLGMLGNGRPSSRVLARGELACQDDAAAAVTPAPASSGSTWLRLSPACRELSGCKAPKLLVEVRMIHAADNYGAVKATRSLGGVNHHYCCSDGERCAQCGWIGTEEDMFLAATFTHE >ONIVA08G06510.1 pep chromosome:AWHD00000000:8:5914715:5937200:1 gene:ONIVA08G06510 transcript:ONIVA08G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGSPSPVAASAAPVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPQPQPHPQLQPPPPPAPLPPPSRRSAPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDLSKLRNFLATAGPGFAPPLPLPPPPPPPMPPIPLPHMPFLPMMPHVPVPMPPMASPAELPEEINEVAVDVEREEDEGGTVGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLTIMDELDETKVLSCLQIETIVYASQRHLYHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWKQGRHKALWISIGSDLKYDARRDLDDVGAKYVEVHALNKLPYSKIDSKAVGITTGVIFVTYSSLIASSEKGRSRLQQLIEWCGSEFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQEMLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFQKFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGAAFATVEAPLEERMMNMYRKAAEFWAELRVELLSAIEYYAEDKGNSSQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEEKCVVIGLQSTGEARTEEAISKYGVEMEDFVSGPRELLLKLVDDNYPLPPKPDCFQQVGDEKVAEVQRKRHYGPDVCFKGRARKLAKMEDESDDGTDEYSLPESDHESTESEEEFNMCQICNTEEEKTMLLHCTGCAAHVHPGCLIPPWTGMLADDWSCYSCKEKVENYFKERDAYITELSKRYDTAVERKSNILQIIRSLDLPNNPLDDIIDQWDGNHNISHGNMEQAIV >ONIVA08G06500.1 pep chromosome:AWHD00000000:8:5914411:5914611:-1 gene:ONIVA08G06500 transcript:ONIVA08G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQAAEMSVMGLFAYPGSSPAKATTLKDLVCGVAEVLPTNKLATREAIAMQSGHLYAERREAHR >ONIVA08G06490.1 pep chromosome:AWHD00000000:8:5888155:5892775:-1 gene:ONIVA08G06490 transcript:ONIVA08G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCERVAGDGGNGGHRECDEIGNQSRGAPPMEACSSAGACAPRQAKAVGEALLLGGNHWYTGTHSGADMRARPRQCSPAPVRVMTWTYGDALLHEHND >ONIVA08G06480.1 pep chromosome:AWHD00000000:8:5876312:5878326:1 gene:ONIVA08G06480 transcript:ONIVA08G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAGAARPTPPPPHTRPSPPRIRVSPPSLLLDSTGSRVPPSSPRERAARSRRTRRRRRCGSPPSPPLAGFASSSNEGHGDLLEQHGNSGWYKEQAISGDN >ONIVA08G06470.1 pep chromosome:AWHD00000000:8:5875040:5875693:1 gene:ONIVA08G06470 transcript:ONIVA08G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLVVLMVVSTGGLSPPCAAAAKEEKPVVVLPPAAAPGEAPSAEAAAFVRSCCETALPAERDASSFCYDELLPYADSFGGNQVKVTEVAATILSNNLSAYLDELRKVQDGAGKGDQNLMGCVDGFTDATNVNITKEALDSLGRLAAAGDGKRSKEDLENVQNWIKGVDKHYVGGNAAGSDCETGYLYTYNDDLPAQETLGNCLYTASSLINHIKL >ONIVA08G06460.1 pep chromosome:AWHD00000000:8:5873932:5887100:-1 gene:ONIVA08G06460 transcript:ONIVA08G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRHNRRERARGARLMGHGDDGVEKKYTASALIADWLFSRAPAARKVIGHARVPRCPVLTATPLCREGSTANTPQSRYYSSRVYPRCV >ONIVA08G06450.1 pep chromosome:AWHD00000000:8:5856200:5856997:1 gene:ONIVA08G06450 transcript:ONIVA08G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFVWYIGPDVEPLVVKPGKTQTQGRSAALGTPRLDAYNGRSFTIPSTSTARRTISSRALCDLSRSTATHVYFGWQPVIFRGSDNQVAYLGYICIGARQVIIPSL >ONIVA08G06440.1 pep chromosome:AWHD00000000:8:5844131:5852922:-1 gene:ONIVA08G06440 transcript:ONIVA08G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGPLRSATRSWFASCDSSSSSCAGADLASPCLRRVDLASGGASRPASAASSASSLAGFLMDPGKHNGMSLKDRRELVYEVSQWPQGASEILQCWTRRDLLELICAELGKERKYTNVPKSKMIAYLLKLVLRKNGQPKDDNANASILGQNNKDDTEKKENEEQPHHFSRSAKSDSSMCREAQAGSTAVCRNVACQATLNSGDAYCKRCSCCICHKYDENKDPSLWLVCSSDTPYSGYSCGTSCHLKCALKNKKAGILKNGCNKKSDGSFYCVWCGKMNWLMRNLRKQLAIARESRRVDVLCERLSLTHKMVKGSERYRELANIINSAVKILEKEVGCALDQVSAITGRGIVNRLCCGADVQKLCSCALEMVDSTLSSTLDFETNNNLEAPGPQPQVFFVEITPFSVLVVLKYQDNIAEEIDGCKVWHRSANMANYPAEPTCHVLRPNTRSLFSGLSPSTEYFFKGYGEWEVKCSTRSLNHGSSQCSTQNSESMSIKEDLEQHQKNELNLKNKQWWGIQYDSPSANSNENDVCPDLHPKRAKLAKLDGASDNDESQLLPTSEVLPFMSSNSSLSEVPSKPDWVSSTPDSACKNHVERQYEYSVKVIRWLEHEGHMDKDFRVKFLTWFSLKASAQERRIVNAFVDALVSDPASLVAQLIDSFMEVVCSKEKPAQPNGGCCNLWH >ONIVA08G06440.2 pep chromosome:AWHD00000000:8:5844133:5852922:-1 gene:ONIVA08G06440 transcript:ONIVA08G06440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGPLRSATRSWFASCDSSSSSCAGADLASPCLRRVDLASGGASRPASAASSASSLAGFLMDPGKHNGMSLKDRRELVYEVSQWPQGASEILQCWTRRDLLELICAELGKERKYTNVPKSKMIAYLLKLVLRKNGQPKDDNANASILGQNNKDDTEKKENEEQPHHFSRSAKSDSSMCREAQAGSTAVCRNVACQATLNSGDAYCKRCSCCICHKYDENKDPSLWLVCSSDTPYSGYSCGTSCHLKCALKNKKAGILKNGCNKKSDGSFYCVWCGKMNWLMRNLRKQLAIARESRRVDVLCERLSLTHKMVKGSERYRELANIINSAVKILEKEVGCALDQVSAITGRGIVNRLCCGADVQKLCSCALEMVDSTLSSTLDFETNNNLEAPGPQPQVFFVEITPFSVLVVLKYQDNIAEEIDGCKVWHRSANMANYPAEPTCHVLRPNTRSLFSGLSPSTEYFFKVLPFGCSQGYGEWEVKCSTRSLNHGSSQCSTQNSESMSIKEDLEQHQKNELNLKNKQWWGIQYDSPSANSNENDVCPDLHPKRAKLAKLDGASDNDESQLLPTSEVLPFMSSNSSLSEVPSKPDWVSSTPDSACKNHVERQYEYSVKVIRWLEHEGHMDKDFRVKFLTWFSLKASAQERRIVNAFVDALVSDPASLVAQLIDSFMEVVCSKEKPAQPNGGCCNLWH >ONIVA08G06430.1 pep chromosome:AWHD00000000:8:5843342:5844644:1 gene:ONIVA08G06430 transcript:ONIVA08G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSCADAFRLNHAESGVLLTQSGLLGTSESEELELMNGKTSDVGSNWLSSLSLAPSNFASLARLGCRSGHTSFSFEFAEGESY >ONIVA08G06420.1 pep chromosome:AWHD00000000:8:5829695:5832130:-1 gene:ONIVA08G06420 transcript:ONIVA08G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I8H6] MKQYYKAALLRYWVVVPFLLWLVATEEQLAAGAVAGAAAESSSTWGGQGQLQLPLWVRPGDRRLLGMSVAGMAVDAVVAADGTGQYTTIKQAVKAAEADTSGRRRAKGRLTVENTAGPQAMQAAAVVVKSDRAVFFRCEITGYQDTLLADVYRQFYRECVISGTIDFVWGEATAVFQMCHLLVRRPLEGSHNTITAQGRNHSEPVVARSGFVFQECNVSTKEDLRGVDTYLGRPWHPDSRVIFMSSYLDGNVVNPKGWVAWRINNATDERSTASTVYYAEYNNTGAGANVTQRVNWHGFHLLAPHEVRNFTVDSFIDGGSWLPETNVPYHLDLDLGL >ONIVA08G06420.2 pep chromosome:AWHD00000000:8:5829695:5832130:-1 gene:ONIVA08G06420 transcript:ONIVA08G06420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I8H6] MKQYYKAALLRYWVVVPFLLWLVATEEQLAAGAVAGAAAESSSTWGGQGQLQLPLWVRPGDRRLLGMSVAGMAVDAVVAADGTGQYTTIKQAVKAAEADTSGRRYTIHVKAGKYVEDVEIWRPNITMIGDGIGRTIISGMKSKNKNRGTACTGTLNVQKDGFIARELTVENTAGPQAMQAAAVVVKSDRAVFFRCEITGYQDTLLADVYRQFYRECVISGTIDFVWGEATAVFQMCHLLVRRPLEGSHNTITAQGRNHSEPVVARSGFVFQECNVSTKEDLRGVDTYLGRPWHPDSRVIFMSSYLDGNVVNPKGWVAWRINNATDERSTASTVYYAEYNNTGAGANVTQRVNWHGFHLLAPHEVRNFTVDSFIDGGSWLPETNVPYHLDLDLGL >ONIVA08G06410.1 pep chromosome:AWHD00000000:8:5827392:5828612:1 gene:ONIVA08G06410 transcript:ONIVA08G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGGSGRRRPACYDRQRFDSFLGEGLGTTRILTPCVVGVEMVGGSGLARRAARPALQAGDLEAGASALQTEDAGRRPCRGRQQRSGSSRLVAPGRGAASVGRGGCEASAGRGRASGRGGVSFAQPCSEIRGQRKNGRLGGDDLRLASYVFDGMPARKERGRNRRERWGAGPLAAQQSLLGGIILKISTNLFLNGIVVRSGIFGTALKSLEVSKIEVALRLGTDL >ONIVA08G06400.1 pep chromosome:AWHD00000000:8:5818729:5822741:-1 gene:ONIVA08G06400 transcript:ONIVA08G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPICSPRASSLCASSPRDGPPPDQRPRLLAPPQRRTSLFLATDQRRRTTAPPYQRRGRRRPRRPSPVAAAHAHPPRPAPRAAPSAAAATIIATVHSRSALFPIDRGWSRRPPHPPPTEPRSSIADAAVFLMLDWSCAAPRDKHEMHVAGKRGTKGINGHREGSLSVRMLIAGVADASTGLLHGNHTGGRVIRAGVRWQHLVLRGQSARERARGSQGSQFAAAAEGLPELESGSRREDRSTTVLAPWSGRTAALGESVTA >ONIVA08G06400.2 pep chromosome:AWHD00000000:8:5818729:5822741:-1 gene:ONIVA08G06400 transcript:ONIVA08G06400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPICSPRASSLCASSPRDGPPPDQRPRLLAPPQRRTSLFLATDQRRRTTAPPYQRRGRRRPRRPSPVAAAHAHPPRPAPRAAPSAAAATIIATVHSRSALFPIDRGWSRRPPHPPPTEPRSSIADAAVFLMLDWSCAAPRDKHEMHVAGKRGTKFTTQERTLKCTIVELEQGINGHREGSLSVRMLIAGVADASTGLLHGNHTGGRVIRAGVRWQHLVLRGQSARERARGSQGSQFAAAAEGLPELESGSRREDRSTTVLAPWSGRTAALGESVTA >ONIVA08G06400.3 pep chromosome:AWHD00000000:8:5818729:5822741:-1 gene:ONIVA08G06400 transcript:ONIVA08G06400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPICSPRASSLCASSPRDGPPPDQRPRLLAPPQRRTSLFLATDQRRRTTAPPYQRRGRRRPRRPSPVAAAHAHPPRPAPRAAPSAAAATIIATVHSRSALFPIDRGWSRRPPHPPPTEPRSSIADAAVFLMLDWSCAAPRDKHEMFTTQERTLKCTIVELEQGINGHREGSLSVRMLIAGVADASTGLLHGNHTGGRVIRAGVRWQHLVLRGQSARERARGSQGSQFAAAAEGLPELESGSRREDRSTTVLAPWSGRTAALGESVTA >ONIVA08G06390.1 pep chromosome:AWHD00000000:8:5809393:5810729:-1 gene:ONIVA08G06390 transcript:ONIVA08G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSTVLHKKDDANELLIALKAEKEELEAALNREQVQTMHLKEEIAEAEARNAELTKLRHSMGCGWRRRVASDELRCDHWRRAPGVTWLWMMEDAAFGDELRCDVARGHRRTWPPAMSLAWGVRAAESTASNKPMKDEATGDELRRGAWTTQNAAAGDDLRHEARGVEGVATNDDASVLSSLYLVGIT >ONIVA08G06380.1 pep chromosome:AWHD00000000:8:5805988:5809577:1 gene:ONIVA08G06380 transcript:ONIVA08G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVWLYIPKKKQGQSKRAENRSVVVGGHTLHAPCLMSEVVAGGRVLRRPRPTSELVAGSLVLHCHAPH >ONIVA08G06370.1 pep chromosome:AWHD00000000:8:5746220:5750225:-1 gene:ONIVA08G06370 transcript:ONIVA08G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPFDFPVPSGRNNQYLSNILAPEGSKDVQAGQPIAVTKEQSTASEAQKVETDAAKQSSIVTRISPAAKLLIKEHRLDQSVLNASGPRGTLLKGDVLAALKLGASSSSTKQKNAPAAPSSQPTQDFQAQSVTVPQKNDAYEDIPNSQIRKEAELDGAATALLAELPQFESPSTAPPLHPNPSQLATAGEDDDGKGGNDGSKAKKARAAGDKVTTTDWRALGKFIASQLSPGECGSMAATQEAAVAAAVAGVSSQLDHGDDDKAALLFLNSDKRDKVDRWTGLLGSAGASGVDGDLGICVFEKRGIKHSQHDVFITSTG >ONIVA08G06360.1 pep chromosome:AWHD00000000:8:5742324:5742638:1 gene:ONIVA08G06360 transcript:ONIVA08G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRDENINNCGSFFAIGETFSDTTNSTLYALERLTAAGEGKRSKEDLETVHKWTKNLETQYDENASKCKLGDLFKYGDKKVPTVWVIDLLTTTAIRLLNAIKP >ONIVA08G06350.1 pep chromosome:AWHD00000000:8:5688759:5741337:-1 gene:ONIVA08G06350 transcript:ONIVA08G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFTFEAKTCLGPKQRIGCSPSAWLDPRNSGRHRSKEGSEYESSIWQKKPPPTTLTLPFQTAKKPPWELYAFHGDVRVVSNPDVKLLVPDDDLRASCIVEVGVAGLASRAGEQTEIG >ONIVA08G06340.1 pep chromosome:AWHD00000000:8:5677069:5681844:1 gene:ONIVA08G06340 transcript:ONIVA08G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >ONIVA08G06330.1 pep chromosome:AWHD00000000:8:5664567:5667737:-1 gene:ONIVA08G06330 transcript:ONIVA08G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSAFVLACASKLAGLIADRIAAGLGVEDDVRSLQRRMERVAGAVLADAERRRRRLTGEHEASAGAWIDELRDFLYDADDVLDLCRCRGERLLAERRPRSRPLMATVNLVSSLQKLQARLEIGAQISRLNRRFEEICKDRLFLSLAVDDSKAEDGGSRGGGGGGSRVRTRTSTPLLDTNVVGKEIKSATEALVEKIMRDDGRGEVVAVVGMGGIGKTTLAQRVFSSRRITGGFPVRAWLCISREYSETDTVKEAIRCCGGDYGRAETLAELQLILRSAVAGKRVFLVLDDVWDAGVWTSLLRIPFHGATGRVRVLITTRDQRVAARAGANHIHQVKRLGSDSGWELLHRTARLDGADEMQSLRDVGMAIVAKCGFPPLTIKVIGGLLMTKRRSRAEWERVLGSDAWSTAELRDEFKGAILLSYEDLPPHLKQCFLYFSLFPADFVYYRCHICRQWVAEGFIAASGQHMAEEIAEEYYYELITRSILQPHPDYLADQSRCTVHDVLRSFAQHLSRRESICGDLQAVGCSSFLVKLRRLSLMNLEEAMVPCNAISRDRKCFRTLFLMAIPKVDGRLVVRFSGLRTLFLSDCNIGKLPDSIGDLMHLRYLGFDTVDIPALPESIGHLTNLQFLNVKRCTLLTALPRALTRIQSLRRLGIEETPIRLVPRGIGGLHSLVDLQGFIVGNAISSSTMQQGWILTELESLSRLKWLRIDSLEKAAIDNVDILATKQHLKRLELSCSLKSNGDNDPWDEIEVKKTEAIFEKLLPASCLEDLLIRGFFGRKFPIWMESSSLCNVTWLKLIDCRFCLKFPPLGQLPHLTFLKIVRANSVIAVGSEFLGHGATAFPKLEFLWIGKMPNWEDWTFHIAQATRSSSLQIFPCLRQLELKDCPKLRALPEQLKHATKMQRLRIQGVHALKKIENLHELSGSLRINGSRSLASISDLYQIKELYVADCTALQFVNNLNALKWLYLEDETMDCIPMWLSRLTENGEQAGEDGLELELHCSTDMLDRCLMGRQDWTIMERFTSVAAYCKEDYMCYSRHPVSYHTSKSVSNSK >ONIVA08G06320.1 pep chromosome:AWHD00000000:8:5662182:5667715:1 gene:ONIVA08G06320 transcript:ONIVA08G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGASSGGDSLVPGGDEDSDSPRGAMEGDAKQRRPHAGVPHVVEDEEDALPGDGRAEDQLQLRQRLGAAVVAAAAADGLLHRVRLRVLPRDAQPRPHGEPAGDPPAAEHPLRQRRLADAAHPDDGDDLSTAVVAHYLLHQRLRRALDFFSHHVGVQQRGARTCSNPAAAATATAGAAVLRLGVIDGEAQEQPILAYLLESAVQATNLCPNLKPSLQFLE >ONIVA08G06310.1 pep chromosome:AWHD00000000:8:5654361:5657014:1 gene:ONIVA08G06310 transcript:ONIVA08G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAIHCFFHSMQYTCEMVHPKLPGYMPSGTPYFLCARVDDIAICMTVPFGYMPRMASVCTPSLRSNTICLEALHYSR >ONIVA08G06300.1 pep chromosome:AWHD00000000:8:5619910:5623718:-1 gene:ONIVA08G06300 transcript:ONIVA08G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDLLSLDYTHGHTALLLLISTSLCLLATTIVAALAYASSTTTSRSNRGRLLPPGPRLRLPLVGNLVFHAPTISALSLALPRLRDAHGPIVTLWAGDQPAVLVIGRELAHRTLVCAGNALAHRPPSPFTASRALSFNQHGVNGAQYGERWRRLRRNICSFLAAAQSGEALLWSGDRLVARLMETAGSGGAGAGVVEPTDAFRHAVFSFFAVLCFGEGVDDGVLRGLRVAHAEILSLAVELGAFHLMPMVLMAAYVHRCWKLSGLQRRHNDIVAALISARRRRMEKTSTCYIDTLLQLGLSEDEMVSLCWEFMNAAAKTTSTALEWTMARLVHHRDIQHKLRQDIARTNNGGVSVSPSHYLKAVVQESLRRHPPAHYLLAHTVDRDVPVDGGYVIPRGSIVNYAVAEIGRDATAWTDPDEFVPERFLEGGEGAGVDVVPSGGAEIRMMPFGAGRRACPGSNVAVSALRYFVGSTARTFQGQEHQLRAGRQAQHGLFLVGGVLAGRFGSLAPSPAAHRRGGWIQSRWRGGSKVKAVASAVRI >ONIVA08G06290.1 pep chromosome:AWHD00000000:8:5562027:5607316:-1 gene:ONIVA08G06290 transcript:ONIVA08G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLTNHPATAARPMLVLQACVRPDGPVAHMCMLPAAVALLPMTSCACASLFLSFASCDSHGNSIRVGGGFHICTY >ONIVA08G06280.1 pep chromosome:AWHD00000000:8:5559521:5560754:-1 gene:ONIVA08G06280 transcript:ONIVA08G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTAQPIGKPEATALVVYTDFCFRRHHSSRRFPGGVRVTTTASIHRLACMAVQQRFRPGDSLDHN >ONIVA08G06270.1 pep chromosome:AWHD00000000:8:5554271:5555230:-1 gene:ONIVA08G06270 transcript:ONIVA08G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRELLLQTDKKTVAEAFWRGIVDGRVDDMVPAETMRTPWRSGGLLPHTQGYTHEEQQHDCAALAVEPSRRSGVAVRTGQRGTHLMINQGKMQGFDKNIEANARRLSEWI >ONIVA08G06260.1 pep chromosome:AWHD00000000:8:5553317:5553970:-1 gene:ONIVA08G06260 transcript:ONIVA08G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLVVLMVVSAGGLSPPCAAAAKEEKPVVVLPPAAAPGEAPSADAAAFVRSCCDTALQADRDGSSFCYDHLLPYAAFFEGNQVKVAEVAATILSTNLWVYVDQLRKVQGGAGKGDPNLNACVDDFSVAAGENITREALQSLGRLAAAGNGKRSKEDLENAQKWIKGVEKPYNGGIGKASGCEIGYLFTYSDDLPAQKTLGYTFDTASSLINHIKL >ONIVA08G06250.1 pep chromosome:AWHD00000000:8:5535330:5536269:-1 gene:ONIVA08G06250 transcript:ONIVA08G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKITQRVFAENCVFGPPLGIGEAKTRITKVLKVACWMRVHPGRTLEDYDRVHVERLEDMVRFWRNHQSTDRQEAIAQRLYSLACISPPSPLRIVYNISSDDELCSPDHSLGSGWCFGGEDVIFL >ONIVA08G06240.1 pep chromosome:AWHD00000000:8:5512726:5514960:1 gene:ONIVA08G06240 transcript:ONIVA08G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNGSEITLGLYRCKESRRSSPGGEESKRRAGGQTWRRRRKLGHYPGATARLDLHWIDGNGEATSGGAPDAENNDGRNTMVVSFWDEVSCCRIEPSAIALSVVDGKKIKRIKI >ONIVA08G06230.1 pep chromosome:AWHD00000000:8:5500110:5504050:-1 gene:ONIVA08G06230 transcript:ONIVA08G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRVWAPSRERARLASITLILAAGSEGDGERLYRRAAIVSSPLAFHHLLSIPGMFRNADFAFASALQAPSWCIVADTVDLDQARPSPRANIITTTSSSKTPKNPIQWRSKLGDRHRLLQLRPPSLLSISSPPRGSAAAKLLRVPLLLSRPCCAVIKPQ >ONIVA08G06220.1 pep chromosome:AWHD00000000:8:5431061:5447138:-1 gene:ONIVA08G06220 transcript:ONIVA08G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARDETATASQSQAEEANNVIDTTFYISKVNNQIRDSGNGEFEPCAVAIGPYHENWDSTAAVEVKKQMLRRALRQAGSSDHDQRAELSRYVNEINGLVERVKQCYSWTPENDERMATMLLLDGLFIVSWFVLGTGPSERTSESQWWLDDATVVRDVLYILENQVPFFVVETIHELVERGESREDLLHSLTRYVRNLVKGLGYATGIDLAGEERPCHLLHLLHRQFRPVTLDQRQRDGDGDGLLRQIGGNAADPCRRLLQLVGNTCFSWITTRLRLATSPGDEPEIVLVPRWRTAVYYREHGVRLRARDVGGRDGARSILDVELRGDKLLIPCLTIDKETWIILRNLMALEQSNPKLGSYVTTYCLLMSQLASREKDVELLSDKGIIFHFLKSDGDVAACFGNLCNGIVLEINDPTNLNYLSPTWVALEGICRSGRRRSMAWMRQSQCNNYAMTVALAAAVILFVCTVLQTVFAALGYKKQ >ONIVA08G06210.1 pep chromosome:AWHD00000000:8:5422296:5424605:-1 gene:ONIVA08G06210 transcript:ONIVA08G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSCFRVWRLLIELAGYLLPIARGPELRAQWVVDWWLSTRRQAQQPNYLLTSRMEISIWKEAVLMKLAGLCHEDRWSEQLF >ONIVA08G06210.2 pep chromosome:AWHD00000000:8:5422296:5424605:-1 gene:ONIVA08G06210 transcript:ONIVA08G06210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSCFRVWRLLIELAGYLLPIARGPELRAQWVVDWWLSTREHNARIFDQKTSTAAQLFADIKDGNLHLEGSWWSEQLF >ONIVA08G06210.3 pep chromosome:AWHD00000000:8:5422794:5424605:-1 gene:ONIVA08G06210 transcript:ONIVA08G06210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSCFRVWRLLIELAGYLLPIARGPELRAQWVVDWWLSTRRQAQQPNYLLTSRMEISIWKEAGMFKNISELYRVFVWSKFVPWPC >ONIVA08G06200.1 pep chromosome:AWHD00000000:8:5370233:5404037:-1 gene:ONIVA08G06200 transcript:ONIVA08G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLATRRNGNPREVTLVDRNTRDTPEFYTTWEITIQDFPRNNGRGDRDRQIRARARVTMRETSCSAAKPRRPTPNDDASDASVHKVTQKYIVHQCFKDSYARSAGPRVESSTSGMRIPGRGRQQDCGGALPGWRQTAAVASQDSRWTPSTYGGEGQQWRLLALGGRLEEDWRLDRAQAAKPNGNSPRCQAEQAAHSQVLARVEEVGGGAAPPPTPGARGGDIERLSDIKVEKERKRVEKERGAVEWRREDDGGSGFSFRTSPLRGDPWSQVTPGDWIHRWGGRNHRFRWPPKRIWQLTEERERKREAVGRRGRLRHATLSFLRVAGSTIEEKGAATATATASGGGGRLGAGSTGKETGVTGSGGLGLLTGRSGGSLGGGGGGGTVEERELRRRRRTRAEEAGKLTRVGRGEDGQLGVYS >ONIVA08G06190.1 pep chromosome:AWHD00000000:8:5349242:5354396:-1 gene:ONIVA08G06190 transcript:ONIVA08G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQHDMVSKFGAAAIVVMLMLVVPNSGSGEDAGHDKDQLAPMSSEAEFGFSLPIVHGRPPAPGMDDEKFVTPFRSYEDVVYLAEMEIGERQQKQYLLIDTGSSLVWTQCDECPHCHIGDVPPYGRSQSRTFQEVSCGDDDDNDKEEAIASYCPAKPPGYITLCVNGRCMFKALYNLTGQGETVQGYMSMDTFHFIDDRRFDYQAKFRMVFGCAHQENIVLTAVKECTGILGLGMGDASFLRQTGITKFSYCAPPRMPGYSYRRDSWLRFGSHAQISGKKVPLVMRWGKYYLPLTAITYTYNELMSPVPIIAYKSQEDYLHMMVDTGTSLLSLPTSLHDDLIKEMEAIIKSKNIMEGATRWPKHCYKRTMDEVKDITVTLSFDGGLAIELFTSALFIKTETIDGPAVCLAVNRVDDSSKAILGMFAQTNINIGYDLLSREIAMDPIRCA >ONIVA08G06180.1 pep chromosome:AWHD00000000:8:5341643:5347067:-1 gene:ONIVA08G06180 transcript:ONIVA08G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDLSSRKRKPRKNNKKNLPPEPSTPQRTTNEATIMQESPGMVTRRRLAMLLGVTSTSGVEGAREPREMAISTNDAKPDAYNSQLVSLPAY >ONIVA08G06170.1 pep chromosome:AWHD00000000:8:5340108:5348821:1 gene:ONIVA08G06170 transcript:ONIVA08G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT1G30960) TAIR;Acc:AT1G30960] MRRFVGALRPLRTLTLSPIPTALRVLSTASASSSSASAAASSSDSDSAAAPDADFDSSEFALPPSGPTPPPPPQQARNPVSALRKLRFDPSLRARADEALFGKERGGVDDAVEEERSREVALALLEAALEPPDDDLGPGEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGTKVAAVSRKTNTTTHEILGVLTKGITQICFFDTPGLMLGHHGLPHRDVTVRVESAWSSINLYDLLIVLFDVNRHLKTPDTRVVKLIKRLGAEVNPNQKRILCMNKVDLVEDKKDLLKVAKEFEDLPAYERYFMVSGLKGKGVKDLVQFLMEQAVRRPWDEEPTTMTEEVMKTISLEVVREKMLDHIHQEIPYVIDHRLMDWKELKDGSLRVEQHFIAPKQSQRQILVGKNGSKIGRIGIEANEELRSIFKRDVHLILQE >ONIVA08G06160.1 pep chromosome:AWHD00000000:8:5335465:5338903:1 gene:ONIVA08G06160 transcript:ONIVA08G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRWHTRDAARARATYKTPARPAPPPSPSSPHATRTRAMDAMRQSTPRAMLLLCAVLMLAVAPPGAATAAAVAGCECGNAAAAAVAGEDARGALRLKLVAIASILAAGAAGVLVPVLGRSFAALRPDGDVFFAVKAFAAGVILATGMVHILPAAFDALASPCGGGRGGGGGFPFAGLVAMAAAMATMMIDSVAAGYYRRSHFKKPRPVDDPADAARAAGVEEGGAEHAGHVHVHTHATHGHAHGHVHSHGHGHGHSHGSAPAAATSPEDASVAETIRHRVVSQVLELGILVHSVIIGVSLGASLRLSTIRPLVGALSFHQFFEGIGLGGCIVQANFKAKATVIMATFFSLTAPVGIALGIAISSSYSKHSSTALVVEGVFNSAAAGILIYMSLVDLLAADFNNPKLQTNTKLQLAVYLALFLGAGMMSLLAIWA >ONIVA08G06150.1 pep chromosome:AWHD00000000:8:5323626:5326283:1 gene:ONIVA08G06150 transcript:ONIVA08G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVAAVEGGGSDDDGGHGQGQQRLCFCCSCCYHRFLSLGSSPTLLLQLFKDGVLQTKIRDKDDPRLSKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQLQTSSQNKKKDKAAVFRSCPFHFYNAKNTAEIFRAHDEHQCKKFNSYGK >ONIVA08G06140.1 pep chromosome:AWHD00000000:8:5319333:5319766:1 gene:ONIVA08G06140 transcript:ONIVA08G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTQTHSEFHPKPLQMRTKPLKSTQAPLGKVAAVEACPARAFPLLLRRCTRPASRFHLAATAAVVMAQRRRGGSGAAAAFEK >ONIVA08G06130.1 pep chromosome:AWHD00000000:8:5309980:5314921:-1 gene:ONIVA08G06130 transcript:ONIVA08G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05310) TAIR;Acc:AT1G05310] MAEQVLDFSPKKSGKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVIKVIPAGSTAPSLPFYLLTSGTTNSTGNHRYRDEYNPLCDDFPPEFPPPDTAAISIFCVDPNGCCNFTTVQAAVNAVPSFSKKRNVVWINKGIYYEKVTVPATKPNITFQGQGFDLTAISWNDTANSSHGTFYSGSVSVFATGFVAKNISFINVAPIPRPGDVGAQAVALRIGGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRVISIADPVPAGVRTITGSVTAHARESVDDNTGYSFVNCSIGGTGRIWLGRAWRPYSTVVFAYTSMSDIIASEGWNDWNDPSRDQYASSLYSVSIVTCMTKRTVFYGEYRCTGDGANLSDRVPYAQKLSDVQVLPYLNTSFIDGDRWLKPYCDSLISA >ONIVA08G06120.1 pep chromosome:AWHD00000000:8:5305251:5313248:1 gene:ONIVA08G06120 transcript:ONIVA08G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHSPLLLPLYIELNCDLMHKSLDLARIDRIVRCSLRSQGVPLPAVEPVPESSVSTVEDRTPEDKQRWWKRGLKAISEGKLAVVLLAGGQEMKSHISSFSPISDREQGLAVLILRDASSIFGVISYVLVCFQSSLILRFMVFFSSDFCSGIGLPSGKSLFQLQAERILCIQKLAAQSTDGTPQIHWYIMTSPFTDEATRKFFESHRYFGLEPDQVTFFQQGTIPCVSADGRFIMETPYKVARAPDGNGGVYAALKSQRLLDDMAGRGVKYVDCYGVDNVLVRVADPTFLGYFIDKGVSAAAKVVRKAYPQEKVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQGTGRLRYCWSNVCLHMFTLDFLNQVTNSLEKDSIYHLAEKKIPSIHGYTAGLKLEQFIFDVFTYSPSTALFEILREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >ONIVA08G06110.1 pep chromosome:AWHD00000000:8:5294145:5302116:1 gene:ONIVA08G06110 transcript:ONIVA08G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSSCSRTRGGLVRLNNTIESNEVLFSKTRSGLVRINSTVESNEVSLSKTRSGLIRGNTNVRSNEGSYSTTRSELAGANGVVDSNVGDLCSKTRSGLVRGNTTVAASNGSYSATPSRFVRGNIIVGSKEGSCSRTRSGLVRESIQMDYSDSSCSRTRSGLVRRKPFMVQVKDEAAMNGLSDDCLKEDSPGKNEPNHKSNLVENSDKPVMKGPDGWWKEGMLTKNGSKYRSDPVQTKGEACINGLPGGQWKENSAEKNVSNHKNELVQRKDDLIVDGLPDGWWKEDRPRKNGSNLKTDPYYIDPVSGYEFRSLKDVHRFLKSGDIYKCIVRPRKRTIQDPCTIENQSHTATLLQHTRPGTADKAIQCELLTSEGLMLPWEEQLSPYRELNNPKKMPELEGMIASQKHAYKVDAPREKKSFPRKRKQPSAGGKPKKHKIVPAKMVAMPVRASPRLASLKITHDLNTEPEDEPIGVNLVNEVQSTKENPTDKSRLNQAGISTEMTSVQERADNQLLSSQADTGNHIRVMEGDTTDLSQLRQADTVNQILTDQENAVSQLQSSHTDYFVQIRPRQEYVTNYSQSQLSRAATVNQIETNQRNMSGQLQSSQADSLDQIQTEQESSASRLQSSQADSFNEIQTIQEYITEQSESQVSHANQIQIDLGNTVDLLPSSQADAIFQMETTQEYITNQSQSSQADIVDHMQVNQDNTANQFHLRQADTVNRIQTMQESTTDQPQLIQALTVNQIQDNGENTANHVQSRQADTVNHIQLNQDNTVNQFQLRRADTVNRIQTMQDSTADQPHLIQALTVDQIHANRENVVNHFQSRQADTVNHIQVIQDNTANQFQFRRADTVNKIQTMQDSTTDQPRFIQALTVNQIQANAENTANYLQPNYAENNIMQVGFSLTPEPEEAPATSFWRNVANQELPVSMQTDGKPVVSSALNVEYQNVPATAPAQPTRAPHPEAASYPSGLAVPSLFGNSWSDPCIEFAFKTLRDYSASPSCFSSSFDNTRNFTQVDHASLPAPNPSDKLYNGGWFPPK >ONIVA08G06110.2 pep chromosome:AWHD00000000:8:5294145:5301642:1 gene:ONIVA08G06110 transcript:ONIVA08G06110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSSCSRTRGGLVRLNNTIESNEVLFSKTRSGLVRINSTVESNEVSLSKTRSGLIRGNTNVRSNEGSYSTTRSELAGANGVVDSNVGDLCSKTRSGLVRRNATLDSNEGSCSKTRSGLARGDGIVGLNQGSSSKTRSGLVRGGDIMNFSEGSSSKTRSGLVRGNTTVAASNGSYSATPSRFVRGNIIVGSKEGSCSRTRSGLVRESIQMDYSDSSCSRTRSGLVRRKPFMVQVKDEAAMNGLSDDCLKEDSPGKNEPNHKSNLVENSDKPVMKGPDGWWKEGMLTKNGSKYRSDPVQTKGEACINGLPGGQWKENSAEKNVSNHKNELVQRKDDLIVDGLPDGWWKEDRPRKNGSNLKTDPYYIDPVSGYEFRSLKDVHRFLKSGDIYKCIVRPRKRTIQDPCTIENQSHTATLLQHTRPGTADKAIQCELLTSEGLMLPWEEQLSPYRELNNPKKMPELEGMIASQKHAYKVDAPREKKSFPRKRKQPSAGGKPKKHKIVPAKMVAMPVRASPRLASLKITHDLNTEPEDEPIGVNLVNEVQSTKENPTDKSRLNQAGISTEMTSVQERADNQLLSSQADTGNHIRVMEGDTTDLSQLRQADTVNQILTDQENAVSQLQSSHTDYFVQIRPRQEYVTNYSQSQLSRAATVNQIETNQRNMSGQLQSSQADSLDQIQTEQESSASRLQSSQADSFNEIQTIQEYITEQSESQVSHANQIQIDLGNTVDLLPSSQADAIFQMETTQEYITNQSQSSQADIVDHMQVNQDNTANQFHLRQADTVNRIQTMQESTTDQPQLIQALTVNQIQDNGENTANHVQSRQADTVNHIQLNQDNTVNQFQLRRADTVNRIQTMQDSTADQPHLIQALTVDQIHANRENVVNHFQSRQADTVNHIQVIQDNTANQFQFRRADTVNKIQTMQDSTTDQPRFIQALTVNQIQANAENTANYLQPNYAENNIMQVGFSLTPEPEEAPATSFWRNVANQELPVSMQTDGKPVVSSALNVEYQNVPATAPAQPTRAPHPEAASYPSGLAVPSLFGNSWSDPCIEFAFKTLRVPLLTILETLHKSTMPASQHRIRQINYTMVVGSLPSDFPRRKKVQHYDVPAKMLKRKAQVWYLLLELCCNS >ONIVA08G06110.3 pep chromosome:AWHD00000000:8:5294145:5301534:1 gene:ONIVA08G06110 transcript:ONIVA08G06110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSSCSRTRGGLVRLNNTIESNEVLFSKTRSGLVRINSTVESNEVSLSKTRSGLIRGNTNVRSNEGSYSTTRSELAGANGVVDSNVGDLCSKTRRNIIVGSKEGSCSRTRSGLVRESIQMDYSDSSCSRTRSGLVRRKPFMVQVKDEAAMNGLSDDCLKEDSPGKNEPNHKSNLVENSDKPVMKGPDGWWKEGMLTKNGSKYRSDPVQTKGEACINGLPGGQWKENSAEKNVSNHKNELVQRKDDLIVDGLPDGWWKEDRPRKNGSNLKTDPYYIDPVSGYEFRSLKDVHRFLKSGDIYKCIVRPRKRTIQDPCTIENQSHTATLLQHTRPGTADKAIQCELLTSEGLMLPWEEQLSPYRELNNPKKMPELEGMIASQKHAYKVDAPREKKSFPRKRKQPSAGGKPKKHKIVPAKMVAMPVRASPRLASLKITHDLNTEPEDEPIGVNLVNEVQSTKENPTDKSRLNQAGISTEMTSVQERADNQLLSSQADTGNHIRVMEGDTTDLSQLRQADTVNQILTDQENAVSQLQSSHTDYFVQIRPRQEYVTNYSQSQLSRAATVNQIETNQRNMSGQLQSSQADSLDQIQTEQESSASRLQSSQADSFNEIQTIQEYITEQSESQVSHANQIQIDLGNTVDLLPSSQADAIFQMETTQEYITNQSQSSQADIVDHMQVNQDNTANQFHLRQADTVNRIQTMQESTTDQPQLIQALTVNQIQDNGENTANHVQSRQADTVNHIQLNQDNTVNQFQLRRADTVNRIQTMQDSTADQPHLIQALTVDQIHANRENVVNHFQSRQADTVNHIQVIQDNTANQFQFRRADTVNKIQTMQDSTTDQPRFIQALTVNQIQANAENTANYLQPNYAENNIMQVGFSLTPEPEEAPATSFWRNVANQELPVSMQTDGKPVVSSALNVEYQNVPATAPAQPTRAPHPEAASYPSGLAVPSLFGNSWSDPCIEFAFKTLRGDIPVLDDTSAVEQYFPQHDLNKPPSPDYSASPSCFSSSFDNTRNFTQVDHASLPAPNPSDKLYNGGWFPPK >ONIVA08G06110.4 pep chromosome:AWHD00000000:8:5294145:5299165:1 gene:ONIVA08G06110 transcript:ONIVA08G06110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSSCSRTRGGLVRLNNTIESNEVLFSKTRSGLVRINSTVESNEVSLSKTRSGLIRGNTNVRSNEGSYSTTRSELAGANGVVDSNVGDLCSKTRSGLVRGNTTVAASNGSYSATPSRFVRGNIIVGSKEGSCSRTRSGLVRESIQMDYSDSSCSRTRSGLVRRKPFMVQVKDEAAMNGLSDDCLKEDSPGKNEPNHKSNLVENSDKPVMKGPDGWWKEGMLTKNGSKYRSDPVQTKGEACINGLPGGQWKENSAEKNVSNHKNELVQRKDDLIVDGLPDGWWKEDRPRKNGSNLKTDPYYIDPVSGYEFRSLKDVHRFLKSGDIYKCIVRPRKRTIQDPCTIENQSHVSSLQFFLV >ONIVA08G06110.5 pep chromosome:AWHD00000000:8:5294145:5299165:1 gene:ONIVA08G06110 transcript:ONIVA08G06110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSSCSRTRGGLVRLNNTIESNEVLFSKTRSGLVRINSTVESNEVSLSKTRSGLIRGNTNVRSNEGSYSTTRSELAGANGVVDSNVGDLCSKTRSGLVRRNATLDSNEGSCSKTRSGLARGDGIVGLNQGSSSKTRSGLVRGGDIMNFSEGSSSKTRSGLVRGNTTVAASNGSYSATPSRFVRGNIIVGSKEGSCSRTRSGLVRESIQMDYSDSSCSRTRSGLVRRKPFMVQVKDEAAMNGLSDDCLKEDSPGKNEPNHKSNLVENSDKPVMKGPDGWWKEGMLTKNGSKYRSDPVQTKGEACINGLPGGQWKENSAEKNVSNHKNELVQRKDDLIVDGLPDGWWKEDRPRKNGSNLKTDPYYIDPVSGYEFRSLKDVHRFLKSGDIYKCIVRPRKRTIQDPCTIENQSHVSSLQFFLV >ONIVA08G06110.6 pep chromosome:AWHD00000000:8:5294145:5297449:1 gene:ONIVA08G06110 transcript:ONIVA08G06110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSSCSRTRGGLVRLNNTIESNEVLFSKTRSGLVRINSTVESNEVSLSKTRSGLIRGNTNVRSNEGSYSTTRSELAGANGVVDSNVGDLCSKTRSGLVRRNATLDSNEGSCSKTRSGLARGDGIVGLNQGSSSKTRSGLVRGGDIMNFSEGSSSKTRSGLVRGNTTVAASNGSYSATPSRFVRGNIIVGSKEGSCSRTRSGLVRESIQMDYSDSSCSRTRSGLVRRKPFMVQVKDEAAMNGLSDDCLKEDSPGKNEPNHKSNLVENSDKPVMKGPDGWWKEGMLTKNGSKYRSDPVQTKGEACINGLPGGQWKENSAEKNVSNHKNELVQRKDDLIVDGLPDGWWKEDRPRKNGSNLKTDPVCCNVLLFSC >ONIVA08G06100.1 pep chromosome:AWHD00000000:8:5286523:5292175:1 gene:ONIVA08G06100 transcript:ONIVA08G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AICARFT/IMPCHase bienzyme family protein [Source:Projected from Arabidopsis thaliana (AT2G35040) TAIR;Acc:AT2G35040] MPLNLASSPAAAAKVCAGVRASPRHLLPRALDHQSRRVLSLSSSSSAGRAAGVRAMAAAEAGASTATQAKSSGVKQALISLSDKTNLAYLGNGLQALGFSIISTGGTASSLEAAGVNVTKVEQITNFPEMLDGRVKTLHPSVHGGILARRDQEHHLKALNEHGIGTFDVVVVNLYPFYNKVTSGVISFEDGIENIDIGGPTMIRAAAKNHKDVLVVVDHEDYPALLEYLQGKQDDQQFRKMLAWKAFQHVASYDSAVSEWLWKQSNKGDVFPPNFTVPLSLKSTLRYGENPHQKAAFYGDKSLSVVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRQDILEAYRLAVKGDPVSAFGGIVAFNTTIDEDLAKEIREFRSPTDGQTRMFYEIVVAPGYTEKGLEILKGKSKTLRILEAKRSGKGMLSLRQVSGGWLAQESDDLTPEDITFTTVSERAPQDSELSDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACQNGIGVIAEPSGSMRDGDAVDCCNKYGVSLLFTGVRHFRH >ONIVA08G06090.1 pep chromosome:AWHD00000000:8:5279955:5285259:-1 gene:ONIVA08G06090 transcript:ONIVA08G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C [Source:UniProtKB/TrEMBL;Acc:A0A0E0I8D3] MLVKYEDLDGDVVQVDLMESKSAYGGAIGVWTPMRESWGSASAATPARPFVTNNVIPANWSRIAPSLPPSPLPRRPRSLRARPLLRLSRLGLFLCPRLPDATLAALTAAPSISAVDISCSRGFLLLRFCVGFWGGVLAMEMILQQLHRSTSLEMDPHSHKKAHEGLIGDNPDAYAVTTYQPVLMVEPSAAAAFPPAPQVAPAYPVNPMQLPEHQQHAIQQVQQLQQQQKEQLQAFWADQMAEVEQMTEFKLPNLPLARIKKIMKADEDVKMIAGEAPALFAKACEMFILDMTLRSWQHTEEGRRRTLQRSDVEAVIKKTDIFDFLVDIITDDKMKDDGMGFQAASMVSPYTSGGMGFSFDLYPNQHHLAYMWPPQEQQEQWPPQEQQEQKQKQDSDGGGQDE >ONIVA08G06080.1 pep chromosome:AWHD00000000:8:5273717:5280872:1 gene:ONIVA08G06080 transcript:ONIVA08G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISDSETTNHGSIWDLDQNLDQPMDEEASRLKNMYTEKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDDDEDVIGALSLIIYTLTLIPLMKYVFVVLRANDNGQGGTFALYSLLCRHAKVSTIPNQHKTDEELTTYSRQTYEENSLAAKIKRWLEGHVYKKNCLLILVLIGTCTAIGDGILTPAISVLSASGGIRVQNQKMSTDVVVVVAVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGTIGALNIHKYNSSVLKAYNPVYIYRYFRRGKSESWTSLGGIMLSITGTEALYADLCHFPVLAIQIAFTLVVFPCLLLAYTGQAAYIISNKDHVVDAFYRSIPGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVIFIVLSLMVELPYFTACINKVDQGGWVPLVVATTCFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPTEERFIVKRIGPKNFHMFRCVARLESMMDDYSDSEDFTMMEEKTQGSSNALLLTGKAGSNTMCSTGDLSYSSQDSIVPAKSPIRGNSLTRYSSQTFGDELEFLNRCKDAGVVHILGNTVVHARPDSGIIKKVAVNYVFAFLRKICRENSVIFNVPHESLLNVGQIYYI >ONIVA08G06080.2 pep chromosome:AWHD00000000:8:5273678:5279299:1 gene:ONIVA08G06080 transcript:ONIVA08G06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASISDSETTNHGSIWDLDQNLDQPMDEEASRLKNMYTEKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDDDEDVIGALSLIIYTLTLIPLMKYVFVVLRANDNGQGGTFALYSLLCRHAKVSTIPNQHKTDEELTTYSRQTYEENSLAAKIKRWLEGHVYKKNCLLILVLIGTCTAIGDGILTPAISVLSASGGIRVQNQKMSTDVVVVVAVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGTIGALNIHKYNSSVLKAYNPVYIYRYFRRGKSESWTSLGGIMLSITGTEALYADLCHFPVLAIQIAFTLVVFPCLLLAYTGQAAYIISNKDHVVDAFYRSIPGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVIFIVLSLMVELPYFTACINKVDQGGWVPLVVATTCFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPTEERFIVKRIGPKNFHMFRCVARYGYKDIHKRDDDFEKMLLDRLLLFVRLESMMDDYSDSEDFTMMEEKTQGSSNALLLTGKAGSNTMCSTGDLSYSSQDSIVPAKSPIRGNSLTRYSSQTFGDELEFLNRCKDAGVVHILGNTVVHARPDSGIIKKVAVNYVFAFLRKICRENSVIFNVPHESLLNVGQIYYI >ONIVA08G06080.3 pep chromosome:AWHD00000000:8:5273717:5279299:1 gene:ONIVA08G06080 transcript:ONIVA08G06080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASISDSETTNHGSIWDLDQNLDQPMDEEASRLKNMYTEKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDDDEDVIGALSLIIYTLTLIPLMKYVFVVLRANDNGQVLIGTCTAIGDGILTPAISVLSASGGIRVQNQKMSTDVVVVVAVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGTIGALNIHKYNSSVLKAYNPVYIYRYFRRGKSESWTSLGGIMLSITGTEALYADLCHFPVLAIQIAFTLVVFPCLLLAYTGQAAYIISNKDHVVDAFYRSIPGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVIFIVLSLMVELPYFTACINKVDQGGWVPLVVATTCFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPTEERFIVKRIGPKNFHMFRCVARYGYKDIHKRDDDFEKMLLDRLLLFVRLESMMDDYSDSEDFTMMEEKTQGSSNALLLTGKAGSNTMCSTGDLSYSSQDSIVPAKSPIRGNSLTRYSSQTFGDELEFLNRCKDAGVVHILGNTVVHARPDSGIIKKVAVNYVFAFLRKICRENSVIFNVPHESLLNVGQIYYI >ONIVA08G06070.1 pep chromosome:AWHD00000000:8:5237337:5242745:-1 gene:ONIVA08G06070 transcript:ONIVA08G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRSLLLLRSNAASKASSIVKHVAATGCLPEYSSEAPARYFSSESSLQVDSTEENGFKGHGMLAPFTAGWQSTDLHPLGSYVYDINGKKYIDALAGLWSTALGGNEPRLIKAATDQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKIFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARSKSYHGSTLVSASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPDETEEEFATRLATNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKIQAVLKKYDILLIADEVITAFGRLGTMFGCDMYDIKPDLVSIAKALSSAYMPIGAILVSPEITDVIYSQSNKLGSFAHGFTYSGHPVSCAVAIEALKIYKERNIIEHVQKIAPRFQEGIKAFSGSPIVGEIRGLGLILGTEFVDNKSPNDPFPAEWGVGSLFGAECEKRGMLIRVAGDNIMLSPPLIMTPDEVEEIISKYGDALKATEERIAELKAKRG >ONIVA08G06060.1 pep chromosome:AWHD00000000:8:5235265:5236788:1 gene:ONIVA08G06060 transcript:ONIVA08G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLDMHYIYLKSSLCLISRRTKLTIPKIDINFPLYRASLISFASYELPDSSCCHRVPLPGARSRAAAAAGVPCLRGAAAGRLPDQGRPAGAVPRRRRRRRDHQPRPPPPPPPRRRILERMLRCTLLLLPPRHVLLMSKHGGSSIMHAW >ONIVA08G06050.1 pep chromosome:AWHD00000000:8:5228908:5230094:-1 gene:ONIVA08G06050 transcript:ONIVA08G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQDQLIKRVELKVSVNCCDGCRSKVLKALNLKGVLRTEVHPTAGRVAVVGDVDAGRLVKRLAKVGKIAEVIVVAQPSPEVERRRRDVGGGKKEASPDNGKMGGGTAPKHGGADDDKRGETNGGAGSGASSARIHGGGHVLLPPAEPPAMAVPVLQPPYYAANFTMPPPQPPAAAYGFGGCYHGTPSPEMAPCLRGRIPVVRPQPTRFADECCMYGDDDIAGCHVM >ONIVA08G06040.1 pep chromosome:AWHD00000000:8:5225803:5228946:1 gene:ONIVA08G06040 transcript:ONIVA08G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAQTRSSHVRKEKKKKKSKHVVTSPLTFLSPVQRRHRLCLRLFTYLAPAQPARRRDATGVPPTTARRLLRLASPPRRPPWLRLLCSGLGCPVKVVLELLSGYWERCKEWSPLT >ONIVA08G06030.1 pep chromosome:AWHD00000000:8:5222072:5223241:1 gene:ONIVA08G06030 transcript:ONIVA08G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASH1-related protein 2 [Source:Projected from Arabidopsis thaliana (AT2G19640) TAIR;Acc:AT2G19640] MAGDALRAADLPGRGRGLLAARNIREGEVILTEQPLLLYPASLASLPSFCSACFRSLSAAASPCPSCRAAGFCSPSCAAASHPRLLCAALSGGGGNLASAAEPHQEPLLFLLSAYSLPEPSLRVLLSLSSAATPPPTDQDPASLHAMVAALVPPQMLPPGFSPDLTAALLSKDRTNSFSIMEPYRPEVPQPLRKARAYAVYPRASLLNHDCLPNACHFDYADRPGPGNTDIVVRALHDITEGREVCLSYFAANWQYKDRQQRLLEDYGFRCECERCQVESKWKQDDDSDGGGDGDDTMEEEEEDGGEGGDDGMEQEEGDGGSDSDDDFPHSYFFVRYLCNHGECYGMLAPLPPLPNGEPSHVFECNVCGNLKNEDEIDAPDGGDSSMAD >ONIVA08G06020.1 pep chromosome:AWHD00000000:8:5215456:5217414:-1 gene:ONIVA08G06020 transcript:ONIVA08G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:equilibrative nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G70330) TAIR;Acc:AT1G70330] MAGGEYTPADAEADLLLPPPAGSEPEPAPEARPPPADTLGVAYAIFFTLGTGFLLPWNAYITAVDYFSYLYPGAPVDRVFSVSYMLSCFLPLILIVLCFPKSSAPARINTGMSLFTVALLVVPVMDAVYVKGVPGLYGAFDVTVAATVLCGVADALVQGGVIGFAGELPARYMQAVVAGTATSGVLVSVLRVITKGVYPQDANGLRKSAILYFVVSIVVMIICIVCYNVADKLPVVIYYKNIKKRAQKAEEDGGMSGSAWRSTLWSIVGRVKWHGIGIALIYAITLSIFPGYITEDVHSEALKDWYPIMLISAYNVFDLVGKSLPAFYFLENANIAVAGSFARLLFYPLFYGCLHGPSFFRTEIPVTILTCLLGLTNGYLTCILMTLAPKAVPIQHSETAGIVIVLFLVAGLVVGSFVAWFWVI >ONIVA08G06010.1 pep chromosome:AWHD00000000:8:5210662:5214588:1 gene:ONIVA08G06010 transcript:ONIVA08G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGMVVSASMGVMKPLLTKLTVLMGDEYKKLKGVRKQVSFLKDELTTMSAFLEKLAFMDDDGGELDPLVKDWRNHVREMTYDIEDCIDDFMHQLGGGADASGFLQKTARRLKTLRVRHQIANQIDEIKARVIEANQRRRRYELDGCSNSRASESVAIDPRLTALYQKADNLVGIDGPTEELIQLLTDAGQQKLMVVSIVGFGGLGKTTLAKQVYDKIGQQFDCKAFVSVSQRPDIARLLSTIQSKLNIQESSQTREVQDIIDVLRDYLGNKRYLIVVDDLWKQEAWDIISCAFPENGNGSKVIVTTRVEDVACWACRNHQYIHRMKSLDSENSKRLFFQRVFGSEDGCPSQYEELSAEILKKCGGLPLAIITIASLLACQQARIMQEWERIRNSLGAPFGTNPSLEGMRQILNLSYKNLPLHLRTCLLYLGNYPEDYEIRRDDVVRQWIAEGFVRSSPGQDLEDVGRSYFNELINRGLIQPEQNRYWEVTGCRVHDMMLDLILSKCKEDNFISVVYSGEDYVSIAGQHGYSSNKVRRLSLQSNAAESDCTVLIEGKATPAHLAQVRSISLFEKSTSGLPLLLRFKYLRVLHIMLGDGCERTDLTAVSQLLQLRCLIFLGYGCKVELPSRICGLVHLETLEIACNVITSIPLDIVSLPCLSDLRLPWGVQLNCLPNSKSLRTLHIWPPLDMDFKALGELTNLRDLRLYFDGKESSTASNLDSLGSSVGKLQNLRYLYLGIYFQFGISGDSLMGSLSRFPRSIEILVMPSCCFSRVPRWINVALVNLRRLHLSVSEASTDEVSILGELPSLVFLNLDLRLKSKGTIMFGGGEGSFPALEDLLLRCAGDVASHSRLCFLAGVMPKLQRLVLRFWSCELGIDTAPVGMEHLSSLQLIDVDIDVLQEKKNVFPRDAVEHVFRQAAQAHPNQPAFIFHFW >ONIVA08G06000.1 pep chromosome:AWHD00000000:8:5197056:5198885:1 gene:ONIVA08G06000 transcript:ONIVA08G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLNSEDSKRLFFKRVIRSKDGCPSQYEEVSAEILKKCGGLPLAIITIASLLACEQARIMQEWESIRNSLGTPFGTNPSLEGMRQIPNLSYKNLPLHLRTCLLYLAKYPEDSSIDRDDVVRQWIAEGFIRSSPGQDLEDVGKSYFNELINRGLIQPEQNNYGVVMGCRVHDMMLDLILSRCKEDNFISVAYSCEEYMLIAGQHGYNYNKVHRLSVQSMDSESDCTILMEGGIIPARLAHVRSVSLFGKHPRELPLLLPLFKYLRVLHITFYLLDQADLTAIRHLVQLRYLLFVSHCFKVELPSRICGLVHLETLEIVAYHAVSFPSDIVSLACLSHLRLPRGGLPNGIPKIKSLRTLEMFHPPDMDIKALAELTNLRKLSLFFDLEATMGTASNLNTLGSSIGKLQNLRYLEFTVPTVKFDDDGLLGSLSAFPCSIEILKLETWRFSRIPTWINADLCHLHLLELLVSETCTDEVGVVGELPSLIHLHLQVELKMKGTVVFGASGGSFPALESLILTCGGDVASQLGFQAGVMPKLQMLDVRFERCDSGIDTPPVGMEHLLSLQHIRVEINVELEDRKIYPRDTAEHVLREAAQAHPNKPAFNFYFFS >ONIVA08G05990.1 pep chromosome:AWHD00000000:8:5192650:5195160:-1 gene:ONIVA08G05990 transcript:ONIVA08G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MAGVQKPAKRLGGMAEALSIAADLGFPAPPAQEDQGSSDKSDDLVRVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSMTPGGSSDDSKFLTPPQWREGSMLDSWKQVDETIPESDGLDAINQRRLSWPSSIKREQ >ONIVA08G05980.1 pep chromosome:AWHD00000000:8:5187313:5188006:1 gene:ONIVA08G05980 transcript:ONIVA08G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARQRMAARRRRRAARRRMVKERLEDELFHPHLPLRERPHRWRSRPRPYATSSPASSLSTTIAAVVVDHLRGGRPRDPPPSPSSTSSARESSGATTAVTVLVVVISKPHCLHPDLASSRPSLLAAATPASLRNLLADFLSLHHPVVVDHLRGGRPRDPPPSPSLNSSARESSRAAAVAVLVVVIGEPHSLHIDLASSRPSSPAAAAPAPASTAVLGSSCPPQRPPHE >ONIVA08G05970.1 pep chromosome:AWHD00000000:8:5182876:5185129:-1 gene:ONIVA08G05970 transcript:ONIVA08G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKTQSQGSASVPKNPAMSSCRKKKSDDATFLEDLKDHIDEFIHASMDEHKHCFKNTIQKMFGMSKVVAERSAEAKEAEVESALPLQTSVSQ >ONIVA08G05970.2 pep chromosome:AWHD00000000:8:5182878:5184593:-1 gene:ONIVA08G05970 transcript:ONIVA08G05970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRLRMESSKTQSQGSASVPKNPAMSSCRKKKSDDATFLEDLKDHIDEFIHASMDEHKHCFKNTIQKMFGMSKVVAERSAEAKEAEVESALPLQTSVSQ >ONIVA08G05960.1 pep chromosome:AWHD00000000:8:5170219:5171187:-1 gene:ONIVA08G05960 transcript:ONIVA08G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKFGSTQARCIPWPRDNLMHPSGQALHSKVSTIARLNRGISVALTEVFKNNSIDNTLQSVVGHKRKVHDLTPKENIGREDTHSRAKKIGKGRPDSPYANFTNSQVYMHAHV >ONIVA08G05950.1 pep chromosome:AWHD00000000:8:5147007:5149089:-1 gene:ONIVA08G05950 transcript:ONIVA08G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASKATAKEEELEGLAAVDFEAASHRSADRSSPKSQSRSLARRPSPRLPLPRTTAETLDPRRIRPRRLDRRTHSSPARLTLLPWLDSRPSWADLDQQRPGPLLSPIPTNPHSPPASSAASGGALPPPDTLSSFLVMAKQAYATSSLVIGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVAGVWTLGKLGLLYHDPFNLQTAKKFAPAALVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFVSLVTILGGAVGYVMTDSGFSLTAYSWAVAYLVTITTEMVYIKHMVTKLGLNTWGFVLYNNLLSLIIAPVFWFLTGEHLSVFRAIESRGQSWFELDAFVAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHASSFGLVCLLFTLAGGVLYQQSVTSKGNSSVQREAVAKQGKADNDTAELDEEKQSLVSSPKDSNV >ONIVA08G05940.1 pep chromosome:AWHD00000000:8:5139534:5143527:1 gene:ONIVA08G05940 transcript:ONIVA08G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMGAAAAAAVPVIPEDVVIEILARVPDPTSLFRCAAACKRWLRLIADQAFLRRRWPPGTRVPSLLGFFAQRHQIQANARRKLTKLFPTRAPPLVAAPSRGTGDPPVSTGRRRRRRLFLTDFVRNAAGAGLFDQAKPLAARGGLLLVRVLPSPSPAPQNALHLCVCNLLTGSHDVLPPLPMDCFEKDGARGYAILTAADHRVSRNPSGGYNTFFQVLLLSIHHGNHQVYLHRFSSAAASAAAAAAIFEISWSTPRNCSEQIRGYVWGPSGNRVAAVSHGGAHWLFSWGEESLYTLDVSIDTDNIGATNIPIDPPPTVFHQSWLYWKILLKLEEVPTLEQTTKAIQEAIDALRPS >ONIVA08G05930.1 pep chromosome:AWHD00000000:8:5113480:5129972:1 gene:ONIVA08G05930 transcript:ONIVA08G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVIVWILLLVCSWRISAAQAQQPPRTDPVEVAALEAILGRWGKTTSPVWSMSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLKVYALNVVGQIPAELQNFTYLNYLDLDQNYLSGPIPSFIGQLTALTELHVGFNALSGPIPKELGNLTNLNLLGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLRASDNDFTGKIPDYIGSLTNLEDLVLRNCRISGDLGAVDFSKFTKLAFLYCLFKYAVLWHRDLSFNNISGNVPQSILNLQMLTDLFLGNNSLTGGLPDGISPSLKNLDFSYNQLTGSFPSWSTQNNLQLNLVANNFDLGSTNNGILPPGLNCLQKDTPCLRDYSFAVDCGSNRSIRGSDNTMYELDFTDLGGSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELFQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFDIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKAGAISGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDVFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQALFRKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVYAFGVLALEAVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSKQKEIDPLTMSPTITGSSHDGSSSSVHGFLWLMLVYASCAAVQAQQAARTDPAEVAALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLSYLNNLAVSLNPLSGPLPKEIGNLRNLLSLGISSNNFTGELPAELGNLEKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLTGKIPDYFGSFPNLQDLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGLTLLNLVANDFILDSTNNRAKLSPARYSLFPWFSRINKSTRGSDNTLYETDAQNIGAASYYVSDNVRWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKMAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKGTCCIPTQGHYGPTISALSVTPNFIPTVRNGVPKKKSKAGTISGVVIGASFFGLAVLVGLFMLLKKRRRTSQRKEELYNMVGRRNVFSNAELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFEIILGIARGLSYLHEEANVCIVHRDIKASNILLDPDLTPKISDFGLAKLYDEKKTHVNTKVAGTFGYLAPEYAMRGHLTEKVDVFSFGVVALETVAGRSNTDYSLVEDKKYLFEWAWGLYEREQALGIVDPRLEEINEEEVLRVIRMSFLCTQGSPHQRPPMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTADELSGQRETSPLTPSLEINREIIDDGR >ONIVA08G05930.2 pep chromosome:AWHD00000000:8:5113480:5129972:1 gene:ONIVA08G05930 transcript:ONIVA08G05930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVIVWILLLVCSWRISAAQAQQPPRTDPVEVAALEAILGRWGKTTSPVWSMSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLKVYALNVVGQIPAELQNFTYLNYLDLDQNYLSGPIPSFIGQLTALTELHVGFNALSGPIPKELGNLTNLNLLGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLRASDNDFTGKIPDYIGSLTNLEDLVLRNCRISGDLGAVDFSKFTKLAFLFLGNNSLTGGLPDGISPSLKNLDFSYNQLTGSFPSWSTQNNLQLNLVANNFDLGSTNNGILPPGLNCLQKDTPCLRDYSFAVDCGSNRSIRGSDNTMYELDFTDLGGSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELFQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFDIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKAGAISGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDVFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQALFRKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVYAFGVLALEAVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSKQKEIDPLTMSPTITGSSHDGSSSSVHGFLWLMLVYASCAAVQAQQAARTDPAEVAALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLSYLNNLAVSLNPLSGPLPKEIGNLRNLLSLGISSNNFTGELPAELGNLEKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLTGKIPDYFGSFPNLQDLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGLTLLNLVANDFILDSTNNRAKLSPARYSLFPWFSRINKSTRGSDNTLYETDAQNIGAASYYVSDNVRWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKMAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKGTCCIPTQGHYGPTISALSVTPNFIPTVRNGVPKKKSKAGTISGVVIGASFFGLAVLVGLFMLLKKRRRTSQRKEELYNMVGRRNVFSNAELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFEIILGIARGLSYLHEEANVCIVHRDIKASNILLDPDLTPKISDFGLAKLYDEKKTHVNTKVAGTFGYLAPEYAMRGHLTEKVDVFSFGVVALETVAGRSNTDYSLVEDKKYLFEWAWGLYEREQALGIVDPRLEEINEEEVLRVIRMSFLCTQGSPHQRPPMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTADELSGQRETSPLTPSLEINREIIDDGR >ONIVA08G05930.3 pep chromosome:AWHD00000000:8:5113480:5129972:1 gene:ONIVA08G05930 transcript:ONIVA08G05930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVIVWILLLVCSWRISAAQAQQPPRTDPVEVAALEAILGRWGKTTSPVWSMSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLKVYALNVVGQIPAELQNFTYLNYLDLDQNYLSGPIPSFIGQLTALTELHVGFNALSGPIPKELGNLTNLNLLGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLRASDNDFTGKIPDYIGSLTNLEDLVLRNCRISGDLGAVDFSKFTKLAFLDFSYNQLTGSFPSWSTQNNLQLNLVANNFDLGSTNNGILPPGLNCLQKDTPCLRDYSFAVDCGSNRSIRGSDNTMYELDFTDLGGSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELFQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFDIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKAGAISGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDVFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQALFRKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVYAFGVLALEAVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSKQKEIDPLTMSPTITGSSHDGSSSSVHGFLWLMLVYASCAAVQAQQAARTDPAEVAALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLSYLNNLAVSLNPLSGPLPKEIGNLRNLLSLGISSNNFTGELPAELGNLEKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLTGKIPDYFGSFPNLQDLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGLTLLNLVANDFILDSTNNRAKLSPARYSLFPWFSRINKSTRGSDNTLYETDAQNIGAASYYVSDNVRWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKMAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKGTCCIPTQGHYGPTISALSVTPNFIPTVRNGVPKKKSKAGTISGVVIGASFFGLAVLVGLFMLLKKRRRTSQRKEELYNMVGRRNVFSNAELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFEIILGIARGLSYLHEEANVCIVHRDIKASNILLDPDLTPKISDFGLAKLYDEKKTHVNTKVAGTFGYLAPEYAMRGHLTEKVDVFSFGVVALETVAGRSNTDYSLVEDKKYLFEWAWGLYEREQALGIVDPRLEEINEEEVLRVIRMSFLCTQGSPHQRPPMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTADELSGQRETSPLTPSLEINREIIDDGR >ONIVA08G05920.1 pep chromosome:AWHD00000000:8:5095553:5103483:1 gene:ONIVA08G05920 transcript:ONIVA08G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSGKLVWVLLVMCSSWLIAAVHAQQAATTDPIEVAALEAILGRWGKTTSPLWRMSGEPCRGVPVDGSTDLDGNPKNNPGIKCDCSYNSGTVCHITQLRVYALNVVGQIPAELQNLTYLTYLNLDQNYLSGPIPSFIGQLTALTELHVGFNPLSGSLPKELGNLTNLNLLGISLTNFTGELSEELGNLSKLKYLGISLTNFSGQLPEELGNLTKLRQLYTDSAGLSGPFPSTLSRLKNLKLLRASDNNFTGTIPDFIGSLSNLEDLRIGDIVNGSSSLAFISSLTSLDTLVLRNCKISGDLGAVDFSKFANLTFLDLSFNNISGNVPKSILNLQKLIFLFLGNNSLTGELPDGISPSLTNLDFSYNQLTDYSFAVDCGSNRSIRVSDNTMYELDSTNLGDSSYYVTSQTRWGVSNVGKLFQAPNDSKIIHSGEKIQNAVDSELFQTARMSPSSLRYYGLGLENGNYTVLLKFAELGFPDTPTWQSLGRRFFDIYIQGELKEKDFNIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFSPTVRNGVPKKKSKAGAIVGIVIAASVLGSAILFGIFMVIKKRRRMAKQQEELYNLVGQPDVFSNAELKLATDNFSSQNILGEGGYGPVYKGVLPDGRVIAVKQLSQSSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLKNGSLDKALFGNGSIKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALEIVAGRSNTDNSLEESKIYLFEWAWSLYEKEQALGIVDPRLEEFSRDEVYRVIHVALVCTQGSPYQRPPMSKVVAMLTGDVEVAEVVTKPNYITEWQFRGGNTSYVTSHSGSTTPELSRQKEIDPLTQSPTITGVSHEHEGR >ONIVA08G05910.1 pep chromosome:AWHD00000000:8:5078123:5085964:1 gene:ONIVA08G05910 transcript:ONIVA08G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRCSSSSGHGGHQLILCWLVIACSWVAVARAQAQKPAGATTDPVEVAALNAILGRWGTKPPKTWNITGGDPCTGTAVDDTDIDNNPIVNPGIKCDCTFNNNTVCRIVKLYVSSPEPNLSSRLHARRVYALNVVGQIPAELEKLTHLANLSLAINPLSGPLPKELGNLTNLISLGISLNNFTGNLPEELGNLTKLEQMYIDSSGFSGPFPSTISKLKKLKILWISDNDFTGKIPDFIGSLTNLEDLRIGDIVNGSSSLAFISNLTSLNVLILRNCKISDNLGAYSTFRTKLPTARHSLFPRFSGISVRGLDNTVYEADATSLGAASYYVTGQTRWGISNVGKFNEAPNGSYLMYSSQQFQNALDSELFQTARMSPSSLRYYGLGLENGNYTVLLQFAEFAYPDTKTWQSIGRRVFDIYVQGDLKEKNFDVRKTAGGKSFIAVNKRYNATVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSITPNFTPTVRNGVPKKKSKAGVIAGIVIGASVIGSAALLGIFVLVKKRRKAARQQEELYNLVGRPNIFSSAELKLATDNFSSQNVIGEGGYGPVYKGKLPDGRIIAVKQLSQSSHQGKSEFVTEVATISAVQHKNLVKLYGCCIDSSTPLLVYEYLENGSLDRALFGHGSLNLDWPTRFEIILGIARGITYLHEESSIRIVHRDIKASNVLLDTDLNPKISDFGLAKLYDEKKTHISTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRSNTDNSLDNDKIYLFEWAWGLYEREQGIKIVDPKLDEFDSEEASRVIYAALLCTQGSPHQRPPMSRVLAILTGDIEMTEMLTKPSYITEWQLRGGNTSYVSSNYSSGSTTGEFREQRETSPLTPSPTITRVTYDGRLYNVWLVPFLIPVATHGH >ONIVA08G05910.2 pep chromosome:AWHD00000000:8:5078123:5085589:1 gene:ONIVA08G05910 transcript:ONIVA08G05910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRCSSSSGHGGHQLILCWLVIACSWVAVARAQAQKPAGATTDPVEVAALNAILGRWGTKPPKTWNITGGDPCTGTAVDDTDIDNNPIVNPGIKCDCTFNNNTVCRIVKLYVSSPEPNLSSRLHARRVYALNVVGQIPAELEKLTHLANLSLAINPLSGPLPKELGNLTNLISLGISLNNFTGNLPEELGNLTKLEQMYIDSSGFSGPFPSTISKLKKLKILWISDNDFTGKIPDFIGSLTNLEDLRIGDIVNGSSSLAFISNLTSLNVLILRNCKISDNLGAYSTFRTKLPTARHSLFPRFSGISVRGLDNTVYEADATSLGAASYYVTGQTRWGISNVGKFNEAPNGSYLMYSSQQFQNALDSELFQTARMSPSSLRYYGLGLENGNYTVLLQFAEFAYPDTKTWQSIGRRVFDIYVQGDLKEKNFDVRKTAGGKSFIAVNKRYNATVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSITPNFTPTVRNGVPKKKSKAGVIAGIVIGASVIGSAALLGIFVLVKKRRKAARQQEELYNLVGRPNIFSSAELKLATDNFSSQNVIGEGGYGPVYKGKLPDGRIIAVKQLSQSSHQGKSEFVTEVATISAVQHKNLVKLYGCCIDSSTPLLVYEYLENGSLDRALFGHGSLNLDWPTRFEIILGIARGITYLHEESSIRIVHRDIKASNVLLDTDLNPKISDFGLAKLYDEKKTHISTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRSNTDNSLDNDKIYLFEWAWGLYEREQGIKIVDPKLDEFDSEEASRVIYAALLCTQGSPHQRPPMSRVLAILTGDIEMTEMLTKPSYITEWQLRGGNTSYVSSNYSSGSTTGEFREQRETSPLTPSPTITRVTYDGR >ONIVA08G05900.1 pep chromosome:AWHD00000000:8:5060278:5068662:1 gene:ONIVA08G05900 transcript:ONIVA08G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRCSGSHGRQLLLLYWLVIACSWVAAAQAQQAPRTDPVEVAALNAILGRWGKKASPAWNISGEPCSGAAVDGTDIDNSPTINPGIKCDCSFNNRTVCHITKLRVYALNVVGTIPAELESLRYLANLNLQQNYLTGPVPSFIGKLTFMQYLTLSINSLSGPLPKEIGNLTDLRSLGIGSNNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTLSKLKNLEKLWASDNDFTGKIPDYLGTLTKLVELRFQGNSFQGPIPASLSNLSNLTSLRIGDIVNGSSSLAFISNLTSLNILILRNCKISDNLRTYSTFRTKLFTTRHSMFARFSRINKSMKGSDNTIYEVDAANLGVASYYVTRNTRWGVSNVGIFNDASSRNYVINSSQQFQNTLDSELFQTARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSKTWESTGRRIFDIYVQGVLKEKNFDIRKAVGGKSFTAVNKIYNTIVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGEPKKKSKAELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGQVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFGSKSFNLDWPTRFEIVLGVARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSREEDKIYLFEWAWTLYESGQALGIVDPKLKEFNEKEALRVICAALLCTQGSPHQRPSMSRVMAILAGDIEVTEVVTKPSYITEWQLRGGGDTSYATSSYYSGSTTGEFREKRETAPLNSYPGIAGRIDEGR >ONIVA08G05900.2 pep chromosome:AWHD00000000:8:5062290:5068662:1 gene:ONIVA08G05900 transcript:ONIVA08G05900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVYALNVVGTIPAELESLRYLANLNLQQNYLTGPVPSFIGKLTFMQYLGIGSNNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTLSKLKNLEKLWASDNDFTGKIPDYLGTLTKLVELRFQGNSFQGPIPASLSNLSNLTSLRIGDIVNGSSSLAFISNLTSLNILILRNCKISDNLRTVNFSKLGRLTLLFLGNNSLTGSLPDAKSSSLTNLDFSYNQLTGSFPSWYSTFRTKLFTTRHSMFARFSRINKSMKGSDNTIYEVDAANLGVASYYVTRNTRWGVSNVGIFNDASSRNYVINSSQQFQNTLDSELFQTARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSKTWESTGRRIFDIYVQGVLKEKNFDIRKAVGGKSFTAVNKIYNTIVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGEPKKKSKAGVIVGIVIGALVLGSAALVGIFMLISKRRKATQQQEELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGQVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFGSKSFNLDWPTRFEIVLGVARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSREEDKIYLFEWAWTLYESGQALGIVDPKLKEFNEKEALRVICAALLCTQGSPHQRPSMSRVMAILAGDIEVTEVVTKPSYITEWQLRGGGDTSYATSSYYSGSTTGEFREKRETAPLNSYPGIAGRIDEGR >ONIVA08G05900.3 pep chromosome:AWHD00000000:8:5060278:5068662:1 gene:ONIVA08G05900 transcript:ONIVA08G05900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRCSGSHGRQLLLLYWLVIACSWVAAAQAQQAPRTDPVEVAALNAILGRWGKKASPAWNISGEPCSGAAVDGTDIDNSPTINPGIKRVYALNVVGTIPAELESLRYLANLNLQQNYLTGPVPSFIGKLTFMQYLGIGSNNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTLSKLKNLEKLWASDNDFTGKIPDYLGTLTKLVELRFQGNSFQGPIPASLSNLSNLTSLRIGDIVNGSSSLAFISNLTSLNILILRNCKISDNLRTYSTFRTKLFTTRHSMFARFSRINKSMKGSDNTIYEVDAANLGVASYYVTRNTRWGVSNVGIFNDASSRNYVINSSQQFQNTLDSELFQTARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSKTWESTGRRIFDIYVQGVLKEKNFDIRKAVGGKSFTAVNKIYNTIVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGEPKKKSKAELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGQVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFGSKSFNLDWPTRFEIVLGVARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSREEDKIYLFEWAWTLYESGQALGIVDPKLKEFNEKEALRVICAALLCTQGSPHQRPSMSRVMAILAGDIEVTEVVTKPSYITEWQLRGGGDTSYATSSYYSGSTTGEFREKRETAPLNSYPGIAGRIDEGR >ONIVA08G05900.4 pep chromosome:AWHD00000000:8:5062290:5068662:1 gene:ONIVA08G05900 transcript:ONIVA08G05900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVYALNVVGTIPAELESLRYLANLNLQQNYLTGPVPSFIGKLTFMQYLTLSINSLSGPLPKEIGNLTDLRSLGIGSNNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTLSKLKNLEKLWASDNDFTGKIPDYLGTLTKLVELRFQGNSFQGPIPASLSNLSNLTSLRIGDIVNGSSSLAFISNLTSLNILILRNCKISDNLRTYSTFRTKLFTTRHSMFARFSRINKSMKGSDNTIYEVDAANLGVASYYVTRNTRWGVSNVGIFNDASSRNYVINSSQQFQNTLDSELFQTARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSKTWESTGRRIFDIYVQGVLKEKNFDIRKAVGGKSFTAVNKIYNTIVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGEPKKKSKAELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGQVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFGSKSFNLDWPTRFEIVLGVARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSREEDKIYLFEWAWTLYESGQALGIVDPKLKEFNEKEALRVICAALLCTQGSPHQRPSMSRVMAILAGDIEVTEVVTKPSYITEWQLRGGGDTSYATSSYYSGSTTGEFREKRETAPLNSYPGIAGRIDEGR >ONIVA08G05900.5 pep chromosome:AWHD00000000:8:5062290:5068662:1 gene:ONIVA08G05900 transcript:ONIVA08G05900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVYALNVVGTIPAELESLRYLANLNLQQNYLTGPVPSFIGKLTFMQYLGIGSNNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTLSKLKNLEKLWASDNDFTGKIPDYLGTLTKLVELRFQGNSFQGPIPASLSNLSNLTSLRIGDIVNGSSSLAFISNLTSLNILILRNCKISDNLRTYSTFRTKLFTTRHSMFARFSRINKSMKGSDNTIYEVDAANLGVASYYVTRNTRWGVSNVGIFNDASSRNYVINSSQQFQNTLDSELFQTARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSKTWESTGRRIFDIYVQGVLKEKNFDIRKAVGGKSFTAVNKIYNTIVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGEPKKKSKAGVIVGIVIGALVLGSAALVGIFMLISKRRKATQQQEELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGQVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFGSKSFNLDWPTRFEIVLGVARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSREEDKIYLFEWAWTLYESGQALGIVDPKLKEFNEKEALRVICAALLCTQGSPHQRPSMSRVMAILAGDIEVTEVVTKPSYITEWQLRGGGDTSYATSSYYSGSTTGEFREKRETAPLNSYPGIAGRIDEGR >ONIVA08G05900.6 pep chromosome:AWHD00000000:8:5062290:5068662:1 gene:ONIVA08G05900 transcript:ONIVA08G05900.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVYALNVVGTIPAELESLRYLANLNLQQNYLTGPVPSFIGKLTFMQYLGIGSNNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTLSKLKNLEKLWASDNDFTGKIPDYLGTLTKLVELRFQGNSFQGPIPASLSNLSNLTSLRIGDIVNGSSSLAFISNLTSLNILILRNCKISDNLRTYSTFRTKLFTTRHSMFARFSRINKSMKGSDNTIYEVDAANLGVASYYVTRNTRWGVSNVGIFNDASSRNYVINSSQQFQNTLDSELFQTARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSKTWESTGRRIFDIYVQGVLKEKNFDIRKAVGGKSFTAVNKIYNTIVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGEPKKKSKAELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGQVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFGSKSFNLDWPTRFEIVLGVARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSREEDKIYLFEWAWTLYESGQALGIVDPKLKEFNEKEALRVICAALLCTQGSPHQRPSMSRVMAILAGDIEVTEVVTKPSYITEWQLRGGGDTSYATSSYYSGSTTGEFREKRETAPLNSYPGIAGRIDEGR >ONIVA08G05890.1 pep chromosome:AWHD00000000:8:5056636:5056809:-1 gene:ONIVA08G05890 transcript:ONIVA08G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTRDVSNSNATDPVQDQRASAVDPVVAIDPISVEAAAMDHAVIIVDEGQTSCEL >ONIVA08G05880.1 pep chromosome:AWHD00000000:8:5055476:5055781:-1 gene:ONIVA08G05880 transcript:ONIVA08G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARWTEEAQWTATAPFFFPSPPRVRAVGWPGAKTATNVAVDEGGDAGDGRGSGGGRDDDGGDRDDDGGDRDGDEEGGDDGDGDDDGGAAERSTVAVRGS >ONIVA08G05870.1 pep chromosome:AWHD00000000:8:5050249:5051408:1 gene:ONIVA08G05870 transcript:ONIVA08G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAGLCELGWKATDSPPPSQNLGLEDLAVPVARASGGDTNRRRGGTATRRRGVAGGGAKGRCVRRGMSPGLRVDMKGRRGGDNRPTQGRRTRGRPSSGLRTGACWRQAGAKAAGF >ONIVA08G05860.1 pep chromosome:AWHD00000000:8:5043729:5047502:-1 gene:ONIVA08G05860 transcript:ONIVA08G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSASKGVIGALFEKLTKLVEDKCTNLVGMSKNIVFLKDELPTMSALLEKLEDADELDPVVKAWRNQVREMAYDIEDCIDDFVHHVGGGDVEAGFIDKVSHFLRTLRARLETAEHIKDLKIQLIEINERHKRYKFDLDDTPSSSFVAIDPRLPALYSEAANLVGIEGPREQVIKWLTDADQQIMVLPIVGFGGLGKTTLAKEVYQKIGQQFNTTEFVSVSQRPDVTRFLKGIQSKLPIRLSSEYCEVKDIIDNIRAYLQHRRYLFVVDDLWDAPTWNIIRSVFPENGMGCRVIVTTRSEGVARWVCCNHRRFIYRMEPLSDENSRWLFFNRIFGSEDGCPSQFREISAQILKKCSGLPLAIITIASLLANQPAPPKKEYWEIIRNSIGTWGSGTNPTLEGMRQILHLSYKDLPRHLRTCFLYLGIYPEDFTIKRDDLIRQWIAEGFVHYFHGGNSEEVAKSYFNELINRSLIQPEETKYGEVVSCRVHDMMLDLILSRCAEDNFICVAYNLEEMSRQHEFKVRRLLVDSRVGDSGDSKISGTTAPRLLQLRSLQLFGVSVSLSLLSLSKYIRVLILHLGKTGTGGNERVDVTAIGQLFQLRYLKIVSLHHALVLELPTEIRGLQYLSTLEIDCTNENSLPSDIVHLSRLSHLIVPSGIGLPDGKIGSMKSLCTLQKIEILDIKSAIGLGELTNLKDLELYSKQALSEREIDALVTSLGKLHKLISLRMSKSAECIWYDEDNRLSSLSNPPLNIERLHLGGWRLRRVPRWINGHLQNLCFLVLDVTEMSTDEVRLLGELPSLSELCLSVKRLAPCSASLVFGAGFPALECLEFFCGGDISHLCFEAGVMPNLRKIILFYIDIEWSGTAPVGIEHLLNKQLRYIQLHPVTDTAVDAERISLAFTEAIRAHTSRGGAEPYLRFARIRMGSLIAKFPSRTSC >ONIVA08G05860.2 pep chromosome:AWHD00000000:8:5043792:5047502:-1 gene:ONIVA08G05860 transcript:ONIVA08G05860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSASKGVIGALFEKLTKLVEDKCTNLVGMSKNIVFLKDELPTMSALLEKLEDADELDPVVKAWRNQVREMAYDIEDCIDDFVHHVGGGDVEAGFIDKVSHFLRTLRARLETAEHIKDLKIQLIEINERHKRYKFDLDDTPSSSFVAIDPRLPALYSEAANLVGIEGPREQVIKWLTDADQQIMVLPIVGFGGLGKTTLAKEVYQKIGQQFNTTEFVSVSQRPDVTRFLKGIQSKLPIRLSSEYCEVKDIIDNIRAYLQHRRYLFVVDDLWDAPTWNIIRSVFPENGMGCRVIVTTRSEGVARWVCCNHRRFIYRMEPLSDENSRWLFFNRIFGSEDGCPSQFREISAQILKKCSGLPLAIITIASLLANQPAPPKKEYWEIIRNSIGTWGSGTNPTLEGMRQILHLSYKDLPRHLRTCFLYLGIYPEDFTIKRDDLIRQWIAEGFVHYFHGGNSEEVAKSYFNELINRSLIQPEETKYGEVVSCRVHDMMLDLILSRCAEDNFICVAYNLEEMSRQHEFKVRRLLVDSRVGDSGDSKISGTTAPRLLQLRSLQLFGVSVSLSLLSLSKYIRVLILHLGKTGTGGNERVDVTAIGQLFQLRYLKIVSLHHALVLELPTEIRGLQYLSTLEIDCTNENSLPSDIVHLSRLSHLIVPSGIGLPDGKIGSMKSLCTLQKIEILDIKSAIGLGELTNLKDLELYSKQALSEREIDALVTSLGKLHKLISLRMSKSAECIWYDEDNRLSSLSNPPLNIERLHLGGWRLRRVPRWINGHLQNLCFLVLDVTEMSTDEVRLLGELPSLSELCLSVKRLAPCSASLVFGAGFPALECLEFFCGGDISHLCFEAGVMPNLRKIILFYIDIEWSGTAPVGIEHLLNKQLRYIQLHPVTDTAVDAERISLAFTEAIRAHTSRGGAEPYLRFARIRMGSLIVYFFWLYAYLADYWKLFHFQND >ONIVA08G05850.1 pep chromosome:AWHD00000000:8:5034158:5042850:-1 gene:ONIVA08G05850 transcript:ONIVA08G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHSYPYFYIERTNAASCPWTCLVDEVLAAQIVACSCGHRIRAPHLLARCRRRSLLHRLAPAHSPSEKWRLRSGSGPRGGGGSSRLLILLLPTASFSSSLSAAQGE >ONIVA08G05840.1 pep chromosome:AWHD00000000:8:5019570:5020607:-1 gene:ONIVA08G05840 transcript:ONIVA08G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDEEEERRIRWRRGAWTGAMLACAASMGDCRREAMRRRIQPLLCAPCARILLRRRGSIMVAGNAFADPAPPSFCVDPAWVKTMGRRRDGGDLRGGELVLRHPWSSGGHPWRRFWTGGSGNMELISIR >ONIVA08G05830.1 pep chromosome:AWHD00000000:8:5003736:5017172:1 gene:ONIVA08G05830 transcript:ONIVA08G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAVLQLQMLLMLLSLLAGAQQVQQTAMTDPLEAAALDAMFGQWGLRTSYWDATWEPCSGRAIDITALDGNPHMKLGIKCDCSYNNNTVCHITELYTDSAGLGGPFPSTFSKLKNLKLLRSSDNDFTGKIPDYVGRNEGGNINSKLTRHFEGLLIYPDR >ONIVA08G05820.1 pep chromosome:AWHD00000000:8:4994831:4995442:-1 gene:ONIVA08G05820 transcript:ONIVA08G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAQEAARADADVHREGNAAAAAANRRNGAHAVLLAATALVGLPAACGKVRAIALLLLLLLGCAKVLLSMGGRRRRHRRTAVALPAAAARAAPRVDRSPARLRWTGFHALLLLAGSPILLAPTYWPAAGAAAAGAEPRPQRQLAQVLGAYAVFVAGAALVLASTSYDGVPRSVRPAADAAARGLDGLLFAGSFAPASDASD >ONIVA08G05810.1 pep chromosome:AWHD00000000:8:4990188:4990828:-1 gene:ONIVA08G05810 transcript:ONIVA08G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRLDTEVSRLMPDGEGHGADIEGGEVRLEVGGDHLQEHVLQQLHLGVDGEEEEVEVPEHVAAGVAAAEVPGPPTSSASMVATEDPPALTGKKATGAPGKDGGTSGSQESGDGDLGEEREGGGERLRRRHRRGAPVNEVKQAAPQRAVDAVKEAWRDHSRCSLDLTNVVPSSSSLAAGDDDDDPFLLAGFFLAFSALSRERERE >ONIVA08G05800.1 pep chromosome:AWHD00000000:8:4984854:4985192:1 gene:ONIVA08G05800 transcript:ONIVA08G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMMRREAGTAAGWRACAKRVKGGDQGEKGKGWWPALLAVACGGRSGTCKRWAGHLEYSELAESRSPQAKGSGKGEKGSGGGWPAEWGGGRLLGFWRVRLAVARARWCRRG >ONIVA08G05790.1 pep chromosome:AWHD00000000:8:4974432:4977707:-1 gene:ONIVA08G05790 transcript:ONIVA08G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARMPPGFRFHPRDDELVLDYLLHKLAAGGRGGGVYGGGGGVAIVDVDLNKCEPWDLPDAACVGGKEWYFFSLRDRKYATGHRTNRATRSGYWKATGKDRSITRRSSISSGEPSSSAAAAAVGMRKTLVFYRGRAPKGRKTEWVMHEFRLEPQPLHLKEDWVLCRVFYKTRQTIPSPSSEEAVTLPNELDLPATPSLPPLIDAYIAFDSAPTTTPSMVGSYEQVSCFSGLPALPMKGSISFGDLLAMDTSAEKKAIRVLHNSNTAKLELSPDWGQESGLSQMWNPQ >ONIVA08G05780.1 pep chromosome:AWHD00000000:8:4967514:4971161:1 gene:ONIVA08G05780 transcript:ONIVA08G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFMFGNDTKQTSEGEQGEKAVRIFSYNELRRATHDFSGANKIGEGGFGSVFRGRLRDGTIVAVKVLSATSRQGVRSGRSNIQFNWRARAKITVGVARGLAFLHEEVRPHIIHRDIKASNILLDKDITPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYALRGQVTKKSDIYSFGVLILEIVSGRCNYNSRLPYEEQFLLERTWTCYEQGHLEEIIDADIEDDVDVEEACRFLKVGLLCTQDAMKLRPNMINIVQMLTGEKDVNTERITKPSVVGDLGDLRGSSQQRPIDPQSLLMRSFATTDPSTSSDTTTRSSL >ONIVA08G05780.2 pep chromosome:AWHD00000000:8:4968630:4971161:1 gene:ONIVA08G05780 transcript:ONIVA08G05780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFMFGNDTKQTSEGEQGEKAVRIFSYNELRRATHDFSGANKIGEGGFGSVFRGRLRDGTIVAVKVLSATSRQGVRSGRSNIQFNWRARAKITVGVARGLAFLHEEVRPHIIHRDIKASNILLDKDITPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYALRGQVTKKSDIYSFGVLILEIVSGRCNYNSRLPYEEQFLLERTWTCYEQGHLEEIIDADIEDDVDVEEACRFLKVGLLCTQDAMKLRPNMINIVQMLTGEKDVNTERITKPSVVGDLGDLRGSSQQRPIDPQSLLMRSFATTDPSTSSDTTTRSSL >ONIVA08G05780.3 pep chromosome:AWHD00000000:8:4968883:4971161:1 gene:ONIVA08G05780 transcript:ONIVA08G05780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFMFGNDTKQTSEGEQGEKAVRIFSYNELRRATHDFSGANKIGEGGFGSVFRGRLRDGTIVAVKVLSATSRQGVRSGRSNIQFNWRARAKITVGVARGLAFLHEEVRPHIIHRDIKASNILLDKDITPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYALRGQVTKKSDIYSFGVLILEIVSGRCNYNSRLPYEEQFLLERTWTCYEQGHLEEIIDADIEDDVDVEEACRFLKVGLLCTQDAMKLRPNMINIVQMLTGEKDVNTERITKPSVVGDLGDLRGSSQQRPIDPQSLLMRSFATTDPSTSSDTTTRSSL >ONIVA08G05770.1 pep chromosome:AWHD00000000:8:4951379:4956574:-1 gene:ONIVA08G05770 transcript:ONIVA08G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVGWTSDSSSLLFPCSINYMGLLRFNDELRENPVLSPVNQILRLSKKPLYCAVSVEAAEEDYQPVKRSARPMRPKEAVVAAMPQLPIDLLLSLLFGFIRKITKPLVRERDTQQLKAETGDGDGERRPEQSRAELPLDSILFLPRVSAPPRSRLAAEVPLPFLPPPPPPPPPSMRGGGFVNEMRHTGKRPQQHRDHDREERRDQKRRPVPRAQENSGNDELVVYRILCPDRVIGNVIGKNGKVINSIRQQTSAKVKVVDPHPGADKRVILVYCFVKHRNLDVNDDDDREPVCTAQDALLKVHDAIVDALSITRDSDDEEANILVPASQSASVIGKSGAVIKRLRSTSKAFIKVSPKDPNEVTHSCAMSFDNFVQITGDARAVRKALFAVSTIIYKCPSKETIPLETSVSDLPPSIIVPSELPVYPSSNFYSPSDAAISSGHPSLSILGSTPHVPELTVSADAHSRLPIYQSVLPVIPAYNTPKCSGELVLRVLCPAGKIGLVIGKGGVTIKSIRKESGARIDVDDSKNDREESIITITSNEATDDAKSAAVEAVLLLQSKINDDNEGKVIGCLIGKGGSIVNDMRSKTKAAIYISKGEKPRKASSSDELVEVFGEVENLRDALVQIVLRLRDDVLRDSVDRQNSEKDGKLTVATTDPLYASSYPLPALLPYRQQITPLGYDHRGDIERGLEVYPHSSLYGYSSLQAIDDGYSAVSSYASKGYGGGLSKVMGKHGTNLDNIIKISGAHIEIIESKSSRHGHVARISGTTEQKQSAENLIKAFIMST >ONIVA08G05760.1 pep chromosome:AWHD00000000:8:4946585:4948061:-1 gene:ONIVA08G05760 transcript:ONIVA08G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMITSPLVAPARAKGLPSISRRGSSFAIVCSGGKKIKTDKPYGIGGGMSVDIDASGRKSTGKGVYQFVDKYGANVDGYSPIYSPEEWSPTGDTYVGGTTGLLIWAVTLAGLLGGGALLVYNTSALAG >ONIVA08G05750.1 pep chromosome:AWHD00000000:8:4928061:4929343:-1 gene:ONIVA08G05750 transcript:ONIVA08G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATTSTLAVTMFGYPNRNYHLKPPATATLRFWRSAAAAAVATSRREAEAEEADEVRRCLAPARLEVLEQMEPWVEAHVLPLLKPAEEAWQPADLVPDAAALGADGFHAACVELRGRAAGVPDAHLVCLVGNMVTEEALPTYQSMANRFESARDVTGADATAWARWIRGWSAEENRHGDVLNRYMYLSGRLDMRQVERTVHRLIGSGMAMHAPASPYHGFIYVAFQERATAISHGNTARNVRAHGDDALARICGAIASDEKRHEAAYTRVVERLLEADPDTTVRALAYMMRRRITMPAALMDDGRDADLFAHYAAAAQQAGTYTASDYRGILEHLIRRWRVAELEAGLSGEGRRARDYVCALPQKIRRMEEKAHDRAAQMRKRPTAIPFSWIFDKPVDLMLP >ONIVA08G05740.1 pep chromosome:AWHD00000000:8:4909460:4912184:-1 gene:ONIVA08G05740 transcript:ONIVA08G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDESSVSTKLGHAGTTNSTATAAANPSINRWTGRPYSARYLEILQKHRTLPVWQQKDDFLAVLRDNQTLILVGETGSGKTTQYIDPQIHRFAVVSTGERRSGRPLIELSEFPGLPSSATTRVPWGMSSSVGVSVFRPLPSLPSTGQSAPASGYRSAFSSATALYLMISMAIVSSTGKFMDGLQCKFNVGWCLISTKLHKSQALKDMAAINFLEHLKMAIAGIIGQHKYTHITLKPSYAMYYVDLVMKFFSQFRKHFYPTIGHPVQLSQFHP >ONIVA08G05740.10 pep chromosome:AWHD00000000:8:4911710:4912184:-1 gene:ONIVA08G05740 transcript:ONIVA08G05740.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDESSVSTKLGHAGTTNSTATAAANPSINRWTGRPYSARYLEILQKHRTLPVWQQKDDFLAVLRDNQTLILVGETGSGKTTQYRGAALR >ONIVA08G05740.2 pep chromosome:AWHD00000000:8:4909460:4912184:-1 gene:ONIVA08G05740 transcript:ONIVA08G05740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDESSVSTKLGHAGTTNSTATAAANPSINRWTGRPYSARYLEILQKHRTLPVWQQKDDFLAVLRDNQTLILVGETGSGKTTQYIDPQIHRFAVVSTGERRSGRPLIELSEFPGLPSSATTRVPWGMSSSVGVSVFRPLPSLPSTGQSAPASGYRSAFSSATALYLENSWTVYNVNLMLGGVLSRPKYVRFTGLLLRFLEHLKMAIAGIIGQHKYTHITLKPSYAMYYVDLVMKFFSQFRKHFYPTIGHPVQLSQFHP >ONIVA08G05740.3 pep chromosome:AWHD00000000:8:4909460:4912184:-1 gene:ONIVA08G05740 transcript:ONIVA08G05740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDESSVSTKLGHAGTTNSTATAAANPSINRWTGRPYSARYLEILQKHRTLPVWQQKDDFLAVLRDNQTLILVGETGSGKTTQYIDPQIHRFAVVSTGERRSGRPLIELSEFPGLPSSATTRVPWGMSSSVGVSVFRPLPSLPSTGQSAPASGYRSAFSSATALYLMISMAIVSSTGKFMDGLQCKFNVGWCLISTKSYRGHPVQLSQFHP >ONIVA08G05740.4 pep chromosome:AWHD00000000:8:4909460:4912184:-1 gene:ONIVA08G05740 transcript:ONIVA08G05740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDESSVSTKLGHAGTTNSTATAAANPSINRWTGRPYSARYLEILQKHRTLPVWQQKDDFLAVLRDNQTLILVGETGSGKTTQMEYHHSTLTPKSIDSPWSVQGSGAPICIFIRNSALSVLEHLKMAIAGIIGQHKYTHITLKPSYAMYYVDLVMKFFSQFRKHFYPTIGHPVQLSQFHP >ONIVA08G05740.5 pep chromosome:AWHD00000000:8:4909460:4911257:-1 gene:ONIVA08G05740 transcript:ONIVA08G05740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSSTGKFMDGLQCKFNVGWCLISTKVCQIHWPAAAFLIVLEHLKMAIAGIIGQHKYTHITLKPSYAMYYVDLVMKFFSQFRKHFYPTIGHPVQLSQFHP >ONIVA08G05740.6 pep chromosome:AWHD00000000:8:4909460:4911649:-1 gene:ONIVA08G05740 transcript:ONIVA08G05740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGHELLCRVSVRSRVSVFRPLPSLPSTGQSAPASGYRSAFSSATALYLSYRGHPVQLSQFHP >ONIVA08G05740.7 pep chromosome:AWHD00000000:8:4909460:4911257:-1 gene:ONIVA08G05740 transcript:ONIVA08G05740.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSSTGKFMDGLQFLEHLKMAIAGIIGQHKYTHITLKPSYAMYYVDLVMKFFSQFRKHFYPTIGHPVQLSQFHP >ONIVA08G05740.8 pep chromosome:AWHD00000000:8:4911263:4912184:-1 gene:ONIVA08G05740 transcript:ONIVA08G05740.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDESSVSTKLGHAGTTNSTATAAANPSINRWTGRPYSARYLEILQKHRTLPVWQQKDDFLAVLRDNQTLILVGETGSGKTTQMEYHHSTLTPKSIDSPWSVQGSGAPICIFIRNSALSGLTLFILLSIGWFRHRLLYNR >ONIVA08G05740.9 pep chromosome:AWHD00000000:8:4909460:4911257:-1 gene:ONIVA08G05740 transcript:ONIVA08G05740.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSSTGKFMDGLQCKFNVGWCLISTKLHKSQALKDMAAINCIIFCPKWFSSRALKDGYCRGHPVQLSQFHP >ONIVA08G05730.1 pep chromosome:AWHD00000000:8:4891125:4891631:1 gene:ONIVA08G05730 transcript:ONIVA08G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTERQTSAAVCRQRRDGEEARYSGRRRCGGGCVGQQVGGIARATSSSVMRPRCPAQLGPISAVTSRTMRHHYRPPRPKTLPPPAHPCRLGASEAFYFFYEIYM >ONIVA08G05720.1 pep chromosome:AWHD00000000:8:4882515:4884090:-1 gene:ONIVA08G05720 transcript:ONIVA08G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATMAMPLANRLRCKPNTNSSSPSRTLFGRRVTMISNSRWGSAVSGSAIMSAAADVAAAVRREEDEEMRSYLSPEKLEVLTQMEPWVEEHVLPLLKPVEAAWQPSDLLPDPAVLGGEGFHAACAELRERAAGVPDLLLVCLVANMVTEEALPTYQSSLNRVRAVGDLTGADATAWARWIRGWSAEENRHGDVLNRYMYLSGRFDMAEVERAVHRLIRSGMAVDPPCSPYHAFVYTAFQERATAVAHGNTARLVGARGHGDAALARVCGTVAADEKRHEAAYTCIVSRLLEADPDAGVRAVARMLRRGVAMPTSPISDGRRDDLYACVVSLAEQAGTYTVSDYCSIVEHLVREWRVEELAAGLSGEGRRARDYVCELPQKIRRMKEKAHERAVKAQKKPISIPINWIFDRHVSVMLP >ONIVA08G05710.1 pep chromosome:AWHD00000000:8:4877179:4884141:1 gene:ONIVA08G05710 transcript:ONIVA08G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLHPPDLLRQLAHVVPRAPPFAGEPRRELLHAPLPHQVLDDGAVVRHRVRPGLLGEGHDAGVEVVAAAVGDGRGRHGDPSPQHARHRAHAGVRVGLEEPGDDAGVGGLVPLLVGGDGAADAGEGGVAVPSRADQPRRVAVGDRRGALLERRVDEGVVRAARRVDGHAGADEAVHGALHLGHVEAAGEVHVPVEHVAVAVLLGGPPADPPRPRRGVGAGEVADGADAVQRALVRGQRLLRHHVRHEAHQEQVRHAGGALPQLGARRVEPLAAEHRRVREQVRRLPRRLHRLQQRQHVLLHPRLHLRQHLQLLRRQVAPHLLVFLPPHGGGDVGRRRHDRAATDSTTPAHPACMSTTTCKLQLVIYFFLNQLKINLCFDQIDEIFGKFANNMSSKGVHICSSMQRSSFNIFSKGLYFLHHF >ONIVA08G05700.1 pep chromosome:AWHD00000000:8:4856642:4857599:-1 gene:ONIVA08G05700 transcript:ONIVA08G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGVDILAPPVPLPVGAIFLSRSAPARRSSALSAGRSPRSRRWRRFPRMDTDCCFVVATIARHNVPSCTVTDAFSGFSATTARPPPPPNSSVKSGIACSVCFLLDVHMRSFKIRVTKLIFALPKSTVMRELAAEATVLLPTLTKSRTTYVSTSPATSGVLAAPSSVTLARVPKLSADDVLTECGTRQ >ONIVA08G05690.1 pep chromosome:AWHD00000000:8:4850947:4862969:1 gene:ONIVA08G05690 transcript:ONIVA08G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIATGGGAHLRARPPLAPPLGLRALRRPPRLARRRPPPTPGGVRQVRLPLLARAGRVRAVDTLRVLSSPVCDPEVEDYSTCDVDAWIRAAIERRAQVIHISHHPKDEAFSNFDHVPIISCHLKHLKLSGYLFRQRTLMQLSSQCPSLEVLELKGCYLDGHQISSASLKILTIVECRIMEGFTIAAPNLVSLCCVTPYHRAPLFENVGSLTLDAATIVLDDSFLYAGYEYEYEDIDEDAIEGNGSEDGEGSLNDSDYDSDALVEHDEVHNRSKGNYHGYDHRYKARPYRGYRKKKFNGGKVLGGDNVLHSLSNARSLELLADAGEVILNRELKTCPTFSNLKTLSLGEWCMGADFGPLISFLQHSPNLEKLFLELKLLGGEASLDRRFSYCLVPHSVNTSSALNFGTLANVTELGAASTPLVAGDVDTYYTVVLDFVKVGNKTVASAASSRITVDFGNAKISFVTPANCNRKQTEQAIPDLTLEFGGGGGRAVVALKPENASVTVQEGTLCLAMVATTKQQSVSILGNLAQQNIHVGYDLDAGMFGKRVSEKLIGEVENIEYRTQKGHPLDGMSRRDTTGWPVPRGGAEPTGKPGPSAPVRAAHAFGQMPRRRTTTTARWVRRHQVHKGKPRGAATVDRLSALPDALLHHVMSFLKAWEVVRTCVLSRRWRHTWASAPCVDIRAPSSRRERGPGRDSDPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAEMYDNDDVNMWISSAIKRNARVIHLNGHREDDDLVLEHTAFVSQRLKILKLSNVNLDWKIPRQLSSRCTSLTELELNNCPVNGGEISSVSLKKLRMVKCLITVDLSICAPNLELLCCITPYHRVPLFKNLSSLVAATIVLDDSFLCRDDFLHEDERGSSDDEDDNKTISDHYDKMVTNSDACGDGNLVDEYNYGSDIDSDDDIYEYSQIANECRDGKYSHCHDSECCSSYHDTCKLANSFSGLDLLCSLSNARSLELLAHSGEVNKQVPRLSNFWNMYFTHHFQVDTVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQETPNLERLFLKLELNYDNKEAVNIGVKLKERSFACKNLEVVNIRCSKDDGRVHMLAELFGANGLPLEKIFVRRTGSTYLRNMKKMRKIARDELRKARWFQLLNL >ONIVA08G05680.1 pep chromosome:AWHD00000000:8:4845404:4847515:-1 gene:ONIVA08G05680 transcript:ONIVA08G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRASTATAMQLGLFLAALLLLTSSPAGSVAAAKGGGAKSGGGGTVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTDGGERLIGEAAKNQAAANPERTIYDAKRLIGRQFSDAEVQRDMKLLPFAVVDRNGKPHVRVEVKDGDVRVFSPEEVSAMVLTRMKETAEAYLGEKVTRAVVTVPAYFNDAQRQATKDAGVIAGLTVDRIINEPTAAAIAYGIDKKGAEKNVLVFDLGGGTFDVSILAIDNGVFEVLATNGDTHLGGEDFDQRLMDHFVKVIRRKHGRDITGDGRALGKLRRECERAKRALSNQHQAMADAGLSKGDIDEIVLVGGSTRIPKVQQLLKDYFGGKEPNRGVNPDEAVAYGAAVQASIISGHVDENTESMILLDVAPLTLGLETAGGVMAKLIPRNTVVPTKKTQAFTTYKDKQTTVTIQVFEGERSMTRDNRLLGRFDLAGIAPAPRGAPQIEVTFEVDANGILSVLAADKATGRSEKITISGDDRKISQEEIDRMVREAEEFAEEDRRHREQVDARNNLEAYVYNIKNTLGGKMAADAMEGEEKDKVEEEVREAYEWLDGNPDAGKEEYEEKLRELEDVCNPVMSAVYQRSGGGGGGAPEDGNVDDEDDHDEL >ONIVA08G05670.1 pep chromosome:AWHD00000000:8:4843864:4844505:1 gene:ONIVA08G05670 transcript:ONIVA08G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKFSGRWSAGRLFDGMHSPGEAARGGGGGEDDLLSALPDALLHHVMSFLRAWEVARTCVLARRWRHLWASAPCVDLRVSRGGVHRPPPREFAKFAYRFLLEREVSAPVDTLRVLSSPVCYDNGEREDYSTRDVEAWIRAAIKRRARVIQLTDHQDDEVFSDFDHVPIVTRHLKHLKLSGSVLEDRKDTKAALFPVPFFRNFRAQGLPSRRR >ONIVA08G05660.1 pep chromosome:AWHD00000000:8:4838341:4841800:1 gene:ONIVA08G05660 transcript:ONIVA08G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKAALWRPRHGEGETSRRGGDGDGEATDRLSALPDGVLHHVMSFLKAWEVVRTCVLSRRWRNLWASAPCVDLRIRYFRLDSEPPEEPRDFVNRLFRRREASAPVDTLRLQLSDPDNLFDNDDANAWIRTAIKRNARFIHLTGHRKEIGVLKHRALVSTHLKILKLSYVLIDDKILKQLSSGCKSLEELDLKDCVMTGHQISSASLKTLKMDRCKINVDLSITAPNLVFLNIVTPYIRVPSFKNLESLVTCSIILDDLFLGDDYQHISDEDDIDETTDDDDFGYQKNDKAGYRINYAKKGFVFGGNEDGYGYGSDIESDDNTYEYSEIANECGELQYGNNGDGHNSSKDGEYDNAETFGGQNVIHSLSNVRSLELLAGAGEVVLSRELKSCPIFINLKTLSLGEWCMDAEFDALVFLLQRSPNLERLFLEPQLISLFCKKNFNTRKALESGVKPTGRSFTCKDLQMVKIRCSKDDARVHMLAHLFRANGIPFDKIYVHRSGSSRLRSEKVMRDLAKQELEFWGSDEFWGSDYEYCGPDPEFGDSDLEF >ONIVA08G05650.1 pep chromosome:AWHD00000000:8:4822574:4834674:1 gene:ONIVA08G05650 transcript:ONIVA08G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSRAGQVFDEMSLEETNPTVVEEEDGEPGSAEEDAVAYGEVQVFDEMPLNETDPAAAAEEEGEPGIAEEDAVASGEDRLSEMPDMVLHHVMSFLKAWEAARTCVLSRRWRHLWASAPCVDILLTSDRQPPPVNRRMRHHLASAPCPCADVLWTRDRNAPSDTRRFVNRLLLARDELAPVDTLRLRSAHVDGFGDKFKNVDVEKWISEAIKRKARVIQLEDHYGMFVVFAHQVIASNHLKILKLSYAELDDDVFRGFSSRCPSLEELELKKCVVSAREISSVTLKSLIMVECKFTMNLSVGAPNLVFLKCITPMKWVPVLKDSGSLVTGSIMIDDSLLIGDSKKRHEVDDFSSDYSYGGSSEDYFDDLSSDISDDYDYNYENDINSDADTYEYNEIVNEYKSEQYKDHDDGGDCSMGGKYHGSSSNNGFNDDKTLGGQNVLHSLSNARSLELLAHSGEVVLSRESRSCPTFSNLKTLSLGEWCISMVADFDILILFLQNSPNLEKLFLQLEMVQDQLMFLNDLQFNIFESGNGGWRLLRTSSTRRRARSLFGGMLRRNAMLGPPRRGEGETSRRGEGGGGDGNGDGDAVDRLSALSDGVLHHIMSFLKAWEVVRTCVLSQRWRHTWASAPCIDLRVRYNDVDSEPPEELRDFVNRLFRRREASAPVDTLRLQSSDPDELFGNDDANAWIRTAIKRNARFIHLTGHRTEIGVLKHRALVSTHLKILKLSYVLIDDKILKQLSSGCKSLEELDLKDCVMTGHDISSASLKILKMDKCKINVDLSITAPNLVLLNLITPYIQVPSFKNLESLVSCSVILDDFFLGDAYEHSSDEDDIDETTDEDDIDDQKKTYKTGYGFGFPQKGYGLGGNKDDYGYGSDIESDDNTYEYSEIANEYGDQKYAQNSSTIVQGVGTSQQTKTISGGHNFVHGLSNARSLELLAGAGEVVLSRELKSCPTFSNLKTLSLGEWCMAAEFDTLIFLLQRSPNLQRLFLKLKLNFNTRKPLESGAKPMGRSFTCKDLQMVKIRCSKDDVRVHTLARLFRANGIPIEKVYVRRTGSSYLRGEKFMRDLGKHELEFWGSDSEFCGPNSEFCGSDSEFEDSDMEF >ONIVA08G05640.1 pep chromosome:AWHD00000000:8:4801145:4807703:-1 gene:ONIVA08G05640 transcript:ONIVA08G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCRSSTISVVVLHLAYWLVLVFSWQVAAAQAQQAPKTDPAEGKCPLLRLNFYAVAALNTTLGRWGKKASSEWNISGEPCSGYAIDKTDWDYYPNINPFIKCDCTDSNNTVCHITKLRVTKLDVVGQIPTELQNLTHLVDLNFNYNYLTGAIPSFIGIFTSMKYLALAMNPLSGPLPKELGNLTNLVSLGISLDNFTGGLPEELGNLTKLRQLRASDNGFIGKIPDYLGSMTNLQDIILRNCKISGDLRAIDFSKFENDLSFNKITGQNHLISRKAYSIMLNCAQFKFLGNNSLTGMLPDGISSSLKAIDFSYNQLSGSFPSWANQNNLQFALPSGLNCLQQDIPCLRGSPEYYSFAIDCGSKTALRGSDNTIYEADSINLGAASYYVTDQTRWGVSSVGNYFQATDGNNIISSPQHFQNGALKEKDFDIRKMAGGKSFGAVNRSYVATVSKNFLEIHLFWAGKGTSCIPTQGYYGPMISALSVTPTLATIFGLFMLAKKRKTIAQQKDELYNLVGRPDVFSYAQLKLATENFSSQNILGEGGFGPVYKGKLPDGRVIAVKQLSQSSHQGTNQFVTEVATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDRAIFGQNSFNLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNILLDIDLTPKISDFGLAKLYDENQTHVSTGIAGTIGYLAPEYAMRGRLTEKADVFAFGVVMLETIAGRPNTDNSLEESKIYLFEWVWGLYEKDQALRMVEPSLKDFDKDEVFRVICVALLCTQGSPHQRPPMSKVVAMLTGDVDVVKVVTKPSYITEWQLRGGGNCSYKGYTNPEFDRQKEITRDCLHGR >ONIVA08G05630.1 pep chromosome:AWHD00000000:8:4759719:4762354:1 gene:ONIVA08G05630 transcript:ONIVA08G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASGFAARSRAKSNNRRLFHVGDIGRIRKQRNDTPICICILSFTFMRMVSYDVGAFVQLNLLLLKGAFMRIWEAGSKWKAL >ONIVA08G05620.1 pep chromosome:AWHD00000000:8:4754961:4763511:-1 gene:ONIVA08G05620 transcript:ONIVA08G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNKQRSEGWMMEMAEKLKRDVRILFGTCNDIVEKMNLIDAVHRLGIDHLFQEEIGSAISDIKGSEFTSSSLHEVALWFRLLREHGIWVSPDAFRRFKGEDGRFINTGIADEPRGLLSLYNAAHLLIHDEPELEEAISLARHHPELMRGGGGLKPPLADQINRALDLPLPRAYKRIETLHYMLEYGQEEGHNVDLLDLAKLEFNLLQHVHLKELRNFSQWWKNIYGYVQLSYARDRAVESYLWSYVVFYEKDLVLSRMIFAKIFALLVTMDDTYDDYATIEESRKLNEAIQRWDESAISLLPEYMTKFYNTLLNNFKEFEAQVDVSGQYRVLRIKKEFQKLSAYYLQEAEWSHQNYKPSFKEQVALSTLSSSMPLLCAITTVGQDDVVTREAFELATQHNSAVLACGKILRFMNDIAAFKSGRKNKGDATSTVECYMNEHKVTGEEAIARIDSIIEDEWKTLNEVRCEHPQLLPAVQRVMNLAISVPFFYNKRSDAYTSSKYLHKIVECLFVTPIPI >ONIVA08G05610.1 pep chromosome:AWHD00000000:8:4751634:4751950:-1 gene:ONIVA08G05610 transcript:ONIVA08G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSTAATASTSLGQLRHLPTVAPPPPHRSGQPPASIWSKDTTFSFGDILVVTEHFNIAYCIGKESFETMYCADLGGGRAVAVKRLHASETGDACCSS >ONIVA08G05600.1 pep chromosome:AWHD00000000:8:4711154:4736580:1 gene:ONIVA08G05600 transcript:ONIVA08G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEQGVDWRTRLGQDIRDCMINDILFSLQTKLQSTSTTLIDLQKVAARIEERIHTIASDYGDYLRRICLTRGDLDSYDVLLNDCQRQQASIRSSILLHQENKQGVLSNQVALNDHKEHSHPYEKDMISKLPNDLVQHIMSFLSMREAVRTSVLSHWWVNQWTFLKSIQLNIYWFHMDREKFSSFLDKLLLSRVQADAPMDTFELKSFAIDRANCWINHAIKHNAKVLKFAEYGKWEPFYLDPNLVELSSRYLETLELTNVALDATIFSQLANSCPALQNMLLTDCLLEVEEISSSSLKNLDIIDCYILKDLSICTPSLVSLCIKNERTDNSSFRNSYLIFATVIIIDASSVSSMELLAMDRQFTFVEKDGGEPMFKNLRNLSLGLWCINNMFSPLRRFVRHSPMLRMVTLRISPLDWKSHLTKEHQEMLISIRDRRNLFDGMQQGAAGQAGPSGGGGGGGGGADRLSALPDAVLFRIVSHLGARQAVRTSVLSKRWRHVWASAPRVDVRHPCACDERADQERFHGFVTTMLLRRRPFAPIKALRLCWSHDGDANNWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISHKIKILKLTRVRMGIKFITQICYRCTFLEELELKNVNPLEGQIQSTSLKRLSIINCFISDGFLVDAPNLISLCFFRPLSGKSTEGANHSSDNRSWPFSASVWEFDDDGSDHDDDFFAIASGGEHFDDKRDNESDQDNGSSDEDSDDKRDHESDHDDDVPSSPYSDSKDSCDGNDSECESYESGDKEGDDLEDCYSNDMLENLIKVAKGLTAYHGEVLLRRQLENFPMFNNLKTLSLGEWCMVPDFSALSTILKKSPKVERLYLHLDMIHRGRGDIDPSGGSFACNNLKKVKITCCKDDEMVHMLKQFLQRNGISLEKIVHHTSSTHNGKKDGGGDSSAKRKAQGEVARLAMKQRRARNSNLFDGMHQGAARQAGPSGGGGGGGADRLSALPDAALFRIVSHLTARQAVRTSVLSKRWRHVWASAPRVDIRHPCACDERADQERFGDFVTTMLLNRRPFAPIKALRLWWSHDGDAETWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISPKIKILKLTTFGMDIKAITHICSRCTSLEELELKDFRRLDGQIRSASLKRLSIINCFISVAFLVDAPNLISLCFIRPLSFERTKESICSSDNRRWPAPVWKDDNDGFDHDDIFAIASGEHFDDKRENESDQDYGFGDGSDDNIASESDHDDDGPPSPYSVSYDGDNECESYEPRDKEESDRTVAYGEIADEYSSNGDPSDEYRGNYVSHDSANYGRANKFGNLKFPVKSIVDASAHEGELLLRRLLENFPMFNNLDTLSLGEWCMVPDFSALSTILTKSPNAKRLYLHLDVIHRRRRSIDPSGGSFSCNNLEKVKITCCKDDVMVHMLAQFLQDNGVSPEKIFVRRTSSLHNGKEGRGSNSSAKRKAQGEVARLAVKQRRARNSELFDGMHQGAAGPRPSGGGGDRLSALPDAVLLRIVSHLKAREAVRTSGISRRWRHVWASAPRVDVRYPCACDGRAVDQKRFRDFVTILLLRRRPLAPFKALRLSWSHDEDDVNAWIAHAVRRGAEEIDLSARRHQGYPVPDYKHFISPKIKILKLTHLRTTRFTADNTLDLVCSGCTSLEELELKDIKSLWGGIQSDSLKRLSIINCHVTSDGFLVEAPNLISLCCIRPVRAVPWFSHMVSLVEATVVLDDSRLSDDYQQPVLDDDDDGSDYDDCFFAPKAEGSDDKRDNEADNDSGDKKNRDGSESDLDDHDGEYDHEDGDKEVDDLEGGVDRTVTYGEIADESSSYGIPTPTPSNEYGGNYGNHDYTILGGDHMLDNLSDVRTLGLLGHQGEMLLRRQLENCPIFNNLNTLTLGEWCMAPDFSALSTILENSPHVERLYLNLDMDIHRSRGGINPTGGSFACNNLKKVKITCRKDDVMVHMLAKFLQRNGISLQKIFVRRTSSTHNGEEGTGKDSSAKRKAQDEAARRAVKQLRRARNSNPFDGMQQGAAGQAPRRDDGEAAGPSGGGGGGGGGEDRISALPDAVLGRIVSHLKAWQAVRTSVLSKRWRDVWASAPRVDIRHPCACDERADQERFHGFVDTLLLRRRPFAPIKALRLCWTHDGDANNWIAHAVRRGAEEIELSTRHHQGSLEPEPEFTSFISPKIKILKLTRVGMDIRSITQICSRCTSLEELELDDVRLLEGQIQSASLKRLSIIKCYIDDGFLVDAPNLVSLCFIRPLGIERKGGANSSSDRLWWPVWLNDDDGYDHDDDFFTNASAVQSDDKRGSKSDQDDLEGCNDDDRTVAYDEIADEYSSNGGPGDEHGGYSESDDSTICGPYGLFNVLVKTSLIMIAREGELLLRRELENFPMFINLNTLSLGEWCMVPDFSALSTILEKSPNVERLYLHLDMVHRGRGDIDPSGGSFACNNLKKVKITCCEDDVMVHKLAEFLEANGLQRQRIFVRRTSRTRRDSRAKQKEQEDHLRLAKKW >ONIVA08G05590.1 pep chromosome:AWHD00000000:8:4706044:4709745:1 gene:ONIVA08G05590 transcript:ONIVA08G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDGETQLRPTAAGHPDPGLGTSSAEYVASLGPATAPVSYPYISTYYGGIYGAYSGQPLVNAALMAMPPHSVPLVTDAVVEPIYVNARQYHGILRRRQSRAKAESENKANKIRKPYLHESRHLHALKRARGSGGRFLNSKAVEGKQDTKSVDKKDGAVPSEEKRDKKLANSIIKLENSSPTTQPGADAFDVV >ONIVA08G05580.1 pep chromosome:AWHD00000000:8:4695630:4697604:-1 gene:ONIVA08G05580 transcript:ONIVA08G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRRDEALTNPTIPPPSSSRRRHQRRRHRRRKRSPPPPAASPEWRDWSSPPSDVLLAILSRTPHADVLRGAGQVCAAWRRVAVSEPALWRRIQLFADDDDEGAAGGGRGVDGRGPPGWRGMARAAVDRSAGRCESFRGRADMGLLAYLAARSPSLRAIRVTSRIYVREEEELVAGVIRKLPLLERLELSGGGVFPATTRVMRALLGHCPALEVLDAGGCATDSVMSRRVRERCEERIRHLRLPPVNGCCGCCMRGQSLLGPEALQSDLSPLQPHVADGDEYNKDEEALGIATMCELRFLSSLAASSPMLD >ONIVA08G05570.1 pep chromosome:AWHD00000000:8:4663640:4693647:-1 gene:ONIVA08G05570 transcript:ONIVA08G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLYRLGSVESLGGAARAWARGLPRDAMQAVLRRLGQAEILGAAGQVCRSWRPAVADEAELWRRGGEEEGRPPARLSVARVAAGLGSGRRREPFVADRIGCDGLPYIRSLCLTSCYSVCSEGFVEAIKGFPHLEKLELSLCTNIFGEAIVAAAEACPHLKRFRLSKARFYCFDDDHSNDQEALAISTMHELRSLQLFGNNLSNRDISAILDNCPDLESLDIRHCFNIKMEASLQAKCARIRTLRLPDDLLDDYEFQVKSPIRYKSIFQSYLWSDGDEYLDRDYMDEDMDNYERFIPEDEDLIEATRKEEPLDFLSQLHTHHPAAAINASDYGFSQASSGHAGYGVSFHFLGSGSRGLDLSTMPFSPPHVSAASRRRRRRRRRRPARDWAALPTDVLLHILGKLSAVQLLLGSAAARRAARDEPSLWRSVVVNGYSRSRLPPRCRLSFEEVARAAVRGSQGRCEAFRGRFVGGDDFILFLADSAPFLKSLRLILYYKITSVAFAAAIMKFPLLEELEVSRCRRIEHICMPELVANLCPQIKHFRHTRSRDRYCVYNINRPGNDSQALAIASMRQLRSLQLFRDDMTNEGMMTILDNCPYLESIDILSCRNLTMDGTLRARCAMIKMKMRTFSLAALLVTVLPVLGMKVTLTTFRVLIMTMIGMILTAVTTLVTPKRSILQCITECLRRACEGRGRGRGRPPPLPAQHARNWADLPLDAILTIFHKLDHIDILMAADQVCASWRRAARDEPTLWQRITMRGTEALSARIIRGGLACAAVRRSAGRCEAFCGEFAGDDGFLMYLAEQASCLKSLRLISCLGVSNEGIEEAIKQFPLLEELELSFCDNVTYKAYAIIGVTCGPQLKCLKLSKSFFDGWGGNEDVWLFANTLTNKGLSAILDNCPNLESLDIRHCYNVDMDASLRAKCARIKVLRPPDDYDFHRVCTPRRLSFSTPIIQFGRLSSSRPIIKAPGLKSLRLISCYDVSNKGFGKAIKKFPLLEELELSLSPNVFGTDMFRTVGKSCPQHKRFRLSQHGFHSFEDSHDDDEALGIATMTQLRSLQIFGNTITNEGLEAILDNCPYLESLDICYCFNVFMDDTLRAKCARIKALRLPDDSIDDYELQVFSPVFADSGNSSDDMDDGYMVPGLHCVVFSEENECFDDDINEDELDDEARMMLNGLRALLMPKDNIQRRRTRTVPLGVFLYHTTLPAARDWAELPVDAILQVFRWLDHVDILMGAGLACRSWRAAARDEPALWRRIDMRGFACLPYWQRHRRDTVRAMAREAVRRSDGRCEEFWSKVGGDDEVLRFLADHAPYLRSIRLVKCDHVSKEGISAIIQSCPLLEALETNRALSIQIRSHGEEEEADRAQYGKDEEADTDYGDDEFTGDLSPWSSLCFEQDRDDGLDEFLDSEYFLEPHRYLHDVHVNEFDEEQDCRMLDKGDRRYLKAEGWTYVQLQPSEPLHLVRAFLHQTLTLATPPRERHAMPSSSSRRRRRRGRRRKKEEEARDWADGLPLDAILAIFHKLGHADILMAADQVCATWRRAARDEPALWRRITVRGTEALSARINRGGLACAAVRRSAGQCEAFCGEYAGDDGFLVYLTEQASCLKSLRLISCLGVSNEGIEEATKEFPLLEELELSFCYNVTHEAYAAIGAACPQLKRFRLSKRSFYDSGGIRWKNNDDAGGISKMHGLRSLQLFANNLTNEGLSTILDNCPNLESLDIRHCFNIDMGDDSLRAKCSRIKMLRPPDDSTDDYDFDVYTPRRLPISPGFVRYYSVYSDPEYSMYSDEWTSEEFDDDDDDYSGPSRYEEDLDKYDNALPRCMRTFLK >ONIVA08G05570.2 pep chromosome:AWHD00000000:8:4693647:4695605:-1 gene:ONIVA08G05570 transcript:ONIVA08G05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDHLDIRRCYNVDMDAALRAKCARIKALKLPRDCTDGDESFRIGDTQLSLSFLRRDRTLTNSHLLGAMPRSPSPRRRRRRRRRGTGRTCRGTR >ONIVA08G05560.1 pep chromosome:AWHD00000000:8:4662489:4663219:1 gene:ONIVA08G05560 transcript:ONIVA08G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGLLAQVPAETEKEKCESSRMRRRRLRRSGTGYGASCARAGGGQGGAEVATSILTHDSVGVRGGGDDAAKAALVMKFGETCYGITTGEDATERVALVQVRCSHVDKQSPSPAAADDQLLSRQLPW >ONIVA08G05550.1 pep chromosome:AWHD00000000:8:4651220:4651879:1 gene:ONIVA08G05550 transcript:ONIVA08G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLEEFDGKIFVDDKLLEYIGVRSPSLKRLSLACFLLLYLQGSINPCPLLEDLSLVGCGSVVFHMLYEITGETCPRLNCLQLSTCTQAHPRLACFGYSYGPLGIATLHQLRHLGCV >ONIVA08G05540.1 pep chromosome:AWHD00000000:8:4649455:4650257:-1 gene:ONIVA08G05540 transcript:ONIVA08G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCLVLPPPWSLLLRMEVLLVLYEKVYCLWSLSLCAGCGLGWWWCFVPLASVRDDGVVAGGGWIWGPSPSSFLGSCIHAGWAIRVELMLLRFNGELRGEVWLSPVKLTPKSTAQQQISNLCRLCEGVRRGLPVCQAVCMSKETQGSNRRGFAAAPCRFAPSTLRNFRRLFVLLVQFYLMCILLVLYPKPSLLAMYGACPLDLLL >ONIVA08G05530.1 pep chromosome:AWHD00000000:8:4635789:4646971:1 gene:ONIVA08G05530 transcript:ONIVA08G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLYSAPERETGRIAHATRERFASAAVKQACMVLRWRNQMESMLLPPESRDWSELPMDAFSVIFAKLGAIELLMGAGLVCHSWLHAAKLPHLWQCVEMVHHEALSLKEPIVMSEMARAAVDRSDGRLEAFEGQWSPKLKRLCLVSCYSVYKEAFIEFIAKCPLIEDIALINCGSVVFHALNVITGKSFPQLKRFELRTAFERPCYGFDVPLGIPTVRHLQHLILGGIIDIDNEEPPALTLILLASGSAMSSRSSMLRTLRAKCAKIKIRVAVESMDALPVPVPEKLSPETRDWSELPLDALSVVFGKLPRHRGLHGRRPRVPLVAPSCQAASPMVMRRHVALAPPGRVAEKLRHGEGRRGPLRREARGVQGEEDLTLYSCRNIDGDVFVVAGKACRRMKRLHVRWCGALPAYFDGDEPVGIATMRELRHLTLEGIGVSQEKLMAIVDGCPQLDLLHVSGCPGLAAVDDALQAKCAGIKSLTLRPYQELESSIAI >ONIVA08G05520.1 pep chromosome:AWHD00000000:8:4634569:4635236:-1 gene:ONIVA08G05520 transcript:ONIVA08G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASALCAVAKVAVDRADGQLEAFMGRKFVSNQLLKYIADRYQTIPTMYYMILARYQVIHVRYQAIPTTY >ONIVA08G05510.1 pep chromosome:AWHD00000000:8:4629086:4631218:1 gene:ONIVA08G05510 transcript:ONIVA08G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGVIMRAWNEWGIQALVLLSLTLQVSLLVLAEFRRRVNSGVLRFFIWSAYMMADGTAIYVLGHMSVTSSSPQHQLMAFWAPFLLLHLGGQDSITAYAIEDNRLWLRHLQTLAVQVAAAGYILYESSIVVSHSLLRWATMLMFVAGVVKYGERVWALRCADSSQMGKNYRTLQVSSRGFECSYYLDDKIISMPPWDTEAYLLIAHRMVEVPRNWLKGPPLDSLCQYPFASDLSGKDLYKVVEMQLSLMHDIFYTKVEVIHSNLYGLCIHMLPAMATTAAFLLFQLVILGREGHGYDRLDVAVTYVLLVGAVILETASLLRAMFSSWTCPLLVRWSRHKRGMEDNTVCNNLGHTITSLRRLVRAAQWRRRYWSCSMGQHNLLRLGVGSTTSRRSKMARWMGVEDWWNTKAYSWSIPVSEFIQELLVNQVLEREGTASSSISMVLDEQDQFPIPSKGEEEEEGSASHGSRPEADADDQLFDSKGRAELKRWGLYEGGQTFNGEERLTWSVEERILVWHLATNIYLTWWNKKQQKQDKQQPMAKAAEALSNYMMFLLAARPYMLSPTASRDSYVEMAYALTPAGGLRYDSAEQLASFLRTYGDTPEYDARGRLRHRYGSHLDFTTQHHLQLVLDTGCELGAKLISQDELQEAGADGKLGLIAQVWVEVLCHAGQQCSAYSHARQLSNGGELITVAALLVEYVTKRILTFPR >ONIVA08G05500.1 pep chromosome:AWHD00000000:8:4625497:4625874:-1 gene:ONIVA08G05500 transcript:ONIVA08G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLAIPSVARDGVLFDVPLVALDLDSWLMAQLPLSVADNDALHAFLASCSRSLPPALLSLPPPSVPATVGVVPKRSKRIAAKLALAGLSDTTSPAQHNFKRKIGLVPKKGPESTETAYNALFS >ONIVA08G05490.1 pep chromosome:AWHD00000000:8:4603190:4604710:-1 gene:ONIVA08G05490 transcript:ONIVA08G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVPVPEPPAEMRDWSELPLDALSVVFTKLGAVEVLIGAGLVCHSWLDAAKVPELWRTVDMAVLYRDMGSKNLGILTAMGKRAVKRSNWQLEVFKGRDFITNQLLKYVRRRSPCCLKSLHLESFTKLITKSPLLEDLVLNYCQSIRGDVYATVGEACPRLKRLEVRRRLGWWDDDDMLLTIAAMHGLRRLTLEGVRVRSRELTAIVDGCPRLELLDVSECFLRRDIVVDGALPAKCASIKTLKLPLFSDVDAAAAATAADDDGIF >ONIVA08G05480.1 pep chromosome:AWHD00000000:8:4583207:4587831:-1 gene:ONIVA08G05480 transcript:ONIVA08G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPVPELTPPETTTTRDWSELPVDVLSVVFAKLGAAEILMGAGIVCSSWLHAAKLPHLWRCVDIPQVVRNDAVCCAMAKVAVDRSDERLEVFKAMYFVDDELLKYIGHRSPGLKSLCLDSCSMVSNTGLTQLMAMTPLLEDLVLRGGLDLSDEFDDPLVIPTMHQLRQIALGSLYISRKTLTKFVDSCPHLELLDASECVAVDVVDDALRAKCARIKTLKLPSYSSATDAMATLDQLYYLADDDDEDWSELPLDALSVVFANLHAVDLLMGAGRLCSSWLHAAKLPHLWRCIDMQWRITMS >ONIVA08G05470.1 pep chromosome:AWHD00000000:8:4571374:4583138:-1 gene:ONIVA08G05470 transcript:ONIVA08G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVKYYERRKYIEDSGVMRAMAKVAVDRSDGRLEVFEGYGFVNDELLQYIGDRSPSLKGLSLISLFSYLDISKKVFTEFISKCFFVDEPFGIATMKQLRHLILGSICIGNEELMAISDACPHLELLDVSKWYKLDVDDALRTKCAGIKTVKLPLSLSHDGDQYAYCDYQIDEYDHFQVFFVPLAMEVDPIPLPASDERDWSELPVDALSVVFAKLGAIEILMGAGLVCHSWLEAAKVPDLWRSVDMTRHKVIFKKTIGVMCEMARVAVDRSAGKLESFWAQNFVTSDLLKYIGERTTSLKSIRLIACTRVPWKELVNLAAKSPLLEDLEHSYRDSPEEFFEHLGRKCPQLRCLRVNNDGFVYDDAKYDLMDQVIGDHDDDDDEEDELEYGPWDWPSNNNGVAFAIAESMHELRILQITNNTLTNAGVLAILDSCPHLEILDIAGCNNVSPNDQLRARWASLRHFNLSEGCRWSNFKELRVIGEDEGQEFRPEDELSDGLSKEFCCPSKDAGMGDGWDDVYWDDISLPSDDGRDPDLSNPDYPPSELPDILRVRELRLAPRASSLPNPNPHKPQPHPTIPSTTTTTSGRRRNRRGRGRGRGRKEGDARDWAGGLPLDAILAIFHKLDHIEILMGAGQVCRSWRRAARDEPQLWRRIDMRDHADLSFELNLFGMAQAAVRRSAGQCEAFWGEYAADEKLLHFLGGESNVFEIVGKACPQLKRFRFSKDCFYSFEDNEYEMDEEALGIATMHELRSLQLFANNLRNEGLAAILDNCPYLESLDIRHCFNVNMDDTLRVKCARIKTLRLPYDSTDDYDFQVQKPISLADFYSDSDDDCVYGGPDYILDSDEYDDYCDPYRYLDGVYEGVASGRAR >ONIVA08G05460.1 pep chromosome:AWHD00000000:8:4563806:4566742:-1 gene:ONIVA08G05460 transcript:ONIVA08G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLVSLSAKVAMALARSTAMGVVTSLGLGGVHSSIAAAEHELSLLRGHLRSGGASCRGADDDDQDPIDSWANQVRDVAFQLDDITDECCFLSGSGSGSGHGFARYCANVPTWIALSRRLRKVREKLGQLLEAANYQRQRVDVMNNVVSCGELRREDDAVAAGRRMAENARSMDKEEIIGFSDHREVLVRWLLAEDAAEPRRRTLLAVCGMGGVGKTTLVASVYKEVTAPAAAPASHHFDCDAWVTVSQRFTMEDLLMKILRKLNLNTVGRRAGTGRRRRRSATDVGDGGGDTDYGSLVAALRERLANKRYLIVLDDVWDETLWDGLERAMPDGDGVAGSRVVITTRKSGVAMAAAPERTMALEPLPTHQGWALLCSVVFKDVPGHRCPSHLREVAGDMLERCRGLPLAIVAVGKLLRHKDRTEFAWRNVRDSLAWVKNSEDLGIGEASRILNLSIDDLPYKLKKCFLSCSIYPEDFLIKRKILIRSWVAQGFIDEAKEVHGERRTMEDVADHYLDKLVQRSLFQVAVRNEFGRAKRFLIHDLIKDLINHRSKHEEGFVQFAECDLTMDSNIRVRHLALDRCTSSRRSASAAKIAALRSFQAFGSKLDASLMSCFRLLTVLNLWFIEINKLPSTVTNLRNLRYLGIRSTFIEELPKDLGKLQKLQTLDTKWSMVQRLPSSLSKLKSLRHLILLKRHAADYYRPYPGTPVGQLPAGLQNLTSLQTLKYVRADEMISKSLAKLEQMKSLELFDVDASFAAVLSSSISKMSHLQRLGITNSNTESVIDLESITVAPRKLQKLALSGRLARGKLPGWTCFLTSLKQVYLIASGIAQDSLLLLSSLPGLLHLSLNAAYREKEMTFAAGGFPALQTLTLHELSNLSQIEFQRGCLAELHELVLDKCTKLADSPKGMENLTRLQNLKLVGMAPELMEKLQDGKGDDGCYYKPGTGSSMICQVPSPSRYHMKSIVFLKPPAVLV >ONIVA08G05450.1 pep chromosome:AWHD00000000:8:4562428:4562775:1 gene:ONIVA08G05450 transcript:ONIVA08G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSASRRRRDHGKRTRRGGCRSSSSSANLSLPRAPPLSGNPNPSPSSTTRGPAPPPVAVSAGTGGRRRRRWRDWAELPMDAILAVFHKHDHIGILTGAGAAPRRVEAELWRRI >ONIVA08G05440.1 pep chromosome:AWHD00000000:8:4554874:4557949:1 gene:ONIVA08G05440 transcript:ONIVA08G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYDPLPDILRKLRDAQSAAALSNPNPPEPQPQPQLATPSFPTTTDTASRRRRRRRRRGRGNRRDPQPEAEVEEDTTDRAELELPYDPLPDILREFRLAPRAAALPSPNPNPNPAIPSSTTSRRRRRRGRGRGRGRRDWAAGLPREAILAVLRKLDHIEILMGAGQVCRAWRRAARDDPQLWRRIDMLNHAELSFELNLFGMAQAAVRRSAGQCAAARGSVRPSGASTRRRRFAPFPRRSVLTNCGGISLWGDAAMVIRFLTIVYEKRAPCLKSLRLISCFDILDEGFSAAVKKFPLLEELELTLCDNLGENDVFKAVGKACPQLKRFRLSKRCFYNYKHSGYNKDEQALGIATMHELRSLQLFANNLSNEGLTAILDNCPFLESLDIRHCFNVSMDDTLQAKCARIKTLRLPYDSTDDYDFQVHKPIWSGADFFSDSDDDCIYGGPDYILDSDEYDDYCDPYIYLDGVYEDELDEEDRMMLKAMHM >ONIVA08G05430.1 pep chromosome:AWHD00000000:8:4543684:4551160:-1 gene:ONIVA08G05430 transcript:ONIVA08G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKPSPLPMLPVRDWSDLPTDALSTIFMKLGSIEVLMGAGLVCHSWLAAAKSPELWRSVDMTRHKVVFSKGIDTMCAMAKVAIDRSQGKMESFLAQKFVTSELLDYIACRASSLKSIRLIACRNFWEPSLAELATQCPLLEEIECSGNKVSSEFLVYVGRARPQLKRLKIHMRWFDEDAMESEMEHEMEHEMMHDDDDDEEEEEEEEEEEGEFLYEDENVDDDEDEGEKDEEIEEDEDENNDDDDEEEEMEEDEDLDEDKNDVVEEEEDEDMDEGEDDENETNEEWEVRKNKDAFAIAENMPELRLLQISGNNLTNKGVHAILDGCPHLECFDLSECYNVRVDDQLRARCAKIKHAWLPRQSPRVHCLDLRVIEEDEGEDYGITMQDLWEAEVETLEAEAKMEEGSYGDYYEDYSALSSPESCVDSKDALVCTMEAVPSPLPDTDSDRDWSELPVDALSAIFTKLGLLRS >ONIVA08G05430.2 pep chromosome:AWHD00000000:8:4541938:4543684:-1 gene:ONIVA08G05430 transcript:ONIVA08G05430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFVCHSWLAASKSPELWRFVDMTRHKVIFSKRTGILCAMAKAAIDRSDGQIESFWAQKFVTGELLDYIVRRASTLKSIRLAACTFIQWQSLAMLAAKCPLLEEIECSYHKMPADFFECVGRVRPHLKRLRVHMHYFDQDELENELIKHVLEEGGEVFEEPFEQREARRNADAFAIAEIMHELRLLQIAGHNLTEIGVRAILDGCPHLECLDLSSCHDIYVDGQLQARFAMIRHVRLPGLMMVTAQISVPSVRGSLWLIFSEVSLALCLRRWRWGMVPMATTKCLCPLTPVST >ONIVA08G05420.1 pep chromosome:AWHD00000000:8:4536527:4538023:-1 gene:ONIVA08G05420 transcript:ONIVA08G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPGDPLPDTNRDWSKLPVDALSAVFMKLGTVEILMGASFVCHSWLAASKSPELWRFVDMTRHKVIFSKGTDILCAMAKAAIDRSDGRMESFWAQKFVTCELLDYIVSRASSTLKSIRLIACTFVWGQSLATLAARCPLLEEIECSHHKMSADFFKYVGIVRPQLKRLRVHMPYFDYDATEDEMKEHHNEDEDDDEYEEPFEQWEARRNVDAFAIAENMHELRLLQISGNNLTNKGVSELAAQRSNMSGCLDNGLMLTVQISVSLGKTKAKMMGSLYMIFGKPRFETLRAEAKMEDGSYGDYYEDYSALSSPESCVDSKDVYCDDPKVYTMYHDYYSL >ONIVA08G05410.1 pep chromosome:AWHD00000000:8:4530656:4535288:1 gene:ONIVA08G05410 transcript:ONIVA08G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:geranyl diphosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G34630) TAIR;Acc:AT2G34630] MLLPRRLPLLAGLLRSASSDASSIPPNRKNVSGREGFLWSSCCFHSTRHQTSREGEQMAKELLDPLALIKDEVSEISNRLRSMVVAEVPELTLAAGYFFRAGAEGKRTCPTVLLLMASSISMDMADPIVGSKNEIRERYMRLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLALLAGDFLLSKAFSTAAVSLDNAEGHNIKVILLLATAVNNLVTDENNSNTTLQKSYYKTAALISNSCKAVAVLAGQTAEVATLAYQYGKHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLRVIVEQGFDDPSNVDAALAYLARSKGIERTRLLAAEHAKLAADAIDALPESKDGSVLISRQALKDLTEKLIKRTK >ONIVA08G05410.2 pep chromosome:AWHD00000000:8:4530690:4535288:1 gene:ONIVA08G05410 transcript:ONIVA08G05410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:geranyl diphosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G34630) TAIR;Acc:AT2G34630] MLLPRRLPLLAGLLRSASSDASSIPPNRKNVSGREGFLWSSCCFHSTRHQTSREGEQMAKELLDPLALIKDEVSEISNRLRSMVVAEVPELTLAAGYFFRAGAEGKRTCPTVLLLMASSISMDMADPIVGSKNEIRERYMRLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLALLAGDFLLSKAFSTAAVSLDNAEGHNIKVILLLATAVNNLVTDENNSNTTLQKSYYKTAALISNSCKAVAVLAGQTAEVATLAYQYGKHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLRVIVEQGFDDPSNVDAALAYLARSKGIERTRLLAAEHAKLAADAIDALPESKDGSVLISRQALKDLTEKLIKRTK >ONIVA08G05410.3 pep chromosome:AWHD00000000:8:4530656:4535288:1 gene:ONIVA08G05410 transcript:ONIVA08G05410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:geranyl diphosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G34630) TAIR;Acc:AT2G34630] MLLPRRLPLLAGLLRSASSDASSIPPNRKNVSGREGFLWSSCCFHSTRHQTSREGEQMAKELLDPLALIKDEVSEISNRLRSMVVAEVPELTLAAGYFFRAGAEGKRTCPTVLLLMASSISMDMADPIVGSKNEIRERYMRLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLALLAGDFLLSKAFSTAAVSLDNAEVILLLATAVNNLVTDENNSNTTLQKSYYKTAALISNSCKAVAVLAGQTAEVATLAYQYGKHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLRVIVEQGFDDPSNVDAALAYLARSKGIERTRLLAAEHAKLAADAIDALPESKDGSVLISRQALKDLTEKLIKRTK >ONIVA08G05410.4 pep chromosome:AWHD00000000:8:4530690:4535288:1 gene:ONIVA08G05410 transcript:ONIVA08G05410.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:geranyl diphosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G34630) TAIR;Acc:AT2G34630] MLLPRRLPLLAGLLRSASSDASSIPPNRKNVSGREGFLWSSCCFHSTRHQTSREGEQMAKELLDPLALIKDEVSEISNRLRSMVVAEVPELTLAAGYFFRAGAEGKRTCPTVLLLMASSISMDMADPIVGSKNEIRERYMRLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLALLAGDFLLSKAFSTAAVSLDNAEVILLLATAVNNLVTDENNSNTTLQKSYYKTAALISNSCKAVAVLAGQTAEVATLAYQYGKHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLRVIVEQGFDDPSNVDAALAYLARSKGIERTRLLAAEHAKLAADAIDALPESKDGSVLISRQALKDLTEKLIKRTK >ONIVA08G05410.5 pep chromosome:AWHD00000000:8:4530690:4535288:1 gene:ONIVA08G05410 transcript:ONIVA08G05410.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:geranyl diphosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G34630) TAIR;Acc:AT2G34630] MLLPRRLPLLAGLLRSASSDASSIPPNRKNVSGREGFLWSSCCFHSTRHQTSREGEQMAKELLDPLALIKDEVSEISNRLRSMVVAEVPELTLAAGYFFRAGAEGKRTCPTVLLLMASSISMDMADPIVGSKNEIRERYMRLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLALLAGDFLLSKAFSTAAVSLDNAEVILLLATAVNNLVTDENNSNTTLQKSYYKTAALISNSCKAVAGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLRVIVEQGFDDPSNVDAALAYLARSKGIERTRLLAAEHAKLAADAIDALPESKDGSVLISRQALKDLTEKLIKRTK >ONIVA08G05400.1 pep chromosome:AWHD00000000:8:4527661:4529196:-1 gene:ONIVA08G05400 transcript:ONIVA08G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFSGRLNRFVCGMAAPPPEEEDDDAAAGAGGEAEDALNTPFLRYRRARHRPSPPLAAPYWPRGFADEEKAEKEAVVFEAAFRRLLAEKEEKAEEEEGSSWLRGVALAMERPPEPRGKDEEVRLPCLALPSLDGYRVYSLAEGRMCDDGDVWLPMACRRRYVSSPYGGKVFVTDLNWRYLSHLVDPFTGERTPLPDLPIPLSETEPTPCADDEPRANRTVAVGTDDCFAWDWSPRGVMVARGDTVFFCEAGGGEGGGEWKPVHRSRTNSPMTVNHRGGFFFVLERRSLLTTVFDAETLAPTAEIAPPPSRHDIDDAYLVASTDDVLLLVRRRAADSDIREVFTHAYRARHRGARPSPPPAWAPVTDIGDRAAFVTRAHGFTVGVVCPDPDADGGEEAAATVRRNRVYVIRGSTTRDQLDRRVVSHKIGEVHLKNPMPPTLLPLLQGELDGGGCLHERKLGQPHWIIRRDQPTSASVNDSEESDLSTSASVNESDESDQSSSISVNESEE >ONIVA08G05390.1 pep chromosome:AWHD00000000:8:4517637:4521691:-1 gene:ONIVA08G05390 transcript:ONIVA08G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKKSVAVAVAVAPAAVPAKGKGGKKREAEDEIEKAVSAKKQKAAAAPPAKAVPAPKADAKKAKKQPPPKKAASSSSGSSSEEDSSESEEEVKVQVKKTTKPVKQESSSDESSDESSDDEDAKPADPVANNGLKKGKPASSDSESDSDDEMDEDEKPAAPVKKTSVTAQKKKDDSDSSESESDESDSDEDVPTKSKAPAVAAKNDDSTDGSESESDSEDEDAAPKGAAKKESSSDEEDDSSEESSDDEPKQPQQKKAQEESSEESSEEDSDEEDEKLAKTPKKKTPAATKSQNDEPKTPASNQSQGTESATLFMGNLSFNLNQDQVKEFFQEVGEVISVRLATHEDGSSRGFGHVQFASSEEAKKALELHGCDLDGRPVRLDLAHERGAYTPHSRNDTGSFQKQNRGSSQSIFVKGFDSSLEESKIRESLEGHFADCGEITRVSVPMDRETGASKGIAYIDFKDQASFSKALELSGSDLGGYNLYVDEAKPKGDSRDGGGRRGGRSGDRFGGRSGDRFGGRSGGRFGGRDGGRRGGRGGRDGGRRGGRGGFQSRQSAGTASTGKKTTFGDE >ONIVA08G05380.1 pep chromosome:AWHD00000000:8:4513561:4516066:1 gene:ONIVA08G05380 transcript:ONIVA08G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLAGRNEVHHHHHHHWADARVPLMPKHATNPNPRRHHRPSPSIPPPPPPQRPPAATVAPSVPDPSPSGHVTIRPSELSRREAQVLRARLTGELSRVRALLSDIDGWEARRRRPAEPPRQRGHVSPPPALQAAMRKRCTQILTRLRKQKISVWFNSPVDVERLKLHDYHAIIRNPMDLGTVKENLAFGRYPSHEAFAADVRLTFSNALRYNPADHHVHRYASNLLATFEGLYKEALSWFEQECQRLEPPMPLALPPPPQPPVPMPMQAPPRIGGGGRRPKPKAREPNKREMSDEEKHKLRVEIGNLPEEKMGNVLQIVQKRNTDPALMGEVVELDFDEMDVETLWELDRFVVNCKKALSKSRRTVAMNGDAVDATAIVPIEDDTLQVNVHHQPAVVEIGDSENDMPEKRVSEVDMVDEYVDIGDEMPTANYQSVEIERDAQVASSSSGSDSGSSASSDSDSRSSGDSDSDGDDAQSPD >ONIVA08G05370.1 pep chromosome:AWHD00000000:8:4499695:4503674:-1 gene:ONIVA08G05370 transcript:ONIVA08G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRALNRMLCLLGVCVINSTTPYGRIFQFGLAQLSLNKRAQPIGGPSPAQTILASPVPHSLPKSLHRNPPPPQPPPPPTSAAANPPPTRAFLAGAAASADVRCGPPLLLR >ONIVA08G05360.1 pep chromosome:AWHD00000000:8:4496506:4499664:-1 gene:ONIVA08G05360 transcript:ONIVA08G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors;DNA binding;DNA binding [Source:Projected from Arabidopsis thaliana (AT2G36740) TAIR;Acc:AT2G36740] MADLADEDPPVLLDRASRATRGKRITKLLEDEVEQDEVFWNQDALKEDEEDDNYEEEQDAGDEFDSDFGEDESEPDDEPEKEVRERLPIKKRLIFPGKTMKKINAKKKKKVVPKLEDDSKTDKYSDQQSPSKQTDIPDELETGEKTIRKSTRTSVIVRQAEREAIRAEKEATMKVPVIKKKKEGEEKRMTQEEMLLEAAETEIINLRNLERVLAREEEVKKKAVVHKAVYEGPTIRFCSRDGESRLEFINGASFGSELCTTSAPYPEKSICAVTGLPAKYRDPKTGLPYATKEAFKIIRESFLKEEADRKRPNLANMGELFESITGEYSTPKKRRIEARSPSISSDQRHGGRFRRIPALDLLDED >ONIVA08G05350.1 pep chromosome:AWHD00000000:8:4495330:4495584:1 gene:ONIVA08G05350 transcript:ONIVA08G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFISQITTEVAPSKLSSIIRRARLLTMLDTITEDDREAMESPRAPPRRTSYDKEFGGTSVHCTNKEVLLAPMVKVGYLKIKA >ONIVA08G05340.1 pep chromosome:AWHD00000000:8:4490755:4494861:1 gene:ONIVA08G05340 transcript:ONIVA08G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENHLASLLLEEARRLQLEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNKMWRAREKELELESKTKRRSINRGDSRGKKRRSDVRNQSSSPRMEQNSTSYNSSYLDLEGALGDDEIEMFLHSRVKRGRGAVGSRMDETGPYLNASSRSQDNVPSLDIRVEEKWELQVQGPERPLSLRFQSTDDYWHRETMDGEPSISGKHMKKRKKKESNSEKKDKEERRKKHEKKKI >ONIVA08G05330.1 pep chromosome:AWHD00000000:8:4487342:4487569:1 gene:ONIVA08G05330 transcript:ONIVA08G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPPKLSSIIRRTRLPRRLDTIMEDDREAMESPRAPPRSTSYAKEVVDTSMHCTKKGVFLASMMKAGCLKIKA >ONIVA08G05320.1 pep chromosome:AWHD00000000:8:4485559:4486534:1 gene:ONIVA08G05320 transcript:ONIVA08G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGHLLLCAAAACLAVLLSSLMEIWNTSTDPQQIQFMAIEKDDLLVTNLEGTEWSLAQLDTSIYMAASGTNRSKLTRDKLTPHLSDLSLSAHSLQKGEENNLLCRNAAALVMRVPDHSIVYLPYKQFYS >ONIVA08G05310.1 pep chromosome:AWHD00000000:8:4479749:4496458:-1 gene:ONIVA08G05310 transcript:ONIVA08G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQYSDVIRGMNGTIQENQKLCCIEQYPAPGDREHEEQHGRFNEMSAKRHQELSMLGVCNRMGSEQQKPKLTGFLKSNDILEQWSILNPKTYNLAITTLNGHHITTHTLHDAHRKIPRDLIHQTLFRNCRKPQHKNLITHKFELPNPPKPHLQPPNSTRVAAPPRRRASLVGATARGGGGGRARAYQRLEEKESGDRAPPIAAAASIAGEGDAAEATAAAAAAAAMAAALSVTE >ONIVA08G05300.1 pep chromosome:AWHD00000000:8:4479641:4484401:1 gene:ONIVA08G05300 transcript:ONIVA08G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59040) TAIR;Acc:AT3G59040] MEAAAAIGGARSPLSFSSSLCNAKVSCGLALHNVKIKSSRRLEVVCHGMLTTRKFMQKKKKEEVYKDAADEAEQKNWRMMMREIEESGSAVSILKTQRSKKEPLPRDAVLGTLMRFKQLKKWNLVSEILEWLRTQHWWNFSEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYKPSVISQTALMEAYGRAKQYRKAEAVFRRMQTSGPEPSAVTYQIILKSFVEGDKYKEAEAIFEDLLNEKRASFKPDQKMFHMMIYMYKKAGDYAQARKLFAQMSERGIPLSTVTFNSLMSFETDYKEVSSIYDQMQRTALKPDVVSYSLLIKAYGKARREEEALAVFEEMLDAGVRPTRKSYNILIDAFAISGLVEEAHTVFKAMRRHRVEPDLCSYTTMVLAYVNASDMDGAEKFFRRIKEDGLKPNVVVYGTLMKGYSKLNNVERVMRVYERMRMQGVEPNQTIYTTIMDVHGRNSDFGNAVIWFKEMEARGYPADKKAKNILLSLAKTPEEQEEANELTGNCAIQLEAKPDGTTYGLEINGTGNEYKHGQNDAGHDSLLDGACTTSNRNGKVEAGKGHFEEVNDDDDDDDDDYDEELNFVSFKDKRELNFAT >ONIVA08G05290.1 pep chromosome:AWHD00000000:8:4474585:4479300:-1 gene:ONIVA08G05290 transcript:ONIVA08G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDADSGGLPGGEAAEEMGMGGEEERVATLLGIAEECDTEEELRLLLRGNPHPVCYDSFLPCDRMTIAQGVLKAIHVRKMVEAGCRVKIWIDDWSAFLNNKLGGDMEKIQTVGRYMIEVWKSIGMNHDGVEFLCSSAEINSRADEHWPRVMGISTHRKIGVVRELRECKKPTAQFFNPCMQCAGIFFLEADICQMGMDQHEVNKLATTYSDNRQEKKPILLSHYLLPGLKGQNKMSASDPASAIFMDDEKAFCPPKIVKGNPCIEYIKYIIFPWFGKFEVVRKAKNGGNKTFMSVEELVMDYESGSLHPADVKPALKEAINQILKPVREHFENNKEAKFLRDTVKGYSEVNSE >ONIVA08G05280.1 pep chromosome:AWHD00000000:8:4468909:4471435:-1 gene:ONIVA08G05280 transcript:ONIVA08G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxalase I homolog [Source:Projected from Arabidopsis thaliana (AT1G11840) TAIR;Acc:AT1G11840] MASGSEAEKSPEVVLEWPKKDKKRLLHAVYRVGDLDRTIKCYTECFGMKLLRKRDVPEEKYTNAFLGFGPEDTNFALELTYNYGVDKYDIGAGFGHFAIATEDVYKLAEKIKSSCCCKITREPGPVKGGSTVIAFAQDPDGYMFELIQRGPTPEPLCQVMLRVGDLDRSIKFYEKALGMKLLRKKDVPDYKYTIAMLGYADEDKTTVIELTYNYGVTEYTKGNAYAQVAIGTEDVYKSAEAVELVTKELGGKILRQPGPLPGLNTKIASFLDPDGWKVVLVDNADFLKELQ >ONIVA08G05270.1 pep chromosome:AWHD00000000:8:4459674:4462198:1 gene:ONIVA08G05270 transcript:ONIVA08G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I821] MARSSFKLEHPLERRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLFV >ONIVA08G05270.2 pep chromosome:AWHD00000000:8:4459636:4462198:1 gene:ONIVA08G05270 transcript:ONIVA08G05270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I821] MARSSFKLEHPLERRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLFV >ONIVA08G05260.1 pep chromosome:AWHD00000000:8:4445515:4458770:1 gene:ONIVA08G05260 transcript:ONIVA08G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRPQSLLCPRSRLKVVIRPASSASGGGLAQEIYWKQNCSMHGFKFRKAKRTISLHTEVASSRGYAPRIAAESSIQEREHINSDEETFDTYNRLLRNESTEWNKLDTTEVDLSQDVSSSSMRKVDATDEAKLDILEDDLPRNLLNGVTMGEVDMLDEAGAEDDVFEVDLSALHNSTVGKMDAVNEVGTENDLFEVDLSALHSAAVGKVDVVDEAKAKEDLFEMDSLALHSVTMGKVDAINAAGAEGDKFEVDLSALASNNSMIEAVNVMDEAKAIEDTLEVDLSGNATSSSTYGEVKFEVDSLGNTSSTVMYGPADGAYEPRSDEVTFKVDSSENASNNVMYGRADVVDESWADEGIFEVDFFTNASSGAEYGKVDVVDEAKTDDFTFEIDSLEKDSNNKMHGKAHMVDEAWDDEAIFEVDLFGNASSIPIYGEVNVLDEARADDGKFEVDLLGNTSSNSTHEEVDVVDEAQTGEATFEVDLLGNALSSAIYKEVPVMGGAQDDEVDVDFSINASITETEKEADAVDEARVEDETFDMDLVGKQISIDSMNDDVVEEGTKHHRYPMLSSAFIEVKTIHETPVSLKPELMSVVMDQEQDKPISSVYQQEGSIFNLHAENQSTVDFHEREQMAITFDKQKESVAKLSKEDQQTAGLPEQNMSFDGVHRKSQSIIGLPFQHQSIVSSPEKYRSIVGFHGQNQSIISSHKQDKSIVGVPKKIQSIVGSTKHDDSIVGFRKQDRSIVSVPEQKQSIVGFHKQDLSIVAVSEQNLSIVAIPRESQSKQISIVRRHDPLHLKEVETKDRDGISKKSGGDDDLPHMLFEEELSQVEDEARAIAYKKQHEVDVISLTPDIQESPQDNIDPQELRRMLQELADQNCSMGNKLFVFPEAVKANSTIDVYLNRNLSALANEPDVHIKGAFNSWRWRPFTERLHKSELSGDWWSCKLHIPKEACRLDFVFFNGRLVYDNNDSNDFVLQVESTMDEDSFEEFLVEEKKRELERVATEEAERRRHAEEQQRMGEQRAAEQAAREQAKKEIELKKNKLQNLLSSARTHVDNLWHIEPSTYRQGDTVRLYYNRNSRPLMHSTEIWMHGGCNSWTDGLSIVERLVECDDENGDWWYANVHIPEKAFVLDWVFADGPPGNARNYDNNGRQDFHAILPNAMTNEEYWVEEENCIYTRLLHEIREREEAIKIKVEKRAKMKSEMKEKTMRMFLLSQKHIVYTEPLEIHAGTTVDVLYNPSNTVLNGKPEVWFRWSFNRWMHPSGVLPPKKMVKTEDGCHLKATVSVPSDAYMMDFVFSESEEGGIYDNRNGTDYHIPVSGSNAKEPPIHIVHIAVEMAPIAKVGGLADVVTSLSRAIQELGHHVEVILPKYNFMNQSNVKNLHVRQSFSLGGTEIKVWFGLVEDLSVYFLEPQNGMFGGGWVYGGNDAGRFGLFCQSALEFLLQSGSSPHIIHCHDWSSAPVAWLYKEHYAESRLATARIIFTIHNLEFGAHFIGKAMTYCDKATTVSHTYSKEVAGHGAIAPHRGKFYGILNGIDPDIWDPYTDNFIPMHYTSENVVEGKNAAKRALQQRFGLQQTDVPIVGIITRLTAQKGIHLIKHALHRTLERNGQVVLLGSAPDPRIQSDFCRLADSLHGENHGRIYAGSDFILVPSIFEPCGLTQLVAMRYGSIPIVRKTGGLYDTVFDVDHDKDRARVLGLEPNGFSFDGADCNEQSLLGLKPAVGSTPSAKGSWSKTGPGTGLPWTTLNCTIQLTNFEAPIQRWQEKASIGRYYKLNETWLKVKIFYLSCRYKLTQTWFKVKIFYLSYTYICRIKTLYSMHKQLWEYVSAMFPILSFNYEYLI >ONIVA08G05260.2 pep chromosome:AWHD00000000:8:4446140:4458770:1 gene:ONIVA08G05260 transcript:ONIVA08G05260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRPQSLLCPRSRLKVVIRPASSASGGGLAQEIYWKQNCSMHGFKFRKAKRTISLHTEVASSRGYAPRIAAESSIQEREHINSDEETFDTYNRLLRNESTEWNKLDTTEVDLSQDVSSSSMRKVDATDEAKLDILEDDLPRNLLNGVTMGEVDMLDEAGAEDDVFEVDLSALHNSTVGKMDAVNEVGTENDLFEVDLSALHSAAVGKVDVVDEAKAKEDLFEMDSLALHSVTMGKVDAINAAGAEGDKFEVDLSALASNNSMIEAVNVMDEAKAIEDTLEVDLSGNATSSSTYGEVKFEVDSLGNTSSTVMYGPADGAYEPRSDEVTFKVDSSENASNNVMYGRADVVDESWADEGIFEVDFFTNASSGAEYGKVDVVDEAKTDDFTFEIDSLEKDSNNKMHGKAHMVDEAWDDEAIFEVDLFGNASSIPIYGEVNVLDEARADDGKFEVDLLGNTSSNSTHEEVDVVDEAQTGEATFEVDLLGNALSSAIYKEVPVMGGAQDDEVDVDFSINASITETEKEADAVDEARVEDETFDMDLVGKQISIDSMNDDVVEEGTKHHRYPMLSSAFIEVKTIHETPVSLKPELMSVVMDQEQDKPISSVYQQEGSIFNLHAENQSTVDFHEREQMAITFDKQKESVAKLSKEDQQTAGLPEQNMSFDGVHRKSQSIIGLPFQHQSIVSSPEKYRSIVGFHGQNQSIISSHKQDKSIVGVPKKIQSIVGSTKHDDSIVGFRKQDRSIVSVPEQKQSIVGFHKQDLSIVAVSEQNLSIVAIPRESQSKQISIVRRHDPLHLKEVETKDRDGISKKSGGDDDLPHMLFEEELSQVEDEARAIAYKKQHEVDVISLTPDIQESPQDNIDPQELRRMLQELADQNCSMGNKLFVFPEAVKANSTIDVYLNRNLSALANEPDVHIKGAFNSWRWRPFTERLHKSELSGDWWSCKLHIPKEACRLDFVFFNGRLVYDNNDSNDFVLQVESTMDEDSFEEFLVEEKKRELERVATEEAERRRHAEEQQRMGEQRAAEQAAREQAKKEIELKKNKLQNLLSSARTHVDNLWHIEPSTYRQGDTVRLYYNRNSRPLMHSTEIWMHGGCNSWTDGLSIVERLVECDDENGDWWYANVHIPEKAFVLDWVFADGPPGNARNYDNNGRQDFHAILPNAMTNEEYWVEEENCIYTRLLHEIREREEAIKIKVEKRAKMKSEMKEKTMRMFLLSQKHIVYTEPLEIHAGTTVDVLYNPSNTVLNGKPEVWFRWSFNRWMHPSGVLPPKKMVKTEDGCHLKATVSVPSDAYMMDFVFSESEEGGIYDNRNGTDYHIPVSGSNAKEPPIHIVHIAVEMAPIAKVGGLADVVTSLSRAIQELGHHVEVILPKYNFMNQSNVKNLHVRQSFSLGGTEIKVWFGLVEDLSVYFLEPQNGMFGGGWVYGGNDAGRFGLFCQSALEFLLQSGSSPHIIHCHDWSSAPVAWLYKEHYAESRLATARIIFTIHNLEFGAHFIGKAMTYCDKATTVSHTYSKEVAGHGAIAPHRGKFYGILNGIDPDIWDPYTDNFIPMHYTSENVVEGKNAAKRALQQRFGLQQTDVPIVGIITRLTAQKGIHLIKHALHRTLERNGQVVLLGSAPDPRIQSDFCRLADSLHGENHGRIYAGSDFILVPSIFEPCGLTQLVAMRYGSIPIVRKTGGLYDTVFDVDHDKDRARVLGLEPNGFSFDGADCNEQSLLGLKPAVGSTPSAKGSWSKTGPGTGLPWTTLNCTIQLTNFEAPIQRWQEKASIGRYYKLNETWLKVKIFYLSCRYKLTQTWFKVKIFYLSYTYICRIKTLYSMHKQLWEYVSAMFPILSFNYEYLI >ONIVA08G05250.1 pep chromosome:AWHD00000000:8:4440456:4442532:-1 gene:ONIVA08G05250 transcript:ONIVA08G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPAPSPEKTTTRDWSELPVDALSVVFAKLGAVEVLMGAGLVCRPWLDATKLPHLWRCVDIAAMKKKRAVLCVMADEAVKRADGQLEAFMAGAFVTNKLLKHVGDSSPTLKRLWLESCSLVTSNGLAQMIAMAPLLEELVLSYCRKVRGGGGGGGGVYAAVAEACPRLRRLEIRRYPAWRDDDGGGDHHRRRPLGIAAMRELRHLTLVGVAGAGDDELAAIVDGGCPHLEVLHVSECPGLAAVDVAALRAKCGGVKCGGFTPASRPPMTTSRRLRRRKSGDRLATAGPTGSTTGQIGPPENLNEG >ONIVA08G05240.1 pep chromosome:AWHD00000000:8:4436503:4440576:1 gene:ONIVA08G05240 transcript:ONIVA08G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAYGVGAPLKLAARRHGALALAGSHRCSGWKSSVSCPVPQAWMGSCSSVAMRRVASGSRLIVQASNSGGSSLKASLADASLLTEERITVLVIGGGGREHALCYALNRSPSCDAVLCAPGNAGIAQSGDATCISDLDVSDSDAVIAFCCKRGVGMVVVGPEAPLVAGLVNDLVKAEIPAFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFTDPAEAKQYVKDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLVQGSFGSAGSRVIIEEYLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKHTIMDSIIIPTVQGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLMSACRGELGDVSLTWSPEMAMVVVMASEGYPGSYKKGTVIRNLEKAEQVSPAVKIFHAGTALDGDGNLVAVGGRVLGITAKGKDIEEARARAYDAVDVVDWPEGFFRRDIGWRALKHKQVANY >ONIVA08G05230.1 pep chromosome:AWHD00000000:8:4423736:4430528:-1 gene:ONIVA08G05230 transcript:ONIVA08G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G26970) UniProtKB/Swiss-Prot;Acc:Q94A28] MYKAAYSSASARLSSSLLRFRSLPSPAPSSSPSRLLSLRARAFSRPSRGAWAAAGGWSGRASSSPVVGCGACRAQIGAVAPAVERVHRRMAATAAAEHPFKNILTTLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVNQNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQSNMELEFKRNNERFGFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGIMYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLQNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVAMIEAYLRANKMFVDYNEPQTERVYSSYLELDLNEVEPCISGPKRPHDRVPLKEMKSDWHSCLDNRGFAVPKEQQDKVVKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHVVGYGCTTCIGNSGDLDESVSAAISENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKEVFFRDIWPSTEEIAEVVQSSVLPDMFKSTYEAITKGNPMWNQLTVPEASLYSWDPNSTYIHEPPYFKDMTMSPPGPHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTVHVPTGEKLYVFDAALKYKSEGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTIDLPTNVSEIRPGQDITVTTDNGKSFTCTLRFDTEVELAYFNHGGILPYVIRNLAQN >ONIVA08G05220.1 pep chromosome:AWHD00000000:8:4419142:4420509:1 gene:ONIVA08G05220 transcript:ONIVA08G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G71090) TAIR;Acc:AT1G71090] MDWLSANLQVNPQVTVHGDWVSAVMPLMKLLCLTVIGLVLSNPRTQIIPKATFKLLSKLVFALFLPCLIFVHLGQSVTIQNILDWWFIPVNVLISTAIGCILGYIVALICRPPPQFFRFTVIMTGFGNTGNLPIAIIGSVCHTTDHPFGPGCHRKGVAYVSFAQWVAVILVYTLVYHMMEPPMQFYEIVGEGNEIVEEPAQISNYSRSLLHEAEWPGMADKETEHSKTPFIARVFMSISGSSQNTFPDIDFAEEGTSGAGPSSPKSLRCLAEPKVVRRIRVVAEKTPIQHVLQPPTIASLLAIVIGMVPLFKDFVFVPDAPLSFFTDSLEILAQAVVPSVMLILGGMLAEGPKDNALGIRTITGIIVARLLILPCIGIGVVLLADRLHLLVEEDHMYRFVLSLQYSTPSAILLGAIASLRGYAVKEASALLFWQHICAVLSLSIYLIVYFRLLTI >ONIVA08G05210.1 pep chromosome:AWHD00000000:8:4414621:4416505:-1 gene:ONIVA08G05210 transcript:ONIVA08G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:postsynaptic protein-related [Source:Projected from Arabidopsis thaliana (AT1G61780) TAIR;Acc:AT1G61780] MVCAKCEKKLGKVIVPDKWKEGASNTNESGGRKINENKLLSKKNRWTPYGNTKCVICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >ONIVA08G05200.1 pep chromosome:AWHD00000000:8:4410362:4413969:1 gene:ONIVA08G05200 transcript:ONIVA08G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSTTRPAAPVRLRRRPLKTPLVVAAAAASSSAAVGLPRGGGPGTPHLRWGAGEREEEENGGEKGNAVGAPGRCSVRRLAAAVWRLRPAEEAPPPASRHAADRACLEHIPRHLQVQLLRKDHVSTRYGLKNETSSPISVLERHSGELHKGQLHLASDVLPITSLENVTKWEPDGIEGIESDGAYVIASQLNLIEEQKGENYVSNLQVELQQTRDRVGKLEAERISAKKQLDHLFKKLTEEKAAWRKREHKKVQAILEDMKADLEHEKKNHRQLEKINIKLVDELKEVKMAANNLLQEYDNERKTRELTEEVCTKLVRELEEHKAEIEGLKQDSLKLRAEVDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYEELSKLQLDVEAIIASFSDTKGDDTIVQTAKNILQSIESTREQEIKFTYEPPPASDDILAIIEELRPSEELETRETEPCHKHNSPVHESENQQDSPMTDIFLENPTKLYSNRSHYNESDMGDSSSWETISNEEMQGSSSSRNGSEPSVNKICDKISWTSGDDSEAGQNDNLSGELSKAYFADRKPSKKKESAISKLWKSSPLKNCEIFKIDVVEMMNRRSSNERLSNGMHSSNEGANQDAGLSSPSIGQWSSPDSMNSQLNRGFRGCMELVQKQSLKAKLLEARMESQKIQLRHVLNQKT >ONIVA08G05190.1 pep chromosome:AWHD00000000:8:4399741:4400191:1 gene:ONIVA08G05190 transcript:ONIVA08G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSTHDSGDRGSINLYRASRGRLAVLGLGRGRGGLVVVILAAHRCRCGGVAARQIIRHGCSPPPPDLASLWPDLVSSWPDPPPPVVSHRSEKGGGSEEAIRCSQIWRRDPPPPPLAEKGGGDR >ONIVA08G05180.1 pep chromosome:AWHD00000000:8:4394595:4399003:-1 gene:ONIVA08G05180 transcript:ONIVA08G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVVKFAVDKLESMAAQELKLQTEVGKKVLDLRHELEWLRTFLRDADRKRRGSSSSSGTGAAAAAAYDELIEVWVRQTRELAHDAEDLLEEFVHRGELHCHGCFDVPSFLRWLRHSAAGVFARHAIFDGIEDINKRIEQMKQQRKECNLEKLPCASKPHRKKYTDWSSLTELEIEDNLVKIGDYDEIERLVLDQSRQRTVINLTGKSGIGKTTLASYLYRRNSIRKHFACTAWVHVPRKFRFADLLHDVIRQANASSGDDELGGEAADDDVRRRGGMEAERLVKARLAAALQGKRYLVVLDDVRSREEWAFFLAALPGGLAGSCVLVTTQVKISEESEQPVAGGGGVVRTRELGKLRPEQAAELFRRRVYGHREPEPKKMEQLKSLVDSMTKGSNLPLNIVMLAGLLRSKKEDEWEAVIHSLDDTPPPTSPEQTPPEAEPKKDSLDDSETAPTSTEQTKKTKKRKQMATSMDKILTVCMDDLPTHLKPCFLYFAGFTAQTPICAGKLVRLWVAEGFMQAKNGQTVEEHGEECLKELISRCLVQLVETDAGGGRVAAVSIHQAVLDFVQAEARDTNFLHVHSGAAGLSNGAARRLALRNTYDTDLAVMLEAPKLHTLLCDIPERAAADAAGAAAWQRALELINGRAPTFSVHGSRFLRVMDLKGVRLPHRESLPEEIGWLIHLRYLGLSHTTMRHLPSSVKRLRNLQTLDVSRTDVEALPWRLWRNPSLRHVMARRLAAWSAPDERAVLPDLQTLHGVPWGRWARSGGGGGAIGKMTSLRSLMAWNVSAAAAAAGGGERLSSALAGLECLRSLDLEAADDAVTTLPLWDLLNMLGLRQLEYLTLRGRVAPWNPPPPETTTTTPLQGHHHHHHHYLLPNLAKLELHRSECDQPLIDAIAKLPNLAELVLDEASYVKPYMRFPAAGFPKLRKLQLTSLDKLTECTAAAAAAGDVVGDGGGALPQLRHVSVFHCGKLNTFPVKMAPKLELLTIHDSEELKNFMDNQDNEHIHVVHGKMSKRRVMTAPK >ONIVA08G05170.1 pep chromosome:AWHD00000000:8:4384788:4389072:-1 gene:ONIVA08G05170 transcript:ONIVA08G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPQAGAAGVGGAPPQWGAIPPPVPQQQYGPPPHQHQAPPPPQMWGQAPPPPQPAYGQPPPAQAGYYGAPPQAAPAVPAGPNEVRTLWIGDLQYWMDENYISACFAPTGELQSVKLIRDKQTGQLQGYGFIEFTSRAGAERVLQTYNGAMMPNVEQTYRLNWASAGEKRDDTPDYTIFVGDLAADVTDYILQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPSEQARAMTEMNGMVCSSRPMRIGPAANKKATGVQEKVPSAQGVQSDSDPSNTTIFVGGLDPSVTDDMLKQVFTPYGDVVHVKIPVGKRCGFVQFANRASADEALVLLQGTLIGGQNVRLSWGRSPSNRQAQPQQDSNQWGGANAGYYGYGQGYEGYGYAQPQDPNMYGYGAYAGYPNYQQPLAQQPQQQQVRAVLFN >ONIVA08G05160.1 pep chromosome:AWHD00000000:8:4383218:4383638:-1 gene:ONIVA08G05160 transcript:ONIVA08G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYNSHNVVQTRCIVELLVFRRRGKEGGGLALQAILFLRVSRMDALRNMLL >ONIVA08G05150.1 pep chromosome:AWHD00000000:8:4374645:4375752:1 gene:ONIVA08G05150 transcript:ONIVA08G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQVQTATCSICSYISTSPPWTKASQIIHTNLKYKKTQPSSYKLLAKRGIRMASSSFLLLAILLALASWQGMASDPSPLQDFCVADMHSPVLVNGFACLNLMDTTSSRQQCWKTNKVGSNVTLINVMQIPGLNTLGISIAHIDYAPLGQNPPHTHPRATEIITVLEGTLYVSFVTSNPDNKFFTKVLNKGNVFVFSVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >ONIVA08G05150.2 pep chromosome:AWHD00000000:8:4369747:4375752:1 gene:ONIVA08G05150 transcript:ONIVA08G05150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSICLLAALLALVAWQAIASDPSPLQDFCVADMHSPVLVNGFACLDPKYVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGNVFVFSVGLIHFQFNPNPYKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >ONIVA08G05150.3 pep chromosome:AWHD00000000:8:4369747:4371016:1 gene:ONIVA08G05150 transcript:ONIVA08G05150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSICLLAALLALVAWQAIASDPSPLQDFCVADMHSPVLVNGFACLDPKYVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPQGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDIVLAKAFQVEKGTIDWLQAQFWENNHY >ONIVA08G05140.1 pep chromosome:AWHD00000000:8:4347059:4357463:1 gene:ONIVA08G05140 transcript:ONIVA08G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVLPINSQVLVNGFACLDPKYVTADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLHVGFVTSNPNNTLFSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGVITIANAVFGSKPPISDEVLAKAFQVGKGTIDWLQAHSLSLSLSLSLVPSDQCILLNSDTLPGMAFIRPRQPSRTSGKLSLERSWSTWHCSKLQTISVY >ONIVA08G05140.2 pep chromosome:AWHD00000000:8:4357371:4358878:1 gene:ONIVA08G05140 transcript:ONIVA08G05140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSICLLAALLALVSWQAIASDPSPLQDFCVADMHSPVLVNGFACLDPKYVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDIVLAKAFQVEKGTIDWLQAQFWENNHY >ONIVA08G05140.3 pep chromosome:AWHD00000000:8:4346005:4347171:1 gene:ONIVA08G05140 transcript:ONIVA08G05140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFCLLAALLALVSWQAIASDPSPLQDFCVADKHSPVLVNGFACLDPKYVTADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDKVLAKAFQVEKGTIDWLQAQFWENNHY >ONIVA08G05130.1 pep chromosome:AWHD00000000:8:4319878:4339736:1 gene:ONIVA08G05130 transcript:ONIVA08G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCLVDVMLINTMASSSSLYLLAALLALASWQAIAFDPSPLQDFCVADMKSPVRVNGFPCKNPMEVNSDDFFNAAKFDMPRSTMNKVGSNVTNLNVLNFPGLNTLGISLARIDYAPLGVNPPHIHPRATELLTVLEGTLYVGFVTSNPNRLFSKVVHKGDTFVFPKAMIHFQMNLDHNKPAVAQSSLNSQNPGVITIASAVFGSKPPISDDVLTKAFQVEKKLEKQQIIQQEEEQKLIQSRMASPSSLCLLAALLALISWQAMASDPSPLQDFCVADMHSPVRVNGFACLNPMEVNADHFFKAAKLDTSRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPLHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPQGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDEVLAKAFQVEKEIQSQMASPSSLCLLAALLALVSWQTIASDPSPLQDFCVADEHSPVLVNGFACLDPKHVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLKKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSGQNPGAITIANAVFGSKPPISDEVLAKAFQVEKGTIDWLQAHKLQTISVY >ONIVA08G05130.2 pep chromosome:AWHD00000000:8:4339704:4341027:1 gene:ONIVA08G05130 transcript:ONIVA08G05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSFCLLAVLLALVSWQAIASDPSPLQDFCVADKHSPVLVNGFACLDPKYVNADHFFKAAMLNTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVALAALSSQNPGAITIANAVFGSKPPISDDILAKAFQVEKGTIDWLQAQFWENNHY >ONIVA08G05130.3 pep chromosome:AWHD00000000:8:4318919:4320066:1 gene:ONIVA08G05130 transcript:ONIVA08G05130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFLLASLLVLASWQQAIAFDPSPLQDFCVADMASPVRVNGFPCKNPMNVTSDDFFNAAKFDMPRNTMNKVGSNVTNLNVINFPGLNTLGISLARIDYAPMGVNPPHVHPRATELLTVLEGTLYVGFVTSNPNRLFSKVVHKGDVFVFPKAMIHFQMNLDHNKPAVAQSALSSQNPGVITIASAIFGSTPPISDDVLVKAFQVEKKVIDWLKSQFSENNHY >ONIVA08G05120.1 pep chromosome:AWHD00000000:8:4295008:4305047:1 gene:ONIVA08G05120 transcript:ONIVA08G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVSFLLLAALIGMASWQAITAEPSPLQDFCVADLNSAVRVNGFACKNPTNVSADDFFKVAMLDKPRDTAVNKVGSNITLINVMEIPGLNTLGISIVRVDYAPLGLNPPHTHPRATEIFTVLEGTLYVGFVTSNPDNKLFSKVLNKGDVFVFPKGLIHFQFNLDPHKPAVATSAISSQNPGIITIANAVFRSNPPISDDILAKAFQVDKKIIDLLQAYHVAWSGYSLRSGRILVYSNLPKPTLRLPGGRGEGIGGAVPGRHRRGNRRCRRGRRRQIHRQIHRGGSIVAAARSDPELAAKRRKPHAAIEERRRPRPAAAERRRPCVAGGRPGKKERKRGADMRRTADAVGTKPPLENH >ONIVA08G05120.2 pep chromosome:AWHD00000000:8:4305022:4306453:1 gene:ONIVA08G05120 transcript:ONIVA08G05120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFSFLLVAALLGLASWKAIASDPSPLQDFCVADLNSPVRVNGFVCKNPMNASADDFFKAAMLDKPRDTNNKVGSNVTLVNVLQLPGLNTLGISIARLDFAPLGLNPPHTHPRATEIFTVLEGTLYVGFVTSNPDNRLLSKVLNKGDVFVFPEGLIHFQFNPNPHKPAVAIAALSSQNPGVITIANAVFGSNPPISDDILMKAFQVDKKIIDLLQAQF >ONIVA08G05110.1 pep chromosome:AWHD00000000:8:4284305:4286718:1 gene:ONIVA08G05110 transcript:ONIVA08G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGRQAREIPRSTEMASSSSMKQEKDNTIIVLALAAPGSNFRPPQTRKRNRTSSSNGAKSKVASTTGYSGPANPEDMNDVFEFALNNSILDL >ONIVA08G05100.1 pep chromosome:AWHD00000000:8:4279799:4281402:-1 gene:ONIVA08G05100 transcript:ONIVA08G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHYKYMRKNNVLPTVDTSPHEDAIGDKVVERAAAAVGKGELGRIKPSFKALARRLHHRAPSFSNPDDDPPFTRLTECPPRPASKPAHATKKKNQGGSIKQPELVHSDLPFNFRYSYSKTDPAWRPIGFQRDLIDRNLLATPAYLAWQNNTDTLHTIGMTVLSCYASESGVVK >ONIVA08G05090.1 pep chromosome:AWHD00000000:8:4274870:4277284:1 gene:ONIVA08G05090 transcript:ONIVA08G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEKDARIIVLALAAPVTKFKPPQTRKRNKAYSSNHAKSKRASTDGPSGIIKLKESTTFAHGKDQIMEEATDFVHQIGTVNNIQQNQEEPAGLNQQQPAYLGLAHGGPQIPLEAAGITMLQESTTANGIAQIMEEETDTDDNVQQNKEEPAGLNQQQPDLGLADVPQIPEEAAPGPADPNEDVNDAFEFALNNNVLDL >ONIVA08G05080.1 pep chromosome:AWHD00000000:8:4223329:4225870:-1 gene:ONIVA08G05080 transcript:ONIVA08G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSCERCRRRDEQDYRNLDDSQKHFLLTMMGDFQHEMIIPKEFVQRLKGDIPGEIQLETRNRNSHTVRVDKTQEKVIFTEGWAQFVKTFDLQMGDSMMFRFNGNSQFDVIIVDQIGREKACSAVVDDSQNPNVQERRVDATETLNSSRAHSQPMPMQSTTETVNHSHARPCPMHTAVDCMPLSHAHPQPMPMQFPTETVNHCHAPTGPMEMPLENVALSHAHARPLQMQSQPTDRLTQVQRGYSLSSKDQDCRVGVIPDPIIGQKTILSRVQVNVVKRKIQNIGSQIPIFVSVIGKNNASGRISSLSIANRYVDNYLQDEKTIRLSRLGDKWNIRLSDSSGNRRMVGGCRKFAEDNDVGVGDICLFELLKNHKCTMKVHIIRAKDIC >ONIVA08G05080.2 pep chromosome:AWHD00000000:8:4223329:4225870:-1 gene:ONIVA08G05080 transcript:ONIVA08G05080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSCERCRRRDEQDYRNLDDSQKHFLLTMMGDFQHEMIIPKEFVQRLKGDIPGEIQLETRNRNSHTVRVDKTQEKVIFTEGWAQFVKTFDLQMGDSMMFRFNGNSQFDVIIVDQIGREKACSAVVDDSQNPNVQERRVDATETLNSSRAHSQPMPMQSTTETVNHSHARPCPMHTAVDCMPLSHAHPQPMPMQFPTETVNHCHAPTGPMEMPLENVALSHAHARPLQMQSQPTDRLTQVQRGNSSKGNMTTMSSSSMSSGYSLSSKDQDCRVGVIPDPIIGQKTILSRVQVNVVKRKIQNIGSQIPIFVSVIGKNNASGRISSLSIANRYVDNYLQDEKTIRLSRLGDKWNIRLSDSSGNRRMVGGCRKFAEDNDVGVGDICLFELLKNHKCTMKVHIIRAKDIC >ONIVA08G05080.3 pep chromosome:AWHD00000000:8:4225831:4226306:-1 gene:ONIVA08G05080 transcript:ONIVA08G05080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGERRKDSRLLLPRRWGFGPWADAAERERPPARRPRAAEAGGTAGGGDHEAVGLLKNDGHIVRKMQTAR >ONIVA08G05070.1 pep chromosome:AWHD00000000:8:4221020:4221748:1 gene:ONIVA08G05070 transcript:ONIVA08G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGGSGPSKPPPPPRYVMLTEEYGSSSLATVPPGASRNMPRHRITDGGGLRGCLCWCCCFLLLLVVAVAATSAYLLYACRPKAPSYSVSDMSVARFDVSSSDLTVYAKLVASVRAENPNDMVGIGYGAGSRAAVSYRGTTLCSGRLPAFYQGHRNTTVVRVAMEGRHGFGPGLQGALEESEEAGNVPLDVYVSAPVTLRLGDVDLREVTVNVHCALVVDGLSPKKKPAIKSAEYRVNVEF >ONIVA08G05060.1 pep chromosome:AWHD00000000:8:4173653:4176300:-1 gene:ONIVA08G05060 transcript:ONIVA08G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G54890) TAIR;Acc:AT5G54890] MLLPRDLLLLPWRRATAAGEAIARRLNHHRAPPFSDPDDDPPFTRLAERPPRAPSKKKKKEEEDQGGRIRPPEPASSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGVAAAAARGEGAGAAATSREEVLGEPLAEEEVAQLVERYRHSDCSRQINLGKGGVTHNMIDDIHNHWKRAEAVRIKCLGVPTLDMDNICFHLECTIVQDKTGGKVIYCNINILILYRGRNYDPKQRPQIPLMLWKPLAPIYPRLVQNVADGLTFEKTKELRNTGLNSSPLMKLTRNGVYVNVVDRVREAFKTVEVVRLDCSHVGSSDCKKIGVKLRDLVPCVPLLFKDEQIILWRGKLSGIWSCLKELPVLHEMKTLLYLSAEH >ONIVA08G05050.1 pep chromosome:AWHD00000000:8:4171613:4172488:1 gene:ONIVA08G05050 transcript:ONIVA08G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMTPRCHLPPPCRAASTTPATSTALSTPPPSRAGPDELRSTWAHRAWTLAGSAAVLSSLSTSATLAAADADANGAAAAFAAPLAAALAAYSLADLATGVYHWLVDNYGDADTPVLGPQIAAFQGHHRHPSTITRREPCNNLHALARAVALALPPAGAALAAAGAPASAHAFAAVFAACVVLSQQFHAWAHGNPRRLPPGVGAMQRAGVLVSRAQHGAHHRAPYDNNYCIVSGMWNATLDRHRVFEAMEMVVFLRTGVRPRSWDEPDAAWTEDYDDTAAVAGGDTSLDTQ >ONIVA08G05040.1 pep chromosome:AWHD00000000:8:4165466:4169861:1 gene:ONIVA08G05040 transcript:ONIVA08G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVKLSPGPVAFAGTNLRSRSASVSSVSSLKPSKFVVSSFRPLYLAPLDGPRAAGQKAQRQPLEFRCAASAADDKESKTEVVPVRSEAAQKLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLVSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYSQTAEYFSSFFCYRRQSSEFKKMVMFRAMDEEGIQMKNVSAKPVASTEANFACATYLVDIGGLGFDMRS >ONIVA08G05030.1 pep chromosome:AWHD00000000:8:4144954:4154022:-1 gene:ONIVA08G05030 transcript:ONIVA08G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAGAGGVGHVVERFRARLREEEEEEEGGGGEVSAAAVVRVYVEVLSELTFNCKPIITELTIIAGQHAALAARGIADAICARIAEVSADQKLPSLYLLDSIVKNIGREYVGHFAARLQKVFCDAYRKVHRNQHAAMRHLFGTWSQVFPSSVLRGIEDELQFSPLENKRSATATDIRQSESISPRLSHAIHVNPKYLEAQQQFKQSTSVHQPITRGNRQMNDVEEDQINGLTSKSSRGWPATNSKLQKSTMLYADDLDQQEAFRSHTGLIRPSSPHLLSKHPSILNTEGPLANSRRTMSRSPPLDVLPRNASPKRALERPPLSHSVLGPDPRRLPDRNGWFERKWAFEDGAQRPSMSILDEEYRKQSARELIDAYGNSQGKDVDERLPKMQRLDSNGMAGRPSAQKWLTSEEEEYTWEDMSPTLTDRNRTSVPSLPPLGTLRAGFLGPNSGLLESDIVRHSWPGQAPRPAIDGPPLNLEDRIPTNGPVDRTNNRRYPGNFGVQNGAFLDYQSSEHTLDPGRTTMPVPPWQQTIGQPLRVQAPQPASILNRMPLPTDSEVPVKRLATGGTYDALNVDIPLLEKQRSSPPHAPMEWPLNTQSLTIQPIPPDTKHPRGASDGLDSRPFISQGSSSSVFVPQHHALDRRTMNADDLAQPSYQHPDLLSLSQNQGTVLGNQGQPHHPPQFHPHPHSHLQETIRSFAPSMSVAPPQNIFHGQGGSAAALLPSSFPVPPAVPPYGLQSMPGFPLPSLPSGPPPPSQIGPSSSQVGGPPLVSGLLSNLMQHGIISLQPPSQPQDSVGVDFNVDLKVRNESVINALYQDLPRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQTSRKYFVTVGEWLRAAETVGNDGVPSFEPAEPVADAKEEKELAVPADEDQTTCALCQEPFEDFYSDETEEWMYKGAVYMNAPDGNIGGLERSQLGPIVLLPHLFRGWGCRQDMTISVGYLAGNFQNRKDMQGDQGWKQNHQLNFQKMKEMLSS >ONIVA08G05020.1 pep chromosome:AWHD00000000:8:4135276:4142954:1 gene:ONIVA08G05020 transcript:ONIVA08G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPPVIGRNGVAYESPSAQLPLTQADMLDSHHLQQALQQQYFDQIPVTTTAAADSGDNMLHGRADAGGLVDEFESKSCSENVDGAGDGLSGDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQNQHERHENAQLRAENDKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLRDEIDRISGIAAKHVGKPPIVSFPVLSSPLAVAAARSPLDLAGAYGVVTPGLDMFGGGGDLLRGVHPLDADKPMIVELAVAAMDELVQMAQLDEPLWSSSSEPAAALLDEEEYARMFPRGLGPKQYGLKSEASRHGAVVIMTHSNLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNYNGALQVMSMEFQVPSPLVPTRESYFVRYCKNNSDGTWAVVDVSLDSLRPSPVQKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSSVHNIYKPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPAAVFDFLRDETSRSESANSNQSNMLILQESCTDASGSYVVYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGPSGNAQAAVGENGSGSGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCRDSNPQ >ONIVA08G05010.1 pep chromosome:AWHD00000000:8:4123475:4126033:1 gene:ONIVA08G05010 transcript:ONIVA08G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGHREGGARPCQHIEEEEALGRATQEGVKALVCNAWGGGSSRAHLHLEEEALQDVARSRSSISSAHGGGKRSRKRGCCGKPKVYLAGSWKRHLGRRSLTSPVGRCHISRKTTTYGRLAGIHGDIYASPRDHICASLRNWALPIADPLFKTRFYSSFVCQPCLQFAVCRGCICFGFAMAMHAQMDMQVRDWSWSFSFQVALMSLMTQGRFTSVHPFLFMRLTFCCWP >ONIVA08G04990.1 pep chromosome:AWHD00000000:8:4119769:4120123:-1 gene:ONIVA08G04990 transcript:ONIVA08G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSATSTALRFANCGSQASTRPPPPPSKSPSYINPSVPDIFIELCIVQHPDYPQHCLLLAGKGPPCLVVIATEFPLSIALDGTADSSTNLIRALVATDPMSSLCLVPRCFY >ONIVA08G04980.1 pep chromosome:AWHD00000000:8:4110157:4111599:-1 gene:ONIVA08G04980 transcript:ONIVA08G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCQLINLYMELWDDDMPAGMLRRVIRRLGMASAAVAVAALEGAQGRRRRRAGSSGAGGAVLLPALASFVLLLHGVAMVLLSVCGVRAAARGPGDGARRRRCRLARAVARASLTPFLLLRQLVGGGDDARARQLVGTTTTTRWLNGRDDYDTKRCPEVVAAIGIADRCAGGQHM >ONIVA08G04970.1 pep chromosome:AWHD00000000:8:4106934:4108415:-1 gene:ONIVA08G04970 transcript:ONIVA08G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARSRSSGLPLAAAAAACLLTLQLLLLLAPPVSPSPAGRLPVGGLVKGGRALAGKVISKFLKDQARDKLPDLIPKDRRGNGGEGSLKWDDRSATDAGLFVFNLSVGTRLAQTINGVLDISSQLTWTQCAPCTACLPPPAPTFHPDGSPSFARLPCASQICQDVLNGTTCGDVGDATTSDDCLGYEVTFSDGLTNTSGYLATDTFTFGDGETETGTATAVPGMVFGCSVDTYGDFSGSSGVIGLGRGPLSLVSQLGFSRFSYQLGHGDSDGGGTSETTTIRFGDAAKPQMKRPRTTPLLTSSLNTQVYFVNLTGIRVDGNDLTDIPAGTFDLHADGSGGVFLSTTMPVTYLEQAAYDAVKRAIQAKLQLPPVDGSAAVGLDLCYTAESMAGVKAVPKLTLVFDGDDATMDLGRANYFFRDDDTGLECVTMRPSSGGSLLGSLLQAGTNMIYDVNSLQLTFETAAAAAAASRVVSPMMMTKIVAVVVCAALLF >ONIVA08G04960.1 pep chromosome:AWHD00000000:8:4103360:4105392:1 gene:ONIVA08G04960 transcript:ONIVA08G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVIYVKSLTGKTFAFNMKSSNATIQDVKAMIDAHEGIPPHLQRLVFAGKQHQDNRTLRDCGIQKESTMHLVPRLRGGGGDCVDNVGTMAVTDDNEGTAPPPQRRPRSRDPTGTGIGHVMLNVHGLSSIENDSSIRHQQQSGDETTEDEMIVPFHMEPAVTVSKQLGTDHQQGTQLQAMVLAMAADVVFFSVSLELVVGPDDVFVWRSISPLLLVVFLKKAVLPPIYWFLVQLHQAMRELLGAAAEAIDNALPARDSHLLRESINKWRLVAELVKAALVVLIYVFAPPWLKLNLRFRPEHGMIAFLGHGIAVEADDSIKSNI >ONIVA08G04950.1 pep chromosome:AWHD00000000:8:4088452:4089021:1 gene:ONIVA08G04950 transcript:ONIVA08G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDGLDVIEQDLDRATCLISDGDIASVLPSNAHGAFLKMFLGPVNLWVPRKEVQLKTEYNSYRVCYNRAMNNNLSIDLYFEIKLWGSLHFL >ONIVA08G04940.1 pep chromosome:AWHD00000000:8:4068978:4071761:1 gene:ONIVA08G04940 transcript:ONIVA08G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSADAVTAASFALMLCLLLGGRGCGFLAGFLRRYLTVPIFVAVQTSGVAMLTVSTAAWMLRPAATCASGPAQLVVVFLALFLTAVGTGGLKSSVSGFGSDSGDDLFDVTGRGEESSQMTAFFNWFLFFISLGSLLGIIVLVYVQDIVNRPGGRAAAIAAALVVILADTHSMNRFNKMARTPLTHLATAAAAAARNRRVGLPAGLSIMICQIEISMVAFFEEGPTNKGVTNQLQPHIERRVMATGFIVTLFAVSIGIGFGGYGLVLTLLGILTGVASITVGVKMSDDPTAFCTTTFHGVSLLAVRLRRNLAVVGLVVASTAITAITGESSPGICFDLFAALLVGIALIIAGVLGA >ONIVA08G04930.1 pep chromosome:AWHD00000000:8:4047148:4047657:1 gene:ONIVA08G04930 transcript:ONIVA08G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGGGKVKKAASERKASGPRKKAVTRFVKAGLQFPVGRIGRYLKKSQPVDLLSAAPSPSAAPTLFPTVSVTLLTLFPTDATDPLPYHVGDAAAAAASALLSASVMMATVTDCTRGTGRPASSHRPEPARRHPGATVAGRTTLWPRAPLPVREQRARGRREIEKERGC >ONIVA08G04920.1 pep chromosome:AWHD00000000:8:4045713:4046120:1 gene:ONIVA08G04920 transcript:ONIVA08G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQLGFLVTLLSVSATVLQVGVGGAHAQVSYVPLVGLVGVLAGASLIFAGIKAKTTTAAGNPSAFSLPAAGAIASCYLRHRRDLSVVGLLTAASAVTAHVGGVGGGGSAVAFVLYVMLLLGVAMVLLGIHGGLH >ONIVA08G04910.1 pep chromosome:AWHD00000000:8:4032408:4033097:1 gene:ONIVA08G04910 transcript:ONIVA08G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVNAVAASRRNLLLVVVLIVHVVKAAAVSAAAASGRNLLLVVFLVRAGGPRPDPAAAAVPCPDPAVPAVPRPDPAVPRASVIFTFFFIFGVLVLCGRYNLTARDNQLSLRSARPYAKIPIFPNTRL >ONIVA08G04900.1 pep chromosome:AWHD00000000:8:4018123:4021608:-1 gene:ONIVA08G04900 transcript:ONIVA08G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPATSSTVCVTGAGGFLASWLVKLLLSKDHYVINGTVRDLGEGKNAHLKALENAGERLRLFKADVLDYGSVAAAIAGCDGVFHVAIPVTSGRPTNPEVDIIATAVTGTLNVLRASHEAKVKRVVVVSSVVAVFNNPNWPTGEPFNEDSWSDEETCRKNEEWYPYYLSKTLAEREAFEYAAKTGMDIVTICPALIMGPLAYRGSERYICSSTPRKLSDIINTSKSLYPAFNYPQKFVEVDEEQNTRFSSEKLEKLGWTFRPMEETLRDSFESYIDIWLDVDVDFVMRMRMWYQRYPMDVDYSIF >ONIVA08G04900.2 pep chromosome:AWHD00000000:8:4019318:4021608:-1 gene:ONIVA08G04900 transcript:ONIVA08G04900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPATSSTVCVTGAGGFLASWLVKLLLSKDHYVINGTVRDLGEGKNAHLKALENAGERLRLFKADVLDYGSVAAAIAGCDGVFHVAIPVTSGRPTNPEVDIIATAVTGTLNVLRASHEAKVKRVVVVSSVVAVFNNPNWPTGEPFNEDSWSDEETCRKNEEWYPYYLSKTLAEREAFEYAAKTGMDIVTICPALIMGPLAYRGSERYICSSTPRKLSDIINTSKSLYPAFNYPQKFVEVDEEQNTRFSSEKLEKLGWTFRPMEETLRDSFESYIGLGILT >ONIVA08G04900.3 pep chromosome:AWHD00000000:8:4019318:4021608:-1 gene:ONIVA08G04900 transcript:ONIVA08G04900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPATSSTVCVTGAGGFLASWLVKLLLSKDHYVINGTVRDLGEGKNAHLKALENAGERLRLFKADVLDYGSVAAAIAGCDGVFHVAIPVTSGRPTNPEVDIIATAVTGTLNVLRASHEAKVKRVVVVSSVVAVFNNPNWPTGEPFNEDSWSDEETCRKNEEWYPYYLSKTLAEREAFEYAAKTGMDIVTICPALIMGPLAYIYENSGGSERYICSSTPRKLSDIINTSKSLYPAFNYPQKFVEVDEEQNTRFSSEKLEKLGWTFRPMEETLRDSFESYIGLGILT >ONIVA08G04890.1 pep chromosome:AWHD00000000:8:4017080:4017388:-1 gene:ONIVA08G04890 transcript:ONIVA08G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESGKFVVVPLPAHPQDSASEPEPFADHRAPFADRDARVRLTVAVADTNGADVLAREHGVPSRDAIRVQDRSPPGWAYMSESNLLARETGEPLLLLKCTV >ONIVA08G04880.1 pep chromosome:AWHD00000000:8:3994161:3995234:-1 gene:ONIVA08G04880 transcript:ONIVA08G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKSGEFAVVPVAAHPQDSHCASEPEPVAEGLILGKDRADAGAGVLARDHRAPCADRDAARPRLTVADTDGADVFARERGVSSREALLGKNGPAGLALSDLFFKRETGAPLVDMARAGGLTVGGTNADDVFARERGVSESDLLPRETSEPLLLLLDKHRDAGTGVFARERGMSFGDALLGKNHPAGLREIDAPLLDKKFFSGDYSFARKTAAPPFPVLVAADEHGVRTDKALRPAPSAPHSEQVAIDVLVVGAKEVPAAADAAAGADSGGGHGSNLPTVVGIFAASTAVTMVAAGAVSPPVAFGAFLLLLGGLFVSVSGVLEN >ONIVA08G04870.1 pep chromosome:AWHD00000000:8:3988910:3989841:-1 gene:ONIVA08G04870 transcript:ONIVA08G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSKMADAVLADGRATGYARELAAPSADWFLRMSRAAGGLGRADFTGNAGAHCSSVGDYAGDERAVLADKDRVGELVRGEARNTGAGGFARGHVALLGDQDRAGELPLGGVADFLACDTAEHLAGLGALYSSYGGGVARAHGHGAPLLDKDRAGELPLGGVADFVERDMAAPHTIAGGVPFDKAQSVVTAAGAAPSFPNAAQVTIDVDIVDEAIEAKTAVSSRGGNLASAVVGIVTASSAVTMVAAGDVSPAVAFGLFVLMIAGLSLAVAGVRRV >ONIVA08G04860.1 pep chromosome:AWHD00000000:8:3985567:3986779:-1 gene:ONIVA08G04860 transcript:ONIVA08G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSDQLGVCLPRRIGRWRRSPGEFAVVPVAAHPQPQVSHCASEPEPVAEGLILGKDHADAVAGVLARDHRAPFADRDARPRVTVAGTNGADVFARERGVSSREAVLIKNHVAGMGVAVGEALRGKNLTAGLREVDPVAREIGAPLLDKKFFAEANYFARRTAAPPFAGLVAAAAHAEQVAIDVLAGCAKKVPAAADAAAGADSGGNLATVVGIVAASSAVTMVAAGAVSPPAALGAFVLLLGGLFLAVSGVLED >ONIVA08G04850.1 pep chromosome:AWHD00000000:8:3971300:3972598:-1 gene:ONIVA08G04850 transcript:ONIVA08G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSAYISMRRIATRQIREASVHRSTKAESRMAEAKVAAALQGDGAAACLLAGVERGSHGTVLGEAELGLPLGEAVLGADHAAAPFDGVGAPGSSGAGGPVRDHGAGLLVDNDRAGMRANGFADFLIGLGAPCTNTGVLVSDMGAPYTGVPYTNTGILARDMAAPNVGLGAPCTNTGVLLPDMGVPYTNTGVLARDMAAPNTGMGAPCTNTGVLVPNMSAPYTNTGVLARNMAAPDAGLGVPNSIAGVFARDMAARYSSGGVLACEHGVPFEKKAQGMAANGAPPVPQVSLDVVMDAKTGASMAAKAAAGAGARRGRGGNLASAVVGTTAASSAVTMVAAGDVSPPMAFGLFVLLLAGLALAVSAVRRV >ONIVA08G04840.1 pep chromosome:AWHD00000000:8:3948024:3951529:1 gene:ONIVA08G04840 transcript:ONIVA08G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQEDSFDLPLFVEEEDEAGAAAKQRRREQSQKPRRPRAPSFLDTPEVKERRARSSALAAKYWEHDPKTGISYYTRACFRDLTTFDLDKETQYGPVRFTDSIINKDHMLTSSLNVLSLKVKSSDVGYPINLYGTVIVRDGLDFNCIFIFRRNRDNCQVIQSENENIILTGPTRGIVFHGEIFFEINLKIKKNVECNDKEFSKGLLEMKVYTRKSMIVSETLESRLSEVELVSASVKEALEGTVEINILSGPEVFHGKITACTTDVPNDIILYDSDVDDATTVGDDRVMQLLRRVVVVSVNEMLILNIDAHNIHPNDNLSSRTLRFTPFTRGLDEEVISCGLYKMRVKVVWSTLMMD >ONIVA08G04840.2 pep chromosome:AWHD00000000:8:3947871:3951529:1 gene:ONIVA08G04840 transcript:ONIVA08G04840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQEDSFDLPLFVEEEDEAGAAAKQRRREQSQKPRRPRAPSFLDTPEVKERRARSSALAAKYWEHDPKTGISYYTRACFRDLTTFDLDKETQYGPVRFTDSIINKDHMLTSSLNVLSLKVKSSDVGYPINLYGTVIVRDGLDFNCIFIFRRNRDNCQVIQSENENIILTGPTRGIVFHGEIFFEINLKIKKNVECNDKEFSKGLLEMKVYTRKSMIVSETLESRLSEVELVSASVKEALEGTVEINILSGPEVFHGKITACTTDVPNDIILYDSDVDDATTVGDDRVMQLLRRVVVVSVNEMLILNIDAHNIHPNDNLSSRTLRFTPFTRGLDEEVISCGLYKMRVKVVWSTLMMD >ONIVA08G04830.1 pep chromosome:AWHD00000000:8:3945022:3945913:1 gene:ONIVA08G04830 transcript:ONIVA08G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERERELELYVEKGGNGRLFIGVKGSSEEKDEWVTAPMEWRRRRRRRLQRAERRERRRRAGRRHSGDWRAAMSAATRVPEQHASEEGGGRQRELGKRPERRA >ONIVA08G04830.2 pep chromosome:AWHD00000000:8:3944609:3945012:1 gene:ONIVA08G04830 transcript:ONIVA08G04830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPVREILFFSFSLLLPPVFFFLLFFFPCNSREKERRGAGAPMVERPRRRRPSVLPDNAHPGKWSPSEGERRPERQVEELLFGCKGGGYGGLMEWIGKRGKGKNGIISSRRTRWREGLACEALVREIERWH >ONIVA08G04820.1 pep chromosome:AWHD00000000:8:3940058:3941844:1 gene:ONIVA08G04820 transcript:ONIVA08G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYKQLTTSNGIRLLDTKIKKFMDKILTMQEHRTKVANRRAQRDETTWFARCASLLSLALAMVVAPARSRLKPGDNNEEDLVLTVAPLRSVDASLSPPQKPAVADTGTKPTVGVTPDALPHNYFSQLPSPTTRASLLPPLLGVTASSSPATLARLLASHKTVRLTVPPRRKKSRAPASLSLPSLSHALPLFSHAQEEAGSGEGRERTAARRKRAREKGGSGRRPRWPAPALLLLSSLRQPRLPARAVACPAAGDVPAGAAGAAATMMKSAAGGVSGGGGGSFWAASSWRRAAAGSWLGCA >ONIVA08G04810.1 pep chromosome:AWHD00000000:8:3913421:3945854:-1 gene:ONIVA08G04810 transcript:ONIVA08G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAREHAWPPTSPPSSHRMPPLDLPHQSFASQTLAPSCSPRRDDSIFPLSPLSYPLHQATITTSFAAEEKLFYLPFRPPLLTPSLGDHFPGCALSGNTLGRRRRGRSTIGAPAPLLSFSLLLHGKKKRRKKKTGGRRREKEKKRISLTEHHGRRLARDN >ONIVA08G04800.1 pep chromosome:AWHD00000000:8:3912233:3913009:1 gene:ONIVA08G04800 transcript:ONIVA08G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKFHFPIGDPEGRGTKNTYASLVSSIVTRITTRAMATVEGKPVCGPSGYFEIILFPKPGTQFAAKHANGRVRLLFNYQNLYLVAFKVQGKWHKFKDLTPKIAPDYASIKRKKHCEAKNLPFQSNYGVRGMAANLAQLKMLFKTCAVFPEVFRFPLLKKRVVYLMGKTADEESTVGEQHTDLFQNWGDCCMALRMGRQAFTPMADLDIYTFDQLLSTIGAVLPV >ONIVA08G04790.1 pep chromosome:AWHD00000000:8:3894581:3895320:-1 gene:ONIVA08G04790 transcript:ONIVA08G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANGFADFLIGLGAPCTNTGVLLPDMGVPYTNTGVLARDMAAPNTGMGAPCTNTGVLVPNMSAPYTNTGVLARNMAAPDAGLGVPNSIAGVFARDMAARYSSGGVLACEHGVPFEKKAQGMAANGAPPVPQVSLDVVMDAKTGASMAAKAAAGAGARRGRGGNLASAVVGTTAASSAVTMVAAGDVSPPMAFGLFVLLLAGLALAVSAVRRV >ONIVA08G04780.1 pep chromosome:AWHD00000000:8:3890658:3890987:-1 gene:ONIVA08G04780 transcript:ONIVA08G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLGGKIIATAGCAIAFFAAGAGGHGLVLSLLGVLAGVIIAISSAALHGIPLLVAVALRRRNLAVLGLVMASSAIAAAAGEASPALSFILFATLLVGVSLIAAGVLGS >ONIVA08G04770.1 pep chromosome:AWHD00000000:8:3873975:3874154:1 gene:ONIVA08G04770 transcript:ONIVA08G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRMDFVPMPIVVSTLIENHPKRIQPKEFAPGVDLNEWLVFPAVWKEGIEREERWEI >ONIVA08G04760.1 pep chromosome:AWHD00000000:8:3873721:3877021:-1 gene:ONIVA08G04760 transcript:ONIVA08G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAIYGPEDRGIEIPKLQGAMAGLSTAPEIGVAVVAGVCVVSAAVAAAAAATASSSPEAPPGAKHQLVIGGDEIPLWLRSRGSGDAVEGGGGAEMEGIAG >ONIVA08G04750.1 pep chromosome:AWHD00000000:8:3846411:3846870:1 gene:ONIVA08G04750 transcript:ONIVA08G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSFGCRGAKSYLSLVTNELLAAGGDATAAAVAPEVRVPLGPRRRRWMSRATPPWNAAHKAMIAHAWHDDGSCGSSGAAAIAAETTQTAPTTEARISGEVTRREKEQRGRGVVGSRRAIEFALKLDGESPALI >ONIVA08G04740.1 pep chromosome:AWHD00000000:8:3837175:3846075:1 gene:ONIVA08G04740 transcript:ONIVA08G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARATAAASKPKPRATARAKPKPKPKTKPSPASFLSGGSSPASGDAADDLSFLSPSSPVVKPKPRSPLAAPASSPISPYASPASASVSVSTVADLRILAASHLDSLKRRLDALHGDSARDLEASHSRISKRFKTQSCLQLADEAEKEHRKMADKISEHAEAVKASYKKFVAEVQASTSRVCKVTIPEMAKSADRAIDGLRSRYNIPATAAYRYSGLAVMDPMDDHDSDELPSGVASDEAHVAFRARTKKRSKVWDEYKPIYVNGVVQSAECRYCHILMSCKGSDGHSNGTSHLWRHQKICRAKEDLDLAQLHDTGFPYVMNDINPVDQIHPDSLDDIKLASHSDNSRFRSKVWEEFMPVYVEGRIQGAECLHCHKRLSADKGRSHLNRHTQTCPARGETGINHKSPFSPSSAPSFKSGAFPSEELLSAEDYKVVESICKILRAFYRAIEVISGPVCPTANVYFNELWKVRTTLQEEASTDHTEVASMVREMQEAFHEYWENSYLWLSIPIVLDPRFKITFIEFRLKRAFGAEAAKYVAAVREIIRELFHEYCGPVDKGVHTSNNEARDVEMDGFDIGMSGPKVENGEQNRRIWYKRTYGSDQTMDKAE >ONIVA08G04740.2 pep chromosome:AWHD00000000:8:3837175:3846075:1 gene:ONIVA08G04740 transcript:ONIVA08G04740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARATAAASKPKPRATARAKPKPKPKTKPSPASFLSGGSSPASGDAADDLSFLSPSSPVVKPKPRSPLAAPASSPISPYASPASASVSVSTVADLRILAASHLDSLKRRLDALHGDSARDLEASHSRISKRFKTQSCLQLADEAEKEHRKMADKISEHAEAVKASYKKFVAEVQASTSRVCKVTIPEMAKSADRAIDGLRSRYNIPATAAYRYSGLAVMDPMDDHDSDELPSGVASDEAHVAFRARTKKRSKVWDEYKPIYVNGVVQSAECRYCHILMSCKGSDGHSNGTSHLWRHQKICRAKEDLDLAQLHDTGFPYDSLDDIKLASHSDNSRFRSKVWEEFMPVYVEGRIQGAECLHCHKRLSADKGRSHLNRHTQTCPARGETGINHKSPFSPSSAPSFKSGAFPSEELLSAEDYKVVESICKILRAFYRAIEVISGPVCPTANVYFNELWKVRTTLQEEASTDHTEVASMVREMQEAFHEYWENSYLWLSIPIVLDPRFKITFIEFRLKRAFGAEAAKYVAAVREIIRELFHEYCGPVDKGVHTSNNEARDVEMDGFDIGMSGPKVENGEQNRRIWYKRTYGSDQTMDKAE >ONIVA08G04730.1 pep chromosome:AWHD00000000:8:3828268:3836690:1 gene:ONIVA08G04730 transcript:ONIVA08G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDTRLGRRYRIGGLDWLWWPAATRMGGLRCGGEEGLARRVFGGRWNEAHRIYNIRHGPPPSHPPLRVLLLVRSLSLSLARFSGRLLHLSRLPLPLPLPGNSVAATSPTAAAAAAAAASGAASQSGRIPPDPPIPSPPPVSSRRRDRSPRIRRLHRDAVRMLAGNMNNDNYIDLSSDSDIDFDFDSDDSVGGLDQELASSSSRPTENINGQYRTLPPSFTNGRHVDNARHVLGSGDRAYPHSSSYRGSPNDSARATPASNRTDIVVKKHNGFASDENDNGKRILPSSFSNGRTTNAMHPVVASETRKFPPSFTNGNSQRLAENRMGKNVANGIGEPSSSRFPSRSSFGTDNKKVITDSDNEDVYVYGSSSSHRVLPPSFGRNSSANHSEFANGIDMQGRLNLENRIIDSDERANIIQDKREDDLPEGVLSVPLLRHQKMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKQRIQQSKFMSVDSDRLKAEALNLDDDDEAAPVADNKGEQTKNDEPRKDLGAGSSSTAAGTGDVETCASLMNTAPDKTVERNVERKKKSKASTSSTMQSMTRPAAGTLVVCPASVLKQWANELTDKVGESAKLSVLVYHGGSRTKDPNELAKYDVVITTYTIVANEVPKQNADDDTDQKNGEESSAGNKRKQPPKAQSKSKKKKKKLKDSDIYLASGPVARVRWFRVVLDEAQTIKNFRTQVAKACCGLRAKRRWCLSGTPIQNAIDELYSYFRFLKYDPYSTYNSFCTMIKHPIARNAVHGYKKLQTVLRIVLLRRTKETLIDGEPIIKLPPKTINLDKVDFTKEERAFYLTLEERSRQQFKAYAAAGTLKQNYANILLMLLRLRQACDHPLLVKGHQSEYKGDGSIEMAKQLPKEMIINLLAKLEVGEFCSVCSDVPEDAVVTMCGHVFCYQCIYERITTDENMCPSPNCGNTLSTDSVFSSGALRICMSGVSSSHASGSSSLDDESSSISQTSYISSKIQAAIDILNSIINTYALTDSDTVESNPSRVAPVKAIVFSQWTGMLDLLELSLNSNLIQYRRLDGTMSLNSRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAIDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRAMVSSAFGEDKSGGHATRLTVDDLKYLFRV >ONIVA08G04720.1 pep chromosome:AWHD00000000:8:3813333:3825599:1 gene:ONIVA08G04720 transcript:ONIVA08G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 2 [Source:Projected from Arabidopsis thaliana (AT4G15180) TAIR;Acc:AT4G15180] MGDGGVACAVPPQRAVEGFRAGGLVRRPGGEAMPDKGERGHHTTSNNHHSHSHSHRKHQAELEEGELLNGEADNSSSRDLERSMPPKKWRKVLAASSAAEVEPGEIVMPSKKARKNGELEKGEIAPERQRKDKSDKSGRKSNKDEVEPGEVAPPDKKQDRDHNKKLGSSAQVRDDGSKKGSSRDSDEEPGEIRPESSSTGSARKSRATEPENSNHKHQADTCDQTGSKSRRKGEAKSSGRHLSGRNRDISPMTRDRHERSPGILGRFPHDRLRHDRSPSRLEPSPRDRGRHYDNRDRSPYISPRHRMRPSHYRDNTPSRGEMHHHRDNTPIRVDSSPRRSQHEDFRDRSPSRRDKSPSERGRTTESHEAGKKSRGAKLENNSLEKAQHKSKSTKQSTKSKSSSNGSNEKISKEKATETIQYTELPPPPPLPPPPPPPPPPPPPLPPNMPPPLPPPPEPELNGAPAEDVSMEEDMDICDTPPHTTSSAPEPTEPPASDVGKWFYLDHYGIEQGPSKLADLKKLVEDGYLLSDHLIKHADSNRWVTVENAASPLVPSEFPSVYSDVSTQLVSPPEAPGNLLDEAREEASGTDHEQMKEASAEEQEDFYIDDRVDALMDGSIMVDGQELEILGELLNAHFEPVNWESEDLSRFQVKLERDDGTKRSTEFPDSRTAHIYGVVPAERDTYQPHIESSEWYSGRWSCKGGDWKRNDDFSQDKPYRKKLVLNEGYPLCQMLKGNHEDPRWVCKDDLYYPLRAKKLDLPLWAFSSTEENDDTVDDASKSGVIPGRSGQTKQPPKGVKGTTLPVVKINARVVKDQSSSEHRIKPKVADRPPSRSSRSHSIGTDRSSTHEGSSHSKKHHEHDSQSLHKSKSVPNIPKDHVCTVEELSVKVGDWYYLDGTGHERGPFSYSELQELAKKGTILEGSSVFRKIDNTWLPVLKDLKSGCSARNGEAGSSTSALTHSNQSNFHEMHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLEPWIATKQPRKELETFFSHSSASKNFVQEDGGSTKRARLLPDQSDEYTDMSEDILASQKDDCCFEDLFEGAAHVKESPLNSRTESESWGLLNEHVLARIFHFLRADVKSLISSAATCSWWNTAAKYYRSVCRFIDLSSLGPQCTDNVFHDIMAGYDMQNIRTLVLTGCSNLSSLALAEVLKRFPHISYVHIQGCSQLGDLKNKFQHVKWIKSSLNPDASYQKIRSLKQIDDGSNSTSKAGRILTSQMGGSDELDGYFADISNRESSTLSFGQGFYKRSKWLDIRKSSAVLSRDAQMRRLMQRKAENSYRKMEEFVINKLKEIMKSSRFDFFVPKVAKIEVRLKNGYYARHGFSYIKNDIRSMCRDALRYKGRSDLGDMKQIVVAFIQLAKKLENPRLISDRDGTAVQKDSSDMSQYSSDLKLKKKQSKTMSERRGANWTTAGADPSSRAFDREIKRSLSKLKKRDIDSGSETSDDDDGYSEGDETESETTVSDTESDLDVNSGAWDLKGNGMKLFESSESLTDDRGWGARMTKASLVPPVTRKYEVIEKYLIVADEEEVLRKMRVALPDDYSEKLLSQKNGTENLELPEVKDYQPRKVPGDEVLEQEVYGIDPYTHNLLLEMMPTELDWPSSDKHTFVEELLLNTLNKQVRQFTGSGNTPMVYPLKPVIEEIQKSAEESGDRRTSKMCLGMLKAMRNHPEYNYGLGVVCNKTGGFGVDDFVIEFFGEVYPSWRWYEKQDGIKHIQNNSDDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGHYQIGIYTVRPIAEGEEITFDYNSVTESKEEHEASVCLCGSQICRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACEANSVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLKLPHEIFKHNVDEKRQFFTDINMDSEKNDAEVQAEGVLNSRLQNLTHTLDKVRYVMRCIFGDPKNAPPPLVRLTGRSLVSAIWKGEGSLVDELLESMEPHVEEDVLTDLKAKIRAHDPSGSEDIEGEIRSSLLWLRDELRTLSCTYKCRHDAAADLIHMYAYTKCFFRVRDYKTVKSPPVLISPLDLGPKYADKLGPGFQEYYVSSFYVKSVKPTQERVYGSRTVRFMLARMENQAQRPWPKDRIWVFKSDPRFFGTPMMDAVLNNSPLDKEMVHWLKTRSNVFLG >ONIVA08G04720.2 pep chromosome:AWHD00000000:8:3813333:3825599:1 gene:ONIVA08G04720 transcript:ONIVA08G04720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 2 [Source:Projected from Arabidopsis thaliana (AT4G15180) TAIR;Acc:AT4G15180] MGDGGVACAVPPQRAVEGFRAGGLVRRPGGEAMPDKGERGHHTTSNNHHSHSHSHRKHQAELEEGELLNGEADNSSSRDLERSMPPKKWRKVLAASSAAEVEPGEIVMPSKKARKNGELEKGEIAPERQRKDKSDKSGRKSNKDEVEPGEVAPPDKKQDRDHNKKLGSSAQVRDDGSKKGSSRDSDEEPGEIRPESSSTGSARKSRATEPENSNHKHQADTCDQTGSKSRRKGEAKSSGRHLSGRNRDISPMTRDRHERSPGILGRFPHDRLRHDRSPSRLEPSPRDRGRHYDNRDRSPYISPRHRMRPSHYRDNTPSRGEMHHHRDNTPIRVDSSPRRSQHEDFRDRSPSRRDKSPSERGRTTESHEAGKKSRGAKLENNSLEKAQHKSKSTKQSTKSKSSSNGSNEKISKEKATETIQYTELPPPPPLPPPPPPPPPPPPPLPPNMPPPLPPPPEPELNGAPAEDVSMEEDMDICDTPPHTTSSAPEPTEPPASDVGKWFYLDHYGIEQGPSKLADLKKLVEDGYLLSDHLIKHADSNRWVTVENAASPLVPSEFPSVYSDVSTQLVSPPEAPGNLLDEAREEASGTDHEQMKEASAEEQEDFYIDDRVDALMDGSIMVDGQELEILGELLNAHFEPVNWESEDLSRFQVKLERDDGTKRSTEFPDSRTAHIYGVVPAERDTYQPHIESSEWYSGRWSCKGGDWKRNDDFSQDKPYRKKLVLNEGYPLCQMLKGNHEDPRWVCKDDLYYPLRAKKLDLPLWAFSSTEENDDTVDDASKSGVIPGRSGQTKQPPKGVKGTTLPVVKINARVVKDQSSSEHRIKPKVADRPPSRSSRSHSIGTDRSSTHEGSSHSKKHHEHDSQSLHKSKSVPNIPKDHVCTVEELSVKVGDWYYLDGTGHERGPFSYSELQELAKKGTILEGSSVFRKIDNTWLPVLKDLKSGCSARNGEAGSSTSALTHSNQSNFHEMHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLEPWIATKQPRKELETFFSHSSASKNFVQEDGGSTKRARLLPDQSDEYTDMSEDILASQKDDCCFEDLFEGAAHVKESPLNSRTESESWGLLNEHVLARIFHFLRADVKSLISSAATCSWWNTAAKYYRSVCRFIDLSSLGPQCTDNVFHDIMAGYDMQNIRTLVLTGCSNLSSLALAEVLKRFPHISYVHIQGCSQLGDLKNKFQHVKWIKSSLNPDASYQKIRSLKQIDDGSNSTSKAGRILTSQMGGSDELDGYFADISNRESSTLSFGQGFYKRSKWLDIRKSSAVLSRDAQMRRLMQRKAENSYRKMEEFVINKLKEIMKSSRFDFFVPKVAKIEVRLKNGYYARHGFSYIKNDIRSMCRDALRYKGRSDLGDMKQIVVAFIQLAKKLENPRLISDRDGTAVQKDSSDMSQYSSDLKLKKKQSKTMSERRGANWTTAGADPSSRAFDREIKRSLSKLKKRDIDSGSETSDDDDGYSEGDETESETTVSDTESDLDVNSGAWDLKGNGMKLFESSESLTDDRGWGARMTKASLVPPVTRKYEVIEKYLIVADEEEVLRKMRVALPDDYSEKLLSQKNGTENLELPEVKDYQPRKVPGDEVLEQEVYGIDPYTHNLLLEMMPTELDWPSSDKHTFVEELLLNTLNKQVRQFTGSGNTPMVYPLKPVIEEIQKSAEESGDRRTSKMCLGMLKAMRNHPEYNYGLGVVCNKTGGFGVDDFVIEFFGEVYPSWRWYEKQDGIKHIQNNSDDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGHYQIGIYTVRPIAEGEEITFDYNSVTESKEEHEASVCLCGSQICRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACEANSVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLKLPHEIFKHNVDEKRQFFTDINMDSEKNDAEVQAEGVLNSRLQNLTHTLDKVRYVMRCIFGDPKNAPPPLVRLTGRSLVSAIWKGEGSLVDELLESMEPHVEEDVLTDLKAKIRAHDPSGSEDIEGEIRSSLLWLRDELRTLSCTYKCRHDAAADLIHMYAYTKCFFRVRDYKTVKSPPVLISPLDLGPKYADKLGPGFQEYCKTYPENYCLGQLIYWYSQNAEPESRLTRARKGCMSLPDVSSFYVKSVKPTQERVYGSRTVRFMLARMENQAQRPWPKDRIWVFKSDPRFFGTPMMDAVLNNSPLDKEMVHWLKTRSNVFLG >ONIVA08G04710.1 pep chromosome:AWHD00000000:8:3806025:3810075:-1 gene:ONIVA08G04710 transcript:ONIVA08G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA capping enzyme family protein [Source:Projected from Arabidopsis thaliana (AT3G20650) TAIR;Acc:AT3G20650] MNKRPRDEPSSSFASAPKRQYGAGGGWYGGHGYSEERSSARRVADHYSARSNQTLEERENSPIIHLKKLNNWIKSVLIQLYAHPGDCVLDLACGKGGDLIKWDKAKVGYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLICADCYEARLDEHLYEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGVFIGTMPDANVIIKRLRETDGMEFGNGVYWISFGEEYAEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYDLELVLTKNFHEFVHEYLQKPEFAELMRRLGALGDGRQDQSTLSQDEWEVAYLYLAFVLRKRGQPPSQRRANNANRGKMFLTENDIDFLGV >ONIVA08G04700.1 pep chromosome:AWHD00000000:8:3801915:3805503:1 gene:ONIVA08G04700 transcript:ONIVA08G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVMRTAAAKVGIAGGAAAAAAAAGGGRFRHVAPAFATAASAEAAAPTLVSAAGGGGGGEVPAVAASGAQWASSWEVDDWEFADWRDVAAAEPEVTAAGKPRLVFAPPSREEAEEATTELRDAIDRVYFSEAPIEVVKEQDKDLSKLGADAIIPAMPGHVMQAFTLLKSSPEAQGVVASLASDKNVWDAVLKNEKVMEFYKTHQQSLVYTFPEGTASVVSPEKLADASSENSSSGEKPESSPFADFMDNARKTVIDIVNNITNFFQDMFRKPNEAEILGGSFMALAIAVILLIMFKKA >ONIVA08G04700.2 pep chromosome:AWHD00000000:8:3802168:3805503:1 gene:ONIVA08G04700 transcript:ONIVA08G04700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVMRTAAAKVGIAGGAAAAAAAAGGGRFRHVAPAFATAASAEAAAPTLVSAAGGGGGGEVPAVAASGAQWASSWEVDDWEFADWRDVAAAEPEVTAAGKPRLVFAPPSREEAEEATTELRDAIDRVYFSEAPIEVVKEQDKDLSKLGADAIIPAMPGHVMQAFTLLKSSPEAQGVVASLASDKNVWDAVLKNEKVMEFYKTHQQSLVYTFPEGTASVVSPEKLADASSENSSSGEKPESSPFADFMDNARKTVIDIVNNITNFFQDMFRKPNEAEILGGSFMALAIAVILLIMFKKA >ONIVA08G04690.1 pep chromosome:AWHD00000000:8:3797176:3799483:1 gene:ONIVA08G04690 transcript:ONIVA08G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTAADLRQHHSAIAPSSIGNSRMCYRDCIKQPKYHVLVMEGPSWKAVESEKRNNLWATLRTGVNQAGTILYSCRMSPHRSHPGRYSRSGPEVMSHQPDLSACLLIGKGCNGTSL >ONIVA08G04680.1 pep chromosome:AWHD00000000:8:3775830:3779296:-1 gene:ONIVA08G04680 transcript:ONIVA08G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQEMIHAAAAPVMYSGGGAAAGGATTTTGSHGGGGGDWWSAAVSSCSAPAPETMQGFGGWSAAVVAVDGGGNTSRSAAGNTASSESPGSLATGSSITFQEPAGGVADPAAIAVHAQTVAGGGGGGWNQQPFLDGSGFHGYMSSSRNDHHTNHHHHQINTPSLMSNSSSNNGVMLQEHQHDQNYQFLSNLGFELLSSPTSPYGGGGGFRSSLLRSLTEPAAAAKPNDSPGFQQYHHHQPAMNLQPPAAAAGREPLQFTNSTAAPFWNPSSGFTVAAEGTALGGAGASPAQPTPASLAAKRALEGVGDSSSIITKKAKADSTPLKKSRTGTPSPLPTTFKAWDRVTALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNRQQVPHLKNSTGVDNDGGGGGEATAASKRDLTGRGLCLVPISSTFAVASETPVDFWTPFGAAFR >ONIVA08G04680.2 pep chromosome:AWHD00000000:8:3775830:3779296:-1 gene:ONIVA08G04680 transcript:ONIVA08G04680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQEMIHAAAAPVMYSGGGAAAGGATTTTGSHGGGGGDWWSAAVSSCSAPAPETMQGFGGWSAAVVAVDGGGNTSRSAAGNTASSESPGSLATGSSITFQEPAGGVADPAAIAVHAQTVAGGGGGGWNQQPFLDGSGFHGYMSSSRNDHHTNHHHHQINTPSLMSNSSSNNGVMLQEHQHDQNYQFLSNLGFELLSSPTSPYGGGGGFRSSLLRSLTEPAAAAKPNDSPGFQQYHHHQPAMNLQPPAAAAGREPLQFTNSTAAPFWNPSSGFTVAAEGTALGGAGASPAQPTPASLAAKVRKEKLGDRVTALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNRQQVPHLKNSTGVDNDGGGGGEATAASKRDLTGRGLCLVPISSTFAVASETPVDFWTPFGAAFR >ONIVA08G04670.1 pep chromosome:AWHD00000000:8:3771965:3772780:-1 gene:ONIVA08G04670 transcript:ONIVA08G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSRLEEDGGMSPLTLARQPPLSVDILPSSVGSSIGGWRKEVDGRRGGGSPAAQLSVAREWQRVEGAGSGVKWKSCGGRTGSRSAVGRWTGAVEATRYRCLAGELAGDGPQRRGEEGLRRKPSLVLHWAGSCYAFGRSNPLGGAVKEPSSLDEDL >ONIVA08G04660.1 pep chromosome:AWHD00000000:8:3761137:3769795:1 gene:ONIVA08G04660 transcript:ONIVA08G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGNSTACCVKLADALMSMACMDLQLQSSIHLCASDNRLVPGKPLSPGSTIISDDGTFALGFFSPSNPKKHYYVGIWYNNIPKFTVVWVANRAAPITVPSSAVFTLTRSSNLTLSDGNGHVLWTTMAKSRISISSPRNTKNISTEAMLDNTGNLILRSLADNAIIWQSFDHPTDTLLPGMNLRLSHNTHPLQRLISWKDIRDPSPGPFSYGADPNNLLQRFIWHGSVPHRRSPVWNNYLLIGKYMNNLNSTIYMAINHDSDEVYMSFGMPTGPFSVLIRMKITYLGKSNISAWTTLYSEPVHDCNIYGYCGPNSYCDNTDAVPACKCLDGFEPREEERRTNNRSFLLGCRRRKALRCHHGNSFLTYPSMKVPDNFIYIHKRSFDECMVECRSNCSCVAYAYSNISSGIIDDTRCLLWTGELIDMEKGTMDGDKEIAVKRLGKGSAQGVVEFKNEMLQEIQRLTGQQGSR >ONIVA08G04650.1 pep chromosome:AWHD00000000:8:3741033:3743649:-1 gene:ONIVA08G04650 transcript:ONIVA08G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAEGKEKGVGGGGGGGGGAGAGACELCGAAARVYCGADEATLCWGCDAQVHGANFLVARHARALLCRGCARPTPWRAAGPRLGPTASLCERCVRRGGGGRGGGGGGGAAGGGGRGGGGDEEMGGEGDEEEDEDEEVVVEEEEDEDDEDEGEGEGEGENQVVPWAEEAEATPPPVASSTSSSSREAAANGANAADRVKEDQPCSTSQPSLCRYASSAQHGGGGRSDEATSSRNGGGVGGRFLASRHRKRSPSDFRRSGSAQSVGGVQGRNCSNAVVGRNEASNSSS >ONIVA08G04640.1 pep chromosome:AWHD00000000:8:3730883:3735573:-1 gene:ONIVA08G04640 transcript:ONIVA08G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I7U1] MEGEMDTVVGSSSKPCGPLTDYRIPDYILRPDAQRVFDVSEEAPDKVLHRLNVNLEKLKMEGDILAVQIWRTLRIIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPCTDQESVKSFLGLVRHAKEMKIDSWHIMLRMRATKEGPCDPIAPLELPHSLHAFHRVSSSDSLNMEGYHTFRGGFWNYFSMGMDAEISYGFHSERKKNPEKFKNQLTNQGTYAKVGLKQGWFCASLSHPSSRNIAQLASVKIMKRAGSHWQELNIHHSIRSIVCLNLPSFSGGLNPWGTPGTRKVEERELTAPFVDDRLIEVVGFRDAWHGLVLLAPNGHGTRLAQAQRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLGQVTMLANEPCKSKSVHDDQSSHAQHSGHGNNDDDKDSMEDEDEWEDGRRKFGAADTFKIPDEVDIAHLS >ONIVA08G04630.1 pep chromosome:AWHD00000000:8:3729464:3729789:1 gene:ONIVA08G04630 transcript:ONIVA08G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRSQTYTGDPIHYVYLNGLSIQNAVVEIAAKLLHPFHKRHLEGEPKDVWIHFVDRHGQPDI >ONIVA08G04620.1 pep chromosome:AWHD00000000:8:3726648:3728398:1 gene:ONIVA08G04620 transcript:ONIVA08G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAYVSRLCARAVQAAVRAEQPATTRRRPPHAGRPPPPSSGGGSPAEVAPAAKSVAEEKARRLRRRAEKDEKRSEECSDSSAYALVQFKQKEERNQENKNHKQSPRKWTWKWMWPQEFGPQKEMNMNARSDSSSLFLRLRSSSSSSAAFAALIACLDDSEPPAAAAALSFAFHVVAAPPSRVFPAGAGAGDGATRAPPTRPANLTLIAAAAAAAKLFAFLGEVKGEEAAAVAVLVVGAVRWAAPLVTPAKRRAKAQTCPRFSPHAAS >ONIVA08G04610.1 pep chromosome:AWHD00000000:8:3724694:3724966:1 gene:ONIVA08G04610 transcript:ONIVA08G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAVAAKAWWTAAMSVGAVEGLKDQSGLCRWNYALRSLHGAAMDTLMLQVHGGAGASSPAAAMAAERPEEEGMRRVMYLSCCWGPS >ONIVA08G04600.1 pep chromosome:AWHD00000000:8:3723035:3723842:-1 gene:ONIVA08G04600 transcript:ONIVA08G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAWVPSCPAFILHNGHRFRLEIVVKPSINLFLRTSLGDVGGGLRYYCYSPTRPKATCSFSGDPPALATVFFLDYDDVARDAKSRT >ONIVA08G04590.1 pep chromosome:AWHD00000000:8:3717367:3722426:1 gene:ONIVA08G04590 transcript:ONIVA08G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQQDASTSGAAMSMKEYLKRYQSDDAQGKAKKKKKKKPKPAAAVGGGVLIVDEDPTWHKPVQIEEEPASSGDERPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVTVAAPEDSDGGLTGRRRRNDTPSPERGGAGRKDLSPPRRRQRQDTPSPDPRDAAGKDLSPPRQRRRRQDTPSPKGSEVAGGHDDLSPPRKSRQQRDPSPPHRLSRHDSKESQDISPPRRRTRHDSEEPQDLSPPRRKGRHDSEEPKDLSPPRRRVRHDSEEPKDLSPPRRRMRHDSEEPKDLSPPRRRTRHDSEEPEDLSPPRRRTRHDSHEPKDKLPPLKRQALGDGDISPPRKGRKEVAPKEVRKAGLMTAEEVKEDIRKIKEDERLKFAAQDPSVIGKGAKAVFRDKQGKRISEEDMRKAKEDTKPKEIHIEWGKGLVQKREAEARLQELESEKSKPFARTRDDPELDTMLKNRIRWGDPMAHLVKRNDTDLLLEDLGDDEKMKESGFIVPQNIPSHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQATEQEAYLWSVADM >ONIVA08G04580.1 pep chromosome:AWHD00000000:8:3712507:3714066:1 gene:ONIVA08G04580 transcript:ONIVA08G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMVQTVGGKTYPGKMTAFVFFTCLVASSGGLIFGYDIGISGGVTSMDSFLSEFFPSVYAQAKASKDTNQYCKFDSQLLTLFTSSLYLAALATSFVAAWVTRVFGRKWSMFCGGVTFLAGSALNGAATDVMMLILGRILLGIGVGFANQSVPLYLSEMAPANLRGMLNIGFQLMTTIGILSANLINYATSSIEGGWGWRIGLGLAGVPALIITLGALVLPDTPNSLIARGYAGDAKRVLVKIRGTDDVHDEYDDMVAASEEAASIEHPWRNILHRKYRPQLTIAILIPCFQQLTGINVIMFYAPVLFLTIGFAGDASLMSAVITGLVNMFATVVSIISVDRLGRRVLFLQGGTQMFISQVVVGTLIALQFGVAGVGEMSRSYAILLVLFICMYVAGFAWSWGPLGWLVPSEVFALEIRSAGQSIAVCVNMMLTFVIGQAFLTMLCHLKFGLFYFFAGWMLVMTTFVALFLPETKGVPIEEMNHVWSRHWFWGSYVTAHDVAAAGAGGGGNRRSHNV >ONIVA08G04570.1 pep chromosome:AWHD00000000:8:3701355:3711913:1 gene:ONIVA08G04570 transcript:ONIVA08G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT1G12470) TAIR;Acc:AT1G12470] MKAWLVKPLLRLAHGLSRLLSAIASPPTSSRRPAGEATATPTTMDAAAAAFAPGGQLFSVDPLERHAARGHGVVTSMAAGSDVIVLGTSRGWLHSVHRVFLDPGGKHCVATVVHPGGAETYYHHARWPRPKLLPRLRNVLVNAVAWNRQTITEASTKEVILGTEDGQIFEIAVDEADKKEKYVKSLFTLSELQEGIKGLQMETAVVGNATRFYVMAVTPTRLYSFTGIGSLEQRRAKHFGWLSGAGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGESGIKPRSFALSEFHFLLLIRDKIKVVNRISQQIVEELIVDSSPEVTKGIIGLCSDASTGLFYAYDENSIFQISSSDEGRDMWQVYLDMKEYATALSHCRNSFQRDQVYLVQADTAFNTKEYYIAASFYAKMNYILSFEEISLKFISVGEQDALRTFLLRRLDNLTKDDRMQITMISTWATELYLDKINRLLLEDGTGATSNAVADSKDSEYRSIVNEFRAFLSDSKDVLDEATTMRLLESYGRVDELVYFAGLKEQHEIVVHHYIQQGEARKALEVLQRHNVLVDLVYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNNEDPGVHNLLLSLYAKKDDESQLLQFLDTKFGKGQTNGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALTVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYDSQIDQLKQEMDDATRGADNIRSDIGALAQRYTVIDREEECGVCKRKILTAGGLHQAEKILDLQKRLSLMDRKAAKENGGNMNGESIISATPIDKLRSQLDDAVASECPFCGDLMIKEISLPFILPEESDEKASWEIKPQPTGQKILPMTMSI >ONIVA08G04570.2 pep chromosome:AWHD00000000:8:3701355:3711913:1 gene:ONIVA08G04570 transcript:ONIVA08G04570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT1G12470) TAIR;Acc:AT1G12470] MKAWLVKPLLRLAHGLSRLLSAIASPPTSSRRPAGEATATPTTMDAAAAAFAPGGQLFSVDPLERHAARGHGVVTSMAAGSDVIVLGTSRGWLHSVHRVFLDPGGKHCVATVVHPGGAETYYHHARWPRPKLLPRLRNVLVNAVAWNRQTITEASTKEVILGTEDGQIFEIAVDEADKKEKYVKSLFTLSELQEGIKGLQMETAVVGNATRFYVMAVTPTRLYSFTGIGSLEQRRAKHFGWLSGAGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGESGIKPRSFALSEFHFLLLIRDKIKVVNRISQQIVEELIVDSSPEVTKGIIGLCSDASTGLFYAYDENSIFQISSSDEGRDMWQVYLDMKEYATALSHCRNSFQRDQVYLVQADTAFNTKEYYIAASFYAKMNYILSFEEISLKFISVGEQDALRTFLLRRLDNLTKDDRMQITMISTWATELYLDKINRLLLEDGTGATSNAVADSKDSEYRSIVNEFRAFLSDSKDVLDEATTMRLLESYGRVDELVYFAGLKEQHEIVVHHYIQYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNNEDPGVHNLLLSLYAKKDDESQLLQFLDTKFGKGQTNGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALTVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYDSQIDQLKQEMDDATRGADNIRSDIGALAQRYTVIDREEECGVCKRKILTAGGLHQAEKILDLQKRLSLMDRKAAKENGGNMNGESIISATPIDKLRSQLDDAVASECPFCGDLMIKEISLPFILPEESDEKASWEIKPQPTGQKILPMTMSI >ONIVA08G04560.1 pep chromosome:AWHD00000000:8:3697206:3700320:-1 gene:ONIVA08G04560 transcript:ONIVA08G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCASLCGISRVAWRRHAVAGGALSQHHHHQQRHLVLRPPAPPPIGLALERFFSASSRRSAKRSAAAAKQSSQPPPPPSMDGGEPFYVVRKGDVIGIYKSLSDCQAQVSNSVCDPSVTVYKGYSLRKETEEYLAARGLRNPLYSINAADARDELFDDLVPCPFQQPDGTGTSTLKRPLEMLSCLLEFDGASKGNPGKAGAGAVIRRLDGTVIAQLREGLGIATNNAAEYRALILGLTYAAKKGFKYIRAQGDSKLVCNQVSDVWRARHDTMADLCKRVKEIKGRFHTFQINHVLREFNTDADAQANLAVELPGEKLLSEFTLHAVTVT >ONIVA08G04550.1 pep chromosome:AWHD00000000:8:3692777:3696093:1 gene:ONIVA08G04550 transcript:ONIVA08G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT2G03870) TAIR;Acc:AT2G03870] MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFQSDGA >ONIVA08G04550.2 pep chromosome:AWHD00000000:8:3692679:3696093:1 gene:ONIVA08G04550 transcript:ONIVA08G04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT2G03870) TAIR;Acc:AT2G03870] MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFQSDGA >ONIVA08G04540.1 pep chromosome:AWHD00000000:8:3681793:3686521:-1 gene:ONIVA08G04540 transcript:ONIVA08G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILVATDCHLGYLEKDEIRRFDSFDTFEEICSLAVINKVDFILLGGNLFHENKPSISTLVKSMEIIRSYCLNDHQVQFQVVSDQAACLQNRFGRVNFEDPNFNIGLPVFTVHGTHDGPAGVDGLSAIDILSACNFVNYFGKVDPGSSDVDQISVCPVFIKKGATSVALYGLGNIRDEKLSRMLQTHYKIQWMKADSEDDWFNLFVFHQKRRKGSSTNGINEQLLPSFLDLVIWGHEHECLIDHQEVSGKDFHIIHPGSSVATSLSNAEAKPKNVVLLEIKGMQYKQTNIPLKSVRPIQYAEVQLKDQLGVELNNEAALYEHLDNIVSNLIDKTAISGSEPKLPLVRVKVDYSGFLTITPQRFGQKYVGKVANPNDIILFSRSAQQNRTREHTGGSEECEPNELDQQSIEELIAESNLILDKNDLDSALHDFVNKDENMAFHSCLDKNIDAAKKKLTFATKDLKAEEDIVLQLDQCMQERMNEDPLLSKGGSEIMPCSQSLPTNALSAFQELKCSSNEEQDTHESDELIEISDKEHSQQASQKRPAPVDGGSQSSRRKTDLSSFYRTAPSKNNDDGGKKGKAPADMEP >ONIVA08G04530.1 pep chromosome:AWHD00000000:8:3677267:3679714:1 gene:ONIVA08G04530 transcript:ONIVA08G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSKYRAKGSAMAGASKKVAYVLLLLLALAAAALSVVVLHKVRERRAFAVLLRERDRQLISTRILLQKEKAFNKEMKRKLEELKATTSSLRTQKTDLKTKIKGLEATATTQKNREKELEAALAEKNSRVSQMEATLTDKNSHIRQMEERAAGTNPDQMAALMELLQQKEAELEEIKVRFQDYKTTERKSVGSKSTHVQSNNANARPDNAVVEKVTSSSDATPTRAEEKSSKNTTTAESRHPKDTSLEEKQVKSATSKEEDGLQDKTDDAIEDIDDIYGESHSKKIEFPRRNKKFLTNSGVDSQDEELHRIEHPGNSLDQDSDRVRYNKLLEKEIDKVSGETKNKKSIDGSLEKISKHSLGDANKNGLKQTVEDMAGGTAAVKPNMSVNDDGTQQQNKRPKKKKTRSKKKMIDSATTNSSSEVTKEK >ONIVA08G04520.1 pep chromosome:AWHD00000000:8:3676334:3681009:-1 gene:ONIVA08G04520 transcript:ONIVA08G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRPDGRDAAVREATGVPEVDAGEFSLVGKIRSWRDCSIALQVHGNSSKVHHDPSPYLEEDPRGDELPVALPEKNSKRAALPDLVQDDDAERGGGQRQQQQKDIGNLLAGTGHGRALRPVLAPIHHLHLHLPLCTPHQLLPSPGKMNKSIHGAAGSNVSAPP >ONIVA08G04510.1 pep chromosome:AWHD00000000:8:3652045:3669203:-1 gene:ONIVA08G04510 transcript:ONIVA08G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHSSSFPRTLTPRRPTDRVRPHHLARASPGRNPDPTRKHTISFLLPSLAASAFCIYDVPREPASPAAPRHRSPATPPAAAAAVSAATTRRSSRVPPPSPPRAPGAHCPAPEVGAPLLPANPNPSPSPPPARGLSSDAFAYPDPVWILSCALWEELQNVKASPRRAAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFKLGHVASLSVQSTSVADHITEQNVISEAKGSFAFAASPHGDSVESSGKPGSSSCRDANTADNLMLLDGDTSNTGGEKLVKCGTKRTNVSQPDVSLRCDGQNNVKEAEDSSLFRPGAKNQAYARRRSKSSRENATASVGSLPVSPLYSQGKDAKGIIQETKSEDHGASSIGNSKPASPDRNNTSKVASLGDHDAMEMDNTNEGNQAATHETTNIKDGVETPEISPNSVNGNSQLIGDGLVVTATTSAESPDTSPKEAALMATSSFPSSCNEVLEEACAAEEAGNGCSDKNLVVHADDMVSKSSVPPSEVEIASLNENEADIPCADVSKTVDEHPGKSENLSGKVSDEDLGDAIPSDKDGNKDGQPEGGDMPTVVDGVSNSVQPEVSNTIYAKDDVDVHNKMVDAQKDTGNLATSGLDKVDKEACSNLKKNNKCSPDSNIADKLDSVTVASGLLMKDVPSSVSLMNPDNDVNKTGENIPMMEKKECEDSTVANKEHEDTILRRARLIEVNIKKAGERSLCNISLEKKPKSHWEFVLEEMAWMANEFMQERLWKSAAASQMCHWIALSGRATFEEANIQRKQKSIARILAKSIMKFWCSAETLRATSGEMRKEKQAEESIGIGETKLAGINAEKEQCNEPLEQEKLQSPIQSYALKFLKDNCNISECLSLAEAPATPDRLNDFGILKVPDQLSEKTNNSRQKNDYEPSTTGSVADAHRGHAYEDDDVEASTYVLHGTYDDGLPSKSSHKKKHLMQQRMNGTRHYSTGVDMPYDPYVESKPGNQPFLSNGKRPSDFFNIPTKRIRTAARQRVVSPYPANASGATAFTSKTDASSGDTNSCQDDQSSLHGGSFPRKNVDIESTVDFDRQLYDGSEVSTKSKKKKKSKHPGYKTPQSVAESCSLIAGKGIACDPRPQVDLIAQYEQKDYLKKRSEVHQFDSNGNIVINGQHAAKKPKLMNQAPDVSLEALTPVGPMVSPAASQMSNMANPTKIIKIITNRDRGRKNKVLKMAASHSGPGSPWSSFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPNECKDRHKLLTEKGSGDGADSADDSGSSQHYQSTLPGIPKGSARHLFQRLQGPFEEETLKAHFEKIIFLGQKLHPNRRKGESQELKQINPLHSSHLHALSQACAPGVILMPLDLCDAMTPNPDALSIGYSGSHASGLMLPNHPSSIGPTLPTGNMNTRLPGSPGMVLGNTLPSPSTPNTPRDSQRYGMPRPTSLQGDEQQRIQYNQMLNSRSLQQPGVPVPGAPAGVDRGVRMMPGAHGMGVMTGLNRGTPVTRPPFPRLGSSGMLNMVSPGNMLPNNGQGMQNTVNVHPGTIPGHGNIMLRPRDPMQMLRPGQNMEEHRQMMQEFQMQVSQGNNQSIHFSGTPFSNVGASSPGQPFPVQSSQPHQMPQQSHVLGNTHHPHIQGTTQSSPQQQAYAMRLAKDRHMQQCMMTQQQHPLSGASAVSTVQNGSQMQQQSQGPASSAIPSSQSQHKQQHPAQNSLDSSVPPNQPVNTSHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMMHQSSPVDATQASGISTTSKNQVSDKSMVQQGPGYFAGNKGLVPSVVQPGNQPKIYGSQMPHSPIQTSDVGSQSSMQGSPNQTMLTSQQAPLHSSSPLAQQQQQRYMNPSHNNIQRLVMQQNRHMNTDGRIESPVDQVQHNQAIPSTSIAKSTDSGSPGGVSSINQRRQESSHDPTTVPSTSQLASSPQDTFVGNEMLLSVSSQGMLQRQMSGGVPIHGHGIGGQRQQLQSRQQQQQKPAVQGTMVYEAAVASSLKGQQQQQRGHKGSAARSHEHQVSIKEKQQQQIGCRILWAFRRIYPTASGKK >ONIVA08G04510.2 pep chromosome:AWHD00000000:8:3652045:3669203:-1 gene:ONIVA08G04510 transcript:ONIVA08G04510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHSSSFPRTLTPRRPTDRVRPHHLARASPGRNPDPTRKHTISFLLPSLAASAFCIYDVPREPASPAAPRHRSPATPPAAAAAVSAATTRRSSRVPPPSPPRAPGAHCPAPEVGAPLLPANPNPSPSPPPARGLSSDAFAYPDPVWILSCALWEELQNVKASPRRAAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFKLGHVASLSVQSTSVADHITEQNVISEAKGSFAFAASPHGDSVESSGKPGSSSCRDANTADNLMLLDGDTSNTGGEKLVKCGTKRTNVSQPDVSLRCDGQNNVKEAEDSSLFRPGAKNQAYARRRSKSSRENATASVGSLPVSPLYSQGKDAKGIIQETKSEDHGASSIGNSKPASPDRNNTSKVASLGDHDAMEMDNTNEGNQAATHETTNIKDGVETPEISPNSVNGNSQLIGDGLVVTATTSAESPDTSPKEAALMATSSFPSSCNEVLEEACAAEEAGNGCSDKNLVVHADDMVSKSSVPPSEVEIASLNENEADIPCADVSKTVDEHPGKSENLSGKVSDEDLGDAIPSDKDGNKDGQPEGGDMPTVVDGVSNSVQPEVSNTIYAKDDVDVHNKMVDAQKDTGNLATSGLDKVDKEACSNLKKNNKCSPDSNIADKLDSVTVASGLLMKDVPSSVSLMNPDNDVNKTGENIPMMEKKECEDSTVANKEHEDTILRRARLIEVNIKKAGERSLCNISLEKKPKSHWEFVLEEMAWMANEFMQERLWKSAAASQMCHWIALSGRATFEEANIQRKQKSIARILAKSIMKFWCSAETLRATSGEMRKEKQAEESIGIGETKLAGINAEKEQCNEPLEQEKLQSPIQSYALKFLKDNCNISECLSLAEAPATPDRLNDFGILKVPDQLSEKTNNSRQKNDYEPSTTGSVADAHRGHAYEDDDVEASTYVLHGTYDDGLPSKSSHKKKHLMQQRMNGTRHYSTGVDMPYDPYVESKPGNQPFLSNGKRPSDFFNIPTKRIRTAARQRVVSPYPANASGATAFTSKTDASSGDTNSCQDDQSSLHGGSFPRKNVDIESTVDFDRQLYDGSEVSTKSKKKKKSKHPGYKTPQSVAESCSLIAGKKDYLKKRSEVHQFDSNGNIVINGQHAAKKPKLMNQAPDVSLEALTPVGPMVSPAASQMSNMANPTKIIKIITNRDRGRKNKVLKMAASHSGPGSPWSSFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPNECKDRHKLLTEKGSGDGADSADDSGSSQHYQSTLPGIPKGSARHLFQRLQGPFEEETLKAHFEKIIFLGQKLHPNRRKGESQELKQINPLHSSHLHALSQACAPGVILMPLDLCDAMTPNPDALSIGYSGSHASGLMLPNHPSSIGPTLPTGNMNTRLPGSPGMVLGNTLPSPSTPNTPRDSQRYGMPRPTSLQGDEQQRIQYNQMLNSRSLQQPGVPVPGAPAGVDRGVRMMPGAHGMGVMTGLNRGTPVTRPPFPRLGSSGMLNMVSPGNMLPNNGQGMQNTVNVHPGTIPGHGNIMLRPRDPMQMLRPGQNMEEHRQMMQEFQMQVSQGNNQSIHFSGTPFSNVGASSPGQPFPVQSSQPHQMPQQSHVLGNTHHPHIQGTTQSSPQQQAYAMRLAKDRHMQQCMMTQQQHPLSGASAVSTVQNGSQMQQQSQGPASSAIPSSQSQHKQQHPAQNSLDSSVPPNQPVNTSHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMMHQSSPVDATQASGISTTSKNQVSDKSMVQQGPGYFAGNKGLVPSVVQPGNQPKIYGSQMPHSPIQTSDVGSQSSMQGSPNQTMLTSQQAPLHSSSPLAQQQQQRYMNPSHNNIQRLVMQQNRHMNTDGRIESPVDQVQHNQAIPSTSIAKSTDSGSPGGVSSINQRRQESSHDPTTVPSTSQLASSPQDTFVGNEMLLSVSSQGMLQRQMSGGVPIHGHGIGGQRQQLQSRQQQQQKPAVQGTMVYEAAVASSLKGQQQQQRGHKGSAARSHEHQVSIKEKQQQQIGCRILWAFRRIYPTASGKK >ONIVA08G04500.1 pep chromosome:AWHD00000000:8:3637166:3638852:-1 gene:ONIVA08G04500 transcript:ONIVA08G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPPPLTEPKFESQLALPLQHGDDQDNAAALQESPRHASDSFEQEASKPRDKIQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAHLEQEITRARQQSAYINRSSNPATLPAPIDSGVVTFEVEYAQWVEEQGRQTAELRAALQAAAEGPELRAVVEAALAHYDRLFAAKREAARRDVFFVMSGVWRTGAERFFLWIAGFRPSEVIRVLAPQLEPMTERQAADVQGLQQKARHLEDALSQGMDKLKQTLADSLLAEAVVVSTSCDASPPPPPPPEEEPSSSAAGDGGCYMAQMGSAMGRLSNLVAFVDHADHLRQETLQNMYKILTLPQAARGLLALGDYCQRLRALSSLWAARPREPA >ONIVA08G04490.1 pep chromosome:AWHD00000000:8:3628220:3633323:-1 gene:ONIVA08G04490 transcript:ONIVA08G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGLAPNMNPNKSFEVLPNPGDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGSSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQAQTVAMHDAPVKEIAWIPQMNLLVSGSWDKTLRYWDTRQSNPVHVQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQTEFKRIQSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNSDGSIFAYAVCYDWSRGAENHNPATAKTSIYLHSPQESEVKGKPRIATSRK >ONIVA08G04480.1 pep chromosome:AWHD00000000:8:3605299:3613859:1 gene:ONIVA08G04480 transcript:ONIVA08G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVGVSTGVTGPLLSKLSMLLDQEYGKLKGVHKHIKSLRDELTIMNATLQMIANSEELNPQMKDWRDKVRELAYDMEDCIDEFITFVDHEHDGLMASKGFFHKLKKVKARYKIASQIKELKTCAVEVSKRQMRYNIVQSTPSSSTSSIDPRLPALYEEVDRLVDIDGPKKHIIECLTKFTEGSSTQLKVVSIVGCGGLGKTTLAKQVYQTIKSQSSCSTFVSVSRNPNMKKILRNIAEGVGIIGYTTDDDIEQVIDKFRKHLQCKRYLVVIDDVWDTEAWKVIRLALFDNRSGSRIVVTTRNASVASNCSSDGGYVYHMEPLCFADSKRLFCKRAFGLEELCYPRLKEVLYGILKKCGGLPLAITTVSSLLVDQRTKDEWERVLTAIGSTLANNPDVGNMTKILSFSYFDLPHHLRTCFSYLSVFPEDCEIEKKHLINRWIAEGFVHEENGQSAYEAGERYFNDLINRSLIQPVDIKYGQARVCRVHDILLDFITCKAAEENFITSVDSVDHGPVSDYKVRRLCVDNRNIQENILLRGLILSHVRSLTIFGNFLQIPLSTFTALRVLDLEYCQMLQDHHLAYIEKLFHLKYLKVNSNLITELPQKIGELRYLETLDISRTGIAELPPNIVRLQRLARLYVCHRTIFPDGIIGQMQSLEELDKFGVFSYKHEKPLKEFGQLTKLRSLTIRCDLHWSTDSEGSQADDLDSCMESLISSCTVRNLRILKLYNSPLSCPMSLDSWCYAAPTTNRLQKFHITFCRFQIG >ONIVA08G04480.2 pep chromosome:AWHD00000000:8:3606579:3613859:1 gene:ONIVA08G04480 transcript:ONIVA08G04480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVGVSTGVTGPLLSKLSMLLDQEYGKLKGVHKHIKSLRDELTIMNATLQMIANSEELNPQMKDWRDKVRELAYDMEDCIDEFITFVDHEHDGLMASKGFFHKLKKVKARYKIASQIKELKTCAVEVSKRQMRYNIVQSTPSSSTSSIDPRLPALYEEVDRLVDIDGPKKHIIECLTKFTEGSSTQLKVVSIVGCGGLGKTTLAKQVYQTIKSQSSCSTFVSVSRNPNMKKILRNIAEGVGIIGYTTDDDIEQVIDKFRKHLQCKRYLVVIDDVWDTEAWKVIRLALFDNRSGSRIVVTTRNASVASNCSSDGGYVYHMEPLCFADSKRLFCKRAFGLEELCYPRLKEVLYGILKKCGGLPLAITTVSSLLVDQRTKDEWERVLTAIGSTLANNPDVGNMTKILSFSYFDLPHHLRTCFSYLSVFPEDCEIEKKHLINRWIAEGFVHEENGQSAYEAGERYFNDLINRSLIQPVDIKYGQARVCRVHDILLDFITCKAAEENFITSVDSVDHGPVSDYKVRRLCVDNRNIQENILLRGLILSHVRSLTIFGNFLQIPLSTFTALRVLDLEYCQMLQDHHLAYIEKLFHLKYLKVNSNLITELPQKIGELRYLETLDISRTGIAELPPNIVRLQRLARLYVCHRTIFPDGIIGQMQSLEELDKFGVFSYKHEKPLKEFGQLTKLRSLTIRCDLHWSTDSEGSQADDLDSCMESLISSCTVRNLRILKLYNSPLSCPMSLDSWCYAAPTTNRLQKFHITFCRFQIG >ONIVA08G04480.3 pep chromosome:AWHD00000000:8:3613301:3626618:1 gene:ONIVA08G04480 transcript:ONIVA08G04480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIFLNLKTFYGTNGRIYVCGDNKGFSSLKCFSLDIIYSGTAVEFEAGSMPKLEHLKLEFPLIEMESPMSASELGIRHLSNLTKVDLVFLSCFHYDSNYDPAADKEDSIIKSVATLIKAVAEALPNNPTIKFELSIESRHRRAMAAMHVDASTGVMNTLLPKLSKLLEEYTNIKGAARNQITFLRDELSSMKPVLEMFADVDDGLDPLKREWRDNVRELAFDIEDYTDSFMIRVSHESDELPTGFKGFFRKLKKLKACPEIADAIDELIKKCAMEGSKRHKRYNSVNVASNSSTFGVDPRMLMFYDEVDKLVGVNRAKKHIIEWFTKEKDEKISREKILRMIHTNLGITYNTSDDEEKLIDRIRDYLKDKRYFIVIDDVRDAEAWKAIELALFNNTCGSRIVITTRNSAVASCCSHDGGYVYQMEPLSLKNSKMLFFKRAFGSEDLPNPQLEKVSDGILQKCGGLPLAIMIMSSLLADQNEEDEWKRVLTAIGSVLAKDPDDDIMKSILSLSYYDLPHHLRACLLYLSIFPEDYEINKQRLINRWIAEGFILEEQGLTSYEVGERYFNYLINRCLIQPVGSKHGQAKACQVHGIILDFLACKASEENFVTPFNDDAEQGLVSENKVRVRRLHVNNHNKKEVARLTGPVLSHVRSLTLFGDFGRIPMSAFTALRVMDQEDNWDLGGNWGLGSNHHMAHIEMMLHLRYLRLNSPLLDFVLTARTGGLENLETLDLLGVSVVELPSAITRLRRLARLYISHLARFPKGTIAKLQSLEELSEFGFVSFHQQWECLQEFSQLTKLRMLKVKWDFDWSFVQDEEGLQSYMHALISSCNVHNLYIGNIHIWPGPYPLSLESCCPTTTCSLQKLHITYCFICKVPNWMSSLGNLKELKLYIYCLRAEDVKILGAIPTLIFLKLKTFYGTDGRIFIPGYKGFRCLKYFGLVMISCGTTPEFEEGSMPNLEHLKLRFCVHEMECINGATDFGIRHLSTLNKVEVHIYGCSVSHKDYDPEADREDSNAKNAAFLIKAAVKALPNRVTCSFELAKTYGNIGTFHGLIKIDETNQDEEVKNTLGMNCRWCAMKEIVHEHVISDYIRFNTISAHFSENPNGLFGLSR >ONIVA08G04470.1 pep chromosome:AWHD00000000:8:3590172:3600307:-1 gene:ONIVA08G04470 transcript:ONIVA08G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPRLLWRWQGSSPWLSSPFRCTRYLSRPAFAAGGRQDYSPSSGMGVSKTGAFRLGLHGNLNVQSSVQEWVDETKRIFFLRTTNNVRNNITNGTTPLRVGNLRHDPSEDIRSSNYPSLYNQRERGPSNSIVNRHVDTDLAKHRVMYQSAHAVPAPFSVANNDIKPLNMLDGSNEDIPWHDSVTVESYLPKVSKSETTLVVDKAIPDKKEHKRITRRVTPNIPDKASLSTESKNARKLLATIYDKVLVVDNVESARSVVKLLTTKYKGFIHACDTEVANIDVKEETPVGHGEVICFSICSGNSDGEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIKKVWHNYSFDNHVIENCGIKVAGFHADTMHLARLWDSSRRADGGYSLEGLTNDHRIMNAVLKDIHKTGKVSMKTIFGRKKVRKNGSEGKTISIEPVEKLQREDRELWICYSSLDSMSTLKLYESLKNKLEAKEWIFDGCPRGTMYDFYEEYWRPFGALLVKMETEGMLVDRAYLSEIEKTAVVERKLAADKFRKWASKHCPDAKYMNVNSDNQIRQLFFGGIKNRNKPGETWPQSKTFKVPNDESIATEGKKTSKSRTIKLFTIVEDLKLFTTEGKKTTKTGWLKVRGDVLWSLAGKIPTDHIYKIDDGQEFDEDGSSVELPEQDIENTSPYGTAYEAFGGGKKGREACHAIAALCEAFSIDKLISGFIIPLQGDRISCKEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLTNCKSMLEAFKAGGDFHSRTAMNMYQHVRDAVEEKKVLLEWHPQPGQDKPPVPLLKDTFGAERRKAKMLNFSIAYGKTAVGLSQDWNVEVREARDTLKLWHRDRKEVSAWQKKQKAFALEKCEVYTLLGRSRQFPNMTHAGPGQKGHVERAAINAPGQGSAADVAMCAMLEIERNARLKELGWRLLLQVHDEVILEGPTESAEEAKSIVVECMSKPFYGTNILKVDLAVDAKYAKSWYAAK >ONIVA08G04460.1 pep chromosome:AWHD00000000:8:3573944:3583973:-1 gene:ONIVA08G04460 transcript:ONIVA08G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSINGGVQPPLKPSFPLSPKPSLLPLASASGRRRRREATACCCGLPLRRCKTAPCGFGCGVGGGVVGGGGGRRAGSKLRRLVRSMAVAPPLPPAPARQLRRLQGSSPRPPPWLSSPFRRTRYLSRPTFAAGGRQDYSPSSGMGVSKTGAFRLGLYGNLNVQSSVQEWVDETKRLFFLRTTNNVRNNITNGTTPLRVGNLRHDPSEDTRSSNYPSLYNQRERGPSNSIVNRHVDTDLAKHRVMYQSAHAVPAPFSVVNNDIKPLNMLDGSKEAIPWHDSVTVESSLPKVSKSETTLVVDKAIPDKKEHKRITRKVTPNVPDKASLSTESKNARKLLATIYDKVLVVDNVESARSVVKLLTTKYKGFIHACDTEVANIDVKEETPVGHGEVICFSICSGNSDGEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIKKVWHNYSFDSHVIENCGIKVAGFHADTMHLARLWDSSRRTDGGYSLEGLTNDHRVMDAVVKDIPKTGKVSMKTIFGRKKVRKDGSEGKTISIEPVEKLQREDRELWICYSSLDSMSTLKLYESLKNKLEAKEWIFDDCPRGTMYDFYEEYWRPFGALLVKMETEGVLVDRAYLSEIEKAAVTERELAADKFRKWASKHCPDAKYMNVNSDNQIRQLFFGGIENRNKRGETWPQSKTFKVPNDEGITTEGKKTPKSRTIKLFTIVEDLKIDIFTPTGWPSVSGDVLRSLAGKIPTNRIYKIDDGQEFDEDGSSLELPEQDIEDTSLYGTAYEAFGGGNKGREACHAIAALCEVFSIDKLISGFIVPLQGHVERAAINAPVQGSAADVAMCAMLEIERNARLKELGWRLLLQVHDEVILEGPTESAEEAKTIVVECMSKPFYGTNILKVDLAVDAKYAKSWYAAK >ONIVA08G04450.1 pep chromosome:AWHD00000000:8:3568866:3571444:-1 gene:ONIVA08G04450 transcript:ONIVA08G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein [Source:Projected from Arabidopsis thaliana (AT1G34220) TAIR;Acc:AT1G34220] MVGVSSKTLLKLSIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELIAVRLPIIETQKECPIDLKEAISSICFAAPRCADLPELMQVQMMFATKYGKEFVAAATELMPDCGVNRQIIELLSIRAPPVDVKMKLLKEIAEEHEIDWDPSATETEYLKPHEDLLNGPTYFNGSTLPLPKEKHEETLAASAAEHPDEVYESDTGLESLDLPEVPKAAIRPPSDTPATPDIDTHVPSSQPTSHEFSNMPSSQPTSHEFLNMPSSQPSSHEFSNIKSSQTTSHEFSNVQTSQPASHEFSNPSDLEENPTANAAFMTQPKGSEHIPTPFAQPSMPVSPNEKKHFVPFASPPPFAVPSLVEKNESIPSPSPSPPVKPTDPEMFRTIDEVTPPPTTTDYLFSKQPEQLHSTSAENIANIDLDDVLSAAQTAAETAERAASAARAAANLAQLRIADLKKNSKAYENYSDSVRKESHHQSEVTQKPVFDHQDSFSSDTQGYVPSHLPQRSPSMEDDPYFSYPNLFSSKP >ONIVA08G04440.1 pep chromosome:AWHD00000000:8:3561143:3562195:1 gene:ONIVA08G04440 transcript:ONIVA08G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMWLTVLTKNERIGAGETEEATTGEASSRHGARRRWSGAFRGCGPMRRPHIPCVTAAVAGEAITPSRCVVPKVVPLARSGKPKVGSVELNGVAAAA >ONIVA08G04430.1 pep chromosome:AWHD00000000:8:3553096:3555532:1 gene:ONIVA08G04430 transcript:ONIVA08G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRGFPATLLVTLLRLVGCLLLICLAAPPCAAQQVKTSHAQFAFHLPLPDGVTGAESLAFDSSNHGPYTGVSHGRVLRWGGAAAGWTTFAHHQDYRKIPMCTTPVAPPEETESMPPVMSTSPIYDAATKQVTVLRSGLPYANGVAVSRDGSHAVVAHTVPCQAFRYWIKGPNAGEYELLADLPGYPDNVRRDARGGYWVALNQEKVRLDATAAAVAPPAKHLVGVRLDGDGVEVEELTTAKGVTLSEVVERGGKLWLGSVELDFIGLIMQ >ONIVA08G04420.1 pep chromosome:AWHD00000000:8:3540716:3543417:1 gene:ONIVA08G04420 transcript:ONIVA08G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G31010) TAIR;Acc:AT4G31010] MLLLAGLLRRARPPRRPSVRRLSGLLDRYGFVPPASLTPHSASDDGGAKKRRPKKPPYRPPSSLDRGGRPAARSDLPFDFRFSYTESSPGDKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDTTLRDAHADDPAPAAERELEEARRRERERVLGEPLTPAERAFLVSKCQKSRTKKQINLGRDGLTHNMLNDIHNHWKNDEAVRVKCLGVPTVDMQNVCHQLEDKTGGLIIHRHGGQLILYRGRHYNPKKRPFIPLMLWKPAEPVYPRLIKTTIEGLTVEETKEMRKKGLYVPVLTKLAKNGYYASLVPMVRDAFLTDELVRIDSKGLPKSDYRKIGVKLRDLVPCIIVSFDKEQIIVWRGKDYNGTIQDNTQKTSVSVLEEESAGAESENGDQEQASSDWASDECSQLSSSDEMPDDKSAISEADSD >ONIVA08G04410.1 pep chromosome:AWHD00000000:8:3529302:3538787:1 gene:ONIVA08G04410 transcript:ONIVA08G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGELANNGGDASPVTPCPLEVAAAMQLLFLFLPRRSSLSSLDCGVVPRQLASACAAEVQRTGGGDEASTAPRQIRRRSAACGVARGGPPAGVMNTLLSKLSKILEDKYTKLKGVRRQIAFFRNELSSMKAALEMLETVEELNPLQKEWRDTVRELAYDIEDCIDPFLVLVDQKQDEQSTFFKGFSYKLKKMKARHEISNEIEELKTRVIEASKRHKRYNFVGLQSSHGTSGPKEHVMEWFAKGRGDVEVKVLSVVGSGGLGKTTLANQIFRQLNCQFECKGFVSVSRSPDIKSILRQMYTEVGITDDTSEDERQLIDKIKDHLKDKRYFVVIDDVWDVEAWEAVKLALFNNRCGSRIVMTTRNAAVASHCSRGGGCVYQMEPLSFADSKMLFCQRAFRSQELYYPHLEEVCDEILAKCGGLPLAIITVSSLLAGKHAKDEWDRMLTAIGHALAKNPDAANMTKILSLSYFDLPHHLRTCFLYLSVFPEDYKISKQHLINRWIAEGFVHEEQGWRTYEVGGNYFNDLINRSLIQPVDINDGQAKACQVHDIILDFITCKAAEENFVTSVNSVEHGNISECRVHRLCVKNHNNEKVSKPTSLNVTHVRSLTMFGHVDGISLFAFPILRVLDLSYSLLKDKHLKNIEKLHFLKYLSLRSTLITKLPRKIGQLNCLETLDISYTEILELPLSIAKLECLANLYVGRGTGFPDRLIGKMHSLVELEEFGVSCELGKSLQGFSQLSKLRTLKVHLFWWSDAEECQNYVSALLSSNLHHLYLTGGPLIMEKWYPPSPCIIRKLHIIGCYIRKVPNWMSSLGSLTELQLWIHRMGPNDIEILGAIPSLCFLKLKTMCGINGRIIICSNKGFRSLTYFSLRIKRCGTLLEFEPESMPKLDNFQVEFRLHGMDCLNGASDFGIQHLSALTKVKIGIWGNICSDGIYDPEQDMNNSITRSVVSLIKAAIEKLPNHPTSRFHLEYDHGSCLLGETSQEFEGQGPDEAYPRQHKIFSLRELEDATNCFSDSNVLQRGRFDGSMYKGRLGDGSLVVVKKDYISRALSMGYPNIDWRTRHFQTQVEMPVHRNLMRLHGFCITPTKRFLVYPYMSNGTVASQRPPYEPPLDWRTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANISLDEDFEALVGGFGLAKLMDHMDTDEPNAVRGTIGHIAPEYLSTGIISEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLEMLVDPDLQSNYIDVEVESLIQVALLCTQGSPTERPKMAEVVRMLEGDGLAERWEEWQKIEVVRQEVELVRNSPTFVYLGRVEPT >ONIVA08G04400.1 pep chromosome:AWHD00000000:8:3521716:3528220:1 gene:ONIVA08G04400 transcript:ONIVA08G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRWAVWAVLLLRLLVPAARVLANMEGDALHSLRTNLVDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNNISGTIPSELGNLTNLVSLDLYLNNFTGPIPDSLGNLLKLRFLRLNNNSLSGSIPKSLTAITALQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPSLCGPGTTKPCPGAPPFSPPPPYNPPTPVQSPGSSSSTGAIAGGVAAGAALLFAIPAIGFAWYRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRGQRAFDLARLANDDDVMLLDWVKGLLKEKRLEMLVDPDLQSNYIDVEVESLIQVALLCTQGSPTERPKMAEVVRMLEGDGLAERWEEWQKIEVVRQEVELGPHRNSEWIVDSTDNLHAVELSGPR >ONIVA08G04390.1 pep chromosome:AWHD00000000:8:3507511:3508407:-1 gene:ONIVA08G04390 transcript:ONIVA08G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKSYGHLLSPVGSPPSDNESGAAAAAAAAGGGGCGSSAGYVVYGGGGGGDSPAKEQDRFLPIANVSRIMKRSLPANAKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEAYVGPLKSYLNRYREAEGEKAAVLGGAGGAAAARHGEGGCCGGGGGGGADGVVIDGHSPLAGGLSHSHHGHQQQDGGGDVGLMMGGGAAGVGYNAGAGSTTTAFYAPAATAASGNKAYCGGDGSRVMEFEGIGGEEESGGGGGGGERGFAGHLHGVQWFRLKRSTN >ONIVA08G04380.1 pep chromosome:AWHD00000000:8:3500787:3504813:1 gene:ONIVA08G04380 transcript:ONIVA08G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSVTIIEDGRVAVPPPPPPEPALFKLTALDAQWIPLPLIQRVLVFDDGGEGRIPPFEDVVAALRASLAETVARLLPLAGRIVHLPETGEAAIDCSGRGGGVRFVVAECGGADAARVARDADHDVALMEQLAPVLDADALPAETMAAQVTRLGGGGGGVAVGVALHHAVVDGRSVWRFIEAWAACCRGDDAWSAAPAPAFDRAAVALPDGEELARDVLRKYVPNLPVATVPKFLVKGRLQLSRRTFTVFAAQMLRLKQRIVVAGAGGLTPPSGFVALAALAWVSFVRSKHAAGAIAAGDEVYLFFFIDCRGRRAAFDPPVGEGFFGTCISGCLATATARDLLGGGDGGLVAAARAVQEEVRRAAEDPLAGWEWMSLVGRIALSRLVNMSGSTRFPAYEAADFGWGSPSRTELVTMNHGGQVVLVAAKGGGVQASVSMKPEHMDKFKSDFESYLE >ONIVA08G04370.1 pep chromosome:AWHD00000000:8:3493353:3495620:-1 gene:ONIVA08G04370 transcript:ONIVA08G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAVSGDAGARARVRVLAVSRVAPSPAPVERERVGLSFFDTPWVVLPPIQRVFLYETAAAADGGGGDGFAAAVERLKGSLAATLALYLPLAGKLVYVEETEDVVVDCAAADDADAGVAFVEAEAEDAAAEAMDVRRLAGDEAHDVAAFLALVPELDTRALPAPVLSVQATRLGGGAGLALGLSVHHAVADGRAVWQFMEAWSSAARVGSPVTKSLGAPHYRREAAIPQPDGGELARHMLKLVAPKLPAVASGEHDFSQRFRLARRTFHLGADAIQSVKRRIDELASAEEEAAGGAKPKPVSTFVALAAMGWAAFVRSKALADGDDTYLIFLADLRARLDPAVGEGYLGNCVRMCLARCPDAAELRGERGLLRAARAVQAAVAEMEAAPLAGTADGSAIARVMQIPFSRMANVAASPRFGAYGAADFGFGRPARVELVSMNHDGEMVLVGGRRDGEVQLSVSIDPAHVDAFKAQVLG >ONIVA08G04360.1 pep chromosome:AWHD00000000:8:3489221:3489508:1 gene:ONIVA08G04360 transcript:ONIVA08G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARLDPGDEPTQGNQGDVGATASTKQHHDDDDGEFGVLWRKAERLGPSLLLGWRWWATPRCASSGPSPTSTLLDGDGGRARVDGIVEEIHVSL >ONIVA08G04350.1 pep chromosome:AWHD00000000:8:3486054:3487331:1 gene:ONIVA08G04350 transcript:ONIVA08G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVMGGDPMSLVRAQSLSPFFSLPLFDPDLRDARKEAAATARVPSNSELEHVLSAATSSSSVLPRRLPNLTGLMQWQEGPATVAAGRPQLVPSRIAYRNLWWKDAAAAAPGNITSLPSTLVVAYYIARMDKTTKLLIFVAAANILLSMMATIIQCRKRKREVEEIEIPNVLYIY >ONIVA08G04340.1 pep chromosome:AWHD00000000:8:3481620:3482147:-1 gene:ONIVA08G04340 transcript:ONIVA08G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAEQHHRQEETAAATTTPQQMMMRRRRARASSEYLGVRRRPWGRYAAEIRNPYTKERHWLGTFDTAEEAAVAYDLSAISISGAAAARTNFLYPDMHHHHPSPPQHALSPAVPPPPPPPPPSPLYDDDYLSPAAAEEEVEAGDDESLTIATILQSFQYQQSVPPASSGSMFYY >ONIVA08G04330.1 pep chromosome:AWHD00000000:8:3474487:3479209:1 gene:ONIVA08G04330 transcript:ONIVA08G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDDEKVVKKSSASGGLHGEEQVDGQVVTAETAAAAPAPSRQPQSILRSFAGCLLALCGSGGGGDPSDPPPLRPRPHGATAAVTDTTSAAAAAAHHLQHEADGGSKHVVIEDLLGTNRPVLLARTRPPRGPGPPREGRGGGGGSHN >ONIVA08G04330.2 pep chromosome:AWHD00000000:8:3474487:3475250:1 gene:ONIVA08G04330 transcript:ONIVA08G04330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDDEKVVKKSSASGGLHGEEQVDGQVVTAETAAAAPAPSRQPQSILRSFAGCLLALCGSGGGGDPSDPPPLRPRPHGATAAVTDTTSAAAAAAHHLQHEADGGSKHVVIDFNFEIGFYAGGDRNFGNFWSKLFENLNEFE >ONIVA08G04320.1 pep chromosome:AWHD00000000:8:3471326:3471847:1 gene:ONIVA08G04320 transcript:ONIVA08G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMALAGACRRRPQLLRPSAARRLSFVFLSRPFPPAPAPLLQLIQRQRHRSSSSSSISDQNGAAAPVTENLQAVAAMRRRQSAGGRPAAPRGGRGGGGGRPTPPGNPREAQKGGGVIHAVVQPPPPPTSSKPKPEPPVYPREGGGGNGGVVDDVSPSSTDTSTSSSSSSSS >ONIVA08G04310.1 pep chromosome:AWHD00000000:8:3467765:3468310:1 gene:ONIVA08G04310 transcript:ONIVA08G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALASPTSPSFLPAHLLRPHAASSSSGLQLAGEKVLVRDAPPETGGGPHHNAILLRQPPVMLAAAAGIPEQGSGPHHNAVPQWKPRGGGELRLPASPPVILAAAGTAPERGNGPKTNAVLRRPTPPGGAGPREGRGGRGGVIHAIADSAPQRPGSPAEGAGGNGGAVHAAPAAAAAASS >ONIVA08G04300.1 pep chromosome:AWHD00000000:8:3466459:3467258:1 gene:ONIVA08G04300 transcript:ONIVA08G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLPLPLLPGRFSGVFLSSPHATSCSWSYTLTAAAHAGISSVPITTKNSSMLVVVSSSGGTHGQGKEAASAQEGRAGEVAGSGESETEACRADQGPLGGCKWRPRRRDRRRRETATAAASVGRLRWWQDCRTHSKPRQVELELELELC >ONIVA08G04290.1 pep chromosome:AWHD00000000:8:3463540:3464046:1 gene:ONIVA08G04290 transcript:ONIVA08G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSPSSFLPAHLLRPHAASLAGANVLVRDAPPETGGGPHHNAVLRQPPVMLAAAAGTPEQGSGPHYNAVTQWKPRGGDQLRLPASPPVILAAASTPEQGNGPKTNAVLRRPTPPGGAGPREGSGGRGGVIHAVADSAPQRPGAPAEGAGGNGGAVHVAPAAAASS >ONIVA08G04280.1 pep chromosome:AWHD00000000:8:3463260:3463533:1 gene:ONIVA08G04280 transcript:ONIVA08G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLTISPPRRVVARGVASPATNTADHSTTFHILIHPSRSIHWALARSSGLAATTRSIGHRTRSIAGIN >ONIVA08G04270.1 pep chromosome:AWHD00000000:8:3458090:3458419:1 gene:ONIVA08G04270 transcript:ONIVA08G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSPSPTSPSFYVSSSPRHQPGLLLPLPSPSSSDRRRPTQLAVAAAMDTTPRQGGVLLEMRPRGGGGGAPRRPAPPAGPREGRGGVVVVHAVAKDAPPETGSGPKIH >ONIVA08G04260.1 pep chromosome:AWHD00000000:8:3447759:3448040:1 gene:ONIVA08G04260 transcript:ONIVA08G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSPASPPPSFLIGGAQAQLLRHREEMLLVLPSPPSGRQLPSEEEEAAPCAVNGRSTILAAADDSKPTRPGAPAEGSGGNGGAIHTAASS >ONIVA08G04250.1 pep chromosome:AWHD00000000:8:3443272:3444324:1 gene:ONIVA08G04250 transcript:ONIVA08G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLARLAGKQQQLLLQYALRRSPAPPGRRLPGVVPALPLLWSAPFLGGSRRLKSYNAAAGVAVGGDKAAADHHHRHAVGADLDVGELASEEHYSAAGTSSSSSREYHSVAQTVAAADGDFDGGEKMATRPAARGGGAKASVLLGWGEPEPGGGPHYN >ONIVA08G04240.1 pep chromosome:AWHD00000000:8:3429315:3436438:1 gene:ONIVA08G04240 transcript:ONIVA08G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIFACGSISSAHGSCFRPACLAVDDLRLFYKINSVTCGAYSWRWCVKKLHMRTNRRQMGTTVRTNARWLFGGDGRSSSNARMERSESANEDILIFYFQLDLQTRIQIETVIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNVKYAFRLGQKVRHKVHGYRAVICGMDHVCCESKSWMETANVENLSKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEISEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRYEASGDEDDDDGSTDS >ONIVA08G04230.1 pep chromosome:AWHD00000000:8:3427935:3428492:-1 gene:ONIVA08G04230 transcript:ONIVA08G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKGVGRRGKARRPGALTSWTCAGEWCSVGPNYNCNVVFTCTRTCWGFCLVTLAKLLSLKLIVALGAVQKPMCSGVTELRLSSAEEHARFRRIVFEVNSICTTLWSHRPRRMCPSPCRSPLPSFLLVASLLPTHRQAPLSGFYLLLPPNDHHLSPYWSPATTHLSRDSASGVLYTCMHGHSWS >ONIVA08G04220.1 pep chromosome:AWHD00000000:8:3417223:3418657:1 gene:ONIVA08G04220 transcript:ONIVA08G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPALARLDVSTVAAGAGKQQLVHARRRRRPAHAHRLPVPGAGSAIRLACSSPFLGGSNGSRGLKHNAAAGEKSAADHAAGALEDELIQKENSGGDAAAGASPPSSCDNHGAPQQIEVTADTNDGDKEKTNGPARDVHIKAKLLGYNLEPGSGPHYNLLGPV >ONIVA08G04210.1 pep chromosome:AWHD00000000:8:3411177:3411539:-1 gene:ONIVA08G04210 transcript:ONIVA08G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGDAEEEKQQQSSSSSTFERLHGELVREAGELAASCGADVTVLAVPPARSSSFAGGGVTRFVGGGGGAAAVPRPEEVASMGPDEVVALDERLRSLRLLVMRRIKAEQEKAATKP >ONIVA08G04200.1 pep chromosome:AWHD00000000:8:3407930:3408367:-1 gene:ONIVA08G04200 transcript:ONIVA08G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGGGGGGESQQQLFERLHGELVREAEDVAAASFGADITVLAVSPRSGEPRVSRFHGGGAGGAGGEESERAVGVSTEEIARMGRDELAALLERLRLLRMVVLRRMVVQRQRRRRLRRQRPAAPPRSIMVVQKRRRRRQIC >ONIVA08G04190.1 pep chromosome:AWHD00000000:8:3402820:3403722:-1 gene:ONIVA08G04190 transcript:ONIVA08G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSSVSARTASSLSSSSSSLDDGGRGRPPPAGSTLELPSRKHYRYPSRSARLFQKLRRSLPLLTLAPRCGRMQVGSASEVAAAAASGGGGGGAADSHLMSHVVSSFSGAARPMRRVTGTLFGHRKGRVALALQETPRCLPTLVIELAIQTNALLRELANPAGARIVLETERRAPSTDAAAGKHRRAPLLLDVAAWTMFCNGRKTGLAVRREATDDDLAVLETLRPVSMGAGVLPASNRSSSSSSQSPEKGAAAADDEVAYMRGCFDHFVGSRDSESLYMIAPQGGGTGPELAIFFVRL >ONIVA08G04180.1 pep chromosome:AWHD00000000:8:3397361:3398262:-1 gene:ONIVA08G04180 transcript:ONIVA08G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAQLKDKFCGLIGRITSCGRAAHKDAAAGVKETHSASSQHTEIRSRGLPPSVSGGSKPHTN >ONIVA08G04170.1 pep chromosome:AWHD00000000:8:3381185:3390150:-1 gene:ONIVA08G04170 transcript:ONIVA08G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0I7N8] MEDPEITGQQSPNATSIVTDLMDLDDIIVEGNEVQFAMDVDLRAIPSLKDGGHTDPLVQIPGDMSHMDVDLRVIPSLKDGGHADPPVQVPVDKRIASLEKLCKEASRSFFRETRLVSHQINSYNDFVSHGLQKMFDSLDEVTVEPDYDPSKKVGPWRHATIKFGRVELEEPVFWVDNCDLDVETLKLKPKHARLQKMTYSSKMKVEMTVQVYSLHKSDKAKTGEDPYIQRKHIMKETKWVTIGKLPVMESECEYDFGGYFLIKGMEKVFVAEEQRCLSRIWINDSPTWEACYQRSQIRREKISIKPVQSNDGFRKVINLYFLGATIPIWIMFFALGVSSDKEAFDIIDIQECDASMANIISATISESHEQCEGFQREGRASEYIDKLIRNTKFPPKGSFDEYIGRHMFPDVSGNRSKALFLGYMVRCLLLASSGNRKSDNRDDFRNKRLDLACELLQRELWVHIMHAQKRMVKVMQRHLSGDGDLQPLECYVHASIVTNGLNRAFSTGSWCHPFNKRERCSGIVATLRRTNPLQMMSDMRKTRQWVAYAGKAGDARYPNPSYWGKLCFLSTPDGEKCGFVKNLAITAIVSCLAREPSVDALVSCGMKKLDELLLQEISGKDRIFLNGNLVGVCADSVEFVLHLRSMRRRKQIDAQVEIKRDKQNKEVRIFSDPGRILRPLLIVENLRNIMNRKNGSYSFQELMDQNIIELIGVEEEEDIRCAYGIRHLFAGDEEKNFSFYTHCELDPSFLLGLSCSIIPFANHDTAKRILMQAEKISQQAIGYSTTNPLFRVDTHSHQLYYPQRPLFKTVAADCLGKRDYTSGSKHDFARPEYFNGQNAIVSISVHQGFNQEDSLVLNRASLERGMFRTQHVKSYKAPIENKEITKRLKHKENINFGKTPSKKGLVDSLDIDGLPYIGASLQSNDIIIGKVSDCGEDHSIKLLHTEKGIVEKVVLSATDDGTNSAFVTLRQTRSPRIGDKFASMHGQKGVIGFLDSQENFPFTHQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIALGGATRYATPFTSPSVEVITEQLHKAGFSRWGGESVINGRTGERAASPVFTGPTFYQRLHHMAEDKVKFRNTGPVHPLTRQPVEDRRRYGGVKFGEMERDCLLAHGAAANLHERLFLLSDVSRLHVCRRCQRAAVVVSPAVAADGGCGRKVRGPYCRFCRSAEEVVRVSVPYGAKILYQELFSMGICLKFDTELI >ONIVA08G04170.2 pep chromosome:AWHD00000000:8:3381185:3390150:-1 gene:ONIVA08G04170 transcript:ONIVA08G04170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0I7N8] MEDPEITGQQSPNATSIVTDLMDLDDIIVEGNEVQFAMDVDLRAIPSLKDGGHTDPLVQIPGDMSHMDVDLRVIPSLKDGGHADPPVQVPVDKRIASLEKLCKEASRSFFRETRLVSHQINSYNDFVSHGLQKMFDSLDEVTVEPDYDPSKKVGPWRHATIKFGRVELEEPVFWVDNCDLDVETLKLKPKHARLQKMTYSSKMKVEMTVQVYSLHKSDKAKTGEDPYIQRKHIMKETKWVTIGKLPVMVKSNLCWLHKLQESECEYDFGGYFLIKGMEKVFVAEEQRCLSRIWINDSPTWEACYQRSQIRREKISIKPVQSNDGFRKVINLYFLGATIPIWIMFFALGVSSDKEAFDIIDIQECDASMANIISATISESHEQCEGFQREGRASEYIDKLIRNTKFPPKGSFDEYIGRHMFPDVSGNRSKALFLGYMVRCLLLASSGNRKSDNRDDFRNKRLDLACELLQRELWVHIMHAQKRMVKVMQRHLSGDGDLQPLECYVHASIVTNGLNRAFSTGSWCHPFNKRERCSGIVATLRRTNPLQMMSDMRKTRQWVAYAGKAGDARYPNPSYWGKLCFLSTPDGEKCGFVKNLAITAIVSCLAREPSVDALVSCGMKKLDELLLQEISGKDRIFLNGNLVGVCADSVEFVLHLRSMRRRKQIDAQVEIKRDKQNKEVRIFSDPGRILRPLLIVENLRNIMNRKNGSYSFQELMDQNIIELIGVEEEEDIRCAYGIRHLFAGDEEKNFSFYTHCELDPSFLLGLSCSIIPFANHDTAKRILMQAEKISQQAIGYSTTNPLFRVDTHSHQLYYPQRPLFKTVAADCLGKRDYTSGSKHDFARPEYFNGQNAIVSISVHQGFNQEDSLVLNRASLERGMFRTQHVKSYKAPIENKEITKRLKHKENINFGKTPSKKGLVDSLDIDGLPYIGASLQSNDIIIGKVSDCGEDHSIKLLHTEKGIVEKVVLSATDDGTNSAFVTLRQTRSPRIGDKFASMHGQKGVIGFLDSQENFPFTHQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIALGGATRYATPFTSPSVEVITEQLHKAGFSRWGGESVINGRTGERAASPVFTGPTFYQRLHHMAEDKVKFRNTGPVHPLTRQPVEDRRRYGGVKFGEMERDCLLAHGAAANLHERLFLLSDVSRLHVCRRCQRAAVVVSPAVAADGGCGRKVRGPYCRFCRSAEEVVRVSVPYGAKILYQELFSMGICLKFDTELI >ONIVA08G04160.1 pep chromosome:AWHD00000000:8:3374707:3375872:-1 gene:ONIVA08G04160 transcript:ONIVA08G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSRDVRGGAAKTPAVADPAAESRRHSLRPSRTALVLTSLAFCRGRRDDAVHAYSSLPLEEPPAKGAHVSVDFDFRALPGEEDAKRGLKAASRTPRRRDEVRALPGFDQGIMSGLWGIVAAEPSRSPERENPTTPKASEEEAAAAAFDDMGTPAARDIPEVTGFVRARVDEFHEKIEKKKKAAKAADEEALDVAPPPPRRPERVTKASAVVVVYFTSLRGVRRTFEDGRAVRAILRGHRVRVDERDVSMHAAFRAELRGLHGDGFAGPPPLPRVFVGNGRHDLGGADEVRALHEAGELARALAAAGCEQHAADAAAGACAACGDMRFLPCETCYGSCKVFAGDAVAGMFWRCPDCNENGLIRCPVCCY >ONIVA08G04150.1 pep chromosome:AWHD00000000:8:3366322:3371632:1 gene:ONIVA08G04150 transcript:ONIVA08G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I7N5] MGRVLGLVWEKRPRVWGLLVCAVVGAFVAGESPSSHISSPQRRSASSESEEGFVKITKMEVCEHAVVHGSAAVAAAIDLYTRCSLRLLPRADDEAGAAVLELDLRDHGLTFSWPCARLHEALLTEEVAGAQEARPCSPDRMASIARLLEEHEIPEAKVWLSAGLSAFLFLYTSILGSDLPMGSGLGSSAAICVSMSGALLTAAGMVAAVGGISSKGTGWELVGKDDLELVNQWAFQGEKIIHGKPSGIDNTVSTFGSMIKFKKGELTNLKSRNPIKMLITDTRVGRNTKALVAVNSISEEVSSLVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTLLSNLVLEKVIAELESQSFRCFIVEVGGQGFQVCQGGCSCFNGDVV >ONIVA08G04140.1 pep chromosome:AWHD00000000:8:3363769:3366115:-1 gene:ONIVA08G04140 transcript:ONIVA08G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQPAASDPAPPPPPETEEQPAAAGGEEIAALDEQLAVADGGGDDGKAAAAAEGGGGGGKLVAETMRKYAAPRSSRYHGVTRLKWSGKFEAHLWDNSSQVEGRKRKGKHGSYVTEENAARAHDLAALKYWGAGPNTKLNFNVSDYEKEIERMKTMSQDEFVVYIRRQSSCFSRGTSSYRGVTRRKDGRWQARIGRIGESRDTKDIYLGTFETEIEAAEAYDLAAIELRGAHAVTNFDISNYCEDGLKKLEASSEVPKLLEGPSKAAKLAGR >ONIVA08G04130.1 pep chromosome:AWHD00000000:8:3359815:3366187:1 gene:ONIVA08G04130 transcript:ONIVA08G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKPPSCSTRLRSPATTAACFLPASLLLFLLLLLLRRPPMGSFPPTIPGGSVSSRRAELYGRMARDLDERGAAFLDGGETSQSLTLSELFDTRDGAVVPRLKAANPPVRANVLYLDPEFASVISKAVKEVFLPYFNQAIWFQNMSIYHFSMFHTSHHLEPIVATEDEIEAEVDAVKRVTDDVCPLKIILDQVVLTSTGVLLGLWQVESGTDPADIRSRLREALPRAPQKQLYDPVMLHTSLARILGHPKLPQEGNAQSLDHVKFFHDLVAQVNSKIRGFQATVKELWFVEEYDVLALALNGKMKVRRLQFGCKEGQGNGKI >ONIVA08G04120.1 pep chromosome:AWHD00000000:8:3354071:3357593:-1 gene:ONIVA08G04120 transcript:ONIVA08G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKKPSRIEPFRHKVETDPRFFEKAWRKLDDAIREIYNHNASGLSFEELYRTAYNLVLHKHGPKLYDKLTENMEDHLQEMRVSIEAAQGGLFLVELQRKWDDHNKALQMIRDILMYMDRVFIPTNKKTPVFDLGLDLWRDTIVRSPKIHGRLLDTLLDLIHRERTGEVINRSLMRSTTKMLMDLGSSVYQDDFERPFLEVSASFYSGESQKFIECCSCGEYLKKAQQRLDEEAERVSQYMDAKTDEKITAVVVKEMLANHMQRLILMENSGLVNMLVEDKYEDLTMMYSLFQRVPDGHSTIKSVMNSHVKETGKDMVMDPERLKDPVDFVQRLLNEKDKYDSIVTTSFSNDKSFQNALNSSFEHFINLNNRCPEFISLYVDDKLRKGMKEANEEDVETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLLSGKAASDDSERSMLVKLKTECGYQFTSKLEGMFNDLKTSHDTTQRFYAGTPDLGDAPTISVQILTTGSWPTQPCNTCNLPPEILGVSEMFRGFYLGTHNGRRLTWQTNMGTADIKAVFGNGSKHELNVSTYQMCVLMLFNSADCLSYRDIEQTTAIPSADLKRCLQSLALVKGKNVLRKEPMSRDISDDDNFYVNDKFTSKLFKVKIGTVATQKESEPEKMETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVTEVTKQLQPRFMPNPVVIKKRVESLIEREFLERDKTDRKLYRYLA >ONIVA08G04110.1 pep chromosome:AWHD00000000:8:3323304:3353069:-1 gene:ONIVA08G04110 transcript:ONIVA08G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAMGSLLPKLGELLKDEYDLQTGMKEKVKSLSRELESVHAVLRKVGEVTPEQLDELVKLWARDVRELSYDMEDIVDTFLVRIDSSETDDRSVLRHLRKKMSRLFKRTKDRRKIAGAIKEIEKKLQEVEARRARYTVDSIITKPAGPASIDPRLQALYKRSTELVGIDGPVDKVIKMLSLGDDRNMKIVSVVGFGGLGKTTLAKAVYDKLKPDFDCGVFVPVGRVPDIQKVLRDILIDFGFKVSDVMILDERQLIDKLQNFVQKMRCFIVIDDIWDKKSWELIRCALQDCKCGSRVVATTRISEVATHVGDVYKMQPLSRDDCEKLLYARIVDSEGKCLDSPSVEACDKILKKCRGVPLAIITIASLLASKPMEDWPVVYNSIGFGHEGNDDVANTRRILSFSYYDLPSHLKPCILYISIFPEDYEINKNLLIWKWIAEGFVHVEHVGIGLFEVGEGYFNELINRNMIQLVKAENEGYISSCRVHDMVLDMVRSLSSEENFVTLWDSSEKQKLPRRNARRLALQSRSIKEQNGNQLASTSMEQVRSFIANDCDDISMLFPRFRVLRVLILEDCDDVEDVEGCGGNSVDHLGSLLHLRYLGLPDTDISKLPKEVGGLKFLQTLDLWNTGIKELPQAVGLLTQLLCLHTDRSTTVPAGLIGKLTSLQELWIWPGSAYYRDMDPVAGAASTRRFAKELGNLRELRVLRASIYAVDESTERDLMESLLGNLQKIQSVDIFGSPLERGVTWDAGFASPWRLRHLNLECFEFSRLPASVNSSLLPNLSHLDMKVQVMQEQDMETLGRLPELRCLVLDSRYTKVVRIKNTGSDCYFKKLRFFTMGSSSILFDVQGSECAIMPSLESLAFSVHVRFLKDADLLCFKKLGLVNLPSSLQRVTVEINSWDAHDTEVEEAEAMLEHAAIVHPNHPIFQTTRPFGKYIMLLPDQEPSSTNPKVDRCDVNLRKNDGDHLDFRWLLMNPRVEKFCVSINCENASLEEVEEAEAAARYAVDVHPNCPTLELVRYGEDNMVLPNPQQQFLMEMAASMNELNMSTFQTNVSISMDYHRIHPVGVDSPPPAPDSQQQVGKGRSTTSYGEKEQLPITTPRSFFVIIDDIWDRKSWELIRCAVQDINYPHWLHLSPPLSAWDGVRGVAASAMGGTTVPVINPAVSAADPASVVGEKLSAAVLAANPVLAASAMGGGSIGHVGGRRGAFSIAIMELVMGAMENLIPKLGELLKEEYVIQSGVREKIQSVSRELESIHAALRKIGKVPWEHLDDELRLWAHDLREASYDMEDIIDSFLVRVDGHEASEVHWFKWFLEKMTNQFNKIKASHEIGVAMKEIDEKLQEVATRHARYTIDNIAIKPAGPATVDPRLLSMYKTSAELVGIEGPMDELMKMLDIDLPTKKRKIEIDVSVRKPKMVSIFGFGGLGKTTLAKAVYDKLKPSFDSGAFIPVGQNPNIRKVFRDILMDLDKQSYNDLNLKLLDERQLINKLQEFLQKKRCFVVIDDIWDKDSWRLIRCALQDSNHESRIVTTTRIYEVATQVGEVYKMHPLSHDESKKLLYTRIISGEGESLPSTLVEACDKILKKCRGVPLAIITIASLLANKPREYWSEVYNSIGLEHGYNDDVDNTRRILSLSYYDLPLHLKPCLLYLSIFPEDYYIEKNLLIWKWIAEGFVHEKQAAKLGLFETGEGYFNELINRSMIQPVEHEYSGYMCGCRVHDMVLDLILLLSGEENFVTVVDGSKEHELSWKNARRLALQHWSFEENRNQLANMGVKQTRSLIMTECFDKNIQLPSFQVLRVLEIQKQGRRWNIDGKIKLQHVRNLLHLRFLHLDCIDSIPLIEQFRNLRFLQVLHLKESNIQELPESVGLLTKLLSLRVDIDVRVSPGVIEKLTSLQELYLWPYSDDTFQFVKVLGKLRELRVLHAKNLKLDGQRETSALLESLCNLHKIQTLDIDLNLIPNEGVTWDAGFTSPQCLRHLCLVSLRFHRMPEWINWSLLPNLSYLELRVNFLEELDLETLGRLPKLRYLHLFIHCDRIVSIGKIAGAGDACFQELTFLNTPYLYVRFDQHGIMCSKDEKAVMPNVKSLSFCVYVRILKDTDILGFDKLFSFAHLGRSSLQQVQVNIQCRGARAMEVEEAEAALAHAAAIHPNRPTLRIHKLSEEEMLPPHEEPSSFFPKVVVENLNAHERKDDDLRSVLVQMLQRNPCVKKFSVSIDCENACLEEVEKAEAAARFAVDFHANRPTLELVKYGEDKMVLSGRTNRGCLKDVSKESSNERTAAAVCQGLKENQDWLSPGSHTRGGETARQRPQEARTPQLPARPRPGLYVNIAAPTPLVGQPPLPPCRPPTRRHRIAGKPLPPPVMPPCAVLASAVADHTSSCRANFHGHCGTAGSLRCHLHALPCRPPPLPACAGCASPSSAAPARVDHPSSASAAARQDEEGGGEEGGDEASPLLNLLALLAIAIMDLMKAMGRLLPKLGQMLKDEYDLHTGIRKKIQSLSRELEDVHAVLRMVGEVPPEQLDGTVELWAHDLREASYDMDLPCARRRHGTSG >ONIVA08G04110.2 pep chromosome:AWHD00000000:8:3323304:3352474:-1 gene:ONIVA08G04110 transcript:ONIVA08G04110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGAMGSLLPKLGELLKDEYDLQTGMKEKVKSLSRELESVHAVLRKVGEVTPEQLDELVKLWARDVRELSYDMEDIVDTFLVRIDSSETDDRSVLRHLRKKMSRLFKRTKDRRKIAGAIKEIEKKLQEVEARRARYTVDSIITKPAGPASIDPRLQALYKRSTELVGIDGPVDKVIKMLSLGDDRNMKIVSVVGFGGLGKTTLAKAVYDKLKPDFDCGVFVPVGRVPDIQKVLRDILIDFGFKVSDVMILDERQLIDKLQNFVQKMRCFIVIDDIWDKKSWELIRCALQDCKCGSRVVATTRISEVATHVGDVYKMQPLSRDDCEKLLYARIVDSEGKCLDSPSVEACDKILKKCRGVPLAIITIASLLASKPMEDWPVVYNSIGFGHEGNDDVANTRRILSFSYYDLPSHLKPCILYISIFPEDYEINKNLLIWKWIAEGFVHVEHVGIGLFEVGEGYFNELINRNMIQLVKAENEGYISSCRVHDMVLDMVRSLSSEENFVTLWDSSEKQKLPRRNARRLALQSRSIKEQNGNQLASTSMEQVRSFIANDCDDISMLFPRFRVLRVLILEDCDDVEDVEGCGGNSVDHLGSLLHLRYLGLPDTDISKLPKEVGGLKFLQTLDLWNTGIKELPQAVGLLTQLLCLHTDRSTTVPAGLIGKLTSLQELWIWPGSAYYRDMDPVAGAASTRRFAKELGNLRELRVLRASIYAVDESTERDLMESLLGNLQKIQSVDIFGSPLERGVTWDAGFASPWRLRHLNLECFEFSRLPASVNSSLLPNLSHLDMKVQVMQEQDMETLGRLPELRCLVLDSRYTKVVRIKNTGSDCYFKKLRFFTMGSSSILFDVQGSECAIMPSLESLAFSVHVRFLKDADLLCFKKLGLVNLPSSLQRVTVEINSWDAHDTEVEEAEAMLEHAAIVHPNHPIFQTTRPFGKYIMLLPDQEPSSTNPKVDRCDVNLRKNDGDHLDFRWLLMNPRVEKFCVSINCENASLEEVEEAEAAARYAVDVHPNCPTLELVRYGEDNMVLPNPQQQFLMEMAASMNELNMSTFQTNVSISMDYHRIHPVGVDSPPPAPDSQQQVGKGRSTTSYGEKEQLPITTPRSFFVIIDDIWDRKSWELIRCAVQDINYPHWLHLSPPLSAWDGVRGVAASAMGGTTVPVINPAVSAADPASVVGEKLSAAVLAANPVLAASAMGGGSIGHVGGRRGAFSIAIMELVMGAMENLIPKLGELLKEEYVIQSGVREKIQSVSRELESIHAALRKIGKVPWEHLDDELRLWAHDLREASYDMEDIIDSFLVRVDGHEASEVHWFKWFLEKMTNQFNKIKASHEIGVAMKEIDEKLQEVATRHARYTIDNIAIKPAGPATVDPRLLSMYKTSAELVGIEGPMDELMKMLDIDLPTKKRKIEIDVSVRKPKMVSIFGFGGLGKTTLAKAVYDKLKPSFDSGAFIPVGQNPNIRKVFRDILMDLDKQSYNDLNLKLLDERQLINKLQEFLQKKRCFVVIDDIWDKDSWRLIRCALQDSNHESRIVTTTRIYEVATQVGEVYKMHPLSHDESKKLLYTRIISGEGESLPSTLVEACDKILKKCRGVPLAIITIASLLANKPREYWSEVYNSIGLEHGYNDDVDNTRRILSLSYYDLPLHLKPCLLYLSIFPEDYYIEKNLLIWKWIAEGFVHEKQAAKLGLFETGEGYFNELINRSMIQPVEHEYSGYMCGCRVHDMVLDLILLLSGEENFVTVVDGSKEHELSWKNARRLALQHWSFEENRNQLANMGVKQTRSLIMTECFDKNIQLPSFQVLRVLEIQKQGRRWNIDGKIKLQHVRNLLHLRFLHLDCIDSIPLIEQFRNLRFLQVLHLKESNIQELPESVGLLTKLLSLRVDIDVRVSPGVIEKLTSLQELYLWPYSDDTFQFVKVLGKLRELRVLHAKNLKLDGQRETSALLESLCNLHKIQTLDIDLNLIPNEGVTWDAGFTSPQCLRHLCLVSLRFHRMPEWINWSLLPNLSYLELRVNFLEELDLETLGRLPKLRYLHLFIHCDRIVSIGKIAGAGDACFQELTFLNTPYLYVRFDQHGIMCSKDEKAVMPNVKSLSFCVYVRILKDTDILGFDKLFSFAHLGRSSLQQVQVNIQCRGARAMEVEEAEAALAHAAAIHPNRPTLRIHKLSEEEMLPPHEEPSSFFPKVVVENLNAHERKDDDLRSVLVQMLQRNPCVKKFSVSIDCENACLEEVEKAEAAARFAVDFHANRPTLELVKYGEDKMVLSGRTNRGCLKDVSKESSNERTAAAVCQGLKENQDWLSPGSHTRGGETARQRPQEARTPQLPARPRPGLYVNIAAPTPLVGQPPLPPCRPPTRRHRIAGKPLPPPVMPPCAVLASAVADHTSSCRANFHGHCGTAGSLRCHLHALPCRPPPLPACAGCASPSSAAPARVDHPSSASAAARQDEEGGGEEGGDEASPLLNLLALLAIAIMDLMKAMGRLLPKLGQMLKDEYDLHTGIRKKIQSLSRELEDVHAVLRMVGEVPPEQLDGTVELWAHDLREASYDMDLPCARRRHGTSG >ONIVA08G04100.1 pep chromosome:AWHD00000000:8:3319056:3319583:1 gene:ONIVA08G04100 transcript:ONIVA08G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTTPTPASPSVCRRVRLSSGAGARSPPPPTRQPNGSGLLPSAFRVALPHATAAVVSSSRGGGGLGAGSRRRECACSPTTHPGSFRCALHRGAASPSRPSVAAACGGLREDARRSAMANSLVRIAAVEGGDHVRRAVAALIRPSSHHQRRRAAFRPRPSRLSAMSAAAAASSP >ONIVA08G04090.1 pep chromosome:AWHD00000000:8:3310780:3316028:1 gene:ONIVA08G04090 transcript:ONIVA08G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding cassette 14 [Source:Projected from Arabidopsis thaliana (AT1G31770) TAIR;Acc:AT1G31770] MPPQELHGDDHGRHHHHQTPSGGGGGGAGDGHHRDFSSPPSTTTSSSSSSSSSSSSSSTNSPAATAASSSSTNTSGAAIVHPTTSSPPSVASGRRSAANSYPLVLKFEEVVYKVKIGKPAAGWCARMSSAIGGGGEGRRKKGAAAVAKEKTIISGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRHGGGGGGGGRGMLSGKITYNGQPFSGAVKRRTGFVTQHDVLYPHLTVAETLWYTALLRLPRALGAGEKRAQAEEVMLELGLGKVAHSMIGGVRGVRGLSGGERKRVSIGLEMLVDPSLLLLDEPTSGLDSTTAARIVGTLRRMAAGGGRTVVVTIHQPSSRLYHMFDKVLLLSSDGCPIYYGLAADALSYFASVGFASPLSLNPADLMLDLANGIAPQIGGGGGDGDVGGGAAAAAANGGGSEAELKEVRGKLAAAYERHIAPAVKLDICAREGGGGGGQGAAAAAAVAGRRRRGGGKAAAEQWTNGWWAQFTVLLRRGVKERRYESFNKLRIFQVLSVASLAGLLWWRTPAAHLQDRTALIFFFSVFWGFFPLYNAVFTFPLERPMLVKERSSGMYRLSSYFAARTAADLPMELALPTAFVVILYWMGGLDPRPGPFLLSLLVVLYSVLVAQSLGLAIGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVGWLRWLNYSFYCYRLLIGIQFGDGAAHYDCGGGGARCLVADFPAIKAVGLNNHWVDVCVMALLLVGYRVIAYLALDRLKPR >ONIVA08G04070.1 pep chromosome:AWHD00000000:8:3259034:3270530:1 gene:ONIVA08G04070 transcript:ONIVA08G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGTSRGHRVGMEVFDDVAFARLRSHMYGSYLHADEDGRSVYHGSLRGGASQHNAVWAVEEFLVEGEDEEVEEEAPVRYLLLRSAYGRYLGATDAAPFEAARNAAANSDVRQPHAREGCCGGCCCCRLPFGLVEATQRDRDEEEPAVDAIMWLATRCGSEDVQEDRDARGVVLLRDRSGRYLRCNKSILACRRSISPGFFVRACFPPPLREIQFVDEADLDNISEGENWATVEIIGRSVRLLREKIAKHVGYDDFTMCVSAGRHGQFTPLPIDLPRHRETLQIVLVRPNTESYDQLIFPNPNALPSAEATDEDDPTIELVFGNFDGAACMVVMQQ >ONIVA08G04060.1 pep chromosome:AWHD00000000:8:3253615:3254508:-1 gene:ONIVA08G04060 transcript:ONIVA08G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPLSSSSPRHATPRHRAHAATPCAAAIAVARDRSISSSPRDATRRLATARSSLRPDHGVLVLLHPRAAAVDGCNSYLHADENGRSVYHGNLRGGGGASLHNAVWAVEEVVAGGHPTRYVLLRGAYGRYLGSPDSPKAPTASATAAARSRQHSATATATATCWIRSSGRYLCGNQTFLARRPGVSVDGDVDNETTLRWEVVRVTPSQGRPELPIATEVRSSYPSSLLIPSSAKVVIGTSAIRAQKPSITIRGSLPAILVFVLCPLCNYTTRGFSWF >ONIVA08G04050.1 pep chromosome:AWHD00000000:8:3249441:3253585:1 gene:ONIVA08G04050 transcript:ONIVA08G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIGECSTLSLSLSLSLARGSHAPTWTDPAFFYSSSHLLLHLRRHRDEQTSPGIYHGKQCHAADIPAVIARAWAAGVDRIIVRCFAAGHSPFRFFLAFFGVLNLLLSLVWSGRKVTGGSLKESREVLEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVFPGGVTHSFTGTAEDRDKLLSFEDTSENLDVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGISDIEGLSKTLYHNTCRFFFPQDLDASADAQLESGAAIQNS >ONIVA08G04050.2 pep chromosome:AWHD00000000:8:3249441:3253585:1 gene:ONIVA08G04050 transcript:ONIVA08G04050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIGECSTLSLSLSLSLARGSHAPTWTDPAFFYSSSHLLLHLRRHRDEQTSPSTSPVPTSSSSSRLLSHGMFRGIYHGKQCHAADIPAVIARAWAAGVDRIIVTGGSLKESREVLEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVFPGGVTHSFTGTAEDRDKLLSFEDTSENLDVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGISDIEGLSKTLYHNTCRFFFPQDLDASADAQLESGAAIQNS >ONIVA08G04050.3 pep chromosome:AWHD00000000:8:3249341:3253585:1 gene:ONIVA08G04050 transcript:ONIVA08G04050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGIYHGKQCHAADIPAVIARAWAAGVDRIIVTGGSLKESREVLEIAETDAVMCLDDAGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVFPGGVTHSFTGTAEDRDKLLSFEDTSENLDVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGISDIEGLSKTLYHNTCRFFFPQDLDASADAQLESGAAIQNS >ONIVA08G04050.4 pep chromosome:AWHD00000000:8:3249341:3253585:1 gene:ONIVA08G04050 transcript:ONIVA08G04050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGIYHGKQCHAADIPAVIARAWAAGVDRIIVTGGSLKESREVLEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVFPGGVTHSFTGTAEDRDKLLSFEDTSENLDVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGISDIEGLSKTLYHNTCRFFFPQDLDASADAQLESGAAIQNS >ONIVA08G04050.5 pep chromosome:AWHD00000000:8:3249441:3253585:1 gene:ONIVA08G04050 transcript:ONIVA08G04050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGVKLIGECSTLSLSLSLSLARGSHAPTWTDPAFFYSSSHLLLHLRRHRDEQTSPSTSPVPTSSSSSRLLSHGMFRGIYHGKQCHAADIPAVIARAWAAGVDRIIVTGGSLKESREVLEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKTSENLDVLQGIPAERMMIETDSPYCDIKNTHAGIKFVKSVWPSKKKEKYEPDSTVKGRNEPCLVRQVLEVVAGCKGISDIEGLSKTLYHNTCRFFFPQDLDASADAQLESGAAIQNS >ONIVA08G04040.1 pep chromosome:AWHD00000000:8:3237109:3237605:-1 gene:ONIVA08G04040 transcript:ONIVA08G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTRTTDQLERAAVHPRQPFLDDPSVCPMHRHSSLIHGGGGKKCGGKRWLGLARLARSGVAITRHPPDEGGIGMAGRCHAARGDDAIYPWQCHGRILREIESTNGEQQRSGEHQEQQKNQVAS >ONIVA08G04030.1 pep chromosome:AWHD00000000:8:3231993:3234241:1 gene:ONIVA08G04030 transcript:ONIVA08G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFFLPRPTPVPSVASAADAVEYASLPCPAMTFYPPFSKLFANQKMEFLLLGGNHSNAVVAVDQTCRTVLYDPGEHAVRTMPALPYQVRLPTTSVTVGDDLYILDMPRHDDDDDDGDGCFYGLIYEDGLNEDWRCRALPPPPPPLSDLHVHSYAVVGDTEIWMSTHGGGIYCFDTVSHAWSTVATGWTLPFTGLAEYCHEHGLWFGFSRSNRDMRRNFVLSALDLDGGSKLPVLHRLPLEFTPPDALNLESSYLVNLGHGKFCIARFFHTDEDHRDGEELFAVLTAVEVERCDDDDDAGGANGGGLRMLKHRSEMYKLTSEMMYWIFCWVFHFI >ONIVA08G04020.1 pep chromosome:AWHD00000000:8:3230414:3230980:1 gene:ONIVA08G04020 transcript:ONIVA08G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNCRIVYVGNIAFHASDAELCTTAARSSSPLPRLPRGPRGQRPAGEPVGRSSPRRPRAAPPSPSARSFSHRRRLVGLEGSGRWGNPRAAPSLAPARRSFPRSSALLRRHPPRSSSPTAVASPVTGRRYPPPFLPRSAAQKGEKGEKDGGSHADSAATSDKTGVKITEGPKADGFVS >ONIVA08G04010.1 pep chromosome:AWHD00000000:8:3230153:3230377:1 gene:ONIVA08G04010 transcript:ONIVA08G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIRRRRDALRSCEPGWRSRCEADNARWGRRASATGNAVARGLPRRPPPTRPTRQISISPCLAPL >ONIVA08G04000.1 pep chromosome:AWHD00000000:8:3226630:3229812:1 gene:ONIVA08G04000 transcript:ONIVA08G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSNVLARQPMMEVFQEVEFAALRIWKSGSYLHADEDGRSVYVGSLSRDGGGDSRHCAVWAVEPPIDAAAPLPQYVRLRGAYGRYLGAPDSYGSPLPFLSVDAAQRDRDRVEMDAIMWQPVACSGSDVVGGRDARGVVLLRDRYGRYLRGSNNLLAPRRSVPVKPYVVNEHMFRWEVVRVPLSEARPELPIAAQSGFVAACFPPLLRVIEFVGEEDLDNIGEGEIWTTVETRGRSVRLLKEKIAKLVGYDDFTMCVSAGRHGQFTPLLIDLPRSRETLSIVLLRTNSEVLSYVAAESAWDPCGPHKSA >ONIVA08G03990.1 pep chromosome:AWHD00000000:8:3223074:3224419:1 gene:ONIVA08G03990 transcript:ONIVA08G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEETAVADWSALPEDVIITVMGCLSVLGDLVRSGAVCSTWRDAYATFRRLHLPSTTAQPPWLLYACDAHGPAAAALYCPATGKSLRAWSTGCRHAIYDDADGLFYTLRYDGFVYAIDVPRAAAASSPPATREVMRSVTNADNGSKYLVRVPCSGDLLQVWRFVNYDNGDEVEEDEDAEDLPLGTKHLQIFKVDGVEQKLVEASAASLEDHVLFLGHGFSACFPAEHFPALKPGCAYLADDHELVSVRKHCRRDIGRWDMKRGQMEKLSGEDDVAAPSQPWLNWPTPVWITPTFY >ONIVA08G03980.1 pep chromosome:AWHD00000000:8:3216762:3217043:1 gene:ONIVA08G03980 transcript:ONIVA08G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKKKEKEEKAAAAEGADLLCSLLEQGRLASPAWTPAAQPSDHPMIKRDRWNPTGAPAGMRALVVSTPRLHILTYSLRPKKNQPYRVGSF >ONIVA08G03970.1 pep chromosome:AWHD00000000:8:3206435:3215906:1 gene:ONIVA08G03970 transcript:ONIVA08G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRLASLAVSPSSPRHATPRRATPRHAEKPRSSKPLAAARASPAHHPRRAAMQVFHGAQFVRLRNLWEETYITADEDGRSVYHYDPGRRPSHEAIWAVQLAVAGEPPTQYVLLRGAYGRYLGAPDAVERRWPLSCCCPAPVVGQRDFDQPVVDAIMWRAVRRTGHVVCLHDKSGRYLRGKLMSTLVCGGRPSLTVGDGRLSDDEKELRWEVRPVLPSPGRPELPIATQADLAELFVKICFPPRRREIQFVAPDGDGNIVWDSFQYQGRSVQLLRNELENRVGYAITMCVRAGRHGRLTPLLINLPHSRETLHIVALRRNSEADHRLTFPDPDASSPPSFTWPRCPRAHPSLTRLTIDQRAHLSDRDVRLRPPPRDRSILFLPARPRDATRRTVSPPRVRLSDQIMESSYHSIHARRPSMEVFQGVEFVALRVWCCNSYLHADENGRSVYHGNLRGGSGGGSLHNAVWAVEEVVAGVPPTRYVLLRGAYGRYLGSPDAPDREREGCCSLEAAQRDRDVLDVGAIMWRAVGCSGPDLARGCVVLLHDKSGRYLRGNQTFLARRPGVSVHSDVDNETSLRWEVVRVTPSQVRPELPIATECNLTKNLVAACFPPLRRQIQFVTAGAGAAGNIDVFTGKSVQLLREKLAGILGYDEFTLCVRAGIHGRLTPLLIDLPRSRETLHIVLVRPNSEADDQLLFPNLNALLLLASVTSHISGSSSSDRGYAVSGSNGLRLPLITLRPNETAPQSSTPHNAYTLPLTDNGTAATAKADDEIWNKRQIGYVYILSTSLAVLFLARPFLPAGYDGWMLAAFASVWGLGNVCLPCGMFGERICKSLSRHVGHILYMTFSALVIYGIYLLAVHADPTHPASVPALALPSLGLTWEGVFGFIGVLVSFGHLLFWIKCCYTGVDRDREA >ONIVA08G03960.1 pep chromosome:AWHD00000000:8:3203229:3205461:1 gene:ONIVA08G03960 transcript:ONIVA08G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRLASLAFLATPRHATPRRATPRHAEKPRSSRPLAAARASPAYVRRPIRGGARGMEVFQGVQFARLRNWWEETYVTADEDGRSVYHYAPDRHRPAHEAIWAVQMVLAGAPPTQYVLLRGAYGRYLGAPDAVVRRWPLSCCWPAPVVGQRDFDQPEVDAIMWRAVRRADHVLCLHDKSGRYLRGKLGTLVLGDRPRLTVGDGRLNDDEKALRWEVLPVLPNPGRPELPISIVPEADLVGRLVKACFLPLQREIQFVEADDDGNIGEGQEVWDSFQYEGRSVQLLRNELEDRVGYAITVCVRAGRHGQHSPLLINLPHSRETLHIVVLRRNSEADNQLTFPDPKASSRRRYRHRRRAIIQ >ONIVA08G03950.1 pep chromosome:AWHD00000000:8:3196986:3200711:-1 gene:ONIVA08G03950 transcript:ONIVA08G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAVADWSALPEDIIITVMGCLSVLGDLVRSGAVCSTWRDAYATFRRLHLPSTTAQPPWLLYACDAHGPASAALYCPATGKSTRFPTSNSSTPSPAPRRRSRPSPASTTKGKPRYRVYDDMGYSEASLEYSPAQAREWVYHQVVLSRSPAEGSACVALLLHRPDGDVSFARLGDERWTPVAYPGQAWSTGCRHAIYDDADGLFYTLRYDGSVYAIDVPRAAAASSPPATREPL >ONIVA08G03940.1 pep chromosome:AWHD00000000:8:3189285:3196654:-1 gene:ONIVA08G03940 transcript:ONIVA08G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWEWYRSTAAAGTGAGALLEQRRASARSATVPFLPMRSREPAEPMEWTSCAKQRRDSENYAWSTPARAACHAPAAISDSIKEFLIRSLSFSSLRGMEPSVISRQCINSTRIRNIAAKTAEATSAVKKNCETIKTESINKLTEEALRIWKSGSYLHADEDGRSVYIGSLPRAGGDSRHGTMWAVEPPIDAAAPLPQYARLRAAYGRYLGTPDSYGSPLPFLCSGFVAACFPPLLRVIEFVGEDDLDNIGEGEIWTTVETRGRSVRLLKEKIAKLVGYEDFTMCVSAGRHGQFTPLLMDANFEGEDTLLWEVVRVPPSGDMPGLPIATQPGFFVRACFPQPLREIQFVDEADLDNTSEGEIWTTVEIRGRSVRLLREKIAELVGYDDFTMCVSAGRHGQFTPLLIDLPRSRETLNIVLLRTNSEANDQMIFPNPNALPSAEATDEDNATIELRELDRRLPLAAARALSPRRALASPPRVHRSLAALAMAWRRGTSRGYRVGMEVFDDVVFARLRSHVYGSYLHADEDGRSVYHGSLRGGASQHNAVWALEELLVPALPWRSSAEEEEAATRYLLLRSAYGRYLGATDAAPGEAARNATARAAAASSDVRQPHDREGCCGCCCCCCRLPFGLVEATQRDRDEEEPEVDAIMWLATRCGDQDVQEDRDARGVVLLRDRSGRYLRCNKSILACRRSISVDANFEDEDTLLWEVVRVPPSEDMPELPIATQPGFFVRVCFPQPLREIQFVDEADLDNISEGENWATVQIRGRSVRLLREKIAELVGYDDFTMCVSAGRHGQFTPLLIDLPRRRETLQIVLVRPNTESYDQLIFPNPNALPSAEATDEDDPTIE >ONIVA08G03930.1 pep chromosome:AWHD00000000:8:3186938:3187240:-1 gene:ONIVA08G03930 transcript:ONIVA08G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNAMKFLRVGIKFKFFNLNLPKFIFFAITHLSKFKAANNHVSSKPQFGGGDLVAFCVLSTQLIAIAYPPKMVELERKIGEDQYDSFI >ONIVA08G03920.1 pep chromosome:AWHD00000000:8:3185523:3185954:1 gene:ONIVA08G03920 transcript:ONIVA08G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERILGFSEAEKQGIRPNGSTVISMAAVVYLADARVEDPILGAYGRILKLQQQLDRLKAERARQHHPATSSPAPADDVALQPEPFHQPPAQPSTPPALAIPDEEEEEDNDDDEDEDEEEGQEEGEGHAPADDDVPCAKRRKHN >ONIVA08G03910.1 pep chromosome:AWHD00000000:8:3182986:3185426:1 gene:ONIVA08G03910 transcript:ONIVA08G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQDVESVRLRSRVDGSVGSTTARYLRVNERWLPCLAGVAAFNDGDLNIPMQWEVLPVPTTLIRRDIPAMGHRLEYLPRSWETLHVVLVKSNTAG >ONIVA08G03890.1 pep chromosome:AWHD00000000:8:3176328:3181947:1 gene:ONIVA08G03890 transcript:ONIVA08G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1005) [Source:Projected from Arabidopsis thaliana (AT5G17640) TAIR;Acc:AT5G17640] MDPQIFVRLSVGQLGLKLPGANARKAARSFHCEIRLRGFPVQIAPVPLINYSEFNLDPHTNAAVFSLDESELKALSAPGCFGAHGSYLEVAVYVGRRGGHCGIVTGMKRLVGVVRMDIGPEWRDGKPVMLHHGWVGIGNGEAKPELHLRVKMEADPRYIFEFDDEVALNPQVVQLHGRNRQPIFSCKFIRDRRGSHSDQLYWSSSGGEEKEAEMMRRRERKGWKVVIHDLSGSAVAAAFMATPFVAASGCDTVARSNPGAWLIARAGATAPGSTSSSAAVESWQPWGRLEAWRDQGGAARQDTVCLRLRLLPDGQDACMLVAETPLRSDRGGEFAIDMDRQAPALAAEAEHCAASLGEACAGGGFVMSCRVEGESRSSRPLVQLAMRHVTCMEDAAMFVALAAAVDLSVKACRPFRRKTTATKKTASASSSPDPLELDT >ONIVA08G03880.1 pep chromosome:AWHD00000000:8:3168553:3173753:1 gene:ONIVA08G03880 transcript:ONIVA08G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sigma factor A [Source:Projected from Arabidopsis thaliana (AT1G64860) TAIR;Acc:AT1G64860] MTATPAVIGLSAGNRLLSASFGPTDLMPDKVSLGVGGGGGGGGGGGGGDAMSFAPPAPATPKLTAVAAHRLKLSPHGRAQVMRALRHHSSAAAALAPPPPPPPPPTPSPASRAAHAHDLESSLEAIVLLQRSMLEKQWELPFDDDNHAMAIGLAEDDDDTSKATVVVARSSVSARQRRMSGRRRGRTKNGAAHFAVSPELIQSRNRIYLRGTVSKELLTHKQVVHLSHKIKDGIWLQQQRSKLKEKLGNEPSYKQLAHSLKISPPELRSRMHESFLAREMLTMSNLRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALAENSKTFRLPTYLHERLIAIRGAKYELEDQGIAPTIENIAGSLNISEKKVLNATEAVNKVLSLDQQAFPSLNGLPGETLHSYIEDQNVANDPWHGFEEWYLKEEVNKLLNSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHAARRKNLEALLEDY >ONIVA08G03880.2 pep chromosome:AWHD00000000:8:3168553:3173753:1 gene:ONIVA08G03880 transcript:ONIVA08G03880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sigma factor A [Source:Projected from Arabidopsis thaliana (AT1G64860) TAIR;Acc:AT1G64860] MTATPAVIGLSAGNRLLSASFGPTDLMPDKVSLGVGGGGGGGGGGGGGDAMSFAPPAPATPKLTAVAAHRLKLSPHGRAQVMRALRHHSSAAAALAPPPPPPPPPTPSPASRAAHAHDLESSLEAIVLLQRSMLEKQWELPFDDDNHAMAIGLAEDDDDTSKATVVVARSSVSARQRRMSGRRRGRTKNGAAHFAVSPELIQSRNRIYLRGTVSKELLTHKQVVHLSHKIKDGIWLQQQRSKLKEKLGNEPSYKQLAHSLKISPPELRSRMHESFLAREMLTMSNLRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALAENSKTFRLPTYLHERLIAIRGAKYELEDQGIAPTIENIAGSLNISEKKVLNATEAVNKVLSLDQQAFPSLNGLPGETLHSYIEDQNVANDPWHGFEEWYLKEEVNKLLNSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHAARRKNLEALLEDY >ONIVA08G03870.1 pep chromosome:AWHD00000000:8:3168416:3168972:-1 gene:ONIVA08G03870 transcript:ONIVA08G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTHAPRAPRKQVVGHTYTHSLARAGFTAASDGGGVTAGEVVVVVGVGGGGEGGRPLVGARYCGATLFVATRTGKPPPRDPLNPGARATSDRRR >ONIVA08G03860.1 pep chromosome:AWHD00000000:8:3165290:3165745:-1 gene:ONIVA08G03860 transcript:ONIVA08G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGDVRSFFRQQKAHAAGGVKPTGGVSKKAALPRHHHKPASQGAFLLPVSPAPETVRSIASPLIRLFGFFAATPDRAVDDARRHVEEAEEEEGRERMAREFDMDMRYGPCLGLTRAQRWRRAAALGLAPPPAVIAVCSDDQPCLWEGRV >ONIVA08G03850.1 pep chromosome:AWHD00000000:8:3163802:3164442:1 gene:ONIVA08G03850 transcript:ONIVA08G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSSFQKIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >ONIVA08G03840.1 pep chromosome:AWHD00000000:8:3156628:3163159:-1 gene:ONIVA08G03840 transcript:ONIVA08G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFSFPPLTPEQIAEALHTYGLAPTANLRAEDIANPQPDLLPAVISNFLATVVDPTGADDLDGQLGFDALASLDNPEHYREGIRVLRLHKRASAFLESIQFPGFTLRDLLRPDPRRLVQVLSALINFLYYRDDKLALLQPIIHEFPNLDERCMELKAKLAEIAKNDFELVKLAQENSKLLSKIVESPEKLQRALEEKKTARAELKNAEKIATQSVQEKTATLEIYSKLGFDALASLDNPEHYREGIRVLRLHKRANAFLESIQFPGFTLRDLLRPDQRRLVQVLSALINFLYYRDEKLALLQPIIHEFPNLDERCMELNAKIAEHQKAIADQELAAQMEVPMVQQLEAEVNSLKQKLVEYNKKQLALRANATAINDKKEETHRKVIAKNDFELVKLAQENSKLLSKIVESPEKLQRALEEKKTARAELKNAEKIATQSVQEKTATLEIYSKGYEKLSKHSTKIQALQEQVTATKALEKEVKARKTKISDESVEIMALDTKIIEWDGKVHEMEEHVKAKEKKKDQIVADENQKLAALSSEVEWKLKCLEPRERKVEETIAKFTCYKDNFKSFLEQVDEVSKETLESLDRQAVEPLDTSATL >ONIVA08G03840.2 pep chromosome:AWHD00000000:8:3156628:3163159:-1 gene:ONIVA08G03840 transcript:ONIVA08G03840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFSFPPLTPEQIAEALHTYGLAPTANLRAEDIANPQPDLLPAVISNFLATVVDPTGADDLDGQLGFDALASLDNPEHYREGIRVLRLHKRANAFLESIQFPGFTLRDLLRPDQRRLVQVLSALINFLYYRDEKLALLQPIIHEFPNLDERCMELNAKIAEHQKAIADQELAAQMEVPMVQQLEAEVNSLKQKLVEYNKKQLALRANATAINDKKEETHRKVIAKNDFELVKLAQENSKLLSKIVESPEKLQRALEEKKTARAELKNAEKIATQSVQEKTATLEIYSKGYEKLSKHSTKIQALQEQVTATKALEKEVKARKTKISDESVEIMALDTKIIEWDGKVHEMEEHVKAKEKKKDQIVADENQKLAALSSEVEWKLKCLEPRERKVEETIAKFTCYKDNFKSFLEQVDEVSKETLESLDRQAVEPLDTSATL >ONIVA08G03840.3 pep chromosome:AWHD00000000:8:3156628:3163159:-1 gene:ONIVA08G03840 transcript:ONIVA08G03840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFSFPPLTPEQIAEALHTYGLAPTANLRAEDIANPQPDLLPAVISNFLATVVDPTGADDLDGQLGFDALASLDNPEHYREGIRVLRLHKRASAFLESIQFPGFTLRDLLRPDPRRLVQVLSALINFLYYRDDKLALLQPIIHEFPNLDERCMELKAKLAEHQKAIADQELAAQMEVPMVQQLEAEVNSLKQKLVEYNKKQLALRANATAINDKKEETHRKVIAKNDFELVKLAQENSKLLSKIVESPEKLQRALEEKKTARAELKNAEKIATQSVQEKTATLEIYSKGYEKLSKHSTKIQALQEQVTATKALEKEVKARKTKISDESVEIMALDTKIIEWDGKVHEMEEHVKAKEKKKDQIVADENQKLAALSSEVEWKLKCLEPRERKVEETIAKFTCYKDNFKSFLEQVDEVSKETLESLDRQAVEPLDTSATL >ONIVA08G03830.1 pep chromosome:AWHD00000000:8:3145494:3147342:-1 gene:ONIVA08G03830 transcript:ONIVA08G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEDFEQYAEKAKTLPESTSNENKLILYGLYKQATVGDVNTARPGIFAQRDRAKWDAWKAVEGKSKEEAMSDYITKVKQLLEEAAAAAS >ONIVA08G03820.1 pep chromosome:AWHD00000000:8:3141118:3144532:1 gene:ONIVA08G03820 transcript:ONIVA08G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) TAIR;Acc:AT5G17170] MAATLSSAPAPSKHCSIVRAQHSPPPSILSSSTKTAFHGLSLVDRRWAASVGGGSGRRRRVLQVNARTAGAAKNIEVEVDKPLGLTLGQKTGGGVVITAVDSGGNAARAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAQVDVKRLPKRPAPPRFGRKLTESQKARATHICLDCGYIYFLPKPFEEQPDEYGCPQCNAPKKRFAKYDAETGRAIGGALPPITVIVSLIIGIAGVGALLVYGLQ >ONIVA08G03820.2 pep chromosome:AWHD00000000:8:3141118:3144532:1 gene:ONIVA08G03820 transcript:ONIVA08G03820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) TAIR;Acc:AT5G17170] MAATLSSAPAPSKHCSIVRAQHSPPPSILSSSTKTAFHGLSLVDRRWAASVGGGSGRRRRVLQVNARTAGAAKNIEAVDSGGNAARAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAQVDVKRLPKRPAPPRFGRKLTESQKARATHICLDCGYIYFLPKPFEEQPDEYGCPQCNAPKKRFAKYDAETGRAIGGALPPITVIVSLIIGIAGVGALLVYGLQ >ONIVA08G03820.3 pep chromosome:AWHD00000000:8:3144363:3145548:1 gene:ONIVA08G03820 transcript:ONIVA08G03820.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) TAIR;Acc:AT5G17170] MSYYRGTSPAWGLGRRGGGGGPSPVVPLLIVVALVWVNYNETLTEWYDKAANLPGTVADNAVTLVAAGGLLLLAAVLLSRRSEVVVVPVAIVLSVMLLQNIMAFLVLLLVVAYFAGIYYYPADAAKYGVTAGGEWCVGGGFWSGLGFYMLLLLCLVLCAMFADEGVRWWIPGTLLAASLLCLNLFSAAASSRSCFTLVM >ONIVA08G03810.1 pep chromosome:AWHD00000000:8:3137567:3140357:1 gene:ONIVA08G03810 transcript:ONIVA08G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 3006 [Source:Projected from Arabidopsis thaliana (AT4G19350) TAIR;Acc:AT4G19350] MEAAPAAAAGRYGNLERSFKLAARSVLTACSREDVKRAFPSFTDAERERLYQMFIYVIKSLHSNIEVAAALEKIDQFVEEQKLDVLSSDKTNIEDTKQVISKAKKDEVEYLKSLIEEVEEKNNAMKARIELLKKDDDLAAGKQVLEKLMQCNSALYNGL >ONIVA08G03800.1 pep chromosome:AWHD00000000:8:3133135:3134502:-1 gene:ONIVA08G03800 transcript:ONIVA08G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSAAAAAGGGERRGPMDLNLYLGLPPLPPPPGRLDVAADYPSLLLNSAATVANEQRGSVVVAAPPPAAAAYSPSNALSAPEQVLVDPVAAWLVDPGEQQPVPPLETPSYMARASSTLPQIFACAALEMLVQTGGAIPPTGLIRGAEIAAASRPMTPENRLRRLIQVSDQHGVGNGRAGPGPVSRSRRANSPEADNLARAIQRSHNSLEASRRQMLDGDGSDATKKDDGCGCNGSFECNICFESAKDPVVTPCGHLFCWPCIYQWLHGHSEHSDCPVCKGEVLEVNVTPIYGRGGGEENSSRNDIQIPPRPSAQRTESLRQQLQRPDTRGGIANMVRRLMQNQDIVAGQAASSAPRPRGRGRRQARQDAPSAPATQQQVVNADAGSGNQAPLPPPDANGAAPAAAVAPQQSSSVEQASTSSTVGVIAGGPAQGRRSRVSESTPARRTRRRHQ >ONIVA08G03790.1 pep chromosome:AWHD00000000:8:3129014:3135532:1 gene:ONIVA08G03790 transcript:ONIVA08G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07590) TAIR;Acc:AT1G07590] MLRALLRRRRPPIPSAAVAVAVATFFASSGGARIALPPPPPRPAADEAEREGSLAQRVERSASVCAAIRGWMGDGRAVHRGHVFHAVNRLRRRRLHRAALQVMEWIMRERPYKLSELDYSYLLEFTAKVHGISEAESLFLRIPQEYQNELLYNNLVMACLDLGLIKLAYGYKRKMRELSLPISPYVYNRLIILHSSPGRQKTISKILAQMKGDRVTPHMSTYNILLKIKANEHNIDGVARVFNDMKRAKVEPNEITYGILAIAHAVARLYTVSHTYVEAIENSMTGTNWSTLEILLILYGYHGKAKELKMTWDLMQGLPHIRPKSFILAIEAFGKVGSIDQAEEIWGKFESTRKPKLTEQFNSILSVYCRHGLVDKASAVFKEMRANGCQPNAITYRHLTLGCLKAGIVKEALKTMDIAKKEVVTKKVKSSTPWLETTHMILESFAENGDLVNAKRVFDELNESKYCRNSFVYNTLLKAHVKAKVYEPDLLRAMILRGAMPDAETYSLLGLIEQFKT >ONIVA08G03780.1 pep chromosome:AWHD00000000:8:3128099:3128599:1 gene:ONIVA08G03780 transcript:ONIVA08G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALQLSVRRHTSWCMLYSDTTTYGLTVTAPSGACCSKQRNAFTMWSDGVGLPLQAQLSATPPACSPTVAAGSRAPPSPPAACSALHRFACLHVHRRHSQPRSPAAARPACFAAALARCRLCSPPPPLRPLAATATAASLPAARDRQARSAVGRTHAYARLRVRS >ONIVA08G03770.1 pep chromosome:AWHD00000000:8:3118684:3121853:1 gene:ONIVA08G03770 transcript:ONIVA08G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G22760) TAIR;Acc:AT4G22760] MPAAAGDVNSTWTLAIRAAADQGRPRRAVALYLSSLRSGRRPCPFALAAVLKSVSRLLLPAAHPLLAAAAASIHAHLLRLGLLAHPYPRAALAHLYARLPDPSRAHSLLDETPPRPPRGRAGAHSFLVSRNSLLASLLRSGDLAAARALFDRMPVRDVVSWNSMVAGLAKAGHLDAAIELFDKMPERNAASWNAVMCGYIAQGDLAQARELFEQMPVRSNVSWITMISGYAKSGDVHAAGELFERMENKKDLYTWNAMIACYAKNGCAREALAVFNRMLKPHVWVMPNEKTFSSVISACSQLGDLRFGLWAESFMGSVGIELDDHLRTALVDLHTKSGRIDRAFDLFRGLGMRDVVSYSAMIVGCGMNGKFNEAVSLFKEMSDAKISPNAVTFVGLLSAYSNAGLMEEARACFASMTEKYKISPSMEHYTIMVDLLGRSGKLDEAYQLIMQMPMKPDASIWGALLLACRLHNNVELGEIVASKCFELEPGESGYYILLGNIYSEANKWEKVKRLRKIMAERGLNKMPGSSWE >ONIVA08G03760.1 pep chromosome:AWHD00000000:8:3108670:3117776:1 gene:ONIVA08G03760 transcript:ONIVA08G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESAFYFNMKHFEDLVQGGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKVSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGPIPKSAAFPPMGAHAPFQPVVSPSPNAIAGWMTNANPSLPHAAVAQGPPGLVQPPNTAAFLKHPRTPTSAPAIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANIYTQDDLPKQVVRNLNQGSNVMSLDFHPVQQTILLVGTNVGDIGIWEVGSRERIAHKTFKVWDISSCTLPLQAALMKDAAISVNRCLWSPDGSVAFSKHIVQTYAFVLNGELRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNRFLAAGDEFVVKFWDMDNTNILTTTDCDGGLPASPRLRFNREGSLLAVTANENGIKILANTDGQRLLRMLESRAYEGSRGPPQQINTKPPIVNTLGSVSNVSSPMAVNSERPDRALPTVSMSGLAPMDVSRTPDVKPRITDESEKVKTWKLADIGDSGHLRALRMPDTSATSSKVVRLLYTNNGVALLALGSNAVHKLWKWQRTDRNPNGKSTASFTPQMWQPANGILMANDTSDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKSKLKGHSKKITGLAFSQSMNMLVSSGADAQLCAWSIDGWEKKKSRYIQSPANRSGALVGDTRVQFHNDQTHILVVHESQLAIYDAKLECLRSWSPREALPAPISSAIYSCDGLLIYAGFCDGAIGVFEAESLRLRCRIAPSAYIPPSMSSGGSVYPMVVAAHPLEPNQIAVGMSDGAVHVVEPLDSDPKWGVAPPQDNGTHPTISAAPAAANKPEV >ONIVA08G03750.1 pep chromosome:AWHD00000000:8:3100131:3106680:-1 gene:ONIVA08G03750 transcript:ONIVA08G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQDSGTTTTTSHVPLTSRHVACILTAPGWGHVPPRGFDPPVRRKEASVGPHLSAKVRRREPWGFGRGAPHRETAQAPLCRFGRGR >ONIVA08G03740.1 pep chromosome:AWHD00000000:8:3072799:3077569:1 gene:ONIVA08G03740 transcript:ONIVA08G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0I7I5] MVRVRMGAAVLGVAVVVLACVLGARADGSDHRYKDGDYVPLYANKVGPFHNPSETYRYYDLPFCAPDHPKDKREALGEVLNGDRLVDAPYELNFKEDRNSKVLCQKSLSKVEVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGNAKYLLFKHIHFDIMYNGDRVIEINVQTDPNVAVDITEDKEVQVEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPQQKSLFAAIVGSGTQLLALAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRSTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLLFVRHIYRSIKCE >ONIVA08G03740.2 pep chromosome:AWHD00000000:8:3072799:3077569:1 gene:ONIVA08G03740 transcript:ONIVA08G03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0I7I5] MVRVRMGAAVLGVAVVVLACVLGARADGSDHRYKDGDYVPLYANKVGPFHNPSETYRYYDLPFCAPDHPKDKREALGEVLNGDRLVDAPYELNFKEDRNSKVLCQKSLSKVEVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGNAKYLLFKHIHFDIMYNGDRVIEINVQTDPNVAVDITEDKEVQVEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPQQKSLFAAIVGSGTQLLALAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRSTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLLFVRHIYRSIKCE >ONIVA08G03740.3 pep chromosome:AWHD00000000:8:3077158:3080519:1 gene:ONIVA08G03740 transcript:ONIVA08G03740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0I7I5] MAATRAACENQMHNLFHQIGTRGARTVVAASDSDDDEQLRHVGFGMAAAPPAFTGNLKKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSGSEHPFHDRPLEHFVMPPRQVREMRPRARRALIRAQKKEQDRAAASTKDDKDEEEDKGKKMLEVKGKARKKATLGDRKERLIFNKHGIA >ONIVA08G03730.1 pep chromosome:AWHD00000000:8:3063786:3065415:-1 gene:ONIVA08G03730 transcript:ONIVA08G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNVLKVNRNATEEDLKKSYRRMAMKWHPDKNPGDKKKEAEAKFKKISEAYEVLSDPQKRAIYDKYGEEGLKASVDAGASSSMNGNRRFNPRNAEDVFAEFFGSSKPFEGMGRAKSMRFQTEGAGTFGGFGGGNENKFRSYNDSAGTSSSQPRKPPAVETKLPCTLEELYAGSTRKMKISRNVVRPTGQIGTESEILTIDIKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDLYTREGNDLLVHQKIELVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELAIAKEGMPIVKENGRRGNLRIKFDIVFPKRLSSDQRQNIRKVLGGQTQQQ >ONIVA08G03720.1 pep chromosome:AWHD00000000:8:3060545:3062271:-1 gene:ONIVA08G03720 transcript:ONIVA08G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLKDADAQEKAAPPPTEWYDPLSSPGRPVRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITNLYKGKTVIPDAPWVINQEFLDKHRIDYVAHDALPYADTSGAANDVYEFVKAVGKFKETKRTEGVSTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKFHSAAKIAGSNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQSRSDPNLSGEDSDS >ONIVA08G03710.1 pep chromosome:AWHD00000000:8:3054050:3060611:1 gene:ONIVA08G03710 transcript:ONIVA08G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I7I0] MSPNPNPLDEENVNPTASGAAMAPGPEKKKSWMPAGLGGSGKLGATIDIPLEDPRKKEKELLAWEEDLRRRELDIKQRENAMDRAGVTVEVKNWPPFYPIIHHDIASEIPIHAQKLQYMAFGSWLGLIACLVWNVVAVLIESIHSDDVVLFLFAIIYAIFGCPLSYILWYRPLYSAMRTDSMVTFVQFFVFYSIHVGFCVIAAVTPPIIFKGKTLTGILVAIEVLTGDMFVGVLYLIGFTFFTLESIISIWVLERVYMHFRGHRIHYESESSPERLGSDLLCLFSPS >ONIVA08G03710.2 pep chromosome:AWHD00000000:8:3054050:3060027:1 gene:ONIVA08G03710 transcript:ONIVA08G03710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I7I0] MSPNPNPLDEENVNPTASGAAMAPGPEKKKSWMPAGLGGSGKLGATIDIPLEDPRKKEKELLAWEEDLRRRELDIKQRENAMDRAGVTVEVKNWPPFYPIIHHDIASEIPIHAQKLQYMAFGSWLGLIACLVWNVVAVLIESIHSDDVVLFLFAIIYAIFGCPLSYILWYRPLYSAMRTDSMVTFVQFFVFYSIHVGFCVIAAVTPPIIFKGKTLTGILVAIEVLTGDMFVGVLYLIGFTFFTLESIISIWVLERVYMHFRGHR >ONIVA08G03700.1 pep chromosome:AWHD00000000:8:3047543:3047794:1 gene:ONIVA08G03700 transcript:ONIVA08G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGKVGAGAPSPTLGRLHEAGDGGGSRARAMEVGPGATTETGGRVHASLARRQWRLPAVPTDGCGRQIILAVAQRSCGCLW >ONIVA08G03690.1 pep chromosome:AWHD00000000:8:3040479:3044810:-1 gene:ONIVA08G03690 transcript:ONIVA08G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32190) TAIR;Acc:AT1G32190] MLSGCTVSSLAARFAFFPPEPATYAVRKDEACGGGGRLVASGVPRDAAVDVLLVDTRKGNKVVAFYLRNPAARLTVLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDLILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKSPVLVIHGTDDDVVNWSHGNELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSKFIREMENITTKTRLKKIRQSLQPAPKKVHHRASTGTTTTFTTNCCCRIRLQGMLQVPNLLLLLLQLLQELPQVPNLQMLLLRFMQR >ONIVA08G03680.1 pep chromosome:AWHD00000000:8:3034922:3037412:1 gene:ONIVA08G03680 transcript:ONIVA08G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAISRAAAHLPLLRLSSQALLPTQIARFRSEFVQPNKPTADGIKLAQAVCDTEMNLASILLVQKSLDEKLAQHKLLSNVSLFEDKEVSQRSEEHSKQLTVQDIQMKKDELLSDIRRVEILEGNNKLDFRAKITTYVLKARMALHYATHL >ONIVA08G03670.1 pep chromosome:AWHD00000000:8:3032996:3034590:-1 gene:ONIVA08G03670 transcript:ONIVA08G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLMRRLRLSSQAHPCRSALLPTHIARFRSDFLGPTTDGIRVAKAIRDSEMNTKDIRLVQKSLNKKLTHEKCLSDISMLEDGVKKMRKRKEEISRRVSIEVARISTYKEKINKIPDKKSFTLQMDYINFGSILYASVIVTAYILERKDELARQKKL >ONIVA08G03660.1 pep chromosome:AWHD00000000:8:3019110:3020250:-1 gene:ONIVA08G03660 transcript:ONIVA08G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEVDLEEENAVDLDDDIVLGDGELVGDGDGLDLERVDVGDAVDDGDEHVHPAAERLVVLADQRSTTIAFFSGTVVVTPKFTGGVLGASHMRVEAAAVAEQREWEKTRSWIAGPAEDRRRRKGIAMVATTAREDEGVSGRRTCRLMSKLKTGALSGAVFNGELGSGTIS >ONIVA08G03650.1 pep chromosome:AWHD00000000:8:2988921:2994357:-1 gene:ONIVA08G03650 transcript:ONIVA08G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAGGGGRRNNEGVNGNAAAPACVCGFPVCACAGAAAVASAASSADMDIVAAGQIGAVNDESWVAVDLSDSDDAPAAGDVQGALDDRPVFRTEKIKGVLLHPYRVLIFVRLIAFTLFVIWRIEHKNPDAMWLWVTSIAGEFWFGFSWLLDQLPKLNPINRVPDLAVLRRRFDHADGTSSLPGLDIFVTTADPIKEPILSTANSILSILAADYPVDRNTCYLSDDSGMLLTYEAMAEAAKFATLWVPFCRKHAIEPRGPESYFELKSHPYMGRAQEEFVNDRRRVRKEYDDFKARINGLEHDIKQRSDSYNAAAGVKDGEPRATWMADGSQWEGTWIEQSENHRKGDHAGIVLVLLNHPSHARQLGPPASADNPLDFSGVDVRLPMLVYVAREKRPGCNHQKKAGAMNALTRASAVLSNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGLQGPIYVGTGCLFRRITLYGFEPPRINVGGPCFPRLGGMFAKNRYQKPGFEMTKPGAKPVAPPPAATVAKGKHGFLPMPKKAYGKSDAFADTIPRASHPSPYAAEAAVAADEAAIAEAVMVTAAAYEKKTGWGSDIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLFQVLRWSTGSLEIFFSRNNPLFGSTFLHPLQRVAYINITTYPFTALFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLAIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVLQVVTKVVFRRDISFKLTSKLPAGDEKKDPYADLYVVRWTWLMITPIIIILVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGILGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHGPGRHGAASPSHGHHSAHGTKKYDFTYAWP >ONIVA08G03640.1 pep chromosome:AWHD00000000:8:2986066:2988839:-1 gene:ONIVA08G03640 transcript:ONIVA08G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFYYTLLQQHILLNTIRTAKQAQPRCFRCPVALDDSIDAAVDETNERTNVAGQLVYWAGQT >ONIVA08G03630.1 pep chromosome:AWHD00000000:8:2975165:2979351:1 gene:ONIVA08G03630 transcript:ONIVA08G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPSQPDLSLQIGLPTGAAAAAHGHGLNARFFAAAAGAGGHNPAMASSPPSSLQLPLPMPLPLPLPMAPAGAGAGAGGLQFYPDAAAAMLRPIRGVPLYHHHQQQHAAAAPFVGAAPLPHHPSSGGACYCEPCHVAGAWRRGGCGGGGARGVLPAKRAPRAPRMRWTSTLHARFVHAVELLGGHDRATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDENSLDLHGGCRPEAMSAAATAAAAARHGRLAACNDHGSSTGAHGALWNSSSREDWSGFHESNTGTMQTLKDMQSKSLEILSDMNSCVSETTSSTSELNLEFTLGRPQNRPN >ONIVA08G03620.1 pep chromosome:AWHD00000000:8:2965875:2966952:-1 gene:ONIVA08G03620 transcript:ONIVA08G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKDADNISDCERLYKAFLQEINTFELPLLKSKAVVDANLREKESFNELQDEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKMISLQPPRSETEKLIADLEKEIANLEAENTACIRTLELRKKQFALLLHVVEELQISIDDEQRSIADELRAATEEQKMSIEEVSGGASDAMAVD >ONIVA08G03610.1 pep chromosome:AWHD00000000:8:2959623:2962772:-1 gene:ONIVA08G03610 transcript:ONIVA08G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDEAGSSKHHRRDKEKDRERSSSRHHRDRDRERSSSRHHHREDRDDDRDRDRDRERRHREKERDREERKAREREEREKEKERERARRREERDREERSRRREAAAEEEEEDVDRDRKRRRRSSHHHHHHRDAEPEGPASGAREEEVVDVEEAERRRQKKKEEEQKQLDEEMETRRRRIKEWQEMKRREEETKRREQEEAGVGTSAAAAAAPAEAEDGGNAGKKWTLDGEESDEEGNQEDGKKSDDNGGSGAGAMDVDVPNGGDNANGANAMDEDEIDPLDAFMNSMVLPEVAKLESMPAANVDDKNDKSAKDAVTNGDKKGPKKVMGRIIQGEDSDSDYADDEDDEGGSEDEDDEEFMKRVKKTKAEKLAIVDHSKIDYQPFRKNFYIEVKDITKMAAEEVAAYRKQLELKVHGKDVPNPIKTWVQSGLTSKLLDTIKKLGFEKPMSIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPAVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKALGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPENERFFRLLELLGEWFDKGKILVFVHSQDKCDSLLKDLFQHGYPCLSLHGGKDQTDRESTLADFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSEQAVPEDLKGLADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKSAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIAAAQAAAAMVAAKAASNANQQTQGTSVGPLLPLAIASNTQNNEATARALQAAFNIQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLGPIQEWTGAAITTRGTFFPQGKIVGANERKLYLFIEGPTELSVKKAKAELKRVLEDCANHALNLPGSAQTGKYSVI >ONIVA08G03600.1 pep chromosome:AWHD00000000:8:2953184:2956097:-1 gene:ONIVA08G03600 transcript:ONIVA08G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNAIHIIPDAAGPDAWANAAAQGGDAGIWATEDDYNSQWNADGGGGGSSRAGSEQPPPGKKSRGGGGGEGGGNTSKSRAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHGMEELRKPPPNWQEIVAAHEEATEAREEHQIPIMTSSGPTAGGDAGCGGGGGGGSGRAYKGRHCKKFYTDEGCPYGDACTFLHDEQSKARESVAISLSPSVGGGGGGGSYNSAAAAAASASAAAGNGPMQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDIDSRDAAATPDSKQAVVSAKAPAETAAASTTVLPHADVYHLGVQAQRSTIAGQRSGQVQRPIQKWKGPDKISRIYGDWIDETE >ONIVA08G03590.1 pep chromosome:AWHD00000000:8:2938306:2939175:-1 gene:ONIVA08G03590 transcript:ONIVA08G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I7G8] MAGLDLGTSYLHHHQSLHLRHDDGGAGSDDGGHDDLSPGSGGGGGPSSTAGGAGIGGGEVVARRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGVCVLSAAGTVANVTLRQPQSAQPGPASPAVATLHGRFEILSLAGSFLPPPAPPGATSLAAFLAGGQGQVVGGSIAGALIAAGPVVVVAASFSNVAYERLPLEDGDEVVPPAPAGSDQGGGGSGGMPPLGVDPSGGAAAGGLPFFNMPFGMPPMPVDGHAGWPGAGVGRPPFS >ONIVA08G03580.1 pep chromosome:AWHD00000000:8:2923267:2924074:1 gene:ONIVA08G03580 transcript:ONIVA08G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENENEHEHEQRRPQPSESTRCRRLTAAGGGRARARAKEGMEMAVVAILLKILNCIYFHLPHQRIKNGPKKTYGEGGY >ONIVA08G03570.1 pep chromosome:AWHD00000000:8:2919630:2923087:-1 gene:ONIVA08G03570 transcript:ONIVA08G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lsd one like 1 [Source:Projected from Arabidopsis thaliana (AT1G32540) TAIR;Acc:AT1G32540] MTSVKCTIPIPMLLMDYILSQAVVLVQKILLMHLSAGFLSCRERKGDFSCLTAARICEASTSDAVVQAAGTAMPVPLAPYPTPPVPFTPPNGAQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGIDQKPSS >ONIVA08G03570.2 pep chromosome:AWHD00000000:8:2919630:2923087:-1 gene:ONIVA08G03570 transcript:ONIVA08G03570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:lsd one like 1 [Source:Projected from Arabidopsis thaliana (AT1G32540) TAIR;Acc:AT1G32540] MTERKGDFSCLTAARICEASTSDAVVQAAGTAMPVPLAPYPTPPVPFTPPNGAQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGIDQKPSS >ONIVA08G03570.3 pep chromosome:AWHD00000000:8:2919628:2921565:-1 gene:ONIVA08G03570 transcript:ONIVA08G03570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:lsd one like 1 [Source:Projected from Arabidopsis thaliana (AT1G32540) TAIR;Acc:AT1G32540] MHLSAGFLSCRERKGDFSCLTAARICEASTSDAVVQAAGTAMPVPLAPYPTPPVPFTPPNGAQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGIDQKPSS >ONIVA08G03560.1 pep chromosome:AWHD00000000:8:2905601:2905831:1 gene:ONIVA08G03560 transcript:ONIVA08G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLEQPSSDRRVGGRTPAAEAADDRQKEPPERRRSNSPMDADLCCCSCALIGIAATVAASLLAFKCLLTTCYKL >ONIVA08G03550.1 pep chromosome:AWHD00000000:8:2903022:2903264:1 gene:ONIVA08G03550 transcript:ONIVA08G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLEQPSSDRRGGGRTPAAAAAAAAAGDRQKEPWERRRSKRPICADVCACFFTLIGIAAVVLVFVLAYKCFRTTCYKL >ONIVA08G03540.1 pep chromosome:AWHD00000000:8:2898578:2902348:1 gene:ONIVA08G03540 transcript:ONIVA08G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFEWVLKLLNLVVMVVGLAMMGYGAYLLVAWLQLLPSPPPLPPAPAVAPGGGGGGEMVRLGRPLLLLLDVSSLPDGTAERLSAAWFIYAFIGVGVILFITSIFGCAGASRGGCCLSFVSKFNMHVIPVDKTGNFDMMYSFLKENWRIAKWVALGAVLFEAVLFTVALIVQSGNQADYDSDDEYIAPRSSTRQPLVNKQPVADPRVPNLDYRPIRNDAWSQRMREKYGVDTFDPNRFQQATISPAEQRNRCAIL >ONIVA08G03530.1 pep chromosome:AWHD00000000:8:2894861:2915304:-1 gene:ONIVA08G03530 transcript:ONIVA08G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTTTATRMRNKKKAKSFWQQICASKTNSRIDRGKNPDAIADPGYKLRTGGPATLGADALDNQCDPPFKGSICQCD >ONIVA08G03520.1 pep chromosome:AWHD00000000:8:2893751:2894728:1 gene:ONIVA08G03520 transcript:ONIVA08G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45420) TAIR;Acc:AT5G45420] MEFIDDDWDYQPRARVIHSRSNANSNGATTASSSQPTRSLPHTAACAAAAVALLAAAYYLLPDYQVLASVVVWVASSLLLAPFAPSSATGGDVSVGRGDPLPEQEPVEEPVSDPAPTSRRGRRQSSSSNPTPPPPKPSDPIAPPPPRHAAAAAAAAATAVSDGGEAVEDAGEWTDQEMDILRRQMVKHPAGEPQRWEKIAAAFGGRRTLESVIRAAKSGGGAAAAGASFDQFLRKRKPLDPRSEATDAGGGNAGGGGGESGDGSWSAGDDRALLNALKEFPKDTAMRWEKVAVAVPGKTKAACTKRVTELKRDFRSSKAASEAAP >ONIVA08G03510.1 pep chromosome:AWHD00000000:8:2887649:2893439:-1 gene:ONIVA08G03510 transcript:ONIVA08G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MRAAAGGRGFLLHPRPPWRAPATPPCPPPPATATALARRLHHRRLPEGILSTLERGVSTLHLRRWVHSATCGVTLHDISQAEPVNGAKSDLVQKHEKVGAFQRIPMVMPATDILMSAQRKSRNVPPTKGIANIAKRERNKGAKQLDALMKEISVPLRTYTENFPKRRDLHPYERSLIELTFGEGYYEKVIARVDALRKKINSVGKQHASVCAKSLTKREAEERLSEGRKKLEEAFEHGKWVIDDLVNIAKTLRSMPVVDPHIPTLCLVGSPNVGKSSLVRILSSGKPEVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRDDDERNNIEKLTLAVLSHLPIAVLYVHDLSEDCGTSVADQYITYKHIKERFGDRLWLDVISKSDLLDKKTSSEFDDADDEVGRYRRFGPECAIRVSVQGQVGVKELKEKVHQLLTSQMSRIKAGTIYQETQRTDDNAPGQT >ONIVA08G03500.1 pep chromosome:AWHD00000000:8:2886150:2886935:1 gene:ONIVA08G03500 transcript:ONIVA08G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASARVVSTPPSPSSSSSSSSPAAAASASPAASAVVWASNHLLGNGHIVVVLLRSWSEFARTGTFAGGLNLDRSVLSRPHSPPRYSAAVDGMFVPWDHEHEVNPLTGEASFVERLYYVEAADIARLREEARATSVQAVSAYLWKKLAAVVSSSASIAKSDTAARRCSMGYWVDLRWRVRSPDFCRALRSYVGNATTYVEREEPADAVLKKPLGEVAAMVREVAAKLPAARSASVSAARCPPPPAALLAPALAGPPPCW >ONIVA08G03490.1 pep chromosome:AWHD00000000:8:2886087:2887241:-1 gene:ONIVA08G03490 transcript:ONIVA08G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCLRNPELLYGEGKVLHLSLSTLSQSPPLLSLSAHQHGGGPARAGASSAAGGGGQRAAETEAERAAGSFAATSRTIAATSPSGFFRTASAGSSRSTYADTACTLVARASSRSLAMSAASTYRSTKLASPVSGFTSCSWSHGTNIPSTAALYLGGEWGRLSTDRSRFRPPANVPVRANSDHDRRSTTTMWPLPRRWLLAHTTADAAGDAEAAAAGDEEDDDEDGDGGVETTRALAAAAMAERRELALLGRGGGVAGVH >ONIVA08G03480.1 pep chromosome:AWHD00000000:8:2880395:2882968:1 gene:ONIVA08G03480 transcript:ONIVA08G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGPQYKLPKESKPCCSSLPIFNFNNQPRLLSPSPIRTCFPSLLLCSLSPYMAATQLWALAPLALLLVLQLAGACHAVPQSLEAEQASVSHFQPPMMNWINGMVGLCIASAAVAVAAELSREQACLGLLLCLAFHAGIALVIRAAAAPDAGRSLARTQSRRNRNLLYSYY >ONIVA08G03470.1 pep chromosome:AWHD00000000:8:2872256:2876736:1 gene:ONIVA08G03470 transcript:ONIVA08G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRSVGPARQLLLRPRPLPLPHAASSTRSFSRYYSRDDVSRYEALSTPVNWGVSIVPEKKAFVVERFGKYVKTLGSGIHVLVPLVDRIAYVHSLKEEAIPIPDQSAITKDNVSIQIDGVLYVKIVDPYLASYGVENPIFAVIQLAQTTMRSELGKITLDKTFEERDTLNEQIVRSINEAATDWGLKCLRYEIRDISPPRGVKVAMEMQAEAERKKRAQILESEGAMLDQANRAKGEAEAILAKSEATARGIRLVSEAMRTKGSTEAANLRVAEQYMKAFANLAKKSNTILLPSDAGNPSSLIAQSLQIYKHICQTNSLKSGKYLTDALEETEPEEEELDSTDLPSLSSGMPSPDMPDDHDKTFSLQRRNKDKH >ONIVA08G03460.1 pep chromosome:AWHD00000000:8:2867321:2869009:-1 gene:ONIVA08G03460 transcript:ONIVA08G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIATAVLLSLLAVLRAGGDVDDGGGAIMEPCLAAAGVRNVTARRERDAYDAALRASAQNLRFAGDGVAKPAAVVVPATRGELRDAVRCAREAGLGAVRLRSGGHSYEGVSYTGEDDGGFVVVDLLALDGVRVDAASRTAWVESGATLGQVYQAVAAASPALAFSAGSCPTVGSGGHIAGGGFGFLSRKYGLAGDNVIDAVLIAADGRVLDRAGMGEDVFWAIRGGGGGTWGAVYAWRIQLVPVPERVTAFVVNRPGTAESVAELVAAWQHVAPWLPDEFYLSAFVGAGLPEMNRTGISVTFKGLYLGPAHEAVEILTARLPEIGLSDLNPIEMSWIESVVFFSGLPQGSSVSDLTDRVLHKKKYFKAKSDYVRRPMRIGELIRAIDLLSTEPKAYVILDPYGGAMDRIGSASLPFPHRRGNIHGIQYLIEWTANDDDHREEYMDWIRRFYEFMGSYVPNSPRTAYINYMDLDLGMNNWSNLRMYGGDGIPNPEVEAARVWGERYFLGNYDRLVRAKTAIDPDNVFRNAQSIPPLGSRRMSRIPRGISPKIASKDKTYDS >ONIVA08G03450.1 pep chromosome:AWHD00000000:8:2860160:2867176:-1 gene:ONIVA08G03450 transcript:ONIVA08G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLAIMHAIAATLVLCFCLLHPTTSNAQPPSNISSFRNISLPLSPSYTTLLDSIQNLRFALPDVSKPVALVIPTSKLDLHGHSYEGLSYTVENHVPFVVIDLMNLNRVHVDSVSGTAWVESGATLGELYYAIGQLNRSLAFSAGSCSTVGMGGFVSGGGFGLISRKFALAADNVLDAILMDPNGNALNRGSMGDDVFWAIRGGGGGSWGVVYAWKLQLVHVPHSITVFSLNRTGPLEQTAKLMHKWQFVGPHLPDEFYLSIHISTGTSNGNVSMSFTGQVIGPKQYAMLVLHHTFPELGIVESDLSEMSWIESTAKFARLNSTADLTNRRLGIKYYSKSKSDYVHSPISMQDTIKIIEYLSNGPQGFIQLNPYGGAMARIGSSELPFPYRAEYLYSIEYNVSWKASDNDRADEFIRWLRSFYAYMAPHVSKNPLAAYVNYLDLDLGTNTWRNATDGTSNNSVIHAKSWGIRYFSKNFDRLVRAKTMIDPENVFNNAQSIPPLHPTISPVLVFLLLSLHQSICSSAHDAASASSFSSCLATHGVSNFSLPASPSYNTTLNFSIRNLRFTLPDVTRPAAIVLPWSKEDLRRAILCARNSSLAIRVRSGGHSYEGLSYTTENHVPFVVIDLMNLNHVQVDSVSATAWAEAGATLGELYYAVGRSSQSLAFSGGSCSTIGLGGVISGGGFGLLSRKFGLAADNVLDAVLVDPNGRVLDRNSMGEDIFWAICGGGGGSWGVVYAWKLRLVPVPHNVTVFIVDRTGPVEYVAGLVHWWQHVGPNLPDEFYLSVYFPTGSSDGNVSVSFEGQVLGTKQQTLSVLSQSFPMLGVTESDLSEMSWVESTAKFANVGTVSDLSNRSPGTNSYTKSKSDYVKAPISRHDMIEIARYLSAGPPGSIILDPYGGAMARIGSDATPFPHRAGILYSIQYTVYWGQSDQARANEYIIWLRSLYTYMTPHVSKDPRGAYVNYLDLDLGANNWTHPIGGSSMEAVARARYSWGAAYFGNNFNRLVSTKTTIDPSNVFNNAQSIPPLN >ONIVA08G03440.1 pep chromosome:AWHD00000000:8:2848905:2852585:-1 gene:ONIVA08G03440 transcript:ONIVA08G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMELKKLPLGFRFHPTDEELVRHYLKGKITGQIRSEADVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRVIRSKGDKKKQQVIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFRKQEEKIERPSPDEVDRSGYSPTPSRSTPDNMEPIEDGNTPLNRESPESALHESPIDLPALTEAQAAPITRWLADRTDNATTNEVNISHMPHHGLDGRAKASPSAGAFPQLIGSQQNIHDNNELATVSAPMLPHEDFNNFPLGAIGNFDGNMNPRDPVEEFLNQTIADPDEHSSTTSKAQYDSDTGIIPTEFENHGVMQGEFMDDLSGLENLDFWPDDRNPQLSALYEDTPLLPYDSTDQDVLSMDSGAESLQDLFNSMDDSNARNNVWGNEPFLQGTGFPMSWPLQPNSAFPNQGTANRRLMLQLSESLSPDFDASMTRDECEDEEPGVVVTSKYVNEAPEESTAEKDMPSDGDDAEPTGITILRRRHAPTASSFSDGDDAESTGITILRQHQAPNASLLSDGDDAESTGITILRRRQAPTASSASSFTQQGAAVQRVRLQSNLDAAPCSSVDGSSSCIINEGESERTMEKPEIEENAGSTLAEGGTCHEDDQKEHDASAANAKSVLRLRKTAEGSDKENKQEEEEGVLDSHVRAPGNKRGFPSYIIWLVLSVALVLLISLGIYGWV >ONIVA08G03430.1 pep chromosome:AWHD00000000:8:2842171:2846291:-1 gene:ONIVA08G03430 transcript:ONIVA08G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTTAAAIQSASSSTLSPHAAPFALPVRPARAPLQDGDAHPSSSSATSCFRTQSFDTVLPASTCGANASQPREWPEIGSDAAYPSSICSTSVVFSYPSVMMASNHNQKNPLYPGMGSNGSRCSTVKIESPPNKISEANKISCGLTSKSSMTKDVSKSNEDAVKNAPFPQNLEVGTEICSKEASPVSHTRPLHISTAGSDPCDSMADGVKTEPSECYVDSPCWRGRGTSLSHQTSVTQLINQESEAFDAGQKKSTSTVQHCEVLTASQNLDTIENKQNQSQSHVELSVSMKSGDIGKKEEEVSHNKELESAKQCAAKCTAEPKHSLELRDNSVKRSGLNFAAPDFIPSSVGKSKIVKGSCSTTGRNTSGILKAMGNLSEMLRDSCLLDENELDEHEHTLLQSVIENLQTCIDRKKKGPINDDGSNKAGLRAPHSQSAVLKSYAGDYRGSCTTNGGNGITVNKSVGPTRVLSDFGKNSLTWSQPSFNNIPRMISCEEDHSQILIYKNLWIDAERTNCELKYLLKQNRIKIGQESSMAHIGGPRNPSFQACDLGAGPSNSYGAAISYPPTLSFPKGDSTEETSRARNTDLLYTGDCIRLGDNSVPSCSASTISHPTRPNNFQGDLLTGLEETGLHHHAQPVPQLAPSRVHREPRISTMDEASGHSCFTGADGILSGNSEYGLSSDWEHVLKEEIGWS >ONIVA08G03420.1 pep chromosome:AWHD00000000:8:2836737:2837597:1 gene:ONIVA08G03420 transcript:ONIVA08G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMDLTLGGALLQVEEATEEEEEEEEEEQALGQEPAPAAAAAALVLGRRHGVVVGGGGGGVVVAAEREHMFDKVVTPSDVGKLNRLVVPKQHAERFFPAAAAGTQLCFEDRAGTPWRFRYSYWGSSQSYVMTKGWSRFVRAARLSAGDTVSFSRAADGRYFIDYRHCHRHGGRDISFASAATAMPAAWPLFGRVQTAAPVSYGGGHGSAAAATMFLDTVAPVAAAGGHRGEVGPSGQRSFRLFGVNVECGGDVDAAAEEEDADDDVDDGDHRRGEEMELVMWTNHR >ONIVA08G03410.1 pep chromosome:AWHD00000000:8:2820399:2833351:1 gene:ONIVA08G03410 transcript:ONIVA08G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSRRRRGRRSEAASLVGIALLALEGDGAVGHSFGGGGARIKEVFGLRRLTAIGSIQINSSGEEAVVKVRKPYTITKQRERWTEAEHNRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREVQNDKATISNMTNNSTAQMAGDAALEKLQRKEISEKGSCSEVLNLFREVPSASFSSVNKSSSNYGASRGLEPTKTEVKDVVILERDSISNGAGKDAKDINDQEMERLNGIHISSKPDHSHENCLDTSSQQFKPKSNSVETTYVDWSAAKASHYQMDRNGVTGFQATGTEGSHPDQTSDQMGGASGTMNQCIHPTLPVDPKFDGNAAAQPFPHNYAAFAPMMQCHCNQDAYRSFANMSSTFSSMLVSTLLSNPAIHAAARLAASYWPTVDGNTPDPNQENLSESAQGSHAGSPPNMASIVAATVAAASAWWATQGLLPLFPPPIAFPFVPAPSAPFSTADVQRAQEKDIDCPMDNAQKELQETRKQDNSEAMKVIVSSETDESGKGEVSLHTELKISPADKADTKPAAGAETSDVFGNKKKQDRSSCGSNTPSSSDIEADNAPENQEKANDKAKQASCSNSSAGDNNHRRFRSSASTSDSWKEVSEEGRLAFDALFSRERLPQSFSPPQVEGSKEISKEEEDEVTTVTVDLNKNAAIIDQELDTADEPRASFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEISA >ONIVA08G03410.2 pep chromosome:AWHD00000000:8:2820399:2833351:1 gene:ONIVA08G03410 transcript:ONIVA08G03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSRRRRGRRSEAASLVGIALLALEGDGAVGHSFGGGGARIKEVFGLRRLTAIGSIQINSSGEEAVVKVRKPYTITKQRERWTEAEHNRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREVQNDKATISNMTNNSTAQMAGDAALEKLQRKEISEKGSCSEVLNLFREVPSASFSSVNKSSSNYGASRGLEPTKTEVKDVVILERDSISNGAGKDAKDINDQEMERLNGIHISSKPDHSHENCLDTSSQQFKPKSNSVETTYVDWSAAKASHYQMDRNGVTGFQATGTEGSHPDQTSDQMGGASGTMNQCIHPTLPVDPKFDGNAAAQPFPHNYAAFAPMMQCHCNQDAYRSFANMSSTFSSMLVSTLLSNPAIHAAARLAASYWPTVDGNTPDPNQENLSESAQGSHAGSPPNMASIVAATVAAASAWWATQGLLPLFPPPIAFPFVPAPSAPFSTADVQRAQEKDIDCPMDNAQKELQETRKQDNSEAMKVIVSSETDESGKGEVSLHTELKISPADKADTKPAAGAETSDVFGNKKKQDRSSCGSNTPSSSDIEADNAPENQEKANDKAKQASCSNSSAGDNNHRRFRSSASTSDSWKEVSEEVVVYQHSAYSHLSYSLNILHCFSNSHFCCQGRLAFDALFSRERLPQSFSPPQVEGSKEISKEEEDEVTTVTVDLNKNAAIIDQELDTADEPRASFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEISA >ONIVA08G03410.3 pep chromosome:AWHD00000000:8:2820399:2833254:1 gene:ONIVA08G03410 transcript:ONIVA08G03410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSRRRRGRRSEAASLVGIALLALEGDGAVGHSFGGGGARIKEVFGLRRLTAIGSIQINSSGEEAVVKVRKPYTITKQRERWTEAEHNRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREVQNDKATISNMTNNSTAQMAGDAALEKLQRKEISEKGSCSEVLNLFREVPSASFSSVNKSSSNYGASRGLEPTKTEVKDVVILERDSISNGAGKDAKDINDQEMERLNGIHISSKPDHSHENCLDTSSQQFKPKSNSVETTYVDWSAAKASHYQMDRNGVTGFQATGTEGSHPDQTSDQMGGASGTMNQCIHPTLPVDPKFDGNAAAQPFPHNYAAFAPMMQCHCNQDAYRSFANMSSTFSSMLVSTLLSNPAIHAAARLAASYWPTVDGNTPDPNQENLSESAQGSHAGSPPNMASIVAATVAAASAWWATQGLLPLFPPPIAFPFVPAPSAPFSTADVQRAQEKDIDCPMDNAQKELQETRKQDNSEAMKVIVSSETDESGKGEVSLHTELKISPADKADTKPAAGAETSDVFGNKKKQDRSSCGSNTPSSSDIEADNAPENQEKANDKAKQASCSNSSAGDNNHRRFRSSASTSDSWKEVSEEGRLAFDALFSRERLPQSFSPPQVEGSKEISKEEEDEVTTVTVDLNKNAAIIDQELDTADEPRASFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLESEAST >ONIVA08G03400.1 pep chromosome:AWHD00000000:8:2800942:2817959:-1 gene:ONIVA08G03400 transcript:ONIVA08G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRREAVVEKRIVWGGEVGPTSEGWVGPTRLGGGGGGGGSPRGEETGGGGDVVGDALKARSKVGDQHPMPKDNNFSECQPQALKSKTRLHGMRHPNTLGNPLPPPPIPSPSSPLPLPPPPLSSPSSPPIRRSATAANGAAGGCRGEWRQAAAN >ONIVA08G03390.1 pep chromosome:AWHD00000000:8:2797641:2800492:-1 gene:ONIVA08G03390 transcript:ONIVA08G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAAAADEEACMYALQLASSSILPMTLKNAIELGLLETLQSAAVAGGGGKAALLTPAEVAKKLPSKANPAAADMVDRMLRLLASYNVVRCEMEEGADGKLSRRYAAAPVCKWLTPNEDGVSMAALALMNQDKAYGMTAFEYHGTDARFNRVFNEGMKNHSVIITKKLLDLYTGFDAASTVVDVGGGVGATVAAVVSRHPHIRGINYDLPHVISEAPPFPGVEHVGGDMFASVPRGGDAILMKWILHDWSDEHCARLLKNCYDALPEHGKVVVVECVLPESSDATAREQGVFHVDMIMLAHNPGGKERYEREFRELARAAGFTGFKATYIYANAWAIEFTK >ONIVA08G03380.1 pep chromosome:AWHD00000000:8:2787632:2788162:-1 gene:ONIVA08G03380 transcript:ONIVA08G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYCVILPQPLVLVLQLLDLLRHAFLLCLSALGLAAPPPPDEHPAYAPPPPPPASMAALPADLVWAFQPAPEPVAIKARLPAVRYADFVRCRRAAAAAAASCAVCLGALEARHRVRELGNCAHAFHKACIDKWVDKGQATCPLCRAALLPSADDDDAAAIAATTRHHLPSF >ONIVA08G03370.1 pep chromosome:AWHD00000000:8:2770286:2779197:-1 gene:ONIVA08G03370 transcript:ONIVA08G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G79730) TAIR;Acc:AT1G79730] MASYRPYAPHPPPPQGGFPPQPPPMNPYGPPPPQQPGYGHMPPPQGAPPPFLAPPPPPPPGPPPPHQPQFNFGPGPPQQQQPPPPPQMYYRPPPPPPPYGVNSSQPPPPPPPPPSSPPSAPPPPPPPPTQPPPREAQLAPPPPREQQSKSALPRAETEEERRARKKREYEKQRAEDRKNQQMMRQSQATVLQKTQQVRAAQQPQSRHHQQPSGGSRPAVTATRPAAAPNAERFENRLKKPTTFLCKHKFRNELPDPSSQLKWLPLNKDKDRYTKYRITSLEKNYIPKMIVPEDLGIPLDLLDMSPPMAPEDEELLRDDEVLTPVKKDGIRKKERPTDKGMSWLVKTQYISPLSTDAAKMSITEKQAKERRESREGRNTFLENINDREKQIKAIEDSFRAAKSRPVHQTKRGMEAEWVLPLLPDFDRYDDQFVMVNFDGDPTADSEQYNKLERSERDECESRAVMKSFLVNGSDPAKQEKFLAYMVPSPHELSKDLDDETEDIQYSWLREYHWEVRGDDKDDPTTYLVTFDDDGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTAHGGMMEHGESSSMHENLKRQRSSVDDDLYDHPKHSRRNSRRVMFRRINNQTVHPMDFKMMQMAFGNHKDDESNEVELKCLPPYDLLDIFFGERRLLEIEAERRWADWFIIYLMKGCQVWMAQSFINCNVTEVKRPHISAGVVASKKPKARVIR >ONIVA08G03370.2 pep chromosome:AWHD00000000:8:2768870:2779197:-1 gene:ONIVA08G03370 transcript:ONIVA08G03370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G79730) TAIR;Acc:AT1G79730] MASYRPYAPHPPPPQGGFPPQPPPMNPYGPPPPQQPGYGHMPPPQGAPPPFLAPPPPPPPGPPPPHQPQFNFGPGPPQQQQPPPPPQMYYRPPPPPPPYGVNSSQPPPPPPPPPSSPPSAPPPPPPPPTQPPPREAQLAPPPPREQQSKSALPRAETEEERRARKKREYEKQRAEDRKNQQMMRQSQATVLQKTQQVRAAQQPQSRHHQQPSGGSRPAVTATRPAAAPNAERFENRLKKPTTFLCKHKFRNELPDPSSQLKWLPLNKDKDRYTKYRITSLEKNYIPKMIVPEDLGIPLDLLDMSVYKYELSQIYVFLAAILIRRFFLAYLTYAQTIFSTPPVQPPMAPEDEELLRDDEVLTPVKKDGIRKKERPTDKGMSWLVKTQYISPLSTDAAKMSITEKQAKERRESREGRNTFLENINDREKQIKAIEDSFRAAKSRPVHQTKRGMEAEWVLPLLPDFDRYDDQFVMVNFDGDPTADSEQYNKLERSERDECESRAVMKSFLVNGSDPAKQEKFLAYMVPSPHELSKDLDDETEDIQYSWLREYHWEVRGDDKDDPTTYLVTFDDDGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTAHGGMMEHGESSSMHENLKRQRSSVDDDLYDHPKHSRRNSRRVMFRRINNQTVHPMDFKMMQMAFGNHKDDESNEVELKCLPPYDLLDIFFGERRLLEIEAERRWADWFIIYLMKGCQVWMAQSFINCNVTEVKRPHISAGVVASKKPKARVIRVRLASIWLSNALPIFLIATS >ONIVA08G03370.3 pep chromosome:AWHD00000000:8:2770286:2779197:-1 gene:ONIVA08G03370 transcript:ONIVA08G03370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G79730) TAIR;Acc:AT1G79730] MASYRPYAPHPPPPQGGFPPQPPPMNPYGPPPPQQPGYGHMPPPQGAPPPFLAPPPPPPPGPPPPHQPQFNFGPGPPQQQQPPPPPQMYYRPPPPPPPYGVNSSQPPPPPPPPPSSPPSAPPPPPPPPTQPPPREAQLAPPPPREQQSKSALPRAETEEERRARKKREYEKQRAEDRKNQQMMRQSQATVLQKTQQVRAAQQPQSRHHQQPSGGSRPAVTATRPAAAPNAERFENRLKKPTTFLCKHKFRNELPDPSSQLKWLPLNKDKDRYTKYRITSLEKNYIPKMIVPEDLGIPLDLLDMSVYKYELSQIYVFLAAILIRRFFLAYLTYAQTIFSTPPVQPPMAPEDEELLRDDEVLTPVKKDGIRKKERPTDKGMSWLVKTQYISPLSTDAAKMSITEKQAKERRESREGRNTFLENINDREKQIKAIEDSFRAAKSRPVHQTKRGMEAEWVLPLLPDFDRYDDQFVMVNFDGDPTADSEQYNKLERSERDECESRAVMKSFLVNGSDPAKQEKFLAYMVPSPHELSKDLDDETEDIQYSWLREYHWEVRGDDKDDPTTYLVTFDDDGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTAHGGMMEHGESSSMHENLKRQRSSVDDDLYDHPKHSRRNSRRVMFRRINNQTVHPMDFKMMQMAFGNHKDDESNEVELKCLPPYDLLDIFFGERRLLEIEAERRWADWFIIYLMKGCQVWMAQSFINCNVTEVKRPHISAGVVASKKPKARVIR >ONIVA08G03360.1 pep chromosome:AWHD00000000:8:2768014:2771881:1 gene:ONIVA08G03360 transcript:ONIVA08G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGGGGGGHGHHGGIGGGEAQIKGTLTHGGRYVQYNVYGNLLEVSSKYVPPIRPVGRGACGIICAAVNAQTRQEVAIKKIGNAFDNQIDAKRTLREIKLLRHMDHDNALVVISIKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTDDHCQYFLYQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTNETDFMMEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTREPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRSLPQYPKQQFRARFPTMSSGAMDLLERMLVFDPSKRITVDEALCHPYLASLHEIYDEPVCPAPFSFDFEQPSLTEEDIKEIIWREALKFNPEPIH >ONIVA08G03350.1 pep chromosome:AWHD00000000:8:2763977:2766687:-1 gene:ONIVA08G03350 transcript:ONIVA08G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sporulation 11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) TAIR;Acc:AT1G63990] MAEAGVAAASLFGADRRLCSADILPPAEVRARIEVAVLNFLAALTDPAAPAISALPLISRGAANRGLRRALLRDDVSSVMEMCYKILGEGKLVTLRELFYTLLSESPTYFTCQRHVNQTVQDVVSLLRCTRQSLGIMASSRGALIGRLVLQGPEEEHVDCSILGPSGHAITGDLNVLSKLIFSSDARYIIVVEKDAIFQRLAEDRIYSQLPCILITAKGYPDLATRFILHRLSQTYPNMPIFALVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGLRGDDLQLIPQSAYQELKPRDLQIAKSLLSSKFLQDKHRAELTLMLETSKRAEIEALYSHGFDFLGKYVARKIVQGDYI >ONIVA08G03340.1 pep chromosome:AWHD00000000:8:2755551:2758849:1 gene:ONIVA08G03340 transcript:ONIVA08G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGVCAANPTIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKSAAK >ONIVA08G03340.2 pep chromosome:AWHD00000000:8:2755551:2758849:1 gene:ONIVA08G03340 transcript:ONIVA08G03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKSAAK >ONIVA08G03330.1 pep chromosome:AWHD00000000:8:2747671:2752541:-1 gene:ONIVA08G03330 transcript:ONIVA08G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLARKAAQAGGQWGSRGHGNRPLLLMRWPAAARVELKKQISCSMQLSNLSDDYIAFKVKTTSPKKYSVRPNTGVVLPRSTCDVVVTMQAQREAPPDMQCKDKFLVQSVIAPSGVTVKDITGEMFTKESGNKVEEVKLRVTYIAPPQPPSPVPEESEEGSPSRVSESENGDSLGGGFTRALRECIEPQENSLEAGALINKLNEEKNSAIQQNHKIRQELDMMRREISKKRGGFSFIIVIIVALIGIFLGYMMKS >ONIVA08G03320.1 pep chromosome:AWHD00000000:8:2743342:2746818:1 gene:ONIVA08G03320 transcript:ONIVA08G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHEMTSRKPPGIRLFGGITVLRTYQTLVLVLTFVAYTCFHMTRKIPSIVKSVLDPQTKLGSSPWGRLHTKNTLNIGWLPFNTIDGSALLGEIDVAFLAVYSVGMFFAGHLGDRMDLRIFLTIGMFGTAVFTALFGAGYWLNIHNFYYFLVIQMIAGLFQAIGWPSVVAIVGNWFGKSKRGLIMGIWNAHTSVGNISGSLLAAFLLKFGWGWSFAIPSLIMVAVGLLVFGFLPVSPEVMEIDIDDGEISSVKDTTKEPLLEPGQEVKHNAVGFLEAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTPIGGEYLSDALAGSLSTIFDVGGVLGGVLAGHISDRLNARAVTAASFMYCAIPALFLYRTYGSMSIMWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSSSWSAVFTMLMAAALLAGLLLTQLVCSELKGKATSNASKDVADAQGTYSDEV >ONIVA08G03310.1 pep chromosome:AWHD00000000:8:2722405:2722740:1 gene:ONIVA08G03310 transcript:ONIVA08G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQCSSHFFLYASFSLELDLAWQATEPAHVRPTLDTDNRLFPFLVGTAVDVYATQNYKVPNLRGLAHRSVDAAKHYEEAYRKKPSSAGAGAGGGSKKRMNKSVEIDDDVE >ONIVA08G03300.1 pep chromosome:AWHD00000000:8:2718417:2718647:1 gene:ONIVA08G03300 transcript:ONIVA08G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRRLFPFLVGTAVGVYAAQNYKVPNLRGLADRGVDAAKHYEEAYRKKPSAGGGGGGSRKKMNKTVEIDDDEE >ONIVA08G03290.1 pep chromosome:AWHD00000000:8:2716707:2717009:1 gene:ONIVA08G03290 transcript:ONIVA08G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKYGFAFLAGTGFGAALTSLRRDGDSCCPMRRRHRRCRRRHDDDDQLVDGDGEAAGEERYKESKRATTTTNPKAKKGSTKEKAAASVAREEEDDDDE >ONIVA08G03280.1 pep chromosome:AWHD00000000:8:2710183:2710751:-1 gene:ONIVA08G03280 transcript:ONIVA08G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSCMVCLLLFFLLHLSSSVCLATSHNHRRLRPCRYAVDGGAHVWRSPRRYMRAAAEEQRGSPEPAPLPDGALGLQPGGVEGAAAEARTTEEEAAGAGAAMAPFPAAADVGGKDDDDSGGGDGAADDAGVDYALPKTHPPSHN >ONIVA08G03270.1 pep chromosome:AWHD00000000:8:2703043:2704295:-1 gene:ONIVA08G03270 transcript:ONIVA08G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFPYSPAEVAKVQLVQFGILSPDEIVIPPAPSSSPSPSPAAAATPGGVEGAAAAARTTEEEAAGAGAAMAPFQAAADVGGKDDGDSGDGGGSDGAADDAGVDYAPPKTHPPSHN >ONIVA08G03260.1 pep chromosome:AWHD00000000:8:2690513:2698227:-1 gene:ONIVA08G03260 transcript:ONIVA08G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0I7C8] MDARFPYSPAEVAKVQLVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPLLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDTKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLDVQEQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKNDDQEQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKPINLIRFSAWHSEAETGFITPGDTMVWIEKGELLSGTLCKKTLGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMVKINETISKAKDDVKELIKQAHDRQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKGEFDNVFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADRFQLGSEIATTGDNTWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVEAIDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFVRSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRVRITNDEAPKGEIQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKYGKVNKFEDNVGFKADNEWMLVTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVSENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPITDAQFSPYVGGMAFSPVPSPGYTPSSGGGYSPSSPVYSPGPGYSPTSPSYSPASPSYSPTSPSYTPGSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPNYSPTSPSYNPSSAKYSPSHAYSPSSPRLSPYSQTSPNYSPTSPTYSPTSPSYSQPSPSYSPTRFSAWRSTY >ONIVA08G03250.1 pep chromosome:AWHD00000000:8:2656131:2663957:-1 gene:ONIVA08G03250 transcript:ONIVA08G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPTSPPPPLASDELLLPLTLTCVCRRRRGRREGEKMVGMLPETNAQAAAEEVLGDAWDYRGRPAARSRTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTATMHAGNAEAANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAVQASGVTILTISTAAPGLRPAACAAGSAACERATGAQMGVLYLALYLTALGTGGLKSSVSGFGSDQFDESDSGEKSQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRPWGYGACAAAIAAGLVVFLAGTRRYRFKKLVGSPLTQIAAVVVAAWRKRRLELPSDPAMLYDIDVGKLAAAEVELAASSKKSKLKQRLPHTKQFRFLDHAAINDAPDGEQSKWTLATLTDVEEVKTVARMLPIWATTIMFWTVYAQMTTFSVSQATTMDRHIGASFQIPAGSLTVFFVGSILLTVPIYDRLVVPVARRATGNPHGLTPLQRIGVGLVLSIVAMVCAALTEVRRLRVARDARVGGGEAVPMTVFWLIPQFLFVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVAAVHKLTGDRHPWLADDLNKGQLHKFYWLLAGVCLANLLVYLVAARWYKYKAGRAAAAGDGGVEMADAEPCLH >ONIVA08G03240.1 pep chromosome:AWHD00000000:8:2647348:2655924:-1 gene:ONIVA08G03240 transcript:ONIVA08G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLMVGIRERFLRVVVVAAAAVASFHRLPQHREAVADASPGRPSRPPASIPEDAAIDGFQFGRPKVVVLPGGCCCYLLDSWETVEFHYPDKFTCRQRAANELAAQDAMAGLMALSNGQIQGAGVDKDSNSGDVVCKVKRTEYSPPIEDEYDDTATFAQFPIVKAASRPHPTRQPGP >ONIVA08G03230.1 pep chromosome:AWHD00000000:8:2646638:2646988:-1 gene:ONIVA08G03230 transcript:ONIVA08G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNGDHVTAGGGNASAAAAAKEEKMTGVGSGGKESGSSPPSPSSTSTSTVTATASEGERPAAAAAATTSPAVWHWHVLSLLLGFVVGVAVAAAAMLFLKRGGGGGSPELCFVPT >ONIVA08G03220.1 pep chromosome:AWHD00000000:8:2642528:2646995:1 gene:ONIVA08G03220 transcript:ONIVA08G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFLEIQPSELSFPFELLKQSSCSMQLTNKTDHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVTMQAQREAPPDMQCKDKFLVQSVAAENGATTQDISAEMFNKVAGKVVEEFKLRVVYVPTTTSSAMPEDSEQGSSARPFAQENGIHNSTMPQPVFRSSAEPTKERPTEPLSMISKLNEENRVAIQQNQKLRHELELLRKESSKSSGGFSLTFLAIVGLLGIIVGYILKKT >ONIVA08G03210.1 pep chromosome:AWHD00000000:8:2638074:2641460:-1 gene:ONIVA08G03210 transcript:ONIVA08G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein [Source:Projected from Arabidopsis thaliana (AT1G63780) TAIR;Acc:AT1G63780] MLRRNLRLRREYLYRKSLEGKERQHYEKKRRLREALDEGKPIPTELRNEELALRREIDLEDKDSAVPRSLIDDEYAGAALREPKILLTTSRNPSAPLTQFVKELKVVFPNSQRMNRGGQVISEIVESCRSHDITDLVLVHEHRGQPDGLIVCHLPFGPTAYFGLLNVVTRHDIKDRKAMGKMSEAYPHLILDNFTTKGIMLLQSVLHRICLIRLLLQTGERTANIVKHLFPVPKPDSKRIITFANRDDYISFRHHVYEKHGGPKSLDLKEVGPRFELRLYQIKRGTVDQAEAQNEFVLRPYMNTAKKQKSLGA >ONIVA08G03200.1 pep chromosome:AWHD00000000:8:2629724:2636947:1 gene:ONIVA08G03200 transcript:ONIVA08G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSGGGGGGVHHHLNHHGLCCLSAAALPAPDAPPTPEPEAGGAAVAVAGVLHKWTNYGRGWRERWFSLHDGVLSYSKIRRDASAAADEDGGGGGGEVRLIGGASARIGGARRPDKPVGVVCLKLSAFRESKSDDRRFYIFSPTKTLHLKTDSKEDRVAWIEALILARSFYSLRSLSGRITFVQSDVSVSTARLRNRMQQEGLNESLIQECEQIVLTEFSSFRKQLKLCYEDQLSLFGSCRHHFEEGKDASIIQGALTRNDFSSSRHGNFSEYSTTESDEFEKQDGGDLTCEDESTFFDAADYFIEPNDRSSAMLSSTSCGEGLLDIQMEDSSHLLPQIKRRSKLPEPTEKERGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKGFEDLEYSHLLDQAYQYGKMGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADFPERGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVDPIGVLTVEFDDGEIFQWSKVTTTIHNLILGKLYCSHHGTMHIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDDDGNKPSFLIGKWDENMYYSNLDTSKVKSVDQLKGAVLLWEKNKPSPNPTRYNLSSFAITLNELSPDLQDKLPPTDSRLRPDQRHLENGEYEKANAEKLRLERRQRMSSKLQDNGWKPRWFQKDGEDGTYRFKGGYWEAREHGQWDDCLDIFGEFSET >ONIVA08G03190.1 pep chromosome:AWHD00000000:8:2622454:2622834:-1 gene:ONIVA08G03190 transcript:ONIVA08G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRPQQQPDGGRLKPSSHLQYVVIVLWISPLSSSVSDGDQGWVNGACWAAAGNLSPTSICTEEARRLDGEGGRVEEARRLILPSLTNVVVLVVNLHSRVS >ONIVA08G03180.1 pep chromosome:AWHD00000000:8:2617454:2621427:1 gene:ONIVA08G03180 transcript:ONIVA08G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIIIWFGPISYPTPNPTQPNSSSSSAFSRSSRVLPPPDPAAALPSPAVRSILSAPCACADLHLATRGGGEALAAVVLLLLDSSELRRGGFCGQYAVLLLLQGARGEGGDGGAQERPGHPRHAPLRRPIPQHQAREHSGCRPGQVPAHAIRAKLLHQGIGGAVRVASPGWRRH >ONIVA08G03180.2 pep chromosome:AWHD00000000:8:2617718:2621427:1 gene:ONIVA08G03180 transcript:ONIVA08G03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDPVHWVLILPLASADSSELRRGGFCGQYAVLLLLQGARGEGGDGGAQERPGHPRHAPLRRPIPQHQAREHSGCRPGQVPAHAIRAKLLHQGIGGAVRVASPGWRRH >ONIVA08G03180.3 pep chromosome:AWHD00000000:8:2617471:2621427:1 gene:ONIVA08G03180 transcript:ONIVA08G03180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG >ONIVA08G03170.1 pep chromosome:AWHD00000000:8:2607808:2614254:1 gene:ONIVA08G03170 transcript:ONIVA08G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDPVMFDNDDEEGPISFKRSSTSVKNRPTPTKQEGSSGNAGPIRSPKAVASNQQKNGLTGVSRPLQQRPQSSSPNPRPLGSGQPNSSKEHSSHNSNSVDKSKLKRPFVEDKSDDSEDDHKPIGLRRKVEDRNLKKPAVGSGTANDSDDDKPLSLKINSTKTPSSSANKAIVLKTTPKIEQPGDDSEDDKPLASRLPTNAALKRGGNVSDDSEDEKPLAARFSKVTGNASASISSSKDKVLSASIKASSSSKMSNNDASTSRNSIKRPIDNNNQTSSALKKARPSDVSASASVKREPKADDNDNVPLSQRLKMGESSKSKPPAKNIVKKSPSSLKKDNKKMKMKLKTKKTMKNSQFSKTMKVPPGSGGGQKWTTLVHSGVIFPPPYKPHGVKILYNGQPVDLTPEQEEVATMFAVMKDTDYASKQTFIDNFFTDWRKILGKNHVIKKFELCDFTPIYEWHLREKEKKKQMTSEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIKPSDITINIGKGAPIPECPIPGESWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSALKGQSDKEKYEKSRKLKHHVHKIRDTYTKDFRSKDKTKKQIAVATYLIDKLALRAGNEKDEDEAETVGCCTLKVDNVTCVPPNKLQFDFLGKDSIRYFNTVEVELLVYKAIEEFRAGKKPGQDLFDKLDTTRLNAHLKDLMPGLTAKVFRTYNASITLDDILHKETEDGTLLEKIAVYQRANKEVAIICNHQRSVSKSHDSQMTRLNEKIDELKAQRDELKADLSKVRKGKNLGNDKDGKPKRNLAPEAFEKKISQIETKIEKMEMDKKIKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLIAKFSWAMDVDPDFRF >ONIVA08G03170.2 pep chromosome:AWHD00000000:8:2608262:2614254:1 gene:ONIVA08G03170 transcript:ONIVA08G03170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDPVMFDNDDEEGPISFKRSSTSVKNRPTPTKQEGSSGNAGPIRSPKAVASNQQKNGLTGVSRPLQQRPQSSSPNPRPLGSGQPNSSKEHSSHNSNSVDKSKLKRPFVEDKSDDSEDDHKPIGLRRKVEDRNLKKPAVGSGTANDSDDDKPLSLKINSTKTPSSSANKAIVLKTTPKIEQPGDDSEDDKPLASRLPTNAALKRGGNVSDDSEDEKPLAARFSKVTGNASASISSSKDKVLSASIKASSSSKMSNNDASTSRNSIKRPIDNNNQTSSALKKARPSDVSASASVKREPKADDNDNVPLSQRLKMGESSKSKPPAKNIVKKSPSSLKKDNKKMKMKLKTKKTMKNSQFSKTMKVPPGSGGGQKWTTLVHSGVIFPPPYKPHGVKILYNGQPVDLTPEQEEVATMFAVMKDTDYASKQTFIDNFFTDWRKILGKNHVIKKFELCDFTPIYEWHLREKEKKKQMTSEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIKPSDITINIGKGAPIPECPIPGESWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSALKGQSDKEKYEKSRKLKHHVHKIRDTYTKDFRSKDKTKKQIAVATYLIDKLALRAGNEKDEDEAETVGCCTLKVDNVTCVPPNKLQFDFLGKDSIRYFNTVEVELLVYKAIEEFRAGKKPGQDLFDKLDTTRLNAHLKDLMPGLTAKVFRTYNASITLDDILHKETEDGTLLEKIAVYQRANKEVAIICNHQRSVSKSHDSQMTRLNEKIDELKAQRDELKADLSKVRKGKNLGNDKDGKPKRNLAPEAFEKKISQIETKIEKMEMDKKIKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLIAKFSWAMDVDPDFRF >ONIVA08G03160.1 pep chromosome:AWHD00000000:8:2599244:2603440:-1 gene:ONIVA08G03160 transcript:ONIVA08G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12230) TAIR;Acc:AT1G12230] MALSISTPPTSSSLLPASLQVGRWSSSAAMSARPVAFSLRRPVLAARVAAGGNAPSSSVDEVVTELDAVASFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNTMEKPEDRMSCFLTKALANVGAEMAHLVPGRVSTEIDARLAYDTQGIIQRVHELLKLYSDHDVLSERLLFKIPATWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVVQIFVGRVRDWARTHSGDPEIDEALKKGEDAGLALVKKVYAYIHKNGYKTKLMAAAIRNKQDVFSLLGIDYIIAPLKILQSLEESVTDTDVKYGYVPRLTPALGKTYNFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYVNQARRVEELFGKIWPPPNADIGNVSVVRARSVGQAGGSRPSSDDEVII >ONIVA08G03160.2 pep chromosome:AWHD00000000:8:2599988:2603440:-1 gene:ONIVA08G03160 transcript:ONIVA08G03160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12230) TAIR;Acc:AT1G12230] MALSISTPPTSSSLLPASLQVGRWSSSAAMSARPVAFSLRRPVLAARVAAGGNAPSSSVDEVVTELDAVASFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNTMEKPEDRMSCFLTKALANVGAEMAHLVPGRVSTEIDARLAYDTQGIIQRVHELLKLYSDHDVLSERLLFKIPATWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVVQIFVGRVRDWARTHSGDPEIDEALKKGEDAGLALVKKVYAYIHKNGYKTKLMAAAIRNKQDVFSLLGIDYIIAPLKILQSLEESVTDTDVKYGYVPRLTPALGKTYNFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYVNQARRVEELFGKIWPPPNV >ONIVA08G03150.1 pep chromosome:AWHD00000000:8:2591774:2596741:-1 gene:ONIVA08G03150 transcript:ONIVA08G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAVVVAAVVAAAASAAAPASAGEPTRDVRWEVGYMTVAPLGVSQKVIAINNQFPGPLLNVTTNWNVRVNVQNNLDEPLLLTWDGIQMRMNSWQDGVAGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGFGPVTVNNRAVVPVPFAQPDGDITLFIGDWYTKSHVELRKMLDDGKDLGIPDGILINGKGPYSYDNTLIPEGLQHETVGVEPGKTYRFRVHNVGTSTSLNFRIQNHNMRLVEAEGTYTYQQNYTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRMNTTAGAARPNPQGSFRYDSINITQTFVLKNELPLLINGKRRRTINGVSYSPPETPLRLADLHNLTGVYKTDFPTMPGNAPPKMASSTLNASYKGFLEIVFQNNDTGVQTYHLDGYSFFVVGMDNGDWTPDCRSRYNKWDAISRSTTQVFPGGWTAVLVSLDNVGIWNLRSEKLDNWYNGQEVYVKVADPLGYNITEMIMPDNALYCGLLKDLQKPQIHQVNSKSSAQAADRWGARVLAMVLLIIAAVVSI >ONIVA08G03140.1 pep chromosome:AWHD00000000:8:2579221:2588603:-1 gene:ONIVA08G03140 transcript:ONIVA08G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEVVVVVDEEESERRSQKMIEEEKKRLDEEMELRRRRVKEWQEQKRLEEEEAKRREQEAAAGAGTPAAAAGADGDSNAGKKWTLDGEESDEEGYKEDSQNAEDDGGITADLPSEVNDANVAAPMEEDEIDPLDAFMSSMVLPEVAKLETAVASMESMPASNMGDKNGKSAKDAVSNGDKKGQKKAMGRIMQGDDSDSDYDDDDDDEGGSKDEDDEEFMKRVKKTKVEKLAIVDHSKIEYQPFRKNLYIEVKDITMMTGEEVATYRKNLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFAKSLGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSAIFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPENERFLRLLELLGDNVCNLLIAGCELDVKELELVVNYDVPNHYEDYVHRVGRTGHAGRKGFAVTFISDEEERYAPDLAKALELSEQAVPQDLKGLADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARRSSKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIAAAQAAATLAAAKAASNANQQVQSTNAGSLLSIPVVANAPNNEATARALQAALNIQQNLARIQAHVVPEHYEVELDINDFPQNARWKITHKETLGPIQDWTEAAITTRGTFIPQGKIVGANERKLYLFIEGPTELSVKKAKSELKRVLEDCANHALNLPGSAQTGKTANSEMQGFLVKVFLGRWTAILVFLDDGVICNIRAEKLDKWQVRVFYVKVADPLGYSITE >ONIVA08G03130.1 pep chromosome:AWHD00000000:8:2574150:2578708:1 gene:ONIVA08G03130 transcript:ONIVA08G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVFAALCKIGSVLASSASITLGGRLDANLTIINEIESRIKQIEVELKLMQAFLRQAQKQEGYSEPTEVYLQEVRKAAFEVEDIIDEFLYLSVKHKNRFFNGEFMSYFRKLGKTSWHKIARELKDLQCHLQNLRNLRVQYEIQLPNGNRVSTDVEDHRLPHHLSYPADEMVGVEQERTMMMNWLKTCSTSVITVWGMGGSGKTTLANSIYEDERIKNHFDCHIWITVSQKFNASDIMRKMVRHMLQRCSPNIDSIDGRDLVEILKRTLEHRKILLVLDDVWSTDVWMDLASTVERSNNNGNKVVITTRIKDVASLASEDQVLQLQKLNDADSWCLFCRWAFKNRIDRSCPQELESLGREIMAKCDGLPLAIVVVGNMLSFKKQDMEEWSKCNDQLTWELRDRLRDQELSSVMKILKLSYKNLPSHLKNAFVFCSIFPEDYMITKKRLVRLWVAEGLIKPEKRRTVEEVAEEYLNELIDRCLLQVVERKHFRKVKEFQMHDIVRELAISISEDETFCMTHSKSHPGEPEYRCRRLSIHEHNDRIKSVSDPSRLRSLYHFDVTCSSFPSVGTPRSARYLNVLELQDVPITVLPEELSGLFNLRYLGLRRTKIKQLPQSVDKLFNLQTLDVYLTNVDKLPTGIAKLKRLRHLLAGKLSAPLYCGIVEKSRGVQAPKVVWESMELQTLKGVLANLNLVENLGHMTQLRTLAIEDVGEEHYPKLFASISKMRSLRTLKVLSAEGNQGLNFEALSLPPQNLRKLHLTGRFHHTVMESNFFQTVGAKLEKLYLTGSKTNIDPLISISCLSNLKVLQIGDAYDGASFVFQSGWFPKLHTLIMCNLLHINSMIMEQQTLQNLQWLALVNFPELKEVPHGIELLLSLQNLMLVNMHDEFMERIQGEDKERVQHISTVRFLDRSRGMEKRLPRRSIGHNEQANG >ONIVA08G03120.1 pep chromosome:AWHD00000000:8:2567209:2570696:1 gene:ONIVA08G03120 transcript:ONIVA08G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLVDAKLIRVSTAMHRFASASSLPPPAPATAAAAAAQAAALRFGSAATTRVPRALALTASTCPWHRRHLCSSSSSSSSSAAAAAATAAAVEEARQGRKQLGATTQLYEYLLANVREHPVLKELREETAAMRGSQMQVSPAQAQLLAMLAQILGAQRCIEVGVYTGYSSLAVALALPESGRLVACERDERCLEVAKKYYQRSGVAHKVDVKHALAADSLKLLIDGGEVNSYDFAFVDADKRMYEEYYELLLKLVRVGGLIVIDNVLWYGRVADPLVNDRKTISIRNFNKKLLEDNRVSISMVPIGDGMTICRKLVDT >ONIVA08G03110.1 pep chromosome:AWHD00000000:8:2558196:2562700:-1 gene:ONIVA08G03110 transcript:ONIVA08G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISHCEDNDFDRISNLPDELLHHVMSYLTAQEAVRTCVLSRRWQNVWSSMMFLHDNAAKSSSITSFKKFLDNVLLYRNPVPLKGLWISAACDNSDDSLDYSDIHRWVRHVLRSNAREVGIFVHCGSKLLSIDGYPFAFTSVHLSKLVLFKFTIDDCFSKKLSSGCPVLKDLVLISCGIDVTMFSSTTLKSFVIHNAEDIEHLPKQIEYLVIEMPNLVTLHIEEIPRRNIHLVDLSSVKEATIYFFEDSFRNSAVDCNILSALSNATSLKLICDSVYDELGAEEYEKFPTAAAAIDPPCKEAARTFHCEKLTEIEIVYPQGDKRVHIIVRILIANISPLPEIKIKPCPNSRLSWTRQHLARKLLDSMSLIERVLPATGMTTSNNEENAPDRISRLPDGLLRFVMLYLTAQEAVQTCVLSRRWQNVWSSTKWLKADAAKFSSMKSFKKFVDNLLLYRKPVPLDALWILATCNCSDDSLDYSDIHPWIRHALRSNAWALGIMEHCGTNLLSIDGYPFPFTSVYLSILHLCHFIIDDSFVKNLSSCCPVLEDLELKNCAIIVTMFSSTTLKNLLINSTETTEHFPPKFEHLMIDMPNLVTLHLDEIPNRNIQLVDVSSVKKATFYFFELSFQNSAVDCNIIPALSNVTSLELVSPTAYEDVVPNVLIRSFPRCKTFSNLKYLKLGEWFLRDGCYPLLFLLRRSPNIEKLHLQLNKYGSDDYEDYPDAAAAIDPTRREIEEMFNCVKLRKITIFYPQGDERVHIIVRILIANISPLPAIKIKPIPV >ONIVA08G03100.1 pep chromosome:AWHD00000000:8:2549453:2553083:1 gene:ONIVA08G03100 transcript:ONIVA08G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLRRRSTGAALSHAASGEEAPKSSETSPAPAAAAAGSGRWTRSRSARTRSHLGLDSDSTDHPRVVSGSSDQAAPKKRKKTTTAVGGAGGSTRLASRPVPGRYPDYPSLRPGQHALSKKHMSAVQEWMDECSRISKLEKQARPEDIPTLRDNPRDPFTPDAVVSSQDKAMVLRVARSVVSVSSSKPDGELISQCTGIVIGWDGANKCAKILTSCSTVCDFDGEFHKPTFKLSVRMPNRTITEGRLLFFNVHYGIALLEVKGDFQLQVPSFGLGINYGQDVFALARDENMSLMVRHGTISWLDYPGLLTSPYMFLSCDIPEGGSGGPVVDHDGNIIGIAFNINPGPVVISITTIRTCIEMWHQFSRVARPVLGMQLKAVELLDVSMREELCLEYNIAGGFIVNLVKVDSTAERIGIRRGDVIVFKDNRCSTLPQLEDYLLSLGWRYLQGLSFTVDLKVEVHNLADSYKESITFPIPFSDASKRVD >ONIVA08G03090.1 pep chromosome:AWHD00000000:8:2537231:2537485:-1 gene:ONIVA08G03090 transcript:ONIVA08G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEKKTNRKKNPVTRPRQRHERYELAAIELQPPLPLSSYRHHLLRPDPTRRGHRVAVGGGSVELHRHRLKARYGEEWRGSGTR >ONIVA08G03070.1 pep chromosome:AWHD00000000:8:2529761:2533955:-1 gene:ONIVA08G03070 transcript:ONIVA08G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLTAAAAAAIDVCPLPIWAPPVGYTPTPGWRRKGRSVHANSPLDFRGTTSSPPLPLRPPPLPSGLDDGGGSGGGSEHEQEHAQAQMDVRSRSDGGGAGVIEEIGQRQAGHIRYPNLLVPASP >ONIVA08G03060.1 pep chromosome:AWHD00000000:8:2527068:2529260:-1 gene:ONIVA08G03060 transcript:ONIVA08G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQANRDGKRESVKLSHTELRRYQLDVYEVAMRRNTIAMLDTGAGKIMIAVMFIKEFGKINRTKNAGKVIIFLAPTVQLVAQVIPESVDCSFYPNCNIIYTDIIFRHSNASTYNNEITGHGHDTTGVPTSFTQCFLDLGQGVSSHLDCEGQFCELENLLDAKIYRVSDREEIELCVPSAKEMYRFYGPKTICFEDLSEELGVLCPKYDALITELQNKQSYMYEDADDITKESRRRLSNFSKNLLLP >ONIVA08G03050.1 pep chromosome:AWHD00000000:8:2523685:2523979:1 gene:ONIVA08G03050 transcript:ONIVA08G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSCEASVDDDCTIDVATCSKQFLKGTEQFPEREQGIRKNGPIIYQQRQREADIPHYRLHHTPPYEMMKQKELQRTYIAR >ONIVA08G03040.1 pep chromosome:AWHD00000000:8:2521565:2522570:1 gene:ONIVA08G03040 transcript:ONIVA08G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNNNRRRPNLLTGGCSAGKQYLASKTAPSIFSVEVAVRHEKQNNLKAMRKYVALTDKATDVMDTGVPTDELTIKRRQQTGFVVHSSDTQLFILTTAHAVDCVFKKGVHQVTAEELNLVFLFALDIEKDLLLLAVTKDELCLQDVDVGGVFVPCSSDHPIIHLADLPPEQSDLSLLQGWPPLRANSSIWGSVSYLERPYDVLTSCNTKGYTMKLTEFHEFDCANGFSGGPVINGDGQCMAVFHAVMVDAKCGYAICLEDVREFLTNALENLLEDNEDGDGGHA >ONIVA08G03030.1 pep chromosome:AWHD00000000:8:2509938:2510943:1 gene:ONIVA08G03030 transcript:ONIVA08G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPHVIPSLSFFSSSLSLPFLFFSLRSSPGGRIWSDGGGEGRSGSGDGGTASNFSCTAASSSAGAAAQPGFMYGRILIALARQIRERYTRPGAIAKQAVSSVLTMYSFMAEWTTMAQFLAEPCLDGRRQAGKHMDARRHGSPRREIPHLIRHGRRQEGGGEDGGGGGIVVGATAGGRVRCAAHGVCVDADRMVADWGSYCRARSRKRAPRRVLVEPDDLEEEFNAVQPPPLWPSLPTPLRILFLGRKRNREKDREGARYINTIAQINTAT >ONIVA08G03020.1 pep chromosome:AWHD00000000:8:2508624:2509869:-1 gene:ONIVA08G03020 transcript:ONIVA08G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRHVNTIGQINTAIERGSHKRTAERRDGVLLRGGDEHGRGGDGRFPDPEPAYGERHDQTGMAAAVNDTTLAPALASRAADADVSLEEFGDSLCPLIPCAGELLTLPESNSAAVTDRPLLYVQVTRLWCGGLQAVGELVQGMGKRAPRRAPPAASGV >ONIVA08G03010.1 pep chromosome:AWHD00000000:8:2496468:2507743:-1 gene:ONIVA08G03010 transcript:ONIVA08G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKPRSAPRRKICSSTDAATGESPVASGTWTRSRTRRMIMRLDSTVSDCAGGDGVQGGGGGGGVGGGQGGGRGGGWGGGRGTAEPEVPACSTPRKSKRIVVVSPSSGSVLKKSRKTTPQKASRTKERGTTAAAGTSLASRPVPLRYPDYPSLQPGQHTLSNKYMCAVGNWMTECSRISKLEKQAHRKDIPTLRGEPKDPRTADAVVSSEDKAMVGRVACSVVGVKSKKPDGELVSQCTGIVVGLDGVNKCAKILTAASLVCDFEGELHDPTLMLSVHLPNKVVTEGRLLHFNVHYGVALLEILGDSQLQVLSFGSSTNYGMDVFVLARDESMSLMVRHGKISWLYYPMLWNNHCMFLSCDIPQGASGGPVIDHDGNFVAIALVNNPSPVVIPVSTIRTCIDMWLQFSRVARPILGMQLEAVELLDVSRQEELRRDYNVTGGFVVNQVNVDSTAETLGIRRGDLENYLLSLGWGYLQGIRLTADLKVEVHNLMDSYRESITFPLQFSDASRRVSTLNYRIALLYYLLSIIVIINRYIIGTKEIICGLFAS >ONIVA08G03010.2 pep chromosome:AWHD00000000:8:2497811:2507743:-1 gene:ONIVA08G03010 transcript:ONIVA08G03010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKPRSAPRRKICSSTDAATGESPVASGTWTRSRTRRMIMRLDSTVSDCAGGDGVQGGGGGGGVGGGQGGGRGGGWGGGRGTAEPEVPACSTPRKSKRIVVVSPSSGSVLKKSRKTTPQKASRTKERGTTAAAGTSLASRPVPLRYPDYPSLQPGQHTLSNKYMCAVGNWMTECSRISKLEKQAHRKDIPTLRGEPKDPRTADAVVSSEDKAMVGRVACSVVGVKSKKPDGELVSQCTGIVVGLDGVNKCAKILTAASLVCDFEGELHDPTLMLSVHLPNKVVTEGRLLHFNVHYGVALLEILGDSQLQVLSFGSSTNYGMDVFVLARDESMSLMVRHGKISWLYYPMLWNNHCMFLSCDIPQGASGGPVIDHDGNFVAIALVNNPSPVVIPVSTIRTCIDMWLQFSRVARPILGMQLEAVELLDVSRQEELRRDYNVTGGFVVNQK >ONIVA08G03010.3 pep chromosome:AWHD00000000:8:2496367:2497600:-1 gene:ONIVA08G03010 transcript:ONIVA08G03010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDKRALLATENGHSGGGPVAVSFNTNAIVLLALLVCGLGAVALHVILQCALCVNVDSTAETLGIRRGDVIVFQDTDSCTSPQLENYLLSLGWGYLQGIRLTADLKVEVHNLMDSYRESITFPLQFSDASRRRIEVT >ONIVA08G03000.1 pep chromosome:AWHD00000000:8:2484823:2487675:-1 gene:ONIVA08G03000 transcript:ONIVA08G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFMKFVDNLLLCRSPLPLDDLSISDTCNRSDDSIDYSDIHPWVRHALRSNARAFGIMEHSGTNLLSIDGYPLPFSFRGSGHAINVTMFSSTTLKRLVISSTETFEHFHRKFEHLVIDIGDLIPKRNIQLVDVSSVKKATVYLFGLSFQNFAVDCNSLSALSNVTWLELRCPSVYDDMLSKVVIRSLLRCETFSNLKLLKLGEWFLRDGCYPLLFLLHRSPNIENFACNLIRQDIIPHPFPHSYFCPRCGADDYEEYPNAAAAIDPPCKETEEIFLPKLKSSPFRFSMAVSKRFLLVPAINISGFANSRCIM >ONIVA08G03000.2 pep chromosome:AWHD00000000:8:2484823:2487675:-1 gene:ONIVA08G03000 transcript:ONIVA08G03000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFMKFVDNLLLCRSPLPLDDLSISDTCNRSDDSIDYSDIHPWVRHALRSNARAFGIMEHSGTNLLSIDADDYEEYPNAAAAIDPPCKETEEIFLPKLKSSPFRFSMAVSKRFLLVPAINISGFANSRCIM >ONIVA08G03000.3 pep chromosome:AWHD00000000:8:2484823:2487675:-1 gene:ONIVA08G03000 transcript:ONIVA08G03000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFMKFVDNLLLCRSPLPLDDLSISDTCNRSDDSIDYSDIHPWVRHALRSNARAFGIMEHSGTNLLSIDGYPLPFSFLPKLKSSPFRFSMAVSKRFLLVPAINISGFANSRCIM >ONIVA08G03000.4 pep chromosome:AWHD00000000:8:2487688:2488667:-1 gene:ONIVA08G03000 transcript:ONIVA08G03000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKKKKGKEKNGWGGDVAFLSGRRRHPETLVVARGTPCASGGEQPRSRLAPQAAFCTRPARLNAPEGLDRASSSIDRDENAPNRFSDLPDELLHHVMSYLTAQQAVQTSVLSRRWQNVWASIKWLKADA >ONIVA08G03000.5 pep chromosome:AWHD00000000:8:2484823:2488667:-1 gene:ONIVA08G03000 transcript:ONIVA08G03000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKKKKGKEKNGWGGDVAFLSGRRRHPETLVVARGTPCASGGEQPRSRLAPLSKRFLLVPAINISGFANSRCIM >ONIVA08G02990.1 pep chromosome:AWHD00000000:8:2482476:2485424:1 gene:ONIVA08G02990 transcript:ONIVA08G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSSALQWWEEWQLRILVLGSLGVQCLLVIFGGRRKSRIPAWYRLFIWLSYLGSDALAVYALATLFNRQKKVHYSNGSHDLEVVWAPILLIHLGGQIFITAYNMEDNELWRRHVLTAVSQITVALYVFCKSWSSSTDRRLLVAEILLFIIGLAQKASAISKERDLESFAKDARASLIKSCSHYPPPPLLKEERINSLMKRLRLPNNLFVDSTYPFSDRLDNLRCFWSLNAELAYYVILDGLSRIFNLLYTRNKSDIKHANAATYCCMLTWPLTLALAIPAIGLLHSGHKQAYSHEDVIVTFVMVYGTLLLHIVSAFIILKSAIDLHDTVPQQSLIGSFARKRRHKGLIAITNWLQCKGLLDQYWCIKPYDKPMDVTRLVYMYVRDGWTKYIQDAESYRRFNDNMGQWALERAQCGELLGWSLERPFDEIVLLWHVATDFCFIMPDKSYLPTEFHSPLPSPREMGRAISNYMMHLLFANPEMLMAGTRRNLFETAYKELLVILKDEKDLPLNDEEKLMPMIIDKVKINYFIHDAWLLAQELMALGNEKMWDVIRDVWVEMLCFSAGRCRGYLHAKSLGSGVEYLSYVWLLLSHAGMETFSDKLQRRQQPRLSRREPQDKQDGAPSPSEYSQSLKPPNHKEEENHDAPLSPQGEGGIVPKLEIVVSP >ONIVA08G02980.1 pep chromosome:AWHD00000000:8:2478033:2478912:1 gene:ONIVA08G02980 transcript:ONIVA08G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVAPALLVDGLLRRQHGHPLPPQLPFAIFDANVFASDPSTLYNEYWSYAADDGSIYLFSPGPSTEGQWRTATAARSITTADGTYIGRRTTWVIFDRANGGWAMEEFCTYHNDGGGGGVAEDVQLYRIYRRIPSLQPLPPLVQRRRQHQVGLEGQFSQMCSLR >ONIVA08G02970.1 pep chromosome:AWHD00000000:8:2473595:2476841:1 gene:ONIVA08G02970 transcript:ONIVA08G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase TDR [Source:Projected from Arabidopsis thaliana (AT5G61480) UniProtKB/Swiss-Prot;Acc:Q9FII5] MAAAAVHVLLLLLPLATITSASSAPLPLLALLSLRSSLGDPAGALRSWTYAAAASAGATRSLAPPWCAWPGVACDGATGEVVGVDLSRRNLSGTVSPTAARLLSPTLTSLNLSGNAFAGELPPAVLLLRRLVALDVSHNFFNSTFPDGIAKLGSLAFLDAFSNCFVGELPRGIGELRRLEHLNLGGSFFNGSIPGEVGQLRRLRFLHLAGNALSGRLPRELGELTSVEHLEIGYNAYDGGIPPEFGKMAQLRYLDIAAANVSGPLPPELGELTRLESLFLFKNRIAGAIPPRWSRLRALQVLDVSDNHLAGAIPAGLGELTNLTTLNLMSNSLSGTIPAAIGALPSLEVLQLWNNSLAGRLPESLGASRRLVRLDVSTNSLSGPIPPGVCAGNRLARLILFDNRFDSAIPASLADCSSLWRVRLEANRLSGEIPAGFGAIRNLTYMDLSSNSLTGGGIPADLVASPSLEYFNVSGNLVGGALPDMAWRGPKLQVFAASRCGLVGELPAFGATGCANLYRLELAGNALGGGIPGDIGSCKRLVSLRLQHNELTGEIPAAIAALPSITEVDLSWNALTGTVPPGFTNCTTLETFDVSFNHLAPAEPSSDAGERGSPARHTAAMWVSAVAVAFAGMVVLAGTARWLQWRGGDDTAAADALGPGGARHPDLVVGPWRMTAFQRLSFTADDVARCVEGSDGIVGAGSSGTVYRAKMPNGEVIAVKKLWQAPAAQKEAATPTEQNQKLRQDSDGGGGGKRTVAEVEVLGHLRHRNIVRLLGWCTNGESTMLLYEYMPNGSLDELLHGAAAKARPGWDARYKIAVGVAQGVSYLHHDCLPAIAHRDIKPSNILLDDDMEARVADFGVAKALQSAAPIFGVVLLEILTGRRSVEAEYGEGNNIVDWVRRKVAGGGVGDVIDAAAWADNDVGGTRDEMALALRVALLCTSRCPQERPSMREVLSMLQEARPERKNSAKKQVK >ONIVA08G02960.1 pep chromosome:AWHD00000000:8:2463116:2467564:-1 gene:ONIVA08G02960 transcript:ONIVA08G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G17370) TAIR;Acc:AT4G17370] MATTTATAAAAPEVRYGIVGVGMMGREHLHNLAHLAGEVGRAEPPVRLRVTCLADPHPESLQLGLQLAADLALPAPQIFSGHGELLDSGLCDAVVVSSPNMTHYQILMDIISHPKPHHILVEKPLCTTVQDCQKVVEAAKQRSDILVQVGLEYRYMPPVAKLIDTVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEIYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDIGKGEAFVPESIVRVGKRTGGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAIRLQGASGPSVDLTDGLLSVAIGVAGQLSIEKGRFVTIEEVLAG >ONIVA08G02950.1 pep chromosome:AWHD00000000:8:2461875:2462291:-1 gene:ONIVA08G02950 transcript:ONIVA08G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVALGGRGGRSRPGRRGRQPAGEKRGGGSRQTQTRARRPPDSLAQGRGQGRRRTTGPLGGGVDDGTAVTRYRQWQRRSRVRAPSFASRRRGGRPAVSAHGSGWCSQWRSTCSPSVVYCLSPNVVNLKNNLYVSVL >ONIVA08G02940.1 pep chromosome:AWHD00000000:8:2440970:2441395:1 gene:ONIVA08G02940 transcript:ONIVA08G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMKGVGIKLDPSSSNHFFPFPFPSLPDPRLSPQHFPFQIHKLSGRQEETETTIAELAGGASASLPPWASGDARQLFSNGKYDDGNSIHGLIREGGIPFPERDGSTTTEAASSTRISDAAAKGACSGGSRLGTASCGRRLR >ONIVA08G02930.1 pep chromosome:AWHD00000000:8:2439914:2461860:-1 gene:ONIVA08G02930 transcript:ONIVA08G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYLLTALLLDRFGRKPLAIGMMLLSGISCSAGNLIAGAGDMRVARLACGVCLGDIEYQSSKQESVERGEGHLMPSDFRRRHHTQTPSAAAASSAATTSRKLSYPDRLYRNLLPQEAVPRRLPPLQAPFAAASLIRLLIGTSEEMATGLRGRGEAAVAGTERRQCKDS >ONIVA08G02920.1 pep chromosome:AWHD00000000:8:2422591:2430005:-1 gene:ONIVA08G02920 transcript:ONIVA08G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLRSSKGVAPSPSTGEGGESHTSKKNPAPATAPTAGSVTRSRARDTTERARASGGGTALDPKKRKRTEESAASSAAAAGPVPKKMTTAGSTTLASRPLPLRYPPYPTLPTGTRPTSRQYLDPVLDRKYLDSVLEWAKERRRIAKLSKKDIPTLRDSPMDPITADAVVTSQDKAMVLRVARSVVSVSSTMPGNGGGLISRCTGIVIGWDGANKRAKILTAASVVCDFNGELHNPALKLSVSMPNKTTTEGRLLFYNVHYGIALLEVMGDYKLEVPSFGSGTNYGQVIFALGRGENMSLMVSHGTISWTDYPVLLRNHNMFLSCDIPEGGSGGPVVDHGGNMIGIAFVENPGPVFISIKTIMTCMEMWDQFSRVARPLLGMQLKSVELLDVSIQEELCRDYNITSGFIVSQVLVDSTAEKLGIRRGDVIDFQDIDCSTLSQLEDHLLSLGWGYLKGMHLTVDLKVEVHNLFDSYRESITFPVQFTDASKQVQPVKNTKRLEGDHQDGDPDGDARPGSSGLSLKYIGHIGIQGPSGLHTLCPSGSEMLN >ONIVA08G02920.2 pep chromosome:AWHD00000000:8:2423164:2430005:-1 gene:ONIVA08G02920 transcript:ONIVA08G02920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLRSSKGVAPSPSTGEGGESHTSKKNPAPATAPTAGSVTRSRARDTTERARASGGGTALDPKKRKRTEESAASSAAAAGPVPKKMTTAGSTTLASRPLPLRYPPYPTLPTGTRPTSRQYLDPVLDRKYLDSVLEWAKERRRIAKLSKKDIPTLRDSPMDPITADAVVTSQDKAMVLRVARSVVSVSSTMPGNGGGLISRCTGIVIGWDGANKRAKILTAASVVCDFNGELHNPALKLSVSMPNKTTTEGRLLFYNVHYGIALLEVMGDYKLEVPSFGSGTNYGQVIFALGRGENMSLMVSHGTISWTDYPVLLRNHNMFLSCDIPEGGSGGPVVDHGGNMIGIAFVENPGPVFISIKTIMTCMEMWDQFSRVARPLLGMQLKSVELLDVSIQEELCRDYNITSGFIVSQVLVDSTAEKLGIRRGDVIDFQDIDCSTLSQLEDHLLSLGWGYLKGMHLTVDLKVEVHNLFDSYRESITFPVQFTDASKQVEIKDEAVDHTRRLNRCNL >ONIVA08G02920.3 pep chromosome:AWHD00000000:8:2423164:2429247:-1 gene:ONIVA08G02920 transcript:ONIVA08G02920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDDFADGGGLISRCTGIVIGWDGANKRAKILTAASVVCDFNGELHNPALKLSVSMPNKTTTEGRLLFYNVHYGIALLEVMGDYKLEVPSFGSGTNYGQVIFALGRGENMSLMVSHGTISWTDYPVLLRNHNMFLSCDIPEGGSGGPVVDHGGNMIGIAFVENPGPVFISIKTIMTCMEMWDQFSRVARPLLGMQLKSVELLDVSIQEELCRDYNITSGFIVSQVLVDSTAEKLGIRRGDVIDFQDIDCSTLSQLEDHLLSLGWGYLKGMHLTVDLKVEVHNLFDSYRESITFPVQFTDASKQVEIKDEAVDHTRRLNRCNL >ONIVA08G02920.4 pep chromosome:AWHD00000000:8:2429300:2430005:-1 gene:ONIVA08G02920 transcript:ONIVA08G02920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLRSSKGVAPSPSTGEGGESHTSKKNPAPATAPTAGSVTRSRARDTTERARASGGGTALDPKKRKRTEESAASSAAAAGPVPKKMTTAGSTTLASRPLPLRYPPYPTLPTGTRPTSRQYLDPVLDRKYLDSVLEWAKERRRIAKLSKKDIPTLRDSPMDPITADAVVTSQDKAMVLRVARSVVSVSSTMPGSNTII >ONIVA08G02910.1 pep chromosome:AWHD00000000:8:2415267:2415848:1 gene:ONIVA08G02910 transcript:ONIVA08G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMMRHSSQSSPCHHAISRRSAAVELHRCSRRRRPSPLTTTVALTRRRSPAAVRCFSLHSDSGGGGGGAGAGLVDEGMPVLRQRISEIKAAAAAAAAATEEEEEYSSREAPPEWEEEEEEYSVWETAAGGGGGGDGQLFHVLGDFLMRSRPGVAVGIAVFLMVSLPTSVFFAGCTRLVVECQRLLFNLTKC >ONIVA08G02900.1 pep chromosome:AWHD00000000:8:2410684:2411274:1 gene:ONIVA08G02900 transcript:ONIVA08G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKQSVAAAKPSLAKKTASASFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLVADRDGLAATVSSYVPEGAAGLRFEDFDALHRALGDALFGSLDGAAAAGEPGGGGGDEEEEMREAFKVFDVDGDGFISASELQEVLKKLGLPEAGSLATVREMICNVDRNSDGRVDFGEFKSMMQGITVWGP >ONIVA08G02890.1 pep chromosome:AWHD00000000:8:2320195:2320485:1 gene:ONIVA08G02890 transcript:ONIVA08G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRRPRLASLTRRLSAASAAAARRLLHRVAAWCIAIPKPSVPRRCLLCGQHRRRLLYERCLGPARSVVAGACFTATACCVATAEALGAGAAATAR >ONIVA08G02880.1 pep chromosome:AWHD00000000:8:2275882:2276206:-1 gene:ONIVA08G02880 transcript:ONIVA08G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I778] MDPSAARAQLLLRAVAWLFSLALVAMASNKHGHDGAHVFDNYPEYNYCLGISIIVVLYTTAQVMRNVYRLS >ONIVA08G02870.1 pep chromosome:AWHD00000000:8:2268790:2269020:-1 gene:ONIVA08G02870 transcript:ONIVA08G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGITAQLSTGLAILSAGAARDEGKELAVIDVDVGGGWVRDAWCTGALSSMIRMSATLGTVGTDLRCRRLVAVV >ONIVA08G02860.1 pep chromosome:AWHD00000000:8:2265700:2268519:1 gene:ONIVA08G02860 transcript:ONIVA08G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSEIVQWFFLFLKTESAMLMRIEFLVVVIAVLYLLMSFLDQWRPRSRSSTMKYVFLILDAIADSTFLYTIGLMQNAPFKKDLFPVWALVLSNLRFSGCFISAYGIPDQENRRISEMSNVMALLGVAFLNSTRNSQFRHPIWALCAMLVRSFYLIYAYNIAVRSPLHGKSSMYVVMSSQVDHHRRPDESSEVNLNTMEGYRYPVCGDQNQKLKVKAPRYDFDLNIIDDDETTEPTRHSRRQRLFFGYFCRGKQWYKRAPTTLDRIWKRNEDLKNMTCEKDANLIKDMCLSFSLYRLLRCKFDDLSVDSNIAKKTKRLLWKIMEEDDDPKRTFRIIESELAFLNDYFYTRYPVLFFRGFPVMGSLHPVLTIAFTFWLGRDIHKVYRPRVGEIAHVVHGVNVDLIITWVFMGVVVVKELWKMLTYLLSDWTKVMVLCEYVAENMMWVPKLIRDKLVGLVCTPRFKIVQRWHRKIGQYDFLRAYVYNPWKRDIFFYMSLGIFPRGKKGVRLGKSVDLPVEVNHAILNSLHSLRFSKDSLEVNNDHVLPSVAKFLSRINQSATDQIGLQLLEEINETLRKQPTCTHTILVWHIATSLCEIDLAQHYNTRLTESEVLHSLKLAKSCFSTQQPYMIKVQRLECALRANYTVANSISRYCTYLLASVPDSIYRRLMREAVEPQDNNDGDEDDQTEDDGCKWSELPGVSCFLSCIKGIYRCFFPEKKGTGDGGQPSAIGDGDNGSRNGESGNAHTAINVGQEERNDESGGSGGGEGAVDAARHEKIITMGARLGRLLIDATKHDDVARWELLAGVWADLLVHMAPSWNTEAHRRCLATGGEFITHIWAILCHCGVDKSDLWELKEGAGEDEGQAQAEQTAAATTAADQTLSTGEAATVSGVVQQGEASTSSARP >ONIVA08G02850.1 pep chromosome:AWHD00000000:8:2253321:2253566:1 gene:ONIVA08G02850 transcript:ONIVA08G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSCVSSWIFLVRRSSPPRKLEIPLPLPTSPAPLPPRLKNSLLRFRFRHRHCRARGLGLAPVEGARESSWVKMRGRENSY >ONIVA08G02840.1 pep chromosome:AWHD00000000:8:2236521:2238947:-1 gene:ONIVA08G02840 transcript:ONIVA08G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVISVFVSNLHLSPAGVQFLAGLTTFLMVLRFVLDYNRHRSISQSMRNFLLMLDGITYSLLHYSLGVMQRPSLKNSYYQVWAVLLVTLRYSVKHGRPAGVALKQTPLVDLMSSFWAANILRSHAPRLLKVPVWLLWSVNSARIIHGFVSSEHATAEHRENVRLVTEYMRRPKTTPASEFDPVTMAGYEYLVLGEAKQRKKVQPPDYRLELDQTKLEKLITVDKIWKWSRDNDDDQQAKRVSELLNQRDGELKDLCLSFALYKLLRRKFFTLPIHEEDLPETKRLVFEGILDSPASNSKPEPWLGRLKKLYRTMFCVQGQGDNQVQVQGALAVAAATPNYKRALRIAKVELAFVNDFFFSRHALMFATGFPFLRLLLSTLLLGAISYMAVAIHRFSRTATEDELGRVRVHHGVFFTWILLTLLGVKEMVEIASYVFSDWTKVMLLCKYVRQPWWLRGPAMAKLVRLLCRCSLVRRWDGKIGQYNLVFAKGLPDLFRLADFTEDMQEAIFTSFGKLRNDVQSGKQPLDADTPSYVDRALLHIPTEGGKGALKKAISKVLKDLKGDVRTILLWHIATCYCDCYLAHRREIGVKPRYLSSLRIRFWPFRIRFLLEFGIWAGPSIQCSKRESLGKAKKHYMTAVTLSQYCAHLVRMPYPLIPGNDIVIDAVFKQVLGETCQALHGCVYISEIFPRLAEMGRSCPGNDERTLLQMGSQIGQMLIEIAGDNNTADEFVWKFLEELWAGFVLHLAESTVASKHKIYLSRGGDLMTHLWALLCHAGRKGRTEHGEMGHGEASYYEVDRAGYDMNT >ONIVA08G02830.1 pep chromosome:AWHD00000000:8:2219749:2220429:1 gene:ONIVA08G02830 transcript:ONIVA08G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKHLVLLILVLLSIGMTTSARTLLGYGIGGEGGGGGGGGGSGGGGPYGGSGYGSGSGYGEGGGAGAGGYGHGGGGGGGGGEGGGSGSGYGSGQGSGSGYGSGAFGAGGYGSGGGGGGGGGQGGGAGSGYGSGEGYGSGYGSGASGASGGEGGHGGGGGGGQGGGYGSGSGYGSGSGYGQGGGAYGGGYGSGGGGGGGGGQGGGSGYGSGSGYGYGSSEGGGHN >ONIVA08G02820.1 pep chromosome:AWHD00000000:8:2216628:2217293:1 gene:ONIVA08G02820 transcript:ONIVA08G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKHLALAILVLLSIGMTTSARTLLGYGPGGGGGGGGGGEGGGGGYGGSGYGSGSGYGDGGGNGGPGGGFGRGGGGGGGGGDGGGFGSGYGSGQGSGYGAGVGGAGGYGSGGGGGGGQGGGAGGYGQGSGYGSGYGSGAGGAGGGGGQGGGGGGGGGQGAGYGSGSGYGSGSGYGQGGAHGGGYGSGGGGGGGGGQGGGSGSGSGSGYGSGSGGGNGHH >ONIVA08G02810.1 pep chromosome:AWHD00000000:8:2202968:2206522:1 gene:ONIVA08G02810 transcript:ONIVA08G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGAPPASGGGGAAEGPRRCSQCGHHGHNARTCTARGPVKLFGVRIGDKPPTAAAGGGGGMRKSASMGSLAQLAEGGGGGGGREEGYGSDGNDDKRRKRGEAWSEEEHKKFLLGLSKLGKGDWRGISRNYVGSRTPTQVASHAQKYFIRQTNVHRRKRRSSLFDMVIDDSDDQPLSRTSSQEVEVEENLEDGHPVTAPVIPPAPVPMLSSSLVPPPVPAMAPVAPGPVLTSASATLPVSAVAPQTDEKEQVASGSNTTETGAAIPEVMPPYGYPMMLPPYYPPAFVPMPYYGYVPVFYAPPGAVQAQHEVVKPVAVHSKPPVHIDELYSMSELSLKGEAGVKNGTPNSPLPPRPIGRPDRQSAFHGKGPSDGSSNGLIPAK >ONIVA08G02800.1 pep chromosome:AWHD00000000:8:2196885:2200390:-1 gene:ONIVA08G02800 transcript:ONIVA08G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLLDGGGSGGGDDGFDPSAAEHKRHEQIGNLAVELKHQRLASKPSAMLSTSDARADRRPHRRARAPRSALGDTTSISSAGHPIDCLASEFQLELLELARRPADESLGSTRFVNDVVVADVLSKNMGLAPASCSCDASDGGIMLLFGIAPRSGVDAGA >ONIVA08G02790.1 pep chromosome:AWHD00000000:8:2181931:2187806:-1 gene:ONIVA08G02790 transcript:ONIVA08G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGDEAAVLRAEESASGGVDVWSDAVSSHDPDHLLVMVHGILGSNADWQYAANEFVKQLPDDVIVHCSEKNINTLTLEGVDVMGERLADEVIDVIIRKPELTKISFLAHSVGGLAARYAIAKLYRHPSDTSKSETKGTICGLEAMNFITVATPHLGSRGNNQVPLLFGSIAMENFASRVVHWIFRRTGKHLFLTDDDEGEPPLLQRMAEDYGDLYFIRRVAYANADCDHIVGWRTSSIRRKTELPKWEESISEKYPHIVHEEYSEELGDETCQNSATVCDSDILEEKMVTGLRRVSWEKVDVSFHTSMQRFAAHSIIQVKYQFMNEGADVIQHIIDHFQV >ONIVA08G02780.1 pep chromosome:AWHD00000000:8:2177771:2178085:1 gene:ONIVA08G02780 transcript:ONIVA08G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGPPSAVAVIVVAVAPLLAHMGSRRLRRCCRPPPPLSPAAPRTGAVAATAGSIGRCGHAGEKKGEEKEKEEEEEGNGDGRMTCGSLCDFGVVNRETVGVHT >ONIVA08G02770.1 pep chromosome:AWHD00000000:8:2175346:2176791:1 gene:ONIVA08G02770 transcript:ONIVA08G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAPPATSLLRPAAASLTTASNSSNRVHLKNLEHLFRNRGAAVAVESATPAQQQQPVLKAPLLRLPSFLARGRGEVAMKEEAHGVSPRRLERVLLPAAPDGPSPRGNIAATWRRLHGEHDWRGLLDPLHPDLRREIVRYGEFVGAAYGAFLSRPDAAPGDRARAAPPLQDGGAYRVTAPLFATSSVGLPAWLASAAPCAAQRTSLVGYVAVCDSPAEVRRMGRRDIVIALRGTCTVLEWAENVRAGLVPATDAASAADSPDAPTPKVECGFWNLYKTAAAGGSPSLSEMVVSEVRRLLTKYEGEEVSITVTGHSLGAALAVLIADELAGLGAPAPVAVFSFGGPRVGDRAFASRVEARGARVLRVVNAHDVVPRFPPPSRYADVGRELRLDSRASPYLRPDADAACCHDLEAYIHLVDGFLGSHCPFRDNAKRSILRLLENQGGNVKQLYISKAMDMRVRLDAAVADMPAEVLECVH >ONIVA08G02760.1 pep chromosome:AWHD00000000:8:2158973:2162464:1 gene:ONIVA08G02760 transcript:ONIVA08G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSWGLGRPSGDRRWLLPFAASLLVSATLFLAAACGLFSPPSLADGDDDSILIDVATWDTASAAESEIKNRLLDSNSDSDDGDNPDDAAVNSDASSADPPRIAYLLEGTKGDGARMRRALQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDAMFSEVGNVRVIAKGNLVTYKGPTMVACTLHAVSILLKEGLEWDWFINLSASDYPLVTQDDILHVFSSLPRNLNFIEHMQLSGWKVISRAKPIVVDPGLYLSKKFDLTMTTERRELPTSFKLYTGSAWIMLTKTFLEYCIWGWDNLPRTLLMYYVNFISSPEGYFHTVICNSDEFRGTAVGHDLHYIAWDYPPKQHPNMLSMKDFNKMVKSGAPFARKFPKDDKVLDKIDRELLHRSEGQFTPGAWCDGSSEGGADPCSSRGEDSVFEPSPGAERLRGLMKKVLSWDYRNGSCSSLGYDQTKRDWYVPKGRG >ONIVA08G02750.1 pep chromosome:AWHD00000000:8:2154788:2158462:-1 gene:ONIVA08G02750 transcript:ONIVA08G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1-like2 [Source:Projected from Arabidopsis thaliana (AT3G13682) TAIR;Acc:AT3G13682] MSSSSRRPARRAALTARSSYDESLVDAELESYLGNARSRRISRLRRLSADERQRETETEALIALSLGFPIDELLPAERPLLPAPVAAAPNDYIVVRNHILASWRADPRVPLPRSRVQETVAASYDNLVAVAHGFLAREGHINFGVSAAFPASPPPDAPQRLAASVLVVGAGLAGLAAARQLLRFGLRVLVLEGRARPGGRVYTTHLGGDQAAVELGGSVITGIHANPLGVLARQLGIPLHKVRDSCPLYHHDGRTVDMKLDRSMDLVFNTLLEHATRLREYLKKAAEGISLGEGIERLRRFYKVAKSVEEREVLDWHLANLEFSNAGCLSELSLAHWDQDDQYEMGGDHCFLAGGNARLVHALCDGVPVLYEKTVKRIEHGEDGVSITVEGGQVFKADMALCTAPLGVLKSRSIIFEPELPERKLEAIQRLGFGLLNKVAMVFPHVFWDEEIDTFGCLNKERSKRGEFFLFYSYHTVSGGAVLIALVAGEAALEFEKVDPAVALHRVLGILKGIYGPKGVTVPDPIQSCCTRWGSDPLCSGSYSHIRVGSSGTDYDILAESVNDRLFFAGEATNRAYPATMHGALLSGLREASKILHASESRLNSDYKKYALQKSIRLINNVLDDLFMEPDLECGRFSFVFSYITPEEEQAPGLARITLEKPLLLPSKKRKVKGNQKDQDPVAEKIDQEVFYLYATVSQEQATELMECDDDKSRIAVLCKDLGVKLMGYDSTYDVLLDSFREATIVFIFPLFFLDVVPILVSGSLCVAEMVDKLTSGPVCLASLN >ONIVA08G02740.1 pep chromosome:AWHD00000000:8:2151418:2155936:1 gene:ONIVA08G02740 transcript:ONIVA08G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDAIAAARNAGCSSGRISQPPPPPFYSAATGIYSSIHPPVALPTDPSLTLVAHLFARLPLADPGAPSLVDAATASAVSRADLRRLVASLAAGLRRRHGVRKGSVVVLLLPNSVAFPVSFLAVLAAGAVATTMNPSSSPAEIAAQARATGACLVLASRDGAARLPPLAAPVVLVPEILDHSAAADDGDDDQRVFAAFRAMLDGGGGDGTETAVPVVGQDDAAAILYSSGTSGRSKGVVLTHRNLIAMTELFVRFEASQYHARGARENVYMAALPMSHVYGLSLFAVGLLSLGATVVVMRRFDAGDAVAAIGRYKVTHMPLVPPIMAAMVRAAAAGGVPPSQVASLVQVSCGAAPITAALIHEFLQAFPHVDFIQGYGMTESTAVGTRGFNTSKHKKYTSVGLLAPNMHAKIVHLESSSCLPPGFSGELWLSGPGIMKGYLSDDDDACTRKDGWLRTGDIAYFDLDGYLYIVGRLKDTIKYKGFQIAPGDLEEVLIHHPEILDVAVTSAEDEEAGEIPVAFVVRRSGSNLSCKQVMEYVAKQVAPYKRVRKVVFVEAIPKSPAGKVLRRLLRNSHDTAAAATSSCSISSKL >ONIVA08G02730.1 pep chromosome:AWHD00000000:8:2143059:2143682:-1 gene:ONIVA08G02730 transcript:ONIVA08G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPIGSLAIAAVVPLLAALCLVSCTGGDARWCAPVPSMTVEQACDAVCGTAHMLKLCLRTLQPRARRGGGEHRRRRDDAVTRYIGAAARGALDAYAATAAAKRGMQYSAALPAEERTAHERCMAGYDLAVRFMGRVAGDLASCETAAARLRDDCDGSLAGMDACRRKLFGYPASPLYGRNLADRNKTMLVGLLSNLVPMPTPPPSP >ONIVA08G02720.1 pep chromosome:AWHD00000000:8:2131223:2134825:-1 gene:ONIVA08G02720 transcript:ONIVA08G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIPFLSPLLVAVSLIVAGAGAGDETTTCPGAPSMTVESACRNVSHTQAMYDACKGALAGVPNPMSDHDATVYALAAARGAMASADATVVAANERVTYNGSLSGEEKGAYEGCVEAYAAAEHAMGAVLNKLGAFSFGGGLADDYMSGLLAVESCRDRVIKLPASPLYAMVLVDRNKVGLALFLGKLLGI >ONIVA08G02710.1 pep chromosome:AWHD00000000:8:2125834:2126370:-1 gene:ONIVA08G02710 transcript:ONIVA08G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAAVFAVLSMSATATLLLLLAGGGGVADACSDWDVPSMSAAAVCQRASTGRTISQICADEGCTATAPEQEVTVFVLAAVNAAARSYEATVGSYLWPLATDPSAPGAARAAAQACVHKYRDARAAADGRRGGPPERLRAGGARQGRSGGRRRRRRLRHRAAAGVRRELGVVQDGGR >ONIVA08G02700.1 pep chromosome:AWHD00000000:8:2122884:2123459:-1 gene:ONIVA08G02700 transcript:ONIVA08G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGVMSVALTLSLLLTAASLLASVADGCDGVPRMSAVDACKQASTAGVMWQLCVRELGASPEPEEVTGFVAAAMRANREAYGVSYDAAEKVRVDPSSPAGLATVSGYCEGKYDTAQELMTGWIDRLPGCDITADIRVDLASAAAAVDECATLLLQNGGEHTTLYQMVLLDRDRAVLAVRLAILLVPNKV >ONIVA08G02690.1 pep chromosome:AWHD00000000:8:2120716:2121024:-1 gene:ONIVA08G02690 transcript:ONIVA08G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAFRALQNASLPGDERAACAACRDTYYAQARSSTVAAMNLLAECSLGQLGGEYAAAADAIKACRDTQSKLQSPAIYGLAVSDLMVAALASGLGELVIAKQ >ONIVA08G02680.1 pep chromosome:AWHD00000000:8:2116210:2116785:-1 gene:ONIVA08G02680 transcript:ONIVA08G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTIFAVLSLSLLLLAGGGGADACEDYDVPMMSAAVAFERASTGRAMSEMCADEVGTATAPDQEVTDFVFAAVSAAARSCGATARAVRDMARDPSTPPGAREAGRACDGRYSEAMARLADAVGHLNGCQLVELSADAPAASAAVDDRTTALLPVVGFSPLYNRVIGDRDRCLLALRLISILLHHPGSTLA >ONIVA08G02670.1 pep chromosome:AWHD00000000:8:2108246:2108812:-1 gene:ONIVA08G02670 transcript:ONIVA08G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARVISVLTLSLTAVLLATGGVDACDGAPRMSAVDACEQASTADVMRQLCAAMLGTSPEPKEVTGYVFAAMDSNIAQFLISYEAADKVRQDAASSEQLSAACETCMGKYDLAKMKMVYSGDRLRSCDMSPVTREDLLTAVVGIDDCATLLLNAAGDKTPLRRMVLLDRDRAVLLLQLAILLLPNKS >ONIVA08G02660.1 pep chromosome:AWHD00000000:8:2092548:2093219:-1 gene:ONIVA08G02660 transcript:ONIVA08G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNPTFAGARVDPHRTQVVHTTQEDCIIDIGWVVFCTKRFCKFSCWGEGLIKKGKVRDYWCSDFHGCNCLICRGS >ONIVA08G02650.1 pep chromosome:AWHD00000000:8:2080046:2083704:-1 gene:ONIVA08G02650 transcript:ONIVA08G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVPSVLLLPVPTCTDMLVSPVEGGDLLHCKPHFDHHPNVWGDYFLTFSPCTPSMLLNMKRKAHVSEEQVRRMILECSSGPNLHVKLELVDTLERLCIDYHYEKEIENVLRRVHEEEDDTDNHYDLHTTALRFYLLRKHGYYASPDVFQRFRDEEGNFTCDDNNNGTRSMLSLYNAAHLRIHGEEILDDAIVFTRNYLQSVVKHLQSPMADEVCSALRTPLFRRPRRVEARHYISVYDKLPTRNETILEFAKLDFGILQSLYCEELNILTMWWKELQLQDHLSFARDRMVEMHFWMLGVLFEPQYSYGRTMLTKLFIFVSIFDDIYDNYSTLEESKLFTEAIERWDEEAAEELPGYMKFFYKKVLTTMKSIETDLKLQGNKHVDYVKNLLIDATRCFYNEVKWRSEGADQVAATVEEHLKISVPSSCCMHVPVYAFVAMGNDVTTDDAINWGMAYPKIITSSCIVGRLLNDIASHEREQGSSSSSSTVEACMREHGGITKEEAYAKLRELVEESWMDIAGECLRPAAAQPPPLLEAVVNATRVLDFVYKDDQDAYTHPSSLKDTIHSIYILSV >ONIVA08G02640.1 pep chromosome:AWHD00000000:8:2074934:2078969:1 gene:ONIVA08G02640 transcript:ONIVA08G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGDHLSTPAEGAPRLAAAPQPKRHHSTEEVAAMAHWRQCHEADAARRVVVVDFVVVAEYLCLGAAFGRHAGNGCAAAAGSLEGRDELPQQAARMEPEEELWNEWEIQVLVLVSFSLQVFLLLLSGIRKRTTSNVLSIFIWLAYVSADSLAIFVLGHLALHINGLVLFWAPFMLLHLGGQETITAFSMEDNMLWKRHLLTLATQVGLAAYVVGKQWQGDKQLLAPMVLIFIKYACRTSALMFTAEQTTPGSNLGMQAKGWSANWKHYSTNNWMMNEVHTYNELLWEANAGWTLYMAFLMDMTPLISRPETYSLQGLLSKEHRVYVSYKLAELQLSIVYDYFYTKLGVYFEPEERLNGRFAQLATLGSTFAATIEPILFGAFILEILSVFIVVSSFWAYFMATVSDFLCTRCHDVIFSIVKLVHPESKPQWSQKLAQYNLIIGCIKQKRAAAGSCLLKCMKRVIGIQPSTMTHVDISHELKKLVLDKLLQVGSRLHPDDVWDISKFTGQWAKLELMRSKLHIESSSRARLQHSACRFHVLAWHIATDISFFHEDELGCSSPSRGPSRELSNYVMYLSAKHGILSGNDGHMRLRNAQEFIVECLQDRQEALDQDAVVRSVAAKIDNLTEDFEHPRILTAVEPVLIQSGQLAKELLKMKEANDRWDIIMNVWMEMLCYMAFHCGPGFHNKQVSKGGEFISHACEGCDI >ONIVA08G02630.1 pep chromosome:AWHD00000000:8:2065720:2066805:-1 gene:ONIVA08G02630 transcript:ONIVA08G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 8 [Source:Projected from Arabidopsis thaliana (AT4G21350) TAIR;Acc:AT4G21350] MEVSPEQGSTWPDDFRCPISLEVMTDPVILPSGHTFERRSIQRWLDGGHLTCPVTNLPLPPSPPLIPNHALRRLIAAVSPVAAAAVPTAAAGGGGGERREPAAAAISSVYGLLRLARSGPEGRRQVMESGDVGVLLRHAAGGDEVAARALLHLSLDGDDARVGLVADGAVDALSAAVSSGGAAAAVAATALTSLATVDVNKCTIGAHPSAVPALVGLLRRGGGARERREAATALYELCKLPENRRRAVRAGAAPALVDLAAAGSARAAEVLGLFAKSRDGRHELSRIPRVVAVLVGVAGSGNARAIEQALVVLNLICGESNQLAREAIKLGAFHLCEALVNDDNCKIAKNAVELARTLEKL >ONIVA08G02620.1 pep chromosome:AWHD00000000:8:2052975:2054230:-1 gene:ONIVA08G02620 transcript:ONIVA08G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYSMYGHVAKLAEEIEKGASSVEGVEVPETLSDDVLTKMGAPSKKDVPIITPAELAEADGVIFGFPTRFGMMAAQFKAFMDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPVGYTFGAGMFEMEQVKGGSPYGSGTFAGDGSRVATELELQQAFHQGKYFAGIAKKLKGSA >ONIVA08G02610.1 pep chromosome:AWHD00000000:8:2047962:2050095:-1 gene:ONIVA08G02610 transcript:ONIVA08G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G11430) UniProtKB/Swiss-Prot;Acc:Q9LPZ1] MASLPTTAAAQAFAFALAPKPSSSAAAPSALFPRTAAAAAFPTLAVRGSGKARQPVVAAAAGAGTGSEQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPASRRPRPQTAQPDPHDLQGCDEMANYSDV >ONIVA08G02610.2 pep chromosome:AWHD00000000:8:2048676:2050095:-1 gene:ONIVA08G02610 transcript:ONIVA08G02610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G11430) UniProtKB/Swiss-Prot;Acc:Q9LPZ1] MASLPTTAAAQAFAFALAPKPSSSAAAPSALFPRTAAAAAFPTLAVRGSGKARQPVVAAAAGAGTGSEQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPASRRPRPQTAQPESASSS >ONIVA08G02600.1 pep chromosome:AWHD00000000:8:2043947:2046974:-1 gene:ONIVA08G02600 transcript:ONIVA08G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATARSGFRRMFSISAFSPPKPPTPPPKADPSPNLFISGLSKRTTTDGLKEAFAKFGEVIHARVVTDRVTGFSKGFGFIRYATVEDAAKGIEGMDGKFLDGWVIFAEYARPRTPPQQPEMNSQPQQSWGPPSSSWGAQ >ONIVA08G02590.1 pep chromosome:AWHD00000000:8:2040822:2041308:-1 gene:ONIVA08G02590 transcript:ONIVA08G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSFVPCGCRAGPIDDAPPAALPAATAGDGGAAARRRRRRARSLGGSPQWRPSLGDIYEEYSAAGAADAAAAKLRRPASARAGRSAARDAARVLPRAHSDEYRHIETAVSMPAFAPAAFLF >ONIVA08G02580.1 pep chromosome:AWHD00000000:8:2033135:2034379:-1 gene:ONIVA08G02580 transcript:ONIVA08G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMQYLNC >ONIVA08G02570.1 pep chromosome:AWHD00000000:8:2030144:2033121:-1 gene:ONIVA08G02570 transcript:ONIVA08G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54590) TAIR;Acc:AT5G54590] MGRMKGVSEGLIIGITVGVVIGVLLAVGILLCLRYRRSQAQIRSSSSRRASTIPIRANGVNACTILSNSTTGQESPREVEDRGASMWLEGPGRKSVISASGIPKYAYKELQKATSNFTTLLGQGAFGPVYKADLSSGETLAVKVLANNSKQGEKEFQTEVLLLGRLHHRNLVNLVGYCAEKGQHMLLYAFMPNGSLASHLYGENIAPLRWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMHARVADFGLSREEMVTRNGANIRGTYGYLDPEYVSSRSFTKKSDVYSYGVLLFEMIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGAFDVEELNDMAAMAYRCVSRVSRKRPAMRDVVQALIRVAKHSQSKKHHNRRPPPGRADDESVDLEASEVQSSLSGHQRQESVGSVSDLADV >ONIVA08G02560.1 pep chromosome:AWHD00000000:8:2024751:2029588:1 gene:ONIVA08G02560 transcript:ONIVA08G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50390) TAIR;Acc:AT5G50390] MELQLAALCNPRLLHSSHAFLPKATSTASTSSSSAAAGEALKPRPRLPPPQQQQQQPGVSHQHQTRRRRELGAAALASLCAGIEALAAEGRHDEARDAFRGARAGAPFTPLPASTYDALVASAAALREPGFAAAVLWHVESSGFEPGQRAWNRVLRMQLACGMLAEARQVFDGMPSRSEATWGTMMGGLIDAGRPRGALALFRELWEEVGGDAAPRVVVVAVRAVTALGSACAGQQLHCCVAKTGMYEDQYLSCALIDMYNKCGQLDEARRVFDGMPQKSVVAWNSMLASYSLHGCSEEALDLYHSMCEGGVDIDQFTFSTMLRVFSRLGLLEHAKQAHAGLIQRGLPLDIVGNTALVDLYCKWGQMEDARNVFERMPIRNLISWNALIAGYGYHGMGQKAIEMFEELIAEGIAPNHVTFLAVLNACRFSGFVEEGKRIFQLMTQNQRTKPRAMHYACIIELFGQQGRLDEAYSMIRKAPFIPTANMWGALLTASRIHKNLQLARLAAEQLLAMEPQKTNNYVVLLNLYINSGRQTEASKVVDTLKRRGLCIHAACSWITVRKKDHRFFFKDSLHPQSSEIYRKLDSLLKEIKQLGYVAEENELLPDILPDEQKTSKVYHSERLAVAFGLISTSLSTTLRVTQCHRLCHDCHKVMKFVTQVTKREIVIRDGSRFHHFKLGTCSCGDYW >ONIVA08G02560.2 pep chromosome:AWHD00000000:8:2025170:2029588:1 gene:ONIVA08G02560 transcript:ONIVA08G02560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50390) TAIR;Acc:AT5G50390] MQLACGMLAEARQVFDGMPSRSEATWGTMMGGLIDAGRPRGALALFRELWEEVGGDAAPRVVVVAVRAVTALGSACAGQQLHCCVAKTGMYEDQYLSCALIDMYNKCGQLDEARRVFDGMPQKSVVAWNSMLASYSLHGCSEEALDLYHSMCEGGVDIDQFTFSTMLRVFSRLGLLEHAKQAHAGLIQRGLPLDIVGNTALVDLYCKWGQMEDARNVFERMPIRNLISWNALIAGYGYHGMGQKAIEMFEELIAEGIAPNHVTFLAVLNACRFSGFVEEGKRIFQLMTQNQRTKPRAMHYACIIELFGQQGRLDEAYSMIRKAPFIPTANMWGALLTASRIHKNLQLARLAAEQLLAMEPQKTNNYVVLLNLYINSGRQTEASKVVDTLKRRGLCIHAACSWITVRKKDHRFFFKDSLHPQSSEIYRKLDSLLKEIKQLGYVAEENELLPDILPDEQKTSKVYHSERLAVAFGLISTSLSTTLRVTQCHRLCHDCHKVMKFVTQVTKREIVIRDGSRFHHFKLGTCSCGDYW >ONIVA08G02550.1 pep chromosome:AWHD00000000:8:2019021:2021219:-1 gene:ONIVA08G02550 transcript:ONIVA08G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPADGGGGGSGDDWFLDCGILEDLPAAACGAFPWDASPSCSNPSVEVSSYVNTTSYVLKEPGSNKRVRSGSCGRPTSKASREKIRRDKMNDRFLELGTTLEPGKPVKSDKAAILSDATRMVIQLRAEAKQLKDTNESLEDKIKELKAEKDELRDEKQKLKVEKETLEQQVKILTATPAYMPHPTLMPAPYPQAPLAPFHHAQGQAAGQKLMMPFVGYPGYPMWQFMPPSEVDTSKDSEACPPVA >ONIVA08G02540.1 pep chromosome:AWHD00000000:8:2000913:2018279:-1 gene:ONIVA08G02540 transcript:ONIVA08G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDAEQERRIYDKMQGAAGARRGEKKGKEKPKDGDWVVMGKFTGRWAKLELQSKMQSESSSSTKVEL >ONIVA08G02530.1 pep chromosome:AWHD00000000:8:1992431:2018946:1 gene:ONIVA08G02530 transcript:ONIVA08G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWARGPAMAAAAAVVMVAAVLAGQAMAAGATTYTVGAPDGLWDMETDYKEWVARRTFHPGDKLTFTYSRELHDVVEVTKAGYDACSNANNISAFRSGNDLVALTAVGTRYFLCGLTGHCGSGMKIRIDVVAAASSGPAAAAGPGAAAAPLPSTSSVTAAVAGSRLVLLIGPYSMAIMAARALLVVAMAAAVLGTALGATYTVGAPSGSWDLRTNYDQWVSNINFRAGDQIVFKYSPAAHDVVEVNKADYDSCSSSSPIATFNSGDDTIPLTAAGTRYFICGFNGHCTGGMKVAVKVEAATGSNPAPSPMTPRPRTPTAMAPNAMPPTAGGRPVPPSNSASQPAARALLVVAMAAAVLGTAMGVTTYTVGAPAGSWDTRTNYVQWVSAITFRVGDQLVFKYSPAAHDVVEVNKADYDSCSSSSPISTFNSGDDTIPLAAIGTRYFICGFPGHCTAGMKVAVKVEAATGSNPTPSPLAPLPRTPTAMAPNATPPTNGGRPAPPSSSASKPVGVASLVGLSLSAIVAGLMAIMAAANRSALLVVAMAAAVLATTATGATTYTVGAPAGSWDTRTNYAQWVSAVTFRVGDQLVFKYSPAAHDVVEVTKAGYDSCSSSGPVATFNSGDDTVPLTATGTRYFMCGFPGHCAAGMKIAVKVEAATATGGSGTALSPMAPRPRTPTAMAPNAMPPMSGGRPVSPSSSASKSTGVASLLHRSPRSSIVAAMAASARALLVVAVAVAAAVLATTAMGATTYTVGAPAGSWDTRTNYAQWASAATFRAGDRLVFRYSPAAHDVVEVTKAGYDACSAASPIATFNSGDDTVPLAAVGTRYFICGFPGHCAAGMKLAVKVEAAAAAPGGSSTTPSPSPSPAALPPVNGGQPVTPSSSASKSGGVVESLVGLGVGAMAAGLMLQIVSLERMALLAVVVVAAAAFSTASGASYGVGKPNGGWDLQTNYTSWASSITFRLDDKLVFKYSAAAHDVVEVTKDGYLSCSASSPIAVHRTGEDAVELGRLGRRYFICGVPGHCDAGMKLEVRTLCSIPSPPPPGSDGDGNGTPGGICIDGSSPPTIISTPGVVSYGSAPGSSGSATTALAIMAAATVMLLSLIIV >ONIVA08G02520.1 pep chromosome:AWHD00000000:8:1983342:1987423:1 gene:ONIVA08G02520 transcript:ONIVA08G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT4G15240) TAIR;Acc:AT4G15240] MAPTPPSRPRLPLRPLLLSLPLLSLLLLLLIHRPHPSPPPPLLATATATRRDDEPAPRRAASSSAPKAATTTTLAHVVFGIASSRRTLPLRLPLLRLWLRPPARAFLFLDGPAPAAAAASEPLPPNLRFCVSSTDASRFPYTHPRGLPSAVRVARIAKELLQLDDHHHATPPPPRWLVLADDDTAFVLPNLLHTLSRYDWREPWYLGARSESAAQNAWHGFAMAYGGGGIAVSWPLAARLARVLDSCLLRYPHLYGSDARIHACLAELGVELTHEPGFHQIDLHGDISGLLRAHPLTPLVSLHHLDHVYPLYPGMDRATAVKHFFRAANADPARILQQTVCYDHSKAITVSIAWGYSVQVYKGNVLLPDLLAVQKTFVPWKRGRNATDVFMFDTKHYPRDECKRAALFFLKSISSGEGKIKSDYTRQLPRKCSPNLIPLRNLHQIKVASEPLHLVPGKALRRHCCDVVSSSSETNMDVNIRKCKEDELIAMHS >ONIVA08G02510.1 pep chromosome:AWHD00000000:8:1963599:1979794:1 gene:ONIVA08G02510 transcript:ONIVA08G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSRNQKSSQKDAAPNEAKPPRYPQRNRSITASASAFASPAVANSRVAKERPSSSTAGEGEPQETVLKLPSIPTLPARMAKLVPLEGLGCEAAVGSLTPSREREYKVTNKHTEGRRPVYAIVFNFLDVRYYDIFATACGPRLSTYRCLMNGKFALLQSYLDDDMNESFFTVSWACDIDGNPLLVAAGSTGIIRVINCATEKIYKSLVGHGGSVNEIKSQPSNPSLIISASKDESIKLWNVQTGILILVFGGVGGHRHEVLGVDFHTSDIYRFLSCGMDNTVRIWSMKEFWEYVEKSYSWTDATSKFPTKFVQFPVLCAEIHSNYVDCTKWLGDFVLSKSVENEILLWESITKEENPGEGHIDVLQKYPVPECNIWFMKFSCDFHHNQLAIGNRDGKVYVWKVQTSPPVLIARLNNPQVKSAIRQTAVSFDGSTILACTEDGNIWRWDEVDHPTAPVPSKKQK >ONIVA08G02510.2 pep chromosome:AWHD00000000:8:1963599:1979794:1 gene:ONIVA08G02510 transcript:ONIVA08G02510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSRNQKSSQKDAAPNEAKPPRYPQRNRSITASASAFASPAVANSRVAKERPSSSTAGEGEPQETVLKLPSIPTLPARMAKLVPLEGLGCEAAVGSLTPSREREYKVTNKHTEGRRPVYAIVFNFLDVRYYDIFATACGPRLSTYRCLMNGKFALLQSYLDDDMNESFFTVSWACDIDGNPLLVAAGSTGIIRVINCATEKIYKSLVGHGGSVNEIKSQPSNPSLIISASKDESIKLWNVQTGILILVFGGVGGHRHEVLGVDFHTSDIYRFLSCGMDNTVRIWSMKEFWEYVEKSYSWTDATSKFPTKFVQFPVLCAEIHSNYVDCTKWLGDFVLSKSVENEILLWESITKEENPGEGHIDVLQKYPVPECNIWFMKFSCDFHHNQLAIGNRDGKVYVWKVQTSPPVLIARLNNPQVKSAIRQTAVSFDGSTILACTEDGNIWRWDEVDHPTAPVPSKKQK >ONIVA08G02510.3 pep chromosome:AWHD00000000:8:1956389:1963616:1 gene:ONIVA08G02510 transcript:ONIVA08G02510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQPHGPVHMTHRQATPRQQSAARPPPPQSVPSPRPPPSTPVSSPAAGADLHPASRGGAGVLLLPPHSLTGEHPNPSHPVSVSASASNFVSSPAACADPHPASRGGARRPPSSTASSLAGEHPSLPTPHQVFCVIPQKVWGFNRNRVRSASAQLHLLSNVIWLLNLIQTPLERKIRYELPNLVPNSELLLALLIPLTNHPKSERRRRRNPPPPPTEARAGQAVVGRGGEERRMAKLGPGQGLGCEAAVGLLAPSRKREYKACNKLTEGKRPLYAIGFNFLDVRYYEVFATVGGNRVTTYSCLKDGNFAILQAYIDEDKDESFYTLSWACDLDGTPLLVAAGSNGIIRVINCATEKLLKTFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEQSFTWTDLPSKFPTKYVQFPSVDNEIVLWEPKTKEQSPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKVFVWEVQSSPPVLTARLTNPQCKSAIRQTAVSFDGRL >ONIVA08G02510.4 pep chromosome:AWHD00000000:8:1956389:1979794:1 gene:ONIVA08G02510 transcript:ONIVA08G02510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQPHGPVHMTHRQATPRQQSAARPPPPQSVPSPRPPPSTPVSSPAAGADLHPASRGGAGVLLLPPHSLTGEHPNPSHPVSVSASASNFVSSPAACADPHPASRGGARRPPSSTASSLAGEHPSLPTPHQVFCVIPQKVWGFNRNRVRSASAQLHLLSNVIWLLNLIQTPLERKIRYELPNLVPNSELLLALLIPLTNHPKSERRRRRNPPPPPTEARAGQAVVGRGGEERRMAKLGPGQGLGCEAAVGLLAPSRKREYKACNKLTEGKRPLYAIGFNFLDVRYYEVFATVGGNRVTTYSCLKDGNFAILQAYIDEDKDESFYTLSWACDLDGTPLLVAAGSNGIIRVINCATEKLLKTFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVLCAEIHSNYVDCTKWLGDFVLSKSVENEILLWESITKEENPGEGHIDVLQKYPVPECNIWFMKFSCDFHHNQLAIGNRDGKVYVWKVQTSPPVLIARLNNPQVKSAIRQTAVSFDGSTILACTEDGNIWRWDEVDHPTAPVPSKKQK >ONIVA08G02510.5 pep chromosome:AWHD00000000:8:1956359:1979794:1 gene:ONIVA08G02510 transcript:ONIVA08G02510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGPGQGLGCEAAVGLLAPSRKREYKACNKLTEGKRPLYAIGFNFLDVRYYEVFATVGGNRVTTYSCLKDGNFAILQAYIDEDKDESFYTLSWACDLDGTPLLVAAGSNGIIRVINCATEKLLKTFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVLCAEIHSNYVDCTKWLGDFVLSKSVENEILLWESITKEENPGEGHIDVLQKYPVPECNIWFMKFSCDFHHNQLAIGNRDGKVYVWKVQTSPPVLIARLNNPQVKSAIRQTAVSFDGSTILACTEDGNIWRWDEVDHPTAPVPSKKQK >ONIVA08G02500.1 pep chromosome:AWHD00000000:8:1955980:1963915:-1 gene:ONIVA08G02500 transcript:ONIVA08G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGERGGNLPPPYMAGEIRVAAGEGDSARRFVGGAEERGRRRRGRGEETTANSMKKMISEAGSVRREDKGCSPASDDAVEEGGRRAPPLLAGWGSAQAAGEETKFEAEAETETGWDGLGCSPVSECGGSRRTPAPPLLAGWRSAPAAGEETGVEGGGRGDGTDWGGGGRAALCCRGPHVDPTSQPAILFHLTPLYSHLNPNLQGIRRWVGRRVSVNREKLDGGGRQLTWLPMTRSAHVMDLRTCGVWHESQLQLQCRLHRHA >ONIVA08G02490.1 pep chromosome:AWHD00000000:8:1945379:1946930:1 gene:ONIVA08G02490 transcript:ONIVA08G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLVLVAVVSLVALLPLGMAATTYDPIGSFCSETWMNYGDLNSSEAVTRRRAVNFVVSDLVAKARTGGGFATSKAGRGSEVFYGLAQCRGDVSGGDCDACLAQAAKQMVSNCNYTSDSRIWYEYCFMRYYSSYNFIGDVDTREDASVTLRRWPDMDNPKAFQKVVGKAMVKATTQAVSVGGNGLGRAKEQYTPFVSVYALAQCTRDLAPPACAQCLSSTVSKFDKACDAAQGCQIDYSSCWARYEIYPFYFPLEANGRATIDMNKYTKVTMH >ONIVA08G02480.1 pep chromosome:AWHD00000000:8:1935253:1943902:-1 gene:ONIVA08G02480 transcript:ONIVA08G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLVLVTAFLAAALLPLGMAATTYPIGSFCSESGMNYGDLNSSEAVVRRRSVNFVVSDLVAKARTGGGFATSRAGRGYDAFYGLAQCRGDVSGGDCDACLAQAAKQIVSNCNYTSDSRIWYEYCFMRYYNYDFIGEVDTREDASVTMINWQNMDNPKAFQKAAGKAMGKATAQAVAVGRGGLGRAKEQYTPFVSVYALAQCTRDLAPPACARCLSEIVSKFDKTCNSAQGCQIDYSSCWARYEIYPFYFPLEAGSRATIDMSKYTKQSMASTSRRSHHCCLVLVAVSLAAILLPMAMATTISMSPIGTFCWNPSYNEMSSGEAIARRRSINSVVSDLAAKARAGGGFATSSAGRGIDAFYGLAQCRGDVSGGDCDACLAQAAKQMVTNCNYTLDSRIWYEYCFMRYVDFNFFGEMDTRTDASVTLRQWPDMDNPMAFQKAVGKATGKAVAHAVTMGSGGLGRAKEQCTSFVNVYALAQCTRDLAPPLCAQCLSTTVSKFAEACGSGQGCQIDYSSCWVRYEIYPFYFPLEANRQAPTDLTKYTKAASSAS >ONIVA08G02470.1 pep chromosome:AWHD00000000:8:1933567:1934878:-1 gene:ONIVA08G02470 transcript:ONIVA08G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLLLTVFLAAALLPLAMAATTTTYPIGSFCWEPGMNYGDLNGSDAVVRRRSINFVVSDLVAKARTGGGFATSKAGRGYDAFYGLAQCRGDVSGGDCDACLAQAAKQMVSYCNYTSDSRLWYEYCFMRYDNYNFLGEVDTREDASVTMRQWPDMDNPKAFQKAAGKAMGKATAQAVAVGSSGLGRAKEQYTPFVSVYALAQCTRDLSPPSCAQCLSAAVSKFDKACGSGPGCQIDYSSCWARYEIYPFYFPLAAAGRATIDMTKYTKVTVH >ONIVA08G02460.1 pep chromosome:AWHD00000000:8:1925786:1925971:-1 gene:ONIVA08G02460 transcript:ONIVA08G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCEGGSDDGGRGSGTSVVVDLVLETSVTTDLVLGTSVAVELVLPASGAAGPLATMANDA >ONIVA08G02450.1 pep chromosome:AWHD00000000:8:1917880:1922101:-1 gene:ONIVA08G02450 transcript:ONIVA08G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAMIGRPNGGGGGGGVAYASSSSALSLGQSLLDGHHHQLPSLLQQHHNGHHLLDQHQQHQHQLPPQATTTSESDGRAPRDELEMSKSGGSDNLESGGGGGGGGSGDDQDPNQRPRKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENNALRAENEKLRAENMRYKEALANASCPNCGGPAAIGEMSFDEHHLRLENARLRDEIDRISAIAAKYVGKPAAAVSAAYPPLPPSNRSPLDHMGIPGAGADVFGADFDKPLVIELAVAAMEELVRMAQLGEPLWAPALGGEALGEEEYARTFPRGLGPKSPELRSEASRETAVVIMNHVSLVEMLMDVGQWTALFSSIVSRAATLEVLSTGVAGNHNGALQLMSAEFQMPSPLVPTRETQFLRYCKQHPDGTWAVVDVSLDGLRAGAGGGCQPAAARGHRRRPSGCLIQEMPNGYSKVTWVEHVEADDQMVHNLYKPVVNSGMAFGARRWVATLERQCERLASAMASNVASSGDAGVITTSEGRRSMLKLAERMVASFCGGVTASTTHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIILNAATSFWLPVPPSRVFDFLRDDSTRSEWDILSNGGVVQEMAHIANGRDHGNAVSLLRVNNANSNQSNMLILQESCTDASGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPDGGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAITGDNGVAPPCPRGSREGSRANSEV >ONIVA08G02440.1 pep chromosome:AWHD00000000:8:1912822:1916420:1 gene:ONIVA08G02440 transcript:ONIVA08G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I729] MAAAAAAVGNPNAAAAAASVSASRVGAGALRAGGLRVAAGGSVARRGGAVVAAAMRPAKAVASPAKEAAGEVNGAAPGGFARPDAFGRFGKFGGKYVPETLMHALTELEAAFHALAGDEDFQKELDGILKDYVGRETPLYFAERLTEHYKRADGTGPKIYLKREDLNHTGAHKINNAVAQVLLAKRLGKERIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMKLLGAEVRAVHSGTATLKDATSEAIRDWVTNVENTHYILGSVAGPHPYPMMVREFHKVIGKETRRQAMEKWGGKPDVLVACVGGGSNAMGLFHEFVDDQDIRMIGVEAAGYGVDTDKHAATLTKGEVGVLHGSLSYVLQDDDGQVIEPHSISAGLDYPGVGPEHSFLKDIGRAEYDSVTDQEALDAFKRVSRLEGIIPALETSHALAYLEKLCPTLPDGVRVVVNCSGRGDKDVHTASKYLDV >ONIVA08G02430.1 pep chromosome:AWHD00000000:8:1902942:1910301:1 gene:ONIVA08G02430 transcript:ONIVA08G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETRKERSKWDTKGPPDIVEISEDESLPMNMDDHKKGNDDHRKGNDLLPSQDFGHGNDKQIGESVNLKSTVSMHHGSAGHEHDRADGLNKDIKERSSKASSERLPLRMGDEDHNKNDWHNRGFEKAAGNQGMGRYADDRRRGDGWGTTLSRGYSSRISSSGPDAWKRSRSPLSPRGGWNRSRRNRSRSRSRSRSRSIGRGRGRSRSRSRSPYFSDRGSEWRVERGRSSGGPALPCRDFVAGRCRRGSNCRFPHEDGVRRQLDEHYPVDSRERYGHQNRDFMDPREQDDYLRSRPLRGGHYDEGTWERSEPRREYRSTMPCHDFVKGRCSRGANCRYVHDDSTSHGGWRDEVRDNAIGRSGPDSSYGNRTEHRRTNKNPCKFFANGGCRRGQNCPYLHEEASQSQMGLGAPDEPGYTGGPTTRGDYLSWSEQNNSVQASSHVLSRDDRENPVPQGTGRNDSRYENKNRHSKDAGSSQYQIFPQDDFGSVGQNKPEIAASQLPQFIPSVQTGTESINIDKVSDMGGQSGPGTVGNLSMQIGMHSANLLGGHNLGQKAESQDAISQISAAPSLPGATQLQNTTSSVPLNSQVQQSDFSLHPNRQDQFAVPHATTNNSAPSMQSQPVAPYMGHSQHGYIMGAQSLPDLSVHNGQIFNVGQVPQNLPTIMHAGQNQATSDTANLGRDSGDQSLHNTHNFQPVAPNEQTQSQTLQGLSVVASSSSVDMAGAPLSHNAVSSQEEVRRVTASLAQYFVPSLTADTSGLQSSQPDPNSSLMNNSSAAPQAVQPNHWPWLQQAGMVQPSHIFPSEQPAPQTFQAPMAAGSSNGNPLILPHSVAPTGPAAALATNETTPAENKKEEPKDTDAEANEDGENKKSKDSKALKMFKLALADFVKDALKPTWKEGQMSREVHKTIVKKVVDKVTTTVENTPQTKEKIDIYMSYSREKLNKLVQAYVGKYAKKD >ONIVA08G02420.1 pep chromosome:AWHD00000000:8:1901718:1902260:1 gene:ONIVA08G02420 transcript:ONIVA08G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASGEKKRQAQTQPSSSSGAGAVVVAGGGAAQEPSALTPWQVLAGVHRTLHMELLKEAGEMAAACGGDVHAIVFFPGGSRAEYHTFRGAPIAAARARAVARRRREAQAAAAALRGVVARDVSGMAAEEVEAHRRKLLALRAAVVRKLQEKAASAAANVAAGDDDDAGRSNKIRKIE >ONIVA08G02410.1 pep chromosome:AWHD00000000:8:1899790:1901117:-1 gene:ONIVA08G02410 transcript:ONIVA08G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator 21 [Source:Projected from Arabidopsis thaliana (AT4G04780) TAIR;Acc:AT4G04780] MDIISQLQEQLNEMAMVAVNTFGTLQRDAPPVRLSNNYPDPLNPAAAAANPNPDDPAQPQPGAAAAAPGAPAAQAQAPPAQAQPPALDLAEHPKAMSHALVLAAKKFDALVSALPLSSEEDQLKRIKELQAENEVVGSELQKQLEAAELELKQVEALFNEATDHCINLKKPE >ONIVA08G02400.1 pep chromosome:AWHD00000000:8:1897795:1898525:-1 gene:ONIVA08G02400 transcript:ONIVA08G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARVGRLPSSSGKEHPPVPWMAAQSLSEQANSSMKSVRAKKHKKKKKKKKKKRNDFGSLFGILCTRKR >ONIVA08G02390.1 pep chromosome:AWHD00000000:8:1893451:1899821:1 gene:ONIVA08G02390 transcript:ONIVA08G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca(2)-dependent phospholipid-binding protein (Copine) family [Source:Projected from Arabidopsis thaliana (AT1G79380) TAIR;Acc:AT1G79380] MGGVIGALFRGGGHRREMHRAPMNQPGYGGGGENHRRAMLTKKYSYIPDNYQSLDQVTAALRDQGLESSNLILGIDFTKSNEWTGKRSFSGQSLHKIGSTPNPYEQAISIIGKTLAPFDDDNLIPCFGFGDATTHDYNVFSFHPDNSPCHGFEEVLSCYKKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTSDNDLSPQERRTVDSVVMASSYPLSIVLVGVGDGPWEDMQKFDDKIPARQFDNFQFVNFTSIMSRSTTQQQKESAFALAALMEVPIQYKATMELGILGRSTGKAKRIMPAPPPLPSAAGRQPSLRREGSAAATAAAAPPSPREDQVEQIAIVSSRVLFFLVCPICLTNAKDLAFGCGHMCCRECGESLTKCPICRQTIRSKLRLYSG >ONIVA08G02390.2 pep chromosome:AWHD00000000:8:1893451:1899821:1 gene:ONIVA08G02390 transcript:ONIVA08G02390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca(2)-dependent phospholipid-binding protein (Copine) family [Source:Projected from Arabidopsis thaliana (AT1G79380) TAIR;Acc:AT1G79380] MGGVIGALFRGGGHRREMHRAPMNQPGYGGGGENHRRAMLTKKYSYIPDNYQSLDQVTAALRDQGLESSNLILGIDFTKSNEWTGKRSFSGQSLHKIGSTPNPYEQAISIIGKTLAPFDDDNLIPCFGFGDATTHDYNVFSFHPDNSPCHGFEEVLSCYKKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTSDNDLSPQERRTVDSVVMASSYPLSIVLVGVGDGPWEDMQKFDDKIPARQFDNFQFVNFTSIMSRSTTQQQKESAFALAALMEVPIQYKATMELGILGRSTGKAKRIMPAPPPLPSAAGRQPSLRREGSAAATAAAAPPSPREDQVCPICLTNAKDLAFGCGHMCCRECGESLTKCPICRQTIRSKLRLYSG >ONIVA08G02390.3 pep chromosome:AWHD00000000:8:1893451:1899890:1 gene:ONIVA08G02390 transcript:ONIVA08G02390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca(2)-dependent phospholipid-binding protein (Copine) family [Source:Projected from Arabidopsis thaliana (AT1G79380) TAIR;Acc:AT1G79380] MGGVIGALFRGGGHRREMHRAPMNQPGYGGGGENHRRAMLTKKYSYIPDNYQSLDQVTAALRDQGLESSNLILGIDFTKSNEWTGKRSFSGQSLHKIGSTPNPYEQAISIIGKTLAPFDDDNLIPCFGFGDATTHDYNVFSFHPDNSPCHGFEEVLSCYKKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTSDNDLSPQERRTVDSVVMASSYPLSIVLVGVGDGPWEDMQKFDDKIPARQFDNFQFVNFTSIMSRSTTQQQKESAFALAALMEVPIQYKATMELGILGRSTGKAKRIMPAPPPLPSAAGRQPSLRREGSAAATAAAAPPSPREDQVCPICLTNAKDLAFGCGHMCCRECGESLTKCPICRQTIRSKLRLYSG >ONIVA08G02380.1 pep chromosome:AWHD00000000:8:1884461:1890051:-1 gene:ONIVA08G02380 transcript:ONIVA08G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G07060) TAIR;Acc:AT3G07060] MVQASAVALRRLGRRRGGDPAAALLRCGVVPSSSSSSAPGTLLPLKQPVGDCGGCRRSFSSSAWLGPRLWRCLPRRQSAAAAATAWEAHHSTRKSSMATLSTEPDTSASQSEILHFIKSTFGKLEGQNHCWLNCMNDTWKNLNGGVYLILSYESCGTSDSHGKLSSAFRRLKYLQQRYPRLTVFAMEHGSDISSLQSQTVRAVIKEYLSFPILMSDKDFTHMTNGACCLLFEGSKDHVLLSKLDEDLEVLIKGMDNAVLKAEPSDAALESRVSWQKEEVPKEPYVGSVRNLLLYHPACISVDEDGDRIFISDSNHHRVIISDSNGTILDYIGCSPGFEDGEFESAKFLRPAASFYDAAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFVADSIAFPWHLLKLTEDDFLVADRNFETSWILSGSTGQKQEIGRGRAEEMESYQQTIHERCALLKDMNMNWSSSAKKHSDLLGKIPFKELVSSVARFQKYIIFCDADGQRVLKHDLDTNDTSNIQFSNLGVLGLPYWFVCHLERVSTWGRSIGQFQEHIRKVNVLPGRCNIKVSVDIPSDTQLAAPLVESCIWRQVRGSGAEVSGSDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRVHFSCVVNVSPGTCELVASAALYLKIDSAKDDHGEQQALVKRIIQCQRREDHAGVELLMESCKDARDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >ONIVA08G02380.2 pep chromosome:AWHD00000000:8:1884461:1890051:-1 gene:ONIVA08G02380 transcript:ONIVA08G02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G07060) TAIR;Acc:AT3G07060] MVQASAVALRRLGRRRGGDPAAALLRCGVVPSSSSSSAPGTLLPLKQPVGDCGGCRRSFSSSAWLGPRLWRCLPRRQSAAAAATAWEAHHSTRKSSMATLSTEPDTSASQSEILHFIKSTFGKLEGQNHCWLNCMNDTWKNLNGGVYLILSYESCGTSDSHGKLSSAFRRLKYLQQRYPRLTVFAMEHGSDISSLQSQTVRAVIKEYLSFPILMSDKDFTHDHVLLSKLDEDLEVLIKGMDNAVLKAEPSDAALESRVSWQKEEVPKEPYVGSVRNLLLYHPACISVDEDGDRIFISDSNHHRVIISDSNGTILDYIGCSPGFEDGEFESAKFLRPAASFYDAAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFVADSIAFPWHLLKLTEDDFLVADRNFETSWILSGSTGQKQEIGRELKSCEGRAEEMESYQQTIHERCALLKDMNMNWSSSAKKHSDLLGKIPFKELVSSVARFQKYIIFCDADGQRVLKHDLDTNDTSNIQFSNLGVLGLPYWFVCHLERVSTWGRSIGQFQEHIRKVNVLPGRCNIKVSVDIPSDTQLAAPLVESCIWRQVRGSGAEVSGSDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRVHFSCVVNVSPGTCELVASAALYLKIDSAKDDHGEQQALVKRIIQCQRREDHAGVELLMESCKDARDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >ONIVA08G02380.3 pep chromosome:AWHD00000000:8:1884461:1890051:-1 gene:ONIVA08G02380 transcript:ONIVA08G02380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G07060) TAIR;Acc:AT3G07060] MVQASAVALRRLGRRRGGDPAAALLRCGVVPSSSSSSAPGTLLPLKQPVGDCGGCRRSFSSSAWLGPRLWRCLPRRQSAAAAATAWEAHHSTRKSSMATLSTEPDTSASQSEILHFIKSTFGKLEGQNHCWLNCMNDTWKNLNGGVYLILSYESCGTSDSHGKLSSAFRRLKYLQQRYPRLTVFAMEHGSDISSLQSQTVRAVIKEYLSFPILMSDKDFTHMTNGACCLLFEGSKDHVLLSKLDEDLEVLIKGMDNAVLKAEPSDAALESRVSWQKEEVPKEPYVGSIGCSPGFEDGEFESAKFLRPAASFYDAAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFVADSIAFPWHLLKLTEDDFLVADRNFETSWILSGSTGQKQEIGRGRAEEMESYQQTIHERCALLKDMNMNWSSSAKKHSDLLGKIPFKELVSSVARFQKYIIFCDADGQRVLKHDLDTNDTSNIQFSNLGVLGLPYWFVCHLERVSTWGRSIGQFQEHIRKVNVLPGRCNIKVSVDIPSDTQLAAPLVESCIWRQVRGSGAEVSGSDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRVHFSCVVNVSPGTCELVASAALYLKIDSAKDDHGEQQALVKRIIQCQRREDHAGVELLMESCKDARDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >ONIVA08G02380.4 pep chromosome:AWHD00000000:8:1884461:1890051:-1 gene:ONIVA08G02380 transcript:ONIVA08G02380.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G07060) TAIR;Acc:AT3G07060] MVQASAVALRRLGRRRGGDPAAALLRCGVVPSSSSSSAPGTLLPLKQPVGDCGGCRRSFSSSAWLGPRLWRCLPRRQSAAAAATAWEAHHSTRKSSMATLSTEPDTSASQSEILHFIKSTFGKLEGQNHCWLNCMNDTWKNLNGGVYLILSYESCGTSDSHGKLSSAFRRLKYLQQRYPRLTVFAMEHGSDISSLQSQTVRAVIKEYLSFPILMSDKDFTHMTNGACCLLFEGSKDHVLLSKLDEDLEVLIKGMDNAVLKAEPSDAALESRVSWQKEEVPKEPYVGSIGCSPGFEDGEFESAKFLRPAASFYDAAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFVADSIAFPWHLLKLTEDDFLVADRNFETSWILSGSTGQKQEIGRELKSCEGRAEEMESYQQTIHERCALLKDMNMNWSSSAKKHSDLLGKIPFKELVSSVARFQKYIIFCDADGQRVLKHDLDTNDTSNIQFSNLGVLGLPYWFVCHLERVSTWGRSIGQFQEHIRKVNVLPGRCNIKVSVDIPSDTQLAAPLVESCIWRQVRGSGAEVSGSDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRVHFSCVVNVSPGTCELVASAALYLKIDSAKDDHGEQQALVKRIIQCQRREDHAGVELLMESCKDARDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >ONIVA08G02380.5 pep chromosome:AWHD00000000:8:1884461:1890051:-1 gene:ONIVA08G02380 transcript:ONIVA08G02380.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G07060) TAIR;Acc:AT3G07060] MVQASAVALRRLGRRRGGDPAAALLRCGVVPSSSSSSAPGTLLPLKQPVGDCGGCRRSFSSSAWLGPRLWRCLPRRQSAAAAATAWEAHHSTRKSSMATLSTEPDTSASQSEILHFIKSTFGKLEGQNHCWLNCMNDTWKNLNGGVYLILSYESCGTSDSHGKLSSAFRRLKYLQQRYPRLTVFAMEHGSDISSLQSQTVRAVIKEYLSFPILMSDKDFTHMTNGACCLLFEGSKDHVLLSKLDEDLEVLIKGMDNAVLKAEPSDAALESRVSWQKEEVPKEPYVGSIGCSPGFEDGEFESAKFLRPAASFYDAAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFVADSIAFPWHLLKLTEDDFLVADRNFETSWILSGSTGQKQEIGRDGQRVLKHDLDTNDTSNIQFSNLGVLGLPYWFVCHLERVSTWGRSIGQFQEHIRKVNVLPGRCNIKVSVDIPSDTQLAAPLVESCIWRQVRGSGAEVSGSDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRVHFSCVVNVSPGTCELVASAALYLKIDSAKDDHGEQQALVKRIIQCQRREDHAGVELLMESCKDARDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >ONIVA08G02370.1 pep chromosome:AWHD00000000:8:1878186:1882863:-1 gene:ONIVA08G02370 transcript:ONIVA08G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCAHVASGVEGSIKWVLSSKEINFPYPVALTLLHMVFSSVVCFAITKIFKIVKIEEGMTTDIYISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSCKMLAIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVRLNLISMMYYVSPCSALCLFIPWLFLEKPKMDESASWNFPPFTLFLNCLCTFILNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIIGYAIAIAGVVAYNNHKLKPKPQGNEQQSADSKANPGSPQDVETSISTKEAS >ONIVA08G02360.1 pep chromosome:AWHD00000000:8:1874928:1876849:1 gene:ONIVA08G02360 transcript:ONIVA08G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKSTRSPPASRSPPPPPSVSAGLGPPIDSLTYSVYPDLQTSVNIISIKVIKSDVGYPISVFGTVLARDQYDYRCVYLFRRGRDDPQIINSPVSISYYLTNGD >ONIVA08G02350.1 pep chromosome:AWHD00000000:8:1871317:1873964:1 gene:ONIVA08G02350 transcript:ONIVA08G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGANKDGGGSAAGERPMVAIKRKREKVPGLAEDGDSSPSSANRSVDMEVEEKLDYSCAGAEEDVSSGCSIEMEDVGEQRQVEPTWEDKVLRVLHIVRRNQFAEYDPKVGGIVYTRFCIHNIALFDLDKESTIGPGPPINSLDPSEYWWLDDSLNIIAIKVAESDVGYPVRIYGTVLARDQQDYRCVYLFRRSRDNPQLITSPEDSLTLTGPYRALASKDIIIFEFNLKILGDGDVDRDFGKGVIEHSCIRHTKKLMTLDLTSWLSKIDLVYTPVDYAVEASLAVNILDGPSDFTGKVIAWTSGNKDNEIVLHDTQVTGSPTKLGDDGSIELSRHIVVVPLDEELVLNVILFHGDDHEDECFEFVLTNYDEESSFKEGRFELQVKVIWTGVVRTGEHKIWESTGRNRMLV >ONIVA08G02340.1 pep chromosome:AWHD00000000:8:1858368:1858763:-1 gene:ONIVA08G02340 transcript:ONIVA08G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCGPHMCVVEALIDGDIGVGKCGGLWAERMGTMAGRVDGTPWHGGGDIGRSGVWEHGERVGLVDGGAERDVRVGDGEHEDEDEDKGEGVVGGENQRRVVLGTDEAAVEGYRLVERLVRRHRPDDERQRE >ONIVA08G02330.1 pep chromosome:AWHD00000000:8:1839600:1840905:1 gene:ONIVA08G02330 transcript:ONIVA08G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVDLVRAYNKEVKWREEGYIPGTIDEHLQVSARSGACHLLSCTSFVRMDDIVSKDCFDWVCSVPKIVQSLCIILRLSDDLKSYERERLTLHVASTIDSCMKEHNIDIEMAYEKIHLLIEESWKDFNGEWLDSGNTQPIQLMERIFNLTRTMEFFYKKDDAYTNGHTIKDNIYSLFVEPVMMS >ONIVA08G02320.1 pep chromosome:AWHD00000000:8:1763611:1765360:-1 gene:ONIVA08G02320 transcript:ONIVA08G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQATKEPLPSYGQHQLLGRDCNLSSLPSIAAPHRQAASTSSAEATKERIAYHKNEADPRKRRPRQTPRYESFRTEKDHPRSSMHRNFSEVTPPRRTRRVMSSPLVRNWKRFVGNMKERHDDVSKKVNGAHGRRRRRTGQRHGKAFASVFTSHTQAPHIDDHRIVHDVSQAVIPRCNQTRQRIHIHTSRAGGSPAASNPAGARLDSAQPPSSPEPSQAMQRRHTQPPPTPRPLPISSFPAAQLLPHFHMLPLTSTRHQPRFSVQDFCGALDLTTGLQKMGRSKLSTNKVTHGFHLLEGRSGHDMEDYHVAEYKYANNHEFGLFAIYDGHLGDKVPSYLKANLFNNILKEPLFWTNPQEAIKNAYSSTNKYILENSKQLGPGGSTAVTAIVVEPKKTIDSRRCVGTSPKRRPQGGHDM >ONIVA08G02310.1 pep chromosome:AWHD00000000:8:1763111:1763380:1 gene:ONIVA08G02310 transcript:ONIVA08G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGAKQEWGLTSAVVTSSLSAECFSLLSVSPLLWPDPILVVGNSSILVVGNSRGRAEAPACCRSLWRIDGVSRVAKGPSLPLVTVKE >ONIVA08G02300.1 pep chromosome:AWHD00000000:8:1760716:1761759:-1 gene:ONIVA08G02300 transcript:ONIVA08G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIANIGDSRAVVCERGAANQLTVDHEPHTTNERKRIEKQGGFVSTFLGDVPRVNGQLAVARAFGDQSLKAYLSSEPDVKHVPINSSVEFVILASDGLWKVIKNQEAVNLVNSIKDPQATAKRLTSEVLAKR >ONIVA08G02290.1 pep chromosome:AWHD00000000:8:1731305:1739130:-1 gene:ONIVA08G02290 transcript:ONIVA08G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGPWLKSGNSHVGRETWEFDPNFGTSEEREAVEAARIEFQKNRFRTRHTSDVLARMQLAKANNFSIDLQKEKDGNPINIDTATVSDILKKALSYFSAIQAYDGHWPGDFPGPLFTTATMIIVLYVTESLTITLSSEHHKEICRYLYNRQVLGVFDWSGVNPIPPELFLLPSFVPIQPGRLWSHFRMAFIPMSYLYGKKFVGPITRLVISLREELHIHPYKKIDWKEARKLCAKEDAYNPHMWLQECLSDCLYSFGEPFLTRWPISYMRKRALYQIAEFLKYEDENSQYICIGAAQKALSMLCCWIENPNSDAFKRHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACSIAEEFGSTLKKAHGFIKTSQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKNPNGGYGAWELARTYPWMEIFNMTEIYADIIVEHQYVECTSSVIQALALFREKYPGHRKDEIDQCIRKATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQCYDDSTCIRKACKFLLSKQLTNGGWGESHLSSRTKAYTNLDGEKSHIVNTAWAMLALMKAGQVERDPAPLHKAARLIMSMQLSDGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGEYQKLVFQNYQTSSIKQTNIAPSAGNAALKNSASTTAPT >ONIVA08G02280.1 pep chromosome:AWHD00000000:8:1727215:1740428:1 gene:ONIVA08G02280 transcript:ONIVA08G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVHLSSFAISVLGKAAFCAASEIKSAWNFKKEVRKLERSLKSICGVLKDAERKQSTSCALKVWLEDLKDVVYDIDDVLDDVATKDLEQKVHNGFYAGVSRQLVYPFELSHKITVVRQKLDEIAANRREFALTEEIIDTQFFSSNTRETHSFINELDIVGRDEAKNKIVEIILSAADAYAFSVLPIVGLGGIGKTALAKLVYNDMRIKKMFEKNLWACVSNVFDLKKILDDIIQSDTGESNKQLSLQTLQNKLRGFLQENKYLLVLDDIWSDNVNDWEQLKNLLSSGGRGSVVVVTTRNMNVASVVKTLEPYYVFIRYAFRDEEKKDTLLLEIGKCIVEKCHGVPLAAKTLGSVLFGKQDVKEWLRIKDANLWNIEQNKCDILPALKLSYDALPPHLKACFSCLSVFPKDYVILRELLIMFWMALGLLHKTREGDEIETIGGQYFNELDQRSLFQDHYVIYNGSIQSCKMHDLVHNLAMFVCHKEHAIVNCESKDLSEKVRHLVWDRKDFSTEIEFPKHLRKANKARTFASIDNNGTMTKAFLDNFLSTFTLLRVLIFSDVDFDELPSSIGNLKHLRYLDLQWNGKIKFLPNSLCKLVNLQTLQLSRCDQLEKMPKDVHRLISLRFLCLTLKNKYLSEHDGFCSLTSLTFLFLNSCAELSSLTNGFGSLTSLRKLYIFNCPKLATLPSTMNQLSTLQTLSINNCHELDLLEPSEAMGGLACLDVLQLVGLPKLVCFPGSFISAATSLQYFGIGNCNGLMKLPDFIQSFTSLKKIVINGCPELSRRCAVKSGEDFHLISHVPQITIDKKTYRKITPSHPEWYFSRNNANAWMTDEMLLRSDSRCIKATIYQKTKAGQTKSGGSIDEGDRMEMPRLDYLCP >ONIVA08G02280.2 pep chromosome:AWHD00000000:8:1727215:1740428:1 gene:ONIVA08G02280 transcript:ONIVA08G02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVHLSSFAISVLGKAAFCAASEIKSAWNFKKEVRKLERSLKSICGVLKDAERKQSTSCALKVWLEDLKDVVYDIDDVLDDVATKDLEQKVHNGFYAGVSRQLVYPFELSHKITVVRQKLDEIAANRREFALTEEIIDTQFFSSNTRETHSFINELDIVGRDEAKNKIVEIILSAADAYAFSVLPIVGLGGIGKTALAKLVYNDMRIKKMFEKNLWACVSNVFDLKKILDDIIQSDTGESNKQLSLQTLQNKLRGFLQENKYLLVLDDIWSDNVNDWEQLKNLLSSGGRGSVVVVTTRNMNVASVVKTLEPYYVFIRYAFRDEEKKDTLLLEIGKCIVEKCHGVPLAAKTLGSVLFGKQDVKEWLRIKDANLWNIEQNKCDILPALKLSYDALPPHLKACFSCLSVFPKDYVILRELLIMFWMALGLLHKTREGDEIETIGGQYFNELDQRSLFQDHYVIYNGSIQSCKMHDLVHNLAMFVCHKEHAIVNCESKDLSEKVRHLVWDRKDFSTEIEFPKHLRKANKARTFASIDNNGTMTKAFLDNFLSTFTLLRVLIFSDVDFDELPSSIGNLKHLRYLDLQWNGKIKFLPNSLCKLVNLQTLQLSRCDQLEKMPKDVHRLISLRFLCLTLKNKYLSEHDGFCSLTSLTFLFLNSCAELSSLTNGFGSLTSLRKLYIFNCPKLATLPSTMNQLSTLQTLSINNCHELDLLEPSEAMGGLACLDVLQLVGLPKLVCFPGSFISAATSLQYFGIGNCNGLMKLPDFIQSFTSLKKIVINGCPELSRRCAVKSGEDFHLISHVPQITIDKKTYRKITPSHPEWYFSRNNANAWMTDEMEQLCIKATIYQKTKAGQTKSGGSIDEGDRMEMPRLDYLCP >ONIVA08G02270.1 pep chromosome:AWHD00000000:8:1720874:1724592:-1 gene:ONIVA08G02270 transcript:ONIVA08G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAKFHGIVGAVGAHDVGGNFCDMGYYQKLGEGSNMSIDSLNSMQTSIYGGSVAMSVDNSSVASSDSRTGMLKHPGLRGPVIVSSYSVGNSIFRPGRVSHALSDDALAQALMDSRFPTETLKDYEEWTIDLGKLHIGMPFAQGAFGKLYRGTYNGGDVAIKLLERPEADPEKAQLLEQQFVQEVMMLATLRHSNIVKFVGACRKPMVWCIVTEYAKGGSVRNFLNRRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEVIQHRPYDQKVDVYSFGIVLWELVTGNLPFANMTAVQAAFAVVNKGVRPAIPHDCLPALAEIMTRCWDANPDARPPFTEVVRMLEQVEMEVVNTVRRARFRCCISQPMTLD >ONIVA08G02260.1 pep chromosome:AWHD00000000:8:1714893:1722180:1 gene:ONIVA08G02260 transcript:ONIVA08G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGERHRWRRHLERESEGEGKRFQGARLSKTKVFPFPSASTPPPKLRLLARVRPPPAPISIRRGAAVRPAASADCMIPPQSEIVGENNASKSAAKEQILSSTNEKMTTSVPQGASSSKSPKGAQEKASFLGKGGEQPFYQPNVYAPQPQTIYSGGYLNHLGQWEEYPHYVNMEGLHSVSPGIYNDNQSIMLSPGYANNPQMMYGAYSPGVGDGQPYLPLHFPFSSPYYQPPASPSMGYSNSATGMSQGDPMLQQEYFLPDGLLYSPTPGYHQPFGSFDRASTQPSSTPGLFGQGNTPLAFGMHHGSMYAPGSYKPRQQGGKFGGTTPSWSSGRRFGTFDLSANQQKGSMPFGIQNGALEFLNEQNRGPRATKPKKQDTENSSIDDKNEKNVPLVDSELYNRPDFVTEYKDAKFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRLAKEKEDYCPIFLFFSVNGSGQFCGVAEMIGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEHGLQMLTIFKNHESETNILEDFDFYEQREKALQENRRQQQPASPELQKPAENKALGELMAHISDTFGQTVQLKETENGESKPAVEGVSSADEASTATTKAEDGTASTNASPVE >ONIVA08G02250.1 pep chromosome:AWHD00000000:8:1705748:1711540:1 gene:ONIVA08G02250 transcript:ONIVA08G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CemA-like proton extrusion protein-related [Source:Projected from Arabidopsis thaliana (AT4G31040) TAIR;Acc:AT4G31040] MTTWDLLESTTLIRQAFVACCCCCGGGWGGRMLIAADFPGDSTVSRCAFPGGIALRLFVDWRIRRRRVCACKMFDTGSQRGRVKQLVAFAKKRRRPKKQPSRRPWWKAWFSDWNDEEESLSGWREDEELLEEVGGEEGLSDDEKFETWKRKAEAIVELREARQDAMNAEGRSWEDWIGGGSSTAGDGGGDWGGDLDVSDLITDDPTEIVRDKGLIETFRDSVDEDYNDMLFEDRVFLYASTNSAKFLALLIVIPWVLDFLVHDYVLMPFLERYVQKVPLAAELLDVRRSQKLLMVKDINTEKARYRFEVEIGKSPPLSDDELWSELREKAIELRDEWRLENRKAFANIWSDMVYGISLFLLMYFNQSKVAMLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSESGWHSLVEVILEHYGLEADQAAITFFVCLVPVALDVFIKFWVYKYLPRLSPSVGNILDEIKRH >ONIVA08G02250.2 pep chromosome:AWHD00000000:8:1705748:1711530:1 gene:ONIVA08G02250 transcript:ONIVA08G02250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CemA-like proton extrusion protein-related [Source:Projected from Arabidopsis thaliana (AT4G31040) TAIR;Acc:AT4G31040] MTTWDLLESTTLIRQAFVACCCCCGGGWGGRMLIAADFPGDSTVSRCAFPGGIALRLFVDWRIRRRRVCACKMFDTGSQRGRVKQLVAFAKKRRRPKKQPSRRPWWKAWFSDWNDEEESLSGWREDEELLEEVGGEEGLSDDEKFETWKRKAEAIVELREARQDAMNAEGRSWEDWIGGGSSTAGDGGGDWGGDLDVSDLITDDPTEIVRDKGLIETFRDSVDEDYNDMLFEDRVFLYASTNSAKFLALLIVIPWVLDFLVHDYVLMPFLERYVQKVPLAAELLDVRRSQKLLMVKDINTEKARYRFEVEIGKSPPLSDDELWSELREKAIELRDEWRLENRKAFANIWSDMVYGISLFLLMYFNQSKVAMLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSESGWHSLVEVILEHYGLEADQAAITFFVCLVPVALDVFIKFWVYKYLPRLSPSVGNILDEIKRH >ONIVA08G02240.1 pep chromosome:AWHD00000000:8:1692136:1696305:-1 gene:ONIVA08G02240 transcript:ONIVA08G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPLAGGRLVVVVVVAAACMARWSEGIGVNWGTQLSHPLPASTVVQLLKDNGFDRVKLFDAEDGILAALKGSGIQVMVGIPNDMLADLAAGAKAADDWVATNVSNHVNNGVDIRYVAVGNEPFLETFNGTYLNTTFPAMQSVQAALKKAGLADKVKVTVPLNADVYQSPTGKPSDGDFRADIHGLMLTIVQFLADTGAPFVANNTFDANHDTLVAALRRNGYPNVSIIVGEVGWPTDGDANANPQYARQFNQGFLTHIASGQGTPLRPGPVDAYLFSLIDEDQKSIEPGNFERHWGVFYYDGQPKYPLSLHGGGNGNGNGSTLMPAKGVTYLQRRWCVMKPSASLADQKVGDGVSYACGLADCTSLGYKTSCGGLDAKGNVSYAFNSYYQVNDQDDRACDFKGIATTTTVDPSAGSCRFIIEIAPTANSVAMAATVRVAGVMAAILAAFIHLAVPVF >ONIVA08G02230.1 pep chromosome:AWHD00000000:8:1683591:1688675:-1 gene:ONIVA08G02230 transcript:ONIVA08G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVMVSSASGLLAMLQEPAAELKLHALANLNSLVHVFWPEISTSVPAIESLYEDEEFDQRQLAALVVSKVFYYLGELDDSLSYALGAGPLFDPSEGSDYAQTLLAKALDEYASIRSKSLKAAGEGDEKMDPRLEAIVERMLDKCILDGKYQQAMGMSLECKRLDKLEEAISRSDNLNGSLSYSIILSHQYVSHREYRCEILRCLVKIYQTLPNPDYLSICQCLMFLGEPETVGNILGKLLSGSKDDALLAFQIAFDLVENENQAFLLNVKNHLDTLSLQTSAQTLPSDQTAATEPSGDVQMTDDAITPNGNAHTVDPNEVAHADRLTKIKGILSDLLILKTIKQALEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLTQGRALMAPYLPQSGAASGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSSEVVQHGACLGLGLAALGTADEEIFEDVKNILYTDSAVAGEAAGIGMGLLMAGTASEKAGEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGTFRRQLEKIILDKHEDTMSKMGAIMATGILDAGGRNVTIRLQSRSKHDKLTAVVGLAVFTQFWYWYPLTYFISLAFSPTAFIGLNSDLKVPKFEFLSNTKPSLFDYPKPTTQQTTTASVKLPTAILSTYAKAKSRAKKEAESKAQEKAEAPPSEDASTSMQVDGVAEKKAPEPEPTFQILTNPARVVPAQEKFIKFLEGGRYEPVKLAPSGFVLLRDLKPTETEELVLTDAPATQATNAAAATAGQQGSGAAAMAVDEEPLPPQPFEYTS >ONIVA08G02220.1 pep chromosome:AWHD00000000:8:1674014:1676706:-1 gene:ONIVA08G02220 transcript:ONIVA08G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLAQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMEATTDANVAVNQNTGADTYDKVKSQLSNFLPTSRRIMQFSNGQLICTQAPLPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYAVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >ONIVA08G02210.1 pep chromosome:AWHD00000000:8:1668505:1673395:1 gene:ONIVA08G02210 transcript:ONIVA08G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAAAAAAPARSALPFRSRVAAAARPGRAPALSAAPGRRLVARRAAGDGQAVEAQEALPIEKRFPPFPSVMDINQIREILPHRFPFLLVDRVIEYKGGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEIGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLRKEHNEVANNGLSIFLDPKRLKLQDGEIPTTMEEEKPSAGVQPDPTVPTMALSWMLPTQGQETIHDTMNTAYEMSSSETPALRADQAAAAAPMDVEVQLRQRQPQAPPCQQAHFWSGFF >ONIVA08G02200.1 pep chromosome:AWHD00000000:8:1663618:1666002:1 gene:ONIVA08G02200 transcript:ONIVA08G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWRLWYFALDVFVSFYVIQLIYVIDELMMTTDVHFVFHSSHFVLEVAIHLCLII >ONIVA08G02190.1 pep chromosome:AWHD00000000:8:1651462:1652749:-1 gene:ONIVA08G02190 transcript:ONIVA08G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDRSPTLAKVSSSKKPPVTPASSSSNLADDKAQVDLMQDHGKRLEAALTKQIAELHSAESRLLLMSVRSIDDEPPRLNLPSDGFIMVRHVAAVHRDVYDLQKLLKQEPLDNRMIVDAIGVITLSWKEHYVEPRPEDLVKLMSDLEDLVGFFSGNSGPPSFEELMRYESMCIDASRDLKIFAEDKVKMLTFGKHLDACHADWQTKAEYYENKAKEARAAAAEYHQLMKCNEEMIINHPAAVDSLS >ONIVA08G02170.1 pep chromosome:AWHD00000000:8:1627996:1629686:-1 gene:ONIVA08G02170 transcript:ONIVA08G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTSALGHNITLPVGRDLRNPPFELMSARINEPLHDPPRLALGGNLAGDKAQVDLMQDRGKRLEAALTKQIAELHSAEPRLLLMSVRSTDDEPPRLNLPSDGFTMVRHAAAVHRDVHDLQKLLKQEPLDNRMIVDAIGEHYVEPRPEDLVKLMSDLEDLVGFLNGNSGSPSFEELMVISNDSEATSLLQRYESLCVDASRDLKIFAEDKVKMLTFGKHLDACHADWQTKAEYYEKKAKEARAAAAEYYQLVKCNEEMIINHPAAVDSLSQKVAELENKCANAKTNAEAAKKQKEAGASAPPTILRILSYPCNPYFGLPAPPK >ONIVA08G02160.1 pep chromosome:AWHD00000000:8:1608915:1612032:-1 gene:ONIVA08G02160 transcript:ONIVA08G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLEMVYLAAAGLTGIVPSFGSLPNLHDFDLGYNQLEAGDWSFLSSLANCTQLKKLALDANFLQGTLPSSVGNLPSQLNWLWLRQNKLSGTIPSEIGNLKSLSVLYLDENMFSGSIPPTIGNLSNLVVLSLAQNNLSGLIPDSIGNLAQLTEFHLDGNNFNGSIPSNLGQWRQLEKLDFSHNSFGGSLPSEVFNISSLSQSLDLSHNLFTGPIPLEIGNLINLGSISISNNRLTGEIPSTLGKCVLLEYLHMEGNLLTGSIPRSFMNLKSIKELDLSCNSLSGKVPEFLTLLSSLQKLNLSFNDFEGPIPSNGVFGNASRVILAGNYRLCANDPGYSLPLCPESGSQSKHKSTILKIVIPIAVSVVISLLCLMAVLIERRKQKPCLQQSSVNMRKISYEDIAKATDEFSPTNLVGLGSFGAVYNGMLPFETNPVAIKVSDLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTIDPNGYDFKALVFQYMPNGSLEMWLHPEDHGHEILTGKRPTDEKFNDGLSLHDRVDAAFPHRVTEILDPNMLHNDLDGGNSELMQSCLLPLVKVALMCSMASPKDRLGMAQVSTELHSIKQAFLELSSGGKVV >ONIVA08G02150.1 pep chromosome:AWHD00000000:8:1605064:1608133:1 gene:ONIVA08G02150 transcript:ONIVA08G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARRLRFGLRAAAAAMALLLLLLPSSLSLALSPPPPPPPFFPPQWAPPVPGGGGPFAEHGVGYGSGPDGSHNPTVSSVHGNQYMPTASTICHPPSLSFSNPSTASSLSRRRGAAEEDETMGGAGAAEDGRFARPAPSSPRSACYCFSDFFAYACVLDFVYGLRTRVACLWKCL >ONIVA08G02150.2 pep chromosome:AWHD00000000:8:1605064:1608135:1 gene:ONIVA08G02150 transcript:ONIVA08G02150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARRLRFGLRAAAAAMALLLLLLPSSLSLALSPPPPPPPFFPPQWAPPVPGGGGPFAEHGVGYGSGPDGSHNPTVSSVHGNQYMPTASTICHPPSLSFSNPSTASSLSRRRGAAEEDETMGGAGAAEDGRFARPAPSSPRSACYCFSDFFAYACVLDFVYGLRTRVACLWKCL >ONIVA08G02150.3 pep chromosome:AWHD00000000:8:1605064:1608894:1 gene:ONIVA08G02150 transcript:ONIVA08G02150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARRLRFGLRAAAAAMALLLLLLPSSLSLALSPPPPPPPFFPPQWAPPVPGGGGPFAARNSCPKIYEDGLRSINNLSPSLSLFLQPFDGVEPVAPPRSGGGRRDDGRRRRGGRWQVCQAGAVVSEVSVLLLF >ONIVA08G02150.4 pep chromosome:AWHD00000000:8:1605064:1607098:1 gene:ONIVA08G02150 transcript:ONIVA08G02150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARRLRFGLRAAAAAMALLLLLLPSSLSLALSPPPPPPPFFPPQWAPPVPGGGGPFAEHGVGYGSGPDGSHNPTVSSVHGNQYMPTGRHGMYRWPGRRT >ONIVA08G02150.5 pep chromosome:AWHD00000000:8:1606999:1608894:1 gene:ONIVA08G02150 transcript:ONIVA08G02150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTACAASTICHPPSLSFSNPSTASSLSRRRGAAEEDETMGGAGAAEDGRFARPAPSSPRSACYCFSDFFAYACVLDFVYGLRTRVACLWKCL >ONIVA08G02140.1 pep chromosome:AWHD00000000:8:1601351:1603425:1 gene:ONIVA08G02140 transcript:ONIVA08G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEKPLSLTCLLWETLTGREHLLFYGRLKSLQGAPLSQAIEKSLKNVRLFAGGIADKLVSKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWNAVKSAKQDRAIILTTHSMEEAEFLCDRIGIIANGSLQCIGNSKELKAKYGGSYVLTVTTATGEAEEEMRRLVQSISPTMNIVYHISGTQKFEMAKPMEHAKRRMNVLAWGLADTTNAGGCLHQSCQGE >ONIVA08G02130.1 pep chromosome:AWHD00000000:8:1594552:1595660:-1 gene:ONIVA08G02130 transcript:ONIVA08G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRTASGKGEDGGQEGTDAGELGRGLNLKLLSFSALSPSSRFSDEDSGGRARRRTARPLLSLSGRTAVTSTVGRLRAWRGSGSCGGGVLGAEWRRLSPPPSAGADSPNPEAEEAGEGGSGAERCRGRGGREAPGRGRRARRHGRRRGGASHRWGRRPQTPAPPSPYPAPQQRALGGVFLAEVAARPRLPRGRRAAARSSSTGATRPCLPLKAGWSGGPSGDGDDAGLSGQIRPRPTGSRRRRRGRTRHRPRAPLLLRAFPIEPASFSDEDGGGGTDRSGAATSSPATSRSATRSGRRQRGRRWRGREGTTSWRHALHSASSRRSLCLARARNRVSLLGSERLNKDVVLRDTSAKFLASTTRQRST >ONIVA08G02120.1 pep chromosome:AWHD00000000:8:1585698:1586591:-1 gene:ONIVA08G02120 transcript:ONIVA08G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCAVEVEGIEQHFDLRFGFYRTLQPTLLCWGPPVNLSFSFLLLLLPPVSSSSSEEERGRRGSGGGKVATPAAGSVPERRAPGEVVGQRRRWERRPERRRQGLAFGRYGRWRWERGEMGEIGLPEGGHHSGARKRIEKLRGRRSTVGGDGQTWEEREEEELKALMWGGEDDLAGYL >ONIVA08G02110.1 pep chromosome:AWHD00000000:8:1566831:1567919:1 gene:ONIVA08G02110 transcript:ONIVA08G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSVVPERESGVSVVVAAVAAASTAKPVASAAEPVAAAAAEPDYMKEYRSELCKRLLYHRFNDLHPKRCF >ONIVA08G02100.1 pep chromosome:AWHD00000000:8:1554777:1555304:-1 gene:ONIVA08G02100 transcript:ONIVA08G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVISSNGWLTLALNAMELSQMVTQGIWDRDSVLLQLPHFTKELARRCQENEGRPIESIFDLAEMRDLLQLSNPQLQDIIEFFKRFPNVDMAYEVTLERDMTNLPSEVGPVHAPRYPKAQGRRLVAALPIRVALQKRARVKLEFTAGRKEYMI >ONIVA08G02090.1 pep chromosome:AWHD00000000:8:1552130:1553644:-1 gene:ONIVA08G02090 transcript:ONIVA08G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETWLFLLFSISLVAVLLATARRRRSSSIKARLPPGPSPLLFLAKFLRLRRSIFDLGPLLRDLHARHGPVISIRLFGTTLVFVADRRLAHRALVQGGSTFADRPPLPELGRLFTSDTRDINSSPYGPYWRLVRRNLASEALSPARVALFAPARRRARDVLVRGLRDRGGDGSRPVELRPLLRRAMFELLLYMSLGARLAPEALEEVERLELWMLRAFTSFPVFSFFPAITKRLFRNQWAAHVAVRRRVGEIYVPLINARRAGDGDGDDPPCYTDSLLQLRVAEEGDRPLTDDEIIALCSEFLNAGTDTTVTLVEWIMAELVNRPDIQAKVHDEVRRRPELTEADLQAMPYLKAVVLEGLRLHPPAQFLLPHGVQSDAEVGGYVVPRGAELNVWVAELGRDEVVWTAAREFMPERFMDGGEVEVDVTGSREITMMPFGVGRRMCPGYTVGTLHAEYLVGSLVRELEWLPETEGEAADMAEELDFTTVMKHPLRARVLPRPSSLY >ONIVA08G02080.1 pep chromosome:AWHD00000000:8:1550073:1551134:-1 gene:ONIVA08G02080 transcript:ONIVA08G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVNLVVDNAGGGGATAYKLYRVAASALFSSPPRRQAANVLDPDDVEDAAGGLPPPAITFHPSSLSVGPGNVDFLRLSGDDSLLALDVDGRGLLYNAASAAVRYMPDPCKPKMEPISFTTAGDSCLYVIERVPFSGNPGCFEALTYGLLPDDDDSLSSRMGWYWRSLPPPPFAKVGYDGDIHRHRHRREYDITASAVVNETELWVTAHGAGTFSFDTQAGEWRARGEWRMPFMGRGEYVEEHGGWFGLSSTPVKGLHLCSCRLCSYDVPVVRRWLDGLDRLPAAAPPKRSFLMEAYAVHLGSGRFCIARFMEEEEEEKDNISLHPFFRVAGEKSKNDRFLLFSFSFRARLQ >ONIVA08G02070.1 pep chromosome:AWHD00000000:8:1548297:1558007:1 gene:ONIVA08G02070 transcript:ONIVA08G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVALFLVTVLIASHGAMMQWAAEAETCNYPIYKCEGVCYKRGKCNACCKQLGFLGGMLCLCCHEDDDQPPPSSTHLPVAAIDHDHDHAADMP >ONIVA08G02060.1 pep chromosome:AWHD00000000:8:1539330:1547310:1 gene:ONIVA08G02060 transcript:ONIVA08G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPPPPPTGMGFLGLLSFRRSATAVASFDPAQDDELQVLHALQAHVADRLAALSHHPPLLSLAFLSKLLDAVLSSDDAFREVLGIGPVAAALSRPPADRLAADLLDRTVKTLDILNAVSLTLASLRGSHRAALTAASCLLAPPLHRAHFGRARRAISRLFPDAAKLAAAPSPSCRAGPARALSFSVSRNWSSGRHVHAMAAHLAPPPQSPTSASPGAGCGLGLALYTMSSVLVFSMWALVAAVPCQDRSSAATNPPVAPPKQVQWAAPMCALQERIADEWRKKDKKGSSSGSAAATGLLAEMQAVERAARELSSLLEEVAEEEEEEQLVMGATDERARDVVERAEALAAACRALEEGLAPLERQVRAVFHRVVASRGEVVRIMEHSTRSNSSATASAAAAAASGSGAPPHHHSF >ONIVA08G02060.2 pep chromosome:AWHD00000000:8:1539330:1547310:1 gene:ONIVA08G02060 transcript:ONIVA08G02060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPPPPPTGMGFLGLLSFRRSATAVASFDPAQDDELQVLHALQAHVADRLAALSHHPPLLSLAFLSKLLDAVLSSDDAFREVLGIGPVAAALSRPPADRLAADLLDRTVKTLDILNAVSLTLASLRGSHRAALTAASCLLAPPLHRAHFGRARRAISRLFPDAAKLAAAPSPSCRAGPARALSFSVSRNWSSGRHVHAMAAHLAPPPQSPTSASPGAGCGLGLALYTMSSVLVFSMWALVAAVPCQDRSSAATNPPVAPPKQVQWAAPMCALQERIADEWRKKDKKGSSSGSAAATGLLAEMQAVERAARELSSLLEEVAEEEEEEQLVMGATDERARDVVERAEALAAACRALEEGLAPLERQVRAVFHRVVASRGEVVRIMEHSTRSNSSATASAAAAAASGSGAPPHHHSF >ONIVA08G02050.1 pep chromosome:AWHD00000000:8:1526945:1535551:1 gene:ONIVA08G02050 transcript:ONIVA08G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKRGGGRPRKAPEDAAAKENGEKTNKEEETQASPEENGAGQTQASRTARKRRKGPVADPSSTELPPRKLRDRRNVPAVDYKENKHTKKMDGTSTMCHQCQRKDSGRVVRCRNGAEKNRRHRYCVKCIKRWYPHLTEDDFENCCPVCHNNCNCKTCLRTNVINKGDKEFADGKNKIKYSLRIARFLLPWLKQLHQEQMLEKSVEATIKGIDVTDLEVPQAQFNNDERIYCDNCRTSIVDFHRSCKSGHYDLCLSCCQELRQGLTTGTVVTCDTAVDVPEIEGKEGLQEGSSHSSAVGQGASDQQNDRLIGSAAPSEDCTPSLIWRAKSNGSIPCPPNAGGCGDCLLELRCLFKENFISDLLDKVNSVVNKETEQELGGSRCSCFTESGEVNNETSRKSACREDSNDNYIYCPTAREVQSGALDHFQQHWLNGQPVIVRDVLELTSGLSWEPMVMWRALREKRDKKEHERLSVIALDCLTWFEVDINIHMFFEGYSRGAVGSEDLPVLLKLKDWPQHSSFEERLPRHGAEFMSALPFREYTDPKSGPLNLAVKLPKHVKKPDLGPKTYIAYGVAQELGIGDSVTKIHCDMSDAVNILMHTDEVELKAERITAIEKKKESLRKDGKNLHVLRPDHDDDTSIALSESTEVPRSRGLENGSSIKQPAPNVAVMDQGGVHTDMVADEAEGNLSLSNGQSPNQSDAHNMDITFSKGETDHSICTINGGEEMGNGFGREDKCKSSHGVGSSESSDCQRRSRRRDACSSSATGEINETSMETNKFTISIEPKDDHPFVEGNQTEGGALWDIFRREDVSKLHDYLMKHAEEFRHYNYETVKQVSHPIHDQCFYLTNEHKRKLKEEHGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVQECIRLTEEFRLLPKGHRVNEDKLEVKKIALYALDQAIDDITGKSCNERTKDEGEEEASAPSVS >ONIVA08G02040.1 pep chromosome:AWHD00000000:8:1515272:1526233:-1 gene:ONIVA08G02040 transcript:ONIVA08G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRERDKNIEKKTISKDEALTARLTAEAEHPRVVVGEISDPHVPKALGLKQGYLPNIGCNQGQMILCHYYPPCPQPELAIGTTRHSDSSFLTVLLQDQTGGLQVLHNNRPG >ONIVA08G02030.1 pep chromosome:AWHD00000000:8:1513883:1515153:-1 gene:ONIVA08G02030 transcript:ONIVA08G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRKRKERLSPAVFRQIAGGWRVGCHTEVEHVAPVGRRRLVQVEVAVVLGGPRRVARVEPRLVAALAAATAVPATSAGYFATLKSFKTRIPLLWTERNVSAWEKAELVLAGGGRRARGGRLRCVEEGDGAEGGGQLGDEVEVGSGGDDASQGGDDVYGGIDGGRRMAALATCSVCARSPPPTVTAEEDRAGNTPSTLSPIFIPASTPPSSSPVAAGNPPPRARSPQPRSLACSWPAAGEHWLPLPSLPSSLSTIGVLWS >ONIVA08G02020.1 pep chromosome:AWHD00000000:8:1506818:1512163:-1 gene:ONIVA08G02020 transcript:ONIVA08G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPSRRLSLLDRCDDGSEDPVVPPRVPTILNLASHHRLDRSKFLPLIHPAPARFISSTGSSFPPYSEPPPSAPMLEVGSGFGLEDLYLFFPNHFFSMESISLPPCDVVDVPPVIPLALRSGRSNQGLPSKLPLIEGHRRSHSDIPFGYSQEHPQMPPVASVKPEVTTIEGHQLEDVAAAALKDMGIQAWSPSGSIDKEVKSSGAGSTTHHCRSLSVDSFMMGNLNFGVVGQQMSSPPLLTTEVNVGGGEPIGSTASPFAAELANVKFTEDEKKKIVMDKSLSKIVLTDPRRVKRILNNRASATKSKEKKMKHVGELQRKLQVLQSETTTLGAQVTVMQRNNNELVSQNNELKTRLQAMDQLAQLGDALTSRLAAEAQHLRAVVSEISDPNLPSGPHQQLSSDMDQLQQLLTQRQTSQIQQNQPQ >ONIVA08G02010.1 pep chromosome:AWHD00000000:8:1497634:1500816:-1 gene:ONIVA08G02010 transcript:ONIVA08G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISFVGRVLFASIFLLSAYQEFSEFGTDGGPAAKALKPKFNLFMQQVSKSLGMAVPHIDIKTVIAATMFLKGFGGLLFIISSSFGAFLLLLYLAFITPVVYDFYNYEMESSQFVQLFVKFSQNLALFGALLFFLGMKNSIPRRHSKRRAGKTKTN >ONIVA08G02000.1 pep chromosome:AWHD00000000:8:1485827:1486704:-1 gene:ONIVA08G02000 transcript:ONIVA08G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNPRAASSSSAAATSSPDAVRKEREENTVPAVYDASADIEDDYRLFLENVLVYENEDFVLEYEGKVVRYGGDEAVSAGGGSRGEDPVMEKEKEKEKEVDVVVISSSSDDESTKIVSEPNPLDRGVFQRKMKKVVDKEKMDEKNEAAAPLVKGKGVGKVIGMEVEDEQLVLALPKPGTTTSLTNPSKHHETEPYTTSNKDRHLSHVVVIGA >ONIVA08G01990.1 pep chromosome:AWHD00000000:8:1482812:1484382:-1 gene:ONIVA08G01990 transcript:ONIVA08G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCGGIGRGEGCRRRLGRREGASAAERTEGVGIGGGVAGGGRCSSPNSPLPQVYSSSAARNGGSGNGDTRGLWIRRWRREGAADPVTETDPVMSVFFLAVMVVVFFVVMEAAALPPSGGGGGTAPLPSLSLDHALPELADGRWRVNIGLAKMKMPPAKIIFAGGANVACKNHESALHFSKCYNTRLFWGNSKGRYFEYDKICY >ONIVA08G01980.1 pep chromosome:AWHD00000000:8:1481201:1481673:1 gene:ONIVA08G01980 transcript:ONIVA08G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTVKPPPEASSKSQASATSLSRRVPNTNGWPRVKGKHPHRRRLSACLCPILANLRGIDVPIDGPKGGHGAHNCIGSASSSFLAAMGRRKFVSPS >ONIVA08G01970.1 pep chromosome:AWHD00000000:8:1474372:1476491:-1 gene:ONIVA08G01970 transcript:ONIVA08G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSYFDSYPDLAEQIKETSYPNRLALLRGLFYWLMLSEKTNSSHGQNKTNVTKEEPSFKQGLIEVLSKPFCPREYDKLYGMATVREPSIRELRTRSGSKTYYSNSWMGKSYLVPTQVCVYVSCTYFYCDRHFSLFSLPYVSSKVMKYRSI >ONIVA08G01960.1 pep chromosome:AWHD00000000:8:1459061:1461106:1 gene:ONIVA08G01960 transcript:ONIVA08G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFAKQNCQVNLLTNNPSSNPRFIMEINSAATLTLVSLLTLPILLALLTRKSSSKKRKPPGPWNLPLIGGLLHLLRSHPQVALRELASKYGPVMFLRMGQIDTVVVSSPAAAQEVLRDKDVMFASRPSLLVSEIFCYDNLDVGFAPYGAYWRMLRKLCTVELLSTKVVRQLAPVRNDETLTLVRNIEAASSCHGGGGGKKPVTLARLLTTCTNTITAKAAFGQACGVELQEQFLTALDVGLKFSGGFCFGDLFPSLRFIDAMTGLRSRLWRARGQLDSVFDKIIAQCEEHQGDSLVNVLLRIRDRGDLEFPFGTTNIKAIILDMFTGGTETTSSAAEWVMSELMRNPEVMAKVQAEVRRVFDNKSPQDHEGLIDNLRYMKMVIKETMRLNPVLPLLMPHLCRETCDIGGYEVVEGTRVVINSWAMARSPEYWDDAEEFKPERFEDGMADYKGSRFEYLPFGTGRRRCPGDTFGMVLLELIVARLLYYFDWSLPAGMQPDDVDMDFVVTATTRRKNHLQLVASPYKLAPIQI >ONIVA08G01950.1 pep chromosome:AWHD00000000:8:1453746:1453944:-1 gene:ONIVA08G01950 transcript:ONIVA08G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRWPAAVEAKTLLRASLPDAGHKEVFTWAKSNNRGLLHVGDINIKPNLHLHTLVHLHA >ONIVA08G01940.1 pep chromosome:AWHD00000000:8:1452787:1453650:-1 gene:ONIVA08G01940 transcript:ONIVA08G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVCYFCFARRLYDMNENVFAFFCACYLMDGCYCAIMVPYDVGAFIQVNLLLLKRAFMRVNLLLLNEEMWEVGSKWKTSQSKFVTVKTWGNTRKIENLERKT >ONIVA08G01930.1 pep chromosome:AWHD00000000:8:1405477:1410211:1 gene:ONIVA08G01930 transcript:ONIVA08G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6W6] MAGPDKKKGKGKEKVVEDQEGGGTFLVRLVPRRESNFDEPVYLLFRWKDLYFEAFYTRGKWYRLKDHEEKLPPRSQLPYSEKPDEGIYVLMNTTSYGSIGGSSVVLGPRAWDHCHVSFLKADDLVRQSNKKPLTSGESPALAVPVVGISEPLRFPQLQKWIVENCTATASSDVMVPYEFTKHFTNWGDLSTALFSGKLTEKLKAYTLEQMAEMLGILMSGKREAVRSPPKKKNDHEAGSSRNRGKRKDN >ONIVA08G01920.1 pep chromosome:AWHD00000000:8:1393746:1395873:-1 gene:ONIVA08G01920 transcript:ONIVA08G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6W5] MEDAIPTRLEQIIIQEAPSNSKGKKLFSKVAERLYTPKGGTFLVKLKPTKSSPDEEIVTLLFRWKDLYFEAFHAKGKWYRMSDAEESLPPRSQLHYSKKEKEGVFNMNNISTSYNDVGGHNIEVGRRAFKNCHQSLLMAEELVRQKRLKEELGSGPLSLPVVTISESIRFPLLQRWVLGTFSAPPTAKSEKKVPKKFSCEFNEWGKYSRALFTQELPVGCELTFAQIAEKLRVLKYRAAWVPQPAQKHVKDV >ONIVA08G01910.1 pep chromosome:AWHD00000000:8:1380080:1380298:-1 gene:ONIVA08G01910 transcript:ONIVA08G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVAATKLATTAADCGACRDAGPRQEPRRHEGGTAPSSMLRLCVPVTPSSMMPATRASRCTSDPRHPGRI >ONIVA08G01900.1 pep chromosome:AWHD00000000:8:1379413:1380079:-1 gene:ONIVA08G01900 transcript:ONIVA08G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWRRWLATVAADGGGWTGLAVVVAVAVAMVADGGGWRRWWLWQWRCRRWYLWRRWLKAIIRLTVRRRRRRYVEEAGGSSGGEGGVRVRACRRQREELWAGIAGESLAEPFGRLTTATPFGVVPLLGGIHTPLLSLPYSPGENLASVPNERWRRSTSHPPWGHRFGETSSCKVIVNGLCIGFELQW >ONIVA08G01890.1 pep chromosome:AWHD00000000:8:1377314:1378801:-1 gene:ONIVA08G01890 transcript:ONIVA08G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQRRHPSVAAELEGTLLISGDLFPYFLLVALEAGGPLRAAVLLAAYPVAALLGVALPDDDLAVRVMTFVSTAGLAVADVAAVARATLPRFFLADLSDAAFRAFARRDAAERYVVTRLPTAMVEPFVREYVAEGARVVGAELRVVGGRFTGAVVNGDRSLGALQAVLGRGRRVIDVGLCSGDGAAKRQPAFMKICQERHVVSTPEKAPAAPLPRSEYLRPLIFHDGRLVGRPDPLACLAAALWLPLGAALAVTRILIAFLPYSVGLLLAAATGFQIRAHLGGAPPRWRGGTLYACNHRTLLDPAVLSTVVHRKVTAVTYSLSGLSEMIAPIPTVRLTRDRGRDRVIMQSVLAGGDLAVCPEGTTCREPYLLRFSPLFAEIAGEVTPVAVRAGGAMFHGTTVRGYKGMDSFFFLMNPAPWYHLQLLDPVPSSSAAADGDGGGDGGGESSRDVANRVQRAIGDALGFECTALTRRDKYRMIAGHDGVDMRGNARL >ONIVA08G01880.1 pep chromosome:AWHD00000000:8:1375443:1376742:1 gene:ONIVA08G01880 transcript:ONIVA08G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHTTHTRKKKKKKTTAKMKGAAAVAMVVVAGCLLAAAAVSVVDGAVTCGDVDASLLPCVAYLTGKAAAPSGDCCAGVRHLRTLPVGTAERRFACDCVKKAAARFKGLNGDAIRDLPAKCAAPLPFPLSLDFDCNTIP >ONIVA08G01870.1 pep chromosome:AWHD00000000:8:1369510:1375336:1 gene:ONIVA08G01870 transcript:ONIVA08G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLPRAPTTAALAAGVPHRFPAPEGEPPPPRGPNRAHLNALLTSYGRRGRLRDAQLLFDQMPSRDVISWTALLTAYADGGDLASARLVFDDMPRRNAPSWNALLSVYLRAARPRAAHALFYKMPAKNAVSYGAIISGLAKAEMLHEAELVYEEMPWQWRDPVGSNALMAGYLRVGELAMALRVFEGMTVRDVISWSAMVDGLCKHGSVSEARRVFDAMPERNVVSWTSMIRGYVKRGMCRDGLLLFLNMRREGVQVNTTTLSVALDACAAASLAREGIQIHNLIISMGFELDIFLGDSIIIMYSRFGCMVDAKRAFDCMQQKDIVSWNSLITGYVQHDMVEEAHVLFKLMHQKDAVSWTSMVVGFANRGWMRESVELFEQMPVKDEVAWTAIISSFITNGDYLSAVRWFCRMSQEGCKPNTIAFSCLLSALASLAMLNQGRQAHAYSINMGWVFDSAVHTSLVSMYAKCGRLAEAYHVFSSISNPSLIAINSMITAFVQHGFVEDALKLFTKMQNAGYKPNHVTFLGILTGCARAGFVQQGYNYFESMRPVYGVEPNPEHYTCMVDLLGRAGLLAEALEMINSMPQNDHSDAWAALLSASSLHSNLAFAKIAAQKLLEKDPYDATAYTVLSRMFSSAGMEDEEMLKVVQLSNLAMSWKKLNCMRRRLPPGPPRWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTKRLESFAAHRALEAEHLCQFVWAKAQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGLQSAGPGEAMEFMHITHELFWLLGLIYLGDYLPAWRWLDPYGCEKKMREVEKKVDDFHQKIIDEHRKAREAKKSASLDDDNKEDMDFVDVLLSLPGENGKEHMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRKIQEELDGVVGRGRMVAESDLGQLTYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYDIPARTRIFINTHALGRNTRIWDDVDAFRPERHLPAAADGGRVEISHLPDFKILPFSAGKRKCPGAPLGVILVLMALARLFHCFDWSPPDGLRPDDIDTQEVYGMTMPKAKPLVAVATPRLPPQMYGRHGKQV >ONIVA08G01860.1 pep chromosome:AWHD00000000:8:1363925:1368871:1 gene:ONIVA08G01860 transcript:ONIVA08G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G21060) TAIR;Acc:AT4G21060] MARRWRPSHLVLVAGAAYLLLISLKFRRVLDLATADLAATDAAFSSPSSSDHLPPLPVSTTTTTSTSPGNGNGNATLFQVQPFWHRYDRVSLPDIVARNRSALDRMADDAWALGLTAWEDAAAFAGDPWELAAVDTATTDKCPSAVSVRARGRVVFLPCGLAAGSSVTVVGTPRAAHKEYVPQLARMRQGDGTVLVSQFMVELQGLRAVDGEDPPRILHLNPRLRGDWSQRPIIEHNTCYRMQWGSAQRCDGSPPEDNDDKVDGFTKCEKWIRDDVVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEDRLFVLTMQAGVEGFHIYVGGRHVTSFPYRPGFTLEDATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLEMSEKWRTRPLPKDPVFLFIGILSASNHFAERMAVRKTWMQSSEVRSSKVVARFFVALILYWSSKFHSAWLYGFSLCVEWDDGSMNRKNNSRKEVNVMLKREAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLAAVHIMKCDDDTFVRVDVVVRHIKLNNGGRPLYMGNLNLLHRPLRMGKWTVTTEEWPEDIYPPYANGPGYVISGDIAKFVVSQHANQSLRLFKMEDVSMGLWVEKFNSTSPVKYSHSWKFCQYGCLENYYTAHYQSPRQMLCLWDKLVQGRASCCNYR >ONIVA08G01850.1 pep chromosome:AWHD00000000:8:1353005:1358670:-1 gene:ONIVA08G01850 transcript:ONIVA08G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQKRMSSTMACLKLGSRADVFRKQGQDWYCTTGLPSDITVTVGEQSFHLHKFPLLSKSGLLERCIREKIENGDDSCVIDLSDIPGGAKAFELTAKFCYGVKFEMTASNVVHLRCAAEYLEMTEEIAEGNLIAQTENFLTQTVLRSWKDSIKALHTCDDILDLAEKLQIVKRCIDSVATRSCTDPDLFGWPVVQYGGPMQSPGGSVLWNGISTGARPRHSSPDWWYDDVSCLSLPLYKKVISAMEYRGINQDIIVGSLNHYAKRRLPGLNRRKSISDVSSCLSISSLTSIPSEEEQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIILKASPSCVSNLERRIGMQLDKATLEDLLIPNISESVETLYDVDCVHRILDHFLAVDQETGGASPGLGEDAQMLASPSLMPITMVAKLIDGYLAEVAPDVNLKLPKFRSLAAAIPDYARPIDDGLYRAIDIYLKAHPHLSESEKEELCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMVSENLEGGSRQLIPTISGEQYRPGWPLASRENQALREGMDNMKQRVADLEKECSTMRDEIERLGRSRSTGKGRFSLNMKPQICSTKEAIPTTATTTATASEEKMAVVKGDTTPRLKLSRHKKKLSIEA >ONIVA08G01850.2 pep chromosome:AWHD00000000:8:1353005:1357906:-1 gene:ONIVA08G01850 transcript:ONIVA08G01850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKLGSRADVFRKQGQDWYCTTGLPSDITVTVGEQSFHLHKFPLLSKSGLLERCIREKIENGDDSCVIDLSDIPGGAKAFELTAKFCYGVKFEMTASNVVHLRCAAEYLEMTEEIAEGNLIAQTENFLTQTVLRSWKDSIKALHTCDDILDLAEKLQIVKRCIDSVATRSCTDPDLFGWPVVQYGGPMQSPGGSVLWNGISTGARPRHSSPDWWYDDVSCLSLPLYKKVISAMEYRGINQDIIVGSLNHYAKRRLPGLNRRKSISDVSSCLSISSLTSIPSEEEQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIILKASPSCVSNLERRIGMQLDKATLEDLLIPNISESVETLYDVDCVHRILDHFLAVDQETGGASPGLGEDAQMLASPSLMPITMVAKLIDGYLAEVAPDVNLKLPKFRSLAAAIPDYARPIDDGLYRAIDIYLKAHPHLSESEKEELCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMVSENLEGGSRQLIPTISGEQYRPGWPLASRENQALREGMDNMKQRVADLEKECSTMRDEIERLGRSRSTGKGRFSLNMKPQICSTKEAIPTTATTTATASEEKMAVVKGDTTPRLKLSRHKKKLSIEA >ONIVA08G01840.1 pep chromosome:AWHD00000000:8:1347696:1350140:-1 gene:ONIVA08G01840 transcript:ONIVA08G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0 [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6V6] MAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKEFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEDDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAADSGAAAPSAAKEEEKKEEPEEESDGDLGMSLFD >ONIVA08G01830.1 pep chromosome:AWHD00000000:8:1343780:1346970:-1 gene:ONIVA08G01830 transcript:ONIVA08G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-activating enzyme 14 [Source:Projected from Arabidopsis thaliana (AT1G30520) TAIR;Acc:AT1G30520] MSQQHGRGHIAHCLGGALAGRDTVAVSGNCRLTGAGLADGARRLAAALSNLGVRRGHVVAVVAFNSIEYIELFLAVTYIGGIIAPLNYRWSFEEASQALELVQPTVFIFDGSYSSWALRLKESNSLTSVNLYLFLGNLCSISQAANFVSVVSVEQIKRSSGGTTRAVEPVSAPNDVALICFTSGTTGRPKGVAISHTSLIIQSLAKIAIVGYGEDDIYLHTAPLCHIGGISSCLAILMAGGCHVLIPKFDVKSAFDAILEHRVTSFITVPAIMADLLSYARKQKILNHGMTVTKILNGGGGLSSELITGASHLFPNATIFSAYGMTEACSSLTFMVLTRPKIQEPKDQLGSSSEGVCVGKPAPHIEIQINRNGSNSSSSSPIGNILTRGLHTMSGYWVNNSIDTSDSVRNGWLDTGDIGWVDKTGYLWLMGRQKGRIKTGGENVYPEEVESVLSQHPGLAKAVVIGMPDSRLGEKIVACVSIRDGWNWVDARAVHKGEGREVSAQMLQDHCRTNKLSRFKVPRIYYQWSKPFPVTTTGKIRREQLKAEILASMKLHSNL >ONIVA08G01820.1 pep chromosome:AWHD00000000:8:1337214:1342132:-1 gene:ONIVA08G01820 transcript:ONIVA08G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGRRLPPWTSPRGAAPRWSPCTPAGADGSGRAAHATPPASGGCSSHVTPPASGGGGCYGYRVTPPTSGGCSRPPRAPLSSVDSPYVRAKQAQVIEKDPNKAVPLFWAAINSGDRIESALKDMATVLKQANRAEEAIEAIRSFRDRCPNEAQESLDNILLDLYKKCGRTKEQIEMLTLKLRIVDEELASGRWKTKLSKSHGRVVYLSLRDEKARLLGNLAWAHMQSENYDEAEMLYRQALAIEADYNKECNLAICLIKTGKVAEAKYLLQSIPDNCSDESHVRSLARAREMLMELESPTLHSPITQMKSKESLIWLAIDAENLGHLQPQVSSTALTQLKSEEPHISVAADAEKQEDCNSQVFPSPITQMKREEPESLIATSGEKNEKCLNEYQDLSRLFNDAATPQSLLEKLRKRLVKEDTLNISIQHQVQIPSFVECLPNSGGSTDAGENTRPEGKALVNGVRKTWADMVEEDERQLGDVSSTIGMDTTKRNVSCKHANEEMYRTPSFSQESSALKRSSVDDHPQSSSADSWRHSDSKISTDENVNMKFVRTAPQWRQQKVQDYSNRVSQRLDTSHLSDRAEGTEQPPWRSSTAQRSLFPDWKSKCERYGHGYVPFCDNEHFQGSSHFEATNRWPKNARPWRPQNRLWVFQEITNEINQKQTRALWLTIYTTLLFGTTALDDTAWFLVECFHLLAGHLLLHDGLQDLSVAPPSGQFAEMAMQMHPATGLSTHWSQVDSPPLKLREGANMVVLLQDQMLPMAFSIANVCEDCIMAYMSASASSVLFYTSCLDMQQLPYTGHVITINKSETA >ONIVA08G01810.1 pep chromosome:AWHD00000000:8:1313546:1315325:-1 gene:ONIVA08G01810 transcript:ONIVA08G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELICSGCPTLLFYNRGASNIRCPSCNRLNSTRSANQIAHLTCGQCRTTLMHPPGASTVQCATCRYVNHVRDARPQTVLVENPKTLDDKGKLVSNVVVGVTSWKR >ONIVA08G01800.1 pep chromosome:AWHD00000000:8:1308532:1311145:-1 gene:ONIVA08G01800 transcript:ONIVA08G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter CorA-like family protein [Source:Projected from Arabidopsis thaliana (AT2G04305) TAIR;Acc:AT2G04305] MAAAAGAGEPSPYAEAAGSDLANARAPSPVVGKHLPSGAVPRHAYVFDGEGGFADAAWDVAAAAPGAFTWHHIELPRQQPGGAAAKPLHHAQALIELLCPPLTLQEILAFVATGPHCGVVDGGGGGGAGALLLRVSSPGPVGSAFALRLAARVTDSSVVTVSVGGVPRLAFGTTQASLLSEVPLGVTASLSDEGHGGGRAVEGGVVIEERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLGTHVDHVHDIVTRLEMELDSIELHLDKGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGDQVFPRVKEKCASKSWFASEDIVALEDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTEQKNPANLDGFFNVMLICVVILLILLLCFLFPSLYSHVSAWRTRRALARSSSQNKRHLKLFKGHKDGYMRL >ONIVA08G01790.1 pep chromosome:AWHD00000000:8:1304828:1307539:1 gene:ONIVA08G01790 transcript:ONIVA08G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-associated protein-related [Source:Projected from Arabidopsis thaliana (AT1G79070) TAIR;Acc:AT1G79070] MDGSDPPAAASPSAAAAAGDDDDERAAAPAAQPERCEALAGAIAGVLGGALQEHEACAAATARSQGELAAAVDRLNGELDKLLENAPSPVIMQQATRICSIRKRVLALNMLLRSIQRRIDNIDRIVSTGVTSDHSSHVQLHRQN >ONIVA08G01790.2 pep chromosome:AWHD00000000:8:1304828:1307451:1 gene:ONIVA08G01790 transcript:ONIVA08G01790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-associated protein-related [Source:Projected from Arabidopsis thaliana (AT1G79070) TAIR;Acc:AT1G79070] MDGSDPPAAASPSAAAAAGDDDDERAAAPAAQPERCEALAGAIAGVLGGALQEHEACAAATARSQGELAAAVDRLNGELDKLLENAPSPVIMQQATRICSIRKRVLALNMLLRSIQRRIDNIDRIVSTGVTSDWEIIEMCLV >ONIVA08G01790.3 pep chromosome:AWHD00000000:8:1304828:1307629:1 gene:ONIVA08G01790 transcript:ONIVA08G01790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-associated protein-related [Source:Projected from Arabidopsis thaliana (AT1G79070) TAIR;Acc:AT1G79070] MDGSDPPAAASPSAAAAAGDDDDERAAAPAAQPERCEALAGAIAGVLGGALQEHEACAAATARSQGELAAAVDRLNGELDKLLENAPSPVIMQQATRICSIRKRVLALNMLLRSIQRRIDNIDRIVSTGVTSDHSSHVQLHRQN >ONIVA08G01790.4 pep chromosome:AWHD00000000:8:1304828:1307646:1 gene:ONIVA08G01790 transcript:ONIVA08G01790.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-associated protein-related [Source:Projected from Arabidopsis thaliana (AT1G79070) TAIR;Acc:AT1G79070] MDGSDPPAAASPSAAAAAGDDDDERAAAPAAQPERCEALAGAIAGVLGGALQEHEACAAATARSQGELAAAVDRLNGELDKLLENAPSPVIMQQATRICSIRKRVLALNMLLRSIQRRIDNIDRIVSTGVTSASMNN >ONIVA08G01780.1 pep chromosome:AWHD00000000:8:1301729:1304042:1 gene:ONIVA08G01780 transcript:ONIVA08G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRITPNFDRKKGKSLYFSGFWPKLSQPRPNNTGCSHWAKTGRSGPSPRSRSLSTILGFASPEAPIYTTTRRLSPTSSLSHHRRRRRRRRRRSRRGGDHEGQVEEEAHEEAEEEAPKDEAEI >ONIVA08G01770.1 pep chromosome:AWHD00000000:8:1293704:1299081:1 gene:ONIVA08G01770 transcript:ONIVA08G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLNRRASQTRGGMEYFDARRKPHNVGKVIAALVLTTLCIFVLKQSPGFGGSSVFSRHEPGVTHVLVTGGAGYIGSHASLRLLKDNYRVTIVVNKIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPIVETTRQLPINPYGKAKKMAEDIILDFTKGRKDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYPTTDGTCIRDYIDVTDLVDAHVKALNKAEPSKVGIYNVGTGRGRSVKEFVDACKKATGVNIKIEYLSRRPGDYAEVYSDPTKINTELNWTAQYTDLKESLSVAWRWQKSHPRGYGSN >ONIVA08G01760.1 pep chromosome:AWHD00000000:8:1280649:1285106:-1 gene:ONIVA08G01760 transcript:ONIVA08G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRQNRYKMKEDIMFDNQTKPCRSRVDSKSNINVLKPKFGSSWGSQIVKGFTADKKTKKTAAAASKKPPLASVENVNTSNQQIPYHSRVKRSLMGDFPCSPAGAQVHPHVFDCHGIRSPASHDLFLELDHLREQLRESKERELALQSELRQCRENPRVSELEKDLDSRKNEIDRLVRLKTSLEVEKTSLSEQLSALSCMVEQHEENARLDGHGNRVSSMDGGNASSSENLEIEVVELRRLNKELQFQKRNLAIKLSSAESKLAVIEKNAESEIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELSNSDQAARAMTDADYNDEMACHVDDCDGDARLDQNSSDHKKFSIAERIKQWSQNDKNCEASKKEALLDRAWIEAAECRSPTRRHSLGGPKGCAQEFSVVKRRQSDTFICLPEATDEAISCNKDETIREKRELLVDKYDFGRSESSRFLLGKSEVCKSQSMDVEKRALRIPNPPPRPSVSVPHSGPSNGSAANPPKPPPPPPPPKFSTRNAGVMKRAPQVAELYHSLMRRDSKKDTSGSGICETANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTNAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLESEVSNYKDDPRLPCDIALKKMVTISEKTERSVYNLLRTRDATMRQCKEFNIPTDWMLDNNLIGKIKFSSVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >ONIVA08G01750.1 pep chromosome:AWHD00000000:8:1276383:1279603:-1 gene:ONIVA08G01750 transcript:ONIVA08G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPASSGKAASDSSAPAVAVANGNGTTPQKLPPASAFDMPKPNLRGLNKPKCIQCGNVARSRCPFQCCKSCCYKAQNPCHIHVLKQNNTLPDKPPPSTAPLSEQPSANIPSTGSSRLASLQRLPHHFLNSLRTKKSLAKKDVVGINKWRFEKLKEHIQGDIDAENEAYERYTQNVGLLEETFGLTEDAADEPEPEATSSEERMETLVSEAKVRLKSDSANADGFRDRIATILDQKIKELLESKSTYEDDNPPDQNPDDHPKPVKLSIKQQMERGAKMTELLAKMIRAQSEVDLKICSGIAAQLFGKKNELSNQESVASVTIPYSFPKLWTRVEIDDAMMCKINGEFSSSTEVMQL >ONIVA08G01740.1 pep chromosome:AWHD00000000:8:1275650:1276162:1 gene:ONIVA08G01740 transcript:ONIVA08G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTASTCTTPIAQGAHAFTVYQHGLVKRTTAAGEFVRSGTFAVGGYDWAVRYYPNGDSAAEAACRQPSLVLELMTADAVASAVYELKAVDQVTGERLVLREDKTAAFDTRNGQFSCSGVQFVETRAFLAGDFLSIECIVTIFGEPRVSKTNKMPQPPPPPPAAETSDVS >ONIVA08G01730.1 pep chromosome:AWHD00000000:8:1273808:1274620:1 gene:ONIVA08G01730 transcript:ONIVA08G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTSRVFSQAFPAWGHLRFMRRRELEEMGFVRDDRLTIECVVNVVLDPVVTAGDAPELDHPPSNILGHLAGLLGDKGTADVTLVVRGEEFAAHRAVLAMRSPVFKAALYGPMKESTDANAGRVAIDGVEPAVFRALLHFIYTDTTAAMDDLDDDDDTAQMIMHLLEAADRYDVERLKLICELMLCKSIAVDTVAATLAMADQHHCQKLKEACIEFLATSKKMEGVMESQGYKKMKLSCPSFMVDLWEIIGRKMTSYSVILNIYARDKD >ONIVA08G01720.1 pep chromosome:AWHD00000000:8:1272520:1273110:-1 gene:ONIVA08G01720 transcript:ONIVA08G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERVKGTVKWFDATKGFGFITPDDGGEDLFVHQSSLKSDGYRSLNDGDVVEFSVGSGNDGRTKAVDVTAPGGGALSGGSRPSGGGDRGYGGGGGGGRYGGDRGYGGGGGGYGGGDRGYGGGGGYGGGGGGSRACYKCGEEGHMARDCSQGGGGGGGYGGGGGGYRGGGGGGGGGGCYNCGETGHIARECPSKTY >ONIVA08G01710.1 pep chromosome:AWHD00000000:8:1259276:1264851:-1 gene:ONIVA08G01710 transcript:ONIVA08G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLPDRRHRCSIHLVGNDGGGGLEWAAFQRIEQEESQHHESQGFSYHYGTQNVGPLLVSAATRVIVLVPNLSPE >ONIVA08G01700.1 pep chromosome:AWHD00000000:8:1256072:1259192:1 gene:ONIVA08G01700 transcript:ONIVA08G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSISTCTTETAKGIHRFEIFSYSMMNTEAEDDSIRSGVFNVGGFDWALLYYPDGIDDDSKGYIGVYLELISKNGEPWALVDVNLINQLQPGQPRQLFTKTDVPTPFRSSSFQESTLGSLKCMKRSDIESTPGFIVNDCIVIECNVTVIYEPKVSKTRALCNAETSSALREIEVPPMEISSDFAKMLKDGVGADVTFRVGEDTFRAHRAVLAARSPVFHAQLCGPMKEKKETQMQEITIQDMQPSVFEAFLYFIYTDCVPRMDDLGNGEKMHLMMHLLKAGDRYGLERLRIMCERFLATNLDTEHVSAILGLADLLDLKKLKEACMEFMVPSERMDAVAASQGYQQLKRAFPSLAFEVWERRSRIELVTMETAPSSSMSPASGTYVLDVHGFSGLRRQHCSGGGCIVSPTFTVAGLEWAIRYHPEGDADEVTDDVAVFVVLVTKDATAWAHVEFRLLDQAAGEMVTFFGEKDPILFDSGSEDLSTWGTGELAARSFLDGSPYVAGDCLKIECAVDVCRDRLTFHHDTPPSGEPFRQYPADDEPADVTFKIAGETFPAHVSVLAARAPGLLNNTTSQAATITIDDDDDDTPAAAAAFGALLHFAYTDTLPVASGLDGAGHTALLGRLLVAAGRYGMARLGAICERAMCRSLDAGTAADTLAMADGTASTR >ONIVA08G01690.1 pep chromosome:AWHD00000000:8:1251761:1253811:-1 gene:ONIVA08G01690 transcript:ONIVA08G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLPRTRAVIAVKKLWRPAAAQAPTPAAAVVVDKDKPNPPCPISRGQPGAGGRQPAAAAPAPRALLATAVARSSGKREAVSRGVGADAWPLDLGIARDFGAVRRRSEDVGGRRRQSRHGACAGARSGGASVRLRAYGLPCWARPEPEDPALLHVNDLFMYVDYSKKCKNFELVTNQPTNHFL >ONIVA08G01680.1 pep chromosome:AWHD00000000:8:1248408:1250253:-1 gene:ONIVA08G01680 transcript:ONIVA08G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding KESNQSTNHLSAAGVWSNIQRSFESGKAHAEGEYQARRAVEAVTDAAGAIHQTGEQVAQAAQNAATATKGSAVQAGIHPYENLNISFD >ONIVA08G01670.1 pep chromosome:AWHD00000000:8:1245342:1248021:1 gene:ONIVA08G01670 transcript:ONIVA08G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6T6] MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPKRFKSNFREGTEATPRKRAAVAN >ONIVA08G01670.2 pep chromosome:AWHD00000000:8:1245342:1247701:1 gene:ONIVA08G01670 transcript:ONIVA08G01670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6T6] MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPKRFKSNFREGTEATPRKRAAVAN >ONIVA08G01660.1 pep chromosome:AWHD00000000:8:1236289:1240714:-1 gene:ONIVA08G01660 transcript:ONIVA08G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13180) TAIR;Acc:AT1G13180] MDAATRPAVVIDNGTGYSKLGFSGNSEPCFTIPTVVAVNESFLDQSEMCSSANWIAQYNAGVMADLDFFIGDEALSLFKSSGLYTRKHPINHGQVDDWDTMERFWQQCIFNYLRCNPEEHYFLLTDSPVSTPESRECAGEIMFETFNVPGLYISVQSVLSLSAGYAFLKSISDEDSVSVSDMTGVVVDIGDGAPHVVPVVNGYVIGSSIKSFPFSGSDVTQFVLQLLQERGELIAPEDSLDIARRVKEMYCYTSSDIVKEFKKHDKKPDKYIKHWSAIKPKTGVPYTIDIGYERFLGPEIFFHPEIYSADFSTPLPELIDSCVQSAPIDTRRDLYKNIVLSGGSTMFKDFHKRLQNDIKKIVDERVAATNARHHVEVKPVEVNVVAHPIQSYAAWFGGSVAASNPEFYESCHTKEEYEEHGASICRTSTVFKGMY >ONIVA08G01650.1 pep chromosome:AWHD00000000:8:1232548:1238299:1 gene:ONIVA08G01650 transcript:ONIVA08G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3537) [Source:Projected from Arabidopsis thaliana (AT1G67570) TAIR;Acc:AT1G67570] MAPSNRLAGAAAAAAAAPPPPPPPPPPLPPRGSPAPSENHTAISAPLLQPAGAGAGDAAPLARWLRRLEAFLSAAGLAASSPLGKAGAASALAVLGVALPALAVALSPCRGRGRGCDEFEVEVFEVCVLLSQAAAAAVALACVSRKMAMYGLRKFLFVDPELGMRIRFQKEYVAKIKDFFSTILWWILPCFVVKVTREMFRFSHIFQESTWRSCAVLFASIMSWMYLTTIILTSCMLFNLVCNLQVIHFDDYGKLLEQDSDPLVYLKEHLQLRHNLSKISHRFRMFLLLLFFSVTASQFAILFKTTAYTGPINFTNGGDIAVSSVVQVVGLVLCLHAAAKISHRAQNIASLASRWHALVTCSSDSTYVSTPNSSGNLVPFPAHMFLRDFSESDLESLESGSVQGNSHGTAQLASYMSSYHKRESLVLYLLGNPGGITIFGWIVDRTFLNTILMLELTLVLFVLSKTVVVPAKTLVLDYIRFP >ONIVA08G01640.1 pep chromosome:AWHD00000000:8:1226911:1231130:1 gene:ONIVA08G01640 transcript:ONIVA08G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPLSPRLAVPLAIALLLALGLVADFLWSSSSSSGTSGRGQLASASRTATAKKSGRARGKKPAAEGYLNATYADIPAPRWDWEEMPAAPVPRLDGYSVQIGDLLYVFAGYENLDHVHSHVDVYNFTSNTWTGRFDMPKEMANSHLGIATDGRYIYALTGQFGPQCRSPINRNFVVDTVTKEWHELPPLPVPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALENEWRTEIAIPRGGPHRACIVANDKLFVIGGQEGDFMAKPGSPIFKCVRRHEVVYGDVYMLDDGNKWKQLSPLPKPNSHIECAWVIVNNSVIIVGGTTEKHPITKKMILVGEVFRFDLDTLTWSVIGRMPFRIKTALAGYWDGWLYFTSGQRDRGPDNPAPKKVVGCTWRTKLHL >ONIVA08G01640.2 pep chromosome:AWHD00000000:8:1226911:1229850:1 gene:ONIVA08G01640 transcript:ONIVA08G01640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPLSPRLAVPLAIALLLALGLVADFLWSSSSSSGTSGRGQLASASRTATAKKSGRARGKKPAAEGYLNATYADIPAPRWDWEEMPAAPVPRLDGYSVQIGDLLYVFAGYENLDHVHSHVDVYNFTSNTWTGRFDMPKEMANSHLGIATDGRYIYALTGQFGPQCRSPINRNFVVDTVTKEWHELPPLPVPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALENEWRTEIAIPRGGPHRACIVANDKLFVIGGQEGDFMAKPGSPIFKCVRRHEVVYGDVYMLDDGNKWKQLSPLPKPNSHIECAWVIVNNSVIIVGGTTEKHPITKKMILVGEVFRFDLDTLTWSVIGRMPFRIKTALAGYWDGWLYFTSGQRDRGPDNPAPKKVVGCTWRTKLHL >ONIVA08G01630.1 pep chromosome:AWHD00000000:8:1223461:1225830:1 gene:ONIVA08G01630 transcript:ONIVA08G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTASMAASLLIPLCLCILLLRGASAVSDQQEAGRRDSCDRIDRRIRALEPTRRVDSEAGHTELYDDRDGQLPCAGVAAARITIQRNGLLLPSYSNSPRLAYIVHGRGIVGVVIPGCPETYQETSSSSSQEQEDDERRRRGRRGDEERRRSSEGEEEEEEEETSRRSFEQSIRDEHQRITTVRQGDVVAIPAGAPFWVHNDGDSPLVAISVHDVSNSANQLDQTSRRFRLAGGQARSEGRQRYGEGESSESETERGGEESYNILSGFDTELLAESMRVSPDIARKLQGRSDKRGNIVRVRRGGLRMLRPATERVTDEEMMRGANAAAAAGNGIDEAVCLMKLRENVADPMKADLYTPNGGRITVLNSQKLPVLKLIKMSVNRGVMRRNAILAPHWNINAHAAVYATSGSARLQVVSSEGRRVFDGELRRGQMVVVPQSFAVAGRAGDEGFAWVSFQTSDGAMNAPVVGKSSALRGMPADVLANAFGVSREEARMVKFGRGQELAIFSPKSGAAARRRRSPGHRDDGVLAAPA >ONIVA08G01620.1 pep chromosome:AWHD00000000:8:1215670:1216428:-1 gene:ONIVA08G01620 transcript:ONIVA08G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSAGWPLSPSPSSTRGRRRVSVKPWRLWWRRCAGVAAVIQSKIHRRAVRWPGGHGGGRRRREAATASTREREGWCHHRSFAPVYVDELYSHPKTHHVAVHEAQAQQPNTTAAKTNAGAASGKARAVAAVAANNNNNAVAATNASAMFAAKNAAADAATNAAAGARGKGRVGGGKKAAAAGAATNGGGAKAARGGVRSLLMSPLRGGGACGMGEVDVRAEVFIRKFREEMRLQNQKSAEEFQAMLARGL >ONIVA08G01610.1 pep chromosome:AWHD00000000:8:1211413:1216509:1 gene:ONIVA08G01610 transcript:ONIVA08G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNADKPSLKHQRNWKSDPNYTKSWYDRGAKLFQANKYRKGACENCGAMTHDKKSCMERPRSVGAKWTNINIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDGEEGDENVASEEEDEEDGLKIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLFKSFKIKKEKLKSENKDKIMEKYGNAASEEPIPRELLLGQSEKEIEYDRTGRIIKGQDVALPKSKYEEDVFINNHTTVWGSWWKDHQWGYKCCKQTIRNSYCTGLAGIEAAEASADLMKANMARKEAAEDEPVRHEEKRLATWGTDVPNDIVLDKKLLDEALKKEGARRKEEMDERKRKYNVKWNDEVTAEDMEAYRMKRIHHDDPMRDFLH >ONIVA08G01600.1 pep chromosome:AWHD00000000:8:1207629:1211185:-1 gene:ONIVA08G01600 transcript:ONIVA08G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAAAAAPAAVPALVSPLSRRAFFPLPRRAGPKSLRVFASAARRRGLVVVAADAAAAAGGAEFSDEENPYEILGITPLDSFDHMKLAYKRKHKEADENGDQYYLSKVLYCAEILEKAYDTVMMQQLQYRKKGVTYGSVQVSKDIKYADDQPIVPWGPRSSKSTVKDMRINLGISAAIVVWIAIMGNADWKPLQFLCFAFFYRILQKLRATEPPITPIYNEYGEVEGRGIRMAKRVVRALGLIFGCVFAASLGYTAAVNVIEFSWQYTPRIVYYYQELIVTAATAALLYITASYYR >ONIVA08G01590.1 pep chromosome:AWHD00000000:8:1204392:1207746:1 gene:ONIVA08G01590 transcript:ONIVA08G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATKCQQLAGPTCQLGPDQFLFPSALASTFRAATPTNPHLHLHTQQTTPTSGRRSPPLPAPRRAPDLPEASRTRPLHPMGDNASASASVLAPPVGAGEGDAPSFSYLAALGNCPLVAAVLAGAIAQFIKVLTTWYKENRWDAKQLVGSGGMPSSHSATVVALAVAVGLQEGFGSSLFATAAIFASVVMYDAFGVRLHAGKQAEVLNQIVYELPSEHPLAETRPLRELLGHTPAQVFAGGVLGFAVATFTGMIAGLGNTGSLP >ONIVA08G01580.1 pep chromosome:AWHD00000000:8:1200347:1200730:-1 gene:ONIVA08G01580 transcript:ONIVA08G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSAETEERERERERGEAVSLAASSSSSSLAALRLPPLEEGESSRVSRAAPAPGGGRPSSVAAVE >ONIVA08G01570.1 pep chromosome:AWHD00000000:8:1194299:1200236:-1 gene:ONIVA08G01570 transcript:ONIVA08G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTQKFSKGHPLGFVPDYRHGVETVGESKGCIGSPERIVSGSSCAVPKRKCGILKTEDGGELPGFNVPRDVFMLPRMSPSDKKDLEMRLRKELEQVKALQSRLFSRPAAVSMNGGAASASGDVVAKRNDAKLKRSNSVQSGRGVPPSAATPVVRSANYAEAFKQCGNLLKNLFKHQWAGPFLAPVDVVQLNIPDYFDIIKKPMDLGTIEKKLNAGMYSTPWDFAADVRLTFDNAVTYNPVGNDVNLMGKTLKCIFETRWKFIEKKLPSLDDKFSVRREPSQKGAVKKDTIEKDYPSEKKHSTKGVHKKDMFKKEDASTKPALQPKKRKASPLVQGSLEIPVVEADKVIDDAQVVQPSKVIDDAQVVQAFKVIDDAQVVQASKEIMTDRQKYELSVRLQSYGGLIPNHVVDFIRSHLPDDNEGDEDELELDMNVLSDSTLFELQKLLDDYDRVNQSGNPTKDEHREVEFESEYGLSNSSMHHEEGNELVEEDVDIGGNDLPPLTYPPAVFESETAERSSKHSTSSSSSSDSESSSSDSDSSSSSGSDLDVNVPPSTSGAKDNTQSAVRLDQENDPLSSTNLPQQSSDPVPISAEDEGENVSEKQVPPAKQYRAAVLLNRFADTIFKAREKTLDQVAKKDPEKLQHDMEELERLRREERARIQAEAKAAEDARKRAEAAAAAEAAAEAKRQREREREAARKALQQMEKTVDINEGNLFLKDLEMLGTVTSGEQFPSSVGETSPTHTPEGLGFQLGSNPLEQLGLYMKNDDEEDEEGESADEPTIDVEEGEID >ONIVA08G01560.1 pep chromosome:AWHD00000000:8:1187964:1194913:1 gene:ONIVA08G01560 transcript:ONIVA08G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVADNYPPAKDGRSAQEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMGPGIAVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDVLCEGHGCKNIKLTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKVADVDYHLRATTSTGKVFGFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVVVAYIIVALCYFPVALVGYWAFGNHVDDNILITLSRPKWLIALANMMVVIHVIGSYQIYAMPVFDMIETVLVKKLRFPPGLTLRLIARTLYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWFTNWICIILGVMLMILSPIGGLRQIIIDAKTYKFYS >ONIVA08G01550.1 pep chromosome:AWHD00000000:8:1160956:1163357:-1 gene:ONIVA08G01550 transcript:ONIVA08G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKERKLSRLGSKGSGGGGGGGSFGARGQRAPAGTQRRLFAAFFAFLFAGAVLFGAAHVIGASFRPVLKTAWPSATLNAVSSERGAQQAGMVSVDAVLPSVHIQHAVALPDHVLLMLRDGSLLPASGQFECLYSPVNSSQLRRQPLSVATLPDGPSLVHCPAGPSRVAVSLSLAQSVPVAPLQWDRLVYTALIDSKDNSTVVFAKGMNLRPGRLGVPSRYECVFGRDFSKPKLVVTSPVVSAAQEIFRCVTPVRIRRYLRMTTGGKNSVNNDDKPMLVSIRTKGRGSSTLPSIAQPEPLPRYNKHWRRKAHSMCVCTMLRNQARFLREWIIYHSRIGVQRWFIYDNNSDDGIEEVLNTMDSSRYNVTRYLWPWMKSQEAGFAHCALRARESCEWVGFIDIDEFLHFPGNQTLQDVLRNYSVKPRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGMKYVNIGQGMMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPRRFCEVYDNGLKDFVQKVFTDPHTGNLPW >ONIVA08G01540.1 pep chromosome:AWHD00000000:8:1156341:1160045:1 gene:ONIVA08G01540 transcript:ONIVA08G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAALHLNLKPHHHPICSEAQCNRISRATTHWNEMSQPIQGAKWRILLCMPERQAKVCQLITCQKFRHCCPLHEDFKVRLSNSIIIAAHMSPYIHCHDSIHMGIILLLLYYYTFILMGYQTAKSLAKCVYFTLSVFLSSTMSYLQIATSPEGTKPIHTCQL >ONIVA08G01530.1 pep chromosome:AWHD00000000:8:1140694:1142019:-1 gene:ONIVA08G01530 transcript:ONIVA08G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAAAEAVAIHVEDELNKAAEKVAADISRLETKIHRIPANMLDLANDGMHYFVPNVVAIGPYHHGLPHLLEAEDVKRAAAYYFCRGSGHSAMVVYEKILSVAGEARSCYADDAVAGIPDADFATMMFHDGCFLLYFIMSYTISNIDQLDSWLKPKLASILMDIFLLENQIPWLVLDALMVMDLRSVPLRVHKFIVLIARTLSNVISIQENPDFILDGSFTWPHLLGLLRCYVSGGMIEEEHIKFPREVTSFSLTSSAIELEEIGIKLKTSRTTEFKLNHMGIEKGCLFGKLFLTPFVLDEVRACWLLNMAALEVSIANFSDASCTGVSSYVAVLAMLMNKEEDVHKLRAKGLLHGKFSDQETLKFFKSLVKRIYVGPGYTRILVELEAYKRNRWMWIHIHKFVYNKFKTIVTVFSIIVSVVGVLVAVFKILLSMKQHQH >ONIVA08G01520.1 pep chromosome:AWHD00000000:8:1137141:1140419:1 gene:ONIVA08G01520 transcript:ONIVA08G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSRRWRRLPGLLQRLVIDAREFEPAALRAGGHARTKRAMERVAGAVESLLPGDRAIERLRLDAYLLRDESYTVRRVVERLNDAVDSGKVAAGGLELVFRATGGGGAPDQDQPSKRQARRLARLLAAAASPSLLPSVAELSLVNLRFTSPALASLLGRCTGLEELGMYQSDAGFGAVLDVGHARLRRLAVHAVDEAMYKKLRVSSAPRLERVVVANWFCRYAPVSFGHVPCLRELHLKNKAVYYQEP >ONIVA08G01510.1 pep chromosome:AWHD00000000:8:1125939:1137094:-1 gene:ONIVA08G01510 transcript:ONIVA08G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNALRRNQVWYVRSAHKMAPDTNEERSAHHRQNHTNEASNPPADCSRHQRRSVMEVFAAAPPCPSVFELAAVEVAAVCVGRGAAARSAAASAVSGTLQTDFHADQSPPMPSSPYFTAGTLEDVTKLSAAELKRKRAREWIVPMDMKRDQRFSYKNTFSQQIGPQVRASFYGQFGGDALTPTRSTESYGHGANSVRPPAGGVINSQVIDSDPSRIFGSAICRQLGIDGDDSIDIQSTATSRRRTRSVSTVRPIGKPEATTTQSIGSLKSYGHGNKRPWRHPYTTSF >ONIVA08G01500.1 pep chromosome:AWHD00000000:8:1125859:1126323:1 gene:ONIVA08G01500 transcript:ONIVA08G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGPVSTRQILGRLLPWPYDFSEPMDCVVVASGLPMGRTVDTDLVRRRDVAVDWMSIESSPSMPSCRQIALPKILDGSTRKRENRMDIPESITWELITPPAGGRTELAPWPYDSVDRVGVSASPPNCP >ONIVA08G01490.1 pep chromosome:AWHD00000000:8:1115947:1117933:-1 gene:ONIVA08G01490 transcript:ONIVA08G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHDGCFLLQYILNRFDIVDVALQSWFYSNDASMVRDIFLLENQLPWQVLDILRSFRSIHVGRFICQCASSFDAYVEFDKSPFVLDEIEYKPPHLLGLLWFYQSRLLNKPTESRTIDLPERDMIITSLPQCKSAIELAEMGIKLVANKKSHFRNMGISQGLLSGKLFLAPLVMDELNAYWLLNMVALEICLQGTSLGDQLFVSSYVYLLAILMNREEDVHELRVRGILHGKSSNRHTLIFFKNLAQLISIPIQYSFLMAQLEAYKRKRWMWIPIHKFAYNNFKTIITVFPIIGVLVGILRTLLSIKQHQQ >ONIVA08G01480.1 pep chromosome:AWHD00000000:8:1113840:1114895:1 gene:ONIVA08G01480 transcript:ONIVA08G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGPPFVDEIGEGGGVSWAARYSSVIVTAASTRGSGLGWIPDNLNRGHLDYFWLLGVLNAVNFVVYLWSANWYRCKRITTTETEAQAVPRLEGRLQSE >ONIVA08G01470.1 pep chromosome:AWHD00000000:8:1110125:1112582:-1 gene:ONIVA08G01470 transcript:ONIVA08G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVNSAEATVMIPVEEELKRAAEKMKTDFSKIKTKIHRYPAIFRRLISMDNRYFVPTVVAIGPYHHGAPHLQEAEEVKRAAAYYFCRESAHSVEEVYYKILSIAAEARSCYADDAVASIGEGDFVAMMFHDGCFLLQLIIRMIGELIAPSLESWFTSNGASMMMDIFLLENQIPWQVLDALMDFRPVSMGDFVSWQGSNFDGSLDFKRVTSLSQSSAIELTEIGIKLVANKTSRFNDIVISKGILFGKLSLAPLVMEDCTACWLVNMMALEICLQYTGIGDAVSSYVFLLGMLMSREEDVHELRMKHILHGDFSNQRTLIFFKNLIELTAVPNQHAALLAHIEAYRRKRWLWIPILKFIHNNLKTIVTVFSIIGVLVGIFKTLMSLKQHQQ >ONIVA08G01460.1 pep chromosome:AWHD00000000:8:1107494:1108909:1 gene:ONIVA08G01460 transcript:ONIVA08G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEEVALYIDHGVRDEAQATLMVPDEAQATLKDMKERMIKTRSLEDSHGVAIAQSKIHRFPRGLRGIGGSDERYIVPTVVAIGPYHHGQPHLQDMEVVKLAAANRFFKDSSGSVEDVYGKLLSVVGKVRDCYDDDDDKDVMLLEKQIPWLVLDTLMDFLRSANVGLRNFVAYLGHEFFPKEYKVGWWSRILTIVCGCATGHRGNITKLKRDNNGGHSYESYRPAHLLGLLRFSQIWQMPEEEMNYAAANTLMTSSSAVELAQIGVKLTASTAAWFGDMRVQKSALFGEFFAVAGVPERRDRLLAGEHGSPGGGDRVGERHRRARDDGLLQTHLGQHLSLGARYFVVLEQLEAYRPWNRPMRSFLNKLIYNSFKAFTIASLASIVGVLVGIFKTLLSKKQN >ONIVA08G01450.1 pep chromosome:AWHD00000000:8:1103450:1104817:1 gene:ONIVA08G01450 transcript:ONIVA08G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVVAIHVDVGHGVPDEAQASTKIQKLDGSHITPIAQGKIHRFPRGLIGIGGSNEQRYIVPTFVAIGPYHHGKPHLHKMEEVKLAAMNRFIATANGASAGDVSGKLLSVVGDVRGCYADDEKLKCFSDDDFAAMMLVDGCFLLQFMMEKREPLFEGRALSSEYSILKDMMLLENQVPWLVLDTLMEFLPMEMEVEQNVRCFVADVGDMFLRNNKEHEVSLTTSCFSVLFEVSPHKSSFLKDYKPANLLDLLRSSQIFRMPTEELSVRLVGSSLLSSSAVELAQIGVNLTASTAEWFGDMSVKEGPVYGELSLSQMFLNDVSAGWLVNMAALEASGGATTADQSSSSSSVMCSFLSVVAMLMDREEDVHQLRAKQVLYSTLSNAQTLDFFKRISQHLGFGHRYFFILQQINKFKQGRPVRSAVHKFLYKHIRAISIILSIASVLVGIFKALREL >ONIVA08G01440.1 pep chromosome:AWHD00000000:8:1087472:1091635:-1 gene:ONIVA08G01440 transcript:ONIVA08G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPSAAEVAVTVTPVTLSVAACSGRHVESTETALGAEVHLCINKIMIHSVVAIGPYHHGARHLQEAEKIKWSAVCDFCKNTGHSADEVYWKILPIASGARSCYEGDAVVGVRKAEFAAMMIRDGCFLLQFMAHMCDVAVDPLLQTWFGSKQPSILRDMFMLENQIPWVVLEALMTFMPVPVPVDNFISNAGASFNVRLDDNINPFDLNEISCKPHLLGLLRYYQSGLSKLGESSWVLKRPEGVTTALRQSSSAIEPAEIGIDVVATEASWFADMKISKGLLFGKLSLPPLVMDDLNACWLLNMVALESYLAFTGKNDVQTVSSYISLLAMLMNRKEEVHELRLKGILHGKFSDKCTLSFLKNLAGLISLPPQHVCLLAHLEAYQRKRWMWIPIHKFLYNNYRIIVTVFSVIGVLVGIFKTLVSIEQQMQL >ONIVA08G01430.1 pep chromosome:AWHD00000000:8:1084018:1085371:-1 gene:ONIVA08G01430 transcript:ONIVA08G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6R0] MSAYCGKYKDELIRNAAYIGTPGKGILAADESTGTIGKRLASIGVENVEENRRALRELLFTAPGALDCLSGIILFEETLYQSTRDGTPFVDVLAAAGVLAGIKVDKGTVELAGTDRETTTQGHDGLGERCRRYYAAGARFAKWRAVLSIGRASSRPSQLAVDANAQGLARYAIICQENGLVPIVEPEILVDGEHGIEACAEVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSDAARAPPEVVAEHTVRALLRTVPPAVPAIVFLSGGQSEEEATRNLNAMNQVASRGKKPWSLTFSFGRALQQSTLKAWAGKAENVGKAQAALLARCRANSQATLGAYAGDAAAGEGVSENLHVKDYKY >ONIVA08G01420.1 pep chromosome:AWHD00000000:8:1078088:1082099:-1 gene:ONIVA08G01420 transcript:ONIVA08G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRNEGFLTQDQREKLRIAVQNAETLSLASPRSPTGGSTSALLQQYEQQRAAAAAAAARGGGGGGGGGGVRHVRRSHSGKTIKVKKDGAGGKGTWGKLIDTDTDACLDRNDPNYDSDEEPYELVEAPVSTPVEDYKKSVAPIIEEYFSTGDVKLAASDLKELGYDDFHRYFVKKLVSMAMDRHDKEKEMASVLLSSLYGDVISSTQIRLGFVMLLEAVDDLAVDILDAVDVLALFIARAVVDDILPPAFLSREKASLSESSKGMQVVQIAEKSYLSAPHHAELLERRWGGSTRTTVDAVKLRITDLFKEYIKNGDTAEACRCIRELAVPFFHHEVVKRALTLGMESPTAEALIVKLLKEASEELLISSSQMMKGFSRVVDSLDDLSLDIPSAKSQFQTLVSKAVSEGWLDSSFVHVGANGDVQDDEHEKLRRYKKEAVSMIHEYFLSDDVPEIIRSLKELGSPEYNPVFIKKLITIAMDRKNREKEMASILLSSLSMELFSTEDIVKGFIMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEISGKLPPNCSGAETLNMARSLATARHAGERLLRCWGGGTGWVVEDTKDKIAKLLEEYESGGDVGEACNCIRELHMPFFNHEVVKKALVMAMEKKNDRILGLLQECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAREKFLSYVEHAKKSGWLLPSFGVATSA >ONIVA08G01410.1 pep chromosome:AWHD00000000:8:1075387:1075623:1 gene:ONIVA08G01410 transcript:ONIVA08G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLGVVGRAVDGAAGGLAFVRFERPPPAAEAFATLPHPLALLLAADLARQIRHPTERGERARERKRRHWGDEEYGR >ONIVA08G01400.1 pep chromosome:AWHD00000000:8:1067005:1071783:1 gene:ONIVA08G01400 transcript:ONIVA08G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALLAVMRSRSLRDPETNSLAKFSAKKTIWEGCSLEEDDLEGSNYGRHSFSYNMYDHLQRRREEFGDSARSGRLVNSPINIIKANARVKAALHNQTCSSVISGMSRATKDRASSLMIEGEQLGRREGATFQESARSLLQKYRPKAFSELAGQNVVAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAASLNCKFSDGNQPCGHCEECMAIFSGNSSSVIEIDASKLDCKSRVAALLRNACEVPASSHFKVLIVDDCQHMDKEGWYSVYSSLEGIPDSSIFVMITSDIDKLPSNSVGWCQSYRFCKVDEAEIALRLSRICINEGMEFEAEALELIARKANGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLAFSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGRHQSDSQEVRKVTAAEIDVHKLRNALEILSETEKQLKTTKNQSTWLTAALLQFNMREPYCLDDAAVSSMFTESQTDDGAAILKDESLDTSSHLCYQNKIGCLDMNLGDPDVLETIWIKALENCTSKPLHSLLRKDGKLSSLYTTQGVAVAELQFCHPEDVPTTESFWNPFVVSLQNLLKCNVDIRINLSPISTSNRVVSKNSSVSLVMQSREDQETEDPVAAGCRTVASSRKECPSPLAVQPKEKPSHILGCLHATDGDAVDTESRILSYQKISVIPEASTPGNGSFKAGGHTPKVDEARARRGCFSKLLQRRAGAPYQNGALPRRGLFKCCFCKIRPDCKTKVEETDGFQGHSKN >ONIVA08G01400.2 pep chromosome:AWHD00000000:8:1067005:1071783:1 gene:ONIVA08G01400 transcript:ONIVA08G01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALLAVMRSRSLRDPETNSLAKFSAKKTIWEGCSLEEDDLEGSNYGRHSFSYNMYDHLQRRREEFGDSARSGRLVNSPINIIKANARVKAALHNQTCSSVISGMSRATKDRASSLMIEGEQLGRREGATFQESARSLLQKYRPKAFSELAGQNVVAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAASLNCKFSDGNQPCGHCEECMAIFSGNSSSVIEIDASKLDCKSRVAALLRNACEVPASSHFKVLIVDDCQHMDKEGWYSVYSSLEGIPDSSIFVMITSDIDKLPSNSVGWCQSYRFCKVDEAEIALRLSRICINEGMEFEAEALELIARKANGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLAFSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGRHQSDSQEVRKVTAAEIDVHKLRNALEILSETEKQLKTTKNQSTWLTAALLQFNMREPYCLDDAAVSSMFTESQTDDGAAILKDESLDTSSHLCYQNKIGCLDMNLGDPDVLETIWIKALENCTSKPLHSLLRKDGKLSSLYTTQGVAVAELQFCHPEDVPTTESFWNPFVVSLQNLLKCNVDIRINLSPISTSNRVVSKNSSVSLVMQSREDQETEDPVAAGCRTVASSRKECPSPLAVQPKEKPSHILGCLHATDGDAVDTESRILSYQKISVIPEASTPGNGSFKAGGHTPKVDEARARRGCFSKLLQRRAGAPYQNGALPRRGLFKCCFCKIRPDCKTKVEETDGFQGHSKN >ONIVA08G01390.1 pep chromosome:AWHD00000000:8:1053636:1063526:-1 gene:ONIVA08G01390 transcript:ONIVA08G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGASDNLTVRAMRRRGCAVISNPLNEVQVQPGKRGDKPPPFTMVVMVGIHHPQRVHNEVRRRTGDNNVSDPMPGKAAHK >ONIVA08G01380.1 pep chromosome:AWHD00000000:8:1050284:1053387:-1 gene:ONIVA08G01380 transcript:ONIVA08G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] iron-sulfur subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6Q4] MAAAALLRRSPAARALLSPALSSRLVASKPHSSSPAPPPPPSKAGANTKTFSIYRWDPDSPSTKPHLKDYKVDLSDCGPMVLDVLLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISSASSASTISPLPHMFVIKDLVVDMTNFYNQYKSVEPWLKRKDAPPQPGKEIPQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRLPLLGTLIKPKPNMFMHIQARGYHGVSEKRNLLDHKRRLLAAKYELKGKLYKAVCRDPDLPADMRDQFRYKLSKLPRNSSMTRLRNRCIFTGRSRAVYKKFRMSRIVFRSLANKGELLGVKKASWWIQDSRDQFTKERLDSINDEFKLYRCHTIKNCTHACPKGLNPAKHIDTIKKLQLEA >ONIVA08G01370.1 pep chromosome:AWHD00000000:8:1048719:1049333:-1 gene:ONIVA08G01370 transcript:ONIVA08G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II BY [Source:Projected from Arabidopsis thaliana (AT1G67740) TAIR;Acc:AT1G67740] MATTIAAMAMLKPSKIVARSSPAPSGSGRVPSISLKSLAKKGMSVSSSSAAAPVAAAAMAGAFFSALASTDAALAAQQIADVAAAAAGADDNRGLALLLPIAPAIAWVLYNILQPALNQLNRMRSEQVLVAGLGLGAAAGAGLAFPPEASAAQEVARLAAEAAAAEGGDNRGLLLLFVVAPAIAWVLYNILQPALNQLNRMRSD >ONIVA08G01360.1 pep chromosome:AWHD00000000:8:1042528:1045377:-1 gene:ONIVA08G01360 transcript:ONIVA08G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGARRRKGRRGGRGNVKRRTKYLSLSRFLIKKEEEEEVVVVAVRSPELVPKDEAPSSPEDGGDGGEDEEEKPQMEPFALHPEPSTLFAAPPSLTDILGASTGGGGGGGGESSSAASPGVSGGKELSGEEDDLARRALRGRERWVYCSSSSPSATTTTTTTTSSSCSSAASTGASSGGAAARSLLLKLDYEEILAAWAGRGSLYIGGAAAATAGGTDNAAAELELDSVFVEVSASPEPAAAAAAWSVAEPAARAEKVRRYKEKRQGRLFAKRIRYEVRRLNAVKRPRLKDENNSNSVQFSHDVPNCSSTISSTFCNVFSMQLEQMLPVAVEPLHAPFCRRCKSSVSSRE >ONIVA08G01350.1 pep chromosome:AWHD00000000:8:1038248:1039030:1 gene:ONIVA08G01350 transcript:ONIVA08G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPDAKPRLHLHLPRSLLLLLIPFLSLLLLYSYSSSPPPPPVAFAVPLSPTPPSPHIRMRRAGFRSYEDYLRHQLNKTLDPRLRRVWATRDWHRKVDAFARAFAALLRDDGGGKLLSNASRALCVGARLGQEVAALRLVGVRDAVGIDLAPAPPLVVRGDFHAQPFANDTFDFEFSNVFDHALYPGRFVAEIERTLRPGGVAVLHVAVHRRGDKYSANDLLDVHGLVGLFRRSDVVRISKVDAFGLDTEVILRKKRSSP >ONIVA08G01340.1 pep chromosome:AWHD00000000:8:1031651:1034699:1 gene:ONIVA08G01340 transcript:ONIVA08G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARLLSTAPCRSPSSWPLPPSKPYLRFPSAPLLLLLRSPAAASVIHLSPPPVTPLAVACDDRHALPDSDEPRNRNPPDHPAPLLAAAAAAAAAAVASPHAALALSGGSMGGCSDTSSSYSSSFSSSSSSDSFSSWSSSSDSWRSSSSSSSSPPKKKKVVVVESADLETHESVGTAASPPPPPPVALTPWEKFWISVAVVLGVGGLVFGLIFLIKRSIPPPRTISVVKLQIALGGVAAAKSFQKDLNRIAERVQGSSRRWYKFILSDLFKKYYYQVIAFFAEINLFVHRTQFSIKVMVDIKDSDSWNGHFKKISLEERGKFDEETLSNLEGVKRNKEYSTKMDGSKNEYIVLTILVATDGTMDFPKLITNAADLKVALTKLYSTPETGLEGIHVLWAPQDKDDILSKERMQKDYPYLKPLSV >ONIVA08G01330.1 pep chromosome:AWHD00000000:8:1025371:1028735:1 gene:ONIVA08G01330 transcript:ONIVA08G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPTLALAASEGASSRSRGPLDLSLLLLGAAAAVVAAATPRTAHAASGGVMGGRDTSPSPSYSSSSSSSSSSSPSVRHYHYYPPSPTRSSSPPTPAAHTTSCPICPYVLLAAAVGITIIFLCTLASNQKTTVVKLQVALQALAKTMQKDLNTIAAKVDTTKTASLQIHVNGDDMFLEPSERLLVLFSLIVDEIDSWEEHFDKISIEERSKTDEETLFNVEGIKISKKYSKNPESCRKEYIVLTIILAAEGKLKFPQIRSADDLRLVLGMLNGIHASEIKGVQILWTPQEEDDALSEERLLKDYPYMRPLKQVLIGESKFKQILFGESEEKQLLLGEPKEPSVQEDPNNTKG >ONIVA08G01320.1 pep chromosome:AWHD00000000:8:1020028:1023874:1 gene:ONIVA08G01320 transcript:ONIVA08G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREGASSSSGSSSSHHEAGGRRSPLELSLLLTAAAGAAIAAAATPRDAHAASGGVMGGRRSSSPSSYCRRTSSSSSSSSSSSSSSSSSSSSSPSSREDESVISVIVAGAVVVAYGVACVGAYVASGVVYVAAHLLRPRSATTVVKLQVAFRGLTKIIQRDLNGIARKVDTSNRSWDKFMLTETICSLNRHKDICISSSLSADLQKRLIFWGDCWEKHFDKISIEERSKFDEETLYNVQGIKMKKKYLKPGLGKENEYLVLTILLAAEGKLEFPIVRSASDLTTVLGILNSIHANKIEGIQVLWTPQKIGDILPEERLLKDYPYLKPLLKESDSLGVASVTEIEQSNQRSITEGQDSFAVSSVTETMQSNQGSVMQDQDFIEVANVTDVKQSNQESTKEDQDSKQSN >ONIVA08G01310.1 pep chromosome:AWHD00000000:8:1014230:1018757:1 gene:ONIVA08G01310 transcript:ONIVA08G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein [Source:Projected from Arabidopsis thaliana (AT5G14250) TAIR;Acc:AT5G14250] METVETLVAHIQGLSGSGEELAHLHNLLRQADGEPLRAHSAALLPFLAQLHPSAHSLGFLYLLEAFASSASNLRAQGGGDFLVTMADFLVSCSAEQIRLAPDKFLSVCRVFKNEVMQLNAPIRGIAPLRAAIRKIQTSSEELTPIHADYLLLCLLAKQYKAGLSVLEDDILEVDQPKDLFLYCYYGGMIYIGLKKFTIALDFLHNAVTAPMSSLNAIAVEAYKKYILVSLIQNGQVPSFPKYTSSTAQRNLKNHAQVYVDLSTCYSKGNYSELEEYIQLNAEKFQSDNNLGLVKQVLSSLYKRNIQRLTQTYLTLSLEDIASSVQLKTPKEAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSCEMVEHIDSSIQRLMALSKKLSSIDENISCDPAYLMKTGRERGGRFDYDDFDSVPHKYF >ONIVA08G01300.1 pep chromosome:AWHD00000000:8:1010374:1014164:1 gene:ONIVA08G01300 transcript:ONIVA08G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01820) TAIR;Acc:AT3G01820] MAGVLRLAGAARSPLARALAPAARRMGASAAAAMEDEAYWTEWEEEEEKARARESAPVAEMCPTGGGGGGPQWVVMGRPGPQKHAHAARLAEVLAVPYISMGTLVRQELSPASSLYKKIANSVNEGKLVPEDIIFGLLTKRLEEGYNKGETGFILDGIPRTHMQAEILDEIVDIDLVLNFKCADNCFMKRRFGGDICPHCGQLFDFSKTASSDRNPSLGSCTWPSQVQHAAVLGLEDSRMEKMRAYAEQTKLLEDYYRKQRKLMELKTSARPGETWQGLVAALHLQHLDASPTPHKLTM >ONIVA08G01290.1 pep chromosome:AWHD00000000:8:1009309:1009623:1 gene:ONIVA08G01290 transcript:ONIVA08G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLQHLMERLHLAGKGGGGGGGAARDVPRGHFAVYVGEARARFVVPTAYLRQPAFVALLKSVEEEYGFDHCGGSGLTIPCSERDFAALLGRLASSPPPPSWR >ONIVA08G01280.1 pep chromosome:AWHD00000000:8:1003488:1003817:1 gene:ONIVA08G01280 transcript:ONIVA08G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLYQLMSRMHLARSRSSSSSAATAAAAAADVPRGHFAVYVGERRKRFVIPTAYLKHPSFVLLLKRVEEEFGFDCHRCGGLTIPCATEGDFASFVAGAIASDDHHHH >ONIVA08G01270.1 pep chromosome:AWHD00000000:8:979576:981203:-1 gene:ONIVA08G01270 transcript:ONIVA08G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMNTPRVVIDNAVCSTATLVQVDSARKTGVLLEAVQVLADLDLSINKAYISSDGRWFMDVFHVTDRLGCKLTDDSVITYIEQSLGTWNGPARPAALEGLTALELTGADRTGLISEVFAVLADMDCAVVEARSWTHRGRLGCLVFLRDEEADTERMARIEARLGHLLRGDSTGAGGAVAAVPAAAVAHAERRLHQLMSVDRDQEERAAAAAAASPTPAVSVQSWAERGYSVVTVQCRDRPKLLFDVVCTLTDMDYVVFHGTIDTTGDQAHQEFYIRHADGSPISSEAERHRVSQCLQDAIERRSLEGVRLELCTPDRPALLSDVTRTFRENGLLVAQAEVSTKGDMASNVFYVTDAAGHAVEQSAIDAVRQRVGMDCLVAREEHRPQLYQKAGPRDAVDGNGGGGGIGLFYLGNFVKRNLVSLGLIKSCS >ONIVA08G01260.1 pep chromosome:AWHD00000000:8:971374:975333:1 gene:ONIVA08G01260 transcript:ONIVA08G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSGEASVAPVAVATEATAATVSFQPQAAVAEQGSSSGGVLVPPPPMAAGGGGVVVAAAPVAGVVKVGKKRGRPRKYGPDGSLIRPLNATPISASVPMAASAVGPYTPASAVGAAMKRGRGRPLDFASTAKLHHHHQHHHQQQQFGFHFDSIGEMVACSAGANFTPHIITVAPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYQMEQKNKKPRVEAAPALAQTPPAVPISSTDTHSSEQGQHSSVAPRTTNIVTSAYNPDQSWASPAQSIPDSARTPSGDVKVTASGA >ONIVA08G01250.1 pep chromosome:AWHD00000000:8:959364:964638:-1 gene:ONIVA08G01250 transcript:ONIVA08G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLHESGTSKIEDARGERQEGWFLRFWGPLSSFGQPGSLMSSFFGGANPFDDPFFTNPFGAMMGPSLLQPSMFGSFGSSMFGPHGNVNGLSNTGGFLQQAPEPSRPRGPIIQELSSDDEDGADVNKEDEKRNDNPRKHPRMAQMPYVEDPDEDTEGWFITLFYAAGRFLVWACCQPCTVVKNLLADNKRSRHEQFERGYARASTSRPQPHTFMFQSSTVTYGGPNGACYTSSATRRTGGDGVTFEERKEADTTTGKATHRISRGIGNKGHSLTRKLSSDGNVDTMQTLHNLNEDELARFEESWQRNAVQNLSGWDPRVNMLGTGTARSGIRDGNQMLTLPAPGPGQSRGASSSRTKRPTQNTSSRRTYLHAELHGKCNSGNRQDSPAAAMVCCCTTSVDTLHPKSVDEVAEDAELQVLAPVRYAGRQRRLRALRSPADDQQVERRARLPDDVHHLVGATDHVLAVGDAVVVDPPPDEILQLRLVATVLLAVALAAAALPVRRQHEPEHGEPDRRVLGHGRCQRQRLPVVRAAEEVERDAVREPVGRGGGGGGDGGEAAAVDEDGDGAGGVVEDVGVGRLDRAGVVRRRLDGPVDGEALVEGHDDEHGALLERHGAHVVVHGGVRRDAHGTSDAAPGGRCCTKNWNAFTTRSESVVPDGTQLRLPCLKCRSTELTESGDIGVGDDASSSASIGPTSSCEWMHATTRSSSPSFLCSTVRRRYATYFSSGWYMYFTADADAAPISSTLIMNAAYTCSSSTWASSNADAYTTSVMPLKFIMSPTTTASRTGIRK >ONIVA08G01250.2 pep chromosome:AWHD00000000:8:961136:964867:-1 gene:ONIVA08G01250 transcript:ONIVA08G01250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFGGANPFDDPFFTNPFGAMMGPSLLQPSMFGSFGSSMFGPHGNVNGLSNTGGFLQQAPEPSRPRGPIIQELSSDDEDGADVNKEDEKRNDNPRKHPRMAQMPYVEDPDEDTEDNKRSRHEQFERGYARASTSRPQPHTFMFQSSTVTYGGPNGACYTSSATRRTGGDGVTFEERKEADTTTGKATHRISRGIGNKGHSLTRKLSSDGNVDTMQTLHNLNEDELARFEESWQRNAVQNLSGWDPRVNMLGTGTARSGIRDGNQMLTLPAPGPGQSRGASSSRTKRPTQNTSSRRT >ONIVA08G01250.3 pep chromosome:AWHD00000000:8:961138:964638:-1 gene:ONIVA08G01250 transcript:ONIVA08G01250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFLHESGTSKIEDARGERQEGWFLRFWGPLSSFGQPGSLMSSFFGGANPFDDPFFTNPFGAMMGPSLLQPSMFGSFGSSMFGPHGNVNGLSNTGGFLQQAPEPSRPRGPIIQELSSDDEDGADVNKEDEKRNDNPRKHPRMAQMPYVEDPDEDTEDNKRSRHEQFERGYARASTSRPQPHTFMFQSSTVTYGGPNGACYTSSATRRTGGDGVTFEERKEADTTTGKATHRISRGIGNKGHSLTRKLSSDGNVDTMQTLHNLNEDELARFEESWQRNAVQNLSGWDPRVNMLGTGTARSGIRDGNQMLTLPAPGPGQSRGASSSRTKRPTQNTSSRRT >ONIVA08G01250.4 pep chromosome:AWHD00000000:8:961136:964867:-1 gene:ONIVA08G01250 transcript:ONIVA08G01250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFGGANPFDDPFFTNPFGAMMGPSLLQPSMFGSFGSSMFGPHGNVNGLSNTGGFLQQAPEPSRPRGPIIQELSSDDEDGADVNKEDEKRNDNPRKHPRMAQMPYVEDPDEDTEGWFITLFYAAGRFLVWACCQPCTVVKNLLADNKRSRHEQFERGYARASTSRPQPHTFMFQSSTVTYGGPNGACYTSSATRRTGGDGVTFEERKEADTTTGKATHRISRGIGNKGHSLTRKLSSDGNVDTMQTLHNLNEDELARFEESWQRNAVQNLSGWDPRVNMLGTGTARSGIRDGNQMLTLPAPGPGQSRGASSSRTKRPTQNTSSRRT >ONIVA08G01240.1 pep chromosome:AWHD00000000:8:958041:960935:1 gene:ONIVA08G01240 transcript:ONIVA08G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPPAARVHLQEVAVNVNKSLFCFDHDSGATSSGVFAGDDPLKFYFPLFLYHVCTVFALSRAINALLRRANVPLVISQILAGTLLGPSFLGHIAPRVGELFATPEGWVLINTIGGYAFTLHIFVIGVKTDLGMIRKSGNKAIAIAVLGTASPHLAMYITGLALKARVPAAWAASFLLTNLNSWWSLSAFIVVCCTLHDLNLLSSKLGRLAMSAALIGDFANTFAIAGVTSYLLAASPSEKLQRIGIASVIAFTTFIAFMALVARPAILRLIRDVPEGALLTEARLIAVLLICLTCSFTGELLGLHATYGPFMLGLMLPGGAPLGVTMAERLDRLVAGVLMPLLFAQGGMRLNVKKITDASTCALLETFLVVGVVSKFVASIMPCLYFRMPVRDAVVVGLMMNFKGITEVVYASAFEDAQVLDEQVYAAFMINVLLIGAASASAVKYMYHPEEKYVAYRRRTVEHKKLGEELRVVACIHSQDDVGPMLALLDASSPTPMSPLSVYLLHLMPLAGLTSSVLRHFKHGKRNCVPSGTTDSERVVNAFQFFVQQRPPGAASLVPYVCIAPYATMHDDVCAVALEKRAMLIVVPFHKRLAIDGSVEPTSHNAGAIQAANTNILNYSPCSVAILVDRGSLSTVAAAAAAAADGFPHRVALYFLGGPDDREALALAATMAEDATIGLTVFRFMLPADRQSRGGEGDGEEDRRDEAELQDFVRRWVDDHRVAYSENMVGGSDEMVDVIRKTSPAFNLLVVGRRSESPESPLTAGISDWSEHLELGVLGDLLTSTDFGCRVSTLVVQQQTMAAAGESCRLPELPAKHKSDEPV >ONIVA08G01230.1 pep chromosome:AWHD00000000:8:951092:955731:1 gene:ONIVA08G01230 transcript:ONIVA08G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTKNALLLLLLLFPLLHSSLADVAAAAPSSASAAEVDALMELKAALDPSGRLLPSWARGGDPCGRGDYFEGVSCDARGRVAAVSLQGKGLAGAISPAVAMLPGLTGLYLHYNELAGAIPRQLGDLPMLAELYLGVNNLSGTIPVELGRLPALQVLQLGYNQLSGSIPTQLGQLKKLTVLALQSNQLTGAIPASLGDLPELARLDLSSNRLFGSIPSKLAAIPKLATLDLRNNTLSGSVPSGLKKLNEGFHFDNNSELCGAHFDSLKPCANGDEDDNEEGSKMARKPESTNVKPLQAPQTMNVNRDCDNGGCSRSSSSSTTLSSGAILAGTIIIIGGAAACGISVISWRRRQKQKVGGGGTVESLEGRASSSNASSSLINVEYSSGWDTSSEGSQQGLRLSPEWSPSVRYNMEEVECATQYFAGANLLGRSGFAATYRGAMRDGAAVAVKSIGKSSCKAEEADFLRGLRAITSLRHDNLVALRGFCRSRARGECFLVYEFMANGSLSRYLDVKDGDVVLDWATRVSIIKGIAKGIEYLHSSKANKAALVHQNICADKILMDHLFVPHLSGAGEHKLLADDVVFSTLKDSAAMGYLAPEYTTTGRFTDRSDVYAFGVVVFQVLTGRKAVSSELRLLGGGGGAEYSGKLDDLVDPRLGGRFSRPEAAKLAGIALLCTSESPAQRPAMAAVLQQLGATQ >ONIVA08G01220.1 pep chromosome:AWHD00000000:8:946375:946821:-1 gene:ONIVA08G01220 transcript:ONIVA08G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKELSEEQVASMREAFSLFDTDGDGRIAPSELGVLMRSLGGNPTQAQLRDIAAQEKLTAPFDFPRFLDLMRAHLRPEPFDRPLRDAFRVLDKDASGTVSVADLRHVLTSIGEKLEPHEFDEWIREVDVAPDGTIRYDDFIRRIVAK >ONIVA08G01210.1 pep chromosome:AWHD00000000:8:933277:941118:-1 gene:ONIVA08G01210 transcript:ONIVA08G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKTAASDVEEMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYLTPSLSRRHPAHYSLFPPPPPPPPRSRQPPSPVAVAKFPTATMGRMHSRGKGISSSAIPYKRTPPSWVKTAAADVEEMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >ONIVA08G01210.2 pep chromosome:AWHD00000000:8:933277:941118:-1 gene:ONIVA08G01210 transcript:ONIVA08G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKTAASDVEEMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >ONIVA08G01200.1 pep chromosome:AWHD00000000:8:930357:932931:1 gene:ONIVA08G01200 transcript:ONIVA08G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G01080) TAIR;Acc:AT1G01080] MAASSHYALLHHHLPNPLHPRHLSSSSSPSPPPPLHLHLHLHRHRLALSTARFFRLAERRASAGPLVFETEEERSGWSGAEAAESNYDDEEDEEEEQGWAGGNAAGWRGESHEDDQEEGSGSGEGRRPRRSRPRELFVCNLPRRCDVDDLYELFKPYGTVLSVEISRDPETGLSRGCGFVTMRSLPEARTAMNALDGFDLDGREMLVKLSSDVVSKRRNINMTHTPPVKDHIFESPHKIYVGNIAWSVEPQELREYFSQCGTVVSTRLLTDRKGGRGRVYGFLSFASAEELEAALKLDNTHFHGRNILVRQAHEERQAR >ONIVA08G01190.1 pep chromosome:AWHD00000000:8:924526:929370:1 gene:ONIVA08G01190 transcript:ONIVA08G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKIRQDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGKPNHVPYRDSKLTRILQDALVGEKIFSGVSESSGWQLKSGITVLLFPQCFKCTRKTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ONIVA08G01190.10 pep chromosome:AWHD00000000:8:924526:929370:1 gene:ONIVA08G01190 transcript:ONIVA08G01190.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGWQLKSGITVLLFPQCFKCTRKFVYCSFRNKAYLLNNACWSITFLPLHIVLILWQHLSRTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ONIVA08G01190.11 pep chromosome:AWHD00000000:8:924691:929370:1 gene:ONIVA08G01190 transcript:ONIVA08G01190.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGWQLKSGITVLLFPQCFKCTRKFVYCSFRNKAYLLNNACWSITFLPLHIVLILWQHLSRTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ONIVA08G01190.2 pep chromosome:AWHD00000000:8:924526:929370:1 gene:ONIVA08G01190 transcript:ONIVA08G01190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKIRQDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGKPNHVPYRDSKLTRILQDALVGEKIFSGVSESSGWQLKSGITVLLFPQCFKCTRKFVYCSFRNKAYLLNNACWSITFLPLHIVLILWQHLSRTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ONIVA08G01190.3 pep chromosome:AWHD00000000:8:924526:929370:1 gene:ONIVA08G01190 transcript:ONIVA08G01190.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKIRQDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGWQLKSGITVLLFPQCFKCTRKTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ONIVA08G01190.4 pep chromosome:AWHD00000000:8:924526:929370:1 gene:ONIVA08G01190 transcript:ONIVA08G01190.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGKPNHVPYRDSKLTRILQDALVGEKIFSGVSESSGWQLKSGITVLLFPQCFKCTRKFVYCSFRNKAYLLNNACWSITFLPLHIVLILWQHLSRTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ONIVA08G01190.5 pep chromosome:AWHD00000000:8:924526:929370:1 gene:ONIVA08G01190 transcript:ONIVA08G01190.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGKPNHVPYRDSKLTRILQDALVGEKIFSGVSESSGWQLKSGITVLLFPQCFKCTRKFVYCSFRNKAYLLNNACWSITFLPLHIVLILWQHLSRTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ONIVA08G01190.6 pep chromosome:AWHD00000000:8:924526:929370:1 gene:ONIVA08G01190 transcript:ONIVA08G01190.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKIRQDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGWQLKSGITVLLFPQCFKCTRKFVYCSFRNKAYLLNNACWSITFLPLHIVLILWQHLSRTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ONIVA08G01190.7 pep chromosome:AWHD00000000:8:924691:929370:1 gene:ONIVA08G01190 transcript:ONIVA08G01190.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGKPNHVPYRDSKLTRILQDALVGEKIFSGVSESSGWQLKSGITVLLFPQCFKCTRKFVYCSFRNKAYLLNNACWSITFLPLHIVLILWQHLSRTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ONIVA08G01190.8 pep chromosome:AWHD00000000:8:924526:929370:1 gene:ONIVA08G01190 transcript:ONIVA08G01190.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGWQLKSGITVLLFPQCFKCTRKTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ONIVA08G01190.9 pep chromosome:AWHD00000000:8:924691:929370:1 gene:ONIVA08G01190 transcript:ONIVA08G01190.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGWQLKSGITVLLFPQCFKCTRKTKLIKTTPKSISPEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >ONIVA08G01180.1 pep chromosome:AWHD00000000:8:917808:921015:-1 gene:ONIVA08G01180 transcript:ONIVA08G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G32930) TAIR;Acc:AT1G32930] MFAPAAAARPHKQAPPARVPTRLVAALCTACFFLGVCVVNRYWAVPELPDCRTKVNSDNPGAVMNQVSQTREVIIALDRTISEIEMRLAAARTMQARSQGLSPSDSGSDQGSTRARLFFVMGIVTTFANRKRRDSIRQTWLPQGEHLQRLEKEKGVVIRFVIGRSANPSPDSEVERAIAAEDKEYNDILRLDHVERNGSLPLKIQMFLSTALSIWDADFYVKVDDDVHVNIGITRSILARHRSKSQVYIGCMKSGPVVDKNESKYYEPDHWKFGTEGNNYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLDVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMEEVHRRCWEGHVADLQAQF >ONIVA08G01170.1 pep chromosome:AWHD00000000:8:912931:917045:-1 gene:ONIVA08G01170 transcript:ONIVA08G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAATAGTYSPAAAAGEKRRERKEELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCNVSYTTFATPIRGQGMDNKEYSAMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMIYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSIMVAYMPARKEITQLTLTGEWSDGKITNAVELCMDACSKLCDILRERLKDAASLAE >ONIVA08G01160.1 pep chromosome:AWHD00000000:8:883661:911220:-1 gene:ONIVA08G01160 transcript:ONIVA08G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT5G24740) TAIR;Acc:AT5G24740] MFEDLVSKVLPALLGRYVSFQKDQLTINIWNQEIILVDVELILEAFDYLQLPFALKKGRIGKLSVRIPWKTLGWGAIIIAIEDWSSDSLDKRELDGKLAKLKAIELAKISRRITDNQTGQSLLSYILAKILDNIQVSIRNVHITYADNYKDQGNFMFGLEFSSLSIQTDPKKQSFAMSLMAMSRQDEVNKTVEISNVGIYCHHLDEQQGSCDTGGLTETNFSFSHELAHPRDAYLLNPFNVTIFVLANKAGKLDGAPRYNITVELTALILSIDEIQIQQILNLCDYFSICALRTKYGRYRPSQSSLSKRHKGWQRMWWHYAQRSVLADVRRELRKTSWNYLGQRLDCRRKYVNFYRMKLELLQKGQLVSEDILQELENMDREGDIDDILNYRTIAEQKLQEALVKSTKDNFSSPGSPRIDDQSAGAGQGWLKWLSRGMLGAGGTADTSSFADVSDDIIKHHLQASVAYLYHRKIGMKLVDAMFTGLGVECKIWDDSTTILAWLDSLEIINPLNENKVLLAEKCSTGDGLGTPVISVQVDCPKSNHSPEALTRVVVQEFSAIYEPVFIYNLMHIYDLFSSFQFQHDRVLSSLNRFDSFGARLLSKLKYTSANRKKLLWDLRIHHFVVRLPSRNCGTEELIMVFEAGDVSMQSKDTVRDASRTQERNSFLDYISKTLPSNFSDDLLIGFKLDDLYNHFEVSLTGFEVKVLMPDKHDISSTFVKLDASIVFGFCIFLDEPVLKQLEVSFIVPFANMYFCQTLYSAFVNLCFYYAKETDLIRNNTSDDTKSEPKKLALNMFASLKLAKLSLRVDLEDHHEESSAITVCIGDVDIRYAIQELSDIWVIVKMVQITSNNLKEESYSCVLCLSGNCKTCVNLTGFPESSTSDACLKLHYRTLKYEDQMHHVYQLNLNDVDLHLIPSVFGQIRRFLKSLDAAYPDGTNVVLSELDLGSMKLGSANTKFPKFALSGFCGVDGTLFAGIPVDHFPFVRMDFISGHQASGGSSSKGKCNETSDLNCYCAQGPASNSLCKTKYSNCSSNSSQNSMNASLTVLDLSLVSVRVHFHESCGILATLSVPESIAALSLSDASSWDLLLSAKDIMLSSSWTSPSVHELLWSRSSHGNANILNIRIKKDFPALSTEVCIGIQNVCCVLPSKLLAMFIGFFLLDDWTSLPEEHHSVENHNLESSGESLDKFANRIPKEFFSSECMVSSRVDIISLCAVKASISLLFPDDQANFILKLDENMPRRIQSLVEKLDAGIWIQIPCIELSCSEQSSLPTFIMSKISKCNLIAEDLYFVDGMEAVFAVTDELISIGKASKLYKGNALQFLEQRILNKESPGPNDSINITVSVSDLAIFFCHSKDKGLALEKIANANMKFDVSAVLVSEKPERIDMDIVSLALQSSDSHTLVSIMSDGSLSPVFIKFAKHDGRDEILMSVPSFEVWLYLVDWDIIINHFHSYARKEESSSPVVHSAALPCSSDSATPSFPETDCGDSRMNFRCDLDRMKIILEMIQGDKGTSVPFMHISKAKAAGYIHQPEGKPLHLSVDLQAEYMDVGFSHQIFSFCRSMELKFPVSSSSASSFYSVTFKVGLRKGSILLNDGRWGSHGPVIETLVKNLLVQFSQMSDRIEVSAFVDLLVNYNNIDKAILRLGQMITNSLNPVSEGGLREDIGILRLSRDDVHTRRYAPYILANDTSLPFKFRVYRGAVNSDDIDSFSVVDENSVPAGYAVPIYVEEALDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDATSGSSKPMSMDLVGIYFFEVNFSSSKKPLSEESWEAFASNRKGSHESGLIVPVVLDVSLHNYSKLIRVYSTVKLYNATSMPLELRFDIPFGVSSKVLGPILPDKEFPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSHESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYSIPTSSSTQKSQPNYGNPIPKASKQILARKHFIRKVRLSTPLLIKNYLPVCISLTIDNGGVANEVSLKEVSFASIFFVDPSNDLGITFHIQDYRSLAIKFPRPLNVTLEKAMDANSGARELYLSVPFLLYNCTDLLLTITESSCERNGSTLVIPSSFELDGQTRHLLGKNGLFLVSEDPPIQLVSFWRLKSFANKIPQLDFADGGSSYSNRTTANNTKDAPKECNKEAKAYMFAPSGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLVPASGSMNATIPQSSSSGAFLVAATSIPVSTELFGRTRAIAFQPSNDLFYRQKGTRFSKHLSSGQHSFLHWSDTERELLVSIRFDGPGWQWSGSFFPDRLGDVQLKMRNSASGVSNMIRVEVQNADIDVHSNKFAGRNNSNTGTILILLSDDKTGFVPYRVDNFSMEKCESIETIVYPYTSCQYAWDEPCYPHRLTVEVPGERSLGTYNLDILNDDIHVSLPLTSEKAERKFCISVHAEGAIKVLSVIDSNCHNMDKRETNLLGSREPKDADQKQELELNFSDVFRIHLPFIGISLISSLSQELLFASARETRIVAMQSLDQQQITIEMQSMQIDNQFSDSPYPVMLSFEGSHKGKNMNIFKSRDTKVRSPNENSSPEPILRLAAAKWRSNDAPFVSYQCINMSIAPFHLELEERLVFSMIDFIRSVSTRIHLGQLDRSFDLGILDDATDIFGRYEKISKRISGKPQSSYMVEAQQDQLLPSVVPIGAPWQQIHLLARKQKKVYIELFELTPIKLTFSFTSTPWLNRNESSSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIVVENLMASWQSIQDILVRHYSRQLLHEVYKVFGSAGVIGNPMGFARNVGFGLKDFVSASRRGILQGLVAFTYDEQAASKMDERERQLSLHGEGVLNGFLEGLTGLLQSPIKGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRPLFPYSWEEAIGISLLFQADGGRLREETFVMCKTLREPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWVIETEMSLKSIVHLDRAQEVVNIVGSNGETSPRDKRGSIRNRGASSAFIPLFHFSRCQTLKTQRAHCRSYWHLSRRGKREDGIRTSFTEATFTEVL >ONIVA08G01160.2 pep chromosome:AWHD00000000:8:883661:911220:-1 gene:ONIVA08G01160 transcript:ONIVA08G01160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT5G24740) TAIR;Acc:AT5G24740] MFEDLVSKVLPALLGRYVSFQKDQLTINIWNQEIILVDVELILEAFDYLQLPFALKKGRIGKLSVRIPWKTLGWGAIIIAIEDWSSDSLDKRELDGKLAKLKAIELAKISRRITDNQTGQSLLSYILAKILDNIQVSIRNVHITYADNYKDQGNFMFGLEFSSLSIQTDPKKQSFAMSLMAMSRQDEVNKTVEISNVGIYCHHLDEQQGSCDTGGLTETNFSFSHELAHPRDAYLLNPFNVTIFVLANKAGKLDGAPRYNITVELTALILSIDEIQIQQILNLCDYFSICALRTKYGRYRPSQSSLSKRHKGWQRMWWHYAQRSVLADVRRELRKTSWNYLGQRLDCRRKYVNFYRMKLELLQKGQLVSEDILQELENMDREGDIDDILNYRTIAEQKLQEALVKSTKDNFSSPGSPRIDDQSAGAGQGWLKWLSRGMLGAGGTADTSSFADVSDDIIKHHLQASVAYLYHRKIGMKLVDAMFTGLGVECKIWDDSTTILAWLDSLEIINPLNENKVLLAEKCSTGDGLGTPVISVQVDCPKSNHSPEALTRVVVQEFSAIYEPVFIYNLMHIYDLFSSFQFQHDRVLSSLNRFDSFGARLLSKLKYTSANRKKLLWDLRIHHFVVRLPSRNCGTEELIMVFEAGDVSMQSKDTVRDASRTQERNSFLDYISKTLPSNFSDDLLIGFKLDDLYNHFEVKVLMPDKHDISSTFVKLDASIVFGFCIFLDEPVLKQLEVSFIVPFANMYFCQTLYSAFVNLCFYYAKETDLIRNNTSDDTKSEPKKLALNMFASLKLAKLSLRVDLEDHHEESSAITVCIGDVDIRYAIQELSDIWVIVKMVQITSNNLKEESYSCVLCLSGNCKTCVNLTGFPESSTSDACLKLHYRTLKYEDQMHHVYQLNLNDVDLHLIPSVFGQIRRFLKSLDAAYPDGTNVVLSELDLGSMKLGSANTKFPKFALSGFCGVDGTLFAGIPVDHFPFVRMDFISGHQASGGSSSKGKCNETSDLNCYCAQGPASNSLCKTKYSNCSSNSSQNSMNASLTVLDLSLVSVRVHFHESCGILATLSVPESIAALSLSDASSWDLLLSAKDIMLSSSWTSPSVHELLWSRSSHGNANILNIRIKKDFPALSTEVCIGIQNVCCVLPSKLLAMFIGFFLLDDWTSLPEEHHSVENHNLESSGESLDKFANRIPKEFFSSECMVSSRVDIISLCAVKASISLLFPDDQANFILKLDENMPRRIQSLVEKLDAGIWIQIPCIELSCSEQSSLPTFIMSKISKCNLIAEDLYFVDGMEAVFAVTDELISIGKASKLYKGNALQFLEQRILNKESPGPNDSINITVSVSDLAIFFCHSKDKGLALEKIANANMKFDVSAVLVSEKPERIDMDIVSLALQSSDSHTLVSIMSDGSLSPVFIKFAKHDGRDEILMSVPSFEVWLYLVDWDIIINHFHSYARKEESSSPVVHSAALPCSSDSATPSFPETDCGDSRMNFRCDLDRMKIILEMIQGDKGTSVPFMHISKAKAAGYIHQPEGKPLHLSVDLQAEYMDVGFSHQIFSFCRSMELKFPVSSSSASSFYSVTFKVGLRKGSILLNDGRWGSHGPVIETLVKNLLVQFSQMSDRIEVSAFVDLLVNYNNIDKAILRLGQMITNSLNPVSEGGLREDIGILRLSRDDVHTRRYAPYILANDTSLPFKFRVYRGAVNSDDIDSFSVVDENSVPAGYAVPIYVEEALDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDATSGSSKPMSMDLVGIYFFEVNFSSSKKPLSEESWEAFASNRKGSHESGLIVPVVLDVSLHNYSKLIRVYSTVKLYNATSMPLELRFDIPFGVSSKVLGPILPDKEFPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSHESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYSIPTSSSTQKSQPNYGNPIPKASKQILARKHFIRKVRLSTPLLIKNYLPVCISLTIDNGGVANEVSLKEVSFASIFFVDPSNDLGITFHIQDYRSLAIKFPRPLNVTLEKAMDANSGARELYLSVPFLLYNCTDLLLTITESSCERNGSTLVIPSSFELDGQTRHLLGKNGLFLVSEDPPIQLVSFWRLKSFANKIPQLDFADGGSSYSNRTTANNTKDAPKECNKEAKAYMFAPSGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLVPASGSMNATIPQSSSSGAFLVAATSIPVSTELFGRTRAIAFQPSNDLFYRQKGTRFSKHLSSGQHSFLHWSDTERELLVSIRFDGPGWQWSGSFFPDRLGDVQLKMRNSASGVSNMIRVEVQNADIDVHSNKFAGRNNSNTGTILILLSDDKTGFVPYRVDNFSMEKCESIETIVYPYTSCQYAWDEPCYPHRLTVEVPGERSLGTYNLDILNDDIHVSLPLTSEKAERKFCISVHAEGAIKVLSVIDSNCHNMDKRETNLLGSREPKDADQKQELELNFSDVFRIHLPFIGISLISSLSQELLFASARETRIVAMQSLDQQQITIEMQSMQIDNQFSDSPYPVMLSFEGSHKGKNMNIFKSRDTKVRSPNENSSPEPILRLAAAKWRSNDAPFVSYQCINMSIAPFHLELEERLVFSMIDFIRSVSTRIHLGQLDRSFDLGILDDATDIFGRYEKISKRISGKPQSSYMVEAQQDQLLPSVVPIGAPWQQIHLLARKQKKVYIELFELTPIKLTFSFTSTPWLNRNESSSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIVVENLMASWQSIQDILVRHYSRQLLHEVYKVFGSAGVIGNPMGFARNVGFGLKDFVSASRRGILQGLVAFTYDEQAASKMDERERQLSLHGEGVLNGFLEGLTGLLQSPIKGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRPLFPYSWEEAIGISLLFQADGGRLREETFVMCKTLREPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWVIETEMSLKSIVHLDRAQEVVNIVGSNGETSPRDKRGSIRNRGASSAFIPLFHFSRCQTLKTQRAHCRSYWHLSRRGKREDGIRTSFTEATFTEVL >ONIVA08G01160.3 pep chromosome:AWHD00000000:8:883661:911220:-1 gene:ONIVA08G01160 transcript:ONIVA08G01160.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT5G24740) TAIR;Acc:AT5G24740] MFEDLVSKVLPALLGRYVSFQKDQLTINIWNQEIILVDVELILEAFDYLQLPFALKKGRIGKLSVRIPWKTLGWGAIIIAIEDWSSDSLDKRELDGKLAKLKAIELAKISRRITDNQTGQSLLSYILAKILDNIQVSIRNVHITYADNYKDQGNFMFGLEFSSLSIQTDPKKQSFAMSLMAMSRQDEVNKTVEISNVGIYCHHLDEQQGSCDTGGLTETNFSFSHELAHPRDAYLLNPFNVTIFVLANKAGKLDGAPRYNITVELTALILSIDEIQIQQILNLCDYFSICALRTKYGRYRPSQSSLSKRHKGWQRMWWHYAQRSVLADVRRELRKTSWNYLGQRLDCRRKYVNFYRMKLELLQKGQLVSEDILQELENMDREGDIDDILNYRTIAEQKLQEALVKSTKDNFSSPGSPRIDDQSAGAGQGWLKWLSRGMLGAGGTADTSSFADVSDDIIKHHLQASVAYLYHRKIGMKLVDAMFTGLGVECKIWDDSTTILAWLDSLEIINPLNENKVLLAEKCSTGDGLGTPVISVQVDCPKSNHSPEALTRVVVQEFSAIYEPVFIYNLMHIYDLFSSFQFQHDRVLSSLNRFDSFGARLLSKLKYTSANRKKLLWDLRIHHFVVRLPSRNCGTEELIMVFEAGDVSMQSKDTVRDASRTQERNSFLDYISKTLPSNFSDDLLIGFKLDDLYNHFEVKVLMPDKHDISSTFVKLDASIVFGFCIFLDEPVLKQLEVSFIVPFANMYFCQTLYSAFVNLCFYYAKETDLIRNNTSDDTKSEPKKLALNMFASLKLAKLSLRVDLEDHHEESSAITVCIGDVDIRYAIQELSDIWVIVKMVQITSNNLKEESYSCVLCLSGNCKTCVNLTGFPESSTSDACLKLHYRTLKYEDQMHHVYQLNLNDVDLHLIPSVFGQIRRFLKSLDAAYPDGTNVVLSELDLGSMKLGSANTKFPKFALSGFCGVDGTLFAGIPVDHFPFVRMDFISGHQASGGSSSKGKCNETSDLNCYCAQGPASNSLCKTKYSNCSSNSSQNSMNASLTVLDLSLVSVRVHFHESCGILATLSVPESIAALSLSDASSWDLLLSAKDIMLSSSWTSPSVHELLWSRSSHGNANILNIRIKKDFPALSTEVCIGIQNVCCVLPSKLLAMFIGFFLLDDWTSLPEEHHSVENHNLESSGESLDKFANRIPKEFFSSECMVSSRVDIISLCAVKASISLLFPDDQANFILKLDENMPRRIQSLVEKLDAGIWIQIPCIELSCSEQSSLPTFIMSKISKCNLIAEDLYFVDGMEAVFAVTDELISIGKASKLYKGNALQFLEQRILNKESPGPNDSINITVSVSDLAIFFCHSKDKGLALEKIANANMKFDVSAVLVSEKPERIDMDIVSLALQSSDSHTLVSIMSDGSLSPVFIKFAKHDGRDEILMSVPSFEVWLYLVDWDIIINHFHSYARKEESSSPVVHSAALPCSSDSATPSFPETDCGDSRMNFRCDLDRMKIILEMIQGDKGTSVPFMHISKAKAAGYIHQPEGKPLHLSVDLQAEYMDVGFSHQIFSFCRSMELKFPVSSSSASSFYSVTFKVGLRKGSILLNDGRWGSHGPVIETLVKNLLVQFSQMSDRIEVSAFVDLLVNYNNIDKAILRLGQMITNSLNPVSEGGLREDIGILRLSRDDVHTRRYAPYILANDTSLPFKFRVYRGAVNSDDIDSFSVVDENSVPAGYAVPIYVEEALDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDATSGSSKPMSMDLVGIYFFEVNFSSSKKPLSEESWEAFASNRKGSHESGLIVPVVLDVSLHNYSKLIRVYSTVKLYNATSMPLELRFDIPFGVSSKVLGPILPDKEFPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSHESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYSIPTSSSTQKSQPNYGNPIPKASKQILARKHFIRKVRLSTPLLIKNYLPVCISLTIDNGGVANEVSLKEVSFASIFFVDPSNDLGITFHIQDYRSLAIKFPRPLNVTLEKAMDANSGARELYLSVPFLLYNCTDLLLTITESSCERNGSTLVIPSSFELDGQTRHLLGKNGLFLVSEDPPIQSFANKIPQLDFADGGSSYSNRTTANNTKDAPKECNKEAKAYMFAPSGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLVPASGSMNATIPQSSSSGAFLVAATSIPVSTELFGRTRAIAFQPSNDLFYRQKGTRFSKHLSSGQHSFLHWSDTERELLVSIRFDGPGWQWSGSFFPDRLGDVQLKMRNSASGVSNMIRVEVQNADIDVHSNKFAGRNNSNTGTILILLSDDKTGFVPYRVDNFSMEKCESIETIVYPYTSCQYAWDEPCYPHRLTVEVPGERSLGTYNLDILNDDIHVSLPLTSEKAERKFCISVHAEGAIKVLSVIDSNCHNMDKRETNLLGSREPKDADQKQELELNFSDVFRIHLPFIGISLISSLSQELLFASARETRIVAMQSLDQQQITIEMQSMQIDNQFSDSPYPVMLSFEGSHKGKNMNIFKSRDTKVRSPNENSSPEPILRLAAAKWRSNDAPFVSYQCINMSIAPFHLELEERLVFSMIDFIRSVSTRIHLGQLDRSFDLGILDDATDIFGRYEKISKRISGKPQSSYMVEAQQDQLLPSVVPIGAPWQQIHLLARKQKKVYIELFELTPIKLTFSFTSTPWLNRNESSSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIVVENLMASWQSIQDILVRHYSRQLLHEVYKVFGSAGVIGNPMGFARNVGFGLKDFVSASRRGILQGLVAFTYDEQAASKMDERERQLSLHGEGVLNGFLEGLTGLLQSPIKGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRPLFPYSWEEAIGISLLFQADGGRLREETFVMCKTLREPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWVIETEMSLKSIVHLDRAQEVVNIVGSNGETSPRDKRGSIRNRGASSAFIPLFHFSRCQTLKTQRAHCRSYWHLSRRGKREDGIRTSFTEATFTEVL >ONIVA08G01160.4 pep chromosome:AWHD00000000:8:883673:911220:-1 gene:ONIVA08G01160 transcript:ONIVA08G01160.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT5G24740) TAIR;Acc:AT5G24740] MFEDLVSKVLPALLGRYVSFQKDQLTINIWNQEIILVDVELILEAFDYLQLPFALKKGRIGKLSVRIPWKTLGWGAIIIAIEDWSSDSLDKRELDGKLAKLKAIELAKISRRITDNQTGQSLLSYILAKILDNIQVSIRNVHITYADNYKDQGNFMFGLEFSSLSIQTDPKKQSFAMSLMAMSRQDEVNKTVEISNVGIYCHHLDEQQGSCDTGGLTETNFSFSHELAHPRDAYLLNPFNVTIFVLANKAGKLDGAPRYNITVELTALILSIDEIQIQQILNLCDYFSICALRTKYGRYRPSQSSLSKRHKGWQRMWWHYAQRSVLADVRRELRKTSWNYLGQRLDCRRKYVNFYRMKLELLQKGQLVSEDILQELENMDREGDIDDILNYRTIAEQKLQEALVKSTKDNFSSPGSPRIDDQSAGAGQGWLKWLSRGMLGAGGTADTSSFADVSDDIIKDIYEGTEFHPISSAENHLTKENHYSLFVRKIGMKLVDAMFTGLGVECKIWDDSTTILAWLDSLEIINPLNENKVLLAEKCSTGDGLGTPVISVQVDCPKSNHSPEALTRVVVQEFSAIYEPVFIYNLMHIYDLFSSFQFQHDRVFEAGDVSMQSKDTVRDASRTQERNSFLDYISKTLPSNFSDDLLIGFKLDDLYNHFEVKVLMPDKHDISSTFVKLDASIVFGFCIFLDEPVLKQLEVSFIVPFANMYFCQTLYSAFVNLCFYYAKETDLIRNNTSDDTKSEPKKLALNMFASLKLAKLSLRVDLEDHHEESSAITVCIGDVDIRYAIQELSDIWVIVKMVQITSNNLKEESYSCVLCLSGNCKTCVNLTGFPESSTSDACLKLHYRTLKYEDQMHHVYQLNLNDVDLHLIPSVFGQIRRFLKSLDAAYPDGTNVVLSELDLGSMKLGSANTKFPKFALSGFCGVDGTLFAGIPVDHFPFVRMDFISGHQASGGSSSKGKCNETSDLNCYCAQGPASNSLCKTKYSNCSSNSSQNSMNASLTVLDLSLVSVRVHFHESCGILATLSVPESIAALSLSDASSWDLLLSAKDIMLSSSWTSPSVHELLWSRSSHGNANILNIRIKKDFPALSTEVCIGIQNVCCVLPSKLLAMFIGFFLLDDWTSLPEEHHSVENHNLESSGESLDKFANRIPKEFFSSECMVSSRVDIISLCAVKASISLLFPDDQANFILKLDENMPRRIQSLVEKLDAGIWIQIPCIELSCSEQSSLPTFIMSKISKCNLIAEDLYFVDGMEAVFAVTDELISIGKASKLYKGNALQFLEQRILNKESPGPNDSINITVSVSDLAIFFCHSKDKGLALEKIANANMKFDVSAVLVSEKPERIDMDIVSLALQSSDSHTLVSIMSDGSLSPVFIKFAKHDGRDEILMSVPSFEVWLYLVDWDIIINHFHSYARKEESSSPVVHSAALPCSSDSATPSFPETDCGDSRMNFRCDLDRMKIILEMIQGDKGTSVPFMHISKAKAAGYIHQPEGKPLHLSVDLQAEYMDVGFSHQIFSFCRSMELKFPVSSSSASSFYSVTFKVGLRKGSILLNDGRWGSHGPVIETLVKNLLVQFSQMSDRIEVSAFVDLLVNYNNIDKAILRLGQMITNSLNPVSEGGLREDIGILRLSRDDVHTRRYAPYILANDTSLPFKFRVYRGAVNSDDIDSFSVVDENSVPAGYAVPIYVEEALDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDATSGSSKPMSMDLVGIYFFEVNFSSSKKPLSEESWEAFASNRKGSHESGLIVPVVLDVSLHNYSKLIRVYSTVKLYNATSMPLELRFDIPFGVSSKVLGPILPDKEFPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSHESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYSIPTSSSTQKSQPNYGNPIPKASKQILARKHFIRKVRLSTPLLIKNYLPVCISLTIDNGGVANEVSLKEVSFASIFFVDPSNDLGITFHIQDYRSLAIKFPRPLNVTLEKAMDANSGARELYLSVPFLLYNCTDLLLTITESSCERNGSTLVIPSSFELDGQTRHLLGKNGLFLVSEDPPIQLVSFWRLKSFANKIPQLDFADGGSSYSNRTTANNTKDAPKECNKEAKAYMFAPSGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLVPASGSMNATIPQSSSSGAFLVAATSIPVSTELFGRTRAIAFQPSNDLFYRQKGTRFSKHLSSGQHSFLHWSDTERELLVSIRFDGPGWQWSGSFFPDRLGDVQLKMRNSASGVSNMIRVEVQNADIDVHSNKFAGRNNSNTGTILILLSDDKTGFVPYRVDNFSMEKCESIETIVYPYTSCQYAWDEPCYPHRLTVEVPGERSLGTYNLDILNDDIHVSLPLTSEKAERKFCISVHAEGAIKVLSVIDSNCHNMDKRETNLLGSREPKDADQKQELELNFSDVFRIHLPFIGISLISSLSQELLFASARETRIVAMQSLDQQQITIEMQSMQIDNQFSDSPYPVMLSFEGSHKGKNMNIFKSRDTKVRSPNENSSPEPILRLAAAKWRSNDAPFVSYQCINMSIAPFHLELEERLVFSMIDFIRSVSTRIHLGQLDRSFDLGILDDATDIFGRYEKISKRISGKPQSSYMVEAQQDQLLPSVVPIGAPWQQIHLLARKQKKVYIELFELTPIKLTFSFTSTPWLNRNESSSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIVVENLMASWQSIQDILVRHYSRQLLHEVYKVFGSAGVIGNPMGFARNVGFGLKDFVSASRRGILQGLVAFTYDEQAASKMDERERQLSLHGEGVLNGFLEGLTGLLQSPIKGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRPLFPYSWEEAIGISLLFQADGGRLREETFVMCKTLREPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWVIETEMSLKSIVHLDRAQEVVNIVGSNGETSPRDKRGSIRNRGASSAFIPLFHFSVEMPNIEDAEGTLQILLALIEKGKARRWDKNIIHRSNIY >ONIVA08G01150.1 pep chromosome:AWHD00000000:8:880842:882713:1 gene:ONIVA08G01150 transcript:ONIVA08G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGIPITSEKIATLVKAANIKVEAYWPGLFAKLLEHRSVDDLILSVGSGGGAAPVAAAAAPAAGGGAAAAPAAEEKKEEAKEESDDDMGFSLFD >ONIVA08G01150.2 pep chromosome:AWHD00000000:8:880932:882713:1 gene:ONIVA08G01150 transcript:ONIVA08G01150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGIPITSEKIATLVKAANIKVEAYWPGLFAKLLEHRSVDDLILSVGSGGGAAPVAAAAAPAAGGGAAAAPAAEEKKEEAKEESDDDMGFSLFD >ONIVA08G01140.1 pep chromosome:AWHD00000000:8:870113:875933:-1 gene:ONIVA08G01140 transcript:ONIVA08G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTSMAQRDEVIRRTVYVSDIDHQVTEEQLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEESARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >ONIVA08G01140.2 pep chromosome:AWHD00000000:8:870115:875542:-1 gene:ONIVA08G01140 transcript:ONIVA08G01140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVETSADHAAPPPPEGDAGEREMRDLEDLLSKLNPMAEEFVPPSLAAASPTAYAYYPTPTPSHVFPAVDGLAGPRPRKKGGGGGGGGGFGGQGHAGKRRMNSRTSMAQRDEVIRRTVYVSDIDHQVTEEQLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEESARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >ONIVA08G01130.1 pep chromosome:AWHD00000000:8:834148:836169:1 gene:ONIVA08G01130 transcript:ONIVA08G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASEQIDLDVIRDVDLNKLEPWDIQERCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNAVHRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPATDTAAATPTVTSAAAAAAAMAAAADGGQEDGWVVCRVFKKKHHHKEAGGGGGKHGGDGSAGAKAAHAYSSSDDALDQILQYMGRSCKQEHELPSPQASGGGGAGAGSRPASRYLRPIDTVLGGHGFMKLPPLARPTTSSASTPPTTTASPTTPPPPRGCSVVPTPAPTTTCGASRGRLRRHRRRRRRRRPRQSVSATWHCNT >ONIVA08G01120.1 pep chromosome:AWHD00000000:8:800205:800759:1 gene:ONIVA08G01120 transcript:ONIVA08G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVKSIKPFEEDYEQLLKDARDVHQRHGCIVNVLAVHPITGKRHEVTVDSTSDGDVSAPNEDHFTARVVRRYLRLKGRLGEVTLQMLSEEFGGAMRLADVKKLIFRVRAVRLAVLRRSKAVRMAASAELLPELARVGSLFRAPVAMVAPLEQGIKFASHLIALGSLIMNRREEKSVTLNMFG >ONIVA08G01110.1 pep chromosome:AWHD00000000:8:793123:799000:-1 gene:ONIVA08G01110 transcript:ONIVA08G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLEQYVRLKCQGLSFLGTTSDGRAIVFGNIVPPTWTMGDTFQGNGQLHITVQPVHNYKFVGVVDDNLVALAGDGVDGKRVDYAAGSLGNVLGILEQLLIVLFERLTALNEPKDY >ONIVA08G01100.1 pep chromosome:AWHD00000000:8:777494:779367:-1 gene:ONIVA08G01100 transcript:ONIVA08G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAGTTSSWLTAVKRAFRSPSKDDSPNKAARLRDDTDDDKGKRERRRWLFRKSSSPSPAPPTPPPPQQQQQQSRAAAVTEEQRHAIALAVATAATAEAAVATAQAAAEVVRLTRPSSSFVREHYAAIVVQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRMRLSQDSISLSAAAASAAPCGSSKSSYSVDTSTFWDSKYTHDFAAADRRSIERSRDGSSFAAGDDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQIWRNPAPSVEEMDVDGQPRWAERWMASRASFDTSRSTVRASAAAAPGRASTDHRDQVKTLEIDTARPFSYSTPRRHGNASYHASSSPMHRAHHHSPVTPSPSKARPPIQVRSASPRVERGGGGGGSYTPSLHSHRHHASSGGAAAVPNYMAATESAKARVRSQSAPRQRPATPERDRMSFGGGGGGGGAKKRLSFPVPIDPYGAYAQSLRSPSFKSAAGRFSSEQRSNVSSSCAESLGGDVVSPSSTTDLRRWLR >ONIVA08G01080.1 pep chromosome:AWHD00000000:8:753926:756053:-1 gene:ONIVA08G01080 transcript:ONIVA08G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMLPLLVILVVGLRLAGASPPPQPVACTKGTTDCTVTNVYGSFPDRTICRAADASFPRTEAELVAAVAAAAAAGRKAKAATRHSHSFPKLACPGGRDGTIISTRFLNRTVAVDAAARRITVESGVVLRDLIRAAAAAGLALPHSPYWYGLTVGGLLATGAHGSSLWGKGSAVHEYVVGLRIVTPAPASQGFAVVRELVAGDPDLDAAKVSLGVLGVISQVTFELQPQFKRSVRFVTRDDSDFAEKVAVWGGAHEFGDMAWLPRQGKVIYREDDRVDVATPGNGLNDYLGFRAQPTLGLITARAAEERLERNGTDIARCLAARLPPSLFELQAYGFTNDGVFFTGWPVVGFQHRIQASGTCISSPEDGLLSSCTWDPRIRGPFLYNSGFSIALPRAAAFVADMMRLRDLNPRAFCDIDAKLGILMRYVKASSAYLGKPEDCVDFDVTYYRSYDDGEPRPHSDVFDELEQMALRKYGAVPHWGKNRNFAFDGAAAKYPNSGEFIKVKERYDPDGIFSSEWSDQVLGISGSPNIVDKRCAIEGLCVCSDDSHCAPELGYFCRPGKLFKEARVCSKDKSAAAGDDDLLDEL >ONIVA08G01070.1 pep chromosome:AWHD00000000:8:748565:750431:1 gene:ONIVA08G01070 transcript:ONIVA08G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6K5] MCSWSLSSHTLTSPVRQAAMEPKSSSCGGAGIRLRLLVVLHLLLLVPSSAMAFNYADALAKSIIFFEGQRSGKLPPGNRMPWRADSGLTDGAQYNVDLVGGYYDAGDNVKFGLPMAFSTTMLAWSVLDFGKFMGAELPNARAAVRWGADYLLKAATATPGALYVQVADPNQDHRCWERPEDMDTPRSVYRVTADKPGSDVAGETAAALAASSMVFRRADPAYSARLLHAATQVFDFADRHRGSYSDSLASSVCPFYCSYSGYHDELLWGASWLHRASRNASFMSYVEANGMQLGAGDDDYSFSWDDKRVGTKVLLAKGFLRNRLHGLELYKAHSDSYICSLVPGTASFQSRYTPGGLLYREGSSNMQYVTTATFLMLAYAKYLRSSGATASCGDGGGGARGEVSAAELVAVAKRQVDYILGKNPAGMSYMVGFGCRYPRRAHHRGASMPSVRAHPGRISCDAGFGYLHSGEPNPNVLVGAVVGGPDSRDAFADDRGNFAQSEPATYINAPLVGALAYFAGTTK >ONIVA08G01060.1 pep chromosome:AWHD00000000:8:736430:738475:1 gene:ONIVA08G01060 transcript:ONIVA08G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLLAPVAAPWSRVPSAGRRVRCAATAPAPMGEKTEYRDGPVERAFMGLFARKMEKYAVVSSSGGKGKEKKKEKSSRSVWEWDYESFVDVSRRVMVGRTRAQQQEAVREVLLSMLPPGAPEQFKKLFPPTRWACEFNAALTVPFFHWLVGPSEVVEVEVNGVKQKSGVLIKKCRYLENSGCVGMCVNMCKIPTQNFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPASKQPCYANLCSISTPSAPICPKLQT >ONIVA08G01050.1 pep chromosome:AWHD00000000:8:733162:733833:1 gene:ONIVA08G01050 transcript:ONIVA08G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEKPPAAAAGAIGGEVAAGAVAVDACLVAAAMAGASLLAWWAVAFHPSNSRLWMVPVGLVLACTPAIVYLALSLQPPSTVSDHKGSHAAGHPPPPPPLSMVLSTADQT >ONIVA08G01040.1 pep chromosome:AWHD00000000:8:731999:748623:-1 gene:ONIVA08G01040 transcript:ONIVA08G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWPLRTYIWELRQSQWRPQTPIVLLIHAPQLSKAHFSSFPVSAQTSPLGDATTTTLFFFPIAVVDLAGDTAATAPPPPSLPRIVAG >ONIVA08G01030.1 pep chromosome:AWHD00000000:8:727038:731951:-1 gene:ONIVA08G01030 transcript:ONIVA08G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G23093) TAIR;Acc:AT2G23093] MGVVIEREEWALTPLAYPLLSAASLAAVLLLPYFSPPSHATAAASPSSHSPFDVGTTPFLRFRRGFLFVFSLASVAEGIHSVFGEDEFARCGLGREQMAARLAAAAAAVLFLGGFSGVVSDKLGPRQACIFYWMLQLAVGALKSFSGLRCAWISNLISALASSMFYFCFETWFVVEHEKQGQKQDLLFDSFWLMTFFESMSLLGSQGITNLLVNDDDKGFLLPYAFAALLSIVGLLYIRKNAPSTTHHASVIGSYQKSFFAHVFRDKRVLILVLAQASIHFSMSAFWFLWAPTIVADGRDAQLSLIFPCFLASRMFGSASFPWFYGTTAPFQNEDSLTIAYVTVGIALSIVAYDYQDIGTLVILFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLGLANAAIFIFLMQDHLYHKKDIHLCAHMPSRDSEHSVLPAGFIPSKHCKFNHSRICGLWPSSGWGLHSYAEAAEKAHSARYTQFVESWADNMGLHNQLQDKSSKRRISCLRVFPGVAEVRNLSHLKAFFSHLYDTVYLTSALTPLISLSL >ONIVA08G01030.2 pep chromosome:AWHD00000000:8:727896:731951:-1 gene:ONIVA08G01030 transcript:ONIVA08G01030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G23093) TAIR;Acc:AT2G23093] MGVVIEREEWALTPLAYPLLSAASLAAVLLLPYFSPPSHATAAASPSSHSPFDVGTTPFLRFRRGFLFVFSLASVAEGIHSVFGEDEFARCGLGREQMAARLAAAAAAVLFLGGFSGVVSDKLGPRQACIFYWMLQLAVGALKSFSGLRCAWISNLISALASSMFYFCFETWFVVEHEKQGQKQDLLFDSFWLMTFFESMSLLGSQGITNLLVNDDDKGFLLPYAFAALLSIVGLLYIRKNAPSTTHHASVIGSYQKSFFAHVFRDKRVLILVLAQASIHFSMSAFWFLWAPTIVADGRDAQLSLIFPCFLASRMFGSASFPWFYGTTAPFQNEDSLTIAYVTVGIALSIVAYDYQDIGTLVILFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLGLANAAIFIFLMQGSYHQNIANSTILGFAACGLLVAGGCIHMLRRRRKHTRQDTRSL >ONIVA08G01020.1 pep chromosome:AWHD00000000:8:721476:721820:-1 gene:ONIVA08G01020 transcript:ONIVA08G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRSTAAAAGGRLYGQVGVKRRVVEETAAAVEVGGGGGGYLGVEASVLLGVVTATLLVLPLLLPPLPPPPPMLLLVPVAIFAVLLLLVLLPSDAKSIAAAGRPSSSSSSSYL >ONIVA08G01010.1 pep chromosome:AWHD00000000:8:714378:718583:1 gene:ONIVA08G01010 transcript:ONIVA08G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDHHQDVVAAPRGGGGGGDDGQAHDMVMPGFRFHPTEEELIEFYLRRKVEGKRFNIELIAFVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTPSGYWKATGADRMVKVEGDRPIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGDADRYQKEISLCRVYKRPGIEDNFHLTGTTTKSSGSKAAAAMGKKHAAANRTSSTAAAAAPRLAPMFDGGGGHHSSALMGKATYNASHAAAEGTNAIAMSLSAAAALHPPAPAMFRSTASVASLSSTTSTEEDGTSLFHLKAGATTTNTTTMHHHHHQQQLPASTHALLNTNSSAMATIPIDELSRAIGSYSNNHHQPNSTTTHQSTALPPSQQSPLLPFASMEKIWDWNPLLESPKVCTNFK >ONIVA08G01000.1 pep chromosome:AWHD00000000:8:699096:700313:-1 gene:ONIVA08G01000 transcript:ONIVA08G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRYCRCGTTMLTMLLFVVTNSASVLLSSGAGAFLLRRYKPATARLWAWDDSAALLDDLNTTQSALADTHAQLADLHARLGTANSLLETLLAAMAAERRDGGTPWARELSGELELAVAPHRNVTGKATVFPALGHACARFQDDLEAYMRYTPGGECPSDEQLARRLMLNGCDPLPRRRCRPRSPAGYVQPALLTKSLWAIPPDTTVVWDAYRCKNYSCLVRGGGGGEFDLLGREKRRWMRDDGALAYSIDSVLAARPNGTVRIGLDIGGVSGTFAARMRERGVAVVTTAMNSGGPSGSLIASRGLVPVHVGPAHRLPFFDGTLDIVHWTSPEHVAGVMLEFALFDIYRVLRPGGLLWLDHFVFPGEQLNATFAPMVDRVGFRRLRWNTGKKLVSALLEKPMT >ONIVA08G00990.1 pep chromosome:AWHD00000000:8:693886:698796:1 gene:ONIVA08G00990 transcript:ONIVA08G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAADSAASKRQAELLKQEGNAFFKKDRISAAIDAYTGAHYMLGLALLNKDELAEGIKELEKVPDHLCCKITLDIFRDPVITPSGITYERAVILDHLHRVGKFDPVTRETLEPHQLVPNLAIKEAVHAFLSEHGWAYRMR >ONIVA08G00980.1 pep chromosome:AWHD00000000:8:683652:692313:-1 gene:ONIVA08G00980 transcript:ONIVA08G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMBRYO DEFECTIVE 140 [Source:Projected from Arabidopsis thaliana (AT4G24270) TAIR;Acc:AT4G24270] MATPMEEDLPGAEAEAAGPAPPPAAATGGDGDGENPAPAPASPFSDSDSDSDDGGEGGDAADELRIQALEQALQEQPLDYESHVQYIQCLRKSGKIEKLRAAREEMNKYFPLTPKMWQEWTKDEASLRPESFEDIEKLYECGVQEYLSVRLWRDYLDFVEENDKSVSQCSPSGLTKMRNLFERAITAGGLHVTDGSKLWEAYREYEMAILTIIDDDDEEKAKQVQRIRVLFHRQLSVPLVDMESILAEYKSWEAEQGNANDPTSNFDGVPSNVVAAYKKATEMYNVRKQYEDQLSNADASDGDKLEEFLKYIKFEESSGDPARVQVLYERAVAELPVSTDLWMGYTSYLDKTLKVPAVLKSVYQRATRNCTWISELWVRYLLSLERIRASEEELRHVFEQALQCSFPSIKEYLEIYLTRVDSLRRRMADGLDFQLIRQTFMDATEFLSPQMGTEDLLLLHAYWAKLERTLGNDLAAARGVWENTLKKSGSVLEVWQHYIAMEIETEHIHEARSLYKRCYTKRFSGSGSEEICHAWIRFERECGTLEDYDLAVKKVNPRLKELMMFKAQQEVKVDTHVVPKETTGSDFSQKRKSSKIPTKQQPPAKKKKDNPPNSAVLSDDHGSKEQISTGHVKTGEVSGEKTQASMEVNLVDGSRRENTASKESKSNFYSDKCTAYMSNIDLTANEEHIRRFFSDIGGVTAIRLLRDKFTKKSRGIAYVDFSDNEHLEAAIRKNKHKLLAKKVSVARSDPSKGKKNREAGSFSKDQATSGDRGETAEFANRPDKEIPKDKPTITGKNTFAAPRSVVKPLGWTQKDEKSDVGAEELKSNEEFRNLLLKKCVDLHVAIKL >ONIVA08G00970.1 pep chromosome:AWHD00000000:8:679553:682588:1 gene:ONIVA08G00970 transcript:ONIVA08G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G10260) TAIR;Acc:AT4G10260] MAPLGDGAAAAAAEPNLVVSFGEMLIDFVPDVAGVSLAESGGFVKAPGGAPANVACAISKLGGSSAFVGKFGDDEFGHMLVDILKKNGVNAEGCLFDEHARTALAFVTLKSNGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLITEPCRSAHVAAMRAAKSAGILCSYDPNVRLPLWPSEDAARAGILSIWKEADFIKVSDDEVAFLTQGDANDEKNVLSLWFDGLKLLIVTDGEKGCRYFTKDFKGSVPGFSVNTVDTTGAGDAFVGSLLVNVAKDDSIFHNEEKLREALKFSNACGAICTTKKGAIPALPTVAVAQELISKAAN >ONIVA08G00950.1 pep chromosome:AWHD00000000:8:666311:675799:-1 gene:ONIVA08G00950 transcript:ONIVA08G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTMISNLTSFFIIFDSLLLACDEEDESYVGVASAAGIHGQMPCITLAVADAGGGGGEVDALKKLLHMPGRLGKLGTRMHTLGLNPMKAELQDIISEVDTDGSGIIDFYKSGLRGGGDNYIVDVGSVFNAR >ONIVA08G00940.1 pep chromosome:AWHD00000000:8:665093:672435:1 gene:ONIVA08G00940 transcript:ONIVA08G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRPAPASMVVLCAVVVVGAVFVVAAEGGGSEEAAASTGKAAMVPALFVFGDSLIDNGNNNNLASVQLIAAELLGLPLVPPYSQASGHVQQLLQGVNFASAAAGILDESGGNFVGRIPFNQQIDNFEATVEQIAGAVGGKEAAASMVARSILFVGLGSNDYLNNYLMPNYNTRRRYTPRQFADLLADRYAAQLTRLYKAGARKFVVAGVGSMGCIPNVLAQSVESRCSPEVDALVVPFNANVRAMLGRLDGGGLPGASLVFLDNYGVFKAILGDPAAHGFAVVDRGCCGIGRNAGQVTCLPFMPPCDGRDRYVFWDAFHPTAAVNVLIAREAFYGGADVVSPINVRRLAAL >ONIVA08G00930.1 pep chromosome:AWHD00000000:8:661771:662864:-1 gene:ONIVA08G00930 transcript:ONIVA08G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase subunit 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G08480) UniProtKB/Swiss-Prot;Acc:Q941A6] MGIHEHVEGIKAHWAKNFAFLDYFKKVYGRDKPLPKWTDADVDEFIASDPVYGPQLKAMRESRKFALGGALVGGAHLGGIALKYSKAPHGVVLATGFGAICGAVVGSEVAEHWYQLYKTDKQGANLRFIYWWEDKVAGNQKS >ONIVA08G00920.1 pep chromosome:AWHD00000000:8:657763:660861:1 gene:ONIVA08G00920 transcript:ONIVA08G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSILCEADIGIIIFSAHGKLYDLATTGTMEELIERYKSASGEQANACGDQRMDPKQEAMVLKQEINLLQKGLRHLTLDKIKTHGRYIYGNRANEHMTVEELNALERYLEIWMYNIRSAKEGMLKAANEILQEKIVEQNGLIDVGMMVADQQNGHFSTVPLLEEITNPLTILSGYSTCRGSEMGYSF >ONIVA08G00920.2 pep chromosome:AWHD00000000:8:657763:660861:1 gene:ONIVA08G00920 transcript:ONIVA08G00920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSILCEADIGIIIFSAHGKLYDLATTGTMEELIERYKSASGEQANACGDQRMDPKQEAMVLKQEINLLQKGLRYIYGNRANEHMTVEELNALERYLEIWMYNIRSAKEGMLKAANEILQEKIVEQNGLIDVGMMVADQQNGHFSTVPLLEEITNPLTILSGYSTCRGSEMGYSF >ONIVA08G00910.1 pep chromosome:AWHD00000000:8:649657:653701:1 gene:ONIVA08G00910 transcript:ONIVA08G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDPRKPNTSLYARTAQPSPARPMRARRNQPHLLLSSPSSLSRQGNFLEVGEEERSRSEARMGRKRKELLSSAPWRTGEAAEDDDEAARLSREGKVSVTSNPGETPTMHVPRNKRQDLDLAVDDFDEDEIDPELRYSFQRNSRFLRRVFSVDTLVKPLPPVMAYSVSRNVNFFFKIFTQFWDEEGIANAQKSLGLGNEDGSRRR >ONIVA08G00900.1 pep chromosome:AWHD00000000:8:641150:646154:-1 gene:ONIVA08G00900 transcript:ONIVA08G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGEEQRGGEGKPRRRPSSNSLRRLVSYNSSKRHEHFEEEDEEGVVVSATSSSAGHRVGNDVSTARLIRKPPAPVVEAVAVPVAALPDEAASVAVSVVDVERPVAAPANWRRAPDGAAEQEPRSGGTRSEAKPRITDVVPNGVQGGHAAAGWPRWLTEVAAEAVRGWQPRKAESFEKLDKIGQGTYSSVYKARDLESGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLEHCHNRGVLHRDIKGANLLIDNNGVLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEDFWANLKLSRATIFKPQHPYRRCVSDVYKDFPPPALALLDCLLAVEPQNRGTAASALGSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAVKGHESEAGRRKQLPAPNGNNELQQRRVQLNPKSSSNKFIPKEDAVTGFPIDPPGRAGDNVYPQRAPLMHAGRSSSTLGRSSGTDQNAQRFYTSQIAAAEMSTATGGQRGNATKLSSNLGESARRQYLREHRSSSRYSQLAAAEPSDRPEWTHQFQERPSSSHRKDDGAANKEPTVVNGAKKSRIHYSGPLMPPGVNMEEILREHERQIQQAVRRARLDMGKGKNHVERDQSESLLYTTQNGRPKGKSFLLS >ONIVA08G00900.2 pep chromosome:AWHD00000000:8:641647:646154:-1 gene:ONIVA08G00900 transcript:ONIVA08G00900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGEEQRGGEGKPRRRPSSNSLRRLVSYNSSKRHEHFEEEDEEGVVVSATSSSAGHRVGNDVSTARLIRKPPAPVVEAVAVPVAALPDEAASVAVSVVDVERPVAAPANWRRAPDGAAEQEPRSGGTRSEAKPRITDVVPNGVQGGHAAAGWPRWLTEVAAEAVRGWQPRKAESFEKLDKIGQGTYSSVYKARDLESGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLEHCHNRGVLHRDIKGANLLIDNNGVLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEDFWANLKLSRATIFKPQHPYRRCVSDVYKDFPPPALALLDCLLAVEPQNRGTAASALGSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAVKGHESEAGRRKQLPAPNGNNELQQRRVQLNPKSSSNKFIPKEDAVTGFPIDPPGRAGDNVYPQRAPLMHAGRSSSTLGRSSGTDQNAQRFYTSQIAAAEMSTATGGQRGNATKLSSNLGESARRQYLREHRSSSRYSQLAAAEPSDRPEWTHQFQERPSSSHRKDDGAANKEPTVVNGAKKSRIHYSGPLMPPGVNMEEILREHERQIQQAVRRARLDMGKGKNHVERDQSESLLYTTQNGRP >ONIVA08G00890.1 pep chromosome:AWHD00000000:8:621243:640505:-1 gene:ONIVA08G00890 transcript:ONIVA08G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPTSPPKQHLLRIRRCLPSVWRNAAPAQESPPPSPPHPASSLSAILYSCTARRARRPGELAHARAATLGLAAHPSVLPRLASFYLALADLPAARAAVEQAAGKARAFPWNLLIWGYAGRGLWEDVILSYEKMVAWGVAADRFTYPSVLRACGELREVTIGRNIEQRIRRCRYGLDMYVWNALVGMYAKCGELEDARRVFDGMAVRDVVSWNTMVSVYASTGKWNKAFDLLRQVPGANVVTWNAVAAGNLKAGNYDEVIRLVSQVRGYHGTGVDSVTIVIGLKACGRTGNSTALPSQNTGVSDTNFATALASLLYLATHTALELATKMEANTAGGGGGVLVVSRRMIRPEFKELPPEHDTTVHLTPWDLRLLTVENIQKGILLPKPPTGGETLVEHLASSFARALGRFYPFAGRLVVEEVDGGASPASSVSVSLRCNDEGAEFVHAAAPDVAVADIAASLHIPRVVWSFFPLNGVVAAHAATESLPVLSTQVTELSDGVFIAMSVNHVVGDGTNFWEFMNTWSEISRSSGGELGISPSASTSTSPPLVVKRWFLDNCTVPIPLSFAKLEQIIPRSEHQAPVQECFFAFSAASIRKLKAKANDEIAGAAVAISSLQALLALVWRAVSRARGLAPRQETAYVVVVGCRGRVGGISSGYMGNAVVPGAVRLTAGEIMERGLGWTAWQLNKYVASFDEAAMRGALASWPRRPDFFSVLSLLGGAAIITGSSPRFDVFGNDFGWGRPATVRSGGANKFDGKVTVYEGPDGAGSMSLEVCLTPAALAKLVADEEFMGANMNAGNDGWLELTSQQPPGGPHAEKSYTHHYGCNQKMEGNTGGVRVVSRRVIRPELPPEHETTVHLTPWDLPLLTAEYIQKGVLLPKPPTGGEHLVEHLASSFARALGRFYPFAGRLAVAEVEDGASPPSSISVFLRCNDEGAEFVHATAPDVAVADIAGSLYIPRVVWSFFPLTGLLPAVAAADSLPVLAAQVTELSDGVFIAMSLNHVVGDGTNFWEFMNTWSEISRSRSKLDISPSPPLVVKRWFLDTCPVPIPLPFAKLEHIIPRRDHPPPPLQECFFAFSAESIRKLKAKANGEIAGAAATISSLQALLAHVWRSVSRAHGLAPRQETAYVLVIGCRGRVSGISPGYVGNAVVPGAVRLTAGEVMERGLGWTAWQLNRFVASLDDAAMRGAIAPWPRAPEFANFAKAAGGTAVHTGSSPRFDVFGNDFGWGRPVSVRSGGANKFDGKVTVYEGPGGAGSMSLEVCLAPAALGKLVADEEFMGATPYVKRTAERQTWRPPPAGWIKWFKARAAGVARWHSHGLDALIDDMMEALACRDGIRYLKLNYFPLNNPRR >ONIVA08G00880.1 pep chromosome:AWHD00000000:8:613346:614740:-1 gene:ONIVA08G00880 transcript:ONIVA08G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHIMSRRMVRPAAEHAGELPDHETVHHLTPLDLQMITVDYVQKGVVLPKPPGGGEHVVEHLVSSFARALARFYPLTGRLAIAETASPGGVPTISISLRCNGEGAEFVHAVAPGVTVADIADSLYVPRVVWSFFPLNGMLGTDAAVESRPVLAAQVTELADGMFVAMSLNHGVADGFTFWHLFRTWSEISRRSDDSADLELSTPPPVFDRWFVDGIPMPIPLPFAKLEDMVRRPVYTPVEECFLHFSAESVRTLKEKANAEMAAAAATATISSLQSVLAHTWRAVCRARRLAPEMETSYGLSVGLRARVKEVPQEYMGNTVVGAVAKATAGELLDKGLGWAAWLLNRAVAASGDEASVRRMVAAWPEKPSFMMVADLQNAGVMVISGSPRFDVLGNDFGWGRPVGVRSGAGNKVDGKMTVYEGRGGGSMAVEICLAPEALARLVADEEFMSAVTAPPPTHH >ONIVA08G00870.1 pep chromosome:AWHD00000000:8:601501:604880:-1 gene:ONIVA08G00870 transcript:ONIVA08G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDHRNVALAVDRVRVLSRRLVRPSSSSSSSGHAPPCDIHLTPWDLRFLSIDYIQKGVLLPKPPLSGDRLADALASSFARALALFYPFAGRLVAEERADDGTVTVALRCTGEGAEFVHAAAPGVAVSDVVSSLYTPPEVWSFYSYNLVLGADAATESRPVLSTQVTELADGVFLGMSLNHSVGDGTTFWKFMNAWSEINRRAGGAMSDDLMIREISTPAPVFRRWFVETSPVPIPMPVGKLQHIVRRLERPEVQECFFTFSATSARKLKAKANDEMSGAATATISSLQAVLAHLWRGVCRARRLPPEQVTFYTVMVGCRGRVNGIPAWYVGNALMFGKAEATAGEIEEKGLGWTAWQLNRAVASFDEAGMRESLERWVREPEFTYMSKLQSGDAGGVALITGSSPRFDVFGNDFGWGRPVAVRSGAGNKIDGKATVFEGPDGAGSMSLEVCIAPDALRRLVADEEFMDAVTLPSSCSLGKYINFGFSPINKDVTRRCNPFLLHAKSWIQWSYQFSLTLDEYLLIGLLSE >ONIVA08G00860.1 pep chromosome:AWHD00000000:8:596081:597177:1 gene:ONIVA08G00860 transcript:ONIVA08G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWRAGLSGGAGRAHHANLTRGPSASSPNARLRRLISRDDLAEAARLVDRSRGEAPDAAAAAAAKTNAGEVREPKHRAHIAVDGVEVVSRLIGRYYDGLALELVLFSLAVVVLRYATVLYANHLVDSLSEFQAAVSAGIGGGGGLSSGGGLDSAAIARLPCFVLPPRRGGSAAAAVTAECAVCLGTVEELETVRALPCCPHAFHAHCVDAWLRQRPTCPLCRADVPVMARPTSSTIANGKQQQTADDAFLLIRPRCRPLHFHPLRALNAGLSRVVAAGGAAPYPPPPPPTPTTRPAPRAAADDKAEWRGVVGAASPHLPPLSHPPTPPLRSGARPVAWRRERERGEGERRGDGDDVAN >ONIVA08G00850.1 pep chromosome:AWHD00000000:8:581871:587457:-1 gene:ONIVA08G00850 transcript:ONIVA08G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6I0] MGSSELEETKAVLHMDCEKPPAISWERTFDDEGKKVAMFSMTLNDMMAIVPLMIKMLGLNLKDNAKGLASVYDPLKKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPSIYEEKPILANQFSAFISRPDGKRYSTVLSAPNADVLKGIDKAGIGSWDWKLKEKNCTYHGLFPRSWTVYNGEPDPEIKITCRQISPFIPHNYKESSFPVAVFTFTLHNSGSTPADVTLLFTWANSVGGKSELTGNHKNSRMTARDGVHGVLLHHRTADGRPPVTFAIASRETDGVRVTGCPRFTMGPSNSGDVTAKDMWDQINKNGSFVGDGNAAAAATGASRPGSSIGAAVAATTTVAAGGARAVSFALSWSCPEVKFPAGRTYHRRYTKFHGTDRDAAAERLAHDALLEHMKWESQIEEWQRPILQDKSLPEWYPITLFNELYYLNAGGTIWTDGQPPKNTSLSSATEPFNLDTFSTVANGGSAVDGILSTVAAAAARSNTAAAAAAAAMGTALLRDGEENVGQVLYLEGMEYNMWNTYDVHFYASFALLSLFPELELNLQRDFVRGVLLHDPCLRRTLDGATVARKVLGAVPHDMGLNDPWFEVNAYMLHDPVRWKDLNPKFVLQVYRDVVATGNAGFAEAAWPAVYLAMAYMDQFDRDGDGMVENEGRPDQTYDLWSVSGVSAYTGGLWVAALQAAAAMAGIVGDGAAEAYFRGRYHRARRVYTDELWNGGYFNYDNSGGATSSSIQADQLAGQWYARACGLEPIVDGDKARRALATVLDYNVMRVKGGAIGAVNGMRPDGAVDASSTQSKEVWPGVTYAVAAAMIHEGMPEAAFKTAKGIHDAGWGKHGFGYAFQTPESWTADGGYRALHYMRPLGVWAMQWALSPPVLHKEHRVAAVAASPEDAALGQEKFDKVASMLRLPEEQQHKGILRALYDTLRQLLLPS >ONIVA08G00850.2 pep chromosome:AWHD00000000:8:581871:587457:-1 gene:ONIVA08G00850 transcript:ONIVA08G00850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6I0] MGSSELEETKAVLHMDCEKPPAISWERTFDDEGKKVAMFSMTLNDMMAIVPLMIKMLGLNLKDNAKGLASVYDPLKKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPSIYEEKPILANQFSAFISRPDGKRYSTVLSAPNADVLKGIDKAGIGSWDWKLKEKNCTYHGLFPRSWTVYNGEPDPEIKITCRQISPFIPHNYKESSFPVAVFTFTLHNSGSTPADVTLLFTWANSVGGKSELTGNHKNSRMTTADGRPPVTFAIASRETDGVRVTGCPRFTMGPSNSGDVTAKDMWDQINKNGSFVGDGNAAAAATGASRPGSSIGAAVAATTTVAAGGARAVSFALSWSCPEVKFPAGRTYHRRYTKFHGTDRDAAAERLAHDALLEHMKWESQIEEWQRPILQDKSLPEWYPITLFNELYYLNAGGTIWTDGQPPKNTSLSSATEPFNLDTFSTVANGGSAVDGILSTVAAAAARSNTAAAAAAAAMGTALLRDGEENVGQVLYLEGMEYNMWNTYDVHFYASFALLSLFPELELNLQRDFVRGVLLHDPCLRRTLDGATVARKVLGAVPHDMGLNDPWFEVNAYMLHDPVRWKDLNPKFVLQVYRDVVATGNAGFAEAAWPAVYLAMAYMDQFDRDGDGMVENEGRPDQTYDLWSVSGVSAYTGGLWVAALQAAAAMAGIVGDGAAEAYFRGRYHRARRVYTDELWNGGYFNYDNSGGATSSSIQADQLAGQWYARACGLEPIVDGDKARRALATVLDYNVMRVKGGAIGAVNGMRPDGAVDASSTQSKEVWPGVTYAVAAAMIHEGMPEAAFKTAKGIHDAGWGKHGFGYAFQTPESWTADGGYRALHYMRPLGVWAMQWALSPPVLHKEHRVAAVAASPEDAALGQEKFDKVASMLRLPEEQQHKGILRALYDTLRQLLLPS >ONIVA08G00840.1 pep chromosome:AWHD00000000:8:580551:581718:-1 gene:ONIVA08G00840 transcript:ONIVA08G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQDYHWDTVPFVLGADVFVCLSPRRRGRGRRGIAPGRTPTRRARPLRPRAAPGRHHGGKTQHPSAVSATDAYTTRWRYMVACSRASGRIVNKSSGKLTSWSTMASTEKERKSHRAAAGALHDPNVDMLDVGLSGPQLYDSDSPAATGVSPAPAAATTTIVVSHAKGSNSSVACKCVKRNDTIWGAWFFFTHYFKPVMLANKNGKAKAPIAVGTGRCTCEEDVVAAAPPHLVPAGPLRNPDQREEGSLPGRRRSSSIFLVRNEEEPAG >ONIVA08G00830.1 pep chromosome:AWHD00000000:8:580188:581037:1 gene:ONIVA08G00830 transcript:ONIVA08G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPFQREDRRHGRQAARRGGKAAMRLGGAGWRGEAGDRRGGMAAAAGSDGHRHLHPRRPPQPNRDPSPSSKPATPSSSGGGIRHLQLPPRRHQAPLPQARHHEGRLLLLILRRRHLRIILARGLLLVANQEDGRGAAASGEGSLLPLIRVSEWAGGDEMRRSGGDDIFLTLGEEEPRAPYGIATLELEPLACDTTIVVVAAAGAGDTPVAAGESES >ONIVA08G00820.1 pep chromosome:AWHD00000000:8:574027:580062:1 gene:ONIVA08G00820 transcript:ONIVA08G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAAAPPPEVVAPVEEQTAPAVGASTLEEAEPAAAAAPAAEGEGEHKRKLEEVDAAPDANGGGEDAKRPRVEGDGEGVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKVPPTEDSQMGSGEKPAAEAAVTEAPPQEGGGAPGQETSRIINVPNNKVGVLIGKSGETIRNLQMNSGAKIQITKDAEADANAPTRSVELVGTLESIDKAERLIKNVIAEADAGGSPALIARGFGSGQSGSEQFEMLVPDNKVGLIIGKGGETIKTLQTRSGARIQLIPQHPPEGVTLTERTVRITGNKKQIEAAKDMIKQAMSQTFSRHGAQSGGYGQQNYRPQGHGAASQWGPRSQPQPGYGYPPRGPPPQNMPYSQPYGGYPQQPPPRGGMGWDQRQGPPPHASHHGGGYDYYKQGSQPYEGQPPNYPPGPGNYNSYGPSQGPNYGQPQYPQSAPPQNYGPGYGDPRYNAPAPNQQYYGQPPAGPQQGYPPQQDPYARPYGGPGQWAPRGAPAGDGTYQAPPPTSYGPPSQQPPAYGQTYGPTTGPDGYSQQSYPQQGAQAPATYGQSAPAGPGYAQQGAQQGGYAQYPQSQPAYGDQAAQNNANYGYQGAPADPNYGNAYPQAGYGSTPASGQAGYAAAPAAGQPGYGQPGYTQPPTNPPAYDQSAQPPAQSGYAAPPANPPQPAVAKGVSPQPAGYGGQWTA >ONIVA08G00810.1 pep chromosome:AWHD00000000:8:562841:572720:-1 gene:ONIVA08G00810 transcript:ONIVA08G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAARGALGDDASGASTSQAAPGAVDGGASPDSLRNTPSNIARLEDAIEHCAARRKYLARTKSPSDGEDVRWYFCKLPLADKALSASVPRTEIVGKGDYFRFSMRDSLALEASFLEREEALLAYWWREYAECSEGPKGSLVAADASDSKSLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEQSVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIINSVSNQLNQLYTKFIKRNPGYNGKVSIYGHSLGSVLSYDILCHQESSSAPFPVDYMNMEVSSDEGHIAKSPDTVTAHESVMKEQDTSSISGHSCADNVNDVVDEGSTRTGPSCTEDTTLPTCALENSPKLTTDALPTAVDGEQIEVEKQVDNHKIACSEEGDNSSVRAKDIDSCIISRSAEGVHADVPDKDTLISSLKEEVERLKARLAQLEQHNDLVTESSAESHQGKSATHAVNLSSGKNRIGQGSTSESYTPHIRYTKLNFKVDTFYAVGSPLGVFLSLRNVRIGIGRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYISKRPVIVPYHRGGKRIHVGVQEFTEDIAARSQAVARQFKSLKVKAVAALLSLSRNDTDEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERMPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKDML >ONIVA08G00810.2 pep chromosome:AWHD00000000:8:562841:572720:-1 gene:ONIVA08G00810 transcript:ONIVA08G00810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAARGALGDDASGASTSQAAPGAVDGGASPDSLRNTPSNIARLEDAIEHCAARRKYLARTKSPSDGEDVRWYFCKLPLADKALSASVPRTEIVGKGDYFRFSMRDSLALEASFLEREEALLAYWWREYAECSEGPKGSLVAADASDSKSLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEQSVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIINSVSNQLNQLYTKFIKRNPGYNGKVSIYGHSLGSVLSYDILCHQESSSAPFPVDYMNMEVSSDEGHIAKSPDTVTAHESVMKEQDTSSISGHSCADNVNDVVDEGSTRTGPSCTEDTTLPTCALENSPKLTTDALPTAVDGEQIEVEKQVDNHKIACSEEGDNSSVRAKDIDSCIISRSAEGVHADVPDKDTLISSLKEEVERLKARLAQLEQHNDLVTESSAESHQGKSATHAVNLSSGKNRIGQGSTSRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYISKRPVIVPYHRGGKRIHVGVQEFTEDIAARSQAVARQFKSLKVKAVAALLSLSRNDTDEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERMPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKDML >ONIVA08G00810.3 pep chromosome:AWHD00000000:8:563009:572720:-1 gene:ONIVA08G00810 transcript:ONIVA08G00810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAARGALGDDASGASTSQAAPGAVDGGASPDSLRNTPSNIARLEDAIEHCAARRKYLARTKSPSDGEDVRWYFCKLPLADKALSASVPRTEIVGKGDYFRFSMRDSLALEASFLEREEALLAYWWREYAECSEGPKGSLVAADASDSKSLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEQSVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIINSVSNQLNQLYTKFIKRNPGYNGKVSIYGHSLGSVLSYDILCHQESSSAPFPVDYMNMEVSSDEGHIAKSPDTVTAHESVMKEQDTSSISGHSCADNVNDVVDEGSTRTGPSCTEDTTLPTCALENSPKLTTDALPTAVDGEQIEVEKQVDNHKIACSEEGDNSSVRAKDIDSCIISRSAEGVHADVPDKDTLISSLKEEVERLKARLAQLEQHNDLVTESSAESHQGKSATHAVNLSSGKNRIGQGSTSESYTPHIRYTKLNFKVDTFYAVGSPLGVFLSLRNVRIGIGRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYISKRPVIVPYHRGGKRIHVGVQEFTEDIAARSQAVARQFKSLKVKAVAALLSLSRNDTDEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERMPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKVRTYSRKSENDSSCESS >ONIVA08G00810.4 pep chromosome:AWHD00000000:8:563009:572720:-1 gene:ONIVA08G00810 transcript:ONIVA08G00810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAARGALGDDASGASTSQAAPGAVDGGASPDSLRNTPSNIARLEDAIEHCAARRKYLARTKSPSDGEDVRWYFCKLPLADKALSASVPRTEIVGKGDYFRFSMRDSLALEASFLEREEALLAYWWREYAECSEGPKGSLVAADASDSKSLYKVEEERVGVPVKGGLYEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEQSVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIINSVSNQLNQLYTKFIKRNPGYNGKVSIYGHSLGSVLSYDILCHQESSSAPFPVDYMNMEVSSDEGHIAKSPDTVTAHESVMKEQDTSSISGHSCADNVNDVVDEGSTRTGPSCTEDTTLPTCALENSPKLTTDALPTAVDGEQIEVEKQVDNHKIACSEEGDNSSVRAKDIDSCIISRSAEGVHADVPDKDTLISSLKEEVERLKARLAQLEQHNDLVTESSAESHQGKSATHAVNLSSGKNRIGQGSTSRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYISKRPVIVPYHRGGKRIHVGVQEFTEDIAARSQAVARQFKSLKVKAVAALLSLSRNDTDEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERMPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKVRTYSRKSENDSSCESS >ONIVA08G00800.1 pep chromosome:AWHD00000000:8:559083:560184:-1 gene:ONIVA08G00800 transcript:ONIVA08G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVSAGWSPDLAMDAYLHTLQLCKEQQQDDASGSGMVMEPRSMEYIAALAAGNQARHLLDVASSAGGGGASSSSSSSPATAVALAIAAARTGGRLVCVRDDQQGLDGVRRHLRRLGLATSAVDFQLAPSPSAAVRRLRRVDFAVVDAGVERCGEVLGAVDVDPMGAIVVVTNVFQEERTSWSSRSGHGDGSRVCSYGQVVGKGRSMVLPIGHGGMEVTKLGLGRRVGGGGLIGAHLQWQRQQKKKLVSTPKRTFLVCDGSS >ONIVA08G00790.1 pep chromosome:AWHD00000000:8:553033:559884:1 gene:ONIVA08G00790 transcript:ONIVA08G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6H1] MTRPVRSGPVRPEPQPPARLLRGASRLARNRKRRERSPLASPPPSCLLDPDLSSSAASPPPPWTPQGLMENFSPRTLLNSILRITVLTSDGSTARPKPIQKYCQNVCDISSIVSPLIEDLCESPEEQLNEVLRELGTAINRASGLIGNWQQTTSKIYFIWQIESVISDIQGCSLQLCQLVNSLLPSLTGRACTCIEKLQDINYENMFDLVKESSLELVETDTTSPENLSRLSSSLSLSTNLELYMEAVSLENLRARAMRSENREEMDLADKMIPLVNYMHDHLLRETQLLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHNIRLPDPMKSLKLNFPLAASALQDSSTTGSSPLHPTVAAKGNIPGSPEADLYMRSLNRASPPHSVVHQNSHAHVNHAGHEASIKQSSENANGSASDVSRLSLAGSETRESSLEERNAGSIGQTSEQSIEEAFQASNLDRDSHDHVGSSSVNGSLPNSGQLDAECDNGPSERTNYSSDASGEVTDGPSASSAPQREHLIPSRLADVRSRGQFVRRPSERGFPRIISSSSMDTRSDLSAIENQVRKLVDDLRSDSVDVQRSATSDIRLLAKHNMENRIIIANCGAINLLVGLLHSPDSKTQEHAVTALLNLSINDNNKIAIANADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVKYLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSSRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >ONIVA08G00780.1 pep chromosome:AWHD00000000:8:548841:550709:-1 gene:ONIVA08G00780 transcript:ONIVA08G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDGKAMLKSRGGGAAGGAGGASGDEEADYCPPTPRKEWWTTGLLVKLVTVAVIFMAGVVIGLSASANVSRYYYNSHTELFFPSNTFGACERADCAPTFKSFVHPPHLAHSMSDPELFWRASLVPVADDFPFQRVPKVAFLFMTRGPLPFAPLWDRFFRGHHGLYSVYVHTLPDYKLNVSKNSAFFARQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSAHSFVESYNIDTPQCAGRYNRRMAPHILPSQWRKGSEWFELNRELALRIIADNKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGSLNANRTITWVDWSRGGPHPARYGASNISEEFIQAIRNNGTRCTYNSKPTSVCYLFARKFAPSALGPLMNLTSTILDF >ONIVA08G00770.1 pep chromosome:AWHD00000000:8:541721:542554:-1 gene:ONIVA08G00770 transcript:ONIVA08G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSRSVKLVSTRAKPLEVEIAKEDERMSSSADNTVYCCIAKGRKIIYCYNSKDGDPHMETTAALCLENAPSYHRHYIHTAGSRSYGYLMADGHTFFAIIDPSVGNVGALQFLERVREVFRTVNRSGFHDSLVPAVQRLVASLEKMPHATFDLEESVEKGEPSDSSSCTSSKVPLLGRSGSRKDKKKAKEKAASAAVCEDEQHGTRGVRIDVPPEEVGGMSLERSASQSRLRRQHSSRSLWVRHVKIIIVVDAIICILLFAAWLAVCKGFQCVSS >ONIVA08G00760.1 pep chromosome:AWHD00000000:8:538083:543193:1 gene:ONIVA08G00760 transcript:ONIVA08G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVRVTGLGRPDAGVSRTKKQQQNQGLPRQHQQQQEAGGGGRAGREHAHHLFDELLRRDTTSIFDLNSALSAVASESPAVALSLFNRMPRADLCTYSIVIGCCSRAGHLDLAFAALGRVIRTGWTAQAITFSPLLKGLCHDKRTSDAMDIALRRMPALGCTPNAFSYNILLKGLCDENRSQQALHLLHTMMADDTRGGCPPDVVSYTTVINGLLREGQLDKAYCLFDEMLDQGMSPNCITYNCLLHGYCSSGKPKEAIGIFRKMCRDGVEPDVVTYNTLMVYLCKNGRSMEARKVFDSMVKKGHKPDSSIYGTLLHGYATEGYLVQMHQLLDVMKHAERPWEATIRKRYA >ONIVA08G00750.1 pep chromosome:AWHD00000000:8:531397:534123:1 gene:ONIVA08G00750 transcript:ONIVA08G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFNAFKSRVAVAWSPKLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETQEMPRTTAARPGHPSSSPTARPPLPRPRPRPRPRPLRATRLTSGHQKPFFNQDWLLYA >ONIVA08G00740.1 pep chromosome:AWHD00000000:8:521846:524924:-1 gene:ONIVA08G00740 transcript:ONIVA08G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFRCMSRKEHRGGGGAAVSRSKRMGSARSGRGGGGGKLTPAEEELLHRQALAMAIHQHLDAGGSMSRRIDAGGSMSRRIGPGSTSSRRRGDLPDSVTGAKPVQIVLENLETKKIVLVHGEGFGAWCWYKTISLLEEAGLDPIALDLTGSGIDNADTNSIATLADYSKPLIDYLNKLPENEKVILVGHSCGGASVSYALEQCPKKISKAIFLTATMVKDGQRPFDVFSEELASADVFLQESQLLIYGNGKDKPPTGLMFDKQQIKGLYFNTSPSKDTVLAAVSMRPIPLAPIMEKLSLTPENYGTVPRYFIQTLDDRMLSPDVQEKLVRENPPDGIFKIKGGDHCPFFSKPQSLNKILLEIAQIQAPTALLPGKAKTEAIEATEAKTEEEKTEKLS >ONIVA08G00730.1 pep chromosome:AWHD00000000:8:515933:520164:-1 gene:ONIVA08G00730 transcript:ONIVA08G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;RNA binding [Source:Projected from Arabidopsis thaliana (AT2G02570) TAIR;Acc:AT2G02570] MEDLSVEELASNLSTYKEQLREVRKIIKEKNDDPGISEYLDMEKELQEVITLTEELLATANQSGNTQNDVGLSPPNYSSGLQSEALDDPSQSHEKFAVGTKVQAVWSEDGEWYNATIEELTENGYYVSFEGWGNKEEVDPANVRSLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQMRSLPTKLRIDPNDPEDVKATKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDHRGKVGVTGSGKGLTEFQRREKHLHLKDGSSDALDEE >ONIVA08G00720.1 pep chromosome:AWHD00000000:8:501328:515885:-1 gene:ONIVA08G00720 transcript:ONIVA08G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAYFRTWSEWIRPLWNSSEYASGIWKISATWRYRNCETDDVQANSRVYIEEIQLIHPMPATVETN >ONIVA08G00710.1 pep chromosome:AWHD00000000:8:498745:501264:1 gene:ONIVA08G00710 transcript:ONIVA08G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRHGALALTTSFLLAAVAVFLPGHAAARQFSTVAISNSPDATVVCALVRPKDVPDDVPGAKLRCTSMPTGEVMSYPSADVPYNAIAAGTDFLCGLMAPNGGHAAMRWWSFSDEAAANRSRPVGRRLYWGPSLRALSAGGPHVCGLSDAHDPTCWEWPGLSLPKGLDFSRIALGRDFLCGVVKGNASAMTCFGGGMAPPAFAGAASIVAVAAGHRHACAVDDDGGMSCWGEGYPDMRPGDLPADMKSMALGRNTTCILDGDDMVRCWGVEVPEEYRRASFVSIEADGDTVCGVLTTNFSVVCWGNERFHGRHLIFNDTMPGACATVGNCSSSCGFVPGSAALCGNGGGEGGEQLVVCQPCKLPLNASRLVVGNGTSKNAAAPTDSGKNRKAVKVAVTAAGVGVAALVVAGLVLYLAVVRKRGGKKNATVQLGESSTLTLCRDLEGAVIMPAPEASPPPPPPPPLGCEEFTVKELSRLTNGFAEERKIGSGSFGSVYRAKLPDGREVAIKRAERPRAASGGGRRRRRRYDAERAFRAELRLLSRVNHRNLVQLLGFCEERGERILVFEFMPHGALHDHLHGRVDGHSPLFASWEARLRVALDAARGVEYLHCYAVPPIIHRDIKPSNILLDGEWTAKVSDFGLSLASSSSSSATATPPAACSTSSTAGTVGYIDPEYYRLQELTQRSDVYSFGVVLLELVTGRKAIHRQEGGSGSPRNVIEFAVPAVEAGGVGRVMDGRVPAPRGNEAEAVSRVARIASECVRPRGRARPVMSEVVAELEWAVTLCEESVDRSSAAAQQQNSSRHGGSDVSRSESDDPSPFHTREFAGVGHGRSNSAM >ONIVA08G00700.1 pep chromosome:AWHD00000000:8:495487:496562:1 gene:ONIVA08G00700 transcript:ONIVA08G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRTVLADDSEIQIEKSVGYTDLISQELHNANIRTTGGNKKTKPKRLKWKIIPSKQENHSFLQSRVLFSGNTILNLFRQQQQQVSMKESSFFCMIMKQSVFLSVQWLLMLRNSGFV >ONIVA08G00690.1 pep chromosome:AWHD00000000:8:491837:494004:-1 gene:ONIVA08G00690 transcript:ONIVA08G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPIAKGKVAVEEEEYEDRDDDDGAQLGNGGVILVLFETPTGFALFLRPHALMHPVWLKDFQAFEDKASALSLDTGVSEQLAGMIRRFICPGQTLAVGKQEYVTIIQSNLGIKCLWNAEVMELMWGLNNLKEHLVPAGKSELSKEDCLPMCEGMKFTLNKSIIETTGLLYETDYHVRKHGESMRYAGKHLKKISGINAEDWDLLKLATAIMMLCYPNGEYKLVGNLPELFGDDYSKLVDDAPKYKGIFRKLSCLRAYAEMVRSRRIRSKAARRLDSLVTAAERIYDEAQQARVIKQE >ONIVA08G00680.1 pep chromosome:AWHD00000000:8:477734:484914:1 gene:ONIVA08G00680 transcript:ONIVA08G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKMGKKGKLKASITADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPTEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVAEKAIVSPDGQKDAQAAELSGSDKDKMARKVASINIKSVGLSSVEDKNQDRKADSVSEPLPSKQETVLENVESETALEPRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPVDPVALGIPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDAQENGGNDNTGDEDVKGSKGKSKQKRRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTVVADDSGTLIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEIAQHQHKEPTETSQEIEMEDYPIQKENQSFLQLCARLFPSNQSSVFRGRHSLFRQQQRQVSMKGSPLHAAITAIMKR >ONIVA08G00680.2 pep chromosome:AWHD00000000:8:476891:484914:1 gene:ONIVA08G00680 transcript:ONIVA08G00680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKMGKKGKLKASITADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPTEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVAEKAIVSPDGQKDAQAAELSGSDKDKMARKVASINIKSVGLSSVEDKNQDRKADSVSEPLPSKQETVLENVESETALEPRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPVDPVALGIPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDAQENGGNDNTGDEDVKGSKGKSKQKRRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTVVADDSGTLIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEIAQHQHKEPTETSQEIEMEDYPIQKENQSFLQLCARLFPSNQSSVFRGRHSLFRQQQRQVSMKGSPLHAAITAIMKR >ONIVA08G00680.3 pep chromosome:AWHD00000000:8:479573:484914:1 gene:ONIVA08G00680 transcript:ONIVA08G00680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKMGKKGKLKASITADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPTEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVAEKAIVSPDGQKDAQAAELSGSDKDKMARKVASINIKSVGLSSVEDKNQDRKADSVSEPLPSKQETVLENVESETALEPRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPVDPVALGIPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDAQENGGNDNTGDEDVKGSKGKSKQKRRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTVVADDSGTLIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEIAQHQHKEPTETSQEIEMEDYPIQKENQSFLQLCARLFPSNQSSVFRGRHSLFRQQQRQVSMKGSPLHAAITAIMKR >ONIVA08G00670.1 pep chromosome:AWHD00000000:8:469671:475240:1 gene:ONIVA08G00670 transcript:ONIVA08G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6F7] MKSSSVAPRLKQERQDDCKFQEGDVNSLELRLGISSDNGQISGGGAASPWLGVGVHPWSLAARQGKAALEQAHQRPNECAVQRENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLMNKMKPCSDEGHGSRDAAQERRPSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQQMEEGSKKRYVLVYEDNEGDRMLVGDVPWELFIASVKRLYIAQDPRVHAKLR >ONIVA08G00670.2 pep chromosome:AWHD00000000:8:469671:475240:1 gene:ONIVA08G00670 transcript:ONIVA08G00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6F7] MKSSSVAPRLKQERQDDCKFQEGDVNSLELRLGISSDNGQISGGGAASPWLGVGVHPWSLAARQGKAALEQAHQRPNECAVQRENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLMNKMKPCSDEGHGSRDAAQERRPSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQQMEEGSKKRYVLVYEDNEGDRMLVGDVPWELFIASVKRLYIAQDPRVHAKLR >ONIVA08G00670.3 pep chromosome:AWHD00000000:8:469671:475240:1 gene:ONIVA08G00670 transcript:ONIVA08G00670.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6F7] MKSSSVAPRLKQERQDDCKFQEGDVNSLELRLGISSDNGQISGGGAASPWLGVGVHPWSLAARQGKAALEQAHQRPNECAVQRENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLMNKMKPCSDEGHGSRDAAQERRPSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQQMEEGSKKRYVLVYEDNEGDRMLVGDVPWELFIASVKRLYIAQDPRVHAKLR >ONIVA08G00670.4 pep chromosome:AWHD00000000:8:469515:475240:1 gene:ONIVA08G00670 transcript:ONIVA08G00670.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6F7] MKSSSVAPRLKQERQDDCKFQEGDVNSLELRLGISSDNGQISGGGAASPWLGVGVHPWSLAARQGKAALEQAHQRPNECAVQRENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLMNKMKPCSDEGHGSRDAAQERRPSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQQMEEGSKKRYVLVYEDNEGDRMLVGDVPWELFIASVKRLYIAQDPRVHAKLR >ONIVA08G00670.5 pep chromosome:AWHD00000000:8:471703:475240:1 gene:ONIVA08G00670 transcript:ONIVA08G00670.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6F7] MKSSSVAPRLKQERQDDCKFQEGDVNSLELRLGISSDNGQISGGGAASPWLGVGVHPWSLAARQGKAALEQAHQRPNECAVQRENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLMNKMKPCSDEGHGSRDAAQERRPSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQQMEEGSKKRYVLVYEDNEGDRMLVGDVPWELFIASVKRLYIAQDPRVHAKLR >ONIVA08G00660.1 pep chromosome:AWHD00000000:8:466113:467379:1 gene:ONIVA08G00660 transcript:ONIVA08G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRADWRRREREVAGEGGKKRPPRTVGLSADKTGGERVAVAAMEEAMGGGAGEEQHKRTTSTTTISNR >ONIVA08G00650.1 pep chromosome:AWHD00000000:8:463442:466258:-1 gene:ONIVA08G00650 transcript:ONIVA08G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosine monophosphate kinase [Source:Projected from Arabidopsis thaliana (AT5G47840) TAIR;Acc:AT5G47840] MASSMAATATLSPPVLSAERPTVRGGLFLPPSPATSRSLRLQSARRCGISPATRKPRSLPRAAKVVVAVKADPLKVMIAGAPASGKGTQCELIKSKYGLVHISAGDLLRAEIAAGSENGKRAKEFMEKGQLVPDEIVVNMVKERLLQPDAQEKGWLLDGYPRSYSQAMALETLNIRPDIFILLDVPDELLVERVVGRRLDPVTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLQTHYQNVESLLSIYEDVIVEVKGDALVDDVFAEIDKQLTSSLDKKTEMVASA >ONIVA08G00640.1 pep chromosome:AWHD00000000:8:459979:464685:1 gene:ONIVA08G00640 transcript:ONIVA08G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSASRRAGAAALPILRRIGGAFRRPFSSEPDPASSPGYHVAGGPSFMRGAVFWEPGRPLTLEDFRMPRPKAGELLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGTHTPAEIINRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGQTRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPNSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVENIKEITDGRGVDVAVEALGKALTFSQCAKSVRDGGKAVMIGLAATNVMGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLKNTISRKCKFEEANSAYEDLDRGKIVGRAVVEIMS >ONIVA08G00640.2 pep chromosome:AWHD00000000:8:459979:465840:1 gene:ONIVA08G00640 transcript:ONIVA08G00640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSASRRAGAAALPILRRIGGAFRRPFSSEPDPASSPGYHVAGGPSFMRGAVFWEPGRPLTLEDFRMPRPKAGELLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGTHTPAEIINRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGQTRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPNSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVENIKEITDGRGVDVAVEALGKALTFSQCAKSVRDGGKAVMIGLAATNVMGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLKNTISRKCKFEEANSAYEDLDRGKIVGRAVVEIMS >ONIVA08G00630.1 pep chromosome:AWHD00000000:8:452533:459910:1 gene:ONIVA08G00630 transcript:ONIVA08G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT1G22620) TAIR;Acc:AT1G22620] MGQERLLRWPEYRRKTKKEAHERRFSAACAIVVLLLLSSLLATLPPPLARSPEAMTAEADDPTAAETLEKFRLYETRARFYLIGTSREKRWFQVLKIDRSERSELNISEDPVWYSQQEVKNLLQRISEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHPIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGMKEMPYENLFVWNTFLTQPIRSRCRNTLWTVALVHGHFKQVKLSIFGRELNVVLISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAVVQMRGSIPLFWSQEAGRLSPKPDIIVQRYDPTYEATKLHFDDVAQRYGHPIIILNLTKIFEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGGGASEALDLTGFYYSGKPKVQKKRSTQLSRTTTASKKANISSSQPVVLAPYKCYESSNLMVLLHGPTVGAWDGSIDIRASSGDLSRLSSNADSLGPTASQDIRKDDSKQELLGDGPCYQTGVLRTNCMDCLDRTNVAQYAYGLAALGRQLHAMGATNVSKIHPDSSIASALMDLYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREFLKSIRRYYSNAYTDGEKQDAINLFLGYFQPQDGKPALWELDSDYYLHVTTYGDDLISDSYHMTDSSSTGNSAPGESGAALSPGATLSPVPACKEDFSRMKLTSFDKLIVRTCSSIRNVRLHCDADLKQSGTVGTSGMAPDAVEIQLRTPNWLFGQRKHAETVPTVKVIPVENANEGNGEDTNVSLCGELNWLSSADTCEEDNFRRYLAFTTADVENGWYGGTLIYDQDENSGTYKHYSEFCQGSVMDPLEHDPEKEMHYAEALSVDIDITDDATVEAEMQAALDDYQIIGSDLSIIPSCGSLAEDPSQLTRWIIGDDKLQVAQ >ONIVA08G00620.1 pep chromosome:AWHD00000000:8:447031:452064:1 gene:ONIVA08G00620 transcript:ONIVA08G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein [Source:Projected from Arabidopsis thaliana (AT5G63640) TAIR;Acc:AT5G63640] MASEMVKAATSEKLKEMDWAKNIEICELVAQDPGKAKDVIKPIKKYLGSRSKNTQLYAVMLLEMLMNNCGEPIHKQVIDNGLLPILVKIVKKKTELPVREKIFLLLDATQTSLGGVKGKFPQYYGAYYELVSAGVQFSNRPNVVVTQAQAPVPVPEPTIEPNNNSLSRRLDEGQKEVHAQPVSESSIIRKASSVMEVLKDVLDSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDEVVVSQAIELNEELHKVLVRHDVLLSVQPTTTVASTLKEEEEEDAESLYRRLRKGKALSEDYTDDSIPSFRSIPEDKMRRPLTIEPSNTDKKLGALNIRSPYPEARPDVLIPPPPAKHAERERFFREKSMDANLLGHLRGLSLHSRDGSSSCSGSTDYGD >ONIVA08G00620.2 pep chromosome:AWHD00000000:8:447220:452064:1 gene:ONIVA08G00620 transcript:ONIVA08G00620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein [Source:Projected from Arabidopsis thaliana (AT5G63640) TAIR;Acc:AT5G63640] MASEMVKAATSEKLKEMDWAKNIEICELVAQDPGKAKDVIKPIKKYLGSRSKNTQLYAVMLLEMLMNNCGEPIHKQVIDNGLLPILVKIVKKKTELPVREKIFLLLDATQTSLGGVKGKFPQYYGAYYELVSAGVQFSNRPNVVVTQAQAPVPVPEPTIEPNNNSLSRRLDEGQKEVHAQPVSESSIIRKASSVMEVLKDVLDSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDEVVVSQAIELNEELHKVLVRHDVLLSVQPTTTVASTLKEEEEEDAESLYRRLRKGKALSEDYTDDSIPSFRSIPEDKMRRPLTIEPSNTDKKLGALNIRSPYPEARPDVLIPPPPAKHAERERFFREKSMDANLLGHLRGLSLHSRDGSSSCSGSTDYGD >ONIVA08G00610.1 pep chromosome:AWHD00000000:8:440681:443521:-1 gene:ONIVA08G00610 transcript:ONIVA08G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNVGKSPTPLTLAFALLLISRYLREYEEERRKKDLPLTCGGHVGPTMPQPGLRIDGVGNDDEGG >ONIVA08G00610.2 pep chromosome:AWHD00000000:8:440721:443521:-1 gene:ONIVA08G00610 transcript:ONIVA08G00610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNVGKSPTPLTLAFALLLISRYLREYEEERRKKDLPLTCGGHVGPTMPQPGSTALATTTKHEVDLNICPKK >ONIVA08G00600.1 pep chromosome:AWHD00000000:8:438843:440645:-1 gene:ONIVA08G00600 transcript:ONIVA08G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRREEMMEKGKSELRIAMEELSLPCPGDDEDEQQQRQKRSSTMDLLCVSKHIIHVLDEIGPTLLVLRQDIQQNVQRLQDVLARDPSKYSSLTAIVTEEVEEGTSKKANSCTRAILWLASAVLRILPIRSINFSKHLLEGLLNTCDQSSLREIVEKAYITTLKPWHGWISSAAYRVAQKLIPEKEIFIALLMGNCQEFEVFAKDVKVLLSIVQPLIEEANAVLVKHNLDKLKST >ONIVA08G00590.1 pep chromosome:AWHD00000000:8:423156:424076:-1 gene:ONIVA08G00590 transcript:ONIVA08G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMTHNSSSSSSWDLDMSLGSHHHPLLFDQPPPPPPPPPPPPLPFHLHHHPLDPSPSSSLFPPPPHHHHHAHHLHHPLDLDQRRGHHDYGGGDQGGDEELRLQQEAAAGGGGGGQDGGGGGDQDADEELGAMKEMMYRIAAMQPVDIDPATIKKPRRRNVRISDDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQELQHQPGPPPPPYPAGAAPAAGPSTSAVGPPGRPFLPLGGGGPMIDWVGLTRPVDIHGPTSSSSSSSMGGALGFGFGGGGGGQSSHGMH >ONIVA08G00580.1 pep chromosome:AWHD00000000:8:404240:405142:-1 gene:ONIVA08G00580 transcript:ONIVA08G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNPGSPGFGFPFPFYPPNPNPYAPLNPNAPKPPVMPPRPQAPPPPQRFPPPPAPPIRPPSPPGRAPPPPGRAPPPPSQAPPPPRRAPPPPSPPIRPPPPPTPRPHAPPPPSHPLAPPPPHISPPAPVPPPPSPPPHIVIIVVFVSFGGLLLLACLAALFCWHKKKRRETERKAEVHNLSGHVHVHKATESGPGGAKATVLSIDEDLKFQEVAGESSSAAGAGSHHTPWSWHRRQQEGKAENKAELINVTEHIHVDEKIVSGPQGQKIEILSEDEDIRFEEEGRKEKGDERSKTRITKT >ONIVA08G00570.1 pep chromosome:AWHD00000000:8:399096:404714:1 gene:ONIVA08G00570 transcript:ONIVA08G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G64630) TAIR;Acc:AT5G64630] MRGGTVQINWHEQQPVLTLDFHPVSRRLATGGSDHDIKIWVIASDDSDKKLPTATYHSSLSSHSSAVNVLRFSPSGENLASGADGGGIIIWKLHSTDDGEAWKVQKTLLFHHKDVLDLQWSQDGAFLVSASVDNSCIVWDAIKGSVQQKLEGHLHYVQGVAWDPLGQYIASLSSDRTCRIYANKPQGKSKNTDRMKFVCQHTLVKAEHQNHDESKPPVRAHLFHDETLPSFFRRLAWSPDGSFLVLPAGLCKYSSEVINTAYVMSRRDLSRPAIQLPGASKAIVAVRFCPVLFKLRGSQSDCFFKLPYRVIFAVATLNSLYVYDTESVAPILIHAGLHYAAITDIAWSSDAKYLAVSSRDCFCTIIEFENEELGLPYNLSGTKELDEGNTNCENMKPLKVDSMEIDAGSSKAKIKASSAAVEVTPSPPVLAQNNILMTKDVAEGNATSENDRPSAVDNMEVDVGENKAKMEVTPVAVQVTAPPVSTKNSASSKPTKKRITPIAIN >ONIVA08G00560.1 pep chromosome:AWHD00000000:8:393816:395233:-1 gene:ONIVA08G00560 transcript:ONIVA08G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNKRTEKLAKKGPPSSKHGHGGHAKHAPPPASPVVPVAPQAAALVRATCNSTAYYDVCVSALAADPSSTTADVRGLSAIAVSVAAANASGAAQAAAALANGTAPLAAGDGTVQALLRACAGKYGDARDALAAAKESMGQQDYDLATVHVSAGAEYPQVCKALFRRQRPGAYPAELAAREEALNKLCSVALDIIALLTSSPSPSNNS >ONIVA08G00550.1 pep chromosome:AWHD00000000:8:388956:393088:1 gene:ONIVA08G00550 transcript:ONIVA08G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGDDGGGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKKDTMIRKEVFVSPSVLREATRIIHESEIMKEDDSNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >ONIVA08G00540.1 pep chromosome:AWHD00000000:8:384678:388772:-1 gene:ONIVA08G00540 transcript:ONIVA08G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGGGSALGRAGTSSSKRTKQGGRGGAKRTKQGGRQRATEPGDAHQVFDRLLKRGDRASIFDLNRALSDVARASPAVAISLFNRMPRAGATSAAPNIATYGIVIGCCRRLGRLDLAFATVGRVITTGLRMSPILFSPLLKGLCDRRRTSDAMDIVLRRMPELGCKPDLFSYTILLKGLCDDKTSQQALDLLHIMADHKGRCPLDVVAYTTVINGLLREGQLDKAYSLFDAMLDRGPSPDVVTYSSIISALSKTQAMDKATQVFTRMVKNGVMPDCIMYTSLVHGYCSSGKPKEAIGIFKKMCRHGVEPDVVTYTALMDYLCKNGKSTEARKIFDSLVKRGHKPDSTTYGTLLHGYATEGALVEMHDLLDLMMKKGMQLGHHIFNMIMGAYAKHNKVDEALLVFSNMRQQGLNPDIVNYGTVLDILCTAGRVDDALSQFNSLKSEGLAPNIVVFTTLIHGLCTCDKWDKVEELAFEMIDCGICLDTIFFNAIMGNLCKKGRVIEAKNLFDLMVRIGIEPNTNTYNTLIDGYCLDGKMDEAMKLLGFMVFNGVKPSDVTYNTIINGYSQNGRIEDGLTLLREMDGKGVNPGIVTYEMLLQGLLQAGRTVAAKELYLRMIKWDTIENRNDDVEDLFAALSTNGLVTNVVTYWLMIENVVKQGLLEELDDLFLHMEKSGCTKLLHKEQFDCHAMHCICGSKFFIVPLPLLGFHSHVIWPPGTADRFCLGRSEAMQARTSCDVSPPGQQNEGGE >ONIVA08G00530.1 pep chromosome:AWHD00000000:8:377851:380786:-1 gene:ONIVA08G00530 transcript:ONIVA08G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGRIRCRRLLAANLVATTALGASRQLCGGTPAGLGESAATSSPAVADCFLRHSRTTCRSISLSLSLPAAAAAAEQPASFLPNFRHLSSFN >ONIVA08G00510.1 pep chromosome:AWHD00000000:8:372773:375170:1 gene:ONIVA08G00510 transcript:ONIVA08G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIELPRGLPFAVDTWTAASAVKRHRFLTHAHRDHIAGITATNVAAVYASRLTILIARHIFPQLDRADAFVEVELGAPVLVPDPDGDFTVTAFDANHCPGAVMFLFEGASFGRVLHTGDCRLTPDFRFLAADYVFLDCTFAACSLHFPSKDDSIRQVINCIWKHPNAPVVYLVSDMLGQEEILMEVSKVFGSKIYVDRDKNSECYHTLSLVAPEILTDDSSSRFQVIGFPRLSERATEMLALARAKHQPEPLIIRASSQWYAYYEPPEGSTKRKPALTEPMRDEFGVWHVCFSMHSSREELEQALRFIQPKWVISTTPPCMAIELSYVKKHCFASRLRNDDPLWKLLRLSDGNSAVSGSPLAVPTVEMIKKCEEEEVTCSVKDSFSSDGIRMRDQEPTLEDFEINVEPPVTLFGIARFGLTEEPELWKDEHESVEIDELKVQVENSATECEQWKDGISVLGSEVIDSVEIVPKEQDSAIESEQLKDCEPKDGTKAIDVTEVEVNEHTSCTESVLWKMDRSDECVTTKRGEFEAEEQKFTGRYQFWKICKPKEMEGAKLTVQGKNTVEALDQVLAIDRLAYLHDNNQEVTKEGIAPSETDQENSKVPDKGSSDSSNAIGSSKGLNANLRKLYRSMNVPVPRPLPSLVELMAASKRPRVSPAVQL >ONIVA08G00500.1 pep chromosome:AWHD00000000:8:369055:372252:1 gene:ONIVA08G00500 transcript:ONIVA08G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT1G43860) TAIR;Acc:AT1G43860] MSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRTRVEKDIDEVLQSHTVYSNVSKGVLAKSKDLLKAFSTDDHTAICLEILDKGELQVSGKEREAQLSSQFHEIATIVMDKTINPETRRPYTITMIERLMHDVHFAVDPNLTSKEQALKVIKKLTEHFPIKRAPLRVRFTAPKSKFASLTEKLEEWNANVISKDESGSQPSVVCEIEPSILRSCEERLKDVQGRVEVLSVSAHAEGGSSVDQYENTEESQSVPAVEIDPVARIGEAMQKQSISSEPENPGQGQGKQQRRCKECDVLVEDKLYREHCKSGWHKHNYTRHKNGLPPLSQEECLK >ONIVA08G00490.1 pep chromosome:AWHD00000000:8:363069:367791:-1 gene:ONIVA08G00490 transcript:ONIVA08G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G13650) TAIR;Acc:AT2G13650] MGSTRLPAPVVASLTSAAAAAPFEDEECGELRISSGMSRTSIAIPVDSDASPRKNTPETVTSPLVRGYSALGSPLRREVGNRHAEKDRDRPSHFLSLPKIQNQALLSGLAYCISSCSMILVNKYILSGYGFSAGIFLMLYQNIVSVTIVSTLSLSGVIPTEPLTWKLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHDRQVWISLTLMLTLRHVMDSAKQATKSGNLNELSMVLLNNILSVPLGIILVLGFNEVEYLFETPLLRMPMFWIVITASGVLGLAISFTSMWFLHQTSATTYSLVGSLNKIPLSIAGILLFKVRTSMENSFSILFGLLAGVFFARAKLLNNSQS >ONIVA08G00490.2 pep chromosome:AWHD00000000:8:363069:367791:-1 gene:ONIVA08G00490 transcript:ONIVA08G00490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G13650) TAIR;Acc:AT2G13650] MGSTRLPAPVVASLTSAAAAAPFEDEECGELRISSGMSRTSIAIPVDSDASPRKNTPETVTSPLVNGEKSIFRDQCTWESITRSFCIDNTDLEDGKAEKDRDRPSHFLSLPKIQNQALLSGLAYCISSCSMILVNKYILSGYGFSAGIFLMLYQNIVSVTIVSTLSLSGVIPTEPLTWKLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHDRQVWISLTLMLTLRHVMDSAKQATKSGNLNELSMVLLNNILSVPLGIILVLGFNEVEYLFETPLLRMPMFWIVITASGVLGLAISFTSMWFLHQTSATTYSLVGSLNKIPLSIAGILLFKVRTSMENSFSILFGLLAGVFFARAKLLNNSQS >ONIVA08G00490.3 pep chromosome:AWHD00000000:8:363069:367791:-1 gene:ONIVA08G00490 transcript:ONIVA08G00490.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G13650) TAIR;Acc:AT2G13650] MGSTRSITRSFCIDNTDLEDGKAEKDRDRPSHFLSLPKIQNQALLSGLAYCISSCSMILVNKYILSGYGFSAGIFLMLYQNIVSVTIVSTLSLSGVIPTEPLTWKLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHDRQVWISLTLMLTLRHVMDSAKQATKSGNLNELSMVLLNNILSVPLGIILVLGFNEVEYLFETPLLRMPMFWIVITASGVLGLAISFTSMWFLHQTSATTYSLVGSLNKIPLSIAGILLFKVRTSMENSFSILFGLLAGVFFARAKLLNNSQS >ONIVA08G00480.1 pep chromosome:AWHD00000000:8:358079:362182:1 gene:ONIVA08G00480 transcript:ONIVA08G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33440) TAIR;Acc:AT4G33440] MLPHATAHQPTPSPHPRLLPVAAAALLLASSYLALTRLPAAAPLAALVAPATARVDGDRDRDSCAGFYRGGSSGRRAASASVEEFGAVGDGVTSNTAAFRRAVAALEARAGGGGARLEVPPGRWVTGSFNLTSRFTLFLHHGAIILGSQDPEEWPLIAPLPSYGRGRERLGPRHISLIHGEGLDDVVITGNNGTIDGQGRIWWDLWWNRTLNHTRGHLIELVDSTNIMISNITLRNSPFWTVHPVYCRNVVIRNLTVLAPLNAPNTDGIDPDSSSEVCIEDCYIESGDDLVAVKSGWDQYGISVGKPSSNIIIQRVSGTTPTCSGVGFGSEMSGGISNVIIRDLHVWNSAQAVRIKTDVGRGGYITNITIENVRMEKVKVPIRFSRGADDHSDDKYDRSALPKISDVRIRDVVGVDLQRAPMLEAVHGAVYEGICFRNVSLTVIKRQDRWHCESVYGEAHDVLPAPCEEFRRNGSSSWCGHS >ONIVA08G00470.1 pep chromosome:AWHD00000000:8:354269:355282:-1 gene:ONIVA08G00470 transcript:ONIVA08G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEPEELQFLGMVGIYREAASILRAHRPLFARIAAAFVLPLSLLFLLHIAISHALFSHIDSDDSALDSAAPGTPAQRRLLHRLADDWLALLLFKAAYLLALLLFSLLSTAAAVFSVASVYSAKHDALSFPRVLSVVPRVWRRLAATFLAAFLLLFAYHLLFVAVFVALLVAADSGSGLAALLAFLLALAYIAGLVYLSVVWHLASVVSVLEDYKGFEAMRKSKALIQGKLWTASAIFFVLNVIFIVVEVAFRAWVVRGATHGLGAGSRLLLGLAMLAALCAVVMLALVVQTVVYLVCKSYHHESIDKSNLSDHLEVYLGEYVPLKASDVQMEQFNL >ONIVA08G00460.1 pep chromosome:AWHD00000000:8:344038:348519:1 gene:ONIVA08G00460 transcript:ONIVA08G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSASMGVMAKLTTLMGDEYKKLKGLRKQVSFLKDELTTMSAFLEKLALMDDGELDPLAKDWRNHVREMAYDMEDCIDDYFTSHLDHRYSSSDAGLIRKIARRLRALRVRHRIASQINELKARVVEANERRVRYRLDDCNNKHGVSANPAIDPRITSLYQNAGSLVGIDGPSQELIQLLSLDRDTDQRQLKVVSVVGFGGLGKTILAKHVYDKIGHQFDCTAFVSVSHKPDITRILRGTSQVCDDVQQLIDDIRAYLEHERVIVTTRVKDVARLACGKDGQIYRIQPLNNKDSRKLFFDRVFRPEDSCVLQYEEISTEILKKCSGLPLAIVTVGSLLACRPRTMDEWKSIRDSLGASFDKNKSLEGMRNILNLSYKNLPLHLKTCLLYIGKYPEDYEIGRDELVTEWIAEGIMGNPHGENLEATGNGYFSELINRGLIQPESTGYGGEVLSCKVHDMMLDLILIKCAEDNFVSVAHSCKDYMRMAMHHERSCNKVRRLSLQCKAARSDCAIEGSVISASMARARSVSVFGECSHGLPFLMLSKYIRVVHIELEGHGGQVDLTAISHVLQLRYLRVETPGCEIDLPSKICGLVHLETLSIFSHKAVSRLPSDISSLPRLSVLSLVIPWATRLPNKLNKLKGSLRSLTILFNPPDAVGMEAIGELKNLRDLNISVNRWRDDEILSLYALGSSIGKLDELRSLQIHVPPATLGDVDLLCSLPIFAQSIERLILHGWCFSRVPRWINGTLVNLQHVLLEVSETSSSEVDLLGELPSLADLELRVGLKTRDVIAFGGTRASLFPALLKLKLRVGEHVASRLQFQAGVMPKLQSLHLWFRNCESGIHVTPEGMQHLLSLQSICVEIYLRDEELKATYPWDAMERAFREITGANPNRPSFKFVKQV >ONIVA08G00450.1 pep chromosome:AWHD00000000:8:339713:340365:1 gene:ONIVA08G00450 transcript:ONIVA08G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEYKKLKGVRKEIVFLNNHKGAKPSFLHKTAHRLNTLRATKSKRLRLEYWSRIRYMPNDNCVPTSVVPIDPRLSALYTETSSLVPKANLIKWLMGDEQERNVEVYRDIGGKFDCKAFVSVSQRPDMTALLSSIILQIGRQKSSHSCSMKDLIDSLRESLQHKRYLALI >ONIVA08G00440.1 pep chromosome:AWHD00000000:8:336661:337335:1 gene:ONIVA08G00440 transcript:ONIVA08G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAPEQKQRQEADDDDFTFPTPPQPHLFATTRHLPCSPSPSSSPPVWLLSSPIRRSFSAADCSGSPWRNARVVLRRRHATGGGGGGACSPALSDYAAGFCDGAEEEEEEEEEEEERMDSLWEDLNDDDAAGKKGGDMFLASLDVSRRRSVGGAGLAEKREGGGGAAVLGLGASRSSRRRAPGLVAMMRSLKRMLVAHKGKSKVHKSDDHTTATASSCSNSGKK >ONIVA08G00430.1 pep chromosome:AWHD00000000:8:329883:330557:-1 gene:ONIVA08G00430 transcript:ONIVA08G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVVRQRPTVGKQAGEGESAAAAGDGGGGRPPAARRPSLLSQALASTASLANLLPTGTVMAFQLLAPTFTNNGACDATTSLLTAALLALLALSCVLASFTDSVRGPDGRVYYGLATPRGLWLLDYPPAGAGAPPQPDTSRYRLRAIDGVHALLSVGVFGVVAARDKNVVGCFWPSPAKGTEEVLGIVPLGVGVMCSLLFVVFPTTRHGIGYPVTNNTTSSS >ONIVA08G00420.1 pep chromosome:AWHD00000000:8:326643:328679:1 gene:ONIVA08G00420 transcript:ONIVA08G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELILQLEAKTAAQAVVTVFFFFLLPLALLFYFARAAISSRDSKTRELILSKLPSPPFKLPVIGHMHLIGPLPYVSLRDLAAKHGRDGLMLVRLGSVPTLVVSSPRAAEAVLRTHDLAFASRPRSMVTDIIMYGALDSCFAPYSDHFRSVKKVVTVHLLNSKRVQAYRHVREEEVRLVMARLRGAAAAAAAVDLSQTLQFFANDLICRAVSGKFLCEQGRNKVFRDLMEANSNLLGGFNLEAYFPGLARMPLISKLICARAIRIRRRWDQLLDMLIDDHVASARDRAKNDDDDFIHDMFEAGTDTSHLVLEYAMVELTRKPHILTKLQDEVRRITPKGQHMVTEDDIVGMVYLKAVIKETLRLHAPGGFTIPHLAREDCNVDGYMIPAGTRVLINLWALSRDANYWDKPDEFLPERFMDGSNKNTDFKGQDFQFLPFGSGRRMCPGIHSGKVTIEIMLANLVYCFNWKLPSGMKKEDIDMTDVFGLAIHRKEKLFLVPQIANY >ONIVA08G00410.1 pep chromosome:AWHD00000000:8:315888:318022:1 gene:ONIVA08G00410 transcript:ONIVA08G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLAMELNNTEPLTASRAQAAAVFLLLPVALLLLLLRFARATILAGDRNSELLLSKLPSPPLRLPVIGHMHLVGSLPHVSLRDLAAKHGRDGLMLVHLGSVPTLVVSSPRAAEAVLRTHDLAFASRPRAMVPDIITYGATDSCYGPYGDHFRKVRKAVTVHLLNSHKVQAYRPAREEEVRVVIAKLRGAAAMAGAPVDMTELLHSFANDLICRAVSGKFFREEGRNKLFRELIDTNASLLGGFNLEDYFPSLARTKLLSKVICVRAMRVRRRWDQLLDKLIDDHATRLVRRHDHDQQQDSDFIDILLYHQEEYGFTRDNIKAILVDMFEAGTDTSYLVLESAMVELMRKPHLLAKLKDEVRRVIPKGQEIVNEDNIIDMVYLKAVIKETLRLHPPAPLYIPHLSREDCSISGYMIPTGIRVFVNAWALGRDAKF >ONIVA08G00400.1 pep chromosome:AWHD00000000:8:309796:311580:-1 gene:ONIVA08G00400 transcript:ONIVA08G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLPFVLIMIIILLLLVLLHYYLSASTRRSSAASKSNDDVLPPSPPRLPVIGHMHLVGSNPHVSLRDLAEKHAADGFMLLQLGQVRNLVVSSPRAAEAVLRAHDHVFASRPRSAIADILAYGSSNISFSPYGDYWRKARKLVAAHLLSPKKVQSLRRGREEEVGIAVAKLHEAAAAGAAVDMRELLGSFTNDVLCRAVCGKSSFRREGRNKLFMELAAGNADQYAGFNLEDYFPSLAKVDLLRRVVSADTKKLKEKWDSVLGDIVSEHEKKSSLRRDDQVQMDDDRDDDQEEQESDFVDILLDRQQEYNLTRHNIHAILMDMFAAGTDTSYIALEFAMSELIRKPHLMTKLQDEVRKNTTTKMVSEDDLNNMPYLKAVVKETLRLHPPVPLLLPRLSMAQCNANGYTIPANTRVIINVWALGRDARCWENSEEFMPERFMDSGDTIDNVDFKGTDFQFLPFGAGRRICPGMNFGMASVELMLSNLMYCFDWELPVGMDKDDVDMTDQFALTMARKEKLYLIPRSHVIKIT >ONIVA08G00390.1 pep chromosome:AWHD00000000:8:298169:306028:-1 gene:ONIVA08G00390 transcript:ONIVA08G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYYTLLLALLCPLLLLLIKLCRAKTRDDELFDKLPSPPGRLPVIGHLHLIGSLPYVSFRELAIKHGPDLMLLRLGTVPTLVVSSARAAQAILRTNDHVFASRTYSAVTDILFYGSSDVAFSPYGEYWRQVKKIATTHLLTNKKVRSYSRARQQEVRLVMARINEAAVARTTVDLSELLNWFTNDIVCHAVSGKFFREEGRNQMFWELIQANSLLLSGFNLEDYFPNLARVTTVRRLLCAKAHNVNKRWDQLLDKLIDDHATKRSSSVLDLDNEESDFIDVLLSIQHEYGLTRDNVKAILVIMFEGGTDTAYIELEYAMAELIRKPQLMAKLQAEVRSVVPRGQEIVTEEQLGRMPYLKAVIKETLRLHLAGPLLVPHLSIAECDIEGYTIPSGTRVFVNAWALSRDPSFWENAEEFIPERFLNSIAPDYNGNNFHFLPFGSGRRICPGINFAIATIEIMLANLVYRFDWEIPADQAAKGGIDMTEAFGLTVHRKEKLLLMSTMTRMSYSLLLPILCPLILLLLFRCYAYATRSGGLLDKLPSPPGRLPLIGHMHLIGSFPHMSLRDLATKHGPDLMLLHLGTVPTLVVSSSRMAQVILRTHDRVFASRQQSAITNILFYGATDVAFSPYGDYWRQIKKIVTTNLLTIKKIRSYSQTRQQEVRLVMAKIVEKATTHMAVDLTELLSCYTNNMVCHAVSGMFFCEEGRNQLFKELIEINSSLLGGFNIEDYFPSLARLPVVRRLLYAKAYDVKKRWDQLLDKLIDDHSSKHRSSLLDNNDVESDFIDVLLSIQQEYGLTKDNIKANLAIMFEAGTDTSFIELEYAMAELMQKPQMIAKLQAEVRGVVSKGQEIVTEEHLGRMPYLKAVIKETLRLHPAAPLLAPHVSVVDCNVEGYTTPSGTRVIVNAWAIARDPSYWENAEEFMPERFLSNTMADYNGNNFNFLPFWTGRRICPGINFAITTIEIMLASLVYRFDWKLFTSRIDMTETFEATIHLKEKLFLVPHLALPWKLGH >ONIVA08G00380.1 pep chromosome:AWHD00000000:8:296548:298152:-1 gene:ONIVA08G00380 transcript:ONIVA08G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLDGLRHDEQASLHAPQKASTMPTMSCSDLLLAMMCPLILLLIIFRCYAYATRSGGMLSRVPSPPGRLPVIGHMHLISSLPHKSLRDLATKHGPDLMLLHLGAVPTLVVSSARTAQAILRTHDRVFASRPYNTMADILLYGATDVAFSPYGDYWRQIKKIVTMNLLTIKKVHSYGQTRQQEVRLVMAKIVEEAATHMAIDLTELLSCYSNNMVCHAVSGKFFREEGRNQLFKELIEINSSLLGGFNLEDYFPSLARLPVVRRLLCAKAYHVKRRWDQLLDQLINDHASKRRSSMLDNNDEESDFIDVLLSIQQEYGLTKDNIKANLVVMFEAGTDTSYIELEYAMAELIQKPQLMAKLQAEVRGVVPKGQEIVTEEQLGRMPYLKAVIKETLRLHPAAPLLVPHVSMVDCNVEGYTIPSGTRVIVNAWAIARDPSYWENAEEFMPERFLSNTMAGYNGNNFNFLPFGTGRRICPGMNFAIAAIEVMLASLVYRFDWKLPIDQAANGGIDMTETFGITIHLKEKLLLVPHLP >ONIVA08G00370.1 pep chromosome:AWHD00000000:8:280034:283220:-1 gene:ONIVA08G00370 transcript:ONIVA08G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDELAGEGEVDGAELILSLPEDVLGLISAHLRPRDLLALSAASRSLRNAVSTADKAWLAQCRRLLPTASHLLAWRAALAHSSLAVCRFLHSAAPLLGLWAHQNPELGNLVLALPGFLSLVAVRSIPQELSPRLLWAPVFELLADHRGHPAFLFLHGAAHDSLFPGLLSSSLHPLANTLFLEAHTSTSTSHFSRLAFADRRRLLNALVAASRLTLPPDVATAPLFARSDADLPLLAARREAMLRLHSQSGGGMVRRAEIEALLIGARKTATLPADTAGDKMRLRRSFSVVAGFVRNSLRQMVTRSASANSRAEYAHPKHLPLDEFLRAGESVGLSLRGARMRLSIYRNWPSMHDNRFVLYKLTTQAPMPGREYAGLWGGTFGWPPGRPEDERKPGKALFFLLLSYEEDSEGKLQLIATKVLEGTHYVVHPNGSSMFIVRVGEPSTETFPWQTDEESRAVEIKRSFAGEGIATGYGFRYPGSKPGSLFVLLDGRLAFVWRENKAVLTLQRLDLEDMIRRGERVPSLPPIPNFAYLTKSYSNVFAVAPGSTSFPASPRYS >ONIVA08G00360.1 pep chromosome:AWHD00000000:8:275594:278700:-1 gene:ONIVA08G00360 transcript:ONIVA08G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQNRPLPPRKRQPPPPEDHLVTYKRRRSKETQPLPLMANGANSKKDAKAQHWISWRDTLHGFLQSPAISQGGGIQTCIRHALQHNPCLLTNGVVVHTEFKGNLAHSQGEEAKVQHPNGAAGGKVVSADAAIQDAAAAASSEANKAMCNNALFDILVSQKFALLCHLLLGTFHVNKPGDVIDLEKIDAKMRNGDYAHNPALFDDDIQQMWEKFEQVGQEMTGLASNLSTISRVSYQKQASGFSEAEVAEHRIEEISLPGAVHIVTKESTTSVQLAPCDSSHSTIPKRTVPPGRDLCPCDGCGTKVDVEEGLICDECDTMYHFACVKLLNPDIKQVPAIWHCSTCSFKKKELAADTTNNVAHDCLHGGNCVLCDQLELVKTEEEDPKLPIKIELAEEREGSSVSSMGEDNEPDLSTTALSNLCKHCGTCEDDDKRFMVCGHPYCVYKFYHIRCLKTSQLAIEQQKKLGCWYCPSCLCRGCFQDKDDDQIVMCDGCDEGYHIYCMRPARNTIPKGKWYCTFCKIRRAAEGMHKYEDSVLKIHGNSKHACNVNQSKDSEGDGTEK >ONIVA08G00350.1 pep chromosome:AWHD00000000:8:271122:273712:-1 gene:ONIVA08G00350 transcript:ONIVA08G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKMGDVATIRAVLAILQWWGFNVTVIIMNKWIFQKLEFKFPLTVSCVHFICSSIGAYIAIKILKMKPLIEVAPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGIMLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAIVLEGSGVINWLYTYDSIVPALIIITTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAVGCAITLVGCTFYGYVRHLISQQSVNSSPRTPRSRMEMLPLVGDKQEKI >ONIVA08G00340.1 pep chromosome:AWHD00000000:8:256702:257646:-1 gene:ONIVA08G00340 transcript:ONIVA08G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFYSSIGQGVEALHRRLAVGEVGFMSAAFVQQAAALVRSVHAQLLEVVGRLHLPAGERWLDEYMDETSRLWDACLLVRAGASALHAYSSAAAHAIHHLYDHDDDYIHAARAINAPRRHAAGLLQDNRALLHDNIHDPASLLLLDHRSPRDLNLNAFNGFRALLYALRNATSFLLAILLSATVSSCLPDHLISTCTPLPLPTAPGYASSMARLRHRVAQEMRALAAPAADGILMYEFRQARAAIDSLKADLDRVVATGTGYAHREDMAERAHLVKGCLAMLSSGAEAVIAELDDLFDDIVEGRKMLSDLCSHR >ONIVA08G00330.1 pep chromosome:AWHD00000000:8:247071:255538:1 gene:ONIVA08G00330 transcript:ONIVA08G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTRVVDSERWPPAEVLGVGGVALQAMSCAQQDMDPPTRDALSEALDGNRSDHSVYDAEHSGQNGIGDTKKRTSSSDLSTDDNFSSSALQSKHEHMNHDALSIDDRSVKSGDESDGAESTSGKSGSIDSTCTENDSIWIPPEAADKEYEADSVSGKIAYADDDDDYSDGIKWGRSSFPATNEEQEVSHNTRDERESAMLDAMNGQLKILVSRFLASAGISFSKGESGESWLDILTSLSWEAALLIKPDASKGKEMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSCHNPRLLLLKGVLGHSDVGLSSFNSMDQEKDHLERAISKMMEICSPNVILVEKTVSRDIQELLLKEGVTLIFDMKLNRLERIARCMGSPIISFSEVLDKPKLKRCDSFHIEKFIEEHNSASDGGKRLSKTLMFLEGFPKPLGCTILLRGANSEELKKVKQVMHYTVFAAYHLILETSFFEDQRIFLNDQNASRENSVTAMAGPSANGYDPSVLCASDFPSRDDSPALRLYHATSNGYTDVKKSLSSSTKVDAPSSITNSSSSVGEDASIRYDSKPPLHSQRLPSPVPGTLRKYVGMLSHQNIYLPVTSLQETSATQIEGEVESGKEIVSNGFHVGSKVEEPAVSTANVDRSQDHQRQERIQDIMPTNSTHDKREESPVMVEDGEQHSTIDIISKEKTTNEDQADDALDTHSILILMSSQCITKQVICEQSHLSRIKYYGNFDVSLGRYLQDILQNPVLQNQKLSCSSCGEFPESHLYSYTHRNGNLTVRVKHLAPQHHLPGESEGKIWMWTRCLRCENEHGMSKSTPRVLISSEARSLSFGKFLELSFSSHSAARRLSICGHLVNRDCLRFFGLGSKVAMFRYSSVEIYTTCKPQTTLQFDNPCRQDWFKEERRYVLARGIKLFSEVASMLQPLKDQLLDVTTTNCTGSLPVKDFSELEELLIKEKAFFEDSLEKTINQNENLSASVHELVDINWSYQDLLLELYLWDRRLDQLTKCVSAGQERVVSCKDPFDTVVKNMRVNQEIENKADELTCDRTTSVLSAVGLTECPSNRNYIDHQSVDIEAPVLTENQGAGCAQFSSTGGRNDEDSYTAPCQLEVDSMAQTKEVPSFEISEVQGDGIVVHPISLDQELSNAPNHFRKIPDWDTGEGWIWNSFHECQLAYRKDIQNEILDKFEIVNRYSPSHMSPLFEQHEEVSSPQFTVGPGGNILSVLEDEISSIIARALAVSGEHRHLVENETEGARLEHAKTMEKSYSFMSGSSLDSSPWSSIGSLDSEASFLSLGSSVSSDDLSGYDSLPLFSSIHPEVAVNGKVALRGKYSVTSIYAKQFQDLRKKCCPSELAYFTSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKKTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQTRHGKEIKIDLLVMENLLFGHNISRIYDLKGAIFSRHVAHSNDRNTVYLDQNYVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVDKEKHELVFGIIDYLRQYTWDKQLETWVKSSLVVPKNVSPTVVSPKEYKKRFRKFMAKHFLTVPDTWSSENSSGPSKSFGHSNNMLVEVHSDDNLLQHPIEAETVV >ONIVA08G00320.1 pep chromosome:AWHD00000000:8:238568:238987:-1 gene:ONIVA08G00320 transcript:ONIVA08G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6B3] MAATALSSQVRLPMSLRVATAPAPARVSVLPASNKLGDRLRMQATYNVKLITPDGEVELQVPDDVYILDQAEEEGIDLPYSCRAGSCSSCAGKVVSGEIDQSDQSFLDDDQVAAGWVLTCHAYPKSDVVIETHKEDDLI >ONIVA08G00310.1 pep chromosome:AWHD00000000:8:230473:230787:-1 gene:ONIVA08G00310 transcript:ONIVA08G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKEVRHGTAQAKVNGDDEMLRTGFINGTPLEAGKVADSQPVDLFDQARRVSQQQQQQHRPEEEEDEAGCRKIAESEPVDLFSDAGRVAHQQQHKVVGRQA >ONIVA08G00300.1 pep chromosome:AWHD00000000:8:224544:229112:1 gene:ONIVA08G00300 transcript:ONIVA08G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSGIYIYAVFLSKASGEVLKKYSGQSDVEVWILPVYENSAWSIMAISFTSLLAMAAVLATCFFVRRHQIRRDRGRIPVTREFHGMSSQLVKAMPSLIFTKVQEDNSTSSSCAICLEDYSFGEKLRVLPCRHKFHATCVDMWLTSWKTFCPVCKRDASAGTSKPPASESTPLLSSVIHLPAESTALSSFRSTVAVSSPRPIRRHPSSQSTSRAYSISSAPRNYNLQRYYTNSPYISTSRSNVDLANMSSQWSHTPHQASTHSLRSGHLSLPINISSLGQQRSYLMHRTESGPSLSTMVLQSPQ >ONIVA08G00300.2 pep chromosome:AWHD00000000:8:224544:229112:1 gene:ONIVA08G00300 transcript:ONIVA08G00300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCSSEAVLSSKVALLNSLFCTLVLPKENCSNKMNCTKGGGFPLLFCAVICLMAQQGACNVVLIANNTTLSFDDVEATFTPEVKDSGVNGAIYAVEPLDACSPLRKKAANGPVSPFALVIRGGCQFDDKVRNAQNAGFKAVIVYDDEDSGVLVSMAGSSSGIYIYAVFLSKASGEVLKKYSGQSDVEVWILPVYENSAWSIMAISFTSLLAMAAVLATCFFVRRHQIRRDRGRIPVTREFHGMSSQLVKAMPSLIFTKVQEDNSTSSSCAICLEDYSFGEKLRVLPCRHKFHATCVDMWLTSWKTFCPVCKRDASAGTSKPPASESTPLLSSVIHLPAESTALSSFRSTVAVSSPRPIRRHPSSQSTSRAYSISSAPRNYNLQRYYTNSPYISTSRSNVDLANMSSQWSHTPHQASTHSLRSGHLSLPINIRYTIPHVSRSDYGSASLGLSHDSRSHHGSPSYYHSSLGQQRSYLMHRTESGPSLSTMVLQSPQ >ONIVA08G00300.3 pep chromosome:AWHD00000000:8:224544:229112:1 gene:ONIVA08G00300 transcript:ONIVA08G00300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCSSEAVLSSKVALLNSLFCTLVLPKENCSNKMNCTKGGGFPLLFCAVICLMAQQGACNVVLIANNTTLSFDDVEATFTPEVKDSGVNGAIYAVEPLDACSPLRKKAANGPVSPFALVIRGGCQFDDKVRNAQNAGFKAVIVYDDEDSGVLVSMAGSSSGIYIYAVFLSKASGEVLKKYSGQSDVEVWILPVYENSAWSIMAISFTSLLAMAAVLATCFFVRRHQIRRDRGRIPVTREFHGMSSQLVKAMPSLIFTKVQEDNSTSSSCAICLEDYSFGEKLRVLPCRHKFHATCVDMWLTSWKTFCPVCKRDASAGTSKPPASESTPLLSSVIHLPAESTALSSFRSTVAVSSPRPIRRHPSSQSTSRAYSISSAPRNYNLQRYYTNSPYISTSRSNVDLANMSSQWSHTPHQASTHSLRSGHLSLPINIRYTIPHVSRSDYGSASLGLSHDSRSHHGSPSYYHSSLGQQRSYLMHRTESGPSLSTMVLQSPQ >ONIVA08G00300.4 pep chromosome:AWHD00000000:8:224544:229112:1 gene:ONIVA08G00300 transcript:ONIVA08G00300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSGIYIYAVFLSKASGEVLKKYSGQSDVEVWILPVYENSAWSIMAISFTSLLAMAAVLATCFFVRRHQIRRDRGRIPVTREFHGMSSQLVKAMPSLIFTKVQEDNSTSSSCAICLEDYSFGEKLRVLPCRHKFHATCVDMWLTSWKTFCPVCKRDASAGTSKPPASESTPLLSSVIHLPAESTALSSFRSTVAVSSPRPIRRHPSSQSTSRAYSISSAPRNYNLQRYYTNSPYISTSRSNVDLANMSSQWSHTPHQASTHSLRSGHLSLPINIRYTIPHVSRSDYGSASLGLSHDSRSHHGSPSYYHSSLGQQRSYLMHRTESGPSLSTMVLQSPQ >ONIVA08G00290.1 pep chromosome:AWHD00000000:8:220069:222383:-1 gene:ONIVA08G00290 transcript:ONIVA08G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAASSASAAEMVGRAVGEVREALNEHADVVAELFGRVSSDLRSGFRPALDSFLGFFHAVDWKEPWLISMLIFHAILLLVTIISRRNVNFQLILSALTFSGVFLAERINTFLGQHWKSFSSQNYFDPQGLFISVVWSGPLLLITILILVNTLVTLCLLMVRWKRAELRHRAREVRNKQD >ONIVA08G00280.1 pep chromosome:AWHD00000000:8:208134:209332:-1 gene:ONIVA08G00280 transcript:ONIVA08G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHEEEAGESPCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQELDLYRIEPWDLQERCKYGGHGGDEQTEWYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSPSTRVIGMRKTLEEGWVVCRAFQKPMPNQQQHRLSYGCIPGSYGAGAYAAVPDNYSLLLHHDNPSFAGRPLMSAAASALFANNNNNSVVDHSNILSSESKLHFSDMMPPLESPTIVDGEGYVSQASSCVDVDQQAGIVDWNLLTSLLPPPAHQLFHHLPSASSSKNSNNISSSGFIDDRD >ONIVA08G00270.1 pep chromosome:AWHD00000000:8:198903:201051:-1 gene:ONIVA08G00270 transcript:ONIVA08G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G21780) TAIR;Acc:AT1G21780] MERENRVETISRLAQWRIDTFGPSSYRRSDSFKIGIWNWYLSVEKARYVYVRLFPEPGRVAKERPPLARFLLRACWSGPPRRSCVSPVHEHLLRSSEDFFWQLDMMYTGRFTIDVEFLDLKIASNNAKSSTSIWPNESIAVQNIASKSSLGCLSRMLTESIHADVTINTTDGVLKAHKAILASCSPVFESMFLHDLKEKESSTININDMCLESCSALIGFIYGTIKLDQFWKHRLSLLAAANKYSISDIKDCCEESLLEDINSSNVLERLHVAWLYQLQKLKKGCLTYLFVFGKIYDVREEINIFFQHADRELMLEMFQEVITAWKPI >ONIVA08G00260.1 pep chromosome:AWHD00000000:8:193375:197305:-1 gene:ONIVA08G00260 transcript:ONIVA08G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLLALALVLLASGASAASVGDTCSSEGDCGAGLHCSDCGGGGDKTCTRAKPIDPLTHGTDLPFNNYSWLTTHNSYALAGSSSATGSALITQTNQEDTITAQLKNGVRGLMLDTYDFNNDVWLCHSFQGKCFNFTAFQPAINVLKEIRTFLDGNPSEVITIFLEDYTASGSLPKVFNASGLMKYWFPVAKMPKSGGDWPLLKDMISQNERLLVFTSKKSKEASEGIAYEWSYVVENQYGNEGMVEGKCPNRAESPAMDSKSQSLVLMNFFTTDPSQTGVCANNSAPLVSMLKTCHDLSGNRWPNYIAVDFYMRSDGGGAPLATDIANGHLVCGCDNIAYCKANSTFGTCVIPPPSPPPSPRKAPSGGKGTSAGGSSSSSGAMARVVLPKLSFFGVALLILVILSY >ONIVA08G00250.1 pep chromosome:AWHD00000000:8:188113:191662:-1 gene:ONIVA08G00250 transcript:ONIVA08G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6A2] MAMAKQQRVYQVWKGNNIILCGGRFIFGPDAKATLLSFSLIAIPVAVFCYFVARHLIHMFPAYNAGYAILAVTIVLSIYVLLLLFLTSSQDPGIVPRNSHPPVEEFSHDASAPHTLQFPRVKEILVNGVPVKVKYCDTCMIYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGQRNYRYFFCFVSSAAILCIYIFSMCALHIKLLMNRDHHSVIKAIKESPASVAIMAYCFICFWFVGGLTGFHSYLIATNKTTYENLKYKYNNQPNVFDRGCMNNCSEFFCTKRAPSRINLRAIVQEDHGVAPPRISRSNVAEEETPHRPRAKVEDDLEMGLDILKTSQRRSDELGDEELGVESNGVKYRRADCSPGLDNEIPITRTKIESSSEVRDLEIFPTGNAALPSSPEKKQHPDEWCFITVKC >ONIVA08G00250.2 pep chromosome:AWHD00000000:8:188113:191662:-1 gene:ONIVA08G00250 transcript:ONIVA08G00250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6A2] MAMAKQQRVYQVWKGNNIILCGGRFIFGPDAKATLLSFSLIAIPVAVFCYFVARHLIHMFPAYNAGYAILAVTIVLSIYRNYRYFFCFVSSAAILCIYIFSMCALHIKLLMNRDHHSVIKAIKESPASVAIMAYCFICFWFVGGLTGFHSYLIATNKTTYENLKYKYNNQPNVFDRGCMNNCSEFFCTKRAPSRINLRAIVQEDHGVAPPRISRSNVAEEETPHRPRAKVEDDLEMGLDILKTSQRRSDELGDEELGVESNGVKYRRADCSPGLDNEIPITRTKIESSSEVRDLEIFPTGNAALPSSPEKKQHPDEWCFITVKC >ONIVA08G00240.1 pep chromosome:AWHD00000000:8:183900:190960:1 gene:ONIVA08G00240 transcript:ONIVA08G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6A1] MQPEFGPPVNHHRISKLARAAKLNWKWKILVTRHQGQLRELIKTEFRDQSRWVGLPPELLRDVMKRLEEGESNWPSRKDVVACAAVCRTWREICKDIVQSPEICGKLTFPVSLKQPGPRDGLIQCFIKRDKSKLTYYLYLCLSPAVLSENGKFLLAAKRNRRATSTEYIISVDSKNISRSSNGYVGKMRSNFLGTKFVVYDTQPPYNAGSLMSCQHGSRRISSRRVSPKLPTGSYPIAHVKYELNVLGTRGPRRMQCTMHSIPASAVDPEGVVPGQPEQLLPGPFEESFRSTNTSSRFSFMDRSLDFSSSRFSEISGSANQQGEDDIPEAKERPLVLRNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAASSESSQLEQQQQQQNHASSSSASDHGKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >ONIVA08G00240.2 pep chromosome:AWHD00000000:8:183900:190960:1 gene:ONIVA08G00240 transcript:ONIVA08G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I6A1] MQPEFGPPVNHHRIIQAQLEVEDLGHAASGESLVAVHFSQGMSFRSIVRDVRDSFGSLSRRSFEVRISGLPGLSGHHRGKSLGSLSELRDRPVVVDQSRWVGLPPELLRDVMKRLEEGESNWPSRKDVVACAAVCRTWREICKDIVQSPEICGKLTFPVSLKQPGPRDGLIQCFIKRDKSKLTYYLYLCLSPAVLSENGKFLLAAKRNRRATSTEYIISVDSKNISRSSNGYVGKMRSNFLGTKFVVYDTQPPYNAGSLMSCQHGSRRISSRRVSPKLPTGSYPIAHVKYELNVLGTRGPRRMQCTMHSIPASAVDPEGVVPGQPEQLLPGPFEESFRSTNTSSRFSFMDRSLDFSSSRFSEISGSANQQGEDDIPEAKERPLVLRNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAASSESSQLEQQQQQQNHASSSSASDHGKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >ONIVA08G00230.1 pep chromosome:AWHD00000000:8:162093:182467:-1 gene:ONIVA08G00230 transcript:ONIVA08G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTEDEAAAAAERIFERLAESGGLLQQHDHQLQRQLRLHFRRMPARYLVDMCGGKAEEVLIHLQLLADCADPANRPVVHARFLLTIPSSSSSSILSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVGRAAHCIIFVELGLDRQIEILWSSSSSPNSNRVDDVLLHWRILTHCAHPQNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDTGDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALSHKNASPSNSTNSSASTNQQKIAELQQQVGDSEIDWNLLTTGEKIATGSSADLYRGTYNGLDVAVKILRDSHFNNPSVNHENVLQFYGACTRPQKYCIVTEYMPGGNLYDFLHKQNNVLDLLTILRIAISISKGMNYLHQNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEVPYDNMTPLQAALGVRQGFRLEIPSSVNPRLSKLIQRCWDEDPDVRPRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRDERIWGLGFVVREERRSSDAVELGKNTDKVESGKGNMASARRDQVNGNGDGQSYANHRLLRQAALTKIKSTASEEAPIKLDRHPLTTDELNHARSGDCSSKEPVGVDSPRRGRETTAPEYGDAAMLRKTRTREESEND >ONIVA08G00230.2 pep chromosome:AWHD00000000:8:162093:182467:-1 gene:ONIVA08G00230 transcript:ONIVA08G00230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTEDEAAAAAERIFERLAESGGLLQQHDHQLQRQLRLHFRRMPARYLVDMCGGKAEEVLIHLQLLADCADPANRPVVHARFLLTIPSSSSSSILSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVGRAAHCIIFVELGLDRQIEILYFKPSGRRRLLQTPIGGRRPEPAPPPRTAAINTRPPRARARRGAEGEMEMEAEDWGESSSPPRPHPEADMVNKEKDPEEMKRKLKERILELEKEEVPLPDDASAFVHHLSRLPKRYLFDLGVDRVDDVLLHWRILTHCAHPQNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDTGDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALSHKNASPSNSTNSSASTNQQKIAELQQQVGDSEIDWNLLTTGEKIATGSSADLYRGTYNGLDVAVKILRDSHFNNPSVNHENVLQFYGACTRPQKYCIVTEYMPGGNLYDFLHKQNNVLDLLTILRIAISISKGMNYLHQNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDNKADVFSFAIVLWELVPYDNMTPLQAALGVRQGFRLEIPSSVNPRLSKLIQRCWDEDPDVRPRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRDERIWGLGFVVREERRSSDAVELGKNTDKVESGKGNMASARRDQVNGNGDGQSYANHRLLRQAALTKIKSTASEEAPIKLDRHPLTTDELNHARSGDCSSKEPVGVDSPRRGRETTAPEYGDAAMLRKTRTREESEND >ONIVA08G00230.3 pep chromosome:AWHD00000000:8:162093:182467:-1 gene:ONIVA08G00230 transcript:ONIVA08G00230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTEDEAAAAAERIFERLAESGGLLQQHDHQLQRQLRLHFRRMPARYLVDMCGGKAEEVLIHLQLLADCADPANRPVVHARFLLTIPSSSSSSILSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVGRAAHCIIFVELGLDRQIEILYFKPSGRRRLLQTPIGGRRPEPAPPPRTAAINTRPPRARARRGAEGEMEMEAEDWGESSSPPRPHPEADMVNKEKDPEEMKRKLKERILELEKEEVPLPDDASAFVHHLSRLPKRYLFDLGVDRVDDVLLHWRILTHCAHPQNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDTGDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALYRGTYNGLDVAVKILRDSHFNNPSVNHENVLQFYGACTRPQKYCIVTEYMPGGNLYDFLHKQNNVLDLLTILRIAISISKGMNYLHQNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDNKADVFSFAIVLWELVPYDNMTPLQAALGVRQGFRLEIPSSVNPRLSKLIQRCWDEDPDVRPRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRDERIWGLGFVVREERRSSDAVELGKNTDKVESGKGNMASARRDQVNGNGDGQSYANHRLLRQAALTKIKSTASEEAPIKLDRHPLTTDELNHARSGDCSSKEPVGVDSPRRGRETTAPEYGDAAMLRKTRTREESEND >ONIVA08G00230.4 pep chromosome:AWHD00000000:8:162093:182467:-1 gene:ONIVA08G00230 transcript:ONIVA08G00230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTEDEAAAAAERIFERLAESGGLLQQHDHQLQRQLRLHFRRMPARYLVDMCGGKAEEVLIHLQLLADCADPANRPVVHARFLLTIPSSSSSSILSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVGRAAHCIIFVELGLDRQIEILYFKPSGRRRLLQTPIGGRRPEPAPPPRTAAINTRPPRARARRGAEGEMEMEAEDWGESSSPPRPHPEADMVNKEKDPEEMKRKLKERILELEKEEVPLPDDASAFVHHLSRLPKRYLFDLGVDRVDDVLLHWRILTHCAHPQNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDTGDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALSHKNASPSNSTNSSASTNQQKIAELQQQVGDSEIDWNLLTTGEKIATGSSADLYRGTYNGLDVAVKILRDSHFNNPSVNHENVLQFYGACTRPQKYCIVTEYMPGGNLYDFLHKQNNVLDLLTILRIAISISKGMNYLHQNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDNKADVFSFAIVLWELVPYDNMTPLQAALGVRQRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRDERIWGLGFVVREERRSSDAVELGKNTDKVESGKGNMASARRDQVNGNGDGQSYANHRLLRQAALTKIKSTASEEAPIKLDRHPLTTDELNHARSGDCSSKEPVGVDSPRRGRETTAPEYGDAAMLRKTRTREESEND >ONIVA08G00230.5 pep chromosome:AWHD00000000:8:162093:182467:-1 gene:ONIVA08G00230 transcript:ONIVA08G00230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTEDEAAAAAERIFERLAESGGLLQQHDHQLQRQLRLHFRRMPARYLVDMCGGKAEEVLIHLQLLADCADPANRPVVHARFLLTIPSSSSSSILSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVGRAAHCIIFVELGLDRQIEILYFKPSGRRRLLQTPIGGRRPEPAPPPRTAAINTRPPRARARRGAEGEMEMEAEDWGESSSPPRPHPEADMVNKEKDPEEMKRKLKERILELEKEEVPLPDDASAFVHHLSRLPKRYLFDLGVDRVDDVLLHWRILTHCAHPQNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDTGDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALSHKNRFSFQQSVRKYMPGGNLYDFLHKQNNVLDLLTILRIAISISKGMNYLHQNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDNKADVFSFAIVLWELVPYDNMTPLQAALGVRQGFRLEIPSSVNPRLSKLIQRCWDEDPDVRPRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRDERIWGLGFVVREERRSSDAVELGKNTDKVESGKGNMASARRDQVNGNGDGQSYANHRLLRQAALTKIKSTASEEAPIKLDRHPLTTDELNHARSGDCSSKEPVGVDSPRRGRETTAPEYGDAAMLRKTRTREESEND >ONIVA08G00220.1 pep chromosome:AWHD00000000:8:160182:160805:1 gene:ONIVA08G00220 transcript:ONIVA08G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLSSPSASQRGGGRELQGPRPAPLKVRKESHKIRKQEPVQQLRQPVIIYTMSPKVVHANAADFMSVVQRLTGAPPTAPPQPQPHHPTLLAQMPPQPSFPFHLQQQDAWPQQQHSPAAIEQAAARSSGADLPPLPSILSPVPGTVLPAIPASFFSPPSLISPVPFLGATTTSSAAPSTSPSPMGGSAYYWDLFNMQQQQHYHHQN >ONIVA08G00210.1 pep chromosome:AWHD00000000:8:144028:144711:-1 gene:ONIVA08G00210 transcript:ONIVA08G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKHCEQHKDCERQRLYRRCCAAIFGILLLLLLIVLIVWLILRPTKPRFYLNDLTVVCLNVTTGGSYAGATASSGYFSFLTVTMQTTLAARNGNERVGIYYDRADVYAEYKGLRITVPTSLPPVYQGHPDLTVWSPFLSGNNVQLPPYLAVSITQDETAGYLLVTIRVDGWIRYKAGAFITGHYHLRVRCPALLIVNDGRGSYGSNSGGGNGYFRFQRAAACVVDV >ONIVA08G00200.1 pep chromosome:AWHD00000000:8:135693:136235:-1 gene:ONIVA08G00200 transcript:ONIVA08G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYLMFRPNLLHATAAGAELSTFSLALKEWTLSYNLSVGVDLTRHNARLALRYHSIAADAYYHDQRFAHALLPDFSQPASTNTTRITPSFQGRHQLLGGLAAAAFRREDTEGIYSIHVTMAAKTEIKLTPSAIIRLPGPNIKLDCPLRLRLHPSPSNATTTTNNHNPHHFHPTTCHISY >ONIVA08G00190.1 pep chromosome:AWHD00000000:8:131728:132543:1 gene:ONIVA08G00190 transcript:ONIVA08G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKKKKTKLGITSLLFSSSSSSGRDTSTTTSGLPYSYSSCSNMSSSSSAAAAAWQWPSCKQPRTLSFRQQQQTMMKTMNSAYLSAGCSFASRDSHSSTCSCCRSRTASDASASADAVTRALRSDRLFFDPDASPAAAADLKLNKAKANKTKKKVEAFGGATAMTIESSNPYRDFRESMEAMVTSGGGGGGADDWLWLEEMLGWYLRANVKSTHGLIVGAFLDLLVSAAASPAASSSSSSPAAKGKYSSCCSACSSSSIKLEEEHQLRHY >ONIVA08G00180.1 pep chromosome:AWHD00000000:8:118782:118988:1 gene:ONIVA08G00180 transcript:ONIVA08G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPARSCVSSEAEDQQAAAAAMVVAGCPRCLMYVMLAVSNTKQPRCPRCNTPVLLHFHNISSTPNKT >ONIVA08G00170.1 pep chromosome:AWHD00000000:8:114392:117319:-1 gene:ONIVA08G00170 transcript:ONIVA08G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKGTEEGRNKCLHFNLSGYFNRDQIVHPDYITGTINGCEPGLKSLSPAAKQFQRKTCFEMRGGKKKKKKD >ONIVA08G00160.1 pep chromosome:AWHD00000000:8:108816:114243:-1 gene:ONIVA08G00160 transcript:ONIVA08G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: protein processing; LOCATED IN: mitochondrion, endoplasmic reticulum, plasma membrane, vacuole; EXPRESSED IN: 25 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nicalin (InterPro:IPR016574), EF-Hand 1, /.../m-binding site (InterPro:IPR018247), Nicastrin (InterPro:IPR008710); Has 245 Blast hits to 243 proteins in 99 species: Archae - 6; Bacteria - 10; Metazoa - 139; Fungi - 0; Plants - 46; Viruses - 0; Other Eukaryotes - 44 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G44330) TAIR;Acc:AT3G44330] MSSSSPSGHLLASVSSALAVLLLLLACVELGDAAAAVGVYRLIQYDLAGAPLGSRAAALNHHAAALPLPAAADLSRSALVAPLLDLPLAFLRDYLADKKYLGGLLILLPEKLNHEPNNEDKGQVKASLAELEQLLLHQQVPYPVYFAFQDDHFDNLLADIRKIASSGQPASATTGGYKLVVSTPEPRKVASPTISNIQGWLPGLKGEGETEQLPTIAIVANYDTFGAAPALSVGSDSNGSGAVALLEIARLFSRLYSNPKTRGKYNLLFGLTSGGPYNYNGTNKWLRSFDQRVRESIDYAICLNSVGSWDNELWMHVSKPPENPYIKQIFEDFSDVSKEMGISVGIKHKKINVSNPRVAWEHEQFSRFRVTALTLSELSSPPDFLESTGGLYDTRESADAESVIRTVRLVSESLARHIYGLKGKNIDVFAENSSLAINPHYIQSWLDLLSRTPRVAPFLQKNDPFIAALKKELSEHTADVHVQSDVLDGMFTFYDATKATLNVYQVASVTFDLLFLLVIGSYLIILFSFLVITTRGLDDLINIFRRPPSRKVKGA >ONIVA08G00150.1 pep chromosome:AWHD00000000:8:102084:102686:-1 gene:ONIVA08G00150 transcript:ONIVA08G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26220) TAIR;Acc:AT1G26220] MQMQAARPRVGVRPRGGIRPFPLPTLSFNNNSNRSACACACAVSVSDSELAARGFAVRRSSTGLDVGALNEVFARVGFPRRQEERLRRALEHSEVVWLEDSASSSAGRPVAFARAAGDGVFNAVVWDVVVEPSCQGLGLGRAVMERLVADLRGKGVSNIALYAEPRVVGFYRLLGFAMDPDAIRGMAFYRSRQQIQNTSS >ONIVA08G00140.1 pep chromosome:AWHD00000000:8:100765:101568:1 gene:ONIVA08G00140 transcript:ONIVA08G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I686] MSGSDTSGSVHVDEHGHGHGKASSSYDGAGAPAPAPAPFQGHRKAGSGSSDVPFLLRSGGSGGDGLRRCLGLIDFVLRVAAFGPTLAAAISIGTSDERLSVFTNYFQFRARFDDFPAFEFFIVANAIAAGYMVLSLPFSAATIMMSSKATGVKLLLLICDTIMVGLLTAAASAAAAMVYVAHEGNLRANWVPICLQFHGFCQRTSGAVIASFLAVFVLMVLIVMAAFTMPRRTHHTAS >ONIVA08G00130.1 pep chromosome:AWHD00000000:8:94537:99719:1 gene:ONIVA08G00130 transcript:ONIVA08G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSNNHKSKSEEEGVALVQVAEEGETTTKQQQQPDSNKAAEEEAGYGYSNWWSTWVSSAVKKRVRAPGRVGIVVVVGGFVLLALLAAVATTTTTTWPQLVDFTGAVSSFLQFGNGDGARRHRPHRTSLVSVPIPFTCGSGNDTGTCPRYAASAPAPAPASMSPPPPQTSTVDYCPSYFRHIELDLAAWVASGISREAVERGRRQAHFRLLVVGGRAYVETYRRAFQTRDVFTQWGILQLLRRYPGRVPDLDLMFNCDDMPEVRAAAYPDRAAAPPLFRYCKDPSTLDVLFPDWSFWGWPEVNIRPWAPLLAEMAEEKARLPWSRREPYAYWKGNPDVSPLRQELLRCNHSLPPDDTVRLYRQDWGFANRNAFRDSNLARQCRHRYKLYVQGRSWSVSRKYILACDSPVLAVATPYQDFFSRGLAAGKHYWPIDPSRSKLCRDIRFAVRWGNAHPAQAQRMGLAGSAFATDDMAMDYVYDYMLHVLTRYASLLRYKPTVPDRAVELCPESMACPRRGRDRDFMMQSREQYVADYQPCTIPPPPLTADDATNMAHRDAEVLSNIDKMIITEDKHN >ONIVA08G00120.1 pep chromosome:AWHD00000000:8:89310:101537:-1 gene:ONIVA08G00120 transcript:ONIVA08G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAAMTMRTMRTKTARKEAMTAPLVRWQKPWNCKHMGTQLARRLPSCATYTIAAAADAAAVSKPTMMVSQMSSRSLTPVALELIMMVAAEKGSDSTIIISSTTRDLTYNGAGEIDELRPGGGGGGGDGGEQGQQDESADDDDDTHATRRPHSLLHRRRHPLELGNTY >ONIVA08G00110.1 pep chromosome:AWHD00000000:8:88554:89270:-1 gene:ONIVA08G00110 transcript:ONIVA08G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family [Source:Projected from Arabidopsis thaliana (AT4G25570) TAIR;Acc:AT4G25570] MAMKAVIKGGDGGGGCMVVVAHVLAVAATAMVLVWCVHFRGGLALRSHNKQLIFNTHPVLMVLGLVVLAAEAILSYRSLSIIVSSRDARKKVHLGLHAVGLALGGVGIYAVFKYHAEADIPNLYSLHSWIGIATISLYALHWLLAFLTFFFPGASHDTRRSAVPWHALLGLLVFALAVGNAQLGFLEKLTFLQAPPLRLSRYGAEALLVNFTALVVLLLGVAVVLATANVDSTKYTAM >ONIVA08G00100.1 pep chromosome:AWHD00000000:8:80303:84231:-1 gene:ONIVA08G00100 transcript:ONIVA08G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:single-stranded DNA endonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G48900) TAIR;Acc:AT3G48900] MGVKNLWDILESCKKKLPLHHLQNKKVCVDLSCWLVQMYSANRSPAFAKDKVYLKNLFHRIRALLALNCTLLFVTDGAIPSLKLATYRRRLGSISHAAKESDQPNSHPSISLRRNKGSEFSCMIKEAKRLGMALGIPCLDGLEEAEAQCASLDLESLCDGCFTSDSDAFLFGARTVYRDVFIGEGGYVICYEMEDIEKTLGFGRNSLISLAVLLGSDYSNGVNGFGPETACRLVKSVGDNLILDQILSNGVKATRKCKGKNSGNKVDDMCPKASSCEVGMTQDSDGQFRDVINAYLEPKCHSPDSEAVQRVCGQHPFLRPQLQKICEEYFDWSPEKTDQYILPKIAERELRRFSDLHSASSALGIKPLLSEIPVPCPVLAIVKQRKVHGNECYEVSWRNIEGLQVSVVPGDLVKSACPEKITEFLEKKGEEKKQKRRARPKKSGQAAVKDVDEQLQELLLGIEADSGGILGATASVCQTLTAAYTVAVQDVVDLSSPSPPLRKLSKSQKKMMAEDVNVAGMNMNKMESESSFSTQSSTSDVDNQLIDLSSPLAGGDNGMKGGRRALADISNVGSHSTETDGGGTTIDLSSPSPAIGDRSRVHHDDDDVIHERKARDLRMFLDSIRNELY >ONIVA08G00090.1 pep chromosome:AWHD00000000:8:78896:80242:1 gene:ONIVA08G00090 transcript:ONIVA08G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G25680) TAIR;Acc:AT2G25680] MAGVGVVVDPEAVGGGGDGRMKEGLARRAVENLRFRSVWGEVNGAMGDLGTYIPIVLSLALSRQLDLGTTLVFTGIYNAITGLLYGVPMPVQPMKSIAAAALADPSFAIPEIMAAGILTASFVLFLGLTRLMDLVYRFVPLSVVRGIQLAQGLNFAMAAVKYIRYEQDLGKGKSLGRRPWVGLDGLVLAIAAVCFIVLVNGAGEEQEQRQQQQQWWRRRLGSVPSAVVVFVVGVAFAVARHPAAVRELRAGPSRMRVVHISREAWKQGFIKGALPQIPLSVLNSVVAVCKLTRDLFPERKESPTSVSVTMGAMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVAALGVLKLALGLLLGGSMLRVLVQFPVGLLGALLLFAGVELAAAARDMSTRAEAFVMLLCTAVSLVGSSAALGFLCGMLAHALLYLRACALRERIVSSQTDL >ONIVA08G00080.1 pep chromosome:AWHD00000000:8:70910:77758:1 gene:ONIVA08G00080 transcript:ONIVA08G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVVGDEAQVKASEETLSASTSPAQVGLVVGKLSASSDRALAYSLIPTPPTDSGAPACSLLHAKPAAKAASSGASSSLDFDVDWLAEHARQVSRMLLGGMTVIGIYIWASEASFKATSPAVLSQVLRAVSQVAPLYGTGVDERLLIHISYSPRRWACRICDMSSGRLRPCDFKYSKLLASLQTFRCTYNFEIRLPVVQAEPFKKVISKAISHLTKQVQNAKALIDGVLFLDDMDNTLEGPHNVEFLVPFKNNLPAEGVAGLLLFAGSVSALAYLGPKESIAEVISDLKLDIITSLRSRLDIILDEADDDSTTNNLENSLSQKATQVVFHELRAPYSFPFPRRILIPWLAGSYICDYLQQSETMEDAMERCKEVMSLEADMGNYSIVEPESASAATLGSFWDVVPGALSKAPSEPGLKEMNSGQNGSRKTHGSSFSILMAFVVLLIAVLVGCIFTLSATLKT >ONIVA08G00080.2 pep chromosome:AWHD00000000:8:73749:77758:1 gene:ONIVA08G00080 transcript:ONIVA08G00080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWACRICDMSSGRLRPCDFKYSKLLASLQTFRCTYNFEIRLPVVQAEPFKKVISKAISHLTKQVQNAKALIDGVLFLDDMDNTLEGPHNVEFLVPFKNNLPAEGVAGLLLFAGSVSALAYLGPKESIAEVISDLKLDIITSLRSRLDIILDEADDDSTTNNLENSLSQKATQVVFHELRAPYSFPFPRRILIPWLAGSYICDYLQQSETMEDAMERCKEVMSLEADMGNYSIVEPESASAATLGSFWDVVPGALSKAPSEPGLKEMNSGQNGSRKTHGSSFSILMAFVVLLIAVLVGCIFTLSATLKT >ONIVA08G00080.3 pep chromosome:AWHD00000000:8:70910:73248:1 gene:ONIVA08G00080 transcript:ONIVA08G00080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVVGDEAQVKASEETLSASTSPAQVGLVVGKLSASSDRALAYSLIPTPPTDSGAPACSLLHAKPAAKAASSGASSSLDFDVDWLAEHARQVSRMLLGGMTVIGIYIWASEASFKATSPAVLSQVLRAVSQVAPLYGTGVDERLLIHISYSPRRCAHKKEAYSARVDDRPPWPASRAAASHHCKLLLASGRCHCCLLLVKPPPRPAACIEVRLPASRDTTPRSGRWGLLLASRHAEKPPLLPAACVVEPARRSRLRHLLFLP >ONIVA08G00070.1 pep chromosome:AWHD00000000:8:64504:65475:-1 gene:ONIVA08G00070 transcript:ONIVA08G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high-mobility group box 6 [Source:Projected from Arabidopsis thaliana (AT5G23420) TAIR;Acc:AT5G23420] MAGKSGARTRKRVEATDSAVLKRARDGSAFTRCEACGKSVSVVLIDMHNCSLDDKIRISLEAQVVEQAVEVAASKKKSGKNNNNNGEGAKKGKRPPTAFFLFMSDFRKEYKAEHPDNKSVSAVAKEGGERWKSMSDEDKKPYLDKAAELKAEYHNGERSDENNVGGNAGEQEVDQSPKKGTDEDDQEDEDGAEEEEKNELDDDI >ONIVA08G00060.1 pep chromosome:AWHD00000000:8:60272:64487:-1 gene:ONIVA08G00060 transcript:ONIVA08G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWIIPSLFVNGGSITLLRREIERERQAGRTGHLATFASASSFASVSTSPLPLPLLPPPGA >ONIVA08G00050.1 pep chromosome:AWHD00000000:8:56815:60131:-1 gene:ONIVA08G00050 transcript:ONIVA08G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMDGGDGGGGGHPYHYQALLAAVHQQTVPFPNPFPAPSSGFADWSASTSAFTSLAAHSSTAPSNAVHYSFSPCYAFWTHYMLNKNAYPTSFPAPHDDHLRLANNNHPTDAPGPASSYGVESFTSPSMAPNICTHMPPIEGPISAKEDKKPEILPRVVKSSDELETRNSNVEFHSETVGTLPESKQGHDSRATKLLNSGEYQVILRKELTKSDVGNVGRIVLPKKDAEASLPPLLQRDPLILHMDDMVLPVTWKFKYRYWPNNKSRMYILDSAGEFLKTHGLQAGDVIIIYKNLAPGKFIIRGEKAIHQQTTNP >ONIVA08G00050.2 pep chromosome:AWHD00000000:8:56815:60131:-1 gene:ONIVA08G00050 transcript:ONIVA08G00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMDGGDGGGGGHPYHYQALLAAVHQQTVPFPNPFPAPSSGAEPPHPHNHNHNHNHNIHNSHNHNHNHNAAPHPCHTPTPTPTPRGFADWSASTSAFTSLAAHSSTAPSNAVHYSFSPCYAFWTHYMLNKNAYPTSFPAPHDDHLRLANNNHPTDAPGPASSYGVESFTSPSMAPNICTHMPPIEGPISAKEDKKPEILPRVVKSSDELETRNSNVEFHSETVGTLPESKQGHDSRATKLLNSGEYQVILRKELTKSDVGNVGRIVLPKKDAEASLPPLLQRDPLILHMDDMVLPVTWKFKYRYWPNNKSRMYILDSAGEFLKTHGLQAGDVIIIYKNLAPGKFIIRGEKAIHQQTTNP >ONIVA08G00050.3 pep chromosome:AWHD00000000:8:56071:60131:-1 gene:ONIVA08G00050 transcript:ONIVA08G00050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMDGGDGGGGGHPYHYQALLAAVHQQTVPFPNPFPAPSSGAEPPHPHNHNHNHNHNIHNSHNHNHNHNAAPHPCHTPTPTPTPRGFADWSASTSAFTSLAAHSSTAPSNAVHYSFSPCYAFWTHYMLNKNAYPTSFPAPHDDHLRLANNNHPTDAPGPASSYGVESFTSPSMAPNICTHMPPIEGPISAKEDKKPEILPRVVKSSDELETRNSNVEFHSETVGTLPESKQGHDSRATKLLNSGEYQVILRKELTKSDVGNVGRIVLPKKDAEASLPPLLQRDPLILHMDDMVLPVTWKFKYRYWPNNKSRMYILDSAGEFLKTHGLQAGDVIIIYKNLAPGKFNQLY >ONIVA08G00040.1 pep chromosome:AWHD00000000:8:46102:57091:1 gene:ONIVA08G00040 transcript:ONIVA08G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSKPSKISIFGAKAGFLIPKNKLPGSLIARSSSNAKNESPTASSSAAASSNSKSKEDNNNNSTQRNTKWGPDLTLDPAVRKSRASAYQSRLEQITKELISGSLEISENEGSISTARGSNSDGANNEKENVQGMVELLELERREIIGEILHLNPGYKAPEDYKPLLKETKIPLPTKTYPGHNIIGVLMGPESNAQKRLQEETGAKIRVYGIKRTNGEKSEIRQADIGEVQGAYEDLYINVSADTHDKVDAATALIELLLTPVSINSRAIEANATVSSAVSSGGADLADLRQVQNNSSQPGFLQYQSHDAHWLSTSQANAPPIPSSEPPPSALPNNSFPLQPPGGSLSTLSYTGPPALMNIMPRNPLPIPGPQPLVSNAQQPPLQFQANPSIGPPFGRPPGIVSPQLTPSSTLPRSVRPLQTPHASGGWLNFSSVPVQSQRPSPAFMPVRPPISVSPLVSSPQLEGAAVPSFPRQSNISTSYGTQHHPSGASFAPSATMPSIPPGAPQLSPQGPSSMPVLSSPAGLTTQPPYPLPMQMRPPMATPAQMRGPPSPFPQTGPTPGMPPAGGFGVPGSGNMTAMRPPRPSSGDFTFRPLVSASPTPELGASGSQVPLFHPGNQRPPMARVEGFQRPIDMGHQGRAYATGPPHPHQHPHPHAHFGGGGFLPRNPAASALQSAGTRGFVGAFPLPPAAVEAQRPFHVLAQAQQKPIYDPFVPSGAVMSGGPGGGGGRKRKTEDDKAEYEDLMASVGVK >ONIVA08G00040.2 pep chromosome:AWHD00000000:8:46102:57091:1 gene:ONIVA08G00040 transcript:ONIVA08G00040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSKPSKISIFGAKAGFLIPKNKLPGSLIARSSSNAKNESPTASSSAAASSNSKSKEDNNNNSTQRNTKWGPDLTLDPAVRKSRASAYQSRLEQITKELISGSLEISENEGSISTARGSNSDGANNEKENVQGMVELLELERREIIGEILHLNPGYKAPEDYKPLLKETKIPLPTKTYPGHNIIGVLMGPESNAQKRLQEETGAKIRVYGIKRTNGEKSEIRQADIGEVQGAYEDLYINVSADTHDKVDAATALIELLLTPVSINSRAIEANATVSSAVSSGGADLADLRQVQNNSSQPGFLQYQSHDAHWLSTSQANAPPIPSSEPPPSALPNNSFPLQPPGGSLSTLSYTGPPALMNIMPRNPLPIPGPQPLVSNAQQPPLQFQANPSIGPPFGRPPGIVSPQLTPSSTLPRSVRPLQTPHASGGWLNFSSVPVQSQRPSPAFMPVRPPISVSPLVSSPQLEGAAVPSFPRQSNISTSYGTQHHPSGASFAPSATMPSIPPGAPQLSPQGPSSMPVLSSPAGLTTQPPYPLPMQMRPPMATPAQMRGPPSPFPQTGPTPGMPPAGGFGVPGSGNMTAMRPPRPSSGDFTFRPLVSASPTPELGASGSQVPLFHPGNQRPPMARVEGFQRPIDMGHQGRAYATGPPHPHQHPHPHAHFGGGGFLPRNPAASALQSAGTRGFVGAFPLPPAAVEAQRPFHVLAQAQQKPIYDPFVPSGAVMSGGPGGGGGRKRKTEDDKAEYEDLMASVGVK >ONIVA08G00030.1 pep chromosome:AWHD00000000:8:28078:46022:1 gene:ONIVA08G00030 transcript:ONIVA08G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRRGAKAHKWTTQPQLGDLVLAKVKGYPPWPAKVSKPEDWDQMPVPRKVFVVFFGTREIAHIALPDLQPFTENAKSEVMDRSRNKQCPKKYIDSFAEAVVEICKAYDDLPKSSETTTCMLPDDQSEQPTEHLVKSPNNDEAPRSGQMEGDSPSDNLNTSGLGSGTEVDIKDGSRDIRDSSLAAVKRKKPKDLDQPKKKKPVTSKSAINMHLEQDCSATTVHAERELEEPKAEKEINPSEFLTLDPTVQIVCALEVPKKSKAAKQSKNAERKDNKRVNVADISGRTTPGAVLDTELKRSAEKDSKGFKKSKLMMKQSVSNESEKIDHKRIMVDKSDKLLARKSSSVFSSNKKPLPGSEQRKLDNSTDMRPAKRPKLMDRANETVKTEAKSETSLHADNEKDSALKIEKSIPAEAVSNSVPKIGVGDDRTRRSGSLLSPLARLHSQGSEPASGSTQLSAVDTAKKVSSLKENFSRVGKPLAKPRRRACRFGDDDEEEQRTPPHKSSVKSIPMRGVPTEKFQSQTGVRGISSSQIGNASAMKFGVAREEKPKSIGRSPVEHEPDYTSPNQDKMHGRLQIMGKRSTTSSVDTSASLGNKTNLADRRSSGQLRMATSSEVKKTQGSSSKLLHQTPGNLHSQNPDDSEKNALLSKSENSKAKTKSGTQITATVESRISTAMQAERIGKMDHSKEQRSDFVDKAAFAEPNSDPVKSMKHLIAAAQARRNLIAAAQGKSDGLSADNTVLSSTPYGLPGLSPGPVFHIPSASRIIPENDGMQFPDSFCAITEPGQQVAMKNLLEIEHEHGKSPKTRQSSDSLSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAAEIVELLVLKLENEPNLHRRVDLLFLIDSITQCSHSQKGVAGISYVPTVQAALPRLLGAAAPPGPGARENRRQCLKVLRLWLERKIMPEGILRRYMDDIEVPNDDANTGFLLRRPSRAERSVDDPIREMEGMLVDEYGSNANFELSGILSSNVFENDDDFPGLSPAISLPVQSGRMQENEQATAPNFVEENIRLPKDVTSDVPMEDASLLPRDKQQTDGAIPVVHDLQHEIDREQALADQNELPPLPDGPPPLPLDAPPPPPLPEGPPPLPSDSPPCQPPLPPSPPPATPPPPPPLSPSLPPPPPPPPLPSGPPPQPAPPPLPIQPPPIPPPPVPSSPSSLGYQPPAPEYFRASNSNQLNQMAGNASIQGIGNMTNFIPGGSVNTQAAVNFTPSVPPDYGNNNLYIKPQGSNGNFQFRPTGVPFQQGTFSAFPSAQTPPVRPHTHLTQMNPVGQQAVPPCNPYAVQSFPNNQSQYTSDEQWRMTSGNFSPDDQRNTWLPGARALSCAEGSFMQDGYPRSNIDRSSMNPMTHQRPVLNRMPSGAPDMLFLRCCRLDLIFTHLIAGGLRNEISQIELLARGFSHGKLGLPEAVSIQLATRVPLRQRKLQFNWQAPQADAASRNQRRKCYDFDQRKNLSLSGGVGSAEWRGSEPEEEEVRRRAALCRLLALDSLPRPAPISASPPPPRPAAASAALRCRIFTVIPYNICCDFKPFQHTDGSSHSSSELASPEDSLDLSSSSSSSSPPIILLRLNVPHESNKSWADTSPGLLGEKSTASNSISNSDFLGNSFTKTSMNAGHTVRRKSKKKSKKHKQRCRKPTAGSEIKCKGNNCPTPAISMVDCEDSTLSPKCVGDILFEDTFSPSSSVKEASEEAHDSENDDDYPSCSVASVSSVSYCDETELYRPTTACLELFGQHNKSNIRCLDNNCNTTLVHSSQETCAGSSGDCWDDNKVLLSFENERGSDPCETTECCSRDGVGDNCSSRICSPNGVGMRNGIQAVHLCSDTSSDSDFHLVISRKRARKEKKMALWKSSNGERLSAATRGRNDNYVGRSSRQIFQEINTKDLSCRQNRVGSIHLQHGVVLKNSKNTIHKPSNICMQVEPHSRVASKVSKHARILLHSSNPKEDSSRKSNSDFNEWNIDSDKKLPNAMHGIKSNCCEMRLDSPSETTAPKFTMGNCPSESGRSTNCTVGALPLQKRGLGTSRQTNDAIGTTPGPLLPGSGSAQADLVAVEWNHSFQKLCSAEMLLTEMFRVVGDAYQVQVSADAHLAAGHPITDLDTFIYLATPVIGHVPCMKGSNCSKDQLVNDSICQQNLSNISLRSIWEWYEEPGCYGLEVRACNDLSPETSFCNSSEFCAYFVPYLSAVQLFGWSRKSMNHSFGIGGGETSNTGSSLCSHPVSARLLRPFEQSMRLSESFSSIQDHGEVIFEYFETEQPSFRPPLFEKIKELVSSVNVSGHQIFGDPEKLQNVKLCDLHPASWFSVAWYPVYRVPHGKLRAAFLTYHSLGKLVPQKGSPDLTGLGSRIVSPVFGLQSYNDKGEQWFQLRRPDSKQLQIDGESSKGSRAEVLKERLRTLQRGALAAARAVVPKGGGESVNCHPDYEFFLSRCT >ONIVA08G00030.2 pep chromosome:AWHD00000000:8:28078:46022:1 gene:ONIVA08G00030 transcript:ONIVA08G00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRRGAKAHKWTTQPQLGDLVLAKVKGYPPWPAKVSKPEDWDQMPVPRKVFVVFFGTREIAHIALPDLQPFTENAKSEVMDRSRNKQCPKKYIDSFAEAVVEICKAYDDLPKSSETTTCMLPDDQSEQPTEHLVKSPNNDEAPRSGQMEGDSPSDNLNTSGLGSGTEVDIKDGSRDIRDSSLAAVKRKKPKDLDQPKKKKPVTSKSAINMHLEQDCSATTVHAERELEEPKAEKEINPSEFLTLDPTVQIVCALEVPKKSKAAKQSKNAERKDNKRVNVADISGRTTPGAVLDTELKRSAEKDSKGFKKSKLMMKQSVSNESEKIDHKRIMVDKSDKLLARKSSSVFSSNKKPLPGSEQRKLDNSTDMRPAKRPKLMDRANETVKTEAKSETSLHADNEKDSALKIEKSIPAEAVSNSVPKIGVGDDRTRRSGSLLSPLARLHSQGSEPASGSTQLSAVDTAKKVSSLKENFSRVGKPLAKPRRRACRFGDDDEEEQRTPPHKSSVKSIPMRGVPTEKFQSQTGVRGISSSQIGNASAMKFGVAREEKPKSIGRSPVEHEPDYTSPNQDKMHGRLQIMGKRSTTSSVDTSASLGNKTNLADRRSSGQLRMATSSEVKKTQGSSSKLLHQTPGNLHSQNPDDSEKNALLSKSENSKAKTKSGTQITATVESRISTAMQAERIGKMDHSKEQRSDFVDKAAFAEPNSDPVKSMKHLIAAAQARRNLIAAAQGKSDGLSADNTVLSSTPYGLPGLSPGPVFHIPSASRIIPENDGMQFPDSFCAITEPGQQVAMKNLLEIEHEHGKSPKTRQSSDSLSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAAEIVELLVLKLENEPNLHRRVDLLFLIDSITQCSHSQKGVAGISYVPTVQAALPRLLGAAAPPGPGARENRRQCLKVLRLWLERKIMPEGILRRYMDDIEVPNDDANTGFLLRRPSRAERSVDDPIREMEGMLVDEYGSNANFELSGILSSNVFENDDDFPGLSPAISLPVQSGRMQENEQATAPNFVEENIRLPKDVTSDVPMEDASLLPRDKQQTDGAIPVVHDLQHEIDREQALADQNELPPLPDGPPPLPLDAPPPPPLPEGPPPLPSDSPPCQPPLPPSPPPATPPPPPPLSPSLPPPPPPPPLPSGPPPQPAPPPLPIQPPPIPPPPVPSSPSSLGYQPPAPEYFRASNSNQLNQMAGNASIQGIGNMTNFIPGGSVNTQAAVNFTPSVPPDYGNNNLYIKPQGSNGNFQFRPTGVPFQQGTFSAFPSAQTPPVRPHTHLTQMNPVGQQAVPPCNPYAVQSFPNNQSQYTSDEQWRMTSGNFSPDDQRNTWLPGARALSCAEGSFMQDGYPRSNIDRSSMNPMTHQRPVLNRMPSGAPDMLFLRCCRLDLIFTHLIAGGLRNEISQIELLARGFSHGKLGLPEAVSIQLATRVPLRQRKLQFNWQAPQADAASRNQRRKCYDFDQRKNLSLSGGVGSAEWRGSEPEEEEVRRRAALCRLLALDSLPRPAPISASPPPPRPAAASAALRCRIFTVIPYNICCDFKPFQHTDGSSHSSSELASPEDSLDLSSSSSSSSPPIILLRLNVPHESNKSWADTSPGLLGEKSTASNSISNSDFLGNSFTKTSMNAGHTVRRKSKKKSKKHKQRCRKPTAGSEIKCKGNNCPTPAISMVDCEDSTLSPKCVGDILFEDTFSPSSSVKEASEEAHDSENDDDYPSCSVASVSSVSYCDETELYRPTTACLELFGQHNKSNIRCLDNNCNTTLVHSSQETCAGSSGDCWDDNKVLLSFENERGSDPCETTECCSRDGVGDNCSSRICSPNGVGMRNGIQAVHLCSDTSSDSDFHLVISRKRARKEKKMALWKSSNGERLSAATRGRNDNYVGRSSRQIFQEINTKDLSCRQNRVGSIHLQHGVVLKNSKNTIHKPSNICMQVEPHSRVASKVSKHARILLHSSNPKEDSSRKSNSDFNEWNIDSDKKLPNAMHGIKSNCCEMRLDSPSETTAPKFTMGNCPSESGRSTNCTVGALPLQKRGLGTSRQTNDAIGTTPGPLLPGSGSAQADLVAVEWNHSFQKLCSAEMLLTEMFRVVGDAYQVQVSADAHLAAGHPITDLDTFIYLATPVIGHVPCMKGSNCSKDQLVNDSICQQNLSNISLRSIWEWYEEPGCYGLEVRACNDLSPETSFCNSSEFCAYFVPYLSAVQLFGWSRKSMNHSFGIGGGETSNTGSSLCSHPVSARLLRPFEQSMRLSESFSSIQDHGEVIFEYFETEQPSFRPPLFEKIKELVSSVNVSGHQIFGDPEKLQNVKLCDLHPASWFDPDT >ONIVA08G00020.1 pep chromosome:AWHD00000000:8:18156:25642:-1 gene:ONIVA08G00020 transcript:ONIVA08G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPLGSETAISPAYGEEEEEEEEEEQCRICRVPAEAGRPLRHPCACRASIRFVHDDCLLRWLATRRTSHCEVCKRLISTCPLYAANAPARLPSSEFMLGLANKLMGWFFLLLSLLAAMYIWEFVMPFTTLWIWRLALARSFARVRHLLSLRIFAHAHGHGAPLYGLMPSPDAVFACVSIRRAFLRDLPHFRDLNPLARFAAHALAPFALWIARLETRLDRRFGGLDSLQVIALHTVEASLMVVLLDVMLACVFGFIPFSLGRIILFCTSCFSFGNMDRVHSYTSTSSILLVGYGFIFSLGVLFTGFHTFDQYSRGEHLTIAVFFKVLTNGMYRLFSPLRRLPGIHVMVQMALSFLRLFFRGIINLVTVANISVNLINVIAICPLFFGWSVDICASQLFGETIYQKLELLFASSFASTALHWLIGCIYLMLLSIFSSPLCLVLGPGVTIPFVHFSGEESLIQLFREPFYKFSLKLLPGLFVSAVDVAMVILVPVQIAGQLAPKVFPLDITYFDPPTKGSAFWQAPRTYAELLSGALLLRFLICNTLKYLQPGPLLQKLLLYWSATTRRVLGLLDLLIAWSAGDGECEDGNGSTRKFHHGSTSEDEYKRRFAAVRLILLVVLSSSTLVIFNSAVLIVPVSIGRALLFVIPKLPIAGGLKYNDLFAFAIGFCIISTIIAASRDLFVYMASGRTHLLASVNYKWGITALKGSPLLFIWIVIIPLLIGLLVNFLLISPFLVTANGMFVIDLFCTWFLGLLLLKFWVKLVHWTTVTPFLVYFIDERWDWKLTRAREDGFSGLRALWVLQDVLMPITLKLLTALCVPYALAKGVFPNFGYPDAVNLTVYRFAWLGGFALCVLYDLAKVFCKVLVKLHDSIRDERYLIGQRLQNYSINYTKRKICDLDNHVGYFL >ONIVA08G00020.2 pep chromosome:AWHD00000000:8:18747:25642:-1 gene:ONIVA08G00020 transcript:ONIVA08G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPLGSETAISPAYGEEEEEEEEEEQCRICRVPAEAGRPLRHPCACRASIRFVHDDCLLRWLATRRTSHCEVCKRLISTCPLYAANAPARLPSSEFMLGLANKLMGWFFLLLSLLAAMYIWEFVMPFTTLWIWRLALARSFARVRHLLSLRIFAHAHGHGAPLYGLMPSPDAVFACVSIRRAFLRDLPHFRDLNPLARFAAHALAPFALWIARLETRLDRRFGGLDSLQVIALHTVEASLMVVLLDVMLACVFGFIPFSLGRIILFCTSCFSFGNMDRVHSYTSTSSILLVGYGFIFSLGVLFTGFHTFDQYSRGEHLTIAVFFKVLTNGMYRLFSPLRRLPGIHVMVQMALSFLRLFFRGIINLVTVANISVNLINVIAICPLFFGWSVDICASQLFGETIYQKLELLFASSFASTALHWLIGCIYLMLLSIFSSPLCLVLGPGVTIPFVHFSGEESLIQLFREPFYKFSLKLLPGLFVSAVDVAMVILVPVQIAGQLAPKVFPLDITYFDPPTKGSAFWQAPRTYAELLSGALLLRFLICNTLKYLQPGPLLQKLLLYWSATTRRVLGLLDLLIAWSAGDGECEDGNGSTRKFHHGSTSEDEYKRRFAAVRLILLVVLSSSTLVIFNSAVLIVPVSIGRALLFVIPKLPIAGGLKYNDLFAFAIGFCIISTIIAASRDLFVYMASGRTHLLASVNYKWGITALKGSPLLFIWIVIIPLLIGLLVNFLLISPFLVTANGMFVIDLFCTWFLGLLLLKFWVKLVHWTTVTPFLVYFIDERWDWKLTRAREDGFSGLRALWVLQDVLMPITLKLLTALCVPYALAKGVFPNFGYPDAVNLTVYRFAWLGGFALCVLYDLAKVFCKVLVKLHDSIRDERYLIGQRLQNYVDNS >ONIVA08G00010.1 pep chromosome:AWHD00000000:8:10861:16178:-1 gene:ONIVA08G00010 transcript:ONIVA08G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVAVALALSLIGGLSTSLGALLAILNCAPNNKTLGMLQGFATGLMLSMSFFDLAYDAVNAIGFLKGNLWFFAGALLFSTIAEVFPEPDCNLADENDKHLENKTGNNNIAGKELMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLSLAIALHYIPEGISVALPAYFATCSKWQAFKLATLSGFAEPLGVIIVAYLFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPIAFEYAGRKDAVKAVFVGMAFMSMRLDDS >ONIVA08G00010.2 pep chromosome:AWHD00000000:8:12902:16178:-1 gene:ONIVA08G00010 transcript:ONIVA08G00010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVAVALALSLIGGLSTSLGALLAILNCAPNNKTLGMLQGFATGLMLSMSFFDLAYDAVNAIGFLKGNLWFFAGALLFSTIAEVFPEPDCNLADENDKHLENKTGNNNIAGKELMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLSLAIALHYIPEGISVALPAYFATCSKWQAFKLATLSGFAEPLGVIIVAYLFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPIAFEYAGRKDAVKAVFVGMAFMSMRCVTVLPLR >ONIVA07G27320.1 pep chromosome:AWHD00000000:7:24703449:24707661:-1 gene:ONIVA07G27320 transcript:ONIVA07G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDANLQEMETRVKIMLKLLGEEADTFGKRAEMYYRRRPEVINHVEEVYRAYRALVERYDHLSKELHKANHTIATACPQHDVSLLQEQDDAEFTPIRIQESTTTVQEVLNPKGHARPCAPHFTKQNAQQEIDTLQKAILVLQTEKEFVKSSYETGITKYREIEKQIADMQDQVCHIQNEFDAHASIEDDEARALMTITALRSCQGTVANLVKIFEELVRVAAGESEKVNYLRQKLYAMNYIIDPSKGEVGTTNIAVKNRVYPNTQEILELQPIYEKIERFFELNSESVVQEMAERVDELVDKVMNLELKFPKQSAQIKQLKEDNDSLKDRLDDLQDEIALRDDPSDLSEQLKLTEDELNRVKALERSVIEEEVLVSTVFSEVVSCITNISKAFGSIDPEDMTSLSAAVENDGEITSDDISTSLPEEEFRGTEEATTDDNLGRDRCRKEDASGVEGHDSLDGTDGIDDCKNGNEENFQSENRLIQEDLMDKRSIQASNNIDRIVIPGKENGFNNACEGKIDCSPSGNTKKYRDIGNDVIDNSAQGESLKGEHPPTVISQTHLPHSECLDTLTNKSDSDEKGSSVVVTVNSFGGSKRIQGLRIGGDENSMSGNSLIQEELRDDKSLKTPGYVNLVGSTNQHSLSDGSTTEEISLPKVSNSCFSDADMRPELCHTEEAISVEEWPKQDGQLIAPETMKSLNGGSKVDSSEKGGRTSLEHMNSIQDLKTSELVDAHSSRVYQQVPKVTTKSNNIASCIPHGELETRSSDGREHTRQASTLSKPGSMSLRVNSSLVAERDAPSWQEFLLDGIEGREALLLDDYTLILRNYKETKRRLAELEKKNEQHPEETKTVIRELRNANSMKYVEIQSLRDLLDPSEDISSTHSKMGFNRSNHPLDTEISVLEGIDVRHTGVRKNTSPFEVKFRSEIDALIEENLQFLVRFSMACHRMQDFDSKYQELQKGMGDFEVKKTGEPDAAAESDPAEKKLRELRTELDVWFEQNALLDQDLQLKTMSLSRLQEEIAEALRASAETDGGRFTPYEAAKFQGEVLNMQQSSGKIERELQSALKRMRELEGKVNDGLQKLRESFDLSCRRSSLVEEESSSTSYHSQFKHFPTRTRVPLRNFLFGTKPKKKSIFACINPTLQKQFSDLT >ONIVA07G27320.2 pep chromosome:AWHD00000000:7:24704028:24707661:-1 gene:ONIVA07G27320 transcript:ONIVA07G27320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDANLQEMETRVKIMLKLLGEEADTFGKRAEMYYRRRPEVINHVEEVYRAYRALVERYDHLSKELHKANHTIATACPQHDVSLLQEQDDAEFTPIRIQESTTTVQEVLNPKGHARPCAPHFTKQNAQQEIDTLQKAILVLQTEKEFVKSSYETGITKYREIEKQIADMQDQVCHIQNEFDAHASIEDDEARALMTITALRSCQGTVANLVKIFEELVRVAAGESEKVNYLRQKLYAMNYIIDPSKGEVGTTNIAVKNRVYPNTQEILELQPIYEKIERFFELNSESVVQEMAERVDELVDKVMNLELKFPKQSAQIKQLKEDNDSLKDRLDDLQDEIALRDDPSDLSEQLKLTEDELNRVKALERSVIEEEVLVSTVFSEVVSCITNISKAFGSIDPEDMTSLSAAVENDGEITSDDISTSLPEEEFRGTEEATTDDNLGRDRCRKEDASGVEGHDSLDGTDGIDDCKNGNEENFQSENRLIQEDLMDKRSIQASNNIDRIVIPGKENGFNNACEGKIDCSPSGNTKKYRDIGNDVIDNSAQGESLKGEHPPTVISQTHLPHSECLDTLTNKSDSDEKGSSVVVTVNSFGGSKRIQGLRIGGDENSMSGNSLIQEELRDDKSLKTPGYVNLVGSTNQHSLSDGSTTEEISLPKVSNSCFSDADMRPELCHTEEAISVEEWPKQDGQLIAPETMKSLNGGSKVDSSEKGGRTSLEHMNSIQDLKTSELVDAHSSRVYQQVPKVTTKSNNIASCIPHGELETRSSDGREHTRQASTLSKPGSMSLRVNSSLVAERDAPSWQEFLLDGIEGREALLLDDYTLILRNYKETKRRLAELEKKNEQHPEETKTVIRELRNANSMKYVEIQSLRDLLDPSEDISSTHSKMGFNRSNHPLDTEISVLEGIDVRHTGVRKNTSPFEVKFRSEIDALIEENLQFLVRFSMACHRMQDFDSKYQELQKGMGDFEVKKTGEPDAAAESDPAEKKLRELRTELDVWFEQNALLDQDLQLKTMSLSRLQEEIAEALRASAETDGGRFTPYEAAKFQGEVLNMQQSSGKIERELQSALKRMRELEGKVNDGLQKLRESFDLSCRRSSLVEEESSSTSYHSQFKHFPTRTRVPLRNFLFGTKPKKKSIFACINPTLQKQFSDL >ONIVA07G27310.1 pep chromosome:AWHD00000000:7:24700096:24707994:1 gene:ONIVA07G27310 transcript:ONIVA07G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFACLGAGGGKMKKKKKSPPQIPPASERDNPPNLASSTVMKQDQDSFQLAANEDILVSNGSSENRRIAARTFTFRELAAATSNFRVDCLLGEGGFGRVYKGYLETVDQASNLYSASCYHTANFCLIKLESLQLTVVAIKQLDRNGLQGNREFLVEVLMLSMLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDPPPGKSRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPIGDKSHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRRAIDNTRAAGEQNLVAWARPLFKDRRKFPQMADPALHGQYPSRGLYQALAVAAMCVQEQPTMRPLIGDVVTALAYLASQTYDPEAHGVHHTSRLMSPGTQGV >ONIVA07G27300.1 pep chromosome:AWHD00000000:7:24686384:24698995:1 gene:ONIVA07G27300 transcript:ONIVA07G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALGVGVGDANDAVPGAGGGGYSDPDGGPTSGVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESNNNSGSNDDDDNDGVIMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHLKSDICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDAQQAARATNAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVLRRSDLSAFTRYHTPVASNQGGTGFVGSCSPHDNSSEAMKTDSAYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPSTNKERVMSPSAVKANGHTSAFHPAQHWTSPANTTGKEKTDEVANNAAKRAQPGEVQSNLVQHPRPILHYVHFDVSRENGGSGAPQCGSSNVFDPPVEGHAANYGVNGSNSGSNNGSNGQNGSTTAVNAERPNMEIANGTINKSGPGGGNGSGSGSGNDMYLKRFTQREHRVAAVIKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQAVQDQQQQGDSYETVKRNTKGCN >ONIVA07G27300.2 pep chromosome:AWHD00000000:7:24686384:24699176:1 gene:ONIVA07G27300 transcript:ONIVA07G27300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALGVGVGDANDAVPGAGGGGYSDPDGGPTSGVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESNNNSGSNDDDDNDGVIMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHLKSDICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDAQQAARATNAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVPYTCGFQSRWDRIRGKLFAA >ONIVA07G27300.3 pep chromosome:AWHD00000000:7:24686207:24699176:1 gene:ONIVA07G27300 transcript:ONIVA07G27300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALGVGVGDANDAVPGAGGGGYSDPDGGPTSGVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESNNNSGSNDDDDNDGVIMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHLKSDICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDAQQAARATNAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVLRRSDLSAFTRYHTPVASNQGGTGFVGSCSPHDNSSEAMKTDSAYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPSTNKERVMSPSAVKANGHTSAFHPAQHWTSPANTTGKEKTDEVANNAAKRAQPGEVQSNLVQHPRPILHYVHFDVSRENGGSGAPQCGSSNVFDPPVEGHAANYGVNGSNSGSNNGSNGQNGSTTAVNAERPNMEIANGTINKSGPGGGNGSGSGSGNDMYLKRFTQREHRVAAVIKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQAVQDQQQQGGGREAAARPTYLPTRWLWTPNS >ONIVA07G27300.4 pep chromosome:AWHD00000000:7:24686384:24699176:1 gene:ONIVA07G27300 transcript:ONIVA07G27300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALGVGVGDANDAVPGAGGGGYSDPDGGPTSGVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESNNNSGSNDDDDNDGVIMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHLKSDICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDAQQAARATNAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVPYTCGFQSRWDRIRGKLFAA >ONIVA07G27300.5 pep chromosome:AWHD00000000:7:24686384:24699176:1 gene:ONIVA07G27300 transcript:ONIVA07G27300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALGVGVGDANDAVPGAGGGGYSDPDGGPTSGVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESNNNSGSNDDDDNDGVIMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHLKSDICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDAQQAARATNAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVPYTCGFQSRWDRIRGKLFAA >ONIVA07G27300.6 pep chromosome:AWHD00000000:7:24686384:24699176:1 gene:ONIVA07G27300 transcript:ONIVA07G27300.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALGVGVGDANDAVPGAGGGGYSDPDGGPTSGVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESNNNSGSNDDDDNDGVIMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHLKSDICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDAQQAARATNAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVPYTCGFQSRWDRIRGKLFAA >ONIVA07G27300.7 pep chromosome:AWHD00000000:7:24686384:24699176:1 gene:ONIVA07G27300 transcript:ONIVA07G27300.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALGVGVGDANDAVPGAGGGGYSDPDGGPTSGVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESNNNSGSNDDDDNDGVIMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHLKSDICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDAQQAARATNAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVPYTCGFQSRWDRIRGKLFAA >ONIVA07G27290.1 pep chromosome:AWHD00000000:7:24681589:24684232:-1 gene:ONIVA07G27290 transcript:ONIVA07G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAYVRKITKEHQPSGVKYQNAEAEVGRDIEIQPRCHCCYGEFVDVIMVEDKSRAKERIKVSCVGYIYSLQSLFGLT >ONIVA07G27280.1 pep chromosome:AWHD00000000:7:24680025:24681458:-1 gene:ONIVA07G27280 transcript:ONIVA07G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIHDLHTLRQSSRAIQTSITEVTAGLAKVTELVLALRAGFAEQNAAMETSTTTPPPLPTVSKAEVLQPLAPPSPPRAAGSPPPTLPTAEVLQPRATAPPAPPSPLFVSAVVLPHLPMVLPGLAEMPLHQSSPWTNIVLRRPCSPLRGCDHGNDLPSRGSLAQSRVLQKRRAVWCHLHGHQVRCAWRRKARGRRARRRRFRRARGLLTVRAAARQRRARFVREAVGKERIHAVFSARHARNSFFLAARAKRRPPGATRLPGLARRRRVLRAAGSRLRLAVAARLAGPYKERHGDRESSFMKPYPHMGWFLGRLDRLPLSLQHPLASLSVVPQQETPITPARHCMISCFFQRRLNRAAAPLSLRSLGWGPPISVDSMLTWNSRVTLDLLFYFQNKIHSRDVKGLIIGDESRCQDNHHVETNLQLAGKLLPKEEGKGTRMTWVIGTTQSSGMASTAARGRAVSKEGWRCYGQNSSPLY >ONIVA07G27270.1 pep chromosome:AWHD00000000:7:24673779:24676784:1 gene:ONIVA07G27270 transcript:ONIVA07G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGNGKCLPLISHVWCKQMVLRLGLLTLLKALITRLVYTAWVSLSRAIRFTGILVMEVLGRQPCKREQELGWESFHPSSAHQLFDEMSSHLEVFEEDVLLVMSEENITWDEALHLLQEELKDAQCRFDEKLDRFLEVFRLMGDKSNQSEEDKRSNESEEFSASIKELTPTTEAAAFQSPQASPSSAPTKCSMICFGLDTMSDLNMAAAVVCATTSLASMELVAGGNATCESYVDTPGHPKETHAKCSMVGLEVKGGTDHTKVTCQTMMGVPNGVLVPDASSKVFSPWLIVEMDLVPLLPTVCSMKCSKDKKKLLMGNAKRNSWPPSWLGGVIRRWELQPLPWPGSKLYWGGLPLMPPWPPPTRVSFLACEPFDIGALVIGTVILTQEMVEIKPWPPPSEPHPNR >ONIVA07G27260.1 pep chromosome:AWHD00000000:7:24657061:24662445:1 gene:ONIVA07G27260 transcript:ONIVA07G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPADAFLILDFLAGNRLIPHSVFTTLLASLPSVSPHTSPRLRAGLALRALDSALSISESSEMDAPTLLRKARAVLADPDLAPFFPQHLAAPASADDAPAAAVAHLNRLLDVEWASLPPSSLEIAAERIVGSQALHSWANADHAQRSKLRLLVGESTALEILDTLQRPDASTNHPGTLPQVDNAPETNGASHCAQQNDGAKSGLVKQNAEADRPQQDSTRHQQDSVQGASNSQLKESSVTMESIRGTGPDITGFMEEATPRVAGQFAPDNIKNHQVTGSKRSLMERNPTASTYEWDGSDSEGKRPAAKRRLPIFERTAKPSPTAAHKTRKKWSEKQEKTLLEGVEKYGKGNWKDIKMAYPDVFEDRSTDRGAISDRGAMSVGTSCSERLFSIFYLHDGLTEGFMPV >ONIVA07G27260.2 pep chromosome:AWHD00000000:7:24657061:24660456:1 gene:ONIVA07G27260 transcript:ONIVA07G27260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPADAFLILDFLAGNRLIPHSVFTTLLASLPSVSPHTSPRLRAGLALRALDSALSISESSEMDAPTLLRKARAVLADPDLAPFFPQHLAAPASADDAPAAAVAHLNRLLDVEWASLPPSSLEIAAERIVGSQALHSWANADHAQRSKLRLLVGESTALEILDTLQRPDASTNHPGTLPQVDNAPETNGASHCAQQNDGAKSGLVKQNAEADRPQQDSTRHQQDSVQGASNSQLKESSVTMESIRGTGPDITGFMEEATPRVAGQFAPDNIKNHQVTGSKRSLMERNPTASTYEWDGSDSEGKRPAAKRRLPIFERTAKPSPTAAHKTRKKWSEKQEKTLLEGVEKYGKGNWKDIKMAYPDVFEDRSTDRGAISDRGAMSVGTSCSERLFSIFYLHVSVLLFVLATISSGAASCE >ONIVA07G27250.1 pep chromosome:AWHD00000000:7:24650962:24656889:1 gene:ONIVA07G27250 transcript:ONIVA07G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRAASGLARHALRRRVPAASRFFHSARPAWRSSAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFLGQAAPSSAGLSGQTIQESMQLLLLVRAYQVNGHMKAKLDPLRLDDRAVPDDLDLSLYGFTEADLDREFFLGVWRMAGFLSDNRPVLTLREILSKLEQAYCGPIGYEYMHIPDRDKCNWLRDKIETAKLKEYNKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDLDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVSKEDVQKIHEKVNRILNEEFAKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVNPGVLKRVGQAITTLPEDFKPHRAVKKIFEQRAAMIESGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQENGRKHCPLDHVVMNQNEELFTVSNSSLSEFAVLGFEMGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMAPKNLLRHKDCKSNLSEFDDVEGHPGFDKQGTRFKRLIKDRNDHKQVEEGIKRLVLCSGKVYYELDEERKKTERSDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLYASMKTLGRGSFDDIKYVGRAPSAATATGFLSVHAQEQTELVKKALQAEPIKFP >ONIVA07G27250.2 pep chromosome:AWHD00000000:7:24651277:24656889:1 gene:ONIVA07G27250 transcript:ONIVA07G27250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRAASGLARHALRRRVPAASRFFHSARPAWRSSAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFLGQAAPSSAGLSGQTIQESMQLLLLVRAYQVNGHMKAKLDPLRLDDRAVPDDLDLSLYGFTEADLDREFFLGVWRMAGFLSDNRPVLTLREILSKLEQAYCGPIGYEYMHIPDRDKCNWLRDKIETAKLKEYNKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDLDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVSKEDVQKIHEKVNRILNEEFAKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVNPGVLKRVGQAITTLPEDFKPHRAVKKIFEQRAAMIESGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQENGRKHCPLDHVVMNQNEELFTVSNSSLSEFAVLGFEMGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMAPKNLLRHKDCKSNLSEFDDVEGHPGFDKQGTRFKRLIKDRNDHKQVEEGIKRLVLCSGKVYYELDEERKKTERSDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLYASMKTLGRGSFDDIKYVGRAPSAATATGFLSVHAQEQTELVKKALQAEPIKFP >ONIVA07G27240.1 pep chromosome:AWHD00000000:7:24647018:24650668:1 gene:ONIVA07G27240 transcript:ONIVA07G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRDLDSAALWAAVDSAAAAQASRRDHDRTHLRNLEDDEHRDRGGEVVQPARPFKVPRLLTTPPPPSPRPLQLQMAPRPHSSPNLTLTPDATRLVVVDTPPPTPTACFAAHDLFPAISVANFRKYQEAALSILDKSDYTSISGNPYIKKSGWRKISCFFNISFEIKDRSIEFDENRNVNRAEFLVRASMQGGRFSDGWGSCDRREKKFNKPNHDVPSTAETRAKNKACQDLVGIGNSHTLKNSLG >ONIVA07G27240.2 pep chromosome:AWHD00000000:7:24647000:24650303:1 gene:ONIVA07G27240 transcript:ONIVA07G27240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRDLDSAALWAAVDSAAAAQASRRDHDRTHLRNLEDDEHRDRGGEVVQPARPFKARRRRHPTSHTYRLLRRPRPLPRHLRRQLQKVPGGSPLDKSDYTSISGNPYIKKSGWRKISCFFNISFEIKDRSIEFDENRNVNRAEFLVRASMQGGRFSDGWGSCDRREKKFNKPNHDVPSTAETRAKNKACQKYDAGQKS >ONIVA07G27240.3 pep chromosome:AWHD00000000:7:24647018:24650303:1 gene:ONIVA07G27240 transcript:ONIVA07G27240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRDLDSAALWAAVDSAAAAQASRRDHDRTHLRNLEDDEHRDRGGEVVQPARPFKVPRLLTTPPPPSPRPLQLQMAPRPHSSPNLTLTPDATRLVVVDTPPPTPTACFAAHDLFPAISVANFRKYQEAALSILDKSDYTSISGNPYIKKSGWRKISCFFNISFEIKDRSIEFDENRNVNRAEFLVRASMQGGRFSDGWGSCDRREKKFNKPNHDVPSTAETRAKNKACQKYDAGQKS >ONIVA07G27230.1 pep chromosome:AWHD00000000:7:24623826:24624440:1 gene:ONIVA07G27230 transcript:ONIVA07G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLHDADFRPEMFVPEGTNIEAPWEGGHQGRTTPFKVISRGLMRRAIIEQITNLCVEFVDWHYRDRVLGRVLVKARYKSANDVPSEVSV >ONIVA07G27220.1 pep chromosome:AWHD00000000:7:24618052:24620364:-1 gene:ONIVA07G27220 transcript:ONIVA07G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEAGGASAEASGSGSAPAGAAMVPGGEEAAARKRYDALMQVRAKAVKGKGAWYWAHLEPVLVPPPGSGVPPKAARLRCVLCAATFSASNPSRTASEHLKRGACPNFAAQQGAAAQPHQAMTVSAASSVVPISSIPPSSQRRHSTGGGGRKRHALAAAYAAVEAAASQHVVVGDPSSYSPTPPTPPALPAPRQVLSGGRGDLGALARLEDSVKRLKSPVASPGAMLPRQQAEAALALLAEWFLESSGSVSLASAEHPKLKAFLRQVGLPELSRAELAGARLNARFAEARADAAARIREARFFQLAADGWREQVVTLSVNLPNGASVFQRAVPTPAPASSDYAEQLMLEAISSVSASSELHHCAGIVADRFGSKALRDLEHKHPWMLNLACQVHGLSRLVRDMARELPLFHSASANCAKMAAYFNAAPTVRALLHKHQVQEHGHAMLLRVAAPPFDRAAAFAMLEDILTSARPLQLAVHEESYKLVCIDDPAAREVGSMVQKVAFWTEVEAAHSLVKLITDMVKEMEAERPLVGQCLPLWEDLRGKVRGWCRKFNVDEGIAMNVVEVRFRKSYHPAWSAAFILDPLYLIKDVSGRYLPPFKYLTPEQDKDVDRLITRLVSPEEAHLALMELMKWRSEGLDPLYAQAVQVRQPDPSTGKMRIANKQSSRLVWETCLSDLKSLGKVAVRLIFLHATAKGFRCAPPMSRWLTAPGSSAAGIARAQRLVYVAANSKLERRDFSNDDDKDVELLTEGDDDMLTEATASVDPSSV >ONIVA07G27210.1 pep chromosome:AWHD00000000:7:24611013:24611249:-1 gene:ONIVA07G27210 transcript:ONIVA07G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGSVATDEGEETPVLVAEQALEDISDSSKATSHSNASGEVQGEGAHPRIDADDKLGDEATFLAKAVQTGLSPKGA >ONIVA07G27200.1 pep chromosome:AWHD00000000:7:24552435:24556104:-1 gene:ONIVA07G27200 transcript:ONIVA07G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF155) [Source:Projected from Arabidopsis thaliana (AT5G13610) TAIR;Acc:AT5G13610] MELCRIRTFQKSLLRPLLLLQHAPCRTLQTLGRSPSPSVFRPPRRRPPLLLLLLRSSFASVSPGPAPGSGTGECPPPPPAPLPPDELASDDDSYYHEHILEATQEDQSRLVPVKAYFPCTSINLKSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKAGIIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDFIILRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQM >ONIVA07G27190.1 pep chromosome:AWHD00000000:7:24543092:24548613:-1 gene:ONIVA07G27190 transcript:ONIVA07G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSYPTVSDEYLAAVGKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVSSRTGGPFGTMKNPGEQSHAANAGLDIAVRLLDPIKDQLPILSYADFYQLAGVVAVEVTGGPEVPFHPGRQDKPEPPPEGRLPDATQGSDHLRQVFSAQMGLSDKDIVALSGGHTLVASHSLFPCMLKNENQNLLSINSELVSGEKEGLLQLPSDKALMADPAFRPLVEKYAADEDAFFADYAEAHLKLSELGHVEPTYQPSIDVLELHISNELNGCPGAYSEAAAKKAYPSCHTVPCEYFETAFQAVENWVADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEVRLAVRHCLLANRGVKIQNLRSAMSHPQALAQCEQTLTKLGIEHREAVDDTAGAAKLIAEQKLQDTGAVASSLAAQLYGLDILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKKPLRIADDNCSAPLKHFDYLFYVDLEASMADPNAQNALANLKEFATFLRVLGSYPTDVSEA >ONIVA07G27180.1 pep chromosome:AWHD00000000:7:24539162:24540856:1 gene:ONIVA07G27180 transcript:ONIVA07G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFDLNSAADPQTLAPPKRGRGRPRKNPPPPPPPATDPNPHPPSGAGAGAGAGAGACPFAPGDLVWGKKLSHPAWPGEVISAAPTGAQLLVSFFGDKALAWCDAAQLRPYEPYFPVAELYDGEADDFDAALDASLLEFERRVELALTAPGRIARPFLPRDFIALLHDLAAHRMGFSNRVHAAVAKAHLRAFDKFRGLPDPPEYTLHLGLPNVSAAAATPNNCNSYPPSRRRGRKRKEVEEEILDDSDEDWDPRKKGATDSDSEVDFDRKRVSKGGRGSGAPRGRPRGRPRKNNAGRPAHLKDEDEVIQETVEYQYPPAVDMFLQLTSVAADPFNFKGYDSVPVILSFFSKYKDSEVPATYDDKELLQTLGGKKGRKNTARSLYPAAKEEGDLEVADGHRGRRKSAGSIYSARKAEDSYWCDIIISDFDDGDTSSDYEGRKMKRMSQNRSFNKKMKQEVAPQDEASADSPAVKQADGPAALILHFSNAEAIPSVDDINSIFRMHGPIMEGATEINKKSKIARVVFSKSADAEQAYSSSGKYNAFGPALLRYDLKYLPMAPQVP >ONIVA07G27170.1 pep chromosome:AWHD00000000:7:24528575:24533857:-1 gene:ONIVA07G27170 transcript:ONIVA07G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0I638] MASAGGCKKKTGNSRSRSPRSPVVLRRAMLHSSLCFLVGLLAGLAAPSDWPAAAGAAVFLRTLRASNVIFSRSSNRPQQPQLVVVVTTTEQSDDSERRAAGLTRTAHALRLVSPPLLWLVVEEAPAEKHAAPPTARLLRRTGVVHRHLLMKQGDDDFSMQISMRREQQRNVALRHIEDHRIAGVVLFGGLTDIYDLRLLHHLRDIRTFGAWPVATVSAYERKVMVQGPLCINTSSSSVITRGWFDMDMDMAAGGERRAAADRPPPETLMEVGGFAFSSWMLWDPHRWDRFPLSDPDASQESVKFVQRVAVEEYNQSTTRGMPDSDCSQIMLWRIQTTL >ONIVA07G27150.1 pep chromosome:AWHD00000000:7:24510949:24513524:-1 gene:ONIVA07G27150 transcript:ONIVA07G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:A0A0E0I637] MGTYKCCLIFKRRFRWNDAPPPDDVRALFANHSAGGGPHMAADGLRAYLQATGQDGDVDMERLVEQIRQLQGRGGRIPRVGRALPLLTVDDFHRFLFSHELNPPIRHGQGQVHHDMAAPLSHYFIYTGHNSYLTGNQLSSDCSDLPIIRALQRGVRVIELDMWPNSSKDDISILHGRTLTTPVSLLKCLLSIKQHAFEASPYPVIITLEDHLTPDLQDKAAKMVLEVFGDILYYPDKDHLKEFPSPQDLKGRVLLSTKPPKEYLQAKDGNAATIKEDAKAAATDDAAWGKEVPDIHSQIHSATKHDQREDDDDTDEDEDDEEEEQKMQQHLAPQYKHLITIKAGKPKGTLLDALQSDPEKVRRLSLSEQQLAKLADHHGTEIVRFTQRNLLRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQTDPEVFDPKKSLSPKKTLKVKVYMGDGWRMDFTQTHFDQYSPPDFYARVGIAGVPADSVMKRTRAIEDNWVPVWEEDFTFKLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELIPGIRAVALHDRKGIKLNNVKLLMRFEFE >ONIVA07G27140.1 pep chromosome:AWHD00000000:7:24499232:24510562:1 gene:ONIVA07G27140 transcript:ONIVA07G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G01400) TAIR;Acc:AT5G01400] MAVAAARDLPPTFHPNPSPLPSSMEPRLRQLRRVPLLDFVARIADLHADQASPVRKLVAEMIGEVGSKHMAYLPNVMPCLLHLLNDDTPAVARQAIKTGTTLFAKVLRQLVIQGLFSSGGIDDSLKLSWEALLKLKSAVSHMAFQPMSNEGARLLAIKFVEKTVLLYTPDPDTPPDPPNEVTEDMGFNVAWLRGGHPLLNVGDLAMEASQNLGLLLEQLKPPKWRARLLEAQNIINQADSIEHSSNRVESLPLETTSTDNSNKRNLIDDIDNAPEDGDRSNKRIRQSHHDQERTENVKNNVELTSADTPSSPSNSASTGNSEAVYQLVSMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSHPEVDQQQSPSAGQPSGAPSSSLLSACFPLLESLLKRINQNDREVDEAPQTIDSAVVPSAAGETAAIPAIPGPTSRNLPMEENSNSSSIPSDMETIEAKEPTADAARLSIEIQESSEASHASTELQGTQEHGGSFISSLPADNSSAGLSLAQSSETRSPSSSMVEASQTQFSYSSTLTSQHVLPKLVVTNIDLSDEAKDLLQKEAFLRILDCDKQDASGGSIARLPLLAHLGVEFPLELDPWELLQKHVLSDYVNNENATYLADWQRKIILDNYHDNYKNVSPTSIAKAENLRDMFPASDKSLGKLLCEIPYLPEGVLKLLEGLCSPGSNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQVANKLFPMASISKRIEDFANEKLNSVLEVVPADESAASEMSTPEAPKDGGSENLSSSVADSQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVHRQVPILIRTIGSSPSLLGIISDPPADSRDLLMQVLQTLTDGAMPSQDLISSVKNLYSKTKDIEVLFAVLAHLPKDEVLPVFPSIVNLPLDKFQVALSRILQGSPQNGPSLDPSEILIAIHVIDPEKEGIPLKKVIDACAACFEQRTIFTQQVLAKALNQLLLGHCSSSTFPQVEQIPLPLLFMRTVMQAIGAFPALIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHANQPNVRSTLPRSTLVVLGLAEDQQQPAPQAQSSQNQAAETSSSAADTTTEVTQESSAVS >ONIVA07G27140.2 pep chromosome:AWHD00000000:7:24499232:24514100:1 gene:ONIVA07G27140 transcript:ONIVA07G27140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G01400) TAIR;Acc:AT5G01400] MAVAAARDLPPTFHPNPSPLPSSMEPRLRQLRRVPLLDFVARIADLHADQASPVRKLVAEMIGEVGSKHMAYLPNVMPCLLHLLNDDTPAVARQAIKTGTTLFAKVLRQLVIQGLFSSGGIDDSLKLSWEALLKLKSAVSHMAFQPMSNEGARLLAIKFVEKTVLLYTPDPDTPPDPPNEVTEDMGFNVAWLRGGHPLLNVGDLAMEASQNLGLLLEQLKPPKWRARLLEAQNIINQADSIEHSSNRVESLPLETTSTDNSNKRNLIDDIDNAPEDGDRSNKRIRQSHHDQERTENVKNNVELTSADTPSSPSNSASTGNSEAVYQLVSMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSHPEVDQQQSPSAGQPSGAPSSSLLSACFPLLESLLKRINQNDREVDEAPQTIDSAVVPSAAGETAAIPAIPGPTSRNLPMEENSNSSSIPSDMETIEAKEPTADAARLSIEIQESSEASHASTELQGTQEHGGSFISSLPADNSSAGLSLAQSSETRSPSSSMVEASQTQFSYSSTLTSQHVLPKLVVTNIDLSDEAKDLLQKEAFLRILDCDKQDASGGSIARLPLLAHLGVEFPLELDPWELLQKHVLSDYVNNENATYLADWQRKIILDNYHDNYKNVSPTSIAKAENLRDMFPASDKSLGKLLCEIPYLPEGVLKLLEGLCSPGSNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQVANKLFPMASISKRIEDFANEKLNSVLEVVPADESAASEMSTPEAPKDGGSENLSSSVADSQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVHRQVPILIRTIGSSPSLLGIISDPPADSRDLLMQVLQTLTDGAMPSQDLISSVKNLYSKTKDIEVLFAVLAHLPKDEVLPVFPSIVNLPLDKFQVALSRILQGSPQNGPSLDPSEILIAIHVIDPEKEGIPLKKVIDACAACFEQRTIFTQQVLAKALNQLLLGHCSSSTFPQVEQIPLPLLFMRTVMQAIGAFPALIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHANQPNVRSTLPRSTLVVLGLAEDQQQPAPQAQSSQNQAAETSSSAADTTTEVTQESSAVS >ONIVA07G27130.1 pep chromosome:AWHD00000000:7:24496194:24499144:1 gene:ONIVA07G27130 transcript:ONIVA07G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLSGVAPLPLLPCRRRAIAFALPLGNVRLRLRVAAPTSRVATVEEDDNENNAPPPPCEDFDPGAAPPFGLADIRAAIPKHCWVKDPWRSMGYVLRDVVVVFALAAAAARLHSCLAWPLYWAAQGTMFWALFVLGHDCGHGSFSNNSRLNSVMGHILHSSILVPYHGWRISHRTHHQNHGHVDKDESWHPLPERLYRSLNRATRMLRFSIPFPMLAYPFYLWSRSPGKSGSHFHPSSDLFQPNERNDVLTSTACWVAMAALLAGLTFLMGPLLMLNLYFVPYWIFVMWLDFVTYLHHHGHNDKLPWYRGKEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKGVMGKYYREPDKSGPFPLHLFGALSRSLKRDHYVSDTGDVVYYQTDPAN >ONIVA07G27120.1 pep chromosome:AWHD00000000:7:24487136:24495570:1 gene:ONIVA07G27120 transcript:ONIVA07G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G05570) TAIR;Acc:AT5G05570] MKRLLHKALHQGEGGTHVDVAQMDAQIALHYGIPYTASLLAFDPVQRLLALATLDGRIKIFGGDNIEGLLISPNSLPYKFLQFIQNQGFLIAISNENEIQVWNLEFRQLFYSSKWDINITAFAVVEGTFLMYLGDENGLLSVLKYDVDDGKLQKMPYNVPIHSLAEAACVSLEDPQSIVGILPQPDTFGTRVLIAYEKGLLVLWDVSEDRAISVRGYGDLHMKNQITGAQRDAGEDEDNNISAEEEREICSLCWASQGGSTVAVGYITGDILLWDMTARSSKQDNRSDEPSNVVKLQLASGSRRLPVIVLHWSSRSADSNKGGHLFVYGGDDMGSEEVLTVLSLESTTGLESTRCMSRMDLRLDGSFADMILISDSGFPYKSRTSAVFILTNPGQLNFYDGGALFSVPKSEEGKAQIEAQKFPVTVPTTDPNITVTNLYSLNGRESQSIPLKKFVVKQNAAPFMQRNMKWPLTGGVPSEMSMNENYTVERIYIAGYQDSSVRIWDATFPVLTPMFVLDGKVVGVNMDGENSAVSSLAFCSLNMTLAVGTTSGLVRIYKLREHTGGSSFHFVSESKQEVHVVQHGRGFHCHVAFLASNSPVRSLRFTASGEVLAVGYQNGQLASFDANQLSIMFTVDCASGTNSPVVSLSNYNVVTSAAKANEQQKESLQSAKSPANVLLSLTKDGHFTVHDSMNDGTSEEEQNQLSEDKFPSQGHIAKEESVLDKKQTHTVDKSQKNTRQPSHSGGSDSFLLVCCEDLVLLFSLPSLIQGSNKPLHRIKLAKHCCWSAVLTNIDGKACGFILVYQTGAIELRSLPELAILAESSLMSLSRWSYKAGMEKSMSSANGQIALVNGSELAIISLIASENAFRLPESMPCLHDKVLAAAAEAAINASMDQKRNQTPAGGILGGIIKGLKGKEENAKQKGSLSAQTMSEQLESIFLKESLVEPSIPDPDDPIEELSIDDIDIDDEIPLAPPPASSTSHVNKKTTAEEERAKLFEGSSNVEKPRMRTHQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQRTAELESGAENFASLAQELAKSMENKKWWKL >ONIVA07G27120.2 pep chromosome:AWHD00000000:7:24485997:24487204:1 gene:ONIVA07G27120 transcript:ONIVA07G27120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G05570) TAIR;Acc:AT5G05570] MSWSLGARIFLSISNDSSVLARAGVSAFPSSFLGCGRRTRACCRLAFFFFFFLLEVAVLQAAGGAGLFHCRRRRVVKKVGLAGGDEGDVGAATRLCVAQLLAAVVLDLHGECPSRRPELILSLDPKLLGHGPLLLIISLPAVAPGILLLHEGNVPRDGIIFLLFLVLVVIRFLKKKRGISATARIRLRLGLGLGRMVVEVDGLDEAAEGVVGLGSGGGDVAEPGRKARGVGLGGDGPSEREEVVLDLGPELVVLAAEEGEQGRAVVGEEQAMQLRREIGAEGGHRLPIHMLDHDSSSCCSAAAEEEEERERFVRGRRVCITNQSSRKPKIDFIEAEGADRMFFGLSFYWADHGPFHPPLLFSVPTQEREERELGEEAVSLLLACQSSPSPSPAS >ONIVA07G27110.1 pep chromosome:AWHD00000000:7:24484284:24486898:-1 gene:ONIVA07G27110 transcript:ONIVA07G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHMDWQPVTTLGPNFSPELHSLLLSDHRASLLSLLRRQDDELRTKIKNHLLALGWTIASKPNPPGLAPRLRYVSPAGTKSYYSLRRLIQTIHLHHHPTQSQSQSQSDSCGCGDTPLLLEESDDDQYQEQQEDDAIAGYVAFMEEQNARRDRGQGNDEEQRSMAKELRIKAKDQLRSSGWTFSMKVKYNGREELRYTEPRGRSHISLITACKAYLLHHTPSTTMASCSNNNNKRPAPPAACKTATSSKKKKKKKASLQQARVLRPQPRNEEGNALTPARARTLLSLLIDKKILAPRDQLIYTTKRGLITGDGMVKCMCGGCINNNNKRRVAEYTVAEFAVHGDGDVASSSSRQPWARMFVGDGRSLSQCLVQLMMADDEAGSGRKKKKKKYLPYVWRGARVKRKWEEDDDYVCSVCHDCGELLMCDRCPSMFHHACVGLESTPQGDWFCPACTCAICGSSDLDDPPATTTTQGFSSDRMVISCEQCRRESRDGEEEEHAKLCMALDVLRECFVTLIEPRTQTDLTADIVFNTESKLRRLDFRGFYVVGLEKAGELIAVATLRVYGEEVAEVPLVGTRFARRRQGMCRLLMDEIQKLLGEMGVERLVLPAVPEMVATWTGPSFGIREMGQADRQDVAHHAILRFQGTIMCHKQLPPQPQLGHTTTTPAGRIPSPIPTPIPL >ONIVA07G27100.1 pep chromosome:AWHD00000000:7:24477369:24481200:-1 gene:ONIVA07G27100 transcript:ONIVA07G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKNGMGAAADRGRLLALAHHDKLNPTKPSEAQRRFKPSIQQLPFFFLEAAFLGLFLLSPLPSFLQTEFHQRWLVGDLNPPPPRHLLPIQGQGQMQMQQRRKPPPASAPVAAKQPSPRRTPGPLSFAGALLSLLVVATFLYINDHGNMMPPHASPDPDLRLLQEAAHQKVNSILLSRHAPAPPPRTNTNTSSSDQHLRLINIPMSSDLDLELGGNSTSSSGVEIQFEQQQRQEEKNLRGCELYKGRWVYDAAGREAPLYRESECGFLTEQVTCMRNGRRDDSYQRWRWQPEGCDLPSFDARALLERLRNKRMMFVGDSLNRNQWESMVCLVQSAIPYGQKTLTKFVNNGSLNVFRAHEYNATVEFYWAPFLVQSNSDDPQVHSVRDRVIAWRSIAKHAANWKGVHYLVFNTYIWWLNNFQIKVLKSRGAPFAGSGGWSSRYALVDRSIAYREVLKTWAKWVDRRIDPNKTHVFFMAMSPNHFMPEAWGGSAGAVKCAMETQPIVNRTSGGLDIGTDWRLHGVARGVLRSMRRVGVRFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPRTYADCIHWCLPGLPDTWNHFLYAHIVAHAA >ONIVA07G27090.1 pep chromosome:AWHD00000000:7:24470214:24479443:1 gene:ONIVA07G27090 transcript:ONIVA07G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTYALHLAVATLLGASFAAASAYYMHRKTLDQLLRFARSLDRDHRRRNRHLLDADDDDDDDPPRDHDRRTTLPIPPGLPPLHTGREGKPIISPASTKRVGPLVRPTTPRSPVPTVSAFETIEDSDDDDENIAPDAKNNAVSLLTNGTIGSDPLPGKASQNGDTKPVPSTNMIRSQSATGSLHGAQHNPVAADILRKEPEHETFSRINITAVETPSPDEIEAYKVLQKCLELREKYMFREEVAPWEKEIITDPSTPKPNPNPFYYEQQTKTEHHFEMVDGVIHVYPNKDAKERIYPVADATTFFTDMHYILRVLAAGDIRTVCYKRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTVDPASHPQLHVFLQQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYKRGHDGNDIHQTNVPHIRIEFRHTCPSKLKAAHANRKRKGKDSSVHQSVSKAQIDPVMRLLVRGSEITTQQERELFVTP >ONIVA07G27090.2 pep chromosome:AWHD00000000:7:24470214:24479749:1 gene:ONIVA07G27090 transcript:ONIVA07G27090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTYALHLAVATLLGASFAAASAYYMHRKTLDQLLRFARSLDRDHRRRNRHLLDADDDDDDDPPRDHDRRTTLPIPPGLPPLHTGREGKPIISPASTKRVGPLVRPTTPRSPVPTVSAFETIEDSDDDDENIAPDAKNNAVSLLTNGTIGSDPLPGKASQNGDTKPVPSTNMIRSQSATGSLHGAQHNPVAADILRKEPEHETFSRINITAVETPSPDEIEAYKVLQKCLELREKYMFREEVAPWEKEIITDPSTPKPNPNPFYYEQQTKTEHHFEMVDGVIHVYPNKDAKERIYPVADATTFFTDMHYILRVLAAGDIRTVCYKRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTVDPASHPQLHVFLQQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYKRGHDGNDIHQTNVPHIRIEFRHTIWKEEMELIHLRNVDIPEEIDR >ONIVA07G27090.3 pep chromosome:AWHD00000000:7:24470214:24481466:1 gene:ONIVA07G27090 transcript:ONIVA07G27090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTYALHLAVATLLGASFAAASAYYMHRKTLDQLLRFARSLDRDHRRRNRHLLDADDDDDDDPPRDHDRRTTLPIPPGLPPLHTGREGKPIISPASTKRVGPLVRPTTPRSPVPTVSAFETIEDSDDDDENIAPDAKNNAVSLLTNGTIGSDPLPGKASQNGDTKPVPSTNMIRSQSATGSLHGAQHNPVAADILRKEPEHETFSRINITAVETPSPDEIEAYKVLQKCLELREKYMFREEVAPWEKEIITDPSTPKPNPNPFYYEQQTKTEHHFEMVDGVIHVYPNKDAKERIYPVADATTFFTDMHYILRVLAAGDIRTVCYKRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTVDPASHPQLHVFLQQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYKRGHDGNDIHQTNVPHIRIEFRHTIWKEEMELIHLRNVDIPEEIDR >ONIVA07G27080.1 pep chromosome:AWHD00000000:7:24460749:24470069:1 gene:ONIVA07G27080 transcript:ONIVA07G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDVPTMYTVLVNSLSADEAVRRPAETALAQCEARPGFCSCLLEIISARGLACREDVRLLATVYFKNSISRYWRHRRDSYGISNEEKDHLRKNLLVNIREENSQIALQLAVLISKIARLDYPKEWPDLFSLLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQRNYAEITSHLFEYTWNLWKSDVQTILQNLSMLSQRSDIDSILEQSNDLMLICDRWLLCLKIIRQLIFSGYANSSYKDKQAKLWDFAKRACTKLMKVLVTLQGRHPYSFVHQAVLPAIVDFCLNMITNPEQGGASFEEFLIQSMVFVKSVLECKEYKPSPTGRVINESSQPLSLEQRKKNFGAVASDMLKIVLPGDRVMLLCNILIRRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKQRPCAEALFIVIFENYREQLAPVVVSILREAMALSPPLETDVTAGMLLKDAAYTAAGHVYYELSNYLSFNEWFHGSLSIEISNGHPNMRIIRRKIALLLGQWISEIKGDTRKLVYHALVGLLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMCFKLTEDVQEFDSKVQVLNFISVLLEHAGDKVIPFASQLSHFFQKIWDESAGESLLQIQLLAALRTFISSVGYQSPLSYHMLIPILQSGINVDSPDALNLLEDSVLLWETTLLNAPSIVSQLLDLFPYLVGIVTRSFDHLEVTINIIEDYIIFGGSEFLKRHGASLANILDTIVGNVNDKGLLTALPIIDLLIQLFPQEAPPLISSALQKLIFICLNQDDEHNPSRTTVRASAGAILARLLVMNTNFTGKLLSEPALLTSIQQTGISVNNNLLLSLVDMWIDKVDDANVIQQKEYAMALSVILTLHVPQVIDKLDDILSVCTTVIMGGREVKTEDDTSGDITSSSWLGNDNSGYSNTSKELRKRQVKDSDPIKQASLEDMLRDNLKACAALHGDATFNAAIGRIHPAAFAQLQQALNIA >ONIVA07G27070.1 pep chromosome:AWHD00000000:7:24454195:24456538:1 gene:ONIVA07G27070 transcript:ONIVA07G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGGGGGSPKEAAVVVPSSGDATLGGHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRVVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIGGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQPLTCYQAVVNNLDDAHDQIDRAISTAIRESKPVYISVSCNLPAVPHPTFSRDPVPYFLSPRLSNQASLHAALDATLAFLDKAVKPVLVAGPKLRVAKAGGAFVDLADASGYAVAAMPSAKGLVPETLPRFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSCLLKKEKAVVVQPDRVTVGNGPAFGCVMMRDFLSELAKRVRKNTTAFDNYKRIFVPEGQLPECEAGEALRVNVLFKHIQRMIGGAEIGAVMAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGALLGYAQAVQKRVVACIGDGSFQVTAQDVSTMLRCGQRSIIFLINNGGYTIEVEIHDGPYNVIKNWDYVGLVNAIHNGEGRCWATRVRCEEELEAAIATATGDKADSLCFIEVVAHKDDTSKELLEWGSRVSAANSRPPNPQ >ONIVA07G27060.1 pep chromosome:AWHD00000000:7:24448950:24451985:1 gene:ONIVA07G27060 transcript:ONIVA07G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLRLLLLLVLSSVPLSCQLAHSTAADTQNWGQTSVVHLRNAHTRKLLGLLDDISGRTGSLHALLLEESPKQAPPHHHNRHGGHHRAAHTPAPSPAPSPSPFTAPPKSASPAAITIPISPSTPQPKAESNPAVEDAPAQPRHSWRNYGLVTAGSAVFLVMTIASVIYCRAKKVGTVRPWATGLSGQLQRAFVTGVPSLKRSELEAACEDFSNIIGSTSSCMLYKGTLSSGVEIAVLTSSTESGKEWSKECESQYRKKITNLSKVSHKNFMNLLGYCEEENPFTRAMVFEYAPNGTLFEYLHVREAENLDWMARVRISMGIAYCLEHMHQLNPPVVPRNFNSTTIYLTDDFAAKVSDLDFWNDSKGSFNSATSDETVMVEIDSMVHQYGIILLEILTGRVPYSESDGPLEHWASGYFEGKMTLAELIDPSLGSFPEDAARALCDVARWCIEPEPSKRPLMSQVAGRMKEITSLGPEGATPKVSPLWWAELEIMSGQAT >ONIVA07G27050.1 pep chromosome:AWHD00000000:7:24443168:24448695:1 gene:ONIVA07G27050 transcript:ONIVA07G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARGSHHRRRRRRLLLLYTASSSLTRTPPLFPTQEANPPPTPRGNGNLAATPSISSRLLSAEEEDPFLSLAGEVAARHRGLLSMLPTNKRAAGTDDDRPTDPKRPKVAQNGSTNGVVVPEIDEDLHSRQLAVYGRETMRRLFASHVLVSGLNGLGAEIAKNLALAGVKSVTLHDVKNVEMWDLSANFFLSENDIGKNRAAACVSKLQELNNAVLISALTEELTTDHLSKFQAVVFTDVGLDKAYEFDDYCHSHCPPISFIKAEVCGLFGTVFCDFGPEFTVLDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDFVVFSEVHGMAELNDGKPRKVKNARPFSFCIEEDTTKYDMYIKGGIVTQIKEPKILRFKSLRDAMRDPGDFLLSDFSKFERSPVLHLAFQALDKFKKEYGRYPAPGCEQDAQSFLKCAADINEALTDHKLDTIDEKLFRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYPLDSEDIKPSNSRYDAQISVFGSKLQKKLEEANTFVVGSGALGCEFLKNLALMGVSCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIRQAKSTVAAAAASAINPNLCIDALQNRACPDTENVFHDTFWEGLDVVINALDNVNARMYMDMRCLYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGFLEKTPGEVNSFLSNPAQYAAAMRKAGDAQARELLERVSECLGKERCSLFEDCIRWARLKFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPRPLQFSVSDPSHIHFIMSASILRAESFGIAIPDWAKNTSKLADAVSEVAVPQFEPKKGVSIVTDEKATSLSSASVDDASVIDDLLAKLEECAKRLPPGFQMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFANLALPLFSMAEPVPPKVMKHQDMSWTVWDRWSIKGNLTVAELLQWFSDKGLTAYSISCGTSLLYNNMFARHKERLNKKVVDVAREVAKVDVPEYRKHLDLVAACEDDDGNDIDIPLVSVYFR >ONIVA07G27050.2 pep chromosome:AWHD00000000:7:24443243:24448695:1 gene:ONIVA07G27050 transcript:ONIVA07G27050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRFLRRGLLSMLPTNKRAAGTDDDRPTDPKRPKVAQNGSTNGVVVPEIDEDLHSRQLAVYGRETMRRLFASHVLVSGLNGLGAEIAKNLALAGVKSVTLHDVKNVEMWDLSANFFLSENDIGKNRAAACVSKLQELNNAVLISALTEELTTDHLSKFQAVVFTDVGLDKAYEFDDYCHSHCPPISFIKAEVCGLFGTVFCDFGPEFTVLDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDFVVFSEVHGMAELNDGKPRKVKNARPFSFCIEEDTTKYDMYIKGGIVTQIKEPKILRFKSLRDAMRDPGDFLLSDFSKFERSPVLHLAFQALDKFKKEYGRYPAPGCEQDAQSFLKCAADINEALTDHKLDTIDEKLFRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYPLDSEDIKPSNSRYDAQISVFGSKLQKKLEEANTFVVGSGALGCEFLKNLALMGVSCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIRQAKSTVAAAAASAINPNLCIDALQNRACPDTENVFHDTFWEGLDVVINALDNVNARMYMDMRCLYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGFLEKTPGEVNSFLSNPAQYAAAMRKAGDAQARELLERVSECLGKERCSLFEDCIRWARLKFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPRPLQFSVSDPSHIHFIMSASILRAESFGIAIPDWAKNTSKLADAVSEVAVPQFEPKKGVSIVTDEKATSLSSASVDDASVIDDLLAKLEECAKRLPPGFQMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFANLALPLFSMAEPVPPKVMKHQDMSWTVWDRWSIKGNLTVAELLQWFSDKGLTAYSISCGTSLLYNNMFARHKERLNKKVVDVAREVAKVDVPEYRKHLDLVAACEDDDGNDIDIPLVSVYFR >ONIVA07G27040.1 pep chromosome:AWHD00000000:7:24440722:24443016:-1 gene:ONIVA07G27040 transcript:ONIVA07G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEAPFPEVETAVMIQVKHLAELGAYVSLLEYNNIEGMILYSELSRRRIRSIPSLIKVGRQEPAVVLRVDHDKGYIDLSKRRVSHHDRRTCEDRYSKSKFVHSIMRHLAETLHLDLEPLYHRIAWPLYRTYGHAFDAFKLIVADPDAAILDSLTYDLTETGPDGQEVTKTLPAVTPEIKDALIKNIRRRMTPQPHKIRADIDMKCFQYDGVLHIQEAMRKAEAAGNKDCPVKIKLVAAPLYVLTTETLDKHQGISVLNNAIKACGETIEKHKGKLVVKEAPRAVSEREDRLFMDDIEKLKIANEEVDGDEDSEEDTGMGDVDLTKTGVGSQ >ONIVA07G27030.1 pep chromosome:AWHD00000000:7:24429972:24439795:1 gene:ONIVA07G27030 transcript:ONIVA07G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLMDPPARSRRCTLLTRALLLAVAALALRLIYAAFLAGMALYPPLPAAAVLGSKTYLHSAVATPDAWRTRDWRKAVDYHATLLAPHLADGILSPTSRAVCLGAVQEALAMRELGVSTAVAVARKRSPPLAVAGNDRRLPFQDSSVDFVFAARALDSSKRPADLAAESARILKPDGHLVVLTTSAADAFSLRALQALLPSLRLLRSRQIKGPDDSTLRELVFQKIQDSTDDPVNKCTIGDHKLQLLTHAEPLIQEEPRKPWITLKRNIKNIKYLPTLADISFKRNYVYVDVGARSYGSSIGSWFRKHYPKQNHTFQVFAIEADPAFHSEYAAKKAVTLLPYAAWVKNETLNFEINADPGKEDEAKANGRGMGRIRPMAGKKMSGEVRSVPAFDFAEWLKRTVSEQDYVVMKMDVEGTEFDLIPRLFDTGAICLIDELFLECHYNRWQKCCPDRAEAFEMAKGVSCFYWSIQFPNFKDHLCFRNCSNASSTRHFSYRSLLIRTEKPVTTRNHAYAEVVVFVLDQVFYDGSWHGVNCIRIRNGNLFVKFIYSGSTVEHNVDGDCLRLRSRRATCSDCSNVLKPGVDVLLRHDARLITIKKNHQEDKCLCLFVVILYKNQCPGNAEKVITDRRAEVVTINDIFLLQKLQPEVHEGSMKWSFSKDRLSLNKGRLISARFSSEITHLIVLSILRGMEFNIKLVEGQIVYQIIKGDQAQWNLDSMAIPPGFGNTMEIISFQLRDEALRPTITNIPITHVKKNNITEDMRFTVKSEMDSELDRALDVEILYEHVDLRRSKRLKTQPDRFTSYDTPRFLSGYKKKEASSSPTKHVRGAVHCDSPVDDSKKEVESCCVEIPGNVTQKQTGVHSPMVDEKSNSPEGQHKNTTKRTTCSLVKEKASSPEGQHEKTTKRTTCALPVKEKASSPEGQHKNTIKRTTCSLPVKEEPSSVEIEEKSSKEQSAPEFHIPRTPAQNKEKHNRPPFSCKPKLFTSSGTLGVNCEPAFCQKVGGKRKRHMCEREYKQMIDQCIGNIESEMERDSMFNFDANMMNYVQHSYREEDFTWPPSADNQEVEEDELEELWKEMDYSLTTLALLEQKQVMAQSRINMLVDNFDGLRLDCLTLTDDYRCYYQKKEKFAESGSVNESTDYFGKVGGIPCHHECILDEELGLACRLCNVVCTEAKDIFPEMFNGNDYKDRTGCSNICLDDDILDPSLLANLAPELSELKNSGSVWSAISDLDPKLLPHQRKALDFLWKNLAGSIQVEGMDNSNVSTGGCVIAHTPGSGKTLLLISFLVSYMKAHPRSRPLVLTPKAAIHTWKREFEKWGISLPLHVFHHANRSGKPLGAMDSKLRSLLNNFHRPTWTNMRLMDSLDKLFKWHAHPSVLLMTYSSFLGMTKQDSKVRNRYREFIAEVLMNNPGLLILDEGHNPRSNKSKLRKLLMKVKTEFRILLSGTAFQNNFEEYFNTLCLARPRFIGDIMSELVPERKRETVGRRAKHQEAVARRAFVEKVGQKIESDNKHIRSDGISLLNKLTRGFIDSFEGAKLINLPGIHVYTVFMKPTDIQEEMLAKVTMPKLGSSRFPLEVELLITIGSIHPWLIKTTKAASTFFSPAEVKKVERYKRDFAAGCKAKFVIDLLHKSSFRGERVLIFCHNVSPITFLVKLIEMVFGWRLGEEVLVLQGDQELPVRSDVMDKFNGDSAGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTRQAIARAFRRGQERTVYVYLLVASGTWEEEKYNSNRRKAWMSKMVFLGRYVDDSSQNRVTDIDDEVLKELADEDHTGTFHMIVKQD >ONIVA07G27020.1 pep chromosome:AWHD00000000:7:24423070:24423652:1 gene:ONIVA07G27020 transcript:ONIVA07G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATTSVKVFTTADLIRMVIGRAADDAKGGGVGGAGAHPAKGPRRLQRGVEGGDDGDPEKSPWRHEATSAMATKASSAAAARSTKTIPSRPLSPPCAGQPL >ONIVA07G27010.1 pep chromosome:AWHD00000000:7:24423057:24423765:-1 gene:ONIVA07G27010 transcript:ONIVA07G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I618] MAMVASPDDIVKSPLPPPPPPPPPPLPPAHKDKAAYNPYSGCPAHGGDNGLDGIVLVLRAAAALLALVAMALVASCRHGDWMEFTRYQEYRYLLGVAVVASLYSALQAARTFRRMRAGTAYAATFLDFAGDQAVGYLLITASSAALPITIRMRSAVVNTFTDVVAASISFAFLAFAALAFSALIAGFRLSSSSSSSAYNY >ONIVA07G27000.1 pep chromosome:AWHD00000000:7:24417902:24418078:-1 gene:ONIVA07G27000 transcript:ONIVA07G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDKCALLAAENGHGGGGPVAVSFNTNAIVLLALLVCGLGAVVLHVVLHCALRVMP >ONIVA07G26990.1 pep chromosome:AWHD00000000:7:24397364:24401317:-1 gene:ONIVA07G26990 transcript:ONIVA07G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGAPGDRKPGGDGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPTEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >ONIVA07G26980.1 pep chromosome:AWHD00000000:7:24392449:24396540:1 gene:ONIVA07G26980 transcript:ONIVA07G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGRGNDDDGGVVVVDGFVAILRQLGDLAQLAAEVFQGMHDQVMALSTRRRQLALRLNHLDHAAPQDSSSSFFCHKDYYLFVASNIDRVHWRANLILKQGLVAGGNNSLPTIIFDRIHRCGGPPNLSLLDKYDADGEGACLKRYTNPSFFTSHSACSTKLIHQRIHMAKQPPKLLLETKPTFQCSDSDNSRPQKASQCSDSMPEMDASHVFLSMFRQLKYRQTNGSPMPQMHNFQNETSSSELNISSNCSPESSIKVTQDIGASTTGTDSVSEERNLELERTSSFEAWLSPNAHNIQHDQIAEEMPHYSCNNNNGFVNHVTPNDAIGATNNGNCKDDSNTYKKAVRSKYRGGMEFIASRVSSFPRKLFRKKQDPHPLSVADSFRNMTSKILELKCNNIRDNDSNGMGSINREGLLASENGEHPSPDAPFRHVSTERRYMHATRASSEDVPALAEVASDEKSRQEHSDDASEASYDKLLDEELHQSVVRQERNGSPVPQVCSTTRFSQLEREGPGKDMVPPLPPMQWLSSIKVHSGSRVASSPRLKTLRPQSPAVPNHAAGRSYSHPVRKQLETDNVQARGHFGILASHAEIAQTSASDIKSAADISTRNGICRYGFPGKDSEEINHQENNIIQPSEGEILKTTEEVCEPTVQSDESPPEQHSEIQPQREEIHQTGNGDSDCNNKNNLRATTEEPIYSNGPQIDVHNSLDHPTDRESNTNVHVESVFFSAVEQLTKMNPPPVPRPKYSILQVGVQDRSTVRTAPGLIHPSRRLSGEIRKLPEQINAKVSCDLKPALERGSNVTVDHRNTKVATILQRVDHIRQAHAENYDIDSEVSWSDSD >ONIVA07G26970.1 pep chromosome:AWHD00000000:7:24379355:24380398:-1 gene:ONIVA07G26970 transcript:ONIVA07G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVDLMPAADDDNNKQSSTGLLHPHQLPAAADNAILHNTRHPFISTTLANSFFNRSISARVIHSSICRRLEGKVAIVTGGSRGIGEAIVRAFVHHGALVVVADIDDAGGHALAAALGPHACTYVHCDVAEEADVERAVATTLEQHGRLDVLCNNAGVLGRQTRGAKSIASLDAAEFARVLRVNALGAALGMKHAARAMVPRRSGSIVSVASVAGVLGGLGPHAYTASKHALVGLTKNAACELGEHGIRVNCISPFGVATPMLVNAWRQGQGGDHADEDQAAASEEEEVEKMEEMVRRLATLKGPTLRAGDIAEAAVFLASDESRYVSGHNLVVDGGVTTSRNVIGL >ONIVA07G26960.1 pep chromosome:AWHD00000000:7:24369188:24373752:-1 gene:ONIVA07G26960 transcript:ONIVA07G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLGEKEGGRSRLGHDWGDNGSVSVVMMAWRANPWTICAEITCGSPSGRSSRPLRLAARLPRHHRLPDFGYINHGYSTHVFTDHGSLGSFALATSTMAQRAIIRVEHSCRFIFQSKYVSTTQNAYGYFHFPASFIVYGDSFSSIQYRLMCKIAVDERYDVMFLSS >ONIVA07G26950.1 pep chromosome:AWHD00000000:7:24352772:24363212:1 gene:ONIVA07G26950 transcript:ONIVA07G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-alanine--D-alanine ligase family [Source:Projected from Arabidopsis thaliana (AT3G08840) TAIR;Acc:AT3G08840] MARGCGRPAWGGRGTGNDATKVEPPQTDKATLPGAGSSDQHRRRRPHPPHRLLHRAVARPHKSPPPPPHPRHRIPYPSAARGMLIPLAHLPRPPPLTASAIFRPRAPRLLASLSLATPPPPLLAASAFASLPLRALLSESQREVEMVGESEGRPLRVGLVCGGPSPERGISLNSARSGEDLLVTCYYIDCGMNAYAISPAQLYSNTPSDFDFKLESLAQGFQSLFDFAQHLATNVDIVFPELLEKANVPFVGTPSKNCLLAFDKYNASLELNAQGFLTVPNFLVEKDKLAKSKLEEWFQSINLDKENGKVVVKPTSAGSSIGVVVAYGANDAAEKAEGIISEGIDDKVIIEVFLEGGTEFTAIVVDVGTANNSEPVVLLPTEVELQSSSNIDIQEDTIFNYRRKYLPTRQVAYHTPPRFPSEVIDCIRQGVSILFRCLGLRDFARIDGWFLPTPVTSLPSAENTGKFGNTKYGAVLFTDINLMTGMEQTSFLFQQSSKVGFSHSRILRTVVQHACSRYPSLVPCSNAWTALSRKIQAAKQAEAIQKGTSKKKVFVIFGGDTSERQVSLMSGTNVWLNLQGFDDLDVTPCLLTSGNGYSSFHNQNMNGISRDVWTLPYSLVLRHTIEEVNAACIEAIDPERMELTSRLRDQVMNELGQSLSKYDWFAGFDIADKQPIKYSLQQWINHVKEAQAVKMVMSNIFPAVHGGIGEDGTIQSLLGSAGVPYTGPGQIASRTCMDKVATSLAVSHLASYGVHTIPKDLRATEEILKSSPDDIWNDLKAKLQTETDLEVYANALERKLQRMPANCLSRAHGVIEMPVSPPESLIFEPFIETDEIIISTKSVDDSTRHLVWKGENKWLEVTVGVVGKRGEMLSLNPSITVKESGDILSLEEKFQGGTGINLTPPPSTIMSEEALQKCKSCIETLANTLGLEGFSRIDAFVNVQNGEILLIEVNTVPGMTPSTVLIHQALTEKPPIYPHKFFRTLLDLAFARAN >ONIVA07G26950.2 pep chromosome:AWHD00000000:7:24352772:24363212:1 gene:ONIVA07G26950 transcript:ONIVA07G26950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-alanine--D-alanine ligase family [Source:Projected from Arabidopsis thaliana (AT3G08840) TAIR;Acc:AT3G08840] MARGCGRPAWGGRGTGNDATKVEPPQTDKATLPGAGSSDQHRRRRPHPPHRLLHRAVARPHKSPPPPPHPRHRIPYPSAARGMLIPLAHLPRPPPLTASAIFRPRAPRLLASLSLATPPPPLLAASAFASLPLRALLSESQREVEMVGESEGRPLRVGLVCGGPSPERGISLNSARSGEDLLVTCYYIDCGMNAYAISPAQLYSNTPSDFDFKLESLAQGFQSLFDFAQHLATNVDIVFPELLEKANVPFVGTPSKNCLLAFDKYNASLELNAQGFLTVPNFLVEKDKLAKSKLEEWFQSINLDKENGKVVVKPTSAGSSIGVVVAYGANDAAEKAEGIISEGIDDKVIIEVFLEGGTEFTAIVVDVGTANNSEPVVLLPTEVELQSSSNIDIQEDTIFNYRRKYLPTRQVAYHTPPRFPSEVIDCIRQGVSILFRCLGLRDFARIDGWFLPTPVTSLPSAENTGKFGNTKYGAVLFTDINLMTGMEQTSFLFQQSSKVGFSHSRILRTVVQHACSRYPSLVPCSNAWTALSRKIQAAKQAEAIQKGTSKKKVFVIFGGDTSERQVSLMSGTNVWLNLQGFDDLDVTPCLLTSGNGYSSFHNQNMNGISRDVWTLPYSLVLRHTIEEVNAACIEAIDPERMELTSRLRDQVMNELGQSLSKYDWFAGFDIADKQPIKYSLQQWINHVKEAQAVCMVVLEKMVLFNRCWDLQEFLTQLASYGVHTIPKDLRATEEILKSSPDDIWNDLKAKLQTETDLEVYANALERKLQRMPANCLSRAHGVIEMPVSPPESLIFEPFIETDEIIISTKSVDDSTRHLVWKGENKWLEVTVGVVGKRGEMLSLNPSITVKESGDILSLEEKFQGGTGINLTPPPSTIMSEEALQKCKSCIETLANTLGLEGFSRIDAFVNVQNGEILLIEVNTVPGMTPSTVLIHQALTEKPPIYPHKFFRTLLDLAFARAN >ONIVA07G26950.3 pep chromosome:AWHD00000000:7:24362728:24362992:1 gene:ONIVA07G26950 transcript:ONIVA07G26950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-alanine--D-alanine ligase family [Source:Projected from Arabidopsis thaliana (AT3G08840) TAIR;Acc:AT3G08840] MHWNFSVATPPRRTRRVTPPPLNTKTASKEGNDAHGRRRCRTGQKHGKAFASIFTSPTQAPPHRWPQNSP >ONIVA07G26940.1 pep chromosome:AWHD00000000:7:24348555:24350341:1 gene:ONIVA07G26940 transcript:ONIVA07G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I609] MSKGAIIGASTVLVVAVVAAVCVVSFKNGGGGKEDGELSTSVKSVKAFCQPTDYQQTCEEELGKAAGNGASSPTDLAKAMFAVTSEKISKAISESSTLEELKNDKRTSGALQNCKELLEYAVDDLKTSFEKLGGFEMTNFHKAVDDLRTWLSAALTYQGTCLDGFLNTTTDAADKMKSALNSSQELTEDILAVVDQFSATLGSLNIGRRRLLADDGMPVWMSEGGRRQLLEAAGPEAGPVEFKPDVTVAADGSGDVKTIGEAVAKVPPKNKERYTIYVKAGTYKEYVSVGRPATNVNMIGDGIGKTIITGNKNFKMNLTTKDTATMEAIGNGFFMRGITVENTAGPENHQAVALRAQSDMAVFYQCEFDGYQDTLYPHAQRQFFRDCTVSGTIDFIFGNSQASKIVVLQNCLLQPRKPMDNQVNIITAQGRREKRSAGGTVIHNCTVAPHPDLEKFTDKVKTYLARPWKEYSRTIFVQNEIGAVVDPVGWLEWNGNFALDTLYYAEVDNHGPGADMSKRAKWKGVQSLTYQDVQKEFTVEAFIQGQEFIPKFGVPYIPGLLPQTQQGRMH >ONIVA07G26930.1 pep chromosome:AWHD00000000:7:24343347:24345377:-1 gene:ONIVA07G26930 transcript:ONIVA07G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFIIANNPLARYAVLFAAAVFLVAAAQAQPTTPPPPPPPPEMDCNGILLTYNLDRRDKIRPFVAAPDADAQPYSFRATATVLNSGTRALRSWTLRLTFHHREILVQLDGAVLTSGADLPYNTTADNATSFSGYPQTDLLTPIATAGDLTKIQATLNLVGTLFAGPPPYVPLPSALSLADPSYTCPPAINVSTSTLSTCCVLTPEAAANASAGDLDAGRATSYLPRGAGDLVITYDVLQAHETTYLAQVTLENDALLGRLDGWELSWEWLRGEFISSMRGAYPRQVGATDCVYGAQGAFYKGLDFSKVLNCDRKPAVLDLPPSRRGDEDIGGIDHCCRNGTMLPKSVDAAQSKSAFQMEVYKMPPDLNRTKLYAPANFRVSGASPLNPEYACGQPVRVTPTELPDPSGLASTTLALATWQVVCNMTAAPPSKPPSCCVTFSAFYNESVIPCRTCACGCPASPAAACSTTAPSMLLPPYALLMPFERRGREAVWWAGEKRLGVPRPMPCGDSCGVSINWHVATDYAGGWSARATLFNWEGADVAEWFLAVAMEKQAYDGFEQAFTFNATAMGNGTTMILMKGREGFQYLKRESNISGVDYPVAGKQQSVLLFTKKRSGGGIDVVGGDGFPTRVLFNGHECAMPQRIPSSAHRRRLVLLWTTLLVFMSSILLVLSLL >ONIVA07G26920.1 pep chromosome:AWHD00000000:7:24340042:24341386:1 gene:ONIVA07G26920 transcript:ONIVA07G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVQAVDWTRHSRPAGDEEVEHNSKEEVSMAYFSRLPAGCPCRGQLLTMDHRLEENASGGEVAPELALLGAGQVACAYQDPLAVGGRFHMSTKLRWYMGCASLWAALAMKQRQRHAGCRDLPAELAAKLMQALKRNWAER >ONIVA07G26920.2 pep chromosome:AWHD00000000:7:24340042:24341329:1 gene:ONIVA07G26920 transcript:ONIVA07G26920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVQAVDWTRHSRPAGDEEVEHNSKEEVSMAYFSRLPAGCPCRGQLLTMDHRLEENASGGEVAPELALLGAGQVACAYQDPLAVGGRFHMSTKLRWYMGCASLWAALAMKQRQRHAGCRDLPAELAAKLMVLGIIDSITIFGI >ONIVA07G26910.1 pep chromosome:AWHD00000000:7:24336832:24339966:1 gene:ONIVA07G26910 transcript:ONIVA07G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDRYGGGGGGGGGGGGGSGSGHRRDRYAEGGRRGSCSPHPQDRYGSGRRGGGLVDGDRSGGGDRYGAGGGGGNGNGKGSRSRSAHPRDRYSGGGHLYGGGGGYTSDRGPPTCSHRYDGQSSKEHGDSFYSGGNSSKFADTPRNNQHQPLTSMRFILAGFGSPLKAEYKQLINGMGGQVLTSLEYEIATHIVPMGGREGAAIVWELDGKRVYSKEWLDSLLYVRPKALEASSTGRTRNGSQALYPGERRVRRRINFDSYHDDGASLCSKHHDIFKCPFDKSKQTTLLQFAEKNRKNLIYAGPTPGSFDTHWSIVGSTEIKLKLRRAFRLIQALHKENISLCGKFGAENFFYDDDNNARIGNLMEDNLKYGADLSDKNLDYESFVKMVKKEVFVRTSIPNSLSEWLCLMSSGVKGFEYLLCHHDGLMEPRQSASSFMSLHDIFLEIETSDPAAYGSVLSDLRQYNYWKSKMPNNSFLKSTKEYMDKDGKQIEYKDDVKDLLRFLRNCRRHAAQFKEDEFPSIVDHFYPKLMCDFQKAMFKQWHRLLKAELSAELQTLPADTGVL >ONIVA07G26900.1 pep chromosome:AWHD00000000:7:24330375:24331526:-1 gene:ONIVA07G26900 transcript:ONIVA07G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKRQDRDEYDRCGGVKTAPAPEKRRKHLYLMLDDRDNAYRMHKIDVDALADSEEDDMLLLPEPALLQFGTDRHSGMCFFALGSSIFATRPPHTPALVYDTDTGGLTVGPPLPNKLCGGPNITMAMADNKTMYALYDYDTNYLNPHPMEAMSWEAVPCTERHLPRVKEWTWKSVPSQPPYGRLDEIVSYAVHPDQRTFFVSVKEAFCSRSDGGNKGTFSFDTKHCEWRWHGDWMLPFERQGYYDAELDAWVGLRLTDGRVCACRVASRSSSAPPEWKLLQEKLFCKDPQERQLMALSGIRPSLAYMQGSGRFCLLECVLREGVDWKHAFGDDAHGCLLRLTIFGLKYDHQGELHTSIHRTNASYIVSKHNSSFSPVAFWM >ONIVA07G26890.1 pep chromosome:AWHD00000000:7:24320527:24330628:1 gene:ONIVA07G26890 transcript:ONIVA07G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAKERRLPPALPLATLIGRELRAGGSERPSLRYGHAGFAKRGEDYFLVKPDCLRVPGDTSTAFSVFAVFDGHNGVSAAVYSKEHLLEHVMSALPPDIGRDDWLQALPRALVAGFVKADIDFQRKGEPGRIVCSRLTPLEIPATNTSSTGEVSGTTATLVVVDGFTVTVASVGDSRCILDTQGGEVQLLTVDHRLEENAEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQVKLSNIGGRLIIASDGIWDALPSEAAAKACRGLPAELAAKLVQALKKSGLKDDTTCVVVDIIPSDYRLTSPQLSPKRNQSKFKSLLFGRRSHSSIGKLGGKSASFGSVEELFEEGSAMLEERLGRNLSLKATSAPLRCAICQVDQEPFESMMTEKGGSYCSSPCAPWGGPYLCLECRKKKDAMEGKRSSHSTACR >ONIVA07G26890.2 pep chromosome:AWHD00000000:7:24320527:24330628:1 gene:ONIVA07G26890 transcript:ONIVA07G26890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAKERRLPPALPLATLIGRELRAGGSERPSLRYGHAGFAKRGEDYFLVKPDCLRVPGDTSTAFSVFAVFDGHNGVSAAVYSKEHLLEHVMSALPPDIGRDDWLQALPRALVAGFVKADIDFQRKGEVSGTTATLVVVDGFTVTVASVGDSRCILDTQGGEVQLLTVDHRLEENAEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQVKLSNIGGRLIIASDGIWDALPSEAAAKACRGLPAELAAKLVQALKKSGLKDDTTCVVVDIIPSDYRLTSPQLSPKRNQSKFKSLLFGRRSHSSIGKLGGKSASFGSVEELFEEGSAMLEERLGRNLSLKATSAPLRCAICQVDQEPFESMMTEKGGSYCSSPCAPWGGPYLCLECRKKKDAMEGKRSSHSTACR >ONIVA07G26880.1 pep chromosome:AWHD00000000:7:24310450:24314345:-1 gene:ONIVA07G26880 transcript:ONIVA07G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGGRVALDGGGRRRRKLDEEDDEEYVADDEEDEDEDEEEYQQAAAPSDDGEEGGDDEAEPEDESDADFVGDEEEEEEDLEDEDDLEEVKAPRPKRPPKGKPPPRSRRRRQEDDDDDYEEEEEEDADFDPDVDEDDEEEVDEDEEEFEQDDDDSDDFAPIRARKTSTKNHVAKRKPPPGRKKKKRKPSRVSKAKPKKPTSGRRRRKRWATDDDEEEEDDADFIVEDDQEEEDDHRPKKKAKAARKTRDVTPEPDVEASAWPAVESDTSEFEFVTSDEEAADKEAPAAEPAKTKGKKGRKRWGSGSESSSDSDYVISEQELKDLEVSMPPDAALQSPATLPRRTFLSRRVGEKGKEPEEAWKQTCGICLSEEQRATIQGVLNCCAHYFCFACIMEWSKVESRCPLCKRRFTTITKSSMADLGLGSRKAVIRVEKRDQVYQPTEEEMRRWLDPYENVVCIECNRGGDDNLMLLCDICDSSAHTYCVGLGRQVPEGNWYCGGCRSGGEGPSAQDTVVHCRESNTNPANSSSGSFGSATPSGVFQRPPPINTQPSLQGFDLNLSPRETPDEDKREESHVSADAVSTPTGRHATLDRRRAFNRRIRILLFRPRVTPNGWQNPIQSDRTIPENEQNPQSTSTPTEVNPSCSRDSSMQNQQSSSSFVQPARGLIERTYGGGSNFQQTEGAKEQLIPIVKRNLKLMCAQSPLGQSDFKNVARRATHTILALSGIAHNEDFVVSTPHPLPSHCNHACDGQEPAFLMRTCCSSCFNSFVGGVVSYIAEMFT >ONIVA07G26880.2 pep chromosome:AWHD00000000:7:24310450:24314251:-1 gene:ONIVA07G26880 transcript:ONIVA07G26880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGTGGGRVALDGGGRRRRKLDEEDDEEYVADDEEDEDEDEEEYQQAAAPSDDGEEGGDDEAEPEDESDADFVGDEEEEEEDLEDEDDLEEVKAPRPKRPPKGKPPPRSRRRRQEDDDDDYEEEEEEDADFDPDVDEDDEEEVDEDEEEFEQDDDDSDDFAPIRARKTSTKNHVAKRKPPPGRKKKKRKPSRVSKAKPKKPTSGRRRRKRWATDDDEEEEDDADFIVEDDQEEEDDHRPKKKAKAARKTRDVTPEPDVEASAWPAVESDTSEFEFVTSDEEAADKEAPAAEPAKTKGKKGRKRWGSGSESSSDSDYVISEQELKDLEVSMPPDAALQSPATLPRRTFLSRRVGEKGKEPEEAWKQTCGICLSEEQRATIQGVLNCCAHYFCFACIMEWSKVESRCPLCKRRFTTITKSSMADLGLGSRKAVIRVEKRDQVYQPTEEEMRRWLDPYENVVCIECNRGGDDNLMLLCDICDSSAHTYCVGLGRQVPEGNWYCGGCRSGGEGPSAQDTVVHCRESNTNPANSSSGSFGSATPSGVFQRPPPINTQPSLQGFDLNLSPRETPDEDKREESHVSADAVSTPTGRHATLDRRRAFNRRIRILLFRPRVTPNGWQNPIQSDRTIPENEQNPQSTSTPTEVNPSCSRDSSMQNQQSSSSFVQPARGLIERTYGGGSNFQQTEGAKEQLIPIVKRNLKLMCAQSPLGQSDFKNVARRATHTILALSGIAHNEDFVVSTPHPLPSHCNHACDGQEPAFLMRTCCSSCFNSFVGGVVSYIAEMFT >ONIVA07G26870.1 pep chromosome:AWHD00000000:7:24308885:24309151:-1 gene:ONIVA07G26870 transcript:ONIVA07G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYRPYSGEYQYGNGNAVVPYGGGGERRMKAVCRWVPGAWWLSDPEMKRRRRVAGYKSYAVEGKVKASIRRGLRWIKAKCSHIVRR >ONIVA07G26860.1 pep chromosome:AWHD00000000:7:24300876:24308071:-1 gene:ONIVA07G26860 transcript:ONIVA07G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHGGQDADNRRLFAGVRFALLGFDPVSESQYRSEMVQRGGVDAGAYGAGCTHLIVCDLLYDNPICVAARKDGTKVVSEQWVDDSLDLGEMADADRVLYRPVRDFSGIPGSQSLRICLTGYQKNWRDDIMKMASLMGAEFSKSFDALKDTHLICYKFEGEKYKVAKRENTAKRANVNLVNHQWLEDCLMAWKILPADDYTKSGWEIEIMAAQAKDSEDDEEEAGRSSSHSKRATRSARTKEIRMATLVDPHSQAPTRDPTISSCSAEITAGGHMSTPERITKAGGSTSRSLNIKSDIRNTPISADSDAYESAHFPLNGKEEAPAAQVHRAEAKDDVKMAVDASPGAHCISNIAGTTVCSDHHVHQSTTAPAMLVDKTETIGGNCLDSSNQNNVNIALWSTPSKETFSEKTLRPSGISGNVGQKDGGSTPDLNTAVDQSNTERELTLCEANLRLTGNAASKKNSQVLSYNRRRCRKSVSPEANLKPTGSPQSFEGVTPRVDFTISPSMKSDHKISDITDAESLRDVEIVKKVNKSSGALAQKRASKLSSISMKPSVSSETGTAKSPFSSRESASEAATFSDPSRNSAESVILTAKEKSGPSKSNLLSYRRALKLARPVEGEKLSENSTKSKKSLRENTLALHEVDKGGSSSENGLRSEKGCAANSSADSEVDKRSSSSSLQNRDTEMSDVPQVDKTEVVAPNTDFAIVVSHQNMEVVPKSIQVTATISECETFPQEEPTSKVKNASVKRFGNASNKAATRSVKNKDEIVSFKSDGDKIEVQPEKNHARPNGAECTLFIPEEIPNSKANNAATNNSRDALQMNTALALSKTELAEKNPGSASADEYRKSSCEKVSQTADVEMPDAPIVDSTGAMFSKSGFKEVFPPENAGSCPKRLSTNTNMGGPETCTPSIVPNNRVRKAAAKRKVSAVQQNSFGDVTCKNSSAVVSEFKFVYKRASEGPRNGSKKTMDQNLQSSNEDGARDAGVSFSEDDMRDRSKILQNSQARSSKRQKAADLMDGSTDHDKENLPGNHNISKSKYGNSCTSLNSFIQAAGSGKDRLADRGVVEENDCGMLTVLEPRLFILSGHRLLRKEYKLILRRLKGRVCRDSHQWSFQATHFISPELRRTEKLFAAAAAGRWILKSDYLTACNEAGKFLEEEPFEWHGNGLNNGDTISLDAPRKWRQLRQHTGHGAFYGMQIIIYGECISPSLDTLKRAVRAGDGTILATSPPYTRFLKKPDHVDFAVVSAGTPSADAWVEEFKRQGIPCISADYLVEYICKPGQSLNKHVLFNMHDLADKSHAKLLKGQLDDVLGEATEAMQLNQLVRLVDPTTGKED >ONIVA07G26850.1 pep chromosome:AWHD00000000:7:24296089:24298451:1 gene:ONIVA07G26850 transcript:ONIVA07G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPDRRRNWLRGHRKQIIGDYLAEARAALAAAAAASLDGEGGEHSAATAALGLVEAVLEMSPRMEAALELRARSLLALRRYRAVADMLRDYIPSCTKPCSADDTSSSSSSSSSSCSSASRTDLLSPARDRSDAASAASRFLCCFDISDLKHRVLAGFSKNTSADTQWRYLVLGQACFHLGLMEDAAALLQTGRRLASAAFRRESVCWSEDSFSPSNLTANAISAPASRRASKSGAAGSEAESVSQLLAHVKLLLRRRAAAVAALDADLPAEAVRHFSKVLDARRGVLPHPFATACLVGRAEALRSSGRAADAIADCNRALALDPAFIPALRSRADLLESVGALSDCLRDLEHLKLLYDAALRDGKLPGPTWRPQGGVRFSEIAGAHRALTPRIQQLRGRVAGGEACSVDYYALLGVRRGCTRSELERAHLLLTLKLRPDRCASFAERLELVDEHRDLEAVRDQARMSALSLYRMLQKGYSFIMSVVQDEEAAERQRAKDAAAATAAAAAAAAAAALAREQEETAAVPEKAKISSVSVPSTNVQVQVTQAAAMPTAAMAAAAAMGSPVFQGVFCRDMAVVGTLLSRGGFDRPIPVKCEAMSC >ONIVA07G26840.1 pep chromosome:AWHD00000000:7:24285707:24286643:1 gene:ONIVA07G26840 transcript:ONIVA07G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPLKGFIMHPLSLCNSSAIRLCCNAGRNARRRRSPDDEEEEDGDTSEEEEMDQIEMAQLEGNIRRPARGGRGGRRDRAAPSPSAAWGNLAPPWEPPSPAARTLREHRSRGRKEKQRKRNGRERR >ONIVA07G26830.1 pep chromosome:AWHD00000000:7:24280326:24281330:1 gene:ONIVA07G26830 transcript:ONIVA07G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEQPEEEEVIEKLVEKITGLAAAIGKLPSLSPSPEVNALFTELVMTCIPPSSVDVEQLGAEAQDMRGRLIRLCADAEGHLEAHYSDVLAAHDNPLDHLALFPYFNNYIQLAQLEYALLARHLPAAPPPSRLAFLGSGPLPLSSLVLAARHLPAASFHNYDICADANRRASRLVRADRDLSARMAFHTSDVAHVTTDLAAYDVVFLAALVGMAAEEKARMVEHLGKHMAPGAALVVRSAHGARGFLYPVVDPEEIRRGGFDVLAVHHPEGEVINSVIIARKPPVAAPALEGGDAHAHGHGAVVSRPCQRCEMEARAHQKMEDMSAMEKLPSS >ONIVA07G26820.1 pep chromosome:AWHD00000000:7:24260734:24266766:1 gene:ONIVA07G26820 transcript:ONIVA07G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLQQHMGITHANSITTEPSPSPRPPLLRLLPQPRRRRHAHAKHIALSTNCKCASAASASVEEDDVAGGLGYRHPPKQIQEILDVPPNPSYLISPRRDRIMFLQRTAMPPLSELTKPEIVLSGIRIDPGSNMRSRMSFYTGIIVHDLMDSGTLGPAKEVHGYADGAKINFVTWSPDGQHMAFTVRYEDKVDNSVNLSLWVANAESGEARPLCISEDIRLNAIFELFVWVNDSTVLVCTIPSSRGCPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQLILLSLDGTVKPIAPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVDGTFIREVCDLPLAEDIPITSGSVRKGKRLISWRPDMPSTLVEAQDGGDANVEVSPRDIVYMELADPLNGEKPQVLLKLDLRYRRISWCNGSQALVYEHWYRTRRTRTWVISPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAKIKTNYEGTYILLKGKGATPKGSIPFLDLLNINTGVKERIWESSKEKYYESVLALMSYNPKCEIQLNHLKLLVSKESRMEPTQYYIKAWPDKTQVQITNYSHPYPQLALLQKEVIRYQRVDGVKLTATLYLPPGYDPSKDEPLPCLIWSYPGEFKSREAAGQVRRSPNKFARIRSNFPLLWLARGFAILADPTIPIIGERNQEANDRYIEQLVASAEAAVNEIVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMSANKIKKPILLIHGEDDSKVTTAMQSSQFYDALKGNGVPCRLVILPFERHHYVARESVMHVIWETDRWLEMYCVSNSRNIQVMESTVDTTWSPACEALALYFTKIYSLSKLVHLAFAQIFHQEVKK >ONIVA07G26820.2 pep chromosome:AWHD00000000:7:24260734:24266766:1 gene:ONIVA07G26820 transcript:ONIVA07G26820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLQQHMGITHANSITTEPSPSPRPPLLRLLPQPRRRRHAHAKHIALSTNCKCASAASASVEEDDVAGGLGYRHPPKQIQEILDVPPNPSYLISPRRDRIMFLQRTAMPPLSELTKPEIVLSGIRIDPGSNMRSRMSFYTGIIVHDLMDSGTLGPAKEVHGYADGAKINFVTWSPDGQHMAFTVRYEDKVDNSVNLSLWVANAESGEARPLCISEDIRLNAIFELFVWVNDSTVLVCTIPSSRGCPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQLILLSLDGTVKPIAPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVDGTFIREVCDLPLAEDIPITSGSVRKGKRLISWRPDMPSTLYWVEAQDGGDANVEVSPRDIALVYEHWYRTRRTRTWVISPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAKIKTNYEGTYILLKGKGATPKGSIPFLDLLNINTGVKERIWESSKEKYYESVLALMSYNPKCEIQLNHLKLLVSKESRMEPTQYYIKAWPDKTQVQITNYSHPYPQLALLQKEVIRYQRVDGVKLTATLYLPPGYDPSKDEPLPCLIWSYPGEFKSREAAGQVRRSPNKFARIRSNFPLLWLARGFAILADPTIPIIGERNQEANDRYIEQLVASAEAAVNEIVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMSANKIKKPILLIHGEDDSKVTTAMQSSQFYDALKGNGVPCRLVILPFERHHYVARESVMHVIWETDRWLEMYCVSNSRNIQVMESTVDTTWSPACEALALYFTKIYSLSKLVHLAFAQIFHQEVKK >ONIVA07G26820.3 pep chromosome:AWHD00000000:7:24260734:24266766:1 gene:ONIVA07G26820 transcript:ONIVA07G26820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLQQHMGITHANSITTEPSPSPRPPLLRLLPQPRRRRHAHAKHIALSTNCKCASAASASVEEDDVAGGLGYRHPPKQIQEILDVPPNPSYLISPRRDRIMFLQRTAMPPLSELTKPEIVLSGIRIDPGSNMRSRMSFYTGIIVHDLMDSGTLGPAKEVHGYADGAKINFVTWSPDGQHMAFTVRYEDKVDNSVNLSLWVANAESGEARPLCISEDIRLNAIFELFVWVNDSTVLVCTIPSSRGCPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQLILLSLDGTVKPIAPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVDGTFIREVCDLPLAEDIPITSGSVRKGKRLISWRPDMPSTLRISWCNGSQALVYEHWYRTRRTRTWVISPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAKIKTNYEGTYILLKGKGATPKGSIPFLDLLNINTGVKERIWESSKEKYYESVLALMSYNPKCEIQLNHLKLLVSKESRMEPTQYYIKAWPDKTQVQITNYSHPYPQLALLQKEVIRYQRVDGVKLTATLYLPPGYDPSKDEPLPCLIWSYPGEFKSREAAGQVRRSPNKFARIRSNFPLLWLARGFAILADPTIPIIGERNQEANDRYIEQLVASAEAAVNEIVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMSANKIKKPILLIHGEDDSKVTTAMQSKLVHLAFAQIFHQEVKK >ONIVA07G26810.1 pep chromosome:AWHD00000000:7:24249797:24259691:-1 gene:ONIVA07G26810 transcript:ONIVA07G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5Z1] MPIYVKDQKLLQALVENRKRSIPYAGAFLVKDEEGTDPNIVTSSDSDKSIDDLKGKELLQRLNEVGTLAQITSIQGDQVVLLGHRRLKITEMVQEDPLTVKVDHLKEKPYDKDDDVIKATSFEVISTLREVLKASSLWKDHVQTYTQHMGDFNYPRLADFGAAISGANKFLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIEAKKEKCPAHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQQILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQAEVTDAALLALIESYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPTQEAAIVTASEEPNGGDSANKLKDETMEDPATENAAMTNADTASKEASELDLLKRTVDHDVHPAETPKEAVLTDSALSTDKLCTPEGNKDMEGAKEESADKAVEKVVIDSSNLGDYVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGDGKGALVLTGQLGDVMKESAQIAHTVGRAILLDKEPENLFFANSKVHLHVPAGSTPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAVKTIVFPAANKRDFDELAPNVKEGLEVHFVDTYNEIFDIAFQSETQTETS >ONIVA07G26810.2 pep chromosome:AWHD00000000:7:24249797:24259796:-1 gene:ONIVA07G26810 transcript:ONIVA07G26810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5Z1] MLRAAAAAAAVFPSRFAAAPAVAAVEEVRSPLLRVLGALRGGRVSTLGRRARFCSNSAGSDSEAAAAEAKAEDAVAAEGEADGKASSAIVPTVLRPEDCLSVIALPLPHRPLFPGFYMPIYVKDQKLLQALVENRKRSIPYAGAFLVKDEEGTDPNIVTSSDSDKSIDDLKGKELLQRLNEVGTLAQITSIQGDQVVLLGHRRLKITEMVQEDPLTVKVDHLKEKPYDKDDDVIKATSFEVISTLREVLKASSLWKDHVQTYTQHMGDFNYPRLADFGAAISGANKFLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIEAKKEKCPAHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQQILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQAEVTDAALLALIESYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPTQEAAIVTASEEPNGGDSANKLKDETMEDPATENAAMTNADTASKEASELDLLKRTVDHDVHPAETPKEAVLTDSALSTDKLCTPEGNKDMEGAKEESADKAVEKVVIDSSNLGDYVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGDGKGALVLTGQLGDVMKESAQIAHTVGRAILLDKEPENLFFANSKVHLHVPAGSTPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAVKTIVFPAANKRDFDELAPNVKEGLEVHFVDTYNEIFDIAFQSETQTETS >ONIVA07G26800.1 pep chromosome:AWHD00000000:7:24235623:24235784:1 gene:ONIVA07G26800 transcript:ONIVA07G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERDGTRTGLTAVAIGERNRPGARPFYRHDEEGTLKWPQPPNPHTHGYGEK >ONIVA07G26790.1 pep chromosome:AWHD00000000:7:24229192:24243184:-1 gene:ONIVA07G26790 transcript:ONIVA07G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 22A1 [Source:Projected from Arabidopsis thaliana (AT3G66658) TAIR;Acc:AT3G66658] MIKRSISPRSRCTPRIKPQHAPRSDRSPLDFFFHFDFDFDLLFLNLNSLPCCCCCSESEPRARGDLGLALQSPAMALWWPLLVLAAAYALCRILLFLIPPTVPSIDVDASDVLEDANQNKEDSYIYIPPRKGKGAQTDKVQCYEPATMKYLGYFPALTPDEVKEHVAQARKAQKIWAKSSFKQRRQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSCGRSMLHKKAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAIFSGNAAVIKVSEHASWSGCFYFRIIQAALAAVGAPDNLVHIITGFAETGQALVSSVDKIIFVGSPGVGRMIMNRASDTLIPVTLELGGKDAFIVCEDVDLPSVVQVAVRAALQSSGQNCAGAERFYVHKDIYSTFVSQVVKIIKSISVGPPLSGRYDMGAICMIEHSEKLQNLVNDAVDKGAEIAGRGSFGHLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFNSDEEVVKLANDSKYGLGCAVFSGNQKRAIKIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYVKTMIPKPIQYPVSENGFEFQELLVETLYGLSVWDRLRSLVNLLKMISEQNNSPANTRKKSR >ONIVA07G26790.2 pep chromosome:AWHD00000000:7:24229192:24248697:-1 gene:ONIVA07G26790 transcript:ONIVA07G26790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 22A1 [Source:Projected from Arabidopsis thaliana (AT3G66658) TAIR;Acc:AT3G66658] MALWWPLLVLAAAYALCRILLFLIPPTVPSIDVDASDVHVPIGLKKNTSWYCLVYYGYLTIPPRKGKRAQTDKVQCYEPATMKYLGYFPALTPDEVKEHVAQARKAQKIWAKSSFKQRRQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSCGRSMLHKKAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAAIFSGNAAVIKVSEHASWSGCFYFRIIQAALAAVGAPDNLVHIITGFAETGQALVSSVDKIIFVGSPGVGRMIMNRASDTLIPVTLELGGKDAFIVCEDVDLPSVVQVAVRAALQSSGQNCAGAERFYVHKDIYSTFVSQVVKIIKSISVGPPLSGRYDMGAICMIEHSEKLQNLVNDAVDKGAEIAGRGSFGHLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFNSDEEVVKLANDSKYGLGCAVFSGNQKRAIKIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYVKTMIPKPIQYPVSENGFEFQELLVETLYGLSVWDRLRSLVNLLKMISEQNNSPANTRKKSR >ONIVA07G26790.3 pep chromosome:AWHD00000000:7:24243191:24248697:-1 gene:ONIVA07G26790 transcript:ONIVA07G26790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 22A1 [Source:Projected from Arabidopsis thaliana (AT3G66658) TAIR;Acc:AT3G66658] MALWWPLLVLAAAYALCRILLFLIPPTVPSIDVDASDVHVPIGLKKNTSWYCLVYYGYLTIPPRKGKRAQTDKVQCYEPATMKYLGYFPALTPDEVKEHVAQARKAQKIWAKSSSKQRCQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKSPGFWMRGLCLRGGGEWRVQPETERGTEGGSFGSKPATTSGGAGGEVVAAGCDRAAAGGRSRWLRSGGFGATGGSGAAGFLNPYAGRLPPLRSRTDGRHRAPPTTAEKREDGGYPLGTCKDCGGKIALNRDHECQKTKTEIAKGAKKAKDKHERTNTKLKKKHN >ONIVA07G26780.1 pep chromosome:AWHD00000000:7:24218437:24218967:-1 gene:ONIVA07G26780 transcript:ONIVA07G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTSMAPHDRKLDPAASPAARWRTRREQESYSSKLLDALRLVRAASGRPSPASSREVRHAADRALAVAARGRSRWSRAILASRARACALRRVRLGAPPPPAARPAPRSRPPLASKAKVLGRLVPGCRKLAFPALLAEASDYIAALEMQVRAMAALAQALQSVAPAPPPPSSSS >ONIVA07G26770.1 pep chromosome:AWHD00000000:7:24211626:24217222:1 gene:ONIVA07G26770 transcript:ONIVA07G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARASDPLASRDPPTLPLYLPPPPPPPLPSPSPHHRLLPAPMAPILLHLHPAFLAQMDSRRTTSLLQFLKDEGGIPSPEADKKREQVIRKLNKIVMDWAKVVAYEQRVPPRRATATVLTYGSYTLGAHGPESDIDALCVGPCIATLQYHFFIVLRQILEDRPEVSELQTVESAKVPLMRFRFSGISVDFTYAQLPAIITSNPHLLQKLDSRSWRSLSGVRVNEQIVQLVPNAQKFQILLRCIKLWAKRRGIHCHLLGFFAGIHLAILAAYVCQRYPYGTINEFCTSNMTKGTFKKIREELMRGYALTKEPWRHDFEWVWLFAPFPYATKYEEFLRIALCAPTSEELRDWAGWVKSRFRNLILKLESIGVECDPDSTEEVDHTVFEPSIVCHWGLIYKTSTHIDISSLGEDFMKDVINDVYGKVKGTHSKLTMSIVRSSQLPKSLYSHSVYTPYIPQYMLGYQTPTDYSGAAG >ONIVA07G26770.2 pep chromosome:AWHD00000000:7:24211626:24217222:1 gene:ONIVA07G26770 transcript:ONIVA07G26770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASARASDPLASRDPPTLPLYLPPPPPPPLPSPSPHHRLLPAPMAPILLHLHPAFLAQMDSRRTTSLLQFLKDEGGIPSPEADKKREQVIRKLNKIVMDWAKVVAYEQRVPPRRATATVLTYGSYTLGAHGPESDIDALCVGPCIATLQYHFFIVLRQILEDRPEVSELQTVESAKVPLMRFRFSGISVDFTYAQLPAIITSNPHLLQKLDSRSWRSLSGVRVNEQIVQLVPNAQKFQILLRCIKLWAKRRGIHCHLLGFFAGIHLAILAAYVCQRYPYGTINGLFTMFFDIFAHWNWQIPVSLHGQPTNCRRPDGSFMPILLPCTPPEFCTSNMTKGTFKKIREELMRGYALTKEPWRHDFEWVWLFAPFPYATKYEEFLRIALCAPTSEELRDWAGWVKSRFRNLILKLESIGVECDPDSTEEVDHTVFEPSIVCHWGLIYKTSTHIDISSLGEDFMKDVINDVYGKVKGTHSKLTMSIVRSSQLPKSLYSHSVYTPYIPQYMLGYQTPTDYSGAAG >ONIVA07G26750.1 pep chromosome:AWHD00000000:7:24205920:24209221:-1 gene:ONIVA07G26750 transcript:ONIVA07G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:importin alpha isoform 4 [Source:Projected from Arabidopsis thaliana (AT1G09270) TAIR;Acc:AT1G09270] MPRAPPPRPSEDARLAAYKPGVDVARSRRRREDRLLAVRRSNRDAGLFKRRRDEPTPTPIPPARAATPSSQADDVPTTTTATSPPSSAPSSPPSSPPAESVPPTAADSELEGLSEMVEKVWSDDTTSQLEATIQFRRLLSDEKNPTVIKIIRADVLPRFSDFLSRHEHPQLQMEAAWVLTNIAASDYTLLVAECGAVPRLVELLESANANIRHQAIWALGNIAADVPTCREIVLDHGAVTPLLAQFREGMKVPVLRTATWALSNLCFGKLPAEVQVKPILDIISQLIHSVDEKILGDACWALCYICDGVSDGIQHVLDAGACPQLVNLLMHASANILLPVITVLARISSGDDAQVQVLVENDILNYLAPLLARNYPKSIKKQACLIVSNISTGSKDNIQAVIDADVISPLIFLLKTSEKDIKEEAAWAISNAASGGSNDQIQYLVSRGCLEPLCNVLTYQDADLVYACLEGLQNILQAGAVGKQGQGSTVNPYAQFILECGGLDKLEDLQEVDNDAIYKLVMKLLEGYWDEEVSDDDPNLPTSNDSAETVETASEDAAQPTEPSASPNESE >ONIVA07G26740.1 pep chromosome:AWHD00000000:7:24199175:24201126:1 gene:ONIVA07G26740 transcript:ONIVA07G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAREVSSEEEAGGGDELRRGPWTVEEDLLLVNYIAAHGEGRWNALARCAGLKRTGKSCRLRWLNYLRPDVRRGNMTAEEQLLILELHGRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKHLNCDVNSQQFKDLMRYLWMPRLLERIHRSSQSQSHDADDADLSVSAATSCITSDLVVDAHHPPLAMADSNDSAAMWQQHQAPQMSVAGAPPPTTTMAQHVVLPTAAASCHQMQDQFVCARAAETTTCCWSESESLPGLAGLYYDDAAAALPEFDVETMAMWGPEDDPWYTQMLGL >ONIVA07G26730.1 pep chromosome:AWHD00000000:7:24193587:24196046:-1 gene:ONIVA07G26730 transcript:ONIVA07G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVGDEQCLIVGALAILACGSVFVGSKGFSSVSFKKRRTKKNEKDGCETGLVVKATTKFIFVWNFTIVLSARLVITGSTAKLEGDKRVWPPMMVLKLIGHQQPVACSSSFSSMLLYYLSLDLSGFHAILGHYKRGFSSPLNNKWHNP >ONIVA07G26720.1 pep chromosome:AWHD00000000:7:24187793:24190384:-1 gene:ONIVA07G26720 transcript:ONIVA07G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13040) TAIR;Acc:AT1G13040] MDRWLRPAKQPAWSRPVRSSALARSQRLTAGKVFDTTPLRRLCVLHTVSWIVSSRQVARFSTGVDNANPGAHCRLSELFRPVRTETSCVIIGRALECGRWSESVELELEGLHVELDPFVVNKVLRGLSDSGMAVRFYWWAESRPGFYHNNFAIAYIISLLFVDDNFALLLEFLGRVRSQGVAFHRSLYRVLLAGYARAGKFDSVIETFDEMVTSGCREFGVDYNRFIGVMIKNCCFDLVEKYYNMALAKGFCLTPFTYSRWITALCQSNRIELVEELLTDMDKFGCFPDFWACNIYVHYLCGHNRLYDALQMVEKMTMKGTGPDVVTYTTVVSCLCDHRRFSEAVGLWEEMVRRGLKPDVVACGALIFGLCKNQKVDEAFELASRMLTLDIQLNVSIYNALISGFWRAGSIEKAYKTVSFMQRNGCEPDVVTYNILLNHYCSIGMTDKAENLIRKMEMSGVNPDRYSYNILLKGLCKAHQLDKAFAFVSDHMEVGGFCDIVSCNILIDAFCRAKKVNSALNLFKEMGYKGIQADAVTYGILINGLFGIGYSNLAEELFDQMLNTKIVPNVNVYNIMLHNLCKVGHFKHAQKIFWQMTQKEVSPDTVTFNTLIYWLGKSSRAVEALDLFKEMRTKGVEPDNLTFRYIISGLLDEGKATLAYEIWEYMMENGIILDRDVSERLISVLKLKNN >ONIVA07G26710.1 pep chromosome:AWHD00000000:7:24182650:24182835:-1 gene:ONIVA07G26710 transcript:ONIVA07G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVEQEEEEEADDGGGARRRRGMEADGVACVMVMARMGIGGSGGRGGRMGWIRMLNGER >ONIVA07G26700.1 pep chromosome:AWHD00000000:7:24182215:24186080:1 gene:ONIVA07G26700 transcript:ONIVA07G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPHSLSADLEVALRWKGRWKKIASHRQAAGGSRATSPIHHPVSVLGRRRRRRRSPSCEVAPASAASSLTVEHPNPSHPPSSPAASADPHPSHHHHARHAIRLHPSPPPCTTAVIRLFLFFLLHKKEVETGVGEHRRVDKALLPFGSITEMMAVTNGVIHASSREPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSTWNKQHNIKFSINFGDIIDGYCPKDKSLWAVQKVLDEFEKFDGPTYHMFGNHCLYNLPRGKLVSLLKMPTDSDRAYYDFSPCPEYRFVVLDAYDFSALGWPRDHPVTAEAMKFLEEKNPNSDKNSPDGLVGVDRRFVMFNGGVGKEQLSWLNDVLQDASARRQNVILCSHLPMDPGSASFAALMWNYDEVMAIVRQYKCVKACFAGHDHKGGHSVDSHGVHHRTLEAALECPPGTSAFGHIEVYPDKLLLVGSDKMADTEMCFEP >ONIVA07G26700.2 pep chromosome:AWHD00000000:7:24182215:24186080:1 gene:ONIVA07G26700 transcript:ONIVA07G26700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPHSLSADLEVALRWKGRWKKIASHRQAAGGSRATSPIHHPVSVLGRRRRRRRSPSCEVAPASAASSLTVEHPNPSHPPSSPAASADPHPSHHHHARHAIRLHPSPPPCTTAVIRLFLFFLLHKKEVETGVGEHRRVDKALLPFGSITEMMAVTNGVIHASSREPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSTWNKQHNIKFSINFGDIIDGYCPKDKSLWAVQKVLDEFEKFDGPTYHMFGNHCLYNLPRGKLVSLLKMPTDSDRAYYDFSPCPEYRFVVLDAYDFSALGWPRDHPVTAEAMKFLEEKNPNSDKNSPDGLVGVDRRFVMFNGGVGKEQLSWLNDVLQDASARRQNVILCSHLPMDPGSASFAALMWNYDEVMAIVRQYKCVKACFAGHDHKGGHSVDSHGVHHRTLEAALECPPGTSAFGHIEVYPDKLLLVGSDKMADTEMCFEP >ONIVA07G26700.3 pep chromosome:AWHD00000000:7:24182215:24186080:1 gene:ONIVA07G26700 transcript:ONIVA07G26700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPHSLSADLEVALRWKGRWKKIASHRQAAGGSRATSPIHHPVSVLGRRRRRRRSPSCEVAPASAASSLTVEHPNPSHPPSSPAASADPHPSHHHHARHAIRLHPSPPPCTTAVIRLFLFFLLHKKEVETGVGEHRRVDKALLPFGSITEMMAVTNGVIHASSREPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSTWNKQHNIKFSINFGDIIDGYCPKDKSLWAVQKVLDEFEKFDGPTYHMFGNHCLYNLPRGKLVSLLKMPTDSDRAYYDFSPCPEYRFVVLDAYDFSALGWPRDHPVTAEAMKFLEEKNPNSDKNSPDGLVGVDRRFVMFNGGVGKEQLSWLNDVLQDASARRQNVILCSHLPMDPGSASFAALMWNYDEVMAIVRQYKCVKACFAGHDHKGGHSVDSHGVHHRTLEAALECPPGTSAFGHIEVYPDKLLLVGSDKMADTEMCFEP >ONIVA07G26690.1 pep chromosome:AWHD00000000:7:24178008:24179089:-1 gene:ONIVA07G26690 transcript:ONIVA07G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5X4] MGPNVSSEKKALAAAKRRAYVTFLAGDGDYWKGVVGLAKGLRRVRSAYPLVVAVLPDVPGEHRRKLVEQGCVVREIQPVYPPESQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDHLFDLDKGAFYAVKDCFCEKTWSHTPQYDIGYCQQRPDEVAWPERELGPPPPLYFNAGMFVHEPGLGTAKDLLDALVVTPPTPFAEQDFLNMFFREQYKPIPNVYNLVLAMLWRHPENVDLDQVKVVHYCAAGSKPWRFTGKEENMNREDIKMLVKRWWDIYNDESLDYKEEEDNADEASQPMRTALAEAGAVKYFPAPSAA >ONIVA07G26680.1 pep chromosome:AWHD00000000:7:24170751:24175094:1 gene:ONIVA07G26680 transcript:ONIVA07G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSIVVDNDDKNHQLENGHSGAVMASNSSDRSDRSDKLMDQKTMRRLAQNREAARKSRLRKKAYVQQLESSKLKLAQLEQELQKARQQGIFISSSGDQTHAMSGNGALTFDLEYTRWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEVFKVKGVAAKADVFHILSGMWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLNNLQESSQQAEDALSQGMEALQQSLADTLAGSLGSSGSSGNVANYMGQMAMAMGGQPATADIASNATNSDDPASLACSSCHTRLLFTLACFEFAVIAT >ONIVA07G26670.1 pep chromosome:AWHD00000000:7:24166702:24170576:1 gene:ONIVA07G26670 transcript:ONIVA07G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rhodanese-like domain-containing protein / PPIC-type PPIASE domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G19370) TAIR;Acc:AT5G19370] MTCQAQVEVSPYSDSGLVDERTNDAEVRGSFSWLHSSSNPSSSSLLSSPLLQQRPPPSEPRPRAAMLGLRGARAAQLPYASAAVAPTPTPSFSGFARRLPLLASAALSPLPPSFSFSSASAVRRDRDPPMRPVSGALSRSRPTTRVFCSAAATSPREGKELLVQHLLVGEQDVRLLVDLEKNIITGGADLSDLAVEYSLCPSKENGGMLGWVRRGQMVPEFEEAAFGAPLNKVVRCKTKFGWHLLQVLAEREQCVVEDIPPEELHAKMQDPNFLEEAQLIDVREPDEVDKASLEGFKVLPLRQFGTWGPVMTDEFDPQKDTYVLCHHGMRSMQVAKWLQSQGFRKVYNVAGGIHAYAVKADSSIPTY >ONIVA07G26660.1 pep chromosome:AWHD00000000:7:24164373:24164786:-1 gene:ONIVA07G26660 transcript:ONIVA07G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVQQHGRQRHGISKPPPAKKGKAAARKYKPPQCPGAVRVVYIASPMKLTASPEEFRAFVQELTGRHSNIADRHYVDSTIDLPPPPPPPPAYCASYVASATAAAPPVAAVPPPVLTPPLPPQTFQSYDHGGQGHRW >ONIVA07G26650.1 pep chromosome:AWHD00000000:7:24159722:24162017:-1 gene:ONIVA07G26650 transcript:ONIVA07G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGRLDDIADAEMDDGGGGGNRAGAGDYSSSLRPMDRAGLPPYGGAGGSGGLVRPPSSAAGYSGGGGSSSPPGTPPRPHSPRMFVPQSPVTPLHRAVDGPPPVFNQILTSEQEEDHDGPPDKLIPTLLVWTLGGKNVYIEGSWDNWKSKQLVHKCGKDHCVMLGLASGVYRYRFIVDGERRFQPDRPREADIMGTISNLIDVHDYVPDSVDSVSELMAPPSPDSSYGFLAPDDKEFTKEPPALPPQLHLGVLNSRGGSGGKEGECAMPKHNVLGHVFIGKGTPPMVAALGTTFRFQSKFVTKVLYKAIQREDR >ONIVA07G26640.1 pep chromosome:AWHD00000000:7:24152804:24157625:-1 gene:ONIVA07G26640 transcript:ONIVA07G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMANNSCPWYVQIAVGPSHTRLRMELDKKFKVLCLHGFRTSGSFLKKQISKWNPSIFQQFDMVFPDGIFPAGGKSEIEGIFPPPYFEWFQFNKDFTEYTNLDECISYLCDYMVKNGPFDGLLGFSQGATLSALLIGYQAQGKVLNDHPPIKFMVSIAGSKFRDPSICNVAYKDPIKVKSVHFIGEKDWLKVPSEELAAAFEDPVIIRHPQGHTVPRLDEASVKQLSEWSSSILEDIKNADDVAKASNVEKPSEDNTVAESGENLVEQIAA >ONIVA07G26630.1 pep chromosome:AWHD00000000:7:24147686:24151958:1 gene:ONIVA07G26630 transcript:ONIVA07G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5W8] MYKAKRTAAQKVRRCLGKYELGRAIGQGTFAKVRFAKNMETGDHVAIKILDKAKVQKHRLVEQIRREICTMKLIQHPNVVHLHEVMGSKTRIFIVLEYVMGGELHDIIATSGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDTAGNIKVSDFGLSAISEQVKADGLLHTTCGTPNYVAPEVIEDKGYDGALADLWSCGVILFVLLAGYLPFEDENIVSLYNKISGAQFTCPSWFSAEAKRLIARILDPNPATRITTSQVLQDQWFKKGYESPVFDDKYYPYFHDVYDAFGDSEEKHVKEAMEEQPTLMNAFELISLNKGLNLDNFFESDKKYKRETRFTSQCPPKEIINRIEEAANLLGFNIQKRNYRMRMENIKEGRKGHLNIATEVFQVAPSLHVVELKKAKGDTLEFQKFYQTLSTQLKDVVWELEDAAEDMS >ONIVA07G26620.1 pep chromosome:AWHD00000000:7:24140540:24146051:1 gene:ONIVA07G26620 transcript:ONIVA07G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRTDAASWLRELVLVYAMCWTVSLGFVAGQTGQLNVDASPQNARKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGNESSIIVGTDRTSCFEKNPVALRMEVLCDSKGTNNCPSGGVGVYNPGYWGMNIERRRVYKVGLHIRSSDAVSLTVSLTSSDGLQKLASHTITASKKQFAKWTKIEFHLKSSQTNTNSRLQLTTSKSGVIWLDQVSVMPSDTYMGHGFRKDLASMLANLKPQFLKFPGGNYAMGNYLRNAFRWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGSSNLHGLLGASQNEEVSTATIASLVKDVVDGIEFARGGPKTTWGSVRAAMGHPQPFNLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINVVSSCDKSTISPSNPADLYDVHVYTSSSDMFSRTSMFDNMTRSGPKAIVSEYAVTGKDAGKGTLVAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQNYGCPNYWMLHFFKDSCGATFHPSNIQISSYNQLVASAITWQNSKDKSTYLKIKLVNFGNQAVNLSISVSGLDEGIKSSGSKKTVLTSSGPLDENSFQEPQKVAPVSSPVDNANEQMGVLVDPYSLTSFDLLLQPSKHSTI >ONIVA07G26620.2 pep chromosome:AWHD00000000:7:24140995:24146051:1 gene:ONIVA07G26620 transcript:ONIVA07G26620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRTDAASWLRELVLVYAMCWTVSLGFVAGQTGQLNVDASPQNARKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGNESSIIVGTDRTSCFEKNPVALRMEVLCDSKGTNNCPSGGVGVYNPGYWGMNIERRRVYKVGLHIRSSDAVSLTVSLTSSDGLQKLASHTITASKKQFAKWTKIEFHLKSSQTNTNSRLQLTTSKSGVIWLDQVSVMPSDTYMGHGFRKDLASMLANLKPQFLKFPGGNYAMGNYLRNAFRWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGSSNLHGLLGASQNEEVSTATIASLVKDVVDGIEFARGGPKTTWGSVRAAMGHPQPFNLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINVVSSCDKSTISPSNPADLYDVHVYTSSSDMFSRTSMFDNMTRSGPKAIVSEYAVTGKDAGKGTLVAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQNYGCPNYWMLHFFKDSCGATFHPSNIQISSYNQLVASAITWQNSKDKSTYLKIKLVNFGNQAVNLSISVSGLDEGIKSSGSKKTVLTSSGPLDENSFQEPQKVAPVSSPVDNANEQMGVLVDPYSLTSFDLLLQPSKHSTI >ONIVA07G26610.1 pep chromosome:AWHD00000000:7:24140318:24140509:1 gene:ONIVA07G26610 transcript:ONIVA07G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPTESLPSLLESGGSGAGAGDGHGEAYRYWGAAAREKVVARCGLATYSASATVAVMPKNKA >ONIVA07G26600.1 pep chromosome:AWHD00000000:7:24136928:24139448:1 gene:ONIVA07G26600 transcript:ONIVA07G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMGSSKCASASAPLESKVTRSSNSTTTTDNTSKASQRSSSAFSSGQFSRASSDESSAAPSLSSLKSFNMGDLRAATKNFGSNSFLGEGGFGCVYKGWIDELTLAPTKPGVGKMVAIKKLKKESFQGHKEWLAEVTYLGQLHHENLVKLVGYCSDSDSNKLLVYEYMLRGSLENHLFRRGTQPLSWAMRVNIAVDVARGLSFLHGLENPIIFRDLKSSNVLLAGDYRAKLSDFGLARNGPTGDKSHVSTRVVGTRGYAAPEYVATGHLSVKSDVYSFGVVLLELLTGRRALDAARGATAEMLVDWARPHLGDRRKVNRIMDTRLGGQYPKKQAQDMAALALRCLHHDPKLRPAMPDDVLPQLRLLQQNTKPSSSSTSAPAHRSRPFQALAS >ONIVA07G26590.1 pep chromosome:AWHD00000000:7:24131242:24135848:1 gene:ONIVA07G26590 transcript:ONIVA07G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family [Source:Projected from Arabidopsis thaliana (AT1G08400) TAIR;Acc:AT1G08400] MASPRPPPASLRSFLDAHFASPEDLASAPALAELLRRECVGLDASLRRLEAQLASVSASWLARSAGARASLRRIRSRGGGFGVEEDDGEETLRSAELPALVQEIHRIDAIRLYAEAALQLEAFVGNLEDATFSIVRQASKLNLSSEMQWKQEKLLQAVDAMRDIELELLRISTNRPQWTNLIMAVDSRVDKTLAILRPKALTDYRALLAALGWPPSLSSPDAANNKYSEIPNPLILMNEANKEKYSQSFLALCALQHAQANREARQCQAKGASASMSDSKYFDKTAACFDNGLWAIDELVQPIASRLEYHFAKWSEQPEFIFALVYKIARDFMGGVDDILQPLIDRARLVGLSAKESWVTGMVKMLLGYIERQIFPVLVTSYQATDDKFEVHSSWMHLNDLMITFDKRMQLLADSGIQKIASISEGLSRSLSVFSIYSEHSDWLHMWAGVELNSAQHKLKSEMEDEINWSYSIKELGLQEITSNFLLSTREDYKAPTISEFVVKTASAMIERGHALPNKGLRIQYNRSSSVQFLNDFFLVLRERCEALQLTNTALEDDSLLKASFAINAARYCEYVLREWDDDIVFLEMGAHRKHVDEGQGQDHKHSAQHPCSFFGDEIAFLAKLGTDYLEQIMSSVLLEFEDLSCDYVQNIGLPNEQIHPVDEVLDEENLGISPGFVASLEVVRDRTTKLMLHLNSKDFLDLWRSIAEGLDYFIYSSIRWGELTFSDQGVVQLRVDTKALLHIFRPFCLRPEAFFPFISDSLRLLAMRKTDARYLLEVLKNAKENDSCLRQQGLQHVNASQAMKILGSKRSDG >ONIVA07G26590.2 pep chromosome:AWHD00000000:7:24131242:24135848:1 gene:ONIVA07G26590 transcript:ONIVA07G26590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family [Source:Projected from Arabidopsis thaliana (AT1G08400) TAIR;Acc:AT1G08400] MASPRPPPASLRSFLDAHFASPEDLASAPALAELLRRECVGLDASLRRLEAQLASVSASWLARSAGARASLRRIRSRGGGFGVEEDDGEETLRSAELPALVQEIHRIDAIRLYAAFVGNLEDATFSIVRQASKLNLSSEMQWKQEKLLQAVDAMRDIELELLRISTNRPQWTNLIMAVDSRVDKTLAILRPKALTDYRALLAALGWPPSLSSPDAANNKYSEIPNPLILMNEANKEKYSQSFLALCALQHAQANREARQCQAKGASASMSDSKYFDKTAACFDNGLWAIDELVQPIASRLEYHFAKWSEQPEFIFALVYKIARDFMGGVDDILQPLIDRARLVGLSAKESWVTGMVKMLLGYIERQIFPVLVTSYQATDDKFEVHSSWMHLNDLMITFDKRMQLLADSGIQKIASISEGLSRSLSVFSIYSEHSDWLHMWAGVELNSAQHKLKSEMEDEINWSYSIKELGLQEITSNFLLSTREDYKAPTISEFVVKTASAMIERGHALPNKGLRIQYNRSSSVQFLNDFFLVLRERCEALQLTNTALEDDSLLKASFAINAARYCEYVLREWDDDIVFLEMGAHRKHVDEGQGQDHKHSAQHPCSFFGDEIAFLAKLGTDYLEQIMSSVLLEFEDLSCDYVQNIGLPNEQIHPVDEVLDEENLGISPGFVASLEVVRDRTTKLMLHLNSKDFLDLWRSIAEGLDYFIYSSIRWGELTFSDQGVVQLRVDTKALLHIFRPFCLRPEAFFPFISDSLRLLAMRKTDARYLLEVLKNAKENDSCLRQQGLQHVNASQAMKILGSKRSDG >ONIVA07G26590.3 pep chromosome:AWHD00000000:7:24131242:24135848:1 gene:ONIVA07G26590 transcript:ONIVA07G26590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family [Source:Projected from Arabidopsis thaliana (AT1G08400) TAIR;Acc:AT1G08400] MHPSAASRRSSRPYPPPGSRAPPALAHLSAASAPEAGDSEWRRMMARRRCGARSCRRLCRRSIGSTPFDSMREMQWKQEKLLQAVDAMRDIELELLRISTNRPQWTNLIMAVDSRVDKTLAILRPKALTDYRALLAALGWPPSLSSPDAANNKYSEIPNPLILMNEANKEKYSQSFLALCALQHAQANREARQCQAKGASASMSDSKYFDKTAACFDNGLWAIDELVQPIASRLEYHFAKWSEQPEFIFALVYKIARDFMGGVDDILQPLIDRARLVGLSAKESWVTGMVKMLLGYIERQIFPVLVTSYQATDDKFEVHSSWMHLNDLMITFDKRMQLLADSGIQKIASISEGLSRSLSVFSIYSEHSDWLHMWAGVELNSAQHKLKSEMEDEINWSYSIKELGLQEITSNFLLSTREDYKAPTISEFVVKTASAMIERGHALPNKGLRIQYNRSSSVQFLNDFFLVLRERCEALQLTNTALEDDSLLKASFAINAARYCEYVLREWDDDIVFLEMGAHRKHVDEGQGQDHKHSAQHPCSFFGDEIAFLAKLGTDYLEQIMSSVLLEFEDLSCDYVQNIGLPNEQIHPVDEVLDEENLGISPGFVASLEVVRDRTTKLMLHLNSKDFLDLWRSIAEGLDYFIYSSIRWGELTFSDQGVVQLRVDTKALLHIFRPFCLRPEAFFPFISDSLRLLAMRKTDARYLLEVLKNAKENDSCLRQQGLQHVNASQAMKILGSKRSDG >ONIVA07G26580.1 pep chromosome:AWHD00000000:7:24128486:24129142:1 gene:ONIVA07G26580 transcript:ONIVA07G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSCLAPHAALLPCAAFTDADITRALHFSSSMPDTSSSPSSSSSAAFLADFCGGGAGGGFVVSAPPPTMPAITCESVLVADSARPSPAGPARRHQQQQLGLGPAGGRAGKRRSRASKRAPTTYISTDPANFRLMVQHVTGVQADPASLADGAAGILPTTTTTAPFDASSGLHMLDTFAAANPLLQAEQAAALQQQPCFPTLDSSWSAVMYDGSDLL >ONIVA07G26570.1 pep chromosome:AWHD00000000:7:24119538:24124074:1 gene:ONIVA07G26570 transcript:ONIVA07G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPSKIEDDRALVLCQERKRFVREAIDGRCALAAAHCDYIRSLRDTGFLLRKCFEHEASEESIPNNKSPSSFQASHMKAAMNSIRTYLEKVATPVTVTMVSASSQDPTGTSPLDHFDQIHPGDNQFSPKEKDRSGQCLDKVDDPRPFLEEGIPELEEEGERTPSNEEDGFAESKDDFANEEENFSESNGAFLSPSIETFVPVSNSNDVSDKNSSTDKAPEHHGHGSVASKDIALPNTGCQNDNPQNERRMTDIHTNENYSNSAVSPVNVVPPSGAAFPMVSKEPYPYLSISVKDLYTGMVEIERLFSRACDSGKEVTRVLDEDKLQFRALLPQETARGSASSSFLSTLFACCREDVPLPETPSQAEVKYLTWHRSVSSQLSLSRNPPGAITVMHTSTLDKLYAWEEKLYDEVKVNSAICRRYDEKCKQLRDQESRGKNQILVDFTRATVKDLHSRILVAIQKIDFISKNIEDIRDKELQPQLDELIRSLTRMWETMLECHHLQLAIMKLVSSKRSVKLSFQSESECQDALLLSAKLIKLCSDFQNWVASHKVYLSSLNLWLHKCMKPLKKRKGSRKQNVVDVSLTECAVAPIFTTCEIWIKLIDDLPTNELVKAIENLVADVGRSFPHQEQVLNGETGGEILRNNAPADVQSSLMAFLEKLEAFSAVSLQKYIDLQKNIDEAKDRFSRED >ONIVA07G26560.1 pep chromosome:AWHD00000000:7:24118997:24120156:-1 gene:ONIVA07G26560 transcript:ONIVA07G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYRVCVSCGDWRRIRGGVEKTGRRWRLGEGGGGVEEGAIAVAYQPATATAAAQQWRRRRGLRAERAADRASVGGLRAKRTANEHGATAHGSREVRLPAGPASDAREGEGVVGGGSWPEEEVIKDKLMDAIEGHVLGEAKLMAVF >ONIVA07G26550.1 pep chromosome:AWHD00000000:7:24113367:24118329:-1 gene:ONIVA07G26550 transcript:ONIVA07G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSHHAPPLPLRLPPPREGLIQLWGSADVLNICKMRRFFFFGSSTASTGNGGKTPSDDDSRIKKKALDGGDSNGSSSSASNSPVTKVCRSKSRRRNLNNEEPSNPKQLRRSMSFSSPAGNSFLKDRSFSFSGDVPSSLFNESDAPDHVANCYAWSPKRRPAPREYTIKDPKAHSVLQSDSPGSRCYSCSTGHSPVSSPIAIRCRSTRLTNLLNKNEVLDRYIDGEQEATILGERQKQNSPTRSAVSNLGRPPRPQSTVPSLPRLTKEILETYPYEDVKDEHLHQLAQEDTRDTCKITTLCNASRNHAGLLDASERFSHLEDYKSESVTSVEDIYEDLQDFNHPSIDPTSEDVETDDKLLQRAKEVEAKFMVSSEKKYELNMSKNKRLSANDMFQMIQCLTEDRKQLAYELSSQIKARLTERFAAKEQCKNLKKELDIRTRRLEKEKMEVQTTLEKEMDRRSDDWSIRLSRFQCEEERLRDRVRELAEQNVSFQREVTFLEAEKVDASNKVACLEMGNKKLIDELEKVRNNCDNLQNSSVELHDCFTKAVEEKDHLRKFLEDKDGENKALHKVISRLQTICNEQERTISGLRQGYSTELDKKSVECSDKIKKRMQMELIRLTGVEQKLRGEVQSCHLESESLRQENIALLNRIQSTGNGPRLSSIRLDQELLARVDNLQIHGLSLLDKTSQLCIKLLELMKCKRHENEAGNGIAALTVTDYTLEFQSIKGRIQSLKQSLGIISSVLTEKENIKGSSGETVVGSSPSREHTDELPLDIPELKLKEEAILNRVLKEALLSKELDVEQLESDLASSLRIQDVMRNEIQRVQDELSCMNHKAKHLELQGLKKDEIISQVQLDFQESAKEISALRGTLKTVTDERDLLWQEAKQLRKTISIMQNETASLKKKIEALEEDILVKEGQISILQDNIKNPQLDFICSPRSVKEFGLE >ONIVA07G26550.2 pep chromosome:AWHD00000000:7:24113367:24118329:-1 gene:ONIVA07G26550 transcript:ONIVA07G26550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSHHAPPLPLRLPPPREGLIQLWGSADVLNICKMRRFFFFGSSTASTGNGGKTPSDDDSRIKKKALDGGDSNGSSSSASNSPVTKVCRSKSRRRNLNNEEPSNPKQLRRSMSFSSPAGNSFLKDRSFSFSGDVPSSLFNESDAPDHVAKSTRLTNLLNKNEVLDRYIDGEQEATILGERQKQNSPTRSAVSNLGRPPRPQSTVPSLPRLTKEILETYPYEDVKDEHLHQLAQEDTRDTCKITTLCNASRNHAGLLDASERFSHLEDYKSESVTSVEDIYEDLQDFNHPSIDPTSEDVETDDKLLQRAKEVEAKFMVSSEKKYELNMSKNKRLSANDMFQMIQCLTEDRKQLAYELSSQIKARLTERFAAKEQCKNLKKELDIRTRRLEKEKMEVQTTLEKEMDRRSDDWSIRLSRFQCEEERLRDRVRELAEQNVSFQREVTFLEAEKVDASNKVACLEMGNKKLIDELEKVRNNCDNLQNSSVELHDCFTKAVEEKDHLRKFLEDKDGENKALHKVISRLQTICNEQERTISGLRQGYSTELDKKSVECSDKIKKRMQMELIRLTGVEQKLRGEVQSCHLESESLRQENIALLNRIQSTGNGPRLSSIRLDQELLARVDNLQIHGLSLLDKTSQLCIKLLELMKCKRHENEAGNGIAALTVTDYTLEFQSIKGRIQSLKQSLGIISSVLTEKENIKGSSGETVVGSSPSREHTDELPLDIPELKLKEEAILNRVLKEALLSKELDVEQLESDLASSLRIQDVMRNEIQRVQDELSCMNHKAKHLELQGLKKDEIISQVQLDFQESAKEISALRGTLKTVTDERDLLWQEAKQLRKTISIMQNETASLKKKIEALEEDILVKEGQISILQDNIKNPQLDFICSPRSVKEFGLE >ONIVA07G26540.1 pep chromosome:AWHD00000000:7:24113097:24117436:1 gene:ONIVA07G26540 transcript:ONIVA07G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHEQNRKINGIVVEDSEIKIEVRKLYKRFLEIQLDLTNDLIFLQALLVQQRRWDITREAEAPILQETVAGW >ONIVA07G26530.1 pep chromosome:AWHD00000000:7:24109498:24110770:-1 gene:ONIVA07G26530 transcript:ONIVA07G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVSALLLLLVPPLSALLAVAAPSLAWPSGSSSVRKLIAKKVAPEQAARDELLRVARYSSASAAAAASAVQQPGGGESSRPAAAAADDGGRPDQCAVCLSDIEEGDEVRELRCRHLFHRGCLDRWWLSARPPATCPLCRCRLLQSPSAAADDDDDGDGEEDSDSDMS >ONIVA07G26520.1 pep chromosome:AWHD00000000:7:24102039:24104483:1 gene:ONIVA07G26520 transcript:ONIVA07G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHAMSCHGGGGGGGGGGGEGALSRQGSVYSLTLNEVESHLGEPLRSMNLDDLLRTVLPAAAAAAETAGRKTVDEVWRDIQGASTGRHHATPMGEMTLEDFLSRAGVAVDGAASAAGAHWLRGHYPPPPPPPTTLQYVGGSGAVVDGVYNRVDGHGVAGFLSQVGVAGRKRGGGVDGVVEKTVERRQKRMIKNRESAARSRARKQAYTNELENKISRLEEENQRLREHKAVADFSTFPSCVDFLKAFLTQKLEPVMQIVPQPEPKQQLRRTTSASF >ONIVA07G26510.1 pep chromosome:AWHD00000000:7:24087532:24089868:1 gene:ONIVA07G26510 transcript:ONIVA07G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPILLLTAFLSSKPALCYINPGATPPQKIGTKSSGGRATYIVFVEPPPPLGHGDGEDDHRRWHESFLPLSELAGSDDEPRLVHSYTEAVSGFAARLTGGELDAVSKKPGFVRAIPDRTLQLMTTHTPEFLGLRKDAGLWRDSGYGKGVIVGVLDTGIDSSHPSFDDRGVPPPPARWKGSCRDTAARCNNKLIGVKSFIPGDNDTSDGVGHGTHTASTAAGNFVDGAAVNGLGVGTAAGIAPGAHIAMYRVCTVEGCTESALLGGIDEAIKDGVDVLSISLGSSFAADYDKDPLAIGAFSAVSKGIVVVCAAGNNGPAFATLSNEAPWMVTVAASSVDRRFSAPTRLGDGRVIDGEALDQASNSSGKAYPLSYSKEQAGLCEIADTGDIKGKIVLCKLEGSPPTVVDNIKRGGAAGVVLINTDLLGYTTILRDYGSDVVQVTVADGARMIEYAGSRNPVATITFKNRTVLGVRPAPTLAAFSSRGPSFLNVGILKPDIMAPGLNILAAWPSSVARTDAAAAPPSFNVISGTSMATPHVSGVAALVKSVHPDWSPAAIKSAILTTSDEVDNTGGPILDEQHNKTMLFGPFNTGAGHVNLTRAADPGLVYDIGVAEYAGFLCTLVGEYVLPIIVRNSSLQSCRDLPRVGQSHLNYPSITVELEKTPFTVNRTVTNVGPAESTYTANVTLAAEASLKLSVSPETLVFSKAGEKKTFAVTVSGRFTKAAQAVEVLEGSLRWVSPEHVVRSPVVLYIPVASAPPSPPMPAVGTRRGDNWA >ONIVA07G26500.1 pep chromosome:AWHD00000000:7:24078861:24082181:1 gene:ONIVA07G26500 transcript:ONIVA07G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSTRHRLEAVNHDHETIPERLAVVTGGNRGIGLEVCRQLALQGVTVILTARDEKRGKDAVESLCHESNLSNIIFHQLDILDGNSRASLARYINSRFGKLDILLSGKAVNLIQSVIVQTYDEAVKCLNTNYYGLKWITEALLPLLKQSPSGARIVNTTSLRSELKRMPNEKLRDELRNIDIWDEARIEAMLNEFLLDLKNERLEEAGWPTMLPAYSMSKTVVNLYTRILAKRHPEMRINCVHPGFVNTEINWNTGIIPPEEGARGAVKAALLPQDGPTGCYFDQTELGEAW >ONIVA07G26500.2 pep chromosome:AWHD00000000:7:24079055:24082181:1 gene:ONIVA07G26500 transcript:ONIVA07G26500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASYSSTSWRVRPGLTPFRSPLQPHPQGRVQRIAIFATRIRIATPSAAMQSSSTRHRLEAVNHDHETIPERLAVVTGGNRGIGLEVCRQLALQGVTVILTARDEKRGKDAVESLCHESNLSNIIFHQLDILDGNSRASLARYINSRFGKLDILLSGKAVNLIQSVIVQTYDEAVKCLNTNYYGLKWITEALLPLLKQSPSGARIVNTTSLRSELKRMPNEKLRDELRNIDIWDEARIEAMLNEFLLDLKNERLEEAGWPTMLPAYSMSKTVVNLYTRILAKRHPEMRINCVHPGFVNTEINWNTGIIPPEEGARGAVKAALLPQDGPTGCYFDQTELGEAW >ONIVA07G26490.1 pep chromosome:AWHD00000000:7:24073392:24075172:-1 gene:ONIVA07G26490 transcript:ONIVA07G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAAVTMVDRRMAFAAEADVDSKAAFGFFGGECFVGEGDLVNPAPPPPQQQQVHEGGFAAEDESDGDDDDDDDDVDDIEELERRMWRDRVRHKRLKELQQSRAGRESRAGDAGGGGRQQRQSQEQARRKKMSRAQDGILKYMLKMMEVCNAQGFVYGIIPEKGKPVSGASDNLRSWWKEKVRFDRNGPAAIAKYQADNAVPGCDGDAGGAAPAGPHSLHELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPEGSEAWWPEAGVPKELGPPPYKKPHDLKKAWKVAVLTAVIKHMSPDVDKVRRLVRQSKCLQDKMTAKEIVTWLAVLKQEEDLYLKLHPGALPPPLSAASFNASVSGEYDVEGVDGDEAGNNNLQKAQNDATAFMDLTTTMDAALSNNKFLIMPLMKEEAIDVDFIQKRSEPELMLSSDSHARVYTCGNVQCPHSNYALGFLDRNERNAHQYACKHNAAAAAAESKPPPPHIFEPLGSFDFDLPVDGQRCLAGLMTMYDNDVAAATQMHHHHHQQQQANFFIRDDAPFGGDVAATAAAASEFRFSSNFNVSGGGAVDYGGAMQQPPAKYAGSNWFY >ONIVA07G26480.1 pep chromosome:AWHD00000000:7:24068853:24071956:1 gene:ONIVA07G26480 transcript:ONIVA07G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYMQGDLSISTVLRAPTNRRHETCNPVEPRDHPRAADQRISLLQRLHLHTAVDRSIADSPSPSMLLSAADRQAAALDPSLRKSSLNFFAGHTWRAAACVVLAHCPLLSSR >ONIVA07G26470.1 pep chromosome:AWHD00000000:7:24066447:24068625:1 gene:ONIVA07G26470 transcript:ONIVA07G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFAVIVSPSAWPRAVSSAFAAAVFAFLDVVDVLLCFVYGFLDAVFEDSPVSCYCHGSHSAAALDDDDEVSDTLYHRRSALRDALMGLVRGRSGGSPETETERRKGRSPRWSDCGCDSCRAWQRHDDGRLHFVANQPPPPPNDGAVTTTQQSGEEDAIFIHGFTSSSSFWATVFRESSILNNCRMLAVDLLGFGKSPKPANCMYRLKDHVEMIERSLIDPLNLSSFHLVSHSMGCIIALALAAKHPERVRSITLIAPPYFGACEEKASQVALKRLAEKKLWPPLQFGSAVMSWYEHIGRTVCFLVCKNHLLWERLFRLITGKRDVDFLLGDLTKHTHHSAWHTMHNVICGGAMLQDRNLEAVEAAGVPVQVIHGGDDQVVPAECGRHLKAKLPGAELRLMEGCDHKTVVFGRERGFAEELRAFWSASHQNKQLAASASGWAG >ONIVA07G26460.1 pep chromosome:AWHD00000000:7:24054050:24065707:-1 gene:ONIVA07G26460 transcript:ONIVA07G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRELDLSDEVEGEEDGTTDFVFRLAGDPIPLLPTTSSPLPLFDLQSPPSRPLAVSNRRAAVFLAHPNGFMAATTKALIEASKEAREKGKSTTRCARDCCVADIPLPGVSLLELSRDESVLAACAGSVIHFFSASSLLTDKDVEPLSSCTLEGSSTVKDFKWLNHASKAFIVLSKDGLLSQGSLGEGLKDIMENVDAVDCCKEGTHIVLSKKNTLNILSSDFKEICCMPLLFQLWSDDSDSDDASIKVDSIGWVRDDSIVVGSVRLNEEGNEEGYLVQVIRSCGNTFFENSSKSVVFTYVDFFRGIMDDVLPSGVGPNLLLGYLHRWDLMVTSNKKSIDEHISLLKWSSATDDKKTVVYLEMLEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVTVGPEQKEVAPQHILLYLTGEGKLNIYYLARISDPSELPQTKLSAIEDSNVMKEISPVTVSGKEFTPSATSSLDKSIRPDGAELSSVQPDRDLHGSTDMKNSSPVSKAKEIVASSPAPASFLAPASNLKPGISFSFSTANSVSLSPAGSNTSSELGSSWQQSSSSNFVNNQLGKGSIPSTQPVGAFGGSQNSKKDGNLSFNKSSVFTSDGSTLVKPGERNEPGFGSHPLQSSYTTDKKVPSSVGLSSKSSPSISPIKPSSAGPSSTGFRTGNLEAFPTSRGSPLPQESIDKPHDRTHAVVDHSKNFKLGAMFDTEQDLSKKFYSINDMTKELDTLLSYIEKDGGFRDACITFQERPLSMFEGDLQNFLELLQIFKNKIAEQCSRVEDLRNKMQAYMKGIVDQSSDTQYWDIWNRQKLSPEFEAKRQNILKANQNLTNQLVELERHFNNLEMNKFGETGRVASSRRAIYSSKARSSQTQISSVYNALNSQLAAAEQLSDCLSKQISALNISSPSTKRGSVAKELFESIGLDHTMDAAKFSGGTPSKSVKRYPSTREHITSISGPSKTAEPETARRRRESLDRSLASLEPQKTTVKRIAQQQRLKISSDLPFRSNKKIFDSQLAAMSQEKSSSSPTSSIVESYANKLHYPSEVLHEKTKPSGPQHNTLFKWVKESAGPSQGPQHKYPELPGQLKSSDQPPKLGSSSPLSFSYSHKDVWDNISSSNVPSSGTTHTMPKSSTLTFKTTVIPKTNTSTLPDLSPLMTGSKFSLSPLTVKTLSGDSGGASSIITKNKQGGQAMPYLGTTKGLDVSPQNMGGAFRDLNKPSLSPEPPKSALLQGKTVQLGKITETVQSPVKAKPEVAFQPPAFPPTPVAQSSPCSIKPTVPSSATSSSSTMQESAAKTSDVLSPTGPSILPSKESMTKPSSPLPDGTISSSLLSIPMPVKESSTGLNKIVSKPEVVTSEVTGTTVSASTSSSVPITEGKPSSIPATSGSLPSIPVSAPKVVPVSAESAVVTSTGKDVGPSNPSSDEDDMEEEVPSASSDLNLGALGGFGLGSVPSSSPPKSNPFGNSFTTSDNKSSGSSFTLTTSPGQLFRPASLSIPSSQPAQSSQSTSSSTFSSAFSSGLSGFGQSAQIGSAQQSGFGQPSQIGAGQQAGFGQPAQIQSGFGQPAQVGVAQQSGFGQPAQIGTAQQSGFGQPAQFGAQQALGSVLGSFGQSRQLGSFGAGGFGGFASASASGGFSSNSGFAGGATGGGFSAAAAPAGGGFAAAATGGGFAALASKGGGFAAAASSGGGFGGAAQGSGFSSGELSGFLLFSSLRS >ONIVA07G26460.2 pep chromosome:AWHD00000000:7:24054050:24065707:-1 gene:ONIVA07G26460 transcript:ONIVA07G26460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRELDLSDEVEGEEDGTTDFVFRLAGDPIPLLPTTSSPLPLFDLQSPPSRPLAVSNRRAAVFLAHPNGFMAATTKALIEASKEAREKGKSTTRCARDCCVADIPLPGVSLLELSRDESVLAACAGSVIHFFSASSLLTDKDVEPLSSCTLEGSSTVKDFKWLNHASKAFIVLSKDGLLSQGSLGEGLKDIMENVDAVDCCKEGTHIVLSKKNTLNILSSDFKEICCMPLLFQLWSDDSDSDDASIKVDSIGWVRDDSIVVGSVRLNEEGNEEGYLVQVIRSCGNTFFENSSKSVVFTYVDFFRGIMDDVLPSGVGPNLLLGYLHRWDLMVTSNKKSIDEHISLLKWSSATDDKKTVVYLEMLEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVTVGPEQKEVAPQHILLYLTGEGKLNIYYLARISDPSELPQTKLSAIEDSNVMKEISPVTVSGKEFTPSATSSLDKSIRPDGAELSSVQPDRDLHGSTDMKNSSPVSKAKEIVASSPAPASFLAPASNLKPGISFSFSTANSVSLSPAGSNTSSELGSSWQQSSSSNFVNNQLGKGSIPSTQPVGAFGGSQNSKKDGNLSFNKSSVFTSDGSTLVKPGERNEPGFGSHPLQSSYTTDKKVPSSVGLSSKSSPSISPIKPSSAGPSSTGFRTGNLEAFPTSRGSPLPQESIDKPHDRTHAVVDHSKNFKLGAMFDTEQDLSKKFYSINDMTKELDTLLSYIEKDGGFRDACITFQERPLSMFEGDLQNFLELLQIFKNKIAEQCSRVEDLRNKMFQGTSTTRVSARQAYMKGIVDQSSDTQYWDIWNRQKLSPEFEAKRQNILKANQNLTNQLVELERHFNNLEMNKFGETGRVASSRRAIYSSKARSSQTQISSVYNALNSQLAAAEQLSDCLSKQISALNISSPSTKRGSVAKELFESIGLDHTMDAAKFSGGTPSKSVKRYPSTREHITSISGPSKTAEPETARRRRESLDRSLASLEPQKTTVKRIAQQQRLKISSDLPFRSNKKIFDSQLAAMSQEKSSSSPTSSIVESYANKLHYPSEVLHEKTKPSGPQHNTLFKWVKESAGPSQGPQHKYPELPGQLKSSDQPPKLGSSSPLSFSYSHKDVWDNISSSNVPSSGTTHTMPKSSTLTFKTTVIPKTNTSTLPDLSPLMTGSKFSLSPLTVKTLSGDSGGASSIITKNKQGGQAMPYLGTTKGLDVSPQNMGGAFRDLNKPSLSPEPPKSALLQGKTVQLGKITETVQSPVKAKPEVAFQPPAFPPTPVAQSSPCSIKPTVPSSATSSSSTMQESAAKTSDVLSPTGPSILPSKESMTKPSSPLPDGTISSSLLSIPMPVKESSTGLNKIVSKPEVVTSEVTGTTVSASTSSSVPITEGKPSSIPATSGSLPSIPVSAPKVVPVSAESAVVTSTGKDVGPSNPSSDEDDMEEEVPSASSDLNLGALGGFGLGSVPSSSPPKSNPFGNSFTTSDNKSSGSSFTLTTSPGQLFRPASLSIPSSQPAQSSQSTSSSTFSSAFSSGLSGFGQSAQIGSAQQSGFGQPSQIGAGQQAGFGQPAQIQSGFGQPAQVGVAQQSGFGQPAQIGTAQQSGFGQPAQFGAQQALGSVLGSFGQSRQLGSFGAGGFGGFASASASGGFSSNSGFAGGATGGGFSAAAAPAGGGFAAAATGGGFAALASKGGGFAAAASSGGGFGGAAQGSGFSSGELSGFLLFSSLRS >ONIVA07G26450.1 pep chromosome:AWHD00000000:7:24048397:24050082:-1 gene:ONIVA07G26450 transcript:ONIVA07G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEQMMYHQQQVQSDSQHLSSRPGLSPEKQFLLQGGADSSSGLVLSTDAKPRLKWTSELHERFVEAVNQLGGPDKATPKTIMRLMGIPGLTLYHLKSHLQKYRLSKNLQSQANASRAQGVLGCSTTEIDKPCEGNGSPASHLDLETQTNSSSMHINEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQEALGTIAVAETAATANASSSKRLQNEHTQLHHHQQQQQVGDGSVDSCLTTCDCEGSHHSRSHGHRGEQDILSIGLPPFEPAAARSGKEHHYLLFPNEPSRRRSCSDERRREMSTLQASELDLSINGRSSSHSHRRENIDLNGAGWS >ONIVA07G26440.1 pep chromosome:AWHD00000000:7:24037526:24041079:-1 gene:ONIVA07G26440 transcript:ONIVA07G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAAASPPHPPPPPPESHVPPPPQTPEKDSCEDTGDMRISEEKPCTDQELDADQMNSSSFNSSSECENQTPSNDEMTGSESKSEAAQTEGGGSSEEKVLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKSCQRYWTAGGSMRNLPVGAGRRKSKSSTANYRSILITGSNLAAPAGDAPLYQLSIKGDQTATAVKFAPDSPLCNSMASVLKIGEQSKNAKPTSTAQPRNGETQTCPASGTTSDSPRNEPVNVAVSGHQNGIVGHSGVPPMHPIPCFPGPPFVYPWSPAWNGIPAMAPPVCTAPAEPANSSDNGSTASVQWSMPPVMPVPGYFPVIPSSVWPFISPWPNGAWSSPWIQPNCSVSASSPTSTSTCSDNGSPVLGKHSRDSKPQGDDKAEKNLWIPKTLRIDDPDEAAKSSIWTTLGIEPGDRSMFRSFQSKPESREQISGAARVLQANPAALSRSQSFQETT >ONIVA07G26430.1 pep chromosome:AWHD00000000:7:24033062:24035686:1 gene:ONIVA07G26430 transcript:ONIVA07G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGHSPDRHAAAAAGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQLQAQAQAAAAAASSGSPPTASSGGLAPGHAGSPASSLGMFAHGAAGYSSSSSSSWPSSPPSVGMMMGDVDYGGGGDDLFAISRQMGYMDGGGGSSSSAAAGQHQQQQLYYSCQPATMTVFINGVATEVPRGPIDLRSMFGQDVMLVHSTGALLPANEYGILLHSLQMGESYFLVTRSS >ONIVA07G26420.1 pep chromosome:AWHD00000000:7:23997515:23998619:-1 gene:ONIVA07G26420 transcript:ONIVA07G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEVSVINQLEEEETRLELPPGFRFHPTDEEVVTHYLTRKAQDRSFSCVVIADVNLNNCEPWDLPSKAKMGEKEWFFFCHKDRKYPTGMRTNRATASGYWKATGKDKEIFRGRGLLVGMKKTLVFYMGRAPRGEKTPWVMHEYRLDGKLPPNLPRSAKEEWAVCRVFNKDLAAKIAQMPPPPFPRNDSFDLDLDDFLHLDADLPPLIDDPFASTSTLKTEPPPPANLMHNHYGYFSLPASATNYNHSSGAMADQAIRRFCKAEASTACFSGADADVDPVVDELLSFPDSITDYSYIWKA >ONIVA07G26410.1 pep chromosome:AWHD00000000:7:23987220:23988022:-1 gene:ONIVA07G26410 transcript:ONIVA07G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSESFVRWSPRTDPEPQMREIAHRFAKDIAPPSSSTRCCEDIAPRLLARSSSSPLSPLLRGHAGATAGSFFFPTVGSCFFPIPTDAAGLFARASSSPLPPLRRILAGALPELRRTLTRSRRRSRPRPLIK >ONIVA07G26400.1 pep chromosome:AWHD00000000:7:23985991:23986649:-1 gene:ONIVA07G26400 transcript:ONIVA07G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIIAVTHRWPWYHDAAVTADTIPVMSCLMLNHEGFSKSWKLSNSTRQSTDGEKYGEAAVKLLAATLGVTGSMGAC >ONIVA07G26390.1 pep chromosome:AWHD00000000:7:23981872:23982583:-1 gene:ONIVA07G26390 transcript:ONIVA07G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRLLLTESRRMLDALKLLDDQRVSVVEEVKPLRRAAGVGEHGHKAMYLTAVFLNAATCSGLIFMLLVVVTPALASCF >ONIVA07G26380.1 pep chromosome:AWHD00000000:7:23980955:23987051:1 gene:ONIVA07G26380 transcript:ONIVA07G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAVAALHCRTSALAAAPCLAKPSPRRPPQSLIPHPQLRRGPNRRFTHATGRTPPHVLPAPRLTHCRRSHSNPPSALNLASMPPHLASCSHAITAAGRQPSIPLHPPETETSTTARASKPPPLKAGSQRRSHHH >ONIVA07G26380.2 pep chromosome:AWHD00000000:7:23979996:23987051:1 gene:ONIVA07G26380 transcript:ONIVA07G26380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLESLRLLTPRSAAPPKSPLPPPVRPCPSLIATICSADAATLTRPFSCEYSHAPPRPSPIAAVPNPPMLPLPSSVSNSPDAADQLMLHRRCPTPSPHSTAGPAPSLPRLASPNLLPDAPLNPSYRTPSFAAVQTAGSPTPPAALHPTFYPPLASPTAVGRTPIRRVHSTSHPCLPT >ONIVA07G26370.1 pep chromosome:AWHD00000000:7:23971913:23973143:-1 gene:ONIVA07G26370 transcript:ONIVA07G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALCSGSVASPCGEVGVGFAAGLVRGAAAAAALAESVPIGGYSSKSTFPSGRVALTERKARPLPRNLEAAHGQMNLTIGKAMRWWEKCLQPNMREIESAQDLADSLLNAGDKLVVVDFFSPGCGGCRALHPKIAQLAEKNPEVLFLQVNYEKHKSMCYSLHVHVLPFFRFYRGAQGRVSSFSCTNATIKKFKDALAKHGPDRCGLGPAKGLEESELMALAINRDLNFTYTPNQDLVPIADALLKEAAAPGGPWLPLPATATQLFIQGSENSLLSSGR >ONIVA07G26360.1 pep chromosome:AWHD00000000:7:23968582:23970212:1 gene:ONIVA07G26360 transcript:ONIVA07G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYGRREQYGGYGGYGGGGALATPGYAPAAPYGMSQVNIEGNGCGRTLPPQPTVKVYCRANPNYAMTARNGAVVLAPANPKDEYQHWIKDMRWSTSIKDEEGYPAFALVNKATGQAIKHSLGQSHPVRLVPYNPEVMDESVLWTESRDVGNGFRCIRMVNNIYLNFDAFHGDKYHGGVRDGTDIVLWKWCEGDNQRWKIQPYY >ONIVA07G26350.1 pep chromosome:AWHD00000000:7:23964029:23965521:1 gene:ONIVA07G26350 transcript:ONIVA07G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHHNQAPAAPSDPNQIFKIFCRANENYCLTVRDGAVVLAPVNPKDEHQHWFKDMRFSTKVKDGEGMPAFALVNKATGLAVKHSLGQSHPLCACCHGRSKNVDFDVMDSWRVMGVQVKLVPFNPEYEDASVLWTESKDVGKGFRCIRMVNNTRLNLDAFHGDKDHGGVRDGTTVVLWEWCKGDNQSWKILPWGPEAHSSSPGAATACTIGGVPVHTVRVFSAAGEDYCLTVRNGTACLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVKLVPYNPEYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGIHDGTEIVLWKWCEGDNQRWKILPWCKFHR >ONIVA07G26340.1 pep chromosome:AWHD00000000:7:23960198:23964000:1 gene:ONIVA07G26340 transcript:ONIVA07G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLESRRHCQHEQERRSEWRTGGESEVGYTMAVWCEEEARAQRDGAEAILVGRRGRGGAGLVNTQRQEEAGDGDATTKRPGWQECKTYTHACHAFEPVEDHRWAQLSANESASSSRAEPIDQWVRVRVAGLGLL >ONIVA07G26330.1 pep chromosome:AWHD00000000:7:23954985:23955221:1 gene:ONIVA07G26330 transcript:ONIVA07G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALEGPIHAVSRAQRNLMRKLGLVPERGPVTAEAIAAYNALFSKPLSQEHIIALSSLFSGSLPPGKAAEALVVCG >ONIVA07G26320.1 pep chromosome:AWHD00000000:7:23952444:23954232:1 gene:ONIVA07G26320 transcript:ONIVA07G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHWYKDMRHSTRVKDEEGHPAFALVNRATGLAVKHSLGQSHPVKLVPYNPEYQDESVLWTESKDVGHGFRCIRMVNNIYLNLDAFHGDKSHGGVHDGTTVVLWEWCKGDNQCWKILPWGPEAYAPPPPPAYGHQAYPPPPPNREPGHGYHPAPAFYPPQPPPSHDEPGYGYRPPPGGPPGAGYGNRLPRALASEPTVRILCRADEAYSLTVRNGAVCLAPTNPRDDFQHWVKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPEYLDESVLWTESKDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQRWKILPWCK >ONIVA07G26310.1 pep chromosome:AWHD00000000:7:23941541:23944041:1 gene:ONIVA07G26310 transcript:ONIVA07G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTMSSAATSLPPGFRFHPTDEELILHYLRSRATAGQCPVPIIADVDIYKFDPWDLPSKAVYGESEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDSTTGESVGVKKALVFYRGRPPKGTKTSWIMHEYRLAADPLAAAANTYKPSSSSRFRNVSMRLDDWVLCRIYKKSGQASPMMPPLAADYDHDEPSGVLDDAYSFYAPPMISTTLIPKLPKIPSISELFDEHALAQIFDAAADPPADHHQHALAVHPSLNQLLGVGDNILAECYPSTASTATVAGGKRKASPAGDYAGGGHTPAKRLNGSCFDVAPQSVVGGLQATPSSVLAGLNHQMLPPQLF >ONIVA07G26300.1 pep chromosome:AWHD00000000:7:23927182:23932020:1 gene:ONIVA07G26300 transcript:ONIVA07G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSALPGGGARPDTLADRLHRYRGVLLVILAPLALVSLVLLLMPRSPASSSAAAGRRWGPLDANKYAVIFDAGSSGSRVHVFRFDANLDLLHIGDQIELFVQKKPGLSKYANNPQEAAKSLVSLLEDAKRVVPAELRGQTPVRVGATAGLRALGAEKSEEILQAVRDLLREKSSFKTQPDWVTVLDGPQEGAYEWVTINYLLGKLGKTYADTVGVVDLGGGSVQMAYAIAEKDAVKAPKPSEGEDSYVKKLFLKGTTYYLYVHSYLHYGLLAARAEILKAGNGKGYSYCTLEGHQGQYKYGNGKFEASASPSGASYSKCRDDVVKALKVDQACTHMKCSFGGIWNGGGGAGQKNLFVASFFFDRAAEAGFVNPKAPVAKVKPSDFEKAAKRACKLNLKDAEAAYPGVQKDNIPYICMDLVYQYTLLVDGFGVGSHQEMTLVKKVPYSNAFVEAAWPLGSAIEVAS >ONIVA07G26290.1 pep chromosome:AWHD00000000:7:23921362:23924963:-1 gene:ONIVA07G26290 transcript:ONIVA07G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPADDYYGDDDDEYDDYNPHPYGGGYDIFATYGSPIPPSPTTCYPVSSAAPTAPPPKPSPTPPPASPPPAPTPPQTRPPSPPPQQQQPRPVSPPPVAEPYYWPKPYDYGDAPREQPAYATPEVFRGWPFFAGARCHSRCGGRDYWRQFMRGLDYLFGHADGYGERRIGVDYHGVPVYANRKGGVEEAVVIQVEPPATGTVEWHHAADDPEYNYNNGNRLSWDDNAKDETYAYVQHNYSSYDRSYDQSYSLDAVSDETTWFPNQNYQHVYKEEESQYQEILSSSCAESKISAQPIYCYNQQFSEQPLHVLVEPPETVYSQKLEYYESFSTYNHHNSNDDSDMLGHSYDIQLPDEHVPDEPFEPIKPSWAMHSGYYQSCTDGASAEFENHTLSSSEFSGIASLFATSFYPQQTQIYECHGDENVSLQQNWQCNWNVVSENDFQSGYDSNHMNGSFWPFGDHSA >ONIVA07G26280.1 pep chromosome:AWHD00000000:7:23893834:23896598:-1 gene:ONIVA07G26280 transcript:ONIVA07G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKELGLLPASGPGTPTSVAAAAAAAAAHSPFMLSRQNSGRCGTAPSPLSVSSPSSWAPPPVFSRNNSISNGAGEEMVGLGDELISPANGGGPPSPFFGGDPLMDELQLQDQLAFLNEGGVPAGHQMPMFDGGECRSPGGGDGGLFSYNLGWANGGPGHRRSASVSELCLGGADGLGWKPCLYYARGYCKNGSACRFVHGGLPDDAAGKMDPSAVEQQCQDFLIRSKSQRLAAAAFPYSPTGSLPGSPSAATKCLSLLLQQQQQQQQNESQRVAAAAALMLGGDEAHKFMGRPRLERADFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRQAELTYSPHCLSRKQHQPGERVDFSSCTTPTGLDARDPFDMHQLGARMLQHSNSANEMLLRRKLEEQQQAAELQQAIELHSRRLMGLQLLDFKSRAAAAPTPIGNPFSASQTAANATGESPPDSGELGKGSGFLLAHKKAVNGADKEESTGESSSPNTDSDQSVEHNLPDSPFASPTKSAGFARDPFAPTEAEISATASTGCSATYVGINNGASNGGTNHLLPSALDMPSPKPYFFPMSRLASDHGAIGM >ONIVA07G26270.1 pep chromosome:AWHD00000000:7:23892114:23892967:1 gene:ONIVA07G26270 transcript:ONIVA07G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLGVAVGTSIPKSMSPKPHKSRITLRSPILYSPKGKQYPSPNAVSPHPRAAAGAGDGENNSSLAAAAVPLRIRPPPRHQHRPMEAATVAGEGESQRNWDRGTMNTNADTSPHWHNRMVQHQQ >ONIVA07G26260.1 pep chromosome:AWHD00000000:7:23888572:23889503:1 gene:ONIVA07G26260 transcript:ONIVA07G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRLVDFYLPRKPAANHVPRASWGGDGVINKKRAAEASRDRQICNCTGNCMLPFKVKKSHKMHPSVVQGDATGLVIWEELDWDKNSPLSVGGNARIQFDHILIIIKTTHLIVQYYHGGLCQALFLSQKQEPNMPLSSLSLSLSLSLSLSLSGFYTFYQVKIQKRGKKMLLLHALRACLDQNLKLQALRFQVFFALRGSTLLSGGDDDFYLWWCWCWTDRHGKAC >ONIVA07G26250.1 pep chromosome:AWHD00000000:7:23883633:23885298:1 gene:ONIVA07G26250 transcript:ONIVA07G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQMPSLIIKANLECEKCCKKIQKVLNKLKGKEKIINIVYENSDNRVIISGHFKPEELAHKLRCKACGVIKDIEFGKLAEAKKEEKKPDQAKKEEKKQPEEKKPEEKKKSEEEKKKGDEKKPEEGKKEEKKEEKPKVKEETKATPAPSSTTVNLQFTNMCGICYPWPCSDPTHWGAGVVHPQWPQCEAPAAALPAFVPGHHHHQLPPWGGVPAPKWPCGGPSYCGGCGTCRGGGWPAAAPMQAMCCPGPSSCRGCKGCRIVQEGKFVYEEYPAASACAVM >ONIVA07G26240.1 pep chromosome:AWHD00000000:7:23873090:23878411:1 gene:ONIVA07G26240 transcript:ONIVA07G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5S2] MKATLLPPPPPAAAKRRRGPRVAVLALFLCSLLVPLAFLFDRSQSGYVTTDERRRQEVVLPEFHHVEKADGDGTVNGLNQDAPKKTPKVNSGGLQKHKQTDRHTSRISTKPKVLPSPKVDPSEAVKESTQGTREVSKVRKRLDKGTNTDEVENEKACQLEFGSYCLWSREHKVVMKDSIVKRLKDQLFVARSYYPSIAKLEGQEELTVLMKQNIQDHERVLSVSTVDADLPSFINKKMEQMEQTIARAKSCTVDCRNVDRKLRQILDMTEDEAHFHMKQSAFLYNLGAQTLPKSHHCLSMRLTLEYFTSSSLGSNDSSARKFSAAHGRHYVILSKNILAASVVINSTVNSSKDPKKIIFHILTDAQNFYAMKYWFDKKSYREAAVHVVNYEDIIKEKLTKFNVRHLYLSEEFRVLVRSTEQPAGKTRMEYLSLFSHSHFFIPEIFKDLNKVVVLDDDVVVQCDLSFLWSLDMGDKVNGAIEFCGLRLGQVRNLLGSTTVDTKSCAWMSGINVINLDKWRKHKVTENYLLLLKKFLTKDETSLRAAAFPLSLLSFQHLIYPLDERLILSGLGYDYAIDEDVARSSAALHYNGNMKPWLELGIPSYRRYWKRFLTRDDKFMDECNIIP >ONIVA07G26230.1 pep chromosome:AWHD00000000:7:23857183:23872893:1 gene:ONIVA07G26230 transcript:ONIVA07G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGGGGGELERRVMAALKASEARGDQPLVWAVEVARVVAGEGAGLPSADLAGILVSNLCFAHNSPSLWKLVGHAVASRLLCPLHVLALLTPRVLPQRRAQPEAYRLYLELLRSNVTSSFLSMEAGPNRDKITKSIDDALQLSKIYGFSGIDNGHVIIFFMMFVITKLIDCTLEDCGFPSGLTEEQGSIYAIEGPQDMDLDVKGVSTEKQNEHRAQLRRKNTVMALDVLIMMVADRKIQSFLRLIFLNMVSNTAYQPNNKRLLGVLGNMKYGGSMLGQFTGAGRAACWVIFDIYVENAIDGKHLSAISAIEVLKEMTKTLQAINEASWQETFKALWISALRLVQRAREPLEGPIPHLDSRLCMLLALIPLSIGAILKEETDVHGAQGSKSLPKTSGLVSSLQDLIQYSGLLVPPSSVVNAANAAASKAAAFKANYKSGGGNPGMMGQNDSSTKTVGNMLHLIVEACISRNLIDTSSYLWPGYVVSSGHLKDATLPQESPWLNFMQGAPLSGPLIDALIATPASSTTELDRLYHITLNGSEEEKSAAAKILCGASFVCGWNIQEYVVRMVVKLLSPPLPSNSSTQGSMSHYLAQMSTLNALLLGISYGDAIHIISLYGMVPDVAAALMPICEVFGSIPPPSNHKPAIVGEISVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLLLMRNNHIDFANSSASSRNSSNNIGPLNEVPAQPLYIDSFPKLRAWYFQNQACIASTLSGLCNKNPVHQVANKILSMICRKMNKPVVSSGNLSSTSSSSVSGSSVSTPDDYQRPTVPAWEFLEAVPFVLEAVLTACAHGRFSSRDLTTSLRDLVDFLPASIAAIVSYFLAEITRGIWKMVPMNGTEWPSPGASLHSIEAEIKEILASAGIQIPSCYPRGVPPMLPLPMAALVSLTITFKLDKSSEYIHAISGQALENCAGGSSWPSMPIIAALWTQKVRRWHDFIILSCLRSPFGRDKDAVAQLIQSCFSSFLRSSCSGSDFTANRGVGALMGDAITGQGLQLPMAPGFIYLRTCRTFHDTYFVSEVILKQVIEWADKLANGFSSSGPPQLKSGRTPLSSAACMAHQVAMLGGGLLCVAGGPLLVQVLYEETLPTLLLSAREESMKDPGPVSSTLQGYAMANMLFFCGSLLWGAERTSPVMKLSFLSRRPRVVGNHMDFMAGVLDGHILLGCDHGTWKAYVSRFVFLVVKFVPSWLRDIKLDTLKKIASGLRSWNEHDLALALLERGGPQAISTGSIVNPKSYRGLARQRIQLELSDLSMYISTYFGFSGFRSYQREIIQKVLEGRDCLVVMATGSGKSICYQIPPLVTKKTAVVVSPLLSLMQDQVMSLKQHGVKSEYLGSTQTDISVSGQAEKGAFDVLYMTPEKAVSLPSRFWSNLQAAGICLLAVDEAHCISEWGHDFRTEYKQLHMLRDLLVGVPFVALTATATQRVRGDIATSLTLRNPHIVVGSFDRPNLFYGVKSCNRSMAFINELVKDVSKNCTVHEALVAAGIKSSIYHGRMGNKAREESHRSFVRDEVLVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFMKSDFYCAEAKSSKIYSSLISTASGSMVFDISLDLQVKKIVENNFDKLPLHGRGKDYPPNWWKALGGLLLAHGTIISEYRFVNAVLLINGYNYLKETVHDTFRSISVSPNGYKFLSTADKGDGASLFLQLTAEMIELEGHGGSQCKEEGLNPLGPSESEKISEDELKIYQVLLNVRMQLSQDIGTAPYAICGDQTLRNFAKFRPSTIARLANIDGVNQHFISHYGSIFIQNITQLAKELNLPVDDLSAVESIPAAPKPTQNNLPRSLGGAKFCSWELWQKMKFSFQKVAIHLHYRRAVPIKEKTVISYILDAARDGCEMDWSRFCEEVTYEMIQTFLAIEGLGLSDQVFGTVPTDGIQSKTSEAPKTTSNGSEVGADVCDASPLTKRGQTDGSLICGDEPASKLQKIDGQGVNSTAAIGATEDAILELVEGRNGVSLEDVVKHFNGSKRECVVEMLDNLEGNFLVYKKNGCYMIM >ONIVA07G26220.1 pep chromosome:AWHD00000000:7:23853476:23854063:1 gene:ONIVA07G26220 transcript:ONIVA07G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQQQQQQQQSVAAVRPSLGKAPSPSFRLRNGSLNAVRLRRVFDLFDRNCDGEITVDELAQALDALGLEADRAGLAATVGAHVPDGAAGLRFEDFESLHRALGDALFGSLDVPEDGGGGDEEMKEAFKVFDVDGDGFISASELQEVLKKLGMPEAGSLANVREMICNVDRDSDGRVDFGEFKCMMQGITVFGA >ONIVA07G26210.1 pep chromosome:AWHD00000000:7:23845761:23850376:1 gene:ONIVA07G26210 transcript:ONIVA07G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAAASLCCGVAAYLYYVLWLAPERLRAHLRRQGIGGPTPSFPYGNLADMRSHAAAAAAGGKATGEGRQEGDIVHDYRQAVFPFYENWRKQYGPVFTYSVGNMVFLHVSRPDIVRELSLCVSLDLGKSSYMKATHQPLFGEGILKSNGNAWAHQRKLIAPEFFPDKVKGMVDLMVDSAQVLVSSWEDRIDRSGGNALDLMIDDDIRAYSADVISRTCFGSSYVKGKQIFDMIRELQKTVSTKKQNLLAEMTGLSFLFPTASGRAAWRLNGRVRALILDLVGENGEEDGGNLLSAMLRSARGGGGGGGEVAAAAEDFVVDNCKNIYFAGYESTAVTAAWCLMLFALHPEWQDRVRDEVQAACCGGGGRSPDFPALQKMKNLTMVIQETLRLYPAGAVVSRQALRELSLGGVRVPRGVNIYVPVSTLHLDAELWGGGAGAAEFDPARFADARPPLHAYLPFGAGARTCLGQTFAMAELKVLLSLVLCRFEVALSPEYVHSPAHKLIVEAEHGVRLVLKKVRSKCDWAGFD >ONIVA07G26200.1 pep chromosome:AWHD00000000:7:23840947:23843350:1 gene:ONIVA07G26200 transcript:ONIVA07G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVLLLVVAAVEGGAAWSFWPPAAGDEPYCLSWRVMVEANNAKNWPTVPPPCVGYVWRYMAWGQYARDVAGVADQIAAYAAQLAAGDDGLDAWVFDVDDTCLSNLFYYQAKQFGAFDPVAFKKWASKAICPGVPGMAQLSAEYRGMSAVVFKSAMRMQLMEEGYRIRGNVGDQWSDLQGDFVGDRVFKVPNPMYFVP >ONIVA07G26190.1 pep chromosome:AWHD00000000:7:23826882:23829237:-1 gene:ONIVA07G26190 transcript:ONIVA07G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLSIRNPLSLLLSLQLSLLLFNPLSVADLASEKQALLDFASAVYRGNRLNWSQSTSLCSWHGVKCSGDQSHIFELRVPGAGLIGAIPPNTLGKLDSLQVLSLRSNRLAGSLPSDVTTLPSLRSIYLQHNNFSGDLPSFLNPNLSVVDLSYNSFTGEIPISLQNLSQLSVLNLQENSLSGSIPDLKLPSLRLLNLSNNDLKGQIPQSLQTFPNGSFLGNPGLCGPPLAKCLLPDSPTPSPASPSSAPTPMSAHHEKKFGAGFIIAVAVGGFAVLMFVVVVLVVCNSKRKGKKESGVDYKGKGTGVRSEKPKQEFSSGVQIAEKNKLVFLEGCSYTFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKKEFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDHLTNGSFSTKLHGIRGVTEKTPLDWSTRVKIILGTAYGIAHVHAEGGAKLTHGNIKSTNILLDQDYSSYVSDYGLSALMSVPANASRVVVGYRAPETIENRKITQKSDVYSFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELIKQQNIEEELVQMLQIAMACTSRSPDRRPSMEDVIRMIEGLRHSASESRASSDEKMKDSNPPSV >ONIVA07G26180.1 pep chromosome:AWHD00000000:7:23822800:23825996:-1 gene:ONIVA07G26180 transcript:ONIVA07G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAEKREEVSELTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTENLTEPGELNFTGMKKKKKKPVDLDLSINDIGDGEDILDDQVVEEEEGEGIVLGGAPRYPWEGTDRDYNYDELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKA >ONIVA07G26170.1 pep chromosome:AWHD00000000:7:23818932:23823819:1 gene:ONIVA07G26170 transcript:ONIVA07G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSQTSSLTRTIAQDPELFTAKIILPMGPPDVISENDEFDFSDVFGTTPVQTPTGISVAGPDSPAPLAESNEGVYNDPIVIIKRSHSLVGPTSLVSQSLRLSNLTLNKTEGSSEPAEEKERNLGQLSDEEFDNATTENEGIGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELARIYTAEIVSAVAHLHDNGIMHRDLKPENILLDADGHAMLTDFGLAKEFNENTRSNSMCGTVEYMAPEIILGRGHDKAADWWSVGILLFEMLTGKPPFVGNRDKVQQKIVKEKLKLPSFLSSEAHSLLKGLLHKEGGKRLGSGPGGSDEIKRHKWLKPINWRKLEARQIQPSFRPNVSGLTCIANFDECWTSMPVLDSPVATPVAGGAGHSSFTGFTYVRPAPFLQDVKPPSSSRLKD >ONIVA07G26160.1 pep chromosome:AWHD00000000:7:23812342:23814452:-1 gene:ONIVA07G26160 transcript:ONIVA07G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMFCGLVGSWQSQTPEWFAIVLQEPTGAPVYQCGGCGTTLRAKNRTGNSQEVISAPSSLGSGLPPHSKHLGSSDVASTSGSTPEAQISSGQQGADMTSRRETDDLVSARNNAPEPERVVPAEKEEEHVQSTSQQAVGNSEDLTRGDAATAADAQCSDRASEGKVQFSERREDSNTELQDVQRSDQTESDAEGKKSSEETSQSPRRDVVELPPSSVQTPDSQPAPAVLKREDDPATSPPHGHARRSPESLAPLQKRILKTVDNLKDDLSELFSKSPELNKPRTHARPPRLPRQEGYAPRDAAMAAAASIQAIRARHAAVHRPGYIARAGKPGQLAAPPPPRGLPSRRYRRCRADHPCCHDARHGPSCHHGCCPPHHGKQACTSCRGQHCCRPRTQESPAPRRPAAAAAKEVVKRRAPPRNHCRPVLKGAPFIICSSCFKLVQVPADFAVSTKTVRKLRCGSCSAVLSYSYRDPDRKKHGDQYSADGSPAAPRGHGRRGDKFAFLDDFGHVDVSYSTEDEQPLHVSRNSSFNTVDEMAAAATQQHGSSLHRLMGYGSASDLLFRQHSPDLYESFSERTTPEAAALYDRKGKGVCVDLDDDGGDDDSDEDCSGALKRSRLRGSGWPLPGILNSKGTTGMGAIRIKS >ONIVA07G26150.1 pep chromosome:AWHD00000000:7:23796244:23807775:-1 gene:ONIVA07G26150 transcript:ONIVA07G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;DNA binding;helicases;ATP binding;nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT2G40770) TAIR;Acc:AT2G40770] MGRRKSRPVRAVGLGSGAAAAAEPDAPSPSGSGGASKSRRAAKGEARRREDVCFEVDDSTWCLSDGDRRDLAELVMRDVRISGEGEGALDVAALHEAEREKRCSLRLRVRDAPEEGFRLGQWPVVPSDCVILEYAIAGMVFSGCFDGPDEGVSGLAHLAFATCESLLEVTRHPWRKSFMNMMAWVRPEVMTSAAIYGMDGLARPINGGANGDFTPKKDSQFDLAAFYEAVKPSMEAGLLEEELPDLLPHLRTYQLRAANWMVQREKGNTMISSPNQHYVHSAPYCVPIDFMHKKSRMFYNPFNGNVSMHPEPSPPYVSGGILADEMGLGKTVELLACIFAHRRPYSADCSVSSNIKGADQIKRHKRERVECICGAASVTSAYQGIWIQCDICDAWQHASCVGYSPKEEMHVDDDDGDVASNNEKGTLKPKNRRKKKDRYCIAETEEKYICTLCLELIEAAQTNISSNATLIVCPSPILAQWHSEIIRHTRPGSLKVCIYEGAKNTDLTSTQKSDMAEISTADIVLTTYDVLKEDLSHDSDRHDGDRRFLRYQKRYPVTPTVLTRVHWWRLCLDEAQMVESSKTSVTEMAMRLNAQHRWCITGTPIQRRLDDLFGLLRFLKTHPFDTYRWWVDIIRDPYEKGDMVAMNYAHKFFKEIMWRSSKIHVSRELQLPPQEECFSWLIFSSIEEYFYQKQHATCMDHAHEITRRIRDDANKSEPISDSNVVSNLYLSNDDIAKLLVPLLKLRQACCHPQVGSFGLCSLQRTPLSMGEILQVLIGKAKVEGEEELRKIVVALNGLAGLAVIEQNNQEAISLYKEALALARENFDDFRVDPLLNLHINHNLAELLRASSEDLQECPLKEQTSEVHGARKRKETSPADSGLCGIKRKKICENSRSSLMTDNPETSKEDKNINTQVCGSEEMDVENDSGCHTSSVCLADGCLKNTCNSIREKYLSVFTSKLLIAQKDFSASFTEVSTLSMELQNQNMNWWLYALDCIEQNKDSADELIRKIDISSNKSTTGLGSTGMSSRVQTIAGLKYTIQTGIDSLQSSRQQVMDRLLELDKTMDSPKDEDIECQRYCPNCYDGNGSLCIQCELDDLFQGYEARLFLVKKSNNDSVIASVEEARDLQRRKYELNQFFRNTKTNEGSEPGDDNKNPRSAREGFLVYRHPSRIETSLRVILTHSKTIMGEQSAKIAKKNLLLFEAMRKEFSQARNLSIAQTQLLRAHDEIKMSLSRLQLKEKDDEPSAVNIVTREELIPYNVQFTSEKFLSLSSLARIRGQLRYLKGLVLSNKKPLGKHGESLPESGNSVAIATSIPATGQTASDIINEPCPICQEKFFDQKMVFQCGHFVCCKCCLYMTEQAAAHFGRSKKWIMCPTCRQRTDLENVAFVVENQRGNADREIEDLAESAISVQGSYGTKIEAVTRRILRITSTDGAAKILVFSSWNDVLDVLEHSLAANNISYVRMKGGRKSQTALAQFKGLASSISGEKAKKSFSKMQPAQVLLMLIQHGANGLNLLEAQHVILVEPLLNPAAEAQAISRIHRVGQDKSTFIHRFIVKNTIEESIYKLNRGRAVCSTIHCKSKNFKDDLVLTLKDIESLFPVATLDQPSEQENKNYGGAGGHMRSLPPSVAAGLAAERRLLEQHDNQH >ONIVA07G26150.2 pep chromosome:AWHD00000000:7:23796244:23807775:-1 gene:ONIVA07G26150 transcript:ONIVA07G26150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;DNA binding;helicases;ATP binding;nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT2G40770) TAIR;Acc:AT2G40770] MGRRKSRPVRAVGLGSGAAAAAEPDAPSPSGSGGASKSRRAAKGEARRREDVCFEVDDSTWCLSDGDRRDLAELVMRDVRISGEGEGALDVAALHEAEREKRCSLRLRVRDAPEEGFRLGQWPVVPSDCVILEYAIAGMVFSGCFDGPDEGVSGLAHLVRLRFVTLRVHAFAVSRSADSVSVASFRVRLGLMEQAFATCESLLEVTRHPWRKSFMNMMAWVRPEVMTSAAIYGMDGLARPINGGANGDFTPKKDSQFDLAAFYEAVKPSMEAGLLEEELPDLLPHLRTYQLRAANWMVQREKGNTMISSPNQHYVHSAPYCVPIDFMHKKSRMFYNPFNGNVSMHPEPSPPYVSGGILADEMGLGKTVELLACIFAHRRPYSADCSVSSNIKGADQIKRHKRERVECICGAASVTSAYQGIWIQCDICDAWQHASCVGYSPKEEMHVDDDDGDVASNNEKGTLKPKNRRKKKDRYCIAETEEKYICTLCLELIEAAQTNISSNATLIVCPSPILAQWHSEIIRHTRPGSLKVCIYEGAKNTDLTSTQKSDMAEISTADIVLTTYDVLKEDLSHDSDRHDGDRRFLRYQKRYPVTPTVLTRVHWWRLCLDEAQMVESSKTSVTEMAMRLNAQHRWCITGTPIQRRLDDLFGLLRFLKTHPFDTYRWWVDIIRDPYEKGDMVAMNYAHKFFKEIMWRSSKIHVSRELQLPPQEECFSWLIFSSIEEYFYQKQHATCMDHAHEITRRIRDDANKSEPISDSNVVSNLYLSNDDIAKLLVPLLKLRQACCHPQVGSFGLCSLQRTPLSMGEILQVLIGKAKVEGEEELRKIVVALNGLAGLAVIEQNNQEAISLYKEALALARENFDDFRVDPLLNLHINHNLAELLRASSEDLQECPLKEQTSEVHGARKRKETSPADSGLCGIKRKKICENSRSSLMTDNPETSKEDKNINTQVCGSEEMDVENDSGCHTSSVCLADGCLKNTCNSIREKYLSVFTSKLLIAQKDFSASFTEVSTLSMELQNQNMNWWLYALDCIEQNKDSADELIRKIDISSNKSTTGLGSTGMSSRVQTIAGLKYTIQTGIDSLQSSRQQVMDRLLELDKTMDSPKDEDIECQRYCPNCYDGNGSLCIQCELDDLFQGYEARLFLVKKSNNDSVIASVEEARDLQRRKYELNQFFRNTKTNEGSEPGDDNKNPRSAREGFLVYRHPSRIETSLRVILTHSKTIMGEQSAKIAKKNLLLFEAMRKEFSQARNLSIAQTQLLRAHDEIKMSLSRLQLKEKDDEPSAVNIVTREELIPYNVQFTSEKFLSLSSLARIRGQLRYLKGLVLSNKKPLGKHGESLPESGNSVAIATSIPATGQTASDIINEPCPICQEKFFDQKMVFQCGHFVCCKCCLYMTEQAAAHFGRSKKWIMCPTCRQRTDLENVAFVVENQRGNADREIEDLAESAISVQGSYGTKIEAVTRRILRITSTDGAAKILVFSSWNDVLDVLEHSLAANNISYVRMKGGRKSQTALAQFKGLASSISGEKAKKSFSKMQPAQVLLMLIQHGANGLNLLEAQHVILVEPLLNPAAEAQAISRIHRVGQDKSTFIHRFIVKNTIEESIYKLNRGRAVCSTIHCKSKNFKDDLVLTLKDIESLFPVATLDQPSEQENKNYGGAGGHMRSLPPSVAAGLAAERRLLEQHDNQH >ONIVA07G26140.1 pep chromosome:AWHD00000000:7:23792318:23794241:-1 gene:ONIVA07G26140 transcript:ONIVA07G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDGGVGDGGAEPHEVMDDLLEMREQAAMLHSMLHGTSPSSCAAAASTRQLNQLIDGVMSRLQSSSLSVMSPGGGGGRRGSGGRKKKGTKAVAGPHRRSSSGRRRSKSPFVRMVTTKELEDGRQWRKYGQKHIQDSPNNPRSYYRCTHRPDQGCMATKQVQTSESNSSEFVISYYGEHTCRDPSTIPFVVEAEAPAADYANLISFGSSGGASTSRVDPLRQSRHRLMAEAVDPTPSCSFANCHSPVLSSECASEAAALSSSLPLSAVVGSAVTTPSTSIVGSAPADYDWPSGLAGGDMAGSFPSSPSSLGFMTGSFGNLPGDDDDMFGFDP >ONIVA07G26130.1 pep chromosome:AWHD00000000:7:23785429:23787162:-1 gene:ONIVA07G26130 transcript:ONIVA07G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASSSGLFRFISPRRRPQSTDIAAAATWGVFAGTAAIYLVQPFDWIKKTFFEKPEPEA >ONIVA07G26120.1 pep chromosome:AWHD00000000:7:23772966:23782708:-1 gene:ONIVA07G26120 transcript:ONIVA07G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMGWAVLLVVVASMAGEAAGRFVVEKNSLRVTSPAGLRGVYECAIGNFGMPQYGGTMHGVVVYPKANKKACRSFDDFDLSFKPKPGGLPVFLLVDRGDCYFTTKGWNAQTAGAAAVLVADDRLEPLITMDSPESSGTDYIEKITVPSALVTKKFGDDLKKALENGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMNFVRNFRGTAQVLEKRGYTQFTPHYITWYCPEAFVLSKQCRSQCINHGRYCAPDPEQDFNIGYDGKDVVLQNLIQICLFKVGNETHKPWVWWDYVHDFSIRCPMKEKKYTRECANGVIKSLGLDLERINKCVGDPEADEENPVLKAEQDAQIGQGSRGDVTILPTLVVNNKQYRGKLEKSAVLKAVCSGFEETTEPDDTFRGRVCECPIARGVKFVGDGYTHCEASGVGRCQINNGGCWKETKNGKTVSACSNEESKGCKCPPGFKGDGIKSCEDIDECKDKLFCQCKDCSCENTWGSYECSCGGSKVASSSVGWGFLWVIFFGLALAGIGAYAVYKYRLRSYMDSEIRAIMAQYMPLENQETPNQHRPVEHADI >ONIVA07G26110.1 pep chromosome:AWHD00000000:7:23757453:23763430:-1 gene:ONIVA07G26110 transcript:ONIVA07G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription repressor VAL2 [Source:Projected from Arabidopsis thaliana (AT4G32010) UniProtKB/Swiss-Prot;Acc:Q5CCK4] MAGMAAAAAALVAAKRCMNAACGAPAPSPAGGEWRKGWPLRSGGFAVLCDKCGLAYEQLVFCDIFHQKESGWRDCSFCGKRLHCGCIASKNSFDLLDSGGVQCVTCIKNSAVQSVPSPVVPKLFSSQNNQRLFGKSDDLLSGRPLETSSLMVDARNDDLTIIAKNNLPFMVKNVEAGQSSNILRQKELENGARQIKWELPTLSIGDMGRIPFLTRSQSALESRRDENKDPTTESTTSESLSEACLNMSLGIASNGNKLEATSTVERPMLSPTTGFPEGRELTTALSPFQHAQRARHFLTRPPRVGEGAVFDPTKDMLPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDAKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSILGDTLFSSTNENLAITDKFGSRPDEGSLQFLKRGRNIGSKSRRLSMDAEEAWELKLYWDEVQELLRPAPTAKPTVVMIEDYEIEEYDEPPVFAKRSIFTIRSTGEQDQWIQCDDCSKWRRLPLNVIVASKWTCADNTIDSKSCSCSAPEELTPKELHIVLQQYEDMRRRRNSFGFKQNIPEMDAVSLDAFATAAVYGDVGNQGSPSVATTTKHPRHRAGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKKIAWMNRDEPEGSSLSRSPQTVDTTRDGDVTMFDKVDINKGHIDLNFHPTAVRDEERHGGQPRVSMVSLLEVANRPLENYMKQNGLTSLAGEQGSSSTCTGAATVPQPALVESEERTSNNDGGRVATAEQPESMAVDEAGDNQPDKAAGDSAAALA >ONIVA07G26110.2 pep chromosome:AWHD00000000:7:23757453:23763430:-1 gene:ONIVA07G26110 transcript:ONIVA07G26110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription repressor VAL2 [Source:Projected from Arabidopsis thaliana (AT4G32010) UniProtKB/Swiss-Prot;Acc:Q5CCK4] MAGMAAAAAALVAAKRCMNAACGAPAPSPAGGEWRKGWPLRSGGFAVLCDKCGLAYEQLVFCDIFHQKESGWRDCSFCGKRLHCGCIASKNSFDLLDSGGVQCVTCIKNSAVQSVPSPVVPKLFSSQNNQRLFGKSDDLLSGRPLETSSLMVDARNDDLTIIAKNNLPFMVKNVEAGQSSNILRQKELENGARQIKWELPTLSIGDMGRIPFLTRSQSALESRRDENKDPTTESTTSESLSEACLNMSLGIASNGNKLEATSTVERPMLSPTTGFPEGRELTTALSPFQHAQRARHFLTRPPRVGEGAVFDPTKDMLPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDAKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSILGDTLFSSTNENLAIVSGYSGFLQSIKGAADLHTSSIYDHHVNSADGDVSWLKTDKFGSRPDEGSLQFLKRGRNIGSKSRRLSMDAEEAWELKLYWDEVQELLRPAPTAKPTVVMIEDYEIEEYDEPPVFAKRSIFTIRSTGEQDQWIQCDDCSKWRRLPLNVIVASKWTCADNTIDSKSCSCSAPEELTPKELHIVLQQYEDMRRRRNSFGFKQNIPEMDAVSLDAFATAAVYGDVGNQGSPSVATTTKHPRHRAGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKKIAWMNRDEPEGSSLSRSPQTVDTTRDGDVTMFDKVDINKGHIDLNFHPTAVRDEERHGGQPRVSMVSLLEVANRPLENYMKQNGLTSLAGEQGSSSTCTGAATVPQPALVESEERTSNNDGGRVATAEQPESMAVDEAGDNQPDKAAGDSAAALA >ONIVA07G26100.1 pep chromosome:AWHD00000000:7:23749105:23752918:-1 gene:ONIVA07G26100 transcript:ONIVA07G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPKPGDQPSPGRSPNPNLNLPCPLPPIPSCGGGGGGAGPTPPPPPPPPHHRRARSEVAFRFPDDLGLGGGADGGGFDEIGSEDDLFSTFMDMEKIAGADRDRAAETSSPPRPTKHRHSASFDGFAFGAGAGGPGPGLGKQQDGAGGVFSEVMEAKKAMSSEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKIATGEMAKSNDAYNTGMQQVPYSPSFFQLSDQHAVQHHAGVQQLPHQFQQPHPSVPSHQMLSHPNSLSDMMQQDSLGRLQGLDIGKGPVAVKNEAEVVVKSEGSSISAGESNSTF >ONIVA07G26090.1 pep chromosome:AWHD00000000:7:23739581:23745106:-1 gene:ONIVA07G26090 transcript:ONIVA07G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRGRRDYGGGWWSASGGSGRMTLAAVMATRAPRPAFIRREAIRAAEAAADEVVLRVQPTEEAERTRQGIIGYLKLLFGTALGCEVFAFGSVPLKTYLPDGDIDITILGNTAPDSTFISEVRGILELEEQEDGADVAITGLQFIDAEVKLIKCVIDNIVVDISFNQIGGVTTLCLLELVDHEVGNDHLFKRSIMLIKAWCYHESHILGAHRGLISTYALEVLVLYIFNIFHKSLHSPLEVLYKFLEYFSKFDWDKYCISLNGPVPLSSLPNLTGESYSVEPSGIHDELLFGPNGSCDRLIVLKKDSDGSNMNFRPKYLNIIDPIKSSNNLGRSVSKGSFYRIRGAFSFGAQNLSQILMLPTDLIPTEIFGFFVNTLKSHGRGKRSDVGNNGSFEPSLDPESEYALWEDSSDVKESDMSEDENRSPDLQRTSDSCFYNKVSGDSFSSHSPFSQEKGNNMKRHYDCAREEYLPLGRSSMEQHIYANNQSQILTPSTRINTLDVSNSCPAETNRSDLHEEKLPLSHFSPSNLLDLSGDLDLHLECLRKVQYHLESMFDWLIQEASFSGAVNNDSFNIPTQSSFSNTDGRALRPLLVSSAYTQRGNLSRVYCSHSTREISQKSVSRTEVQVNAVCQQNVALPSGTNNRLALPPSPVADSEKSPVSPLHNTVDIVGTHGAGMHTLNNVSLLSGTDVLSNAFAQLSFPAVNSVDYKYCWSYTTTNNRATSSQKTNRGKGGTGTYIPRMNYHTYKERIFYYNGRSQREMLPDRPFKIKTNPIGYIRRRSSPEMGCSSSSNGGITFENTSHTPSKKQDHSSKSTVTAEGSFAQERAPASQEWNICTNMNMVDSQKPGNDEDLVRPNNESRELRTLHPSEVQNREMTASSSSSVELPHCVGNGLQESNTSQPSSPATEASSPIKTTLVEGLEFGSFEPILGTSFLCEKFCEEFPPLPARKWPAVAAVSTPVTVSSSPAETGSKPEGLYQLRDEADFPPLKAGARNGFNHRVGR >ONIVA07G26080.1 pep chromosome:AWHD00000000:7:23733407:23738745:1 gene:ONIVA07G26080 transcript:ONIVA07G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Melibiase family protein [Source:Projected from Arabidopsis thaliana (AT3G56310) TAIR;Acc:AT3G56310] MEAPRLRLRLPFLLLVALVVSPPAVAAAASRMRIEPLPTAALRRLYDTSNYGKLQLNNGLALTPQMGWNSWNFFACNINETVIRDTADALVSTGLADLGYNYDQLLPDPKTFPSGIKDLADYVHGKGLKLGIYSDAGIFTCQVRPGSLHHEKDDAAIFASWGVDYLKYDNCYNLGIKPKDRYPPMRDALNSTGRQIFYSLCEWGQDDPALWAGKVGNSWRTTDDIQDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTFAEYRAHFSIWALMKASTHWAPLLIGCDVRNMTKETMEILSNKEVIQVNQDPLGVQGRRILGQGKNGCQEVWAGPLSGNRLAVVLWNRCEESANIIVKLPSIGLDGSSPYSVRDLWKHETLSENVVGTFGAQVDVHDCKMYIFTLAVTVASS >ONIVA07G26080.2 pep chromosome:AWHD00000000:7:23733407:23738745:1 gene:ONIVA07G26080 transcript:ONIVA07G26080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Melibiase family protein [Source:Projected from Arabidopsis thaliana (AT3G56310) TAIR;Acc:AT3G56310] MEAPRLRLRLPFLLLVALVVSPPAVAAAASRMRIEPLPTAALRRLYDTSNYGKLQLNNGLALTPQMGWNSWNFFACNINETVIRDTADALVSTGLADLGYNYDQLLPDPKTFPSGIKDLADYVHGKGLKLGIYSDAGIFTCQVRPGSLHHEKDDAAIFASWGVDYLKYDNCYNLGIKPKDRYPPMRDALNSTGRQIFYSLCEWGQDDPALWAGKVGNSWRTTDDIQDTWKRITSGHHMLDPVDGMAPLLIGCDVRNMTKETMEILSNKEVIQVNQDPLGVQGRRILGQGKNGCQEVWAGPLSGNRLAVVLWNRCEESANIIVKLPSIGLDGSSPYSVRDLWKHETLSENVVGTFGAQVDVHDCKMYIFTLAVTVASS >ONIVA07G26070.1 pep chromosome:AWHD00000000:7:23728777:23729595:1 gene:ONIVA07G26070 transcript:ONIVA07G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSSWLFHKLRRRRSAARGEPDVVEAASKKQQPPMAAAAAAAPCSPSPNRASYYFASRERCLPPARAATDNHKLRDTRFPRSPQPNDDIVFDVVAVSASPARGRFDGMKAMPELKLRPILTKRATAKNDGDEGDALDSGTSAAASPTTRVRRFVHHAKPSSGRRKGRVAALPADATSRRRRRRRRRCRWLYESLVVVKESADPEEDFLESMAEMIAANDVRSPRDLEELLACYLALNAAEHHRAIVGAFRRAWLHAAAATAAPPSPSPIK >ONIVA07G26060.1 pep chromosome:AWHD00000000:7:23720692:23721321:-1 gene:ONIVA07G26060 transcript:ONIVA07G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSCCLQCNPCRGMLALFKRRPRALLRRAVGKMNSSRRRRRRAAGSFSSVRAVFWPLMSMRSDADRNDVAAADRPPASSSTDDDSGGGGLRAPSPSLDTPASTTAGRVLALQAQLGEAAAASTKPSSGGDDGVEEACRSFEKHLMEMLVEERKVRDLMDVEELLCCWEKLRSPVFVQLVGRFYGELCMDLFSGRDTDVSSDSEDLSL >ONIVA07G26050.1 pep chromosome:AWHD00000000:7:23710214:23713844:-1 gene:ONIVA07G26050 transcript:ONIVA07G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5Q0] MDPEFGRGMAPRKREPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSESNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKLEYPPEVANRSRIKEWLEKHKTLQTALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSRDQHEYAVIPITCVILVFLFALQHYGTHRVGFLFAPIILAWLICMSMLGLYNIIHWNPQVYRSLNPYYMLKFLRKTKKSGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTTLVYPALILGYMGQAAYLSKHHTLNSTYQIGYYISVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSENIHGQIYIPEINWLLMVLCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWHRSPALALVFFLFFGSIEVLYFSASLIKFREGAWLPIMLALILMAVMFIWHHTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPAGHRSYRCIVRYGYRDVHQDVDSFEAELVESLATFIKLDALYHRCSDAGSGSEQLDDGRYERENALTVIGTNPLRRCLSYEASHDGVSSVDAARSPNGIVEVPAAAAAAAAPVTKKVRFVVEAASPEVEKGVVEELQELCEAREAGTAFILGHSHVQTKPGSSLLKKLAVGVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >ONIVA07G26040.1 pep chromosome:AWHD00000000:7:23709058:23709493:-1 gene:ONIVA07G26040 transcript:ONIVA07G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLSSSFFTVSLRLLSLSPSSPLSPALGLSSAPPSTSRGGEEVAWGRRLGTTPTTSRRRPTAWAGEEATPTRGGKEAASAASAGDVCICSADSLDLHASQRTSPSSLARRANSRGSRRLDAERPSATIGGGSGDL >ONIVA07G26030.1 pep chromosome:AWHD00000000:7:23702645:23706500:-1 gene:ONIVA07G26030 transcript:ONIVA07G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRRARLSSSSLDLRVREAKATREPVPFEKEREARGRQSADAGGPQVPLPSDAGRRTLRSMPRLRTVASASAFVSSKMASCVGALLASKVKAPRRDWFNLSGGSGYEQVKGQSQRATQEGYRCRAAVGKGPTVGPTNCAISVPFALALINHRTNGSTYSPGESRKHHAFPGTDYSDSDASKVRKAMIAAANRHQNFDSENAVSQQQCADGPRD >ONIVA07G26020.1 pep chromosome:AWHD00000000:7:23696070:23696871:-1 gene:ONIVA07G26020 transcript:ONIVA07G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISISISISARTKAKPIPLPPLFLLLTPSRDCGEGESPVVRISVYRRRRRRRWVFFASSDNY >ONIVA07G26010.1 pep chromosome:AWHD00000000:7:23694433:23695764:-1 gene:ONIVA07G26010 transcript:ONIVA07G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5P6] MAEQRGNMLMKKYEMGRLLGQGTFAKVYHARNTETSESVAIKMIDKEKVLKGGLMDQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEHVKGGELFNKVQRGRLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDENSNLKVSDFGLSALADCKRQDGLLHTTCGTPAYVAPEVINRRGYDGAKADIWSCGVILFVLLAGYLPFHDKNLMDMYKKIGKAEFKCPSWFNTDVRRLLLRILDPNPSTRISMDKIMENPWFRKGLDAKLLRYNLQPKDAIPVDMSTDFDSFNSAPTLEKKPSNLNAFDIISLSTGLDLSGMFEESDKKESKFTSTSTASTIISKIEDIAKGLRLKLTKKDGGLLKMEGSKPGRKGVMGIDAEIFEVTPNFHLVELKKTNGDTLEYRKVLNQEMRPALKDIVWAWQGEQPKHQQQPTC >ONIVA07G26000.1 pep chromosome:AWHD00000000:7:23674150:23675481:1 gene:ONIVA07G26000 transcript:ONIVA07G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5P5] MPPSTGSVPPAASTPAAGDEAAAAGRVLLGRYELGGLLGRGASAKVYLARDLLTGRDVAIKSFPNPRHGGGLRGGEEDVLLRPAPIEREAAILPRLRHRHVMRLREILATRKKVHFVLDLAAGGELFSLLDASGRMTEDLARHYFRQLISAVRYCHSRGVYHRDIKPENLLLDDAGDLKVADFGLGAVADGALHHTLCGTPAYVAPEILSRKGYNPAKVDIWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGKFRCPAWFSPELRCLVRRILDPNPATRIDTEEIITHPWFRQDASHFAMAQLMQHGHDEEAKFKTEFKEDDMARDMTAFDILACSPGSDLSGLFGAEPGKERVFVGEPAAAVLSRVEEAGKKEGYMVTREGKKGTGPVYVKGENGGIVAKVCVFKIADAVSVVEVVKGYGAEAARFWKARLEPAMKPPAAI >ONIVA07G25990.1 pep chromosome:AWHD00000000:7:23661913:23663979:1 gene:ONIVA07G25990 transcript:ONIVA07G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEEAPAGSGGGGGGRAEIDTSAPFESVREAVDHFGGGAAAVWSSCLVNRMLTPPKEHDQMNGQTLQLEKELIIKESQTLDVLKELESSKRIISDLKLKVQNDSAITGHPGQTEAPGAGPEERRSSENVETDGELGGLDSQSLQPPSSVLMQLEQAKAYLTRTTADLAEIRASVESLCNEIAKEKILVERSREKVCSNTSLISSLEGELDRTTHKLQTLNDRQRRREDSSHILMEIKKVTSEIEQLKSASNASKSEATMLAAEIEQTKASIATAEVRCLAAKKMEDAARAAEALALAEIKALLSGEASAGDLQGTDGVNLSLEEYFELASKAQECDVSSRKKIEAAMLQVEEANRSKSNSLNKLEEAKLEFEKCKIALQDALKRAHAANRGKLAVEESVRRWLSESGYKRHSFHDSSKLKNAADITDVSKSFLKPTLSIGQILNLKLMGPDGYDKSVLDDTTEASNVSLGQILNRRNAVFCNSDITSQKILSGKRKKFAFTGLSVLLAKQAKRQEQEK >ONIVA07G25980.1 pep chromosome:AWHD00000000:7:23653915:23655661:-1 gene:ONIVA07G25980 transcript:ONIVA07G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5P3] MAPFLVVFAVMAAAFASASGSGELTPDFYSETCPQALTTIKLVVGAAILKEPRMGASLVRMHFHDCFVNGCDGSVLLDDTDDMIGEKLAKPNNMSLRGFDVIDAIKVAVNTACLGNVVSCADILAVAARDSIVAVLLGRRDATTASIDDANDDIPNPFMDLPDLVDNFESHGLSLQDLVVLSGGHTLGYSRCLFFRSRLYNETDTLDPAYAAALEEQCPIVGDDEALASLDDTPTTVDTDYYQGLTQGRALLHTDQQLYQGGGGGDSDELVKYYGENPDKFWEDFGAAMVKMGNISPLTGDDGEIRENCRVVNQ >ONIVA07G25960.1 pep chromosome:AWHD00000000:7:23628638:23648976:1 gene:ONIVA07G25960 transcript:ONIVA07G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5P0] MASSLSVAVLLCLAAAAAAQLSPTFYDTSCPRALATIKSAVTAAVNNEPRMGASLLRLHFHDCFGCDASVLLADTATFTGEQNALPNKNSLRGFNVVDSIKTQLEGICSQTVSCADILAVAARDSVVALGGPSWTVGLGRRDSTTASMDSANNDLPPPFFDLENLIKAFGDKGFSVTDMVALSGAHTIGQAQCTNFRGRIYNETNIDAGYAASLRANCPPTAGTGDSNLAALDTTTPYSFDNAYYSNLLSNKGLLHSDQVLFNGNSTDNTVRNFASNRAAFSSAFSSAMVKMANLGPLTGSQGQIRLSCSKGCDASVLLSGQEQNAGPNVGSLRGFSVIDNAKARVEAICNQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTASEALANTDLPAPSSSLAELIGNFSRKGLDATDMVALSGAHTIGQAQCQNFRDRIYNETNIDSAFATQRQANCPRPTGSGDSNLAALDTTTPNAFDNAYYSNLLSNKGLLHSDQVLFNGGSADNTVRNFASNAAAFSSAFTTAMVKMGNISPLTGTQGQIRLSCSKGCDASVLLSGQEQNAGPNAGSLRGFNVVDNIKTQVEAICSQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTANESQANTDLPAPSSSLAELIGNFSRKGLDVTDMVALSGAHTIGQAQCQNFRDRLYNETNIDSSFATALKANCPRPTGSGDSNLAPLDTTTPNAFDSAYYTNLLSNKGLLHSDQVLFNGGSTDNTVRNFSSNTAAFNSAFTAAMVKMGNISPLTGTQGQIRLNCSKCALFQRVVMASASSLGLLLMLAALVSTATAHLSPTFYDTSCPRAMSIIKSTVTAAVNNEPRMGASLLRLHFHDCFVQARRKVANRFACLSDYGCDASILLAGNERNAAPNFSVRGYDVIDSIKTQIEAVCKQTVSCADILTVAARDSVVALGGPSWSVPLGRRDSTGAATAAQVISSLAPSTDSLTQLISAYASKGLSATDLVALSGAHTIGMARCRGFRTRLYNETNIDAAFAAALKANCPATPGSGDGNLAPLDTTTPTAFDNAYYRNLLSNKGLLHSDQELFSNGSTDNTVRSFASSAAAFGAAFATAMVKMGNISPLTGTQGQIRLICSAVNS >ONIVA07G25960.2 pep chromosome:AWHD00000000:7:23628638:23648976:1 gene:ONIVA07G25960 transcript:ONIVA07G25960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5P0] MASSLSVAVLLCLAAAAAAQLSPTFYDTSCPRALATIKSAVTAAVNNEPRMGASLLRLHFHDCFGCDASVLLADTATFTGEQNALPNKNSLRGFNVVDSIKTQLEGICSQTVSCADILAVAARDSVVALGGPSWTVGLGRRDSTTASMDSANNDLPPPFFDLENLIKAFGDKGFSVTDMVALSGAHTIGQAQCTNFRGRIYNETNIDAGYAASLRANCPPTAGTGDSNLAALDTTTPYSFDNAYYSNLLSNKGLLHSDQVLFNGNSTDNTVRNFASNRAAFSSAFSSAMVKMANLGPLTGSQGQIRLSCSKGCDASVLLSGQEQNAGPNVGSLRGFSVIDNAKARVEAICNQTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTASEALANTDLPAPSSSLAELIGNFSRKGLDATDMVALSGAHTIGQAQCQNFRDRLYNETNIDSSFATALKANCPRPTGSGDSNLAPLDTTTPNAFDSAYYTNLLSNKGLLHSDQVLFNGGSTDNTVRNFSSNTAAFNSAFTAAMVKMGNISPLTGTQGQIRLNCSKCALFQRVVMASASSLGLLLMLAALVSTATAHLSPTFYDTSCPRAMSIIKSTVTAAVNNEPRMGASLLRLHFHDCFVQARFHLTNHPGCDASILLAGNERNAAPNFSVRGYDVIDSIKTQIEAVCKQTVSCADILTVAARDSVVALGGPSWSVPLGRRDSTGAATAAQVISSLAPSTDSLTQLISAYASKGLSATDLVALSGAHTIGMARCRGFRTRLYNETNIDAAFAAALKANCPATPGSGDGNLAPLDTTTPTAFDNAYYRNLLSNKGLLHSDQELFSNGSTDNTVRSFASSAAAFGAAFATAMVKMGNISPLTGTQGQIRLICSAVNS >ONIVA07G25960.3 pep chromosome:AWHD00000000:7:23628638:23648976:1 gene:ONIVA07G25960 transcript:ONIVA07G25960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5P0] MASSLSVAVLLCLAAAAAAQLSPTFYDTSCPRALATIKSAVTAAVNNEPRMGASLLRLHFHDCFGCDASVLLADTATFTGEQNALPNKNSLRGFNVVDSIKTQLEGICSQTVSCADILAVAARDSVVALGGPSWTVGLGRRDSTTASMDSANNDLPPPFFDLENLIKAFGDKGFSVTDMVALSGAHTIGQAQCQNFRDRLYNETNIDSSFATALKANCPRPTGSGDSNLAPLDTTTPNAFDSAYYTNLLSNKGLLHSDQVLFNGGSTDNTVRNFSSNTAAFNSAFTAAMVKMGNISPLTGTQGQIRLNCSKCALFQRVVMASASSLGLLLMLAALVSTATAHLSPTFYDTSCPRAMSIIKSTVTAAVNNEPRMGASLLRLHFHDCFVQARFHLTNHPGCDASILLAGNERNAAPNFSVRGYDVIDSIKTQIEAVCKQTVSCADILTVAARDSVVALGGPSWSVPLGRRDSTGAATAAQVISSLAPSTDSLTQLISAYASKGLSATDLVALSGAHTIGMARCRGFRTRLYNETNIDAAFAAALKANCPATPGSGDGNLAPLDTTTPTAFDNAYYRNLLSNKGLLHSDQELFSNGSTDNTVRSFASSAAAFGAAFATAMVKMGNISPLTGTQGQIRLICSAVNS >ONIVA07G25940.1 pep chromosome:AWHD00000000:7:23619163:23619636:1 gene:ONIVA07G25940 transcript:ONIVA07G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAGDSGSGDYGDGRQLATAATAMAVRARRRSSWRCRRRGPPDLGVPAVAMRLVAAATAAGDSGGGDCGGRRRLATPTAAMATTLMAAVGDATPVDGAAAVAATALAVAGGCGSDCGVGIDRQWQLVGSGIVGCRWRRRTWRRRRGGCWLRGGGG >ONIVA07G25930.1 pep chromosome:AWHD00000000:7:23614126:23616028:1 gene:ONIVA07G25930 transcript:ONIVA07G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRERKKAAALHEKLQILRSITHSHALSNTSIITDASEYIKELKQKVVRLNKEIACAEAAALRQNSIPTVTVETLGHGFLINVFSDKSCPGLLVSILEAFDELGLNVLEATASCDDTFRLEAVGGENQVDEHVIKQTVLQAISNSNCSESSGDQEG >ONIVA07G25920.1 pep chromosome:AWHD00000000:7:23602339:23607614:1 gene:ONIVA07G25920 transcript:ONIVA07G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGQKRIKESLDVKYGSIKKSQTKQLKWVATRHVFANRYVMINMNTVSIGIEDRKCSVIWAASGILRETNPSLPPRTSSSPRKPHRLPPLHSPPDAAMLRRAIGLPAAAGRAASSRWRGFLTVSFDLEDGKHERAEFKLSVPWGSASASPSGDAIERAVSSEGCKVDTSRLTMNSMGKGGVIASSVLMTATNFDASKARSRRGRGRQMNLVDIHIWPQESRAAATLMRKNDKSRLAWHSTWSFDMESTNSPRGKSLLTSNLSDKTQDLQYKGFICNEIANEFEVSLKNHFSIHQTLGERKVKCSDAVLRKVPIDLLLRDVMFQESMLMKSPVLLMIIWTEKKMETLMKLEFFKEAVLGINYLHQKGFIHRDIKPSNIFLDNLNNVKIGDFGSGAFMGSASCLGRGNRFWGTQFHAPPELWNMHTHSEKTDVFSLGILYFELFGGPTSSNGRYKRLEKLESILNSSKWKTNPLQTWLNCNIYKGWGGKVPVLIQMLQISPRLRPACRDILLMLS >ONIVA07G25910.1 pep chromosome:AWHD00000000:7:23595701:23603404:-1 gene:ONIVA07G25910 transcript:ONIVA07G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREAVRFPRRRRSAGWEGGQHAHTRRGGCLDVRASGGVGPGGSERRDEPGERDGVLRARSKWAQGLFWWGPVVQRGYRYRCEVSVCPVQSKSEVTRRRRRRQFGEPADAAWVCSNWEAPAAGAGAAGGAAEVAVRHHRALPTGAPTKDSGLLSAWELEQDAIKGSHSTVSCMAQISDVIENEEGQSFGCFLTPGILCKLREANDYVGKGMGGGEMVVAPVDFELFYRY >ONIVA07G25910.2 pep chromosome:AWHD00000000:7:23595720:23603404:-1 gene:ONIVA07G25910 transcript:ONIVA07G25910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREAVRFPRRRRSAGWEGGQHAHTRRGGCLDVRASGGVGPGGSERRDEPGERDGVLRARSKWAQGLFWWGPVVQRGYRYRCEVSVCPVQSKSEVTRRRRRRQFGEPADAAWVCSNWEAPAAGAGAAGGAAEVAVRHHRALPTGAPTKDSGLLSAWRDTQGKVISDVIENEEGQSFGCFLTPGILCKLREANDYVGKF >ONIVA07G25910.3 pep chromosome:AWHD00000000:7:23595720:23603404:-1 gene:ONIVA07G25910 transcript:ONIVA07G25910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREAVRFPRRRRSAGWEGGQHAHTRRGGCLDVRASGGVGPGGSERRDEPGERDGVLRARSKWAQGLFWWGPVVQRGYRYRCEVSVCPVQSKSEVTRRRRRRQFGEPADAAWVCSNWEAPAAGAGAAGGAAEVAVRHHRALPTGAPTKDSGLLSAWELEQDAIKGSHSTVSCMAQISDVIENEEGQSFGCFLTPGILCKLREANDYVGKF >ONIVA07G25900.1 pep chromosome:AWHD00000000:7:23595002:23595510:-1 gene:ONIVA07G25900 transcript:ONIVA07G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHAVAPVLLCCLATRSCTARHGAVRELSSDPRGAAVMQTMTDRTWFLVRHKLWKRDYVGCGCSGGDGETMSALDFATGINENRVSSAAVNGGNTTILDYEKYQ >ONIVA07G25890.1 pep chromosome:AWHD00000000:7:23565180:23573372:-1 gene:ONIVA07G25890 transcript:ONIVA07G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAFGLVGKTWKRTPTMSVEASASPRRRSQMPQQQLCHLHGSTPSQRQKFPEEQLPGDTVEGSFDLRFAALKSIGEDRVNDRELKLLLKKKHAPICYVWCDPCPWMHISQGIMKTLNINKMVESGFKVKILMADWFSQMNSEISGNLNKMRTVGRYNIEMWKATGMALDKVDLVWLSDEIRRHGDEYWPIVMDIARTNSVRRIKRVISLESLLIEHRMLDQYMQCASILFQKADIWLLGMEQHDANLLARQYYKHFKKKNKAIAVLDNMLPNLLQYPQMENRRHPAWAIFMEDDKEDICFKMEKAFCPPKLAEGNPCLEYIKYIILPWFGMFEVVQKKGNGGKKTFLSMEELTADYESGALHPADVKLALEKSLNEILQPVRDHFGRNGEAKDLVEAIGECYGAD >ONIVA07G25890.2 pep chromosome:AWHD00000000:7:23565180:23573372:-1 gene:ONIVA07G25890 transcript:ONIVA07G25890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAFGLVGKTWKRTPTMSVEASASPRRRSQMPQQQLCHLHGSTPSQRQKFPEEQLPGDTVEGSFDLRFAALKSIGEDRVNDRELKYNIEMWKATGMALDKVDLVWLSDEIRRHGDEYWPIVMDIARTNSCASILFQKADIWLLGMEQHDANLLARQYYKHFKKKNKAIAVLDNMLPNLLQYPQMENRRHPAWAIFMEDDKEDICFKMEKAFCPPKLAEGNPCLEYIKYIILPWFGMFEVVQKKGNGGKKTFLSMEELTADYESGALHPADVKLALEKSLNEILQPVRDHFGRNGEAKDLVEAIGECYGAD >ONIVA07G25890.3 pep chromosome:AWHD00000000:7:23565180:23573372:-1 gene:ONIVA07G25890 transcript:ONIVA07G25890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAFGLVGKTWKRTPTMSVEASASPRRRSQMPQQQLCHLHGSTPSQRQKFPEEQLPGDTVEGSFDLRFAALKSIGEDRVNDRELKLLLKKKHAPICYVWCDPCPWMHISQGIMKTLNINKMVESGFKVKILMADWFSQMNSEISGNLNKMRTVGRYNIEMWKATGMALDKVDLVWLSDEIRRHGDEYWPIVMDIARTNSCASILFQKADIWLLGMEQHDANLLARQYYKHFKKKNKAIAVLDNMLPNLLQYPQMENRRHPAWAIFMEDDKEDICFKMEKAFCPPKLAEGNPCLEYIKYIILPWFGMFEVVQKKGNGGKKTFLSMEELTADYESGALHPADVKLALEKSLNEILQPVRDHFGRNGEAKDLVEAIGECYGAD >ONIVA07G25890.4 pep chromosome:AWHD00000000:7:23565180:23573372:-1 gene:ONIVA07G25890 transcript:ONIVA07G25890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAFGLVGKTWKRTPTMSVEASASPRRRSQMPQQQLCHLHGSTPSQRQKFPEEQLPGDTVEGSFDLRFAALKSIGEDRVNDRELKLLLKKKHAPICYVWCDPCPWMHISQGIMKTLNINKMVESGFKVKILMADWFSQMNSEISGNLNKMRTVGRYNIEMWKATGMALDKVDLVWLSDEIRRHGDEYWPIVMDIARTNSVRRIKSVLAYYFRRRHPAWAIFMEDDKEDICFKMEKAFCPPKLAEGNPCLEYIKYIILPWFGMFEVVQKKGNGGKKTFLSMEELTADYESGALHPADVKLALEKSLNEILQPVRDHFGRNGEAKDLVEAIGECYGAD >ONIVA07G25890.5 pep chromosome:AWHD00000000:7:23569375:23573372:-1 gene:ONIVA07G25890 transcript:ONIVA07G25890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAFGLVGKTWKRTPTMSVEASASPRRRSQMPQQQLCHLHGSTPSQRQKFPEEQLPGDTVEGSFDLRFAALKSIGEDRVNDRELKLLLKKKHAPICYVWCDPCPWMHISQGIMKTLNINKMVESGFKVKILMADWFSQMNSEISGNLNKMRTVGRYNIEMWKATGMALDKVDLVWLSDEIRRHGDEYWPIVMDIARTNSVRRIKRVISLESLLIEHRMLDQYMQCASILFQKADIWLLGMEQHDANLLARQYYKHFKKKNKAIAVLDSIFSLLYVLFQVVTCACAFGIWFLDGGTYMPRHLHKVHAPKHTRVHILNSNYRYAS >ONIVA07G25890.6 pep chromosome:AWHD00000000:7:23569375:23573372:-1 gene:ONIVA07G25890 transcript:ONIVA07G25890.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAFGLVGKTWKRTPTMSVEASASPRRRSQMPQQQLCHLHGSTPSQRQKFPEEQLPGDTVEGSFDLRFAALKSIGEDRVNDRELKLLLKKKHAPICYVWCDPCPWMHISQGIMKTLNINKMVESGFKVKILMADWFSQMNSEISGNLNKMRTVGRYNIEMWKATGMALDKVDLVWLSDEIRRHGDEYWPIVMDIARTNSCASILFQKADIWLLGMEQHDANLLARQYYKHFKKKNKAIAVLDSIFSLLYVLFQVVTCACAFGIWFLDGGTYMPRHLHKVHAPKHTRVHILNSNYRYAS >ONIVA07G25890.7 pep chromosome:AWHD00000000:7:23565182:23569357:-1 gene:ONIVA07G25890 transcript:ONIVA07G25890.7 gene_biotype:protein_coding transcript_biotype:protein_coding MENRRHPAWAIFMEDDKEDICFKMEKAFCPPKLAEGNPCLEYIKYIILPWFGMFEVVQKKGNGGKKTFLSMEELTADYESGALHPADVKLALEKSLNEILQPVRDHFGRNGEAKDLVEAIGECYGAD >ONIVA07G25880.1 pep chromosome:AWHD00000000:7:23527212:23527962:-1 gene:ONIVA07G25880 transcript:ONIVA07G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSLLDALDIVFTVVTIGLVVIKGTSVVPRKRNIHVFNVLVAFWALGLIALKMLNFCYQRTGLPEKMFSPTAIHLIEIVLTLTVLGILLFLDEIEKKKKERRDRASLQGARPSAPFFLVGLAITGYGMAVFFAGGSPSAFLLGDFGVYYIIIGLIVIITGLKRAGAQSDNEGRGVGVSVLVYILVLLVATAITGKIDPRLYYCDKVV >ONIVA07G25870.1 pep chromosome:AWHD00000000:7:23523516:23524362:-1 gene:ONIVA07G25870 transcript:ONIVA07G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSLLDELDIMFTVIGFGLVVITANFVVLPHRDIKLLPVVVAIWALGFIAGKIAYKQEMLIHLIEIATLSAILLVLARPAGVDLQDGLRMVIELARPSAPFFLAGLAITGYGMGVCFSGCSLGVFGVYFIIGLIVIIAGSKHARAQGDEHGLRAVSYILIYILVLLVATSIIGNL >ONIVA07G25860.1 pep chromosome:AWHD00000000:7:23505041:23507982:-1 gene:ONIVA07G25860 transcript:ONIVA07G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKSIVWQELSSHLGALQCQMADVEKRLSYWSDPEKVENIDHIRAMEQSLKESLNRIRIHKENFAKQHLMSLQCAAAQFQNDMQLPLGLTGDPNTSSWFHGGGGAEAQQPMMLPEDPSLLHQRDIGCSASTSLQSYPGYFSMGKQSTDNAGGGEQHHHAAVQQQPEFSQADCLTSLQLGAQFPYPSAFDNAGLLSDRLFDNAAAAAAAMDFGGHYDLPRPGDEASFQNWASAACGATMYDHQQQQQQQQQPAQIMTESLTPISSLQQRL >ONIVA07G25850.1 pep chromosome:AWHD00000000:7:23499020:23503427:1 gene:ONIVA07G25850 transcript:ONIVA07G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLVVVALVLLAVVELVHGDGWRWAPGSRPHSVTITEFGAVGDGKTLNTLPFQNAVFYARSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLEEEAVIIGTKDPSQWPIVEPLPSYGQGLDLPGPRHRSLINGYNLSDVVITGNNGVIDGQGSVWWDWLHSHELNHSRPHIVEFLHSEEVVISNLTFLNSPAWSIHPVYCSNVKVHNVTIKTSLDAPLTDGIVPDSCSNVCIEDSSISVGHDAISLKSGWDNYGISFGRPTSDIHISRVDLQASSGAALAIGSEMSGGISDIHVDHIRIGSSSKGISFRTTPGRGGYIAEVVVADVVMDSVHLAIEFTGNWSSHPDDHFDPSFLPVIDQITLKNMEGTNISVAGVLSGIEGDPFSAICLSNLNFSIADSAPSSAWTCSNVHGYSELVFPKPCSELHDTSTNSSICFSLSSYSALAVL >ONIVA07G25840.1 pep chromosome:AWHD00000000:7:23482173:23489142:-1 gene:ONIVA07G25840 transcript:ONIVA07G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGVASAAAAATAVLVYVALSSSGSGGEAARLRRPEEEDEEESKKRWPERAPASWWEAAAVAARTVGFTYRETLGRWPLGDIAFGIRHYMRIQGNLQHEYTGRSCVPLEGPVTRQELIAILRYLRLCMFFAKKPYEVFLEFGGYGQSDILIRKSKARVMKPSFTIVRDKSTKSFILFIRGATSVKDRLTAATAAEVPFHHVVLKEGRVSNVVVGHVHCGMVAAARWIADQAIPCLSRAVEQFPDYRIKIIGHSMGAGIAAILTYMLRENKKLSSSSCIAFGPAACMTWDLAESGKEFVTTVVNRNDLVPSFGKVSAANLHTEVIGSSWAHDLLEQIQQARILGSVNRSVTFMQSQFPFISNPRSKVADVDLMLSGTSEAETILSVDARAAIKKHSTLSFWSSAPSNRKTLESSLMNPTQSIAALMSTYVGTDKDTEKHKNQNSDTKELYRQDKEADAEKNLERFLEALRSSPSASQDPLQFYPPGRIMHMVVLPSPKEPSSIDQSSQDECVALYETPRSMYSKIRLARSMIRDHYMPRYIKDVLTLVTKRFFREHNMRGSHNKDVLTFGRGSRERSSEQLRWRGKEDELADTHGAVTSLLSRAYGALATGSPLKARAREVAERQGRYGVTALPPPAPAIISSQMNKHRLDDQQQQPDLERRRMIDEREITLNEKEDEEQAKQIEVISILGPRGTGKTTLARKVYDMYRNKSPSSATNTPPDAERHWRPWLQPSHLIFPRLLQTSAAGKTLQSWRLACPPRRSLRATLETNTK >ONIVA07G25830.1 pep chromosome:AWHD00000000:7:23457300:23458271:-1 gene:ONIVA07G25830 transcript:ONIVA07G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSLLYTLDIMFTVIAIGIVVIMGAFVPRRCRDYILVFNVLAALSALGLIKAKMVYGAQMFLAAILHLVEIVTILYTFRKELVLLRSNKASLRELVPRRSDEASLQGEARLQEVVLQISDEASLQDKASLQDVLLKVIERARPWAPFFLAGLVSTAYGMVIVFFAGGRPASAFFLGDFGVCFILVGLIVTIARSQHERTQDDRGLWAGTSLVFYILVLLLAAFIGNRTSA >ONIVA07G25820.1 pep chromosome:AWHD00000000:7:23447340:23448101:1 gene:ONIVA07G25820 transcript:ONIVA07G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARAVRLIASRPPSTNLRSLVVVPGRRRLLSTSTEAGGAGDPSVHSGDPPSDDYPDRPPKFSGAEEATGGGDHGKNPSTAAATPSESTKERVPPFAMSDKLGSQELADPAGGSSFTQKRRRSSSSRPADSREEATPGGEEAAGRKVREEDREYYQTHKPSPLAELEFADTRKPITRATDGGSAADRLSDVPGKVVEDTADDSLARAEAMFREAASRGNPEWPHSRALAEMLARRRGEGDAAGSRSSAPWGS >ONIVA07G25810.1 pep chromosome:AWHD00000000:7:23440546:23444123:-1 gene:ONIVA07G25810 transcript:ONIVA07G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5L8] MPPPRTKHRPLLLATTFLSLLLLLLLLSLLSTAHKAFAVADDDGHRARVLLAADGGERNADAEHAAAVDRHCAGTLHRDVCVSTLSTIPNLARKPLRDVISEVVGRAASAVRASSSNCTSYLQRPRQLRTRDRLALSDCLELFGHTLDLLGTAAAELSAGNSTAEESAAGVQTVLSAAMTNQYTCLDGFAGPSASEDGRVRPFIQGRIYHVAHLVSNSLAMVRRLPTQRRRGAEEEPLEGYGRVRRGFPSWVSASDRRRLQQQVKADVVVAKDGSGKFTTVSEAVAAAPNNSETRYVIYIKAGGYFENVEVGSEKTNIMFVGDGTWKTVIKASRNVVDNSTTFRSATLAVVGTGFLARDITVENAAGPSKHQAVALRVNADLSAFYRCSFAGYQDTLYAHSLRQFYRDCDIYGTVDFIFGDAAVVLQNCNLYARRPDPNQKNVFTAQGREDPNQNTGIAIQGCKVAAAADLVPVQANFSSYLGRPWKTYSRTVFLQSKIDSLIHPRGWLEWNGSFALDTLYYAEYMNRGDGADTSARVSWPGYHVLTNATDAANFTVLNFVQGDLWLNSSSFPYILGLS >ONIVA07G25800.1 pep chromosome:AWHD00000000:7:23433538:23441538:1 gene:ONIVA07G25800 transcript:ONIVA07G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA dehydrogenase-related [Source:Projected from Arabidopsis thaliana (AT3G06810) TAIR;Acc:AT3G06810] MLTSGLLRPVDDAHAIDEAALLRYAAEHVAGFPSPARGLALTQFGHGQSNPTYCIEASAPGGVTARYVLRKKPPGAILQSAHAVEREFQVLKALGTYTDVPVPKVFCLCTDASVIGTPFYIMEHLEGLIYPDNKLTGVTPTKRKTIYLAAAETLAAIHKVDVTAIGLQKYGRRDNYCKRQVERWGRQYLSSTGEGKPARYQKMLDLAHWLKEHIPKEDSSAGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDATTSTGYSYGGFEYTGIPDGIPPLEEYLAAYCSISARPWPAASWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERARFSGKIANAMVDRAWDIINRENVLREQPARGMHVSNGPSQEFQRKHEGSISTKDQGKFVPSEKVMQLRNKLMKFMEYYIYPMESEFYKRAHSTSRWTIHPEEEKLKALAKREGLWNLFIPLDSAARARELLFEDMSHGSPGSSEELLLGAGLTNLEYGYLCEIMGRSIWAPQIFNCGPPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGTKWWTSGAMDPRCQILVLMGKTDFSAPKHKQQSMILVDVKTPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKKIAQHGSFLADLAKCRVELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGGAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARM >ONIVA07G25800.2 pep chromosome:AWHD00000000:7:23433538:23441538:1 gene:ONIVA07G25800 transcript:ONIVA07G25800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA dehydrogenase-related [Source:Projected from Arabidopsis thaliana (AT3G06810) TAIR;Acc:AT3G06810] MLTSGLLRPVDDAHAIDEAALLRYAAEHVAGFPSPARGLALTQFGHGQSNPTYCIEASAPGGVTARYVLRKKPPGAILQSAHAVEREFQVLKALGTYTDVPVPKVFCLCTDASVIGTPFYIMEHLEGLIYPDNKLTGVTPTKRKTIYLAAAETLAAIHKVDVTAIGLQKYGRRDNYCKRQVERWGRQYLSSTGEGKPARYQKMLDLAHWLKEHIPKEDSSAGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDATTSTGYSYGGFEYTGIPDGIPPLEEYLAAYCSISARPWPAASWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERARFSGKIANAMVDRAWDIINRENVLREQPARGMHVSNGPSQEFQRKHEGSISTKDQGKFVPSEKVMQLRNKLMKFMEYYIYPMESEFYKRAHSTSRWTIHPEEEKLKALAKREGLWNLFIPLDSAARARELLFEDMSHGSPGSSEELLLGAGLTNLEYGYLCEIMGRSIWAPQIFNCGPPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISRRFLCDKWNEMVDQWGYGSKGKTDFSAPKHKQQSMILVDVKTPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKKIAQHGSFLADLAKCRVELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGGAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARM >ONIVA07G25790.1 pep chromosome:AWHD00000000:7:23429832:23432288:-1 gene:ONIVA07G25790 transcript:ONIVA07G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFYVVLSNDTAFTSVPELPALGKRLSVQEPQVNRASRGRYNSGGYQAVGGPRSLQRSPDCRSPVEPPWRPPDRRSPMESLEATESPEPNKPPTTSGLWGPIDLRLLTDLRSWLGLRRTEKALS >ONIVA07G25780.1 pep chromosome:AWHD00000000:7:23424556:23425300:-1 gene:ONIVA07G25780 transcript:ONIVA07G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPQREAHRAATGSKRALCASDFWPSASQEAADFDHLTAPCTFTPDQAAEEPTKKRERKTLYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEAAARAYDRAARRIRGAKAKVNFPNEDPPLDDPAADGHSHGGAAIPCREFMDYDAVMAGFFHQPYVVADGVPAVPAEEAPTVAYVHHHLPPQPQQDAGLELWSFDNIHTAVPM >ONIVA07G25770.1 pep chromosome:AWHD00000000:7:23421189:23423727:1 gene:ONIVA07G25770 transcript:ONIVA07G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSEDVYLKLIVKLYRFLVRRTKSHFNAVILKRLFMSKTNRPPLSMRRLVRFMEGKVPDRHAISGDQIAVIVGTVTDDKRIYEVPAMKVAALRFTETARARIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >ONIVA07G25770.2 pep chromosome:AWHD00000000:7:23421189:23423832:1 gene:ONIVA07G25770 transcript:ONIVA07G25770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSEDVYLKLIVKLYRFLVRRTKSHFNAVILKRLFMSKTNRPPLSMRRLVRFMEGKVPDRHAISGDQIAVIVGTVTDDKRIYEVPAMKVAALRFTETARARIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >ONIVA07G25760.1 pep chromosome:AWHD00000000:7:23413712:23416673:1 gene:ONIVA07G25760 transcript:ONIVA07G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMKSQLVLATLFLAGLVARGAEAIIAGVVYCSLQCLTLPNLLPKATVRLQISSYEIPTAGNQGFIRRNSKGQFVVLLNVTSSEMMGSLMSGSGRVAVITPPPAASGGASLPAAAAGGTTLVAPVVPHGARILGATAADNTLRQILDQLQSSSDLLPGLAQGLDV >ONIVA07G25750.1 pep chromosome:AWHD00000000:7:23411439:23412757:1 gene:ONIVA07G25750 transcript:ONIVA07G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVVVLLVVAAACVLGAADAKLGRLVVTGVVPCNTGSLIDIATSPAFPNADVELRCAGKLVAGATTNSNGSFAMEADLTSGLAMLIGGCKLVVDTPLIKCDANLPAAGSLVSYLQGPLTRLLGGIFRLFPAGFSFHAH >ONIVA07G25740.1 pep chromosome:AWHD00000000:7:23394263:23396476:-1 gene:ONIVA07G25740 transcript:ONIVA07G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18020) TAIR;Acc:AT3G18020] MAAAAAQGERPDLGSLVDELCATGRAAEAHHRVSLLFLSSSSAAVPSRHLDGRAADGLLRRLLRARKPLLTLRLLQAAPPAALVPSLPNYNRLLALLCGSSAPLLLVLLAHRLLLRMRAAPDAATYAALLDGYARIPDPPAVRKLLDEMPRRGVAPSSLARTYLVKALLRSRDVDGAMALVDNHLWSSMERSNGEDQELKNAAFANLVQCLCIEGFFHIVFRIAEEMPQQRCGVADVFAYSQMIDSLCRSGQHHGASRIVYIMGKRGMHPSTVSYNCIVHGLCTSQKPGGRLRAYQLVMEGVRFRYHPREVTYKVLVDELCRENELAKAKDVMELMLKVTPDDDTRTRIYNMFLAALRVVDNPSEQLDVLVSMLQEGCKPDVITMNTVIHGFCKVGRAQEARRIVDDMLNGKFCAPDVVTFTTLISGYLGVGEFAEALHVLSTLMPKHQCLPNVVTYNCVLKGLLSLGLLDRAMEALEEMKSSNVIPDSVTHTVMIKGFCDAGELEKAKSFWDNVIWPSGMHDDYVYSAIFRGLCKLGKLEQACDFLYELVDSGVAPGVLCYNILVDAACKQGLKKLVYQLVKEMRRNGISPDAVTWRIIDRLHLYSDEKQDGELQMSATDGDPSSADDTVDALIPNRDEKHTPSLPSKTSKFGTVVRDDSSKCEEEEVDYSPCASEDPPNIIEPAKEEACPMNNSGAGTTMGNRGMTREEGLMKPDKQPLKREPLSRVARKVFGLL >ONIVA07G25730.1 pep chromosome:AWHD00000000:7:23392001:23393673:1 gene:ONIVA07G25730 transcript:ONIVA07G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAVAAKGGAGGKKKGSVSFVIDCSKPVEDKIMEIASLEKFLQERIKVAGGKAGNLGDSVTVTRDKTKVTVTSDGAFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRNVYELRYFNIAENEGEEED >ONIVA07G25710.1 pep chromosome:AWHD00000000:7:23381872:23385399:1 gene:ONIVA07G25710 transcript:ONIVA07G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSELIYRRGHDAQPAAGDVDGCGVMAAAGYSSSAKPPHKPPLGPLRYLLAEQRLVFALVGMAIASLVFLLAAPSSGNGGRHEVMDGGAARLAAAGLAVRQYSGVAAAAAGARVPLGLKKKGLRVVVTGGAGFVGSHLVDRLLARGDSVMVVDNLFTGRKENVLHHAGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDISRAKELLGWEPKIPLHKGLPLMVQDFRDRIFGDHKPHSVAGDN >ONIVA07G25700.1 pep chromosome:AWHD00000000:7:23371570:23373223:1 gene:ONIVA07G25700 transcript:ONIVA07G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSTMQSMREWVVDHKLRAVGTLWLTGVASSIAYNWSRPGMKTSVKIIHARLHAQALTLAALAGSALVEYYDHRSGSGSRVHQYAKQFITPESNPQKE >ONIVA07G25700.2 pep chromosome:AWHD00000000:7:23371755:23373223:1 gene:ONIVA07G25700 transcript:ONIVA07G25700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSTMQSMREWVVDHKLRAVGTLWLTGVASSIAYNWSRPGMKTSVKIIHARLHAQALTLAALAGSALVEYYDHRSGSGSRVHQYAKQFITPESNPQKE >ONIVA07G25690.1 pep chromosome:AWHD00000000:7:23367744:23368187:-1 gene:ONIVA07G25690 transcript:ONIVA07G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGAEDYYGPYYHGGGRHGGGGGPPHALLLMAVVLALAVAGPRVLGEGAGEAITAALTDMLSPVGLLLLPVSLVFVIRILSDNRSAAVLANVLAFGAGAPDAVHRVGGSPVGVVVVLFLVLMMVYYRPSWLLRGGAGAGGEGDGE >ONIVA07G25680.1 pep chromosome:AWHD00000000:7:23364137:23365276:1 gene:ONIVA07G25680 transcript:ONIVA07G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAPISSPEPPEFIRGSLIPALPDDLAIHCVALLPRAAHPSLALVSRAFHTLLCRDPGPLLAARRRLRLSDPHVILSLRPPASASPLFFLLLPHPGWPPLPLPSPPVPVSSSSSVAVDGNRVFLFGGSVSGVPSPSVQILDPRTRFWSVGPRLSSPREFAAAVAHSGVLFVAGGCVPSSPFWAESLNLSSPDAKWSPVPSPPHFREKWMHGSASLAGKVLAVADRGGLAYDPAAPPTEAWAPVSPILDMGWKGRAAVVGGILYSYDYLGQVKGYDPDTDCWSKVEGLEQELPKFLCGATLANVGELLYLVWEGKWKGKGKAKGKGEARSMVVIDWAAIVVTKAEEGRLTGKVLSRDTIVFKDMPKGSAITHCIALEL >ONIVA07G25670.1 pep chromosome:AWHD00000000:7:23362473:23362826:-1 gene:ONIVA07G25670 transcript:ONIVA07G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASLSAVAARPLSVAGLKKPLCASFQPVPRARPAAAARMAVRASASMKERATAGLTAAAMAAAMVLPDVAEAAQGSLTPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPVKRT >ONIVA07G25660.1 pep chromosome:AWHD00000000:7:23356097:23357383:1 gene:ONIVA07G25660 transcript:ONIVA07G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEQGKTVVVVGVDDSEHSNYALEWTMQHLASGMAGGGGAELVIVHAKPSPSSVVGFGAGPGSGEVVRYVEADLRKTAEDVVEKARRLCIANAMHALIEVIEGEPRYVLCNAVEKHSAGLLVVGSHGYGAIKRAFLGSVSDYCAHHAHCSVMIVKQPKAKRSRAETA >ONIVA07G25650.1 pep chromosome:AWHD00000000:7:23357348:23361894:-1 gene:ONIVA07G25650 transcript:ONIVA07G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQLRPYEYTTRVYDVRHDQTPRLVSSPRLASSPAACAEQPPISATRAAAPASCYFRRVLAEGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVELAHGGRGNSSSFNNSGGGGRRGGVSRHTEYRVLVTGLPSSASWQDLKDHMRNAGDVCYSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFILTKPKPKSRSQLQQKQESKISIEISFFPFKIRVKGALSIKPANGDAASPKKRSPSRSPPKKRSPSRSPSQGLGETLFSAVMAA >ONIVA07G25650.2 pep chromosome:AWHD00000000:7:23356090:23361894:-1 gene:ONIVA07G25650 transcript:ONIVA07G25650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQLRPYEYTTRVYDVRHDQTPRLVSSPRLASSPAACAEQPPISATRAAAPASCYFRRVLAEGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVGAMRYWWNLHMVGEVTLPPLTILVEGDVAGVYLGIQSIVDHMRNAGDVCYSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFILTKPKPKSRSQLQQKQESKISIEISFFPFKIRVKGALSIKIALTRTVTLVSFDDDPWAASTWVFMGVASVRGARGGRLQAAASSLQAGGRNRGWVFAAGVAAERDTLVSRDETARPQVLGSRGRGPWFWV >ONIVA07G25640.1 pep chromosome:AWHD00000000:7:23352566:23354927:-1 gene:ONIVA07G25640 transcript:ONIVA07G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVAAAIFLRLRQVRGIWPPSHLESLSRPAPSSSSRAGGPPPAGHRPPLPPAETQQPVFSNVLAWIGLDRSWTALAFKSRHH >ONIVA07G25640.2 pep chromosome:AWHD00000000:7:23353052:23355155:-1 gene:ONIVA07G25640 transcript:ONIVA07G25640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVAAAIFLRLRQVRGIWPPSHLESLSRPAPSSSSRAGGPPPAGHRPPLPPAETQQPVFSNVLAWIGLDRSWTGKK >ONIVA07G25630.1 pep chromosome:AWHD00000000:7:23345598:23349196:-1 gene:ONIVA07G25630 transcript:ONIVA07G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G02860) TAIR;Acc:AT1G02860] MPSQPFAKKYEKYMKGMDEELPGVGLKRLKKLLKKCRSDLQSHENDGSSAGRCPGHCSVCDGSFFPSLLNEMSAVVGCFNEKAKKLLELHLASGFKKYTMWFTSKGHKSHGALIQQGKDLIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRSKKNNGAMELFGDCSLVFDDDKPTISCNLFDSMRVDISLTCSICLDTVFDPVALSCGHIYCYLCSCSAASVTIVDGLKSAERKSKCPLCRQAGVFPNAVHLDELNMLLSYSCPEYWEKRIQMERVERVRLAKEHWESQCRAFLGM >ONIVA07G25630.2 pep chromosome:AWHD00000000:7:23345598:23348704:-1 gene:ONIVA07G25630 transcript:ONIVA07G25630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G02860) TAIR;Acc:AT1G02860] MKFAKKYEKYMKGMDEELPGVGLKRLKKLLKKCRSDLQSHENDGSSAGRCPGHCSVCDGSFFPSLLNEMSAVVGCFNEKAKKLLELHLASGFKKYTMWFTSKGHKSHGALIQQGKDLIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRSKKNNGAMELFGDCSLVFDDDKPTISCNLFDSMRVDISLTCSICLDTVFDPVALSCGHIYCYLCSCSAASVTIVDGLKSAERKSKCPLCRQAGVFPNAVHLDELNMLLSYSCPEYWEKRIQMERVERVRLAKEHWESQCRAFLGM >ONIVA07G25620.1 pep chromosome:AWHD00000000:7:23341758:23343753:-1 gene:ONIVA07G25620 transcript:ONIVA07G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8e family protein [Source:Projected from Arabidopsis thaliana (AT5G06360) TAIR;Acc:AT5G06360] MVSPPRLLPATASNLTSLRAQFLPGFTADHLSTRESFRFLVLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTSRQKVEDVQEGALPPYLLDRDQTQRAKVLSNTIKQKRMEKAGKWEVPLPKVRPVAEEEMFKVLRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGQMYSTLGVLTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >ONIVA07G25610.1 pep chromosome:AWHD00000000:7:23338791:23342291:1 gene:ONIVA07G25610 transcript:ONIVA07G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSFSVSPILSLKLAFAVALAVSFSSARLRACLRFLSPVLPLPAAAAISEGRAARKVLVERGGADEADGMVMWPPAPVMELARLAVDSGGDPGAIHRALDPTMLPVPDVQRAKRNKCQLTRTPYGRRFANKDINSYLAFLFELIAARGPSVGLNVSLSRYDFFHGHLFLASGTGRLGILFHAKEYPAFDKELFPYSLGFCQAGSNVVYDDSMNLRNILWLAPLPSNETKAWLSPGVLVVLDAHPDGIIYQDMIRDYVRDVRTIYEDDFGEVAVDVNYLNVANSAPANRVFIC >ONIVA07G25610.2 pep chromosome:AWHD00000000:7:23338584:23342291:1 gene:ONIVA07G25610 transcript:ONIVA07G25610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSFSVSPILSLKLAFAVALAVSFSVSCCAAPPSPRAVAADLLAVLAGPGAAARVPPAAESARLRACLRFLSPVLPLPAAAAISEGRAARKVLVERGGADEADGMVMWPPAPVMELARLAVDSGGDPGAIHRALDPTMLPVPDVQRAKRNKCQLTRTPYGRRFANKDINSYLAFLFELIAARGPSVGLNVSLSRYDFFHGHLFLASGTGRLGILFHAKEYPAFDKELFPYSLGFCQAGSNVVYDDSMNLRNILWLAPLPSNETKAWLSPGVLVVLDAHPDGIIYQDMIRDYVRDVRTIYEDDFGEVAVDVNYLNVANSAPANRVFIC >ONIVA07G25610.3 pep chromosome:AWHD00000000:7:23338584:23343357:1 gene:ONIVA07G25610 transcript:ONIVA07G25610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSFSVSPILSLKLAFAVALAVSFSVSCCAAPPSPRAVAADLLAVLAGPGAAARVPPAAESARLRACLRFLSPVLPLPAAAAISEGRAARKVLVERGGADEADGMVMWPPAPVMELARLAVDSGGDPGAIHRALDPTMLPVPDVQRAKRNKCQLTRTPYGRRFANKDINSYLAFLFELIAARGPSVGLNVSLSRYDFFHGHLFLASGTGRLGILFHAKEYPAFDKELFPYSLGFCQAGSNVVYDDSMNLRNILWLAPLPSNETKAWLSPGVLVVLDAHPDGIIYQDMIRDYVRDVRTIYEDDFGEVAVDVNYLNVANSAPANRVFIC >ONIVA07G25600.1 pep chromosome:AWHD00000000:7:23332788:23334212:-1 gene:ONIVA07G25600 transcript:ONIVA07G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5J0] MAQAERERLRVLMFPWLAHGHINPYLELATRLTTTSSSQIDVVVHLVSTPVNLAAVAHRRTDRISLIELHLPELPGLPPALHTTKHLPPRLMPALKRACDLAAPAFGALLDELSPDVVLYDFIQPWAPLEAAARGVPAVHFSTCSAAATAFFLHFLDAGGGGGSRGAFPFEAISLGGAEEDARYTMLTCRDDGTALLPEGERLPLSFARSSEFVAVKTCVEIESKYMDYLSKLVGKEIIPCGPLLVDSGDVSAGSEADGVMRWLDGQEPGSVVLVSFGSEYFMTEKQLAEMARGLELSGAAFVWVVRFPQQSPDGDEDDHGAAAARAMPPGFAPARGLVVEGWAPQRRVLSHRSCGAFLTHCGWSSVMESMSAGVPMVALPLHIDQPVGANLAAELGVAARVRQERFGEFEAEEVARAVRAVMRGGEALRRRATELREVVARRDAECDEQIGALLHRMARLCGKGTGRAAQLGH >ONIVA07G25590.1 pep chromosome:AWHD00000000:7:23328854:23330287:-1 gene:ONIVA07G25590 transcript:ONIVA07G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHQTNHRLPPQIIIFQTYNSAEVIKFMARVYSSFPPPPPSPPPPSTTSEQRKVFTLWMKSLVLNGRGCTVYDSTGGIVYRVDNYGSSCSDNVYLMDLRGKIVLNILKKKLAFGKWEGYKWSSGERQRDAAAGAGAGGAWFTMTRPCSSILFQRRRRPSSTCEFRSGGGGGDDGGRAMRYKMDDCSGGGGGGGKQQAWCRIVDGATGAVVAEVKGKTTAGGVALGDDVLTLTVEPNVDHALIMGMVLVYGLMNHTM >ONIVA07G25580.1 pep chromosome:AWHD00000000:7:23317153:23330396:1 gene:ONIVA07G25580 transcript:ONIVA07G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRRASSEEAASPATDGAAAGAGAAGSSTPRRRSGKRAKAEATVGTPAAKAGGADATAAAAIDVIDSSVENLHGVARPTGAVPASSTVSNSGVKKKRTKYINVPSAEELSLWKARQAVANGRAEAWGRLISQSSESPSVPIYTTHFTVGHGGNYDLRLTESFPGSLICKLKHVKRGAALEIYVSKAVHVNGKVLDKTAKVTLVGGDEIFQQLPEERSSTSTFSATCAFQQGQYPVTKGTLDVSSKGAKLSVMPFNFGNGRPPLVPHDTEIVSSLCKTMEEQSQLASEENLQVAQHQLLKEDLKKVVVNASDISDSFDSFPYYLSENTKNALLSSAYVNLCCKESIKWTKHISSLCQRVLLSGPAGSEIYQESLVKALTKHFGAKLLIIDPSLLASGQFSKSKESESYKKGDRVRYIGSVQSTGIILEGQRAPDYGSQGEVRLPFEENESSKVGVRFDKKIPGGIDLGGNCEVDRGFFCPVDSLCLDGPGWEDRAKHPFDVIYEFASEESQHGPLILFLKDVEKMCGNSYSYHGLKNKIESFPAGVFIVGSQIHTDSRKDKSNSGSPFLSKFPYSQAILDLTFQDSFGRVNDKNKEALKIAKHLTKLFPNKVTIQTPQDELELSQWKQLLDRDVEILKAKANTSKIQSFLTRNGLECADIETSACVKDRILTNECVDKVVGYALSHQFKHSTIPTRENDGLLALSGESLKHGVELLDSMQSDPKKKSTKKSLKDVTTENEFEKRLLGDVIPPDEIGVTFEDIGALENVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRKKILSVILAKEDLADDVDLEALANLTDGYSGSDMKNLCVTAAHCPIREILEREKKERASAEAENKPLPPPRSSSDVRSLRMNDFKHAHEQVCASITSDSRNMTELIQWNDLYGEGGSRKKTSLSYFM >ONIVA07G25570.1 pep chromosome:AWHD00000000:7:23311409:23312513:1 gene:ONIVA07G25570 transcript:ONIVA07G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTAKVISGGGYAHNDPVFGRHGALYMVPYVRRDMLITENHLPLLLLQKLVAVEIGKEAQRNVNKMVHLASCKMPPAKEHRALHPLDLFRKSLLSGQHHRPRGDRGRGGGGAARRDDRRHDDDEEEANGGIIRSAAELYEAGIRFRRQLPIRQLQACKVQRFLDVVIGLLG >ONIVA07G25560.1 pep chromosome:AWHD00000000:7:23302933:23306161:1 gene:ONIVA07G25560 transcript:ONIVA07G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNPQVEREVEVEEVRRPKLRYLEFVQVAAAQATICLAGLYALAKDHAGPLRPGVDAVESAVKGVVGPVYGRFHGVPLDVLAFVDRKVDDTVQELDRHLPPTLKAASAKACAVARGVPDVARELTAEVQQSGVTGAARVAYAKVEPVAKGVYGRIQPAAKDLYVRYEPAAEHLAVSTWRSLNNLPLFPQVAQIAVPTAAYWAEKYNKVIAAAADKGYTGAQYLPAIPTERIAKVFGESSPEAQPSKSESAKTQ >ONIVA07G25550.1 pep chromosome:AWHD00000000:7:23294734:23302586:1 gene:ONIVA07G25550 transcript:ONIVA07G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLFPNVSFSHVSAPAAAGASTEVTGGATAVFGGDASTGAPRLSLVWSGETQAKHTLEIDLSDAQIFKLGPTEWLCVSGESEAKDGVEEHILNGFMLTLNSLRQKSYSRAIKVVLRTEAESKAFYLAFQQWKHRVISGKAGEPLENGLIIGSKSKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPGGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLVSPPTFHTLDFTTMKEEELYEIDIPLNFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCILSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSVFFPSIALEADGSCSFGYFLEAKMWGEGAEQGGILQTSTAKLELKEPYYRLSQPQPYVMQQDQQQQQLPSLQPQSPLWDYHYGQD >ONIVA07G25540.1 pep chromosome:AWHD00000000:7:23290332:23292855:-1 gene:ONIVA07G25540 transcript:ONIVA07G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEQAGDLAAVASSSRFNNAAMGGAAEASSSERDTMKGRTKKLLVDKMVSASGEWRLWLASVT >ONIVA07G25530.1 pep chromosome:AWHD00000000:7:23284878:23289419:-1 gene:ONIVA07G25530 transcript:ONIVA07G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative indole-3-acetic acid-amido synthetase GH3.9 [Source:Projected from Arabidopsis thaliana (AT2G47750) UniProtKB/Swiss-Prot;Acc:O82243] MDDHNLDYKGSGALEELEMLTVNAKEAQELILTKILERNQATEYLSKFMNGSTNISAFKRHVPVVTYDKVHPYILRIATGEESSILCGEYILELLRSSGTSRGEPRLMPSILKDLDRRTYLYSLIMPIMNKYISGLGEGKAMYLLFVKAETLTDSGIPVRSVLTSYYKSPHFLHRKHDLYNNYTSPDEVILCPDSQQSMYCQLLCGLVERQHVLRIGAVFASAFLRSISFLEQHWRDLVNDIRIGQLNSSITSPACRLAMLNFLALPNPELADQVEAICSCGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYGGGAIPFVCTMYASSESYFGVNLSPLCSPADVSYTILPNMAYFEFIPLEDGLRLTDHEEVIENDKLVSLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSIDSDKTNEEDLHNSVTTAKKILENQNYLLLEYTSYTDISTVPGHYVLFWEIKSTHDERPAPLDAQLLESCCAAVEESLDYVYRRCRAHDRSIGPLEIRLVEAGAFDALMDLLVSHGSSINQYKTPRCIESSLALKLLNSKVIACFFSPQDPECGM >ONIVA07G25520.1 pep chromosome:AWHD00000000:7:23275289:23276952:1 gene:ONIVA07G25520 transcript:ONIVA07G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAEPLQYTTTVLRVSIHCEGCKKKVKKVLQNIEGVYKVAIDAAQHKVTVTSSVGADVLVRRLHKSGKHATVWPSPPVAAAAKQKPDEVAAAPPPAAAPAPAGERGKGAEKKAKEAAKEAGSESSEKKPEKEKKAEAKKPAKDDAAKKEGEVPEKEKGSPEPNKESAAAAADEEEGGEESGGKKGKKKKNKQKDGGGEADAAAPEKPAAKAPPAAPAPSMPAPAPTLSSPERPHGGFPYYSPQPVMSYNVAHPSASVSSYYAPTPVMSMQPTPMPPPPQMSYGYSPYPPMMMPPPPPPEYLYGPPGMRSSPPQESYSNMFNEENPSSCSVM >ONIVA07G25510.1 pep chromosome:AWHD00000000:7:23266159:23267814:-1 gene:ONIVA07G25510 transcript:ONIVA07G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSESPAAAAAPAKLPATPLAGFVSLLAARRFAAAKSLLASLVTPRLLAVPFADLAAASLPRAAPQHAVTAFYDMLFRAYADSGAAARAAEAFELTVSRLGGLDPRSLTSSLLSLRRAGHLDTAADLLKQAATSCPDSVTPLSASVVVDGYCKSGRVAHARQLLDEMPRHGVKVNALCYNSLLDAYTREKDDDRVAEMLKVMENEGIEPTVGTYTILVDGLSAARDITKVEAVFEEMKSKNLSGDVYFYSSVINAYCRAGNVRRASEVFDECVGNGIEPNERTYGALINGFCKIGQMEAAEMLVTDMQVRGVGINQIVFNTMIDGYCRKNMVDKALEIKMIMEKMGIELDVYTYNTLACGLRRANRMDEAKNLLRIMIEKGVRPNHVSYTTLISIHCNEGDMVEARRLFREMAGNGAEPSLVTYNVMMDGYIKKGSIREAERFKKEMEKKGLVPDIYSYAALVHGHCVNGKVDVALRLFEEMKQRGSKPNLVAYTALISGLAKEGRSEEAFQLYDNMLGDGLTPDDALYSALVGSLHTDKKQNVKPRTN >ONIVA07G25500.1 pep chromosome:AWHD00000000:7:23264027:23267574:1 gene:ONIVA07G25500 transcript:ONIVA07G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPLTAPAPAPATPPRLAGFEQLDARIKELTSSQGELLDRIQKLKLEVQNWRSNLETQVKTSQNELLELKKGLNSEVELLKSVSLFLFHILALFVLILRSYCSWFWHMILGNEGNQEEKGTLQTQFADIEKSNEDTNQAPQPREPAQEFDTDAHMEKQTAMEA >ONIVA07G25500.2 pep chromosome:AWHD00000000:7:23264336:23267574:1 gene:ONIVA07G25500 transcript:ONIVA07G25500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPLTAPAPAPATPPRLAGFEQLDARIKELTSSQGELLDRIQKLKLEVQNWRSNLETQVKTSQNELLELKKGLNSEVELLKSVSLFLFHILALFVLILRSYCSWFWHMILGNEGNQEEKGTLQTQFADIEKSNEDTNQAPQPREPAQEFDTDAHMEKQTAMEA >ONIVA07G25500.3 pep chromosome:AWHD00000000:7:23264068:23267574:1 gene:ONIVA07G25500 transcript:ONIVA07G25500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPLTAPAPAPATPPRLAGFEQLDARIKELTSSQGELLDRIQKLKLEVQNWRSNLETQVKTSQNELLELKKGLNSEVELLKSVSLFLFHILALFVLILRSYCSWFWHMILGNEGNQEEKGTLQTQFADIEKSNEDTNQAPQPREPAQEFDTDAHMEKQTAMEA >ONIVA07G25490.1 pep chromosome:AWHD00000000:7:23260716:23261033:1 gene:ONIVA07G25490 transcript:ONIVA07G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVWVFRNNGVMELEEQATSRKALVHVATSEVIRSTEALERRLGALGWERYYEDRSTLQLHRRDGSADLISIPRDFSRFRSTHMYDVVVKNRDHFKVVDLHT >ONIVA07G25480.1 pep chromosome:AWHD00000000:7:23245583:23246310:1 gene:ONIVA07G25480 transcript:ONIVA07G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGNMLNNKRGPYGEYPQVQAEKARKRTLSDQFEAKLAKSMASQERKISRRKDPISGCLSSIMLRSAAIICMAFRTQQQPMHLIVERTGNLHQLLQLQHMVPKKVEEPRVAKKL >ONIVA07G25480.2 pep chromosome:AWHD00000000:7:23244247:23246739:1 gene:ONIVA07G25480 transcript:ONIVA07G25480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQSLKHTCARNSADVYESSFAILNNKQFVVVWAPSHGWYLPAPLPVLSNVILYALLIRGIFHL >ONIVA07G25470.1 pep chromosome:AWHD00000000:7:23238072:23240694:1 gene:ONIVA07G25470 transcript:ONIVA07G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding [Source:Projected from Arabidopsis thaliana (AT4G15770) TAIR;Acc:AT4G15770] MRALDEKETKMVFEKLFKFTGPNLKHLLERPAVEGPDPQAGRYCLRLHKNRVYYASEALVRRATAVARPRLAGVGTPIGKFTHGGAFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSSLARITENTKANDGVVVMSMADVPLGFGIAARSAQDCRKADTNAVVVLHQSDAGEYLRREEELM >ONIVA07G25460.1 pep chromosome:AWHD00000000:7:23232084:23235485:1 gene:ONIVA07G25460 transcript:ONIVA07G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTPPAVAVAGESPPPLVHLAFNLYSTGFIAATATGLRVFSCFSSPLNKVFARDVEVCPEDDGGCGGGGWKVAIAEMFNEAFAAVVFRRKKGGGGGTVDKICFWSIPNGRMYCMHKTLPFDGAVRGVRLVGEFLLVAGDERAALYELPHAGAPPKKVKVVETAANPLGLGAVVQPDGNAWFVAAAPQRMKGMVQVHRLAEDHVYVGAHYSSLAAIALSADGRLLATAGSKGTLVRIFSTSDGKLLQATFKLHGLYEESGATAAAGDMRDRNQSTCAVVRRAGAGFEVRRFRPGSLNYSSRYDSVRIGGGDDDDDVRSVHVHGDRTVVVHAGRVDVFGLDDGRRKAAVLQRRVETGDNRAGACAVSRGPPGSPFAFACPGVNDGNLRVERWVGGFMPLVIGAHRWRVASVAMSWDAKLVATASVKGTIVRVFRVADGELLQEMKRGFDRADIYSIVFSPDSEWLAVSSDKGTVHVFHINVCSPSSSKTGCQDTTQSYSSKTGCQDTTQSYESYGAKAMKKYVSSIKDLLTLGYFDPERSVAQFHLRDNVKYLVAFGTRPNKNIVLIIGMDGSFYRCQFDPVNGGEMKQLEYTNFLNM >ONIVA07G25450.1 pep chromosome:AWHD00000000:7:23225531:23230916:1 gene:ONIVA07G25450 transcript:ONIVA07G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRALEVTLISARNLKKVNLITPMAVYAVVSVSGNPLARQCTLPDRHGGRNPTWNATLHLAVPAAAPGAFLHVLLRTKRALGDRDVGEVFVPVADLQLAAAAHYQYLVHKVQSTTEHCGVLSLSYRLGPVVVPAPPLAADTAAVPAYLVVPCYANAPPYVYLSPANPARDEAASASPSPPPRRKRSGDFGQWLGGAVRGMLSGEAMSPDTAAYDAGYKTLIGVADGRRVNIPSLHSITSCLSKQASNRCSQLFDQSELAMAYRVLEVTLHSARDLKNVNFISRMEVYAVATISGDPLTRQCTPPDPYGGRHPAWNATLRFTVPPTAASAAGCLHVLLRAERSLGDRDIGEVIIPLADVLSGPYDLGARPPQFASYQVRKLHRSETRGVLHLSYRLGPVVAPPSVFAYPAPPPPPPQLFETAPPSPPYVPPPPDAYLRKPSPPSPPPAKPSPPPPPQTQTQPLAKPPAPATPSRAGGHVAAVAKADRHVSTPSPAKADWQMVGTPTATKGASKHGSLEFERGLNAGLVGGAIGGMLVGTEMVSDAAFYHAGYRAGLADRDGWAVY >ONIVA07G25440.1 pep chromosome:AWHD00000000:7:23223543:23225297:1 gene:ONIVA07G25440 transcript:ONIVA07G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVLQPAAAAAAWPRFLPSPRRSAAQTYQVLSMHKFEWKRPFSGSHRSGILLVCRSSGSSVKAATSSRPEAVSSSHNDQSSKHLFGSVSDAYTIISGYWIGPDMDDGCGNVVAILQRIV >ONIVA07G25430.1 pep chromosome:AWHD00000000:7:23221234:23223414:-1 gene:ONIVA07G25430 transcript:ONIVA07G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32430) TAIR;Acc:AT4G32430] MPLRRLRIRTLSTAAAHHPFDGIPHPSLARHRRVNPIAFSAAVRASDPASLPALHAVAVTTGLHGFAAVTNSLAARYAKTGSFPSAVGVFAAARARDVSSYNTILSAFPDPAEALDFASWMLRSGAVRPDAVTCTVALSLAASRGEGFLVRQLHALAWRSGLAADVFVGNALVTAYSRGGSLGEARSVFDDMPARDLVSWNALICGLAQDGDCPAEVIGVFLRMLRDGDVQPDRISVCSVIPACGGEGKLELGRQVHGFAVKLGVEGYVSIGNVLVAMYYKCGAPGSARRLFDAMSERDVVSWTTAISMDGEDALTLFNGMRRDGVPPNEVTFVALMSALPADCPARGAQMVHAACLKAAVSGEAAASNSLITMYAKARRMDDARMVFDLMPRREIIAWNAVISGYAQNGRCNDALELFSSMARCLTPNETTFASVLSAVTAVETVSMAYGQMYHSRALSMGFGDSEYVAGALIDMYAKRGNLEESRKAFHETEQRSLIAWTAIISANARHGSYGAVMSLFGDMTRSGVAPDGVVLLAVLTACRYGGMVDAGRDIFDSMAADRGVELWPEHYSCVVDMLGRAGRLAEAEELMMRMPAGPSVSALQSLLGACRIHGDAEIGERIARILTEKEPTESGAYVLLSNIYADVGDWDGVAKVRRKMRDRGVKKEIGFSWVDAGAGEVLHLHKFSSDDTTHPRTEEIYAVADVLGWEMKFLKNCSQMEMILI >ONIVA07G25420.1 pep chromosome:AWHD00000000:7:23210578:23221002:1 gene:ONIVA07G25420 transcript:ONIVA07G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRRQEDARGVGLRGGAAAGGMEDDAELEEGEACGDETAFVDPDVALSYIDEKIQDVLGHFQKDFEGAVSAENLGSKFGGYGSFLPTYQRSPLPQTRSPPKAANVSSRSPYHQPTESMSQNTLAVAAPSVSKYNGSMVPLSDDSSKKEVHQSTKVERASSTQDSLNGPSKSSDHNRFKVRIKVGSDNGLARNNAAIYSGLGLDISSPSSVEDSPDGCGSLSPEFNNVPIESPRTILQIMTCFSVPGGFLLSPLRDDLVQLTQKVVPTSKKWETNANTENVQERYEGYVAKRVKSDAKKKKAVDTKRSKSRNDVSAVMKNEIDIETPAGQKIVLEALNIPLLSNPRTMEAKDGSQFEEDPMRDTLVENKDARLKERTINSDLMAIKYENVKAEAAECLENSGPGSSGVDFSAVKGEVKFKAEKAEIHVEDRNTTSEKDFQSDRKQERKIKTESKCNATGVNFEGNKVMNERTPVVGRSIGKVSSKETSLNDINEENVSKSESRRSQKEQNMNASSSSDFLEDDRGVLSSGAVKERKNDSQSKSSHPGRKPKAKSHRDVREHLPEGSYGGKDDTLENGSGLGELRPKKIWKNDSERDSDMPGTSKREISSSLKNDRHTPAEEQRMHVPPSVSAPTANAAPMLPAPVVIEEHWVCCDICQKWRLLPYEMNPSLLPKKWKCSMLQWLPGMNRCEVSEDETTNALNALYVNTAPGNGVASVGHSHVASSGLTTSNTLNVNGHVEQSRKRKNTLSDGNVSFDVSQQMQGSVYPLSNQHAPIRSKSAADSIQFPVERDSRSVDHFVEKKRSKSKNHGSSSDGGHLVERSKKHSKVKSKREMDHDEYRTSKKIKKEERRQRQSGIDSNPGYDLASGDVPDEAKALPSKSMALQGSSERSDVPPSKYKSVSKYNSSEKSKRSKDGDVFLPEDKNKEHSYPSDAQKPDLSSKKRIVKEWEESQHNSTPPVSKMSIVNQSSSSKETCKDQNLKETKSKLTKSEEPFAMTDSKSIKVAHSNQTSRNLNNELFEDSTPFAVKSGMSEPPENRSSEQALDLAEPASSDLAYFQTTAVTSSSSKASGSQRRKQNFHVAKTSPIESVSSSPSRISNNDKVSHDKILGKDGSTCANTNNMQSLVKNTEVIVDNVRQARKSHESMLASEPVMNGFSQGNSDKDNELPQLTQGHASNGIISGRSLDDDLQHASGRKDSSLKSSNAARSHNHLHYANKNNLLTDGSSIQHRMAVLDTKGDSMVHENKRSVTSLQDRNGSTHYPPDGNPQSEVSFGKEKSHPKSNKHDMQNSKAQMLPSPLKESKVESHSAPLRSNASKLTAQLKRGNVENGGQHGITKQAISNPADTSSPVRKDNNSTGYALKEARDLKHKANRLKEEGKEQESTRLYFESALKYLHVASTLEPPPSIDGFKQCDAAQNLYSDTAKLCNFVGHAYEKSKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQMLQAIVQNPPGESPSSSASDIDNLNNNGLSKGPSSKDANSPQVTGNNLLLAARNQPHLTRLLAYTNDVNCAFDATRKSQMAIASAASNQENGIDGLSSVKTVLDFNFQSVNDLLRLVRLSMESISC >ONIVA07G25420.2 pep chromosome:AWHD00000000:7:23210578:23221002:1 gene:ONIVA07G25420 transcript:ONIVA07G25420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRRQEDARGVGLRGGAAAGGMEDDAELEEGEACGDETAFVDPDVALSYIDEKIQDVLGHFQKDFEGAVSAENLGSKFGGYGSFLPTYQRSPLPQTRSPPKAANVSSRSPYHQPTESMSQNTLAVAAPSVSKYNGSMVPLSDDSSKKEVHQSTKVERASSTQDSLNGPSKSSDHNRFKVRIKVGSDNGLARNNAAIYSGLGLDISSPSSVEDSPDGCGSLSPEFNNVPIESPRTILQIMTCFSVPGGFLLSPLRDDLVQLTQKVVPTSKKWETNANTENVQERYEGYVAKRVKSDAKKKKAVDTKRSKSRNDVSAVMKNEIDIETPAGQKIVLEALNIPLLSNPRTMEAKDGSQFEEDPMRDTLVENKDARLKERTINSDLMAIKYENVKAEAAECLENSGPGSSGVDFSAVKGEVKFKAEKAEIHVEDRNTTSEKDFQSDRKQERKIKTESKCNATGVNFEGNKVMNERTPVVGRSIGKVSSKETSLNDINEENVSKSESRRSQKEQNMNASSSSDFLEDDRGVLSSGAVKERKNDSQSKSSHPGRKPKAKSHRDVREHLPEGSYGGKDDTLENGSGLGELRPKKIWKNDSERDSDMPGTSKREISSSLKNDRHTPAEEQRMHVPPSVSAPTANAAPMLPAPVVIEEHWVCCDICQKWRLLPYEMNPSLLPKKWKCSMLQWLPGMNRCEVSEDETTNALNALYVNTAPGNGVASVGHSHVASSGLTTSNTLNVNGHVEQSRKRKNTLSDGNVSFDVSQQMQGSVYPLSNQHAPIRSKSAADSIQFPVERDSRSVDHFVEKKRSKSKNHGSSSDGGHLVERSKKHSKVKSKREMDHDEYRTSKKIKKEERRQRQSGIDSNPGYDLASGDVPDEAKALPSKSMALQGSSERSDVPPSKYKSVSKYNSSEKSKRSKDGDVFLPEDKNKEHSYPSDAQKPDLSSKKRIVKEWEESQHNSTPPVSKMSIVNQSSSSKETCKDQNLKETKSKLTKSEEPFAMTDSKSIKVAHSNQTSRNLNNELFEDSTPFAVKSGMSEPPENRSSEQALDLAEPASSDLAYFQTTAVTSSSSKASGSQRRKQNFHVAKTSPIESVSSSPSRISNNDKVSHDKILGKDGSTCANTNNMQSLVKNTEVIVDNVRQARKSHESMLASEPVMNGFSQGNSDKDNELPQLTQGHASNGIISGRSLDDDLQHASGRKDSSLKSSNAARSHNHLHYANKNNLLTDGSSIQHRMAVLDTKGDSMVHENKRSVTSLQDRNGSTHYPPDGNPQSEVSFGKEKSHPKSNKHDMQNSKAQMLPSPLKESKVESHSAPLRSNASKLTAQLKRGNVENGGQHGITKQAISNPADTSSPVRKDNNSTGYALKEARDLKHKANRLKEEGKEQESTRLYFESALKYLHVASTLEPPPSIDGFKQCDAAQNLYSDTAKLCNFVGHAYEKSKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQMLQAIVQNPPGESPSSSASDIDNLNNNGLSKGPSSKDANSPQVTGNNLLLAARNQPHLTRLLAYTNDVNCAFDATRKSQMAIASAASNQENGIDGLSSVKTVLDFNFQSVNDLLRLVRLSMESISC >ONIVA07G25410.1 pep chromosome:AWHD00000000:7:23198309:23203356:-1 gene:ONIVA07G25410 transcript:ONIVA07G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5G7] MDAETGPAAPQDQWKSYCRTISLLAFQSFGVVYGDLSTSPLYVYKSAFSGRLNNYRDETTIFGLFSLIFWTLTLLPLLKYVIIVLNADDNGEGGTFALYSLLCRHAKFSLLPNQQSADEELSTYYQPGVGGIISSPLKRFLEKHRKLRTCLLLFVLFGACMVIGDGVFTPAISVLSAISGLKDPGPGGIPDGWVVFIACIVLVGLFALQHRGTHRVAFMFAPIVVVWLLSIGVIGLYNIIHWNHRIFLALSPHYVIKFFKMTGKDGWLSLGGVLLAITGTEAMFADLGHFTAASIRLAFVGAIYPCLVLQYMGQAAFLSRNMSAVEDSFYQSVPRSLFWPVFVIATLAAVVGSQSIISATFSIVKQCLSLGCFPRVKVVHTSRWIHGQIYIPEINWILMVLCLAVTLGFRDTTVIGNAYGLACIVVMFVTTWLMALVIIFVWQKNILLALLFVVAFGSIEVVYLSAAVTKVPQGGWAPIVFAFVFMLVMYVWHYGSRRKYLFDLQNKVSMKWILTLGPSLGIVRVPGIGLIYTELVTGVPSIFSHFVTNLPAFHQVLVFVCVKSVPVPFVPEDERYLIGRIGPREYRMYRCIVRYGYKDVQKDDENFENHLVMSIAKFIQMEAEEAASSGSYESSEGRMAVIHTEDTTGTGLVMRDSNNEASGTSLTRSSRSETLRSLQSIYEQESGSLSRRRRVRFEIAEEERIDPQVRDELADLLDAKEAGVTYIIGHSYVKARKNSNFLKTFAIDYAYSFLRKNCRGPAVALHIPHISLVEVGMIYYV >ONIVA07G25400.1 pep chromosome:AWHD00000000:7:23194619:23197291:-1 gene:ONIVA07G25400 transcript:ONIVA07G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDLTDEERRALRGSKFAPLPAPTAPSRPQPRMAHPGGPLTTNKAAALAKFLERKLQQPDGLESLNPDLVKLAVRNAKETIKASKGEPSTSGRIVRHVSSFGDSSEDSDDSKDGEEAKGKDKSFQNEGEQSKKKKKNKKKNLKAAKDSKSQKASKKKKLKSL >ONIVA07G25390.1 pep chromosome:AWHD00000000:7:23185848:23186804:-1 gene:ONIVA07G25390 transcript:ONIVA07G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRGSGSSSSSSSSQASLMAFSEPPKPASQPSPPSSPMSERPPSGRSRRRAQEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFVYTHAAYNYPPFLAPFHAPQYAAAAAAPSSVQYGGGVGAAPHIGSYGHHHHHHHHHGHGAASGASSVGECSTMPVMVPVDPHRSSMSSSLLDMDRNGHDFLFSGADDNSGYLSSVVPESCLRPRGGGAAADHQDMRRYSDADAYGMMGLREDVDDLAQMVAGFWGGGDAADQLGACGFPASGGAADMVASSQGSDSYSPFSFLSH >ONIVA07G25380.1 pep chromosome:AWHD00000000:7:23176294:23183313:1 gene:ONIVA07G25380 transcript:ONIVA07G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVAAAAPRLLACRWNRVAPLPTSPSRRPLQAQAAARMDRPRHAVVVRCKKKKKGAADEPAGELGPEEGGDPHQDDTPFNYEINKIRGYWTEIHSAKISYLTVRMYVSTFVKTAEDTYHRKVDRATILSFLCALQGLAAVSHILFEDALAFVRSSQPDYSPNHDVEVINRYYQQEIKRLINNFREASTTEALEAAPLHPVMLPHQMTGKMSS >ONIVA07G25370.1 pep chromosome:AWHD00000000:7:23168907:23171963:1 gene:ONIVA07G25370 transcript:ONIVA07G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLQSCLFSCSPATALSIRRSPLTSPAISPAASQRNCCLPRLKTTTQSCRITTAARPPLTAVQCQKGDGGGAPPAPPRRPSDYLQEEKEKVLKHYREIISIDDGCLYAEATEKSAGHTAELNLAAPNETSTETVHRVSKKTVESYLRALRGLAAVTHVLLDDALEAVSHRAPMDSLAEYAFNSDVKPLYDDFQAEMNTLVHKIDKALDPHICRIAVWVMARATQITGTIIGLMVSRRKRALENARSKMVADSATI >ONIVA07G25360.1 pep chromosome:AWHD00000000:7:23158642:23162359:-1 gene:ONIVA07G25360 transcript:ONIVA07G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylose isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G57655) TAIR;Acc:AT5G57655] MMGAKLLLLLVASSLCLSAAIATQQTCPADLDSKCGDAASGDWEGEFFPGIPKIKYEGPSSKNPLAYKWYNAEEVILGKKMKDWMRFSVAFWHTFRGTGGDPFGAPTKSWPWEDGTNSLDMAKRRMRAHFEFMEKLGVDRWCFHDRDIAPDGKTLTETNKNLDEIVELAKKLQEETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYGAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHLASFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDVATTFSFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFLTDISEATLVMSSVVKNGGLAPGGFNFDAKLLVPADIVFFFVRRESTDVEDMFLAHISGMDTLARGLRNVVKLIEDGSLDELVRKRYQSFDSEIGALIEAGKGDFETLEKKVLEWGEPIVPSGKQELAEILFQAAL >ONIVA07G25350.1 pep chromosome:AWHD00000000:7:23157795:23167315:1 gene:ONIVA07G25350 transcript:ONIVA07G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP1/OBG family protein [Source:Projected from Arabidopsis thaliana (AT5G18570) TAIR;Acc:AT5G18570] MHHWNVSTQEERNSQADDASPAARSGNGRSRSHATHLIRSAQGEPKKKKKRKISLSSNGNEMAPAVAVVAAAAAFPFRLFSAEARRNTKGSRSKRGSARPLKPSPPPRPSASSSAAGGGGATTFTRLPLRNAPASVEMTLDRFPTANPEPRASTFTRRNGERLGDDEEDEEEEDEVELGLRGATTFARLPLRDSPDGGDLTIGHFDAGVATQEGLRSRAISRQLVEHLDDVEEEEEEQVVSRLDIFEGAKGREARAFLPDEDDEDDDVVVFDPEYDGYSDDEEFVATAVEQSPRGDAIAVAELEKLKYDNDDDDDDDDDEVVVFHPDDDEEVDVFEDYDDDEEEETKEKGVPAVMRCFDTAKIYAKAGDGGNGVVAFRREKYVPLGGPSGGDGGRGGNVFVEVDGDMNSLLPFRKSVHFRAGRGAHGQGRQQAGAKGDDVVVKVPPGTVVRSAAGDVELLELMRPGQRALLLPGGRGGRGNAAFKSGTNKAPRIAEKGEKGPEMWIDLELKLVADVGIVGAPNAGKSTLLTAISAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGHEFLRHSERCSVLVHVVDGSGEQPEYEFEAVRLELELFSPSLVDKPYIVVYNKMDLPEASERWNKFQEKLQAEGIEPYCISAMIRQGTEDVVLAAYKVLQKDRQRMKDDEEWNGPENLNHVADAIKRERRAPMNEFEIFHDKGTNTWNVVGAGIERFVQMTNWQYSESLKRFQHALEACGVNKTLIKRGVKEGDTVVVGEMEMVWTDEPSKTRSSKTMNSKDDSVRWPEFG >ONIVA07G25340.1 pep chromosome:AWHD00000000:7:23144257:23157365:1 gene:ONIVA07G25340 transcript:ONIVA07G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MAAAASSSSPPGTASPVLSVRIVSLDYYMAPPLPGFDFSYSHFHGGEVEEVPVIRIYGSTPAGQKTCLHIHRVLPFLYVPCKEDLLHNVEKGNSFISGLLSDLEKALQIRSSSKKKHDGAVLNRVFQPYESHIPYLLHFLIDYNLYGMGYVHVTDFKFRPPLPDDFHPKSSLHSKVDCSTESGHKVHPDNVAIRKLTIWISSTVPHSLILASSATSHCMEGTNWNVTNRHSSLMLEADSRIEGILNEKYKMYTSLSQATADSKIVRSLLSIWEELEHLRLLEEAKPVDMGRPLRDSVLRSFLHGIKYETALSMLCPKEEVSYHRVPTMEESEKLEECLKSLNDIIGTITFSQNDYCSIIDSDISAGTQQENQITNVLCLEPSEEGNTQCPVSSSAAQRTSSQLFEEHEKLVDAEALGLLSWLASSQAAEEPTTDDELVNEAILSPLFSKKSIAVALESAQLDFDGASQQECQDILDSIGPVIGEEQPNDQMSYRSSVRLGESSSLSNSIPQIDGSSDENKEVPQEDGGAMPTEKVLSASFMSGTGKNSHANPDNTERGSSSPTGEHDPLCDSVRDLMRRRRRSFRSEQSEVGNSGDAAYIVRKENEIVNSERLELHDISSDLSNSEMYYSGSEYLQMTFARKPPMKNEVLCLEGSSAASKLGFADIPPFFNQTAEENKQNESFQRMGSSWDTLGVPTHFQNDGSALYLLTHAFSPPSTVAVGQWLTQQSCSVSVSGIGHSNYGEKVSVDQEGANNSTLSPYMGGPALMDDSPASKMALEHSITTFPDDTVMIEPNLSNQEIKNLADWHDFSQISGGDEKDKLTPLSQIGFCDPASIGGGQQLTIISIEVITESRGELRPDPRFDAINAVSLAVEDDADNTIEVHVLIRGNNDSSHRRRLNLDGVSGCDVNVFPGERELLNHLINAICSIDPDIIVGWEIQLGSLGFLAERAAHLGIGLLKRISRTPPHQMKHPPMNPVDESSQELPGASSADDVIDDASENNWSHAHASGIHVDGRIILNLWRLMRAEVKLNNYSLEAVANEVLRRKVPLVPTKILNRWFATGSGRGRYRCIEYVNKRSSLNLEILNQLDLVNRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSVLGVSSYSADPQKIADLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKKAMKKLSASQKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAEIADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDREEAFRIGKEIASSITAMNPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQKEPIFDAKGIETVRRDTCPAVAKILEQSIRIMFEEQDLAKVRSYLERQWTRILSGKISIQDFVFAKEVRLGTYSARASSLPPAAIVATKAILSDPRAEARYGERVPYVVIHGEPGARLVDMVIDPYGLLEVGSPYRLNALYYITKQIIPALQRVFGLVGADLNKWFNEMPRPIRETLAKRQSASGHGSFSRLGLNKKGVGKGSRIDTYYMSSHCIICGEIIQGSDTFCNNCLRNEAVVGTIVAGRTSKLEREIQHLAAICGHCGGADWIVESGIKCISLACPVFFERRKIQRELRGVSESAIEAGYYPFCCPELF >ONIVA07G25340.2 pep chromosome:AWHD00000000:7:23144257:23157365:1 gene:ONIVA07G25340 transcript:ONIVA07G25340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MAAAASSSSPPGTASPVLSVRIVSLDYYMAPPLPGFDFSYSHFHGGEVEEVPVIRIYGSTPAGQKTCLHIHRVLPFLYVPCKEDLLHNVEKGNSFISGLLSDLEKALQIRSSSKKKHDGAVLNRVFQPYESHIPYLLHFLIDYNLYGMGYVHVTDFKFRPPLPDDFHPKSSLHSKVDCSTESGHKVHPDNVAIRKLTIWISSTVPHSLILASSATSHCMEGTNWNVTNRHSSLMLEADSRIEGILNEKYKMYTSLSQATADSKIVRSLLSIWEELEHLRLLEEAKPVDMGRPLRDSVLRSFLHGIKYETALSMLCPKEEVSYHRVPTMEESEKLEECLKSLNDIIGTITFSQNDYCSIIDSDISAGTQQENQITNVLCLEPSEEGNTQCPVSSSAAQRTSSQLFEEHEKLVDAEALGLLSWLASSQAAEEPTTDDELVNEAILSPLFSKKSIAVALESAQLDFDGASQQECQDILDSIGPVIGEEQPNDQMSYRSSVRLGESSSLSNSIPQIDGSSDENKEVPQEDGKYKIDRKRAGLPSYSSPQSSSKASKRGGNELLWGSLPLSIKKRSDLNADGHSGGAMPTEKVLSASFMSGTGKNSHANPDNTERGSSSPTGEHDPLCDSVRDLMRRRRRSFRSEQSEVGNSGDAAYIVRKENEIVNSERLELHDISSDLSNSEMYYSGSEYLQMTFARKPPMKNEVLCLEGSSAASKLGFADIPPFFNQTAEENKQNESFQRMGSSWDTLGVPTHFQNDGSALYLLTHAFSPPSTVAVGQWLTQQSCSVSVSGIGHSNYGEKVSVDQEGANNSTLSPYMGGPALMDDSPASKMALEHSITTFPDDTVMIEPNLSNQEIKNLADWHDFSQISGGDEKDKLTPLSQIGFCDPASIGGGQQLTIISIEVITESRGELRPDPRFDAINAVSLAVEDDADNTIEVHVLIRGNNDSSHRRRLNLDGVSGCDVNVFPGERELLNHLINAICSIDPDIIVGWEIQLGSLGFLAERAAHLGIGLLKRISRTPPHQMKHPPMNPVDESSQELPGASSADDVIDDASENNWSHAHASGIHVDGRIILNLWRLMRAEVKLNNYSLEAVANEVLRRKVPLVPTKILNRWFATGSGRGRYRCIEYVNKRSSLNLEILNQLDLVNRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSVLGVSSYSADPQKIADLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKKAMKKLSASQKVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAEIADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDREEAFRIGKEIASSITAMNPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQKEPIFDAKGIETVRRDTCPAVAKILEQSIRIMFEEQDLAKVRSYLERQWTRILSGKISIQDFVFAKEVRLGTYSARASSLPPAAIVATKAILSDPRAEARYGERVPYVVIHGEPGARLVDMVIDPYGLLEVGSPYRLNALYYITKQIIPALQRVFGLVGADLNKWFNEMPRPIRETLAKRQSASGHGSFSRLGLNKKGVGKGSRIDTYYMSSHCIICGEIIQGSDTFCNNCLRNEAVVGTIVAGRTSKLEREIQHLAAICGHCGGADWIVESGIKCISLACPVFFERRKIQRELRGVSESAIEAGYYPFCCPELF >ONIVA07G25340.3 pep chromosome:AWHD00000000:7:23144257:23157365:1 gene:ONIVA07G25340 transcript:ONIVA07G25340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MAAAASSSSPPGTASPVLSVRIVSLDYYMAPPLPGFDFSYSHFHGGEVEEVPVIRIYGSTPAGQKTCLHIHRVLPFLYVPCKEDLLHNVEKGNSFISGLLSDLEKALQIRSSSKKKHDGAVLNRVFQPYESHIPYLLHFLIDYNLYGMGYVHVTDFKFRPPLPDDFHPKSSLHSKVDCSTESGHKVHPDNVAIRKLTIWISSTVPHSLILASSATSHCMEGTNWNVTNRHSSLMLEADSRIEGILNEKYKMYTSLSQATADSKIVRSLLSIWEELEHLRLLEEAKPVDMGRPLRDSVLRSFLHGIKYETALSMLCPKEEVSYHRVPTMEESEKLEECLKSLNDIIGTITFSQNDYCSIIDSDISAGTQQENQITNVLCLEPSEEGNTQCPVSSSAAQRTSSQLFEEHEKLVDAEALGLLSWLASSQAAEEPTTDDELVNEAILSPLFSKKSIAVALESAQLDFDGASQQECQDILDSIGPVIGEEQPNDQMSYRSSVRLGESSSLSNSIPQIDGSSDENKEVPQEDGKYKIDRKRAGLPSYSSPQSSSKASKRGGNELLWGSLPLSIKKRSDLNADGHSGGAMPTEKVLSASFMSGTGKNSHANPDNTERGSSSPTGEHDPLCDSVRDLMRRRRRSFRSEQSEVGNSGDAAYIVRKENEIVNSERLELHDISSDLSNSEMYYSGSEYLQMTFARKPPMKNEVLCLEGSSAASKLGFADIPPFFNQTAEENKQNESFQRMGSSWDTLGVPTHFQNDGSALYLLTHAFSPPSTVAVGQWLTQQSCSVSVSGIGHSNYGEKVSVDQEGANNSTLSPYMGGPALMDDSPASKMALEHSITTFPDDTVMIEPNLSNQEIKNLADWHDFSQISGGDEKDKLTPLSQIGFCDPASIGGGQQLTIISIEVITESRGELRPDPRFDAINAVSLAVEDDADNTIEVHVLIRGNNDSSHRRRLNLDGVSGCDVNVFPGERELLNHLINAICSIDPDIIVGWEIQLGSLGFLAERAAHLGIGLLKRISRTPPHQMKHPPMNPVDESSQELPGASSADDVIDDASENNWSHAHASGIHVDGRIILNLWRLMRAEVKLNNYSLEAVANEVLRRKVPLVPTKILNRWFATGSGRGRYRCIEYVNKRSSLNLEILNQLDLVNRTSELARVFGIDFFSVLSRGSQFRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSVLGVSSYSADPQKIADLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKKAMKKLSASQKVLQRLLDSVVVCPVQRLQTALFSVAVERLKQLYHSLTSTLCGKLELYMVILTGRSREEAFRIGKEIASSITAMNPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQKEPIFDAKGIETVRRDTCPAVAKILEQSIRIMFEEQDLAKVRSYLERQWTRILSGKISIQDFVFAKEVRLGTYSARASSLPPAAIVATKAILSDPRAEARYGERVPYVVIHGEPGARLVDMVIDPYGLLEVGSPYRLNALYYITKQIIPALQRVFGLVGADLNKWFNEMPRPIRETLAKRQSASGHGSFSRLGLNKKGVGKGSRIDTYYMSSHCIICGEIIQGSDTFCNNCLRNEAVVGTIVAGRTSKLEREIQHLAAICGHCGGADWIVESGIKCISLACPVFFERRKIQRELRGVSESAIEAGYYPFCCPELF >ONIVA07G25330.1 pep chromosome:AWHD00000000:7:23136540:23139397:-1 gene:ONIVA07G25330 transcript:ONIVA07G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCSGSSGKGGVDAKSVAALSPGPRPAASAAPDRSNSSRGSGIKKDDSVRRGGSSANDGPAKIFTFRELAVATKNFRKDCLLGEGGFGRVYKGQMENGQVIAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDRPPGKKPLDWNARMKIAVGAAKGLEYLHDKANPPVIYRDFKSSNILLGEDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDHTQPAGEQNLVAWARPLFRDRRKFCQMADPSLQGCYPKRGLYQALAVASMCLQENATSRPLIADIVTALSYLASNHYDPNAPSAKSSRTCPSTPKAKAHRRTTSVPDAQHAADSLNWNFPDLGRKETTRGEFEQDHSEGYGSGSSSGRNDGLDVPELLALHNGQNNSEADIYHKSSVKLDAHEKQRSGSGKGSRQF >ONIVA07G25320.1 pep chromosome:AWHD00000000:7:23133403:23134722:1 gene:ONIVA07G25320 transcript:ONIVA07G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G05675) TAIR;Acc:AT3G05675] MSGVRRAAGRPKIGDLATSDVVVRLRTPEGRDEWLYCHSGVLAAGSRYFADRLSDDWPTCQILGSRYCVEVHCQELDLSPHVTALRLLYAAEPCSRFGVRGALGVLQAAAHLACPRVAAACVDYLESAPWDEADEEEILRTIPCLGPQYECVLARLRPIDPAPVAGILLSAFRHATSTRSPPQELKSAAQEQLEYMLTEDDDAPLLAFDDDIVRSQVKDCVAALLSRFSGFTSSILMEQGEAPLGHGDAEVQQELHSLVSDISWVCQILSKLEMMKCVVVYWIGVSSDVVEAVDKACGGIGCLKTRLKVIEVSAKVLEAIAFGNIVLPTEKRCGAVNVWIGFARRTKPLVGHPEHDDDDGDAEAPKINLDSEVWQSLESAIVSIVLTLPSNSQADILSDWLQSKHAKYPDLTEAFEVWCYRSKAAKRRLSFLSHANRVS >ONIVA07G25310.1 pep chromosome:AWHD00000000:7:23126447:23131427:1 gene:ONIVA07G25310 transcript:ONIVA07G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWRCVSVLALVLLLSNASHGRDISVQHSQQTLNYSHTLAMTLVEYASAVYMTDLTALYTWTCSRCNDLTQGFEMKSLIVDVENCLQAFVGVDYNLNSIIVAIRGTQENSMQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARQSYGDINVIVTGHSMGGAMASFCALDLAINLGSNSVQLMTFGQPRVGNAAFASYFAKYVPNTIRVTHGHDIVPHLPPYFSFLPHLTYHHFPREVWVNDSEGDITEQICDDSGEDPNCCRCISTWSLSVQDHFTYLGVDMEADDWSTCRIITAENVRQLQKDLASNIIVSKHSVDVTIVEPSSQTY >ONIVA07G25310.2 pep chromosome:AWHD00000000:7:23126234:23131427:1 gene:ONIVA07G25310 transcript:ONIVA07G25310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGWFGCVCRFMERWRCVSVLALVLLLSNASHGRDISVQHSQQTLNYSHTLAMTLVEYASAVYMTDLTALYTWTCSRCNDLTQGFEMKSLIVDVENCLQAFVGVDYNLNSIIVAIRGTQENSMQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARQSYGDINVIVTGHSMGGAMASFCALDLAINLGSNSVQLMTFGQPRVGNAAFASYFAKYVPNTIRVTHGHDIVPHLPPYFSFLPHLTYHHFPREVWVNDSEGDITEQICDDSGEDPNCCRCISTWSLSVQDHFTYLGVDMEADDWSTCRIITAENVRQLQKDLASNIIVSKHSVDVTIVEPSSQTY >ONIVA07G25300.1 pep chromosome:AWHD00000000:7:23119361:23120549:-1 gene:ONIVA07G25300 transcript:ONIVA07G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHHMHDVFAQTTSIIGHEEEEEVTIDPTKWGAWAHRGHRLWASMSEDFWIHVYKVQRCPRSSSHDWTSCPYAHKGERARRRDTRRFAYAAVSCPDYRPHEAAPGAVPSCAHGLRCRYAHGVFELWLHPSRFRTRMCSAGTRCPRRICFFAHSAAELRDDPNSIASAILTPMPIPMPMPIPMRTPDADHHPRVSAMRDQLDLIEEAMRNRLRLYSNANANANVGSSSATAVATTTIPVSTLANGEGSTGKRCGCRRCVEEEDALLNGYPHYDLIMDLVDE >ONIVA07G25290.1 pep chromosome:AWHD00000000:7:23114540:23118337:1 gene:ONIVA07G25290 transcript:ONIVA07G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHLLLLLVVIIICYAPTSSTAAGGEVPFYEDCPSTADGTYAPNSTYQSNLAALAAELIENSTEYGSAAGSFGAAPDAVYGVALCRGDSKGPLCAGYLRDAFDAAMNRTTSSRPLCELRRNVTLFYDRFQLRFADADFVSGYGNEPEWPLNNTNVVDAPVAGRFREHVAALLNATARDAAAQPDRYGTGDSWFQEGGSMVYALVQCTRDMDPGRCGACLQRIISEMPRMLDASQIGGRVLGVRCLLRYEMASNSFFHIDNRTLHLQKQPTQQSSSSSGAKTWPIIVAVAGVAVCISCFFLFRELKRRRRRARVRSELRRLSMAVQNVITLWRLEEGNSGFKLYDFSDIKDATNNFSSESLLGKGGFGSVYKGQMPSGPEVAAKRLAACSGQGLLEFKNEIQLVARLQHRNLVRLLGCCIEGDQEKILVYEYMPNKSLDVFIFDNVKRELLDWPKRLHIIHGISQGLLYLHEHSTVCVVHRDLKASNVLLDAEMNAKISDFGIARIFGSNAAQSSTTRIVGTIGYIAPEYALDGVCSSKADVFSFGVLILEIISGKRTGGSYRYNDGKLYCLIAYAWLLWKDGRWHELIDECLGDRYHASIRTCMQVALLCVQEDAEDRKAMDEVVKMLGNEQAASQLPEPKQSAYFNVRPSGGSGGGDAPPSACNISISMITPR >ONIVA07G25280.1 pep chromosome:AWHD00000000:7:23111886:23112296:1 gene:ONIVA07G25280 transcript:ONIVA07G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDVGDKDEQGGGGGERREVDRTTTGSTISVPEINQRNFAGGSAMKEDDDGDIGGLEDGVSDEDGRHCAQSLTSISPPQSNRVIAGQPLVGSLPVTIVVVLPRTSITTMVESSSFAPPFISHILWLISAEKDGSL >ONIVA07G25270.1 pep chromosome:AWHD00000000:7:23108644:23110620:-1 gene:ONIVA07G25270 transcript:ONIVA07G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAFLAVVCAAAMAAVSGAEEVYEFGSGASFIFGDSLVDAGNNNYIPSLSKANMTPNGIDFAASGGMPTGRFTNGRTIADIIGEMLGQTDYSPPFLAPNTTGGALLNGVNYASGGAGILNGTGRIFVNRIGMDLQVDYFNITRKQLDDLLGKAKAKEFLKKKAIFSITVGSNDFLNNYLMPVLSAGTRRLYTLDARKFVVANVGPLGCIPYQKTINRVGENECVKLPNQLASQYNGRLRELLIQLNGDLAGAKFCLANVYDLVMDVITNYDSYGFETASMACCGNGGTYDGMVPCGPASSMCGDRKSHVFWDPYHPSEAANLVMAKYIVDGDSKYISPMNLRKLFSL >ONIVA07G25270.2 pep chromosome:AWHD00000000:7:23109288:23110620:-1 gene:ONIVA07G25270 transcript:ONIVA07G25270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAFLAVVCAAAMAAVSGAEEVYEFGSGASFIFGDSLVDAGNNNYIPSLSKANMTPNGIDFAASGGMPTGRFTNGRTIADIIGEMLGQTDYSPPFLAPNTTGGALLNGVNYASGGAGILNGTGRIFVNRIGMDLQVDYFNITRKQLDDLLGKAKAKEFLKKKAIFSITVGSNDFLNNYLMPVLSAGTLNRPIIYIMLDRWPETD >ONIVA07G25270.3 pep chromosome:AWHD00000000:7:23108644:23109268:-1 gene:ONIVA07G25270 transcript:ONIVA07G25270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPPLIRLYTLDARKFVVANVGPLGCIPYQKTINRVGENECVKLPNQLASQYNGRLRELLIQLNGDLAGAKFCLANVYDLVMDVITNYDSYGFETASMACCGNGGTYDGMVPCGPASSMCGDRKSHVFWDPYHPSEAANLVMAKYIVDGDSKYISPMNLRKLFSL >ONIVA07G25260.1 pep chromosome:AWHD00000000:7:23096593:23107772:-1 gene:ONIVA07G25260 transcript:ONIVA07G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAGRARRLLTSPAASGILSAPRPGCAALAGSGALLPRLDGVPSSPSPSPAPPLLARSFSATSSSRLPRNLLSPSISSQWRNEKSVCYHMAATHYSTEASDIDQPTAAERSDRRAMTFLRPRQQDFKRRLRSRMRHLQQPKVAASLGRPPVALLPTLMATRLGGMEASMAVVELYQKMLKSVEAETMPPNAWLWSMISSCSNKEDIKLLIQILQKLRRLSNLRIDANFNDHLCMKVAEACARVGALDYGLKVLWKHNVYGITPTIGSAHYLLKHAKEKNDTKLMGSIMQVLQRNSMPLQPGTADIVFSICYNADRWDLLSKYARRFVKSGVKLHGASFDIWMDFAAKVGDSQSIWNINSLRGKSVKRYNLATGFACVKGFLLERKPESAAAMIKLLHKHSPDEKKQLVTDELQKLVAEWPAEVIKRQKKDDRKALEEALITDIPQMISSVSKLRLDISSRRRRRRPDQRRKDSPPVTGNFRMRRIRGFVFVVILVMISSLCSATNALSWSMFSSSSKKPSMAPPPPLDGGVPVAEFSIDGGGDARGDKLMENARRRIAAGDGRPGTTCWSEAYRSLFASCGDIMADKELQARLAWRLSGCFQEDSGRPPLPPCDAAAAHGHGHADMVHCRERLSDSESKVFLAFFLETNTLCHQLQAEAFKRSTERLVNDLTRSARSAREKLEAIEERSEKIMRESDHLRRSLSSIMSQTEHLATASEDVRARIGDVLDRSAAIFERSREIAAAQAELRGGQAAMREELAAGMAQVEASYRSIGEEMGRLKQEAMGIEREVRAVGDAMAARMVDLQSAADDIGAAAGRSLENQMLLLDGQAKAMEGLNHIYSFQAQALQESRETIQKLAQFGQQQQEELLSRQEQIRHAHDDLMKNSESILEAQEEFRAKQASIFAALDKLYVLHNAVLVESRFIKAFFFYCCITFLVYLLTSAKQTFAIRGHLYFGLCITLVLETVVIKLGADDFSKQFLIMSKVLLIRSVFLAAAAAQILHSIFTYKDYEVLNHQLLQTLMEKVRAIEGNGSGGDQMNPWSTGSDCSSIGDCSLFFDEQLQDEVDSEIDPDFALPREICGNGSILPEEFGENSVTTSISRRYNLRPRIRPRFSEDNHIR >ONIVA07G25260.2 pep chromosome:AWHD00000000:7:23096593:23107772:-1 gene:ONIVA07G25260 transcript:ONIVA07G25260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAGRARRLLTSPAASGILSAPRPGCAALAGSGALLPRLDGVPSSPSPSPAPPLLARSFSATSSSRLPRNLLSPSISSQWRNEKSVCYHMAATHYSTEASDIDQPTAAERSDRRAMTFLRPRQQDFKRRLRSRMRHLQQPKVAASLGRPPVALLPTLMATRLGGMEASMAVVELYQKMLKSVEAETMPPNAWLWSMISSCSNKEDIKLLIQILQKLRRLSNLRIDANFNDHLCMKVAEACARVGALDYGLKVLWKHNVYGITPTIGSAHYLLKHAKEKNDTKLMGSIMQVLQRNSMPLQPGTADIVFSICYNADRWDLLSKYARRFVKSGVKLHGASFDIWMDFAAKVGDSQSIWNINSLRGKSVKRYNLATGFACVKGFLLERKPESAAAMIKLLHKHSPDEKKQLVTDELQKLVAEWPAEVIKRQKKDDRKALEEALITDIPQMISSVSKLRLDISSGALLQSRRRRRRPDQRRKDSPPVTGNFRMRRIRGFVFVVILVMISSLCSATNALSWSMFSSSSKKPSMAPPPPLDGGVPVAEFSIDGGGDARGDKLMENARRRIAAGDGRPGTTCWSEAYRSLFASCGDIMADKELQARLAWRLSGCFQEDSGRPPLPPCDAAAAHGHGHADMVHCRERLSDSESKVFLAFFLETNTLCHQLQAEAFKRSTERLVNDLTRSARSAREKLEAIEERSEKIMRESDHLRRSLSSIMSQTEHLATASEDVRARIGDVLDRSAAIFERSREIAAAQAELRGGQAAMREELAAGMAQVEASYRSIGEEMGRLKQEAMGIEREVRAVGDAMAARMVDLQSAADDIGAAAGRSLENQMLLLDGQAKAMEGLNHIYSFQAQALQESRETIQKLAQFGQQQQEELLSRQEQIRHAHDDLMKNSESILEAQEEFRAKQASIFAALDKLYVLHNAVLVESRFIKAFFFYCCITFLVYLLTSAKQTFAIRGHLYFGLCITLVLETVVIKLGADDFSKQFLIMSKVLLIRSVFLAAAAAQILHSIFTYKDYEVLNHQLLQTLMEKVRAIEGNGSGGDQMNPWSTGSDCSSIGDCSLFFDEQLQDEVDSEIDPDFALPREICGNGSILPEEFGENSVTTSISRRYNLRPRIRPRFSEDNHIR >ONIVA07G25260.3 pep chromosome:AWHD00000000:7:23096593:23107772:-1 gene:ONIVA07G25260 transcript:ONIVA07G25260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAGRARRLLTSPAASGILSAPRPGCAALAGSGALLPRLDGVPSSPSPSPAPPLLARSFSATSSSRLPRNLLSPSISSQWRNEKSVCYHMAATHYSTEASDIDQPTEAVVELYQKMLKSVEAETMPPNAWLWSMISSCSNKEDIKLLIQILQKLRRLSNLRIDANFNDHLCMKVAEACARVGALDYGLKVLWKHNVYGITPTIGSAHYLLKHAKEKNDTKLMGSIMQVLQRNSMPLQPGTADIVFSICYNADRWDLLSKYARRFVKSGVKLHGASFDIWMDFAAKVGDSQSIWNINSLRGKSVKRYNLATGFACVKGFLLERKPESAAAMIKLLHKHSPDEKKQLVTDELQKLVAEWPAEVIKRQKKDDRKALEEALITDIPQMISSVSKLRLDISSGALLQSRRRRRRPDQRRKDSPPVTGNFRMRRIRGFVFVVILVMISSLCSATNALSWSMFSSSSKKPSMAPPPPLDGGVPVAEFSIDGGGDARGDKLMENARRRIAAGDGRPGTTCWSEAYRSLFASCGDIMADKELQARLAWRLSGCFQEDSGRPPLPPCDAAAAHGHGHADMVHCRERLSDSESKVFLAFFLETNTLCHQLQAEAFKRSTERLVNDLTRSARSAREKLEAIEERSEKIMRESDHLRRSLSSIMSQTEHLATASEDVRARIGDVLDRSAAIFERSREIAAAQAELRGGQAAMREELAAGMAQVEASYRSIGEEMGRLKQEAMGIEREVRAVGDAMAARMVDLQSAADDIGAAAGRSLENQMLLLDGQAKAMEGLNHIYSFQAQALQESRETIQKLAQFGQQQQEELLSRQEQIRHAHDDLMKNSESILEAQEEFRAKQASIFAALDKLYVLHNAVLVESRFIKAFFFYCCITFLVYLLTSAKQTFAIRGHLYFGLCITLVLETVVIKLGADDFSKQFLIMSKVLLIRSVFLAAAAAQILHSIFTYKDYEVLNHQLLQTLMEKVRAIEGNGSGGDQMNPWSTGSDCSSIGDCSLFFDEQLQDEVDSEIDPDFALPREICGNGSILPEEFGENSVTTSISRRYNLRPRIRPRFSEDNHIR >ONIVA07G25250.1 pep chromosome:AWHD00000000:7:23092239:23098363:1 gene:ONIVA07G25250 transcript:ONIVA07G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSTSSPAAANASRRRDHPPPPAEAQKQGADASAEAEAAAGEKGEQPAVAVAAAGPPVKRERRSRSSRSAHAHAHAEVRIGGSFANKARGEQVAAGWPAWLSAVAGDAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDSVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPEIKFTLPQVKCYVHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLAIDPADRLTATSALESEFFKTEPHACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRVNGEGARKTRTRERPRAVPAPEANAELQANIDKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPAFEPPDPSSFSTVFPYEKGSVPTWSGPLADPSSGNQKRKHKSGRSSKQPATARAR >ONIVA07G25240.1 pep chromosome:AWHD00000000:7:23078743:23079977:-1 gene:ONIVA07G25240 transcript:ONIVA07G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQRQTVGNLAIDGDLTYEILLRLPAKTVLRCGAMSKAWCRITTNPTFLSDHAHHRPLEALLYNSFGKAAGKIDMELDTLSVAVHHHVAVPERKGIGHHVICNPTTRQWAELPRLTGGRNLIECHREFGFYFHLQYDEYHLLCHCTMNLAGRLTNHMVAFDMVAETFKEMMPPLVTTKFFANLLAVDKFLMASKFTDLGMDLWVLEGYGVMDERWELWHHVVLPWQLSATLKRPLLIEGGDSGDVIMGTTYDLGVYNVKSKIFRVVVTVKPPDALLLSRNMLRESLVPHTFFNNQQPNPTRYVTKKTTCLPLFRFLS >ONIVA07G25230.1 pep chromosome:AWHD00000000:7:23076671:23078026:-1 gene:ONIVA07G25230 transcript:ONIVA07G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARRNHADDIGGDLVYEILIRLPAKSLLRSGAVCKAWRRITTDPAFLSDHARRRPLEAVLYSSKINLDDPMVGGGGGSWGFIDIDHHCFQDDEYNSIVDLKLQPLPIAGDDHRRSPSPVGEGQLRRLARFPEYKNRRRPEGLPRLRYWQYAYFDEEDEYCVPLASCDGLLLLRKNAGDYVVCNPATRRWAQLPPPTRGAARDVWCTRESGLLCHCTGTRRSYPNTTASYYVLAAGDLRRRRLGVQATPINSPAVPRDMSCTKLMAPAALHGRLHWLLHPESGLAGHVVAFDTVTEAFTRMAPPPVTRKASADLLATDGCLMASEFTASSSVDLWVLDGYHGGAMDERCCWQLRHRVVMPWGATISVPRLVAGGDDGDVILAVDGGGLGVYNVRSETVVGVVGVEGLPWFVHRESLVQHAFFNDRALNCLPLFRFTSCS >ONIVA07G25220.1 pep chromosome:AWHD00000000:7:23072924:23073951:-1 gene:ONIVA07G25220 transcript:ONIVA07G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQRQTVGNLDIDGDLTYEILLRLPAKTVLRCGAVCKAWRRITTNPTFLSDHARHHPPEALLYNSFGKATSKINMELDALSVTAHHQAAEGLSVGADEPRRLDVEATEIELFSTTQYTRSMMTPAALHGHLHWLQHPEGRLTNHMAVFNMVDETFKQMTSPPVTSKFFADLLAIDKFLMASEFTYLGVDLWVMEGYGAMDERWELRHRVVLPWMRGMTLERPLLIEGGNDSDVIMGTAYGLGVYNVKSKTFRTVITVKPPDALLLSRNMLRESLVPHTFFDNQQQHPTCLPLFHFLS >ONIVA07G25210.1 pep chromosome:AWHD00000000:7:23069168:23071893:-1 gene:ONIVA07G25210 transcript:ONIVA07G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05100) TAIR;Acc:AT3G05100] MAMPSSASATARMLTVPALLLLLSSAALLVFLILPSLSPSPAAHLCACSPPTTTHTTTTVTTTTTTASPAPVTTSPADVAWLKSQLASNSLPLLASHDAWHRLRKGINPRTREQQLFDINRHHGISHYPDEEATNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALTPRDQVLEIGCGTLRVSLHFIRFLEAAKFHCLERDELSLMAALRYELPAQGLLYKRPMIVRGEDMDFSRFGDTVMYDLIYASAVFLHIPDKLVWTGLERLAGKLRPQRGRIFVSHNIKFCSRLGGDECTRRLTELGLEYVGKHTHDSLLFNHYEIWWQHHTPELVQDKTAEEEEEEEAEAEGWPSPSEAEEIAAAGAGDPLERVLLLYLDGSV >ONIVA07G25200.1 pep chromosome:AWHD00000000:7:23064430:23066976:-1 gene:ONIVA07G25200 transcript:ONIVA07G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDDPAKKLAVDGGVAAAARCCDFCGGLPAVVYCRADSARLCLPCDRHVHAANTVSTRHARAPLCSACRAAPAAAFHRGDGFLCSSCDFDERLRRGSIGGGGDELPLDDRAAVEGYTGCPSIGELAAILGVVGGDSDKPADDGWWSASWEEEAPQVLSLDDIIVPTTSCHGLRPLLTPPSPENQSSPDNGELDGEVLRQLGELARSEAAAQATFVAGDQLASWASPEFTSGHGDFGIEAASTTVPSCENETWIMSTDCTDPTDASKTDIAREEAPASSSAEPCLSSLVEISEICPSMSYSGSGIDNGGHDPSTLAIMPTQALPKKGVYDIAYPDRGTVISRYKEKRKNRRFDKQIRYESRKARADGRLRIKGRFAKSN >ONIVA07G25190.1 pep chromosome:AWHD00000000:7:23060929:23062673:-1 gene:ONIVA07G25190 transcript:ONIVA07G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5D8] MSNPAMCAMSSLLATHHHAARCGAVRRENAWIAPARVGFRHARRGGGRDELSAAGLGRFLGYATADHKNKNHEVDDLEPARLFVGLPIDTVTDGATVNSARGVTSGMRAVKLLGADGVELPVFWSVAQPESPDRFSWAGYRAVADMARDEGLSLRVTLHFHGSPGGAVPLLPVWVSTAAADDPDILFTDRSGGRHDDCLSFAVDELPVIHGRSPLDCYDAFFRSFADAFQDLFDSTITDVTVGLGPNGELRYPSYPPGSDGRGFTGVGEFQCYDRYMLEQLRRHAAEAGEPLWGLSGPHDAPRYGDSPDACGFFNDHGGSWQSAYGDFFLSWYAGQLVGHGDRVLAVANGALGDRPVEASAKVPFMHWWHGARSRPAEAVAGFYKSGGKNGYSPVAKMFARRGCTVIVPGMDVCMNKQHRITGSSPDQLLVQIKNACRRHGARIAGENASLVVTHTSSFSRIRSNVLTAERMRPGHFTYQRMGEAFFSPEHWPAFVEFVRGVVCGEWPDEDEDRDVADNPNAMEAQPV >ONIVA07G25180.1 pep chromosome:AWHD00000000:7:23055444:23059637:1 gene:ONIVA07G25180 transcript:ONIVA07G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5D7] MSFRSVIQEVKGEIGAISRRGFRSRPGRVRRVAAAAEEPPDESSAAALVMRESCWTQLPPELLREVLARVEESEGWWPRRRDVVACAGVCRSWRGIVREIVRTPEASGNLTFPISLKQPGPRDAPMKCFIVRNRTTQTYYLYIGLTDALTDDGKFLLAARKCRRTTCTEYLISLDMNNISKRTDTYVGKLRSNFLGTKFTVYDAHPPYAGDVISKDQSARVIGSNHLSPRIPAGNYPVSHISYELNVLGSRGPRRMHCAMDSIPVSAIEQGGTAPTQTEFPLSYHESFTSIPFFKSKSVRANNSTASLLTQNGSKLVLKNKSPRWHEHLQCWCLNFHGRVTVASVKNFQLVASDESNPTNQEHDDVILQFGKVGKDMFTMDYRYPISAFQAFAICLSSFDTKIACE >ONIVA07G25170.1 pep chromosome:AWHD00000000:7:23046374:23051382:1 gene:ONIVA07G25170 transcript:ONIVA07G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5D4] MGLEVAAARLGALYTTSDYASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLMTKGKSSHLFVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTISIAAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSIVLFNALQNFDLVHIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDRPGKSIGISSILLGLVLLGRAAFVFPLSFLSNLTKKAPNEKITWRQQVVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASGHPVTSEPSSPKSLHSPLLTSMQGSDLENTTNIVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSHGGR >ONIVA07G25170.2 pep chromosome:AWHD00000000:7:23046374:23051382:1 gene:ONIVA07G25170 transcript:ONIVA07G25170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5D4] MGLEVAAARLGALYTTSDYASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLMTKGKSSHLFVKKKQFFRNFMTITLFGAVGTMISFFTISIAAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSIVLFNALQNFDLVHIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDRPGKSIGISSILLGLVLLGRAAFVFPLSFLSNLTKKAPNEKITWRQQVVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASGHPVTSEPSSPKSLHSPLLTSMQGSDLENTTNIVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSHGGR >ONIVA07G25170.3 pep chromosome:AWHD00000000:7:23046396:23051382:1 gene:ONIVA07G25170 transcript:ONIVA07G25170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:A0A0E0I5D4] MGLEVAAARLGALYTTSDYASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLMTKGKSSHLFVKKKQFFRNFMTITLFGAVGTMISFFTISIAAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSIVLFNALQNFDLVHIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDRPGKSIGISSILLGLVLLGRAAFVFPLSFLSNLTKKAPNEKITWRQQVVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASGHPVTSEPSSPKSLHSPLLTSMQGSDLENTTNIVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSHGGR >ONIVA07G25160.1 pep chromosome:AWHD00000000:7:23027929:23029727:-1 gene:ONIVA07G25160 transcript:ONIVA07G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NETWORKED 3A [Source:Projected from Arabidopsis thaliana (AT1G03470) UniProtKB/Swiss-Prot;Acc:Q66GR8] MVQKELSQAWWFDSHNLARTSPWLTNTLSDDKTKQMLKMIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQLDLLKNGNGTRHTVFGPSSCTQSWSHQAAAAAMGGKGTTGSRSSISLCSDNYDSESEVDDPEQENMEEELSESEDMMKHSSQSQADQAAQLELMHAEIEMFKEKNAELQKASAENEMLKQKNEELQRVSEENMALKAELAAKDEEKREVIRQLASSFDIVKKENFTLREYIIKNSKNSSSSSSRGFDFKKLTKDLFTAKLFTAHCKATTGPMVAL >ONIVA07G25150.1 pep chromosome:AWHD00000000:7:23022287:23024432:-1 gene:ONIVA07G25150 transcript:ONIVA07G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWPWYTGVRFDARVVYVDAGRDLALLRADTFSVPCTPLRFWEDGDVVLLAFFTMKYGQVLVEPGTFPGKILSEPVWDEETDSGEIRSDYTSESGTSGAPVFLQRVNKVVGVNSGALGGTVKTAISVRTIHAALRQWLQPGDENITIEEIPGHYLQLDEGLMDRKN >ONIVA07G25140.1 pep chromosome:AWHD00000000:7:23015359:23022297:1 gene:ONIVA07G25140 transcript:ONIVA07G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNVGAVHGDNHAFHNELRRSLVHLVSFQPGNEKPFSVAAIPIVSVNGFCYMISHSKVFRQQDAANWQHRVIFPDEIIVMLRIEDVIIQGSLAAFSVNTTAELPNPVKFSEQQVSHNQEVHTVNFEGVMEPSLLVRGRVTHVDQTAFFHDCTPGSFTALGSPVFNERSELVGICCRNDGVIGALNMNRIAELLSEINEDMVNMSLSQIVQHLEAANQGN >ONIVA07G25140.2 pep chromosome:AWHD00000000:7:23016976:23022297:1 gene:ONIVA07G25140 transcript:ONIVA07G25140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNVGAVHGDNHAFHNELRRSLVHLVSFQPGNEKPFSVAAIPIVSVNGFCYMISHSKVFRQQDAANWQHRVIFPDEIIVMLRIEDVIIQGSLAAFSVNTTAELPNPVKFSEQQVSHNQEVHTVNFEGVMEPSLLVRGRVTHVDQTAFFHDCTPGSFTALGSPVFNERSELVGICCRNDGVIGALNMNRIAELLSEINEDMVNMKTQGEKDCSGEPCWLLNKVAGEMFNTKFGWRFGSWDSQDWN >ONIVA07G25140.3 pep chromosome:AWHD00000000:7:23016976:23022297:1 gene:ONIVA07G25140 transcript:ONIVA07G25140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNVGAVHGDNHAFHNELRRSLVHLVSFQPGNEKPFSVAAIPIVSVNGFCYMISHSKVFRQQDAANWQHRVIFPDEIIVMLRIEDVIIQGSLAAFSVNTTAELPNPVKFSEQQVSHNQEVHTVNFEGVMEPSLLVRGRKTQGEKDCSGEPCWLLNKVAGEMFNTKFGWRFGSWDSQDWN >ONIVA07G25130.1 pep chromosome:AWHD00000000:7:22999275:23006870:1 gene:ONIVA07G25130 transcript:ONIVA07G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKDQITCDKVVLDNLYGEIAKSVVTIKRYNRTGLVNFATGFIIYATRSEVLVCTDHTVLKQGEDTYVYYSDGTARQAFEFIKRTPCGHAILLVSVQPGERRQYPVSFSTVQAKREEICMIARVNHDGDPGFMSGIVVAPSGKIMLRSGRVITRHEKKFALTCPHGRRGNVVAEGNLIGAAVFTLSGLVVGTIDSVVSGCFGLKFARHSSFFLDELNRMVHKELKKVSLSRGATPLSRGSKVVHVGSTSKRSHEGQTNVAAEKRAKYAAIRHRMEDWCGGSSW >ONIVA07G25130.2 pep chromosome:AWHD00000000:7:22999785:23006870:1 gene:ONIVA07G25130 transcript:ONIVA07G25130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYESITCDKVVLDNLYGEIAKSVVTIKRYNRTGLVNFATGFIIYATRSEVLVCTDHTVLKQGEDTYVYYSDGTARQAFEFIKRTPCGHAILLVSVQPGERRQYPVSFSTVQAKREEICMIARVNHDGDPGFMSGIVVAPSGKIMLRSGRVITRHEKKFALTCPHGRRGNVVAEGNLIGAAVFTLSGLVVGTIDSVVSGCFGLKFARHSSFFLDELNRMVHKELKKVSLSRGATPLSRGSKVVHVGSTSKRSHEGQTNVAAEKRAKYAAIRHRMEDWCGGSSW >ONIVA07G25120.1 pep chromosome:AWHD00000000:7:22983091:22991219:-1 gene:ONIVA07G25120 transcript:ONIVA07G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGDATDGQAKQAASHGRVGKMTDNLIGDCSTSSKKRKKACKSRGETRKLHEDNIFEEEFGSLKNSGQGAWSELSKVVASNLSESVVSLASFDGDIMHSACTGVVIKTDLFGTSYLTSASLIRSFDDESKIMPFVSIEVHLPKKQVAHGWLYKYDLQYNIAVIETKFFPGLRAINLEHQLQFESHSKVVAVGRCFKSGKLMATSGVLTDDPSGVYRKELMISTCEITMAGVGGPLIDLDGNFVGMNFYAKKRTPFLPRSTITECMANFKTYRGELKKLDSTTGRSTNEIQESPATSKSYLEGLEGCSDSFELRNSSRKPENEQESSSSSDSEENPIENDPFFMETASPFLPVADGDEFGKFLIKELTSQGYPLPAVLDGGMRLVNTFENEFADDIWSKLTKKVASNMSRVVVSLASFNGEERIFACTGIFIGCDESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGFRCLRTEEFHDPGLIERKEVLSVGRVFKSAKLMATSGILTDKPSKLDCKELKVSTCRISKAGIGGPLIDCHGNFVGMNFYGRKETPYLPRDTILKLLSYFDGEGDISPEIMDNQNRWPVPKLRWHYPSFSSHRKIEPRRYIKY >ONIVA07G25120.2 pep chromosome:AWHD00000000:7:22983091:22991219:-1 gene:ONIVA07G25120 transcript:ONIVA07G25120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGDATDGQAKQAASHGRVGKMTDNLIGDCSTSSKKRKKACKSRGETRKLHEDNIFEEEFGSLKNSGQGAWSELSKVVASNLSESVVSLASFDGDIMHSACTGVVIKTDLFGTSYLTSASLIRSFDDESKIMPFVSIEVHLPKKQVAHGWLYKYDLQYNIAVIETKFFPGLRAINLEHQLQFESHSKVVAVGRCFKSGKLMATSGVLTDDPSGVYRKELMISTCEITMAGVGGPLIDLDGNFVGMNFYAKKRTPFLPRSTITECMANFKTYRGELKKLDSTTGRSTNEIQESPATSKSYLEGLEGCSDSFELRNSSRKPENEQESSSSSDSEENPIENDPFFMETASPFLPVADGDVCLPEFGKFLIKELTSQGYPLPAVLDGGMRLVNTFENEFADDIWSKLTKKVASNMSRVVVSLASFNGEERIFACTGIFIGCDESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGFRCLRTEEFHDPGLIERKEVLSVGRVFKSAKLMATSGILTDKPSKLDCKELKVSTCRISKAGIGGPLIDCHGNFVGMNFYGRKETPYLPRDTILKLLSYFDGEGDISPEIMDNQNRWPVPKLRWHYPSFSSHRKIEPRRYIKY >ONIVA07G25110.1 pep chromosome:AWHD00000000:7:22967095:22994889:1 gene:ONIVA07G25110 transcript:ONIVA07G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGQGAKPELSMPAGAKLLSPPFRPSYSLPLPSSTLPGCAMLGNTLRRRHRGRSTTGAPTPCLPLSLSVVIREEETEKEKEAGRRKKKKEREEGTDSGPYFYKPPWNFMPSMGTLVWSDDPDVELKVVKPDMTYSSGRSVALRTPRLNAYSGRSLATPSTSTIRRTLRCPVIVLPAATSSAPIAARLCQAPPRDRPLQLNHCSSQIQEPPRLRDRCRSSATRLRPSSTRTDELLRPHARRSLRPSYWEPDGTNIRAKLHSNPPSVTKYWHATCSGVNKNLLKKEYVMAKQRPKVIWVEGRRLRPPLSWLLALNHLIQLLLLSNME >ONIVA07G25100.1 pep chromosome:AWHD00000000:7:22956925:22964139:-1 gene:ONIVA07G25100 transcript:ONIVA07G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHLGKLHVDNIFEEEFGSLKNSGEGVWSELSKVAVSNLSESVVSLVSFNGGMMYSACTGIVIKSDLHGISYLTSASLIRSLDDESKIMPYVYFEVHLPKKKKNKLPMADYDLQYNIAVVETRFFPGLRAINLEHQLQFEFHSKVVAVGRCFKSGKLMATSGVLTDDPSGVYRKELMISTCEITMVGVGGPLIDLDGNFIGMNFYSKERTPFLPRSTIVECLVNFDTYQYVFTVRYGFSFCLIHFSKKGEIIPLDSTIGRSTNEIQESPATPNEQKMKSSSSSNSEGFGKFLIKELTSQGYPLPAIIDGGMHLVNTFENEFVDDIWSKVTKKVASNVSRVVVSLASFKGEERIFACTGIFIGCSESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGFRCLRTEEFHDPGQIKCKKVLSVGRVFKSGKLMATGGILTDKPSKLDCKELMVSICRITKAGIGGPLIDFDGNFVGMSLLRSWTETTDALCPRYVGIILTSASHAKKRVHVDWTLKFSSSLLESSSSEIRRGEGGQLADRLLVALAPQGSPETMVKAVAVLASSEGVKGTIFFSQEGDGPTSVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPTGKEHGAPQDENRHAGDLGNITAGADGVANVNVSDSQIPLTGAHSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >ONIVA07G25100.2 pep chromosome:AWHD00000000:7:22958641:22964139:-1 gene:ONIVA07G25100 transcript:ONIVA07G25100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHLGKLHVDNIFEEEFGSLKNSGEGVWSELSKVAVSNLSESVVSLVSFNGGMMYSACTGIVIKSDLHGISYLTSASLIRSLDDESKIMPYVYFEVHLPKKKKNKLPMADYDLQYNIAVVETRFFPGLRAINLEHQLQFEFHSKVVAVGRCFKSGKLMATSGVLTDDPSGVYRKELMISTCEITMVGVGGPLIDLDGNFIGMNFYSKERTPFLPRSTIVECLVNFDTYQYVFTVRYGFSFCLIHFSKKGEIIPLDSTIGRSTNEIQESPATPNEQKMKSSSSSNSEGFGKFLIKELTSQGYPLPAIIDGGMHLVNTFENEFVDDIWSKVTKKVASNVSRVVVSLASFKGEERIFACTGIFIGCSESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGFRCLRTEEFHDPGQIKCKKVLSVGRVFKSGKLMATGGILTDKPSKLDCKELMVSICRITKAGIGGPLIDFDGNFVGMETPYLPRDTILKLLSYFDGEGDVSAEIMDRNNRCPVPKICWHYPHFCKPRKSEKKEKRVHVDWTLKFSSSLLESSSSEIRRGEGGQLADRLLVALAPQGSPEVCSFTSPNFLGF >ONIVA07G25100.3 pep chromosome:AWHD00000000:7:22958641:22964139:-1 gene:ONIVA07G25100 transcript:ONIVA07G25100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHLGKLHVDNIFEEEFGSLKNSGEGVWSELSKVAVSNLSESVVSLVSFNGGMMYSACTGIVIKSDLHGISYLTSASLIRSLDDESKIMPYVYFEVHLPKKKKNKLPMADYDLQYNIAVVETRFFPGLRAINLEHQLQFEFHSKVVAVGRCFKSGKLMATSGVLTDDPSGVYRKELMISTCEITMVGVGGPLIDLDGNFIGMNFYSKERTPFLPRSTIVECLVNFDTYQYVFTVRYGFSFCLIHFSKKGEIIPLDSTIGRSTNEIQESPATPNEQKMKSSSSSNSEGFGKFLIKELTSQGYPLPAIIDGGMHLVNTFENEFVDDIWSKVTKKVASNVSRVVVSLASFKGEERIFACTGIFIGCSESNTRILTSASLVRISDDENRINDNLKIVVHLPNKQQTVGTLQHYHLHYNVAIVSIKGFRCLRTEEFHDPGQIKCKKVLSVGRVFKSGKLMATGGILTDKPSKLDCKELMVSICRITKAGIGGPLIDFDGNFVGMSLLRSWTETTDALCPRYVGIILTSASHAKKRVHVDWTLKFSSSLLESSSSEIRRGEGGQLADRLLVALAPQGSPEVCSFTSPNFLGF >ONIVA07G25100.4 pep chromosome:AWHD00000000:7:22956925:22958095:-1 gene:ONIVA07G25100 transcript:ONIVA07G25100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLASSEGVKGTIFFSQEGDGPTSVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPTGKEHGAPQDENRHAGDLGNITAGADGVANVNVSDSQTVNLTSVTFFERQIPLTGAHSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >ONIVA07G25100.5 pep chromosome:AWHD00000000:7:22956925:22958095:-1 gene:ONIVA07G25100 transcript:ONIVA07G25100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLASSEGVKGTIFFSQEGDGPTSVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPTGKEHGAPQDENRHAGDLGNITAGADGVANVNVSDSQIPLTGAHSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >ONIVA07G25090.1 pep chromosome:AWHD00000000:7:22921698:22954620:1 gene:ONIVA07G25090 transcript:ONIVA07G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQGQEQSRVDDVDWIRQPLLFRVKTRKVAVITGGASGIGEATAKEFIRNGAKVIIADVQDDLGHTVAAELGPDAAYTRCDVTDEAQIAAAVDLADVQDDLGHTVAAELGPGSAYTRCDVTDEAQIAATVDLAVARHGHLDILYNNAGITSSSVGHLASLDLADFDRVMAVNARAVLAGIKHAARVMAPRRTGSILCTASNVSKAAVIGVVRSAAGELARHGVRLNAISPLGIATPLAMRGFGDMLAWADAERVRRLIEEDMNELEGATLEAEDIARAAVYLASDEAKYVTGHNLVVDGGFTVGKRLNSVYSEEPGSEPNFTMMSVAANKILRGRSSGVRPMFSSGLADRLFSSSASSSKRLEGKVAVITGAVGGIGEATAKEFVRNGAKVILADIQDDLGRAMAAELGADAASYTHCDVTVEADVAAAVDLAVARHGRLDVVYSNAGIAGAAAPPTLSALDLDDYDRVMAVNARSMVACLKHAARVMSPRRAGCILCTASSTALIGDLAAPAYCISKAAVVGMVRTVARQLARDGVRVNAISPHIIPTALVTRVISETFPAATAEEVRRMVTRDMQELEGASLEVEDVARAAVFLASDEAKFVTGHNLVVDGGFTYLTSSSLPRHLDSRAWNRSISEHESMTPVAYSLRMINAAGQLLLRGRNRGVRPMFSSGLADRFFSSSASSSRKLDGKVAVITGAASGIGEATAKEFIRNGAKVIIADIQDDLGRAVAGELGADAASYTHCDVTVEADVAAAVDLAVARHGRLDVVYSNAGIAGAAAPPTLAALDLDDYDRVMAVNARSMVACLKHASRVMAPRRAGCILCTASSTAVLGNIGPLAYSMSKAAVVGMVRTVARQLARDGVRVNAISPHAVPTPMAIGLFSETFPAATAEEVRRMVTRDMHELEGASLEVDDIARAAVFLASDEAKFITGHNLVVDGGFTGEEQRCSSDVLFRLRRSLLLLVGLDGKVAVITGAASGIGEATAKEFVRNGAKVILADIQDDLGRAVAGELGADAASYTHCDVTVEADVAAAVDLATVARQLARDGVRVNAISPHAIPTAMALGIIAETFPAATAEEVRRMVTREMQELEGASLEVEDVARAAVFLASDEAKFITGHNLVVDGGFTVGKTSTRPPRSISRSKPSMKTSRCFVPSPKPRLWNKGKSIAAQVFFSSSSRSRKLDGKVAVITGAASGIGEATAKEFVRNGAKVIIADIKDDLGRAVAGELGADAASYTHCDVTVEKDVASAVDLAVARHGRLDVVYSNAAIAGGAPPATLAALDLDEYDRVMAVNARSMLACVKHAARVMAPRRAGCILCTASTAAVLGGMAAPAYSMSKAAVVGMVRTVARQLARDGVRVNAISPHAVPTPMAIGLFSETFPAATAEEVRRMVTRDMHELEGASLEVDDIARAAVFLASDEAKFITGHNLVVDGGFTEVRRMVTREMQELEGASLEVEDVARAAVFLASDEAKFITGHNLVVDGGFTPHPLYRLEMAKWAAQPGTACSTAGSSNASPRAPPTA >ONIVA07G25090.2 pep chromosome:AWHD00000000:7:22929522:22954620:1 gene:ONIVA07G25090 transcript:ONIVA07G25090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKWTILSINSSYATADRSSRCVAVITGGASGIGEATAKEFIRNGAKVIIADVQDDLGHTVAAELGPDAAYTRCDVTDEAQIAAAVDLADVQDDLGHTVAAELGPGSAYTRCDVTDEAQIAATVDLAVARHGHLDILYNNAGITSSSVGHLASLDLADFDRVMAVNARAVLAGIKHAARVMAPRRTGSILCTASNVSKAAVIGVVRSAAGELARHGVRLNAISPLGIATPLAMRGFGDMLAWADAERVRRLIEEDMNELEGATLEAEDIARAAVYLASDEAKYVTGHNLVVDGGFTVGKRLNSVYSEEPGSEPNFTMMSVAANKILRGRSSGVRPMFSSGLADRLFSSSASSSKRLEGKVAVITGAVGGIGEATAKEFVRNGAKVILADIQDDLGRAMAAELGADAASYTHCDVTVEADVAAAVDLAVARHGRLDVVYSNAGIAGAAAPPTLSALDLDDYDRVMAVNARSMVACLKHAARVMSPRRAGCILCTASSTALIGDLAAPAYCISKAAVVGMVRTVARQLARDGVRVNAISPHIIPTALVTRVISETFPAATAEEVRRMVTRDMQELEGASLEVEDVARAAVFLASDEAKFVTGHNLVVDGGFTYLTSSSLPRHLDSRAWNRSISEHESMTPVAYSLRMINAAGQLLLRGRNRGVRPMFSSGLADRFFSSSASSSRKLDGKVAVITGAASGIGEATAKEFIRNGAKVIIADIQDDLGRAVAGELGADAASYTHCDVTVEADVAAAVDLAVARHGRLDVVYSNAGIAGAAAPPTLAALDLDDYDRVMAVNARSMVACLKHASRVMAPRRAGCILCTASSTAVLGNIGPLAYSMSKAAVVGMVRTVARQLARDGVRVNAISPHAVPTPMAIGLFSETFPAATAEEVRRMVTRDMHELEGASLEVDDIARAAVFLASDEAKFITGHNLVVDGGFTGEEQRCSSDVLFRLRRSLLLLVGLDGKVAVITGAASGIGEATAKEFVRNGAKVILADIQDDLGRAVAGELGADAASYTHCDVTVEADVAAAVDLATVARQLARDGVRVNAISPHAIPTAMALGIIAETFPAATAEEVRRMVTREMQELEGASLEVEDVARAAVFLASDEAKFITGHNLVVDGGFTVGKTSTRPPRSISRSKPSMKTSRCFVPSPKPRLWNKGKSIAAQVFFSSSSRSRKLDGKVAVITGAASGIGEATAKEFVRNGAKVIIADIKDDLGRAVAGELGADAASYTHCDVTVEKDVASAVDLAVARHGRLDVVYSNAAIAGGAPPATLAALDLDEYDRVMAVNARSMLACVKHAARVMAPRRAGCILCTASTAAVLGGMAAPAYSMSKAAVVGMVRTVARQLARDGVRVNAISPHAVPTPMAIGLFSETFPAATAEEVRRMVTRDMHELEGASLEVDDIARAAVFLASDEAKFITGHNLVVDGGFTEVRRMVTREMQELEGASLEVEDVARAAVFLASDEAKFITGHNLVVDGGFTPHPLYRLEMAKWAAQPGTACSTAGSSNASPRAPPTA >ONIVA07G25090.3 pep chromosome:AWHD00000000:7:22929522:22956203:1 gene:ONIVA07G25090 transcript:ONIVA07G25090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKWTILSINSSYATADRSSRCVAVITGGASGIGEATAKEFIRNGAKVIIADVQDDLGHTVAAELGPDAAYTRCDVTDEAQIAAAVDLADVQDDLGHTVAAELGPGSAYTRCDVTDEAQIAATVDLAVARHGHLDILYNNAGITSSSVGHLASLDLADFDRVMAVNARAVLAGIKHAARVMAPRRTGSILCTASNVSKAAVIGVVRSAAGELARHGVRLNAISPLGIATPLAMRGFGDMLAWADAERVRRLIEEDMNELEGATLEAEDIARAAVYLASDEAKYVTGHNLVVDGGFTVGKRLNSVYSEEPGSEPNFTMMSVAANKILRGRSSGVRPMFSSGLADRLFSSSASSSKRLEGKVAVITGAVGGIGEATAKEFVRNGAKVILADIQDDLGRAMAAELGADAASYTHCDVTVEADVAAAVDLAVARHGRLDVVYSNAGIAGAAAPPTLSALDLDDYDRVMAVNARSMVACLKHAARVMSPRRAGCILCTASSTALIGDLAAPAYCISKAAVVGMVRTVARQLARDGVRVNAISPHIIPTALVTRVISETFPAATAEEVRRMVTRDMQELEGASLEVEDVARAAVFLASDEAKFVTGHNLVVDGGFTYLTSSSLPRHLDSRAWNRSISEHESMTPVAYSLRMINAAGQLLLRGRNRGVRPMFSSGLADRFFSSSASSSRKLDGKVAVITGAASGIGEATAKEFIRNGAKVIIADIQDDLGRAVAGELGADAASYTHCDVTVEADVAAAVDLAVARHGRLDVVYSNAGIAGAAAPPTLAALDLDDYDRVMAVNARSMVACLKHASRVMAPRRAGCILCTASSTAVLGNIGPLAYSMSKAAVVGMVRTVARQLARDGVRVNAISPHAVPTPMAIGLFSETFPAATAEEVRRMVTRDMHELEGASLEVDDIARAAVFLASDEAKFITGHNLVVDGGFTGEEQRCSSDVLFRLRRSLLLLVGLDGKVAVITGAASGIGEATAKEFVRNGAKVILADIQDDLGRAVAGELGADAASYTHCDVTVEADVAAAVDLATVARQLARDGVRVNAISPHAIPTAMALGIIAETFPAATAEEVRRMVTREMQELEGASLEVEDVARAAVFLASDEAKFITGHNLVVDGGFTVGKTSTRPPRSISRSKPSMKTSRCFVPSPKPRLWNKGKSIAAQVFFSSSSRSRKLDGKVAVITGAASGIGEATAKEFVRNGAKVIIADIKDDLGRAVAGELGADAASYTHCDVTVEKDVASAVDLAVARHGRLDVVYSNAAIAGGAPPATLAALDLDEYDRVMAVNARSMLACVKHAARVMAPRRAGCILCTASTAAVLGGMAAPAYSMSKAAVVGMVRTVARQLARDGVRVNAISPHAVPTPMAIGLFSETFPAATAEEEVRRMVTREMQELEGASLEVEDVARAAVFLASDEAKFITGHNLVVDGGFTKLLLDRKINI >ONIVA07G25090.4 pep chromosome:AWHD00000000:7:22954058:22956497:1 gene:ONIVA07G25090 transcript:ONIVA07G25090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNAAANKLLRGKSIAAQVFSNGLADRLFSSSSSSRKLDGKVAVITGAASGIGEATAKEFVRNGAKVIIADIQDDLGRAVAAELGADAASYTHCDVTVEKDVAAAVDLAVARHGRLDVVYSNAGVIGAPAPASLAALDLDEYDRVMAVNARSMLACVKHAARVMAPRRAGCILCTASTAAVLGGVASPVYSMSKAAIVGMVRAVARQLARDGVRVNAISPHAIPTPMALGIIAETFPAATAEEVRRMVTREMQELEGTSLEVEDVARAAVFLASDEAKFVTGHNLVVDGGFTVGKDLLRNPPSST >ONIVA07G25080.1 pep chromosome:AWHD00000000:7:22911624:22915829:-1 gene:ONIVA07G25080 transcript:ONIVA07G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEDGGERVRLFVGQVPRSMAEEDILAVVRAAARADDATVIRDRATGASRGCCFVVCSSREEADKAIAAYHNKCTLPGASRAMQVKYADGELERLAAEQKLFIGMLPRDVKENEVSALFSQYGNIRQLKILRSPQKTRKACAILEFGSKEHARAAIEAFNGTRVVFNGSSATLVVKLADTEKEKQARKAQKAQAQPSKPLRFDLFPQLLSIFGAPQMSYLPPYNVFDYKTEGTTDPELKDLMKMTNDKLEMLVTELKSVVNLLENRVTYNDPIQPIQHSLLPVEHDEKQYKPNECDSKTLEVPGDYGHTKNPLASYNTMYPHVNQGNLLQGLNTNIFPGTDPKISNLIQSAGYIQPHFPDLSGLHYPVSYAGALVGDTPQYFSDGKVNIPNIHSNHASSAANTKIRSKIEGPPRANLFVYNIPQEYGDEDLANLFQEFGRILSTKVFIDRATGVSFVSYDTPASAQAAIRRMNGSQIGGKMLKVQLKRET >ONIVA07G25080.2 pep chromosome:AWHD00000000:7:22911624:22915829:-1 gene:ONIVA07G25080 transcript:ONIVA07G25080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEDGGERVRLFVGQVPRSMAEEDILAVVRAAARADDATVIRDRATGASRGCCFVVCSSREEADKAIAAYHNKCTLPGASRAMQVKYADGELERLAAEQKLFIGMLPRDVKENEVSALFSQYGNIRQLKILRSPQKTRKACAILEFGSKEHARAAIEAFNGTRVVFNGSSATLVVKLADTEKEKQARKAQKAQAQPSKPLRFDLFPQLLSIFGAPQMSYLPPYNVFDYKVPGDYGHTKNPLASYNTMYPHVNQGNLLQGLNTNIFPGTDPKISNLIQSAGYIQPHFPDLSGLHYPVSYAGALVGDTPQYFSDGKVNIPNIHSNHASSAANTKIRSKIEGPPRANLFVYNIPQEYGDEDLANLFQEFGRILSTKVFIDRATGVSFVSYDTPASAQAAIRRMNGSQIGGKMLKVQLKRET >ONIVA07G25070.1 pep chromosome:AWHD00000000:7:22909350:22909658:-1 gene:ONIVA07G25070 transcript:ONIVA07G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPRSGILAPRSVVGEGGGGVLLSTSISGEDGGGDYAAAPRAPRPARERGEAEQRWLSPPGSTANSGAAAAYGLEAVGALGFTVRSLLHGYDQPQLSQHF >ONIVA07G25060.1 pep chromosome:AWHD00000000:7:22901880:22902320:-1 gene:ONIVA07G25060 transcript:ONIVA07G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHPPPPPPQFALPPPPRAGAVVVLGDDDSDSESVAESCPYTCRPAATAGGDVEMDDDGCSSCVEGDGCYDGDEVGGGGGEEAIVAEGGVWWAQLAAAAAAARGGERELRRRREEEEEEDPKRAAARQEEDRKFWEDCLASGYP >ONIVA07G25050.1 pep chromosome:AWHD00000000:7:22892426:22900510:1 gene:ONIVA07G25050 transcript:ONIVA07G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:disproportionating enzyme 2 [Source:Projected from Arabidopsis thaliana (AT2G40840) TAIR;Acc:AT2G40840] MANLSGKKSLNTVTLVFKLPYYTQWGQSLLITGSEPALGSWNVKQGLSLSPVHQGNELIWSGRVSVATGFTCQYNYYVVDDNKNVLRSESGEKRKLVLPEGVRDGDVVEIRDWWQDASEALFLRSAFKNVIFNGSENAKRELKTTSLNKSLEPEDIVVQFIVSCPQLGAGSTVVVTGSNPQLGRWQTQDGLKLNYVGDSIWKANCLLRKSEFPIKYPCANEYSLTSNLHESPWRGAGVAVPIFSIRSNEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPGDIKDEISQAKKQLDKKDVDYEASLASKLSIARKIFKLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLDKLVSEGTLHHDVICFHYYIQYHLYMQLSEAAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIALSQEELLSEGLWDFDRMSRPYILQETLEEKFGSFWTVIAANFLNEYKKQHYEFKEDCNTEKKIIAKLKNSSEKSLWLEKEDSIRRGLFDLLQNIVLIRDPEDSTKFYPRFNQEDTSSFNDLDEHSKNILRRLYYDYYFARQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPKYIIQVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDGGRRSRFYQTVIGSDDEPPSRCTPEVANFIVKQHFDAPSMWAIFPLQDLLALKDKYTTRPAKEETINDPTNPKHYWRFRLHVTLDSLLDDKDIQATIKELVTSSGRSFPGKVDGAEESGEKLAKVQLNGKP >ONIVA07G25040.1 pep chromosome:AWHD00000000:7:22888070:22888717:1 gene:ONIVA07G25040 transcript:ONIVA07G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTAEAKNPASCVTAGIARIPAPTCGITKDDKTNITVVPATRKIELVTLPDIQMRNSSITVHLKGKTNMHKEVERSMLCASPGSCFMVAWLRLEKSRSSCCCCEPDDCFSAYCCEHRHRCSLLLGPPVVL >ONIVA07G25030.1 pep chromosome:AWHD00000000:7:22882414:22888819:-1 gene:ONIVA07G25030 transcript:ONIVA07G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease [Source:Projected from Arabidopsis thaliana (AT5G19500) TAIR;Acc:AT5G19500] MALTASLRCYPLLGSAAAAASSSVSLPASTRAPVLHRTTGGPRRRLQRCLCSQQYAEKQSSGSQQQQLERLFSNLNQATMKHEPGSVTSSIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCIFCWIYMVVTGLLVAEVNVNTMCELGSGGVSLVSMAMRTLGTFGVRTACISYLFIHYALLVAYVARSSEIITNSVGIPLWESAALFSLAFGGLCYFGSQRVIGAVNGFLVFSILASFTTLVVVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRKAIVVGTAIPLALFLIWDAVILGTLPGLAGDGTIIDPLEQLRSSNGTVGPIVEAFSFLAIGTSYIGFVLGLSDFIADLLKLPSGQNKPLPYLVTLLPPLVLSLLDPEIFFKALDFAGTYGGKDPFKLSLIMNRCREETSAMSWSERYSDDLEAPVPPIVPGGKFTLSFVMGGALLVIFSEIFKDIMQLQGLH >ONIVA07G25030.2 pep chromosome:AWHD00000000:7:22882414:22888819:-1 gene:ONIVA07G25030 transcript:ONIVA07G25030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease [Source:Projected from Arabidopsis thaliana (AT5G19500) TAIR;Acc:AT5G19500] MALTASLRCYPLLGSAAAAASSSVSLPASTRAPVLHRTTGGPRRRLQRCLCSQQYAEKQSSGSQQQQLERLFSNLNQATMKHEPGSVTSSIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCIFCWIYMVVTGLLVAEVNVNTMCELGSGGVSLVSMAMRTLGTFGVRTACISYLFIHYALLVAYVARSSEIITNSVGIPLWESAALFSLAFGGLCYFGSQRVIGAVNGFLVFSILASFTTLVVVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRKAIVVGTAIPLALFLIWDAVILGTLPGLAGDGTIIDPLEQLRSSNGTVGPIVEAFSFLAIGTSYIGFVLGLSDFIADSAMSWSERYSDDLEAPVPPIVPGGKFTLSFVMGGALLVIFSEIFKDIMQLQGLH >ONIVA07G25030.3 pep chromosome:AWHD00000000:7:22882932:22888819:-1 gene:ONIVA07G25030 transcript:ONIVA07G25030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease [Source:Projected from Arabidopsis thaliana (AT5G19500) TAIR;Acc:AT5G19500] MALTASLRCYPLLGSAAAAASSSVSLPASTRAPVLHRTTGGPRRRLQRCLCSQQYAEKQSSGSQQQQLERLFSNLNQATMKHEPGSVTSSIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCIFCWIYMVVTGLLVAEVNVNTMCELGSGGVSLVSMAMRTLGTFGVRTACISYLFIHYALLVAYVARSSEIITNSVGIPLWESAALFSLAFGGLCYFGSQRVIGAVNGFLVFSILASFTTLVVVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRKAIVVGTAIPLALFLIWDAVILGTLPGLAGDGTIIDPLEQLRSSNGTVGPIVEAFSFLAIGTSYIGFVLGLSDFIADLLKLPSGQNKPLPYLVTLLPPLVLSLLDPEIFFKALDFAGTYGGKDPFKLSLIMNRCREETCIVLCYFKIYFTRRLVKLSCNETNQS >ONIVA07G25020.1 pep chromosome:AWHD00000000:7:22876487:22881913:1 gene:ONIVA07G25020 transcript:ONIVA07G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSESDYYSEEEEELGWEEDGLGSEGEEEDAEAARERALKRLDGLGKRGVCYLSRVPPNMNPSHVRQMLSKYGEVQRIYLVPEGQGHRKHTNVRAKAYTEGWIEFSKKSVAKRVANLLNGEQIGGKKRSPFYYDIWNIKYLKKFKWDDLVGEIAEKTHIREQKLNLEIAAAKKQRDHYLSNVEKSRTLKHIQERRKKKQKTEGAEFNEVREEKIACPIPQKKPVEETDAKTKPKLPKDILAGHSFLFLLREASASAAARRRLPPLHALLVKLGLQPYARVHNALIQAYAASGLVDDARRVFDGMSHRDTVSFNSMIHAHAMSGDVVSARRLFERVPSPTPVTWTSMVAGLCRAGDVAAARRLFEEMPVRDLVSWNAMMSGLAGNRRPVEALCLFRRMMAEGFAPNRGTVLSALAACAGAGALETGKWIHAFVERKRLFWWWDEFLGTALLDMYAKCGAVELALDVFTKLRSRNTCTWNAMINGLAMNGYSAKALDMFRKMELDRTVVPDEVTFVGVLLACSHGGFVDVGREHFHMIEKKYGIRLILEHYACMVDLLARSGHLQEAHKIIAGMPMKPDAVVWRALLGGCRLHKDVNMAETAISEMEATCSGDHVLLSNLYAAVGRWSGVEDVRRTMRSKGIEKIPGCSSIEINDSIHEFVSGDKSHPSYNDIHAKLAEISARMQQQGYVTETAEVFYDVEEEEKEQALGHHSEKLAIAFGLIGGPPNVAIRIVKNLRFCADCHKFAKLVSQIYHREIVVRDRARFHHFTEGACSCNDFW >ONIVA07G25010.1 pep chromosome:AWHD00000000:7:22873069:22876242:1 gene:ONIVA07G25010 transcript:ONIVA07G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFTDLHTADGLKALEQHLSGKTYVSGNAISKDDIKVFAAVPSKPGAEFPNAARWYDTVAAALASRFPGKAVGVNLPGGGAASSAAAAAPAAKDADEDDDDLDLFGDETEEDKKAADERAASKASSKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKLQIMLTIVDDLVSVDSLIEEHLTEEPINEFVQSCDIVAFNKI >ONIVA07G25000.1 pep chromosome:AWHD00000000:7:22868469:22873028:1 gene:ONIVA07G25000 transcript:ONIVA07G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMRGLYGEMEPYVSKNPRGGAAAIAAVTGSLAVCRSWRGGIWLQGQSCNSSDDEKLRIRAVHCLEIRSDGQEAPTVAPGNIPTVDASSHG >ONIVA07G24990.1 pep chromosome:AWHD00000000:7:22867637:22868420:1 gene:ONIVA07G24990 transcript:ONIVA07G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKHGLTSDLVVDATMVNAEGEAPRQGRHGGGPLLGHPRRRWRELLRVVVQNQNAQFESLYLVGTRLGLISAMADTFPELGVTASDCIEMMWIQSMLYFAFYGMGKPLEMLLDRGTSKPDKYLKAKPDSLLKDGVGLLILDPYGGEMVRVALAVTPFPHRQALYNI >ONIVA07G24980.1 pep chromosome:AWHD00000000:7:22865638:22866351:1 gene:ONIVA07G24980 transcript:ONIVA07G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKGVGRRGKARRRRPPADMAPNDRERSRHGLAHVRGVVRVPTTTAMSSSPARVRAGASTSSRWPSSYPRLPPRHDGQAPIPQAHSRPHRAAQKPMCSGVPELRLSSVEANAQLRRIVFKVNSIYSTLRSHRPRCMRSFPQRLPPPSLLFAASLLPTHRQVPSSGFYLPPPPNNHHPSPCWSPATTHLSRDSASGVLFACMHEHSCSGAREYAQASQRTPSPNSWRSSRQSRRCR >ONIVA07G24970.1 pep chromosome:AWHD00000000:7:22861476:22863150:-1 gene:ONIVA07G24970 transcript:ONIVA07G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01970) TAIR;Acc:AT1G01970] MEAEKVAAAAGETPRFRWDTFGSAPSEPQWEAIRGLSPKLPNRCRALMARLVCLPPPDQDGDEDEETLGALLAFWVKAMKPKRTDWLLVLKELTAMESPLLAEVLEHALLEDSFEANVRDYTKLIHIYGKQKLLQKAEDAFHAMKSRGLPCDQVMLTALMDMYSKAGDLTRAKEIFNEIGLLGLPMDKRVYGSMIMAYIRADMLDKAEDMISEMGDQQIVAGKEVYKALLRAYSYKGDSEGAQRVFDAIQFAGIVPDTKLCALLVNAYCLADRINEAMIVTRNMRSARITPCNKCIALILEAYEKVNRLEGALAFLTELEENGVIIGQEPSQLLAGWLGRLGVVQEVEQVLKDLAVDRKNTLEVDRKKNLASDRKIKRRRSKSKRSFASSLQHK >ONIVA07G24960.1 pep chromosome:AWHD00000000:7:22857306:22862447:1 gene:ONIVA07G24960 transcript:ONIVA07G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALFHAHGVDEIGQGVDAARASVLELQRFSKAVKLAGFSPLLLRRRRAQPVQRHLRRSFLELNLPKVKEGKKAKYSVGVMEPKVGSHISEATGIPCQCNEFVQELLRGVRLHFDHFIDQLKVVDFTFALVSTPTDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYVYSKIAKFVVNKSDLAEKDIPALADIIGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIASNLSSLIGEIVGARLISHAGSLSNLAKCAASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRIARYLANKCSIASRIDCYSELNTSVFGQKLREQVEERLDFYDKGIAPRKNLDVMKAAINDMTNAVSEDGGEKEKNDTSAKKNKKKKSKAEADGEEMDLDKPAKVAAEEADPENENKKKKKHKLEEPQDQEMAVNGNLEQDETPKKKKKKKKNPEASEDVEPRTATEGKKRKKKKSKTENDDGSYKLLVLECYLMKV >ONIVA07G24950.1 pep chromosome:AWHD00000000:7:22854328:22855679:-1 gene:ONIVA07G24950 transcript:ONIVA07G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGLEEALRPFHDRASDAETRLAKLEALLLNKDGLTSGSETNSSAVKDLQSKLDAVNTECLAEKEKNKKLIIENEKLQYRITHLIRALKEADSR >ONIVA07G24940.1 pep chromosome:AWHD00000000:7:22844159:22852198:-1 gene:ONIVA07G24940 transcript:ONIVA07G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHKLWSPWCSCFPACKSCKQLSSPRRLAIQSGLLGSASEHFRGLPEWSSFRVKTPVGAHNRDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHKLWSPWCSCFPACKSCKQLSSPRRLAIQSSWKKNQEMEGH >ONIVA07G24940.2 pep chromosome:AWHD00000000:7:22844159:22852198:-1 gene:ONIVA07G24940 transcript:ONIVA07G24940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWYTSSLFSLWHNRDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHKLWSPWCSCFPACKSCKQLSSPRRLAIQSNLSHVCHLLQWVTGLSFRTLQRAPGMVQLQNNRDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHKLWSPWCSCFPACKSCKQLSSPRRLAIQSSWKKNQEMEGH >ONIVA07G24940.3 pep chromosome:AWHD00000000:7:22844159:22852198:-1 gene:ONIVA07G24940 transcript:ONIVA07G24940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWYTSSLFSLWHNRDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHKLWSPWCSCFPACKSCKQLSSPRRLAIQSGLLGSASEHFRGLPEWSSFRVKTPVGAHNRDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHKLWSPWCSCFPACKSCKQLSSPRRLAIQSSWKKNQEMEGH >ONIVA07G24940.4 pep chromosome:AWHD00000000:7:22843560:22852198:-1 gene:ONIVA07G24940 transcript:ONIVA07G24940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHKLWSPWCSCFPACKSCKQLSSPRRLAIQRTLQRAPGMVQLQSENACRSA >ONIVA07G24940.5 pep chromosome:AWHD00000000:7:22844159:22852198:-1 gene:ONIVA07G24940 transcript:ONIVA07G24940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHKLWSPWCSCFPACKSCKQLSSPRRLAIQSSWKKNQEMEGH >ONIVA07G24940.6 pep chromosome:AWHD00000000:7:22844374:22852198:-1 gene:ONIVA07G24940 transcript:ONIVA07G24940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHKLWSPWCSCFPACKSCKQLSSPRRLAIQRYICKLFLAVWCVPL >ONIVA07G24930.1 pep chromosome:AWHD00000000:7:22832307:22840157:-1 gene:ONIVA07G24930 transcript:ONIVA07G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVGRAVRKEFPGFGVFDGVVESYDAEAGYFRVMYEDGDSEEVELGEVVGLLVGGSPVGVEMAHPLPLTPGRRPKKRRRGDEEGGVGVGAVVAMEVDSVVLAVPAGGAEPASPVVVVEGSSRDEVDGDVVSEMAEKRRRVVSPGPESSGKPLRRSARQAKAAARVAEMEAAAAVAAAAEAEAAAAAMAEAEAEAAVTPPQSGSKRKRASGAGRYRSVAKDLEKAAVERLPPKPELPPSSQSLDLEGLPALDVFQVYSCLRSFSRQLFLSPFLLETFVAALRCIYVNPLIDWVHFSLLRAMKSHLEDLANEGDPPAMHCIRNLNWELLDLATWPIYLAEYLLTRGSELRYGMKLTDLKLLNTEYYTQPAMVKLELLRALCDDVLEIEAIRSEVVSRMSELDGNDELCKSTRTRRKRRASAVKNLLNSSRAPEDSSDTEDGNSDECYLCGMDGNLLCCDGCPAAFHSKCVGVVEDLLPEGNWFCPECLIQKNDGFKNMVKPGRGAEVLGMDPHDRLYFGTCGYILVVESTAEDSLDSTCHYYGIFDHHSLFNVLRTCHPSYSSITNMISLFWGTAIDSFDSNGRCENNKEFSIFDAKIDCSHLLPSKQHTEHEQLKSDKNGSCEQLACGKAHASDPDRLDHDTSHHKFSLRSAVISENGNATSAKTQQDVCSYANGLPAENKIDQSPHKKISDCYIHSNPAMYVNYYSFGQIAASAAEELKDKLSENKEGKKVGQDAASFQLKTICKKYANIFALTDQKLSVELRKEKCGWCNSCQISGGVDCIFRVTDGKCMEGLQSEKNMNSHIILAIHIILSIEERLNGLLIGPWKNPQFSSYWRKAVLKASDVSSLKQPLLMLESSVRRVAFSVEWQKPADSVEVVGSAAHVLVRTSNKSSRHGSTRKPGRKPFIVELKVDSRDVGVYWRRGGRLSRQVFHWKRLPKSLTYKAVRQAGRIKIPTILYSDGSQFARRSKYIAWQAAVEMAENVAQFILQIKELEFNIRWTEILSTLPASLATKETQKIARLFKKVIVRRKRVDGTNVEYLLDFGKRENIPPVIAKHGKKLDEPSNERNRYWLSEGHLPLSLLKAYEAKALTRLLKKKDIDHLPKKMIDLKPPKPKKSGFDDLLEKAKKQVLGLCGHCDKEVKISDAVNCQYCEALFHKKHFKVPRGATDAYYVCNKCLSEKVLNVKSPQKKVVSKKNSLKKKTKKQSLKIVTRSKQIVAKSKKKMGKNKGKRGRPRKYPLNESKNKLPELRVKEPANVPKNEPAKRISKRLYSKYMKGNSNISERSAKRRRTASHYSYWLDGLRWTQNPNDDRAISFRTERVVFPCEDADLSEVFPVCRLCQKCYSGESIYIACEDCGDWFHGDIYSITLENVNNLIGFKCHRCRLKDVPVCPYVQTDNILMAQSDKDDVTSRSIEDKEDRSPTDLVAHDSLEGSHGHIIEKEVNDHSFEKEVGDHICLQAQEDHNEKELESHSTEKELGDHNKTEEFDGNMKVLFNLNSTKELDSTSTEKELGDHNKIEEFDVNMEMFFNLNNTKELDSTGESICAGGEAHCLHELNNHEILKECHSLDNNLGELDNQDCQKECHNQNSPKELENHRSSQELDSHKSPEELDNIISPKELDCTENNEHSAAVTQSDGFLDDPFNIRISDKGLIIASENGKIKESIPLQTKNKPEENPVPADHDIDLQVVVTL >ONIVA07G24920.1 pep chromosome:AWHD00000000:7:22818359:22818808:-1 gene:ONIVA07G24920 transcript:ONIVA07G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNPNAAPFIPAAFRRVEDFSPEWWELVKTTAWFRDHWFRQHQMYEDAAAEEDHHRQVFDDDLAALLPDDSLDLLDMVDTDDLFYTPDPPIHHKPTTTPTLDADVLRALSLNSPSLGGAGMVRHAEKPAQFVAAKGGAARHVIHQPR >ONIVA07G24910.1 pep chromosome:AWHD00000000:7:22811291:22816107:1 gene:ONIVA07G24910 transcript:ONIVA07G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 24 [Source:Projected from Arabidopsis thaliana (AT4G30890) TAIR;Acc:AT4G30890] MGSGKANHPAASSSKVSSVSSSKPKPKPTPIRNPTPPPPPPRRRTPPPPPPGSGPGPQRPSYLSVALRRRGPPAGSAAGTPAPPRAAAAFDVAPPVAERTDPVVFPASLAEMSGGGGSSSSDDQILLFGSFTEAETKLWQPNANKTRELSEIQFGSLNFSALNLSKESNSITQGAVYPAKLSDGQNKIIITKDIACSNKKETVAPTLPNGKPVLFNGSPTANANVSPNNGVIENNQKAVAAVLTSVPAKNVSSPAPLSVPEVDHDGIERNQNSSLVPEITENGGPAIDTPTTAAPVDELVTSLNKKDFRNKPLLPHGLKNTGNICFLNSSLQALLSCWPFVQLLQNLRNQNIPKAGYPTLNAFIEFISQFDVLDDSNVKKDEKFATIASKSVIPSMFDTVLRNFTPDVPAGTASRPRQEDAQEFLSFAMDRMHDELVKLNGNGSNSKEGMIVSSNDDDAWETVGKKNKSAIMRSQSFVPSELTAIFGGQLQSCVKATGNKASVTVQPFRLIHLDIFPDAVQKLDDALRLFAAPESLEGYRTAAGKAGLVTARKSVKIHSLSKIMILHLKRFSYGSHGCTKLFKPIHFPLELVLSRDLLSSPSSESRRYELVATITHLGANPSRGHYTADAKSASGQWLRFDDDNVTPVSLNKVLHDQAYVLFYKQL >ONIVA07G24900.1 pep chromosome:AWHD00000000:7:22807404:22810145:-1 gene:ONIVA07G24900 transcript:ONIVA07G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVLVYAGGEIIYEEKAGASYSIPPKITFPAIKSTTLEDVKNEIFRGLDFSEVAYSLVIKVRNVVQTNVEEEAYVGEETDNEGNIDEDEDQEDVEEGSEDEENMNDSIALVNSAKSQPLMGRSGKHPTRADDCFFGSKRHFESPLAKGRIFYSKQHLQFAVNEFHISNNMEVRVSTSNKSRLDFKCKDSTCKWKLYAKTTKIGSSWKIQTCQFPHTCRAPADRFDHAQLTAAVIADVIRDDLKENLELSILSIRQLVRQRYKNVKPKYNKLWRGRELAIVQLFSSWEESYALVTPLLEAMKASNPGASSSGPH >ONIVA07G24890.1 pep chromosome:AWHD00000000:7:22797041:22804217:-1 gene:ONIVA07G24890 transcript:ONIVA07G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRRPAATAAAAAAAPADAQPRARPAAAVAAAEAADAELVLRAPNLRVVAAAMVAFLAPFSYLAFVHYPLDAALRRSILICGAMSLGGFVVVLRLVPVAARYLLRRGMWGKDINKRGLPMGEIRVPEALGIVVGIVYLVIAILFQHFNFTADSMWLVEYNAALASVCFMVLLGFIDDVLDVPWRVKLLLPTIAALPLLMAYAGGTSISIPKPLTSYVGVAVLELGSLYKLFMLLLAVFCTNSINIHAGLNGLEVGQTVIISAAVLIHNVMRIGSSTDIEAQQAHAFSIYLVLPFLTTSLALLAFNWYPSSVFVGDTYTYFAGMALAVVGILGHFSETLLLFFLPEVLNFLCSVPQLFKFVPCPRHRLPRFDPHTGLLTGTKDGNLVNIFLRIFGRCTERTLCIRLLIFQALSCLFCFWLRYMITGWYK >ONIVA07G24880.1 pep chromosome:AWHD00000000:7:22792735:22798408:1 gene:ONIVA07G24880 transcript:ONIVA07G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosine/AMP deaminase family protein [Source:Projected from Arabidopsis thaliana (AT4G04880) TAIR;Acc:AT4G04880] MEAEKEKEKEMREWCVALPKVELHAHLNGSVRDSTLLELAKQLGDKGDIVFEDVKDVIMKNGRSLPECFRLFELYHILTTDHDTVTRITKEVVEDFAMENVVYLEIRTTPKNNEAKGMTKRSYMNAVIKGLKTVEAVEVVLFDSNSRADKTPMSELGGDTRKKKIYVRLLLSIDRRETTLAALDTVNLAMEMKDQGVIGIDLSGNPVVGEWETYLPALEHAKELGIPITIHCGEVANRNEIRAVLDFCPQRLGHVCCLNDEEWKKLKSLMIPVEICLTSNVMTGGAPSLELHHFADLYNAKHPLSLCTDDSGLFSTSLSNEYYLVASTFGLSKAELFQLAQDAVEFVFAGDELKRSLRELFERVGKELTT >ONIVA07G24870.1 pep chromosome:AWHD00000000:7:22783604:22788070:1 gene:ONIVA07G24870 transcript:ONIVA07G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G34260) TAIR;Acc:AT2G34260] MEALHEEMPFDLAFHPSSPLVATSLITGELYLFRYAAESQPERLFAAKSHKESCRAVRFVESGNVILTGSADCSILASDVETGKPIARLEDAHENGINRLVCLTETTVASGDDEGCIKVWDTRERSCCNTFHCHEDYISDMTYVSDSNQILATSGDGTLSVSNLRRNKVKSQSEFSEDELLSVVVMKNGKKVVCGTPSGALLLYSWGFFKDCSDRFLGHAQSVDTMLKLDEETLISGASDGVIRLVGILPNRIIQPLAEHSEYPIEALAFSNDRNYLGSISHDKMLKLWDLQDLLNRQQLVQDDKLGEQDSDDSDDDGMDVDMDPNSSKGSRSTKTSKGQSSDRPTSDFFADL >ONIVA07G24860.1 pep chromosome:AWHD00000000:7:22779097:22780533:-1 gene:ONIVA07G24860 transcript:ONIVA07G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I584] MEDSAAAAAVAVVTVPFPTQGHLNQLLHLSMLLASRGLAVHYAAPEPHLREARARVHGWDAAGALRLAAVRFRALDIPGGYASPPPDPSSPFPGHMMPLLEAFCDGARTPLAALLRELSACHRRVVVLHDRMAAFAAVEAARIPNGEALGVHCLAASYNVGWVYPAHRLLVEHGLVFHPPDACTTKEFVALAKRMGQERRRAAVAGMVVNTCRALEGEFLDVLAQIPSSDGDKLFAVGPLSPVLPDTRARGSPEESARPRHECLSWLDKQPPSSVLYISFGTTSTLRGEQIRELAAAVRGSGQRFIWALRDADRADMDTREAEAAVHGARLAEAAGGLREEIARGVGVVVTGWAPQLEILAHGATAAFMSHCGWNSVVESMSHGKPVLAWPIHSDQPWDAELVCKYLGAGVLVRPWEERHDVTPAAAIREAIERAMASGDGAALRARAAAIGEAVRAAVAEGGSLRQDMDDLVAYLTR >ONIVA07G24850.1 pep chromosome:AWHD00000000:7:22774675:22778108:-1 gene:ONIVA07G24850 transcript:ONIVA07G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G21770) TAIR;Acc:AT4G21770] MPKAAASLASLLPQLWHRPVQPPPFLHRALSSSSPLLRRHRAALHSPAAPLSAAAVSTSAATVEAPATAAYPVYGRLLPCPLQDDPPRIEHLVAREDEVAVDFISRSLTLPPLYVADLIKFGAVYYALVAPQPPPHAAPEHVRIFREVTEPSVLCRRKSIKGKTVREAQKTFRVTDPNQRLEAGTYLRVHVHPKRFPRCYEIDWKSRVIAVTDNYVVLDKPAATSVGGATDNIEESCVVFTSRALGLETPLMTTHQIDNCSEGCVVLSKTKEFCSVFHGMIREKQVNKRYLALTTAPVSAGIITHYMRPINRAPRLVSEDHIKGWHVCQMEILDCKKVPWPSSLIRKVHKVDNCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGTPIVGDSAYMTAAMAAIVNPSINPFGRWGQNYDSEDEKAAAVEAWISCHGKEPKSVIGLQASEISWDYEGEHHSYKAGVPWWRQDAVESDLI >ONIVA07G24840.1 pep chromosome:AWHD00000000:7:22752193:22764898:-1 gene:ONIVA07G24840 transcript:ONIVA07G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 5 [Source:Projected from Arabidopsis thaliana (AT2G13370) TAIR;Acc:AT2G13370] MAFFSNSGSRADSGGYNLNEKAEDEAAYESVGDRDVDLNSRQWNLNEKAEDAYHSDEEQYDGGRSGPNSSENKSVQNARKINGPSGPWGTNFLKDCGPTQTAKEEQLTSDRGMEYGSAASSHDDMDASGEDDELNRGHGEVPAEEMLSDDYYEQDGEEQSDSLLREDDPDDVDFEPESDTEKAADKDKFVDSENSDEEEDDELELSDDDEDDFVENKRQCKRLKVGGTKTSKGRKLPVQVQRKRGVSFTDEDSSGKDSDAPSDTDISHRAKKPDKLHQKTVGRKDVFSNVDSHEVRTSGRRRTARNISYAESEESDDSEEKLAKQQKVLKEDPEEEEGETIERILWHQPKGVAEEALRNGQSTQPTVISFTSDVDQSWDDVEFYIKWKGQSFLHCQWKTLSELQNVSGFKKVLNYMKRVTDELRYKRSLSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKVDGDDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREAATSILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPDMNVVVYVGNRASREICQQHEFFTNKKGGRHVKFHTLITTYEVILKDKAALSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPSKFNSKDIFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVDMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVRMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKKKLESMDIDEILERAEKVANFSSGEDDATFWSRLIQPDASDMVEETLAPRAARNKKSYVEDHQLDKNSNRKRRGIDAQEKPRRRSSRTMDTAVSLPLIDGSAHQVREWSFGNLSKKDATRFVRAVKKFGNPSQIGLIVDDVGGAIAKSSVDQQLELFTLLIEGCQDAVKNNMDAKGTVLDFFGVAVKAHELIARVEELQFLARRIARYKDPVRQYRIQAPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLSLTAKIAPATLGERETFLPRAPNLDNRASALLQKEFANLRGKSSKAKGGPRQAIDNESNGGARSLRGRQKDTKIKEDNNSIKDDFKKRKVVEPEAREEGEISESEAETKYRQDKEEKWLEWCSEVLDDEQEILKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGNKIGEIVDQHSESYKQSRMAMRLWNYVANFSSMSGEQLHDLYLKLSQDQMEAGVGPSHGGNFASVPPNRGPKSNQLHPSRNQRSTRSVQYVSESFNNGENTGNSEAWKRRRRSEPDNQFDNQPLYQAPPIMTNGNRLQESSSSAGILGWAPVEMRRYGNERPKRGVHPSRFPPGHGPLL >ONIVA07G24840.2 pep chromosome:AWHD00000000:7:22752193:22764898:-1 gene:ONIVA07G24840 transcript:ONIVA07G24840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 5 [Source:Projected from Arabidopsis thaliana (AT2G13370) TAIR;Acc:AT2G13370] MAFFSNSGSRADSGGYNLNEKAEDEAAYESVGDRDVDLNSRQWNLNEKAEDAYHSDEEQYDGGRSGPNSSENKSVQNARKINGPSGPWGTNFLKDCGPTQTAKEEQLTSDRGMEYGSAASSHDDMDASGEDDELNRGHGEVPAEEMLSDDYYEQDGEEQSDSLLREDDPDDVDFEPESDTEKAADKDKFVDSENSDEEEDDELELSDDDEDDFVENKRQCKRLKVGGTKTSKGRKLPVQVQRKRGVSFTDEDSSGKDSDAPSDTDISHRAKKPDKLHQKTVGRKDVFSNVDSHEVRTSGRRRTARNISYAESEESDDSEEKLAKQQKVLKEDPEEEEGETIERILWHQPKGVAEEALRNGQSTQPTVISFTSDVDQSWDDVEFYIKWKGQSFLHCQWKTLSELQNVSGFKKVLNYMKRVTDELRYKRSLSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKVDGDDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREAATSILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPDMNVVVYVGNRASREICQQHEFFTNKKGGRHVKFHTLITTYEVILKDKAALSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPSKFNSKDIFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVDMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVRMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKKKLESMDIDEILERAEKVETKGGEGEEGNELLSAFKACSVANFSSGEDDATFWSRLIQPDASDMVEETLAPRAARNKKSYVEDHQLDKNSNRKRRGIDAQEKPRRRSSRTMDTAVSLPLIDGSAHQVREWSFGNLSKKDATRFVRAVKKFGNPSQIGLIVDDVGGAIAKSSVDQQLELFTLLIEGCQDAVKNNMDAKGTVLDFFGVAVKAHELIARVEELQFLARRIARYKDPVRQYRIQAPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLSLTAKIAPATLGERETFLPRAPNLDNRASALLQKEFANLRGKSSKAKGGPRQAIDNESNGGARSLRGRQKDTKIKEDNNSIKDDFKKRKVVEPEAREEGEISESEAETKYRQDKEEKWLEWCSEVLDDEQEILKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGNKIGEIVDQHSESYKQSRMAMRLWNYVANFSSMSGEQLHDLYLKLSQDQMEAGVGPSHGGNFASVPPNRGPKSNQLHPSRNQRSTRSVQYVSESFNNGENTGNSEAWKRRRRSEPDNQFDNQPLYQAPPIMTNGNRLQESSSSAGILGWAPVEMRRYGNERPKRGVHPSRFPPGHGPLL >ONIVA07G24830.1 pep chromosome:AWHD00000000:7:22745549:22750302:1 gene:ONIVA07G24830 transcript:ONIVA07G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVEERREAMGEEVEVESEAPTTFAELGVCPELACDAMGWKAPTRIQAEAIPFALQGRDVIGVGQTGSGKTAAFALPIIQALLEHEHRPRFLACVLAPTRELAIQIAKQFEALGSAISLQCSVLIGGIPRTSQTISLAKRPHVVVWWRSSRACLPEHLRKGFDSWQLWKERNSRVGTPGRLLDHLTNTKGFSFNKLKYLVLDEADDLLKVDFQKAVDDILNVIPKERRTFLFSATMTEKVKKLRRACLKNPVKVEVASKYSLVDTLRQDLYVVPAKYKDCYLIHVLNKMPGSMIMVFVRTCESTRLLALMLRNLRFKAISISGQMSQDKRLGALNRFRTRDCNILVCTDVASRGLDIQGVDVVINYDFPLNSKDYIHRVGRTARAGQSGYAVSLVNQFEGEIFKLIEQFLGGEEIPARKVDADEIMILHERIYDSKRIALKTMNESGYHKRMRRRMEDDEEEVEEAPVSSRSRSLKKSRRR >ONIVA07G24830.2 pep chromosome:AWHD00000000:7:22745549:22750404:1 gene:ONIVA07G24830 transcript:ONIVA07G24830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVEERREAMGEEVEVESEAPTTFAELGVCPELACDAMGWKAPTRIQAEAIPFALQGRDVIGVGQTGSGKTAAFALPIIQALLEHEHRPRFLACVLAPTRELAIQIAKQFEALGSAISLQCSVLIGGIPRTSQTISLAKRPHVVVWWRSSRACLPEHLRKGFDSWQLWKERNSRVGTPGRLLDHLTNTKGFSFNKLKYLVLDEADDLLKVDFQKAVDDILNVIPKERRTFLFSATMTEKVKKLRRACLKNPVKVEVASKYSLVDTLRQDLYVVPAKYKDCYLIHVLNKMPGSMIMVFVRTCESTRLLALMLRNLRFKAISISGQMSQDKRLGALNRFRTRDCNILVCTDVASRGLDIQGVDVVINYDFPLNSKDYIHRVGRTARAGQSGYAVSLVNQFEGEIFKLIEQFLGGEEIPARKVDADEIMILHERIYDSKRIALKTMNESGYHKRMRRRMEDDEEEVEEAPVSSRSRSLKKSRRR >ONIVA07G24830.3 pep chromosome:AWHD00000000:7:22745549:22750302:1 gene:ONIVA07G24830 transcript:ONIVA07G24830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVEERREAMGEEVEVESEAPTTFAELGVCPELACDAMGWKAPTRIQAEAIPFALQGRDVIGVGQTGSGKTAAFALPIIQALLEHEHRPRFLACVLAPTRELAIQIAKQFEALGSAISLQCSVLIGGIPRTSQTISLAKRPHVVVGTPGRLLDHLTNTKGFSFNKLKYLVLDEADDLLKVDFQKAVDDILNVIPKERRTFLFSATMTEKVKKLRRACLKNPVKVEVASKYSLVDTLRQDLYVVPAKYKDCYLIHVLNKMPGSMIMVFVRTCESTRLLALMLRNLRFKAISISGQMSQDKRLGALNRFRTRDCNILVCTDVASRGLDIQGVDVVINYDFPLNSKDYIHRVGRTARAGQSGYAVSLVNQFEGEIFKLIEQFLGGEEIPARKVDADEIMILHERIYDSKRIALKTMNESGYHKRMRRRMEDDEEEVEEAPVSSRSRSLKKSRRR >ONIVA07G24820.1 pep chromosome:AWHD00000000:7:22739795:22740903:-1 gene:ONIVA07G24820 transcript:ONIVA07G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGCSKVVSGGGSGSCGGGAKKPFHFARSLTYHHHQGHRVLPPAAKWRRHQLADEPRARPKDVVLYTTSLRGVRRTFADCSSVRAILRGFRVAVDERDVSMDAAFRRELWSLLDARGRAFSLPQLLVGGRLVGGADEVKQLHESGQLRRLLDGAAGQDPAYVCDGCGGVRFVPCTACGGGRKVFVEEEDRVQRCGDCNENGLMTLQFKTMRYGNDPTVLT >ONIVA07G24810.1 pep chromosome:AWHD00000000:7:22733601:22737394:1 gene:ONIVA07G24810 transcript:ONIVA07G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I576] MASVTYLDDAHSEVIDPPKSEEMLDVTELVDDHTQHSPKPNAMVSGNVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIQYLVSHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDKKELKLRVTGRIWKEQ >ONIVA07G24800.1 pep chromosome:AWHD00000000:7:22729281:22731962:1 gene:ONIVA07G24800 transcript:ONIVA07G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1/ASK-interacting protein 5 [Source:Projected from Arabidopsis thaliana (AT3G54480) TAIR;Acc:AT3G54480] MPPKRQRSAAGPSGEAPVNSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPIRNTIEPGVYPNLESAVSAARPGDTILIAAGGTHVARNIQIKKPLCIIGGGELPDDTVLTCSRGSDNALEFLSTCKIANLTIRAELGCCLLHRSGRLTIEECLLQCEQNPLDYLSFPIISTAIEYDSFSSLKEQGHGVTVVRTRIEGGAKAVRTNGTLALQHVRAIYSRSSVFFWFEVGER >ONIVA07G24790.1 pep chromosome:AWHD00000000:7:22726455:22728263:-1 gene:ONIVA07G24790 transcript:ONIVA07G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:A0A0E0I573] MSIIAVPSPVPSASDDAESLRKALQGWRADKGALTRILCRRTAAQRAAIRRAYAFLYREPLLNCFRYKLSRHCLLSLDFWKAMILWTMDPAERDANLVHEALKKKQRDETYYMSVLIEVSCACTPDHLVAVRRAYLALFGCSVEEDMLVRLVSSYRYEGDECVVDMDVVRMEASQLAEAIKKKKQPRGEDEVVRIVTTRSKSQLRSTFQRYREDHGSDIAEDIDSHCIGQFGRMLKTAVWCLTSPEKHFAEVIRHSILGLGTYEDMLTRVIVSRAEIDMRHIREEYKVRYKTTVTRDVVGDTSFGYKGFLLALVGRED >ONIVA07G24790.2 pep chromosome:AWHD00000000:7:22726455:22728263:-1 gene:ONIVA07G24790 transcript:ONIVA07G24790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:A0A0E0I573] MSIIAVPSPVPSASDDAESLRKALQVRHGRMVTTRVASAGWRADKGALTRILCRRTAAQRAAIRRAYAFLYREPLLNCFRYKLSRHCLLSLDFWKAMILWTMDPAERDANLVHEALKKKQRDETYYMSVLIEMLVRLVSSYRYEGDECVVDMDVVRMEASQLAEAIKKKKQPRGEDEVVRIVTTRSKSQLRSTFQRYREDHGSDIAEDIDSHCIGQFGRMLKTAVWCLTSPEKHFAEVIRHSILGLGTYEDMLTRVIVSRAEIDMRHIREEYKVRYKTTVTRDVVGDTSFGYKGFLLALVGRED >ONIVA07G24780.1 pep chromosome:AWHD00000000:7:22717515:22725304:-1 gene:ONIVA07G24780 transcript:ONIVA07G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT3G57060) TAIR;Acc:AT3G57060] MAPPFVFPSTLRDLERDGDGGGDDEPALRPQNPVAVGALRAADLEEFVKGTSFDLSDKELFCIEEQDVFDRVYSLVRDFTCLPPALKFNLVETLRSNLSVLLPNIDSLSRASMSSPSDGIPVTHRIASHRNALKIYSFFLLSIVVAEESGADSCNGPKVAVHGRKKNAVYAWSWEAQRGRIMNLIANSLEVDLSLLFGPGGADEQYLSFVSKCTFVLCENQNVLKDEEIRNGLCRIIGAIATKHQRVSQTSASVLHLIHKFDFTVVLLAESVAAAEKRFGDGSLAISLIREIGRTDPKDYVRDSAGADNAGRFLVELADRLPKLMSTNIGVLIPHFGGESYKIRNSLVGVLGKLAAKAFKDVEGDSDTHSLRLRSKHAMLEILLERCRDVSAYTRSRVLQVWAELCEENAISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLERYKEKLQGMEPPSPEKDELLNDSSLGEVIAGQDESVSDSCLPSSQDPKDQDPTIVDITNLEQIRALVASLEAGLRFSACITSLMPTLVQLLASSSATDVENTILLLMRCRQFQIEGSEEALRKMLPLVFSQDKSIYEAVESAFITIYTKKSPTETAKSLLNLTIECSIGDLAALESLVSSLVSKGEISSNTITALWDYFCFHINGVKPVQSRGALSILCMAAKSSPSIWGTHLQDIIDIGFGRWAKEEPLLARTACIALQRLSNEDKVKLISTGSRVFAALQGLITSLSLPEKIWYGAADKAISAIYALHPAPEIFAAQVVKKSLNSVFGVLGTDCMSNENETQNGSMLSSTPATNLGRFLFIISHIALNHLVYIETSVKKIQKQKRKNDKSEPTAEDLQADASKNSEAQGINAELGIGASVDIAIESLAEKAEREIVSNSEKNLIGYCAPFLAKLCRNLALLQKFPGLQASAMLALCKLMIIDAEFCEANLQILFTAAESAPSEVVRSNCTIALGDLVVRFPNLLEPWTEHIYARLRDPSASVRKNAVLVISHLILNDMMKVKGFINEMAVRIEDEDERISSLAKLFFHDNPIYNLLPDILGRLCNQQLKDETFCSIMQFLISSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLVDNFKIFEHALSEDSVMNHFRTVIAKCKKFAKPDLKVCIEEFDEKLSKVHQEKKEQEATTRNAEAHKQRIGSLDKLMVTKRTGKDKGKSAEVAEETSEVVDPSTESNSEDKENMPECSDNICSEKSHTSSTFTESDGDSTEVQSARTSCKGVSRSRINKMREPEDSEDSAPIRRVSRRRPVR >ONIVA07G24780.2 pep chromosome:AWHD00000000:7:22717515:22725304:-1 gene:ONIVA07G24780 transcript:ONIVA07G24780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT3G57060) TAIR;Acc:AT3G57060] MAPPFVFPSTLRDLERDGDGGGDDEPALRPQNPVAVGALRAADLEEFVKGTSFDLSDKELFCIEEQDVFDRVYSLVRDFTCLPPALKFNLVETLRSNLSVLLPNIDSLSRASMSSPSDGIPVTHRIASHRNALKIYSFFLLSIVVAEESGADSCNGPKVAVHGRKKNAVYAWSWEAQRGRIMNLIANSLEVDLSLLFGPGGADEQYLSFVSKCTFVLCENQNVLKDEEIRNGLCRIIGAIATKHQRVSQTSASVLHLIHKFDFTVVLLAESVAAAEKRFGDGSLAISLIREIGRTDPKDYVRDSAGADNAGRFLVELADRLPKLMSTNIGVLIPHFGGESYKIRNSLVGVLGKLAAKAFKDVEGDSDTHSLRLRSKHAMLEILLERCRDVSAYTRSRVLQVWAELCEENAISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLERYKEKLQGMEPPSPEKDELLNDSSLGEVIAGQDESVSDSCLPSSQDPKDQDPTIVDITNLEQIRALVASLEAGLRFSACITSLMPTLVQLLASSSATDVENTILLLMRCRQFQIEGSEEALRKMLPLVFSQDKSIYEAVESAFITIYTKKSPTETAKSLLNLTIECSIGDLAALESLVSSLVSKGEISSNTITALWDYFCFHINGVKPVQSRGALSILCMAAKSSPSIWGTHLQDIIDIGFGRWAKEEPLLARTACIALQRLSNEDKVKLISTGSRVFAALQGLITSLSLPEKIWYGAADKAISAIYALHPAPEIFAAQVVKKSLNSVFGVLGTDCMSNENETQNGSMLSSTPATNLGRFLFIISHIALNHLVYIETSVKKIQKQKRKNDKSEPTAEDLQADASKNSEAQGINAELGIGASVDIAIESLAEKAEREIVSNSEKNLIGYCAPFLAKLCRNLALLQKFPGLQASAMLALCKLMIIDAEFCEANLQILFTAAESAPSEVVRSNCTIALGDLVVRFPNLLEPWTEHIYARLRDPSASVRKNAVLVISHLILNDMMKVKGFINEMAVRIEDEDERISSLAKLFFHELSKKGMSSKCVRSNPIYNLLPDILGRLCNQQLKDETFCSIMQFLISSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLVDNFKIFEHALSEDSVMNHFRTVIAKCKKFAKPDLKVCIEEFDEKLSKVHQEKKEQEATTRNAEAHKQRIGSLDKLMVTKRTGKDKGKSAEVAEETSEVVDPSTESNSEDKENMPECSDNICSEKSHTSSTFTESDGDSTEVQSARTSCKGVSRSRINKMREPEDSEDSAPIRRVSRRRPVR >ONIVA07G24770.1 pep chromosome:AWHD00000000:7:22716562:22716876:1 gene:ONIVA07G24770 transcript:ONIVA07G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEEDRGWWNPSTSRLNFVIKFFEEKWMTCGCEQPRFEADSEKKNWIAQVAQHAFALSLDNANELLPVALLSEAMDDLAWAFQGPSSPISICNSYYSIWLKSI >ONIVA07G24760.1 pep chromosome:AWHD00000000:7:22706985:22707686:1 gene:ONIVA07G24760 transcript:ONIVA07G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKNGLLGWLCVVVPGRRRRRRGARLVLWGGERRAAAAGRMAGEVMMEHAGRLVVCRADGFRLGRAAPVLAIEDRLDAGATYLVLPVDRLPQGRDVVTAASLAALTYDRAAGAPLLAGGAKSPFEYVKDDGGRTVIKVTEEFIVKAVTGRRPPAGGVGEGEDAHGAAALCSTPELRKHYEQLVGAARGRPWSPRLETIKERNGRRRVVDVVVSPTGRLSPVRLLGLDKGIK >ONIVA07G24750.1 pep chromosome:AWHD00000000:7:22705558:22712603:-1 gene:ONIVA07G24750 transcript:ONIVA07G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARLRSAGARLVVGPAAMAVGRGGGGEGRWMGTATAEAAAGIARWEPMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGEKYGVNYSEDEILMRYRRAYAQPWGRSRLRYVDDGRPFWQHIVSASTGCSDLQYFEELYQYYTTAKAWQLCDPDAKYVFEALRKAGVKTAVVSNFDTRLRPLLQALNCDHWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVYSFKENQAHIIKSGLLCRSQKGSELRCKESFVRWAISINIHIGSPAQCKLRAHTKQGRGRTSGERGERGGGDDVAALGEAVDGEDEVGGAGVEAVLDGEHGGRAAEAEPVGAAHHEAPRDQAGSAAYSAGSTPIRIY >ONIVA07G24750.2 pep chromosome:AWHD00000000:7:22705558:22712603:-1 gene:ONIVA07G24750 transcript:ONIVA07G24750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARLRSAGARLVVGPAAMAVGRGGGGEGRWMGTATAEAAAGIARWEPMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGEKYGVNYSEDEILMRYRRAYAQPWGRSRLRYVDDGRPFWQHIVSASTGCSDLQYFEELYQYYTTAKAWQLCDPDAKYVFEALRKAGVKTAVVSNFDTRLRPLLQALNCDHWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVYSFKEAISINIHIGSPAQCKLRAHTKQGRGRTSGERGERGGGDDVAALGEAVDGEDEVGGAGVEAVLDGEHGGRAAEAEPVGAAHHEAPRDQAGSAAYSAGSTPIRIY >ONIVA07G24750.3 pep chromosome:AWHD00000000:7:22705558:22712603:-1 gene:ONIVA07G24750 transcript:ONIVA07G24750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARLRSAGARLVVGPAAMAVGRGGGGEGRWMGTATAEAAAGIARWEPMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGEKYGVNYSEDEILMRYRRAYAQPWGRSRLRYVDDGRPFWQHIVSASTGCSDLQYFEELYQYYTTAKAWQLCDPDAKYVFEALRKAGVKTAVVSNFDTRLRPLLQALNCDHWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVYSFKEAISINIHIGSPAQDQAGSAAYSAGSTPIRIY >ONIVA07G24740.1 pep chromosome:AWHD00000000:7:22703092:22703711:-1 gene:ONIVA07G24740 transcript:ONIVA07G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRSEGSSSSSARQPYGSPIPYRVGPFEYEPAVLCRCELKAARWISWSVDNPGRRYFKCRNARKGGCDFYAWHDGPTSSFLREVLNDLQGAVHSLRREKADAVKEVEELRVKSEEQCREFASVGRELASVRELVSELDVKNAVLIDSKCRLEKERTVLIWCILSCMCVVILLVLGKN >ONIVA07G24730.1 pep chromosome:AWHD00000000:7:22699818:22702446:1 gene:ONIVA07G24730 transcript:ONIVA07G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEKLVVRFHFNGEFVTSGREKKYCGGREALSYIDRDKISLPEIFGHLRDHCKVMEGTMLHWLFPGKDLNSGLRALLDDTVCKLMADCIDDLGVAEVYAEEPTIVDLCYSSDDDSSYEADESEDDSEEMEVAEGGMEGTEDAVALEGGEIRTKTNEVRKGKAISSEIPENRLVHCLLPVEGMNAWPEDDREPLTAPGYIKMPGRPRTERRREAHEPAKPSKASKFGTKVRCRTCKQVGHNKSSCHKHNPAQTAGGSSQQVATPSHNLVLSNTPQSCAQSRKRKATGTLTTTTSASQSKATKPTKKAQMEPLQIVRVNARAKISTPSGGSARVDLQANVAGSNSSTSAAVTVTSGKASVSVSAQEPARTTTKNKAKVPLLLLPPWESDKL >ONIVA07G24720.1 pep chromosome:AWHD00000000:7:22697410:22698920:-1 gene:ONIVA07G24720 transcript:ONIVA07G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G12320) TAIR;Acc:AT5G12320] MGAESEQPTAAAATAEQAQDLIDAARYDDLEDVVALFTAGVSLDSADSQGRTALHMASANGHLAVVQYLIQNGANVNATNSEKNTPLHWACLNGHIEVIKALICAGASVSALNSHEKTPMDEAVTKGKMEVIDAIGAAVAQAELDGVTVS >ONIVA07G24710.1 pep chromosome:AWHD00000000:7:22679880:22680329:-1 gene:ONIVA07G24710 transcript:ONIVA07G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQQDVTMRTSSAAVHGGGVARAQRGRDRMRRGPGRWRRDRTQRGGPRRRRSPTVARRRSSAVAVARWRSIAVAARQGRSEEDYGGDAIGCGEVDQGSEAWQDAARRSMAAAAPQGAARRSTAAVAWQGAARMSTAARLLQDVARGL >ONIVA07G24700.1 pep chromosome:AWHD00000000:7:22674635:22678440:-1 gene:ONIVA07G24700 transcript:ONIVA07G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHIRARAWEATVRKVVQQQPQPQPQPGGSRRRVSPMLAADDPETASSSSASTAGGDGEHRHDGYVERGLPNGDFYTGQWRGGAPHGSGKYLWTDGCMYEGEWRHGKATGRGRFSWPSGATYEGEFRDGFMDGAGTYTGAAGDTYRGSWSMNLKHGHGKKSYANGDHYDGEWRSGLQDGAGRYIWRNGTEYTGQWRAGLIHGRGALVWSNGNRYDGGWEDGCPRGQGTFRWADGSVYVGYWTRDAATGIVQQKGVYYPSPAASSPTARDPRDVFARDLPGFMGGAAEPVASLPHRSLNHSGNRGGAAAAAAAGNARSSSASGLSNSSGGDRKYDKICIWESDGDITCDIVDGAALADEAVGPRRSIRAAGGGDGLVLAPPSPAPRIAKWVPPREAKRQGETIAKGHKNYELMLNLQLGIRHAVGKQGPVTLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGSEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHSIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFHEFQRQVDRDCDFLEQEKIMDYSLLVGVHFRGNREKLLSEGSTDYDINKISTPRLSRGNVDQCLTDPNRWPKIKLGANMPARAELTARKNDLEPQLIGEPTGEYYDVVLYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPRQYSRRFKDFIYKAFQEDRLDS >ONIVA07G24690.1 pep chromosome:AWHD00000000:7:22666414:22667757:1 gene:ONIVA07G24690 transcript:ONIVA07G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRMSIAAMSVLAVAAVLVVAGTAAAAASCPATPPDAGATLQVSHAFGPCSPLGAESAAPSWAGFLAEQAARDASRLLYLDSLAVKGRAYAPIASGRQLLQTPTYVVRARLGTPAQQLLLAVDTSNDAAWIPCSGCAGCPTSSPFNPAASASYRPVPCGSPQCVLAPNPSCSPNAKSCGFSLSYADSSLQAALSQDTLAVAGDVVKAYTFGCLQRATGTAAPPQGLLGLGRGPLSFLSQTKDMYGATFSYCLPSFKSLNFSGTLRLGRNGQPRRIKTTPLLANPHRSSLYYVNMTGIRVGKKVVSVPASALAFDPATGAGTVLDSGTMFTRLVAPVYLALRDEVRRRVGAAAAVSSLGGFDTCYNTTVAWPPVTLLFDGMQVTLPEENVVIHTTYGTTSCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFARESCTAA >ONIVA07G24680.1 pep chromosome:AWHD00000000:7:22644018:22658450:-1 gene:ONIVA07G24680 transcript:ONIVA07G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRAAAAAAPSPAAALLPLPRAAPLLAGRAAARSAARRLRARGTRAPPLAAARRGWGGVSPRAVLDLPRRREAAEKPAQKAADLNEILSERGACGVGFVANLKNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFNDWANKQGLAPLDRTNTGVGMVFLPQDENSMEEAKAVVAKVFTDEGLEVLGWRTVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNADDIERELYICRKLIERATKSASWADELYFCSLSSRTIVYKGMLRSEILGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEAMMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENADQVTLSSPVLNEGELESLLNDSKLKPKVLSTYFDIRKGLDGSLDKAIKVLCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLADVEDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVSKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLSETHQTLIQNGLRERVVLRVDGGFRSGLDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGFEKLDDIIGRTDLLKAKHVSLAKTQHIDLKYLLSSAGLPKWSSSQIRSQDVHSNGPVLDETILADPDISDAIENEKEVSKTFPIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLIGEANDYVGKGMAGGELVVVPVEKTGFVPEDAAIVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTVQSAK >ONIVA07G24680.2 pep chromosome:AWHD00000000:7:22644018:22658450:-1 gene:ONIVA07G24680 transcript:ONIVA07G24680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRAAAAAAPSPAAALLPLPRAAPLLAGRAAARSAARRLRARGTRAPPLAAARRGWGGVSPRAVLDLPRRREAAEKPAQKAADLNEILSERGACGVGFVANLKNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFNDWANKQGLAPLDRTNTGVGMVFLPQDENSMEEAKAVVAKVFTDEGLEVLGWRTVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNADDIERELYICRKLIERATKSASWADELYFCSLSSRTIVYKGMLRSEILGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEAMMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENADQVTLSSPVLNEGELESLLNDSKLKPKVLSTYFDIRKGLDGSLDKAIKVLCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLADVEDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVSKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLSETHQTLIQNGLRERVVLRVDGGFRSGLDVLMAAAMGADEYGFGSVAMIATGCIRSQDVHSNGPVLDETILADPDISDAIENEKEVSKTFPIYNVDRAVCGRVAGVIAKKFTGSAGQSFGCFLTPGMNIRLIGEANDYVGKGMAGGELVVVPVEKTGFVPEDAAIVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTVQSAK >ONIVA07G24680.3 pep chromosome:AWHD00000000:7:22644018:22658450:-1 gene:ONIVA07G24680 transcript:ONIVA07G24680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRAAAAAAPSPAAALLPLPRAAPLLAGRAAARSAARRLRARGTRAPPLAAARRGWGGVSPRAVLDLPRRREAAEKPAQKAADLNEILSERGACGVGFVANLKNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFNDWANKQGLAPLDRTNTGVGMVFLPQDENSMEEAKAVVAKVFTDEGLEVLGWRTVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNADDIERELYICRKLIERATKSASWADELYFCSLSSRTIVYKGMLRSEILGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEAMMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENADQVTLSSPVLNEGELESLLNDSKLKPKVLSTYFDIRKGLDGSLDKAIKVLCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLADVEDGYSPTLPHLKGLQNGDTATSAIKQVMMVALELAQLVQSSMLGVLGNLTLIQNGLRERVVLRVDGGFRSGLDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGFEKLDDIIGRTDLLKAKHVSLAKTQHIDLKYLLSSAGLPKWSSSQIRSQDVHSNGPVLDETILADPDISDAIENEKEVSKTFPIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLIGEANDYVGKGMAGGELVVVPVEKTGFVPEDAAIVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTVQSAK >ONIVA07G24670.1 pep chromosome:AWHD00000000:7:22633830:22643351:1 gene:ONIVA07G24670 transcript:ONIVA07G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPVAGAAERQQQQQQRGGAASASGNAVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTTDPYEGAVPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLERHGIKVEGILRQAADVEEVDKRMQEYEQGRMEFAQDEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAFRLESKESRINSMRAAISETFPEPNRRLLQRILRMMHTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMEDDLDMNGDSSAQLIAAANAANSAQGIVTTLLEEYEGIFYDEHLRCSLSPDSQIEDSGSEESTDDETVDIKDSGFHDAENDADQELDDSERILSGKLSETSACTAGDLYDYKVADDDDSDGEPSTEDKALETKVDVNDAQHSHLAGNVSVNVQRSPNEKDPPNMVSSQDSPLSMGDILSSLDAGISLPGPGPEYSVDRQSIKSNGTQMHVKRSNFWGRNNGRKSQQSELADSSGEEELAIQRLEITKNDLQIRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSAQISSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSLADANDRYQHLPNHLPQNFVQSGFDMNLAFCNQEKKQRNEGPAGASHWRSIKQHVLPHGSSRPFSRKHSMDASSSDSREASTSMPADGNSMSVNIPKATEGVEYGRQAPVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGNGSANHGFPYKSSSPWNSPR >ONIVA07G24670.2 pep chromosome:AWHD00000000:7:22633808:22643351:1 gene:ONIVA07G24670 transcript:ONIVA07G24670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPVAGAAERQQQQQQRGGAASASGNAVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTTDPYEGAVPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLERHGIKVEGILRQAADVEEVDKRMQEYEQGRMEFAQDEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAFRLESKESRINSMRAAISETFPEPNRRLLQRILRMMHTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMEDDLDMNGDSSAQLIAAANAANSAQGIVTTLLEEYEGIFYDEHLRCSLSPDSQIEDSGSEESTDDETVDIKDSGFHDAENDADQELDDSERILSGKLSETSACTAGDLYDYKVADDDDSDGEPSTEDKALETKVDVNDAQHSHLAGNVSVNVQRSPNEKDPPNMVSSQDSPLSMGDILSSLDAGISLPGPGPEYSVDRQSIKSNGTQMHVKRSNFWGRNNGRKSQQSELADSSGEEELAIQRLEITKNDLQIRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSAQISSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSLADANDRYQHLPNHLPQNFVQSGFDMNLAFCNQEKKQRNEGPAGASHWRSIKQHVLPHGSSRPFSRKHSMDASSSDSREASTSMPADGNSMSVNIPKATEGVEYGRQAPVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGNGSANHGFPYKSSSPWNSPR >ONIVA07G24660.1 pep chromosome:AWHD00000000:7:22622233:22630298:-1 gene:ONIVA07G24660 transcript:ONIVA07G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLLHSSRRLGHRLQTLTPASTAAASSSPVSATLPFRRPLPASRLLWPRLLSTSGRDDDPNKPWAFTPESGDPDPFAAGEGVEAPAGEDPLGSSAAGEDPWAKDFRAEDSEKGDVFEEIFKEEAATAAVASGEKAPGAGADELWTLSGEDEKDPFAEAVLGGGLEGIGGEGAAIDEADAGVDEEEEERKRQERRAREQELMETLKGPNRAFGDLIEASGITEDMIASLILLKDVRGVPGLPPLREIEDETIEKMNATSSRADVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIQPGDGKFIVNDKQFDSYFPILDHRADLLRPFTVTKTLGRWDVTCTVKGGGVSGQVGAIRLGISRALQNWEPGLRPNLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKRWTFLLVTIYFAFATAISAHGCWVYSNKNLKKADESHEFLSDDVENREFSTSSGEMKRDEEKITNYHEQIANEKRAGLWGRCMQIIYQTSAGATMLTDITFWGLLVPFFYRDKFGLSLVTDGMHSINAVLLLIDTFLNNMPFPWYRLAFFVFWSCSYVTFQWVLHACGAISWWPYPFLDLSSSGAPLWYLAMAIVHIPCFFLYWSIVKAKQTYFPRLFPHAYVRN >ONIVA07G24650.1 pep chromosome:AWHD00000000:7:22614547:22621788:1 gene:ONIVA07G24650 transcript:ONIVA07G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I552] MRQVDESSTPEGTTVRWGHNQRVGNGRTNHLSPSRDTAPRSHARYPPPIHAPILSCLLYSTPSASPLLPPSLAAAPPSSRRRRAFFHHSCRPLPSSAVAAKALRASAAPAVDEEAPASPPPSDLGKGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGVTGPDLMDKMRKQAERWGAELHQEDVEFVNVKSRPFVIRSSDREVKCHSVIIATGAAAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVLSNPNITVHFNTEAVDVVSNPKGQMSGIQLKRTDTGEESVLEVKGLFYGIGHTPNSQLLQGQIDLDDAGYILVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCVAALSVERYLVANDLLVEFHQPVREEKEKEITDRDVEMGFDISHTKHRGQYALRKVYHESPRLVCVLYTSPTCGPCRTLKPILSKVIDEYNEHVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRAGRTLRRTPRFSSLISSFRADRPADSAAAAPNRQPKTSGARQKQSQIHNSQLTSARRQAKDETRRVTPPRVTLPPRRGLLQNPPPPPFYPHPKPANHPPAQSPPQITPPRPTRHRGTPRHATATAAARRDANPEKTGAKESAAAMEAAASPSPPPSRASPLRLNPAAFLLRTTTTTTVQPTTSADAPPPPPPTRQTAGVDRLISFLSSLIPRRGQRAKQPTSPPPTAAAAAMRRAAEREAEAERQLVGCAVPLFRPYVAQLPWHGGARAWLSRMFPRYGHYCGPNWSSGKEAGSVLWDRRPADHLDFCCYCHDMAYDTHDQAQLLRADLAFLRCLQSSRQTPARDGIAAAAIYRSMCIFGLKTILIPYRTNLVRLQTGPNYADAFADFVKRVASSSGRPTGSDKQRM >ONIVA07G24650.2 pep chromosome:AWHD00000000:7:22614547:22621788:1 gene:ONIVA07G24650 transcript:ONIVA07G24650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I552] MRQVDESSTPEGTTVRWGHNQRVGNGRTNHLSPSRDTAPRSHARYPPPIHAPILSCLLYSTPSASPLLPPSLAMAVTRLAVAAALSAAPPSSRRRRAFFHHSCRPLPSSAVAAKALRASAAPAVDEEAPASPPPSDLGKGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGVTGPDLMDKMRKQAERWGAELHQEDVEFVNVKSRPFVIRSSDREVKCHSVIIATGAAAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVLSNPNITVHFNTEAVDVVSNPKGQMSGIQLKRTDTGEESVLEVKGLFYGIGHTPNSQLLQGQIDLDDAGYILVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCVAALSVERYLVANDLLVEFHQPVREEKEKEITDRDVEMGFDISHTKHRGQYALRKVYHESPRLVCVLYTSPTCGPCRTLKPILSKVIDEYNEHVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRAGRTLRRTPRFSSLISSFRADRPADSAAAAPNRQPKTSGARQKQSQIHNSQLTSARRQAKDETRRVTPPRVTLPPRRGLLQNPPPPPFYPHPKPANHPPAQSPPQITPPRPTRHRGTPRHATATAAARRDANPEKTGAKESAAAMEAAASPSPPPSRASPLRLNPAAFLLRTTTTTTVQPTTSADAPPPPPPTRQTAGVDRLISFLSSLIPRRGQRAKQPTSPPPTAAAAAMRRAAEREAEAERQLVGCAVPLFRPYVAQLPWHGGARAWLSRMFPRYGHYCGPNWSSGKEAGSVLWDRRPADHLDFCCYCHDMAYDTHDQAQLLRADLAFLRCLQSSRQTPARDGIAAAAIYRSMCIFGLKTILIPYRTNLVRLQTGPNYADAFADFVKRVASSSGRPTGSDKQRM >ONIVA07G24650.3 pep chromosome:AWHD00000000:7:22614547:22621788:1 gene:ONIVA07G24650 transcript:ONIVA07G24650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I552] MRQVDESSTPEGTTVRWGHNQRVGNGRTNHLSPSRDTAPRSHARYPPPIHAPILSCLLYSTPSASPLLPPSLAMAVTRLAVAAALSAAPPSSRRRRAFFHHSCRPLPSSAVAAKALRASAAPAVDEEAPASPPPSDLGKGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGVTGPDLMDKMRKQAERWGAELHQEDVEFVNVKSRPFVIRSSDREVKCHSVIIATGAAAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVLSNPNITVHFNTEAVDVVSNPKGQMSGIQLKRTDTGEESVLEVKGLFYGIGHTPNSQLLQGQIDLDDAGYILVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCVAALSVERYLVANDLLVEFHQPVREEKEKEITDRDVEMGFDISHTKHRGQYALRKVYHESPRLVCVLYTSPTCAHLGEAPSQRRDETSHATTRHPSAAPGPPPESSSSSVLSPPKTGEPPARPIPASNNPPSPWPPPPNKLPKKKKRKKKPTRHRGTPRHATATAAARRDANPEKTGAKESAAAMEAAASPSPPPSRASPLRLNPAAFLLRTTTTTTVQPTTSADAPPPPPPTRQTAGVDRLISFLSSLIPRRGQRAKQPTSPPPTAAAAAMRRAAEREAEAERQLVGCAVPLFRPYVAQLPWHGGARAWLSRMFPRYGHYCGPNWSSGKEAGSVLWDRRPADHLDFCCYCHDMAYDTHDQAQLLRADLAFLRCLQSSRQTPARDGIAAAAIYRSMCIFGLKTILIPYRTNLVRLQTGPNYADAFADFVKRVASSSGRPTGSDKQRM >ONIVA07G24640.1 pep chromosome:AWHD00000000:7:22610838:22613093:-1 gene:ONIVA07G24640 transcript:ONIVA07G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQERGDPAAARRVAVELELELPLGGAPPYPGAAPFDLEAAVCSHGLFMMAPNRWDPASRALVRPLRLASDRAASVAVRVSRHPARPSDALLVSVLGAPGDALSPPDQTSILEQVRRMLRLDEEDGRAAAEFQAMHAVAREAGFGRIFRSPTLFEDMVKWTRTLSMSTALCELQLELRSSSSTENFQSRTPPIRECKRKRSNKRNVRVKLETKFNEDKLVCLEDPNLATDTANLQTYENSFNLPSAASGTGNTSEVSLDHSELKLRNEPCLEDCGGDFPTPEELANLDEDFLAKRCNLGYRARRIVMLARSIVEGKICLQKLEEIRKMSVPTVEGLSTTPSTYDRLNEELSTISGFGPFTRANVLMCMGFFHMIPADTETIRHLKQFHKRASTISSVQKELDNIYGKYAPFQFLAYWCELWGFYNKQFGKISDMEPINYRLFTASKLKKATVNS >ONIVA07G24630.1 pep chromosome:AWHD00000000:7:22608177:22610983:1 gene:ONIVA07G24630 transcript:ONIVA07G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVLKYHINLFFNFGITKTQLITRYYYRVIRETFNLLSSSSFSSHSCYLCWRPWYDKRAIQHSSKAKSFSARGASLSFSVSYSTPIPLRTRSLSPTLFQFFPTKLASPSSLLLLLLPPPTRAHETPLEFSALLPPVTPSDRSQAMAAAADSADPVITTATCAHCHREVPSPNIALHSAHCARNLQKCEHCGYMVPKKLMDEHYDENHAPMICSLCQKTVQRELWDLHKGLQCPQRMLACQYCDFELPAADIYEHQDVCGNRTEYCQPCRKYVRLREQIGHDIQFHSQPIVASESSSDRSTLEEEESYPAEEQPVRPKHTHGLQRKQFLVTIVIAGISILVGSVLLKKGWLS >ONIVA07G24620.1 pep chromosome:AWHD00000000:7:22602372:22604039:1 gene:ONIVA07G24620 transcript:ONIVA07G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQQQQQQHRIYILQFRKGEQDQEVACKVSTPPKAGAGRRVMYYYHDYGGGGAGKNGKAAQPRAFSMRRFFGLLLLSFVSVGTLFVAPVSFFSFVHSDEGGGGAAAAARRAVEVAAAPCSGMGNDSLCCDRTSTRADICFARGDVRMHSASASFQLVSSSSGNATAAAVEEERIRPYTRKWEANVMATIDEVRLRRVPAGGAARCDVVHDVPAVVFSTGGYTGNVYHEFNDGILPLFVTSNHLRRRVVFVILEYHDWWMTKYGDVVSRLSAFPPIDFTADRRVHCFPEVIAGLRIHGELTVDPEKTPEGKSIRHFRTLLDDAYRGRIQYLERLERRAARSRKRRAAAAKPTTTSIALPIMAPPAKQASPSPPDRPRLVIVSRTGSRVIENEADVAALAADVGFDVRVVRPERTTELCKIYRELNASDAMVGVHGAAMTHFLFMRPGKVFVQVVPLGTDWAAGAYYGEPAARLGLRYVGYKILPEESSLSREYPTGDPVLTDPAGVGKRGWDVTKKVYLDRQNVRLDLPRFREVLVGAHRHLVAGKRRRRQRESQ >ONIVA07G24610.1 pep chromosome:AWHD00000000:7:22580909:22590977:1 gene:ONIVA07G24610 transcript:ONIVA07G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPEAPYLAAGTMSGAVDMLFSASANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAADGDSFSLGLLAGGLSDGSVAVWNPLSMINSEGKAEDALVARLEKHTGPVCGLEFSELTPNRLASGAEQGELCIWDLKNPSEPVVFPPLKSVGSSAQAEISYLTWNPKFQHILATASSNGMTVVWDLRNQKPLTSFSDSNRTKCSVLQWNPDMSTQLIVASDDDNSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSYLLTCSKDNRTICWDTVSGEIMSELPASSNGNFDIHWYRKIPGVVAASSFDVKIGIYNLEFSGLYAAGDSAIGAPARPRAPAPKWLKCPTGASFGFGGKLVSFHQAAPTQGAQVHVHNLVIEQSLVSRSTEFEAAIQNGDKSSLRALCDKKAQESLSDEERETWGFLRVMFEDGDAARTKLLAHLGFNPPQEQTSSSTDELNRTLADTLNLDHGAPTDTSDSQFMVDNGEDFFNNPQPSEASLAEESISTNGQQIEQEMPVNVEPSDPSVDKSIQHALVVGDYKGAVNLCLAANRMADALVIAHAGGSALWESTRNQYLKNTVSPYLKVVSAMVGNDLMSFVSTWPLNAWKETLALLCTFARKEEWNVLCDTLASRLLGVGDTLAATLCYICAGNIDKAVEIWSRDLKSEDGGKTYVDLLQDLMEKTITLALATGHKSFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIAFSTEENQAASTSVHAGSINSSAYPTIQPSYSTPDPSQNLYQGTSQYAVPSNQYQDYQQQSNTAYGTYNSTYSQQPTQMFVPSNTTPVISQPNATPAPVPQQPVKAFTPTNLPGLKNPEQYQQPTLGSQLYAGANPPYTSGQSTPYQGVPPTTYHQPRPPTQFQTVPTAPPAVSSATVPGTTPSQMFPGPVANNPTSRFMPSNNPGFVQRPGLSPVQPSSPTQAQGQPQPVVAPPAPPATVQTADTSKVSAELKPVIATLTRLFDETSKAMGGSQVKKREIEDNSRKIGTLFAKLNSGDISPNVSSKLIQLCSALDSGDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRM >ONIVA07G24610.2 pep chromosome:AWHD00000000:7:22580909:22590966:1 gene:ONIVA07G24610 transcript:ONIVA07G24610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPEAPYLAAGTMSGAVDMLFSASANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAADGDSFSLGLLAGGLSDGSVAVWNPLSMINSEGKAEDALVARLEKHTGPVCGLEFSELTPNRLASGAEQGELCIWDLKNPSEPVVFPPLKSVGSSAQAEISYLTWNPKFQHILATASSNGMTVVWDLRNQKPLTSFSDSNRTKCSVLQWNPDMSTQLIVASDDDNSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSYLLTCSKDNRTICWDTVSGEIMSELPASSNGNFDIHWYRKIPGVVAASSFDVKIGIYNLEFSGLYAAGDSAIGAPARPRAPAPKWLKCPTGASFGFGGKLVSFHQAAPTQGAQVHVHNLVIEQSLVSRSTEFEAAIQNGDKSSLRALCDKKAQESLSDEERETWGFLRVMFEDGDAARTKLLAHLGFNPPQEQTSSSTDELNRTLADTLNLDHGAPTDTSDSQFMVDNGEDFFNNPQPSEASLAEESISTNGQQIEQEMPVNVEPSDPSVDKSIQHALVVGDYKGAVNLCLAANRMADALVIAHAGGSALWESTRNQYLKNTVSPYLKVVSAMVGNDLMSFVSTWPLNAWKETLALLCTFARKEEWNVLCDTLASRLLGVGDTLAATLCYICAGNIDKAVEIWSRDLKSEDGGKTYVDLLQDLMEKTITLALATGHKSFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIAFSTEENQAASTSVHAGSINSSAYPTIQPSYSTPDPSQNLYQGTSQYAVPSNQYQDYQQQSNTAYGTYNSTYSQQPTQMFVPSNTTPVISQPNATPAPVPQQPVKAFTPTNLPGLKNPEQYQQPTLGSQLYAGANPPYTSGQSTPYQGVPPTTYHQPRPPTQFQTVPTAPPAVSSATVPGTTPSQMFPGPVANNPTSRFMPSNNPGFVQRPGLSPVQPSSPTQAQGQPQPVVAPPAPPATVQTADTSKVSAELKPVIATLTRLFDETSKAMGGSQVKKREIEDNSRKIGTLFAKLNSGDISPNVSSKLIQLCSALDSGDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRIF >ONIVA07G24610.3 pep chromosome:AWHD00000000:7:22580909:22590978:1 gene:ONIVA07G24610 transcript:ONIVA07G24610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPEAPYLAAGTMSGAVDMLFSASANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAADGDSFSLGLLAGGLSDGSVAVWNPLSMINSEGKAEDALVARLEKHTGPVCGLEFSELTPNRLASGAEQGELCIWDLKNPSEPVVFPPLKSVGSSAQAEISYLTWNPKFQHILATASSNGMTVVWDLRNQKPLTSFSDSNRTKCSVLQWNPDMSTQLIVASDDDNSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSYLLTCSKDNRTICWDTVSGEIMSELPASSNGNFDIHWYRKIPGVVAASSFDVKIGIYNLEFSGLYAAGDSAIGAPARPRAPAPKWLKCPTGASFGFGGKLVSFHQAAPTQGAQVHVHNLVIEQSLVSRSTEFEAAIQNGDKSSLRALCDKKAQESLSDEERETWGFLRVMFEDGDAARTKLLAHLGFNPPQEQTSSSTDELNRTLADTLNLDHGAPTDTSDSQFMVDNGEDFFNNPQPSEASLAEESISTNGQQIEQEMPVNVEPSDPSVDKSIQHALVVGDYKGAVNLCLAANRMADALVIAHAGGSALWESTRNQYLKNTVSPYLKVVSAMVGNDLMSFVSTWPLNAWKETLALLCTFARKEEWNVLCDTLASRLLGVGDTLAATLCYICAGNIDKAVEIWSRDLKSEDGGKTYVDLLQDLMEKTITLALATGHKSFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIAFSTEENQAASTSVHAGSINSSAYPTIQPSYSTPDPSQNLYQGTSQYAVPSNQYQDYQQQSNTAYGTYNSTYSQQPTQMFVPSNTTPVISQPNATPAPVPQQPVKAFTPTNLPGLKNPEQYQQPTLGSQLYAGANPPYTSGQSTPYQGVPPTTYHQPRPPTQFQTVPTAPPAVSSATVPGTTPSQMFPGPVANNPTSRFMPSNNPGFVQRPGLSPVQPSSPTQAQGQPQPVVAPPAPPATVQTADTSKVSAELKPVIATLTRLFDETSKAMGGSQVKKREIEDNSRKIGTLFAKLNSGDISPNVSSKLIQLCSALDSGDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRM >ONIVA07G24600.1 pep chromosome:AWHD00000000:7:22578091:22578994:-1 gene:ONIVA07G24600 transcript:ONIVA07G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT2G28420) TAIR;Acc:AT2G28420] MAGCRRPTTEMGEVCKRVAPSVREEEEEEENGDGGGVDPAAESSSAKLYEDVPEMPLMALNHISRLCKSIDASVRFYVKALGFVLIHRPPALDFNGAWLFNYGVGIHLVQRDDARRAPDVNPGDLDPMDNHISFQCEDMEMMEKRLNEMGIEYMKRTINEEEGSPIDQLFFKDPDGFMIEICNCENLELVPAGALGRLRLPRDRHNPPLRMAAAGNDEA >ONIVA07G24590.1 pep chromosome:AWHD00000000:7:22563680:22568269:-1 gene:ONIVA07G24590 transcript:ONIVA07G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 40 [Source:Projected from Arabidopsis thaliana (AT3G63470) TAIR;Acc:AT3G63470] MRTSTSNVALLLLVAAAQCLALLSVAAAAKPRTRQGDYLDRLRGSPSSWASLAEDRGAPAPSPSPSGGRHAAPAAAAVGSKEADRVAGLPGQPAGVGFAQYAGYVTVDAAAGRALFYYLAEADGGAAASSKAPLLLWLNGGPGCSSLGYGAMEELGPFRVKSDGVSLYRNPYSWNNVANVMFLESPIGVGFSYSNTTADYSRMGDNSTAEDAYKFLVNWMERFPEYKGRDFYLAGESYAGHYVPQLAHAILRHSSAAAAGKPSSSPINLKGIMIGNAVINDWTDTKGMYDFFWTHALISDEANDGITKHCNFTDGADANSLCDDATSLADDCLQDIDIYNIYAPNCQSPGLVVSPPVTPSIESFDPCTDYYVEAYLNNPDVQKALHANITRLDHPWSACSGVLRRWVDSASTVLPIIKELLKNIRVWVYSGDTDGRVPVTSSRYSVNQLNLPVAAKWRPWFSNTQGAGDVGGYIVQYKGNLSLVTVRGAGHEVPSYQPQRALVLVQYFLEGKTLPDCEKCGEA >ONIVA07G24580.1 pep chromosome:AWHD00000000:7:22554703:22564805:1 gene:ONIVA07G24580 transcript:ONIVA07G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALFLRCGCLAAAPALRRGGAALRPRRTPSRLVAVGATSSSGDGVTTAADAVLRGVGGADGSPAGKPRGYGLVGGANGAMAPPPPPTTKSTAVETTVERVIFDFRFLALLAVAGSLAGSLLCFLNGCVYIKEAYSVYWSGCLKGVHTGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNTSTDVPSESDRALQGSSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKITTGLDLLSYSVCIFLSSASLYILHNLHRPEQDDSVMPNL >ONIVA07G24580.2 pep chromosome:AWHD00000000:7:22554703:22564805:1 gene:ONIVA07G24580 transcript:ONIVA07G24580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALFLRCGCLAAAPALRRGGAALRPRRTPSRLVAVGATSSSGDGVTTAADAVLRGVGGADGSPAGKPRGYGLVGGANGAMAPPPPPTTKSTAVETTVERVIFDFRFLALLAVAGSLAGSLLCFLNGCVYIKEAYSVYWSGCLKGVHTGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNTSTDVPSESDRALQGSSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKITTGLDLLSYSVCIFLSSASLYILHNLHRPEQDDSVMPNL >ONIVA07G24570.1 pep chromosome:AWHD00000000:7:22552709:22554387:1 gene:ONIVA07G24570 transcript:ONIVA07G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVVHGWLAPALSRRAETGGGSAALPCRRPRLVAVACAASSVNTATTTGESPLGSDGSAAAAMSLERAFAGGDGGGGGGIGPAVESTVEKLIFDFRFLALLAVAGSLAGSLLCFLSGCVYIKEAYHVYWTSCVRGVHTGQMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNDFPDVPASDRALKGSSLFGMFALKERPRWMRISSLGELKTKLGHIIVMILLVKMFERSKMVHITTGMDLLSYAVCIFLSSASLYILHNLHRPEHE >ONIVA07G24560.1 pep chromosome:AWHD00000000:7:22547420:22551338:-1 gene:ONIVA07G24560 transcript:ONIVA07G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYHTFVEKIFQADAVTLFMPGKQVGMSDACYPDSLIGNIPNIYCYAANNPSEATVGKRRSYANTISYLTPLAENVGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQLILTRMFPLPEERMELPPNEHDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIASLDRPEDEIYSLPNILAQTVGRNIEDVYRGSDKGILADVELLRQITEASRGAITAFVERTTNSKGQVVDVTNKLSTMLGFGLSEPWVQHLSKTKFIRADREKLRTLFTFLGECLKLIVADNELGSLKLALEGSYVEPGPGGDPIHNLKVLPTGKSIHALDPQTMPTIAAMKSAKIVVDRLLERQKVDNGGKYPETIALVLRGTDNIKTNGESLAQVLWMIGVRSVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQTNLLDRAVKMVAELDEPEEMNYVRKHAQEQAQELGVSLREATTRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDSDAPGAGMREQRKTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDERAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTKTVVWSAKSGQEANATFIEDEAMRKRLMDTNPNSFRKLVQTCLEASEENLEKLRELYSEVEDKIEGIDRWLDIEMES >ONIVA07G24550.1 pep chromosome:AWHD00000000:7:22544984:22552474:1 gene:ONIVA07G24550 transcript:ONIVA07G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRCRVAYVVLAALAAAPFLLLLLYGGGSPSALCLAAARSGGASRRLQYPSVAWSRVPPLPVLPSSPLPSLRASRWIIFAAAAHHPRHRPLPAVPGWQLLAVADETTPPDWSHPGAALLTLADQARLGFRSVAFLPARGHARKAAAYLFAVQRGARVIYDADARNAVLGSNLTKHFDVDLDHRQGGGVLLQYSHADPNRTVVNPYVHFGQPSVWPRGLPLHKAGEVGVEEFYTQVFGGGQFIQQGLCNGLPDVDAVFYFTRKSSEMEAFDLRFDADAPKVALPQGMMAPINSVNTLFHSPAFWGLALPVSVSPMAADVIRGYWSQRILWEIGGYLVVYPPTVHRMDNVHAHPFDDEKDIHVSVGRLIDFLMEWRSHKQTLFERILDLSYAMTEEGFWAEKDLQFMSAWLQDLVSVGYRQPRLMSLEIDRPRATIGHGDKQVFVPKKLPAVHLGVEEIGEVSTEIGNLIKWRKHFGDVVLIVHCTVPVDRVALEWRLLYGRIFRAVVILSEKSNSDLAVEVSNLAQAYKFLPKVFDRFAGAGGFMFLQDHMILNYWKLYDFDKAKLWITNKVKESWSDVPLHGNKIEWFINQGDMVKKAIASFPFQYQANYKRSVGEDKIIHCNSEIFYIPRSHIGDFSYLVQAIGSLDIHHSIAIPMLFLAMDLPSNFESKALTKLIYRTNVPSNATFATIYTAQAHAVYPMKVQNEIDFVELIRVMASGDPFLMELI >ONIVA07G24550.2 pep chromosome:AWHD00000000:7:22544984:22552474:1 gene:ONIVA07G24550 transcript:ONIVA07G24550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRCRVAYVVLAALAAAPFLLLLLYGGGSPSALCLAAARSGGASRRLQYPSVAWSRVPPLPVLPSSPLPSLRASRWIIFAAAAHHPRHRPLPAVPGWQLLAVADETTPPDWSHPGAALLTLADQARLGFRSVAFLPARGHARKAAAYLFAVQRGARVIYDADARNAVLGSNLTKHFDVDLDHRQGGGVLLQYSHADPNRTVVNPYVHFGQPSVWPRGLPLHKAGEVGVEEFYTQVFGGGQFIQQGLCNGLPDVDAVFYFTRKSSEMEAFDLRFDADAPKVALPQGMMAPINSVNTLFHSPAFWGLALPVSVSPMAADVIRGYWSQRILWEIGGYLVVYPPTVHRMDNVHAHPFDDEKDIHVSVGRLIDFLMEWRSHKQTLFERILDLSYAMTEEGFWAEKDLQFMSAWLQDLVSVGYRQPRLMSLEIDRPRATIGHGDKQVFVPKKLPAVHLGVEEIGEVSTEIGNLIKWRKHFGDVVLIVHCTVPVDRVALEWRLLYGRIFRAVVILSEKSNSDLAVEVSNLAQAYKFLPKVFDRFAGAGGFMFLQDHMILNYWKLYDFDKAKLWITNKVKESWSDVPLHGNKIEWFINQGDMVKKAIASFPFQYQANYKRSVGEDKIIHCNSEIFYIPRSHIGDFSYLVQAIGSLDIHHSIAIPMLFLAMDLPSNFESKALTKLIYRTNVPSNATFATIYTAQAHAVYPMKVQNEIDFVELIRVMASGDPFLMELI >ONIVA07G24550.3 pep chromosome:AWHD00000000:7:22544984:22551771:1 gene:ONIVA07G24550 transcript:ONIVA07G24550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRCRVAYVVLAALAAAPFLLLLLYGGGSPSALCLAAARSGGASRRLQYPSVAWSRVPPLPVLPSSPLPSLRASRWIIFAAAAHHPRHRPLPAVPGWQLLAVADETTPPDWSHPGAALLTLADQARLGFRSVAFLPARGHARKAAAYLFAVQRGARVIYDADARNAVLGSNLTKHFDVDLDHRQGGGVLLQYSHADPNRTVVNPYVHFGQPSVWPRGLPLHKAGEVGVEEFYTQVFGGGQFIQQGLCNGLPDVDAVFYFTRKSSEMEAFDLRFDADAPKVALPQGMMAPINSVNTLFHSPAFWGLALPVSVSPMAADVIRGYWSQRILWEIGGYLVVYPPTVHRMDNVHAHPFDDEKDIHVSVGRLIDFLMEWRSHKQTLFERILDLSYAMTEEGFWAEKDLQFMSAWLQDLVSVGYRQPRLMSLEIDRPRATIGHGDKQVFVPKKLPAVHLGVEEIGEVSTEIGNLIKWRKHFGDVVLIVHCTVPVDRVALEWRLLYGRIFRAVVILSEKSNSDLAVEVSNLAQAYKFLPKVFDRFAGAGGFMFLQDHMILNYWKLYDFDKAKLWITNKVKESWSDVPLHGNKIEWFINQGDMVKKAIASFPFQYQANYKRSVGEDKIIHCNSEIFYIPRSHIGDFSYLVQAIGSLDIHHSIAIPMLFLAMDLPSNFESKALTKLIYRTNVPSNATFATIYTAQAHAVYPMKVQNEIDFVELIRVMASGDPFLMELI >ONIVA07G24540.1 pep chromosome:AWHD00000000:7:22539876:22544961:-1 gene:ONIVA07G24540 transcript:ONIVA07G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALAAAAATAAVAAFPNFADVAGAVALLVLADSPPAPSPPPPPPTVSDELSCYSGSSASYSGTSARSCVSDSAQRGRPVDPLRVLAVVASLRRIDPKVLAKATNTLFQGESSKKRKGVWIHIDDDEDESERNSAVASEGSTVTGTASAGSTATSGRSHRPPRASGGGDQLPRRADKIMKWLSRPGAVPATETTIRAAVGDNAGTSKALRLLLKRPGCLRRSGSGGRNDPYVYMFASQTKLGAA >ONIVA07G24530.1 pep chromosome:AWHD00000000:7:22539638:22543824:1 gene:ONIVA07G24530 transcript:ONIVA07G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFIAALRLALAAAAHLLLTLPPAQCYWLNPEIYDAGGLSRRAFPEGFVFGTAASAYQVEGMAKQGGRGPSIWDAFIEKPGTIPNNATADVTVDEYHRYKEDVNIMKNMGFDAYRFSISWSRIFPNGTGMVNQEGVDYYNRLIDYMVKKGIKPYANLYHYDLPLALHEQYLGWLSPNIVEAFADYADFCFQTFGDRVKDWFTFNEPRCVAALGYDNGFHAPGRCSGCDAGGNSTTEPYLAAHHLILSHAAAVKRYREKYQLYQKGRIGILLDFVWYEPFSDSNADRAAAQRARDFHLGWFLDPIIHGRYPYSMLEIVKDRMPTFSDEESRMVKDSIDYVGINHYTSFYMKDPGPWNLTPTSYQDDWHVGFAYERNGVPIGAQANSYWLYIVPWGINKAVTYVKETYGNPTMILSENGMDQPGNVSITQGVHDTVRIRYYRNYITELKKAIDDGAKVIGYFAWSLLDNFEWRLGYTSRFGIVYVDYKTLKRYPKDSAFWFKNMLSSKKRN >ONIVA07G24530.2 pep chromosome:AWHD00000000:7:22539638:22543824:1 gene:ONIVA07G24530 transcript:ONIVA07G24530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFIAALRLALAAAAHLLLTLPPAQCYWLNPEIYDAGGLSRRAFPEGFVFGTAASAYQVEGMAKQGGRGPSIWDAFIEKPGTIPNNATADVTVDEYHRYKEDVNIMKNMGFDAYRFSISWSRIFPNGTGMVNQEGVDYYNRLIDYMVKKGIKPYANLYHYDLPLALHEQYLGWLSPNIVEAFADYADFCFQTFGDRVKDWFTFNEPRCVAALGYDNGFHAPGRCSGCDAGGNSTTEPYLAAHHLILSHAAAVKRYREKYQLYQKGRIGILLDFVWYEPFSDSNADRAAAQRARDFHLGWFLDPIIHGRYPYSMLEIVKDRMPTFSDEESRMVKDSIDYVGINHYTSFYMKDPGPWNLTPTSYQDDWHVGFAYERNGVPIGAQANSYWLYIVPWGINKAVTYVKETYGNPTMILSENGMDQPGNVSITQGVHDTVRIRYYRNYITELKKAIDDGAKVIGYFAWSLLDNFEWRLGYTSRFGIVYVDYKTLKRYPKDSAFWFKNMLSSKKRN >ONIVA07G24530.3 pep chromosome:AWHD00000000:7:22539814:22543824:1 gene:ONIVA07G24530 transcript:ONIVA07G24530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEDKALFVSRLPAPSVCNADMRKFIAALRLALAAAAHLLLTLPPAQCYWLNPEIYDAGGLSRRAFPEGFVFGTAASAYQVEGMAKQGGRGPSIWDAFIEKPGTIPNNATADVTVDEYHRYKEDVNIMKNMGFDAYRFSISWSRIFPNGTGMVNQEGVDYYNRLIDYMVKKGIKPYANLYHYDLPLALHEQYLGWLSPNIVEAFADYADFCFQTFGDRVKDWFTFNEPRCVAALGYDNGFHAPGRCSGCDAGGNSTTEPYLAAHHLILSHAAAVKRYREKYQLYQKGRIGILLDFVWYEPFSDSNADRAAAQRARDFHLGWFLDPIIHGRYPYSMLEIVKDRMPTFSDEESRMVKDSIDYVGINHYTSFYMKDPGPWNLTPTSYQDDWHVGFAYERNGVPIGAQANSYWLYIVPWGINKAVTYVKETYGNPTMILSENGMDQPGNVSITQGVHDTVRIRYYRNYITELKKAIDDGAKVIGYFAWSLLDNFEWRLGYTSRFGIVYVDYKTLKRYPKDSAFWFKNMLSSKKRN >ONIVA07G24520.1 pep chromosome:AWHD00000000:7:22532159:22535173:-1 gene:ONIVA07G24520 transcript:ONIVA07G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G13760) TAIR;Acc:AT5G13760] MGAADNAAAPPRGDVPERGRGGGEPEAKEREVKVVVVDEPPGAPVARLQAQRPLAPLQVTTQAPPPPMSVASGGVEPPPQVATFQPVMQTPPQVAFVSLNSRVYTNGITLCVFLVHLAAATFAVGFFVFRAVKDIVQHPRSRNAQRERSLLREWLPPVEGAVALSIVLAFAWQKAVRAWPRAMVGVILWSSFGITLAVGAMLMCFSMPATVGLGVAMVMFSIGTGLYACWVTRRVGFTARVFERAVQPVDKFRGLNGPAYLMVAAGFVWISVWCVAVIGAANYRFPGLTILGLVLSLMWTAEVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRIVARGLNLLEGEDEFMFSCAHCCLHVMNAIFEFGNSWAFVHVSFDGHPFFVLVAPWFKFVVTMADGWWCLQIAAYGRGFVQASRSTWEQFERLQGMPALVDSDITSSVCFLTGVTSGALCVALAGSWTFATHKHYTATVSLLAFFNPTSRLFDPTIPERLHKMQEGADPLVPTPRFPQQHPGAA >ONIVA07G24510.1 pep chromosome:AWHD00000000:7:22526005:22529428:1 gene:ONIVA07G24510 transcript:ONIVA07G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASARSRPAARARQASDQIVAWAACVLVSALSLLLLVAVSSGSGAARLTGEISVLVRTTTTATTTRSSDAVDDVAAVAAAEHCDVVDGEWVRDDDDDERRPLYEPRRCPFVDEGFRCRENGRPDDVFAMWRWQPRHCTLPRFDAKNLLETLRNRRLVFVGDSIGRNQWESMLCMLATGVAGAGDGDGGGDKAAPIYEVNGSPITKHEGALSFRFRDYNCTVEHYRSPYLVRRGRRPPRRAVRSTLQLDAMDARAHRWKDADVVVFNTGHWWSRKKLNLNMSIEAAYQRAMNTLTSWVHREVNPHKSLVIFRTYSPAHTRANSNGGCAKETTPELNSSRISLHRWPGMVNPAFEPSKSGTAAAKLHLLNITLMAAQRRDGHPSVYNVAAAAGQRADCSHWCLPGVPDAWNELLYAMIVKRFS >ONIVA07G24500.1 pep chromosome:AWHD00000000:7:22520787:22525749:1 gene:ONIVA07G24500 transcript:ONIVA07G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family [Source:Projected from Arabidopsis thaliana (AT1G03260) TAIR;Acc:AT1G03260] MALSWPSAIRLAVAAVLLAAVGVALFTLPVDKILKDFLVWVKDNLGPWGPLVLALAYVPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIERSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGIGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEISTTRWILIISGFILSVVLIVCVTRIAKSSLEKALAENGDAGIPQLPVVASPSDLQQPLVIRIDTSNEDHEK >ONIVA07G24490.1 pep chromosome:AWHD00000000:7:22518944:22519835:1 gene:ONIVA07G24490 transcript:ONIVA07G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPVLRVAILAAVLVLPFVGVPAPAAAQTKKFCLSQFAIASQACAILPPGSDHHHHHDDDDDDDDDDDDDDDDDDDDEDDQGGGDDGGGGGGGGGGGGGGGGDDSGGDDGGGGGGGDGDRRRNRKSMVTVDAEVDTDEDALRVNGTGAGAGAGNHTRGSGGVVGEDDDSGGDDEDHGGDDDDDHDDDDDDDDDDDDDDDDDDDDDDDDDDDDHRANRDCCRWLKEVEPACVCDALLRLPPFLVKPQHKYTVKVGDSCKYTYHCGGY >ONIVA07G24480.1 pep chromosome:AWHD00000000:7:22513434:22517899:1 gene:ONIVA07G24480 transcript:ONIVA07G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I527] MRERFFSSRFVSKMQPSRHDHLLLLPLLLLLLLGVFIATSSAAAPVSRTITVDHQGGGDFTLVQSAVNSVPDGNRDWIKIHVNAGSYKEKVTIPSQKQFIVLEGDGSWNTEITFAGHAHASIDELLNHGYSDVGGSATFDSSTFIVLADNFLARSISFRNTYNKYDKSKPVQAVAALIGGDRSAFYDCAFYGFQDTLCDLKGRHYFHHCYVRGGVDFIFGYGQSIYDNCTLESNMPPPPSPQQPGWVTAHARVTDADPGGLVFKGGSLLGSGQQYLGRAWNQFATVVFYQVSMTNIVVPQGWQPWNSPNVSTITFAEAGCQGPGANKTGRVAWEKQLDDDQVHKFVDISFIDDGWLSQQPQV >ONIVA07G24470.1 pep chromosome:AWHD00000000:7:22500278:22510927:1 gene:ONIVA07G24470 transcript:ONIVA07G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARKKRGAAAAAAAAAAAAQWKVGDLVLAKMKGFPAWPAMISEPEKWGLSSAKKKLLAFTEEKRKSLLAKRHGKGADFVRAVKEIVEIYDSLKNENNNKSDTSGTDVKPDVEDAAHNSNSDRGGRGEGSDLVNDNKQDSRPTSSMDHNVTNSPGSNIPAVESGRCVVNSAPDEPSSSFSKKSQNDVQQNISCALGNLTSPRKLRSSLGADLRPTEDSCGPMNSINQPCVNVISDNKQVHSFQHTCIGDNKSNSDSLPAREDSSQGTCSKPEDSTVVVDDESLNSIDDVQDIHHIEASKTEVKQNGTIDSMSTTVTFKRKRKPHTNHISNPIISVAPKTDEELQPKSSGNLADSPNSGNEVNKSDGDEHLPLVKRARVRMGRALLEDTIVDECVISDNKTELATHENRCDKHDLYAGLGKDHSADMPPSMDPSSKIDLIMPSGDAQTACKNKEYHPKVHPKVLSLECEAALPPSKRLHRALEAMSANATETICSSLEVQKSESILKVKGCAASGVISPSNNSLDAIAKVSRSVMTKSPTISSSGHSLDTPDGEKHILLKDIPSTIPLDLKNACSQNSLKEKVVEELHMDDKNITLTVCSRADNNVCGKAPTYSMESKASGNESMEPNGDPAHDFVKNVNGSAEPVSQANVVPSSNGNYNSVPHDDIRLAKPTVIVSDRTSASSLVTKISCIQSDASSQTFEPHGSSAIALKEHNHRMYPKGKSLSPDMMPMKELIAAAHTRRFSHSSSFIDSFLCSNGVPEPSVNVPSLKEGSSGQCSPSNHTIRFAADRIHTQQNSGAIPFDNMQQKGLNKLSGHDEASSARRAFEAFLGSLTRTKESIGRATRLALECDKQGIAGEAYCSGLDYIGFYVFAHVMDIIIEHLEKESNLYKRVDLFFLVELAMPFLHLSKQSYPGYYMLLHLLGILHGRIEGNVLKLWLDRKTLSEYIIRHHIKELEALNEASFGTSRRPSGTERALNDPLRDNEGMLVDEYGSNTGFHLPNLIGTKLLEDEEGSSSEERSFEAVTPEHAATGANEQEASQMHVAKHRLVLEEVDGDHEMEDLAPSSEAEGEAISSCQPDLTVDRCATTKQNVDSVPPLPDDKPPSPPPLPSSPPPLPCPPCPVFQDSQVQGALAADRVQPDPPRTTYNIQEQHPHSVANNRSNIDPCFHHILQRPTIVGTLGMQIRCLCHLRHHHRCRRRLLLHHFILLDPIFLGRQYHHIMEIIITNHHLCRHLIMHITCSHHPIHHFQISTHTLLNPNKTHNLGIAIRPILRGINTVSMTEDPMHMIADITFIIEGITLMTEGVILMMEHIILMIEGIPSMIEGITSMIEDITLMKEQLGDKCTMKLIEEDFPHTSLQAPHFQTILMVHPPHCIVGNHRILHQGQALGGQCLLGDLSTLLALDTRWTIQFPMKEVGGGMEDTIMINTLDDWEQRSFPPHLPQLLPSLSCAVKSPSMSPFMCGLTMKSP >ONIVA07G24470.2 pep chromosome:AWHD00000000:7:22500278:22510927:1 gene:ONIVA07G24470 transcript:ONIVA07G24470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARKKRGAAAAAAAAAAAAQWKVGDLVLAKMKGFPAWPAMISEPEKWGLSSAKKKLLAFTEEKRKSLLAKRHGKGADFVRAVKEIVEIYDSLKNENNNKSDTSGTDVKPDVEDAAHNSNSDRGGRGEGSDLVNDNKQDSRPTSSMDHNVTNSPGSNIPAVESGRCVVNSAPDEPSSSFSKKSQNDVQQNISCALGNLTSPRKLRSSLGADLRPTEDSCGPMNSINQPCVNVISDNKQVHSFQHTCIGDNKSNSDSLPAREDSSQGTCSKPEDSTVVVDDESLNSIDDVQDIHHIEASKTEVKQNGTIDSMSTTVTFKRKRKPHTNHISNPIISVAPKTDEELQPKSSGNLADSPNSGNEVNKSDGDEHLPLVKRARVRMGRALLEDTIVDECVISDNKTELATHENRCDKHDLYAGLGKDHSADMPPSMDPSSKIDLIMPSGDAQTACKNKEYHPKVHPKVLSLECEAALPPSKRLHRALEAMSANATETICSSLEVQKSESILKVKGCAASGVISPSNNSLDAIAKVSRSVMTKSPTISSSGHSLDTPDGEKHILLKDIPSTIPLDLKNACSQNSLKEKVVEELHMDDKNITLTVCSRADNNVCGKAPTYSMESKASGNESMEPNGDPAHDFVKNVNGSAEPVSQANVVPSSNGNYNSVPHDDIRLAKPTVIVSDRTSASSLVTKISCIQSDASSQTFEPHGSSAIALKEHNHRMYPKGKSLSPDMMPMKELIAAAHTRRFSHSSSFIDSFLCSNGVPEPSVNVPSLKEGSSGQCSPSNHTIRFAADRIHTQQNSGAIPFDNMQQKGLNKLSGHDEASSARRAFEAFLGSLTRTKESIGRATRLALECDKQGIAGEVMDIIIEHLEKESNLYKRVDLFFLVELAMPFLHLSKQSYPGYYMLLHLLGILHGRIEGNVLKLWLDRKTLSEYIIRHHIKELEALNEASFGTSRRPSGTERALNDPLRDNEGMLVDEYGSNTGFHLPNLIGTKLLEDEEGSSSEERSFEAVTPEHAATGANEQEASQMHVAKHRLVLEEVDGDHEMEDLAPSSEAEGEAISSCQPDLTVDRCATTKQNVDSVPPLPDDKPPSPPPLPSSPPPLPCPPCPVFQDSQVQGALAADRVQPDPPRTTYNIQEQHPHSVANNRSNIDPCFHHILQRPTIVGTLGMQIRCLCHLRHHHRCRRRLLLHHFILLDPIFLGRQYHHIMEIIITNHHLCRHLIMHITCSHHPIHHFQISTHTLLNPNKTHNLGIAIRPILRGINTVSMTEDPMHMIADITFIIEGITLMTEGVILMMEHIILMIEGIPSMIEGITSMIEDITLMKEQLGDKCTMKLIEEDFPHTSLQAPHFQTILMVHPPHCIVGNHRILHQGQALGGQCLLGDLSTLLALDTRWTIQFPMKEVGGGMEDTIMINTLDDWEQRSFPPHLPQLLPSLSCAVKSPSMSPFMCGLTMKSP >ONIVA07G24460.1 pep chromosome:AWHD00000000:7:22489736:22499826:1 gene:ONIVA07G24460 transcript:ONIVA07G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRACRGGPSSSPAAAAAAAAVGRPFPPIAASCPFSSSSAAAAAPAAPSETASTSVTKTVNGSHHFKIAGYPLAKGIGVGKYIASECFTVGGYDWAIYFYPDGKSPEDGAAYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLEGGPYTLKYRGSMWGYKRFFKRSALETSDYLKDDCLLVNCTVGVVQSHTEGPKIYTIPVPPSNMSQHIGQLLTDGKRTDITFEVDGEVFPAHKVVLAARSPVFRAQLFGPMKDKNMKRITIEDMEASVFKALLHFMYWDELPDIEELTGLNTTWVSTLMAQHLLAAADRYALERLKLLCELKLCEDVAINTVANTLALAEQHHCYQLKTVCLRFVALPENLKAVMQTDGFDYLQQSCPSLLTELLEYVAKVGEHSVSPCLYSNEVLDGGDANGRRVKPRI >ONIVA07G24450.1 pep chromosome:AWHD00000000:7:22482714:22483671:1 gene:ONIVA07G24450 transcript:ONIVA07G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEPEFINKLRNMILIHDDNKYIYVAASLLRNLCMHAQPELMESDLKGLSHILPAICKVLPEEFSQELGHRQIKRRFIERLVDLLNANMKPSAHCPGIRRVILEQSIYMMECNSHYANCFNEYQMMDALSIVELTSSRAENYMVFLGDAGFMECRKPLLALVDRTKELMGRQWLQGINSAY >ONIVA07G24440.1 pep chromosome:AWHD00000000:7:22481826:22486542:-1 gene:ONIVA07G24440 transcript:ONIVA07G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPESTTRYCSEGGGAAAELGDDEHSVAVLADAGNTAVEADCPSVHPLQLPHGVGPLNQHGLPEGVNGADLERKPRRRARRATAAACDEAREHQALVRAAMREKGRAAAAVAPRATRDGGAARRATRRDGAGWAAGQGKPARRGRGNHPGHSSTECRNKVHRPRDSYNSEALCKLGSYLGGI >ONIVA07G24440.2 pep chromosome:AWHD00000000:7:22483116:22485686:-1 gene:ONIVA07G24440 transcript:ONIVA07G24440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHLPPSTLLRIWSGQLPASTDSEGDPRRRRGEASNSSRRCRLGGGAGEAGAAREREPSRPFLNGYKTF >ONIVA07G24440.3 pep chromosome:AWHD00000000:7:22483606:22485686:-1 gene:ONIVA07G24440 transcript:ONIVA07G24440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHLPPSTLLRIWSGQLPASTDSEGDPRRRRGEASNSSRRCRLGGGAGEAGAAREREPSRPFLNVGTVDALQPLTTHQFFCPVH >ONIVA07G24430.1 pep chromosome:AWHD00000000:7:22478313:22482689:1 gene:ONIVA07G24430 transcript:ONIVA07G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSPVEASGGLVMGDITGGGGELRVQIAANSGGQGGKVGGAAPEKCLNRFVRVVALMERTGNALGTLAFTWATVILLGGYPTKLDSKDFWFITVIVFIEAARMFSSDKSDYQLFFRARGAFRFLGSSGLIAIVFYWDARAMLVRVASNLPAWAQTLLLILLILVLLAIGQLLRAARRPTNKFNWLWPAISVLSPMVAILLLAFPIHRYYNWRYPLTLWIPYTFLSVIVLLVTISRLQFPIITNLVHGALGSKHAFRRQFILNSCMLAAIVMLVLMVDPGFRLIMIVIDICAVIILSFGNLHIPAALVRVSLARKRLAEYDYFSVDAEKVNLGESLTIFYSMVLGQGILHIVAGMLEVFSFIPRRTLVRCGGFTGEWGVESVNLYYTYAFKKNMEGGVFAAKRISLSNFAMDSINSDLSKKQLYGIRMMHIFLQRDPTRAQLLEKLTTSTQTMARLISMLGWTSRNDHTTIRLYAAKVTAELAKSLRVVTVPGTMYLVSTLLDTDRKPKRRHPLLDEDDDRDPLFVDTVESQEKSQDAAGDQGQKQGSAGDTDIMLKTPTRSTHMNNPRSTYKYWERISECESIPKEQPLTFNDLLPALGMSIVYSLAGCDQNNSVEIDRVTDLIPKIIGFTSFTSATVNSEEQQKVLLKSSLKVLQRLTSIEGEIGITLRHKISKHPFLLRNLAEILGDNSITPELRKLMAEILRNLAIDRDARQEIGQIQVLITRLMKAFLNCNGPSSTNADCLLPKVAGQALAMLA >ONIVA07G24420.1 pep chromosome:AWHD00000000:7:22471156:22474925:1 gene:ONIVA07G24420 transcript:ONIVA07G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMVPSPSPRRLPLARRPAGTVATSWSPRLAAPPPPVALVVSRWRQPAGRGICPDHSCSYRARRAREDAPYAT >ONIVA07G24410.1 pep chromosome:AWHD00000000:7:22468466:22471313:-1 gene:ONIVA07G24410 transcript:ONIVA07G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAGCLHRLTTRATGGGGAARRGDQLVATVPAGRRARGSRRGEGEGTIHAIPQWILIIICIQKWVSSFRFVISIQKRRYQLHRPRDDYNSKALCELCNNLRSIQPDSWMFISTGPVQHADQPGHRLR >ONIVA07G24400.1 pep chromosome:AWHD00000000:7:22454652:22457373:-1 gene:ONIVA07G24400 transcript:ONIVA07G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATRRPATYFASYGRKAIFLGQPTATAIRRRARRDGAGRAVGVALRLARSGRRRQGRIISRPFLNSHWRLISSFALSTRAERGLTSRKERVPSFRLSISIQKRRYKLQCPRDSSNSEVLCKLGSDLGGT >ONIVA07G24400.2 pep chromosome:AWHD00000000:7:22454652:22456328:-1 gene:ONIVA07G24400 transcript:ONIVA07G24400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHWRLISSFALSTRAERGLTSRKERVPSFRLSISIQKRRYKLQCPRDSSNSEVLCKLGSDLGGT >ONIVA07G24390.1 pep chromosome:AWHD00000000:7:22452377:22470282:1 gene:ONIVA07G24390 transcript:ONIVA07G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLITRLMMAFLDSNGSFSSDVNCLLPKVVGQALVMLSLQNSHNCFVMLKEPDFVHKLKNMILIHDDKYIYVAASLLRNMCLHAQHELTESDLKELSHTLREVLERIMDAEGAELEILIGLKFSQELEHGQIKRRFIKRLVDALNANMKPSAHCPGIRRVILEQCIHMMECNSRYANCFNEFRMMDAMSMVEETPSRAEKYMFFLGDAGFMECNTPLSALVERAKELMSRHMARPSPVEASGGLVMRDITGGGGELRVQIAANSGGQGGKVGAAPEKRLNRLVRVVALMERMGNALGTLAFTWATVVLLGGYPTKLSSDGDFWCTIVIVFIEAARMFTSPNNKSDYQLFFRTRGAFRSLGGNGLTVIVYFWGATLSIRASNLHDVPRIVLIITVMVLLVVGQILCRLGRKPRTCCNWPRRAISLWSPVVAILLLAFALRRNFDRAKLIVWTVYGVLVVAVLLATVSRLRFPIIINLVHSALGSRQVFWRRIFLNSCMLAAIVMPLFVVDPDPDLRVAMVAVDICAVPILSLGNLQIPAALVRVILAILRLKPKGYDGHGETTNLVPSLQIFYGMVLAQGLLYVVAAMVEFLFSSITRRSLVRHGGFTGQWGVESVDLYYEYAFSKYMKGGLFAPERISLSDFAIDSLNSDLSKNQLYGVWMMHIFLQRHPTREQLLEKLNTSAQTMARLISMLDWTSRDEHPTIRLYAAKVVAELAKSLRVVIVPGAMQLVSTLLDTDDKPERGHPLLDADDDQDPFVDKTVKQEKRQDATGHHQGKTQETLGDTDRMLETPNRSTRTNAQTSILRCWRKISAYWSIPKEQPLTDNDLLPALGMSIVYNLVGCDQNNLLEIDRVTDLIPKITGFTSFRGAIMNSESQQKVLLKSSLKVLQRLTRIEGEIGITLRYKISKHPFLFRNLAEILGDSSSNQELRKLVAGILRNLAIDRDTRQEIGQMQILITMLMKAFLDSSRSFSSNVDCLLPKVAGQALVLERILDAEGAELEILIGLSSQICKLIPEEFSQELEHGQIKRRFIKRLVDTLNANMNPSSHCPGIRRVVLEQSIYMMEYNSHYANCFNEYQMMDALSIVELTPSRAENYMVFLGDTGFMECNTPLSALADRAKELMGRQWLQGINSAN >ONIVA07G24390.2 pep chromosome:AWHD00000000:7:22450137:22455377:1 gene:ONIVA07G24390 transcript:ONIVA07G24390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLSLAASASPAPPPSRHRSQITWLVSMMAADGSVVAEHRIQMPAVASESGQADHKAVAAAPENWLNYFVRLLAGIESAGNALGTLAFTWGTVVLLGGFPSNLKDDFGYATAIFFLEATRPLSWNGLMVIVFFSVSTVSTIVWDVPQPRIVFAIMVVLFAAGQFLCAEVLGLRLRINNRLRRQISLWSPVVALSILASCIYRAHRSSLAMRIVYGLLLVVVLLVTISRLQFPIIINRVQGALGRKYVFWRPFILYSCMLTAIVMSMFMVDKLHPYALVYVDIEALAIVSFGNLQIPAAIARVVLAGLCLHPKGYDGQGGTAHIVPSLKILYGMVLGQGIHYIVAGMLEAFSFIPRRSLIRNGGFTGQWGVESVNLYYADAYDKYMEGGLFASKRISLSNFAMDSLNSDLSKNQLYGVRMMHIFLQSDLTRARLLEKLTSSTQTMARLISMMDWSSKHHRKAIRLCAAKLAKNLRVGTVPGTLQLVSTLLDADGKPKRGHPLLDADGDQDHFVDILDRQDKKHDIAGDQEPIGDTDNLLETPTRSMHINDQRYVPRIWQRILAYWSIPKEQPLTDDDLLPALGMSIIYSLAGCDQYNCVEIDRVTDLIPKIIGFTSFRSAMLNSEAQQKVLLKSSLKLLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILGDSSSNQELRLVAGILRNLAIDGDTRQEIG >ONIVA07G24390.3 pep chromosome:AWHD00000000:7:22450137:22455377:1 gene:ONIVA07G24390 transcript:ONIVA07G24390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLSLAASASPAPPPSRHRSQITWLVSMMAADGSVVAEHRIQMPAVASESGQADHKAVAAAPENWLNYFVRLLAGIESAGNALGTLAFTWGTVVLLGGFPSNLKDDFGYATAIFFLEATRPLSWNGLMVIVFFSVSTVSTIVWDVPQPRIVFAIMVVLFAAGQFLCAEVLGLRLRINNRLRRQISLWSPVVALSILASCIYRAHRSSLAMRIVYGLLLVVVLLVTISRLQFPIIINRVQGALGRKYVFWRPFILYSCMLTAIVMSMFMVDKLHPYALVYVDIEALAIVSFGNLQIPAAIARVVLAGLCLHPKGYDGQGGTAHIVPSLKILYGMVLGQGIHYIVAGMLEAFSFIPRRSLIRNGGFTGQWGVESVNLYYADAYDKYMEGGLFASKRISLSNFAMDSLNSDLSKNQLYGVRMMHIFLQSDLTRARLLEKLTSSTQTMARLISMMDWSSKHHRKAIRLCAAKLVSTLLDADGKPKRGHPLLDADGDQDHFVDILDRQDKKHDIAGDQEPIGDTDNLLETPTRSMHINDQRYVPRIWQRILAYWSIPKEQPLTDDDLLPALGMSIIYSLAGCDQYNCVEIDRVTDLIPKIIGFTSFRSAMLNSEAQQKVLLKSSLKLLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILGDSSSNQELRLVAGILRNLAIDGDTRQEIG >ONIVA07G24380.1 pep chromosome:AWHD00000000:7:22447338:22451711:-1 gene:ONIVA07G24380 transcript:ONIVA07G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYANVGDAPPLRTQAMRSQEGQSHGTPPPQGTPWFANASACGLPHRLTTRATGGGGAARRGEASSSSRRCRLGGGAGEADAAREREPSMPFPNGLTSRKEWVSSFRLSISIQKRRYKLQCTRDSYNSQVLCELGNSTPH >ONIVA07G24380.2 pep chromosome:AWHD00000000:7:22447603:22451711:-1 gene:ONIVA07G24380 transcript:ONIVA07G24380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYANVGDAPPLRTQAMRSQEGQSHGTPPPQGTPWFANASACGLPHRLTTRATGGGGAARRGEASSSSRRCRLGGGAGEADAAREREPSMPFPNGLTSRKEWVSSFRLSISIQKRRYKLQCTRDSYNSQVLCELGSDLGSI >ONIVA07G24370.1 pep chromosome:AWHD00000000:7:22441636:22449294:1 gene:ONIVA07G24370 transcript:ONIVA07G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAEHRIQMPDAATSKAAAAPEKWLNYFVRLLAVIESVGNAFGTLAFTWATVVLLSGYPTVLRFKDDFWFATAIVFLEAARFLGGKGLIVLICFWDATATLRMTVENWKLRVAGQFLCPRGAQRPRTCNWPYRAISLWSPVVAILLLAFPILQYYNRHMHKIIFRNSFTVWIPYVLLSVTMLLLTISQLQFPIIVNLVHGALGSKHVFWRRFILNSCMFAALVMLVVMFDPDDRCGMIFIDICAILILSFGNLHIPAALVRVVLASIRLIPHNYFGDGDKTNLGPSLSIFYGMVLGQGILYIVAGILEVFSFIPRRSLIRNGGFAGQWGVESVNLYYVYAFDKYMEGGLFASKRISLSNFAIDSLNSDLSKNQLYGVRMMHTFLLEKLTTSTQTMARLISMLDWSSRHHCTTIGLYAAKLVSTLLDADGKPKRGHPLLDADDDHFVDILDRQDKKHDIAGDQEPIEATDNLLETPTRSMHINDQRCIPTIWQRILAYWSIPKKQPLTDDDFLPALGMSIIYSLAGCDQNNCVEIDKVTYLIPKIIGFTSYRSAMVNSEAQQKVLLKSSLKVLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILGDSSSSNQELRRIVAGILRNLAIDRDTRQEIGRMQILITTLMKAFLDFKGLYSSDVDCLLPKVAGQALEPDFIHKLKTMILIHDDKYTYVAASLLRNMCLHAQHELTESDQKELSHTLREVLERTMDAEGAELEILIGLKHGQIKRRFIKRLVDTLNANMNPSSQCPGIRRVVLEQSIYMMEYNSRCANCFNEYQMMDALSFVELTPSRAENYMVFLGDAGFMECNISLSALVDRAKELMGRQWLQGINSAN >ONIVA07G24360.1 pep chromosome:AWHD00000000:7:22434375:22434750:1 gene:ONIVA07G24360 transcript:ONIVA07G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRQHALLCCISPLKHPAGWPARGIRCYYANEPEGRKPKTAPLQARLGACYHGKSLPFQSLPKYVSLTLRVFGDELYICMKYFSQDIDSENNGLNTLFTTAAQQHNKNFS >ONIVA07G24350.1 pep chromosome:AWHD00000000:7:22411765:22414829:-1 gene:ONIVA07G24350 transcript:ONIVA07G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWADAGRAKEASRVVVGGGLVALRPQRRRRRRPCEGAASAAAGRATSRRAALRRCREVDPRGGRHWRPISSFALSTRAKRASKLVNNQHAKCRKMIIVIIAFKWLLSLLWVIIWIPNCIRSFLLAICCVENGVLPLLLFIIYLQKWISLFKFAVGVQKCRYKLQCRRNSDDLEVLCKLSSHLSGI >ONIVA07G24350.2 pep chromosome:AWHD00000000:7:22411765:22414829:-1 gene:ONIVA07G24350 transcript:ONIVA07G24350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWADAGRAKEASRVVVGGGLVALRPQRRRRRRPCEGAASAAAGRATSRRAALRRCREVDPRGGSVMPISPPMLVSLCNTFSDDFTMTICCASLFVMSLLKLVIIAFKWLLSLLWVIIWIPNCIRSFLLAICCVENGVLPLLLFIIYLQKWISLFKFAVGVQKCRYKLQCRRNSDDLEVLCKLSSHLSGI >ONIVA07G24340.1 pep chromosome:AWHD00000000:7:22408389:22430874:1 gene:ONIVA07G24340 transcript:ONIVA07G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEHRLQIAAKSDGQGRAAAPEKWLNRFVRSVALVERTGNALGTLAFTWATVVLLGGYPTALRSQDDFWYATAIFFLEAARMFSGSNNRHDYQLFFRTRGAFRPLGWNGLIAIVCILDVWVLLALQKKIVMAAVVVYAMIILLALGQNISPEFQPLCNPFRRAISLWSPLAAILLLTPTVQHHSRELVWNTTGSRSIPKITAEINFIPSRFTVAKWTAYFILLIVVLMVTISRLRFPIVIKLVDSALCRKLLVWGRTIQNMCMLAALVMLVLTSDGSFRFVTILSLVSITLMVSSGNFQILAAAMRAEIASFALHRLIMPHNGYREHGEDPDSKTNLVPSLIIFYRMVMAQGILYIVACILDIFSFIPRRSLIRRAGLRGQLGVEYVNLYYAYAFEKCMGGAVFVPKKISLSNFAINSLNSDSPKNHFYGIQLMHSLLENEMTRVRILDKLITSRNTMDRIISMLSWTSPNNTTVRLYAAKVTAELAKDLQVITVPTALQLVSALLDTNSKLKKGNPLLQVDDEQEERQDPILNTANSQEERPDAIRNPDDDPKQRQEPLEGNDNLPETQTCSTHIHEQNCVLRRRWQQISEYWTVPKEHSLTYYDHLPALGMLIIDKLASCGQNNCVEIDRVADLIPKIIGFTSFRSDMTNSEAQQMVMVKSSLKVLQRLTSIGGEIGITLRYKILKHPSMLRNLAEILGDNNNNQELSKLVAGILRNLAIDGDTRQKIGHMQVLITRLMKAFLNSDRTSSTNVDCLLTKVAGQALAMLATDNVHNCLVMLKEPEFINKLKHMILIHDEKYIYVAATLLCRMCQHAQAKLTESDLNELSQTLREVLERIMNAEGAELEILIGLSSQICKVIPEEFSQELDNEQIKQRFIKRLVDVLNANMNPGAHCPGIRRVILEQSIYMMECNSHYTSYFNEFRMIEALWMVEEMPSGVENYRIFLGDAGFMEYSTPLFALVDRAKELMGRQCLQGDSRVLKRITDTMVATKLEALIGLSSQISNLPLAVYVDGAILFPNPCRRLLKMMFSRNNRFDYQLFFRTRGAFSFRSLGGNGLIAIVYFSAAKVAIVVRQVQDGAFILIIIMSAGCGSQLSSTECVVLWAIPAAIVREEIARMRLTQHDYFGVGEKTNLGQSLTIVYSMLRYSFIPQKSLVRRAGFIGQWGVESVNLYYAYAFDKYMEGGVFAPKRINLSNFVIDSINSDLSKNQLYGIRMMHTFLQRDPTRAQLLEKLTTSTQTKARLINMLDWTDGNHHTTVGKKQEKPMARPSPVEASGGPVMGDITGGGGELRVQIAANSGGQGGKVVGAAAPEKCLNRFVRMVALMERTGNALGTLAFNWAAIILLGGYPSVLRPDKDFLYATTIIFVEAARMFSRNNRLDYQLFFRTRGAFNPSAGWNELIVVACVSNALLCTALWGNIMASDDPFWYVMVILLLAIIQFLRSAASKLLTWNPMRRAISLLSPMVAILLLGPFLLGFYINSDQSKKKMAKWMVAYVVLLVLMLLLTISRLQFPSIIKLLNGTLGSKQEFWCQFTLKLCVIASIIMAVLIVPSTGGRSVVIILEALALVLVLFGNLQIPSATVRVALALLRLVPQNYYGDDKHIDKKNLGDKTNLAASLNIFYVMVLGQGILYIAACIFEVFSFIPRRSLIRHGGFGGQWGVASINLYYAYAFEKYMEGGVLAPKKISLITFAMDSLNSDSPKMQLYAVQMLHIFLQREPTKERLIVKLITSTKTMARLISMLGWTGRNDHATIRLYAAKVSAELAKSLRVVTIPGTLQLASTLLDTDGKPKRGHPLLDADDDRDPFVDTAERQENRQDATGDQGQRQGSIGDTDSLLETPTRSTQINDQRYIPSIWQKIIAYWSIPKEQPLTDDDLLPALGMSIIYSLAGCDQNNCVEIDKVTDLIPKIIGFTSFKSATLNSEAQQKVLLKSSLQVLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILEDDNSNQELRKLVAGILRNLAIDTETRQEIGQMQVLERIMDAEGAELEILIGLSSQICKLIPEEFAQELEHGQIKRRFIKRLVNALNANMKPSAHCPGIRRVILEQSIYMMECNSRYANCFNEYRMMDALLMVEKTPSRAEKYMSGQCLID >ONIVA07G24340.2 pep chromosome:AWHD00000000:7:22408389:22430874:1 gene:ONIVA07G24340 transcript:ONIVA07G24340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEHRLQIAAKSDGQGRAAAPEKWLNRFVRSVALVERTGNALGTLAFTWATVVLLGGYPTALRSQDDFWYATAIFFLEAARMFSGSNNRHDYQLFFRTRGAFRPLGWNGLIAIVCILDVWVLLALQKKIVMAAVVVYAMIILLALGQNISPEFQPLCNPFRRAISLWSPLAAILLLTPTVQHHSRELVWNTTGSRSIPKITAEINFIPSRFTVAKWTAYFILLIVVLMVTISRLRFPIVIKLVDSALCRKLLVWGRTIQNMCMLAALVMLVLTSDGSFRFVTILSLVSITLMVSSGNFQILAAAMRAEIASFALHRLIMPHNGYREHGEDPDSKTNLVPSLIIFYRMVMAQGILYIVACILDIFSFIPRRSLIRRAGLRGQLGVEYVNLYYAYAFEKCMGGAVFVPKKISLSNFAINSLNSDSPKNHFYGIQLMHSLLENEMTRVRILDKLITSRNTMDRIISMLSWTSPNNTTVRLYAAKVTAELAKDLQVITVPTALQLVSALLDTNSKLKKGNPLLQVDDEQEERQDPILNTANSQEERPDAIRNPDDDPKQRQEPLEGNDNLPETQTCSTHIHEQNCVLRRRWQQISEYWTVPKEHSLTYYDHLPALGMLIIDKLASCGQNNCVEIDRVADLIPKIIGFTSFRSDMTNSEAQQMVMVKSSLKVLQRLTSIGGEIGITLRYKILKHPSMLRNLAEILGDNNNNQELSKLVAGILRNLAIDGDTRQKIGHMQVLITRLMKAFLNSDRTSSTNVDCLLTKVAGQALAMLATDNVHNCLVMLKEPEFINKLKHMILIHDEKYIYVAATLLCRMCQHAQAKLTESDLNELSQTLREVLERIMNAEGAELEILIGLSSQICKVIPEEFSQELDNEQIKQRFIKRLVDVLNANMNPGAHCPGIRRVILEQSIYMMECNSHYTSYFNEFRMIEALWMVEEMPSGVENYRIFLGDAGFMEYSTPLFALVDRAKELMGRQCLQGDSRVLKRITDTMVATKLEALIGLSSQISNLPLAVYVDGAILFPNPCRRLLKMMFSRNNRFDYQLFFRTRGAFSFRSLGGNGLIAIVYFSAAKVAIVVRQVQDGAFILIIIMSAGCGSQLSSTECVVLWAIPAAIVREEIARMRLTQHDYFGVGEKTNLGQSLTIVYSMKSLVRRAGFIGQWGVESVNLYYAYAFDKYMEGGVFAPKRINLSNFVIDSINSDLSKNQLYGIRMMHTFLQRDPTRAQLLEKLTTSTQTKARLINMLDWTDGNHHTTVGKKQEKPMARPSPVEASGGPVMGDITGGGGELRVQIAANSGGQGGKVVGAAAPEKCLNRFVRMVALMERTGNALGTLAFNWAAIILLGGYPSVLRPDKDFLYATTIIFVEAARMFSRNNRLDYQLFFRTRGAFNPSAGWNELIVVACVSNALLCTALWGNIMASDDPFWYVMVILLLAIIQFLRSAASKLLTWNPMRRAISLLSPMVAILLLGPFLLGFYINSDQSKKKMAKWMVAYVVLLVLMLLLTISRLQFPSIIKLLNGTLGSKQEFWCQFTLKLCVIASIIMAVLIVPSTGGRSVVIILEALALVLVLFGNLQIPSATVRVALALLRLVPQNYYGDDKHIDKKNLGDKTNLAASLNIFYVMVLGQGILYIAACIFEVFSFIPRRSLIRHGGFGGQWGVASINLYYAYAFEKYMEGGVLAPKKISLITFAMDSLNSDSPKMQLYAVQMLHIFLQREPTKERLIVKLITSTKTMARLISMLGWTGRNDHATIRLYAAKVSAELAKSLRVVTIPGTLQLASTLLDTDGKPKRGHPLLDADDDRDPFVDTAERQENRQDATGDQGQRQGSIGDTDSLLETPTRSTQINDQRYIPSIWQKIIAYWSIPKEQPLTDDDLLPALGMSIIYSLAGCDQNNCVEIDKVTDLIPKIIGFTSFKSATLNSEAQQKVLLKSSLQVLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILEDDNSNQELRKLVAGILRNLAIDTETRQEIGQMQVLERIMDAEGAELEILIGLSSQICKLIPEEFAQELEHGQIKRRFIKRLVNALNANMKPSAHCPGIRRVILEQSIYMMECNSRYANCFNEYRMMDALLMVEKTPSRAEKYMSGQCLID >ONIVA07G24340.3 pep chromosome:AWHD00000000:7:22408389:22426009:1 gene:ONIVA07G24340 transcript:ONIVA07G24340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEHRLQIAAKSDGQGRAAAPEKWLNRFVRSVALVERTGNALGTLAFTWATVVLLGGYPTALRSQDDFWYATAIFFLEAARMFSGSNNRHDYQLFFRTRGAFRPLGWNGLIAIVCILDVWVLLALQKKIVMAAVVVYAMIILLALGQNISPEFQPLCNPFRRAISLWSPLAAILLLTPTVQHHSRELVWNTTGSRSIPKITAEINFIPSRFTVAKWTAYFILLIVVLMVTISRLRFPIVIKLVDSALCRKLLVWGRTIQNMCMLAALVMLVLTSDGSFRFVTILSLVSITLMVSSGNFQILAAAMRAEIASFALHRLIMPHNGYREHGEDPDSKTNLVPSLIIFYRMVMAQGILYIVACILDIFSFIPRRSLIRRAGLRGQLGVEYVNLYYAYAFEKCMGGAVFVPKKISLSNFAINSLNSDSPKNHFYGIQLMHSLLENEMTRVRILDKLITSRNTMDRIISMLSWTSPNNTTVRLYAAKVTAELAKDLQVITVPTALQLVSALLDTNSKLKKGNPLLQVDDEQEERQDPILNTANSQEERPDAIRNPDDDPKQRQEPLEGNDNLPETQTCSTHIHEQNCVLRRRWQQISEYWTVPKEHSLTYYDHLPALGMLIIDKLASCGQNNCVEIDRVADLIPKIIGFTSFRSDMTNSEAQQMVMVKSSLKVLQRLTSIGGEIGITLRYKILKHPSMLRNLAEILGDNNNNQELSKLVAGILRNLAIDGDTRQKIGHMQVLITRLMKAFLNSDRTSSTNVDCLLTKVAGQALAMLATDNVHNCLVMLKEPEFINKLKHMILIHDEKYIYVAATLLCRMCQHAQAKLTESDLNELSQTLREVLERIMNAEGAELEILIGLSSQICKVIPEEFSQELDNEQIKQRFIKRLVDVLNANMNPGAHCPGIRRVILEQSIYMMECNSHYTSYFNEFRMIEALWMVEEMPSGVENYRIFLGDAGFMEYSTPLFALVDRAKELMGRQCLQGDSRVLKRITDTMVATKLEALIGLSSQISNLPLAVYVDGAILFPNPCRRLLKMMFSRNNRFDYQLFFRTRGAFSFRSLGGNGLIAIVYFSAAKVAIVVRQVQDGAFILIIIMSAGCGSQLSSTECVVLWAIPAAIVREEIARMRLTQHDYFGVGEKTNLGQSLTIVYSMKSLVRRAGFIGQWGVESVNLYYAYAFDKYMEGGVFAPKRINLSNFVIDSINSDLSKNQLYGIRMMHTFLQRDPTRAQLLEKLTTSTQTKARLINMLDWTDGNHHTTVGKKQEKPMARPSPVEASGGPVMGDITGGGGELRVQIAANSGGQGGKVVGAAAPEKCLNRFVRMVALMERTGNALGTLAFNWAAIILLGGYPSVLRPDKDFLYATTIIFVEAARMFSRNNRLDYQLFFRTRGAFNPSAGWNELIVVACVSNALLCTALWGNIMASDDPFWILY >ONIVA07G24330.1 pep chromosome:AWHD00000000:7:22401123:22406987:1 gene:ONIVA07G24330 transcript:ONIVA07G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTTAEATRRWCKAAAAAASAAPEKHLNRFVRLIAFIERAGNGIGTLVFTWATVVILGGFSTMVTTREFLSATFLAFLEATRMFSQNSRLEYQFFFRTRGAFRRPRWNRVVLILCMAEIMVYVVEKFQWSPASYSHQHYGPVRVTTLGIMGMTMCVFVLMKNVCPPILNLFCDPQVQLRAISLWSPLAVILLSAPSLFLEKSAPVAKEIFTFLLTAVIVVTISRLQFQWITSLVNGPVVRKMLFLRPVILFLCTGAAIVIFGYRSWHGLGFIVFFLIFALVLESFGNLQIPAAVARVVIAMVQPTTLICVDNVQTFAKAVVKILFYTQAGEYEPLDIPTSCNWAGERNYSDGTTEDTKKNIMFSLNIFYVIVLIQGALYIVACVLEIFSFILRIILVHQSRFRRPWGMKCINQYYSYIFEQCISGGVLSKTNMELTSFAMDLTDSDSPSNQLDGVRMLHSFLKRKNTKALLLFRLSTSTKTLERSISMLGWTAPEDAEIRLLAAKVVIELARSLQVIAIPGSMQNISSLLDTDNQLRQRSPLLYTYDSQEERQGTIADTGNGQEHLDQDHLLHNNQENSWILGCWELISKCWSIPKEETFIEQDRLPLLGMSILARLANCDPNNCVEIGRARDLIPKIIGYTDGTQPKILKGSSLKLLGRLSNTGGEIAGQALAMLAMESVNNCSTMLKEAGNAFIRELTVMIQDDKYKYVSASLLQNLCLHAQSKFSSSDLTELSGSLRQVLHGITDTTVATKLEVLIGLSSQICHVIPEDFAIELEHDQIKETFVKKLVEALNSNTKPTAQCPRIRRVIVEQVIYMMESNSSYATCFDECQMMQALSMVEATPSKVENYRLFMGNEGLMEYSIPLSNLVARAKEEIMHHVK >ONIVA07G24320.1 pep chromosome:AWHD00000000:7:22392232:22396206:1 gene:ONIVA07G24320 transcript:ONIVA07G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAGDAATRQRCKAPPEPPEKHLNRFVRIIAFIERAGNGLGTLVFTWATVVILGGFSTMLTTREFNCATLLALLEATRSAINFHFCFTVKSIKRERLVHIVQLYCRMFSQNSRLEYQFFFRTRGAFRRPRLIRLILIVCMAEAMVCVMAKFRFANFRLAKFRWSPAPVYHLGPVKVTPFITLGMTMSLFVVAKLVCPVILKLFGDPQLRAVSLWSPLAAILLLVPCLFLETSIPHPDRLPALRKYFALLLTAVIIVTITKLQFRCITSIVNSPCCRKMSFLRPVILFLCMCAVIAILGSLYSDSAYIVAPMAFFLIFALVLESFGNLQIPAAVVRVVIAMIQPTTVICVQSILTAVTQRTSGIYIGIPKNCTREHTYPDGTLGDTKKNVKFSLDVFYVIVLTQGALYIVACVLEIFSFIPKIHLVRQSRFRRKWGRKCVDMYYSYIFEQCVSGGALAPRIMELTSFAMDFTNSNSPSNQLYGIQMLHSFLKRKRTKALLLLRLTTSTETLNTLISMLGWTSPEDAQVRLFATKVIVDLSRSLQIIGIPGSMQNISSLLDTENQLRRRNPLLYTYYSQEGKQGTIVDTGDGQEHIDQDHPHNNNRLNSWMLGCWQLISKRKKTSKEETFIEHDLLPVLGMSILETLAECDPDNCAEISKAKDLIQKIIGYSNETQPKILKGSSLKLLTRLSNTGGEIGITLRQKMSDHPFLLRNLAEILGDTEGSQEHKKLAAEILRNLAINGNSREEIGSIRVIISRLIQAFLAQHPSSNTYSDRSLQITAGQALAMLAMESVNNCSAMLKEPGYSFIRELTAMIRDDIHKYMAASLLQNLCLHAQSKLSSSDLTELSHSLRKVLERITDTTVATELEVLIGLSSQICHVVPEDFARELEHDQMKERFVKKLVEALNANVKPTVHCPRIRRVIVEQVIYMMENNSSYANCFNECQMMEALMVVEETPSKVEKYRLFMGDAGLMEYSIPLSNLVARAKEELMRHVT >ONIVA07G24310.1 pep chromosome:AWHD00000000:7:22391351:22392680:-1 gene:ONIVA07G24310 transcript:ONIVA07G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTMASAMHTIRINLIRRGRLKAPLVRKKNWRASNVAQLNSRVVSIVEKPPRMTTVAHVNTRVPRPLPALSMNAMMRTKRFRCFSGGSGGALHRRMKDATLETRKTVVGSRPPATRSFHRRWGGRRGYWLRHLVNLLITLGHSATCKMQTFCVLKCI >ONIVA07G24310.2 pep chromosome:AWHD00000000:7:22391351:22392680:-1 gene:ONIVA07G24310 transcript:ONIVA07G24310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTMASAMHTIRINLIRRGRLKAPLVRKKNWRASNVAQLNSRVVSIVEKPPRMTTVAHIGCTKMLNCPYFFLRSIGSSGRMKDATLETRKTVVGSRPPATRSFHRRWGGRRGYWLRHLVNLLITLGHSATCKMQTFCVLKCI >ONIVA07G24300.1 pep chromosome:AWHD00000000:7:22386071:22387483:1 gene:ONIVA07G24300 transcript:ONIVA07G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQHAQAKLTESDLKELCHTLREVLERIMNVEGAELEILIGLCAQICKVIPEEFVQELEGGQIKKRFMKRLVDALNANMNPGGHCSGIRRVIIELSIYMMECNSHYANCFNELRMMEALSMVEEMPSRAENYRIFLGDVGFMEYSIPLIALVDRAKELMGQQLVNALNAHMNPSVHSPSIRRVIVQHSIYLMEFNSFHVAHASDFSQMLDDGKLSMVTQDSWSTAHYFPLSWLEQNS >ONIVA07G24290.1 pep chromosome:AWHD00000000:7:22362742:22388486:-1 gene:ONIVA07G24290 transcript:ONIVA07G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWATISSGLRQRVARAWRRAARALTPAGECEPAPIHGRSPRVKGPAGLWNDSYTFRIPGDYGAVDITLSRLRWAAEATVEVVISEVQTSFDLLLGCITSGLDKEIRLFDGTITEPRGLKRSVVAVRMRSLIELNFKVGALSSSLDHCCSFKPKYHGHDTRELKTTFALISVKDEHLVSLRRPTSPLSSMDNGERECKVDMCILHLHGCRVESVGINCIIAAKTEEREIGEQEKYDVQLIDGASLIGPAGLWNDSYTFRIPGDYGAVDITLSRLRWAAEATVEVVISEVQTSFDLLLGCITSGLDKEIRLFDGTITEPRGLKRSVVAVRMRSLIELNFKVGALSSSLDHCCSFKPKYHGHDTRELKTTFALISVKDEHLVSLRRPTSPLSSMDNGERECKVDMCILHLHGCRVESVGINCIIAAKTEEREFLLEDGSWLRNSRIKLLMITRMCPMSSLVFLSMARFFRISAANCFKSWLLPLSSMISARLLNMKLIKSIIFEMRPVVLLISMQFPGSKDLNNSEATSKLGSDLCGEESDFFIPVTCPAQHIEQQSYRLLGICEFCDCFSP >ONIVA07G24290.2 pep chromosome:AWHD00000000:7:22368021:22388486:-1 gene:ONIVA07G24290 transcript:ONIVA07G24290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWATISSGLRQRVARAWRRAARALTPAGECEPAPIHGRSPRVKGPAGLWNDSYTFRIPGDYGAVDITLSRLRWAAEATVEVVISEVQTSFDLLLGCITSGLDKEIRLFDGTITEPRGLKRSVVAVRMRSLIELNFKVGALSSSLDHCCSFKPKYHGHDTRELKTTFALISVKDEHLVSLRRPTSPLSSMDNGERECKVDMCILHLHGCRVESVGINCIIAAKTEEREIGEQEKYDVQLIDGASLIGPAGLWNDSYTFRIPGDYGAVDITLSRLRWAAEATVEVVISEVQTSFDLLLGCITSGLDKEIRLFDGTITEPRGLKRSVVAVRMRSLIELNFKVGALSSSLDHCCSFKPKYHGHDTRELKTTFALISVKDEHLVSLRRPTSPLSSMDNGERECKVDMCILHLHGCRVESVGINWIATTRRLPASSAVTFAANNLTSASPSLVQPNILNNEATVFVELSNIARDISMVQTMHFQFQLKRMIKTCLVYLPAPALRMMRSFILVKS >ONIVA07G24290.3 pep chromosome:AWHD00000000:7:22362927:22388486:-1 gene:ONIVA07G24290 transcript:ONIVA07G24290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRWATISSGLRQRVARAWRRAARALTPAGECEPAPIHGRSPRVKGPAGLWNDSYTFRIPGDYGAVDITLSRLRWAAEATVEVVISEVQTSFDLLLGCITSGLDKEIRLFDGTITEPRGLKRSVVAVRMRSLIELNFKVGALSSSLDHCCSFKPKYHGHDTRELKTTFALISVKDEHLVSLRRPTSPLSSMDNGERECKVDMCILHLHGCRVESVGINCIIAAKTEEREIGEQEKYDVQLIDGASLIGPAGLWNDSYTFRIPGDYGAVDITLSRLRWAAEATVEVVISEVQTSFDLLLGCITSGLDKEIRLFDGTITEPRGLKRSVVAVRMRSLIELNFKVGALSSSLDHCCSFKPKYHGHDTRELKTTFALISVKDEHLVSLRRPTSPLSSMDNGERECKVDMCILHLHGCRVESVGINCIIAAKTEEREFLLEDGSWLRNSRIKLLMITRMCPMSSLVFLSMARFFRISAANCFKSWLLPLSSMISARLLNMKK >ONIVA07G24280.1 pep chromosome:AWHD00000000:7:22361069:22372656:1 gene:ONIVA07G24280 transcript:ONIVA07G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEKQLNCFVHILAVIERMGNALGTLAFTWATVVLLGGYPTVLRPEDDFWFATTMVFLEAARMFSRDNRLDYQLFFSTRGAFRLLGWSGLLTVAVYFSVVLVILSRSLASIGGNVLLALLVDMAMLLALGQLLSPAALKLLCDPLRHAISLWSPLLAILLIGPCITVPSYDFYPGPFISTNSETQWIMYLVLSLFVLLATISRLRLPCIRKLADSFPNTKQSVWRQIILNLCMLAAIVMLVFIFSELGPYAMIVYQLCALVVVSFGNFQIPAAVVRVVLALLRLVPQKPHKYLVDDKNGEHDSEKNLEPSLNIFYGMVLAQGILYIVACLLEVFSFIPRRYLIRRSGFGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRNKLYGVQMLHRFLKKEQLRTKTITKLTNAKKTVALLFDMLGWTSDGDEEIRFFAAKVTAELAGSLRVVQIPGATQLVASLLDTDHQQRIRDHFLLIDSQVGREDSPIQQVGMAEQNSPVLKYLKQMAIYFFIPVDEPSNTHQRNSRLLRWWKQITKRWSVPEEEPSTDQDFLPIQGLLILQRLANFDPGNCMEISRTTGLISKMIDFISYRNHMTSTSEAHQIMLASLSLRVLRRLASAEGKLGVTLRQQILEHTFILSNLAEIMDDNGSSHDLKQLAAEILKNLAMDRNTSEDIGHIRVIISSLMREFLSQDPSSSRNCNHLLRKNAGQALAILAMESTDNCLVMLMEPGYVFIRELTTMIHDNRYKCIAASLLWNMCEHAQPELSNSDLKELSYILREGEPTCSLHIVSQSIHICALNIDSIVLERTMDAEGAELEVFIGLSSQICKVIPEDFAREVEHGQIKEKFVKRLVDVLNAHMRPSVHCPGIRRVIVQHAIYLMEFNSRYANDFHKCWMVETLSMVERTPSRSENYKLFSGDTGLMEHNTPLSALVARAKELMGREWVCVTSGEHAIVSGDAALDLSCACSIVMLIAYLFFQLKTHRQLFEPQQRVPCILVKGRKPQRRNEGPHPLSSTTSHNLCVGVKQPATVVHCEGSASSSKQAPGAMAKAKVATGEEEHGGVQVKSSHGGEGGNNKAAAAPEKRLNRFVHVVAMTERVGNALGTLAFTWATVVLLGGYPTVLRPDDDFWFATVIVFLEAARMFSRENRLDYQLFFSTRGAFRRLGWNGLLTVMVYFSTVLVILKNYYFLRGGSVLVPLIVVMAMLVAIGQMLSPRALKLLSNPLRHAISLWSPLLSIILLGPCIPQPVYDVENQEVILKHSRTRWTLYIVLFLFVLLPTISRLRFPCIVKLVDSVVSRKQLAWRQVILNFCMFAAIVMLVFTFNGFYGRLIMVVFQVYAFLVVSLGNFQIPVAVVRVLLALQRLVPQNYIADGVSAEQDAVQNLKPSLNIFYCMVIGQGILYIVACMLEVFSFIPKRSLIIRGGFRGQLGVKYANLYYAYAFEKCMGGSVLAPKKINLITFAMDSLNSDSTKKKLYGVQMLHSFLKKEHLRTKMIPKLTSSTKTVASLFNMLGWTSDGDADVRLFAAKVTAELAGSLRVVAIPGAIQIVASLLDTDHQLKIRDHFLFIDSQEAREEDLPIKHVGMDEQKSTVLKYWKQMVINCLTPVDEPFNIDELNLHMARCWKRITKFWSIPDEEPSTDQDFLPVQGLLILERLANFDTGNCMEISRATGLISKMIDFTSYRNYITSINEEHQIMLAGLSLRVLRTLASTKGKFGVTLRQQILEHSFVLNNLAEILNDSVGGRELRELAAEIIKNLAMDRNASEDIGHCPVIISGLMRAFLSQVSSHLLRKITGQALAMLAMESANNCLLMLREPGFVFIEELTAMIRYDKYKYTAVSLLGSMCEHAWSELSNSDLKELSYTLQEVLKGIMDAEGAELEVLIGFSSHICKIIPDDFAQELEHSQIKKKFVKRLVSALNAHMRPSADCPGIRRVIVQHAINLMEFDSRYANDFHKCLSMVENTSTRLENYRLFSGDVGLMEHRTTLSTLVARAKELMGREWVQGISTAT >ONIVA07G24280.2 pep chromosome:AWHD00000000:7:22361069:22372656:1 gene:ONIVA07G24280 transcript:ONIVA07G24280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPEKQLNCFVHILAVIERMGNALGTLAFTWATVVLLGGYPTVLRPEDDFWFATTMVFLEAARMFSRDNRLDYQLFFSTRGAFRLLGWSGLLTVAVYFSVVLVILSRSLASIGGNVLLALLVDMAMLLALGQLLSPAALKLLCDPLRHAISLWSPLLAILLIGPCITVPSYDFYPGPFISTNSETQWIMYLVLSLFVLLATISRLRLPCIRKLADSFPNTKQSVWRQIILNLCMLAAIVMLVFIFSELGPYAMIVYQLCALVVVSFGNFQIPAAVVRVVLALLRLVPQKPHKYLVDDKNGEHDSEKNLEPSLNIFYGMVLAQGILYIVACLLEVFSFIPRRYLIRRSGFGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRNKLYGVQMLHRFLKKEQLRTKTITKLTNAKKTVALLFDMLGWTSDGDEEIRFFAAKVTAELAGSLRVVQIPGATQLVASLLDTDHQQRIRDHFLLIDSQVGREDSPIQQVGMAEQNSPVLKYLKQMAIYFFIPVDEPSNTHQRNSRLLRWWKQITKRWSVPEEEPSTDQDFLPIQGLLILQRLANFDPGNCMEISRTTGLISKMIDFISYRNHMTSTSEAHQIMLASLSLRVLRRLASAEGKLGVTLRQQILEHTFILSNLAEIMDDNGSSHDLKQLAAEILKNLAMDRNTSEDIGHIRVIISSLMREFLSQDPSSSRNCNHLLRKNAGQALAILAMESTDNCLVMLMEPGYVFIRELTTMIHDNRYKCIAASLLWNMCEHAQPELSNSDLKELSYILREVLERTMDAEGAELEVFIGLSSQICKVIPEDFAREVEHGQIKEKFVKRLVDVLNAHMRPSVHCPGIRRVIVQHAIYLMEFNSRYANDFHKCWMVETLSMVERTPSRSENYKLFSGDTGLMEHNTPLSALVARAKELMGREWVCVTSGEHAIVSGDAALDLSCACSIVMLIAYLFFQLKTHRQLFEPQQRVPCILVKGRKPQRRNEGPHPLSSTTSHNLCVGVKQPATVVHCEGSASSSKQAPGAMAKAKVATGEEEHGGVQVKSSHGGEGGNNKAAAAPEKRLNRFVHVVAMTERVGNALGTLAFTWATVVLLGGYPTVLRPDDDFWFATVIVFLEAARMFSRENRLDYQLFFSTRGAFRRLGWNGLLTVMVYFSTVLVILKNYYFLRGGSVLVPLIVVMAMLVAIGQMLSPRALKLLSNPLRHAISLWSPLLSIILLGPCIPQPVYDVENQEVILKHSRTRWTLYIVLFLFVLLPTISRLRFPCIVKLVDSVVSRKQLAWRQVILNFCMFAAIVMLVFTFNGFYGRLIMVVFQVYAFLVVSLGNFQIPVAVVRVLLALQRLVPQNYIADGVSAEQDAVQNLKPSLNIFYCMVIGQGILYIVACMLEVFSFIPKRSLIIRGGFRGQLGVKYANLYYAYAFEKCMGGSVLAPKKINLITFAMDSLNSDSTKKKLYGVQMLHSFLKKEHLRTKMIPKLTSSTKTVASLFNMLGWTSDGDADVRLFAAKVTAELAGSLRVVAIPGAIQIVASLLDTDHQLKIRDHFLFIDSQEAREEDLPIKHVGMDEQKSTVLKYWKQMVINCLTPVDEPFNIDELNLHMARCWKRITKFWSIPDEEPSTDQDFLPVQGLLILERLANFDTGNCMEISRATGLISKMIDFTSYRNYITSINEEHQIMLAGLSLRVLRTLASTKGKFGVTLRQQILEHSFVLNNLAEILNDSVGGRELRELAAEIIKNLAMDRNASEDIGHCPVIISGLMRAFLSQVSSHLLRKITGQALAMLAMESANNCLLMLREPGFVFIEELTAMIRYDKYKYTAVSLLGSMCEHAWSELSNSDLKELSYTLQEVLKGIMDAEGAELEVLIGFSSHICKIIPDDFAQELEHSQIKKKFVKRLVSALNAHMRPSADCPGIRRVIVQHAINLMEFDSRYANDFHKCLSMVENTSTRLENYRLFSGDVGLMEHRTTLSTLVARAKELMGREWVQGISTAT >ONIVA07G24270.1 pep chromosome:AWHD00000000:7:22344210:22349061:-1 gene:ONIVA07G24270 transcript:ONIVA07G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLPTVKDASSTGIKQLCKGYGASGCRDIAPRVIICQTPMNTGSVSCKAMLSACRLKKRPNEIQENLTITPTYLAASRKTMVVANQKSSSGRSGGVAAEQDDGRPGEGQRPKNFLVNLSVIATK >ONIVA07G24270.2 pep chromosome:AWHD00000000:7:22344210:22349061:-1 gene:ONIVA07G24270 transcript:ONIVA07G24270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLPTVKALTSRKVYNSSLRLCKGYGASGCRDIAPRVIICQTPMNTGSVSCKAMLSACRLKKRPNEIQENLTITPTYLAASRKTMVVANQKSSSGRSGGVAAEQDDGRPGEGQRPKNFLVNLSVIATK >ONIVA07G24270.3 pep chromosome:AWHD00000000:7:22344210:22349061:-1 gene:ONIVA07G24270 transcript:ONIVA07G24270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLPTVKEVSYELHCLRDLNNSDTTSKIGTLSGITSPMSFGDLLGSIVFRLCKGYGASGCRDIAPRVIICQTPMNTGSVSCKAMLSACRLKKRPNEIQENLTITPTYLAASRKTMVVANQKSSSGRSGGVAAEQDDGRPGEGQRPKNFLVNLSVIATK >ONIVA07G24270.4 pep chromosome:AWHD00000000:7:22344210:22349061:-1 gene:ONIVA07G24270 transcript:ONIVA07G24270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLPTVKVTFPAHNLTSASALLVQPSKSNNEATVFVPLSACRLKKRPNEIQENLTITPTYLAASRKTMVVANQKSSSGRSGGVAAEQDDGRPGEGQRPKNFLVNLSVIATK >ONIVA07G24270.5 pep chromosome:AWHD00000000:7:22344210:22349061:-1 gene:ONIVA07G24270 transcript:ONIVA07G24270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLPTVKALSGITSPMSFGDLLGSIVFRLCKGYGASGCRDIAPRVIICQTPMNTGSVSCKAMLSACRLKKRPNEIQENLTITPTYLAASRKTMVVANQKSSSGRSGGVAAEQDDGRPGEGQRPKNFLVNLSVIATK >ONIVA07G24270.6 pep chromosome:AWHD00000000:7:22344210:22346920:-1 gene:ONIVA07G24270 transcript:ONIVA07G24270.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVMRLIFFGASTALSGITSPMSFGDLLGSIVFRLCKGYGASGCRDIAPRVIICQTPMNTGSVSCKAMLSACRLKKRPNEIQENLTITPTYLAASRKTMVVANQKSSSGRSGGVAAEQDDGRPGEGQRPKNFLVNLSVIATK >ONIVA07G24260.1 pep chromosome:AWHD00000000:7:22343946:22348911:1 gene:ONIVA07G24260 transcript:ONIVA07G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPEKQLNRFVHLVAMTERLTRKFLGRWPSPGRPSSCSAATPPLRPDDDFWFATTMVFLEAARMFSRDNRKDFQLFFSTRGAFRILGWNGLLSAMWRHISGFGSGYVNATWNWPNAVSKSSETTIRSATPCCIPVEPITCNPIDRSVYCIYEIHFREIIPTDPKTKWILYLVLSLLALLTTISRLRLPCIVKLVDSVLSSKQLAWRQTVLNLCMLAAIVMMIFTFSELPLFSMIVCQFGALVMVSSGNFQIPAAIVRVILALLRIVPQNYFADGSNGKQDSERNLKPSLNIFYGMVLGQGILYIVACLLEVFSFIPRRSLIHHGGFGRQLGVEYVNLYYAYAFEKCMRGPVLAPKKISLITFAMDSLNSDSSRNKLYGVQILRSLLKREKLRIKTIMKLTNGTKTVASLFDLLGWTSNADADVRLCAGKVTADLAGSVRVVQIPEAMQLIAHFWTLINNQKKAIIFFSLRAKREEKTHQFSKLAWGLLILERLANFDLGNCMEISRAPGLISKMIDFTRYTNCSVNEEHQIMLAGLSLRVLRALASTKGKSGVSLRQEILEHPFLLSNLAEILDDRESSHGLKQLAAEILKNLAMDRNTSEDIGRIRLIIRSLMHEFLSRDASSSTNSNHLLQKIAGQALAMLAMESANNCLIMLMEPGYVFIKELTTLIHDERNKYTAASMLWNMCEQARTELNNSDLRELLYTLREVLEGIMSAEGAELEVLIGLSSQICIVIPENFVRELEHGQIKEKFVKRLVNALNANKRPSAHCPSIRRVIVQHGIYLMEFNSCYANDFRKCGMVEALSMVEVTPSRAENSGVKCCIPLENSVGSGI >ONIVA07G24250.1 pep chromosome:AWHD00000000:7:22334669:22338487:1 gene:ONIVA07G24250 transcript:ONIVA07G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAADITGGEHRDQMPAQGSGGGRRQQQLAAPEKQLNCFVHVVAMVERTGNALGTLAFTWATVVLLGGYPTVLRPEDDFWFATTMVFLEAARMFSRDNRLDYQLFFSTRGAFRLLGWSGLLTVVVYFSVVLVILSRSLGYIGANVLVALLVDMAMLLALGQLLSPAALKLLCDPLGHAISLWSPLLAILLIGPCITVPKSNYSTRGFTYTNSKTQWITYLVLSFFVLLATISRLRLPCIRKLADSFPNTKQLAWRQIIQNLCMLAAMVMLVVFISGELNPYSMLVYQLCALVVVSFGNFQIPAAVVRVVLALLRLVPQNYFADHSDGKKDSEKNLEPSLNIFYGMVLGQGILYIVACLLEVFSFIPRRYLIRRGGFGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGVQMMHSFLKKEQLRTKTITKLTNATKTVASLFDMLGWTSDGDEEIRLFAAKVTAELAGSLRVVQIPGATQLVASLLDTDHQQRSRDHFLFIDSQVGREDSPIQQVGVAEQDSPVLKYLKQMAIYCLIPVDEPSNMHQRNSCMLRWWKRITKRWSLPEEEPSTDQDFLPIQGLLILERLANFDPGNCMEISRTTGLISKMIDFISYRNHMTSTSEAHQIMLASLSLKVLRRLASTEGKLGVTLRQQILEHTFVLSNLAEIMDDNGSSHELKQLAAEILKNLAMDRNTSEDIGHIRVIISSLMREFLSQDPSSSRNSNHLLRKNAGQALAMLAMESTDNCLIMLMEPGYVFIRELTTMIHDDRYKCIAASLLWNMCEHAQPELSNSDLKELSYILREVLEGIMDVEGAELEVLIGLSSQICQVIPEDFTREVEHGQIKEKLVKRLVDVFNAHMKPSAHCPSIRRVIVQHAIYLMEFNSRYANDFHKCWMVEALSMVERTPSRSENYRLFSGDTGLMKHNAPLSALVARAKELMGREWVRGISSVT >ONIVA07G24240.1 pep chromosome:AWHD00000000:7:22319605:22324526:1 gene:ONIVA07G24240 transcript:ONIVA07G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATGGEHSVQIQGKMATPEKWLNRFVRLLALMERMGNALGTLAFTWATVVLLGGYPTVLGALRLLGWNGMLIVIVYFSAMLMLSTNYGSDENGDKFFTVSLPGVIVGMALLVATGKMLSGAALKLLCNPLRRAISLWSPLFAILLLGVCIGVQRDHGSKNTKTSRTLLCLYLVLFSFVLLPTISRLRFPCIVKLVGNVLCRKLLPWRQVILNMCMLAAIVMLVFIFSEELSAQLLIIVYEVSALLLLSFGNFQIPAAAVRVVLALVVYIVACLLEVFSFIPRKYLIRHGGLGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGVQMLHKFLKKEQLRTKTITKLTNDTKTVASLFDMLDWTSDGDEEIRLFAAKVTAELAGSLRVVQIPGATQLVASLLDTHHQLTTRDHFLFIDSQVGREDSPNQPVGLGEQNSPVLKYLKQMAIYCLIPVDEPSNVDERNSSMLKCWKRITKCWSVPEEEEPSTDQDFLPVQGLLILERLANFDPGICMEVSRTTGLISKMIDFTSCRNHMTSTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILEHPFLLSNLAEIMDDNGSSHELQQLAAEILKNLAMDRNTREDIGHIRVIISSLMRAFVSRDPSSSTDSNHLLWKTAGQALAVLAIESTDNCLVMLMEPGYVLIRELTTMIHDDRYKCIAASLLWNMCEHAQPELSKSDLKELSYILREGELTSSLHIVFQRLTSIHICVLNIDSTVLEGIMDAEGAELEVLIGLSSQICKVIPEDFAQEIEHSQIKEKFVKRPVDVLNAHMKPSAHCPGIRRVIVQHAIYLMEFNSRYANDFHKCWMVEALSMIERTPSRSENYRLVSGDTGLMEHNTPLSTLVARAKELMGRERVRGTSSVT >ONIVA07G24240.2 pep chromosome:AWHD00000000:7:22319605:22321541:1 gene:ONIVA07G24240 transcript:ONIVA07G24240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANATGGEHSVQIQGKMATPEKWLNRFVRLLALMERMGNALGTLAFTWATVVLLGGYPTVLGCLPAITGWITSCFFVAQGLLDFLVGMVC >ONIVA07G24240.3 pep chromosome:AWHD00000000:7:22321565:22324526:1 gene:ONIVA07G24240 transcript:ONIVA07G24240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLSTNYGSDENGDKFFTVSLPGVIVGMALLVATGKMLSGAALKLLCNPLRRAISLWSPLFAILLLGVCIGVQRDHGSKNTKTSRTLLCLYLVLFSFVLLPTISRLRFPCIVKLVGNVLCRKLLPWRQVILNMCMLAAIVMLVFIFSEELSAQLLIIVYEVSALLLLSFGNFQIPAAAVRVVLALVGILHLHQKDKANKEGIDKPDNEKNLKASLIIFYGMVLGQGIVYIVACLLEVFSFIPRKYLIRHGGLGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGVQMLHKFLKKEQLRTKTITKLTNDTKTVASLFDMLDWTSDGDEEIRLFAAKVTAELAGSLRVVQIPGATQLVASLLDTHHQLTTRDHFLFIDSQVGREDSPNQPVGLGEQNSPVLKYLKQMAIYCLIPVDEPSNVDERNSSMLKCWKRITKCWSVPEEEEPSTDQDFLPVQGLLILERLANFDPGICMEVSRTTGLISKMIDFTSCRNHMTSTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILEHPFLLSNLAEIMDDNGSSHELQQLAAEILKNLAMDRNTREDIGHIRVIISSLMRAFVSRDPSSSTDSNHLLWKTAGQALAVLAIESTDNCLVMLMEPGYVLIRELTTMIHDDRYKCIAASLLWNMCEHAQPELSKSDLKELSYILREGELTSSLHIVFQRLTSIHICVLNIDSTVLEGIMDAEGAELEVLIGLSSQICKVIPEDFAQEIEHSQIKEKFVKRPVDVLNAHMKPSAHCPGIRRVIVQHAIYLMEFNSRYANDFHKCWMVEALSMIERTPSRSENYRLVSGDTGLMEHNTPLSTLVARAKELMGRERVRGTSSVT >ONIVA07G24240.4 pep chromosome:AWHD00000000:7:22321565:22324526:1 gene:ONIVA07G24240 transcript:ONIVA07G24240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLSTNYGSDENGDKFFTVSLPGVIVGMALLVATGKMLSGAALKLLCNPLRRAISLWSPLFAILLLGVCIGVQRDHGSKNTKTSRTLLCLYLVLFSFVLLPTISRLRFPCIVKLVGNVLCRKLLPWRQVILNMCMLAAIVMLVFIFSEELSAQLLIIVYEVSALLLLSFGNFQIPAAAVRVVLALVGILHLHQKDKANKEGIDKPDNEKNLKASLIIFYGMVLGQGIVYIVACLLEVFSFIPRKYLIRHGGLGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGVQMLHKFLKKEQLRTKTITKLTNDTKTVASLFDMLDWTSDGDEEIRLFAAKVTAELAGSLRVVQIPGATQLVASLLDTHHQLTTRDHFLFIDSQVGREDSPNQPVGLGEQNSPVLKYLKQMAIYCLIPVDEPSNVDERNSSMLKCWKRITKCWSVPEEEEPSTDQDFLPVQGLLILERLANFDPGICMEVSRTTGLISKMIDFTSCRNHMTSTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILEHPFLLSNLAEIMDDNGSSHELQQLAAEILKNLAMDRNTREDIGHIRVIISSLMRAFVSRDPSSSTDSNHLLWKTAGQALAVLAIESTDNCLVMLMEPGYVLIRELTTMIHDDRYKCIAASLLWNMCEHAQPELSKSDLKELSYILREVLEGIMDAEGAELEVLIGLSSQICKVIPEDFAQEIEHSQIKEKFVKRPVDVLNAHMKPSAHCPGIRRVIVQHAIYLMEFNSRYANDFHKCWMVEALSMIERTPSRSENYRLVSGDTGLMEHNTPLSTLVARAKELMGRERVRGTSSVT >ONIVA07G24230.1 pep chromosome:AWHD00000000:7:22299596:22300129:-1 gene:ONIVA07G24230 transcript:ONIVA07G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKRGIDMMDYALIEYDMRIKIGEQEKYDVQLIDGASLIGPAGLWNDSYTFRIPGDYGAVDITLSRLRWAAEATVEVVISEVQTSFDLLLGCITSGLDKEIRLFDGTITEPRGLKRSVVAVRMRSLIELNFKVGALSSSLDHCCSFKPKYHGHDTRELKTTFALISVKVTWSNLF >ONIVA07G24220.1 pep chromosome:AWHD00000000:7:22297606:22298139:-1 gene:ONIVA07G24220 transcript:ONIVA07G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKRGIDMMDYALIEYDMRIKIGEQEKYDVQLIDGASLIGPAGLWNDSYTFRIPGDYGAVDITLSRLRWAAEATVEVVISEVQTSFDLLLGCITSGLDKEIRLFDGTITEPRGLKRSVVAVRMRSLIELNFKVGALSSSLDHCCSFKPKYHGHDTRELKTTFALISVKVTWSNLF >ONIVA07G24210.1 pep chromosome:AWHD00000000:7:22262188:22267954:1 gene:ONIVA07G24210 transcript:ONIVA07G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEHMLQCQLVLRHKIEKLEPSCANATVQYANIAKPSWISWYAFSAPDEAIQALISEAKSYYMRLPPIQHLQQLPLLQSPSTRQLAMDNNADVSTPTLLSISALVGDVTNLSAEESRRRQQREINNSLKVEAWNEYTFLGCANTAGSACKKRVKASSLDNVKAQCHMILEDKRIELKEYLNDLCHDKHKVIDNICKKYELENIEITNAKKQKYLS >ONIVA07G24210.2 pep chromosome:AWHD00000000:7:22262188:22267954:1 gene:ONIVA07G24210 transcript:ONIVA07G24210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRYNAVILIQELQSLLFCKFNFWHSILYFFVSAEGSALFLRDIKLVLLLRQLAMDNNADVSTPTLLSISALVGDVTNLSAEESRRRQQREINNSLKVEAWNEYTFLGCANTAGSACKKRVKASSLDNVKAQCHMILEDKRIELKEYLNDLCHDKHKVIDNICKKYELENIEITNAKKQKYLS >ONIVA07G24210.3 pep chromosome:AWHD00000000:7:22262708:22267954:1 gene:ONIVA07G24210 transcript:ONIVA07G24210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEHMLQCQLVLRHKIEKLEPSCANATVQYANIAKPSWISWYAFSAPDEAIQALISEAKSYYMRLPPIQHLQQLPLLQSPSTRQLAMDNNADVSTPTLLSISALVGDVTNLSAEESRRRQQREINNSLKVEAWNEYTFLGCANTAGSACKKRVKASSLDNVKAQCHMILEDKRIELKEYLNDLCHDKHKVIDNICKKYELENIEITNAKKQKYLS >ONIVA07G24200.1 pep chromosome:AWHD00000000:7:22257752:22258591:1 gene:ONIVA07G24200 transcript:ONIVA07G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVAGRNSGDRAGVSNNSNSSEEDERDVAAQRMGDRCRRWSSGGRRRNVSRCRLGDLGGPVLDVSAAPHSTMRVLREGEDIGTTPVVDSFEWKDAATYTMPEGSAAACRVRRDVHRQDQVGSDMHHGEYSKKLATTMYEKVAGVGTGTAQDSDASTTLASGEPATGQQQDKGATVTG >ONIVA07G24190.1 pep chromosome:AWHD00000000:7:22257459:22261814:-1 gene:ONIVA07G24190 transcript:ONIVA07G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIPHAATARLFPLTPAAAQMRILRRFPRLLRRSNAAARSPAPMHRLISSPSLMASAAASWCWWSPLLARGGPVVRRVSRFPWEPRNMTTISRVKGDESYRRLPSQEKKHTAITQGMKTIDTRGTILEARAGDEKSNRDAASSEVSYIKYDVLGQSAKQDGCDEDDRRSETEEHVEEDEVLDPEEYTVNNILPKSRHRDGSIYRDIMDTPWKREFHIADRNETRLEAMRFSNPTNCVIRSNGTCMSHVHCRMLQILSLELAKITLDGGSVELYGYIAVRDDLDPLLNYIVNCSRDDPIIVEQALHARSTAFTVSEMWFLPMTDVTASRTLASTYASASRIFIIRGRRCFSLPPPPSLFPLWSPPAMVFLSTGLCCFSFPSASAPVPPPPPLLLQQTPTEGGNGAGQHDGEGQEALMQVLTKAREAVMSLIGKNHVSNTMKAVDRAWRGLIANM >ONIVA07G24190.2 pep chromosome:AWHD00000000:7:22256647:22261814:-1 gene:ONIVA07G24190 transcript:ONIVA07G24190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIPHAATARLFPLTPAAAQMRILRRFPRLLRRSNAAARSPAPMHRLISSPSLMASAAASWCWWSPLLARGGPVVRRVSRFPWEPRNMTTISRVKGDESYRRLPSQEKKHTAITQGMKTIDTRGTILEARAGDEKSNRDAASSEVSYIKYDVLGQSAKQDGCDEDDRRSETEEHVEEDEVLDPEEYTVNNILPKSRHRDGSIYRDIMDTPWKREFHIADRNETRLEAMRFSNPTNCVIRSNGTCMSHVHCRMLQILSLELAKITLDGGSVELYGYIAVRDDLDPLLNYIVNCSRDDPIIVEQGSLINMEGPNRGIDMMDYALIEYDMRIKTGEQEKDDLQLIDGASMIGSGGLWNRPETICIPGDYGAVDITLSRFYCSAEATVEILISEVQSSFNLLLGCLTSDLDKEIRLFDGVISESRDLKRSVVAVMRDSFIDLKFKVGAFPSSFDQHYVSFKEKIHGYDTQEIKTDFALISVNVTWSTLPAGLK >ONIVA07G24170.1 pep chromosome:AWHD00000000:7:22241041:22244977:-1 gene:ONIVA07G24170 transcript:ONIVA07G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKCCVPRRQRGRGGGGAVGGRGGATLGRVAVPGAGLVLEYATLAVAGLYPDSPGRESQDAHLVATRFAGHPDLHLFAVFDGHGACGAACAGFARDALPRLLAGVGGGAGEEGGGRMVVVEDPAAAFREALPAANAEMHAADEVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWREGRVAAEELSWDQTPFRADERARVKACGARVMSVEQVEGVRDPEAEGWVADEGDPPRVWARDGLYPGTAFTRSLGDQAAEAVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQDVVDMCVNLSAFGLYEASDVPRTGVAAKLMKCLNGFIQCVILETFKPNQRFFFLENGISPASTSKLDVHSQKPNQSMTLAYVLFRGSMKLLQWDTEVSLMLNAFVKITNTKTKWYMLVPIRILMSIVLHARVRKTSMYRDVYSLWITEHHQRFTDYSEGEVAAYEDPREACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENPGPAGSQKMNGSSSGAVQPELTVFVPSEASHLNRDAATEMPSSSSGSPTEQHLSCVAPSPTHPLLGVGRTSETPKLIESERAMSQPAEASWHQRDGSCCCAMAVVLALQSVRHAPVANPLGAVARVFLRAPAD >ONIVA07G24170.2 pep chromosome:AWHD00000000:7:22241377:22244977:-1 gene:ONIVA07G24170 transcript:ONIVA07G24170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKCCVPRRQRGRGGGGAVGGRGGATLGRVAVPGAGLVLEYATLAVAGLYPDSPGRESQDAHLVATRFAGHPDLHLFAVFDGHGACGAACAGFARDALPRLLAGVGGGAGEEGGGRMVVVEDPAAAFREALPAANAEMHAADEVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWREGRVAAEELSWDQTPFRADERARVKACGARVMSVEQVEGVRDPEAEGWVADEGDPPRVWARDGLYPGTAFTRSLGDQAAEAVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQDVVDMCVNLSAFGLYEASDVPRTGVAAKLMKCLNGFIQCVILETFKPNQRFFFLENGISPASTSKLDVHSQKPNQSMTLAYVLFRGSMKLLQWDTEVSLMLNAFVKITNTKTKWYMLVPIRILMSIVLHARVRKTSMYRDVYSLWITEHHQRFTDYSEGEVAAYEDPREACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENPGPAGSQKMNGSSSGAVQPELTVFVPSEASHLNRDAATEMPSSSSGSPTEQHLSCVAPSPTHPLLGVGRTSETPKLIESERAMSQPAEASWHQRDGVVNMDRSVQRSIPTASC >ONIVA07G24170.3 pep chromosome:AWHD00000000:7:22241041:22244977:-1 gene:ONIVA07G24170 transcript:ONIVA07G24170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKCCVPRRQRGRGGGGAVGGRGGATLGRVAVPGAGLVLEYATLAVAGLYPDSPGRESQDAHLVATRFAGHPDLHLFAVFDGHGACGAACAGFARDALPRLLAGVGGGAGEEGGGRMVVVEDPAAAFREALPAANAEMHAADEVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWREGRVAAEELSWDQTPFRADERARVKACGARVMSVEQVEGVRDPEAEGWVADEGDPPRVWARDGLYPGTAFTRSLGDQAAEAVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQDVVDMVAAYEDPREACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENPGPAGSQKMNGSSSGAVQPELTVFVPSEASHLNRDAATEMPSSSSGSPTEQHLSCVAPSPTHPLLGVGRTSETPKLIESERAMSQPAEASWHQRDGSCCCAMAVVLALQSVRHAPVANPLGAVARVFLRAPAD >ONIVA07G24160.1 pep chromosome:AWHD00000000:7:22230377:22245483:1 gene:ONIVA07G24160 transcript:ONIVA07G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family [Source:Projected from Arabidopsis thaliana (AT3G06290) TAIR;Acc:AT3G06290] MSASGFGRDAGPLNRGPGTAPFAFGAGAAAASTPPAPAPFPSARPVAPIGPPAATAAASRFPSPRPQLAATPRPPATSPVPVPSSSAPRGPAFAHGAANPVRFPSSRPAIDPGVPAATARHVGRHLQPQPRPAASSIRSPVRPVISSRSRSTSPLSNQRIDSPADYDNGMGKRRVVNYADPLFENGSAPIEDMRTQPSEFGKTARSPTSNITSKFRPPSGFQNYHPVQAANPLEYKPNVTPAMFGNQNLHDVRAAPSPALNNNRLVPGSGRLRPALGGGASPTVLGDASQYDNSTQSVMARQEMSEHMRPVSQRFLASFQSRSLDHNISKRSRSPTLSHQDADGAEAHQDAGVNARRLIDYTDSLFDDGMVETSKRMKSPSLEFTSMVKSPSSDIRGDTRPSPAGLRSNSAAQNLRSSVDIQKASSSVPKVGNQVQFRIGDVRSPPYQIDPYSNEQNTAAVSPPKPSILGASKRIGTSLLDFTDDDNMIPSTESEREKQAKAKRLTRFSVELSRPVDNINDFVKAQKGSADKQKQASSMGKVPTGSKDDIDERSMADADSPGLAAIIGLCPDMCPEPERAERERKGDLDKYERLDGDRNQTTELLAYNRTAERDADLIRPLPVLQKTMDYLLSLLDHTYDDNFLGLYNFLWDRMRAIRMDLRMQHFFNQDAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGILFPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPDILFAREVARACRMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQNTQGIPISQAVEWLAMEDEDIESLLEYHGFGLRQYEELYLVKEGPFLNSETDFPSGCSQLVHLKKSQRIINDVSSGPVCAPTSQKEALASNSGGFALTRGHVHPQPSLLVKREFGLSFPGPVSPTSGRQTTSLYSGSFSPKAGNKQSSLPSSSPMSPTSGKKESVHVPFSTPPHTTKQAILPRTGWIDEQKVASPKAESNTKAADDFIPEDQDGGLVGFPRGQPDVPWTQANIQQDSALEETKFSPPLADGVSLDYSNMHGEENEFRPDGSSIDADMDEESPSHREVNFIQPESFVGSHLSDSDHKEYDDHNIGDRAADNMLPVVVSPKKIISNERLKIILRKWRHRAMDKRFIREQKNALAIAALSSLSLGPPVHQTAVVPVHAVHDLDISHAFKERHSRQQLSLSPLNVSELAGPILTERNPDARCICWKLLVLVPPGTMEFTSNYASKWLLKKLMGSGNEDAGLLFSSADLSIWTKWNSSPDTCCLSIARAIDQQVIGNDIANGTNCIIFLVSETIPWEMQKARFSSLLASIPAKSNLPLLILSGDTYNEEYDYASQSVIDRLGLGCLSEEKIASCLVIFLVADDMEGYANGFFDDEKLRGGLKWLTRNLPPQPDVTLVKTRELLLNYLNPSLDLLNSRAAPEVCPEHCISVFNKSVNQLTEEISAAAYTASNQWPAPEINLLERSSSERIFAEMFLPSIGWSLPSRIQPLVAAIKSCKLPEFSYDMSWLNKGSYMGSQIQDQKLYLEECLTKYLTKSAHLLNEAQAATEVKVMVQKGVGLELRGSQYHLVPRWVTIFRRIFNWRLAKLSTGEFSEAYVLSQHLYQTPAADSLPNGGTQHDLSASSNTTDEAAPILEDRGMAPSVSSRLSLDEIIEISCDLDAVSALPPAKPLPPQPPTQVRYEPQPPGGVNGVLGAGDGVRMPRRTELRDLVPIERDDKLARLLEQCSKLQDRIDGTLSIYF >ONIVA07G24150.1 pep chromosome:AWHD00000000:7:22228743:22229048:-1 gene:ONIVA07G24150 transcript:ONIVA07G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPSVFKAIPAV >ONIVA07G24140.1 pep chromosome:AWHD00000000:7:22227674:22228245:-1 gene:ONIVA07G24140 transcript:ONIVA07G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRQFLNLLVDNGIPRAKSLHCVKLTRHRLFNTTKPTMLPLIGDGNEPKNNNQSMEKIVLPRPSFNLQLLGQEEDRRSEMQEQRDANDDDKEVTDDEDYSMDILFPKSRHRDGSIYRGIMDTWWKKELRIADRNEMILDGIELYHQWTGNHPSQLLPNYYYQTDLI >ONIVA07G24130.1 pep chromosome:AWHD00000000:7:22219898:22227305:-1 gene:ONIVA07G24130 transcript:ONIVA07G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSDPTNCIKDDGTCLQHPPRCMLQMLSLELAEIHNDGCLVELYGYIAVRDDLDPLLNYIINFSRDDPIIVEQGSLINMMGPKRGIDLMDFALIEFDVRIKTGEQEKDDLQLIDGATLLWTPGLWNQPYSIKIPGDYGAVDITVAHLNNAVEATVEVVISEVQSGFNLLLGCLTSDLNKEMRLFDGAIVESRFLKRSVVAVNWKSSIDLKFKVGASPSSFDQHCVSFKPKIHGHDTQEIKTDFALISVKVTWSTLLPTGLD >ONIVA07G24120.1 pep chromosome:AWHD00000000:7:22212854:22218240:1 gene:ONIVA07G24120 transcript:ONIVA07G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGRQHEPCKMEQELSWEGFHPSIAHQLLDGMPSQPGMSKEDQRISEPVLINTTMNKEEKWLDEALDRILEKFEQMEAKRRQEDKLNQNFQKLEEIEARRSKASEETIAAIRATTAILKVASSSTPMAPPPPAPTNCLMECHNNNFTWVAVNSSHIREVPAPMVAFELGDVEDKDPVPYIAAKDLPKVTPTKCSTICSSSDTKPDHIVATVVTCATLVVSSMELVAIDGTTGNTNIDTPDSTKAMPANCSTVGLDVKGGADHARVTCQIMMGVPEGVVLPDASSEVLSPWLIAEMDLAKLMPTECLMKCLKAIKKLLVGHPKRDPWPPPWSGGVVRGGEVWHIPWYVLDSFWTSVGLMPPWPPPTCDGVINGWDLQPMAGPEFKLNWSRVHHLPPWPPPTEVSCLALVCQGNVMILTELKDINLHRGELKPWPPPNQTNCRNTFVQAAQCKCWKTRVGMSLCARMEQWNLLNQKSCTMVAVSSLQEHINGQEQILCKPWNPRDNRTSIDIILLNSWSLVHCYHLGHIVGLTCLEALAILVCHEMVKFGWAGTVYSDQDRHTIVRPARAFVRHELGIGNGSHILHVSEAGARCGSTRKLLELIRNERTFQIKIMVKNLLQEVNMISSFDTSILEMNTHVLKYSASTQVLDAHALNICKSIGLLNLMTHKQLQCLLIHRTWKFLLSVIVVVHGSRGSSFKAGLHLCAISKKYLTYNSMAIWDTKLLGMTRKDQCYEKSQTNQRWMPKECWAKNDQSKACNFAPKELYRSNNLSTHQFVLQEDDYWNSRWFTYICNILHRLEDKPNSKKRGLLGTQLGCTWAMLAILQAQPMETEERIQKAAAALLEASNGSDIGGFGHGGGGGGLDMDHEDRLSMLTDDILLSILGRVDIISAVRTSVVSTCWKHLPWLLPEFTIDVKDFLPVPQPNRIKVEHMDEAMASLTKGIRSLLTIARSEFPINRFQLKLYLINNYSRVVGPMLDKAIEVGILKDMDLSVLDEEEIVDCTDKHMLQQASSVKDLFSGYPSVLTRLTRLSLYNLCFARWDLHHHLFECCNQLRYLSLSNCDVGKNAIWKISAPNSNIIVLELDVCCFEKLEVLCLPKLERLNWDTWLCPYAPLSFDVFPNLQEVSLICGATNKHEGFILSEVLSGTTNIHTLTLDFQGEKLWIKLEGKQLFRAFSNLRKLSIHSIFSEFELLWTTNLLEAAPSLEMFDIEIWEHTCDVDREPKVFGERPNPAWKAPDVTSFRNSLLKELQIVAFRPLKQQLEFIRVVMQQAPNLGTIILKYDDPCEYCEALGIFPPRSSTECVFPKSKDEQDRVINLLKDGVCSPAQIEADDDDMLSMLNDDISLSILERVDIKTAVRTIVLSKRWKRLPWMLPELSIDPNRIEGLELKLYLINSYSSVIGPLVSEAIDIGILKDLNLAIPEEKPMADCTTK >ONIVA07G24110.1 pep chromosome:AWHD00000000:7:22212004:22212710:1 gene:ONIVA07G24110 transcript:ONIVA07G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSAPIPSCSASTPSHGEANQTKAGISMELDNSHNNPMETEERIQKAAAALLEASNGSDIGGFGHGGGGGGLVRLNPVDLYPSLTLSRVPLHYHL >ONIVA07G24100.1 pep chromosome:AWHD00000000:7:22201457:22206963:-1 gene:ONIVA07G24100 transcript:ONIVA07G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLAGFRALHPRPRRRRHGGAEGKARVTVRRLGSNGRKEAAAAAAGARRDNRGDGATGEAVTIRVATFNAAMFSMAPAVAAASSAETATETARRVTTPAAGGGRRPKGILKAQASLARTASKARLNGGAEGRRRSVEEVLREVGADIIGLQNVRAEEERGMSPLSELAEGLGMRYVFAESWAPEYGDAVLSRWPIKRWKSQRVADQSDFRNVLRATIEVPRAGEVNFHCTHLDHLDESWRMKQMNAILRSSDGPHILTGGLNALDGTDYSDERWADIVKYYEEIGKPTPKAEVMKYLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYTVISSKGTSDHHIVKVDVTIQDKKETDEESGNQRQRVVKINKKCSKKGLWAAK >ONIVA07G24100.2 pep chromosome:AWHD00000000:7:22201457:22206963:-1 gene:ONIVA07G24100 transcript:ONIVA07G24100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLAGFRALHPRPRRRRHGGAEGKARVTVRRLGSNGRKEAAAAAAGARRDNRGDGATGEAVTIRVATFNAAMFSMAPAVAAASSAETATETARRVTTPAAGGGRRPKGILKAQASLARTASKARVSINLQDNEISRERSKLGSTAARSTTTATTTPAATQQLNGGAEGRRRSVEEVLREVGADIIGLQNVRAEEERGMSPLSELAEGLGMRYVFAESWAPEYGDAVLSRWPIKRWKSQRVADQSDFRNVLRATIEVPRAGEVNFHCTHLDHLDESWRMKQMNAILRSSDGPHILTGGLNALDGTDYSDERWADIVKYYEEIGKPTPKAEVMKYLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYTVISSKGTSDHHIVKVDVTIQDKKETDEESGNQRQRVVKINKKCSKKGLWAAK >ONIVA07G24090.1 pep chromosome:AWHD00000000:7:22196579:22200713:1 gene:ONIVA07G24090 transcript:ONIVA07G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:51 kDa subunit of complex I [Source:Projected from Arabidopsis thaliana (AT5G08530) TAIR;Acc:AT5G08530] MALRRALLRSAEISPDRKAALEYLHSLSRAQPTRSLTGAGLYSAGKSFSTQAATTSSTPQPPPPPPPPEKTHFGDLKDEDRIFTNLYGQHDPFLKGAMKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLLKAREEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAIIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRICERAERELLAASA >ONIVA07G24080.1 pep chromosome:AWHD00000000:7:22194600:22195274:1 gene:ONIVA07G24080 transcript:ONIVA07G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIKEETKAAAAASSGQTTAAAATSKSNSTTVGDMADTTFKSISDVLKLLPTATVIVYEVLTPIVTNTGDCHVANKVVTPVILVLCAFFCAFSQFTDSYVGADGKVRYGLVTARGLLPFSGGGGADGGDAAGRDFSKYRLRFGDFVHAFFSVAVFAAVALLADANTVSCFYPSLKDQQKKVVMALPVVVGALASVVFVVFPSTRHGIGYPPAKPATASLASL >ONIVA07G24070.1 pep chromosome:AWHD00000000:7:22170215:22191373:-1 gene:ONIVA07G24070 transcript:ONIVA07G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSSNMEQHSQKLLEPDLPVQVRLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSNFTAPQGTENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVTIYRNFPNTVTHFFDNPNVSANIAAAVPNQHLDPTADAPGTVAVPGGGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPYLLPLMVSAISIKGPDKVPPHLKTPFVELKGAQVKTLSFLTYLLKSNADHIKSYEESICKSIVNLLVTCPPDSELLVGLKQVLNTEYRRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPQGRILDAFVGKFRTLKRTIPQLLEEGEEGKEHQNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIRTLVLGMKTIIWSITHAHWPRPQQQSQQSSNLSVQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDEERDILQCFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSSLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFVAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRSMFRALNIAKFDSLMRDLIPSLQPCLNMLLSMLDGPTSEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSDVILALWSHLRPPPYTWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVSAVMQGNSMEAFYRKQALQFIRVCLDSLLNLRENVPGEGVSPGVLGTLLISSLDPSRRRNDASDMKTKTQLLAEKSVFKVLLVAIIAANSDTSLTDEKDDFVVDLCRHFAMLFHIDSSSSSQSGYVQPVGSSLSSSIGSRSRNNSSSNLRELDPLIFMDSLVEVLSSENRQHAKAALSALNTFAETLLFLARMKHTGMLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVMGLGALVGKVSVDTLCIFQVRVVRGLIHVLKRLPMHANKEQEETNHVLTQVLRVVNNADEANSEHRRQSFQGVVEFLAVELFNPNTSIVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRSLRSKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKMMNAKIIMTWNKLRTACIELLCTAMAWGDLKAPNHSDLRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAHTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLAKFLNRYAVEAVDYFLARLSHPKYFRRFMYIICSDTGELRDQLAKSPQKILASAFSQFYSQTEAAGNQSSSVEDEGLTGAITEGFTGQSSSNMATGSDSYFNGLKLISTLVKLMPEWLCNNRVVFDTLLLAWKSPSRIDRLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPNLKKIILNHFLNIFQSKHYGQDHLVVAMQILILPMLAHSFQNGQSWEVVDPSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVVQESENPSQIGDMLSPVIGGDPKRSSDVPTFGDDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLYQRVQDLIQKHLAAVTTPQISLEPSNANSIISFALFVLNALAEVQKNFIDPFIGLLLRVLQRLARDMGSSAGNHVRQGQRPEQDSSVNSRPTVDPMVISNMKTVLKLISERVMASSEFRRSMGQILQALLSEKGTDPSVLLCILDMIKAWIEDDYRLASSTGSVSSLNPKEIIAYLQKLSVVDRKSFPPSVQEEWDAKYLQLLYSLCGDTAKYQMALRQEYFHKVERQYMLGLRAKDPEMRKRFFKLYHDYVGKTLFARLQFIIQTQDWEAVSDVFWLKQGLDLILAILVENEPITLAANSARVPALMTSGPVSDRMIMPQQAPDAQESLDGTSLSFDSLTTRHAQFLNEASKLVVADVMAPLRELAFADPNVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMMHMNEAKCSESLAELYRLLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLADFGKGVENYEILLDCLWKAPDWTYMKENVIPKAQVEETPKLRLIQSFFTLHDKGTNGVGEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGANSNHHNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNDCENANVAYSNAITLFKHLPKAWISWGNYCDMVFKETKDEIWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTQNEPAGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRIAQQRMQQAMLANNAANNLSEGNTRTSNLGGGNMTSDNQVHQATQSGGAAVSHDGGNLQGQESDRSNVEGGTSAGHDQGQPSSTGADGSQMPARRNNGLGWVTSAASAFDAAKDIMEALRSKHTNLANELEVLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNVLQSNVEDRFPAILKLEEESKILRDFHVVDIELPGQYFTDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRQRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQNDFHPAYDPNGMIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMINPLDFQQKVINNVEHVITRIKLISPHYLADEVNYFFKLDFCFSGYIIFSDFSHAYFPSWPLTFKMIMLSLLILSLLSYCYLERPLMHKVKYSASRGVSLACS >ONIVA07G24070.2 pep chromosome:AWHD00000000:7:22170215:22191373:-1 gene:ONIVA07G24070 transcript:ONIVA07G24070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSSNMEQHSQKLLEPDLPVQVRLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSNFTAPQGTENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVTIYRNFPNTVTHFFDNPNVSANIAAAVPNQHLDPTADAPGTVAVPGGGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPYLLPLMVSAISIKGPDKVPPHLKTPFVELKGAQVKELLVGLKQVLNTEYRRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPQGRILDAFVGKFRTLKRTIPQLLEEGEEGKEHQNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIRTLVLGMKTIIWSITHAHWPRPQQQSQQSSNLSVQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDEERDILQCFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSSLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFVAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRSMFRALNIAKFDSLMRDLIPSLQPCLNMLLSMLDGPTSEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSDVILALWSHLRPPPYTWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVSAVMQGNSMEAFYRKQALQFIRVCLDSLLNLRENVPGEGVSPGVLGTLLISSLDPSRRRNDASDMKTKTQLLAEKSVFKVLLVAIIAANSDTSLTDEKDDFVVDLCRHFAMLFHIDSSSSSQSGYVQPVGSSLSSSIGSRSRNNSSSNLRELDPLIFMDSLVEVLSSENRQHAKAALSALNTFAETLLFLARMKHTGMLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVMGLGALVGKVSVDTLCIFQVRVVRGLIHVLKRLPMHANKEQEETNHVLTQVLRVVNNADEANSEHRRQSFQGVVEFLAVELFNPNTSIVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRSLRSKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKMMNAKIIMTWNKLRTACIELLCTAMAWGDLKAPNHSDLRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAHTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLAKFLNRYAVEAVDYFLARLSHPKYFRRFMYIICSDTGELRDQLAKSPQKILASAFSQFYSQTEAAGNQSSSVEDEGLTGAITEGFTGQSSSNMATGSDSYFNGLKLISTLVKLMPEWLCNNRVVFDTLLLAWKSPSRIDRLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPNLKKIILNHFLNIFQSKHYGQDHLVVAMQILILPMLAHSFQNGQSWEVVDPSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVVQESENPSQIGDMLSPVIGGDPKRSSDVPTFGDDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLYQRVQDLIQKHLAAVTTPQISLEPSNANSIISFALFVLNALAEVQKNFIDPFIGLLLRVLQRLARDMGSSAGNHVRQGQRPEQDSSVNSRPTVDPMVISNMKTVLKLISERVMASSEFRRSMGQILQALLSEKGTDPSVLLCILDMIKAWIEDDYRLASSTGSVSSLNPKEIIAYLQKLSVVDRKSFPPSVQEEWDAKYLQLLYSLCGDTAKYQMALRQEYFHKVERQYMLGLRAKDPEMRKRFFKLYHDYVGKTLFARLQFIIQTQDWEAVSDVFWLKQGLDLILAILVENEPITLAANSARVPALMTSGPVSDRMIMPQQAPDAQESLDGTSLSFDSLTTRHAQFLNEASKLVVADVMAPLRELAFADPNVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMMHMNEAKCSESLAELYRLLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLADFGKGVENYEILLDCLWKAPDWTYMKENVIPKAQVEETPKLRLIQSFFTLHDKGTNGVGEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGANSNHHNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNDCENANVAYSNAITLFKHLPKAWISWGNYCDMVFKETKDEIWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTQNEPAGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRIAQQRMQQAMLANNAANNLSEGNTRTSNLGGGNMTSDNQVHQATQSGGAAVSHDGGNLQGQESDRSNVEGGTSAGHDQGQPSSTGADGSQMPARRNNGLGWVTSAASAFDAAKDIMEALRSKHTNLANELEVLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNVLQSNVEDRFPAILKLEEESKILRDFHVVDIELPGQYFTDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRQRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQNDFHPAYDPNGMIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMINPLDFQQKVINNVEHVITRIKLISPHYLADEVNYFFKLDFCFSGYIIFSDFSHAYFPSWPLTFKMIMLSLLILSLLSYCYLERPLMHKVKYSASRGVSLACS >ONIVA07G24060.1 pep chromosome:AWHD00000000:7:22165135:22166970:-1 gene:ONIVA07G24060 transcript:ONIVA07G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRISLVCFLVLASSLLHCARSDGSDSQLLKGINSYRASLKVPALSENKNAACLAEQLAQQFKDQPCTNTTGANTVPGTEQQFPDYPKYLDHCHLNASVTGDGQVMPACVPGLVPDVVLTNYTKSQYNQYLNDTKFSGVGIANEGDWVVVVLSTSSGSGDYSPAPPGSNWAPSVHPFNQLILLLVGSWLPVCIQLVIIGEPMQLNNDLHAL >ONIVA07G24050.1 pep chromosome:AWHD00000000:7:22163169:22164692:1 gene:ONIVA07G24050 transcript:ONIVA07G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDEAMSTLTKAARSLLAVPQRETFITSLCLKLYLMGNYSRDKGFLLSEAIDGGIVKDLDLAILNEKEPEDCDDKDMFCQARVLKAFAGAFPRVLHFLTRHSSYSLDIIRLRNCDAGSNAVWKIDAPNSKLRHSGAFVVQLLEIRLEEVHWEIWFCSYAPLSFGFVPSLRELFLACGAQLGFEGFMLSEVLQGTKDLHTLTIDFQGEKLWVQPEQKQFCPAFNNLKTLSILCVYVEFDLPGNMYAWWTKWMKREGRISMEIEHILHGRYPSFLAPENGD >ONIVA07G24040.1 pep chromosome:AWHD00000000:7:22148583:22148852:-1 gene:ONIVA07G24040 transcript:ONIVA07G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRRAVEADSATKRRRARRPDPFFFLLTANKGSPPTAVACGEKGKAHSSLNFLRPLAPPRPSLRRLPALHPACRRPSFVPLHSADLL >ONIVA07G24030.1 pep chromosome:AWHD00000000:7:22141905:22155158:1 gene:ONIVA07G24030 transcript:ONIVA07G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHILSRPFDLLGAHICEFSAQFRIFPDALTLPPDLLPRCTASSLLPLSWHLQRLAGVSPSFTLPKPTTTATSSCCQSYTLACAVPAISFTPFANHRLPRMRIVREHHPLPAQSYLSHHSIGALPLLLSVPWLTERKKTKQGEKNRRKKKRPMTCGPHFYKNMTTISRVKGDESSKPYRRLPSQEKKHTAITQVRRKKKGSGLRARRRFVAESASTARRTTAIVTGVVFSLSSSSSSPSTPSSQLASRARSGEVVVGGLGEGVEANVAGEVEEGTERVAGECVVGVEGEEVATKRSRTRMRSVMAAGNDNPPTPLHPRQHPDLPARAPGVGILFGVRCGALTTACMYLVWYVLTGADAAPSPYGVEDEEAPSPPQFPTQHTPRLFPLPTPAASPSAAAMRIIRPIPRLPRRSNAATSPAPMHRLISSPSFMASAAASWWSPLLARGGPVRRVSRFPWEPRNITTISRVKGDESYRRLPSQEKNHTAITQGMKTIDTRGTILEVRAGDEKSNKDAASSEVLYVKYDILGQSAKHDGCDAEDRRSETEEHVGGIVVSEEDEVLDPEEYTVNNILPKSRHRDGSIYMDIMDTPWKKEFHIADRNETQLEAMKFSNPTNCVIRRNGTCMSHYHRCMLQILSLELAKITLDGGSVELYGYIAVRDDLDPLLNYIVNCSRDDPIIVEQGSLINIEGPKRGIDMRDYALIEYDMRIKTGKQEKDDLQLIDGASMIGPAGLWNRPETICIPGDYAEATVEILISEVQSSFNLLLGCLTSNLDKEIRLFDGVISESRGLKRSVVAVRRDSFIDLKFEVGAFPSSFDQHYVSFKEKIHGYDTQEIKTDFALISVKVVALVAVATQRRCSSPKPVTKPIQVST >ONIVA07G24030.2 pep chromosome:AWHD00000000:7:22141905:22155546:1 gene:ONIVA07G24030 transcript:ONIVA07G24030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHILSRPFDLLGAHICEFSAQFRIFPDALTLPPDLLPRCTASSLLPLSWHLQRLAGAGGHHCLLVEDPSVVFPWEPRNITTISRVKGDESYRRLPSQEKNHTAITQGMKTIDTRGTILEVRAGDEKSNKDAASSEVLYVKYDILGQSAKHDGCDAEDRRSETEEHVGGIVVSEEDEVLDPEEYTVNNILPKSRHRDGSIYMDIMDTPWKKEFHIADRNETQLEAMKFSNPTNCVIRRNGTCMSHYHRCMLQILSLELAKITLDGGSVELYGYIAVRDDLDPLLNYIVNCSRDDPIIVEQGSLINIEGPKRGIDMRDYALIEYDMRIKTGKQEKDDLQLIDGASMIGPAGLWNRPETICIPGDYAEATVEILISEVQSSFNLLLGCLTSNLDKEIRLFDGVISESRGLKRSVVAVRRDSFIDLKFEVGAFPSSFDQHYVSFKEKIHGYDTQEIKTDFALISVKVVALVAVATQRRCSSPKPLQSHASASTDETAATADLSPTEGTTTIACSETL >ONIVA07G24030.3 pep chromosome:AWHD00000000:7:22155550:22156184:1 gene:ONIVA07G24030 transcript:ONIVA07G24030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAANCEPKPSRTIVGCWLLVVRDRRSGGDPLHSSCPAEAAPLAVDEEGNRDLTLSETRSAPSECGRNKPAADAELVMALPDVEVACSVASGCSVDATSGGGGASAQSIGELVDGGLGVAHEPVDDLAGLVVEPNGSVPVCAARRMRRSPLRVPTVVPLQGSIASCLLAALPLPRPPPSPRLPPDLSHLSAKQWENR >ONIVA07G24020.1 pep chromosome:AWHD00000000:7:22138080:22140907:1 gene:ONIVA07G24020 transcript:ONIVA07G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNGHTFQAEEGDRLSNLPDDILLDILARLNISTVAKTSALSTRWRHLPWQLTKLDLDVAEFLHAPVFNSITTVHMDQAMSALTKAATSLLSVPQRDTSIRNLGLKLYMMDSYSSNIGSVLSQAIEAGIVKELDLAVLHEKRHIDCNDDDMLHQARAVKVFAGVFPRVIHCITRLSLYNVRLDGDIHHIIFDCCTQLDYLSLEHCDDGSRAVWKINAPNSKLRHLELAVCFFGRLDLVCLPKFEYIYWEIWFTPYAPLSFRSVPSLRELRLSCPAQSDFQGFKLSKVLQGVPNLHTLTIDFQGEKLWMQPEQKQICPAFNNLKKLSILCIHVEFDLLWTIILLEAAPSVELLCIDTWEHVCLVNKEDEDGRKLVYGETTHPSWEISEFTGTRNWQLKELQFTGFRPLKQQLVFLKAIMEQARNLQTVILKEEEPCEDCEALGTPLSCIKDHDFPKSKDEQDNVVEQLREKISSDSQIIFQCL >ONIVA07G24020.2 pep chromosome:AWHD00000000:7:22138080:22140907:1 gene:ONIVA07G24020 transcript:ONIVA07G24020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNGHTFQAEEGDRLSNLPDDILLDILARLNISTVAKTSALSTRWRHLPWQLTKLDLDVAEFLHAPVFNSITTVHMDQAMSALTKAATSLLSVPQRDTSIRNLGLKLYMMDSYSSNIGSVLSQAIEAGIVKELDLAVLHEKRHIDCNDDDMLHQARAVKVFAGVFPRVIHCITRLSLYNVRLDGDIHHIIFDCCTQLDYLSLEHCDDGSRAVWKINAPNSKLRHLELAVCFFGRLDLVCLPKFEYIYWEIWFTPYAPLSFRSVPSLRELRLSCPAQSDFQGFKLSKVLQGVPNLHTLTIDFQGEKLWMQPEQKQICPAFNNLKKLSILCIHVEFDLLWTIILLEAAPSVELLCIDTWEHVCLVNKEDEDGRKLVYGETTHPSWEISEFTGTRNWQLKELQFTGFRPLKQQLVFLKAIMEQARNLQTVILKEEEPCEDCEALGTPLSCIKDHDFPKSKDEQDNVVEQLREKISSDSQIIFQCL >ONIVA07G24010.1 pep chromosome:AWHD00000000:7:22119346:22125008:1 gene:ONIVA07G24010 transcript:ONIVA07G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCEHHVPYTLLGALLSGGGPHAAACGGAAFLRDYAERGTNALLWAALLAVTWLLVLRLAALLRLWALGARLPGPPAFPADPGLAAGDITGYLSKLHGSYGPVVRLWLGPSQLLVSVKDSRVIKELLTKAEDKLPLTGKTYNLACGKLGLFISSFEKVKSRRESLKSFLDEKLSVGASGSSFKIIQIVLDRIDSIMARDFLDCRYFSQHMAFNIVGSALFGDAFFDWSDASAYEELMMTVAKDACFWASYAVPPFWKPDYRRYRTLCAQLKILTQGIVAKSRNQNGVLSLIDLSSSQRSERMIKDPCRGVSLLDGVISSRCLNEAAEGPLSSEEICGNIMGLMLHGISTCANLIGNILTRLALYPNLQCQLHSEIVSGHSESSELKIDDVLRMKFLLATVCESARLLPAGPLLQRCSLQQDVNLNSSITIPAGAILVIPLHLVQMEASTWGNDACQFNPNRFLKKDINFEEILAAAHKGSNGINLFTDECDKTQSFLPFGSGSRACVGQKFAVLGIAMLIASLLRSYEVQPHPALSKEMESLVDSNSLHHIPNPKIILKKRSI >ONIVA07G24000.1 pep chromosome:AWHD00000000:7:22107681:22112207:-1 gene:ONIVA07G24000 transcript:ONIVA07G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAGGPVAVAAASAAAAPALGISPDMYPTEDDLAYEEEILREPFKLKGWWRYLVARAAAPFAKRAVIYERALKALPGSYKLWHAYLRERLDHARPHPISHHAYASLNNTFERALATMHKMPRVWVLYLTSLLDQRLLTRARRAFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQYDPSHAEDFIDFLISANRWQEAADRLAAVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRTLYEKARDVFEEGVASVMTVQEFSVVFEAYTQFEQSMLAAKLEAAEEEGAGSEGEEEAGRKNGMDKLSKKFLADCWLNDEDDTDLRLARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPTRQVATYVEAVKTVDPMKAAGKPHTLWVAFAKMYEKHNRLDSAEEIFKKATQVNYKAVDHLASIWCEWAEMELRHNNFDKAIELMRQATAEPSVEVKRRAAAEGDEPVQLKVHKSLKLWSFYVDLEESLGTLESTRAVYERILDLRIATPQIVLNYAYLLEENKYFEDAFKVYERGVKIFKYPHVKDIWVTYLTKFVRRYQRSKLERARELFDEAVKQAPPQEKKVLYLQYAKLEEDYGLAKRAMNVYDEAVRAVPNSEKMSMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVMTMCMKFAELERNLGEIDRARAIYVHASNYADPNSHPEFWNKWNEFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLKRAGVPEDEMANLERQLAPGPSTAPPVPSTATAPANRMMNFVSAGVQAQAESSSRQQQAAAANNEDIELPDESDEEEDDVQIAEKSVPAAVFGELGKRAAENREEESSGAQENEQLGALERIKRRRQ >ONIVA07G23990.1 pep chromosome:AWHD00000000:7:22104215:22109254:1 gene:ONIVA07G23990 transcript:ONIVA07G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4U5] MHPYSLKSSKGAPFPPRPILVFLIAIFGFYVCYISFNQITLEYRSEENIGEVQAEIHCRKPRLPHEELRYVHFPKPESYSRGECSCNPVRFFVLVSMQRSGSGWFETLLNSHPNISSNGEIFNRVDRRENISSILQTLDKLYNLDWFTSAAKNECTAAFGLKWMLNQGFMDHHDDIASYFNKKGVSVIFLFRRNTLRRLISVLANDYDRDAKQLNGTHKSHVHSKEEAEILAKFKPVLDVSNLIPNIRNAEKYIRDCLDHFNTTRHMILYYEDIVRNRNALFQVQEFLGVPVRKLVSRQVKIHTSPLPGLVSNWDDVSNKLNGTQYAHFLDGADYVR >ONIVA07G23990.2 pep chromosome:AWHD00000000:7:22103934:22109254:1 gene:ONIVA07G23990 transcript:ONIVA07G23990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4U5] MHPYSLKSSKGAPFPPRPILVFLIAIFGFYVCYISFNQITLEYRSEENIGEVQAEIHCRKPRLPHEELRYVHFPKPESYSRGECSCNPVRFFVLVSMQRSGSGWFETLLNSHPNISSNGEIFNRVDRRENISSILQTLDKLYNLDWFTSAAKNECTAAFGLKWMLNQGFMDHHDDIASYFNKKGVSVIFLFRRNTLRRLISVLANDYDRDAKQLNGTHKSHVHSKEEAEILAKFKPVLDVSNLIPNIRNAEKYIRDCLDHFNTTRHMILYYEDIVRNRNALFQVQEFLGVPVRKLVSRQVKIHTSPLPGLVSNWDDVSNKLNGTQYAHFLDGADYVR >ONIVA07G23990.3 pep chromosome:AWHD00000000:7:22103934:22109254:1 gene:ONIVA07G23990 transcript:ONIVA07G23990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4U5] MHPYSLKSSKGAPFPPRPILVFLIAIFGFYVCYISFNQITLEYRSEENIGEVQAEIHCRKPRLPHEELRYVHFPKPESYSRGECSCNPVRFFVLVSMQRSGSGWFETLLNSHPNISSNGEIFNRVDRRENISSILQTLDKLYNLDWFTSAAKNECTAAFGLKWMLNQGFMDHHDDIASYFNKKGVSVIFLFRRNTLRRLISVLANDYDRDAKQLNGTHKSHVHSKEEAEILAKFKPVLDVSNLIPNIRNAEKYIRDCLDHFNTTRHMILYYEDIVRNRNALFQVQEFLGVPVRKLVSRQVKIHTSPLPGLVSNWDDVSNKLNGTQYAHFLDGADYVR >ONIVA07G23980.1 pep chromosome:AWHD00000000:7:22098159:22102214:1 gene:ONIVA07G23980 transcript:ONIVA07G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDLLAPFADLPFPPGDDFPDFPTLGDDAFALEDFDLDDLDLDFDVDLFPPDAPPPVTTSSSSSAAGSPEAGTSSAGDGGSKNEESADSSSPSRSESDGGGGGSGKDGKDDEAKRRARLVRNRESAHQSRQRKKQYVEELEGKVKVMQATIADLTARISCVTAENAALKQQLSGTAGADAAAPPPPMPMYPAVYPLPMPWIHPAYAMRGSQVPLVPIPRLKTQLPASTPEPPAKKARKTKKVAGVSLLGLLFLMMVCGCLVPAVNRMYGAAYTGEGAAIVPSHHGRILAVEGPQNSVSNGVDPKVPQNGSETLPALLYLPRNGKHVKINGNLVIKSIVASEKASSRLSNYGGKGSGNQEKEETSLAIPGYVAPLEAGEVMDSAKGMNELMALAPGDGSIYREDDGMLPQWFSEAMSGPMLNSGMCTEVFQFDLSPTTADANGIVPVYSGSVTNTSQNYTENLPSGPVQKVKNRRISYSEAIPLRGSISNDTDHFKAPPKNHSQSHAGRKPVSSVVVSVLADPREESDRDGEGRISSNSLSRIFVVVLIDSVKYVTYSCVLPFKSHSPHL >ONIVA07G23970.1 pep chromosome:AWHD00000000:7:22095015:22097411:-1 gene:ONIVA07G23970 transcript:ONIVA07G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP12 protein-related [Source:Projected from Arabidopsis thaliana (AT5G40660) TAIR;Acc:AT5G40660] MAATLAGRRLLLQRSAAAAGGRWRLLGTAAEASSSPGGREEAGGGGGDEIYLKKPAAAAAVTTRDETSVAMPMSFMTGSVVGKRFYREATVRRADDGNGWSVMLDYRTLKSPAKRPLKLQSRTLAMAIAAEWEYQEADGIRPFTMPLMKLACTALERVPLTRKKIIDNLMKKFHQDLVFCRSPDDNELTVGVYQRQKEKIDPILDWVNTEFGFKPVVYTSFFGGKQDEGLANAVETVLKNTTDFELASIDAMAAAAHSLVIPLAIFRGKLGIEQAIELIRLEEDHQVDRWGLVEGGHDVDIADLKVQMSSAVVFLLLSWQL >ONIVA07G23960.1 pep chromosome:AWHD00000000:7:22093632:22094252:1 gene:ONIVA07G23960 transcript:ONIVA07G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4U2] MATWYSKASLIAAAIFLVISLLSSATFANGGRSGRRLVRSYDEPCKKMTLYFHDILYDYSNSTTNSTSAAAAKPTALATAVSPNGTFFGEVVVFDDPMTEGTRALPPPSLRETAAARAQGVYLYDSKEVYDAWFAFSVVFNSTGRRGTLNLMGADLMSEKTRDISVVGGTGDFFMSRGVATLRTDAVEGLVYFRLQMDIKLYECYI >ONIVA07G23950.1 pep chromosome:AWHD00000000:7:22090380:22090976:1 gene:ONIVA07G23950 transcript:ONIVA07G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4U1] MASLTIVVAAIFLLSLTSASVVHGRSSRRRFVRSYDEPCMEMRLYLHDILYDYSNSTSNSTSAAATKPTALATAVPSTGGTFFGQVVVFNDPMTEGRALPPSLEETAVRAQGVYLYNSKEAFNAWFAFSIVFNSTGRRGTLNLMGADIIAEKTRDISVVGGTGDFFMSRGVATLRTDAFEGFTYFRLQMDIKLYECYV >ONIVA07G23940.1 pep chromosome:AWHD00000000:7:22086102:22086359:-1 gene:ONIVA07G23940 transcript:ONIVA07G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGAVAAARPGGGARLGAATVAQRRDAAGGGGGGPAAGSGTWQGGDGSGGAPPGSGIPTAGSDSRMARVVMAGVAGDGRTAHV >ONIVA07G23930.1 pep chromosome:AWHD00000000:7:22082069:22083145:-1 gene:ONIVA07G23930 transcript:ONIVA07G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDVAAGGESNRRPPPPPPMPLGLRLQLIGLSAAIDAVERRDGTVNRALYSVLVEHLMSVRADPSPDAATGAVRSFDFTIDAARGLWARVFAPAAAAPAATPMPVMVYYHGGGFALFSPAVAPFDGVCRRLCGDVGVVVVSVNYRLAPEHRYPAAYDDGVDALRFLDGNGIPGLDGDDVPVDLASCFLAGESAGGNIVHHVANRWAATWQPTAKNLRLAGIIPVQPYFGGKERTPSELALDGVAPVVNLRRSDFSWKAFLPVGADRDHPAAHVTDENAELAEAFPPAMVVIGGFDPLKDWQWRYVDVLRRKGKAVEVAEFPDAFHGFYGFPELADAGKVLQDMKVFVQSNRAARATA >ONIVA07G23920.1 pep chromosome:AWHD00000000:7:22079147:22080187:-1 gene:ONIVA07G23920 transcript:ONIVA07G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHGRRRRVALPWPMRLRLCVFEAAIDATQRRDGSVNRFLFSLFDRRAPADPRPDAAGVSSTDITVDASRGLWARVFYSPSPSPRPVVVYFHGGGFTLFSAASRAYDALCVAAAAAVVVSVDYRLAPEHRAPAAYDDGEAVLRYLGATGLPDHVGPVDVSTCFVVGDSAGGNIAHHVAQRWTATATTTTTTIDNPVVHLAGEILIQPCFSGEERTESERALDGVAPVLNARRSDLSWKAFLPVGADRNHPAAHVVTGDDDDAELNEAFPPAMVVVGGLDPLQDWDRRYAAMLRRKGKAARVVEFPEAIHAFYFFPEFLADDHRKLVGEIRAFVEECIASKQPTA >ONIVA07G23910.1 pep chromosome:AWHD00000000:7:22073156:22075056:-1 gene:ONIVA07G23910 transcript:ONIVA07G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEKEQETAGDELRRPEPPALPWTVRLQLFALVTAVDLVQRGDGTVNRFLFSLADRQSAAAARPDANGVRSGDVTVDAARGLWARVFSPASSGAVESPPLPVVVYFHGGGFALLTAASSQYDALCRRLCRELRAVVVSVNYRLAPEHRYPAAYDDGMDVLRHLGTVGLPAEVAAAVPVDLTRCFLVGDSAGGNIAHHVAHRWAAATTSSSRRVRLAGVVLLQPFFGGEERTEAELRLDGVGPVVSMARADWCWRAFLPEGTDRNHPAAHVTGESAELAEAFPPAMVVVGGYDTLQDWQRRYAGMLRRKGKAVQVVEYPAAIHSFYVFPELADSGELIKEMKAFMERNKPPNGHT >ONIVA07G23900.1 pep chromosome:AWHD00000000:7:22071695:22071952:-1 gene:ONIVA07G23900 transcript:ONIVA07G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEVSNAGEEKKKEEKKLRKWRMPQEQIDLILSWSPEPVRPPRYDVDIGGLQISDALKERLRRVDAEDAVAKREMDRVRVYGS >ONIVA07G23890.1 pep chromosome:AWHD00000000:7:22065690:22071502:1 gene:ONIVA07G23890 transcript:ONIVA07G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDEQLHLHHELAGVGELRERVEAMDGVRVLHHPHRLPLLAQRRHVPRLPSLEQVEPADHHHRRRERLRQLDALAAAHARRRVVPGGALRQELPPEVVRPRQRQREARLVEPHLLLRPLLAAEEGRYQHGAGEPERRGRGRRRRSPALRHVVRDVPARADPAGDAAAERVTTTGSFAAAVFVGGQNTRAQRPREASMVTSTERTPAASGRGLAAAWRSPSQASSQKRKKQDRVSRVRPGGADSRRQERAQVVETKGRGVGGHGGGRPRRRRAVAEGRGRGAPGGSRGRRGPGDAYCGPWRDQNPPGGDERKRERRRL >ONIVA07G23890.2 pep chromosome:AWHD00000000:7:22065690:22075115:1 gene:ONIVA07G23890 transcript:ONIVA07G23890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDEQLHLHHELAGVGELRERVEAMDGVRVLHHPHRLPLLAQRRHVPRLPSLEQVEPADHHHRRRERLRQLDALAAAHARRRVVPGGALRQELPPEVVRPRQRQREARLVEPHLLLRPLLAAEEGRYQHGAGEPERRGRGRRRRSPALRHVVRDVPARADPAGDAAAERVADAAARGGGGGGPSVRDVVGDVAAGAVADEEAAGEVDGDGGGDFGGEADGAEVAEHVHAVVVGGGVAVLGGEAVVDGDDDGAELAAEPAAQGVVLAGGGGEEREAAAVEVDDDGERRGLHGAAARRGEHARPQPAGGVDGDVAGADAVGVRARRGGALAVGEGEEEAVDGAIAALHEVDGGDESEELEPHRPRQRRRLGPPELVAGRFLLLLVPHFAGFCASQCQCVEDTIYR >ONIVA07G23880.1 pep chromosome:AWHD00000000:7:22065669:22066535:-1 gene:ONIVA07G23880 transcript:ONIVA07G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVDRSRRSSSSPPPLPWTVRVQLAALSAAHRSDGSARRLLFYLGDLHAAASPRPDAAGVRSVDVTIDASRGLWARVFCPPTNTAAAKLPVVVYFHGGGFVLFSAASRPYDALCRRISRGVGAGGNIAHHVAQRWASSPSSPPASLRLAGAVLISPFFGGEERTEEEVGLDKASLSLSLARTDYFWREFLPEGATRDHAAARVCGGERVELAEAFPPAMVVIGGFDLLKGWQARYVAALREKGKAVRVVEYPDAIHGFHAFPELADSGKLVVEMKLFVQEHSSNSMA >ONIVA07G23870.1 pep chromosome:AWHD00000000:7:22062503:22063309:1 gene:ONIVA07G23870 transcript:ONIVA07G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRSPSLPCAVRVQAAGFALGHRRDGSVRRLFFSLLDIHVRAKRRRPDAAGVRSVDVTIDASRGLWARVFSPSPTKGEALPVVVFFHGGGFVLFSAASFYYDRLCRRICRELRAVVVSVNYRLAPAHRFPAAYDDGLAALRYLEANGLPEAAAVDLSSCFLAGDSAGGNMVHHVAHVCGGGGGEHDVEVAEAFPAAMVAIGGFDLLKGWQARYVEALRGKGKAVRVVEYPGAIHGFCLFPELADSGELVEEMKLFVQEHRTKRVQ >ONIVA07G23860.1 pep chromosome:AWHD00000000:7:22038880:22044371:-1 gene:ONIVA07G23860 transcript:ONIVA07G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKVNWSVVGWIFANAAFGDVLAMGLYFYGLRTTSATYSSIFMNLIPIATFLMAIVLRAEKLALGNWSGKLMLLGVLCVGGTMVVNLVKGKMLHIWPTNLLKSHTQAPANPTGPRHDMVVGTLWLCGSCLSYAIYFIVQARLVKVFPSTYLMTVLTSLLGSLQAFVVGVFLVHDRSEWRLKWDLQLLTVIYSGVFNTGLAFLLITWVIRRSGPIYPSMFNSLSLILTMVLDSLLLGTNIYLGSILGTVLVVLGLYAFLWGKGKELKLAATVAAQKEQQGGVILNTQKIQGYAELHNCGLAHLKSSALRCAVGLGIPNAIDRCGGVATISDIITQTGLHATKLTYLRRLMRVLTVCGIFDQSSSSSAVGEIQTVYKLNPTSRLLVQDDNSSALLLLFARPDTTRSCCYHTLRDGARHVSVEFDHNAMSHACIADSNLVMEIVLKEAHGIFHGLSSLIDVGGGHGAAAVAIAKVFPHITCSVLDLEQVISKAPTSQLVKYIVGDMFEFIPTADAILLKAVLNSWDDNSCIKILQQCKRAIPTRQAGGKILILNVVIGHGTPDNTTKEAQVLTDMYMMRGSGFEREEKEWESVFLRAGLSDYNIMPIIGPVSIIEVLP >ONIVA07G23850.1 pep chromosome:AWHD00000000:7:22032870:22033322:-1 gene:ONIVA07G23850 transcript:ONIVA07G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTESNSDGPVVPDGRLIRGAPMNKHSTFWKGADILAFNSYLWWMTGEKIQILKGADEDMSKDIVEMEAEEAYRLVLHQVTRWLERNVDPKSARVFFVTTSPTHAGAGGGDCYNQTSP >ONIVA07G23840.1 pep chromosome:AWHD00000000:7:22029912:22031334:-1 gene:ONIVA07G23840 transcript:ONIVA07G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDNGGLFDVIVLRGVRRGGARVLLLEQFDLLHHRGSSHGESRGIRATYPQARYPPMVRLAARLWDDAQRDAGYRVLTPTPQLDMGPRGDPDLRAAIENGGAAEVASGSASWPWSGVFRLPQGWTAATSELGGVIKATKAVAMFQSLAVKHGAVLRDRMEVVDVAKQGKGLIVVKTSSDEEFHGAKCIITVGAWASKLVKSVAGIDLPVQPLDTLICYWKVRPGREHELTPEAGFPAFCCYGDTCIYSTPSMEFPGLIKVCMHGGAPCDPDRRDWCATGDALVDPVARWIDELMPGHVDTAGGPVIRQSCMYSMTPDVDFIIDFVGGELGKDVVVGAGISGHGFKMGPAVGRVLAEMAMDGEARTAAEAGVEVRHFRIGRFMDSPDGNLAENKVKS >ONIVA07G23830.1 pep chromosome:AWHD00000000:7:22014272:22017148:-1 gene:ONIVA07G23830 transcript:ONIVA07G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTVGKWIRGTGQAMDRLGSTIQGGLRVEEQLSRHRTIMNIFEKEPRIHKDVFVAPSAAVIGDVEIGHGSSIWYGSILRGDVNSIHIGAGTNIQDNSLVHVSKANISGKVLPTIIGNSVTIGHSAVLHACIVEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEMTFIAQSATNYINLAQVHAAENAKTFDEIELEKMLRKKFAHKDEEYDSMLGVIREIPPELILPDNILPNKAQKAVAH >ONIVA07G23820.1 pep chromosome:AWHD00000000:7:22007192:22013127:1 gene:ONIVA07G23820 transcript:ONIVA07G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTIDFGRKTHNDVLWSGPLRPANFIRNKFPTYKKSLNGIVIKLTDDQEMPSLKEAVAKETADLLDRSQRLSVRELALKFEKGLNTATLLSNEVKWRQAALLERDILLKNLKNVLESLRSRVAGKHKDEIEESLSMVDILTVQLSKREDELLQQKAEVAKIATSLKLASEDARRIVEEERSNARIEIDNARAAVQKVEQLVKDQEIDPQINGKQDEDELKEKAQEARRVKMLHCPSKAMDIENEIEVLREQLAEKSSNCVHLLKELHLHQSYEKNDVSSYELEGLESLGSMLRIVSQSDGFVDLSRSTIQWFRVQPEGNKKEIISGAIKQAYAPEPHDVGRYLQAEINHCGEISVVKTAGPVDPGLVDYVETLLRNPETEYNVVVLQVNGIKQLTDSIHVLSVGKLRMRLAKGKTVIAKEFYSSTMQLCGMRGGGDAAPQSMYWQPRRDLSLVLGFETARERNSAIMLARRFAIDCNIILAGPGDKTHW >ONIVA07G23820.2 pep chromosome:AWHD00000000:7:22007188:22008677:1 gene:ONIVA07G23820 transcript:ONIVA07G23820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPHFPGWAHPRVATAIQSSHPLAREERRRGERKERRERGEPAPKSLSLSPQICATFSSPNQPRRRGCSCRGIPASERHLSRLPAGFRSGSSLVEGAV >ONIVA07G23810.1 pep chromosome:AWHD00000000:7:21993041:22002814:1 gene:ONIVA07G23810 transcript:ONIVA07G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEITQETAAPAAAAADVDIISDLTNDVLLIILGLLPAARDVVRTSALSTRWRHLWTRTPALRFDVGPRSWRLGGCGATDEAAAAARLVEAVDSVLARREGGADVKDLEINLVHHCSDGGDRPPMCHRYAAMFVSSPSPSRHREEPRCDLLAVPLDVVTPARVAAWLRFAERRVAGSFSLRLPALSRRIAAAGSPPAVLPCSERLHTMRLALGGAALAVPDAVAAAAYRSLTDLLLSKVSLDDDGDDLRLCSLLSSASCPNLRRLELSDIDGLINLRLDAAATLEVLRLIGLRHMEQMEVDAPGLRELVLKRIYAHLMAAASASSVRIAAPGLQALTYEYDYACWGGAFSMVLDGERTAKLQVLSHGVPDKDNNGAAAWFLQHCAAANRLDVVLKMEFDEEKMEEDIEDLIKDIPEVLNITDLRITVAISTGTVDTHAIGASVTKLIAKFRRIEYLSIDIDKKAGDCTNFDCKCEQHKGWSNEMIPLDHLRMVDIRDFLPFNDQIELVCALIASAPALEKMIVALHESYEETREHTNNMEAYLCIPSCGGRWTPCAWNGGKFGSATKYEWKPCKRKRSEEGVEKLPSTLVSEYVDLISGLSDDVLLHILGFLPAASDVARTSVLSTRWRHLWALSPALRFAVGPLSDADVAAARRLVPAVDSVLARRDAAGGADADVKDLEISFPHDRAAADDIITPARVAAWLRFAERRVTGAFTLELPFELDMFGRSRRLLPHAELPRSARFTAMRLALGGADLAVPPAIAAARAAAFPALTDVHLSHARLDVGARGDDLRLCNLLSSSCCPRLRRLRLSHVGGLPTLRLDAAATLEELHLRHLTGTWCLQVDSPGLRSFAVEETRLYFGPEPEATTRIAAPRLDALTYRYSDPGGETNLRFNGGRVEELRLASHAVHGGTNNAVAAWFLRQCAAAADRLDVELTVPVGKLIIDHEDIMKDIPELLNVTDLRINVEASMSPHRAGASLAKLIAKCCKAECLSINISDQGRNQCVNSMCICDQPEGWEKETISLECLRIVEISSFLPCKDQIRLMHLLLASAPVLERMTVTIYKQYEDAKDLDLGILGFRGRWSYSGPEYHRSGFSVRYEWTPSKRRKVIEMNQEEGKL >ONIVA07G23800.1 pep chromosome:AWHD00000000:7:21989773:21993023:1 gene:ONIVA07G23800 transcript:ONIVA07G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTMDTHAVAMSTDTMDTHAIGASTTKLIAKFSRIEYLSIDIDKKAGDCTNFDCKCEQYKGWNNKMIPLERLRIADIRNFLPFDDQIELNVQGKVQFTVVRILPCKACVTGCHISETPKPTLYAIMGPNLNDRSRVPLLY >ONIVA07G23790.1 pep chromosome:AWHD00000000:7:21973075:21976834:-1 gene:ONIVA07G23790 transcript:ONIVA07G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNTRLLDATSAAAAVDLISELNDDVLLRILGLLPSARDVARTAVLSKWWRHLCGIAPCLRFDVSSSARCHDAARRLIAGVDACLARRRGGGAANAADVDVLEISLVYTDTSESKHGTFKRVPVGKRFYFHDHRHEADVTPSLVDSWLRFAERHVKGSFVLEVPLNAAAAAALDRAEETSTAEQDYQEEESPAPELEEEEVVELPATSRAEAMSITLGGANTAVPIAGAGAFHALTDFTLCRAKINAYDDGDLRLGHLLSSPCCPRLRRLELRHVAGLIRLHLDAADALEELRLVYLPDLLRLHVDAPGLRLLRVGHCDNLPCSGDPAPVRVSAPRLEALAWDRLECIACREVIATATVRHLKKIYLFSHGGDDDETNVAAVRLLKSCTAESSEDTMKEIPYLPTVTNLRLDVNTWWKGHTIGATLARIIAKCNNIKHLSIRVIGLFKVCSDPQCNCSQPEGWEDQKIRLEHLKKVEFKGFIPCDDRKSLLRLLLENAPVLEKINVKLNNTYILQSPEEVREYTNFDVPGYQGLWTPYEWKYRECGIFDGATKYEWTRETN >ONIVA07G23780.1 pep chromosome:AWHD00000000:7:21959077:21989755:1 gene:ONIVA07G23780 transcript:ONIVA07G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMAISTPRLEDLACDGMVHPDRLRFASAATVRRIKKLFLFTHGSGNDGDDANAAGALWLLNNCVALDHLDVDLFFCHDTMLDVYCYDLEDESEGMDVGGHSINPLCICGQPEDWEDQMIPLEHLKNIEIRGFAPFKDDRKRLVRLLFLNSPALERMTVELDIIKRQEDNIEVVFDIADYGGLSSKSKKMNNTMISELADDVLLHILGFLPSARDVARATALSRRWRHLFGVAPCLRFDVGPGSFAEGGDEDEEEERDAARCHDAARRLIAGVDACLARRRAAAADVDVDVLEISLVYTDKSKHGLYKREAVGRRFYFHEHRHEADVTPSLVGSWLRFAERHVKGSFVLELPLNAAAAAKLDREEAAAAEQEDEAEEEQAEVAPPVEEEEDEEVSPAEGDEDLPPVAIAVDEEDVAVAPVVLEEAVDEELELPPPAVVVEEDDDDDDDEVVELPSTARAVAMSLALGGAITTVPIAAAGAFRALTDFTLCRAKINAYDNDDLRLSHLLSSPCCPRLRRLELLHVAGLIRLHLDAAGTLEELRLVYLPDLLRLHVDAPGLRLLRVGHCNHLPLSDDPALARVSAPRLESLAWDGLEHIACREVVVATETVRHLKKVSLLSHGGEGDQTNVAAVRLLKSCTAVDHLELRLTVRVSPSEDTVKDIPHLPTVSKLRLDVSTWWHGHTIGATLARIIERCNNIEHISIRVRGLWEVCSDAQCNCSQPKGWEDQKIQLDNLKRVEFKGFIPFDDRKSLLRLLLKNAPALEKITVKFDPSYIFETPKETRNKIDFGMPGYQGSWTPLFIGFIPCNDRKCFLRLLLKNPLALEKITRVGRSVGLGLVGKSGKHGKSLQEGTAHPHPPCCVGNLEEKEPSDLRAQGVHGAIPNCQNQEARLWILASAKRLRELLPHLMRRHKKMTTSPAAAAAVDLISELSDDVLLHILSFLPAASDVARTTVLSRRWRHLWSAAPCLRFAVEPAPPPSTSSRRADTGSRLVAAVDSVLARRAIDGADVETLKISFVFSSSPNDGGGGGRSAFDGDWHDHADDIESEHVAAWLRFAERHVTGDFRLDVPTLPRQRRQAELPSSARFKSMRLWLAYAELTVPTAAAAAADRAFAALTDVRLSTVKVDDVNGRRLCDLFSSPACCPRLRRLTLEDIVGLTELRLRLDAAHAATLETLKLLGLPDVKAVEVDAPGLRELAVTGVSLDEMAAPPMISAPRLRRLTFESDETCRGGGLMVLDGARMEIDILSHGFSGVADNRDLAWFLQHCAAADRLDVRLLVPLGEDLMNDIPEFPNITELRITAQVSIPTHTIGASIAKFVAKCSRIEYLSIDINKQGGDSHPGCKCEEPKDWKDMNLSLDHLRSIDIHHFRPSQDQMQLVSLLLANASSLQRMTIALHKRYVEAIEREDGKEVYLHIPCYGGHWTPCAWGSSSRQSKFRSATKYEWAPCNVNHEKGMKLVKKLCLLQRSKRRRLPPLPRSAPPAPSTMTSARRRIPLPPATTSDDAHASASLRGRRLLSSPPPPAASSRCPLRRRDDDDDDGADSSERRGALASGAPWRATGPLAKMTTTPPPPADDMISGLTEDLLLIILGFLPAARDVVRTSALSTRWRNLWTLAPALRFDIGQRNLRLTDDAEAAAAGRLVAAVDSVLARRDVDAGAPDVKDLEINFVFRSVVGDDQTTAAGRYYRSSSRFGLSRHRRRLPMDVAPASVAAWLRFAECRVAGAFSLELPALSSSRKVVADLPCSERLRTMRLTLGGATVGVPVAGAGADAYRSLADLLLSNVCLDDGDGVRLCNLLSSTSCPSLRRLELSVITGLTILRLDAAATLEELRLIGLRDMEQMEVDAPGLRDLTVKGITVHLMAAAAARIAAPRLQALAYEYRRSWDDCQLMVLDGERTAKLRVLSHGDPAGKHNNGAAAWKNSMRVLRM >ONIVA07G23780.2 pep chromosome:AWHD00000000:7:21959077:21989755:1 gene:ONIVA07G23780 transcript:ONIVA07G23780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMAISTPRLEDLACDGMVHPDRLRFASAATVRRIKKLFLFTHGSGNDGDDANAAGALWLLNNCVALDHLDVDLFFCHDTMLDVYCYDLEDESEGMDVGGHSINPLCICGQPEDWEDQMIPLEHLKNIEIRGFAPFKDDRKRLVRLLFLNSPALERMTVELDIIKRQEDNIEVVFDIADYGGLSSKSKKMNNTMISELADDVLLHILGFLPSARDVARATALSRRWRHLFGVAPCLRFDVGPGSFAEGGDEDEEEERDAARCHDAARRLIAGVDACLARRRAAAADVDVDVLEISLVYTDKSKHGLYKREAVGRRFYFHEHRHEADVTPSLVGSWLRFAERHVKGSFVLELPLNAAAAAKLDREEAAAAEQEDEAEEEQAEVAPPVEEEEDEEVSPAEGDEDLPPVAIAVDEEDVAVAPVVLEEAVDEELELPPPAVVVEEDDDDDDDEVVELPSTARAVAMSLALGGAITTVPIAAAGAFRALTDFTLCRAKINAYDNDDLRLSHLLSSPCCPRLRRLELLHVAGLIRLHLDAAGTLEELRLVYLPDLLRLHVDAPGLRLLRVGHCNHLPLSDDPALARVSAPRLESLAWDGLEHIACREVVVATETVRHLKKVSLLSHGGEGDQTNVAAVRLLKSCTAVDHLELRLTVRVSPSEDTVKDIPHLPTVSKLRLDVSTWWHGHTIGATLARIIERCNNIEHISIRVRGLWEVCSDAQCNCSQPKGWEDQKIQLDNLKRVEFKGFIPFDDRKSLLRLLLKNAPALEKITVKFDPSYIFETPKETRNKIDFGMPGYQGSWTPLFIGFIPCNDRKCFLRLLLKNPLALEKITRVGRSVGLGLVGKSGKHGKSLQEGTAHPHPPCCVGNLEEKEPSDLRAQGVHGAIPNCQNQEARLWILASAKRLRELLPHLMRRHKKMTTSPAAAAAVDLISELSDDVLLHILSFLPAASDVARTTVLSRRWRHLWSAAPCLRFAVEPAPPPSTSSRRADTGSRLVAAVDSVLARRAIDGADVETLKISFVFSSSPNDGGGGGRSAFDGDWHDHADDIESEHVAAWLRFAERHVTGDFRLDVPTLPRQRRQAELPSSARFKSMRLWLAYAELTVPTAAAAAADRAFAALTDVRLSTVKVDDVNGRRLCDLFSSPACCPRLRRLTLEDIVGLTELRLRLDAAHAATLETLKLLGLPDVKAVEVDAPGLRELAVTGVSLDEMAAPPMISAPRLRRLTFESDETCRGGGLMVLDGARMEIDILSHGFSGVADNRDLAWFLQHCAAADRLDVRLLVPLGESEDLPKLFQDLMNDIPEFPNITELRITAQVSIPTHTIGASIAKFVAKCSRIEYLSIDINKQGGDSHPGCKCEEPKDWKDMNLSLDHLRSIDIHHFRPSQDQMQLVSLLLANASSLQRMTIALHKRYVEAIEREDGKEVYLHIPCYGGHWTPCAWGSSSRQSKFRSATKYEWAPCNVNHEKGMKLVKKLCLLQRSKRRRLPPLPRSAPPAPSTMTSARRRIPLPPATTSDDAHASASLRGRRLLSSPPPPAASSRCPLRRRDDDDDDGADSSERRGALASGAPWRATGPLAKMTTTPPPPADDMISGLTEDLLLIILGFLPAARDVVRTSALSTRWRNLWTLAPALRFDIGQRNLRLTDDAEAAAAGRLVAAVDSVLARRDVDAGAPDVKDLEINFVFRSVVGDDQTTAAGRYYRSSSRFGLSRHRRRLPMDVAPASVAAWLRFAECRVAGAFSLELPALSSSRKVVADLPCSERLRTMRLTLGGATVGVPVAGAGADAYRSLADLLLSNVCLDDGDGVRLCNLLSSTSCPSLRRLELSVITGLTILRLDAAATLEELRLIGLRDMEQMEVDAPGLRDLTVKGITVHLMAAAAARIAAPRLQALAYEYRRSWDDCQLMVLDGERTAKLRVLSHGDPAGKHNNGAAAWKNSMRVLRM >ONIVA07G23770.1 pep chromosome:AWHD00000000:7:21958312:21958557:-1 gene:ONIVA07G23770 transcript:ONIVA07G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREDALETKTVIQSRRSLRKMTPKSRCSRTVKDETSHRACQTPGQLGTNARAGVANTARARQGTTMNHTPMSEETSREGL >ONIVA07G23760.1 pep chromosome:AWHD00000000:7:21955010:21958315:1 gene:ONIVA07G23760 transcript:ONIVA07G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVGGWLRFAERHVKGSFTLELPLVAPMAAAAAEARRAAWEKDNIASVTDEGVVIFVNHVAPPANDEEEEEEIDVVEAKEEVVGLPRSTRAQMMSLNLGYATVSVPATGAFRALTDFTLHHAVLDAGGGDDDLRLGHLLSSSCCPWLWRLRLEQIGGIFALCLDAAGTLEELRLRQDGSDKMVQRLVDLVKALASRHMVLICLLLHAACIFFPFPISDWVCVAHRLVDIYASPTLASTLLRLHLQTPGYNDFCNRSSSSTRLRHQALGCRCVAPPRAAVALPVVHTFTGCCSAERCPPQHFDITGGLLAAASTWSCSCVVLSNRSFAAFVIFIAVRASTTSSSALGLLPLLRALPPHLQAATVATLGRWCSYLYMATDVAVQAVGPATSPSTSSSVTHRQRCRILLDYTSLFSGNCVLLRQFSLYAVLAPRPS >ONIVA07G23750.1 pep chromosome:AWHD00000000:7:21954039:21954593:-1 gene:ONIVA07G23750 transcript:ONIVA07G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAACEGPVVTSLSLSNSPSPCANPGHYITLVTLRIAKEMRPAPPPPTRAERHGTGTVRVTRAYRLINVDEVTRALQAKKEKSRRAARSIT >ONIVA07G23740.1 pep chromosome:AWHD00000000:7:21953312:21953938:-1 gene:ONIVA07G23740 transcript:ONIVA07G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVVIQVLEICQVRCQHLNSSSPAMNKAECHNVKERAPNSMAESHTNQWTCTTEEWSFSWSLEMTDTYRLRTR >ONIVA07G23730.1 pep chromosome:AWHD00000000:7:21940146:21943026:-1 gene:ONIVA07G23730 transcript:ONIVA07G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGADMISELNDDVLLHILGFLPSARDVARATMPSKRRYYFHDHRHEADITLSRVDSWLRFAERHVKGRFTLEVPLVAPVAARTPRRGEAGTAATSVTDDDEVVVVELPRSTRAEVMSLTLGYATVSVPATGAFRALTDFTLHHAVLDAGSGGDDDLRLGHLLSSPCCPRLRRLCLRHVAGVATLRLDAAAALEELRLVHLPDLQWLDVDAPGLRLLRVGDCSRLPYSDSSAMAISAPRLEVFSCESLVDPERLEFDTARRPSGASRSSGSCRSAAAAAAAARRRTSHEDIIKDVPQLPSVTSLTIKVSSIFDGHAVGASLAKFITKFNNIQYLHIDYSVWIRIRLPSFVTSQRIGKTK >ONIVA07G23720.1 pep chromosome:AWHD00000000:7:21936914:21937363:1 gene:ONIVA07G23720 transcript:ONIVA07G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHQHHQPQPSRPAPPAVLQWLDPGRQKRMCFVLRGYRSMSREPERGGEDVVVGTVATTEEVFLGSTLEHGVDVADLDDGLKRLASVAPLLGGRRGLVPLPDQHAAEALTVDRGPPSRRRNEVAGVAATPAWCGAEGGGGSPWRGGP >ONIVA07G23710.1 pep chromosome:AWHD00000000:7:21884864:21887070:-1 gene:ONIVA07G23710 transcript:ONIVA07G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMDYWLGFFRGAGDSIFDAIDAAIAVAASDHPAALRSRRDAIAERLYTAHLVPGAPPAVPPAGGGGASADAPPAQLLLHPEGAASVPSLCSSDRAEVITDDDGAVPRRDDDPVAAETERIKAILLNDQEKSEATLLELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLVRLLIEGWKRIVDEWMSSRDAIVDHTPQSMHPSGLEQDERGLSSPSMDEGALFATPSTSIRLSEENQGSKFFDGMDDDGNTRSNGGRDNGRLYTRNQEPARRPLPPVAQQYDPDQSWKQEQSAMRQSKPQELSNGQTREQFIAAMLARPSNPESGPGRPQPRTKQHQDASPAQGRSQPMPSDKPASHHDENSVRAKLELAKNAKLELTNSAKLEVTKRKLQEGYQEFDNAKKQRTIQMVDPQNLPKQANRNWQPNGRPRNNSNFNNNRNWSR >ONIVA07G23710.2 pep chromosome:AWHD00000000:7:21884864:21887070:-1 gene:ONIVA07G23710 transcript:ONIVA07G23710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMDYWLGFFRGAGDSIFDAIDAAIAVAASDHPAALRSRRDAIAERLYTAHLVPGAPPAVPPAGGGGASADAPPAQLLLHPEGAASVPSLCSSDRAEVITDDDGAVPRRDDDPVAAETERIKAILLNDQEKSEATLLELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLVRLLIEGWKRIVDEWMSSRDAIVDHTPQSMHPSGLEQDERGLSSPSMDEGALFATPSTSIRLSELPLSFPQENQGSKFFDGMDDDGNTRSNGGRDNGRLYTRNQEPARRPLPPVAQQYDPDQSWKQEQSAMRQSKPQELSNGQTREQFIAAMLARPSNPESGPGRPQPRTKQHQDASPAQGRSQPMPSDKPASHHDENSVRAKLELAKNAKLELTNSAKLEVTKRKLQEGYQEFDNAKKQRTIQMVDPQNLPKQANRNWQPNGRPRNNSNFNNNRNWSR >ONIVA07G23700.1 pep chromosome:AWHD00000000:7:21868277:21872240:1 gene:ONIVA07G23700 transcript:ONIVA07G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein [Source:Projected from Arabidopsis thaliana (AT5G05130) TAIR;Acc:AT5G05130] MASTSRRGGGEDDDDEPYLLGFIVANAVGLQYYQGGRAITRRESVGLVREPHNPHDANAIRVDNARGEKIGHIGRRAAAALAPLLDAGHVAAAHGIVPKPASKRLYSLPCQVHLFARPPHAALVAAALAASGIDLIHVDHPEFALSESAIVQEQQTKRSRGDVDRLFSHVGKGGRARIAPMEAPRDVVVSELFEHQKAALGWLVHREESCDLPPFWEEDNDGGFKNVLTNQKTNERPPPLKGGIFADDMGLGKTLTLLSLIGRSKARNVGGKKARGAKRRKVEEAVEEESRTTLVVCPPSVFSSWVTQLEEHTKTGSLKVYLYHGERTKEKKELLKYDIVITTYSTLGQELEQEGSPVKEIEWFRVILDEAHVIKNSAARQTKAVIALNAERRWVVTGTPIQNSSFDLYPLMAFLRFQPFSIKSYWQSLIQLPLERKNNGTGLARLQSLLGAISLRRTKETESGSKSLVSIPPKTVLACYIELSAEEREYYDQMELEGKNKLREFGDRDSILRNYSTVLYFILRLRQLCNDIALCPLDLKSWLPGSGSSLEDVSKNPELLKKLASLVDDGDDFECPICLAPPAKTVITSCTHIYCQTCIMKILKSSSSRCPICRRSLCKEDLFIAPEIKHPDEDSSVNLDRPLSSKVQALLKLLRRSQSEDPLSKSVIFSQFRKMLILLEGPLKAAGFNILRLDGSMTAKKRSEVIRQFGCVGPDSPTVLLASLKAAGAGVNLTAASTVYLFDPWWNPGVEEQAMDRVHRIGQTKEVKVVRLIVKDSIEERMLELQERKKKLISGAFGRKKGGKEHKEIRVEELQMMMGMK >ONIVA07G23690.1 pep chromosome:AWHD00000000:7:21864601:21867091:1 gene:ONIVA07G23690 transcript:ONIVA07G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNW9] MPPLTGPKSGDALFGSVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >ONIVA07G23680.1 pep chromosome:AWHD00000000:7:21861274:21863612:-1 gene:ONIVA07G23680 transcript:ONIVA07G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLAY >ONIVA07G23670.1 pep chromosome:AWHD00000000:7:21848657:21850473:-1 gene:ONIVA07G23670 transcript:ONIVA07G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFPYRWSRTEHLTLRPPCHGGDPLDAHQPLPWILLDVRAYIADRRNSTTAAADLGNGHRIQITIFTAPPPLVSYICAWERWPSLISSGELIHICNVLAHGCPGSHLPLPPELINSPMSFNTSSLCRDIAIVNGLLTIVRLRTCFDSDTGCWSWDLSTWSKPVAHLGDNKGWQKGFMVDSSDITVDATCCNVQLLPKLEGRPAMAKLQVAHPTLSLTDANVAYVMGKVDASDEKAVVLAVDMANKRLQTVSVYDAERIVDDFDYSYTQSTISQYCFSAYCLLLFLACSFCLLRQNNMQVTERLIAFLQLQVFFLSLSYKCTYICVKGNLKRPLKFHAQYPHKRLGETISRSDNPMDLHEPLQLDIGFGLGTKDETEDSDNPMDLE >ONIVA07G23660.1 pep chromosome:AWHD00000000:7:21846002:21846656:-1 gene:ONIVA07G23660 transcript:ONIVA07G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRLAYNNTTKARLQKRCPHGGHDIKDAAIAHLRKMWFPPKENSPRKGESAPKRVTTPEGVAVAGPNPAASSLHPTAPPLRAGPGHLRPATRRPPHQVRPKENPDWTVSLRPPELPLLTSSRLNPTETPHKEGEEFKKGEGADWQQGRRRTAASSHEH >ONIVA07G23650.1 pep chromosome:AWHD00000000:7:21841407:21844664:-1 gene:ONIVA07G23650 transcript:ONIVA07G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREFRRMLELFPVVRSRDYCGHQAKAAHSNHGLRRQQGATKMSHLVGATKAELFCKTFEEAHKKLVWT >ONIVA07G23640.1 pep chromosome:AWHD00000000:7:21838452:21840755:1 gene:ONIVA07G23640 transcript:ONIVA07G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLIPSLNRVLVEKLVQPKKSAGGILLPETSKQLNSGKVVAVGPGERDKDGKLIPVALKEGDTVLLPEYGGLEVKLAAEKEYVNYLSIASFVSENVPFGILLGQCTFSSESMTSWAPLWTDLDRHERHQ >ONIVA07G23630.1 pep chromosome:AWHD00000000:7:21834146:21837787:-1 gene:ONIVA07G23630 transcript:ONIVA07G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G26810) TAIR;Acc:AT2G26810] MADSGSASERREEGKKGREEEEEDDVVCLDPSFFVDRSYETTTFTFGSQVLHLLCLRAASTDYDLTGQLVWPGAVLMNTYLSEHPETVKDHSIIELGSGIIKKNIEMQSCSGNANAVLTAEKLEWGNYVHISNIIEKHPSGFDLILGADIYILLSYFARGFFVFRLVNVDSYWLMYHELNMDVLVLKEAEKHGMHVKEVDGTRTTISNLEGVIYDITLK >ONIVA07G23630.2 pep chromosome:AWHD00000000:7:21834146:21837787:-1 gene:ONIVA07G23630 transcript:ONIVA07G23630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G26810) TAIR;Acc:AT2G26810] MADSGSASERREEGKKGREEEEEDDVVCLDPSFFVDRSYETTTFTFGSQVLHLLCLRAASTDYDLTGQLVWPGAVLMNTYLSEHPETVKDHSIIELGSGIIKKNIEMQSCSGNANAVLTAEKLEWGNYVHISNIIEKHPSGFDLILGADIYILLSYFATLLLSLITSMDVLVLKEAEKHGMHVKEVDGTRTTISNLEGVIYDITLK >ONIVA07G23620.1 pep chromosome:AWHD00000000:7:21820268:21824924:-1 gene:ONIVA07G23620 transcript:ONIVA07G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPSQIPEPEAEEAAAAAGVAVAGAASPGPAAAAAAKPGTPKQPKFPLKRPFPPPSPAKHIRALLARRHGSVKPNEAPIPEGGEAEVGLDKGFGFSKHFFAKYELGDEVGRGHFGYTCSAKAKKGDHKGHDVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFTSKDENSALKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNSQQVKIPLDMIIYKLMRAYISSSSLRKSALRALAKTLTANQLFYLREQFELLGPNKNGYISLQNLKTALVKNSTDAMKDSRVIDFVNTVCTLQYRKLDFEEFAASAVSVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >ONIVA07G23610.1 pep chromosome:AWHD00000000:7:21814275:21817223:-1 gene:ONIVA07G23610 transcript:ONIVA07G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYRITAGGGGGRQRMALPLPPKGALLAAVTTASVLSILCVLSFTDSLPYLGFQVRGVDKRESSRSYLYWGAAVDCPGKHCASCAGLGHQESSLRCALEEALFLDRIFVMPSRLCLNSVHNTRGIINQSDATSNKRWETSSCAMESLYDIDLISRTVPVVLDNPQSWYAIVSRSTKLGEEGDVAHAQGVSRQELKENTLYSKAFLINRTASPLAWFMECKDRTKRSSVMLPYNFLPSMPARKLRDAANKIKVILGDYDAIHVRRGDLLKNRTDRFGVERSLHPHLDRDTHPENIKRRIAKWIPRGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILEPVIDNNYQLFMVERLIMQGARKFVKTMKEFDNDLSLCDDPKKNTKVWEEAVYTDG >ONIVA07G23610.2 pep chromosome:AWHD00000000:7:21814277:21817223:-1 gene:ONIVA07G23610 transcript:ONIVA07G23610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYRITAGGGGGRQRMALPLPPKGALLAAVTTASVLSILCVLSFTDSLPYLGFQVRGVDKRESSRSYLYWGAAVDCPGKHCASCAGLGHQESSLRCALEEALFLDRIFVMPSRLCLNSVHNTRGIINQSDATSNKRWETSSCAMESLYDIDLISRTVPVVLDNPQSWYAIVSRSTKLGEEGDVAHAQGVSRQELKENTLYSKAFLINRTASPLAWFMECKDRTKRSSVMLPYNFLPSMPARKLRDAANKIKVILGDYDAIHVRRGDLLKNRTDRFGVERSLHPHLDRDTHPENIKRRIAKWIPRGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILEPVIDNNYQLFMVERLIMQGARKFVKTMKEFDNDLSLCDDPKKNTKVWEEAVYTDG >ONIVA07G23600.1 pep chromosome:AWHD00000000:7:21810326:21813640:1 gene:ONIVA07G23600 transcript:ONIVA07G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVERCGEMVVSMEMGPHGGVGGGGGAAAGKPVPAPFLTKTYQLVDDPCTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLAEIHRRKSSQPPPPPMPHQPYHHHHHHLNPFSLPPPPPAYHHHHLIQEEPATTAHCTVAGDGGEGGDFLAALSEDNRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTTTTTTPSSTAMAAAQHHLPAAASCRLMELDSPDHSPPPPLPKTPATDGGDTVKLFGVSLHGRKKRAHRDDDDGVHDQGSEV >ONIVA07G23590.1 pep chromosome:AWHD00000000:7:21798270:21805942:1 gene:ONIVA07G23590 transcript:ONIVA07G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMIGFSPSFLKAPLQFEAGRAQANFAVHRQLFVATARSSVGGIDDGMHGQMSCAAHMTGCRRPVHRSARRDGCSAGQAILLFVLFTTSFTFRLQSRSSNLAC >ONIVA07G23590.2 pep chromosome:AWHD00000000:7:21798270:21805942:1 gene:ONIVA07G23590 transcript:ONIVA07G23590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMIGFSPSFLKAPLQFEAGRAQANFAVHRQLFVATARSSVGGIDDGMHGQMSCAAHMTGCRRPVHRSARRDGCSAGQAILLFVLFTTSFTFRLQSRSSNLAC >ONIVA07G23590.3 pep chromosome:AWHD00000000:7:21798270:21805942:1 gene:ONIVA07G23590 transcript:ONIVA07G23590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMIGFSPSFLKAPLQFEAGRAQANFAVHRQLFVATARSSVGGIDDGMHGQMSCAAHMTGCRRPVHRSARRDGCSAGQAILLFVLFTTSFTFRLQSRSSNLAC >ONIVA07G23590.4 pep chromosome:AWHD00000000:7:21799731:21805942:1 gene:ONIVA07G23590 transcript:ONIVA07G23590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAHMTGCRRPVHRSARRDGCSAGQAILLPRRGEDVRARGIYQFCLDLMSLASSISLDRRLTALCQSKIDLDLATNAVGHMWRWRKVM >ONIVA07G23580.1 pep chromosome:AWHD00000000:7:21796154:21798230:1 gene:ONIVA07G23580 transcript:ONIVA07G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKSTASPLASPHALSPTAKPSAREALLHRRSPPAPRLRSNWMVRFLATLSALIWMSRNDDKSRAAVDRIKTAALSAAKGLNRSQAKHAATSAARNVPMGRRKRDQAAGRRGRRLKKAAILDVKPKVSATSSLAAHTQCQKCFQPGHWTY >ONIVA07G23570.1 pep chromosome:AWHD00000000:7:21784762:21786763:-1 gene:ONIVA07G23570 transcript:ONIVA07G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKYSSHFTINYVLKKSYPVENENTSLMLPTLENTKVAKESATKQLATDEGLTTMEHYSPAIANTIQVATNQLYHSQQVDLSKEKQPSTEFSPGQNSKRHKKVTEASTNGEENQLQQPKIADQQPSGHKEQMDQQPEKNRNHEVQLIHTNYLQAVSKIIASKSTAISITLQGKSSTDDLSKLQPTQAHVVNYMKNKLPHMHIILDGENVKNNEI >ONIVA07G23570.2 pep chromosome:AWHD00000000:7:21784762:21786763:-1 gene:ONIVA07G23570 transcript:ONIVA07G23570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKYSSHFTINYVLKKSYPVENENTSLMLPTLENTKVAKESATKQLATDEGLTTMEHYSPAIANTIQVATNQLYHSQQVDLSKEKQPSTEFSPGQNSKRHKKVTEASTNGEENQLQQPKIADQQPSGHKEQVQLIHTNYLQAVSKIIASKSTAISITLQGKSSTDDLSKLQPTQAHVVNYMKNKLPHMHIILDGENVKNNEI >ONIVA07G23560.1 pep chromosome:AWHD00000000:7:21778786:21783692:1 gene:ONIVA07G23560 transcript:ONIVA07G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylulose kinase-2 [Source:Projected from Arabidopsis thaliana (AT5G49650) TAIR;Acc:AT5G49650] MVGRSSLPEGSLFLGFDSSTQSLKATVLNNELIIVASDIVNFDSDLPHYKTEGGVYRDPADDGHIFSPTIMWVEAFELLLEKLKPKINFSKVVAISGSGQQHGSVYWKKGSHAVLSSLDPTKSLLSQLKDAFSTMDSPIWMDSSTTKQCREIESAVGGALELSKLTGSRAYERFTGPQIRKIYQTVPQVYDDTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRTWSKTVLEATAPGLEEKLGKLAPAYAVAGRVAPYFVERLQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNSCAEKSWDVFNSYLEKTPPLNGGKLGFYYKDHEILPPLPVGFHRYIVENLNDVTSNNLVEREVEEFDPPSEVRAIIEGQLLSMRGHAERFGMPNPPKRIIATGGASSNERILHSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNEEGSFVPISCMYQGNLEKTSLGAKLAVATGEGVEDKELLEKYTVLMRKRMEIERRLVEKIGRA >ONIVA07G23550.1 pep chromosome:AWHD00000000:7:21775660:21778242:1 gene:ONIVA07G23550 transcript:ONIVA07G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein [Source:Projected from Arabidopsis thaliana (AT3G12260) TAIR;Acc:AT3G12260] MAFTMRAVKVPPNSASLEEARHRVFDFFRQACRAIPSIMEIYNLDDVVTPSQLRSTIAKEIRKNQGVTNPKVIDMLLFKGMEELGNITEHAKQRHHVIGQYVVGQKGLVQDMEKDQGSSDFLKKFYTSNYS >ONIVA07G23540.1 pep chromosome:AWHD00000000:7:21772009:21774959:1 gene:ONIVA07G23540 transcript:ONIVA07G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G12270) TAIR;Acc:AT3G12270] MATREYELRPEQERLGEDREEYEDGEEEEEEEEGEEGWDDWESDGDDAGGGGGGGGLLCLFCSARFDSESSLFSHCASEHRFDFYRVVKETGMDFYGCIKLINFVRSKVAENKCWSCGQVFSSNSELCGHLHALEIPQLEGKVPWGDDVYLKPFLEDDSLLHSLSVFDDDDEDDCGMPMEKGGCSAGNGSLAETCESNLKSIINDGSDVIDRFERTCTIESTDGECSGSLAQEPSDKQLKIARASAAARGIKSVDENYFGSYSSFGIHREMLGDKVRTEAYRDALLGNPSLMNGATVLDVGCGTGILSLFAAKAGASRVIAVDGSAKMVSVATEVAKSNGFLYDENMEMQQKRDTQVITVVHTKAEELNHKIQVPSNKFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATIFGAGFGKGGTSLPFWENVYGFDMSCIGKEVTGNSARFPVVDILASEDIVTETAVLNEKPVNLSTSPFSTPTHWSQTIFTFEEPIAMAKEESAVVSSASVGTDECPAVMIRSRISIVRASEHRSIDISIETTGISSDGRKRSWPVQIFNL >ONIVA07G23530.1 pep chromosome:AWHD00000000:7:21769730:21771959:-1 gene:ONIVA07G23530 transcript:ONIVA07G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59440) TAIR;Acc:AT5G59440] MALVGLSPRRRNRGPGRAGPNSDEAGRWAGPIRGGESSRRPPLQSSKPSTPRRSPDHLAAGDLSASASLSGKGIRFGGEETCLAGKAISWSSGVKAAAGCGLGLLPQWRGVFRSVRMESGSSQGGRGALIVLEGLDRSGKSSQCARLLSFLQGKGCQAEGWRFPDRGTSVGQMISAYLANESELDDRTIHLLFSANRWEKRALMERKLLGGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPENGLIAPDLVVYLDVQPEVVDGSLPMEVVEEQLKELAMSCILECQSKQLASLAW >ONIVA07G23530.2 pep chromosome:AWHD00000000:7:21769730:21771582:-1 gene:ONIVA07G23530 transcript:ONIVA07G23530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59440) TAIR;Acc:AT5G59440] MTALACLAGKAISWSSGVKAAAGCGLGLLPQWRGVFRSVRMESGSSQGGRGALIVLEGLDRSGKSSQCARLLSFLQGKGCQAEGWRFPDRGTSVGQMISAYLANESELDDRTIHLLFSANRWEKRALMERKLLGGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPENGLIAPDLVVYLDVQPEVVDGSLPMEVVEEQLKELAMSCILECQSKQLASLAW >ONIVA07G23520.1 pep chromosome:AWHD00000000:7:21766594:21770662:1 gene:ONIVA07G23520 transcript:ONIVA07G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF6 [Source:Projected from Arabidopsis thaliana (AT3G55620) TAIR;Acc:AT3G55620] MATRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSAFESELADVIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCVACNDHVALTHPDLDKATEELIADVLGVEVFRQTIAGNILVGSYCAITNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPAAIVDDMRKSLIDSYV >ONIVA07G23510.1 pep chromosome:AWHD00000000:7:21756582:21760425:-1 gene:ONIVA07G23510 transcript:ONIVA07G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALARSTLPACNLASCMPKTAVLQI >ONIVA07G23510.2 pep chromosome:AWHD00000000:7:21757209:21760425:-1 gene:ONIVA07G23510 transcript:ONIVA07G23510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALAVLN >ONIVA07G23510.3 pep chromosome:AWHD00000000:7:21757209:21760000:-1 gene:ONIVA07G23510 transcript:ONIVA07G23510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLGGEGPSVVTVHVTGFKKFHGVAENPTEKIVGNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESAIAERENGSSAQGQIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVPIVPSDGAISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALAVLN >ONIVA07G23510.4 pep chromosome:AWHD00000000:7:21757209:21760425:-1 gene:ONIVA07G23510 transcript:ONIVA07G23510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALAVLN >ONIVA07G23510.5 pep chromosome:AWHD00000000:7:21757209:21760000:-1 gene:ONIVA07G23510 transcript:ONIVA07G23510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLGGEGPSVVTVHVTGFKKFHGVAENPTEKIVGNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESAIAERENGSSAQGQIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQTTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALAVLN >ONIVA07G23480.1 pep chromosome:AWHD00000000:7:21750371:21750559:1 gene:ONIVA07G23480 transcript:ONIVA07G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEHAEDNLTARVEAYLEQAVLRHPCEATKALKSREELLPHAEELGIVSRCVEAISIFTSG >ONIVA07G23470.1 pep chromosome:AWHD00000000:7:21744082:21749629:-1 gene:ONIVA07G23470 transcript:ONIVA07G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding METELHLAAGYCAATGVYRSGHPPQFAAAAALSFPEYILPRMLLPGRRARPAFVDASTGAALSFASLRALSLRVARALAAAGLRRGRVALLLSPNSLHFPALSLAVLSLGAVLSAANPLLTPDELARQADDAKPFLALVTGELAPKLRSIAPDVKLVLVEQLLADVAAEVDDDETLDLPAANIGRDDAALLFYSSGTTGRSKGVVSTHGNAIAMAASLERAWGGGGGGGEKPQQYDDHDEAYGCVLPMFHMFGFSSFVMGTAALGATAVVVPGRFSVEKTMAAVEEYGVTRLLVVPPMVVKMVAAAAGDGEPSRRRLRLRQVVSSGAPLQREHMARFRSCFPAVNLGQHNDNDIDKVEMPPSSTDMTFVAVAATTTEVKERSTGGGGGGGVSIGRLMPDVEAKIVDPDSGELLPPRRTGELWVRGPSTMRGYLNNEEATALALVAAAGSVSVSGGGERWLRTGDLCYVDSRGLVYVVDRVKELIKCNAYQVAPAELEDVLATHPDIHDAAVAPYPDKEAGEIPMAYVVKKQGSGHLQEDEVISFVQNKVAPYKKIRKVVFVDSIPRSPSGKILRRQLKNLLQGSILHRSRM >ONIVA07G23460.1 pep chromosome:AWHD00000000:7:21742026:21743698:1 gene:ONIVA07G23460 transcript:ONIVA07G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVAMWVACVLAMAAACQGRLRVGYYKRKCAPAEYVVRAVVGNAVRQNPGVGAGIVRMFFHDCFVQSSNEGLNPKWAQDSGEDQPITWPIALRLMRPISLREGLGMNLLPSRNFGPVARSPRKARTSSLFLALSSNFYMKMVTRINRTAAESADK >ONIVA07G23450.1 pep chromosome:AWHD00000000:7:21715101:21716526:1 gene:ONIVA07G23450 transcript:ONIVA07G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVLLTLLALVGSVTCQGYTGNASPPTPITYPSPPSLSPSTRPTYPPPSSTPPSPAPVSPSPPTTYPPPSTTPPNPAPTGPSPPAPGLRVGYYSSSCPKAEQIVKDTVKNAVYANRGIGAGLVRLFFHDCFVEV >ONIVA07G23440.1 pep chromosome:AWHD00000000:7:21705206:21711061:-1 gene:ONIVA07G23440 transcript:ONIVA07G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4M8] MAKLAVLLTLLALVGSVTCQGYTGNASPPTPITYPSPPSLSPSTSPTYPPPSSTPPSLAPVSPSPPITYPPPSPTPPSPAPASPSPPSLGLRVGYYSSSCPKAEQIVKDTVKNAVYTNRGIGAGLVRLFFHDCFVELVIKLAMASMAANKLVVFVLLALLGSSNGDGYTSPGSIAGGLTVGHYKGTCDRAEEIVRDAVKNAIRGGRGDRGVGAGLIRLFFHDCFVQGCDASVLLDPTPARAAAPEKAGIPNLSLRGFEVIDAAKAALEGECPGVVSCADVVAFAGRDAAYLLSGNKVYFDMPAGRYDGRVSLASETLPNLPPPFAGVDRLKQMFAAKGLDTDDMVTLSGAHSIGVAHCSSFSDRLPPNASDMDPELAASLQQQCSSSSSNGGASGDNTVAQDVETPDKLDNKYYRNVVSHRVLFKSDAALLASPETRSLVSSYAESQRQWEEKFAAAMVKMGGVGVKTAADGEIRRQCRFVN >ONIVA07G23430.1 pep chromosome:AWHD00000000:7:21702492:21703109:1 gene:ONIVA07G23430 transcript:ONIVA07G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4M7] MATWSNASSLIVAAVILLGLSSASVAHGRRGRRSFVSSYDEPCMEMRLYLHDIIYDYSNSTSNSTSAAATKPTPLSTAVSNPGYFFGEMVVFNDPMTEGRALPPSPAMEEETAVRAQGVYLYDKKEAPNAWFAFSVVFNSTGRRGTLNLMGADLMSEKTRDLSVVGGTGDFFMSRGVATLSTDEASADLSYFRVKVDIKLYECYV >ONIVA07G23420.1 pep chromosome:AWHD00000000:7:21699499:21700979:1 gene:ONIVA07G23420 transcript:ONIVA07G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTLGDVVPDLELDTTHGKIRLHDFVGDAYAIIFSHPADFTPVCTTELSEMAGYAGEFDKRGVKLLGFSCDDVESHKDWIKDIEAYKPGRRVGFPIVADPDREAIRQLNMIDADEKDTAGGELPNRALHIVGPDKKVKLSFLFPACTGRNMAEVLRATDALLTAARHRVATPVNWKPGERVVIPPGVSDEEAKARFPAGFETAQLPSNKCYLRFTQVD >ONIVA07G23410.1 pep chromosome:AWHD00000000:7:21696629:21698115:1 gene:ONIVA07G23410 transcript:ONIVA07G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGDGGGQHDSQRYSRRRGCHETSLQCLRLDTFALQQLISFFFVSAPSAKAAQSFVAMPGLTIGDTVPNLELDSTHGKIRIHDFVGDTYVILFSHPGDFTPVCTTELAAMAGYAKEFDKRGVKLLGISCDDVQSHKDWIKDIEAYKPGNRVTYPIMADPSREAIKQLNMVDPDEKDSNGGHLPSRALHIVGPDKKVKLSFLYPSCVGRNMDEVVRAVDALQTAAKHAVATPVNWKPGERVVIPPGVSDDEAKEKFPQGFDTADLPSGKGYLRFTKVG >ONIVA07G23400.1 pep chromosome:AWHD00000000:7:21691031:21693010:1 gene:ONIVA07G23400 transcript:ONIVA07G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tolB protein-related [Source:Projected from Arabidopsis thaliana (AT4G01870) TAIR;Acc:AT4G01870] MEPTGTIVFATVGVTNFGFDVFSAAVPLPPMEEDAERRHTDGVSVNFNAQFVDDGGEEVAFVSERGGAAGLFRCRPGPEQRAEPLPTVEGSLFHDRPTVRGGRLYFVSAHEQPPAPFRSWAAVYATEIGSKETVRVSPPGVVDMSPAVSDSGELVAVASYGDRPWAFDFRVLETEVAVFRAADPARRVVVVGRGGWPTWHGEGTVFFHRVADDGWWSVFRVDVSPETLEPTGGERRVTPPGLHCFTPAAVGRGGGGRWIAVATRRKGRAQRHVELFDLETESFSPLTERLNPELHHYNPFFSPSGDRVGYHRFRGAGARGDSVVPYLQPVRSPVSSLRMLRVYGTFPSFSPDAAHLAMNGDFFKTPGVTILRSDGAKRWVLTREPNLFYTSWSPAESGVIFTSMGPIFETTKATVRIARLEFDAGELTTGRDEVAATLKVLTRPEAGNDAFPAVSPCGKWVVFRSGRSGHKNLYIVDAAHGEDVGAGEGTIRRLTDGEWIDTMPSWSPDGSLIAFSSNRHDPTNAAVFSIYLVRPDGSGLRRVHVAGPAGSAAADRERINHVCFSPDSRWLLFTANFGGVMAEPISAPNQFQPYGDLYVCRLDGSGLVRLTCNAYENGTPAWGPASSPAAGLESLSLGPGAGDESLGEFDEPLWLTCDV >ONIVA07G23390.1 pep chromosome:AWHD00000000:7:21676542:21687683:-1 gene:ONIVA07G23390 transcript:ONIVA07G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phragmoplast orienting kinesin 1 [Source:Projected from Arabidopsis thaliana (AT3G17360) TAIR;Acc:AT3G17360] MAGHGAGGRRASTSRAAARRVEAETNENDDLAAAAAPSSSSVVGGPAALPFMLPPRSPLAAIADPGRNPRSAPVTPKSLAGTPRAAACAAGSGVRDRTSSIGTSSRRVFDLRDLAAAEVPAEVPHFELDEDPAFWKDRNVQVLIRIRPINAAESTANGQRRCLVQDSSKTLSWTGHPDTMFTFDHVACETISQEKLFGVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELSKLDNELSKDSGLTPRIFEYLFARIKEEEERRREDKLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECYVSSVKDVMMLLLQGVANRKMAATNMNSESSRSHSVFTCVIESRWERDSMTHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGKNRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSSETLSTLKFAQRAKLIQNNAKVNEDASGDVMSLQRQIEDLKDQLTCLKKQQNMPGSPSFKLLKSGYGNEFNSLHGVDDQSACDLELLKQKVIHLEDVLVGSLRREKSAETEIRKLECEIKRLNRLVNLMESDTRHLRTTVKLRDEKIRRLELLADNQISSDGYLMDENAAMFQEIQLLQEQINDNSQLTQFALENKRLIEQVRMLEKFSKQGEREMLLTEISLLRNHFLHILEQKYARPPKNMEAQGDVTIKELETCRKELDACLENNVLLAREVNKLRCELKQYQKCGTGQVAPEVVESSVIPGTNQKQHDQAGWCGSYLASIDVERQFVDVGITTDITESLELTPPSEIYSENQDSPSHLHFSDPEICDLKNSTKVPEYNSSHNLLDKGIILSGQLENECGLNSVQNDEISLVKENAEKMYGHDEISVYRQNEILHSSEQLLQDELTHIKSLNEGLKEKLIIMAEESTKLSEIIVAKDVEIATLSEEWESAIVDLTSFLTDGCSSLDDAYQNIDNMISSFPYNNHSVSEHVEKAMKVSIEKEKIISRLQIELQAAQRMGREVKEKLHILRGATLAITEAQLLDNDESQEALKLLDLMRQKDCTVQELNDNVKQKSCLFAEATEGYSRHECHLPDNVGTVAEISHNRDGSEVNQANTHYQAKLEDVLHLVEDKSNKVLALFSNFEEAQETMEEAETMLSSLLKANEELKLEKDSCRQAVELLFAERTSLINDLQELEASNSFTAQRYDKLHEQVNGCVAEMTNLATIIKESFHQVQRVTTVELFAFCSEVISFGQDLRKWIYESRSYLVNMGALLEEQGNSYAEQIRRTNSSTYAGVSQQVESCSRQLGGMNGHIFPGTYMVVDGKEKASVHVVPFGSNAELEDTNVERTFDMDYASLRREFDRKSDVAEGLSFDLKLLQESTSQAKDMKDKADEISDALVSVQRELEKKTSAMESILKQQKVLEEELAENGAALLILRSELEHSESLSSELFKENNNLKVMLEEEAMMISETKAMLEDKSKVIEGLEHEILLLNSSEEGRLLSQIKELNDNLKIISIDKGNLEEEILKLTDKLEMAVALAEENEAASIEARQAAEISKVYAEEKEEEVRILERSVEELESTITVLEEEVCNLKEEVRSYQIYKKSEAEQAQEMFIVDSTSKCDATEQLCPGRCQLGKRLKAEIIAHQDARRKIECLTMEASCKDEEVRQYKEHIAELVLHSEAQSLLFQEKYQEMEHMISKQKFGLHESNSDTGHTKFEKPSGRTRGSGSPFRCISSIVQQMNSEKDQEISVARQRIEELEGLICMLTSRLAAVDSMTHDIIRELLGVKLDMTNYANMLDQEELQKLLMASQQQIEQSKAKDVELDMLKEQFDHLIQERDSLFDDMDQRKADLLESQLLIEQLEQREQMLEAQNGILQMEKDNLQQRIMEMDEEIQLLVGSNQAIAETTFQMGSNHRSANSEFSRRLAQSDMLLSHARHEHSRLQAAKSSRTRRGSHQ >ONIVA07G23390.2 pep chromosome:AWHD00000000:7:21676542:21687683:-1 gene:ONIVA07G23390 transcript:ONIVA07G23390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phragmoplast orienting kinesin 1 [Source:Projected from Arabidopsis thaliana (AT3G17360) TAIR;Acc:AT3G17360] MAGHGAGGRRASTSRAAARRVEAETNENDDLAAAAAPSSSSVVGGPAALPFMLPPRSPLAAIADPGRNPRSAPVTPKSLAGTPRAAACAAGSGVRDRTSSIGTSSRRVFDLRDLAAAEVPAEVPHFELDEDPAFWKDRNVQVLIRIRPINAAESTANGQRRCLVQDSSKTLSWTGHPDTMFTFDHVACETISQEKLFGVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELSKLDNELSKDSGLTPRIFEYLFARIKEEEERRREDKLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECYVSSVKDGVANRKMAATNMNSESSRSHSVFTCVIESRWERDSMTHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGKNRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSSETLSTLKFAQRAKLIQNNAKVNEDASGDVMSLQRQIEDLKDQLTCLKKQQNMPGSPSFKLLKSGYGNEFNSLHGVDDQSACDLELLKQKVIHLEDVLVGSLRREKSAETEIRKLECEIKRLNRLVNLMESDTRHLRTTVKLRDEKIRRLELLADNQISSDGYLMDENAAMFQEIQLLQEQINDNSQLTQFALENKRLIEQVRMLEKFSKQGEREMLLTEISLLRNHFLHILEQKYARPPKNMEAQGDVTIKELETCRKELDACLENNVLLAREVNKLRCELKQYQKCGTGQVAPEVVESSVIPGTNQKQHDQAGWCGSYLASIDVERQFVDVGITTDITESLELTPPSEIYSENQDSPSHLHFSDPEICDLKNSTKVPEYNSSHNLLDKGIILSGQLENECGLNSVQNDEISLVKENAEKMYGHDEISVYRQNEILHSSEQLLQDELTHIKSLNEGLKEKLIIMAEESTKLSEIIVAKDVEIATLSEEWESAIVDLTSFLTDGCSSLDDAYQNIDNMISSFPYNNHSVSEHVEKAMKVSIEKEKIISRLQIELQAAQRMGREVKEKLHILRGATLAITEAQLLDNDESQEALKLLDLMRQKDCTVQELNDNVKQKSCLFAEATEGYSRHECHLPDNVGTVAEISHNRDGSEVNQANTHYQAKLEDVLHLVEDKSNKVLALFSNFEEAQETMEEAETMLSSLLKANEELKLEKDSCRQAVELLFAERTSLINDLQELEASNSFTAQRYDKLHEQVNGCVAEMTNLATIIKESFHQVQRVTTVELFAFCSEVISFGQDLRKWIYESRSYLVNMGALLEEQGNSYAEQIRRTNSSTYAGVSQQVESCSRQLGGMNGHIFPGTYMVVDGKEKASVHVVPFGSNAELEDTNVERTFDMDYASLRREFDRKSDVAEGLSFDLKLLQESTSQAKDMKDKADEISDALVSVQRELEKKTSAMESILKQQKVLEEELAENGAALLILRSELEHSESLSSELFKENNNLKVMLEEEAMMISETKAMLEDKSKVIEGLEHEILLLNSSEEGRLLSQIKELNDNLKIISIDKGNLEEEILKLTDKLEMAVALAEENEAASIEARQAAEISKVYAEEKEEEVRILERSVEELESTITVLEEEVCNLKEEVRSYQIYKKSEAEQAQEMFIVDSTSKCDATEQLCPGRCQLGKRLKAEIIAHQDARRKIECLTMEASCKDEEVRQYKEHIAELVLHSEAQSLLFQEKYQEMEHMISKQKFGLHESNSDTGHTKFEKPSGRTRGSGSPFRCISSIVQQMNSEKDQEISVARQRIEELEGLICMLTSRLAAVDSMTHDIIRELLGVKLDMTNYANMLDQEELQKLLMASQQQIEQSKAKDVELDMLKEQFDHLIQERDSLFDDMDQRKADLLESQLLIEQLEQREQMLEAQNGILQMEKDNLQQRIMEMDEEIQLLVGSNQAIAETTFQMGSNHRSANSEFSRRLAQSDMLLSHARHEHSRLQAAKSSRTRRGSHQ >ONIVA07G23380.1 pep chromosome:AWHD00000000:7:21671455:21675467:1 gene:ONIVA07G23380 transcript:ONIVA07G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4M1] MASLMIVAAIFLLSLTSASVVHGRSSRRRFVRSYDEPCMEMRLYLHDILYDYSNSTTNSTSAAATKPTALSTAVSSPGYFFGRVVVFNDPMTEGRALPPSLEETAVRAQGLYLLDKKDDFSAWFAFSIVFNSTARRGTLNLMGADPNAATRDISVVGGTGDFLMSRGVATLRTDAIEGFKYFRASLIVAAIFLVAGLLLSSATVVHGGGGGRRFVRSYNEPCKEMRLYFHDILYDFSNSTANSTSAVVASPAALLRAAKNTTIFGTTVVFNDPMTEGTSALPPSLEETAVRAQGLYLYDGKAASTLNAWLAFSVVFNSTARRGTLSLMGADPSTATRDISVVGGTGDFFMSRGVATLRADAVEGFTYFRLLMDIKLYECYI >ONIVA07G23370.1 pep chromosome:AWHD00000000:7:21655918:21662734:-1 gene:ONIVA07G23370 transcript:ONIVA07G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09450) TAIR;Acc:AT1G09450] MAREAAAPGRTAGKASAPSGHHHAGARGGGGDLWSEIMASGGGGGAARIGVVYGRRRAAQEASRPRGAVDVRGVAAGEKRASFEPSKRTSWNRSLSIRGRESILFAPGTKIQPQQNPCRAQKRPPKPGNRVKRTFGGPPDLKKEKAYFEEIDAFELMEESPSPKNFGTWARGMEQNYIVHDLSAILERWKISKLAKFAASRPLFDIMETPAVPSVRSDCSLHDSCRTPEKDRGSRTNPMRRTIPSGLSDKTSIFTSFSELKIKEEPDDSSIPSLSAEAMTAFAQLLLVCNQSAPITLAEAFSTYCTPGSIVKLGEGTFGEAFRAGSTVCKVVPFDGTSLVNGETQKKAEEVLEEVLLCLTLNNLRADRGDNVKENSCHGFIETKDFWVCQGPYDPSLICAWEDWDAKCCSENDHPNDFSNEQCYIVFVQADGGRDLEKFALLDYNEACSLLVQVTAALAVAESACEFEHRDLHWGNILLDRDETQDKNHTMGFTLQGKNMCTRTFGLNVSIIDFTLSRINTGDAILFLDLSTDPALFEGPKRDKQAETYRKMKQITNDYWEGSFPKTNVVWLIYLVDIVLQKRYSTFTSKDDRELRAFKKRLAKYDSAKDCLTDSFFSDLLLSDEDAQPSTA >ONIVA07G23360.1 pep chromosome:AWHD00000000:7:21652793:21656524:1 gene:ONIVA07G23360 transcript:ONIVA07G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQVDGEIQDIFRALQNGFQKMDKIKDSNRQSKQLEDLTGKMRECKRLIKEFDRILKEDEKKNSADVNKQLNDKKQLMIKELNSYVTLRKTYQSSLGNKRIELFDTGNDQVAEDNTVQMASEMSNQQLMDAGRKQMDQTDQVIERSKKVVAQTVEVGSQTAAALSQQTEQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKIVNPHNKNIRDIPGLAPPAQNRKLLSIESFGSL >ONIVA07G23350.1 pep chromosome:AWHD00000000:7:21633137:21636471:-1 gene:ONIVA07G23350 transcript:ONIVA07G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLQKELPIRIARRALELESLPFGLSRKPAILKVILGEWSVNKVRDWYLDSFRDIRYFPEVRNRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKNEQYRTRKIPTAFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTELSPIQVAQAASEDARSICLREYGSAPEIDIYGDPTFTFPYVSSHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPDMDCPSEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >ONIVA07G23350.2 pep chromosome:AWHD00000000:7:21633137:21636471:-1 gene:ONIVA07G23350 transcript:ONIVA07G23350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLQKELPIRIARRALELESLPFGLSRKPAILKVRDWYLDSFRDIRYFPEVRNRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKNEQYRTRKIPTAFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTELSPIQVAQAASEDARSICLREYGSAPEIDIYGDPTFTFPYVSSHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPDMDCPSEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >ONIVA07G23340.1 pep chromosome:AWHD00000000:7:21626203:21629391:-1 gene:ONIVA07G23340 transcript:ONIVA07G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYATAKTSVWWDIENCQVPRACDPNLIAQNMSSALAAAGYTGPVSISAYGDIGRIGNAVTHALSSTGISLNHVPAGIKDASDKKILVDMLFWAIDNPPPANYLLISGDRDFSNALHKLTMRRYNILLAQPPNVSQALTAAAKSVWLWKSLVAGEPPLAESPYVSSTASGNMVELDKSKNINSDSSDTTTDTNPQNGLQSDHQKGGNGKADKQSKVKQPRRNQSDNVSKPASNEENSVEVADNSKEYTTDHPTQSSMPSSSSSSSSESQDGAKVNQSSKPKVHPFSLPKKPAKSAHCHQKTAPHDLFNSKKSGASAESAANNGTPDSGNGGGYTPKHHKHHTSQSPRPQNSITHPHSGSGIFHHTLSSQRTNSCSPSAGHNGAPTAPLQSWSSAPPYHSPPVNYPDLNQINISGYPRGIHDNQGVNMNYHPNHSGSPHNVQPAYNSYRPPTPPSMPSNMQNAGQWGVNPGYPQPSSDPQGLIRNILGALEVLKTEKIPPIEQHISDCIRYGEANLPNFDVKKALELAIQHQAIVLKMLGPMSFYLGKNQNLWKCVNIMDINAKYPKDTFDAVHRFISSTSGSSAIKNSRSKYQAAIVLKNQCLKHLALGEVLQILYIIINTKKWFVPHSSGWQPLSFNIIVVDATTGAGGKA >ONIVA07G23330.1 pep chromosome:AWHD00000000:7:21622840:21624624:1 gene:ONIVA07G23330 transcript:ONIVA07G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62190) TAIR;Acc:AT3G62190] MRSSEAMELLGLPAHTRPSPSEVKAAYRRMVMESHPDRVPTHQKSQAESKFKQISEAYSCLKDGRRYGSRMEVHVMRSGVPTGFGRSNKTLVKAPFLLIIFAAVSLGGFSASRAYQRQKAMCSSQNPFLP >ONIVA07G23320.1 pep chromosome:AWHD00000000:7:21620190:21622052:-1 gene:ONIVA07G23320 transcript:ONIVA07G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLGGISLSLDSMRGKRGAAAAATAALPEQQAVHARLEKSARPDVLYATGVMRAYLRASLPLQSLRLLAGLLPRAPRLLATSFSLSVALQACGSAAAPVSVGAALHARALRSGFAAADLFVRTALVEMYAKAGRVDLARDAFDEAPLRDVFLCNVMLAAYVSRSEVAEARKVFDGMPMRDLVSWNTMIHGYAMRGEVGLAREIFDGTEDRDAFSWSSMISAYAKSRRSKEALELWREMHAASIIPDCITLVSVVSACSDLGALAVGAEVHRFVESNRIELDLKLGTALIDMYAKCGDIESAQRMFDRMPEKDVQTWSSMIIGLANHGLGHESLSLFSKMISEGMKLNGVTFVGVLIACTHVGLVSEGKKYFRSMNEVHGIEPTVEHYGCMVDLLGRSGHVEEARQLIRSMTFEPDTIIWRALLGACRIHKNVEIAEEAMAKLRVLDPLGDGHYVLLSNIYAQANSWEGVAEMRKTIRRDNIQRIPGRSSIEWDEKIHEFVSGDRLHPRSKEIYRVLEEMMDRLKQAGYKPMTGLVLQDIDEQSKERSLAEHSEKLAIAFALLTTPARSTIRITKNLRACEDCHSAMKLISLVYDRKLIVRDRNRFHHFSEGQCSCKDYW >ONIVA07G23310.1 pep chromosome:AWHD00000000:7:21615611:21620196:1 gene:ONIVA07G23310 transcript:ONIVA07G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4L3] MQKTSMNPVTDPVAAATGRVAIRQLPIKTQPNSQSLTPFLQLPPKPPPNLLFSSPLASVELRHRARARRRRRPSHPRRAPAMRMGKYEMGRALGEGHFGKVKLARHADTGAAFAIKILDRQRILAMKIDEQIKREIATLKLLKHPNVVRLHEVSASKTKIYMVLEYVNGGELFDKIALKGKLSEKEGRKLFQQLMDAVSYCHEKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQNQRKDGLLHTTCGSPNYIAPEVLLNRGYDGSLSDIWSCGVILYVMLTGNLPFDDQNTVVLYQKILKGDARIPKWLSPGAQDILRKILDPNPITRLDITGIRAHEWFRQDYTPAMPFDDDDDNNISDGNLHMTENQDIETSPAISQINAFQLIGMSSCLDLSGFFEKEDVSERKIRFVSNYSPTSLFEKIESTVTEKGFQVQKNSGKLKVIQVCKEPANPRGHGNLLISAEVFEINESLYVVELKRSSGDCSLYRQLCASLSEDLGICKRQQLLKKDSMRQDLCRYNSSF >ONIVA07G23300.1 pep chromosome:AWHD00000000:7:21608240:21608848:-1 gene:ONIVA07G23300 transcript:ONIVA07G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4L2] MATWSKPSLIAAVIFLLVSLLSSASVANGGRSGGRRLVRSYDEPCKEMRLYLHDILYDYSNSTSNSTSAAATKPTALSAAVSNPGFFFGRMVVFNDPVTEGRALPPSLEETVVRAQGLYLYDGKVVFDAWFAFTVVFNSTAHQGTLNLMGADPNTEMRDISVVGGTGDFFMSRGVATLRTDAFEGFTYFRLQMDIKLYECYV >ONIVA07G23290.1 pep chromosome:AWHD00000000:7:21599177:21608217:-1 gene:ONIVA07G23290 transcript:ONIVA07G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4L1] MGLVHGVSDRRRHLPRQPHLGERRPWPPEQQQEELRRRAMHGDEDILYDFSNSTSNSTSAAATKPTPLSTAVSSPGYFFGRVVVFNDPMTEGRALPPSLEETARDKKDDFSAWFAFSVVFNSTAHRGTLNLMGANPNAATRDISVVGGTGDFFMSRGVATLRTDAIEGFKYFRAGW >ONIVA07G23290.2 pep chromosome:AWHD00000000:7:21597666:21599168:-1 gene:ONIVA07G23290 transcript:ONIVA07G23290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4L1] MASVIVAAACIFLSCSASVAHGRRFVRSSSYAEPCMEMRLYLHDILYDYSNSTSNSTSAAATKPTALATAVPLTSASVVHGRSSRRRFVRSYDEPCMEMRLYLHDILYDYSNSTSNSTSAAATKPTALATAVPSTGGTFFGQVVVFNDPMTEGRALPPSLEETAVRAQGVYLYNSKEAFNAWFAFSIVFNSTGRRGTLNLMGADIIAEKTRDISVVGGTGDFFMSRGVATLRTDAFEGFTYFRLQMDIKLYECYIV >ONIVA07G23280.1 pep chromosome:AWHD00000000:7:21591333:21593886:1 gene:ONIVA07G23280 transcript:ONIVA07G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein [Source:Projected from Arabidopsis thaliana (AT4G01790) TAIR;Acc:AT4G01790] MSKKRNKSQLPIKAPLKDAEQGITSDYIGGDALDDLLSKLIKSVEVAKASREGLPEKIWMKRQFAVGVNDVTRVLERMPAATAATHSGHSSTEAITDKALCRAPPVLLQVVLVAADCNPKWLTKHIPTLASTRQVPVLCLKDNKESSLRLGQVVNVRTALAIGVKARDSIINKAIDEVLKTANLVAKEP >ONIVA07G23270.1 pep chromosome:AWHD00000000:7:21575628:21588089:1 gene:ONIVA07G23270 transcript:ONIVA07G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MIRWVQGRRGYYSPSSENPQIPSPARACALNFLGEEKISPWREPRPRMAGLGGGWGGGYDDDDDWGLSAEQLDQLERDAYRKLAERKASSSAASTATSPLPSAAYSPVKNSHHHPASRVSQESCFGKVESLSPSRLSQPNASGNAVNNSQGNLSKVSVHLFLHSTGVIAAKFQYHQKLVDAVHKIPKASWNGKERVWMFPHSSLSVAEEVLSTVPGIAVEVQKLDPLVKRALTASLYAGDLRDLYGKIPTDVESKLMPFQREGVRFALQHGARTLIADEMGLGKTLQAIAVASCLHDAWPVLVISPSSLRLHWASVVLPQTGGSNKAGYRLVYSNTKGDFNLDGVFNVISYDVVPKIKDMLLDLDFKIVIADESHFLKNAQAKRTMHSLPVLQKAKYVVLLSGTPALSRPIELFTQLQALYPTVYKNVNEYGNRYCKGGFFGLYQGASNHEELHNLMKATVMIRRLKKDVLSQLPVKRRQQLETVKIKIQSCDSQETMDSLKFAQKNLINKIYNDSAEAKIPAVLDYLGTIIEAECKFLIFAHHQSMLEAIHQHLLKKKVKCIRIDGQTPVPVRQTLVTDFQNKDDIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQGKLENLGQMLDGQEKTLDVSQSDTRPSPSKQKTLDAYLKRCSNSTEADQPKLKNPRF >ONIVA07G23260.1 pep chromosome:AWHD00000000:7:21572107:21573087:1 gene:ONIVA07G23260 transcript:ONIVA07G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAGGGSGSVGGVGSSSGGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRGRLQLGFSKSQLVEKLRRLKRKYRNCVSRLRGSGSAFSFRSPHEQAIFEIARNIWRPTNKHGRDGDSDDEDATQVTPAPVPVNTSPNGEVKSPTSGRQRRRRRGGDFAATAPAAAPATTNMVQPIQPVQVPVSVPVKMDDTLPALSQTTMPITVTMEGSEPLRLPVMPPQPAVLDADKSCLTPLFKEMVRAVINIGSNPFGAQLSEPPHGLPMEGEKWRKQRILELEVYLKRIELLQDQVKATLEELKSSAPGT >ONIVA07G23250.1 pep chromosome:AWHD00000000:7:21565983:21567662:-1 gene:ONIVA07G23250 transcript:ONIVA07G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPAAAASPSSELTKSKKKKIKSKDAATAAAVDPPSLAEAEAKTDGYLIKPQSLVPSLDTSTWPLLLKNYDRLEVVAWIKRLLRVDKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDADRHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDARWAMDNYNDETYLRRIVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIDVGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMVAEGLLDKHGKPNEKTPAEWIRNVALPTGGDAMIASIAAAPEEAKVKAEQDVVATEEVKEKKKKKKHKDEEDAADEGRKRKIEDDDASASVPVKKIKVEESAEEVEGEKSEKKKKKKKDKGEQGSGDAEVKEEKADISDGEKVGSEKKKKKKKSKEGGDVVDPESAANGDGVEAEKSEKKKEKKKKKSRDTEEA >ONIVA07G23240.1 pep chromosome:AWHD00000000:7:21559122:21560476:-1 gene:ONIVA07G23240 transcript:ONIVA07G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYTAGAKFDCLLFDMDDTLYPLSLGINLACRKNIQDYMLNKLQIEESLVPKMCLDLYREYGTTMAGLKVLGYDFDYDDFHACVHGTLPYEKLKPDPVLRHLLLSLPQRKIIFTNSDKAHAATVLKKLGLEDCFEGIICFETLNPSTEPEEDDSDSTDGGSSSDSSASHRKRKILCKPSLESMEAVIEIAKLDAMKTVFFDDSPRNIAAGKAAGFHTVIVGSSAAVAGADVALESIHNIKEAVPELWEAAGEHVQAQLAQAAVDLRSAAVETTVLA >ONIVA07G23230.1 pep chromosome:AWHD00000000:7:21557183:21558042:-1 gene:ONIVA07G23230 transcript:ONIVA07G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSRKPRNFATFRLCPRPGAADASDRVFFRVDNNPYYVPGFADDDVLGGAAAAAVGEGDDDAPSSSASGETGPLPDHVRREILELGLPDDGYDYLAHLREIRPSISSTGGGGASAAFLPVRRHARAHFGPPVDVKAYDASRVRIGSSGKETTTATAAAVEVEVTRIENAIDPDVARLLEESGEPALAGSESESESEDDDLEEDFVLVANQDDDDFVLVEIENQFEEEEENIAAADDSEEDGLKNGECKVGNSASA >ONIVA07G23220.1 pep chromosome:AWHD00000000:7:21552739:21557505:1 gene:ONIVA07G23220 transcript:ONIVA07G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog A5A [Source:Projected from Arabidopsis thaliana (AT5G47520) TAIR;Acc:AT5G47520] MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGKALAESQGLFFMETSALDSSNVAEAFQTVVKEIYGILSRKVFQSQEQKRSEQSLSNGKPVMLSDSNEPTSGGRWCCSS >ONIVA07G23220.2 pep chromosome:AWHD00000000:7:21552748:21556286:1 gene:ONIVA07G23220 transcript:ONIVA07G23220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog A5A [Source:Projected from Arabidopsis thaliana (AT5G47520) TAIR;Acc:AT5G47520] MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGKALAESQGLFFMETSALDSSNVAEAFQTVVKEIYGILSRKVFQSQEQKRSEQSLSNGKPVMLSDSNEPTSGTVQSTSNDEDIIRDIVMFYY >ONIVA07G23210.1 pep chromosome:AWHD00000000:7:21544641:21546690:-1 gene:ONIVA07G23210 transcript:ONIVA07G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQQHRKATETGANIKLGCSKMQSLKPGKYINKPSGNANTKCRGAVTPPQLYTENQMALKGGSTMEAQFCDSKNFSNRQPNHSGKIKLELFPIDKAIQKVLQQENRNPYLELTLAPRKKISSVVQHLNIKWGNSQCARGELMLFPYDAQLDNIAGSGKWTHSDSCTAADVHAAVGSPLRFRLRYGWFEPDFEQQSHEPNLQDVHSVDKIIDNKPLDPVLMEQKQTIHLSEFPNDFAAQSVGDNAVQTVGNQSKVTPLSWIDCISNISFEELLSEAAPSADNKQLLSQNNTSFSQIPINFDSFDAAIASVIGQQQASNQMKVSNPSLWDAEEACHAFPLQSQTSIRTFGSGPNHSGAITSSILGTIPECVTDVDQQCFTEVWEEEPSPHIPLSCKDNVAPYISLPEFGEPELGAYCSRLLSGTDSLGLSGLLSNSLDAFQKFSVF >ONIVA07G23200.1 pep chromosome:AWHD00000000:7:21540417:21543495:-1 gene:ONIVA07G23200 transcript:ONIVA07G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLITSGPLVPLSVGARSSPRWLGGSGCDTAAAAAPGPSGEERTGRRSGEEEEEEEHAGMDAPVAVAVPPQPQPQPQPQPPPAPAAAPPYRWSRAVARSPAAWMRLGVGGLLVGSIIFAFYEWGLPLLSEKVLLPIMRWEARSFGRHLLAIVLIASLAIFPVVLLPSSPSMWLTGIIFGYGFGFLIIMVGTAIGMSIPYFIGSLFRERLHEWLEKKWPREIALVKLASKGSWFKQFRVIVLLRISPFPYSMLNYTVTVTQIKYGPYICGSVVGMVPDALVNIYSGRLILTLAGLKYHNHRLTTVEIVYNVISISVAFLVAIGFTVYAKRALDEMERSEGTCPEPAGIAHGSTELRAHHQECSNSSSVPIDVVYTVYSDDSLARHVLDLLPESLHGFGYNGEK >ONIVA07G23190.1 pep chromosome:AWHD00000000:7:21539840:21540334:1 gene:ONIVA07G23190 transcript:ONIVA07G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVLMARDQLLQRPRWRYERLRGDGDGDGEEAAAGVCRVRRQLRLRRRVVAAGAAGRMARGGGGGRKKVRLMRLVFLLPARRVAALFAELVRRLAAAAAASVVVDAAAECPTIVFSSQWGLPVLSHSASGGGAAAARNARLRAFYLERSLSSAGASAAASPC >ONIVA07G23180.1 pep chromosome:AWHD00000000:7:21533863:21538269:1 gene:ONIVA07G23180 transcript:ONIVA07G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARDRGEERREEEEMGGVEQEQLLSLGVLIDIVDEQWMRDTLPADDVPVPPAMAVKTEEAEDPAPATYTDPVLSMHILKTLILYSPVTCCTAASFMPCAVTCTNGSFLNSLYREALTIEAAGLWFHMLLNWFSLSKDGTICVILKCIFRFMI >ONIVA07G23180.2 pep chromosome:AWHD00000000:7:21534235:21538269:1 gene:ONIVA07G23180 transcript:ONIVA07G23180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEQEQLLSLGVLIDIVDEQWMRDTLPADDVPVPPAMAVKTEEAEDPAPATYTDPVLSMHILKTLILYSPVTCCTAASFMPCAVTCTNGSFLNSLYREALTIEAAGLWFHMLLNWFSLSKDGTICVILKCIFRFMI >ONIVA07G23170.1 pep chromosome:AWHD00000000:7:21530471:21532234:-1 gene:ONIVA07G23170 transcript:ONIVA07G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium ion binding [Source:Projected from Arabidopsis thaliana (AT4G08810) TAIR;Acc:AT4G08810] MSSSDQDDHDEDAPLFRASSGDDDDHPHPRRRSSPVGEVPVAQSIIKAASNVCFSLFVLAVLVVTVVAVTYQPPDPWLQSSAAITTSLSRVLPNSTFLLPDDSLLPTGEDFNSSSSTPSAPRRDDPDQATATATAAAVNGTCDPDAPLNCSDPRVLAAVKAFNAKAFFRKSIVFLSYEAPVPGPKPGECDVAWRFRNRREKSWRRYRDYRRFALVPGDGCALDINRVGKFRSGTNAARPPRQKGSKNRNPRVAPPPVDAEINDTIPIVGSEAEFRRGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNMCLSGAHTNNGKDEDGKDFRYYFDFEHLKESVSVVEEGDFLKDWKRWDKKKGPGRISVRKVPSYKVTPMQLKRDKSNIIWRLFDGQEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTEIAGRMDWDYDGLHVIRGWKAQNKQMYPNLDADTSPEALVDKVPKLIKQPMRNLYIATNEPFYNYFDKLRSYFHVHLLDDYKELWSNTSEWYNETTTLSGGRPVPFDAYMRVIVDTEVFYRAKTQVETFNNLTRDCKDGINTCNLDT >ONIVA07G23160.1 pep chromosome:AWHD00000000:7:21524260:21527950:-1 gene:ONIVA07G23160 transcript:ONIVA07G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) TAIR;Acc:AT1G16280] MEVDGEARPFLLFSKPKSSKKKPKQEAEPLVHTQPEEPPNPSPSPAIEPDLRDSDEAPAAAVTEHAGDDAAAAAVPSTFAELGLSQWLVDVCDSLGMRVPTAVQRRCIPRALEGRDVLGIAETGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGLRCLAAIGGFDSLGQAKGLARRPHVVVATPGRIATLINDDPDLAKVFARTKFLVLDEADRVLDINFEEDLRVIFGSLPKKRQTFLFSATISDNLRSLLELSGNNSYFFEAYEGFKTVDTLKQLYIHVPPDAKELYLFYLLSKMNEDNIRSVIVFVSTCRTCQYLDFLLEELGHPAVSLHSHKPQSRRLAALHNFKSSKVPVLLATDVASRGLDIQTVDLVINYDVPRYPRDYIHRVGRTARATRGGLSISFITTQRDIRLLHEIEDVVGKQLGAYDGEMRDVNKDATKVFKARRLANMKMADEGHEDKVQARKEQKKRAQERKRKHDE >ONIVA07G23140.1 pep chromosome:AWHD00000000:7:21501723:21505025:1 gene:ONIVA07G23140 transcript:ONIVA07G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDETNRRSIPSLRSSPSIDMGRGYSYSPSPPPRSYRRRASSPIPRDRYGGRGRDLPTSLLVRNLRRDCRPEDLRRPFGQFGRLKDIYIPRDYYSGEPRGFGFVQYYDPDDAADAKYYMDGQVILGREVAVVFAEENRKKPSEMRSRDRISGSRGRSYDQRYSRSPRYSPPPRGRSPYRSPSYSRSPSPRYARRRMRERSYSPVESRSRSRSPVEEGYGGGSTRRERSLSVSE >ONIVA07G23130.1 pep chromosome:AWHD00000000:7:21495623:21500262:-1 gene:ONIVA07G23130 transcript:ONIVA07G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSSVRLSPRRRIRWSLLESSGGQAEAIGPQISPATEGEGKRRSLATGMFCVALPNADPTALQEGLNWACGQGHANCAAIQPGGPCYKANNLPASMAGGTTPAANAPSAVGPFTPGFGNGSSPTFPGTGSPGGALTPFDAADSVMSGARRALCALLLASPIFLSLVL >ONIVA07G23120.1 pep chromosome:AWHD00000000:7:21492610:21497379:1 gene:ONIVA07G23120 transcript:ONIVA07G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASTARGGHRPWTAASRGVSARCCSVAPAQVRARGPTEWWPAAARRRRAPRRHAVAAKAGAADARPSSSSDAVSYSSSISTDMPLYEPTGVSFDEYLLDRARVFRAMFPDESRSQRLSDEEWRVQMLPLQFLLLTVHPVVVMQLRHRDGVLDLRIVLQRLAEKMKQDVDVGIVADFQRFRREKAAAAAAATGKVNSTADRET >ONIVA07G23110.1 pep chromosome:AWHD00000000:7:21481914:21486608:-1 gene:ONIVA07G23110 transcript:ONIVA07G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VH1-interacting kinase [Source:Projected from Arabidopsis thaliana (AT1G14000) TAIR;Acc:AT1G14000] MSGAEEEAAAARGGGSGSESGGSGGGGRGGGGGGAGSSGSSGARRRFDDKGLVARTSLILWHTHQNDAGAVRKLLEEDAALVNARDYDSRTPLHVAALHGWHDVAECLIANGADVNAQDRWQNTPLADAEGAKRHAMIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFSKAVIIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLVTEFLRGGDLHQYLKEKGALAPATAVNFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGDPPFSNYEPYEAAKYVGEGHRPPFRSKGFTNELKELIELCWSGDIHLRPSFLEILKRLEKIKEYLAAHDHHWHLFSQ >ONIVA07G23110.2 pep chromosome:AWHD00000000:7:21481916:21486608:-1 gene:ONIVA07G23110 transcript:ONIVA07G23110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:VH1-interacting kinase [Source:Projected from Arabidopsis thaliana (AT1G14000) TAIR;Acc:AT1G14000] MSGAEEEAAAARGGGSGSESGGSGGGGRGGGGGGAGSSGSSGARRRFDDKGLVARTSLILWHTHQNDAGAVRKLLEEDAALVNARDYDSRTPLHVAALHGWHDVAECLIANGADVNAQDRWQNTVRHAVPLGPLSRDVCARWKWRRVASVWIGFGVVPRLGLCSGWCIEMIRIGDSPLADAEGAKRHAMIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFSKAVIIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLVTEFLRGGDLHQYLKEKGALAPATAVNFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGDPPFSNYEPYEAAKYVGEGHRPPFRSKGFTNELKELIELCWSGDIHLRPSFLEILKRLEKIKEYLAAHDHHWHLFSQ >ONIVA07G23100.1 pep chromosome:AWHD00000000:7:21478444:21483315:1 gene:ONIVA07G23100 transcript:ONIVA07G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPGSPAPRWAPWPLLAAAAVLLLLSAAPRTARALRFDLESGHTKCISDEIKVNSMVVGKYHVVGPDPNFPDNPLPDSHRISLRVTSPYGNSVHYAENVPSGNFAFTATEAGDYLACFWAPDHKPPVSIGFEFDWRSGVAAKDWSNVAKKGQVDVMEMELKKLEETIKSIHEEMFYLREREEEMQNLNKQTNSRMAWLGFLSLGICLSVAGLQLWHLKTFFERKKLL >ONIVA07G23090.1 pep chromosome:AWHD00000000:7:21466766:21477261:1 gene:ONIVA07G23090 transcript:ONIVA07G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G18700) TAIR;Acc:AT1G18700] MAIPAEVRRYWLPILLAAAGFLFQLLVLPISFPPTHYYALGIERFAPVERVVEAYEQLSKEWLAETNQQTTVDIIKIRYAYELLTNPILKRDYDLFGLDHHKVYVFAQDVLEKVKEQYQKEHFLKIDLPLLKDSSVQSTDYAFNVLGYESFMHTIADEHPLLIMVYSKGSPRCAQFIEKWKQIGSRLDGVANTAMVELGDVQLTGHFAEQRFSKQPFFRNGLPTLVAYPADCRSPSCYMRYPGELFVDSVVDWVATSVVGLPRILYYSKETLGPEFIGKSGHHKEMWKFIQFIEGTFTKSEFTKIMEEHKHQELPQLRSDTSLELGCDARGYSRAGNDIAIWYCVIVAGRPGVELSKRRQILRKAQDQLFSDVDASTSENVDSSVVVSSAAAALKDDRLTFVWLDGEVQKKLCAFYLATDYSGACGPGGFGDDNDKSELFIVRFQRNATFEALKAEKTNNLMEALQRQHTADASQLVARYKGPDEIEEINKWISQIIKDGDTREIPYFTSKVPDLVPEETSKEWIKSTKSIRSAGNSVKQRIQSSGFHFGDYLTDPRIGPALLMSACISMGIIWYKSNQRTQTTEDGAPPKDKTKKRRPRPKLSTSLFGQPEYPADADPEPKDARQWEMTDSDSD >ONIVA07G23090.2 pep chromosome:AWHD00000000:7:21466766:21477474:1 gene:ONIVA07G23090 transcript:ONIVA07G23090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G18700) TAIR;Acc:AT1G18700] MAIPAEVRRYWLPILLAAAGFLFQLLVLPISFPPTHYYALGIERFAPVERVVEAYEQLSKEWLAETNQQTTVDIIKIRYAYELLTNPILKRDYDLFGLDHHKVYVFAQDVLEKVKEQYQKEHFLKIDLPLLKDSSVQSTDYAFNVLGYESFMHTIADEHPLLIMVYSKGSPRCAQFIEKWKQIGSRLDGVANTAMVELGDVQLTGHFAEQRFSKQPFFRNGLPTLVAYPADCRSPSCYMRYPGELFVDSVVDWVATSVVGLPRILYYSKETLGPEFIGKSGHHKEMWKFIQFIEGTFTKSEFTKIMEEHKHQELPQLRSDTSLELGCDARGYSRAGNDIAIWYCVIVAGRPGVELSKRRQILRKAQDQLFSDVDASTSENVDSSVVVSSAAAALKDDRLTFVWLDGEVQKKLCAFYLATDYSGACGPGGFGDDNDKSELFIVRFQRNATFEALKAEKTNNLMEALQRQHTADASQLVARYKGPDEIEEINKWISQIIKDGDTREIPYFTSKVPDLVPEETSKEWIKSTKSIRSAGNSVKQRIQSSGFHFGDYLTDPRIGPALLMSACISMGIIWYKSNQRTQTTEDGAPPKDKTKKRRPRPKLSTSLFGQPEYPADADPEPKDARQWEMTDSDSD >ONIVA07G23090.3 pep chromosome:AWHD00000000:7:21467084:21477261:1 gene:ONIVA07G23090 transcript:ONIVA07G23090.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G18700) TAIR;Acc:AT1G18700] MAIPAEVRRYWLPILLAAAGFLFQLLVLPISFPPTHYYALGIERFAPVERVVEAYEQLSKEWLAETNQQTTVDIIKIRYAYELLTNPILKRDYDLFGLDHHKVYVFAQDVLEKVKEQYQKEHFLKIDLPLLKDSSVQSTDYAFNVLGYESFMHTIADEHPLLIMVYSKGSPRCAQFIEKWKQIGSRLDGVANTAMVELGDVQLTGHFAEQRFSKQPFFRNGLPTLVAYPADCRSPSCYMRYPGELFVDSVVDWVATSVVGLPRILYYSKETLGPEFIGKSGHHKEMWKFIQFIEGTFTKSEFTKIMEEHKHQELPQLRSDTSLELGCDARGYSRAGNDIAIWYCVIVAGRPGVELSKRRQILRKAQDQLFSDVDASTSENVDSSVVVSSAAAALKDDRLTFVWLDGEVQKKLCAFYLATDYSGACGPGGFGDDNDKSELFIVRFQRNATFEALKAEKTNNLMEALQRQHTADASQLVARYKGPDEIEEINKWISQIIKDGDTREIPYFTSKVPDLVPEETSKEWIKSTKSIRSAGNSVKQRIQSSGFHFGDYLTDPRIGPALLMSACISMGIIWYKSNQRTQTTEDGAPPKDKTKKRRPRPKLSTSLFGQPEYPADADPEPKDARQWEMTDSDSD >ONIVA07G23090.4 pep chromosome:AWHD00000000:7:21467966:21477261:1 gene:ONIVA07G23090 transcript:ONIVA07G23090.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G18700) TAIR;Acc:AT1G18700] MAIPAEVRRYWLPILLAAAGFLFQLLVLPISFPPTHYYALGIERFAPVERVVEAYEQLSKEWLAETNQQTTVDIIKIRYAYELLTNPILKRDYDLFGLDHHKVYVFAQDVLEKVKEQYQKEHFLKIDLPLLKDSSVQSTDYAFNVLGYESFMHTIADEHPLLIMVYSKGSPRCAQFIEKWKQIGSRLDGVANTAMVELGDVQLTGHFAEQRFSKQPFFRNGLPTLVAYPADCRSPSCYMRYPGELFVDSVVDWVATSVVGLPRILYYSKETLGPEFIGKSGHHKEMWKFIQFIEGTFTKSEFTKIMEEHKHQELPQLRSDTSLELGCDARGYSRAGNDIAIWYCVIVAGRPGVELSKRRQILRKAQDQLFSDVDASTSENVDSSVVVSSAAAALKDDRLTFVWLDGEVQKKLCAFYLATDYSGACGPGGFGDDNDKSELFIVRFQRNATFEALKAEKTNNLMEALQRQHTADASQLVARYKGPDEIEEINKWISQIIKDGDTREIPYFTSKVPDLVPEETSKEWIKSTKSIRSAGNSVKQRIQSSGFHFGDYLTDPRIGPALLMSACISMGIIWYKSNQRTQTTEDGAPPKDKTKKRRPRPKLSTSLFGQPEYPADADPEPKDARQWEMTDSDSD >ONIVA07G23080.1 pep chromosome:AWHD00000000:7:21458152:21458787:1 gene:ONIVA07G23080 transcript:ONIVA07G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVDGECPARGGTAAASARAAPPSSSRRRRRRRYCNGVYLGFLVFIVLFGFIMGALVAESMGNRRGKVVVMVLCPLTFVFFGACMFHMEWTTAVINHELEMMV >ONIVA07G23070.1 pep chromosome:AWHD00000000:7:21449225:21454409:-1 gene:ONIVA07G23070 transcript:ONIVA07G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFDANDDDDDHVHVENAAAAAAACSSSPARPTTAQPHLTNDDDHHSHVIDIDAAAAAAGGRRSCAVCMETLEWAAIGPCGHGEVCAGCALHIRVFQNNRLCCICRSPCRVVVVTNPDAIAAAGGGGGGGWPAVSSRLPRSRTGGGYSQVEGRVREYWYHAGMEAFFDDERQYEAAKAAAQLGPPPPPPQPSGDANENPPPPPPPIRTGVGNSDGRRARRDPPDQQCDGTAIGLFGLFIIGVFFVAGILHGNLATYDQLEPGNLSRTVTRTRALALPSPQFAMPPLDGNGGAGAAGDHSHDVVIDVGGATTSSCVVCMEPLEWAAIGPCGHGDVCAGCALHIRVFQNNRRCCICRAPCRVVVVTSHGAIVAAAAAGGGWPAVSSRLPMSRTRRGGYSRREGRVGEYWYHTGMGAFFDDERQYAAAKAAARLGPPPCGDANENPPPPPPPPPPPTTTTTRTRTGVSNSDGQGSLLTQREKMEALVFILVVVVILVVMAFV >ONIVA07G23060.1 pep chromosome:AWHD00000000:7:21446546:21447917:-1 gene:ONIVA07G23060 transcript:ONIVA07G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLDGNSAAAGDHSHVVIDIGAAGDGDSRRRSSSSCAVCTEPLEWAAIGPCGHGDVCAGCSLRIRVFQNNRRCCICRAPCRVVVVTKHVDAIAAAAAGGGGGWRAVSSRLPRSQTGGGGYSQVEGRVGEHWWYHAGMRAFFDDERQYAAATAAARPPSCGKASDDDHRPPQARSTSVGNEQHRISRRDPPDEHEQHVPQFVEAVLIGLLVVAAVGLVVLIICVRL >ONIVA07G23050.1 pep chromosome:AWHD00000000:7:21444109:21445059:1 gene:ONIVA07G23050 transcript:ONIVA07G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFTRHSLVVIVGALLLQLFFLAGLAPAAAAAGPTGQVTVFWGRNKDEGSLREACDTGLYTMVVMSFLNVYGARGGEYRLDLAGHPVGGIGGDVKHCQRKGVLVSLSIGGGAYSLPTNQSALDLFEHLWNTYLGGGGGKGAVAAARPFGDAVLDGVDFFLDRATPAERYDVLATELAKRGKPPRRALHLTATTRCAFPDRGAARALATGAFERVHVRFYGGGGGGDDNCTVYWEDAWDRWTAAYPRSRIYFGLPAAPAVAEEEQDGRSGYVYPKTLYYRYVPELQKAANYGGFMIWDRYSDKQSGYSGYVKNWA >ONIVA07G23040.1 pep chromosome:AWHD00000000:7:21440850:21443269:1 gene:ONIVA07G23040 transcript:ONIVA07G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTSLTPTFLPAVPCPKPAPPASACFPCALPPRAALAALVAPLRRRLSPVAVAVSSEVEEEEGGAESEGEFAEDLKVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVVYDRQTGRSRGFGFVTMSTAEEAGAAVEQFNGYTFQGRPLRVNSGPPPPRDDFVPRSPRGGGSNFDSSNKLYVGNLAWGVDNSTLENLFSEQGTVLDAKVIYDRESGRSRGFGFVTYGSAEEVNNAISNLDGVDLDGRQIRVTVAESKPRRQF >ONIVA07G23030.1 pep chromosome:AWHD00000000:7:21437716:21438357:1 gene:ONIVA07G23030 transcript:ONIVA07G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPSRPLLRRVLSFREPLLLIPYIVGFLAAASSGFFYSYSSFLHSFARSLVPAAAAGAVVKCAYLSAAADSDEPCSSCGGEDEDVVAAVEEEEAHLSKVEVEEIMERIGLGVGGHGEGLKARMGRDEVSRLFDADEPSFAEVRRAFAVFDGNADGFIDADDLRAALARLGFREDAAACRAMIAASCGSVDARMNLFQFVKFLETGLC >ONIVA07G23020.1 pep chromosome:AWHD00000000:7:21416808:21418259:-1 gene:ONIVA07G23020 transcript:ONIVA07G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSASGRSLLVILLAVSLLLVASSDAARFTRSNRMAMMVVEAPARPGVGVSGSAEDDVSTSDAIVEEMFGRMALQTTDYPPSGPNDRHTPKAPGT >ONIVA07G23010.1 pep chromosome:AWHD00000000:7:21414758:21415315:1 gene:ONIVA07G23010 transcript:ONIVA07G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANGVDLATAGLLRLLAMGMGPSVQIPGEGGVPVMMVQHIIIGDDGGDLFSGGLGGGVPPASKAAIASLKEVKAGEDGEGGDSLGDCAICLDAFAAGKEMPCGHRFHSECLERWLGVHGSCPVCRRELPAAEQQPPEEQQSGGADAGERRRPRAVVVSYVVLGDGGRGGERGEPWNIRIEDVD >ONIVA07G23000.1 pep chromosome:AWHD00000000:7:21410998:21413660:1 gene:ONIVA07G23000 transcript:ONIVA07G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4H6] MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNALTEPIDIYSEWIDECERVNNVEDDDGA >ONIVA07G22990.1 pep chromosome:AWHD00000000:7:21401587:21405481:-1 gene:ONIVA07G22990 transcript:ONIVA07G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF298) [Source:Projected from Arabidopsis thaliana (AT3G28970) TAIR;Acc:AT3G28970] MAWPTGCLGFEAAAAVCPAAFQAYQKYYDIVSAFSNVNTREGLAELSQVIDGMEGLRDAIFSDIPKLMSALDLDDAHRFSIFYDFVFFISRENGQKNISVQRAVGAWRMVLNGRFRLLDRWCNFVEKYQRYNITEDVWQQLLAFSRCVNEDLEGYDPKGAWPVLVDDFVEHMHRIYNSCDCSSAMESQLNISNTFGGINPLPGSKRKCPTRLKPNEDVDLSDNFTRSVHLAPLKRLKESPVITKYGVWEYNAGTPLVHSPSDYCEDANLHNPRGCLQNSPSIVEDCLSKGFEGCISMKCSF >ONIVA07G22980.1 pep chromosome:AWHD00000000:7:21395919:21401966:1 gene:ONIVA07G22980 transcript:ONIVA07G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGEIGGAAVFALAAAAALSTASSLGAVDFRRPLAAVGGGGAFEWDGVVPWLIGVLGGGDEAAAGGVSVGVAAWYEVWVRVRGGVIAPTLQVAVWVCMVMSVMLVVEATFNSAVSLGVKAIGWRPEWRFKWEPLAGADEEKGRGEYPMVMVQIPMYNELEVYKLSIGAACELKWPKDKLIVQVLDDSTDPFIKNLVELECESWASKGVNIKYVTRSSRKGFKAGALKKGMECDYTKQCEYIAIFDADFQPEPNFLLRTAPFLMHNPNVALVQARWAFGKDFIPNFAVNDTTSLLTRVQKMFFDYHFKVEQEAGSATFAFFSFNGTAGVWRTTAINEAGGWKDRTTVEDMDLAVRASLNGWKFIYVGDIRVKSELPSTYGAYCRQQFRWACGGANLFRKIAMDVLVAKDISLLKKFYMLYSFFLVRRVVAPMVACVLYNIIVPLSVMIPELFIPIWGVAYIPMALLIITTIRNPRNLHIMPFWILFESVMTVLRMRAALTGLMELSGFNKWTVTKKIGSSVEDTQVPLLPKTRKRLRDRINLPEIGFSVFLIFCASYNLIFHGKTSYYFNLYLQGLAFLLLGFNFTGNFACCQ >ONIVA07G22970.1 pep chromosome:AWHD00000000:7:21391751:21392965:-1 gene:ONIVA07G22970 transcript:ONIVA07G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMSTLNLSSSPLTKQQLRFGASTLSAEHVSGFSSARPAPSLCCSSSHWRAVKLRPRRRPWRRAGNRVVAQAGGYKVAILGAAGGIGQPLSLLVKMSPLVSALHLYDIANVDGVTADLGHCNTPAKVAGFTGKEELAGCLAGVDVVVIPAGVPRKPGMTRDDLFGINAGIVRELVEAVADHAPAALVHVISNPVNSTVPIAAEVLKRKGVYDPRKLFGVTTLDVVRANTFVAEMKGLPLADVDVPVVGGHAAATILPLLSKARPKTAFTDEEVEALTRRIQNAGTEVVEAKAKAGGGSATLSMAYAAARFLEASLRGLDGDADVYECSYVQCQAVPELPFFACRVKLGRDGVEEVAAAGAELRGLTEFEARALEALKPQLKKSIDKGVAYAQQQPATVAMG >ONIVA07G22960.1 pep chromosome:AWHD00000000:7:21390784:21391246:-1 gene:ONIVA07G22960 transcript:ONIVA07G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYRCSLQHCGGHAAAGKSCKHCIVSQTATKGLSDSRAMPLANTRPSSMPDALPVRRSSPSVLHRWRPWDSPNLGHTYVAGGHSYGDCIEAFQCQTDSASATVADARGLAGRQAANTTCRAERLGGGH >ONIVA07G22950.1 pep chromosome:AWHD00000000:7:21386577:21390010:1 gene:ONIVA07G22950 transcript:ONIVA07G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLLPYPPTHVVAPPATSSFSVSRWTRVALPASSSSPPPRLPLQAQATVACATSSSAARRRHRRRPPGPAEEGDPHQPPSHQLSRKFQDVIEELQHCADELRARRSIKKDDMDDTPFNYEINKIKGYWTEIRSAKICYLYGQGRMLALTLLKRAKDAIGLASTIMTGAQLRAQTPSEISLNTIDQTVRMYVSTFVKTAEDTYHRKVDKATVLSFLCALQGLAAVSRILFEDALASVRSIQPDYSPKRDVEAINRNYQQEIQCLINKFGEASTTEALEILHCTVNDLAQKVSSYVTIMTTLRTSTLAHVPGRTIASCDAAPPDDRQN >ONIVA07G22940.1 pep chromosome:AWHD00000000:7:21377947:21379395:1 gene:ONIVA07G22940 transcript:ONIVA07G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVVAVAALLLAAGWPGSFCDTQAGCCFPDTGKPAAEFGIHGLWPNYAKCRPAAGAVADDDDGVVEMVVDGGAAVERHHRRRQKCWPEYCDDGNKLRPWEIKDLVAELDANWPTLSCKGGKSFEFWSYEWKKHGTCSGMGQHGYFAAALELKKRHDLAAVLAGAGIVPSDDESYSLGSIRDAIAAATGAVPNLECNRDAAGETQLFQVYQCVDRSGKKLVDCQLPMQGKCRDKVKLPTF >ONIVA07G22930.1 pep chromosome:AWHD00000000:7:21362928:21365180:-1 gene:ONIVA07G22930 transcript:ONIVA07G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHSSSDGQRQCRRRGVGVDVELDAAMALADMAGAAPGQPEASPPPHATQEAAAAAVAKGEQEDEAEMASTRLSLELGKVGIQSSSPCSSSSSAGHPAMQPAAAATAAPGYGPRPRHMLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNETMKKVAIRTIKKAAVAPPTTATPPSTAPQPSFLYTAAPAGVAPVPYVWGSWPPCGPTGYEHGNPGGAAAAAPPLRLPPCAWYYPVVADPRVSSPPTSTYPQPYQEQPTSSPGGGTAEEDTDDDPCSLTLAIDVDKRSAPGAGGSAAGAGQHASISDREKATAAAEARKRRKELTKLKQMHGGGGGSRPGGGGEHW >ONIVA07G22920.1 pep chromosome:AWHD00000000:7:21355998:21356954:1 gene:ONIVA07G22920 transcript:ONIVA07G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRAPACGGGVGTVIEDLPTDVLALVLRRLDGASLAAVGCACSSFRELAVDQETWRGLCLALWPSVRDVLGCGGGGGGDGYRAFFADAFPFPEAAAAVASAAPAPVPGSLPSRLVSAVDLHHGGVCIMSRVVETDTSSAWFLGSPFRVDALVQEGFSAPSPITPSSLTLSWILIDPASGRAMNASSRRPVAVDRKWLTGETVARFTVMLGGGVALDAAVTCDDRYGHVREVSLCVEDGEGGGVSGQDGLGAVAAAMAGARRGKGAEAAARQRYEEFVKGKRARKEWKARREGIVDLCCSGVGAAAFVGFLVMLTFR >ONIVA07G22910.1 pep chromosome:AWHD00000000:7:21357938:21360899:-1 gene:ONIVA07G22910 transcript:ONIVA07G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQPQPTTTATTSANGGKVTPNLTMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSPATTTIVSDAPDESLSAASIGSVSNAPMAGRAHPSSESVATPHVPLIIPSATPTHQIPPPLVGSSAPPLPLHDTNVHTARSANLVTPAFFTPPSSSSTSMVPPASSMMPTAPPLHPTSASAQQVTYGTPLLQPFPPPTPPPSLTPSYNEGPIISRDKVKEALLRLVQNDQFIDLVYRELQDAHM >ONIVA07G22910.2 pep chromosome:AWHD00000000:7:21355928:21360899:-1 gene:ONIVA07G22910 transcript:ONIVA07G22910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQPQPTTTATTSANGGKVTPNLTMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSPATTTIVSDAPDESLSAASIGSVSNAPMAGRAHPSSESVATPHVPLIIPSATPTHQIPPPLVGSSAPPLPLHDTNVHTARSANLVTPAFFTPPSSSSTSMVPPASSMMPTAPPLHPTSASAQQVTYGTPLLQPFPPPTPPPSLTPSYNEGPIISRDKVKEALLRLVQVTAASRATPPPSITVNRATVSPVSHFRSTATGRRLEAFMARPDAGSMSIQLSVSELGVIGDGAEKPSWTSASTRNGEPRNHADEVSVSTTRDMMQTPPWCRSTAETRRDGRDPGTGAGAADATAAAASGNGNASAKNARYPSPPPPPPQPSTSRTDGHRARQRPRHVSWSTASSRKLEHAHPTAASDAPSSRRSTSARTSVGRSSITVPTPPPHAGARSSSIAATLFFPSVYVSVTCAATWRNL >ONIVA07G22910.3 pep chromosome:AWHD00000000:7:21357938:21360899:-1 gene:ONIVA07G22910 transcript:ONIVA07G22910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQPQPTTTATTSANGGKVTPNLTMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGSVSNAPMAGRAHPSSESVATPHVPLIIPSATPTHQIPPPLVGSSAPPLPLHDTNVHTARSANLVTPAFFTPPSSSSTSMVPPASSMMPTAPPLHPTSASAQQVTYGTPLLQPFPPPTPPPSLTPSYNEGPIISRDKVKEALLRLVQNDQFIDLVYRELQDAHM >ONIVA07G22900.1 pep chromosome:AWHD00000000:7:21347275:21348465:1 gene:ONIVA07G22900 transcript:ONIVA07G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQMRDGDLADGGWTTGGAVPRAVIVTGVGAVELLDELFFFFSFSPELETTAYLSILHANSPMKACSTSLSQYSVFSPIGASDVAAHRKPPVASTAPPLVPTAMPPPSSS >ONIVA07G22890.1 pep chromosome:AWHD00000000:7:21324779:21330730:-1 gene:ONIVA07G22890 transcript:ONIVA07G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGALVVQVMDDSSNGGVGAAAAGGSFSSLRAYGRALAQTPRRLARRACAATSPGEEMSRVCARSGARMARRLRWHDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIAVPGLPKGFNEVDLVAVGVILLISVCICYSTKESSVVNMVLTAVHVAFILFIIVMGFWRGDTRNLTRPVDLAHNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPSRDIPVGVSGSVVLVTLLYCLMAASMSMLLPYDAIDTEAPFSGAFKGSSGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLAKVHPCTATPVNASAFLGVFTAALALFTELDVLLNLVSIGTLFVFYMVANAVVYRRYVAADDDDADHRRAWPTLVFLAAFSLVALCFTLLWQFAPAGRARTGLLAACGAAAVATVGAFRALVAEARRPELWGVPAMPWVPAASVFLNVFLLGSLDRPSYVRFGFFTAAAVLVYVLYSVHASYDAEEGGGAGAGAAALDGAKVQDEAADFKV >ONIVA07G22880.1 pep chromosome:AWHD00000000:7:21313023:21321548:1 gene:ONIVA07G22880 transcript:ONIVA07G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTSSWTMNLCGSPICSKKDVVSCAFKEILDSSTCMNHLVVFGITALLTVALALHLLIKIPKSRASARQLAAFNSPLQLAAVVFNGCLGLLNLGLGLWMLRISFSQDSSISQSHWWLVILAQGFNLILTSFTFSVRTRFLGATFVRFWSVGLTICAAFICCCSVVYMVEEKEITFKASLDVLLLPGALILLLYAIRHSHDEEGYETNANALYKPLNTEKDHDTADSEIHVTPFAKAGFFSVMSFWWLNPLMKMGYEKPLEDKDIPCLGSTDRAQNQYLMFLDELNSKKQSEPHATPSVFWTIVSCHKSGILISGFFALLKVLTLSSGPLLLKAFINVTLGKGTFKYEGIVLAVTIFFCKCCESLSQRQWYFRTRRLGLQVRSFLSAAIFKKQQKLSNLAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNAVGLAMISSLVVIIITVICNAPLAKLQHKFQTKLMEAQDVRLKAMTESLVHMKVLKLYAWETHFKKVIEGLREVEYKWLSAFQLRRAYNGFLFWSSPVLVSAATFLTCYLLKVPLDASNVFTFVATLRLVQEPIRQIPDVIGVVIQAKVAFTRVVKFLDAPELNGQRRNKYRAGAEYPIALNSCSFSWDENPSKQTLRNINLAVKVGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGRIAYVSQNAWIQTGTVQDNILFGSSMDKQRYKETLVRCSLEKDLAMLTHGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEIIQSAPYQDLLACCEEFQDLVNAHKDTIGVSDINNMPLHRAKEISTKETDDIHGSRYGESVKPSQADQLIKIEEREIGDTGLKPYTLYLRQNKGFLYASLAIISQIIFICGQISQNSWMAANVENPSVSTLRLIVVYIAIGVCSMIFLISRSLCIVVLGMQTSRSLFSQLLNSLFRAPMCFYDSTPLGRVLSRVSSDLSIADLDVPFFFMFSMNASLNAYSNLGVLAVVTWQVLFVSVPMIILAIRLQRYYLASAKELMRINGTTKSALANHLGESVSGAITIRAFEEEDRFFAKNLELVDRNAGPYFYNFAATEWLIQRLEMMSAAVLSFSAFVMAILPPGTFSPGFVGMALSYGLSLNTSFVLSIQNQCNLANQIISVERVNQYMDIESEAAEVIEENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSADITTIGLHDLRSCLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEHGLDSLGRGVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKMVEYDKPMKLMETEGSLFRDLVKEYWSYASNGNI >ONIVA07G22870.1 pep chromosome:AWHD00000000:7:21301312:21301722:1 gene:ONIVA07G22870 transcript:ONIVA07G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW50] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONIVA07G22860.1 pep chromosome:AWHD00000000:7:21294112:21296121:1 gene:ONIVA07G22860 transcript:ONIVA07G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHPLFSQEQPQSWPWGVAMYANFHYHHHYEKEHMFEKPLTPSDVGKLNRLVIPKQHAERYFPLGAGDAADKGLILSFEDEAGAPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVHFERVRGSFGVGDRLFIGCRRRGDAAAAQTPAPPPAVRVAPAAQNAGEQQPWSPMCYSTSGGGSYPTSPANSYAYRRAADHDHGDMHHADESPRDTDSPSFSAGSAPSRRLRLFGVNLDCGPEPEADTTAAATMYGYMHQQSSYAAMSAVPRAIHKKIDYEI >ONIVA07G22850.1 pep chromosome:AWHD00000000:7:21288723:21290391:-1 gene:ONIVA07G22850 transcript:ONIVA07G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGDEGDDGGEKQCRTADDIRIADCTVAAGGDGCQPWRILQVKIEKVAAVFTRLIITSLSQILSDRQDQQNKQGHACSEVRDTVCARHTQASASANSAATTNQQRTQQTSTAAGSSFPHSNSLAAAAAAARFLVACMHNCIEYAAAATEVDVMEKD >ONIVA07G22840.1 pep chromosome:AWHD00000000:7:21281389:21287606:1 gene:ONIVA07G22840 transcript:ONIVA07G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHLVASLLLFFSCCHAVAAGAGVRGRLPPTLATWRQYAAAAAPPPQVVQYETRYFTQRLDHFNELPASNGTFQQRYLVNGTFWGGAAAPVFVYAGNEGDVALFASNTGFMWEAAPRFRAMLVFVEHRYYGESLPFGGTRAAAFADASAAGYLTTAQALADFAELILSLKSNLTACKAPVVIFGGSYGGMLAAWMRMKYPHIVMGAVASSAPILGLNGLSDPYSFYNVVSNDFKSESKHCYDVLRNSWSEMYKALATDAGRARLNQTFNMCKGNVDDIPGLVEKALIYGSMMDYPTPSNFLTSLPAYPVREICRAIDKPTSGNDTVSRIKDAMTIYYNSTGGLACFPGAGAEDDDPYGMFPGWTWQACTEVIMTMSYGIGNATVFPPDPFNLTAYLAGCLATTGVPPRPHWIQSYFGGYDIRNVLKRSGSNIIFFNGLRDPWSAGGILKSISNSIIALVEPKGGHHVDLRFSTKEDPEWLKKVRRQEMRIIADWLKQYYSDEVIDSMMN >ONIVA07G22830.1 pep chromosome:AWHD00000000:7:21263106:21264131:-1 gene:ONIVA07G22830 transcript:ONIVA07G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKGFTSIFSRLVVVTGGGDDERGGGAAATTSPSPPPWPWPSCGNRPRTVSSRRDGGCSTSAAAAASAAAARRIAGEMYKTVNSVYFDYSAADGYSCFDDDDGRVVDDDDDGDSFSTTTASEEWSEAVIRSLGRTSTDRFFFDPGPPTNSILAAAAVPETKPVAAAAVFHDEEKEKLPEPPASLVEESVAVAVESEDPYSDFRSSMEEMVAAHGLRRWDALEELLVWYLRVNGKHNHALIVAAFVDLLVGLAAAATTTGTTPTTTTTTSSGRSTASTSTACDITTTTTITTSSATATMEPCPCGGGSSDDLEEEEEEARVMISLGASSCSLAPSTFANS >ONIVA07G22820.1 pep chromosome:AWHD00000000:7:21256858:21257586:1 gene:ONIVA07G22820 transcript:ONIVA07G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGGGGGGGRHQFPVGRRRRHVPVVDSGCGCRPRRPRLLSLASFIWPSSMSSACKQAAAAAVAVPARGGGGGSKSKSSPYYFRSSSSAASFSSSSAATTYSTASYYSSSRGGGGGAKKKKQEEEPPYLAPPKGKAAAKSPSRRKKKTAEEDDGGGGVGVAVEKESSDPRADFRESMVQMVVEMGLCHWDDLRSMLRRLLALNAPAHHAAILTAFAEVCAQLAAPSPPPPPYGGHHRRS >ONIVA07G22810.1 pep chromosome:AWHD00000000:7:21235595:21240258:-1 gene:ONIVA07G22810 transcript:ONIVA07G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4F5] MLGELISKVLLVLFGYAMPAFECFKTLETRPDDAHMLRFWCQYWIIVSMVIACESFVSWMPMYGEIKLAFFVYLWYPKTKGSDVVYDSFIRPTVMQYEPNIEQRLEHLRANSGQLIAFYIKNFADKGTAFFMDFLRYVVSERPEAAANSEPQRSSWSSWNPFASRRQEPSPPPSAPPRERRFSGADPDDEPPAIADVFRASLGGGAMNRRPHSSNNNN >ONIVA07G22800.1 pep chromosome:AWHD00000000:7:21234092:21234646:1 gene:ONIVA07G22800 transcript:ONIVA07G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAAVAAVVESASPSPSPARKRCRLGGPVVANGEGGAASNTTTSSEFQLRHWRPAAAGKRAGLGMRRRWAPPEIEIPGGGSGVGVGARGYTSLRDILSSPEYAASSKSSSPADGGSGGGGGGGGDVHMIRHPLVKHAAYAYLQLTPSARDAADAAGRRRRRSRGPLCRLLLGCLGFVGALFGR >ONIVA07G22790.1 pep chromosome:AWHD00000000:7:21223527:21226261:-1 gene:ONIVA07G22790 transcript:ONIVA07G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCAVQQALAAEAAGVVRQAVTLARRRGHAQVTPLHVASAMLSAAGLLRAACLQSHSHPLQCKALELCFNVALNRLPTAGPAAAAAIFHHHPHHPGGGGGHHPALSNALVAAFKRAQAHQRRGSVEGQPPPQPPPSPVVASKVELEQLIISILDDPSVSRVMREAGFSSSQVKANVEKAVVASLDHANAAGGSGGHAGSPNSGHGGRRKESSSSRARVDDDAMRVLDCMASGTKRCVVVVGGEGAAAAEAVVKAVMDRVSKAELHHRHERLKNLQFVPLSIASFHAAPREEVEAKASDLRALVRSGCAAGKGVVLVLEDLAYAADAWAAASNTRRRAAAATGGQSYCPMEHAVMEVSSLVSGGGGGGERFWVLGFGSYQVYMKCRAAGQPPLEAVWELHPVVVPDGGLALSLTCSEASQATHQAAAPTAGWPFVNGAGEAAATTASPTIPPWLRRYQDPDHATPASCGTGLQIQDLWNPMRNGSAPHHTSELTLSFSSPSPSSISGYTSCYNNNNMMSSKPWQLEARQPWPIHGHEGQRMAMASYHDHHPLDTNPSPESNSVSNSSDGGETRRPKFIELNAENLKILCNALESHVPQHSNIVPDIASTVLQCRSGMKKMKLRHKEIIKASSTTWLLFQGRDVDGKKAMAQELAKLVFGSSTEFSSISFDELTSPYSDSSSGELTLKRQRSADSNEHSFAQRLCEIVSKNPHQVIVINDIEQLDQDSEISIKKAIANGRMRGCTGEEVDFEDAIIVLSYEEEFDSRSRASSSPRVKQRLMNNNDDEESSSTEKGDNSPQRFSLDLNACLEDEEEDEGFLLIDNGVGMHDIVDGVFFFGLMADF >ONIVA07G22780.1 pep chromosome:AWHD00000000:7:21192841:21208988:1 gene:ONIVA07G22780 transcript:ONIVA07G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDYMDRLIRIVRPISLLYLAVDGVAPRAKMNQQRARRFKSAMAAKQAEVEENILRDRFRAEGKKVLPQETSSSSEVSDPNVITPGTEFMDKLSDALKYYIRAHLNSDPLWKDINDADLIMLALASHEVHFSILREAEKFKCRAWFPRITEARPEGKLTKKPYQTLDVERMIDDFVFICFLTGNDFIPHIPSLEIHEGAIDLLIEVYKTSFNKMGGYIVDTDKNFQRKIERQAAENTWNERNTENVEENLDDQCIMVKSSQTDGQVSDEQDITMNTLELRKNLKDILHNKQDLIKTGACKHDKIKLGSPGWKSRFFKEKFDAETKDEIAKLQNEMVTISCFLNYANGILYLTVQKYLEGLCWVLCYYFADVPSWSCSFALPGCYSKLMDCDESAIQAFYPSELDIDTDGKRYLWQGIAKLPFIEDKLLLSVTKTAEKDLAVHELRRNTVRQEKIFLRNSNALAKNEAFAQTSDCSLQKLPIDPATSEIGGWLSPDDDDFSNGFCGSPIENDLSISAKFFNPEAVKPATRLLQNVTVPYKTVTEADICTRPLWHTHPYPKHPALSMHNVQQQRLQSSRPETPCWKPRTPPPPRREEIRSAGTGWLGRGRGGNIPVAVAASTAVAGETRQSWSSSRYGRGRGSGATAVGHGQMTTTRHQWSGGGGYGRSSGVDNGGGRGSYNLRPGGGGGGGGGYQWQQQQQTAWRPVGSPWGRGGGGGDGGNGQPRGL >ONIVA07G22770.1 pep chromosome:AWHD00000000:7:21189659:21192696:1 gene:ONIVA07G22770 transcript:ONIVA07G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPAPSSCTPHLEPPPPPHPPSGGEGRWVGGDCRRGKIWRERERKKKGCDEEDNVRRRQEMLSRALQLHTRCVMGVPSFYQWLVGKYPAIVSPANDDDDDVGSSSNGAAAPPVYHNLYLNMNGIIHPCFHPQD >ONIVA07G22760.1 pep chromosome:AWHD00000000:7:21180465:21184274:-1 gene:ONIVA07G22760 transcript:ONIVA07G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIQTSDSSHHGIVENSPYRTPYDRYAEGGQLGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDAAAVQRIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSASVVNQRASGKAPGSSEEPPTHENHLAPRQSSTPGHQGYDHPHPEKQNSSQRVPQNDARDGTANSNEGPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKIKHERRQSWPHSAHREDHQGVARLTENTEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRGERDLKRLRS >ONIVA07G22750.1 pep chromosome:AWHD00000000:7:21178141:21186330:1 gene:ONIVA07G22750 transcript:ONIVA07G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGGHSPGGLACSTVWALGDFHGWEALQKIPELSQKHRFFSRFLGTVFLMIFSHVGMGWEGKEDDSGFKGIPGKLGLPLPGDDA >ONIVA07G22740.1 pep chromosome:AWHD00000000:7:21177464:21178096:1 gene:ONIVA07G22740 transcript:ONIVA07G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGNHGDDDIRQACRRLLTILFGLALIVAIIALIVYLVLRPTHPRFFLQDATLRQLDLSNSSTSGVLSTALQVTVASRNPNDRVGVYYDRLDVYASYKYQQITLAASLPPVYQGHGDVDVWSPVLSGPDVPFAPYLGDALAKDVAAEYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFFIASGGNGYPGANGLKFQTATYCRVEV >ONIVA07G22730.1 pep chromosome:AWHD00000000:7:21171513:21171716:-1 gene:ONIVA07G22730 transcript:ONIVA07G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHTNGQVTSKAVASMSAAAMMNLNPTSTISSRTHIKLIKAQPAILQGNADKGVYKVWPCYAGFRL >ONIVA07G22720.1 pep chromosome:AWHD00000000:7:21167456:21168446:-1 gene:ONIVA07G22720 transcript:ONIVA07G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNLNMAPRLDHTATAIEDGDGGEPDLDLHGTNAPALERRGVVGTHVCAIHLEAEGERGGVPRRLREGRERGDGDGGGRGEGDGGERGEVGDGELGVWVVGEEGGVEGGGEGGVGGGVGDAGEGEGGEGEGRDAGAEEEPDDEGGGAEEEEGGDDEAEEAAEAGGRRR >ONIVA07G22710.1 pep chromosome:AWHD00000000:7:21167015:21172424:1 gene:ONIVA07G22710 transcript:ONIVA07G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRCSLRFTLLTKIDLLPPSPSDPKSLLTVTYFSPLASVSLAPSSPIAVATLPPFAQPPRNTTTLAFRLEVDGAYVGPDDAAPLKGGGVGTMEVQVRLAAVAVFDRGGWRTRRRVMRVMCDGVPVAFRGKNGMEAAFTGPARRFIIAAADIEATALLVT >ONIVA07G22700.1 pep chromosome:AWHD00000000:7:21154662:21159977:1 gene:ONIVA07G22700 transcript:ONIVA07G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAARPQTAEALSKRASMLRDSLQRSQGNTDGMVTILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADGILSQFDLARRLQAEAAVLKGPHEDLESYLEAVDLLKGIVRFFSTNKNFKSSEGVLNHVNNLLAKSALKIEEEFRQLMTTYSKPIEPDRLFDCLPKSLRPTKDDPDADPGNAGHSEHPSKSLETAVYRTPTLIPPRILPLMNDIAQQLIQAGNQQSCYKIYRDTRGSALESSLRKLGVEKLSKEDVQKMQWEALEAKIGNWIHFMRIAVGILLRIGPYCVYSDLKTHILLTTQVKLLLAGERKICDQIFDGVNFNKDQCFAELTANSVVTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEIEVIFEGKSCSEMREASLGLAKRLAQTAQETFADFEEAVEKDASKTIVNDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFETGSETESQLAVVTMRIMQALQNNLDGKSKQYRDPALTYLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKILQTLSIQGAGSTGSSDLSSSGVSRAMIKERFKSFNMQFEELHAKQSQWIVPDQELRESLRLAVAEVLLPAYRSFVKRFGNLVENGKNPHKYVRYSPEMVEQLLGEFFEGQQWGEQKR >ONIVA07G22700.2 pep chromosome:AWHD00000000:7:21154662:21159977:1 gene:ONIVA07G22700 transcript:ONIVA07G22700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAARPQTAEALSKRASMLRDSLQRSQGNTDGMVTILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADGILSQFDLARRLQAEAAVLKGPHEDLESYLEAVDLLKGIVRFFSTNKNFKSSEGVLNHVNNLLAKSALKIEEEFRQLMTTYSKPIEPDRLFDCLPKSLRPTKDDPDADPGNAGHSEHPSKSLETAVYRTPTLIPPRILPLMNDIAQQLIQAGNQQSCYKIYRDTRGSALESSLRKLGVEKLSKEDVQKMQWEALEAKIGNWIHFMRIAVGILLRIGPYCVYSDLKTHILLTTQVKLLLAGERKICDQIFDGVNFNKDQCFAELTANSVVTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEIEVIFEGKSCSEMREASLGLAKRLAQTAQETFADFEEAVEKDASKTIVNDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFETGSETESQLAVVTMRIMQALQNNLDGKSKQYRDPALTYLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKILQTLSIQGAGSTGSSDLSSSGVSRAMIKERFKSFNMQFEELHAKQSQWIVPDQELRESLRLAVAEVLLPAYRSFVKRFGNLVENGKNPHKYVRYSPEMVEQLLGEFFEGQQWGEQKR >ONIVA07G22690.1 pep chromosome:AWHD00000000:7:21136800:21137315:1 gene:ONIVA07G22690 transcript:ONIVA07G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYEEWRPEYCNISDRLDPGQIQDLVKPLNQSWPSLLRNETNLELWSHEWSKHGTCSNLSQHGYFAAALALDKLKLTNLTKILADGGVVPSDEKTYTLGEISDALAKGTGFSTYLRCSQNELKYGETLLYEVLQCVDRSGEKLVNCTTPYWVTRCLDPDKIKIPAWFYGQ >ONIVA07G22680.1 pep chromosome:AWHD00000000:7:21113128:21114237:-1 gene:ONIVA07G22680 transcript:ONIVA07G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKDGVKKGPWTPEEDLVLVSYVQEHGPGNWRAVPTRTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLQGGGDGDGGAAGDAVSPKPAAQRPASSSKGQWERRLQTDIDMARRALREALTSLDDVKPPHQPDAANAAAGGGGATTGAAASAGADSPAASSTSGASQCSPSSAGYVLTTENISRMLDGWARKKGGGGGRRAAGSGPATPGATESASGSSEASEVSYGGTALSAAAAPASAFEYETKPTVTAAAAADAADETQLSAIESWLFADADGIESGSLLDAAMDYTF >ONIVA07G22660.1 pep chromosome:AWHD00000000:7:21068899:21100683:1 gene:ONIVA07G22660 transcript:ONIVA07G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVEKISLPAFSLSIDATQPRQAMRSVRALAFALALAAVAPPPPSAAFDEVCGGRLYKANSSFDASFQQVARALPANATSSPSLFATAAVAGEVYALALCRGDLAAESCSVCVDSAFHDAQQVCPSAMDVAMYYGTCVVRFSAQDFLAARSNTQEKVILSTAQTLASSAAGRFHGLVADLLNATVDYAVAVASSASRRFFTTGEVDVDGGYFGEQFSKIYSSAQCTPDLTPAQCSGCLATAMAEMPRQVFPANSPGGRVVGERCDLRYDVFAFYNMDAMVRLQVAQGGKKKSSPILAVALPIVLVGLLVITLVCFYIWRKKRLPPKAPLIEITEDLEDFESFFIDLATLRSATANFDESNRLGEGGFGVVFKGVLPDGQEVAVKRLSNCSIQGLGQLKNELSLVAKLQHKNLVRLIGVCLEVGEKVLVYEYLPNKSLDTILFDPEKSRQLDWGKRYKILNGIARGLQYLHEHSQLKIVHRDLKASNVLLDADMKPKIADFGLAKIFGDDQTRNATSRIVGTLGYMSPEYAMRGQYSTKLDVFSFGVLVLEIVTGRRNSYAAISEHSEDLFSLVWRHWNDGTVTEIVDPSLGRHYPRGEILKCINIGLLCVQQNPADRPSMSAIIILLSSETVTLQAPYRPAYMFGRSRSYSDTETVDVRVSSEPHSSITELEPSNNMRGVHVVAIAGLLTAALAPLAASYPWGACDVSSNYTASSAFQENLRALAATLPGNVSSSPDLFAAATAGAAPDTVYALALCPPFDNQNVSGCHACVKSGFADAQKLCPFNRGVTIVYNPCIFSFYGRDILNSSTNPKDQEVMLYNAQNVTVPNVGDFNRATYELLNGTADYAARAARRFATGEISFDATYPRIYGMAWCTPDMAPRRCRACLAAAIAEMPGSFIPNTQGARIAGARCTVRFEVYPFYNGSGMVQMTASAPAAVPVTPGKKSSKIGKVLAIVLPIVAALLASTTIGFCCWRRRAKITKRSLSYASHTEDIQSIESLIIDLSTLRIATNNFAENNKLGEGGFGAVYKGFLPGGGQEIAVKRLSQNSGQGIGELKNELALIAKLQHKNLVRLVGVCLEEDEKLLVYEYMPNKSLDTFLFDSEKRKQIDWGKRFMIIKGIAGGLQYLHEDSQLKIVHRDLKASNVLLDTNMSPKISDFGLARLFGEDQSLEITNRVVGTYGYMAPEYALRGHYSVKSDIYSFGVLILEIITGRKNSDSFNSEESVDLLSLIWEHWEMKTITEMVDPSLRSDSSLDDILRCIHVGLVCVQEDPMDRPAISMINVMLDSNTVLVQAPCRPAFFTEMSGKIGSSIYSQPYPTADFTARSTVITLAGNMRVVHVVAIAGLLTAALAPLAASYPWGACDLSSNYTANSAFQQNLRILAATLPGNVSSSPDLFATATAGAAPDTVYALALCPPIDNQNVSGCRACVESGFADAQKLCPFNRGVTIVYNPCVFSFYGYDIRNSSTNPKDQEVMLYNAENVTVPNVGNFNRATYELLSATADYAANAAKKFATGEMSFDNATYPKIYSMALCTPDMTTQQCRACLAATIAEAVTPDIFIQNSRGARIAGARCTVRFEVYPFYKGSGMVRMPATGPASVPETSPTSVPENPGKRGAKSGKIIATVLSTVAVLLASTTVCFCFWRRASMRSLSYACHGEDIQDIESLIMDLTTLRIATNNFAENNKLGEGGFGVVYKGSLPTGQDIAVKRLSPNSTQGIGELKNELALIAKLQHKNLVRLVGVCLEEDEKLLAYEYMPNKSLDTFLFDAEKRKQLDWGKRLMIIKGIARGLQYLHEDSQLKIVHRDLKASNVLLDTNMNPKISDFGLARLFGEDQSLEITNRVVGTYGYMAPEYALRGHYSIKSDIYSFGVLILEAITGRKNSDSYKSEQYVDLLSLIWEHWAMKITTEMVDPFLSSDSSLDGILRCIHIGLVCVQEAPMDRPTISEINMMLDSNTIPVQTPSRPAFFSQMSGSSGSVVDSITAKSKAMVHVTAPVLPDWLTPRLLISPPPLLVSGHRLDVVHSRLLPSARRCLSLHRVAVGMHAARLLVASSRRRRPLIQQGMESNDEFNEFVMNELIDPSSSDEEHDLFFGAAQMIIEESTKEMKGCRIMKQG >ONIVA07G22660.2 pep chromosome:AWHD00000000:7:21068899:21100683:1 gene:ONIVA07G22660 transcript:ONIVA07G22660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVEKISLPAFSLSIDATQPRQAMRSVRALAFALALAAVAPPPPSAAFDEVCGGRLYKANSSFDASFQQVARALPANATSSPSLFATAAVAGEVYALALCRGDLAAESCSVCVDSAFHDAQQVCPSAMDVAMYYGTCVVRFSAQDFLAARSNTQEKVILSTAQTLASSAAGRFHGLVADLLNATVDYAVAVASSASRRFFTTGEVDVDGGYFGEQFSKIYSSAQCTPDLTPAQCSGCLATAMAEMPRQVFPANSPGGRVVGERCDLRYDVFAFYNMDAMVRLQVAQGGKKKSSPILAVALPIVLVGLLVITLVCFYIWRKKRLPPKAPLIEITEDLEDFESFFIDLATLRSATANFDESNRLGEGGFGVVFKGVLPDGQEVAVKRLSNCSIQGLGQLKNELSLVAKLQHKNLVRLIGVCLEVGEKVLVYEYLPNKSLDTILFGTCLHLNFLDIVNMFIFKLRICHLTPDPEKSRQLDWGKRYKILNGIARGLQYLHEHSQLKIVHRDLKASNVLLDADMKPKIADFGLAKIFGDDQTRNATSRIVGTLGYMSPEYAMRGQYSTKLDVFSFGVLVLEIVTGRRNSYAAISEHSEDLFSLVWRHWNDGTVTEIVDPSLGRHYPRGEILKCINIGLLCVQQNPADRPSMSAIIILLSSETVTLQAPYRPAYMFGRSRSYSDTETVDVRVSSEPHSSITELEPSNNMRGVHVVAIAGLLTAALAPLAASYPWGACDVSSNYTASSAFQENLRALAATLPGNVSSSPDLFAAATAGAAPDTVYALALCPPFDNQNVSGCHACVKSGFADAQKLCPFNRGVTIVYNPCIFSFYGRDILNSSTNPKDQEVMLYNAQNVTVPNVGDFNRATYELLNGTADYAARAARRFATGEISFDATYPRIYGMAWCTPDMAPRRCRACLAAAIAEMPGSFIPNTQGARIAGARCTVRFEVYPFYNGSGMVQMTASAPAAVPVTPGKKSSKIGKVLAIVLPIVAALLASTTIGFCCWRRRAKITKRSLSYASHTEDIQSIESLIIDLSTLRIATNNFAENNKLGEGGFGAVYKGFLPGGGQEIAVKRLSQNSGQGIGELKNELALIAKLQHKNLVRLVGVCLEEDEKLLVYEYMPNKSLDTFLFDSEKRKQIDWGKRFMIIKGIAGGLQYLHEDSQLKIVHRDLKASNVLLDTNMSPKISDFGLARLFGEDQSLEITNRVVGTYGYMAPEYALRGHYSVKSDIYSFGVLILEIITGRKNSDSFNSEESVDLLSLIWEHWEMKTITEMVDPSLRSDSSLDDILRCIHVGLVCVQEDPMDRPAISMINVMLDSNTVLVQAPCRPAFFTEMSGKIGSSIYSQPYPTADFTARSTVITLAGNMRVVHVVAIAGLLTAALAPLAASYPWGACDLSSNYTANSAFQQNLRILAATLPGNVSSSPDLFATATAGAAPDTVYALALCPPIDNQNVSGCRACVESGFADAQKLCPFNRGVTIVYNPCVFSFYGYDIRNSSTNPKDQEVMLYNAENVTVPNVGNFNRATYELLSATADYAANAAKKFATGEMSFDNATYPKIYSMALCTPDMTTQQCRACLAATIAEAVTPDIFIQNSRGARIAGARCTVRFEVYPFYKGSGMVRMPATGPASVPETSPTSVPENPGKRGAKSGKIIATVLSTVAVLLASTTVCFCFWRRASMRSLSYACHGEDIQDIESLIMDLTTLRIATNNFAENNKLGEGGFGVVYKGSLPTGQDIAVKRLSPNSTQGIGELKNELALIAKLQHKNLVRLVGVCLEEDEKLLAYEYMPNKSLDTFLFDAEKRKQLDWGKRLMIIKGIARGLQYLHEDSQLKIVHRDLKASNVLLDTNMNPKISDFGLARLFGEDQSLEITNRVVGTYGYMAPEYALRGHYSIKSDIYSFGVLILEAITGRKNSDSYKSEQYVDLLSLIWEHWAMKITTEMVDPFLSSDSSLDGILRCIHIGLVCVQEAPMDRPTISEINMMLDSNTIPVQTPSRPAFFSQMSGSSGSVVDSITAKSKAMVHVTAPVLPDWLTPRLLISPPPLLVSGHRLDVVHSRLLPSARRCLSLHRVAVGMHAARLLVASSRRRRPLIQQGMESNDEFNEFVMNELIDPSSSDEEHDLFFGAAQMIIEESTKEMKGCRIMKQG >ONIVA07G22660.3 pep chromosome:AWHD00000000:7:21068899:21100683:1 gene:ONIVA07G22660 transcript:ONIVA07G22660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVEKISLPAFSLSIDATQPRQAMRSVRALAFALALAAVAPPPPSAAFDEVCGGRLYKANSSFDASFQQVARALPANATSSPSLFATAAVAGEVYALALCRGDLAAESCSVCVDSAFHDAQQVCPSAMDVAMYYGTCVVRFSAQDFLAARSNTQEKVILSTAQTLASSAAGRFHGLVADLLNATVDYAVAVASSASRRFFTTGEVDVDGGYFGEQFSKIYSSAQCTPDLTPAQCSGCLATAMAEMPRQVFPANSPGGRVVGERCDLRYDVFAFYNMDAMVRLQVAQGGKKKSSPILAVALPIVLVGLLVITLVCFYIWRKKRLPPKAPLIEITEDLEDFESFFIDLATLRSATANFDESNRLGEGGFGVVFKGVLPDGQEVAVKRLSNCSIQGLGQLKNELSLVAKLQHKNLVRLIGVCLEVGEKVLVYEYLPNKSLDTILFGTCLHLNFLDIVNMFIFKLRICHLTPDPEKSRQLDWGKRYKILNGIARGLQYLHEHSQLKIVHRDLKASNVLLDADMKPKIADFGLAKIFGDDQTRNATSRIVGTLGYMSPEYAMRGQYSTKLDVFSFGVLVLEIVTGRRNSYAAISEHSEDLFSLVWRHWNDGTVTEIVDPSLGRHYPRGEILKCINIGLLCVQQNPADRPSMSAIIILLSSETVTLQAPYRPAYMFGRSRSYSDTETVDVRVSSEPHSSITELEPSNNMRGVHVVAIAGLLTAALAPLAASYPWGACDVSSNYTASSAFQENLRALAATLPGNVSSSPDLFAAATAGAAPDTVYALALCPPFDNQNVSGCHACVKSGFADAQKLCPFNRGVTIVYNPCIFSFYGRDILNSSTNPKDQEVMLYNAQNVTVPNVGDFNRATYELLNGTADYAARAARRFATGEISFDATYPRIYGMAWCTPDMAPRRCRACLAAAIAEMPGSFIPNTQGARIAGARCTVRFEVYPFYNGSGMVQMTASAPAAVPVTPGKKSSKIGKVLAIVLPIVAALLASTTIGFCCWRRRAKITKRSLSYASHTEDIQSIESLIIDLSTLRIATNNFAENNKLGEGGFGAVYKGFLPGGGQEIAVKRLSQNSGQGIGELKNELALIAKLQHKNLVRLVGVCLEEDEKLLVYEYMPNKSLDTFLFDSEKRKQIDWGKRFMIIKGIAGGLQYLHEDSQLKIVHRDLKASNVLLDTNMSPKISDFGLARLFGEDQSLEITNRVVGTYGYMAPEYALRGHYSVKSDIYSFGVLILEIITGRKNSDSFNSEESVDLLSLIWEHWEMKTITEMVDPSLRSDSSLDDILRCIHVGLVCVQEDPMDRPAISMINVMLDSNTVLVQAPCRPAFFTEMSGKIGSSIYSQPYPTADFTARSTVITLAGNMRVVHVVAIAGLLTAALAPLAASYPWGACDLSSNYTANSAFQQNLRILAATLPGNVSSSPDLFATATAGAAPDTVYALALCPPIDNQNVSGCRACVESGFADAQKLCPFNRGVTIVYNPCVFSFYGYDIRNSSTNPKDQEVMLYNAENVTVPNVGNFNRATYELLSATADYAANAAKKFATGEMSFDNATYPKIYSMALCTPDMTTQQCRACLAATIAEAVTPDIFIQNSRGARIAGARCTVRFEVYPFYKGSGMVRMPATGPASVPETSPTSVPENPGKRGAKSGKIIATVLSTVAVLLASTTVCFCFWRRASMRSLSYACHGEDIQDIESLIMDLTTLRIATNNFAENNKLGEGGFGVVYKGSLPTGQDIAVKRLSPNSTQGIGELKNELALIAKLQHKNLVRLVGVCLEEDEKLLAYEYMPNKSLDTFLFDAEKRKQLDWGKRLMIIKGIARGLQYLHEDSQLKIVHRDLKASNVLLDTNMNPKISDFGLARLFGEDQSLEITNRVVGTYGYMAPEYALRGHYSIKSDIYSFGVLILEAITGRKNSDSVHVTAPVLPDWLTPRLLISPPPLLVSGHRLDVVHSRLLPSARRCLSLHRVAVGMHAARLLVASSRRRRPLIQQGMESNDEFNEFVMNELIDPSSSDEEHDLFFGAAQMIIEESTKEMKGCRIMKQG >ONIVA07G22650.1 pep chromosome:AWHD00000000:7:21063266:21065861:-1 gene:ONIVA07G22650 transcript:ONIVA07G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) TAIR;Acc:AT1G26840] MDMSSIASRLGLSGSRPVVRKAAELRRLCDVTFDTSVLGIGEVCKAIICLEIAASKFQVIFDRAEAVRMSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCIRLIPFVQKGLSLYKERFLAALPPSRRASTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSSEFTTVSTSMADLCFDVFGIAKEKKDAKSIKGNRELLDVLPSKRKPDDDSDSSVESSGDDQDELDLPTYKRHKKMEKEAYNDWKSSVLSSNKQTKPDPAKPRKQAQLNFKKKPSDISLEVSSAAN >ONIVA07G22640.1 pep chromosome:AWHD00000000:7:21057567:21059848:-1 gene:ONIVA07G22640 transcript:ONIVA07G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDRVNAAAGGGVEGRLVQSGIVNKKCDKKAPKRIHKSEREKLKRDKQNDLFNELGNLLEPDRQNNGKACVLSETTRILKDLLSQVESLRKENSSLKNESHYVALERNELHDDNSMLRTEILELQNELRTRMEGNPVWSHVNTRPALRVPYPTTGVFPVQHLPHLPVTTTGAFPQQQPVIIEQHYAATPRELQLFPESATSEDSEPSQQHGISDHVTRPQPRYPTPTATLPVNLFPVLPGRQDQQCSSGTSDEDRIGRS >ONIVA07G22630.1 pep chromosome:AWHD00000000:7:21054099:21056917:-1 gene:ONIVA07G22630 transcript:ONIVA07G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRSYGKTFKKPRRPYEKERLDAELKLRCLQTTVFKNGMAKSIHHARVLIRQCHIRVGRQLVNIPSFMVRLESEKHIDFSLTSPLGGGPAGRVKRKNQKKASGGGGDGEDEEEKELGHRNLSNVNSLEGELSFTETLDGGNGEFVVERILLP >ONIVA07G22620.1 pep chromosome:AWHD00000000:7:21053059:21053247:-1 gene:ONIVA07G22620 transcript:ONIVA07G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEARLCSRYGAAAAKATLARNILAPVAGQLSGHPSELHRRCILLRRLSSCRNTGTTADL >ONIVA07G22610.1 pep chromosome:AWHD00000000:7:21044088:21049334:-1 gene:ONIVA07G22610 transcript:ONIVA07G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATEATLTSRYGAYFARATMARNILAPVAGTLSGHPSELHRRCILVRRLPSCTVPGEVCDMFDMFASIEAIAVSGGLGMAVVVFGVAVADCMSAAVLRTGAGFFEPVPPLHLGPPLVLAAAMDIKVALHPKKPTAAVPGGKDVVSSSSLGDEIRWPPVSISSLSGWLMNGGSGLPRLNLGGRSCNKYDLGNLF >ONIVA07G22600.1 pep chromosome:AWHD00000000:7:21040176:21042225:-1 gene:ONIVA07G22600 transcript:ONIVA07G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAILRHLIEALLERQPILGGDSTFLRRALVFGGVTSIISPVHLESIFTRYGAVMATVLLRDAVRCERVGMLVFSAEIDCLCAAVMEAARPGAYQMISMVDDEILHNSVKLVKDAADKQSRRSSTAEAFRRMVPWRYLEANAQEDINLRCLLLRMGARSTATPGYLYGVARSALAASGRACAVVAYYSSRMAMVVFDESRDIERCGSRSAELVAFHLGLYDSGLFPLVADGAGGNGAVTRDLLPLFCLSPDFLGRVVLLRGPGIAELDAGEACRRVEALLVHRGDRLAVVVVRSRGDTRALMAESSEFWRRACGPHPITAQLIDDPSPAFMPRPLLYPEVVNLAVARTHRRMDRCTLDDLHGLGRGLVELESLRPSSSVRQGNLARRGFILLGLHQYMTEDYLMQYFGDVESCVVYMAKRAALVIFSTPEAAARALRTPMADGRSRDTPRDDQVVDYLLLLQYTMLRVDDPLAHEDAQPLIPANYVLPP >ONIVA07G22590.1 pep chromosome:AWHD00000000:7:21033918:21038613:-1 gene:ONIVA07G22590 transcript:ONIVA07G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related [Source:Projected from Arabidopsis thaliana (AT4G39520) TAIR;Acc:AT4G39520] MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPTSKGGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVAAYEFTTLTCIPGVIMYKGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNLTFRRKDKGGINFTSTVTNTNLDLETVKAICSEYRIHNADVSLRYDATADDLIDVIEGSRIYMPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEMIWEYLDLVRIYTKPKGLNPDYEDPVIVSSKKKTVEDFCNRIHKDMEHELEDEDVVQIIKKV >ONIVA07G22580.1 pep chromosome:AWHD00000000:7:21029372:21031437:-1 gene:ONIVA07G22580 transcript:ONIVA07G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWEGYVSDETMGTFAPIALYWVYAGGYQLVLHRRPLERYRLHTRAEEEEKNLVALPAVVRGVLLQQLVQAIVAMILFMVTSDSSAVVVQPPIIIQAFQFLVAMLVMDSWQYFVHRYMHQNKFLYRHIHSQHHRLIVPYAIGALYNHPLEGLLLDTVGGAISFLVSGMTPRTSVFFFCFAVLKTVDDHCGLWLPYNIFQSLFQNNTAYHDVHHQLQGSKYNYSQPFFSIWDRILGTHMPYNLVRRKEGGFEARPLRD >ONIVA07G22570.1 pep chromosome:AWHD00000000:7:21028020:21038029:1 gene:ONIVA07G22570 transcript:ONIVA07G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQITRGDHIAVGVMSPSSPAAAAASASPATSPSVHGAPLRCCYCFGGAGCQARATPTAYRDPALGSKGHENAKEDWKRWMMNRWFGSRFKSKSQSKGFHHHIQIDDTTTSNISNH >ONIVA07G22560.1 pep chromosome:AWHD00000000:7:21027002:21027493:1 gene:ONIVA07G22560 transcript:ONIVA07G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHHHRRHGHAAAAAEAEEEAPSPRPSSAGCYTFLRSASRRGGAGGGGGYRRLDSSSAAGDVIRVEVGTTKGERSVFHVDQAVLEAGPVRRLLAAAGRRTRGGAVAVAVDVLLFEHLLWLQAAGDKGMLGYDDDESAAADLSEIVDFYSQDDDVDDSGHRGF >ONIVA07G22550.1 pep chromosome:AWHD00000000:7:21022864:21024079:-1 gene:ONIVA07G22550 transcript:ONIVA07G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRNNNGGKTAEAAAAASGTANTVVALVLLLVAASVVVFLLSPPTPAATRIGRHGDGGPRREPVELAIGLAGHESWLDAVRAWAKLACLKLRPPEPREKVAWCCSRNGAAVEVRSAQPGGGEGGGEGGPGDGQGGGGARRRVGGDGGGGGSGKDHGEAQEEVVAADIAGGGAPRRRPVTLCSVLFLYPCELQYDVLASCDG >ONIVA07G22540.1 pep chromosome:AWHD00000000:7:21013497:21022338:1 gene:ONIVA07G22540 transcript:ONIVA07G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPDLTPPAAAASAEAPSASAAKKDRHIVSWSTEEDDVLRTQIALHGTDKYEFFPLPISIDFFFLDLITIFYTVFPFPFGSWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDLLLCEISELANMRSVTSSVRQQARHIAMTMELSMYAQKVLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDELFKENGSLCSSTSAKRALVQTGCLTSGASGSAPPIKQMRPCNSDFKENMTPNMRLVGQDKSTQDSRQPLAIVYQNNQDNMNTMDTQNLVAKTAAKQLFAGEQNCVKHEGNFLNKDDPKIATLLQRADLLCSLATKINTENTSQSMDEAWQQLQHHLDKKDDNDMSESSMSGMASLLEDLDDLIVDPYENEEEEDQDLREQTEQIDVENKQNSSQTSMEVTSQMVPDNKMEDCPNDKSTEDNNMEPCPGEDIPTSENLTEAAIEDSLLQCVEYSSPVHTVIQAKTDAEIAASENLSEVLEHNRLQCIQLASPAQTTTPVEANAETPASEKLSEVVKCNNPSCIEFTSPAHTVPTFLPYADDMPTPKFTASANDWGGVVMDLDFVAASPAGSRWMAESAARRRQQRRFSSPSLRAYLTPAFDAVAAGVDGVSPYSGSASSSGGMDLGLDDSLLRYRRACFSSAATTDLDHRLLVYSPQSAPVYPPPPAGDVVVAGGCRYDSKRQAGGQTGAPGYQDFNDISSLFSPWQPSADHPTATARGIPNKPPADIRSREDTVIQAARAELSTPKPDEATTPSAQPASTQAEPIEEDEELIIQTLYGQSNGRRLPIFRSICPE >ONIVA07G22530.1 pep chromosome:AWHD00000000:7:21004105:21007142:1 gene:ONIVA07G22530 transcript:ONIVA07G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAILRHLIEALLERQPILGEDSTFLRRALVFGGVASIISPVHLESFFTRYGVVIATVLLRDATRCERVGMLVFSAESDCLYAAEMEAARPGAYLMISRILRRDFMSKFSVFLVLISELASADECSCFSRFVHVLILSVPVDDEILHNSVNLVKDAADQQSQRCSTAEAFRRMVPWRYLKADAQEDINLRCLLLRMGARSTATPGDLYGVASHSCLPTQQACVTNDFTTPVFSVLPPHSSCDGAVFKRTSPLLDPTAMASWRLPTRKGVLPVTGDRYLDFYPIRGQPLGRAILISYQKMAFESAPLKKMANSSKSPNREAMVVFDESRDMERCGSRSAELVAFHLGLYDSGLFPLVADGAGGHGVVTRDLLPLFCLSPDFLGRVVLLRGPGIAELDAGECSTIPLDVHAWWLHIAVSVVCRLQNYENDTHHQK >ONIVA07G22510.1 pep chromosome:AWHD00000000:7:20987261:20994782:1 gene:ONIVA07G22510 transcript:ONIVA07G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:related to KPC1 [Source:Projected from Arabidopsis thaliana (AT2G22010) TAIR;Acc:AT2G22010] MSSPGRSGFSPGLAQLLSSRDEAKVSSQKSHLVSYHDEIGHQDIERTLEHIFDLPHKSVVRPPGPIDVGFVRSVLRNQARKFDLGGKDSRKYDDGVLIVDKGAGQTKVVLDDSSICGKFKSIWGPLLVESSASFSSARANACVWNGKWMYEVTLETSGVQQLGWATLSCPFTDQKGVGDSDDSYAFDGRRVTKWNNDPEQYGQMWAVGDVIGCCINLDAGEISFYRNGNFLGVAFDGIRKVGPRKGYYPAISLSEGERCHLNFGSHPFRYPVDGFNPIEAPPHFCMFAAYLLRCLFRLLEVQNLEKSESAYFEKLRRVKKFAPLRELFRPISEGICAEFFGAAEGSQGCSEYITWGSFITLLTDVFRVREPHDFLCLDQVLELFLQFPGCSSLLQELIVALSCMCKAAPLVLTECPFSGPYPFLALACHILRHKDVMHLWWKSEDFAFSFEGFLTMKIPNKQDLQYLVPSVWWPGSSEDEVSMTLSMTTLSDAIKKIEDKHRELCSLVICFVPPTSPPLLPGSVFRSFVQSSILKARGGDHRMASSGTFNDTVLVSLYTVILHLLSEGFSMDSSGPASSSRINYGTGVGFLHKGGKRRFPTQLLLRNDAYYNVIPRLGGSLNHLLMFHQIDANEDEVQWDEGCMNDEETRITHTTAQKPCCCSITDVTVGLRFKENAKYIPSTSKGPCKSMHEKSAHIASECSAFYFVSQQSQSISLLEETDRQIREKSCMEQVRRLKEARNSYHEELVDCVRHCVWYRATVFSSWKQRGMYATCMWVVELLLVLSDSSSIFHYIPEFYVESLVTLVVKHFDDPRIYKEFMFVFENNREAINRMPRSLLSAFDNRSWIPVTNILFQLCKGFGFASSKNVEPSSSAIFQVLLRETCIHEEELFLSFLNRLFNTLSWTMTESSMSIREMQEKRQVADLQQRKCSVIFDISCSLARILEFFTREIPHAFLMGPDMNLRRLAELVVFILNHIILAADAEFFDMTLRRPGQHQEKTNCTMILAPLVGIILNLMESSSTSGHRELNDVITVFTSMDCPATIHFGLQYLLSYNWSNVLRGDASLAKLAQLEEFSHYFMHITMSADGNEEQGFSTASNEEDNCCICYNSDSDTTFEPCHHRSCYGCITRHLLNSQRCFFCNAVVTSVTRIADSRIESRSPLAP >ONIVA07G22500.1 pep chromosome:AWHD00000000:7:20980986:20982407:-1 gene:ONIVA07G22500 transcript:ONIVA07G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G09580) TAIR;Acc:AT3G09580] MHVHAARPVLPATPPKLRRHHAAALRLTVTRASAPGSDATGGGGGGNKAVIVGGGLAGLAAANHLASMSVPFTLLEASDRLGGRVATDEVDGYRLDRGFQIFLTAYPECRRLLDFPALRLRPFYPGALVFLGAGEPFYLLSDPFRLPLRSLSAVFSPVGTLADKLLVGLARLRAASTPDDVILSSPETTTAAHLEKLGFSPSIVERFLRPFLAGIFFDPALDTSSRLFELVFKRLALGDNALPEAGIGAIASQLADRLPAGSVRLNSRAAAIGQSSVTLDTGETVSGELGVIVAVEQPEAEKLLPQLPTIPAKPKKNSERSTVCLYFAADKAAVQDPILLLNGSGKGIVNNMFFATNVAPSYAPPGRSLVSVSLVGSFAGRSDADLAGEVVTELAGWFGAGEVASWTHLRTYRIGFAQPDQTPPTSPAGRDPRVGDGLYMCGDHWCYATFDGALVSGRRAAEALAKDWGLSLA >ONIVA07G22490.1 pep chromosome:AWHD00000000:7:20973880:20978472:-1 gene:ONIVA07G22490 transcript:ONIVA07G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4C2] MGSMEASTAPENGTAAAAAAAASTACNGAGGGGGGAAASNGGGVERRLRSSAASASWASHLPLEVGTRVMCRWRDQKLHPVKVIERRKSSTSSSPADYEYYVHYTEFNRRLDEWVKLEQLDLETVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDSPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKSNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >ONIVA07G22480.1 pep chromosome:AWHD00000000:7:20960983:20965173:-1 gene:ONIVA07G22480 transcript:ONIVA07G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G10020) TAIR;Acc:AT5G10020] MAALLVLVVLVVLGGGGAAGDDVAALLEFKKGISDRGRDPVLGSWSPPATPDAGGGGGGCPSGWRGVVCDGGAVVGVVLDGLGLAGELKLVTLSGMRALQNLSLAGNAFSGRLPPGIGYLSSLRHLDLSGNRFYGPIPGRLADLSGLVHLNLSHNNFSSGFPTDGIRQLQNLRRIDLRSNSFWGNAGDLLAELRNAEYIDLSDNLFTGAVDLELESLSSIGNTVKYLNLSHNKLQGGFFRNETVGAFKNLEVLDLSNSGIAGMVPQIDAWFSLAVFRVAGNALSGVMPEAMLQNSMRLVEVDLSRNGFSGSVPVVNSTTLKLLNLSSNTFSGSLPSTVGKCSSVDLSGNQLSGELAILRAWDGTVETIDLSSNKLEGSYPNDASQFQNLVSLKLRNNLLSGSIPSVLGTYQKLQFLDLSLNALGGPVLPFFFLSPTLTVLNLSGNNFTGTIPFQSTHSTESIALIQPVLRIVDLSSNSLSGPLPPDISNLQRVEFLTLAMNELSGEIPSEISKLQGLEYLDLSHNHFTGRIPDMPQASLKIFNVSYNDLQGTVPKSVEKFPITCFRPGNDLLVFPDGLPAGNYDDTGVAQSQSSHGHKAGVRVALIVGCIGAALLVIFIALAIYVVRSQELCGRNGFRGQITIRDLKLGRLSRPNLFKPPKDNVIPTKTSFSNDHLLTAAARSMSAQKELLAEAAVEYGYADPKEVTEPSSSGMAETSAAVPAMEPSPRAVLPTSPHFIDSRFHEEPVAFEVYSPDRLVGELIFLDSTLVFTAEDLSRAPAEVLGRSSHGTTYKAALQSGHVLTVKWLRVGLVKHKKDFTKEIKRIGTIRHPNIISWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVSQRLKIAIDLARCLQFLHHEKGLPHGNLKPTNIFLTGPDLSPKLVDYGLHRFMTPSGTAEQILNLGALGYRAPELANTAKPMPSFKADVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRVMDELLAISLRCILPVNERPNMKTVCDDLCSITV >ONIVA07G22470.1 pep chromosome:AWHD00000000:7:20959147:20959532:1 gene:ONIVA07G22470 transcript:ONIVA07G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGSFHQGNDAIGLTPGELNRFNSSFLSSDTISISQSYAIRLAIYLQRIQQRGRMNAEEKSSFRTCSWMRRMASITDADDDGCLAIHFVSLRRTRDTFRTACLAIKS >ONIVA07G22460.1 pep chromosome:AWHD00000000:7:20947705:20958166:1 gene:ONIVA07G22460 transcript:ONIVA07G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCPVLNDRPIDEWKVTELKDELRRRDLSIKGLKDDLVKRLAEALQGEVIDGGEETVNGTPPVENQTQDGALVLDDASGFQASAEQNVDEGPAEVAARNEGLVSVIEASTEGGTLVLDDGSGFQASTEQNVDEGPAEVAAKNEGLASVTEASTEDGTLVLADASGIQASTEQNVDEGPSEVAAKNEGLVSVTEASKDSAIATTEVSQEAVVAAAEVSPEALVAVTEVSEAPLVDVAGTNENSLGDAEATKEGDPESVPSDSNVVKEVCSHAEIHCEVIAEKTPDNGSSKKMTVDDISSDDTSTNTKLEESSAKGEPCVSIGCEILEQETKSSHLDVILSHADAVASAEEMITESLILKKDSNENDLMYEKDQKDSDHISTDCKPVQSGPKDQVSEVNQDLESQIKCVSISPDDILVNKNDNVEGNMNADNFDLGLESKQDIVKPSSSNPSSVGDDLQTPDDDKEIPLIDMSLQDTDMSLEKKEGSPDSIYPEKLNLDRSSGDESMEEDAMETKHMDSKTIPDYLEGKSEVTLEHVSSGDESMEEDVMETKHVDSNTKVEHVSSGDESMEEDVMETKHVDSNTKPDYLEGKNDVTLEHVVKEVILLDTVTEGSSVDQKEAISQEKPVMPTEKRKAEDQEVVANNEPIKRQRLWNVDDVKPEQATSKLSGSDASKVVHPPARRRSFGRLAEYHAKLRMMKNLRDSLNARIATRLDAKTIILKDCIFDLFIEERGRAEKLEEKKKAEQASRDLRERTALLNLAFVTLKKKRNDSSVMHTNAMKAAQMGLMATTSEHLKMQSKAMKQLCRLFPLRRVIKDGEQNDGYNGPYDVICNARLPRGLDPHSVPSEELSASLGYMLQLLSIVVPILAAPALHISGFGASCSRVWQRSSYWSTRQSQSKVYPLFIPRKNNCSVGEDNSWTESGSGNFGVQSVDSDNKSVLDSKRSNSFNFSIASSHSMERHQDLQRGISLLKTSVTAITTYYYNSLGMDVPSNLSTFEAFAKLLHMLSSLKVLRTTLQSNVASRSEKQGQQLNRSIWKASSAISSNSSLMDSVNTAIMPSSLDNLLLNSNTSFLYSGKPTKHGGVPDNILEGWDMVERDVLPPPPSRVEDVAQWERAHTFNRTGSKKK >ONIVA07G22460.2 pep chromosome:AWHD00000000:7:20951771:20958166:1 gene:ONIVA07G22460 transcript:ONIVA07G22460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRRGSGGNTSSCCALCEGSNLPSCCSACVNSKLAEYHAKLRMMKNLRDSLNARIATRLDAKRKGDEQRNWRVSKAHDIKELRDHLAELKRRNAIEKKKAEQASRDLRERTALLNLAFVTLKKKRNDSSVMHTNAMKAAQMGLMATTSEHLKMQSKAMKQLCRLFPLRRVIKDGEQNDGYNGPYDVICNARLPRGLDPHSVPSEELSASLGYMLQLLSIVVPILAAPALHISGFGASCSRVWQRSSYWSTRQSQSKVYPLFIPRKNNCSVGEDNSWTESGSGNFGVQSVDSDNKSVLDSKRSNSFNFSIASSHSMERHQDLQRGISLLKTSVTAITTYYYNSLGMDVPSNLSTFEAFAKLLHMLSSLKVLRTTLQSNVASRSEKQGQQLNRSIWKASSAISSNSSLMDSVNTAIMPSSLDNLLLNSNTSFLYSGKPTKHGGVPDNILEGWDMVERDVLPPPPSRVEDVAQWERAHTFNRTGSKKK >ONIVA07G22450.1 pep chromosome:AWHD00000000:7:20945712:20946218:-1 gene:ONIVA07G22450 transcript:ONIVA07G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein [Source:Projected from Arabidopsis thaliana (AT4G37660) TAIR;Acc:AT4G37660] MPLFASKFAPLIPRLRRLSTAAAAATATAAGEDPKLSRIADQLLELSPAELDDYSALLRLKLRLSLTSSAASGAAATGAAGDAASGSAGAEEAAAVKTAFDVKIEKYEAAAKIKIIKEVRAVTDLGLKEAKELVEKAPVVVRSGLPKEEAEALAAKLKAAGAAVALE >ONIVA07G22440.1 pep chromosome:AWHD00000000:7:20943140:20943645:1 gene:ONIVA07G22440 transcript:ONIVA07G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPDLYSLTRVASWLMPLVFLVSIESYFLRSLHSSRYGVQSIEWVIFTVMTTVVLIGTLVIILLPVTQKGMMEEVPLPPSMKRSEDMIRESLHLLFPFVQMKRSEDDTRTPASSI >ONIVA07G22430.1 pep chromosome:AWHD00000000:7:20935854:20937500:-1 gene:ONIVA07G22430 transcript:ONIVA07G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVVVVVVVAVLALACGAASQSPAPAAAAGPASDCGSSITALAGCLTYITPGSPEARPAKDCCAGVKSALGSPAAVACLCGALGQDFGIKINYTRAAALPAACGGDSSALSKEISRCLSYWSTRTIFFRFWVRINTGHRHAIFTEVGGGPISGLGDAHCSHGCCTSAFLLLPLKIFTTYDLVSETL >ONIVA07G22420.1 pep chromosome:AWHD00000000:7:20919226:20934382:1 gene:ONIVA07G22420 transcript:ONIVA07G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDYSVYLLACGSIRLWDSNPRVWMQISKHFSVLKLTGDVFLFMNLRGNATAAAKAKANTSPSPGCAFNQNTGAAAAAAADAEEKESGSRMITLTSNEGKAFVVTEASARQSATIRSMVDDGGCVDKGFPLPNVDSKTLARVIQYCDEHGNKEPHTVDERAALAKFDRDFIAELDADKAFLYDVTMAANYLHIQGLLALTTQCVADTIKGKTPEEIRTAFGIEFAMQQFSVLRDVFLLTNFYQVQGSGEAAAAAAAAEGKGEEPVAAAVVEMEGEDAVVPEAVAADAEKAEEKGSGSRMITLKSNEGKAFVVTEASARQSTTIGHMIDDDCTREAVPLPNVDSKTLEKVIEYFDEHANNKADTDDEKAALDKFDKDFIGELDGDKAFLFHVTMAANYLHAQGLLDLTTQCIADTIKGKTPEEIRTAFNIARRSRRRTPGPSEEHAPAASDRLTFEFVHPVQRAMAAAAEDAAAAAAAAAAEEEEEKESGSSRMITLKSNDEKLVEVTEASARQSRAIANLIDDGCADVIPLPNVDSKTLAKVIPYCDEHGRANSGTDEERAALGRFDADFVGELDKDKASLIDVIMAANYLNIQGLLDITCQRVADTIGSATAEKIREAFDIEDDLTEAEKKEIREENAWAFDGIPCLLVGIWFDGGIPIQEIRLQICNISQWSVLDRSGNAFPAREFALGASLVLLAWIVVRIELRMGKKHRCDFI >ONIVA07G22410.1 pep chromosome:AWHD00000000:7:20917826:20918659:1 gene:ONIVA07G22410 transcript:ONIVA07G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKGKGKEEGEGSAMASKAARILVVPSAAGVGNALLEAFAKVAVEKKDEVATVSELVRKLVSEGVAEEVAVTEALEHPVFDSPEKVVPEVAEEEESGGRMITLKSLDGKTVKVKEASARLSETIGNLIDDGRRRGDETIPRLFVSYKALMKVIEYCDEHANNKADTDERKEELKNWDKAFIDKLDEDNILFVEVLAASNYLKITGLSKLTDQRFVDPFNTSNKTPDAEETRVNLIPANTSATASTSRPSTSTSSPSTSTSASHSATRRGRGRRRH >ONIVA07G22400.1 pep chromosome:AWHD00000000:7:20913474:20914151:1 gene:ONIVA07G22400 transcript:ONIVA07G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKGKAVMPMEVDQVEDEREAETVVEAVQKAVYDALEKVEMMEEEGEAAVAEAADKLLEEAVEKAVTEALEEALEEAGWDAAEKALSDDLEKVSLEAESARMITLESSDGEAVKVKEASARLSKTIGNIIDDGRGDEAIPLPDVSYKTLKKVVEYCDKHADEKSDTDEQKEELKNWDKAFIDELAEDDDSLVKVIMASNYLKIDGLHNLASQCKTTREQIGKA >ONIVA07G22390.1 pep chromosome:AWHD00000000:7:20910759:20911145:1 gene:ONIVA07G22390 transcript:ONIVA07G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLRSRRSSSTLVALRSASQTQTAYPGPGRRCRRRGGRRSGGRSAGPGASSSAAAGGAGKGDDGEAVGLHPALSFVRDGGGSSAELRPPARRQKGGGKPRASSVRLHAAEREGGKPRQAAASLFVS >ONIVA07G22380.1 pep chromosome:AWHD00000000:7:20896884:20897921:-1 gene:ONIVA07G22380 transcript:ONIVA07G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4B0] MDNNRTHPSHPVPPSPLTYKRAPPRTPTNGSLPNPRMLPVAPTRQPLTASSWPRLAAAGPTPPRPNLPLNPAPPPPPPPPNPSPAAMLRRLAAAAPRAFFSSSTPHAPPPPAGYTQRREYGLVPMVIEHTSRGERAYDIFSRLLKERIVCIHGPITDDTASLVVAQLLFLESENPAKPVHLYINSPGGVVTAGLAIYDTMQYIRSPVTTLCIGQAASMASLLLAAGARGERRALPNARVMIHQPSGGASGQASDIAIHAKEILKVRDRLNKIYAKHTSQAIDRIEQCMERDMFMDPEEAHDWGLIDEVIEHRPVSLVSDAVGSDLPNLGGGGDGANKATDEPSPA >ONIVA07G22370.1 pep chromosome:AWHD00000000:7:20889955:20895198:1 gene:ONIVA07G22370 transcript:ONIVA07G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 35 [Source:Projected from Arabidopsis thaliana (AT5G08260) TAIR;Acc:AT5G08260] MSMALLSLSLAVAFLAAASAAGATGASRSMRRPEEDLVAGLPGQPDVRFRHYAGYVGVGNGKALFYWFFEAEKEPEKKPLLLWLNGAVNLLFLEAPVGVGFSYTNRTSDLRRLGDRVTAQDSYSFLLNWLKKFPEFKNRDFYIAGESYAGHYVPQLAELIYDGNKGASRDRVINIKGFMIGNAVLNDATDQMGMVEYAWSHAIISDELYSAVRRECDSFKEEEDGGKPSKGCSPAVRAFLRAYDDIDIYSIYTPTCLSSSSSSPASASPRRSSPGLVAAPRLFSKHEAWRRMQRVPAGYDPCTEEYVKGYFNREDVQRALHANRTGLSYPYSPCSEAISKWNDSPSTVLPILKKLMGAGLRIWVYSGDTDGRVPVTSTRYSLNTMKLRPRLMRKTAGDGAGEESEWGGWRAWYDRQQVGGWAVEYEEGLTLVTVRGAGHQVPLFAPRRSLAMLYHFLRGSSLPASRSR >ONIVA07G22360.1 pep chromosome:AWHD00000000:7:20885797:20886270:1 gene:ONIVA07G22360 transcript:ONIVA07G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALAATVALCRAAGAPVVYTRHVDPVPRSGPLDEWWPGDRIADGTPAAELLPSSGRREGDLVVEKSTYSGFAGTGLEEALRRMGVEEVIVTGVMTNLCCETTARDAFVRGFRVFFSADATATASQDLQEATLANMAYGFAYIVDCQRLEAAFGKVK >ONIVA07G22350.1 pep chromosome:AWHD00000000:7:20874983:20882399:-1 gene:ONIVA07G22350 transcript:ONIVA07G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEEVAQGSDGARVAVVVVGAPVGDDSAAPPPAAAAGAAPAEAAAAATGLQAMAVTVARDVEAGGLDASTSGAADEKPSWFTPKRLLVMFCLINMLNYVDRGAIASNGVNGSRQSCTGGTCTSGSGIQGDFNLNNFEDGVLSSAFMVGLLIASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFNHSVKTKEYGEMLNPERQDETKQGASIGVDGLAETLPHKFSISSFGKKVLTEIKHFMKDMKELLQEKVYVINVLGYISYNFVIGAYSYWGPKAGQDIYNMASADIMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFKSLYGFIPFFSVGELLVFATQAPVNYVCLHCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGLLQDKIHNWRSTALTLTSILFIAAIFWFIGIFVRSVDRFNEQSEHDVPAVERSNLRPLLDENEEARAS >ONIVA07G22350.2 pep chromosome:AWHD00000000:7:20874983:20882399:-1 gene:ONIVA07G22350 transcript:ONIVA07G22350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEEVAQGSDGARVAVVVVGAPVGDDSAAPPPAAAAGAAPAVTPGEEAAKRESLLAAAAAAAAAAAECKQEAAAAATGLQAMAVTVARDVEAGGLDASTSGAADEKPSWFTPKRLLVMFCLINMLNYVDRGAIASNGVNGSRQSCTGGTCTSGSGIQGDFNLNNFEDGVLSSAFMVGLLIASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFNHSVKTKEYGEMLNPERQDETKQGASIGVDGLAETLPHKFSISSFGKKVLTEIKHFMKDMKELLQEKVYVINVLGYISYNFVIGAYSYWGPKAGQDIYNMASADIMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFKSLYGFIPFFSVGELLVFATQAPVNYVCLHCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGLLQDKIHNWRSTALTLTSILFIAAIFWFIGIFVRSVDRFNEQSEHDVPAVERSNLRPLLDENEEARAS >ONIVA07G22350.3 pep chromosome:AWHD00000000:7:20874983:20882399:-1 gene:ONIVA07G22350 transcript:ONIVA07G22350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEEVAQGSDGARVAVVVVGAPVGDDSAAPPPAAAAGAAPAVTPGEEAAKRESLLAAAAAAAAAAAECKQEAAAAATGLQAMAVTVARDVEAGGLDASTSGAADEKPSWFTPKRLLVMFCLINMLNYVDRGAIASNGVNGSRQSCTGGTCTSGSGIQHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFNHSVKTKEYGEMLNPERQDETKQGASIGVDGLAETLPHKFSISSFGKKVLTEIKHFMKDMKELLQEKVYVINVLGYISYNFVIGAYSYWGPKAGQDIYNMASADIMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFKSLYGFIPFFSVGELLVFATQAPVNYVCLHCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGLLQDKIHNWRSTALTLTSILFIAAIFWFIGIFVRSVDRFNEQSEHDVPAVERSNLRPLLDENEEARAS >ONIVA07G22350.4 pep chromosome:AWHD00000000:7:20874983:20882399:-1 gene:ONIVA07G22350 transcript:ONIVA07G22350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEEVAQGSDGARVAVVVVGAPVGDDSAAPPPAAAAGAAPAVTPGEEAAKRESLLAAAAAAAAAAAECKQEAAAAATGLQAMAVTVARDVEAGGLDASTSGAADEKPSWFTPKRLLVMFCLINMLNYVDRGAIASNGVNGSRQSCTGGTCTSGSGIQGDFNLNNFEDGVLSSAFMVGLLIASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFNHSVKTKEYGEMLNPERQDETKQDIMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFKSLYGFIPFFSVGELLVFATQAPVNYVCLHCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGLLQDKIHNWRSTALTLTSILFIAAIFWFIGIFVRSVDRFNEQSEHDVPAVERSNLRPLLDENEEARAS >ONIVA07G22340.1 pep chromosome:AWHD00000000:7:20871205:20871825:-1 gene:ONIVA07G22340 transcript:ONIVA07G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDAATFQVYRPMAMPTPAALPPSSQQITMPFTAAPVDAVLPAPRKAAATQGGKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTIEWLLRQAEPSILAATGSGTTPAVFSCSSAPSTASSSFLLGKRPRQEDHEAPTFWEALQQQPRPAVSSWGALVSPSQEAQVYASSVAQVHHLNLLSALSGAATRRPAQEESR >ONIVA07G22330.1 pep chromosome:AWHD00000000:7:20863454:20867393:-1 gene:ONIVA07G22330 transcript:ONIVA07G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTILTPNLPDPENAQEVGCLRRQQASVDDGKGSEPQMLIDMIPMEWSEGYLWSSPGLLISVDIEGAQMLIVIPMDRAKSILGPRPADRRMEDKSFIPSFHRVQHVRIVSVALGPSVTRLAFGGPLDVQTIIACAGSSTPRVLDVFGGVESASCTDAFLLRTGFLMLKSTACGKCDFCIIIRERRDVCTLRFHWLDDTCG >ONIVA07G22330.2 pep chromosome:AWHD00000000:7:20863454:20865773:-1 gene:ONIVA07G22330 transcript:ONIVA07G22330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFENLPGPLPQPYESCQIYSLQKPDPDAMPTILTPNLPDPENAQEVGCLRRQQASVDDGKGSEPQMLIDMIPMEWSEGYLWSSPGLLISVDIEGAQMLIVIPMDRAKSILGPRPADRRMEDKSFIPSFHRVQHVRIVSVALGPSVTRLAFGGPLDVQTIIACAGSSTPRVLDVFGGVESASCTDAFLLRTGFLMLKSTACGKCDFCIIIRERRDVCTLRFHWLDDTCG >ONIVA07G22310.1 pep chromosome:AWHD00000000:7:20856559:20857599:-1 gene:ONIVA07G22310 transcript:ONIVA07G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I4A0] MSSSSVQTSRSPSHEVDAETDEELYKQFTDLVSSWPSSEPMPFLPLYRHDKGWYSSLMPMVGAMVADARFAARPSDIIVATLPKSGTTWIKALLYATVHRREHPADAAADHPFNSLGPHECVNFLEYQLYTNNRVPDLGRLPDPRLFATHVPFTSLPSAAAASRCKVVYVCRDPKDNLISMWDFANKFRAREGQEPMSPEAIAELFCLGVSPSGPYWDHVLGYWGAHVARPEQVLFFRYEEMKLDAAAHVRRLAEFVGLPFSAEEEEGGVVDAIVRLCSFDHMIGLEATKSGKTELVVGTAANSSFFRRGQVGDWANHLSPEIAQRIDAITEARFNGSGLRPSGTK >ONIVA07G22300.1 pep chromosome:AWHD00000000:7:20853214:20853816:1 gene:ONIVA07G22300 transcript:ONIVA07G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRLPLILLSLLAISFSCSAAPPPVYDTEGHELSADGSYYVLPASPGHGGGLTMAPRVLPCPLLVAQETDERRKGFPVRFTPWGGAAAPEDRTIRVSTDVRIRFNAATICVQSTEWHVGDEPLTGARRVVTGPLIGPSPSGRENAFRVEKYGGGYKLVSCRDSCQDLGVSRDGARAWLGASQPPHVVVFKKARPSPPE >ONIVA07G22290.1 pep chromosome:AWHD00000000:7:20845080:20846476:1 gene:ONIVA07G22290 transcript:ONIVA07G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSINGVHVIGVPVTAKAFGIEEEVSLARGQSFRKADGDHLAVSLSHPSPYTSFGYKHSSKGQVIHWVSKLSRRAQGFREHVTLGPKLSETVKGKLSLGAKILQAGGIERVFRKAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTKKVAFRSDRPVTVTSAKGDVARVPYKVVVPLRRIAQVRPSENADKPEEKYIHVVTVDGFEFWFMGFVSYQRSCKYMQQAISELQ >ONIVA07G22280.1 pep chromosome:AWHD00000000:7:20840316:20841950:1 gene:ONIVA07G22280 transcript:ONIVA07G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTSKLGRRFLGRVPCVWSG >ONIVA07G22270.1 pep chromosome:AWHD00000000:7:20836997:20837668:-1 gene:ONIVA07G22270 transcript:ONIVA07G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANENGFNGMVRCWKTSGTSIRMKIYDLGISSTQIDTCHDTITRFPIRTVVACYIEQENGK >ONIVA07G22260.1 pep chromosome:AWHD00000000:7:20821352:20823877:-1 gene:ONIVA07G22260 transcript:ONIVA07G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSREKDAGGGPRRRPGSVGDVVVFLPGLRVPRSVDFSQALAGRLDEASSRLSSLRARVVDMAMQESAAALKPKRRAAARHGSSTANLLQALEDYLPVLLGLVKEGSELRHGVHFVWTNQEDNAEETAMADAWYEVLSVLHLMAMVCLLQANSLLLPRSYGDGYAPRVSEESRRATVDVFLKASGYLDCAIRQVLPQISSELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQIQESIPEIPVSDGWGKKHLLFVKWKYVEAKTRETQRNLMEWQSPTPFGTAKYMLDKIPKDASSKVKINQDLYTQERVIGTPPPLPDFALALKPDDYDLPPLDPLWNKEDSRQ >ONIVA07G22260.2 pep chromosome:AWHD00000000:7:20821352:20823877:-1 gene:ONIVA07G22260 transcript:ONIVA07G22260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSREKDAGGGPRRRPGSVGDVVVFLPGLRVPRSVDFSQALAGRLDEASSRLSSLRARVVDMAMQESAAALKPKRRAAARHGSSTANLLQALEDYLPVLLGLVKEGSELRHGVHFVWTNQEDNAEETAMADAWYEVLSVLHLMAMVCLLQANSLLLPRSYGDGYAPRVSEESRRATVDVFLKASGYLDCAIRQVLPQISSELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQIQESIPEIPVSDGWGKKHLLFVKWKYVEAKAAAYYFHGLILDEGNTEKSHGMAVAALQASEEFLKESKRASEAFHATPPTSRQDILPFEHFAFQIFLCFILSIRTLPRWSPTPFGTAKYMLDKIPKDASSKVKINQDLYTQERVIGTPPPLPDFALALKPDDYDLPPLDPLWNKEDSRQ >ONIVA07G22250.1 pep chromosome:AWHD00000000:7:20813135:20820443:1 gene:ONIVA07G22250 transcript:ONIVA07G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G15010) TAIR;Acc:AT4G15010] MAPGQETATTTAPPRRQAEKAPPPPARAGSDLDPSRCSAREEAGGGHTLSSSRAATARTGASGGAASWSVVKSAGVQVQLNNRPEHHHITGSKIGPEFNSGERFPSRPNRIKIRATRRQAYWAGPARLLSATGSQQKMGLGQVVDRLMSASGPAGLYSGIGWSVLGKLPGLGARFGTYELLTAFYKDGKEDNYVSYSEAMLAGIAAGAVESVLCTPFELLKLRSQVGSVKPMKATDPANITKVAFPLLSKLLPGYVPDIRVWNSSVSLLSNLSPKHPDMMGALKQHPWMLTGSGKPPLPSDVQVPSRVIALEGWGALWRGLRPGMTRDCVFGGMFFSTWQFIHTAMLTWSAVNMNPQPSKLEEAGPVSPFASSLAAGFSGVVAAAASHTFDTAKSRSECTVIPKYIAMERRLLKWKVPGNWIERKTGISPADRNVLFRGIGQRMARSGFASFLLVGSYYFVIDQFL >ONIVA07G22240.1 pep chromosome:AWHD00000000:7:20810514:20813237:-1 gene:ONIVA07G22240 transcript:ONIVA07G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein [Source:Projected from Arabidopsis thaliana (AT5G23395) TAIR;Acc:AT5G23395] MGQGLSQPAQAVEEPSPPAVEAAPSSSPSPAPAPSSLEALAAEAMSFDEDGNESIDVKVQKALDCPCVAELKNGPCGSQFVDAFSCFLKSTEEEKISDNFQLIWAFRLKPCHCRKCVCVSFYYTNIKSSLKLFVDLIEHKGSDCVKPFITLQDCIKINPEAFSKEILEEEENDEEAEKSNLKVRAPAWSRESKPKL >ONIVA07G22230.1 pep chromosome:AWHD00000000:7:20805824:20809546:-1 gene:ONIVA07G22230 transcript:ONIVA07G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSFFQPLTTPIAGAGAGGAARLRRGTLALPFPTRTRPLRRPAPLLVARAKRPGSRTAAASRQPANPSDVPKREADEEVEVEEEMPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVSFVLAVVRTVRRFTSPRTQKKRRVSKNIFLLKSLDELFQKGREAVDFPALQELMEKTGFDMDDVVRKYIRYTLNEKPFNPDVVVDLIHLRKASMLEDAEVAEILNEISRRIVREKGPVVMDLAGFTEQGFKRKLAVQTLFGKILYLSELPEFCSRDGSLVVKEIFGVTDEDADSIRIHTLSETSDIESLEKMVDDSELGHGPSPLS >ONIVA07G22220.1 pep chromosome:AWHD00000000:7:20803823:20804980:-1 gene:ONIVA07G22220 transcript:ONIVA07G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRHTPRRADAAAAAAAMEPLVPGATRAALSEFVATAVFVFAAEGSVYGLWKMYRDTGTLGGLLVVAVAHALALAAAVAVSRNASGGHVNPAVTFGVLVGRRISFARAALYWAAQLLGAVLAVLLLRLASGGMRPMGFTLGHRIHERHALLLEVVMTFGLVSTVYATAVDRRSGGGDIAPLAIGFVAGANILAGGPFDGAAMNPARAFGPALVGWNWRHHWVYWLGPLIGAGMAGALYEFVMAEQPEPPAAADTRLPVAAEDY >ONIVA07G22210.1 pep chromosome:AWHD00000000:7:20799246:20804133:1 gene:ONIVA07G22210 transcript:ONIVA07G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATADSRSGIKLFEACINSNLRSFLHSVTPTLEPYTVAKPGGYSDRVPELGRCFFLVDLWNHFYPLSAYGVGTPVRLPSGQEIEQYFVPYLSAIQLHTISDFTSCNEIVVGNNLFDANNYGWCSAADNWNGQYATTSLARYDSPRSMNGGPCFQYFECDSPYERMPLADKVYELCYNFPPLSYLSSIELSPSSWMSVFWYPIGHVPAMNKKDLTTCFLTYHSLSTLEDRTPFDSKDPLTLPPIGLATHKTDGDVWTSANSGDQELTTSLVGAADSWLKKLDVQHHDFNYFLNSNRNLIHYRSLTEASTSAV >ONIVA07G22200.1 pep chromosome:AWHD00000000:7:20793749:20797704:-1 gene:ONIVA07G22200 transcript:ONIVA07G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASSAVLILPNSRAPAALSRRRAPVPRRGFVVGFEGRSRRGAAGTVRACFNPPGDERILREALKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGVAEDSRTDESRAKQVTWFGFLAFLMKLASGIRTC >ONIVA07G22200.2 pep chromosome:AWHD00000000:7:20793749:20797704:-1 gene:ONIVA07G22200 transcript:ONIVA07G22200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASSAVLILPNSRAPAALSRRRAPVPRRGFVVGFEGRSRRGAAGTVRACFNPPGDERILREALKVTWFGFLAFLMKLASGIRTC >ONIVA07G22190.1 pep chromosome:AWHD00000000:7:20792508:20792936:-1 gene:ONIVA07G22190 transcript:ONIVA07G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSCFLIVLLLAVAMGGSKLSLAAGESGGGGIPTTLGRELRELISKASDFLGAAPRRAGADGWHAAAATGDGADAIRASLRSTARGRPARKSAANCIPADMCRKKKVLCGKRCYRSSSSSSLSHIPSTKCVVKCKKCVPTC >ONIVA07G22180.1 pep chromosome:AWHD00000000:7:20784076:20789270:-1 gene:ONIVA07G22180 transcript:ONIVA07G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGGGGDTRRTAAGQAMVELQANASSAGGAMVVGLSPLSETLWRDSKAMPGAAAALIGDVSARLTWKDLSVTVALGPGKTQTVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGNVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSAMLRLPDKMPREDKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSSGKTVYFGQASQACEFFAQTGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARIERSDDPLDRMTTSEAIRKLVASYSRSQYYYAARERVNDISRLKGTVLDSGGSQASFLMQAGTLTKRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYYDVGTKYTSILARAACTAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTISALPFLVLICFLSGTICYFMVRLHPGFSHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKPVWRYPMQYISFHYWALQGQCQNDMDGLVFDNQYPDQPKIPGDFILKYIFQINVHRSKWIDLSVIFSMIFIYRILFFLMIKVNEDALPWIRGYIARKRLQKKEPLGKTPSLRGYVVDPELGPNES >ONIVA07G22180.2 pep chromosome:AWHD00000000:7:20784076:20789270:-1 gene:ONIVA07G22180 transcript:ONIVA07G22180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGGGGDTRRTAAGQAMVELQANASSAGGAMVVGLSPLSETLWRDSKAMPGAAAALIGDVSARLTWKDLSVTVALGPGKTQTVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGNVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSAMLRLPDKMPREDKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDRYASSLHPVTAELTDGVRWTDRGDTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSSGKTVYFGQASQACEFFAQTGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARIERSDDPLDRMTTSEAIRKLVASYSRSQYYYAARERVNDISRLKGTVLDSGGSQASFLMQAGTLTKRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYYDVGTKYTSILARAACTAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTISALPFLVLICFLSGTICYFMVRLHPGFSHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKPVWRYPMQYISFHYWALQGQCQNDMDGLVFDNQYPDQPKIPGDFILKYIFQINVHRSKWIDLSVIFSMIFIYRILFFLMIKVNEDALPWIRGYIARKRLQKKEPLGKTPSLRGYVVDPELGPNES >ONIVA07G22170.1 pep chromosome:AWHD00000000:7:20780573:20787238:1 gene:ONIVA07G22170 transcript:ONIVA07G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hus1-like protein (InterPro:IPR007150); Has 129 Blast hits to 128 proteins in 49 species: Archae - 0; Bacteria - 0; Metazoa - 57; Fungi - 10; Plants - 47; Viruses - 0; Other Eukaryotes - 15 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G52530) TAIR;Acc:AT1G52530] MKFKAFFTDDGIALLDKRFLPAMDKVGRLCHVYLTPTHAMLLHNLLGPTGTGPDGGGPQCVAQFAKDLLFREYSVSSRNGNRVAFAVDVALLHRALRSALAVHAQSPAAGDASAAIQVKLVNKLPAGSRSASPFLTFETKGARSAVVQDVPISRPLSRSDVERLQAALDSAQELPQTLVQVPDLPQLQSLVDRLKNVGDLLTVAVTQYGDLHLHVATSLVTVGSEFRKLRILGVRATAPVGDQNFSASTRTDMAIERGEALSVQVNMKHLAKSLQCHLAKPDCTFYGIAPGGACLTVIFQYFIPGTRLTDRSISFYCRLPVLDPGS >ONIVA07G22170.2 pep chromosome:AWHD00000000:7:20780573:20783281:1 gene:ONIVA07G22170 transcript:ONIVA07G22170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hus1-like protein (InterPro:IPR007150); Has 129 Blast hits to 128 proteins in 49 species: Archae - 0; Bacteria - 0; Metazoa - 57; Fungi - 10; Plants - 47; Viruses - 0; Other Eukaryotes - 15 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G52530) TAIR;Acc:AT1G52530] MKFKAFFTDDGIALLDKRFLPAMDKVGRLCHVYLTPTHAMLLHNLLGPTGTGPDGGGPQCVAQFAKDLLFREYSVSSRNGNRVAFAVDVALLHRALRSALAVHAQSPAAGDASAAIQVKLVNKLPAGSRSASPFLTFETKGARSAVVQDVPISRPLSRSDVERLQAALDSAQELPQTLVQVPDLPQLQSLVDRLKNVGDLLTVAVTQYGDLHLHVATSLVTVGSEFRKLRILGVRATAPVGDQNFSASTRTDMAIERGEALSVQVNMKHLAKSLQCHLAKPDCTFYGIAPGGACLTVIFQYFIPGTRLTDRSISFYCRLPVLDPGS >ONIVA07G22160.1 pep chromosome:AWHD00000000:7:20764532:20771569:-1 gene:ONIVA07G22160 transcript:ONIVA07G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGGKQSFSRSKEAEESAGLSNADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETDNCRASSRYHPFVEMQQPVDGVMMNNMLGVSAPSTSTTTANSDNHFATHYDIAGVFNEAGAGLRGVSKTLHDSVRFAEPYPECSAEFMEPALYSSNATMESNNLDDNSRLETFMSEALYTNNLTQKEADALSAAGIMSSQAENNSYTDGIRYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSDARQMDTSDPQTTGINEMHLHIRLEKLLSLGPDDYEKYVMSDGKEKSEIINTISSLMLDDKCLNQAVPLDEKEVSTARDQNIEKLVKEKLYCWLVHKVHDEDKGPNVLGKEGQGVIHLVAALGYDWAVRPIITAGVKVNFRDARGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFPAGRTAADLASENGHKGIAGFLAESALTSHLSALTLKESKDGNVKEICGLGGAEDFAESSSAQLAYRDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSIKNAKPGQNDGSHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRRIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSPIQQLEGPSQIQPAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRIANRVTELQEPQAMMIQDDMQSDGAIADGGDFMAELEELCGDGDAPMPTIL >ONIVA07G22160.2 pep chromosome:AWHD00000000:7:20764532:20771569:-1 gene:ONIVA07G22160 transcript:ONIVA07G22160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGGKQSFSRSKEAEESAGLSNADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETEKFGATDNCRASSRYHPFVEMQQPVDGVMMNNMLGVSAPSTSTTTANSDNHFATHYDIAGVFNEAGAGLRGVSKTLHDSVRFAEPYPECSAEFMEPALYSSNATMESNNLDDNSRLETFMSEALYTNNLTQKEADALSAAGIMSSQAENNSYTDGIRYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSDARQMDTSDPQTTGINEMHLHIRLEKLLSLGPDDYEKYVMSDGKEKSEIINTISSLMLDDKCLNQAVPLDEKEVSTARDQNIEKLVKEKLYCWLVHKVHDEDKGPNVLGKEGQGVIHLVAALGYDWAVRPIITAGVKVNFRDARGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFPAGRTAADLASENGHKGIAGFLAESALTSHLSALTLKESKDGNVKEICGLGGAEDFAESSSAQLAYRDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSIKNAKPGQNDGSHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRRIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSPIQQLEGPSQIQPAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRIANRVTELQEPQAMMIQDDMQSDGAIADGGDFMAELEELCGDGDAPMPTIL >ONIVA07G22160.3 pep chromosome:AWHD00000000:7:20764532:20771569:-1 gene:ONIVA07G22160 transcript:ONIVA07G22160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGGKQSFSRSKEAEESAGLSNADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETGYHGEMQTTTANSDNHFATHYDIAGVFNEAGAGLRGVSKTLHDSVRFAEPYPECSAEFMEPALYSSNATMESNNLDDNSRLETFMSEALYTNNLTQKEADALSAAGIMSSQAENNSYTDGIRYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSDARQMDTSDPQTTGINEMHLHIRLEKLLSLGPDDYEKYVMSDGKEKSEIINTISSLMLDDKCLNQAVPLDEKEVSTARDQNIEKLVKEKLYCWLVHKVHDEDKGPNVLGKEGQGVIHLVAALGYDWAVRPIITAGVKVNFRDARGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFPAGRTAADLASENGHKGIAGFLAESALTSHLSALTLKESKDGNVKEICGLGGAEDFAESSSAQLAYRDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDERTLSLVSIKNAKPGQNDGSHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRRIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSPIQQLEGPSQIQPAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRIANRVTELQEPQAMMIQDDMQSDGAIADGGDFMAELEELCGDGDAPMPTIL >ONIVA07G22150.1 pep chromosome:AWHD00000000:7:20763036:20763437:-1 gene:ONIVA07G22150 transcript:ONIVA07G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLASNARGAVTGYPLKLQVVKWSTKEVEPNPEFLRGMLPKIDWPALVAATQALGLPELLPEAPPTDAELSAEGAAADEGSALRRLHRALLEIHIEEGALVCPDTDRCFPISRGVPNMLLHEDEVRN >ONIVA07G22140.1 pep chromosome:AWHD00000000:7:20750633:20753868:-1 gene:ONIVA07G22140 transcript:ONIVA07G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidases [Source:Projected from Arabidopsis thaliana (AT1G34640) TAIR;Acc:AT1G34640] MGRDEMLRRSLVALAAAVVVTGVVTASVRKAAATYGFGILAIAGMLLPDWEFFDRDYSQWLTPMPASRRTAAEAAADREHDVWK >ONIVA07G22130.1 pep chromosome:AWHD00000000:7:20740244:20748678:-1 gene:ONIVA07G22130 transcript:ONIVA07G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPAAAIGRRSSILSFAAARDRCFSRRFLRAGLRPLAIPLPTGVDDDAGTTVHVWVPANPPRNPLLLLHGFGASATWQWAPYLRPLIAAGYDPIVPDLLFFGASYTRLADRSEAFQARSIKAAMDAIGVARFGLVGVSYGGFVGYRMAAMYPDAVERVVLVCAGVCLEEKDLAGGLFPVAGVGEAADLLVPRRPEEVRRLVRLTFVRPPCIMPSCFLWDYIKVMGSDYIQEKTELLYALISERQLSDLPIISQPALIVWGERDKVFPMELAHRLKRHLGESSRLVVIRNAGHAVNLEKPKDVCRNIIEFFQEGVTEPLNDEKVFRPVCDPLVHASMHDATEDHPVRQAEMWKPLN >ONIVA07G22120.1 pep chromosome:AWHD00000000:7:20730494:20733360:-1 gene:ONIVA07G22120 transcript:ONIVA07G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTSTADLLTWPEGAAQELAAGATPPPNRRPHQPSEAISKVVFGGQVTEEEFESLNKRKPCSAPKWKEMTGSGIFAAEGEVEEDESANASATPVRTVSKNYQAISTISHISFAEEESVSPKKPTSIAEVAKQRELSGTLQSEDESKMKRQISNAKSKELSGHDIFAPPEDPRPRNSANGSTSQTPGKNAQVSTITFGEADTDSVVKTAKKIPEKKLTDLTGNDIFKGDAAPGTAEKHLSTAKLKEMTGSDIFADGKAPSRDYLGGIRKPPGGESSIALV >ONIVA07G22110.1 pep chromosome:AWHD00000000:7:20724565:20727092:-1 gene:ONIVA07G22110 transcript:ONIVA07G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor SPT4 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0I474] MRGGGGGGGGGGDGMMDDGPKYAQIPTSFGHELRACLRCRLVKTYDQFMEQGCENCPFLDMERDHDNVVNCTTPNFTGIISVMDPGRSWAARWLRIGKFIPGCYTLAVAEELPEEYQSVCQDNNVQYFPPKRV >ONIVA07G22100.1 pep chromosome:AWHD00000000:7:20719850:20727160:1 gene:ONIVA07G22100 transcript:ONIVA07G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFAKYGPNAERIHKGKIMETVPRSRGRSRSRSPRRGYRDDYRERDYRKRSRSRDRYGRDRYRERDYRRRSRSRSYTPDDYRRRGRDSVSPARRSLSRSYSPDDYRKRGKHRMNGVELLMSRGCSVPDDGRLR >ONIVA07G22100.2 pep chromosome:AWHD00000000:7:20719834:20727160:1 gene:ONIVA07G22100 transcript:ONIVA07G22100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLSPLFEKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERIHKGKIMETVPRSRGRSRSRSPRRGYRDDYRERDYRKRSRSRDRYGRDRYRERDYRRRSRSRSYTPDDYRRRGRDSVSPARRSLSRSYSPDDYRKRGKHRMNGVELLMSRGCSVPDDGRLR >ONIVA07G22100.3 pep chromosome:AWHD00000000:7:20719850:20727160:1 gene:ONIVA07G22100 transcript:ONIVA07G22100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFAKYGPNAERIHKGKIMETVPRSRGRSRSRSPRRGYRDDYRERDYRKRSRSRDRYGRDRYRERDYRRRSRSRSYTPDDYRRRGRDSVSPARRSLSRSYSPDDYRKRGKHRMNGVELLMSRGCSVPDDGRLR >ONIVA07G22090.1 pep chromosome:AWHD00000000:7:20716800:20718728:1 gene:ONIVA07G22090 transcript:ONIVA07G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLITITRSPILSCPFSRRPASPARCFAGVRRSRRAAASASFFSPAGGRGGGDAGAGSSLSSAAAAAALGEAAAAGGGGSDSEAILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKEAKAVVLTTAEVKAAEDWQKQCGEKLANHLGTCGFESCLQAGDLKFCPRATNECKAK >ONIVA07G22080.1 pep chromosome:AWHD00000000:7:20712164:20715040:1 gene:ONIVA07G22080 transcript:ONIVA07G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I469] MASPAASKPHVVLIPYPAQGHVTPFLRLAKALHARSFHVTFHTEFNRARLLRSRGAAAVAGADGLPPPGQPAELDATQDIWAICEATRRTGPGHVRALVERLGREAAAGGVPPVSFVVADGAMGFAVHVTKEMGIPTYLFFTHSACGLLAYLNFDQLVKRGYVPLKDESCLTNGYLDNRLDWVAGMIAGVRLRDLPTFIRTTDPDDVMLNITMKQCELDAPAADGILLNTFDGLERAALDAIRARLPNTIAVGPSARRSRRGPLGPEREDGRCAAWLDAHADAAVVYANFGSITVMGRAQVGEFARGLAAAGAPFLWVIRPDMVRDAGDGDGEPLLPEGFEEEVVASGSGRGLMVGWCDQEAVLGHRATGAFLSHCGWNSTVESLAAGVPMLCWPFFSEQVTNCRYACEEWGVGVEMARDAGRREVEAAVREVMGGGEKAAAMRRKAAAAVAPGGSSRRNLESLFAEIAGGVQPVINPV >ONIVA07G22070.1 pep chromosome:AWHD00000000:7:20697422:20700660:-1 gene:ONIVA07G22070 transcript:ONIVA07G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I468] MALATNSAAVSGGAAAAASSAPQPRLAATFLPMRRRTVSAVHAADPAKSNGPVQAAAKASSPSTVAAPEKKPVGLGKWTVDSWKAKKALQLPEYPSQEELDSVLKTIETFPPVVFAGEARHLEERLADAAMGRAFVLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVVKVGRMAGQFAKPRSDSFEERDGVKLPSYRGDNINGDTFDEKSRVPDPQRMIRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMDHSEQGDRYRELAHRVDEALGFMTAAGLTVDHPIMTTTDFWTSHECLLLPYEQSLTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNSGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRRRRMRSGVNSNLPLPPLAF >ONIVA07G22060.1 pep chromosome:AWHD00000000:7:20693600:20696067:1 gene:ONIVA07G22060 transcript:ONIVA07G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:A0A0E0I466] MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTAGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAAKAAATTA >ONIVA07G22060.2 pep chromosome:AWHD00000000:7:20693600:20696596:1 gene:ONIVA07G22060 transcript:ONIVA07G22060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:A0A0E0I466] MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTAGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAAKAAATTA >ONIVA07G22050.1 pep chromosome:AWHD00000000:7:20688429:20692951:1 gene:ONIVA07G22050 transcript:ONIVA07G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGQKIDENVQREIMNHRSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITRILSVQYMVPDYVRVSMECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQMSVQMNDINTPSQGLEEIMAIIQEARKPGDGSKFSGQIPGLGSMELDDIDTDDIDVEDSGDFVCAL >ONIVA07G22050.2 pep chromosome:AWHD00000000:7:20688429:20692537:1 gene:ONIVA07G22050 transcript:ONIVA07G22050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGQKIDENVQREIMNHRSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITTSSVPDFRGKPRASNSSLKPIVPLEQNITFCSVLTSHFLQRITIPEIKNHPWFLKNLPIEMTDEYQMSVQMNDINTPSQGLEEIMAIIQEARKPGDGSKFSGQIPGLGSMELDDIDTDDIDVEDSGDFVCAL >ONIVA07G22040.1 pep chromosome:AWHD00000000:7:20663480:20670648:-1 gene:ONIVA07G22040 transcript:ONIVA07G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMNTAAAKSIRCRAAVSRVAGQPLEMEEVEVAPPRAHEVRIKILCTSICHTDITFWRMEGNHPSILGHEAVGVVESVGEHVQEVAVGDMVVPVFAAQCSECPDCLSDRSNLCSKLPNVAGLMPRDRTTRFSSVSTGEPIRHFLSVSSFAEYTVVDITHIVKLDVGFPPAMACLLSCGISTGVGAAWKVAAVEPGSSVAVAQGARMRGAKRIIGVDLNPDKFDVGKRLGMTDFINPNDTGGKTVSEVIKEMTGGGGADYCFECIGSTSTILLGVSGNKAPISIPSHEILRGRSVIGSLFGGIKPKNDIPMLAQKYLDKELELEEFITHEMGFEEINRAFELLTQGKSLRCIIWMDGAKEIDNGV >ONIVA07G22030.1 pep chromosome:AWHD00000000:7:20653441:20663304:-1 gene:ONIVA07G22030 transcript:ONIVA07G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSLANNVDSFREREREREAQTGRASACFSLEMEQTNAAASSAKPIRCRAAVSRAAGQPLEMEEVEVAPPRAHEVRIKIICTSLCHTDITFWRMEGLHPSIFGHEAVGVVESVGEHVREVAVGDTVVPVFTAQCSECPDCLSDRSNICSKLPDVHGFMPRDGTTRFSSASTGEPIRHFLSVSSFVEYTVVDVSHVVKLDANFPPAMACLLSCGISTGVGAAWKVAAVEPGSSVAVFGLGAVGLAVAQGARMRGATRIIGVDLNPDKFDVGKRLGITDFINPNDTGGKTVSEVIKEMTGGGGADYCFECIGSASVMAEAFQSSRMGWGKTILLGVIGSMAPIGIPPQDLLPGRSVIGSLFGGIKPKNDIPMLAQKSWSWRSS >ONIVA07G22020.1 pep chromosome:AWHD00000000:7:20648288:20651044:-1 gene:ONIVA07G22020 transcript:ONIVA07G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFFYLLERHSSEPRTSETPRFRKLSREAPMAAGEGKAPTLAAEEHTLPPHEVPVENSSSEKSSDNSVAEVVPEKDAETPAAQDTTSVVEDKSETPEMTASSEKPEEEGSNAATEESNEAEEETIDEKPEIKIETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEGAPECEKFAKYYRSLCPSEWIERWNEQRENGTFPGPL >ONIVA07G22020.2 pep chromosome:AWHD00000000:7:20648281:20651044:-1 gene:ONIVA07G22020 transcript:ONIVA07G22020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFFYLLERHSSEPRTSETPRFRKLSREAPMAAGEGKAPTLAAEEHTLPPHEVPVENSSSEKSSDNSVAEVVPEKDAETPAAQDTTSVVEDKSETPEMTASSEKPEEEGSNAATEESNEAEEETIDEKPEIKIETAPADFRFPTTNQTRHCFTRYIEYHRLSVGTNNVRMAHSLDPCRP >ONIVA07G22010.1 pep chromosome:AWHD00000000:7:20637859:20646049:-1 gene:ONIVA07G22010 transcript:ONIVA07G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNDGRPFRPPDWGPPPHRYHHHHQNQYQYQQRYRPAQPGPRQFAVILLRGGSNLSAPPATEVEALVAGLPSPPPDSLSVSSSGRQAARLAFRSPQAATAAARELWSLRLEGLHLLTPDVSDPALAAHAAPLIASLFAAHAARLVDSDLVSLTAARSSELAASIQAVKRRLAGHNRIRDFEQLQAQKRTLKAEKELVDAKIDEYKAAMRSIRRALLRGTEDDEEAEEEGLEVFGIGGGGEMNFARLHMIMLRECRRLKEGLPIYAYRRNILDHIFANQVMILIGETGSGKSTQLVQYLADSGLAANGSIVCTQPRKIAAISLAHRVVEESNGCYGDNFVLNSTFLDHQDFSSKIIYTTDNCLLHHCMNDMGLDGISYIIVDEAHERSLNTDLLLALIKKKLLDRLDLRLIIMSATADANRLAEYFYGCQTFYVKGRSFPVEIKYVPDISEEASFNTVPNHLRGSCATASYVYDVVKMVSIIHKNEEEGAILAFLTSQLEVEWACENFSDADAVVLPMHGKLSHVEQSLVFKSYPGKRKIIFCTNIAETSLTIKEVKYVVDSGLAKESRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGRCYRLYSESDFSMMEVHQEPEIRKVHLGTAVLRILALGIRDAQNFEFVDAPNPEAINMAVKNLEQLGAVKYKCDGFELTDTGRYLVKLGIEPRLGKIMLDCFGFGLRKEGVVLAAVMANSSSIFCRVGTDEEKYKADRLKVPFCHQDGDLFTLLAVYKEWEDEHENRNTWCWQNSINAKTMRRCQETISDLENCLRHELNIIVPSYWCWNPEESTVHDKLLKRIILTSLSGNIAMFSGHERFGYQVISTDQAVKLHPSCSLLIYDSKPEWVVFTEILSVPNQYLVCVTAIDPDALCSIHPMPLIQQLEKLKLQVKVISGLGYNLLRKFCGKSGQNQQKIISLLQEEFRDDRVTVEVDFRNKEVVLFAKEQDIEKVFGIVNDALECEARMLRNECLEKSLFSGKPGDCSLALFGSGAEIKHLELEKRYLTVEVLHQNAHELNDKELICLVDTLISGVANFYKLYGNLQVASDETKWGKFTFLNPEYAEDAVSKLNGMEFHGSPLKVVPVCSSSNRGLPFPAVRAKVSWPLKQSRGLALVTCASGEAEFVVKDCFALGVGGRYINCEVSTRHENCIFVRGIPMHVTEPELYDAFRSTTTRKIVDVHLLRGTPIAAPSASLCAEALNREISSFMPKKNFPVQNFRVEVLTPEENDSVMRATITFDGSLHREAARALEHLQGSVLPCCLPWQTIQCQHVFHSTVSCQVRVYNVISQAVASLLESFRSQKGVSYNLEKNEYGIFRVKLTANATKTIADLRRPLEILMKGKTINHPDLTVSTVQLLMSRDGVADLKSVEQETGTYILYDRQSLNIKVFGLQDQVAAAEEKLIHALLQLRDKKPLDIRLRGCNLPPNLMKEMLKKFGADLEGLKREVPAVELRLNLRQHTLYVRGSKEDKQRVEEMISELVNSTKYNGLLQLPLENACPICLCEENIVSVLLLIAHPFTKWLLQMLKANLLSVGLALWRSATSVILNIILSYPAYKEYKEDPDATLLEWRKGKENVKVCPSCHFTIEKADGCNHVECKCGSHICWACLENFRSSDDCYSHLRCALVSHAAAAATSGDAAPCSTVGVHSTCRRRSSDRRGALPVAAVDGGTSGRRLSA >ONIVA07G22010.2 pep chromosome:AWHD00000000:7:20637837:20646049:-1 gene:ONIVA07G22010 transcript:ONIVA07G22010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNDGRPFRPPDWGPPPHRYHHHHQNQYQYQQRYRPAQPGPRQFAVILLRGGSNLSAPPATEVEALVAGLPSPPPDSLSVSSSGRQAARLAFRSPQAATAAARELWSLRLEGLHLLTPDVSDPALAAHAAPLIASLFAAHAARLVDSDLVSLTAARSSELAASIQAVKRRLAGHNRIRDFEQLQAQKRTLKAEKELVDAKIDEYKAAMRSIRRALLRGTEDDEEAEEEGLEVFGIGGGGEMNFARLHMIMLRECRRLKEGLPIYAYRRNILDHIFANQVMILIGETGSGKSTQLVQYLADSGLAANGSIVCTQPRKIAAISLAHRVVEESNGCYGDNFVLNSTFLDHQDFSSKIIYTTDNCLLHHCMNDMGLDGISYIIVDEAHERSLNTDLLLALIKKKLLDRLDLRLIIMSATADANRLAEYFYGCQTFYVKGRSFPVEIKYVPDISEEASFNTVPNHLRGSCATASYVYDVVKMVSIIHKNEEEGAILAFLTSQLEVEWACENFSDADAVVLPMHGKLSHVEQSLVFKSYPGKRKIIFCTNIAETSLTIKEVKYVVDSGLAKESRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGRCYRLYSESDFSMMEVHQEPEIRKVHLGTAVLRILALGIRDAQNFEFVDAPNPEAINMAVKNLEQLGAVKYKCDGFELTDTGRYLVKLGIEPRLGKIMLDCFGFGLRKEGVVLAAVMANSSSIFCRVGTDEEKYKADRLKVPFCHQDGDLFTLLAVYKEWEDEHENRNTWCWQNSINAKTMRRCQETISDLENCLRHELNIIVPSYWCWNPEESTVHDKLLKRIILTSLSGNIAMFSGHERFGYQVISTDQAVKLHPSCSLLIYDSKPEWVVFTEILSVPNQYLVCVTAIDPDALCSIHPMPLIQQLEKLKLQVKVISGLGYNLLRKFCGKSGQNQQKIISLLQEEFRDDRVTVEVDFRNKEVVLFAKEQDIEKVFGIVNDALECEARMLRNECLEKSLFSGKPGDCSLALFGSGAEIKHLELEKRYLTVEVLHQNAHELNDKELICLVDTLISGVANFYKLYGNLQVASDETKWGKFTFLNPEYAEDAVSKLNGMEFHGSPLKVVPVCSSSNRGLPFPAVRAKVSWPLKQSRGLALVTCASGEAEFVVKDCFALGVGGRYINCEVSTRHENCIFVRGIPMHVTEPELYDAFRSTTTRKIVDVHLLRGTPIAAPSASLCAEALNREISSFMPKKNFPVQNFRVEVLTPEENDSVMRATITFDGSLHREAARALEHLQGSVLPCCLPWQTIQCQHVFHSTVSCQVRVYNVISQAVASLLESFRSQKGVSYNLEKNEYGIFRVKLTANATKTIADLRRPLEILMKGKTINHPDLTVSTVQLLMSRDGVADLKSVEQETGTYILYDRQSLNIKVFGLQDQVAAAEEKLIHALLQLRDKKPLDIRLRGCNLPPNLMKEMLKKFGADLEGLKREVPAVELRLNLRQHTLYVRGSKEDKQRVEEMISELVNSTKYNGLLQLPLENACPICLCEVEDPFKLESCGHVFCLTCLVDQCESAMKSHDGFPLCCLKNGCKKQLLVVDLRSLLSSEKLEELFRASLRAFVASNAGKYRFCPTPDCPSIYQVAAADAESKPFVCGACFVEICNKCHLEYHPFISCEAYKEYKEDPDATLLEWRKGKENVKVCPSCHFTIEKADGCNHVECKCGSHICWACLENFRSSDDCYSHLSLFNGPRGLTLGVLSSRTRQRRRLQATRHRAPPSVCTLPAGGGAVTDEVLYPWQRWMEAPVGGGYLLDGQPAEQ >ONIVA07G22010.3 pep chromosome:AWHD00000000:7:20636948:20637859:-1 gene:ONIVA07G22010 transcript:ONIVA07G22010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRSSDRRGVPEDTPPAATMRAPEDTQPHRHLRPAGFLSPVSTEPGPRGFNGLWKLMDVQMKDLVIDDSMNLDESMKRMDL >ONIVA07G22010.4 pep chromosome:AWHD00000000:7:20636948:20637796:-1 gene:ONIVA07G22010 transcript:ONIVA07G22010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPEDTQPHRHLRPAGFLSPVSTEPGPRGFNGLWKLMDVQMKDLVIDDSMNLDESMKRMDL >ONIVA07G22000.1 pep chromosome:AWHD00000000:7:20633630:20636418:1 gene:ONIVA07G22000 transcript:ONIVA07G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKADAESATARAATAAAAAAAEHAAYPRLSPEDVAPPPPPVVSPPVSANPYVVSAPSAQPPAKSARENLREKLDVVGRRFGDAARKTEGIVGDIWQHLKTGPSIADTAMGRIAQISKVIAEGGYDKVFHQTFECLPDEKLKKAYACYLSTSHGPIMGVLYISTAKIAFCSDSPVAYVTEDNKNQSSIYKVVVPVAQLRSVTPTASQQNPAERYIQVVSVDNHDFWFMGFVNYDGAVKSLQEAVRGGKASLHHHMYFL >ONIVA07G22000.2 pep chromosome:AWHD00000000:7:20633999:20636418:1 gene:ONIVA07G22000 transcript:ONIVA07G22000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKADAEENLREKLDVVGRRFGDAARKTEGIVGDIWQHLKTGPSIADTAMGRIAQISKVIAEGGYDKVFHQTFECLPDEKLKKAYACYLSTSHGPIMGVLYISTAKIAFCSDSPVAYVTEDNKNQSSIYKVVVPVAQLRSVTPTASQQNPAERYIQVVSVDNHDFWFMGFVNYDGAVKSLQEAVRGGKASLHHHMYFL >ONIVA07G22000.3 pep chromosome:AWHD00000000:7:20633999:20636418:1 gene:ONIVA07G22000 transcript:ONIVA07G22000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKADAESATARAATAAAAAAAEHAAYPRLSPEDVAPPPPPVVSPPVSANPYVVSAPSAQPPAKSQYHPLLLLSAAAAAPILETIHAAALTVSGCVCLLCSIAAGCVCLLGSIAAGARENLREKLDVVGRRFGDAARKTEGIVGDIWQHLKTGPSIADTAMGRIAQISKVIAEGGYDKVFHQTFECLPDEKLKKAYACYLSTSHGPIMGVLYISTAKIAFCSDSPVAYVTEDNKNQSSIYKVVVPVAQLRSVTPTASQQNPAERYIQVVSVDNHDFWFMGFVNYDGAVKSLQEAVRGGKASLHHHMYFL >ONIVA07G21990.1 pep chromosome:AWHD00000000:7:20628290:20630164:1 gene:ONIVA07G21990 transcript:ONIVA07G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G28010) TAIR;Acc:AT4G28010] MARRARLRLRLVRALATASASGSPAAPRPARQAAPYLAVLHRRGRAEAAACLNRHLRLLPLGEATSLLDALPSVRDAVSYNTVLTALCRRGHHDRAGALLRAMSLEPHPACRPNAVSYTVLMRALCADRRADQAVGLLRSMRSAGVRADVVTYGTLIRGLCDAAEVDKAVELMGEMCESGIEPNVVVYSSLLQGYCKSGRWEDVGKVFVEMSEKGIEPDVVMYTGLIDSLCKVGKAKKAHGVMDMMVRRGLEPNVVTYNVLINCMCKEGSVKEAIGVLKKMSEKGVAPDVVTYNTLIKGLSDVLEMDEAMWLLEEMVRGENIVKPNVVTFNSVIQGLCDIGRMRQAFQVRAMMEETGCMVNLVTYNLLIGGLLRVHKVRKAMELMDEMTCLGLEPDSFTYSILIKGSCKMWQVDRAEDLLSTMRDRGIEPELFHYIPLLVAMCEQGMMERARNLFNEMDNNFPLDVVAYSTMIHGACKAGDLKTAKELLKSMVDEGLTPDAVTYSIVINMFAKSGDMEAANGVLKQMTASGFLPDVAVFDSLIQGYSTKGEINKVLELIREMITKNIALDSKIISTLSTSLVASNEGKALLQSLPDFSAEISKGNINSPQELMKVLHNVCPQTN >ONIVA07G21980.1 pep chromosome:AWHD00000000:7:20619026:20620853:-1 gene:ONIVA07G21980 transcript:ONIVA07G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLCFGASNILLCAEDSSSVLGLGGFGGGGGEVAAELGCGGGGGFDFFGFGGGAVFPIDSDEFVALLVEKEMDHQPQRGYLEKLELGGLECSWRKDAIDWICKVHSYYNFGPLSLYLAVNYLDRFLSSFNLPHDESWMQQLLSVSCLSLATKMEETVVPLPMDLQVFDAEYVFEARHIKRMELIVMKTLKWRLQAVTPFSFIGYFLDKFNEGKPPSYTLASWCSDLTVGTLKDSRFLSFRPSEIAAAVVLAVLAENQFLVFNSALGGSEIPVNKEMVMRCYELMVEKALVKKIRNSNASSSVPHSPITVLDAACFSFRSDDTTLGSSQSNSNNKDYNSQDSAPASKRRRLNTTPI >ONIVA07G21970.1 pep chromosome:AWHD00000000:7:20617344:20618100:-1 gene:ONIVA07G21970 transcript:ONIVA07G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSMTLSHLECYRIPIPQLRMNNVVVTTHRERATPPTENLSVKTTPEMGEEEAAAMPTAILLMQCKELKTSVLTPAMVSVLWTHDKYACMLVMRGS >ONIVA07G21960.1 pep chromosome:AWHD00000000:7:20608117:20615791:1 gene:ONIVA07G21960 transcript:ONIVA07G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKKLTARDGRAASNGRLLRLVARAALLAVVVLSLVSLRLALSPATAVGDDGELYLPVLLAEVSDRGYLRHGSRSVFVGDAGSWAPFLERHHVAVFRAGKLRELADESVDAVLFDGDAVQLGAVNRVLKLGGVAAGFATSGSSTLQLPDNYKAVFAHRSEAAIAFAVEKTTPPTTSAAVTASPVGPHRKLLALPGSKKDDALAGLEAVLLEPPQRQHRRIIRRLRPRYLPELTGDSLEGYRRRTFIDVAPSRGGGAASWFKKHYPRGKRVFDMVRLDAADATEPAASSSAAGIAEWLEGNVREEDYVVVKAGVEAVEEILRRRAAVRRVDELFLDCDAGAGADAARRPYWECLALYGRLRDHGVAVHQWWELMNARPRRERKKRERERERERERERERESEMMELMRGKRSLWPVSIDSISARRIGGREDSEAPTAIRIKAWGARSSTSTLGLVFAGLYNQRSDVEVRRPREPTALWLARELNTPRAPLHAASQRWTGRRWWCSARAGAGCRRRRAATSWAVAAGVSAMVLTALKRSLTQTKDSAAARTLLFPHSTVTVTPFFFFVPS >ONIVA07G21950.1 pep chromosome:AWHD00000000:7:20605556:20607989:1 gene:ONIVA07G21950 transcript:ONIVA07G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSSSTRLYYTLRRLEIERRERDSPPRRRPSSPMMFDDQDLGFFANFLGIFIFVLVIAYHFVMADPKFCTCTIETWRIPTAKISWLVHDVVGVGAGEPAKMDHRRAAELMLLSLSSQRTRMALVGGNHTAARFCTR >ONIVA07G21950.2 pep chromosome:AWHD00000000:7:20605556:20607989:1 gene:ONIVA07G21950 transcript:ONIVA07G21950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSSSTRLYYTLRRLEIERRERDSPPRRRPRCLTIKTWVHDVVGVGAGEPAKMDHRRAAELMLLSLSSQRTRMALVGGNHTAARFCTR >ONIVA07G21940.1 pep chromosome:AWHD00000000:7:20602026:20604037:-1 gene:ONIVA07G21940 transcript:ONIVA07G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWQHVFSKAEFHVLILGVHKAGKTTLLEKVKSIYLKEEGLPHDRIVPTVGLNIGRIEDANVKLVFWDLGGQPGLRTIWEKYYEEAHAVIYVIDSAAASSFEDAKSALEKVLHHEDLQGAPLLIFANKQELPAAVTEEELARHLHLKELDERPYMFQAGSAYDGTGIKAGIDWLVEEMERSKRTEVLMARSETAEKI >ONIVA07G21930.1 pep chromosome:AWHD00000000:7:20596447:20601514:1 gene:ONIVA07G21930 transcript:ONIVA07G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT4G24550) TAIR;Acc:AT4G24550] MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSYDYRSSSGGGAVILDDCNFHESVHLDSFDIDRTLHLIPPDGEFAVMNYRITQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTIVVQMPVPSYTMRASFELEAGAIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNTSKLQFSVIQD >ONIVA07G21930.2 pep chromosome:AWHD00000000:7:20596539:20601514:1 gene:ONIVA07G21930 transcript:ONIVA07G21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT4G24550) TAIR;Acc:AT4G24550] MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSYDYRSSSGGGAVILDDCNFHESVHLDSFDIDRTLHLIPPDGEFAVMNYRITQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTIVVQMPVPSYTMRASFELEAGAIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNTSKLQFSVIQD >ONIVA07G21930.3 pep chromosome:AWHD00000000:7:20596498:20601514:1 gene:ONIVA07G21930 transcript:ONIVA07G21930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT4G24550) TAIR;Acc:AT4G24550] MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSYDYRSSSGGGAVILDDCNFHESVHLDSFDIDRTLHLIPPDGEFAVMNYRITQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTIVVQMPVPSYTMRASFELEAGAIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNTSKLQFSVIQD >ONIVA07G21920.1 pep chromosome:AWHD00000000:7:20592436:20593626:1 gene:ONIVA07G21920 transcript:ONIVA07G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGGGRGDPARWLEIAGKLLAARDLVGCKRLAERAVDAEPLLPGADELLAVADVLLASQRLLPSGRPDPIAVLHLQPNPDPAEVKRSYRRLSNLLSSNPRPGADAALRCVQEAFAHLSDSSANPAPAPAPPPAPASGGDASAAAADAFWTACPYCCHVYQYQRALMGRALRCPGAGCRRAFVATEIPAAPPIVPGTDMYYCAWGFYPMGFPKAADLSTNWKPFCPMYPWNSSSPQQAPADAGNVSKQNVESNGGNVNINVNTPPSNAQPANKSGASSGVGVGPSRGRIKKTTARKKVGGGFKKNASGGVESGIEPSLLGPDSWNGVAESGSMVGARGININEVAKGTDGSSMMHFGGDEEIGFDLDVDATDVILGNLQHLPFLRDDDNARRLF >ONIVA07G21910.1 pep chromosome:AWHD00000000:7:20589333:20590229:1 gene:ONIVA07G21910 transcript:ONIVA07G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEADDHTSAAATVLRELSGTRVEVARAREAAVKAWLSAMPLGEELERLRAELAAAKNRLAATAAEMPPLKSAIASTNDAAVARKEAAGEKRAAAEELRRRVDRARDELRRLRSEAAAAREEIGAVERRVLVRRQAARALQLAERAVAAEAHTLAWSAAAAAEQAARAPGAGADAAHHDVVALPARMLEELRRGVEAEERKAEARVEEAEALRRAAKARRAAAAARLAEVRARKREAAEQGRRRDAENERGDNTARRSRSGGTRLAARKLPSWLCAIGRSGGRSQATAMAAHGTNR >ONIVA07G21900.1 pep chromosome:AWHD00000000:7:20583228:20584159:1 gene:ONIVA07G21900 transcript:ONIVA07G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLPVGAEEERAPTPHGDAGEEAKTEELQEYGRMAVFACYGGWSTELSEEVFTVTCPKHRQDWSKNDYVIPKTIQRDMHHAYTWGQRVERSYFSPNKLLL >ONIVA07G21890.1 pep chromosome:AWHD00000000:7:20573304:20577893:-1 gene:ONIVA07G21890 transcript:ONIVA07G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase 5 [Source:Projected from Arabidopsis thaliana (AT3G50530) UniProtKB/Swiss-Prot;Acc:Q9SCS2] MGGCHAKPLTHEEADGACSPPRERPQPPATPPRGSGAATPAWKTKPWASPFFGFSTPSPSPAHHLFSASSPRRSPAPSAPTTPARRLLRLPFPPPSPAKHIRQALARRHGPPRPSIPEEGGDVEGEGGRGLDKGFGFNKGFAAKYDMGDEVGRGHFGYTCAAKIKKGARKGDAVAVKVIPKAKMTTSIAIEDVRREVKILKALAGHKNLVQFYDAYEDNDNVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILNVVSFCHIQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYLKGQFSLLEPDRNGCITLDNIRMALTREATDAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYDFFEKDGNRAIELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >ONIVA07G21890.2 pep chromosome:AWHD00000000:7:20573304:20577893:-1 gene:ONIVA07G21890 transcript:ONIVA07G21890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase 5 [Source:Projected from Arabidopsis thaliana (AT3G50530) UniProtKB/Swiss-Prot;Acc:Q9SCS2] MGGCHAKPLTHEEADGACSPPRERPQPPATPPRGSGAATPAWKTKPWASPFFGFSTPSPSPAHHLFSASSPRRSPAPSAPTTPARRLLRLPFPPPSPAKHIRQALARRHGPPRPSIPEEGGDVEGEGGRGLDKGFGFNKGFAAKYDMGDEVGRGHFGYTCAAKIKKGARKGDAVAVKVIPKAKEESFWIEYFPGGKYSEDDAKAVLVQILNVVSFCHIQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYLKGQFSLLEPDRNGCITLDNIRMALTREATDAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYDFFEKDGNRAIELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >ONIVA07G21880.1 pep chromosome:AWHD00000000:7:20567893:20571934:-1 gene:ONIVA07G21880 transcript:ONIVA07G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRRRAAAAVTAVLVEEERAAAIDISSDSDAGSESGSEEDDEESTSDEDYYIDISDSDGEEGGGAGSEEESESESEAEREREPEQSGVDRGEASCRKIADLLRAGRNLDGIKLVDCKAYLKKNGLSQTGDLATCIERIVLHWRFKDRDPEKIYPRSSFCINCKGATSVGNKPLSVCIRNYPGDVCRGDTVLFKQKVYEKSGKRHSKCIGKRIVAGSVIKESYGKEKQQHTFTIQVFWSKGVGKLPPLYLLLVKGRNLYRMMTFRQPWLNEADRLKALDEKHSRGDAARRVRALSRPDAAGNSKKTTQKGKHQSQAGRPDSGSSIKKGKKRVMQSSNPDLPTKRSRNEESQASSAKQFAGGQNTKTSRARLDRSDRSTNRARMRERKADSQQNLAGGSHAQFGERNAGSGYDMQASHGYLVGVQQSPFEIVRPQRPPPFREVGNASQPHADGRSTACPHPRMGFQHPNAALAGSHPPAYYLGNAPNQFPSFASLNVRQTVHHHPLDQLGASFAPFNVPQTVYRPRPEGGYVMPQFRYSGGSNGFPR >ONIVA07G21870.1 pep chromosome:AWHD00000000:7:20557266:20567194:1 gene:ONIVA07G21870 transcript:ONIVA07G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator [Source:Projected from Arabidopsis thaliana (AT4G12750) TAIR;Acc:AT4G12750] MAAVRKMAANGLAAKRENTGTKKSPLQIQMLERFYSEVQYPQSEDIAEYATSVGLTYNQVRIWFKERRRKERRETESLGAHMEKQLSARSNGFRCSSSRSSSFSRSTMYRTVNLQPEDDRYVDKGMSFTGEKHTLRSQVLFPKDYILRKVFRKDGPPLGSEFDPLPHSAPGHLRDTTDDHFYQNQRVIKKRKIVEPTTQRSSLPCGDNGPVRKHGAGKGLMTVWHAMYSHSSKIQDGSNFIDETGCLRSLRPLDDCGRIEDCDDGKLIQVPSSRVTDPKKHPPMECHLSVDESQSPVLQANQVTLVDDEELELRELQAGPNPLRCSAHLSSSGRHGCPLCKDLLSRFPPSSVKMKQPFSTRPWGSSPEMVKKLFQVVRFIYNRFGYMDVHPFTLDELAQAFHDKVREQEFDMNFWIKSLNSLTWVEILRQVLVASGFGSKHHMLNRDFFNKEKNQMVKYGLRPRTLKGELFALLSKKGSGGLKVSELAKSPEIVDLSISSTEIEQLIYSTLSSDITLFEKIAPSAYRLRVDPRIKGKEDSGSDTEDSGSVDDHSDASSGADESDGSHEMSFSEHEHRILRRKWKNGHENVNRCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGADSAPRLEEPSRVVPSIPRAQPHVSGGKIKKSTRNICQSSDECFNASGSMYGLDSSMHEQSRSLRSRDYVAYSGRNDTSTGVAHQPQVVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLASLDSRGTREAYLLASMKKRQTCLFEAMKKHYENRDAVQPAMPSDTSHSETSSGDGASPKLSSGDGASPTSDIDNASVPTNPAENMINASSAIAIEVGRRGDEKILKWERSQTFDKWIWTSFYSCLTAVKCGKKSFKESLVRCESCHDLYWRDEKHCRICHSTFEVSFDLEERYAIHVATCRDPEDAYDVPNHKVLPSQLQALKAAIHAIEAHMPEAAFAGLWMKSSHKLWVKRLRRTSSLAELLQVLVDFVGAMDEDWLYKSSSSVSFCSYLDDIVIYFQTMPQTTSAVALWVVKLDALITPYLERADSDRALGEESVQTRTRACGEIARNR >ONIVA07G21860.1 pep chromosome:AWHD00000000:7:20547229:20548215:1 gene:ONIVA07G21860 transcript:ONIVA07G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVPVMAPSAPCSPRTAAEHHHLPSYCYFFSSAPTSPTRASYSGEAAAAVGVGEGDGAFDFAFGFSGQLRESTPILAAADELFEGGRIRPLNTPHPSILQLVDDSAYASPRSPGRRRRIAAAEAAEVSSSSSSQRGRSGRAAPASSSSSASSRSRRATRSLSPFRGGGGGGADDEYPSSPPSPRTSMMRGCGSGSRKWRLKDLFLFRSASEGRATGAGSKDPLLKYTMLSSSASSAAAALHHNPQKLRGGGDGSASMRKGRGSTASASDMPYTVGRAAAEDMRRRTTTPLPFHRNSLFGYLRSNPAIHSISRKLSGSGSNRGKPAA >ONIVA07G21850.1 pep chromosome:AWHD00000000:7:20540816:20541280:1 gene:ONIVA07G21850 transcript:ONIVA07G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTEHKKKQQQHGGDEAAAAAKERLDRFKAWLMHFDGDGDEHISRRELRDAIRSGGARFATVRAWVNLYLADKNRNGVIDDGEIKHLMDLTEKDLDLSKLQPTPAAARPTATGAPPAMVVVSACQFQTTPLVSRTIDLRKLTAKPVLSSTANN >ONIVA07G21840.1 pep chromosome:AWHD00000000:7:20531129:20535771:1 gene:ONIVA07G21840 transcript:ONIVA07G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGIPSSREMTVDDFKNWLKQFDTDNDGRISRGELREAIRRRGGWFSGLKAGRAVRHADRDNSGFVDESEIENLVAFAQKTLGMKVTAW >ONIVA07G21840.2 pep chromosome:AWHD00000000:7:20534236:20537047:1 gene:ONIVA07G21840 transcript:ONIVA07G21840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGIPSSREMTVDDFKNWLKQFDTDNDGRISRGELREAIRRRGGWFSGLKAGRAVRHADRDNSGFVDESEIENLVAFAQKTLGMKCPTVTDSGVADAGDVEGGQEIGSKLEHFKKCS >ONIVA07G21840.3 pep chromosome:AWHD00000000:7:20531129:20535290:1 gene:ONIVA07G21840 transcript:ONIVA07G21840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGIPSSREMTVDDFKNWLKQFDTDNDGRISRGELREAIRRRGGWFSGLKAGRAVRHADRDNSGFVDESEIENLVAFAQKTLGMKVTAW >ONIVA07G21840.4 pep chromosome:AWHD00000000:7:20537047:20537895:1 gene:ONIVA07G21840 transcript:ONIVA07G21840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDADADGRISRRELRDAMRRRGDRFKLGVVQPPPSRQERRRLASSTTTRSSTSWPRPLR >ONIVA07G21840.5 pep chromosome:AWHD00000000:7:20534236:20535530:1 gene:ONIVA07G21840 transcript:ONIVA07G21840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGIPSSREMTVDDFKNWLKQFDTDNDGRISRGELREAIRRRGGWFSGLKAGRAVRHADRDNSGFVDESEIENLVAFAQKTLGMKQVNT >ONIVA07G21830.1 pep chromosome:AWHD00000000:7:20525574:20530321:1 gene:ONIVA07G21830 transcript:ONIVA07G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTCSSEESNSKENSVNSSSSPIESSGPFVYYPTPPATKDDADHVGCMDSPSSIVERSLLDSTKPHMESNSATDAIPEILSNSGLSEAFNHSVKDEADGSSEDALEVNHLSDNVSAGAETMLTDEMNSKEDRIDQKNVAVKPKMVEEQGAAPESPYKGLIDTAAPFESVREAVTKFGGIVDWKAHKAQMMERRKFIQLELEKVQKEIPLYKEELEAAEMVKSQVVNELEDTRRIIEELKHNLEKAQVEEVQAKQDSELALLRAQEIEQGVADEASVIAKTQIEVAKERHEKAIAELNSVKEELKTVHEQYVTLINERDTAIKRSEEVISVGKDIEKRVEELTLELIASKGSLELAHAAHHEAEERRIGAALEKEEDCVAWDRELQQAQKELQQLNNKLLSKSDVKQNLDTNLRRLRSLKSELATYVQNVISEEAEGLAKEHGPDDAQQISGPVKEALASAQKELEEVRANIEKAKNEAKLFKLAATTLRSEMDNEKSSLVELQQREGMASIAICALEAELNRTKQEIEYVKSKEEDAQERMVELPRILQEAAQEAEDAKMVAFSVQEQVRKAREETEKTKTAAATVNTRLSAVLKEIDASKASKKLAFAAVQALQESEEAGDDENSPRGVTLPLSEYYALSKKVHEAEQLAHESVTEALAQVESAKASESNSLERLCEASKRMNEKKEALERALERAERANQGKLTAEQELRKWRADHEQRRKAQEAAKRAVNPLSSSPKRIVEQKDSFYKEFSGNSYEDLVPNRKLQRKKSFFPLMGSLLSRKTRA >ONIVA07G21830.2 pep chromosome:AWHD00000000:7:20525574:20530321:1 gene:ONIVA07G21830 transcript:ONIVA07G21830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTCSSEESNSKENSVNSSSSPIESSGPFVYYPTPPATKDDAGLSEAFNHSVKDEADGSSEDALEVNHLSDNVSAGAETMLTDEMNSKEDRIDQKNVAVKPKMVEEQGAAPESPYKGLIDTAAPFESVREAVTKFGGIVDWKAHKAQMMERRKFIQLELEKVQKEIPLYKEELEAAEMVKSQVVNELEDTRRIIEELKHNLEKAQVEEVQAKQDSELALLRAQEIEQGVADEASVIAKTQIEVAKERHEKAIAELNSVKEELKTVHEQYVTLINERDTAIKRSEEVISVGKDIEKRVEELTLELIASKGSLELAHAAHHEAEERRIGAALEKEEDCVAWDRELQQAQKELQQLNNKLLSKSDVKQNLDTNLRRLRSLKSELATYVQNVISEEAEGLAKEHGPDDAQQISGPVKEALASAQKELEEVRANIEKAKNEAKLFKLAATTLRSEMDNEKSSLVELQQREGMASIAICALEAELNRTKQEIEYVKSKEEDAQERMVELPRILQEAAQEAEDAKMVAFSVQEQVRKAREETEKTKTAAATVNTRLSAVLKEIDASKASKKLAFAAVQALQESEEAGDDENSPRGVTLPLSEYYALSKKVHEAEQLAHESVTEALAQVESAKASESNSLERLCEASKRMNEKKEALERALERAERANQGKLTAEQELRKWRADHEQRRKAQEAAKRAVNPLSSSPKRIVEQKDSFYKEFSGNSYEDLVPNRKLQRKKSFFPLMGSLLSRKTRA >ONIVA07G21830.3 pep chromosome:AWHD00000000:7:20525574:20530321:1 gene:ONIVA07G21830 transcript:ONIVA07G21830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELTCSSEESNSKENSVNSSSSPIESSGPFVYYPTPPATKDDAGNSSDHEKPNAHSEKSSQPVILKYSNGLTDHVGCMDSPSSIVERSLLDSTKPHMESNSATDAIPEILSNSGLSEAFNHSVKDEADGSSEDALEVNHLSDNVSAGAETMLTDEMNSKEDRIDQKNVAVKPKMVEEQGAAPESPYKGLIDTAAPFESVREAVTKFGGIVDWKAHKAQMMERRKFIQLELEKVQKEIPLYKEELEAAEMVKSQVVNELEDTRRIIEELKHNLEKAQVEEVQAKQDSELALLRAQEIEQGVADEASVIAKTQIEVAKERHEKAIAELNSVKEELKTVHEQYVTLINERDTAIKRSEEVISVGKDIEKRVEELTLELIASKGSLELAHAAHHEAEERRIGAALEKEEDCVAWDRELQQAQKELQQLNNKLLSKSDVKQNLDTNLRRLRSLKSELATYVQNVISEEAEGLAKEHGPDDAQQISGPVKEALASAQKELEEVRANIEKAKNEAKLFKLAATTLRSEMDNEKSSLVELQQREGMASIAICALEAELNRTKQEIEYVKSKEEDAQERMVELPRILQEAAQEAEDAKMVAFSVQEQVRKAREETEKTKTAAATVNTRLSAVLKEIDASKASKKLAFAAVQALQESEEAGDDENSPRGVTLPLSEYYALSKKVHEAEQLAHESVTEALAQVESAKASESNSLERLCEASKRMNEKKEALERALERAERANQGKLTAEQELRKWRADHEQRRKAQEAAKRAVNPLSSSPKRIVEQKDSFYKEFSGNSYEDLVPNRKLQRKKSFFPLMGSLLSRKTRA >ONIVA07G21820.1 pep chromosome:AWHD00000000:7:20512835:20520080:1 gene:ONIVA07G21820 transcript:ONIVA07G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGLAVADLRELVHLPDVLVVCTSVGWTNEKHMLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSAEGSDQNFIDEETKGSGEPNGRCSKKRLKSTANTMDDQVMKGTFEGLQSYRKQEKRMQISMTTQWKRMLKVTSHKDNAHPRRNGHTALSAVVFFHALCNATAPPLVAGAAAGGEADEIGDARNHPADLPRPRISPTWRERPRGARPHGLTKQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEHMMTAKIGERDSKEELTKAFSIIDQDKNGKISDVDIQRIAKELGENFTYQEIQEMVQEADRNGDGEIDFDEFIRMMRRTGYGY >ONIVA07G21820.2 pep chromosome:AWHD00000000:7:20512835:20520080:1 gene:ONIVA07G21820 transcript:ONIVA07G21820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGLAVADLRELVHLPDVLVVCTSVGWTNEKHMLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSAEGSDQNFIDEETKGSGEPNGRCSKKRLKSTANTMDDQSYRKQEKRMQISMTTQWKRMLKVTSHKDNAHPRRNGHTALSAVVFFHALCNATAPPLVAGAAAGGEADEIGDARNHPADLPRPRISPTWRERPRGARPHGLTKQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEHMMTAKIGERDSKEELTKAFSIIDQDKNGKISDVDIQRIAKELGENFTYQEIQEMVQEADRNGDGEIDFDEFIRMMRRTGYGY >ONIVA07G21820.3 pep chromosome:AWHD00000000:7:20512835:20520080:1 gene:ONIVA07G21820 transcript:ONIVA07G21820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVKGQTRRERPRGARPHGLTKQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEHMMTAKIGERDSKEELTKAFSIIDQDKNGKISDVDIQRIAKELGENFTYQEIQEMVQEADRNGDGEIDFDEFIRMMRRTGYGY >ONIVA07G21820.4 pep chromosome:AWHD00000000:7:20512835:20520080:1 gene:ONIVA07G21820 transcript:ONIVA07G21820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGLAVADLRELVHLPDVLVVCTSVGWTNEKHMLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSAEGSDQNFIDEETKGSGEPNGRCSKKRLKSTANTMDDQSYRKQEKRMQISMTTQWKRMLKVTSHKDNAHPRRNGHTALSAVVFFHALCNATAPPLVAGAAAGGEADEIGDARNHPADLPRPRISPTWRERPRGARPHGLTKQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEHMMTAKIGERDSKEELTKAFSIIDQDKNGKISDVDIQRIAKELGENFTYQEIQEMVQEADRNGDGEIDFDEFIRMMRRTGYGY >ONIVA07G21820.5 pep chromosome:AWHD00000000:7:20512835:20520080:1 gene:ONIVA07G21820 transcript:ONIVA07G21820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVKGQTRRERPRGARPHGLTKQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEHMMTAKIGERDSKEELTKAFSIIDQDKNGKISDVDIQRIAKELGENFTYQEIQEMVQEADRNGDGEIDFDEFIRMMRRTGYGY >ONIVA07G21820.6 pep chromosome:AWHD00000000:7:20512835:20516842:1 gene:ONIVA07G21820 transcript:ONIVA07G21820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSAEGSDQNFIDEETKGSGEPNGRCSKKRLKSTANTMDDQVVPFVKAELQEAREKDADIHDNSMEADAKGHEPQG >ONIVA07G21820.7 pep chromosome:AWHD00000000:7:20512835:20516842:1 gene:ONIVA07G21820 transcript:ONIVA07G21820.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSAEGSDQNFIDEETKGSGEPNGRCSKKRLKSTANTMDDQVMKGTFEGLYG >ONIVA07G21820.8 pep chromosome:AWHD00000000:7:20512835:20516615:1 gene:ONIVA07G21820 transcript:ONIVA07G21820.8 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEGLAVADLRELVHLPDVLVVCTSVGWTNEKHMLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSAGR >ONIVA07G21810.1 pep chromosome:AWHD00000000:7:20507949:20511824:1 gene:ONIVA07G21810 transcript:ONIVA07G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETPPPFQESAHCDVCRCTFTTFRRRHHCRNCGRTLCHEHSSYHMALPQYGIYTDVRVCYDCFNKSSSRGGVGNAGSPGSVSSAADSFSGLNLGEDDASSPMKNSAFHSAPAVIECKCGMPLCICEAPKPEPVPVKFCVPPPNEHMQQSISTTSSSAQSNPRPKKSSTNQQSAESSVKKASATSSSNSSSFLNLGLMSNDTNDKGPSEYDVTGEGLREAIKSGDIKAVKKLLSQGVDSNYCDKQGFTLLHLAALFNQTEIALILMDNGANIQSKNGQGETPWDCAPAMLQYKMRQRMEELAASQRP >ONIVA07G21800.1 pep chromosome:AWHD00000000:7:20501509:20507516:1 gene:ONIVA07G21800 transcript:ONIVA07G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNSGVNITRHACLYETSPAYVTDQPRFLNSAVRGTTKLEPHELLKKLKEIEKDIGRTDGIRYGPRPIDLDILLYGKSHISTENLTVPHERIHERPFVLAPLVDLLGSSTDDNVEKSWHSLAKCTGGFFESWDKLGGESIIGTEGIKRVLPVGNRLLDWSERTLVMGVLNLTPDSFSDGGKFQEVEAAIAQTKLLISEGADIIDIGAQSTRPLARRLSADEELERLVPVLDAITGIPEMEGKLLSVDTFYAEVAAEAVKRGVHIVNDVSGGQIDPRILEVVAELGVPYVTMHMRGDPSTMQSEQNLLYGDVCKEVASELYKRVRQAELSGIPLWRIVLDPGIGFSKNSKHNLEVIMGLESIRTEIGKMSLGASHVPILLGPSRKRFLGEICNRVNPTERDAATMVVATAGILNGANIVRVHNVKYGVDTAKVSDALSKGRR >ONIVA07G21790.1 pep chromosome:AWHD00000000:7:20493512:20500329:1 gene:ONIVA07G21790 transcript:ONIVA07G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRMRGGGGAAMAVATGAVMLFLAATLSGVSANTDSDDVNALNVLYTSMNSPSQLTNWVSQNGDPCGQSWLGITCSGSRVTAIKLSGMGINGTLGYNMNLLTSLVELDTSKNNLGGSDIPYNLPPNLERLNLAENNFTGSIPYSISQMIALRILNLGHNHLATTNDMFNQLTNLTTFNLQNNGFNGTIDVLADLPLTDLNVANNQFTGWIPDKLKKIKNLQTNGNSFGSGPSPPPPPYQSPPYKSPPYKSPQSRQPAPPTTTVNNNPSDDGRKHSKLSGGAIAGIVVCLVVVGAIVAFFVIKKKYWSLPRGGDPEQKEPLSPIVSGFKDSLKQMKSIKIISTIGKEELQKTVSMNLKPPTRIDLHKSIDENDVTSKSFTRKISLSSIRTPAYTVADLQVATGSFCADNLIGEGLFGRVYKAKFNDHKVLAVKKINFSAFPGHPSDLFIELVANISRLNHPSLSELVGYCSEHGQCLLAYEFYRNGSLKDLLHLVDDQSQPLSWNSRVKIALGSARALEYLHETCSPSVIHKNFKSSNIFLDNELNPHLSDSGFADLIPNRESQVSDEDSGYRAPEVTMSGQYSVKSDVYSFGVVMLELLTGRKPFDRSRPRSEQSLVGWATPQLHDIDALDQMVDPALQGLYPSKSLSRFADAIALCVQSEPEFRPPMSEVVQLLVRLVQRANMTRMCGADGHSWRRDGESRDQEPW >ONIVA07G21780.1 pep chromosome:AWHD00000000:7:20491714:20495347:-1 gene:ONIVA07G21780 transcript:ONIVA07G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLGSADGGAAAATPEMESWLPYRIVPVHAVAFDDYWAMIQHSSAASPRVALASGGSRAKNPSLLRLLSLPPPTSPPLEPAGGEAGSGQGRRRRGLLLFVASPSSARRTKTTKLPTGVVCGGYGGEVGRRRRIRSPYGRIRPFLAWICAAAARRHAVVVAADGKAARRWPAAARPARHGCIGGGRLQLGMETARQRRARAADGCGSEFLGWIEAAARQWGKLRVPK >ONIVA07G21770.1 pep chromosome:AWHD00000000:7:20487248:20489906:1 gene:ONIVA07G21770 transcript:ONIVA07G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKVDWRANIFTCLSPVSTVPFHGLARYVFAAKGVGLYKDQIIRVHRIRLLSLSFLVHVVTRHQGPQKQSARIVIFTQRRLCTATTTSMARSAADSNHPIKEHALADENGS >ONIVA07G21760.1 pep chromosome:AWHD00000000:7:20481599:20482405:-1 gene:ONIVA07G21760 transcript:ONIVA07G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTATTVAAATTMMAAVAAVFLAFVLCFYIFVCAKRYRGSAPPAEGGVAARLWFLLGGGGGGGGAAGSGDAAWCYDGGLDEASMAKLPCRVVGKGEEAVDCAVCITELAAGETARVLPRCGHGFHVACVDMWLKSHSTCPLCRCPAVDEPPPAAPPPVVAPPEADPESPNFPTNVLFFGSQDEVSTGGAQSQQQHRSPPTTATPPSPPAPAVDAARVRGGLRRLLGCGGASPPPPPHQHEHADRDIEMGLAAGGETSSPAKSPHPSS >ONIVA07G21750.1 pep chromosome:AWHD00000000:7:20479290:20481375:-1 gene:ONIVA07G21750 transcript:ONIVA07G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPETRQKKLLRIEKKLVDLGGKIVELAASMVLQSSWPNKQQTAKPATSKNWRNGDSPANHLQITSSSDLLYSAFTKSKDSFLIHMRLSCCMEMFQFQSHPDTERMILAIWGLKLTSATVRFRRI >ONIVA07G21740.1 pep chromosome:AWHD00000000:7:20470300:20474525:-1 gene:ONIVA07G21740 transcript:ONIVA07G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVADRARRAVISSAASMRAAATRSAAPSAGAAPSAPSPPPAAAAALAMASAMVRAMSTAAAGAAPVSLDTINPKVLKCEYAVRGEIVTHAQNLQQELQKNPDSLPFDEILYCNIGNPQSLGQQPVTFFREVLSLCDHPALLDKSETHALYSSDAIERAWQILDKIPGRATGAYSHSQGIKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLIRSENDGILCPIPQYPLYSASIALHGGSLVPYFLDEETGWGLEVDELKKQLEEAQSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVEDKKFHSFKKIARSMGYTDDDLPLVSFQSVSKGYYGECGKRGGYMEVTGFSADVREQIYKVASVNLCSNVSGQILASLIMNPPKAGDESYESFMVEKDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRIYLPQKAIGAAQAAGTAPDAYYARRLLEATGIVVVPGSGFGQVPGTWHFRCTILPQEDKIPAIISKFKEFHEKFMDEFRD >ONIVA07G21730.1 pep chromosome:AWHD00000000:7:20465597:20466817:1 gene:ONIVA07G21730 transcript:ONIVA07G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVARCGGAKKRKGEGLGEMHDDVLERVLARLPPASYFRLRGVCRRWSDAASSPTFLAACGRVPARDPWFLMLSEGEGQERRLPAVAFDAGEGEWARCGGAPGHVMPVVAASGGRVLYRAPDTGELTVANPLTGASRVLPAPPPGAALHAVAMYGSSPYRLVLITGDLPDLSMTVFDSSKNAWDDAVALSRKPDASSPERDAEGGGGGGGGGDDDETVYFLSKSGDVMATNMQRSASRQYSSAVTCGDGGEAVAYFLSNSGAVVACDLSRRAFAELPRILPVYFEYSIDVVACGGRAYVVVLSELLGTASLRLWEFAGGAWRQVAAMPPAMSHAFHGKKADVNCVGHGDRVMVCVSSGEANGCFMCDVPTNRWEELPPCAGAGGEPMDFVAAFSFEPRMEVTV >ONIVA07G21720.1 pep chromosome:AWHD00000000:7:20459586:20460154:1 gene:ONIVA07G21720 transcript:ONIVA07G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRVRWRWTTPEAGTTAEDPETGGDNPEGGSAADAPDEGSATEEARRGRGTVAWIADMAKNILILVLRLNTILTHCERPKETIARKGTTDNRWMLGNADGRNVISGA >ONIVA07G21710.1 pep chromosome:AWHD00000000:7:20448684:20449253:1 gene:ONIVA07G21710 transcript:ONIVA07G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I407] MASSSTSSPLPALLLLVLLAASSAAGEDVAAAGGRDKLTRIRVYMHERFAGANATALAVVLSPLGANEAFGRVAVLDDELRDGPDRASSALIGRFQGVVAGTSLPGTAPPASFQSAISLVFTAGEHAGSTLSMVGPVLGFAGAIERPLVGGTGAFRMARGYCVMTAAAAASTAVSVVFETDLFVLLHKP >ONIVA07G21700.1 pep chromosome:AWHD00000000:7:20446442:20446913:-1 gene:ONIVA07G21700 transcript:ONIVA07G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSAAAASSSSTAGVRAVGGGGVLPPQAPPPPRFGVPPWYPRPWRSRHLASHWIPSHTKNSST >ONIVA07G21690.1 pep chromosome:AWHD00000000:7:20440511:20441353:-1 gene:ONIVA07G21690 transcript:ONIVA07G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEDQEMQFWVRGEASGSDNGWFLRKRMNMRKVLDTIPGLPRDMMSRIISIWLSDIDSGRTGKLFIKTQGYGRYSFHMDTGKLDRLATEDGKEYGHPIYAYYVAWPPAFLAPELEV >ONIVA07G21680.1 pep chromosome:AWHD00000000:7:20437362:20437808:-1 gene:ONIVA07G21680 transcript:ONIVA07G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIRGNGSEVRTGIQQAAMHEFVSQVTDWGCQRTARRWEEPINVSPDEIIHGKGMNTKNARKMSIDKDDGEAKMTMAAAAAELKVMAISPILGFPGRGGR >ONIVA07G21670.1 pep chromosome:AWHD00000000:7:20426141:20436405:-1 gene:ONIVA07G21670 transcript:ONIVA07G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVATRGAALAFRRRLSLRLFSHSSASASLPLLLGHFHHPRPVPPRGSPGDLNMAPNVPAFQPLTPSSPRFSLDFVPDLSRFTILDSHLGLLLLRRHDDHGDAFLACDPVSRRHALFHPPPTMGRYSGGTVFSAALLSREADAGGLRFEAVCVAVDADAPRAWVATCRDGDCRWRALPRSRDVAIEFDPYWLESHCVRAAGSLYWHICNNPCALALDAATLQFSFLRAPAAMWDSTTHHKYRVGESPVDGRLCLASLERDGFQLWVRGSGEGSDHGWVLERHVRMQEVLDAVPWLPRDILIRHAHMWLSDIDAGRTGKVFIASFGYGRFSYHMDTGKLECLSTDDGMQYGHPIFPYFSAPPVVAFGRTTGRRAALTFRRLFSSHSAAPAPPPLLGHFHHPAPVPPRGCPPRPHTLLTVPAFQPLITAASSSPRRLSLDFVPDLSHFVLLYSHLGLLLLRHRERHDAFLVCDPVSRRHVLFHPPPVDEYSSGGIFSAALLSRDDAAAGDPGGDGGGLRFGAVCVAVNLGRPCAWVAIEFDPDLLECLTVRAAGSLYWHIRNNSWTLALDTATLQFSFLRAPAAMWDSTSHHRYRVGEMPASDGRLCVASLEPPGLLELWVRGSGECSDHGWVMERRVRMLEVLDAVPWLPRNVLLRHLVLWLSDIDAGRTGKVFIATAGFGRFSYHLDTGEMECLATEDGMEVKYMGGP >ONIVA07G21660.1 pep chromosome:AWHD00000000:7:20418220:20418979:1 gene:ONIVA07G21660 transcript:ONIVA07G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASTSLLSSRRRRLVVPLITCPSCNVKTIVRCTAKTDANRGRIFYTCPDHEKDGSGCNFWYWEEGYINYLKRNGFIVGQDGTYGKTAQNVDLDEDAFVRQDEIEKKLIAVVSIGREILLAVKGMLVLGLFGVVILVQKYAVIGFTKSESKRIGCGYVN >ONIVA07G21650.1 pep chromosome:AWHD00000000:7:20412036:20414533:-1 gene:ONIVA07G21650 transcript:ONIVA07G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTEAYRAEFSSERENTDAVCDWRHGKEGDAEGEARARAIPGWRSRGRVLETREKATHPTARPRGFLPPSRGLKIAQERVASVGRAVLLCLGPAADVDEILLVLPTRAGEHGGAKEAPTATLAVVRQRVARGLREQLVATGARVKDKDAGEDPGGERCWEEQHETEVDVVHGEAVDAALAVKGEARGGGGGIYRGKGGGVEVWRVTLDATRGTVRSVSAGWKK >ONIVA07G21640.1 pep chromosome:AWHD00000000:7:20403433:20413878:1 gene:ONIVA07G21640 transcript:ONIVA07G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWSLIAISNPGAQRLLQCVGVAFKPEPHTSAKPAKSHPRLQPGPSKARPRVQLKQPVLGRFSSRATEGESLAGALWDEWLSPSFPARALDFAIPESPSPAPRPRRRLLSRASNHRQHLCKNSGSMHDANQTSNKNR >ONIVA07G21640.2 pep chromosome:AWHD00000000:7:20400921:20413878:1 gene:ONIVA07G21640 transcript:ONIVA07G21640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAACRCCSESPRAPPRPPPPRRARALVAATTPHALAAASAPCRPRRPCRCLRRPWETHSAGLLQCVGVAFKPEPHTSAKPAKSHPRLQPGPSKARPRVQLKQPVLGRFSSRATEGESLAGALWDEWLSPSFPARALDFAIPESPSPAPRPRRRLLSRASNHRQHLCKNSGSMHDANQTSNKNR >ONIVA07G21640.3 pep chromosome:AWHD00000000:7:20403269:20413878:1 gene:ONIVA07G21640 transcript:ONIVA07G21640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRTESEDGRPLFPILKPSRMLPLLAAVVPQRCLVLHERERESERGGREGGRGEGFYNASAWHSSLSHTRRQNQQNLIHVCSRAQAKQDRASN >ONIVA07G21630.1 pep chromosome:AWHD00000000:7:20400829:20401125:-1 gene:ONIVA07G21630 transcript:ONIVA07G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVNQLSESPTAGGGSGKGGEGGTARRRRQGRAAWWRRPGRARGAEAAAVGAREATRSSTGKQRIALLQPLQGAWLLPARGTTACTPSRCLTIAEC >ONIVA07G21620.1 pep chromosome:AWHD00000000:7:20395038:20396402:1 gene:ONIVA07G21620 transcript:ONIVA07G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETLQTLLPPPPAEEAPTTTVAALTDDTLRNILHRLAPADLLRAALVCHRWRRAAARCVMAAPPPLLGYFFHPADTPLTAHIVAPIAALHPAAFVPLDSSSPSSPRLSLDGTKGFTIYDVHLGLVLLLPASLPSGVLPRILVLDPASRRRALLPQPPRDALPGDRWRGLRHIIGAAVLSRSHPSRLCFDAVCLTVDDENPRAWVASYRDGECSWRALPQDTGVTVAFDPFWFEGRCVHAAGDIYWHICNSGRLLKLDPTTLSFSYLLAPSELGDRNKKFRIGEAPEDGRLGMAAMEDHEMQFWVRGEASGSDNGWFLQKRMNMRKVFDTVPGLPRDKLSRTVSIWLSDIDAGRTGKLFFETEGYGRYSFHMDTGKLERLATEDGKEYGHPIYAYFMAWPPAFLAPEKSEFPVNAKTFTDLIIFAYAELLDQCLSGLLLYVVCCLSVTYLHVH >ONIVA07G21610.1 pep chromosome:AWHD00000000:7:20385294:20385662:1 gene:ONIVA07G21610 transcript:ONIVA07G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDGRLCVGSLEDEGLQLWVRGSGKGSDHGWVLERHVRMQEVLDAVPWLPRNILLRHANIWLSDIDAGRTGKVFIASFGFGRFSYHLDTGKLECLAMEDGMEYGHPIFPFFSAPVICGVSE >ONIVA07G21600.1 pep chromosome:AWHD00000000:7:20384750:20385136:1 gene:ONIVA07G21600 transcript:ONIVA07G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLASRRGLPPPVAPPSLLLFHSPPRPLPPPHSGSPVPTKGDPPCPGAPTVVATFHPLTASSPRLSLDFLPDAADFELYDSRFGVVLVAVDDDRPRAWVATHRDGVCSWRALPRSRDVAIEFDPHWP >ONIVA07G21590.1 pep chromosome:AWHD00000000:7:20379337:20380302:-1 gene:ONIVA07G21590 transcript:ONIVA07G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTVPVFHPLTASSSSPRLSLDFVPDLSHFSLYDSHHGLLLLRHHKCEYFNPRLFLVCDPVSRRHALFPPPPADEYAGGRIFGAALLLRQADAADGGLRFEAVCVAVDVDRPRAWVATYRDGECRWRALPRSRGVAIEFDPHWLEYLAVRAAGSLYWHICYNPCALALDTATLEFSFLRVPAMMFDGTSNTHKCRIGEMPEDGRLCVGSVERQELLLCVRGSGDGSDNGWVVERRVRIREVLDGVPWIPKNSFLRHFNLWLRDIDAGRTGKVFIGTLGYGIFSYDLNTGKLENLATEDGMQYGHPILPYFSAPVDAGSD >ONIVA07G21580.1 pep chromosome:AWHD00000000:7:20368841:20369235:1 gene:ONIVA07G21580 transcript:ONIVA07G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGLCWTSYALIHFDIFITIPNGLEAIYYRTTPKKQDKNLKLPTVARVAKGTSIVAPVSKDDDINGSTASHVTINITVEP >ONIVA07G21570.1 pep chromosome:AWHD00000000:7:20367290:20368805:1 gene:ONIVA07G21570 transcript:ONIVA07G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3Z1] MVSPDLIRNVVGIVGNVISFGLFLSPVPTFWRIIKNNVMQNFKADQYLATLLNCMLWVFYGLPIIHPNSILIVTINGIGLVIEAVYLTIFFLFSDKKNKKKMGVVFTTEALFMTAVALGMVSPDLIRNVVGIVGNVISFGLFLSPVPTFWRIIKNNVMQNFKADQYLATLLNCMLWVFYGLPIIHPNSILIVTINGIGLVIEAVYLTIFFLFSDKKNKKKMGVVFTTEALFMTAVALGVLLDAHTYQRRSSAP >ONIVA07G21560.1 pep chromosome:AWHD00000000:7:20364963:20365652:-1 gene:ONIVA07G21560 transcript:ONIVA07G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIAKYATIPSLWWLGGRTVVRRKEGAAAVKRLPQLVMPLRGRHPLGKGTAGRRRVSCEVAGRRMSSPRAKALYEGHRGPWLTGG >ONIVA07G21560.2 pep chromosome:AWHD00000000:7:20364723:20364957:-1 gene:ONIVA07G21560 transcript:ONIVA07G21560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGGGGWRGLWWRRGLWWQRGSVGDSDGRGGSGLHACGAPTVTMGRADPDSLYPAASPPPTHAEQPAAAANLCD >ONIVA07G21550.1 pep chromosome:AWHD00000000:7:20362002:20362825:1 gene:ONIVA07G21550 transcript:ONIVA07G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVNVIHKILRERIEQCNRKVGIENISRVVQVGDGIARIIGLGEIMSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEIVASESRLIESPAPAIGQRESSVTQVITTFHEEGTMEYTIIVAEMADSPATLQYLAPYTGTALAEYSMYREWHTLIYDDLSPNRHKFITKCPFY >ONIVA07G21540.1 pep chromosome:AWHD00000000:7:20359706:20360768:1 gene:ONIVA07G21540 transcript:ONIVA07G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGEKEGGGNKEQEKNLREVKISQPHPRLASLQLAITNWTFHHKHETSGNSPAEEADAAVVQGRAGVRSTAAATRPPPPKREKRAEKEPETEKKVVVTVMNGEDDGSADTRRRRCVCSAAGQPAGHFRCVRAETDGADE >ONIVA07G21530.1 pep chromosome:AWHD00000000:7:20357310:20358022:1 gene:ONIVA07G21530 transcript:ONIVA07G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein S2 [Source:Projected from Arabidopsis thaliana (ATCG00160) TAIR;Acc:ATCG00160] MTRRYWNINLKEMIEAGVHFGHGIKKWNPKMAPYISAKRKASQGKSFLIVGTKKRAADLVASAAIRARCHYVNKKWFSGMLTNWSITKTRLSQFRDLRAEEKMEKFHHLPKRDVAILKRKLSTLQRYLGGIKYMTRLPDIVIVLDQQKEYIALRECAILGIPTISLADTNCDPDLANISIPANDDTMTSIRLILNKLVFAICEGRSLYIRNH >ONIVA07G21520.1 pep chromosome:AWHD00000000:7:20351979:20357038:1 gene:ONIVA07G21520 transcript:ONIVA07G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERANLVFQNKEIDGTAMKRLISRLIDHFGMGYTSHILDQIKTLGFHQATTTSISLGIEDLLTIPSKGWLVQDAEQQSFLLEKHYYYGAVHAVEKLRQSVEIWYATSEYLKHEMNSNFRITDPSNPVYLMSFSGARGNASQVHQLVGMRGLMADPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTADAGYLTRRLVEVVQHIIVRRRDCGTIQAISVSPQNGMTEKLFVQTLIGRVLANDIYIGSRCIATRNQDIGIGLVNRFITTFRAQPFRAQPIYIRTPFTCRSTSWICQLCYGRSSTHGDLVELGEAVGVIAGQSIGEPGTQLTLRTFHTGGVFTGGTADLVRSPSNGKIQFNGDLVHPTRTRHGQPAFLCYIDLHITIQSQDILHSVTIPSKSLILVQNDQYVESEQVIAEIRAGTSALHFKEKWKKILKSKEKYFVLVRPAVAYEMNEGRNLATLFPQDLLQEEGNLQLRLVNFISHENSKLTQRIYHTNSQFVRTCLVLNWEQEEKEEARASLVEIRANAKPYLATTGATVHGHYGEILYKGDKLVTFIYEKARSSDITQGLPKVEQIFEARSIDSLSPNLERRIEDWNERIPRILGGPWGFLIGAELTIAQSRISLVNKIQKVYRSQGVQIHNRHIEIIIRQVTSKVRVSEDGMSNVFSPGELIGLLRAERAGRALDESIYYRAILLGITRVSLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGIIPVGTGFQKFVHRYPQDKNLYFEIQKKKLFASEMRDILFLHTELVSSDSDVTNNFYETSESPFTPFI >ONIVA07G21510.1 pep chromosome:AWHD00000000:7:20328348:20332454:-1 gene:ONIVA07G21510 transcript:ONIVA07G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAKKRKLEEESNNPHLAPGGGEDLINRLPDDILIGIITILPGKDAARTQVLSRRWRPLWRSAPLNLEARVNGGRIAKDVATIRSTLRTHKGPVCRFSVSWTFDYNHFPVVDSLLGSPRLDNLQEFELFYHYNCSCYPPVPRSVLRLSPTLCVLWISGTCDTLLFPMETAYFPHLMQLTLSNHSPCSSVTMPCLGELGAGWNRGCRRLRISSLTLRSLGVSNDTRKDEKLEEVIVEDAPLLERLTPHTIWQGDFVIRVIQAPKLKTLGYLSHKITTLELGTMVFQKMVPVSLSNVMRSVKILALDTTPDLDVVIGFIKCFPCVEKLYIVAFNQGNLKNIRRNVSLECFDLHLKMVEFINYQGNMLDLNFIRFFVLNARVLECIKLVARRDKCEAKWIENKIRSYSFMAELHEELHLIFRLIMGWIVCT >ONIVA07G21500.1 pep chromosome:AWHD00000000:7:20319379:20322427:1 gene:ONIVA07G21500 transcript:ONIVA07G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVEELRRLRRLEEESGDPRLGEDLISRLPVDVLRGIITLLPTKDGASTQVLSRRWRPLWRSAPLNLEAWVNSETMGKDVATIHDTLRAHEGHVRRLSLIWRDGHDRRFPVVESILGAPELDRLQKFELFYDNVCSQNPPVPLSVLRMSAALRVLRIRSTRDGALQFPMETACMLDFPHLKALTLSNVNIMDSALHGLLSRCPVLESLVLVGNRWCRCLHISSLTLQSLGVSDGFSSVEGKLEKVTIVDAPLLERLIIPRDKWQDDFVVRVTQAPKLEALGYLSDGISRLEIGTMVVQKLVPVSLSNVVRTVKILAINTNFYPNVVIDFIKCFPCVEKLYVKVRYYAYFNNCLDLHLKMVEFINYQGNVEDLNFIRFFVLNALVLECMKLVTRRNKCDVKWIEEQHQKLQLYSGASRRITFDFQADYEGDSLVHMKHISDLTTNDPFDRSFCQDPV >ONIVA07G21490.1 pep chromosome:AWHD00000000:7:20315890:20316096:-1 gene:ONIVA07G21490 transcript:ONIVA07G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNKHGLPSEPRAEASSGANHRGARWHNRSRTRLMTQGRGEQWPKSRLTGARVGWPHWRNDGCGRR >ONIVA07G21480.1 pep chromosome:AWHD00000000:7:20310717:20311858:-1 gene:ONIVA07G21480 transcript:ONIVA07G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGSHGDVTNLTHAKVVRKRARNWYASLTQEKKDERNKKDRERRKRKEESHVLNKSATNSDVAPLCELSKKSAADLITCQLEVNDSSTLHQGKANSSHYCYICTPWMNSVLFDIMEIDIISNTSGQILTLTSELLVW >ONIVA07G21470.1 pep chromosome:AWHD00000000:7:20293281:20299059:1 gene:ONIVA07G21470 transcript:ONIVA07G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAMKRRLDEESSNPELAPRGGEDLISRLPDDIFTSIITILPGKDAARTQMLSRQWRPLWQSAPLNLEAMVDSRTVGKDVTTIQTVLQAHRGRLCKLQEFELFYFNIDAENLPVPLSVFRRSHTLCVLRICSTCDTLQFPMETDCMPNFPHLKELTLSNISIANSTIHCLLSRCPVLESLVMDANRGYHRLRISSLTLQSLGVSDACSYVEGKLEEVIIENAPLLERLIPPCIRNEGFVIWVTQAPKLKTLGYLSHKISTIELGTMVFQKLVPVSLSNVMCTVKILALDRAPDLDVVIDFLKCFPCVEKLYFVAFIQGNFKNALRYVSLECLYLHLRMVEFINYQGNMLDLNFIRFFVLNARVLECVKLVAAHDKYGRKWMEKQQQKLQLYGRASRGITFDFQADYGSNGSVHMKHISDLTTDDPFDRHRDFMVLAVTQRTG >ONIVA07G21460.1 pep chromosome:AWHD00000000:7:20286322:20286896:1 gene:ONIVA07G21460 transcript:ONIVA07G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDVTTIDTVLQAHRGRVRQFSLSWTLDYNHFFIVDYLLGSPQLCKLQEFELFYFNIDARNLWICSTCDTLQFPMETDCMPNFPHLKELTLSNINIVDGERGGAARGGRSGVAGGECGRGSTRWEEQCCRWGERRGTTGRTEMWPAVEGGREGKNELGLWIFFAPAVLMDSETI >ONIVA07G21450.1 pep chromosome:AWHD00000000:7:20286094:20286276:1 gene:ONIVA07G21450 transcript:ONIVA07G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAKKRMLDEESSNPQLAPRGGEDLISRLPDDILISIVPSKDAARTQMLSRRWQLL >ONIVA07G21440.1 pep chromosome:AWHD00000000:7:20283959:20284503:-1 gene:ONIVA07G21440 transcript:ONIVA07G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDNTVAVDGGGRWRLRHCFGPRCRTLGSTLTSKEVFTWANSNNQRLLHITNIDKTSKSYICTSCSMWLAAEDRVESADDGWLILCNVELISIPHRYILP >ONIVA07G21430.1 pep chromosome:AWHD00000000:7:20272873:20277154:1 gene:ONIVA07G21430 transcript:ONIVA07G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRGKKRRLDDESSNPQLAPRGGEDLISRLPDDILAGIITLLPTKDGACTQMLSRRWRPLWQSAPLNLEARVNGCTLGKDVATIYSTLLTHSGPEFELFYDSVGVQNPPVPPSVLRLSSTLRVLRILSTCDTLQFPMETACMLDFPHLKELTLSQVNIADSILHGILSRCIVLESLVLDANRGCSRLRISSLTLQSLGVSDTYFSVEGMLEEVIIKDAPLLERLTPPVIWHEGFVIRVIQAPKLKTLGYLSQKISTLQLGNMVFQKLVPVSLSNVMRAMKILALHTAPDLDVVIDFLKFFPCVEKLYIVALNQGNFKNVRRYVSLECLDLHLKMVEFINYHGNLSDLNFIKFFVLNAQVLECIKFVACRDKCDAKWIKTQHQRLQLYSRAS >ONIVA07G21420.1 pep chromosome:AWHD00000000:7:20266678:20268771:-1 gene:ONIVA07G21420 transcript:ONIVA07G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) domain protein 40 [Source:Projected from Arabidopsis thaliana (AT3G16890) TAIR;Acc:AT3G16890] MRSIHHPNLLLLRRRRCRRRLSGANRRPETPPRSSLSTKSAANPPDPADPARAASILAEDDWFPRLNAEFAAALPRLGPRFVVRALRAAAEREGVGEPLLCVRLYVWASRFGAHFARDGGVRRALVGALWRRGPVVLSGRLVAEVRGCGCEVSEELVCALVESWGRLGLARYAHEVFVQMPRLGLRPSTAVYNALIAASVRAGAVDTAYLRFQQMPADGCRPDRFTYNSLVHGVCRRGIVDEAVRLVRQMEGEGIRPNVFTYTMLVDGFCNAGRVEEAFRMLDKMKEKGVAPSEATYRTLVHGVFRCLERDKAYRMLSDWLGHETSLHPSACHTMLYCLSKKDMAKEAVEFAKRMSARGYLLDSTAFGIVMSCALKCLEVSDLCELLDSFIKNGGNPGFDVYIMVIKSLLNCKNFSKANHYLGHMVLKGLLSSVMSYNMVIDCFVKAGAVDKAEEIVKEMQDKGFLPNLVTFNTLISGYSKLGNVHNAKVVLKMLMEHGFMPDIITFTSLIDGLCNTHQLDDAFVCFEEMAEWGVRPNAQTYNVLMHTLCSAGHVNKAIDLLNKMKIDGVTPDAYSFNALILSFCRMRKVDKAEDIFNDMVRFGVVPDSYTYNSLIKALCDERRVNKAKEILFARERSGCSTSNNQSYWPIVAALAKMGQFSEAGELMDKYLSRNAQSSCGSNQSIESEVAVQVVNA >ONIVA07G21410.1 pep chromosome:AWHD00000000:7:20263848:20264192:-1 gene:ONIVA07G21410 transcript:ONIVA07G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGAGAVGRRAWRWRVLLARRQADAVVAWDSGGGGGCAKARDVSEVENKVGRSRSDDLDVISCGDMGGGVGDDNDKDAKHGNPRKRQSACCAARTAPCCAAFVRERSRERVCQ >ONIVA07G21400.1 pep chromosome:AWHD00000000:7:20258535:20261936:-1 gene:ONIVA07G21400 transcript:ONIVA07G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLAVFFLLAGGAGLGMTAAAAAKAVHLLCFATSWGVTVWAILVGGVIMFLNLPRHAMGRLRGKVFPACFALNAACTAASAAAFAWLHRPPWPPAERRQLAVLLVAAGYDLANLLIFTPRTLEAMRERHKVERSLGIGGDGSFVGWRQNARAARSSSTLAAENARFWVAHSFSAVALVISAAGLFSHFCYLSRKIVI >ONIVA07G21390.1 pep chromosome:AWHD00000000:7:20253294:20255450:-1 gene:ONIVA07G21390 transcript:ONIVA07G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWATRFLAAVCFFAAGVVFAPDVLLGARSGSGSGGGAAAAAKVAHLLCFATSWGAALWATFIGGIIMFKNLPRHQFGNLQGKLFPAYFMLISACAAVSVAAFAYLHPWKTASTVERYQLGFLLAALGFDLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNVQVAKNNPTLAAINKKFGMIHGLSSLANIMSFGSLAMHSWYLASKLEM >ONIVA07G21380.1 pep chromosome:AWHD00000000:7:20239442:20243550:1 gene:ONIVA07G21380 transcript:ONIVA07G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAADTVSGDDHHLATGGDSACSTPFVSAPSSPAARDAPFPGGFFSAPASPAHHHHHHGGEGGGDGEEYEFEFDFSSRFPSPAPAAMSSADELFHNGQIRPMRLPPLVGGGETPREELEVGAGDERGGRLRCRSVRRRSRSHSPFRTWLSPPPPPPPPSAAPVANAAAPSVSRSSSSSSTASSASASSSSSSSSRSSRRWRFLKDLLHRSGSDGGKDQRPPPPIAPPRSPAPAAARGGRRRSAHERMYAARRAEVEEMRRRTFLPYQQGLLFGCLGLTSRLSGAAAGKSRVFTPSTPRALSECQEHFLSASPECQAVISMPNGQPNDDRCRLLTGQRGMLGIMTASSFSGSNLKMPKLLIRKSLLFSLGHYPFRCHD >ONIVA07G21370.1 pep chromosome:AWHD00000000:7:20226026:20231822:-1 gene:ONIVA07G21370 transcript:ONIVA07G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G02120) TAIR;Acc:AT4G02120] MSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKVHEAIIKQLNLGRSAGPPELRDWTQMAESYDDLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATATSAPDAHAKAWETLKGSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRNVLGLKDADSEEFNSETPSRVVMYMPEVNPSFVAMLENAGLHFVGCDESGKRMEVVELQDHPFYIGVQFHPEFKSRPRRPSPPFTGLILAAAEYMGTPANNSNGHMEVIDPFLVFC >ONIVA07G21370.2 pep chromosome:AWHD00000000:7:20226028:20231935:-1 gene:ONIVA07G21370 transcript:ONIVA07G21370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G02120) TAIR;Acc:AT4G02120] MAEPGEDAAAAAAAASTKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKVHEAIIKQLNLGRSAGPPELRDWTQMAESYDDLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATATSAPDAHAKAWETLKGSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRNVLGLKDADSEEFNSETPSRVVMYMPEVNPSFVAMLENAGLHFVGCDESGKRMEVVELQDHPFYIGVQFHPEFKSRPRRPSPPFTGLILAAAEYMGTPANNSNGHMEVIDPFLVFC >ONIVA07G21360.1 pep chromosome:AWHD00000000:7:20220843:20225567:1 gene:ONIVA07G21360 transcript:ONIVA07G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLALLRLRLSTSCCDDARDDPESGAERGNGGEGREAPGGRKKEADHLISRSMDGNKRRRTRRRLSLATATDGDGDGTAAAAPPAKRQRCHAVEDLPSPRRGLLRQSVLVVVFLRRAMLLAWGRKADDDDDDDAAVGVSRIGGLVRDELRRCLGPIVRGFSLQFSKLERRLERIDQRIENLNHKVDQITPLRHSHCNHQQPMQGTNHEGANAEGVETNEDDDKNTCVRLRFLNEMKPPIYHDDELKAENNEDIRIAIFDGEQMIKSGPLSKVKLEILALEGNFPYNSMESWTTKEFNEHRACGRDERGNVLAGERTVQLINGEASLGAIKFREGSCKARKGKFMLAARVCDSARTGVHVQEAVMTPVVVQDRRNKSNEKSHPPKLDDKVHRLEEIAINGKYCKRLAENDIETVEDFLKALNKDPDNLANILHMKKGSKAWEKMVTHARDCSLEGKPELKSYPVAQTNVVLIFDCVNSLVGAWFGDSYIASDSLSSAQQVIVDKLKGKAYKLLDKLPFDYIMEGGFPIPNPMNANANADGHHAAYQVQGTEAVGGLDHAQIDPSFANANYQDQSTAQAGQEQFSSAAVAGWYQGPIAQPSSSHQTNHVVYPGGAQVNYSHQTNCVAPCDYPCQGASMVPGFDQVELQGRPFLGRDDLEASTSSHNNLPFTPQQQFTFSGDPGSSAQVNMQSQGHSKPSTSTTQGNLPTQQQWSQSQYHGNNWG >ONIVA07G21350.1 pep chromosome:AWHD00000000:7:20213526:20215992:1 gene:ONIVA07G21350 transcript:ONIVA07G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEATSGGGGFRARVEHYLYSGEKKHVVAGIAIFAAIFGVPWYLMTRGAKHQSHQDYMERANKARSERLSSGQSSSPKE >ONIVA07G21340.1 pep chromosome:AWHD00000000:7:20209301:20213222:1 gene:ONIVA07G21340 transcript:ONIVA07G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELMGAKKRELLLLERRGSEKRLRVTVPAAAVAAVGVGTAALASPATRMLRKIVLVLLFLLRMSERVTVVESISQIGRMVQRLHNVQGVIIKKLENIQGRMENMQERMEDISHEVKQLKHLHSNRHADQHPRLEPNTNVQLRFLDDLKTPVYTEKNITAESNEAIRIGIFEGDNMITDGPLSKVKVEIVVLRGDFSNDGRVSWTEEQFNNHIAQGRNGQGFVLGGDCGVWLKNGENRLGKIRFKEGSSRTRSRMFIIGARVSKSENTGVRVQEAVMKPVTVLDRRNEANEKRHPPMLDDEVFRLEEICKDGTYRKRLQKAKIFTVRDFLKAFYKNAKKLREEVLQMKKNTSSWDKMVGHARECCLRDQHELKAYQNEEGNVMLFFNCVHELVGAAFGCDYVIYDKFDPAQKTLVNELKGCAHAKLEDIPFNYVIKNGIPELIRTSSAATAGPSGPSNYAMPYQATGAAENLGSLVPQTEEQDSLGPPTNFYGSSECGCTGDDVADFDVLREYYAHAEMQTLPSYGSEVEQPLYGEPGPSNSAYPGSYPH >ONIVA07G21330.1 pep chromosome:AWHD00000000:7:20201945:20206203:1 gene:ONIVA07G21330 transcript:ONIVA07G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRRPEEESPQAAALKKRCRSFDLEIRGCRHLQELAAGFVRTLEAALESTISRIPEEVTKALTSFLIRAPRTLSDQNQPPRYKLGFSNGLSNEVFTKKGICDVNGESLKISVHVNNPQEACSHRLLSAKIRVVVLDGDFNKNDQGCWTSEEFRNHIVRPRDKVGAVLTGELELSLKNGEAYIHDATFVDNSKFMRSGKFRLGVMVIDDLGERIQEGITEPFVVKDRRGEGSKKHEIPSLDDDVWRLKKISKDGVFHDALKQSGISNVKEFLRLYYTDEPALRKILIKATDKVWTTIIEHAKKCDPGRELYSFLPENHNVVLFFNSIHQIVGVITGDHYTPFSDLDKSMQDDVGQLSKLAYADLNHFLPDFEMKNGKPRQINQCAFQESRMVEPKFTDQIQGHMDPNFAGLIQGNMDQKSNSLHFFFMHLTMVVDTNKIQWLIDIKTFKLLQREMSMNLMISKELLAVIPGSANYQGLDLCE >ONIVA07G21320.1 pep chromosome:AWHD00000000:7:20189671:20194997:1 gene:ONIVA07G21320 transcript:ONIVA07G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSWERLAADARLQDALIGMEEAEGSEGEEEAAEVACPFCDEEFDGFGLCCHIEDEHQAENRAGVCPICYDAVGMDLVSHITSEHPSFFKGKWRNRRVSHGSHSSTRATLKKDAAYLQYRYGGSTRAASHNTDPDPLLSSFVGNFTDTDLPKDVQQEFRDETDEKSDSLVQKEQKPVESADEPLLPEVKEERTRRSQFVQGLVLSLMFDDIL >ONIVA07G21310.1 pep chromosome:AWHD00000000:7:20180461:20181094:1 gene:ONIVA07G21310 transcript:ONIVA07G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASDALPRWAPVATDSPSTPLLSLFLSLTRSPLSGPTPPRQLPGKSSDEERVEAVVRAAARSGSRTAEVELGAVDGLEAPVLAAEEHVDDVVVVVVAHRDDGDEIRPSHALPSLLCRRLACHHILPDLAARGPDPPTTALDLASPTSDGARRRMPGRMATGEREREEWRWTPAMGGRPSSSFSWPPATGEASDGGGERERPLRR >ONIVA07G21300.1 pep chromosome:AWHD00000000:7:20175628:20179527:-1 gene:ONIVA07G21300 transcript:ONIVA07G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase-like D5 [Source:Projected from Arabidopsis thaliana (AT1G02730) TAIR;Acc:AT1G02730] MSRRLSLPAGAPVTVAVSPVRSPGGDAVVRRGSGLTSPVPRHSLGSSTATLQVSPVRRSGGSRYLGASRDGGADESAEFVHYTVHIPPTPDRATASVASEAEAAAEAEEVHRPQRSYISGTIFTGGLNCATRGHVLNFSGEGGATAASRAAASGNMSCKMRGCDMPAFLNGGRPPCDCGFMICKECYAECAAGNCPGCKEAFSAGSDTDESDNVTDDDDDEAVSSSEERDQLPLTSMARKFSVVHSMKVPGAAANGNGKPAEFDHARWLFETKGTYGYGNALWPKDGHAHSGAGFVAADEPPNFGARCRRPLTRKTSVSQAILSPYRLLIAIRLVALGFFLAWRIRHPNPEAVWLWAMSVACEVWFAFSWLLDSLPKLCPVHRAADLAVLAERFESPTARNPKGRSDLPGIDVFVTSADPEKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLSFEALAETASFARTWVPFCRKHGVEPRCPEAYFGQKRDFLKNKVRVDFVRERRKVKREYDEFKVRVNSLPEAIRRRSDAYNAGEELRARRRQQEEAAAAAAAGNGELGAAAVETAAVKATWMSDGSHWPGTWTCPAADHARGDHAGIIQAMLAPPTSEPVMGGEAAECGGLIDTTGVDVRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSSALREGMCFMLDRGGDRVCFVQFPQRFEGVDPSDRYANHNLVFFDVSMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHHGWLGRRKIKLFLTKKKSMGKKTDRAEDDTEMMLPPIEDDDGGADIEASAMLPKRFGGSATFVASIPVAEYQGRLLQDTPGCHHGRPAGALAVPREPLDAATVAEAIGVISCFYEEKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTPRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKLLQRVAYFNAGMYPFTSVFLLAYCLLPAVSLFSGKFIVQRLSATFLAFLLVITLTLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGNGGGDGGVGGEGNDDEAFAELYEVRWSYLMVPPVTIMMVNAVAIAVAAARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLISMIISLLWVYISPPAGARERIGGGGFSFP >ONIVA07G21290.1 pep chromosome:AWHD00000000:7:20170253:20173907:1 gene:ONIVA07G21290 transcript:ONIVA07G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGCPIYVSDKPGNHNLELLRKLVLPSGSGLRVHLPGRPTRECLFSDQARDGARIWIWNLNKCGGIVGVFNCQGAGWCRVAKKTHVHDAAPGTLTGAVRADDVDAIAQVADDGDGDDGWDGEAVAYMQRARELVRLPCDAVLPVTLGALDYEVFHVCPVRAIAMAPGGTVVAFAPVGLLDTVDATAAAVALRVHGCDHFGAYFSRRPARCTLDGADVGFTYDGDTRTCSQRDPRRINLSQGKTVMAPACVSDEHAVAVSAERLWKAFMDASALPKACAGLVDDIAVEGNGGPGTIYTMKLNPAAGVGSTYKTRVAVCDATSHVLKSDVLEAESKVGKLKSHSTETKLEATGDGSCVAKLKVEYELEDGSSLSPEKEKDIVDGYYGMLKMIEDYLVAHPAEYA >ONIVA07G21290.2 pep chromosome:AWHD00000000:7:20170253:20173907:1 gene:ONIVA07G21290 transcript:ONIVA07G21290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGCPIYVSDKPGNHNLELLRKLVLPSGSGLRVHLPGRPTRECLFSDQARDGARVAKKTHVHDAAPGTLTGAVRADDVDAIAQVADDGDGDDGWDGEAVAYMQRARELVRLPCDAVLPVTLGALDYEVFHVCPVRAIAMAPGGTVVAFAPVGLLDTVDATAAAVALRVHGCDHFGAYFSRRPARCTLDGADVGFTYDGDTRTCSQRDPRRINLSQGKTVMAPACVSDEHAVAVSAERLWKAFMDASALPKACAGLVDDIAVEGNGGPGTIYTMKLNPAAGVGSTYKTRVAVCDATSHVLKSDVLEAESKVGKLKSHSTETKLEATGDGSCVAKLKVEYELEDGSSLSPEKEKDIVDGYYGMLKMIEDYLVAHPAEYA >ONIVA07G21280.1 pep chromosome:AWHD00000000:7:20167941:20168120:-1 gene:ONIVA07G21280 transcript:ONIVA07G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGPPFPSTTMSSTKPAQTLGMADASMNTFYSLSANTVTASSSERKAGAITNISIPSS >ONIVA07G21270.1 pep chromosome:AWHD00000000:7:20167786:20169238:1 gene:ONIVA07G21270 transcript:ONIVA07G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAMPKVCAGFVDDIVVEGNGGPGTIYTMKLNPARVLKSEVLEAESKVGKLKSHSTETKLEGTGDGSCVAKLKVEYELADGSSLSPEQEKTATSACSR >ONIVA07G21260.1 pep chromosome:AWHD00000000:7:20164134:20166170:1 gene:ONIVA07G21260 transcript:ONIVA07G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVSDERAVAVSVERLWKVCLDVHSLPKVCAGFIDAVEVEGNGGPGTIHIMKLNPAADAGSVYKTKIVVCDSATHVLKAEVLEVKSRVGNLKSHSTETKLEATGDATCVAKLTVEYELEDGASLSPEQEKMIVDGYFSMLQMIEAYLVAHPAEYA >ONIVA07G21250.1 pep chromosome:AWHD00000000:7:20151047:20155194:1 gene:ONIVA07G21250 transcript:ONIVA07G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLWTRSVTGRSSLMAPVCISDERSVAVSAERFWKVFSNPPAMPKVCAGFFDAAEVEGDGGPGTVVILKFNPAVKQGLYKTRVVARDNASHFLKSEVLEVALGRAGKLKTHLTETKLEATGAGSCMAKLRVECEPEDGGSLSPEKQKIILEGYFGMLKMIENYLVAHPAEYA >ONIVA07G21250.2 pep chromosome:AWHD00000000:7:20149279:20151236:1 gene:ONIVA07G21250 transcript:ONIVA07G21250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSISDERAVSVSAERVWKVFSDAPAMPKVCAGFIDAIEVEGDGGAGTVTTMKLNPAVDDGGSFKTRVVARDNAAHIIKSEVLDVPAGSKVGKLKSHVTETKIEAAGAGSCLAKINVEYELEDGGSLSPEKEKLILDGYFGMLKMIEDYLVAHPTEYA >ONIVA07G21240.1 pep chromosome:AWHD00000000:7:20146827:20147329:1 gene:ONIVA07G21240 transcript:ONIVA07G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGRPLPISLPLDPLPLPDLAGGEWSVKTKEGGGGDDAVEEEDEGKARWRKGRRALDPSGGGGVMCEQRSSVAGGKWRIRRWLVHVLYRQRIFSLAVLLRMRL >ONIVA07G21230.1 pep chromosome:AWHD00000000:7:20141182:20145967:1 gene:ONIVA07G21230 transcript:ONIVA07G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDVTIRTSLDAPLTDGIVPDSCSNMCIEDSSISVAHDAISLKSGWDNYGITIGRPASDIHISRVDLQASLGAALAFGSEMSGGISDIHVDHLNIHGSSRGILFKTAPGRGGYIRDVVISDVQMEDVNVAIKFTGDWSTHPDNHFDPSALPMINRITLKNMVGTNISVAGVLSGINGDPFTNICLSNISFSLADSTQSSSWSCSNISGYSELVFPEPCPDLHHSSSNSSICFSLLTYHALAAA >ONIVA07G21230.2 pep chromosome:AWHD00000000:7:20141450:20145967:1 gene:ONIVA07G21230 transcript:ONIVA07G21230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVVLVVAMAAVAVAVGVASGEEEAAAARCARRPRPRPHSVTISEFGAVGDGVTVNTLPFQNAIFYLRSFADKGGAQLYVPRGRWLTGSFNLTSHLTIFLEKDAVIIGAKEVSEWPIVEPLPSYGQGIDLPGARHRSLINGHNVTDVVITGNNGIIDGQGLTWWNWFRSNKLNYSRPHLVEFVDSEDIVISNLTLLNSPAWGIHPVFCSNVMVHDVTIRTSLDAPLTDGIVPDSCSNMCIEDSSISVAHDAISLKSGWDNYGITIGRPASDIHISRVDLQASLGAALAFGSEMSGGISDIHVDHLNIHGSSRGILFKTAPGRGGYIRDVVISDVQMEDVNVAIKFTGDWSTHPDNHFDPSALPMINRITLKNMVGTNISVAGVLSGINGDPFTNICLSNISFSLADSTQSSSWSCSNISGYSELVFPEPCPDLHHSSSNSSICFSLLTYHALAAA >ONIVA07G21230.3 pep chromosome:AWHD00000000:7:20141450:20145245:1 gene:ONIVA07G21230 transcript:ONIVA07G21230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVVLVVAMAAVAVAVGVASGEEEAAAARCARRPRPRPHSVTISEFGAVGDGVTVNTLPFQNAIFYLRSFADKGGAQLYVPRGRWLTGSFNLTSHLTIFLEKDAVIIGAKEVSEWPIVEPLPSYGQGIDLPGARHRSLINGHNVTDVVITGNNGIIDGQGLTWWNWFRSNKLNYSRPHLVEFVDSEDIVISNLTLLNSPAWGIHPVFCSNVMVHDVTIRTSLDAPLTDGIVPDSCSNMCIEDSSISVAHDAISLKSGWDNYGITIGRPASDIHISRVDLQASLGAALAFGSEMSGGISDIHVDHLNIHGSSRGILFKTAPGRGGYIRDVVISDVQMEDVNVAIKFTGDWSTHPDNHFDPSALPMINRITLKNMVGTNISVAGVLSGINGDPFTNICLSNISFSLADSTQSSSWSCSNISGYSELVFPEPCPDLHHSSSNSSICFSLLTYHALAAA >ONIVA07G21220.1 pep chromosome:AWHD00000000:7:20112302:20117035:-1 gene:ONIVA07G21220 transcript:ONIVA07G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGGMATAAGTAVLVYLVLSGRLCGDAAGDEDQTISAAVSAAVEARRRRRKEEAGRARRRGARARRWPERVPAGWGEAAAAAARTVRYTYGETLGKWPLGEIAFGLKYYMRQQGNLQHEYAGSNSQLLEGPVVKEELISLLGYLKLCMYFSKKPYKVFMEFGGYDESDVLIKKSKARLMKPSFTVVRDRSAKCFLLFIRGAISVKDRLTAATGAEVPFHHVVTQDGHVSKLVLGHAHCGMVVAARWIANQAIPCLNEAVAQFPDYGVKIIGHSMGAGIAAILAYILRENEKLSSSTCLAFGPAACMTWDLAESGKDFITTIVNRNDLVPSFGKVSAAKLRTEVMASSWVHDLREQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLVPNSSEADQVKPSSNSDAVVKKRHAIACWSCVAAHKHSIDSAKHGTHDITNQTDVNVKAEKTDIETAQLVSISMEELDLQESDNDDDTDRGENEPALNETDEDQAVELLETLTDNPHEPSSSSSQSQDPPQLYPPGRIMHMVGLPSSSEPNSTSEQDYGKEKRQHAAITGRAKELNLVMVSVIQKQFVCF >ONIVA07G21220.2 pep chromosome:AWHD00000000:7:20112582:20117035:-1 gene:ONIVA07G21220 transcript:ONIVA07G21220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGGMATAAGTAVLVYLVLSGRLCGDAAGDEDQTISAAVSAAVEARRRRRKEEAGRARRRGARARRWPERVPAGWGEAAAAAARTVRYTYGETLGKWPLGEIAFGLKYYMRQQGNLQHEYAGSNSQLLEGPVVKEELISLLGYLKLCMYFSKKPYKVFMEFGGYDESDVLIKKSKARLMKPSFTVVRDRSAKCFLLFIRGAISVKDRLTAATGAEVPFHHVVTQDGHVSKLVLGHAHCGMVVAARWIANQAIPCLNEAVAQFPDYGVKIIGHSMGAGIAAILAYILRENEKLSSSTCLAFGPAACMTWDLAESGKDFITTIVNRNDLVPSFGKVSAAKLRTEVMASSWVHDLREQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLVPNSSEADQVKPSSNSDAVVKKRHAIACWSCVAAHKHSIDSAKHGTHDITNQTDVNVKAEKTDIETAQLVSISMEELDLQESDNDDDTDRGENEPALNETDEDQAVELLETLTDNPHEPSSSSSQSQDPPQLYPPGRIMHMVGLPSSSEPNSTSEQGEEVVALYETPRHLYSKIRLARSMIREHYMPKYIRTMELLIDKLVAEEEDGIDDDHRLGSL >ONIVA07G21220.3 pep chromosome:AWHD00000000:7:20112582:20117035:-1 gene:ONIVA07G21220 transcript:ONIVA07G21220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGGMATAAGTAVLVYLVLSGRLCGDAAGDEDQTISAAVSAAVEARRRRRKEEAGRARRRGARARRWPERVPAGWGEAAAAAARTVRYTYGETLGKWPLGEIAFGLKYYMRQQGNLQHEYAGSNSQLLEGPVVKEELISLLGYLKLCMYFSKKPYKVFMEFGGYDESDVLIKKSKARLMKPSFTVVRDRSAKCFLLFIRGAISVKDRLTAATGAEVPFHHVVTQDGHVSKLVLGHAHCGMVVAARWIANQAIPCLNEAVAQFPDYGVKSGKDFITTIVNRNDLVPSFGKVSAAKLRTEVMASSWVHDLREQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLVPNSSEADQVKPSSNSDAVVKKRHAIACWSCVAAHKHSIDSAKHGTHDITNQTDVNVKAEKTDIETAQLVSISMEELDLQESDNDDDTDRGENEPALNETDEDQAVELLETLTDNPHEPSSSSSQSQDPPQLYPPGRIMHMVGLPSSSEPNSTSEQGEEVVALYETPRHLYSKIRLARSMIREHYMPKYIRTMELLIDKLVAEEEDGIDDDHRLGSL >ONIVA07G21210.1 pep chromosome:AWHD00000000:7:20101321:20111104:-1 gene:ONIVA07G21210 transcript:ONIVA07G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLUB [Source:Projected from Arabidopsis thaliana (AT5G54440) TAIR;Acc:AT5G54440] MANYLAQFQTIKSSCDRIVVAVEDVSDLWLNVKESFEQRLPVKKACLNNKARNPVFVENLPAEFIQTTDSRLRSRFPQDQYLFWFREPYATVVLVSCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNDQASKMAKRVYARLESDFNTKKRERCCKFDLHGPDAEFWDDFDSKMVDCIRNTLDRRVQFYEEEIRRLSEQRFTPIWNFCNFFILKESLAFMFEMTNLHEDSLREYDELELCYSESVNSPGKHREFGGLDTGDDQAALLNPGFKALTQIVQDDVFREFEFRQYIFACQAKLLFKLHRPIEVAARGYAFVVSFSKTLALQENGLPFCFREVWVITACMDLIKATTSHYDGTAVAIDSEREFCRIQGDLYSLCRIKFLRLAYLIGYGVEIEKSPVNSASLSMLPWPKPATWPSIPPDSSAETMAKEKASSFQHYFLTFNLLVMLHMILQAKSREKIFNIHRKPLPLEPSLLLREANRRRAFLSVGNISELYDSGDGSGLDANSKPSPNKSASNYMARTMSGPATSETSLPVDRPMRLSEIHVAAEHALKQTVSDPNFMTSLSSLEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHENYDLAAKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLTSCVKLLSLESGLFSSKERQAFQSEVVRLAHSEMKHPVPLDVSSLITFAGNPAPPLELCDGDPGTLSVAVWSAFPDDITLESLSLRLSASSSADEGLKAIKSSDARVLVPGRNIITFDIPPQKPGSYVLGALTGQIGKLSFRSHGFSQDGPVDTDEFMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKDGILHIDAGAGLKIEESQMIEIETYGSDVEHVGDTDASKTSSSSTDTRKVEKVPIEDGKIKIPDWASDVTTLVWFPVRAIDDTIARGASPASPQKQSIVDGMRMIALKLEFGVFLNQVFERTIAVHFTNPFHVSTRVVDKCYDGTLLLQVILHSEVKATLHVKDIWLDLQSGFEHTGKGDGRPTSNLFPLVIAPSSRAGILFVIRLSALGDMDELEKADSMLNIKYGISGDRTTGAHSPVPVKPDDSEELVFKIAVKMKRPVLDPCVAVGFLPFSSDCLRVGQLVNMRWRVERLKNPEDASLLADEILYQVDANPQNWMVAGRKCGHVSLSNEQGSRIEITVTCVPLVSGYVHPPQLGLPHVGEANISCNPAGPHLVCVLPPTLSTSYCIPA >ONIVA07G21200.1 pep chromosome:AWHD00000000:7:20093957:20096867:-1 gene:ONIVA07G21200 transcript:ONIVA07G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLGFKQLKLVCLALFVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSMYIDHDISKEEIFSSLDPLVQLVDEVGREATAASPPQSVVHEAENVTGRREASPLEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLKRMQDKTIAFVGDSLGRQMFQSMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTNTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWQMYVSSVPSHDWDIAVIWKAKNFTIHNVVRWLDDQLPRHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKDPLSKGNSVFRNRSDDAEAEEAVRGTGIKFLDITAISRLRDEGHISRYSIRGRGGVQDCLHWCLPGVPDTWNEILAAQL >ONIVA07G21200.2 pep chromosome:AWHD00000000:7:20093959:20095798:-1 gene:ONIVA07G21200 transcript:ONIVA07G21200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLGFKQLKLVCLALFVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSMYIDHDISKEEIFSSLDPLVQLVDEVGREATAASPPQSVVHEAENVTGRREASPLEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLKRMQDKTIAFVGDSLGRQMFQSMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTNTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWQMYVSSVPSHDWDIAVIWKAKNFTIHNVVRWLDDQLPRHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKDPLSKGNSVFRNRSDDAEAEEAVRGTGIKFLDITAISRLRDEGHISRYSIRGRGGVQDCLHWCLPGVPDTWNEILAAQL >ONIVA07G21200.3 pep chromosome:AWHD00000000:7:20093959:20095771:-1 gene:ONIVA07G21200 transcript:ONIVA07G21200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLGFKQLKLVCLALFVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSMYIDHDISKEEIFSSLDPLVQLVDEVGREATAASPPQSVVHEAENVTGRREASPLEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLKRQMFQSMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTNTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWQMYVSSVPSHDWDIAVIWKAKNFTIHNVVRWLDDQLPRHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKDPLSKGNSVFRNRSDDAEAEEAVRGTGIKFLDITAISRLRDEGHISRYSIRGRGGVQDCLHWCLPGVPDTWNEILAAQL >ONIVA07G21200.4 pep chromosome:AWHD00000000:7:20093959:20095771:-1 gene:ONIVA07G21200 transcript:ONIVA07G21200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLGFKQLKLVCLALFVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSMYIDHDISKEEIFSSLDPLVQLVDEVGREATAASPPQSVVHEAENVTGRREASPLEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLKRMQDKTIAFVGDSLGRQMFQSMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTNTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWQMYVSSVPSHDWDIAVIWKAKNFTIHNVVRWLDDQLPRHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKDPLSKGNSVFRNRSDDAEAEEAVRGTGIKFLDITAISRLRDEGHISRYSIRGRGGVQDCLHWCLPGVPDTWNEILAAQL >ONIVA07G21190.1 pep chromosome:AWHD00000000:7:20089782:20092578:1 gene:ONIVA07G21190 transcript:ONIVA07G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAAATSSSSSYTDTSGSSSDSSSSSGSDRRRRRARHRSGHRKDAAAAASSSSSALKARKDRRSRHKRRRRERRRSPSSSYDSEHEGKSRKHKKSRSSRKSRERERSKDRHSKRDKSKHKEKKDSERTSGPVQLSKFLGRDKDEGVQRSAISGKKIMMKLEKSKEDKQAESKRNELLKFLNASYD >ONIVA07G21180.1 pep chromosome:AWHD00000000:7:20085956:20086517:-1 gene:ONIVA07G21180 transcript:ONIVA07G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTPGLPPVDPSSPTLAAGRFHHGIDRSRATWLSGGGPDSRRSKGGAERSGASDGATASTVPIDLRFRHSTSDRPR >ONIVA07G21170.1 pep chromosome:AWHD00000000:7:20081604:20085940:-1 gene:ONIVA07G21170 transcript:ONIVA07G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETPVDQLDQQVKIWASEVRELSHDIEDAIDTFMLKCKGHEPATSFKKVTNLFNKFKTNHQIHGVMKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDPRLEAMYRKATELIGISKPKNELTKRLLEYDGSSSQQSNIISIVGFGGLGKTTLANSLFQELKATFDSYCFVSVSLNPDINKILKNILLQLDEKMYSHIDETWETKQLIDKIRDFLNNRRFLCVIDDVWKKSAWDTIKLAVQDAKLGSKIIVTSRNKVVAEHAGGGIYEMKPLSDDDSRHLFYKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSEEWDKVNKSISLGLENNLDVDNMRKILSLSYNDLPFHLKTCLLSLSKYPEDELIRKDVLIWDWLAEGFITDETRPAGTSLQEIGESYFSELINRSLIQPMSVHYLLDDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQTGKHTCTAQKKKIRRLSLHNSNKSYASPEAREQLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGARKPNSPKSHKVLFPMSFVKLRKLLRLYAGRVKLAQGLMLRDMKSLQELVIEATRKEKLTIHRGACAFRSLKDFHFYSCMMPSFQPGAMPHLERLYLRIWARLRRGDLNDLGLENLHSLRHVTIYSTGWDNKAVVREALKDYPNQAALEIRWCLTHHVIMVVQYHLQSIIVSPTRERTNNRRCNRVGEIIGLSIYLSIYLSIYQLFWLFPFHEIRIELFSWLSIKSSTMLFGIQARRYKTLLVFILFMVGLLKWSIYSTD >ONIVA07G21170.2 pep chromosome:AWHD00000000:7:20081604:20085940:-1 gene:ONIVA07G21170 transcript:ONIVA07G21170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETPVDQLDQQVKIWASEVRELSHDIEDAIDTFMLKCKGHEPATSFKKVTNLFNKFKTNHQIHGVMKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDPRLEAMYRKATELIGISKPKNELTKRLLEYDGSSSQQSNIISIVGFGGLGKTTLANSLFQELKATFDSYCFVSVSLNPDINKILKNILLQLDEKMYSHIDETWETKQLIDKIRDFLNNRRFLCVIDDVWKKSAWDTIKLAVQDAKLGSKIIVTSRNKVVAEHAGGGIYEMKPLSDDDSRHLFYKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSEEWDKVNKSISLGLENNLDVDNMRKILSLSYNDLPFHLKTCLLSLSKYPEDELIRKDVLIWDWLAEGFITDETRPAGTSLQEIGESYFSELINRSLIQPMSVHYLLDDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQTGKHTCTAQKKKIRRLSLHNSNKSYASPEAREQLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGARKPNSPKSHKVLFPMSFVKLRKLLRLYAGRVKLAQGLMLRDMKSLQELVIEATRKVIKEIGNLTELRTLRIIFNSVKTLELKESIQTSIQRFTNLQDLDLRNNFNFEEIIDMQQVPFGLQRLFMHHSYMKAFPCWINSSMLSRLTTLSICLDFEYLQSDHLDRLAELPSLRFLRLQLAFVSEQLQEKLTIHRGACAFRSLKDFHFYSCMMPSFQPGAMPHLERLYLRIWARLRRGDLNDLGLENLHSLRHVTIYSTGWDNKAVVREALKDYPNQAALEIRWCLTHHVIMVVQYHLQSIIVSPTRERTNNRRCNRVGEIIGLSIYLSIYLSIYQLFWLFPFHEIRIELFSWLSIKSSTMLFGIQARRYKTLLVFILFMVGLLKWSIYSTD >ONIVA07G21170.3 pep chromosome:AWHD00000000:7:20082796:20085940:-1 gene:ONIVA07G21170 transcript:ONIVA07G21170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETPVDQLDQQVKIWASEVRELSHDIEDAIDTFMLKCKGHEPATSFKKVTNLFNKFKTNHQIHGVMKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDPRLEAMYRKATELIGISKPKNELTKRLLEYDGSSSQQSNIISIVGFGGLGKTTLANSLFQELKATFDSYCFVSVSLNPDINKILKNILLQLDEKMYSHIDETWETKQLIDKIRDFLNNRRFLCVIDDVWKKSAWDTIKLAVQDAKLGSKIIVTSRNKVVAEHAGGGIYEMKPLSDDDSRHLFYKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSEEWDKVNKSISLGLENNLDVDNMRKILSLSYNDLPFHLKTCLLSLSKYPEDELIRKDVLIWDWLAEGFITDETRPAGTSLQEIGESYFSELINRSLIQPMSVHYLLDDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQTGKHTCTAQKKKIRRLSLHNSNKSYASPEAREQLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGARKPNSPKSHKVLFPMSFVKLRKLLRLYAGRVKLAQGLMLRDMKSLQELVIEATRKVIKEIGNLTELRTLRIIFNSVKTLELKESIQTSIQRFTNLQDLDLRNNFNFEEIIDMQQVPFGLQRLFMHHSYMKAFPCWINSSMLSRLTTLSICLDFEYLQSDHLDRLAELPSLRFLRLQLAFVSEQLQEKLTIHRGACAFRSLKDFHFYSCMMPSFQPGAMPHLERLYLRIWARLRRGDLNDLGLENLHSLRHVTIYSTGWDNKAVVREALKDYPNQAALEIRW >ONIVA07G21160.1 pep chromosome:AWHD00000000:7:20077172:20080715:1 gene:ONIVA07G21160 transcript:ONIVA07G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGDLGVARGAREEVERGGGGGGGGGMRKARVCVRAPHGAGALLVVGGAVVGAAVFAWCRRRGEDERKRGAKNHGEHPAKEEEGLDGGVAEGEQGDAQRLHQIYQNLSRENVVVGVNGSDGKATDELYQIQKDDEVVPNGDKIVLSELVTEAVEKYDHNSVKDCAGIAADVMVNEAVETYDHNSERDCTEITAHAMDTENVTEDDDNSGKNSVENERTDTEGEENSVDSTLSLSSPDITLEEHENHNCVVQDTASTEITLSTGSVMHQEQFSEEAKNEETAEVKLAQETVTTPMEEAEVKLEEETETIPIAETTEVKPAEETETSTMAETVQVKPAEETETTSKADTAELKLAEETETASMAESAEVKLAEETDTIPMAETAEVKLAEEKAMKKNEFEQEEENSKEESVKPYNSLAYSSVPSLLKRTVKKGQVNPRWNETGMKLEQDCTNDELNEHELTKGGAAAMGGAVLTMARRPDSMAILALIVAVTIAITIVVRLYVPLQAT >ONIVA07G21150.1 pep chromosome:AWHD00000000:7:20066979:20071887:1 gene:ONIVA07G21150 transcript:ONIVA07G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G27920) TAIR;Acc:AT5G27920] MSEEVQRYGGGGGGGMAALSLDLLGQVLDRVREPRDRKACRLVSRAFARAEAAHRRALRVLRREPLARLLRAFRALERLDLSACASLDDASLAAALSGADLAGVRRVCLARASGVGWRGLDALVAACPRLEAVDLSHCVGAGDREAAALAAATGLRELSLEKCLGVTDMGLAKVVVGCPRLEKLSLKWCREISDIGIDLLSKKCHDLRSLDISYLKVGNESLRSISSLEKLEELAMVCCSCIDDDGLELLGKGSNSLQSVDVSRCDHVTSQGLASLIDGHNFLQKLNAADSLHEMRQSFLSNLAKLKDTLTVLRLDGLEVASSVLLAIGGCNNLVEIGLSKCNGVTDEGISSLVTQCSHLRVIDLTCCNLLTNNALDSIAENCKMVERLRLESCSSISEKGLEQIATSCPNLKEIDLTDCGVNDAALRPLAKCSELLVLKLGLCSSISDKGLAFISSSCGKLIELDLYRCNSITDDGLAALANGCKKIKMLNLCYCNKITDTGLGHLGSLEELTNLELRCLVRITGIGISSVAIGCKNLIEIDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKSVLSPELLQMLQACGCRIRWVNKPLVYKD >ONIVA07G21150.2 pep chromosome:AWHD00000000:7:20066979:20072280:1 gene:ONIVA07G21150 transcript:ONIVA07G21150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G27920) TAIR;Acc:AT5G27920] MSEEVQRYGGGGGGGMAALSLDLLGQVLDRVREPRDRKACRLVSRAFARAEAAHRRALRVLRREPLARLLRAFRALERLDLSACASLDDASLAAALSGADLAGVRRVCLARASGVGWRGLDALVAACPRLEAVDLSHCVGAGDREAAALAAATGLRELSLEKCLGVTDMGLAKVVVGCPRLEKLSLKWCREISDIGIDLLSKKCHDLRSLDISYLKVGNESLRSISSLEKLEELAMVCCSCIDDDGLELLGKGSNSLQSVDVSRCDHVTSQGLASLIDGHNFLQKLNAADSLHEMRQSFLSNLAKLKDTLTVLRLDGLEVASSVLLAIGGCNNLVEIGLSKCNGVTDEGISSLVTQCSHLRVIDLTCCNLLTNNALDSIAENCKMVERLRLESCSSISEKGLEQIATSCPNLKEIDLTDCGVNDAALRPLAKCSELLVLKLGLCSSISDKGLAFISSSCGKLIELDLYRCNSITDDGLAALANGCKKIKMLNLCYCNKITDTGLGHLGSLEELTNLELRCLVRITGIGISSVAIGCKNLIEIDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKSVLSPELLQMLQACGCRIRWVNKPLVYKD >ONIVA07G21150.3 pep chromosome:AWHD00000000:7:20063761:20067471:1 gene:ONIVA07G21150 transcript:ONIVA07G21150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G27920) TAIR;Acc:AT5G27920] MEKRRGNSGFFQDRHWGRLGLVLGRLQQTDAAGRLPSTDQNAAGAGKTEGLHHSQLLPPLLLPEAGGIPTGAISPTSTSPPPLHPSSPSVHPPSSFSAAAGSGASPRRAVAAESVVLGGGAVLPPPTMLRRCVPSRPRRLPLPDDHPLVAVFSFLFIPT >ONIVA07G21140.1 pep chromosome:AWHD00000000:7:20055527:20056945:1 gene:ONIVA07G21140 transcript:ONIVA07G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT5G49130) TAIR;Acc:AT5G49130] MEELKLMRRLCLPISALNLLHYVKSMVTVLCLGRLGRAELAGGALAVGLTNVTGYSVLSGLALGLEPLAGQAFGSGTGRTRSRPRRALRRAVLLLLAASLPVAALWACAGPAARAARQDAAVARAAGSYCRYAIPDLAAASVLLPARVYLRSKGETRRLASCAALAVALVHAPATAYLGARLRVPGVAMAACMTSFATLAFLWISLTWAPAQNEPDEPADLEEWAGVGQWAEWADLLRLSLPSCLSVCLEWWWYELMTIAAGYLRDPHTALATAAIVIQTTSLLYTIPVTLSSAVSTRVANELGAGRPRSAQVSFVVAMGIAMMGSCVGLTWTTFGRGLWVQVFTTDPTIQSLTTSVLPVIGLCELANCPQTTGCGVLRGSARPAVGAAINLYSFYLVGAPVALVLAFGLDMGFLGLCLGLLSAQVVCLISVGFATFQTDWEAEALKAFHLVGGGDEKCGDDLPCLAHKENV >ONIVA07G21130.1 pep chromosome:AWHD00000000:7:20035605:20039487:-1 gene:ONIVA07G21130 transcript:ONIVA07G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAASSAAVGEAAAAGEGRMTMVVGVDESEHSYYALQWTLRHFFAAEGGQQYRLVVVNAKPTAASAVGLAGPGAADVLPFVEADLKKSSMRVIEKARELCAQVSDALFEVLEGDARNVLCEAVERHQAEMLVVGSHGYGAIKRSHYSGTYNSKLITTMVKGGKPVMLVGIDDSDHSYYALEWTLKHFFALGQPQQYHLVLLTSKPPASAVIGIAGLGTAELLPTLELDLKRGAARVNEKAKEMCSQVIDASYEVLEGDARNILCEAVERHHADMLVVGSHGYGAWKRAVLGSVSDYCSHHAHCTVMIVKRPKHNMHS >ONIVA07G21120.1 pep chromosome:AWHD00000000:7:20028116:20034647:-1 gene:ONIVA07G21120 transcript:ONIVA07G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13650) TAIR;Acc:AT4G13650] MTRRGAASLGWSLAGFLAQEDPAKVLSLFADKARQHGGLGPLDFACALRACRGNGRRWQVVPEIHAKAITRGLGKDRIVGNLLIDLYSKNGLVLPARRVFEELSARDNVSWVAMLSGYAQNGLGEEALWLYRQMHRAGVVPTPYVLSSVLSSCTKAELFAQGRSVHAQGYKQGFCSETFVGNALITLYLRCGSFRLAERVFYDMPHRDTVTFNTLISGHAQCAHGEHALEIFEEMQSSGLSPDCVTISSLLAACASLGDLQKGTQLHSYLFKAGMSSDYIMEGSLLDLYVKCGDVETALVIFNLGNRTNVVLWNLILVAFGQINDLAKSFELFCQMQTAGIRPNQFTYPCILRTCTCTGEIDLGEQIHSLSVKTGFESDMYVSGVLIDMYSKYGWLEKARRVLEMLKEKDVVSWTSMIAGYVQHEYCKDALAAFKEMQKCGIWPDNIGLASAISGCAGIKAMRQGLQIHARVYVSGYSGDVSIWNALVNLYARCGRIREAFSSFEEIEHKDEITWNGLVSGFAQSGLHEEALKVFMRMDQSGVKHNVFTFVSALSASANLAEIKQGKQIHARVIKTGHSFETEVGNALISLYGKCGSFEDAKMEFSEMSERNEVSWNTIITSCSQHGRGLEALDLFDQMKKEGIKPNDVTFIGVLAACSHVGLVEEGLSYFKSMSDKYGIRPRPDHYACVIDIFGRAGQLDRAKKFVEEMPIAADAMVWRTLLSACKVHKNIEVGELAAKHLLELEPHDSASYVLLSNAYAVTGKWANRDQVRKMMRDRGVRKEPGRSWIEVKNVVHAFFVGDRLHPLAEQIYNFLAVINDRVAKVGYKQEKYHLFHDKEQEGRDPTDLVHSEKLAVTFGLMSLPPCMPLRVIKNLRVEKYTSLYVNFLHQKRTNGQVGSIISHWVFDASMKEKLVHIVCALIGSGWCSASYCAGELMPVIHEVGLREHHRLMKQTAADWWRHHPQTCADAEPQIKPLHQRVDRANAAGYGIISSRREIYAMIILDLDQKYSYRSILVYVLLLYDKTNDDQLLKHLKREIIHGMQQSCRDPEMGREMDEVAAMFCSKQGKPVGNYASLVKEARERLVVKKKEQESATNVSATSSSMAT >ONIVA07G21110.1 pep chromosome:AWHD00000000:7:20025221:20027270:1 gene:ONIVA07G21110 transcript:ONIVA07G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3T0] MMLSFTSARLLPRCRRLYSACGAGAAACGVVGERVTVLTIDGGGIRGVIPGTVLAFLEGELQRLDGPGARLADYFDYIAGTSTGGLITAMLAAPGEGADRDGRRRRRPMFAAADITPFYLEHGPRIFPQRWSTLAAKIAAARGPKYDGRYLRGVVRRMLDETTVGDTLTNVVVPTFDVRLLQPVIFSTYEAKNSPLKNALLSDVCIGTSSAPTYLPAHCFRTHDGASGETREYNLIDGGVAANNPTMVAMTMITEEIMAKEKAAALYLLKPPPEEEEEHGRFLVLSIGTGLTSDEGLYTAEKCSRWGALSWLRHGGMAPIIDIFMAASSDLVDIHVAVKFQLLHSERNYLRVQANSLRGAAAAVDAATPENMGSLVGVGERLLAQRVSRVNVETGRYEEVPGEGSNADALARIAGNLSEERTARIKRRNTVQAGVTGF >ONIVA07G21100.1 pep chromosome:AWHD00000000:7:20007322:20011100:1 gene:ONIVA07G21100 transcript:ONIVA07G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYKSLPSYPKTSPIRHRLTPQTDILPQTVHAETVVTRAEETIPKSDALLNQFPPKLARLKFTKKSKDVGVAVSLCKPILLCDYILNELVLVFVWVVHVLVFGIILCPLAAFYIFGLLITTGLSVWRLIQRDYGEADGGANLRPALNVVYSIAVLQGVLFCYRFASRSVGRELVVDVLTKYNFDSFGSSRALVEYLHETRTGCEKDPSFVEGRNLVTYAVELTRSESPNDFLSGARILATLLDQPELSEQHRMIKLLVISASSSQVLDKLLQTMDCNARPKNAEARELAARIVAHLAGNLHLEQFPGGIQFIASLLDGPVEEEEEDDDDNIYYRVLLDYNKELMRQGLLILGKLAADANCRQAIVDTEGLLTKIMEPLRSGLLHLNGHNDTWSDTVYASMEVMRRLVTAPGKTGEEVRRRISGDMEAMASMERILKCKECSDLLLQSLEIYTRLHERTLSNKESWRSLINILVHTFTQFYVKDDIRGLAGEKLAALSSHGHGKENAKIILQVKKEVIDDLTKMLANPNERNKFKIRAAQILEQLCIHLTDDDEYLQYLKKALKIAMPTVLSIALGEGYPSSNLLATVLSLCATMLRNFTNAEDFARRFDDEIKSAEFSFPWRGITSFPRRLKHIVMYSYPSISCLKTLKLITEIFMLMVRHGSRYTKEETDSLMESLSEAAVEMSDLENFMLISRNNRDGTKTLDFIVKEAKEELMQRERAPETGEV >ONIVA07G21090.1 pep chromosome:AWHD00000000:7:19991495:20003862:1 gene:ONIVA07G21090 transcript:ONIVA07G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWATSLRPSHVHCRPARSLTLGKAGSTALESACGDDDDNGGLGDGGGGGLGGSSGGEAANPVMGRAADTMVGRAAAADPVMGRVAAGSPHSEDQQIT >ONIVA07G21080.1 pep chromosome:AWHD00000000:7:19986153:19989993:1 gene:ONIVA07G21080 transcript:ONIVA07G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3S7] MSGRIEEWWRHGRQRSLVEGTVSGSGGQPGCIASALMAARMLRFTTARLLRPRCRRLYHGCSDGAAACSVVGERVTVLTIDGGGIRGIIPGKVLEFLENELQQLDGPEARLADYFDYIAGTSTGGLITAMLATPGAAGDGRRRPLFAAKEICPFYQEHGPRIFPQRWCKLASTVAAVWGPKYNGRYLRDMVREVLGEMTVGDTLTNVVIPTFDVRLLQPVIFSTYDAKHSPLKNALLSDVCIGTSAAPTYLPAHCFRTHDGAGETREYNLIDGGVAANNPTMVAMTMITEEMIAEEKARLFLAKPPEECGRFLVLSIGTGMTSDEGLYTAEKCSRWGALGMLRFTSARLLRPWCHRLYHSGSAAAGSVAGERVTVLTIDGGGIRGIIPGKVLEFLETELQRLDGPEARLADYFDYIAGTSTGGLITAMLATPKEDGDGRRRPMFAAGEICPFYQEHGPRIFPQRWGKLASTVAAVWGPKYDGRYLRDMVREVLGETTVDGTLTNVVIPTFDVRLLQPVIFSTYDAKNSTLKNARLSDVCIGTSAAPTYLPAHYFETHDAASGETREYNLIDGGVAANNPTMVAMTMITEEMIAEEKAPLLLTKPPEKECGRFLVLSIGTGLTSDEGLYTAEKCSRWGALGWLRHRGMAPIIDIFMAGSSDMVDIHVGVKFQLLHIERNYLRIQEYQDYDPLKATAAAALDEATPENMRNLVGVGERMLEQQVTRVNVETGRYEKVSDEGSNADALIRMARQLSEERTARLQRRMGEVTAASACATGF >ONIVA07G21070.1 pep chromosome:AWHD00000000:7:19958465:19959949:-1 gene:ONIVA07G21070 transcript:ONIVA07G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3S6] MLRFTSARLLRPWCHRLYHSGSAAAGSVAGERVTVLTIDGGGIRGIIPGKVLEFLETELQRLDGPEARLADYFDYIAGTSTGGLITAMLATPKEDGDGRRRPMFAAGEICPFYQEHGPRIFPQRWGKLASTVAAVWGPKYDGRYLRDMVREVLGETTVDGTLTNVVIPTFDVRLLQPVIFSTYDAKNSTLKNARLSDVCIGTSAAPTYLPAHYFETHDAASGETREYNLIDGGVAANNPTMVAMTMITEEMIAEEKAPLLLTKPPEKECGRFLVLSIGTGLTSDEGLYTAEKCSRWGALGWLRHRGMAPIIDIFMAGSSDMVDIHVGVKFQLFHSEGNYLRIQEDQEDSLRSTAAALDEATPGNMRNLVGVGERMLEQQVTRVNVETGRYEKVPDEGSNADALVRMARTLSDERTARLQRRMDEVTAAASATGFQ >ONIVA07G21060.1 pep chromosome:AWHD00000000:7:19957552:19958097:-1 gene:ONIVA07G21060 transcript:ONIVA07G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDECVPDTDVNSCLLYSPAGLCTGGLCLTGCRGLVGIVTASIVTVASVIMFARVTWFVHKYMNQRKVKHRGLEDDILSCLDNEEAVGGEEDNDRRCSQGPARRGRDCLLRRRGRVAYMTTYVSLAGEKQQATPCDEDSMTSSDEANFTVASVSCSRIPNRACGQRAHRSHKSVLKA >ONIVA07G21050.1 pep chromosome:AWHD00000000:7:19954713:19955525:1 gene:ONIVA07G21050 transcript:ONIVA07G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAACFPVSLQNRALLPVFHELSRCHSSNTEADHRGRFSPPGTVVVEVQDDGNRWSTSTTSHQPKSGQYMDMDLLAALLSLTATMFEVSQVQDLVQLVDAIAPVDAAFTFAGKLIEMVKRNTVERTDFLVDDWSRILKPITKMVISMVRHRSISFAKEDDGDLESLIDYLHSHSTGMNKKEGVMSLAHGNHGAKQPFKTLASLVKEAQELWDKKKEHPSSVERNQIT >ONIVA07G21040.1 pep chromosome:AWHD00000000:7:19953652:19954154:-1 gene:ONIVA07G21040 transcript:ONIVA07G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCELAGLCTSGSCSTDCRGLVGSVTASIVIIASVILLLGVTWFVHKCRGQRKWSREDAASNKLRTTS >ONIVA07G21030.1 pep chromosome:AWHD00000000:7:19943912:19950151:1 gene:ONIVA07G21030 transcript:ONIVA07G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEPDQSEWKEFDGINSYALFMGYLSMAVKGMGFLVGLWTTVVLLGGFVSMLEKKDFWSLTIITLVQTAGVFDVFLNEKLRYAWKSFDGLLVTVAMVFRKEDDDDDSDQYWRALVGILVLLLQALVIAIILLPLALLYLFGLLVSTGLSMWRLIERDYGGEDGGANLTPALNVLYSLALFQGVLFCYQSASYFAGKRLARVVADDYGFDKEDEEGRESVRDYMRKTKIGCEKDPSFVKERNLVTFAVELMKSESSSADYVSGARILDKILAQEELQGQHALIRKLVGSASASQVLERLLQSLRSTSPLDRDVRVLAARIVAQLAGEISLASFPQGLRCISSLLDTTTTTTTTKQQDGDSAPSGHYKELMVLGRDILHKLAAADEHNCSAIGSNQGLVSKAMVPVTADLLHNIGHDAWSDIVAASLQLMFRLVALPGKAGDKLRSNKRAINTVEKILGCDECNEKLHVLAIKILTQLPMEAPSTSTADSKEKITKLLVDIFFTKENKDASTRQLAGEALAMLSVDQTETNAAIIFKASDTVVDDLKTTLLDVRTKSGYRISAAEILEHLYICYTKEDDCLKKLTEAMKDVLPKMLKEILPSPPLKQGEKHTEKGTDATKFVTQDPEIGEGAVATKDSGNVNEQKDDNKKIVDRKLHAALLSLSAAIFEKLIRNDTDLAQLANAIATGDSASSFAGKLKKLVEENSEPTANCLRILKIASRMIISLINLEGNYPKAELESLMESLSKASKEMFELEAFMMFSSSDQSAMNPVSILGSQVKQAQVLLENKKEQNVATTATSTTVGDP >ONIVA07G21020.1 pep chromosome:AWHD00000000:7:19941230:19942235:-1 gene:ONIVA07G21020 transcript:ONIVA07G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGKEIDKLTKEDWTKEEWRDFEKQMAACEKTMLASFLKTDQGVFKRDVTSRYLLGALLGRMQATRFVGFLVMQVLGRQQCKVEEDLGNAHNLFDRIPSQHKVFKDDERISEPVSIKSTMNKEGISMDESLDQNLGKLELMEAKCRQEEKFNQILQKLEEIEACRSKATEVTIADIRTTTAILKASSSPTPMAPPPPTPTKCLTKCPNKTSLG >ONIVA07G21010.1 pep chromosome:AWHD00000000:7:19940195:19940741:-1 gene:ONIVA07G21010 transcript:ONIVA07G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAERNPWPPPPSNKLTGSICELWPEPWSSFACNRCKVWYLFRLESTLLLYVNGVEQFLKELPCWPPPQEDMGAMKPSSVIQWLNFPYCTYDGFKRKLRGLFTNGALCIHSSQYDPPDGLCVNNPVAI >ONIVA07G21000.1 pep chromosome:AWHD00000000:7:19926411:19929545:1 gene:ONIVA07G21000 transcript:ONIVA07G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHFSYRVFDVFMKEKLSSIWDGYRGLLQTTVRTSVSLMNVSSYLECNTARGSCIFNIACILLHYLKLGVQVVVIAVLMCPLVVLYMFGIYISTALSLWRLARHRDYYSVAGEGDTNMTPALDVLYSLAIFQGVIFTYRVSYSSAAEAIVNDICRYYHMDARESVWDYLRDTMIGCEKDPSFARGRNMVTYAVEQVESSSSPDGYLSGLRILSTLVGEIASSYACSTTHVEQHRMIKHMLISRHHVVMLKLIQTLEPRGPHDAEARERAAVIVAYIAGGVHLERLPRALCCVTSLLDDEYRLAEPYDRDLVLEKLQLRPPPCPDEEVEFVEMYRLVYEREPPHLSLEKYKNLVVQGQRILGKLAADEDNCRIMSDTRGLVSTIMAPISSGSDHGGRPRRRLHDDHRYELSVMAKGSITLIGQLVSVPFQDSRVKLRRQITSCKPAISTMESILRCDGCDAELRRLAAQLLTVLSLDKSSGGMSSENIQGLIRILTDIFVHDSKRSSVRKCAGEELVKLSLESESNASIILKLKLNDSIVVDRLSEMVVDVEDNTFRTSAADILKHLCINHTTDDEHWDKLKNSMTIAIPKVLRELLGCDWRRPERQTVATQANNSGNFSPPDADIEALPDGDPSRVSNGGNNASSCSNQQNGKQYTPEEIEVQEALASLCVTVCDKLISTDPVLADRFDKIAADVCLDSSITANTTANTAFAALVKEAGEVVEKRKAQSIKGLRWDGMDGFALTVSAVWLLAVGAQQAVSVGVAEPVGVSGGEAAVGRGGEVASGFGRSGNRVAAVARGRGL >ONIVA07G20990.1 pep chromosome:AWHD00000000:7:19920137:19920475:1 gene:ONIVA07G20990 transcript:ONIVA07G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELGDEESELSYSRSERTSDQHGQRQRQPMDELWPEVVNINKYAVLMGYLSMAVRGLAFLMFTWTTVVLLGGFVSALNKKDFWCLTMITLIQTAGLVLLPPTILPPSYYIE >ONIVA07G20980.1 pep chromosome:AWHD00000000:7:19909716:19912887:1 gene:ONIVA07G20980 transcript:ONIVA07G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMKNESFRLTVEFNDLIMGKMLPLGCWLLRGEEPSALVAVRKRLQWWCRRRLVGVVVVPSSAAVVATVKRRDAVGRRLEMAGTEQAGGGARWWRRPAAKRRRARAARDGGGEDGGGQPARRPAVGGGAPAPRAVGVRARRRRDAAIWTPQPPLRLQLASRV >ONIVA07G20970.1 pep chromosome:AWHD00000000:7:19904166:19909141:1 gene:ONIVA07G20970 transcript:ONIVA07G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGMEPIDDLEWEEVSSINNYALFMGHMSMAVKGLGYLLVLWTTVILLGGFVSILGKKDFWCLTIITLVQTAGVSDIFLNEKLRYTWRSFLGFFSATDTLETERGAISWFYGRSRSRSYYLNNLVVVVIWLLHLFVFATIICPLAVLYMFGLIITTAISACRLIQHDYRGEVNNGGANLKSALKFVYSIALLQGVLFCYRFTLCYEQKRLVDLVVNKYRFVTSLSESVMAYMQETMIGCEKDPSFVKGRNLVTYAVGLTKSGSPDGFLSGVRILDSLLNTPMYKYNFFLEVEVEVEEQKAMVKQLLLSASYSQDGGLHTLLQSLDCTRAYNAEAREHAARIVAHLAGDLHLEQFPQGIHCIASLLEGPPKDCDGNIPVNYRELMLQGLSILMKLAAHEDCQRSINKTEGLLAKIMAPLRSGLLNHNDDPNSAWFRTVHASMVVILRLVDAPGQTGKELRRKISGDVEAMASMERILGCQGCGLGSFHDSSLFMQALDIYTRMHEHTLSNIVTREYFIEKLLLIFTHKVYKEANIIFGLAVVGEKLATLCSHGKANAKLILQVKDDVVGDLTKILVQDRYPKEYRISTAQILEQLCVHHTDDDEYIQSLKEALKVKSPEVLVRTLRAESVDRQMLAAVLSLIVTMTRNLMDAEDLPPLIDAINRAANGFSILTELQSMVTKLSMKSKVTIVNRLNTLKLITEIFILIVRHGSRYTVEEAENLIKSLLKAARNMSEIEDIMVISGCSSSLKTLGSLVKEAEELLPRARASEMEVIIEHPSCVNRNQIN >ONIVA07G20970.2 pep chromosome:AWHD00000000:7:19904476:19909141:1 gene:ONIVA07G20970 transcript:ONIVA07G20970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGMEPIDDLEWEEVSSINNYALFMGHMSMAVKGLGYLLVLWTTVILLGGFVSILGKKDFWCLTIITLVQTAGVSDIFLNEKLRYTWRSFLGFFSATDTLETERGAISWFYGRSRSRSYYLNNLVVVVIWLLHLFVFATIICPLAVLYMFGLIITTAISACRLIQHDYRGEVNNGGANLKSALKFVYSIALLQGVLFCYRFTLCYEQKRLVDLVVNKYRFVTSLSESVMAYMQETMIGCEKDPSFVKGRNLVTYAVGLTKSGSPDGFLSGVRILDSLLNTPMYKYNFFLEVEVEVEEQKAMVKQLLLSASYSQDGGLHTLLQSLDCTRAYNAEAREHAARIVAHLAGDLHLEQFPQGIHCIASLLEGPPKDCDGNIPVNYRELMLQGLSILMKLAAHEDCQRSINKTEGLLAKIMAPLRSGLLNHNDDPNSAWFRTVHASMVVILRLVDAPGQTGKELRRKISGDVEAMASMERILGCQGCGLGSFHDSSLFMQALDIYTRMHEHTLSNIVTREYFIEKLLLIFTHKVYKEANIIFGLAVVGEKLATLCSHGKANAKLILQVKDDVVGDLTKILVQDRYPKEYRISTAQILEQLCVHHTDDDEYIQSLKEALKVKSPEVLVRTLRAESVDRQMLAAVLSLIVTMTRNLMDAEDLPPLIDAINRAANGFSILTELQSMVTKLSMKSKVTIVNRLNTLKLITEIFILIVRHGSRYTVEEAENLIKSLLKAARNMSEIEDIMVISGCSSSLKTLGSLVKEAEELLPRARASEMEVIIEHPSCVNRNQIN >ONIVA07G20960.1 pep chromosome:AWHD00000000:7:19896532:19896738:-1 gene:ONIVA07G20960 transcript:ONIVA07G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGEEGKTSWPEVVGMSVEKAKKVILKDMPDADIVVVPVGTPVTMDFRPNRVRIFVDTVAGTPTIG >ONIVA07G20950.1 pep chromosome:AWHD00000000:7:19888087:19888326:-1 gene:ONIVA07G20950 transcript:ONIVA07G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGKQDGGAAAGGEEERKTSWPEVVGLPVEEAKKVILKDMPDADIVVLPAGSPVTQDFRPNRVRIFVDTVASTPTIG >ONIVA07G20940.1 pep chromosome:AWHD00000000:7:19880055:19887221:1 gene:ONIVA07G20940 transcript:ONIVA07G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleoside diphosphate kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63310) TAIR;Acc:AT5G63310] MDAMAVLARTSRPAPTLLAATSPAVAAWGGRAARGRVSAAGRIVASSVEQSYIMIKPDGVQRGLVGEIISRLEKKGFVLKGLKLFQCPKDLAQEHYKDLKEKPFFPGLIEYITSGPVVCMAWEGDGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELCEWESVLTPWLVE >ONIVA07G20940.2 pep chromosome:AWHD00000000:7:19879796:19887221:1 gene:ONIVA07G20940 transcript:ONIVA07G20940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleoside diphosphate kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63310) TAIR;Acc:AT5G63310] MDAMAVLARTSRPAPTLLAATSPAVSRRPAAVSFAAAAAAASPGSRGRVALSAAWGGRAARGRVSAAGRIVASSVEQSYIMIKPDGVQRGLVGEIISRLEKKGFVLKGLKLFQCPKDLAQEHYKDLKEKPFFPGLIEYITSGPVVCMAWEGDGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELCEWESVLTPWLVE >ONIVA07G20930.1 pep chromosome:AWHD00000000:7:19870872:19877206:-1 gene:ONIVA07G20930 transcript:ONIVA07G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGLLARDFGLRPQGKAAPMSAARAAASSGSAWSNSRSASASSAAAATAPPAPSYDDLFGAAAPSAPPPKAAPSPSLDAIFDSFKEPSAPDAPPKPKHSSMPVFDKPVYDDDIFDGVPGVKSSSSARFDDVFGGSHAPPPPAYNDLLGGFGSKPEVKEVLPEEKRKPEPAASSAGFDDLIPGFGGRIPMRARETVGTKDKNVSMSTSKPASMASDPFDVLGTTSTSKHTSSGIFTDPLDELGRPAKSQGKKHDNTAVDSGLFEDSSTFNQVPKSEPLFTSELNDDLKDRNGSTKDRDSSPVQNFSRKNTTQKPSVENFENIFPKSQSARYSDVHVDIGASGSEKYSGNGMDDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRTPPPLAVKQKPHGSQAKRKDDNYPRRSNQNHNHHRSSSNQAGSSSIDELEDFAMGKSQSSAYDNANPFNEEEFEHSSSAAASAAAMKEAMDKAEAKFKHAKVVRERERDAKHRNREQQEQDDEARFNTQDHEERDRQERLEREREMRQREEKEREQRRLEEERELEKQRERERAARQAVERATKEARERAAAEARAKAEREARQRAERAAVQRAQKEARERAAVDARERAERAAAEAKERAAAEAKEKVATQARDRAAAERAAVERAQQEARRRAERAAVERAASEARERQAAEARERQAAAAAAAAAAKEKQSKPDDLESFFGMGARANSAPKQRAPTADSMFNSQTQNRGAASSASASMRKASSTTNIADDLSAIFGGAPTSSEEFQEIEGESEERRRARFERHQRTRERAAKALAEKNERDMQVQREQAERHVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >ONIVA07G20920.1 pep chromosome:AWHD00000000:7:19860854:19866799:-1 gene:ONIVA07G20920 transcript:ONIVA07G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT4G15890) TAIR;Acc:AT4G15890] MDAADEMDIDAAADETGSPPSASGSLSTFLSELAALHRRSSGTSSSTNTSPPLSLASLTFLSSAASPSSSIFPRLAAAGLPASSLSAPLAASLSSAHHPLPAAVAYLRLLLAPASPLLSLFSPLPFLSLLLALRKSASSSAASHDAAAAANPSSGSGSGSGKGNHRNRKRKSHQQRQSQAAAPSLLPRALALLADAAGRLPLGEHPDARRSLVDTAAELAAFDVLVAVLGSGYYAEAMPDLVRALAPVALSGSRSAARAAAVEFLARKVVPLGVEGGEDGVRKAVGYLPRYLAAKAPEKSEARAMAVEAIVEVVRAMGQLEMEGFAGYVVAMAKGKAKGRLLAVDLILAMLPLLLPSEGDDCGLQEGSWGLKFVRVLVERCSDTVGGVRARALTNAAQALDVLSERGMEVDRLQEVMRIGNIGLGELLRLRCADDKAAVRKAALVLITKSIRLIGRPVDESLLCAMGAACSDPLVSIRKAALAAISEVFRNFPDERVTKEWLQAVPPLVIDSETSIQEECENLFLELVLNRVCQASNLNLNDDSNDMEEVFPKGTLDLLKSICDGEVAPCIKKICASLGKKKKLKPLLASSLQNIITISESLWLRGCKPIEMWTAPAGAWWLLSEVSSFAPKSVNWKFLSHHWKLLDNVGQDKGKVRPKGEPNSALWAVDRVSLLQTISNVSMELPVEPAAELAHSLLTRIENFDMNLSEVDAHVKSLKTLCKRKAKTAKEGETLILKWVQQLICKAVNILDEYIKETSEAAKGPKFFTPLSGKLKGRKDASAQKSMSHAVIAVFTIGSLILACPTANVQGVIPSLHTIITSGNSQPRPKNLAGGTVSFKELAPSLYIQSWDTMAKICLVDDKLAKRYIPLFVQELERSDLATLRNNIMIALADFYVRYTAMVDCYMSKITKSLRDPCEVVRRQTFILLSKLLQRDYVKWRGVLFLRFLPSLVDESEKIRHLADFLFGNILKAKAPLLAYNSFIEAIYVLNNCLGNGAQTESQGRLDGGPTLFAIRGTDERSRSKRMHIYVSLLKQMAPEHLLATSAKLCAEILAAACDGLLNVDDAAGRAVLQDALQILACKEMRIHPNICTDNSEMDEECGDGGSTNATLQAAKGRAVTQVAKKNLIQIAIPIFIELKRLLESKNSPLTGCLMECLRTLLKDYKNEFDEILVADKQLQKELLYDMQKLDVAGKGKAKAAAAAAAAAAAAEAGPSGASPAVNGGGKRAADGSARATARSVLKEVNRNTPTPPLNSMSVPKVRSMLGVGGGGGSRRPAMLESVRRLEPFGSDDEN >ONIVA07G20910.1 pep chromosome:AWHD00000000:7:19858301:19859347:-1 gene:ONIVA07G20910 transcript:ONIVA07G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKVFIFRKAADVAMAVSAAYAPFAAAVSYHAAAAAAPAVLAFALGHGALLFMLPFSVYALAFLRAPRGLHQTPYPMLACAVAAPATLLAAVLAVPVLADDVALAWAANVSAAAALWWCLTNGGYTAVAFSRVDQYASFMDAVDRTPEIALPLVFDVPATAAAARRDAVRFAVALSAACAVAGGAEVGVLSSAAAAIAAALSVFALPMCLLYVPEYHMDPYPTIDGVLQRNPAAAWCALLAPVALALRGLVEATAGAGEVGTFATVIAGAFWAMDAGAAVLLGRVIAGDIAMAREADHVKHSIYRSSSSEIMSALLMVWVRYFVYLHVFHLIGCGGHLTWFNSSH >ONIVA07G20900.1 pep chromosome:AWHD00000000:7:19848305:19853444:-1 gene:ONIVA07G20900 transcript:ONIVA07G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRLLSWSSSSSDDGGAGGELSRPRRRWRALVLGLGVRRKRSMEGVFGFREMMGEEFMGMFLPFFGKMVQKVVSEEVEKAIFRQVSTPAPPRLLVGLNQQRPRYQLMFLNGLKPVYTLMKLEAKDGPGLKVAIVERLENNQMRIVRFGHLASAKVEVVVLHGNFNAKNEEQWTPEDFSKQIVCGREKSAQLLTGNLTLKLNGGEALLENATFTDNSSFTSTKKFRLGLRLANNSEDRVLEGITEPFRVKERRVEGFEKHYPPMLDDEVWRLEKIGRNGAHHQALTNSGVDTVQKFLQSYFTDEKKLFQTFSKMSQAAWKTIISHAMTCEVGDDLCLYEVKGNNVGLFFDAIYQLVGVKFGDSYKPINELDEIDQSAVETMKQLAYANISGIQYDHKMVNNYPVPLHRFHCGGTSMLTDFIPKQQIPTCGQYNSALAGQPFESTENFSSFHEASNASVDMSRFVQGQTSNVQFSQQLGMGNFNPHHSNQGTFIPRPRITPLCIPNTQKTYFNPNTHSSIQADHAATRIGQYAHNERSHSPEESYKRFSPDNFLHTDEVVALMQPHLVPPSNSENFSNLLNLSSNDQTSQQIAAPFQPSRTNSFDSSSCDQLIQNFISQFSSNEGVAVPLSPRKWVKIRAALKLASVGRLSRASRKASHRPPARPRLVPIAPLLAIPSALRPLVDNNISWSEEELVAASKLSEA >ONIVA07G20900.2 pep chromosome:AWHD00000000:7:19847713:19853444:-1 gene:ONIVA07G20900 transcript:ONIVA07G20900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRLLSWSSSSSDDGGAGGELSRPRRRWRALVLGLGVRRKRSMEGVFGFREMMGEEFMGMFLPFFGKMVQKVVSEEVEKAIFRQVSTPAPPRLLVGLNQQRPRYQLMFLNGLKPVYTLMKLEAKDGPGLKVAIVERLENNQMRIVRFGHLASAKVEVVVLHGNFNAKNEEQWTPEDFSKQIVCGREKSAQLLTGNLTLKLNGGEALLENATFTDNSSFTSTKKFRLGLRLANNSEDRVLEGITEPFRVKERRVEGFEKHYPPMLDDEVWRLEKIGRNGAHHQALTNSGVDTVQKFLQSYFTDEKKLFQTFSKMSQAAWKTIISHAMTCEVGDDLCLYEVKGNNVGLFFDAIYQLVGVKFGDSYKPINELDEIDQSAVETMKQLAYANISGIQYDHKMVNNYPVPLHRFHCGGTSMLTDFIPKQQIPTCGQYNSALAGQPFESTENFSSFHEASNASVDMSRFVQGQTSNVQFSQQLGMGNFNPHHSNQGTFIPRPRITPLCIPNTQKTYFNPNTHSSIQADHAATRIGQYAHNERSHSPEESYKRFSPDNFLHTDEVVALMQPHLVPPSNSENFSNLLNLSSNDQTSQQIAAPFQPSRTNSFDSSSCDQLIQNFISQFSSNEGVAVPLSPRKWVKIRAALKLASVGRLSRASRKASHRPPARPRLVPIAPLLAIPSALRPLVDNNISWSEEELPVSYNLRLINSFTTS >ONIVA07G20890.1 pep chromosome:AWHD00000000:7:19842818:19851062:1 gene:ONIVA07G20890 transcript:ONIVA07G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIIDPKRCKTWSITNSHKWKSFKNKTRGTTTTKPIIIIQTYAVYPPNKLLFATQSLPPTSSSTALFPFRAPSPFENQDPPLPIKTQLPTTPPPQSPDSTPRSSPPRRDPLSRASSPRSHDPAGGSGRRDWCRFEAMMAAAVEEEEMVERMRGWARDMDVASRRAEEEAMRRYDAASWLRSTVGVVCARDLPDEPSEEEFRLGLRNGIVLCNALNKIQPGAIPKVVQAQSDASGPTDGSALCAYQYFENLRNFLVVVEDLRLPTFEVSDLEKGGKGVRVVDCVLSLKSFSESNKTGRQASCKYGGLSKPLTARKYFILKNTDAFMNKIMKGHSTEAIQNEFSEGQSIATDFSPESNEMTTSDSLSILLRKVLLDKKPEEVPLIVESILSKVIQECEHRIAIHNKKDEEEQNLLNITEQVNHVVVNGDGEVKQFQLEALTNFDLQHKQIQELKGALSFVKSGMEQLRLQYSEEFAKLGKHFYTLSNAASSYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGHISLSSSVADTEERTITIITPTKYGKDGRKSFSFNRIFGPASTQEEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEESLGVNYRALNDLFNIKAQRKGTIDYEISVQMIEIYNEQKGLAVPDASIVPVTSTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLSVHVQGKDLTSGAMLRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHVSPELDAVGETISTLKFAERVASVELGAAKANKEGSEVRELKEQIATLKAALAKKEGEPENIQSTQLSPDMYRIKRVNAIPAFPKNRQPMEEVGNLEVRNNATPMQKKASFQFSGVLSENNSSDLAENCNGIRKTDRMAVGNNQFENGNSILELEPGATQLPTFFYQRYDPDKQRHRAEPVETDDSDSFDAATSSSSDQEMLLSTSGLKADGIASRGAFIIKKPQTKNTKITATKIPNLAMKSPMSEKRLQTPIRNSKQLPFSTTGGRRTPNGKINTPK >ONIVA07G20890.2 pep chromosome:AWHD00000000:7:19842818:19851062:1 gene:ONIVA07G20890 transcript:ONIVA07G20890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIIDPKRCKTWSITNSHKWKSFKNKTRGTTTTKPIIIIQTYAVYPPNKLLFATQSLPPTSSSTALFPFRAPSPFENQDPPLPIKTQLPTTPPPQSPDSTPRSSPPRRDPLSRASSPRSHDPAGGSGRRDWCRFEAMMAAAVEEEEMVERMRGWARDMDVASRRAEEEAMRRYDAASWLRSTVGVVCARDLPDEPSEEEFRLGLRNGIVLCNALNKIQPGAIPKVVQAQSDASGPTDGSALCAYQYFENLRNFLVVVEDLRLPTFEVSDLEKGGKGVRVVDCVLSLKSFSESNKTGRQASCKYGGLSKPLTARKYFILKNTDAFMNKIMKGHSTEAIQNEFSEGQSIATDFSPESNEMTTSDSLSILLRKVLLDKKPEEVPLIVESILSKVIQECEHRIAIHNKKDEEEQNLLNITEQVNHVVVNGDGEVKQFQLEALTNFDLQHKQIQELKGALSFVKSGMEQLRLQYSEEFAKLGKHFYTLSNAASSYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGHISLSSSVADTEERTITIITPTKYGKDGRKSFSFNRIFGPASTQEEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEESLGVNYRALNDLFNIKAQRKGTIDYEISVQMIEIYNEQKGLAVPDASIVPVTSTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLSVHVQGKDLTSGAMLRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHVSPELDAVGETISTLKFAERVASVELGAAKANKEGSEIATLKAALAKKEGEPENIQSTQLSPDMYRIKRVNAIPAFPKNRQPMEEVGNLEVRNNATPMQKKASFQFSGVLSENNSSDLAENCNGIRKTDRMAVGNNQFENGNSILELEPGATQLPTFFYQRYDPDKQRHRAEPVETDDSDSFDAATSSSSDQEMLLSTSGLKADGIASRGAFIIKKPQTKNTKITATKIPNLAMKSPMSEKRLQTPIRNSKQLPFSTTGGRRTPNGKINTPK >ONIVA07G20880.1 pep chromosome:AWHD00000000:7:19798191:19802348:1 gene:ONIVA07G20880 transcript:ONIVA07G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLLTTTSLLLFFFLLASFAVDVVVAGGGGYDDGGDGEGGDGGGGAKKPHVNHGRYKCGPWVDGHATFYGGRDASGTTEGGACGYKDADGYGAMTAAVSPALFDNGAGCGACYELKGESGKTVVVTATNQAPPPVNGMKGEHFDLTMPAFLSIAEEKLGVVPVSYRKVACVRQGGIKYTITGNPSYNMVMVKNVGGAGDVVKLTVKGTKRVKWTPLQRSWGQLWKTEANLTGESLTFRVMTGDHRKATSWRVAPRDWTYDNTYQAKKNF >ONIVA07G20870.1 pep chromosome:AWHD00000000:7:19797417:19797668:-1 gene:ONIVA07G20870 transcript:ONIVA07G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGWKGDGGRATGGGGALPSAESSRRGSGRWQHKGEVAVDVRRWHEGKRQVAAQGGGGGRRAAVAPSPLPDLAGGDATGGG >ONIVA07G20860.1 pep chromosome:AWHD00000000:7:19796954:19797304:-1 gene:ONIVA07G20860 transcript:ONIVA07G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRRVVAAPSPLLNLAGGEVAGGGARGRWRSTGGDGTLPSAGSGGRGGSRRRAMGCGCRRRCKRGGGGRREVAAPSPPPDLAGGKAVGGGRRVAAALSPPPIGGGSRGEAAGAC >ONIVA07G20850.1 pep chromosome:AWHD00000000:7:19787650:19790799:-1 gene:ONIVA07G20850 transcript:ONIVA07G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGKAALPGGGARLPGGGVWLPGGDTVVPGGSASAAGAGLPSGGAAVLGGGASAAGVGLPGGGGAAVPGVDATGGEEVAGGGASVPGGGAGPPGSGASAAFVRAVVPGVDTTGGEEVAAGGGMSAAGICTTVPGIDALGEVGWRWRSCSSSAAARRSPPPLCEHAAATADAAYDDVVDAYRGFMRSRTRAFLVIHHAPLEPRVRCPYCGARVWSMTAAGLARLSSSSSSDSERSADSDSNHSDDETFATADVTLPLPLAVRVSGRRLRGRPAMHNT >ONIVA07G20840.1 pep chromosome:AWHD00000000:7:19777250:19777881:1 gene:ONIVA07G20840 transcript:ONIVA07G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKCDITLLDDPNPKSGQFELSHPLQSGLLLHRQCRKTQGGRRGLWRSPTQDPLMCKVPVLPPAPMTQGY >ONIVA07G20830.1 pep chromosome:AWHD00000000:7:19767293:19768245:1 gene:ONIVA07G20830 transcript:ONIVA07G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVAVWMRRRSCIGGGDGGQRPRCDGGDSSWWNVLEGVVDFAEVSVDDLGAGGPDLEVTVARDMGVEGLEPLEILFSAGRPVGVEGLDELDVCPTEDGGLRVVAAEFKLFDDVVLNGRVVLDGGSG >ONIVA07G20820.1 pep chromosome:AWHD00000000:7:19760493:19761169:1 gene:ONIVA07G20820 transcript:ONIVA07G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAVINACPTLLGFHAKGERVHKATPPRRKRHPQASMSPILGDQTRLLPKTPSKGVEPLGKLEPEGQAPRSTLGTTTCSHRHRALEATARPKSPDYRQTEPPTQTNRTPQPPKGSTAGSGSRRPKRREEHQGVKEELRAELGATKTSLPSMNLARISGLRETHQITEQPPQTKPPTQREARWGEEKSN >ONIVA07G20810.1 pep chromosome:AWHD00000000:7:19686590:19687176:-1 gene:ONIVA07G20810 transcript:ONIVA07G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARRSALQIRWHRGEAAFDDSVVKRATAWIGRRGQMSSAEGPWWWSPMARSGNLAARSRRHWLDSGLGGWAGPRPMQTRRSLGGHDGEWAQHLILVV >ONIVA07G20800.1 pep chromosome:AWHD00000000:7:19678419:19680742:-1 gene:ONIVA07G20800 transcript:ONIVA07G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTSSEPLDPLSHEASIGSSSRVWTVGDEEFLHMNNFDDMERERYYTNLINDESNHFGSIGEGRPMGRKKAKQQMRERSDLSRKESLDYLWDKKKEADAEKERKFEERYQIAFALEQKRIDLERDKFEFKRMTKEDKLLRTDTSAMSIEEQEYYKNVKNQILSRRSAQA >ONIVA07G20790.1 pep chromosome:AWHD00000000:7:19672826:19673344:1 gene:ONIVA07G20790 transcript:ONIVA07G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3P4] MPSLLLVFLVASSAAGVAAGGGGGELKHIHLYMHETFSGPNATEGGVVASPFNTTFGQVAVFDNELRAGEDRAASPLVGRYQGFIVGTGRSSPGYLTSATVAFTAGELNGSTLSLEGPFFGFAGTAERSIVGGTGKLRLARGYYLLKLIGKTSPETAVSEIDFFVLMYSSTH >ONIVA07G20780.1 pep chromosome:AWHD00000000:7:19666766:19668111:-1 gene:ONIVA07G20780 transcript:ONIVA07G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGSILSDLHLPVRRTVNAGDLWGDAGKGRDGGDGLKKRKGSSWDFDVDCDDDDDDFEADFEEFEDDYGDDDDVGFGHDDQESDMNGLKLAGFSTTKLGLGGSRKRKTRYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFGTAEEAAMAYDVEARRIRGKKAKVNFPDAAAAAPKRPRRSSAKHSPQQQKARSSSSSPASLNASDAVSKSNNNRVSSAGSSTDATAAAIAIDDGVKLELLSETDPSPPMAAAAAAWLDAFELNDLDGSRCKDNAFDHQIHKVEAAVADEFAFYDDPSYMQLGYQLDQGNSYENIDALFGGEAVNIGGLWSFDDMPMEFRAY >ONIVA07G20770.1 pep chromosome:AWHD00000000:7:19661333:19665507:1 gene:ONIVA07G20770 transcript:ONIVA07G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPNEVTSYSSLSKIDSNNQGGHLSSLVPANIYPAQDYLYEPSLEPDFPSEYDSREDPFVPTRASSNINLKTVLTGLAAIVSGTNKNQDNTLQQQSFSTDVSFLGFDKDGDVNVHPSVCVPSAPPLLETNALQYSAYREVLQADPPEWLPDSSTSVCLQCSLPFTALTRGRHHCRFCGGIFCKDCSKGRCLMPMKFRQRDPQRVCDACYDRLDPLQGILINYNSNAMQPAKHDVMDWTSTRSWLNLPVGLSMEYEIYKATNTLNRYCQVARLNPEKSIPSSILKGAKGLAVITVAKAGAVLTYKVGTGLVVARRSDGSWSAPSAIASVGLGWGVQFGGELTDFIIVLHDRKAVKAFSSRMHLSLGAGLSAAAGPIGRAFEADVRASEKGSGLCYTYSCSKGAFVGVSLEGNVVTTRSETNLRFYGDAYLTTTDILFGKVEKPRAAQPLYSALDDLFSKMVY >ONIVA07G20770.2 pep chromosome:AWHD00000000:7:19661794:19665507:1 gene:ONIVA07G20770 transcript:ONIVA07G20770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPNEVTSYSSLSKIDSNNQGGHLSSLVPANIYPAQDYLYEPSLEPDFPSEYDSREDPFVPTRASSNINLKTVLTGLAAIVSGTNKNQDNTLQQQSFSTDVSFLGFDKDGDVNVHPSVCVPSAPPLLETNALQYSAYREVLQADPPEWLPDSSTSVCLQCSLPFTALTRGRHHCRFCGGIFCKDCSKGRCLMPMKFRQRDPQRVCDACYDRLDPLQGILINYNSNAMQPAKHDVMDWTSTRSWLNLPVGLSMEYEIYKATNTLNRYCQVARLNPEKSIPSSILKGAKGLAVITVAKAGAVLTYKVGTGLVVARRSDGSWSAPSAIASVGLGWGVQFGGELTDFIIVLHDRKAVKAFSSRMHLSLGAGLSAAAGPIGRAFEADVRASEKGSGLCYTYSCSKGAFVGVSLEGNVVTTRSETNLRFYGDAYLTTTDILFGKVEKPRAAQPLYSALDDLFSKMVY >ONIVA07G20760.1 pep chromosome:AWHD00000000:7:19655402:19660921:1 gene:ONIVA07G20760 transcript:ONIVA07G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3N9] MGETTGERALTRLHSMRERIGDSLSAHTNELVAVFSRLVNQGKGMLQPHQIIAEYNAAIPEGEREKLKDSALEDVLRGAQEAIVIPPWIALAIRPRPGVWEYLRINVSQLGVEELSVPEYLQFKEQLVDGSTQNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLDALQGALRKAEKHLAGITADTPYSEFHHRFQELGLEKGWGDCAQRVRETIHLLLDLLEAPEPSALEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDAHGTTCGQRLEKVLGTEHTHILRVPFRTENGTVRKWISRFEVWPYLETYTDDVAHEISGELQATPDLIIGNYSDGNLVACLLAHKLGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTADLIAMNHADFIITSTFQEIAGNKETVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPFTESQKRLTSLHSEIEELLFSDVENTEHKFVLKDKKKPIIFSMARLDHVKNLTGLVELYGRNPRLQELVNLVVVCGDHGKESKDKEEQAEFKKMFDLIKQYNLNGHIRWISAQMNRVRNGELYRYICDMRGAFVQPALYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQNDKASALLVEFFEKCQEDPNHWIKISQGGLQRIEEKYTWKLYSERLMTLSGVYGFWKYVTNLDRRETRRYLEMLYALKYRKMATTVPLAIEGEASTK >ONIVA07G20760.2 pep chromosome:AWHD00000000:7:19655274:19660921:1 gene:ONIVA07G20760 transcript:ONIVA07G20760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3N9] MGETTGERALTRLHSMRERIGDSLSAHTNELVAVFSRLVNQGKGMLQPHQIIAEYNAAIPEGEREKLKDSALEDVLRGAQEAIVIPPWIALAIRPRPGVWEYLRINVSQLGVEELSVPEYLQFKEQLVDGSTQNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLDALQGALRKAEKHLAGITADTPYSEFHHRFQELGLEKGWGDCAQRVRETIHLLLDLLEAPEPSALEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDAHGTTCGQRLEKVLGTEHTHILRVPFRTENGTVRKWISRFEVWPYLETYTDDVAHEISGELQATPDLIIGNYSDGNLVACLLAHKLGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTADLIAMNHADFIITSTFQEIAGNKETVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPFTESQKRLTSLHSEIEELLFSDVENTEHKFVLKDKKKPIIFSMARLDHVKNLTGLVELYGRNPRLQELVNLVVVCGDHGKESKDKEEQAEFKKMFDLIKQYNLNGHIRWISAQMNRVRNGELYRYICDMRGAFVQPALYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQNDKASALLVEFFEKCQEDPNHWIKISQGGLQRIEEKYTWKLYSERLMTLSGVYGFWKYVTNLDRRETRRYLEMLYALKYRKMATTVPLAIEGEASTK >ONIVA07G20750.1 pep chromosome:AWHD00000000:7:19654059:19661305:-1 gene:ONIVA07G20750 transcript:ONIVA07G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAQGPDTRPTGTRVALTGRVSSPAKGPRIWPIQLGNFFWAEARATGLVVGGGRRRRRCVRERRAGWPLCTPSVGIATSPPSRLVAGCFSYRCLFRPPVACDGLQQRRYGDRKSFSLPMYYGWNMQPI >ONIVA07G20740.1 pep chromosome:AWHD00000000:7:19649015:19650824:1 gene:ONIVA07G20740 transcript:ONIVA07G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAVGPKICHVIDIQLQGDAAGAAAAAAATSSNCVVCSTEPSPEWSTPPAPLSALYAAPEADDDWADCRSRVVLYHVARARTFFAGVLRRRPAAAADRRRSAGDVLAELTAAAATTSDGRVGRFWYHACTGTFFALKKHYKAMRVECNQEGPCYPIWISWITFFFLMMLFGALIASAGADQTSNKRWIV >ONIVA07G20730.1 pep chromosome:AWHD00000000:7:19639277:19646540:1 gene:ONIVA07G20730 transcript:ONIVA07G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYTRIILRPRVLVDVSKIDMSTTLLGYTMRSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSFSSSCKIEDVASSCNAIRFYQLYVYKNRNVSATLVRRAESCGFKALLLTVDTPMLEKGIFYPASISNRIYTAIEIGNLAPQTTQSEIRSIGDLNSVPLGTTQTNGSQLERFARATLDPSLSWKDIEWLKSITSMPIFLKGIVTAEDARRAVEAGVAGVIVSNHGARQLDYAPATIAALEEVVRAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >ONIVA07G20730.2 pep chromosome:AWHD00000000:7:19639114:19646540:1 gene:ONIVA07G20730 transcript:ONIVA07G20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYTRIILRPRVLVDVSKIDMSTTLLGYTMRSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSFSSSCKIEDVASSCNAIRFYQLYVYKNRNVSATLVRRAESCGFKALLLTVDTPMLEKGIFYPASISNRIYTAIEIGNLAPQTTQSEIRSIGDLNSVPLGTTQTNGSQLERFARATLDPSLSWKDIEWLKSITSMPIFLKGIVTAEDARRAVEAGVAGVIVSNHGARQLDYAPATIAALEEVVRAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >ONIVA07G20730.3 pep chromosome:AWHD00000000:7:19639262:19646540:1 gene:ONIVA07G20730 transcript:ONIVA07G20730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYTRIILRPRVLVDVSKIDMSTTLLGYTMRSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSFSSSCKIEDVASSCNAIRFYQLYVYKNRNVSATLVRRAESCGFKALLLTVDTPMLEKGIFYPASISNRIYTAIEIGNLAPQTTQSEIRSIGDLNSVPLGTTQTNGSQLERFARATLDPSLSWKDIEWLKSITSMPIFLKGIVTAEDARRAVEAGVAGVIVSNHGARQLDYAPATIAALEEVVRAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >ONIVA07G20720.1 pep chromosome:AWHD00000000:7:19637353:19646499:-1 gene:ONIVA07G20720 transcript:ONIVA07G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein SA [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3N2] MAAEGGAAARALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKLICSSTGTQRKRRSKRKKRRLWWLLIMVRLRNMLPQRLIPGVENGALTLQLNLLPFQHRLVLTGLLLQLLQPEDGTRLLPLPLDGNKAVHQSQRQHPPLTGGKAGSILS >ONIVA07G20720.2 pep chromosome:AWHD00000000:7:19644666:19646499:-1 gene:ONIVA07G20720 transcript:ONIVA07G20720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein SA [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3N2] MAAEGGAAARALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEEAALVAPDYGAVAEYAAPAADTWGGEWGTDAAAQPAAVPAQAGADWTAAPAPAAGGWDTAAAPAPGWEQGSAPVPAAAPTPNWGE >ONIVA07G20710.1 pep chromosome:AWHD00000000:7:19636309:19636975:1 gene:ONIVA07G20710 transcript:ONIVA07G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLPLNIYEHHEIAKKALPKVIYDYINSGAEDEHTLRTCSINPTKKGIEGDWRRIPSFMGMKRTRPEGEYCIIWKNKFMTSRSCGCQQGRYVNNPTGIQHAFTHNCRSNVEPQISTSRMGEGYS >ONIVA07G20700.1 pep chromosome:AWHD00000000:7:19624247:19628973:-1 gene:ONIVA07G20700 transcript:ONIVA07G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAPPLSAWLVAARSSTQAECGGADEHHHHHHQQQHQCCVGGGSSTVMFGPRRRRFLGGGARRRGAARSGMAMAVALQPERRTVEKKPDVKQRRVVITGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSTFPTRIAGEIKSFSTDGWVAPKLARRMDKFMLYLITAGKKALEHGGLTEELRNELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDVDRDGFVMGEGAGVLVLEELEHAKQRGAKIYAEFLGGSFTCDAYHMTEPHPEGRGIILCIEKALADSGVAREEINYVNAHATSTQSGDLKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVAAIQAIRTGWVHPNLNLENPEKIVDVGVLVGSQKERCKVNVALSNSFGFGGHNSSILFAPFK >ONIVA07G20690.1 pep chromosome:AWHD00000000:7:19614747:19623167:1 gene:ONIVA07G20690 transcript:ONIVA07G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAPLDFALFQLSPRRSRCELVVSGNGRTERIASGSVKPFVAHLRAAEEQAAAQPPPPAIRLQLDRRAAWFSKGTLERFVRFVSTPEVLEMANTFDAEMSQLEGARKIYAQGVAGGADGAESAAAADITKKELLRAIDVRLSALKQDLVTACARASSAGFNPDSVSELVLFADHFGANRLSEACNKFMSLCQRRPDICPHYSVSSTSSQWKSFDDGNVRGSSSSDMSLDETQADQGASSNKSIIGGSVSHIHRSNSQNSVDVPPEPSAVQHPKPTIQQSVEKQEKETDALPAPAPAGGGSRRLSVQDRINMFESKQKEQTSSSGNSAACTSKVVPTKGEHRRVPSGASMDKLVRRWSNVSDMSIDLSNNDSSSLNEKRENGTPVGTPTSANLEVNSKARADGDANGLKHAVTSCQKDTSDALPLDSTTADAFSSSTLNTTSPSPLSAIASSSPQKQTAPRVEDDMVITSSIESESSFRKEVGASQGKGDVRMSGQAVSSVSTRARVKTSPRPTLPENNVTLSSPPLSQEHVQMTDEETIPIVHEVAVKKEQIVQKDNRGSRLRSKEIHAEADVVGRKDRPSRTTGKISDTRTRATSNPRANFRGSSVRDEAASTEAEVHDVNLQRKSLARKVEDSGRKVAAGSEILPQSDCSIHQGTNLSRQSSSAEQELSLHGGKVKLISDGNAVPLEQTKRPTKGSQDRHDELQKKANELEKLFAAQKLTSSRRGKSTDVQVENTPRVNEVKPPLVLPERIYTKQIVKESITNEFDANELLKMVDTEGYNNNVPQSIISLEESRGKFYDQYMQKRDAKLKEDWKLQGEQKEATIKAMRDSLERSNAEMRAKFSRSSSVPDSTYISRCAHKFPPLQSVIKDKDQGIDSFLVEEEMNSDYLSGDGSSRSADSRKHFSNKVACNQKKSIAPVHRHSSRTVSSGYANRRNLPDNPLAQSVPNFADLRKENTKPSAGLSRAAPRTQPKSFIRSKSIIEESKNISKDQSRKSQSMRKNLSPGELRDATSMNDVIYNWAPSKISNDQVEGVFAYITHTAGSTKSFLRKGNEAHPAVGIAGFAPPMFANTYQNGDDDDFLDQEEDSPDETKDEEYESIEENLRESDFPADSDSENPGISHEFGNSDDPGSENGDVSFPSDAPTLGCSKFNSFAGNMHDTPGEVPASWSTRPHLFAYANDNSDGDAFADSPNGSPSPWNSHTLDQITDADVSRMRKKWGSAQMPFVGPNASQQPRKDVTKGFKKLLKFGRKTRGADGLNDWVSASTASECDDDMEDGRDLAMGSSDDFRKSRMGYPSAYDGFVDTDVFAEQDQSLRSSIPNPPANFRLREDQLTGSSLKAPRSFFSLSTFRSKGGDARLR >ONIVA07G20680.1 pep chromosome:AWHD00000000:7:19605288:19609506:1 gene:ONIVA07G20680 transcript:ONIVA07G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCIMRANVVHCPQCIVYLGSFDNAATNVSLKNTFEVLSCSLSFFQLCQVSFQTKLFQTFFYKMSLKYILPFKGSLNAIILTVLSKVSYI >ONIVA07G20670.1 pep chromosome:AWHD00000000:7:19602737:19605084:-1 gene:ONIVA07G20670 transcript:ONIVA07G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3M7] MAMSALKFCGECSNMLYPREDKETHTLLYACNSCEHQEPATDTCVYKRVLRKPAGEPKDILKDAATDPTLPRTRSIKCYNCGHPEAAFFQAPTKGEKGLTLYFICCNPSCGHRWRD >ONIVA07G20660.1 pep chromosome:AWHD00000000:7:19592991:19593746:-1 gene:ONIVA07G20660 transcript:ONIVA07G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVAKPPAAAPASRRRCFVFVERAAAAAVGVNAAIAAVDDHRPVPAAAAAAMDDVVGRVARPARPSARAIMEGTHKQISSGGASGGYCTVPWCSICTGNNPFAIAEFLLCCNLCGVPLAGRPSFIYIGEKAFCKEECRSRYVVEEALREAREEKRRAAAAAAASPEKKKEAAAARKGGEECREGSIFFICADDL >ONIVA07G20650.1 pep chromosome:AWHD00000000:7:19587092:19592059:1 gene:ONIVA07G20650 transcript:ONIVA07G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQQQQQKQQAAALMPPSMYAMPPPPPLHHHHHPLLAAAPPQQQIEPILTGNLPPGFDTSTCRSVYVGNVHVQVTEALLREVFQSTGLVEGCKLIRKEKSSYGFVDYYDRRSAALAILTLNGKQIVNWAYASGQREDTTDHFNIFVGDLSPEVTDSALFAFFSGYSSCSDARVMWDQKTGRSRGYGFVSFRNQQDAQSAINDLNGQWLGSRQIRCNWATKGASNGEQQTSDSKNVADLTNNLTEDGKEKANEDAPENNPQYRTVYVGNLAHEVTQDVLHRLFHALGAGAIEEVRIQLGKGFGFVRYSSHTEAALAIQMGNGRILGGKPIKCSWGNKPTPPGTTSAPLPPPAAPSVTAADLLEYQRSLALSKMVSSQALMQAQAQQHLKQAMGMGAGVSQAMYDASFPNVGPSQQQLMYY >ONIVA07G20650.2 pep chromosome:AWHD00000000:7:19587092:19592175:1 gene:ONIVA07G20650 transcript:ONIVA07G20650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQQQQQKQQAAALMPPSMYAMPPPPPLHHHHHPLLAAAPPQQQIEPILTGNLPPGFDTSTCRSVYVGNVHVQVTEALLREVFQSTGLVEGCKLIRKEKSSYGFVDYYDRRSAALAILTLNGKQIVNWAYASGQREDTTDHFNIFVGDLSPEVTDSALFAFFSGYSSCSDARVMWDQKTGRSRGYGFVSFRNQQDAQSAINDLNGQWLGSRQIRCNWATKGASNGEQQTSDSKNVADLTNNLTEDGKEKANEDAPENNPQYRTVYVGNLAHEVTQDVLHRLFHALGAGAIEEVRIQLGKGFGFVRYSSHTEAALAIQMGNGRILGGKPIKCSWGNKPTPPGTTSAPLPPPAAPSVTAADLLEYQRSLALSKMVSSQALMQAQAQQHLKQAMGMGAGVSQAMYDASFPNVGPSQQQLMFQRVCSVQVSLLVGSSDGPCYRPAKVGPERDSGKRTAQ >ONIVA07G20640.1 pep chromosome:AWHD00000000:7:19577139:19579653:1 gene:ONIVA07G20640 transcript:ONIVA07G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARPVGVGGERATSFAMACSLLSRYVRQNGAAAAELGLGIRGEGEAPRAAPGTMSLLPGEAERKKETMELFPQSAGFGQQDAITADSAADAREQEPEKRQLTIFYGGKVLVFNDFPADKAKGLMQLASKGSTVAPQNAVAPAPAAVTDNTKAPMAVPAPVSSLPTAQADAQKPARANASDMPIARKASLHRFLEKRKDRLNAKTPYQASPSDATPVKKEPESQPWLGLGPNAVVKPIERGQ >ONIVA07G20630.1 pep chromosome:AWHD00000000:7:19562557:19573830:1 gene:ONIVA07G20630 transcript:ONIVA07G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAVPGFLRRALITRNHPQASRFTSLACCLNNLDHQEPTQSTISGDYRRQCLLPLITLAVRTSNWDVARKISFRECERLYGLSQSIGLFALLIQSFLPRRVIEVRCLIQSIVDYCGNAGPELFELALMLVNNLGGSITLLQVYAALIRVFIELSMFEDALVTYIEAKKIGVELQLCNFLLKSLVKRNQFMYARSLFDDMKSTGPSPNIYSYSVLMSMYTHGDKPCLEEAFDLLCEMKIRGVKPTAATYGTYLYGLCRAKQVESAWDFLQVLRQRGYPCNSYCFNAVIHGFCNDNQVHKAMEVFDEMKKGGVVPDVHSYSILVDALCKQGALSLGSNLLDEMERNRVSPTLVIYSSLLHGLCKAGKVEEALELFECLKYQGFKHDQITYSIVLHGCCRHMDIEVAYGLWIDMVNHNFVPDVYNYTSLIYAFCRHRYLKEALGLFELMLDNKINPNIITCTILVDGFMKEGLISEAFLFLDEVRQFDIVPNLYTYKVIINGLFKGNESDDLWGFFGDMIKRGYIPDVVLYSIIIDGFVKALDLQEAFRLYHKMLDEGTMPNIFTYTSLINGLCHDDRLPEMTPLLKNMILEGLTPDRIMYTSLIACYCKRSNMKKAMEIFREMKNGGISPDTFVYTCLIGGYTKVRAMDFAELLMEEMETKGLTPTVVTYTDLIIGYLKTGDEKSAYRTYHNMIQRGITPDAKLSCILDLGNDADVDNSPKEKDVS >ONIVA07G20630.2 pep chromosome:AWHD00000000:7:19565515:19573830:1 gene:ONIVA07G20630 transcript:ONIVA07G20630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSINSTWGAQLSSFRDFRRIWSKLPRTLRRLQFHVSTAAAGWNGGAFWLLQESRLQGSDPIVIRLDASTVNACSFIGDDFLINTIGPAGKEKVLGNLYDGTPVMISTSLLLFFYLIIQLRWLMKRGGGAPASLPRLSSTGILDLST >ONIVA07G20630.3 pep chromosome:AWHD00000000:7:19568691:19573830:1 gene:ONIVA07G20630 transcript:ONIVA07G20630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCLNRETFGSGRQGRRWPM >ONIVA07G20630.4 pep chromosome:AWHD00000000:7:19568689:19572250:1 gene:ONIVA07G20630 transcript:ONIVA07G20630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERLILHHHYLSSFYVVSSYCGVWTSLGMQTICQCHCIITTHFICSVSFPSFFFCENALHVLWAFGVEY >ONIVA07G20620.1 pep chromosome:AWHD00000000:7:19557372:19558162:-1 gene:ONIVA07G20620 transcript:ONIVA07G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKLLKRQIEQSLPEWRDKFVSYKELKRIVASISGSPADEAAFVAALAADIDKIDSFFLEQEEEFVIRHRARTPIRFNSFELQEAIKKAAEAAAEMAGIRREIVDFHGEMVLLLSYSSINYIGVGKILKKHDKRTGGALAAPVAEAVRERRHFFKTETVSRMVRECEAMMAEAAVLPAEAAPEALAAAAEHGIFRNTVAALLTMEDVRRGSSTHGRHSLPPLTLPDSDWLRSFQPPSPIPIQ >ONIVA07G20610.1 pep chromosome:AWHD00000000:7:19550474:19553957:1 gene:ONIVA07G20610 transcript:ONIVA07G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRQSRLFRSPSTSRDPSTSRISTPRRRRCCRGGGGGSSSLPSPAMSVMPSIRRLLLAGAAVAVVVVSCAVVCAEASVHEYAGERFAGVGNGFVLHGGSEGVYASATAESFVRFEKVAFRRTPEAASVAEEDGNRTVTVAAVIFEAGDRDAVGAVSDVVGGERALCCTPGMARRGGCTEGAVVYRAPASSNATGRWPKVLAASFLPGSLVAAFPDETVAVARTGMYSLHFVHCDASLAAGQVVAAEGKTIWKNSRGYLPGRMAPLKPFYGAMSLAFAALAALWFARYARFWREVSPLQNFATAAIALGMVEVTTWYLDLAEFDASGVRPAGTTFWAATSGAVRAAACRVLALLVAMGYGVTRPALGCGNARVAALGAAFLAAAEVLDVGDNVGIVSDHSPARRLFFVLPVAALNTVFIYWIFTSLSRTISKLKARRMTAKLEMYRKFANSLTIAVALSLGWITFEVHFKTTDEHNERWRVAWVIPAVWELISFFLLCTICILWTPSKNSMRFAYSREECEDDTEHDDEDDDVEDTRPLIRAGPLSYVDNWACYVTQDAKIILRTDSGVYAKAGEEYKRV >ONIVA07G20600.1 pep chromosome:AWHD00000000:7:19544799:19546134:-1 gene:ONIVA07G20600 transcript:ONIVA07G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFTNVSSEAGLKKLDEYLLTRSYISGYQASNDDLAVYSAFSTAPSSSYTNVARWFTHIDALLRLSGVTADGQGVKVESTAVPSASTPDVADAKAPAADDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMTKLEEAVRNVKMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEDYFYTEPANEYIQSCDIVAFNKICKLFHKYQSPSFYLLALFLLLY >ONIVA07G20590.1 pep chromosome:AWHD00000000:7:19539650:19542267:1 gene:ONIVA07G20590 transcript:ONIVA07G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIINWMQNRFSTAKQDKRRTEAAAVASSARRRGGGGGESCRQEEARDEIKIAGDHLLSIGTLGNESPPRPPPAAAATAAEEVADFTIEEVKKLQEALNKLLRRAKSTKSGSRRGSTAAEHDADERSSSSSSSGGQLLLPLDRFLNCPSSLEVDRRVAAADGEFSPDTQIILSKARDLLVNTNGGGAIKQKSFRFLLKKMFVCRGGFSPSPAPPPTLKDPVESRIEKLFRTMLHKRMNARPSNAAASSSRKYYLEDKPREKMQREHLHDDEDDDENAEDIFKWDKTDSDFIVLEM >ONIVA07G20580.1 pep chromosome:AWHD00000000:7:19529010:19536647:1 gene:ONIVA07G20580 transcript:ONIVA07G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVLPGGLPPPRPLAGMDRGVGGGGDASVAALLLPSAPMAYAVVTDPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLSVDCALGEAAVTVRARWWLHCITRSRDCDVRIVVPMGDQGSILGAEVTVGRRSYNTQVIEVEDHTTENAAKADSGGLLKPQLFYLTISQIEGGADISATIRWSQKLHYDNGRFSVDIPFRFPYYVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKGRQGEKLSFRHEATVENWSSKDFNFSYSVYSGDLSGGVLVQPSTLRDYDDRDRFCIFLLPGGGNRKVFRKAVVFVIDTSGSMQGHPLENVKNAMSTALSELTEGDYFNIITFNDELHSFSSCLEKVNEKSIASALDWINLNFVAGGGTDIMHPLNEAMASLSSAHDVLPQIFLMTDGSVDDEHNICQTVKTELISRGSKSPRISTFGLGLYCNHYFLRMLASIGRGHYDAAFETGSIESQVLRWFRKASSTIVANISIDATAHLDEFEIDSEYIPDISAKSPLCISGKYQGKFPDMVTAKGYLADMREISIELKVQHIKDIPLDKVLAAQQIGLLTAKAWLSSDKQLERKVVKLSIQNSIPSEYTSMVLLQTLEKVDAAQKVKQKLKGHKGPDEPRRIPLQCLKLGFGDRAATRENLVTGFGDVKPLETFEILNKAAGCCSRLADCLCCMCCIKACNKMNDQCAIVMTQVCAAFACLGCYECCAELCSGSDS >ONIVA07G20580.2 pep chromosome:AWHD00000000:7:19528698:19536647:1 gene:ONIVA07G20580 transcript:ONIVA07G20580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFARAVEDGLKLSKRLVLPGGLPPPRPLAGMDRGVGGGGDASVAALLLPSAPMAYAVVTDPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLSVDCALGEAAVTVRARWWLHCITRSRDCDVRIVVPMGDQGSILGAEVTVGRRSYNTQVIEVEDHTTENAAKADSGGLLKPQLFYLTISQIEGGADISATIRWSQKLHYDNGRFSVDIPFRFPYYVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKGRQGEKLSFRHEATVENWSSKDFNFSYSVYSGDLSGGVLVQPSTLRDYDDRDRFCIFLLPGGGNRKVFRKAVVFVIDTSGSMQGHPLENVKNAMSTALSELTEGDYFNIITFNDELHSFSSCLEKVNEKSIASALDWINLNFVAGGGTDIMHPLNEAMASLSSAHDVLPQIFLMTDGSVDDEHNICQTVKTELISRGSKSPRISTFGLGLYCNHYFLRMLASIGRGHYDAAFETGSIESQVLRWFRKASSTIVANISIDATAHLDEFEIDSEYIPDISAKSPLCISGKYQGKFPDMVTAKGYLADMREISIELKVQHIKDIPLDKVLAAQQIGLLTAKAWLSSDKQLERKVVKLSIQNSIPSEYTSMVLLQTLEKVDAAQKVKQKLKGHKGPDEPRRIPLQCLKLGFGDRAATRENLVTGFGDVKPLETFEILNKAAGCCSRLADCLCCMCCIKACNKMNDQCAIVMTQVCAAFACLGCYECCAELCSGSDS >ONIVA07G20580.3 pep chromosome:AWHD00000000:7:19528698:19536647:1 gene:ONIVA07G20580 transcript:ONIVA07G20580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFARAVEDGLKLSKRLVLPGGLPPPRPLAGMDRGVGGGGDASVAALLLPSAPMAYAVVTDPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLSVDCALGEAAVTVRARWWLHCITRSRDCDVRIVVPMGDQVTVGRRSYNTQVIEVEDHTTENAAKADSGGLLKPQLFYLTISQIEGGADISATIRWSQKLHYDNGRFSVDIPFRFPYYVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKGRQGEKLSFRHEATVENWSSKDFNFSYSVYSGDLSGGVLVQPSTLRDYDDRDRFCIFLLPGGGNRKVFRKAVVFVIDTSGSMQGHPLENVKNAMSTALSELTEGDYFNIITFNDELHSFSSCLEKVNEKSIASALDWINLNFVAGGGTDIMHPLNEAMASLSSAHDVLPQIFLMTDGSVDDEHNICQTVKTELISRGSKSPRISTFGLGLYCNHYFLRMLASIGRGHYDAAFETGSIESQVLRWFRKASSTIVANISIDATAHLDEFEIDSEYIPDISAKSPLCISGKYQGKFPDMVTAKGYLADMREISIELKVQHIKDIPLDKVLAAQQIGLLTAKAWLSSDKQLERKVVKLSIQNSIPSEYTSMVLLQTLEKVDAAQKVKQKLKGHKGPDEPRRIPLQCLKLGFGDRAATRENLVTGFGDVKPLETFEILNKAAGCCSRLADCLCCMCCIKACNKMNDQCAIVMTQVCAAFACLGCYECCAELCSGSDS >ONIVA07G20570.1 pep chromosome:AWHD00000000:7:19526690:19528465:1 gene:ONIVA07G20570 transcript:ONIVA07G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETPLTARGVFFTLGEWDGLVPTEEYSSQIRDQSVWPKLADELVPPGTSDGVKPPLCSRALPRETAAGSATAAVGSATAAVGGSATAAVDGSGDALGWVIPSVVVAEPTAADVARARRRRCHPSRLPPPSPSPPQPLIDAIKEAGGDHVRR >ONIVA07G20560.1 pep chromosome:AWHD00000000:7:19516825:19519349:1 gene:ONIVA07G20560 transcript:ONIVA07G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGLLDAAGAAALAVSVSLAVHVALHCPVQPVSPPPARPAAAGAGDRVPPNNLLQNLEKLGEGMLRAPEDVYVDNAGGEVFTATRDGWVRRMRANGSWERWGLVGGTGLLGVAPSADGAMLVCDADKGLLKVEENGRVTLLASTVQGSTIRFADAAIEASDGTVYFSDASTRFSFDNWFLDFFEYRFTGRLLKFRCSRVWLKGEKAGEAEIFVDNLPGNPDNIRLGSDGHFWIALPQVRSPWLDLISRWSLTRRVIASFPALVERTKATLKGAVVAQVSLNGEIVRVLGDSEGKVINMVTSVTEFNGDLFLGSLATNFIGKLSLAKVTREQEDAVPS >ONIVA07G20550.1 pep chromosome:AWHD00000000:7:19511062:19523143:-1 gene:ONIVA07G20550 transcript:ONIVA07G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3K5] MPEAEAAAVVTGGGSRAAGPADYERFITLFSPEGRLCQLDYAFNAVKLAGITSVGVRGDDSVYVLTHRKEDKLHDPTTITNLFAITDRIGLLATGMPGDGRAIAQEARNAAAEFRFKWGYKMSPCMLAQWIADRAQIRTQHAQIRPYGVVSMIFGIDEEKGTPELFTCDPAGQFFAHKAASAGPKEKEVMNFLEERMKSKPSLSSGTTKGLAKSALKHVLEGDFYAREFELGFIKKGDPTVTLHPVKFKRTRSVALVLSTSAGNDAMTLLVKLQREIRSSHGDLTCKRLESRDLEKGNPETTTKASSGGRATPLAKPRPSSTTEASPVRGSYLSRRPVKRYSKKSRNQLSKLNLVLASLKYTVPSEASIAASANLKTTNGEEQ >ONIVA07G20550.2 pep chromosome:AWHD00000000:7:19511062:19523143:-1 gene:ONIVA07G20550 transcript:ONIVA07G20550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3K5] MPEAEAAAVVTGGGSRAAGPADYERFITLFSPEGRLCQLDYAFNAVKLAGITSVGVRGDDSVYVLTHRKEDKLHDPTTITNLFAITDRIGLLATGMPGDGRAIAQEARNAAAEFRFKWGYKMSPCMLAQWIADRAQIRTQHAQIRPYGVVSMIFGIDEEKGTPELFTCDPAGQFFAHKAASAGPKEKEVMNFLEERMKSKPSLSSGTTKGLAKSALKHVLEGDFYAREFELMAERVRDLGKGNPETTTKASSGGRATPLAKPRPSSTTEASPVRGSYLSRRPVKRYSKKSRNQLSKLNLVLASLKYTVPSEASIAASANLKTTNGEEQ >ONIVA07G20550.3 pep chromosome:AWHD00000000:7:19519571:19523143:-1 gene:ONIVA07G20550 transcript:ONIVA07G20550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3K5] MPEAEAAAVVTGGGSRAAGPADYERFITLFSPEGRLCQLDYAFNAVKLAGITSVGVRGDDSVYVLTHRKEDKLHDPTTITNLFAITDRIGLLATGMPGDGRAIAQEARNAAAEFRFKWGYKMSPCMLAQWIADRAQIRTQHAQIRPYGVVSMIFGIDEEKGTPELFTCDPAGQFFAHKAASAGPKEKEVMNFLEERMKSKPSLSSGTTKGLAKSALKHVLEGDFYAREFELGFIKKGDPTVTLHPVKFKRTRR >ONIVA07G20550.4 pep chromosome:AWHD00000000:7:19511062:19519102:-1 gene:ONIVA07G20550 transcript:ONIVA07G20550.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3K5] MTLLVKLQREISVALVLSTSAGNDAMTLLVKLQREIRSSHGDLTCKRLESRDLEKGNPETTTKASSGGRATPLAKPRPSSTTEASPVRGSYLSRRPVKRYSKKSRNQLSKLNLVLASLKYTVPSEASIAASANLKTTNGEEQ >ONIVA07G20550.5 pep chromosome:AWHD00000000:7:19511062:19518979:-1 gene:ONIVA07G20550 transcript:ONIVA07G20550.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3K5] MEADGRKGERPGEGQSRSDHLSPAEYCLDSPAGTRSPAPAAAGRAGGGLTGWTGQWRATCTASDTETASAAAPAASRRPRRAIGDPTIDDELDQSIDHSISEISWRLSGTTTKASSGGRATPLAKPRPSSTTEASPVRGSYLSRRPVKRYSKKSRNQLSKLNLVLASLKYTVPSEASIAASANLKTTNGEEQ >ONIVA07G20540.1 pep chromosome:AWHD00000000:7:19509734:19513010:1 gene:ONIVA07G20540 transcript:ONIVA07G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLGLLAAVFVSLAVHVALHCPIQPLAPPPARPPAAARFPPNNLLQNLEKLGEGMLSAPEDVYVDDAGGEVFTATRDGWVRRMQANGSWERWGLVGGTGLLGVAPSADGAMLVCDADKCKSVTATANSLLFADAAIEASDGTVYFSDASTRFSFDNWFLDFFEYRFTGRLLKYDPRTGEASVVLDGLGFANGVALPPDEAFVVVCETMRCLRVWLKGEKAGEAEIFVDNLPGNPDNIRLGSDGHFWIALLQVRSPWLDLISRWSLTRRVIASFPALVERTKATLKGAVVAQVSLNGEIVRVLGDSEGKVINMVTSVTEFNGDLFLGSLATNFIGKLSLAKVAQDQEDAVSS >ONIVA07G20530.1 pep chromosome:AWHD00000000:7:19495701:19496195:-1 gene:ONIVA07G20530 transcript:ONIVA07G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVGEETEEVGVEVACECCGLTEECTAPYIAGVRARYEGRWICGLCGDAVGEELGRASPPISPAEALDRHVFVCGAGRRSTAPPSPAESADDLISALRHLLRRRLGSPPLPPPRKVRSTPSSPRRDVPTSATAIVSVDTGGGGGAGGALARTESCFAALVE >ONIVA07G20520.1 pep chromosome:AWHD00000000:7:19484893:19491474:1 gene:ONIVA07G20520 transcript:ONIVA07G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSDCKVVAAAARKKEKEAAAWPWSLWGFLLTGCLGGGGGGGKKKSGGKKVRPRGGGGGLRRLSFTDLTGAADQDLSVSLVGSNLHVFTVAELRDATRGFVSGNFLGEGGFGPVYKGLVGDGVKPGLRPQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDENRLLVYEYMEHGSLENHLFKQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRAVDKTRPNREQSLVEYARPCLRDPLRLIRIMDPALEGRYSPAAAREAAAVAYRCLSGSPKNRPDMSAVVDALEPLLVATDDVPLGPVVLFVAPDQEADAAAAADDDEDDKARRRQRRTRKDEQHRRRSRLRTSPKGSPRKPAVAAACRNEEFWVWHVPADHKA >ONIVA07G20520.2 pep chromosome:AWHD00000000:7:19490555:19491658:1 gene:ONIVA07G20520 transcript:ONIVA07G20520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLRCFHGAKVAAVAAAGDGGVAGRAAVPAKGGGGGGVARGVKVVVRGLVGKAGKVFGRSIPAARFGHLAYISSARLVCTCCFHLLKTTREKLSLACNTN >ONIVA07G20510.1 pep chromosome:AWHD00000000:7:19481256:19483681:-1 gene:ONIVA07G20510 transcript:ONIVA07G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRGVCKSPNREKRCQYSRRFGGIPTIAQQSELCFNGLFAKDYLLPRNIILETIKPSAYVLCKWMLISVSQNDSEKQLGYVPRDQLIETLIFR >ONIVA07G20500.1 pep chromosome:AWHD00000000:7:19469999:19478750:1 gene:ONIVA07G20500 transcript:ONIVA07G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72560) TAIR;Acc:AT1G72560] MDDLEQAILLASDSPAAAAASPAVRAEALAYCARARDETPPSSLLHLCLYGLASSPHAHVHFWCLQTIHDALLLRRRLALPDDLALLRSSLLSLAVSSNAASPPFLRNKLAQLLALLVRFEYPHVYPSYFLDLIPPSPPLPGPTDMFARVLVSLDDDLLSQDYPRNAEEASDAGRVKDAMRAQCVPQIARHWHEAAVSLRAADPAVAAVALDAARRCISWIDVSLVANDVFVPLLFDIALSPGSVAPLAAAAVGCLSAVAAKRMDARAKVALLRSLMSAQKGFGSPDSGLKMAHLVTAYAVEALECYRKLGSSDADGAAALEMLEEVLPAVFAAAESGDDDEVDSGSVLEFLSGYVSTMKAPTEKQLGHLGQILEVVRMQMSYDPVYRVHLDVLDKIGKEEEDLMAEQRKDLIALFRSICRVAPGATQLFIRGLLVTALSSAEVSVEDVEVALTLFYRLGEIVGEEEIRTGAGLIRELVPMLLSARFSCHTHRLVALVYLDTISRYIKFMQENDQYVPHLLTVFLDERGIHHQNAHVSCHAGYLLMRAIRLLKAKLVPYLDTILQSLQDALVQFTATDWANKDIKFSSSEDGSQIFEAVGLLIGIEEVSPDKQVQCLTALLNPLCQQIESLVMDAKAQGLEESSPRAIGLQQIIVALTMISKGFNERLVMGSRPTLGVMFKKTLDVVLQVLISFPNVKPLRSKIISFLHRMVEILGISVLPCIPIALRQLLVDNEAKDMSEFLYLINQIICKFKSSANALLEDVFPAIASHLSVILSHDAFSNGFASNTEEMRELQELEKRFYAFLLHIATHDLSTVLLTPSCRHYLENIMQLLLITSCSHKEISHRKTCVQTFVNLIKDWCSSSEIEDKLPGFRVFMIEKFATGCCLQSVLDKSFNFRDGISIALFGEIMMAQKVMYERFGENFVVNFVTKLREAHCPPDLAEQYYQKLQGSCIIYVHKALPFLRSEDVYCGNIFTEPGYRGKVP >ONIVA07G20490.1 pep chromosome:AWHD00000000:7:19467180:19467621:-1 gene:ONIVA07G20490 transcript:ONIVA07G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFYSPAVNVERLWSMVPAEQAAEAAGAGKAPLLDVTQFGYFKVLGKGLLPEKPIVVKAKLISKVAEKKIKAAGGAVVLTA >ONIVA07G20480.1 pep chromosome:AWHD00000000:7:19456674:19459825:-1 gene:ONIVA07G20480 transcript:ONIVA07G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATAISCAVVKCGGGGGPRQPRVSAPPRQQGHAAAAASLEQLLLLPRWSPPSSPLSFARPAAERVAARRARAAAVAMEVVEDATPPAAGAVLLAGAQSRHAIFRDELVRRAFYAAEAAHRGQMRASGDPYLQHCVETAALLAELGAGPAVVAAGLLHDTVDDAGLGYGSLSVQFGAGVADLVKGVSNLSHLSKLARRNDTASRVDEADKLRTVFLAMEDARAVLIKLADRLHNMRTLDSLPKVKQQCFAKETLEIFAPLANQLGILNWKEQLENLCFKYLYPELYEELSSNLLEFYNQDMIAAAIRRLEQALQVRGLCYHTISGRNKSMYSIYSKMARKKLDMDEIYDIHGVRVILDNKADCFTTLEIVHHLWPRIPGKFKDYINSPKPNGYQSLHTVVLSEKTLPLEIQIRTRDMHLQAEFGIAAHWRYKEAVRNCCSSVPEMVEWVRWVVTWQCKTLHIDHPSSLTHGAPPRAICSCSSQSDDCPFSYSKRCDHSGPILVILLENEKMSVQEFPQNSTVLDLLKRTSSYDMQLRLRLNCHVVHNLNQELKMGDVVELIPSAQCNPGAGGYAREFHQMYDHRLTVSQS >ONIVA07G20470.1 pep chromosome:AWHD00000000:7:19443875:19453679:-1 gene:ONIVA07G20470 transcript:ONIVA07G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGADQAPPPPPPPPVAAASAAADEPRDLRVVREILHSLGLREGDYEEAAVHKLLLFAHRYAGDVLGEAKAYAGHAGRESLQADDVRLAIQARGMSSAAPPSREDMSLNQNHLFVHQVELTLDQIEEIKDDGSNDNVDSPNFNCVQDPSRSVSFPHYQVMPLNQNHLSFHQVEPMLDQVEEIKDDGSNDNVASPDSNFIQDPHYQDMLLNQDHLSVRGVEPTLDQVEEIEDDCSSDNVASPDSNYDKEKNDSNKQKPSKKVKTNRRAPALHHPLAAMPSLGIAPLLDAYFRRRFAAAGLVQASVPLDGGATTVQCWRFPPGASEELPVLVLLHGFGPPATWQWRRQVGPLSRRFRLVVPDLLFFGGSGTAAADARSEAHQAEAVAKLVAAVVGAAAARVSVAGTSYGGFVAYHVARLLGPAAVARVVIASSDLLKADADDRALLRRGGAERVEDVMLPRTPERMRRLLGLAYHRPRRFSFTPAFVLRDLAQYLYTDKIEEKKELIKGITLGDKEKFQLTPLPQEVLVLWGEHDQIFPIEKAFEVARQLGANARLEIIKNTGHMPQEEDPKRFNEALLNFLLPAPNSSFKASILSRDDGRSCPAPDRQALLARVVIEYALLGCGGVKRRDAFADMICCTGRYWYRASSFLAVAASKSLSFPAAAQSNKGTLAARERIKSGSGAREKKGNGGGVGEETSPAAEEEQVRRRKRNTAAVARKRNTAAAAKIIQLR >ONIVA07G20470.2 pep chromosome:AWHD00000000:7:19443875:19453679:-1 gene:ONIVA07G20470 transcript:ONIVA07G20470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGADQAPPPPPPPPVAAASAAADEPRDLRVVREILHSLGLREGDYEEAAVHKLLLFAHRYAGDVLGEAKAYAGHAGRESLQADDVRLAIQARGMSSAAPPSREYLYTDKIEEKKELIKGITLGDKEKFQLTPLPQEVLVLWGEHDQIFPIEKAFEVARQLGANARLEIIKNTGHMPQEEDPKRFNEALLNFLLPAPNSSFKASILSRDDGRSCPAPDRQALLARVVIEYALLGCGGVKRRDAFADMICCTGRYWYRASSFLAVAASKSLSFPAAAQSNKGTLAARERIKSGSGAREKKGNGGGVGEETSPAAEEEQVRRRKRNTAAVARKRNTAAAAKIIQLR >ONIVA07G20460.1 pep chromosome:AWHD00000000:7:19441609:19443732:1 gene:ONIVA07G20460 transcript:ONIVA07G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFLRALAPLLTRRFSARSAQAQRSAAAAATAGTSPAPRSPVSPRSQIKIFFTRAQRLTLPSISAQRDLGVGRGAVKGVLALTSSLAGLLLGFLYFKQDRDDSAAGEETRKEEEEVTVNWRDVIEPSVMARFTRKDGTFAYLDYIDYLNSQMNHGGKPLYDKKCSDKEEAVVDDAAEEDNVVDEVAMKAKFEDWMSEHGRRYRTEEEKAHRYENFKKVVKALDKFNAEGSTRSSLLAPLAPNELAEYSQEELDGLGTLADESHWEGYLDHVHTMIARGNDIRHNENACEAVKKVLNVYP >ONIVA07G20450.1 pep chromosome:AWHD00000000:7:19423192:19439353:1 gene:ONIVA07G20450 transcript:ONIVA07G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRALASLLDRRLSARGAQARRSAAAAGTRPAKPRALRTLRDLGVGHGAVKGALALTSSLAGLLLGFLYFKQDRDDSAWEYTRKEEETVKWRDVIEPSVMARFTRKDGTFAYPEYYGYLRVQMNGGKPNYDQKCSDKVEEAIVDADAADTYEDDNNAVDEPAMKAKFEDWMKEHGRIYITKKEKAHRYENFKKAMKGINELNIKRGMRSPLAAPTELADYTDEEVERLGITMADDSDWDEYLDHIHTAIARGYVFRVDDEDVCEAVKKKRRELLAMHDNATHQTNSSCRQTAASQKERAHVINFDFLVDLVSLGQLNLLVAMFHGRYTKSKLSPGHDNVLFHGILNLSYHLAL >ONIVA07G20440.1 pep chromosome:AWHD00000000:7:19419897:19422888:1 gene:ONIVA07G20440 transcript:ONIVA07G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGRGRGRGRRGGGYGFDHPAKHTPHEDFPDITLPEMTCARATMEEKALIQSTLKFEDFWKTSCYHLEEDVPKKKNDDKEIERYSDRKRKTHSKREALASYLILTPANFPVELVLSEDSQAVRSCVGIEAQDGDKKTEKDGDDEDEHEEEEVEEDENSDDDYNQNIEFDDDDDDWNQEEEAHEDYYD >ONIVA07G20440.2 pep chromosome:AWHD00000000:7:19419902:19422888:1 gene:ONIVA07G20440 transcript:ONIVA07G20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGRGRGRGRRGGGYGFDHPAKHTPHEDFPVNLLSSPLHSPLRHPHTSLHPFFPSPLVVAAECDFRHARGVIFFLGRFTGFGRRYIQDITLPEMTCARATMEEKALIQSTLKFEDFWKTSCYHLEEDVPKKKNDDKEIERYSDRKRKTHSKREALASYLILTPANFPVELVLSEDSQAVRSCVGIEAQDGDKKTEKDGDDEDEHEEEEVEEDENSDDDYNQNIEFDDDDDDWNQEEEAHEDYYD >ONIVA07G20430.1 pep chromosome:AWHD00000000:7:19415648:19416427:1 gene:ONIVA07G20430 transcript:ONIVA07G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAHITHFAHPQHRLLKTPYSSASRHVCDICEAKLSGLVGYRCNACDFDIHEACADYFKETISFFAHPWHTLTLCRMPPENKGWVCDLCMEHCPPGNFVYRCIQCKFDVHPLCTLLPQTIRSPLHPLHDLNMVPSSGHCNACPERLPVWHYICGPCTSPSYRLHIGCVSGAPSGVGQGSGGTTNQNNSSSRGQGTGNTSSGANQTTSDARNTTAVVERSRSTSVSKFLLKKSFMIAIDLATGGLASPVLDVLQAVLD >ONIVA07G20420.1 pep chromosome:AWHD00000000:7:19407610:19408215:1 gene:ONIVA07G20420 transcript:ONIVA07G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRWHVAHPQHQLQRCTYNQRDQISHRACNICELPITGVGYRCNHHHCSDFTIHEACADRFARDTIHGFFAHPRHPLTAVVASANPGWCDLCQGRIQPGRLVYRCAECAFDVHPLCTLLPMTIHPAARRRRVPRRPRPQLGPGARGVRGVPPGLLHLALPVRPMLVHAPHRLRFAGRRRRCSSWCEGLACHRWRRRLGRR >ONIVA07G20410.1 pep chromosome:AWHD00000000:7:19398510:19399565:1 gene:ONIVA07G20410 transcript:ONIVA07G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGHITHFAHPQHLLLKTRYDSTSRHVCNICRAKLSGLVGYRCNACDFDIHQACADYFKKTISFFAHPWHTLTLSSIPDGSTTWSCDLCRENCPRGNFVYRCIQCAFDVHPLCILLPQTIRSPLHQQHDIHMVPSWGRCSACREDLDLWYYHSGITKFLVKKSFMVAINLATGGLASPVLDVLEAVLD >ONIVA07G20400.1 pep chromosome:AWHD00000000:7:19372759:19374335:1 gene:ONIVA07G20400 transcript:ONIVA07G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3I7] MANACSFFLIRASKSENFMSFPVCRPDTACWSLPIKMLGSLISYSPSVNPKTENPDELIATGVLASLQNFIRKCIVAVLSYGPMPKHIAFIMDGNRRYAKFRSIQEGSGHRMGFSALIASLLYCYEMGVKYITVYAFSIDNFKRDPTEVKSLMELMEEKINELLENRNVINKVNCKINFWGKLDMLSEPVRVAAEKLMASTAENKGLVFSVCMPYNSTSEIVIAVNKVCAERRDILQREDVDSVANNGVHSDISVADLDHHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPDPLWPEFSFKHLVWAILQYQRVHPYIEQSRNLAKKQL >ONIVA07G20390.1 pep chromosome:AWHD00000000:7:19368352:19369527:-1 gene:ONIVA07G20390 transcript:ONIVA07G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPADHHHHHHSSTPPPATKISIPISAAGGGAEAALLGKGRYKAWALAAIALLALWSMFAASVTIRWSSGDLAAAFGDLPDPLIDDLDPLEMEDREKLVRRMWDVYTRTGVDRVRLPRFWQEAFEAAYEELAGDDTQASETAVSEIARMSVHRPELEQSSNKY >ONIVA07G20380.1 pep chromosome:AWHD00000000:7:19362650:19365391:-1 gene:ONIVA07G20380 transcript:ONIVA07G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G55590) TAIR;Acc:AT5G55590] MAATGVLVVVVALVFAVTVAGGGGAGVGGDGGFITWEDLSMPAGAARSSTWDDTAGGGGGKRSGGGEQRTTIVVSPDGTGHSRTVQGAVDMVPAGNTRRVKIVVRPGVYSCNGADLAGDGVPCREKVTVPITKPFVSLIGMGTGHTVITWHSRASDVGASGHQVGTFYSASVAVEADYFCASHITFEVYTHRTSSSSSSPAGSPAAAKRNSAAAAAPGAVGQQAVALRLSGDKTVLYKCRILGTQDTLFDNIGRHYLYNCDIQGSIDFIFGNARSLYQGCTLHAVATSYGAIAASQRSSPSEESGFSFVGCRLTGSGMLYLGRAWGKYSRVVYSYCDLSGIIVPQGWSDWGDQSRTKTVLFGEYNCKGPGASTKQRVPWSRTLTYDEARPFIGRSFINGEQWLRL >ONIVA07G20370.1 pep chromosome:AWHD00000000:7:19357697:19358062:-1 gene:ONIVA07G20370 transcript:ONIVA07G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKKKNEEGLGGSAARDFLFLEIPGQGGGETLGFQGDLGAVLGGQIGLNRNGFRLSRKHSMKQPPTAAASAHPGPIHAKPLSPNTRAAAAAEEETTRTTGGRGENLRPSPPRAATGERRY >ONIVA07G20360.1 pep chromosome:AWHD00000000:7:19357581:19362741:1 gene:ONIVA07G20360 transcript:ONIVA07G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:agenet domain-containing protein / bromo-adjacent homology (BAH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G55600) TAIR;Acc:AT5G55600] MAKEEDGGGGPEFVRWREDFVSQERGSRVVHYYLEDAAGASHLAVVGTERSLRHMLYVVSEDFREAQGADGGGPGVFARKWRSRREVVDWLASFLPAKSLASKLSKFGSHMGNDIGLDGYSEADSFMGHNLGKACSSDIMWSGSSWTCGKQLYHYKAFCRNGTTISTHSFVLVMSEEESRYLAYLEDMYEDKKGQKKVKVRWFHQNQEFACAIPPPPPHPCEVFITPYSQVISVECVDDIATVLTPEHYEKCVNTLPNSSMVGIRFCFRQYSKNKFKCFDLRSLRGYFSQAVVLSLKLPPEQEKDDGSDIIKTFEQWTPGKTKFPKQFERLYSKCLGTKICRGPQEDSIASYQKPSSKQSPRKHLSVKFIGPQNQRMPTYNVGDRIEVLSQDSGIVGCWFRCTVLKSCTNHNKLKVQYDDLQNADDSGRLEEWVPVSTLARPDKLGLRCPERRRVRPRPQQNSLADGTNLLPGAAVDVWQFSGWWEGVLVSADNISADSLQIYFPGENFFSVCQLKNLRISKDWVKSHWVDIEMKPDVLSKIPSVGVQTRQPDNLTSVERPDSRNSAMSDQELAAIQTNSSEDKQTGADQPAEVSLTDMASAFAEDQKQTVLGKRPRDDDAEQHCNGEVGIDVGKL >ONIVA07G20360.2 pep chromosome:AWHD00000000:7:19357502:19362741:1 gene:ONIVA07G20360 transcript:ONIVA07G20360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:agenet domain-containing protein / bromo-adjacent homology (BAH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G55600) TAIR;Acc:AT5G55600] MAKEEDGGGGPEFVRWREDFVSQERGSRVVHYYLEDAAGASHLAVVGTERSLRHMLYVVSEDFREAQGADGGGPGVFARKWRSRREVVDWLASFLPAKSLASKLSKFGSHMGNDIGLDGYSEADSFMGHNLGKACSSDIMWSGSSWTCGKQLYHYKAFCRNGTTISTHSFVLVMSEEESRYLAYLEDMYEDKKGQKKVKVRWFHQNQEFACAIPPPPPHPCEVFITPYSQVISVECVDDIATVLTPEHYEKCVNTLPNSSMVGIRFCFRQYSKNKFKCFDLRSLRGYFSQAVVLSLKLPPEQEKDDGSDIIKTFEQWTPGKTKFPKQFERLYSKCLGTKICRGPQEDSIASYQKPSSKQSPRKHLSVKFIGPQNQRMPTYNVGDRIEVLSQDSGIVGCWFRCTVLKSCTNHNKLKVQYDDLQNADDSGRLEEWVPVSTLARPDKLGLRCPERRRVRPRPQQNSLADGTNLLPGAAVDVWQFSGWWEGVLVSADNISADSLQIYFPGENFFSVCQLKNLRISKDWVKSHWVDIEMKPDVLSKIPSVGVQTRQPDNLTSVERPDSRNSAMSDQELAAIQTNSSEDKQTGADQPAEVSLTDMASAFAEDQKQTVLGKRPRDDDAEQHCNGEVGIDVGKL >ONIVA07G20350.1 pep chromosome:AWHD00000000:7:19355371:19355961:-1 gene:ONIVA07G20350 transcript:ONIVA07G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHLSLLHLPRLSPVLHHHPRHHRLHGRTTVFQARLPSPQRTNQHRLLAVTTAPPEPEKTEEQQLLHPLQEPAEGEVSGGGGADRTCGLPTWALIGAIAAAVALSSAAAAGPAAALGPEGPLVEEFWDNMRRYALYVVTVSTGFAYTLAQPIVELLKNPVTALLIVAVLAGGGFLVSQVLNAMVGNSDFIYTYDQ >ONIVA07G20340.1 pep chromosome:AWHD00000000:7:19352481:19355051:-1 gene:ONIVA07G20340 transcript:ONIVA07G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPTWSPPHLAVTAAPTSALAFLPLISQRRRLPSPAPARRIQLRSHCTKSPTESEPEHEDDGADDEDAAARSEHPAIIFQERLDKFRDDYRAALGLRKPPDMFRKEKYKIAVIMQKMYSSSSKILNADEKEMVSTVCRKARLALDLASEVMDVAAFGLGTTEISQRTADQMVRTYTTIFCEVANELYHNRVTMETILSFLDALGGLGAITHILVQDTVDKLHNGLLKKKITHDLDALSHKFDKEMNILKDNFKRETRIDGYKVL >ONIVA07G20330.1 pep chromosome:AWHD00000000:7:19334639:19336862:-1 gene:ONIVA07G20330 transcript:ONIVA07G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKISTVVLNVDLECDRCYKKIRRVLCRIQDKANIKTISYDEKNNAVMVSGPFDADKVCKKLCCKAGRIIKDMQVKGKENKGGKDAAGDKAKPAEKDGGGGKAEKKDAAGGDKAEKKDGGGKPEKEAAKADKAAAAAAKPEKVGKEAKPEKKVKFDVDDAPPPAAATAKPGKVQPFPAGMTQADLAPLLEKLKIAKQQQQAGPEPPRGEPIAPPMMMPAAQGVAVPSIWPAPAGSLSCYSYNPAAYDQSSYYGGGGYGYGGGAFQAPAGYYGVAPPPAAPYDHQGWYYGNRQPYYHQQQCYEDPNAGGCSVM >ONIVA07G20330.2 pep chromosome:AWHD00000000:7:19334639:19336507:-1 gene:ONIVA07G20330 transcript:ONIVA07G20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISTVVLNVDLECDRCYKKIRRVLCRIQDKANIKTISYDEKNNAVMVSGPFDADKVCKKLCCKAGRIIKDMQVKGKENKGGKDAAGDKAKPAEKDGGGGKAEKKDAAGGDKAEKKDGGGKPEKEAAKADKAAAAAAKPEKVGKEAKPEKKVKFDVDDAPPPAAATAKPGKVQPFPAGMTQADLAPLLEKLKIAKQQQQAGPEPPRGEPIAPPMMMPAAQGVAVPSIWPAPAGSLSCYSYNPAAYDQSSYYGGGGYGYGGGAFQAPAGYYGVAPPPAAPYDHQGWYYGNRQPYYHQQQCYEDPNAGGCSVM >ONIVA07G20320.1 pep chromosome:AWHD00000000:7:19331856:19332872:1 gene:ONIVA07G20320 transcript:ONIVA07G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAAVPSDRPPLPWRARLLVGAVSVLHSASLRRDGTVNRFLLSLFDRVVPPNPAPDAAGVASSDHAVSDDLRVRMFFPGAAARDGGGDHLPVVVYFHGGGFVFHSVASAQFDALCRRFASAIPAVVASVDFRLAPEHRFPAPYDDGEAALRWVLAGAGGALPSPPATVFVAGDSAGGNVAHHVVARTPSSVSGLIALQPFFAGETPTASEQRLRDAPFGSPERISWLWRAFLPPGATRDHEAANVPAALRRDAERRRAFPPTMVCVGGWDAHQDRQRDYANALRAAGGAEEVVVAEFPDAIHAFYIFDDLADSKRLLTEVTAFVNRRRAAAAAS >ONIVA07G20310.1 pep chromosome:AWHD00000000:7:19321125:19321799:-1 gene:ONIVA07G20310 transcript:ONIVA07G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNESGGPSNAGEYASGREQDRFLPIANVSRIMKRALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYIDPLKLYLHKFRELEGEKAIGAAGSGGGGAASSGGSGSGSGSHHHQDASRNNGGYGMYGGGGGMIMMMGQPMYGSPPASSAGYAQPPPPHHHHHQMVMGGKGAYGHGGGGGGGPSPSSGYGRQDRL >ONIVA07G20300.1 pep chromosome:AWHD00000000:7:19307421:19316149:1 gene:ONIVA07G20300 transcript:ONIVA07G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGPFRLASLTGPYHASVSARFARGTHATQPPPSSSPRQSPTPVRVPVPQLRRRRSGRSRSGAEPPMAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQSRAIHSSIAGRHVAIATSTSSGKSLCYNIPVLESLCQNLMACALYIFPTKALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLLITNPDMLHMSILPCHGQFQRILSNLRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFCSSLNSVMMTLKDKGCLINNPSGPFSSSMWSYIGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSMCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGVR >ONIVA07G20300.2 pep chromosome:AWHD00000000:7:19307421:19315473:1 gene:ONIVA07G20300 transcript:ONIVA07G20300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGPFRLASLTGPYHASVSARFARGTHATQPPPSSSPRQSPTPVRVPVPQLRRRRSGRSRSGAEPPMAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQSRAIHSSIAGRHVAIATSTSSGKSLCYNIPVLESLCQNLMACALYIFPTKALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLLITNPDMLHMSILPCHGQFQRILSNLRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFCSSLNSVMMTLKDKGCLINNPSGPFSSSMWSYIGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSMCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGEINQPQH >ONIVA07G20300.3 pep chromosome:AWHD00000000:7:19307421:19316149:1 gene:ONIVA07G20300 transcript:ONIVA07G20300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGPFRLASLTGPYHASVSARFARGTHATQPPPSSSPRQSPTPVRVPVPQLRRRRSGRSRSGAEPPMAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQSRAIHSSIAGRHVAIATSTSSGKSLCYNIPVLESLCQNLMACALYIFPTKALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLLITNPDMLHMSILPCHGQFQRILSNLRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFCSSLNSVMMTLKDKGCLINNPSGPFSSSMWSYIGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSMCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGVR >ONIVA07G20300.4 pep chromosome:AWHD00000000:7:19307421:19315473:1 gene:ONIVA07G20300 transcript:ONIVA07G20300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGPFRLASLTGPYHASVSARFARGTHATQPPPSSSPRQSPTPVRVPVPQLRRRRSGRSRSGAEPPMAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLLITNPDMLHMSILPCHGQFQRILSNLRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIARSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFCSSLNSVMMTLKDKGCLINNPSGPFSSSMWSYIGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSMCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGEINQPQH >ONIVA07G20300.5 pep chromosome:AWHD00000000:7:19307421:19316149:1 gene:ONIVA07G20300 transcript:ONIVA07G20300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGPFRLASLTGPYHASVSARFARGTHATQPPPSSSPRQSPTPVRVPVPQLRRRRSGRSRSGAEPPMAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLSFHFCNTILRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFCSSLNSVMMTLKDKGCLINNPSGPFSSSMWSYIGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSMCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGVR >ONIVA07G20300.6 pep chromosome:AWHD00000000:7:19307421:19315473:1 gene:ONIVA07G20300 transcript:ONIVA07G20300.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGPFRLASLTGPYHASVSARFARGTHATQPPPSSSPRQSPTPVRVPVPQLRRRRSGRSRSGAEPPMAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLSFHFCNTILRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFCSSLNSVMMTLKDKGCLINNPSGPFSSSMWSYIGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCRKADLKYYTKTRDYTDINVLGGEFAHLPPSMCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGEINQPQH >ONIVA07G20290.1 pep chromosome:AWHD00000000:7:19301339:19305826:-1 gene:ONIVA07G20290 transcript:ONIVA07G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3G9] MQPTSAAAGPVPFKDIAAVAVARRPVAEEYGDVVAALPSRLYPPQQRWREYQGTWFREAWVPGIVALQRRFEPRAGDVLLASLPKCGTTWLKALALAFATAARGVYPPAAAGGDGRHPLLRLNPHECVPFLEGIYLDGEEAKLDAAPTPRLMSTHASYPNLPASITEDDRCKIIYICRAARRSSPPRLTVSGFNSCSWSHGTNIPSTAALYLGGEWGRLSTDRSRFRPPANVPVRANSDHDWRSTTAMWPSPRRQPKDMAISLWHFMNCSKAKTSSLSDDQWESITMLLSDVWESIREGAYLGGPIWEHILGYWNTSKAKPDKVLFLKYEEVLHDPTKNIEKIAEFIGQPFSDAEKEAGIVESIIELCSFEKMKAFGTGSLHMMANEYPHESFFRKGVIGDWVNHVTPEMADSLDKFLSAKFYGSGFTFAE >ONIVA07G20280.1 pep chromosome:AWHD00000000:7:19288468:19289499:-1 gene:ONIVA07G20280 transcript:ONIVA07G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3G8] MAAAATGPVPFKDADDDGTFAAAEARSPLPKEEFGDLVAALPRKEQYLDGRLYEGFWLPEHYAPGIIAFRRRFTPRADDVVLASYPKCGTTWLKALAFAAMTRAAYPADEHPLLRLNPHDVIPFVEDVFTDGHEAKLDMLPSPRLINTHTPYQLLPESVVAGDGGGGCKVVYICRDPKDMVVSLYHFMRRLQPDLSLAGVVESVADGTVPFGPMWDHILGYWRASVSRPDRVLLLRYEDLLRDGAAGEHVRAMARFMGRPFSAAEEAASVVASVVELCSFERMKALEVNRRGTAGSYKSMPRDAFFRKGVAGDWANHMSPETAARLDGIFREKFRGTGLTIVP >ONIVA07G20270.1 pep chromosome:AWHD00000000:7:19284754:19284954:1 gene:ONIVA07G20270 transcript:ONIVA07G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGFVVGAAAAAVVGAAVSLLLWPVAAPVVMMKGPGAAGLLISRVAFEANPKLYYYLLRTAAAA >ONIVA07G20260.1 pep chromosome:AWHD00000000:7:19270880:19271113:-1 gene:ONIVA07G20260 transcript:ONIVA07G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPSMIAAVAVGVGSTAFLYLLWPASAPAAAMMKAPGAAGYVISRAAFLANPQVYFHLLRTVGAKAAAAAFAIAA >ONIVA07G20250.1 pep chromosome:AWHD00000000:7:19268383:19268994:1 gene:ONIVA07G20250 transcript:ONIVA07G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPHKSYPPLSLSLRECGTVASGGGDLGVALERRQRRAGEGRSGGGGDRDRRGRLAVGRKKTGHENGRVLATLSPVTVLSTTMLHALSAGHDAPASAVLPPRPRTRKGRLRLATATRVGIPSKMAASGGGYSCTCDGGCVEGGRSGAGGGGAAAADGRGRRAEPADNDATTRELGGICVEHSPAGCRGNRQGAIDEDWLDCG >ONIVA07G20240.1 pep chromosome:AWHD00000000:7:19261400:19264243:1 gene:ONIVA07G20240 transcript:ONIVA07G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTRSSSAMNMVGVSEFVGGQLNSAKSAVAAVASTVAAAAKPGLAAGVGFVKEQGVGKSALAVGGAAVAAYFLWPTAAVGGATMNAPGAAGYVISRAAFLANPKLYFHLLRTVGAKAAAAAFL >ONIVA07G20230.1 pep chromosome:AWHD00000000:7:19257442:19258029:1 gene:ONIVA07G20230 transcript:ONIVA07G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAMAGAPKGGTKPCHGVGGLARWACKRRRAPSGMDLSAGGATPSRRFPSSARYGGGVLQHNARTGNPTRLRGGEGVVVPLPGKLRRRK >ONIVA07G20220.1 pep chromosome:AWHD00000000:7:19240035:19245779:1 gene:ONIVA07G20220 transcript:ONIVA07G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDADVALIVFSTKGKLYEFSSHSSMEGILERYQRYSFDERAVLEPNTEDQENWGDEYGILKSKLDALQKSQRQLLGEQLDTLTTKELQQLEHQLEYSLKHIRSKKNQLLFESISELQKKEKSLKNQNNVLQKITTKAVLEVKPFCLHSSEQLMETEKEKNNAIINTNREEQNGATPSTSSPTPVTAPDPIPTTNNSQSQPRGSGESEAQPSPAQAGNSKLPPWMLRTSHT >ONIVA07G20210.1 pep chromosome:AWHD00000000:7:19225152:19228683:1 gene:ONIVA07G20210 transcript:ONIVA07G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:reversibly glycosylated polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT3G08900) TAIR;Acc:AT3G08900] MASSDAAAAQAATPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPKKTIRVPEGFDYELYNRDDINIIVQDGDPKKTIRVPEGFDYELYNRDDINRILGPRASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPLERNSRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSASLPKEADTVQKCYLELAKQVRAKLGKVDGYFNKLADSMVTWIEAWDQLNPPKGAVATANGTAKSK >ONIVA07G20200.1 pep chromosome:AWHD00000000:7:19220359:19220889:1 gene:ONIVA07G20200 transcript:ONIVA07G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNQRVYPLIVAMSLVGGMCVFQLTRNVFMNPDVRVNKSHRQSAVLENADEGEKYHHHAFRRFLGTQRPEVFPAINRFFAGPATVPKSDRQN >ONIVA07G20190.1 pep chromosome:AWHD00000000:7:19217451:19218786:1 gene:ONIVA07G20190 transcript:ONIVA07G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLKPDVYPLIAAMTFVTGLCTFQLTRNVFMNPDVRVNKNNRKSAVLENAEEGEKYHQHAFRRFLATQRPEVFPALNRFFAGPATVARSDRHD >ONIVA07G20180.1 pep chromosome:AWHD00000000:7:19214537:19215208:-1 gene:ONIVA07G20180 transcript:ONIVA07G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSLFHFLKGTYNSPNGERLVGGAQAVRMNAPRVGGSFAVWGGLFSAFDCTMVFMRQKEDPWNSIIAGAATGGFLSMRQGPGAAGRSALVGGMLLALIEGAGLMLNRVLATPPPLPVDDPNLPAVMGGGGGIPGIPQVPVAPPEVASSSGGGSGWFGSLFGKKEEEKKASPSGGKSEMLESFDTPSTPIPTFEYK >ONIVA07G20170.1 pep chromosome:AWHD00000000:7:19213619:19214143:-1 gene:ONIVA07G20170 transcript:ONIVA07G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQARPSMERDPCPGRILDDIGASFGMGAVGGSFFHFVKGLRNSPSGARFAGGMEGVRMNAPRVAGGFAVWCGLFSACDCALVSVRQKEDPYNSIIAGAATGGILAARQGLRAVARASLQGAVLLALVSSFGIMMNRLPDAGSMPVNKTETCKEPQMPETFDVPSTPPPSFEYK >ONIVA07G20160.1 pep chromosome:AWHD00000000:7:19207446:19209880:-1 gene:ONIVA07G20160 transcript:ONIVA07G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGSLLLLILAATLSVAVAYDPLDPNGNITIKWDVMSWTPDGYVAMVTINNYQTYRQIMAPGWTVGWTWARQEVIWSMVGAQATDQGDCSRFKANLPHCCRRTPAVVDLLPGVPYNQQIANCCRGGVLPAYGQGPSAAAAAFQVSVGQAGTTNRTVRLPRNFTLLGPGPGYTCGRARVVPSTVFLTADRRRKTQALSKLTTPSSSSPAPPLMMSCLCAVTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIVPCAKCACGCDAHKPCVRSERDGKRLAVTGKKHDANANAHGRGNGVAAAAMAAPLLQCTTHMCPVRVHWHVKLNYREYWRAKITIVNFNYRMNYTGWTLVAQHPNLDNITEVFSFDYKPVYFNDQLMEAGPHGNVQSEVLMRKDARTFTFRQGWAFPRKVYFNGDECQMPPPDSYPYLPNAAPPAAASLVGSAVAMAALVFFLMA >ONIVA07G20150.1 pep chromosome:AWHD00000000:7:19203348:19207286:1 gene:ONIVA07G20150 transcript:ONIVA07G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCLHSASIHPSIHPRADTDSDAQEISLTFTCKHIPINLSLARLSCLTSCCLLPPSSSSSSSSSRNTGVWGESDQVAAGCFFFFFSSSSSLAMALLLLRMGVSVALLVAFFSSLIPSSEAYDPLDPNGNITIKWDVLQWTPDGYVAVVSLYNYQQYRHIQSPGWKLGWVWAKKEIIWAMNGGQATEQGDCSKFKSNIPHCCKKDPEIVDLLPGTPYNMQIANCCKGGVLNSWAQDPANALASFQVSVGQAGTTNKTVRVPRNFTLKSPGPGYTCGSAKVVRPTKFFSQDGRRTTQAHMTWNVTCTYSQIVAQRSPTCCVSLSSFYNDTIVNCPTCSCGCQNNKPGSCVEGNSPYLASVVNTHNKDSLTPLVQCTSHMCPIRVHWHVKVNYKEYWRVKITVTNFNYRMNYSQWNLVTQHPSFDNLTTIFSFNYKSLNPYGVINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFKKDPKSFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASTRVMSSILLPFITIWTALTFLMVYA >ONIVA07G20140.1 pep chromosome:AWHD00000000:7:19198385:19199806:-1 gene:ONIVA07G20140 transcript:ONIVA07G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRSRLARRQRSGTVGHWGEKETVNWGLSGPMLRASGIQWDLRKVDLYESYNQFDWKIQWQKEGDSLARYLAVEKIPGGPYENLEVRRFKKAKNSEWNDFEYRFLGKKPSPNFELSKQELYARVEVPKENWIHATPSHFPSTPVDAVSPRLRTEPEDTTTDFGFGNDTDAGASGGGGSGFSWRRRRASGGRNLVRSGPHATTAVEDAAGERCSCSSSPRRSFAAARTSSRAPSARDADAAGRRRQRSRGPLCHLLLGCLGFLVACPDNTMVAESTPVRWLPLSASSTARLTWVAAKELDRGSSAVAARELSTGDRTGETPLGPAVTAPTCPPPVPAVVRCGGDEDETM >ONIVA07G20130.1 pep chromosome:AWHD00000000:7:19195744:19196833:1 gene:ONIVA07G20130 transcript:ONIVA07G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKCNGSRASSLVTLLLIAALLFPAVCYAHVEAKTVCQETEYGCTQEKCHQMCLGDGRTVASQYCRHYDTQCCCTYELQANDNDKMDDGRLHA >ONIVA07G20120.1 pep chromosome:AWHD00000000:7:19187846:19193507:1 gene:ONIVA07G20120 transcript:ONIVA07G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREISALYEPKRNNEIRIFESSDEMSTDLAEYISQVSEISVKERGYFAIALSGGPLVSFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHAESNYRITKEGFLSKVPILNGHVYSINDNATVEDAATDYEFVIRQLVKVRTIGVSESNDCPKFDLILLSMGSDGHVASLFPNHPSLELKDDWITYITDSPQPPPERITFTLPVINSASNIAIVTTGDDKSEAVHLAISDNADGPEAPSSLPARMVQPTDGKLVWFLDKSAASSLDAENDDAFEQHREY >ONIVA07G20110.1 pep chromosome:AWHD00000000:7:19179894:19183516:1 gene:ONIVA07G20110 transcript:ONIVA07G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60960) TAIR;Acc:AT5G60960] MWRRHLLRRLLPSPATAAAAAASPSPAIRLLSTAAPDPAPPATLASSLAGALSALSSTPPPATSPDAYFSLHFSDVRPTNALLAEALALAPPATSRAAAELFRFLVRRRSLHPSDSALAPVVRHLARRRDFPAVRSLVQEFPSALGHDTLDAYLLSLARAGRATDAVKVFDELPPHLRTRQALTSLVSSLSAEGWPSHAEGAVKKVANEIFPDDNICTLLVSGYANAGKLDHALRLIGETRRGGFQPGLDAYNAVLDCICRLCRKKDPLRMPAEAEKFLVDMEANGIPRDAGTFRVLITNLCKIRKTEDAMNLFRRMGEWGCSPDADTYLVLIKSLYQAARISEGDEMMTWMRSAGFGTKLDRKAYYGFIKILCGIERVEHAVKVFRMMKGYGHAPGTKSYSLLIEKLTRHNLGDRANALFREAVARGVTVTPGVYKIDKKYVKAKKEKKVKKRLTLPEKMRLKSKRLYKLRMSFVKKPKRRMVRLERMIMGRENQKEAIYNFEEQ >ONIVA07G20100.1 pep chromosome:AWHD00000000:7:19175462:19179184:1 gene:ONIVA07G20100 transcript:ONIVA07G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAAGQRASPLLAKNDGSSYGEESQSLLEEQEPQGTVFITVGAFLPSAPALCNTESCSSMNGTQHLVYFSGLYLTAIGCGGVRSALLPLGADQFNNDSSLDIQKRRNFFSLFYICVIFGVITSGTIVVWIQENVSWAIGYGVATACIALALIGFLVGTPIFRRHEPHGSPVRSVVQVIVASFRNLALELPADSSLLYEVRRKNTQKSEPKLAHTDDFRFLDKAAIMSDLSLDQDSCTSPWRICTVTQVEELKILIRLLPVWATGIFFCVGISQMHTTFIQQGTVMNTKIGSLSIPAASLYSFEVICVTFWVFLVNKVIIPVNRACFANGAEMTQLQRIGIGRFLMIFAMAIAAFLEMKRLESVQGGDQPLSIAWQLPQYFVIAGAECFTIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYFSSAIITLIARVTGTWHSPGWIPDDLNKGHLDYYYWCLAAISAVNFVVYIYFASKYKLKKAVIQV >ONIVA07G20100.2 pep chromosome:AWHD00000000:7:19175286:19179184:1 gene:ONIVA07G20100 transcript:ONIVA07G20100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAAGQRASPLLAKNDGSSYGEESQSLLEEQEPQGTVFITVGAFLPSAPALCNTESCSSMNGTQHLVYFSGLYLTAIGCGGVRSALLPLGADQFNNDSSLDIQKRRNFFSLFYICVIFGVITSGTIVVWIQENVSWAIGYGVATACIALALIGFLVGTPIFRRHEPHGSPVRSVVQVIVASFRNLALELPADSSLLYEVRRKNTQKSEPKLAHTDDFRFLDKAAIMSDLSLDQDSCTSPWRICTVTQVEELKILIRLLPVWATGIFFCVGISQMHTTFIQQGTVMNTKIGSLSIPAASLYSFEVICVTFWVFLVNKVIIPVNRACFANGAEMTQLQRIGIGRFLMIFAMAIAAFLEMKRLESVQGGDQPLSIAWQLPQYFVIAGAECFTIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYFSSAIITLIARVTGTWHSPGWIPDDLNKGHLDYYYWCLAAISAVNFVVYIYFASKYKLKKAVIQV >ONIVA07G20090.1 pep chromosome:AWHD00000000:7:19169117:19171152:1 gene:ONIVA07G20090 transcript:ONIVA07G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSMAAAQESSLLLFLLPTSAASVFPPLISVVVLAALLLWLSPGGPAWALSRCRGTPPPPGVAGGAASALSGPAAHRVLAGMSRAVEGGAAVMSLSVGLTRLVVASRPETAREILVSPAFGDRPVKDAARQLLFHRAMGFAPSGDAHWRGLRRVSAAHLFGPRRVAGSAPEREAIGARIVGDVASLMSRRGEVPLRRVLHAASLDHVMATVFGKRHGDLSIQDGELLEEMVTEGYDLLGKFNWADHLPLLRWLDLQGIRRRCNRLVQKVEVFVGKIIQEHKAKRAAGGVAVADGVLGDFVDVLLDLQGEEKMSDSDMIAVLWEMIFRGTDTVAILMEWVMARMVMHPEIQAKAQAEVDAAVGGRRGGVADGDVASLPYIQSIVKETLRMHPPGPLLSWARLAVHDARVGGHAVPAGTTAMVNMWAIAHDAAVWPEPEAFRPERFSEGEDVGVLGGDLRLAPFGAGRRVCPGRMLALATAHLWLAQLLHAFDWSPTAAGVDLSERLGMSLEMAAPLVCKAVARA >ONIVA07G20080.1 pep chromosome:AWHD00000000:7:19152014:19156578:1 gene:ONIVA07G20080 transcript:ONIVA07G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMSCISGQAPSASPPPVAKRRSSVSSRRGGGGGGAKAVAIDEEALAAAAALVLGQRSSFGGGGGGGGGAFERSASVRYAARRQQQQQGPPLPRSSSTRPRSLADPELHPQQLLAKDLNTKDLETNIIVLVHGGGFGAWCWYKTIALLEDSGFRVNAIDLTGSGIHSYDTNKISSLTQYAEPLTSYLKRLGDNEKVILVGHDFGGACISYAMEMFPSKVAKAVFLCAAMLKNGHSTLDMFQQQMDTNGTLQRAQEFVYSNGKEQPPTAINIEKSLLKHLLFNQSPSKDVSLASVSMRPIPFAPVLEKLVLTEEKYGSVRRFYVETTEDNAIPLHLQQGMCDMNPPEKVLRLKGSDHAPFFSKPQALHKTLVEIATMPPVKAS >ONIVA07G20070.1 pep chromosome:AWHD00000000:7:19137607:19139290:-1 gene:ONIVA07G20070 transcript:ONIVA07G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLRWWRRRATQQQWGEDLRAGSGGGAAGLGGEASLGRRFSCCLVPHSVNTSSALNFGTLTNVTELGAASTTLVAGDVDTYYSVVLDFVKAMQGEFRILTNSQLARIEYELLILNDLMCTSNRKQTEQASPDLTLEFGGSGGRAAVALKPENASVTVQEGTLCLAIMATTKQQPVSILGNLAQQNIHVGYDLDAGTVIFATADCAGSGRPTEQTSGGQARRRRGRWRRRGAGRGERGRRRRRRPWLLFSRPRRQRCRPRECVSAGQRRAKQAVEGGAGTTPKTASSRAGATGRLSLLKRRRRCRRLPYPVSSSPPPPPPPQPPPGPLRRSDPEVARAAAGCRTSSPSASRPAERREVRGRDEEGREEGGEITCHSDMWGLRGSHADSAVTLDKTGVKTTR >ONIVA07G20060.1 pep chromosome:AWHD00000000:7:19120333:19122586:1 gene:ONIVA07G20060 transcript:ONIVA07G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMHPICLSSSGASSPNPPPPPPPPSTLAGGISSSDSDSSPITSSRTSNCRAAQLRKPRSKQRLVLVELRLDLLLLLLLVSRKENGSWRCLVWNGLVFQTV >ONIVA07G20050.1 pep chromosome:AWHD00000000:7:19115679:19120430:-1 gene:ONIVA07G20050 transcript:ONIVA07G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARVLGGGGGGGGLGDEAPELERQMGCMAGIFQIFDRRQRLLTARRRRPPPKMLPPGPGHTLPRSSSNVAAQSSSTSKIVLEKTFSKSMTENSSLSIESSRASCSSSSCSSFSSLDGNKSIQQELPYINEQLFVQRPLKSSPSLKDPVMDTRSGQSNIGFRDIVKDSINRDTGGLTVKTSVKDARRNGQYKDSPRPLLLSKSMDGTYVIGIDRSTKVPANAVESSRRFPEQSRFSCDDRRLLRPVEAQENKKPSTRLKELPRLSLDSRKETLSSSSRQKTFSYRRTDDSLMDALRPQDSPGHRRASSVIAKLMGLEEAPNATGVLTVDSYEPERSPRPAEDTQKEHPVPSPRRFCQDPRESLPKDESPAMKTKPSPRILTESAPWRQQEKIATSSKASQCRDAEVRPRTASLYAYIERRGGGLEFLECNKDFRALRILEALHAKDAKRQNDGNGALTVAAQQAGDALNTSSRHFQPPIVVMKPARSTEKQPGVSLASVDPLAGFRNLRKLQARDAPCIGEHETSTNEKVHSRISRAQSKSDESASRASSPRPTGSSSPRTVQRKAESERRSRPPVSPKSPSKKSSEAASPGGRTRTKPSQGKNHRDNEVSKSPRSRISMVKEIDISIMDFQKPLASTPSHKGTPSVLASDQKINSLENAPSPISVLDTSYYHTRLSYSFKDGETHSSEECWNPNSLPDTPQSKTSSEVSQIKPENFEALIQKLEQLQSMNDEVANKKDHQYIYEILLASGLLHKELSFVAMPGQAWPSSCLINPELFLILEQTKPDFASADQTVTKSSKANTEKLHRRIVFDLVNEITAQKMNIHCSASQSAKSLQLRKYNGWRLFKDLCTEVDRLQSESSAIKCSEEDGDERMLLVEDPLNGIEDWSFDSESPSTVLEIERLIYKDLIDEVIWDEATGKMQGGQWNLKRQLSFSSTS >ONIVA07G20040.1 pep chromosome:AWHD00000000:7:19111222:19114040:1 gene:ONIVA07G20040 transcript:ONIVA07G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14050) TAIR;Acc:AT5G14050] MGRLQAHEKLVGFVVGCVGPLTSTRPFPSGKMSLISQNPIQKRRLETIEADDDSDEGLGSPVAAGAEDGNETKSEKRKKEKKRKKAKALEALEEKENKEREEMKLLESSLFGALYAPPQFGTEVEAVDPDKGVPSFFMDRSGGDGEDDLPVYDEGLSSEDEGDDMVGRERKPAWVDEEEVTEVDISKVARLRKLRKEGDERVISGKEYEARLRGQHAKLNRFAGWADLDHKAPLPGSSDNESDEEGRVDDILRNNDELVVKDNAKLLPDMLGFSRLVDANSQEPSSGPINSVQFHRNGQLMLVAGLDKHLRFFQIDGKRNPKIQSIFIEDCPVHKAAFLPDGSEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLEHFEISPDSKTIAFIGNEGYILLISSKTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLRTRKCIHKGTDEGSLAGISLCTSPDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTEIGEMKFNHDAQVLAISSRKERNGMRLVHVPSLTVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQNA >ONIVA07G20030.1 pep chromosome:AWHD00000000:7:19106455:19110103:-1 gene:ONIVA07G20030 transcript:ONIVA07G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVGESVAPLSPQTIGNAFVQQYYNVLHSSPGQVCKFYHDSSTLGRPDSNGTMTSVTTLTAINDEFLSTDFSSCLIKLENVDAQLSLNGGVHILVTGSIGHNGTMRHRFSQSFFLAPQESGGYFVLNDMLRYDSLQETLLTETNDSPQERLLTEINDSLPNHVDDNTHSVTFTSEPETSGNVNETADLELPSAENVNDNVENLPANDSSPEENVLVEACTEVVSSCAENIPAAAPAPAPRASTQKDVTKQSYASVVKVTKEGTPTPPVAKPKPKPKPKPTAKVTDNVEKAVSSPVKPTNAADTTSPNDKNVLVEQGYSVYVKHLPYECTAKDVEEKFRKFGAIRPGGIQVRHRQPDGFCFGFVEFESRQSMLAAIEASPVSIGSKASIVEEKRTTTRVVNGVTHIENNGNAWGGRFQQDNRGGGYRGDNFRGREAGFVNNGNYRDGDNMRNRFRNQNEYSGRGRGPQGNGYHQNGNGGGYHQNGNGYHQNGDGYHQNGNRYNQNGNRYHQNGDEYYQNGNGNGHRQNGSGYYHQNGNGYRQDRIFHNGNGNGRPARFNGPRQTPVQA >ONIVA07G20020.1 pep chromosome:AWHD00000000:7:19092895:19094396:1 gene:ONIVA07G20020 transcript:ONIVA07G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDGLQQPGMGSGFSPTRWVS >ONIVA07G20010.1 pep chromosome:AWHD00000000:7:19084800:19086785:-1 gene:ONIVA07G20010 transcript:ONIVA07G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRDFLGGFGGEVGGAAVAGEKGGGESDEIELSLGLSLGGCFGADLAREFKKPRLVRSSSIASICSLPGGGAGGDDVATAAPAPAPLMRTSSLPTETEEERWRRREMQSLKRLEAKRKRLERRNSMNSGRSAGGGGGGGGRDDGQDAMYPTGFQLRRSVVSQGSTSSCMPEQGVGVGAEAMDTSSSDNASCQNKPLPPTASLGGGGGGRPPANGSMKEQPPLRTLRSLTMRTTSTGDLRKSMVEDMPMVSSRVDGPNGRKIDGFLYKYRKGEEVRIVCVCHGNFLTPAEFVKHAGGGDVTNPLRHIVVNPSPSVFL >ONIVA07G20000.1 pep chromosome:AWHD00000000:7:19079158:19080648:-1 gene:ONIVA07G20000 transcript:ONIVA07G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPWVAMVVLVWCAASGGGVAAARPLVGGGGAVRTPKAPLQTSRPFNIAHRGSNGELPEETAAAYMRAIDEGADFIEADVTATKDGHLVCFHDTTLDATTDVADHPEFASRRRTLEVQWTNVTGFFITDFTLAELKTLRSKQRYAFRDRSYNGGESSRIITFDEFIDIAAGAASRVVGIYPEIKNPVFVNRQVRWRDGKKFEDKFVAALKRRGYGGRYMSPAWAARPVFIQSFAPTSLVYAAGLTDSPMVLLVDDTTVRTEDTSQSYDEVTSDEHLDYMREYVVGVGPWKDTDPYAEYDYWINDVGVDGLFTDFPASLRRYQEWTTAGRKG >ONIVA07G19990.1 pep chromosome:AWHD00000000:7:19072000:19075212:1 gene:ONIVA07G19990 transcript:ONIVA07G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNTQTLLTLFLLLLLAAAAAAADAGGGGEREALLRFKAGVASDPGGLLRGWTTAASPDHCAWPGVSCGGNGEVVALNVSSSPGRRLAGALSPAVAALRGLRVLALPSHALSGQLPAAIWSLRRLLVLDLSGNRLQGEIPPALACAGLQTLDLSYNQLNGSVPASLGALPGLRRLSLASNRLGGAIPDELGGAGCRSLQYLDLSGNLLVGGIPRSLGNCSKLEALLLSSNLLDDVIPPEIGWLRNLRALDVSRNSLSGSVPAELGGCVELSVLVLSNPYTPIGGSNSSDYGDVDDFNYFQGGIPDAVVALPKLRVLWAPRATLEGELPCNWSACQSLEMINLGENLFSGGIPNGLVECSHLKFLNLSSNKLTGAIDPSLTVPCMDVFDVSGNRFSGAMPVFEQKGCPSSQLPFDDLVSEYSSFFSYQALAGFRSSSFVLDTDLTSYHSFAQNNFTGPVKSLPLAADKLGMQGSYAFLADGNNIAGQLQPDLFSKCNSSRGFIVDVSNNLITGGIPVEIGSLCSSLVVLGVAGNQLSGLIPTSIGQLNYLISLDLSRNHLGGEIPTSVKNLPNLERLSLGHNFLNGTIPTEINQLYSLKVLDLSSNLLTGEIPGALADLRNLTALLLDNNKLTGKIPSAFAKSMSLTMFNLSFNNLSGPVPANSNTVRCDSVIGNPLLQSCHMYTLAVPSAAQQGRGLNSNDYNDTSSADSQNQGGSNSFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSSRSSRRREVITFQDIGVPITYETVVRATGSFNASNCIGSGGFGATYKAEISPGVLVAIKRLSVGRFQGVQQFHAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLPGGNLERFIQERSKRPVDWKMLHKIALDIAKALAYLHDTCVPRILHRDVKPSNILLDTEYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAREFFIDGLWDVGPHDDLVETLHLAVMCTVDSLSVRPTMKQVVQRLKQLQPPIREHR >ONIVA07G19980.1 pep chromosome:AWHD00000000:7:19062255:19064647:-1 gene:ONIVA07G19980 transcript:ONIVA07G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGRVVNALRRTSVSSNPSLLQAVRCMSSKLFVGGLSYATDDTTLKDVFSHYGDVLEARIIIDRDTGKSKGYGFITYTSSEEAAAAVTAMDGKDLQGRIVRVSTANDRAGGIRGGGGFGAGGYGSGGGYSSGGGYGTGEYGRGGGYAGNGGYGGRASEYGGYGAGGYSSSGGYNATSVPHGNAGGYGSSGVSHGNAGDYRSSDVYNTTNTYGAGNFSHSGGGFGENSGGYNSGQFGAAGHSNGGYSASNFSNASFGANSSVFSSGQSGATGRNNGGNSASNFSLGGSFGANNGGISSGQFGAVGHSNGGYSTSNFSRGSGFGANSGGSSNGQYGAAHGNNGSNFNHGGGFGANNGGYSSGQFGVAGVGNMVINRHILSKMLRQ >ONIVA07G19970.1 pep chromosome:AWHD00000000:7:19051750:19058227:1 gene:ONIVA07G19970 transcript:ONIVA07G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3D6] MAAATTAAAAARVGLLYDERMCAHATPDGEEHPENPERLRAIWRKLSADGVASRCMIMKAKEAEDKYIASVHSQNHIKLMRSISSKEYDSRRNKIARKFNSIYFNKGSSESAFLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHNEAMGFCLFNNVAIAADYLLNERTDLGIKKILIVDWDVHHGNGTQKMFYSDPRVLFFSVHRFDYGSFYPAEGDASYCFIGEGDGKGYNINVPWEHGKCGDADYIAAWDHVLLPVAEAFNPDIVLVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVSACAKVLLGDKFRFDTPDMQPFESSWRVIQAVRDELKTFWPILSNRLPENISLRSRPSQIELYSSGSDSEVEDLPDAIASVNIIQITDGIISESLSKLNLDEDKIATKTTSSNVMVEGPTDSVEPQNDGSAAVSTEGISSLSSTWRSELSKVYVWYASFGSNMWTPRFLCYIQGGKAEGMNIPCFGSHDTSPPRGSMWKTVPHRLFFGRSSTPCWGTGGVAFLNPEINHTENSYVCMYKITLEQFNDVLFQENRLVKENGESGKTESPDSPLIGLSEIEFVSRNKGVHLAPIKDSWYSNVLYLGEEDNLPILTMTCPSSDVERCRSGELPLCPPSKTYSATLIRGLMEGKHLDADAAAKLYEVWGAVLRTQERDTICTGQVRRCGVSCNGGREREEVWVWKDER >ONIVA07G19960.1 pep chromosome:AWHD00000000:7:19039788:19043835:-1 gene:ONIVA07G19960 transcript:ONIVA07G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLASSSSSSPALAPPRPSCRGRVRVAAASAGVNGRAGGGGGGGGFPGFLPAAVERIRDEPAIRLAKRIERTGFSQSPILSSCVRPLKQQQDRDPVLLLHGFDRYTYPLLEEAGLEAWAVDILGWGFSDLEKRPPGDVASKREHLYQFWRSYIRRPMVLVGPSLGAAVAIDFTVNYPEAVSKLIFISASVYAEGTRDMTRMPRFVPYAGVFLLKSLPLRYFATLLAFYKIPGGPAGLFDWVQIGRLHCLLPWWEDALVDFMMNGGYNVLNQINQVKHKCLILWGEEDGIISNKQAYRLHQELPDAILRQVRECGHIPHVEKPSEVLKHVLDFLGTERRPEKAEQGSSLPSTVGGDNNPVGGGSEAVPRRWKNWHRNILSRTSLLISCYSLLGC >ONIVA07G19960.2 pep chromosome:AWHD00000000:7:19039788:19043835:-1 gene:ONIVA07G19960 transcript:ONIVA07G19960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLASSSSSSPALAPPRPSCRGRVRVAAASAGVNGRAGGGGGGGGFPGFLPAAVERIRDEPAIRLAKRIERTGFSQSPILSSCVRPLKQQQDRDPVLLLHGFDRYTYPLLEEAGLEAWAVDILGWGFSDLEKRPPGDVASKREHLYQFWRSYIRRPMVLVGPSLGAAVAIDFTVNYPEAVSKLIFISASVYAEGTRDMTRMPRFVPYAGVFLLKSLPLRYFATLLAFYKIPGGPAGLFDWVQIGRLHCLLPWWEDALVDFMMNGGYNVLNQINQVKHKCLILWGEEDGIISNKQAYRLHQELPDAILRQVRECGHIPHVEKPSEVLKHVLDFLGTERRPEKAEQGSSLPSTVGRCFTVKLEFVLSTCSVFCKRGDNNPVGGGSEAVPRRWKNWHRNILSRTSLLISCYSLLGC >ONIVA07G19960.3 pep chromosome:AWHD00000000:7:19039788:19043835:-1 gene:ONIVA07G19960 transcript:ONIVA07G19960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLASSSSSSPALAPPRPSCRGRVRVAAASAGVNGRAGGGGGGGGFPGFLPAAVERIRDEPAIRLAKRIERTGFSQSPILSSCVRPLKQQQDRDPVLLLHGFDRCKLQHLNVSGYCLIEKRPPGDVASKREHLYQFWRSYIRRPMVLVGPSLGAAVAIDFTVNYPEAVSKLIFISASVYAEGTRDMTRMPRFVPYAGVFLLKSLPLRYFATLLAFYKIPGGPAGLFDWVQIGRLHCLLPWWEDALVDFMMNGGYNVLNQINQVKHKCLILWGEEDGIISNKQAYRLHQELPDAILRQVRECGHIPHVEKPSEVLKHVLDFLGTERRPEKAEQGSSLPSTVGRCFTVKLEFVLSTCSVFCKRGDNNPVGGGSEAVPRRWKNWHRNILSRTSLLISCYSLLGC >ONIVA07G19950.1 pep chromosome:AWHD00000000:7:19020604:19036067:1 gene:ONIVA07G19950 transcript:ONIVA07G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKVAASYMSASNSTSRSAAPGILLSSAVFFSSPVSNMVVSVTLSARTGSGPTTVATRLEIVSPHADSSTSDPPFPPAPPPKWLLFGTHHDVKEAMLHPPSRLLGDLVSEAPWTRWRGHARDIVNELPRVGVRTKSRPRGAGARWWSRCDPRTTDGCMGLRIRGNFGMGYFSVSIARIKSDRYS >ONIVA07G19940.1 pep chromosome:AWHD00000000:7:19018320:19039437:-1 gene:ONIVA07G19940 transcript:ONIVA07G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMHKGPKVHQPTPTLHTLAPSISRPTVKSLSSSFASCPHMRAYLKEFPVARVSFRKPPIVFGQKQERARPPKLHCTEGQEEKGIEDRRMSTVCVTGGAGHIATWLINKLLCRGCVVHATLRNLGDEKKTNLLMRMPGAEERLVLFEADMYDAATFEPAIAGCDFVFLIATPIHHDPRSTKGYYRSLSVSNSPSPCANPGHYIALVTLRVAEEMRPPPPPPPARAEQHGTGTVRVTRVKLLKPRDALLLGQAYRLITVDEYTSTTEAAVDATRIILQQCERSKTVKRIIHTASVTAASPLREDGGGGGYKDFINDCCWTPLNFSHRYSNALLDAYLSSKTLSEKELLRYNESERPAFEVVTLACALVGGDSIQPYHTLSIPVIVSPLTGRELSHGVLKFMQASLGSVPLVHVDDVCEAHIFCMEQPSIAGRFLCAAGYPNMQDYVDRFAAKYPEIEMKLKEVVGEGVRVKVDTNKLVDLGFKYKYEVDETLDHSTPPYLTGLEEEEIEQQQSIEERSCDTMSSSRPVCVTGGSGYIATCLIKKLLQRGCGVHATLRNLGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLLATPLIHDPLSTKYKNTTEAAVDAMHIILQQCERSKTVRRVIHTASVTAASPLREDGEGYKDFINESCWTPLDLSNRYSNVMMDAYVSSKTLTEKLLLRYNESESRAFEVVTLTCALIGGDTDTTQLYHSLSIPLIVSPLTGQELYHGGLKSLQALLGSVPLAHIDDICEAHIFCMEQQPSIAGRFLCAVGYPNMQDYVDRFAVKYPEIAIKLKGVIGKDVRVKADTNKLGDLGFKYKFTVEETLDSSLSQHNNAIKALATPRCRTTPQATQTRTEREAEEMTSSSSSRVCVTGASGYIATCLIKKLLQRGCVVQATLRNLGDEKKTAPLKELPGAVERLVLFEADMYDADTFEPAIAGCEFVFLLATPLHHDPRSTKYKNTTEAAVDAMRIILQQCERSKTVRRVIHTAPVTAASPLREDGGEGYKDFINESCWTPLDHSHSYNNTMVDDYSSSKTLTEKLLLRYNESESRAFEVVTLACALVGGDADTTQLYHSLSIPVIVSPLTGDESCHNTLKFLQALIGSVPLAHIEDICEAHIFCTEQPSIAGRFLCAVGYPSMQDYVDHFVTKYPEITMKLKEVVGKDVRVQADTNKLVDLGFKYKYAVDETLSCSVECAKRLGLL >ONIVA07G19940.2 pep chromosome:AWHD00000000:7:19018320:19039437:-1 gene:ONIVA07G19940 transcript:ONIVA07G19940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMHKGPKVHQPTPTLHTLAPSISRPTVKSLSSSFASCPHMRAYLKEFPVARVSFRKPPIVFGQKQERARPPKLHCTEGQEEKGIEDRRMSTVCVTGGAGHIATWLINKLLCRGCVVHATLRNLGDEKKTNLLMRMPGAEERLVLFEADMYDAATFEPAIAGCDFVFLIATPIHHDPRSTKGYYRSLSVSNSPSPCANPGHYIALVTLRVAEEMRPPPPPPPARAEQHGTGTVRVTRVKLLKPRDALLLGQAYRLITVDEYTSTTEAAVDATRIILQQCERSKTVKRIIHTASVTAASPLREDGGGGGYKDFINDCCWTPLNFSHRYSNALLDAYLSSKTLSEKELLRYNESERPAFEVVTLACALVGGDSIQPYHTLSIPVIVSPLTGRELSHGVLKFMQASLGSVPLVHVDDVCEAHIFCMEQPSIAGRFLCAAGYPNMQDYVDRFAAKYPEIEMKLKEVVGEGVRVKVDTNKLVDLGFKYKYEVDETLDHSTPPYLTGLEEEEIEQQQSIEERSCDTMSSSRPVCVTGGSGYIATCLIKKLLQRGCGVHATLRNLGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLLATPLIHDPLSTKYKNTTEAAVDAMHIILQQCERSKTVRRVIHTASVTAASPLREDGEGYKDFINESCWTPLDLSNRYSNVMMDVISYPFSAYVSSKTLTEKLLLRYNESESRAFEVVTLTCALIGGDTDTTQLYHSLSIPLIVSPLTGQELYHGGLKSLQALLGSVPLAHIDDICEAHIFCMEQQPSIAGRFLCAVGYPNMQDYVDRFAVKYPEIAIKLKGVIGKDVRVKADTNKLGDLGFKYKFTVEETLDSSLSQHNNAIKALATPRCRTTPQATQTRTEREAEEMTSSSSSRVCVTGASGYIATCLIKKLLQRGCVVQATLRNLGDEKKTAPLKELPGAVERLVLFEADMYDADTFEPAIAGCEFVFLLATPLHHDPRSTKYKNTTEAAVDAMRIILQQCERSKTVRRVIHTAPVTAASPLREDGGEGYKDFINESCWTPLDHSHSYNNTMVDDYSSSKTLTEKLLLRYNESESRAFEVVTLACALVGGDADTTQLYHSLSIPVIVSPLTGDESCHNTLKFLQALIGSVPLAHIEDICEAHIFCTEQPSIAGRFLCAVGYPSMQDYVDHFVTKYPEITMKLKEVVGKDVRVQADTNKLVDLGFKYKYAVDETLSCSVECAKRLGLL >ONIVA07G19930.1 pep chromosome:AWHD00000000:7:19013988:19015217:1 gene:ONIVA07G19930 transcript:ONIVA07G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMSMSWWWAGAIGAARKRHDGGGGEQQPPFRSVALVVGSTGIVGTSLVDILPLPDTPGGPWKVYALSRRPPPPWSPPPPAAVTHLCVDLADAAAVAEALAPLTDITHVFYVALAAPHLAEARSREANAGMLRNVLAAVVPTCPALAHVALQTGSKHYIGPPESIGKLAVETPFSEDMPRHDYPNFYYDQEDVLFDAVVSSSSSRRAGAAAVTWSVHRPSLIFGFSPRSAMNAVCSLCVYAAICRKERRELRWPGSLGAWEGFSNASDADLVAEQQIWAAVAGAAAKNEAFNCSNGDIYKWKQLWPVLAGKFGVEWAGYEGEERRVGLTAAMAGKEAVWAEIVAEEKLVATELGEVANWWFVDALFMDKWEFIDTMNKSKEHGFLGFRNTVRSFEAWIDKMKLYRIVP >ONIVA07G19920.1 pep chromosome:AWHD00000000:7:19001565:19002070:-1 gene:ONIVA07G19920 transcript:ONIVA07G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCGKSCRLRWLNYLHLGIKHSGYTDQEDRIICSLYNSMGSTYSLRAWTNRRWSIIASKLPGRTDNDVKNHHQPPPQQQHHHHHHHNHRVTGGGARVTLVSPPPTPQSQYASMQPSSASASSSGGNACSFGAATMYSPSPST >ONIVA07G19910.1 pep chromosome:AWHD00000000:7:18986079:18994791:-1 gene:ONIVA07G19910 transcript:ONIVA07G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVCVTGAAGYIATWLVKKLLGRGCVVHATLRDLGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLLATPLQHDPTSTKYKNNTEAAVDAMRVILQQCERSKTVRRVIHTASVTAASPLREDHSGGYKDFINESCWSPLNLTYDFTNAHLNGYVSSKSLSEKELLSYNSSSSPSPAFEVVTLACALVGGDTLQPCLWSSIPVIVSPLTGDELYHNALKFMQALLGSVPLAHIDDVCDAHVFCMDQPSIAGRFLCAAGYPNMKDYIDRFAAKVIGKGVRVQADTKKLVDLGFKYKYGVEETLDCSKREREREMSRVCVTGASGYIAAYLVKKLLERGCVVHGTLRNLGDEKKTAPLRELPGAAERLVLFEADMYDADTFEPAIAGCEFVFLVATPLHHDPTSTKYKNTAEATTDAMRIILNQCERSRTVRRVIHTGSVTAASPLREDGSGGGYKDFINESCWSPPNLTCDFTNDYLDGYVSSKTLSEKELLSYNGSSPSPAFEVVTLACAVVGGDTLQPCPWSSIPVILAPLTGDEPYHNSLKFLQALLGSVPLVHIEDACDAHVFFMDQPSIAGRFLCAAGYPNMKDCVDHFAAKFPDIEIKLKEVIGEGVRVQADTNKLVDLGFKYRYGVEETLDSSVECAKRLGEL >ONIVA07G19910.2 pep chromosome:AWHD00000000:7:18986079:18994791:-1 gene:ONIVA07G19910 transcript:ONIVA07G19910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVCVTGAAGYIATWLVKKLLGRGCVVHATLRDLGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLLATPLQHDPTSTKYKNTAEATTDAMRIILNQCERSRTVRRVIHTGSVTAASPLREDGSGGGYKDFINESCWSPPNLTCDFTNDYLDGYVSSKTLSEKELLSYNGSSPSPAFEVVTLACAVVGGDTLQPCPWSSIPVILAPLTGDEPYHNSLKFLQALLGSVPLVHIEDACDAHVFFMDQPSIAGRFLCAAGYPNMKDCVDHFAAKFPDIEIKLKEVIGEGVRVQADTNKLVDLGFKYRYGVEETLDSSVECAKRLGEL >ONIVA07G19900.1 pep chromosome:AWHD00000000:7:18983556:18984527:-1 gene:ONIVA07G19900 transcript:ONIVA07G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSSRHADPLEEVEMNSASETEDDDDCRYVIQSRRDNDNDDEEGMRPATACKRRRRLDDILALLPSPPTLSTSSGSEGTISDRDHGGDVIRAGDDADPVAARQEASFPCHLCHKEFGSRKAVHGHMRVHHAENEKVPLPPPPPPAAMPVLPQARLPAPGASRSGGPYKCKYEGCNMEYKTHQGLGGHVAGHINRDKMATASGSGGAGKPEGKHPCNVCGKEYPTGVALGGHKRKHYRKDLDLTLSLAPPGELAAPPTPAPAPAAIAAVEAEAEVAEDGDGGEPVPTTLSTGARRNVVVRIWGVDVEKPVDDVEEQDGGSN >ONIVA07G19890.1 pep chromosome:AWHD00000000:7:18970199:18978415:-1 gene:ONIVA07G19890 transcript:ONIVA07G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQKRVKLDILEEIMSSQSDSALNDTDSGSQSEDIPDNNVLDSDYDGIDGLNDISKDDLLKSLKKNLTKRKRVEFSDDESDFKIKEALRDAKTGYIFTRFSVKYFSKVISALSVKQEEVIGRSCFASLLQFDRCFVPNHFTSWIANHVDVKTCDIIVNDRVIPMSKESVNIILGLPVGGTKISSNFEAGKKKFLEVFDLTTMPPVKFFGDKLIQQEDMTDDQILFCFIIVSLNYFPCPNSCSVQSRKYPSVFEDIEAIDNFDWSKLIFDWLMDHLKKLNRSKSLGGCFFCLASDVLNFGLRKLPCDIPRINVWKGNMIKEFSSFDQKSKGIYGRRPVLDFSDTCYKKIGSNCPKVEEKKSHSSFKEMLESSVGSMLSSEIKENICNLLIQHFGNEEDMVDDRPKKFVIDVLVLLANESTKVSTDNDNSTSAVNDKLGNGDQSTQLDKCDHNQCNLAEEQGNSVAVSVKENLDRNLENNSDVIQDEQPCDHTPPHVFEKSNFAKFNDRKPNLSHDDMPKFQIWDSEDDVDALDNEEFTPICYFKKSSIVPDSFSPNSNEVVVKQKDSPDCVILGERKFSDKISNLTNQTNFMYNNLNKFHNQDQYKSYTSPEKILCNVDNSVGSSYDCEPQKALRRILIPAKYCTDPYTPQRHSFPVSQYQRHIFNAVCKLSSRKLLLMLTMSIASLQLLEDVLNMEQNYQILYFLYFVGTCSNNPIPQNPKGTTSSLLLGLDELLKHHSTTDFKNVKKCFDGAGYARPVDTFDMLFFPILHQKHWFLFIADLKDKNFVFIDSLFDEDHEYQVNASSRLIANFRTVWNKFVPNHPINFQQFKTIYPPHPKQTNRVDCGIFMLKCMELWAPRILLPNMFSQKDIPNIRIQYVNQLFFHPNNSVLNAPTKTLVTEYSEVQNMIIFSLQIYYLCYCKVFKIFEYLFL >ONIVA07G19880.1 pep chromosome:AWHD00000000:7:18961027:18961684:-1 gene:ONIVA07G19880 transcript:ONIVA07G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0I3C3] MAMGFRSGPKKPVSRSVKAGLQFPVGRIGCYLKKGRYTQRVGIGAPVYLAAKASPIVYLFLTNPSNPVLELAGNVAWDNKKNRIIPRHVLLAIRNDDELGKLLAGVTIAHGGVLPNINPVLLPKEDRREIRQAGQVPQQAGAQKVTTFSLGYVLMLEQPTWIAMVTLMRV >ONIVA07G19870.1 pep chromosome:AWHD00000000:7:18956082:18959899:1 gene:ONIVA07G19870 transcript:ONIVA07G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAMVVVALVLLMSSSLVASDWCVCRSDQPQAALQKTIDYACGAGADCNSIHEQGQCFNPNTVVAHCSWAANSYFQRNRAMGATCDFTGTATLTTSDPSVSGCSFPASASAAGTSTTPTMGGTTGTMTPGTFTPGTGMGTTTGTGMGTGTTTGTGLGGLGPTGTSSMDTAAAGLHLRAGLATFCAVLLLLVAIA >ONIVA07G19860.1 pep chromosome:AWHD00000000:7:18946466:18950331:-1 gene:ONIVA07G19860 transcript:ONIVA07G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15550) TAIR;Acc:AT5G15550] MDSGGASDPSRQVRVRFVTKLPAPLRAPPTSIAVPADLTRMGLSEIVNSLLLAASPDHQAQPFDFLVDGELVRLPLQEFLHAKGISVERVLELEYVKAVAPRKQEDPCPHDDWVSAVDGSNPRIWRDASECTHILEGHSDGITSARFINKGETEDRLHVVTASKDRSLCLFKFDTSVSIPKQIGAYKILRGHTSSVQSVAVDPSTNMICSGSWDNSIKLWSVEGSEEDGDTVSVKKRRTNSDSSGPEESQFQGSATSTFLGHTQCVSAVTWPERQTIYSASWDHSVRQWDVQTGKETWNMVSGKALNCLDCGGESSSLIAAGGSDPVLRVWDPRKPGTLAPIFQFSSHKSWISACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVESHKDKVLCADWWKGDSVISGGADSKLCIASGIEIVLSY >ONIVA07G19860.2 pep chromosome:AWHD00000000:7:18946279:18950331:-1 gene:ONIVA07G19860 transcript:ONIVA07G19860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15550) TAIR;Acc:AT5G15550] MDSGGASDPSRQVRVRFVTKLPAPLRAPPTSIAVPADLTRMGLSEIVNSLLLAASPDHQAQPFDFLVDGELVRLPLQEFLHAKGISVERVLELEYVKAVAPRKQEDPCPHDDWVSAVDGSNPRIWRDASECTHILEGHSDGITSARFINKGETEDRLHVVTASKDRSLCLFKFDTSVSIPKQIGAYKILRGHTSSVQSVAVDPSTNMICSGSWDNSIKLWSVEGSEEDGDTVSVKKRRTNSDSSGPEESQFQGSATSTFLGHTQCVSAVTWPERQTIYSASWDHSVRQWDVQTGKETWNMVSGKALNCLDCGGESSSLIAAGGSDPVLRVWDPRKPGTLAPIFQFSSHKSWISACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVESHKDKVLCADWWKGDSVISGGADSKLCIASGIEIVISQATRN >ONIVA07G19860.3 pep chromosome:AWHD00000000:7:18946835:18950331:-1 gene:ONIVA07G19860 transcript:ONIVA07G19860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15550) TAIR;Acc:AT5G15550] MDSGGASDPSRQVRVRFVTKLPAPLRAPPTSIAVPADLTRMGLSEIVNSLLLAASPDHQAQPFDFLVDGELVRLPLQEFLHAKGISVERVLELEYVKAVAPRKQEDPCPHDDWVSAVDGSNPRIWRDASECTHILEGHSDGITSARFINKGETEDRLHVVTASKDRSLCLFKFDTSVSIPKQIGAYKILRGHTSSVQSVAVDPSTNMICSGSWDNSIKLWSVEGSEEDGDTVSVKKRRTNSDSSGPEESQFQGSATSTFLGHTQCVSAVTWPERQTIYSASWDHSVRQWDVQTGKETWNMVSGKALNCLDCGGESSSLIAAGGSDPVLRVWDPRKPGTLAPIFQFSSHKSWISACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVESHKDKVLCADWWKGDSVISGGADSKLCIASGIEIV >ONIVA07G19850.1 pep chromosome:AWHD00000000:7:18943911:18945161:1 gene:ONIVA07G19850 transcript:ONIVA07G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT5G61930) TAIR;Acc:AT5G61930] MPPSGLFPRRALAEGAGALGRSLPLVGGGSWTRLVHGGGGGSEAEGEEFPYADVPRPGRKWERKPYVTPMKVLIRRAKEERRARRENPCRVLERPPENGLLVPGLVGVAHQVHGAWESLLRGLTRLVEGGTAGAVKRCRFCPEVHVGVAGHDIRTCEGPGSAARNALHVWRPGTARDVVGFPYCYHLFDRVGKPRVSHKEKYDVPRLPAILELCIQAGVDVERYPAKRRTRPVYSIEGRIVDFEPDDDEDDSAGDTGPSPPSLAADEAGEEMTMSELGARTLQSWLDMRAGAARLMGKYGVVTCGYCPEVQVGPRGHKVRMCKASKHQQRDGQHAWQEATVDDLVRPNYVWHVPSTGHGGDGGAPSLANELKRYYGKAPAVVELCVRAGAPVPAQYRSMMRLDVVPPARDEHDLVA >ONIVA07G19840.1 pep chromosome:AWHD00000000:7:18923483:18932878:1 gene:ONIVA07G19840 transcript:ONIVA07G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPSDTTSQPPLLDSALAALDDASRAVTDTVRTSFIPFENVDVDPATATAVANVTATCATKAAYDALYTAVAAFIAPTSSVTLHFVPLHFGIYLDVVTLHFGIYLDVVTLHFGVHLNVVGYRHHVAADHDLASSVPGVFVCRRPSSQRSCGMRLLQPGCHARPVSRPAPAPSRSAPAPSCAAPAPFSAKGEGRRCANLTIRQKGDRAGRGKATEVMGNLAGWTYDTTIHMVSEILTRLDLPSVDGGYP >ONIVA07G19840.2 pep chromosome:AWHD00000000:7:18923483:18932775:1 gene:ONIVA07G19840 transcript:ONIVA07G19840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPSDTTSQPPLLDSALAALDDASRAVTDTVRTSFIPFENVDVDPATATAVANVTATCATKAAYDALYTAVAAFIAPTSSVTLHFVPLHFGIYLDVVTLHFGIYLDVVTLHFGVHLNVVGYRHHVAADHDLASSVPGVFVCRRPSSQRSCGMRLLQPGCHARPVSRPAPAPSRSAPAPSCAAPAPQQR >ONIVA07G19830.1 pep chromosome:AWHD00000000:7:18919422:18920051:-1 gene:ONIVA07G19830 transcript:ONIVA07G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNMRQINLSQETKLLCWEYKLVRCPWAHQGTTVIANLEDHLTVMEGYHLQHAVAGAAEGLGADIVACLLGITDGGMEFYISIEPNF >ONIVA07G19820.1 pep chromosome:AWHD00000000:7:18918114:18918536:-1 gene:ONIVA07G19820 transcript:ONIVA07G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAAGIEVVRWCGGGQRASGSEASRGLGKTSPPVQCAVTAAAAAEGNDLMGSGGLRRRRRIGKTLSPAAAVGDDVGSGRRMGTTSSPPAAGRGGWGSSSGGEDLASRSVRAGGGRGRRREE >ONIVA07G19810.1 pep chromosome:AWHD00000000:7:18914129:18917863:1 gene:ONIVA07G19810 transcript:ONIVA07G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVGAKSPPAASHPASFLLCSLLIFLSCNTITLSSAQPSNRSATDLKALLCFKKSITNDPEGAFSSWNRSLHFCRWNGVRCGRTSPAQVVSINLTSKELSGVLPDCIGNLTSLQSLLLARNNLEGTIPESLARSLSLIELNLSRNNLSGEIPPNFFNGSSKLVTVDLQTNSFVGEIPLPRNMATLRFLGLTGNLLSGRIPPSLANISSLSSILLGQNKLSGPIPESFGQIANLSMLDLSANMLSGYVPAKLYNKSSLEFFDIGSNKLSGQIPSDIGHKLPNLKLLIMSMNLFDGSIPSSLGNASNLQILDLSNNSLSGSVPKLGSLRNLDRLILGSNRLEAEDWTFIASLTNCTQLLELSMDGNNLNGSLPKSIGNLSTHLETLRFGGNQISGIIPDEIGNFINLTRLEIHSNMLSGKIPWTIGNLRKLFILNLSMNKLSGQILSSIGNLSQLAQLYLDNNSLSGNIPVNIGQCKRLNMLNLSMNNLGGSIPVELVKISSLSLGLDLSNNKLSGSIPQEVGTLSNLVLLNFSNNQLSGEIPSSLGQCVLLLSLNMEGNNLSGIIPESLNELKAIQQIDLSNNNLIGQVPLFFENLTSLAHLDLSYNKFEGPVPTGGIFQKPKSVNLEGNEGLCALISIFALPICTTSPAKRKINTRLLLILFPPITIALFSIICIIFTLIKGSTVEQSSNYKETMKKVSYGDILKATSWFSQVNKINSSRTGSVYIGRFEFETDLVAIKVFHLDAQGAHDSFFTECEVLKRTRHRNLVKAITLCSTVDFDNNEFKALVYEFMANGSLEMFVHPKLYQGSPKRVLTLGQRISIAADVASALDYLHNQLVPPMIHCDLKPSNILLDYDMTSRIGDFGSAKFLSSNCTRPEGFVGFGGTIGYIPPEYGMGCKISTGGDVYSFGVLLLEMFTAKRPTDTRFGSDLSLHKYVDSAFPNTIGEVLDPHMPRDEKVVHDLWMQSFIQPMIEIGLLCSKESPKDRPGMREVCAKIASIKQEFDKTM >ONIVA07G19800.1 pep chromosome:AWHD00000000:7:18886113:18890274:1 gene:ONIVA07G19800 transcript:ONIVA07G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESLNFCSWRGVTCSSSYPSRVVHLELNSSHLTGRISGCIGNLTSLSQINLTDNHLSGAIPDELGKLLVLRTLLLAANNLQGDIPDSLGTSLSLSYVNLANNTLTGVIPDSLASSPSLSMLILSRNNLSGQIPAKLFSNSSKLTKIDLQMNSLSGPIPAFENNKLAGLIPMTLSHIPELIELDLSYNSLVGFVPLSLYNMSSLTYFSLGNNRLVGQIPSDIGNSLPKLQILKFQNSKFEGQIPTSLSNATNLIQLDLSNNLMHGSIPSLGLLANLNQVRLGKNSLEADHWAFLASMENCTELIELSLQWNLLDGILPSSVGNISTNLQALVLRGNQISGRIPSTIGKLHNLYILDLSINKLSGQIPSTIGNISHLGHFFLDDNNLSGNIPISIWQCTELLELNFSINDLSGLIPSDLSSSPFYSRGSTLLVVDFSHNNLTGQIPESFGSNNMQQVNLSRNELSGPVPEFFRRMTMLELLDLSYNNFEGPIPTDCFFPKRECLPPLTILLCLVSWVLVTLWKRRVFSFSQCSDLFKRCNYVLNWCSGMPSMLCLPQPKRRRVPIPPSNNGTLKKVSYSDIIKATNWFSSNHKISSTQTGSIYVGRFKSEKRLVAIKVFNLNQPGAYESYFIECEVLRSTRHRNIMRPLTLCSTLDHENHEFKALIFKFMVNGSLERWLHSEQHNGIPDRVLCLGQRISIATDVATALDYIHNHVMPPLVHCDLKPSNILLDVDITALLGDFGSAKFLFPDLVSPESLADIGRTIGYIAPGKQPTDDTFADGVSIHNFVDSMFPDRVAEILDPYMTHEEHQVYTAEWLEACIKPLVALGLSCSMVSPKDRPGMQDVCAKLCAVKETFLQFGDFTL >ONIVA07G19790.1 pep chromosome:AWHD00000000:7:18860043:18865254:1 gene:ONIVA07G19790 transcript:ONIVA07G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHRVMSLLLVLVIGCCAWGCRPGAAQVPVPARTDGFVYGGKAPALGETVVVEAYFDPVCPDSRDAWPELKKAVEHYASRVTVVVHLFPLPYHSNAFISCRSIHAVNKINPSFVYPLLERFFKYQIIIDQEGYYNQPTYGKTRATVDAEVAKNLVAPVIGEANLAAYKAGFNDSKSDQATRISFKAPKKL >ONIVA07G19790.2 pep chromosome:AWHD00000000:7:18860043:18865254:1 gene:ONIVA07G19790 transcript:ONIVA07G19790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHRVMSLLLVLVIGCCAWGCRPGAAQVPVPARTDGFVYGGKAPALGETVVVEAYFDPVCPDSRDAWPELKKAVEHYASRVTVVVHLFPLPYHSNAFISCRSIHAVNKINPSFVYPLLERFFKYQEGYYNQPTYGKTRATVDAEVAKNLVAPVIGEANLAAYKAGFNDSKSDQATRISFKAPKKL >ONIVA07G19780.1 pep chromosome:AWHD00000000:7:18836024:18841609:1 gene:ONIVA07G19780 transcript:ONIVA07G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLYNRHRKGAHKRYHKLCWLWCYQAYSGRVPIRDITNYAGFGATQAYSGTVILKGLLWVLEVLIHCFDQ >ONIVA07G19780.2 pep chromosome:AWHD00000000:7:18836024:18841609:1 gene:ONIVA07G19780 transcript:ONIVA07G19780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLYNRHRKGAHKRYHKLCWLWCYQAYSGRVPIRDITNYAGFGATQAYSGTVILKGLLWVLEVLIHCFDQ >ONIVA07G19780.3 pep chromosome:AWHD00000000:7:18836024:18841609:1 gene:ONIVA07G19780 transcript:ONIVA07G19780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLYNRHRKGAHKRYHKLCWLWCYQAYSGRVPIRDITNYAGFGATQAYSGTVILKGLLWVLEVLIHCFDQ >ONIVA07G19770.1 pep chromosome:AWHD00000000:7:18833421:18834983:-1 gene:ONIVA07G19770 transcript:ONIVA07G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAPLLSPASDDRFWDRLRTRVDTILEDRRLVAPPAATCGVESERGKRLREDSLMLVRGLDSVAASLAQLSDTLTAAQKGVNALATCSSQARECERGDEEEEPKAKRRCSLAETVSFDGETPVAGADSGEGSEKEELAADAKLRQENGGIQATSEVAKSTNLKRARNLAVSMAGRAAALARELKNIKSELHFMQERCGLLEEENKRLREGYDNGVPPEEDDLVRLQLEALLAEKSRLAQENANLSRENQSLIQLVEYHQLTSQDLAESYEDVMEGMRLDFSSPLGKIDSDEEGERENRRVAVTPSDKLEALGSSDE >ONIVA07G19760.1 pep chromosome:AWHD00000000:7:18825623:18832636:1 gene:ONIVA07G19760 transcript:ONIVA07G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQLLLHPLLLLLVVGGVAGGGGGGGRLRAPSLEFHHRFSSPVQRWAEARGHVLPGGWPEHGSADYVAALNGHDRRRALSAAGGDGGGGGDKPPPLTFSEGNATLKVSNLGFLHYALVTVGTPGQTFMVALDTGSDLFWLPCQCDGCTPPASAASGSASFYIPSMSSTSQAVPCNSQFCELRKECSTTSQCPYKMVYVSADTSSSGFLVEDVLYLSTEDAIPQILKAQILFGCGQVQTGSFLDAAAPNGLFGLGIDMISIPSILAQKGLTSNSFAMCFSRDGIGRISFGDQGSSDQEETPLDVNPQHPTYTISISEITVGNSLTDLEFSTIFDTGTSFTYLADPAYTYITQSFHAQVHANRHAADSRIPFEYCYDLSSSEDRIQTPSISLRTVGGSVFPVIDEGQVISIQQHEYVYCLAIVKSAKLNIIGRYDTDSSNPLSINSRNSSGFSPSAPENYAPEETKGGNPASVTQLRPLSNSNPVMWYDSLVFRFLLVNLAALILF >ONIVA07G19750.1 pep chromosome:AWHD00000000:7:18793860:18794201:-1 gene:ONIVA07G19750 transcript:ONIVA07G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIAVSLLLAALLACAAASGVAARRLEEEETSSTTAPAEEEDQEEPNHPVAVFPELPVPEHELPPLPKVVGLPPLFPEAHLPPFPEVDLPPKPEFPGVPEFHFPAEQEAKP >ONIVA07G19740.1 pep chromosome:AWHD00000000:7:18786740:18793746:-1 gene:ONIVA07G19740 transcript:ONIVA07G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAMAARKIAMPTSSLLLLLLAAALLLTGDAARILQEAAWPPYDYPKPDDQPPPLLPTPDVVPNPNQPAPLQPTPVTQSSDSIAPLQIVTVES >ONIVA07G19730.1 pep chromosome:AWHD00000000:7:18786292:18786726:-1 gene:ONIVA07G19730 transcript:ONIVA07G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSSMSSSFLFLMALLLSCSSMSTAARYLEEEYPQLPKPELSPHPAMPELPKPELPAHPEVPELPHGAAVPELPKPEMPKVPELPHPEVPELPKLPELPHPEVPELPKPELPEHPVVPELPKPELPPLPKPEFHFPEPEAKP >ONIVA07G19720.1 pep chromosome:AWHD00000000:7:18784811:18785032:-1 gene:ONIVA07G19720 transcript:ONIVA07G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIVLVAVLLLSCSSMGSSARYLEEATPADERPAHLAVPELPEIPKPELPPLPKVELPPKAEIYFPDATAKP >ONIVA07G19710.1 pep chromosome:AWHD00000000:7:18777140:18777550:-1 gene:ONIVA07G19710 transcript:ONIVA07G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNFMSSSLLFLMALLLSWSSISSAARYLEEEAAPKEEYPELPKPELPHGAAVPEFPKVPELPHPEVPELPKVPELPHPKVPELPKVPELPKPELPEHPAVPELPKPELPSLPKVELPPLPKPEFHFPEPEAKP >ONIVA07G19700.1 pep chromosome:AWHD00000000:7:18774673:18775023:-1 gene:ONIVA07G19700 transcript:ONIVA07G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHTKPSILLLAAALLLLSCSSIGGAARYLEEAAPAAAAEEHPAHPAVPELPKPELPELPKVPELPHPVVPELPKPELPKPELPEIPKSELPPLPKFELPPKPEFHFPEPEAKP >ONIVA07G19690.1 pep chromosome:AWHD00000000:7:18771432:18772112:-1 gene:ONIVA07G19690 transcript:ONIVA07G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNKNAMSSSVLFLAALLLLSCSSMSSAARWLEEEYPPHPAVPELPKHEEPPHPVVPELPKHEEPPHPAVVPEVPKHEEPPHPVVPELPKPEVPHAAVPELPKPELPPHPAVPELPKHEEPPHPVVPELPKHEEPPHPVVPELPKHEEPPHPVVPELPKHEEPPHPVVPELPKHEEPPHPVVPELPKHEEPPHPVVPELPKPEVPEHELPPKPESHYPEVPTAKP >ONIVA07G19680.1 pep chromosome:AWHD00000000:7:18761429:18771301:-1 gene:ONIVA07G19680 transcript:ONIVA07G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDVSVVYVLRWPHHPVVLVLGGYSMISKNTMSSSVLLLVALLLSCSSMSSEARRLEEAYPAHPAVPELPKPELPPHPVAPELPKHEEPPPHPHPAVPELPKPELPPPHPAARRLEEAYPAHPAVPEPKPELPPPHPAVPELPKHEEPLPKPELPPHPAVPELPKHEEPPHPVVPELLPKPEVPHPAVPELPKPEVPHLAVPELPKHEEPPHPVVPELPKPVVPELPRPEVPHPAAVPELPKPEVPHPTVPEHEQPPKPEKAPHYPAVPEMPKPELPELPKPELPPPLRELPHPVVPELPPHPAVPEVPKLPELPPLPKPELPPHPVVPEMLPHPVVPELPHYPAVPGFPKHGLPPKPELPPEAEVHDPEPEAKQP >ONIVA07G19670.1 pep chromosome:AWHD00000000:7:18750387:18756709:-1 gene:ONIVA07G19670 transcript:ONIVA07G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPLPRFLLLLPLSRRRRRLLSTAAEAAAAPAPAPAPGRPTDPSLLLRLCTVLYQHQHAPEDALRRRLSALPLPSAAPDDLRELFLQASARFPLSWRPVRRLLDHLTAAHGFAHSPATAARFLDVLAKSRNVDLLHSTLLSFPPALRSPAALRAAIRGLVPAREVGKVSSLLSLFTDADRPRTLTFITDVVCSVCKLPDVAEKVIKQAEHRYGVSRTGRCCELLVVGYCRAGMLSDACRVWNGMERRGLDPGAAAYEEIVVTLFKNNRVADAMKMFDGMRRRGVSDGCRGGCYRAVVSWLCKEGRMWGAYMVFAEMFKRGVEVDGEVMGDLVYGLLVRRRVREGYRVFHGVKEKDIALYHGLMKGLIRIKRAREATEVFREMVATGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLEATKFVERTMWGGVDVPRFDYNRFLYYFSNEEGVSMFEEVGRRLKDVGHVDLGDIFLTYGERMATRDRRRRAMNGLLTEMEHAVVSAAEGAIHTLLGKLGTIVLQEAQLLGGIRGELQHLKDELESMTAFLQDLSGRDECGKQVKIWKKHVREIAYDIEDCIDEFKHQLGDSSSAGGSGPVVFFRKVTHILQTTRVRHQIAKQIQELKRRTMNISARNSRYSAKHLISGTAGNSMAAYDSQANLLNVDTRITALFPERRQLVGIEPRQGNLVHWLLEAHVQQLRVVSIFGFGGLGKTTLAMTTYQSLSGRNGPFQCQAFVTVSQSFDVKVLMRDILLQITQPVNQPSSPSTGAGKGPMEGLLKGMETWNVVQLASILRQQLDNKRYLIVLDDIWSMNAWEGIRFSLPDSNNGSRIVVTTRIRAVAHTCCFHEYDRAYEIKPLTDCESRDLFFKRIFGSSICPEHLEDISAKILGKCGGTPLSIVSIAGLLASKPVQSKDLWEKIYSSLGSEIETNPSLDRLKKILELSYNDLPYHLKTCFLYLSIYPEDHNIRRKTILRRWIAERFVTGKRGLSVFEVAESYFDEFINRSIIQPVTTSFTGKVKTFRVHDVMLEIIVSKSIEDNFITLVGEQNTLFPQEKIRRLTVHSRGVKYIATREILCHVRSLSICAGGETLQFGWMKLMRILDLEGYEFLRNRDLKDLCRLFQLEYLNLRRTHITELPTQIGHLKKLDTLDIRDTAIKHLPPGITNLPHLANLLGGRRSYNHTGRTWASLISALEKLSGSLRSLLLWRPDGAMNFNIVNSLSSPPIFMKSMNLRGQLTQLPCWFPLLSNITELTLRATELSAEEDLKVLGSLPSLLYLRLHHNAYIGTEFSASAGEFPSLRLLVIHLDMSEDWEARFEEGALPKLARLELSLFEEASIQEITGIEFLPSLKEVSIRACHSNIVNVEEIATSLRADAEKNINKPIVTFEEKQWVPMRSRTDPPLDHMGNLLSSSFDED >ONIVA07G19670.2 pep chromosome:AWHD00000000:7:18750387:18756709:-1 gene:ONIVA07G19670 transcript:ONIVA07G19670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPLPRFLLLLPLSRRRRRLLSTAAEAAAAPAPAPAPGRPTDPSLLLRLCTVLYQHQHAPEDALRRRLSALPLPSAAPDDLRELFLQASARFPLSWRPVRRLLDHLTAAHGFAHSPATAARFLDVLAKSRNVDLLHSTLLSFPPALRSPAALRAAIRGLVPAREVGKVSSLLSLFTDADRPRTLTFITDVVCSVCKLPDVAEKVIKQAEHRYGVSRTGRCCELLVVGYCRAGMLSDACRVWNGMERRGLDPGAAAYEEIVVTLFKNNRVADAMKMFDGMRRRGVSDGCRGGCYRAVVSWLCKEGRMWGAYMVFAEMFKRGVEVDGEVMGDLVYGLLVRRRVREGYRVFHGVKEKDIALYHGLMKGLIRIKRAREATEVFREMVATGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLEATKFVERTMWGGVDVPRFDYNRFLYYFSNEEGVSMFEEVGRRLKDVGHVDLGDIFLTYGERMATRDRRRRAMNGLLTEMEHAVVSAAEGAIHTLLGKLGTIVLQEAQLLGGIRGELQHLKDELESMTAFLQDLSGRDECGKQVKIWKKHVREIAYDIEDCIDEFKHQLGDSSSAGGSGPVVFFRKVTHILQTTRVRHQIAKQIQELKRRTMNISARNSRYSAKHLISGTAGNSMAAYDSQANLLNVDTRITALFPERRQLVGIEPRQGNLVHWLLEAHVQQLRVVSIFGFGGLGKTTLAMTTYQSLSGRNGPFQCQAFVTVSQSFDVKVLMRDILLQITQPVNQPSSPSTGAGKGPMEGLLKGMETWNVVQLASILRQQLDNKRYLIVLDDIWSMNAWEGIRFSLPDSNNGSRIVVTTRIRAVAHTCCFHEYDRAYEIKPLTDCESRDLFFKRIFGSSICPEHLEDISAKILGKCGGTPLSIVSIAGLLASKPVQSKDLWEKIYSSLGSEIETNPSLDRLKKILELSYNDLPYHLKTCFLYLSIYPEDHNIRRKTILRRWIAERFVTGKRGLSVFEVAESYFDEFINRSIIQPVTTSFTGKVKTFRVHDVMLEIIVSKSIEDNFITLVGEQNTLFPQEKIRRLTVHSRGVKYIATREILCHVRSLSICAGGETLQFGWMKLMRILDLEGYEFLRNRDLKDLCRLFQLEYLNLRRTHITELPTQIGHLKKLDTLDIRDTAIKHLPPGITNLPHLANLLGGRRSYNHTGRWPISEFWGLHIPNELRKMDSLTTLAQVEITTSTSHYISELSKLSRLRKLGVLMFVDDDSTWASLISALEKLSGSLRSLLLWRPDGAMNFNIVNSLSSPPIFMKSMNLRGQLTQLPCWFPLLSNITELTLRATELSAEEDLKVLGSLPSLLYLRLHHNAYIGTEFSASAGEFPSLRLLVIHLDMSEDWEARFEEGALPKLARLELSLFEEASIQEITGIEFLPSLKEVSIRACHSNIVNVEEIATSLRADAEKNINKPIVTFEEKQWVPMRSRTDPPLDHMGNLLSSSFDED >ONIVA07G19660.1 pep chromosome:AWHD00000000:7:18740652:18745901:1 gene:ONIVA07G19660 transcript:ONIVA07G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26460) TAIR;Acc:AT1G26460] MEDDDECPPLAVELSPEKPYSPPPLGPSAASPVGVTVITGYLGAGKSTLVNYILSAQHGKRIAVILNEFGEEIGVERAMINEGQGGALVEEWVELANGCVCCTVKHSLVQALEQLVQRKERMDHILLETTGLADPAPLVSILWLDDQLESSIKLDSIITVIDAKNFRLQIDEHKKSSSFPEAFHQIAFADVVILNKIDLVEGSLEDLERQIHEVNALVTVVQSVRCQVDLNKIFDQQAYGAKNSSQLQELLEYSKSVPPNLRHDNSISTLCICEQDPISLSKVESWLEDLLWERKLDMDIYRCKGILHVHNSDQVHTLQAVREVYEVLPAREWSKTESRTNKIVVIGDSADSFLAMKFCTTDAIIRRAMFNFGRGTCIQLQDDELLVEDFS >ONIVA07G19660.2 pep chromosome:AWHD00000000:7:18745862:18753036:1 gene:ONIVA07G19660 transcript:ONIVA07G19660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26460) TAIR;Acc:AT1G26460] MAAAAATSRLLRRHPPPLPLLLRSSISSSRPLLQQPELSPAAAADAAPATDAAPLPPKPSTGSPFYGENWRNPAAAANPSSSSLLPAVVGGGAHARAAAYSASPGAAELKETFAEWMAEQRWEEMKQLFEFWVRSLDPASGKPNRPDVDLFNHYLRAQLMSGALPIEMLDLAEQMREFEITPNTASHNLILKSMVQAQEADGAEKLIERMLQTGTQPDDESYNLVVNLLIKLNRVDSTLKYLDLMLKSGYTISSSVFVEYVRACVRSGRLDTLASVIEKCKATDQNKVLCPPWSWCVEIAEAAFEANNSKLGLFALEYLARWIARSERVIPPLHLSVDEGLVLSALSAAGRTCSTDLLNAAWSILRKSLHQKRAPTPEAYLAKIYAHSSIGHLQRAFGTLREFENTYGNFEDIDSELFSPFTSLRPLVVACCKDGYTTLDSVYVQLENLSSADSPYKSVAALNCVILGCANIWDLERAYETFEAIKEKFGLTPDIHSYNALLHAFGKRKKTEEACNVFQHLVSLGVKPNATTYGLLVDTHLVNRDAKAALAVIAEMVDAGFTPSKETLKKVQRRCSRESDFDSDEKVQSLAKQFNYRMGGENRREMLFNIEYSAEFAIDFDDAGALQ >ONIVA07G19660.3 pep chromosome:AWHD00000000:7:18745862:18753036:1 gene:ONIVA07G19660 transcript:ONIVA07G19660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26460) TAIR;Acc:AT1G26460] MAAAAATSRLLRRHPPPLPLLLRSSISSSRPLLQQPELSPAAAADAAPATDAAPLPPKPSTGSPFYGENWRNPAAAANPSSSSLLPAVVGGGAHARAAAYSASPGAAELKETFAEWMAEQRWEEMKQLFEFWVRSLDPASGKPNRPDVDLFNHYLRAQLMSGALPIEMLDLAEQMREFEITPNTASHNLILKSMVQAQEADGAEKLIERMLQTGTQPDDESYNLVVNLLIKLNRVDSTLKYLDLMLKSGYTISSSVFVEYVRACVRSGRLDTLASVIEKCKATDQNKVLCPPWSWCVEIAEAAFEANNSKLGLFALEYLARWIARSERVIPPLHLSVDEGLVLSALSAAGRTCSTDLLNAAWSILRKSLHQKRAPTPEAYLAKIYAHSSIGHLQRAFGTLREFENTYGNFEDIDSELFSPFTSLRPLVVACCKDGYTTLDSVYVQLENLSSADSPYKSVAALNCVILGCANIWDLERAYETFEAIKEKFGLTPDIHSYNALLHAFGKRKKTEEACNVFQHLVDAGFTPSKETLKKVQRRCSRESDFDSDEKVQSLAKQFNYRMGGENRREMLFNIEYSAEFASTPSPRTLNRIYVRISE >ONIVA07G19650.1 pep chromosome:AWHD00000000:7:18733394:18735870:1 gene:ONIVA07G19650 transcript:ONIVA07G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAASDLPARSRSAGVCGKGFSCGRSLGGHMRSHISFGEAAAELGANGGVVGYGLRENPKKTRRLSEFDGDGDGEEVEVEEGGDGGELRACRECGKLFSSWRSLFGHMRRHASGGGGRNHDDDDDDDVDVEDEFGGGEEEEIVAPAPAAVTVIAAPPRRRRRSMRVAAPAPAPPRPVLLGGFEKEQEDVALGLLMLSRDTGVWRSPVKAETFEKPEQKKKKATAKQPPPLPLPRNGYGYGYNSDEDSALLQYGGDVAKSRKRRASYHSPNSISSKKKQQPRAAAPAKRTRYECPGCGKVFASYQALGGHRASHKRINTSCSAPKVSPATAAAAAPAPEPSSETYASLNTLSPSASPGSAAAGIGDRKANNKSSAEEEKFGGACSSDELYAELELEQRSPAAAAGFLDLNFPPASSEVGVN >ONIVA07G19640.1 pep chromosome:AWHD00000000:7:18724713:18727464:-1 gene:ONIVA07G19640 transcript:ONIVA07G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNGNGHYPVNGAKVLQKRENNQEKMLLDKNAAFRACQKDRHYIEKLETELRNCYQEIDYLQDQLNIRNVEANIMGEHIHSLELKLTELEKFPERVRVIDDELMRSDSQCWLLMEEVRCQEEKLKKAALQIEKLENVNLDSQCEIESLKLDLTTLEQRLFDADSFGQHVSADKAIADNKLREYELQLQEAHKTIDHLLLENKELKRLFPGGVATALTSDEQVDKTIEKIDGQYYERGGAILENMAKRSEESELLIEQLKEELREQKLKAKEDAEDLTQEMAELRYQITGMLEEEYKRRSCIEQAAIQQIQELEAQVSKEQRKLSGALRKLQESHELAQKQSMEIKKLKDSLGRFNSALNHGTVCRSCSCGFCAMLLELSNCSIEGPVDVRSSNEKPQNQALLEWRPDEDADGEAG >ONIVA07G19630.1 pep chromosome:AWHD00000000:7:18715420:18717463:-1 gene:ONIVA07G19630 transcript:ONIVA07G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSFLAAGRRLIRLGCGRLLPAGHARSHGSTPALIRAAAAASSPASPRGHSGGRKPARPPSLQSTLWPLGHPGTLLVPEIERWAAKPGNRPPPVARASAQLIISRLLRGVRCRCGLNRCLVSEWMNAKGHVKFLPKDHAVHLDLIGEIHGSSAAETYFNNLPDKDKTEKPYGALLNCYTRELLVEKSLAHFQKMKELGFVFSTLPYNNIMGLYTNLGQHEKVPSVIAEMKSNGIVPDNFSYRICINSYGTRADFFGMENTLEEMECEPKIVVDWNTYAVVASNYIKGNIREKAFSALKKAEAKINIKDSDSYNHLISLYGHLEDKSEVNRLWALQMSNCNRHINKDYTTMLAVLVKLNEIEEAEVLLKEWESSGNAFDFQVPNVLLTGYRQKDLLDKAEALLDDFLKKGKMPPSTSWAIVAAGYAEKGDAAKAYELTKNALCVYAPNTGWIPRPGMIEMILKYLGDEGDVEEVEIFVDLLKVAVPLNSDMTDALSRARMREEKKVKDAV >ONIVA07G19620.1 pep chromosome:AWHD00000000:7:18712789:18714655:-1 gene:ONIVA07G19620 transcript:ONIVA07G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPLLAAALLVLFSGLHPAASTAAAGGEAATVVVKAAAAVSRTDDNFVCATLDWWPRDKCNYGMCPWYNASIINLDLNNTILNNAVKAFNSLRIRLGGSLQDQVTYKVGSNYGDCRSFQRDDGGLFGFTDGCLEMNRWDELNVFFKRTNTTVTFGLNALKGRRKAAGGKDTLYSGDWDARNALDLMRYTAGKGYRVESWELGNELSGSGVAARVAAAQYGRDVAVLRKAVERVYGGGGEVPKVLAPGGFYDGAWFSEMLRVSGRGAVDGVTHHIYNLGSGKDRDLARKMQDPGYLDQVEKTFRDMAATVRGSGPWSSPWVGESGGAYNSGGKGVSDRYVNGFWYLDQLGMSAAHGTRVYCRQALVGGNYCLLNTTTFVPNPDYYGALLWHRLMGPVVLKAATTAGGGGSPYLRSYAHCSREKPGVTVLLINLSNATAFDVSVAGGALGAAPCGGRREEYHLSPRGGDIRSQVVLLNGEALALGPGGEIPELRPAVVGDGCAAPLRVAARGIAFVRFTEFKAPACAA >ONIVA07G19610.1 pep chromosome:AWHD00000000:7:18698630:18712045:1 gene:ONIVA07G19610 transcript:ONIVA07G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / HNH endonuclease domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G07810) TAIR;Acc:AT5G07810] MGITEEQRRRIEANRLAALERRKRFAEAAAADASVGWRLAKCPRFAPPPPQPTLPPPPPRTLPPPPPPPPPQPPVGFKVVLEVCGPEDFSVAVGPAEGFAYPGEAECLRAVQDCISSAAPFSTTQSQSGHIFSVFKLMDYEPVLKCLKKLPGVAVQDIPYKTRNVIKNLPKFFAESCASDKEVDGLLMKLPQHLRDALLPFQLEGVKFGLRRHGRCLIADEMGLGKTLQAIAIACCYKDEGPVLIVCPSVLRYTWAEELERWDPSFLPKDIHLVFGYQDSLERLGACPKAVVISYQMLSRLRKSMMNRRWALMIIDESHNIRCTKKKHEKNEDFSKGARLTELNVLLSQTLMIRRLKEHLLNELPPKRRQIIRLKLNASDIKTAISCIKGVNTSDEIPTIASPDNSNDSEEVNMEEADGCKKSPRNLTTQEIGIVKIPGFSEWFLNHFIMKEPADNGSLDSQSNCQKTIIFAHHLKVLDGVQVFVTEQRIKYVRIDGSTSPRERKDAVDSFRLNPEVMVAIIGITAGGVGLDFSSAQNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCARNTLDESHWLHLNQSLFRVSSLMNGKKDAIREIEVDQVYHLEESSKSEGKSQLGFLPLENHNADCGHGPGENVESDDMSIENFPGLNDMNFESDFFSIRTIPLHFEDDSLHTEMQNCPSPTAHEEIAFDSSSISQASVICPSMSSAKSVKARRRLSEIFQTLGLPATTDCNIEEKFLRFEVSQHTGRIHLYICVPGHDSRPRPLFENFLPEEVESPLCSDNTMKTSNRLLKRDPAFCNTFKAFVKEWLALRPIEQKRLLGKPLQLPLSLELCYLKDTNNHSTKGLLKGGSKRRVTPWCDISNPLPENAEWRKVVLHNGTSREREYTQGWTVHDEPLCKLCQGPCNGRLAKSPEYFEDLFCGLPCFEVFRSRTSGRFARQALFEIEHGICSKCKLDCHELVKNIRPLCKKKREEYIRNVAPNIASRLKLLDKLVHEPNEGNAWHADHIVPVYKGGGECTLENLRTLCVACHSEVTCAQQKERKELTKKAKELLKNTVNNQQKDEASEAAEEDDDKSLLVVVPGSAYS >ONIVA07G19610.2 pep chromosome:AWHD00000000:7:18698630:18712045:1 gene:ONIVA07G19610 transcript:ONIVA07G19610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / HNH endonuclease domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G07810) TAIR;Acc:AT5G07810] MGITEEQRRRIEANRLAALERRKRFAEAAAADASVGWRLAKCPRFAPPPPQPTLPPPPPRTLPPPPPPPPPQPPVGFKVVLEVCGPEDFSVAVGPAEGFAYPGEAECLRAVQDCISSAAPFSTTQSQSGHIFSVFKLMDYEPVLKCLKKLPGVAVQDIPYKTRNVIKNLPKFFAESCASDKEVDGLLMKLPQHLRDALLPFQLEGVKFGLRRHGRCLIADEMGLGKTLQAIAIACCYKDEGPVLIVCPSVLRYTWAEELERWDPSFLPKDIHLVFGYQDSLERLGACPKAVVISYQMLSRLRKSMMNRRWALMIIDESHNIRCTKKKHEKNEIRRLKEHLLNELPPKRRQIIRLKLNASDIKTAISCIKGVNTSDEIPTIASPDNSNDSEEVNMEEADGCKKSPRNLTTQEIGIVKIPGFSEWFLNHFIMKEPADNGSLDSQSNCQKTIIFAHHLKVLDGVQVFVTEQRIKYVRIDGSTSPRERKDAVDSFRLNPEVMVAIIGITAGGVGLDFSSAQNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCARNTLDESHWLHLNQSLFRVSSLMNGKKDAIREIEVDQVYHLEESSKSEGKSQLGFLPLENHNADCGHGPGENVESDDMSIENFPGLNDMNFESDFFSIRTIPLHFEDDSLHTEMQNCPSPTAHEEIAFDSSSISQASVICPSMSSAKSVKARRRLSEIFQTLGLPATTDCNIEEKFLRFEVSQHTGRIHLYICVPGHDSRPRPLFENFLPEEVESPLCSDNTMKTSNRLLKRDPAFCNTFKAFVKEWLALRPIEQKRLLGKPLQLPLSLELCYLKDTNNHSTKGLLKGGSKRRVTPWCDISNPLPENAEWRKVVLHNGTSREREYTQGWTVHDEPLCKLCQGPCNGRLAKSPEYFEDLFCGLPCFEVFRSRTSGRFARQALFEIEHGICSKCKLDCHELVKNIRPLCKKKREEYIRNVAPNIASRLKLLDKLVHEPNEGNAWHADHIVPVYKGGGECTLENLRTLCVACHSEVTCAQQKERKELTKKAKELLKNTVNNQQKDEASEAAEEDDDKSLLVVVPGSAYS >ONIVA07G19600.1 pep chromosome:AWHD00000000:7:18694249:18694998:-1 gene:ONIVA07G19600 transcript:ONIVA07G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFLFPSPISGHLVSPVRCGVISRYFPLLSSCELGGHSERSRAEEVREEDVRRRPRGSGGGSGGGRGGAGACGGFLVGLRIRRELRRPPIRRPTIRSSSSARRPTPPAASSPGLAPRAPPRQESVEEWKSRPTANASRKPPSSSPETTTTTATRREFLPLEIRDDDEDGDFLVADIHPPPSRRSCYVPGRRNVASPPSHMATPPCSTMSSVSGAAAAAVLDDAGARPRPHGRRSYRLPGSRAAAGGG >ONIVA07G19590.1 pep chromosome:AWHD00000000:7:18691907:18695486:1 gene:ONIVA07G19590 transcript:ONIVA07G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVEEEAHTGCRSRCSRVRRSGCAARTCRRSLACSSVAGGSASNPGSVAAPCSSSCRPGSKSYQPLLAPMPGLGLRRWSSRASSLSGPRSSASSCTAWRASASSRSTWTITTNILANVVAPANALVSLSPLKFTFAKVALVTALLGIAFQPWRLFGSSESFIYTWPFELSSATSGRSAPRQPITPTTMRARSCTCIVEDGGGGSSRDRAHRRARRRRHVRRWRRHVAPAGHVAAAARRGWVDVGDQEVTVLVIVADLQGEELPPRRRRRRCLRRARRWLTAGVGRRPRLPLLDALLPRWSTRSKPGGGGSRRRRPPCRRRGTNGRPADGRPSKLPADAEADQEAAARSRTSATSSAPSSTPPRASPYVFLSDLLSPRALAVAAEFAGRKKREIT >ONIVA07G19580.1 pep chromosome:AWHD00000000:7:18684216:18688856:1 gene:ONIVA07G19580 transcript:ONIVA07G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase (SpoU) family protein [Source:Projected from Arabidopsis thaliana (AT5G15390) TAIR;Acc:AT5G15390] MSARHSSLSSGHYSLAVGIWTAAAAVSAAAAAAATCSPPPHSPVLTGDERRRAASAAAMASRTLSVSSLASAFASIPRPRPPPPPPSRIRASPLSTAAAAASDGDAADGVDTVEQLLVTKPPASSSSSSAGRGRIDRLMKLQRRADGDFPPGGAGRRWFPYLDAFRAAGGVELTSREVLEVLEPHIMEARRERIRRAVENRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDNNKRYRDNRHVSMGAEKWLDIELWSSTAECFDALKKRGYRIATTYLGNDSVCVYDMDWSQPTAIVVGNELMGISDDALKLSDLHCSVPMKGMVDSFNVSVAAGILMHHAVCDRISRIGHHGDLLPEESRILLAEFYLRHRESTAGIVHEYAKRKAGNFMAKL >ONIVA07G19580.2 pep chromosome:AWHD00000000:7:18684216:18687971:1 gene:ONIVA07G19580 transcript:ONIVA07G19580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase (SpoU) family protein [Source:Projected from Arabidopsis thaliana (AT5G15390) TAIR;Acc:AT5G15390] MSARHSSLSSGHYSLAVGIWTAAAAVSAAAAAAATCSPPPHSPVLTGDERRRAASAAAMASRTLSVSSLASAFASIPRPRPPPPPPSRIRASPLSTAAAAASDGDAADGVDTVEQLLVTKPPASSSSSSAGRGRIDRLMKLQRRADGDFPPGGAGRRWFPYLDAFRAAGGVELTSREVLEVLEPHIMEARRERIRRAVENRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDNNKRYRDNRHVSMGAEKWLDIELWSSTAECFDALKKRGYRIATTYLGNDSVCVYDMDWSQPTAIVVGNELMGISDDALKLSDLHCSVPMKGMVDSFNVSVAAGILMHHAVCDRISRIGHHGDLLPEESRILLAEFYLRHRESTAGIVHEYAKRKAGNFMAKL >ONIVA07G19570.1 pep chromosome:AWHD00000000:7:18679324:18681796:1 gene:ONIVA07G19570 transcript:ONIVA07G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCRVCVTGASGYIATCLVKKLLERGCIVHGTLRNLGDEKKAAPLRELPGAAERLVLFEADMYDADTFEPAIAGCEFVFLLATPFQHEPSSKYKNTAEAAVDAMRIILKQCERSKTVKRVIHTASVTAASPLREDGGEGYKDFINESCWTPLGQSHPYSSDMSAINQVYASSKTLSEKALLRYNESESRAFEVVTLACALVGGDADTTRLYHLLSVPAIVAPLIGQESYHGGLKYLQALLGSVPLAHIDDVCDAHVFCMEQPSIAGRFLCAAGYPNMKDFVDHFSAKYPEITIKLREVVGEGVRVGADTNKLTDLGFRYKYGVEETLEGSVECAKRMGLL >ONIVA07G19560.1 pep chromosome:AWHD00000000:7:18652954:18656264:-1 gene:ONIVA07G19560 transcript:ONIVA07G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLGFLCLLLFAGQVAVVASDDDREVLVELKRFLQANNRFNRGEYDRWPESDASPCRWAGVTCDGRGRVTALDLSGSAISGAAFGNFSRLTALTWLDLSDNGIGGELPAGDLAQCRGLVHLNLSHNLIAGGLDVSGLTKLRTLDVSGNRFVGGAAASFVPAACGDLAVLNVSGNGFTGDITGLFDGCPKLEYIDLSTNNFTGELWPGIARFTQFNVAENNLTGGVPAATFPGGCKLRSLDLSANHFAGEFPDSIASCSNLTYLSLWGNGFAGKISAGIGELAGLETLILGKNRFDRRIPPELTNCTSLQFLDMSTNAFGGDMQGILGEFVTLKYLVLHHNNYTGGIVSSGVLRLPLLARLDLSFNQFSGELPLEVADMKSLKYLMLPANSFSGGIPPEYGRLAELQALDLSYNGLTGRIPASIGNLTSLLWLMLAGNQLSGEIPPEIGNCSSLLWLNLADNRLTGRIPPEMAEIGRNPAPTFEKNRKDRARLLRGYGIIPICTNSSSPVRSNTISGYVQLSGNKLSGEIPSQIGAMRNLSLLHLDNNQLTGRLPPAISHLPLVVLNVSNNSISGGIPPEIGHILCLEILDLAYNNFSGELPASLGNLTGLNKFNVSYNPLLSGDVPTTGQLGTFDELSFLGDPLITLQDRGPRRQRAPQAAIRGRGMSPRTIALWFVFSLIIAFIAGTVVFIMANLRARFPVDQDPDPESLSCENPKCGGGGGGGKCGAFHMSATSSPPSGCSSSCVTGCSSSSEGVKVFRLDKTAFTYRDIVAATSGFSDDRVVGRGGYGVVYRGVLPDGRDVAVKKLARLRDCGGGGGGEDSGEREFRAEMEVLADRMGFTWPHPNLVTLYGWCLAGSAKILVYEYLDGGNLESLIGDHAAFGRRRRLDAAIGVARALVFLHHECRPAVVHRDVKASNVLLGRDGGVKVTDFGLARVVRPGDTHVSTMVAGTVGYVAPEYGQTWRATTKGDVYSYGVLLMELATGRRAVDGGEEECLVEWSRRMAQEGWPAREAAASSGAVLWDMLMLGMRCTADSPQERPDMPDVLAALLDIAGSGGGGGSSSRGGE >ONIVA07G19550.1 pep chromosome:AWHD00000000:7:18646140:18651062:1 gene:ONIVA07G19550 transcript:ONIVA07G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saccharopine dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G39410) TAIR;Acc:AT5G39410] MATPPPPPAPTPEVFDVVIFGASGFTGRYVIREALKFLPPSSSPLRSLALAGRSRDRVAAALRWAAGPGGAAPDLPILVADASDPASLAALAARARVVLSCAGPFRLHGRQVAAACAAAGADCLDISGEPEFMERVEAEFHEAAAKNGSLIISACGFDSIPAELGFLFHSRQWAPPSVPVTVQAYLSLESDKRIVGNFGTYESAVLGVANAGELQALRRSRPRRPRPNIPGPPPPKGSLVEHDKALGLWTIKLPSADTVVVKRTLSTVTEHPEGLPGVEESADFAEHRKSFWSSVKPAHFGVKLTSKSLLGIAQFIFTGLCIGLLGGFCFGRSLLLKFPSFFSAGWFRKSGPTEEQVSSASFKMWFVGRGYSDAARASDRGSKPDKEIITRVSGPEIGYITTPIVLVQCALVLLSQRGNLPKGGVYTPGAVFGPTDIQQRLQENGLSFDLVSTRTL >ONIVA07G19540.1 pep chromosome:AWHD00000000:7:18639183:18642264:-1 gene:ONIVA07G19540 transcript:ONIVA07G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFEREVMPSLRSHRIDGCDSRNTPPTASLTDRWARVVLGPTVSVTGGVSAGERGLAKIAMSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKGFGKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTESGNTKDDLRLPTDDSLLGQIKTGFGEGKDLVVTVMSAMGEEQICALKDIGPK >ONIVA07G19540.2 pep chromosome:AWHD00000000:7:18639183:18642264:-1 gene:ONIVA07G19540 transcript:ONIVA07G19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFEREVMPSLRSHRIDGCDSRNTPPTASLTDRWARVVLGPTVSVTGGVSAGERGLAKIAMSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTESGNTKDDLRLPTDDSLLGQIKTGFGEGKDLVVTVMSAMGEEQICALKDIGPK >ONIVA07G19540.3 pep chromosome:AWHD00000000:7:18639183:18641776:-1 gene:ONIVA07G19540 transcript:ONIVA07G19540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKGFGKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTESGNTKDDLRLPTDDSLLGQIKTGFGEGKDLVVTVMSAMGEEQICALKDIGPK >ONIVA07G19540.4 pep chromosome:AWHD00000000:7:18639183:18641776:-1 gene:ONIVA07G19540 transcript:ONIVA07G19540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTESGNTKDDLRLPTDDSLLGQIKTGFGEGKDLVVTVMSAMGEEQICALKDIGPK >ONIVA07G19530.1 pep chromosome:AWHD00000000:7:18633381:18636425:-1 gene:ONIVA07G19530 transcript:ONIVA07G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRVAGGGGGSLWGPPQPPPSTGGGIPQLPAAAAAPVEGLLDAPFSSSSGGGGGGWPPYDLRMRCILPVSMAPNPGNFETFPQQDLVPLTAQEVHSKCITFGRAENLSFIPLATSALVSQHTGSSSVNVTPLQEILTSPSQISNVNTESIGVLQGLPASSIVLDRPTDDGYNWRKYGQKAVKGGEYPKSYYKCTHLNCLVRKNVEHSADGRIVQIIYRGQHTHERPSKRRFKDCGGISDDLDDFSGTTGTSVRSQPDYDDYCRKPIIPSGTMVAPLVKKIEDGDDQLSGSSDNQDEHDDEVRTADGASGDASANERNVPAPGQKIIVSTTSEIDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTYLGCDVKKQVERSVEEPNAVITTYEGKHIHDVPAARNKSHVVANASLLQNTKSNTYGTEQSYTTITC >ONIVA07G19520.1 pep chromosome:AWHD00000000:7:18629422:18630174:1 gene:ONIVA07G19520 transcript:ONIVA07G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGREEGIWGGGREGMGGPQQLSAKGGRRKRDSTQRKRERRKTPRKKKWVVAGATSAGAGDGGGGQRPDSPTPSESPRRPPKADWRRYGATRTPAIRRPRTGARIAAPAALHGRASHLVAMTPPPHKPPDAAAVASSHDSSALCCPKTPPPAHRPDPATAVPHLPPPGRDPSPSPLPTAGENSTSPTPEREHHRGESPANAFLARRPAGRGRRSGGSAPAVGFAPRVACVWVTQGSFLFLDEARIFLRN >ONIVA07G19510.1 pep chromosome:AWHD00000000:7:18628663:18629258:1 gene:ONIVA07G19510 transcript:ONIVA07G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQRIGLGFHPKWERVHKATPPRRKRRSQASMSPILEDQTRLSPKTLSKVGQAHCSDLGTTTGSHRHRTHEAPVRPKSPDNRLTGPQTRTNTSPPPLKGSTAGSRDDQKKGGAPRSEGGVAGMRRN >ONIVA07G19500.1 pep chromosome:AWHD00000000:7:18614546:18619735:-1 gene:ONIVA07G19500 transcript:ONIVA07G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKNAVSVTPAADSSGALRERSLPRAPEAAATVVSVTASSLRCSSAAAAGRRSEKKRDEAAVAAAGEEPSEKAVIAAATASRSFRLRSLRRSLEGEQVAAGWPPWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARELDTGKIVALKKHDLAGLSSSPDIKFSEAQVKCYMNQLLSGLEHCHSRRIVHRDIKGANLLVNNEGVLKIADFGLANYFDPNKNHPLTSRVVTLWYRPPELLLGSTHYDAAVDLWSAGCVFAEMFRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYQSTLQDVFKEMPANALRLLETLLSVEPYKRGTASAALTSEFFKTKPYACDPSSLPKYAPNKEMDAKLREDSHRRKASRGHGPEASRKSRLSRAARETTTVNKQTDGKEESKTKANGTKDNSILDRTKVNGDARLFSDIQPVSVAQVKERSRHVKNDSREEIPFSGPLIVSSSSGFAWAKKPPEDRSFARSRTRSSSRGQFTAELDQDNKMPAKENQNLGLKEQPNRDMHIARANSKVREPHDAAKRAVLKKWSQLGRPDSFDSYDTYHSQNFSNAMYLGDSLSSKNSIKGDHDQGERVEYSGPLLSQSHKVDELLEKHERHIRQEKNNTSEEKTGHFCVGEAASRYIAVKGICQFLVSSVNRKLAFGGNIVDKIKTQLKTLYDSHIIVKSKLCLAASTR >ONIVA07G19500.2 pep chromosome:AWHD00000000:7:18614546:18619735:-1 gene:ONIVA07G19500 transcript:ONIVA07G19500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKNAVSVTPAADSSGALRERSLPRAPEAAATVVSVTASSLRCSSAAAAGRRSEKKRDEAAVAAAGEEPSEKAVIAAATASRSFRLRSLRRSLEGEQVAAGWPPWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARELDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFSEAQVKCYMNQLLSGLEHCHSRRIVHRDIKGANLLVNNEGVLKIADFGLANYFDPNKNHPLTSRVVTLWYRPPELLLGSTHYDAAVDLWSAGCVFAEMFRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYQSTLQDVFKEMPANALRLLETLLSVEPYKRGTASAALTSEFFKTKPYACDPSSLPKYAPNKEMDAKLREDSHRRKASRGHGPEASRKSRLSRAARETTTVNKQTDGKEESKTKANGTKDNSILDRTKVNGDARLFSDIQPVSVAQVKERSRHVKNDSREEIPFSGPLIVSSSSGFAWAKKPPEDRSFARSRTRSSSRGQFTAELDQDNKMPAKENQNLGLKEQPNRDMHIARANSKVREPHDAAKRAVLKKWSQLGRPDSFDSYDTYHSQNFSNAMYLGDSLSSKNSIKGDHDQGERVEYSGPLLSQSHKVDELLEKHERHIRQEKNNTSEEKTGHFCVGEAASRYIAVKGICQFLVSSVNRKLAFGGNIVDKIKTQLKTLYDSHIIVKSKLCLAASTR >ONIVA07G19490.1 pep chromosome:AWHD00000000:7:18595900:18602086:-1 gene:ONIVA07G19490 transcript:ONIVA07G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHLHFQLHALMLRHRPHHLQQHILMPLLHRHHRLSHVQEHHLPHLHPLALHLHHHLQVHVQVLHLLHHLQVLAQVLHHLHPLQVVVQVLHLFLLQVAVQVLHLLHPLQAHVLELHLPRHHLELVGEHLHHRLPLGEGSVVLPHLHLLVDVHHLLLEDQEHHHLQEAILVEGVGWYVLQDQVLGLQLHVTRALQGSLWEELQRNDDSQSVSEFDLSELESLFPAAVPKPNDSSKSDSRRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLPDLVSAALALDQSTLDVDQVENLIKFCPTKEEMELLKNYTGDKENLGKCEQFFLELMKVPRMESKLRVFSFKIQFGSQVADLRKSLNTIDSSCDEIRSSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAAKSSQLLDFYMDLVSLEATSKIQLKMLAEEMQAVSKGLEKVQLEYNASESDGPVSEIFREKLKEFTDNAGADVQSLSSLFSEVGKKADALIKYFGEDPVRCPFEQVISTLLTFVTMFRKAHEENRKQAELDKKRAEKEAEAEKSKAQLASKNDSKPSNPSRQVKQTPDTKTRAASRRGKDVVRTSDGRFFSLRPAGPPSGNF >ONIVA07G19490.2 pep chromosome:AWHD00000000:7:18595900:18602086:-1 gene:ONIVA07G19490 transcript:ONIVA07G19490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHLHFQLHALMLRHRPHHLQQHILMPLLHRHHRLSHVQEHHLPHLHPLALHLHHHLQVHVQVLHLLHHLQVLAQVLHHLHPLQVVVQVLHLFLLQVAVQVLHLLHPLQAHVLELHLPRHHLELVEGVGWYVLQDQVLGLQLHVTRALQGSLWEELQRNDDSQSVSEFDLSELESLFPAAVPKPNDSSKSDSRRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLPDLVSAALALDQSTLDVDQVENLIKFCPTKEEMELLKNYTGDKENLGKCEQFFLELMKVPRMESKLRVFSFKIQFGSQVADLRKSLNTIDSSCDEIRSSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAAKSSQLLDFYMDLVSLEATSKIQLKMLAEEMQAVSKGLEKVQLEYNASESDGPVSEIFREKLKEFTDNAGADVQSLSSLFSEVGKKADALIKYFGEDPVRCPFEQVISTLLTFVTMFRKAHEENRKQAELDKKRAEKEAEAEKSKAQLASKNDSKPSNPSRQVKQTPDTKTRAASRRGKDVVRTSDGRFFSLRPAGPPSGNF >ONIVA07G19490.3 pep chromosome:AWHD00000000:7:18602086:18606053:-1 gene:ONIVA07G19490 transcript:ONIVA07G19490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALRSEPIGGRVRRQSSSPGEGGAGEAEAAAAAEEKGEEEEGKDGALPQVLPEEDAGSAARDLRALFDCCFSTDSMGEDEYRDYLSGIVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQHNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFPLNPQSSHMRYLHYITRQGSGPEKPPISRPLILDSIVLHVVPRFDAEGGCRPYLRVHGQDSSPSNKSAKVLYEMPKTKKHLQRYGQAEVPVKVGAFCRVQGDVVLECIHIGDNLDHEEIMFRVMFNTAFIQSNILGLNRDDIDVSWNSNNQFPRDFRAEVVFSDPGSFKPAAATVEEVDNDGDETDVASVDTGEEFYEAEEDWHDARRDPETQSTDGRTSIGDAELDGGVSREDSGSLEKHRADEDVKIVISQNLGCMSDRPVSAPAEILGNPGGLQQACENEEMPKLSNRSDQDDNSVQDIQVVAASVDSEGHKFGSICQKEDMKGVIAQTLVTAIDPSCSDEVQCQPDESAKILKYPNLDYTGFSSPRTLSSVDEDTRLGTIPNVALQNADEVKNVVNEESTTPKLDRSVIESVDSQDNKNHKMEVAKAADTTDSKMEQAKLKSGLEDAISLKKTTVQGSIVVLPATEIATKIKTKREESGGRRDVGISLPQSKIEARAKSPRISSDRRQIPDKVVPSKKMPLDHAPEAVLLEEKLGNSDQSQEQPKAVKPKTVRRWISPNKESETTSVHRPFHPPSRYDSSPAALAIHSMHTNNKFNVGKDAPLVSSGAQAVPKIHAAPPPPPPPPPPYASSSSLSMHMGSATKQQPPPPPPPPPLPPPPPPPASSGLSSIPPPPPPPPLMSFGAQTRTFVPPPPPPPPPPRCWWEYSTCTSSPASKVNCSCYFSTSATTSSTTETKFWCSMPTPTSTATATSPSLSPILSSIFKCSSTATTTALVTIRSTSSSTTANLTL >ONIVA07G19490.4 pep chromosome:AWHD00000000:7:18602086:18606053:-1 gene:ONIVA07G19490 transcript:ONIVA07G19490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALRSEPIGGRVRRQSSSPGEGGAGEAEAAAAAEEKGEEEEGKDGALPQVLPEEDAGSAARDLRALFDCCFSTDSMGEDEYRDYLSGIVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQHNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFPLNPQSSHMRYLHYITRQGSGPEKPPISRPLILDSIVLHVVPRFDAEGGCRPYLRVHGQDSSPSNKSAKVLYEMPKTKKHLQRYGQAEVPVKVGAFCRVQGDVVLECIHIGDNLDHEEIMFRVMFNTAFIQSNILGLNRDDIDVSWNSNNQFPRDFRAEVVFSDPGSFKPAAATVEEVDNDGDETDVASVDTGEEFYEAEEDWHDARRDPETQSTDGRTSIGDAELDGGVSREDSGSLEKHRADEDVKIVISQNLGCMSDRPVSAPAEILGNPGGLQQACENEEMPKLSNRSDQDDNSVQDIQVVAASVDSEGHKFGSICQKEDMKGVIAQTLVTAIDPSCSDEVQCQPDESAKILKYPNLDYTGFSSPRTLSSVDEDTRLGTIPNVALQNADVKIITESTVLVDNELVIYEEKTIVDNGNLTQEVKNVVNEESTTPKLDRSVIESVDSQDNKNHKMEVAKAADTTDSKMEQAKLKSGLEDAISLKKTTVQGSIVVLPATEIATKIKTKREESGGRRDVGISLPQSKIEARAKSPRISSDRRQIPDKVVPSKKMPLDHAPEAVLLEEKLGNSDQSQEQPKAVKPKTVRRWISPNKESETTSVHRPFHPPSRYDSSPAALAIHSMHTNNKFNVGKDAPLVSSGAQAVPKIHAAPPPPPPPPPPYASSSSLSMHMGSATKQQPPPPPPPPPLPPPPPPPASSGLSSIPPPPPPPPLMSFGAQTRTFVPPPPPPPPPPRCWWEYSTCTSSPASKVNCSCYFSTSATTSSTTETKFWCSMPTPTSTATATSPSLSPILSSIFKCSSTATTTALVTIRSTSSSTTANLTL >ONIVA07G19480.1 pep chromosome:AWHD00000000:7:18591744:18591944:1 gene:ONIVA07G19480 transcript:ONIVA07G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVGRACWRWEVVRMSSSPWDHADECLHLHFILPRVLTWRRCRSCSPRLDAATAVFLFRSWKR >ONIVA07G19470.1 pep chromosome:AWHD00000000:7:18589630:18590421:1 gene:ONIVA07G19470 transcript:ONIVA07G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKNRKCNASILILAKPNRLETYTASRAYLIVKGKDFQWRVEHMWLDFDLAIGNNVITDTTLLIVAGDTRQWSVLTCDLPASASLHDGFT >ONIVA07G19460.1 pep chromosome:AWHD00000000:7:18586902:18590487:-1 gene:ONIVA07G19460 transcript:ONIVA07G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLLISPSTETSRKRTMDLGEPVMQTCRGREESMDDKEVKTGSRHHMSPHRDRLQVQQQHCTVTVTVLSSTPLLFSPRSLAVWRRSRASREAKSPLALLASFPRWLATGYWLLSSKSEQSCI >ONIVA07G19450.1 pep chromosome:AWHD00000000:7:18582209:18586644:-1 gene:ONIVA07G19450 transcript:ONIVA07G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT1G69420) TAIR;Acc:AT1G69420] MRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGKKVFQDVAVGLYTPLVSFVFFMYIWCAATDPADPGVLKSKKYLRLYGSGKHKHPKEFRHGISDSGLQVEGTGEKQEHEFAAASEKSTTRYKDNNPSCCSSTSSVFLIIFYPLYLVFSCCQPREWSEQQASEEGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRLILQSAVGVLVLVFCFVERKEFSIQIVSKLGSSFSVVPYVIVVASCTILAIVALLPIAQLLFFHILLIKKGISTYDYIIAIREQEQEEVGGQQSPQMSRVSSYTGLSSTSSFGGRHRGSWCTPPRLFLEDQFDVIPSEAGSSHNSTSKRKEDEVRRKKGSGGIKISPWALARLNAEEVSRVAAEARKKSKVLLPIRKDEYALGHETDSSYGGTSSSRIDLGPDNKRRTSRRARPHNDFSLKPVAKISADALDSHGSESVPETLSSLAPLQLEARSAFHPSRAASSANGGGSSPDSSLDSPDLHLYRVSAVSSSAAEDLQLTTLTAPGSTPQQGIELSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILSSDQSVASSGILVPKNRLS >ONIVA07G19440.1 pep chromosome:AWHD00000000:7:18579049:18580047:1 gene:ONIVA07G19440 transcript:ONIVA07G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGGGVVRLPPMNALEILRETVRVLRADPHAFTSVLFFLLCPASGCLLLSAAALEGAAVLPLARRLLAAAAASGLPLTHFVRQLAHHLAATLVASVVSFPATFTLLLAARAAAAYAVAAVYAGKPLLAGAELSLLARRAWPRLAATYALACAAVIACLSSFLALLVTACSTLKFMLYPPDIVVCAGLLTVLAFSVAYAHTIIVCNLGGVIAVLEDIAGVSALRRSVQLMRGQTHVGLLIFLGSTIGLAFVEGLFEHRVKTLSYGDGSSRLWEGPLLVLMYSFVMLIDSMMSVVFYFTCRSSSMEILDDEGGSIEELEMMVGSNSVIR >ONIVA07G19430.1 pep chromosome:AWHD00000000:7:18573073:18576908:1 gene:ONIVA07G19430 transcript:ONIVA07G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding LILAKRPRKVCFVTEPCASFYSSRDRLIELNKRGGTKPSGGAKQTRQQRSYCKQFPTNWASKVSVWPIVPGAAAAQLLRVEAATASASKSRTPPPPPPPGCSAALPLALPCFRGLRRTGSSRLEGGLAVEEGGGVWLIENSAEGNPGCGGDGHGRGGGAGAAGEGEEVRGLRRPETEAGPCEHHRAAGQGVPAAEDLLGLEKEHRKFGEEWSHQYAIHGQSWL >ONIVA07G19420.1 pep chromosome:AWHD00000000:7:18570026:18572088:-1 gene:ONIVA07G19420 transcript:ONIVA07G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPALPPPPSAPQSPPFSAPASPYSALHPLLLPSPNPHLLLKPKTLTLSLSSSSLGSMPSSSPPGPAVTDAWELVTPTATVVAVGAAAVDDGGLDDCDVFPPRLHEGLGVEGEAEEAAAKEGEEDDGEEDDDDDDEFGDGEWLWGWGRCRAAARRAWAAGVGAVREGLMVHGTCGCPAVRPAVWSAAGAAVVVGALLYARRRDRRERDLLVLLSQEKDKRIAQLLHQIALMSDMRSGGEAIKIIRTP >ONIVA07G19410.1 pep chromosome:AWHD00000000:7:18567655:18568008:-1 gene:ONIVA07G19410 transcript:ONIVA07G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAIADISDMMTHEFLAMEEGLRALESLYKNAYESSSTTAAGTSPRKNHHYNDSDDESTQFSMGDVPVTTARLPSHLLLLRGNGIAKSVSFSMADQGAAGCGVVRISMSFKALSA >ONIVA07G19400.1 pep chromosome:AWHD00000000:7:18563308:18565768:-1 gene:ONIVA07G19400 transcript:ONIVA07G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLSEHYLIYFLCSKLDIRPSLEIGSSICYYDTDNNGARYVQLSLVIGQSNRCFGETKPPISQLSLNLCTNFNLRRAINFEDDDHKRSLIGEQDHAMENHIMARIKFVFASTFAASTLGYSVAIAYSLSGRAAKMSLIRPRADHVAGTPTNFRGPDRWETGDDPDYASTCLQFATVIFFHITIIGHLCLKRPRDVFQLTKVACCFVPTGFALCTVSYFLQFLCLSRYKILVKEWVAFAIATVMVVLFTFILIWGPPKQKDLMHREMRQRGGNGGGDGNNRVQEPRTLMNQSSHSAAEYGKEVIRLRRFLME >ONIVA07G19390.1 pep chromosome:AWHD00000000:7:18558339:18558908:-1 gene:ONIVA07G19390 transcript:ONIVA07G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAELLVDTTLAGAHHQLAAGKQTRPGKKWTGERRLYRRDRLLLISTEEDGLAGGVAPERDASLEEFLDSCCD >ONIVA07G19380.1 pep chromosome:AWHD00000000:7:18557907:18562507:1 gene:ONIVA07G19380 transcript:ONIVA07G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLVCRRGLPKGDYGLGLSILSSREFGREHGIDPWRERGALVADMKKRATYLPGVLLFTC >ONIVA07G19370.1 pep chromosome:AWHD00000000:7:18549449:18555656:-1 gene:ONIVA07G19370 transcript:ONIVA07G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVGLSTRRKVPLVAGSPSSLITVYLGAGKSTLVNYILSAQHGKRIAVVLNEFREEIGLERAMINKGQDGALVEEWVELANGYVCCTVKHSLVQALEQLVQTKERMDYILLETIGLADPAPLVPILWLDDQLESSIKFYSIVMVIDAKAFRLQIDEHKKSSSFPEAFHQIAFADVVILNKVDLVEDNLEDLERQILEVNALVTVVQSVRCQVDLNKVFDQQAYGAKGQIHRSCKNFWSTVNQYHLISVVITVFLPCAFVSKDPVSLAKTKFVFASTFVASTLGYSVAIAYSLSGRAAKMSLIRPRPDHVAGTPTNFHGPDRWETGDDPDYASTWLQFTPVIFFHIAIIGHLCLKRPRDVFQLTKGSPKQKDLLHRKKKQREGNEGGDKENKDAEANKEEDDEEEGEEEEEKAKVKKSKTKLVHTPNPPSPLRPPPHLSSPFQRTPSARPTFSLPCTAMTPLPGPLNRIPSNTFMASATRLFLNSVTTISLYAVAAGSSPRLSIPFHTLQACKRQRTSPRGSILRPAARSTSRSATRRTPVPVLGLLDHLLGDIGHLADGADDVGDEGPKSAIN >ONIVA07G19370.2 pep chromosome:AWHD00000000:7:18555659:18556393:-1 gene:ONIVA07G19370 transcript:ONIVA07G19370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGTRLLNLTVLQRLDPAVKDILTSTSTNEDLIASGVVLSSVTDELDRAPLLVPHHARENTVVAGYDVPAGTRVLVNVWAIARDPASWLDRPDAFLPERFLPGAGSCDDVVDVHGQHFELLPFESGRQIYPATNLAKKMVALGVASLLQGFAWRRRT >ONIVA07G19360.1 pep chromosome:AWHD00000000:7:18546644:18548315:-1 gene:ONIVA07G19360 transcript:ONIVA07G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRREGGEKQLCRISSSLVSETQLVPGIVPGAPCSSGLKEIIDLICQDWKRSYVIINRSSAVQDIPAASRALDSQSSRILSLKYIGSHTRSGFLESIWASCHSLFALRGLVDKDSLVRKQALYILRISLDIFPSSENDAAQQCSRRRSAALPAQDKPNTAMTKREKEARSLGIRETSQSDENCSSRKDRSKVFLLLYEMLQEYGTHLVEAA >ONIVA07G19350.1 pep chromosome:AWHD00000000:7:18541616:18543422:1 gene:ONIVA07G19350 transcript:ONIVA07G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPQQQQHPEINLDENRGERVMLMENTLLNPIFLVALMMYSLLIGNSLSGRTATPHGYGAPPPSPAGLGGQLHVPLIRDGGHGSPCACSSSYDEAIEAGWLDCLRVVGRLYVRALPPRGARGSVVGQASRGDVRCGGPTMYRPVLVVLQ >ONIVA07G19340.1 pep chromosome:AWHD00000000:7:18528346:18530052:1 gene:ONIVA07G19340 transcript:ONIVA07G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKCMQEEKINQIFQKLEEIEVRRSKAFEEMIVAIRATTAIIKGASSPTPMAPPPPAPTNSTWELGDRKDMDQAPYIVTKDLPKVTPTKCSTLCSSSDIKPDLIVDVPVENFSSRTVTDIKLDTPMLNTKYRNE >ONIVA07G19330.1 pep chromosome:AWHD00000000:7:18528109:18528345:1 gene:ONIVA07G19330 transcript:ONIVA07G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGEYCSNAPCKWLPDLGKGGAHGTNAHNMFDGMPSQPEMSKEDQRISDPIPINSTMNKEEKWLDDALDWILEKFE >ONIVA07G19320.1 pep chromosome:AWHD00000000:7:18526427:18526795:-1 gene:ONIVA07G19320 transcript:ONIVA07G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVDASDGDGATAVAGVDNGHSCGGCRRRDGCGRGGREPRPRMQAMATGMAGVDESHNCADVGGDDGCDCGGREPRLRMQATARRRGPQGDEGDGGRRQPQGDEDDDATMPVEPDEGSWST >ONIVA07G19310.1 pep chromosome:AWHD00000000:7:18518794:18521709:-1 gene:ONIVA07G19310 transcript:ONIVA07G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I347] MATEDTSHISGSSESTIPLLEQLAEVFGKLKSHTETSLQLQNGINWEDIKAHFLNLEKSYKSKCDELAEKQKALEEKKAESCRLIAEKEANVSAKERAFLNQFQELRDTAVSALSEVRQKYKVELAGILDANGSKDKKVRTSTNDMNALCASEENTTASGLGEPSEASPVDVKPRPVLKQLCEQMDTAGLLKFLSENWKKLSSLRDELSAALRCATDPARFVLGSLEGFFPSDHTSSPGNKQIILQGQHRSCIILMEAITPALATKEPGDNHPWSSEIRELAKAIAEEWKSKLAEVDLDASDGYSLEAQAFLQLLTTFNVDLVLDEDELCKLVVAVSRRKQTAELCRSLCLNERIPDIIKELVNRHRQIDAVQFIHAFGLSESFPPAPLLKTYVEELKDSLGNNGDGNAASLKDDPKTRELLALRAVIKCIEEYKLQKDYPLGPLQKRVAELKSKGEKRPLEAGRHNAKKPRSFGNSAARRPPNPVGSAGRRPSGPAGTWQRPPPPMPSYPDRYGHADRYHYTAPSATYDPPAYASYSEPYSASKPYQYTPGSVAPASYNSNQFKVAYGGPGAPPTAGGYGSYNDAAGQSTSSSYSGYSGYHPSLPRVQTPDVTFRYTLVDFVAVTGVDLDLPRLVVAYNLARFKDAKI >ONIVA07G19300.1 pep chromosome:AWHD00000000:7:18511141:18518329:-1 gene:ONIVA07G19300 transcript:ONIVA07G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSRRLGSLVPFAAAVVLLLLIASAAAAAAGSPDRAGPARPPLVLPLTLAYPNATRLPASSARRGLGDGHNPNARMRLHDDLLTNGYYTTRLYIGTPSQEFALIVDSGSTVTYVPCATCEQCGNHQDPRFQPDLSSTYSPVKCNVDCTCDNERSQCTYERQYAEMSSSSGVLGEDIMSFGKESELKPQRAVFGCENTETGDLFSQHADGIMGLGRGQLSIMDQLVEKGVISDSFSLCYGGMDVGGGTMVLGGMPAPPDMVFSHSNPVRSPYYNIELKEIHVAGKALRLDPKIFNSKHGTVLDSGTTYAYLPEQAFVAFKDAVTNKVNSLKKIRGPDPNYKDICFAGAGRNVSQLSEVFPDVDMVFGNGQKLSLSPENYLFRHSKVEGAYCLGVFQNGKDPTTLLGGIVVRNTLVTYDRHNEKIGFWKTNCSELWERLHISEVPSSAPSDSEGDMAPAPAPSGLPEFDVGLITVDMSINVTCPNLKPHLHELAELIAKELDIDSRQVRVMNVTSQGNSTLIKWGIFPAGPSNSMTNTTAMGIIYRLTQHHVQLPENLGSYQLLEWNVQPLSKRSWFRDHVVSILLGILLVVLLTLSALLVLIVWRKKFRGQAAYRPVDSAVPEQELQPL >ONIVA07G19290.1 pep chromosome:AWHD00000000:7:18508976:18510609:1 gene:ONIVA07G19290 transcript:ONIVA07G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRATQLVVRREKSGAMGALCGLGSHFSTASSCQRLPGKVAVITGAASGIGKATAAEFIRNGAKVILADIQDDLGRAVAAELGPDAAYTRCDVTDEAQIAAAVDLAVARHGRLDILYSNAGISGSSAPAPLASLDLADFDRVMAANARSAVAAVKHAARVMVPRRGGCVLCTGSTTGMLGGLAALPYSLSKAAVVGVVRLAAAELARSGVRVNAISPHAIATPLLVRSLARMNPGVSDEQLKEMVERGMSELHGAVLELEDVARAAVYLASDEAKFVTGQNHVIDGGFTVGKPMDMRVPR >ONIVA07G19280.1 pep chromosome:AWHD00000000:7:18507144:18508839:1 gene:ONIVA07G19280 transcript:ONIVA07G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPLRATTALLATLLVAASFQDLTVAADGGGGVVPVPDSVCDAKCQKRCSLKVAGRCMGLCKMCCHDCGGCVPSGPYASKDECPCYRDMVSPKSRRPKCP >ONIVA07G19270.1 pep chromosome:AWHD00000000:7:18503222:18503686:-1 gene:ONIVA07G19270 transcript:ONIVA07G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRSTAPARAGRGGVWWRWGGAERGARGGVSSVRGRPRWSVSAAGRHGARWSEELRIKGRRSIPESSRGGWEESEAPAAAVHAGRISSEDAAVQRQNIRGGGGASKEEEKRSLRVWSDVFAGGGGVKYSAWRGWMDRAVVKSSAQMDGRDRFE >ONIVA07G19260.1 pep chromosome:AWHD00000000:7:18499788:18504376:1 gene:ONIVA07G19260 transcript:ONIVA07G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPESISSLSAVAAIHFIVDPVQPLSMDLQPWCEGIVSPHGVAIPLRILQMLQLMYMSTKRPECVKITTALRLKMSIQIIPDLNELSGIRSTVLEHQYLRSFTGGRSKLMLAQALVNH >ONIVA07G19260.2 pep chromosome:AWHD00000000:7:18499788:18506328:1 gene:ONIVA07G19260 transcript:ONIVA07G19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPESISSLSAVAAIHFIVDPVQPLSMDLQPWCEGIVSPHGVAIPLRILQMLQLMYMSTKRPECVKITTALRLKMSIQIIPDLNELSGIRSTVLEHQYLRSFTGGLLFHMLLSTQ >ONIVA07G19250.1 pep chromosome:AWHD00000000:7:18496730:18497876:1 gene:ONIVA07G19250 transcript:ONIVA07G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIMVSVDEHKAKFLSYLITVFMVAMAVFFSSRMAGWAVCPGAGGITGGCVSGGEDVAKTCLAFALLTVAAQAHLASVLLMDEKKKRNPPAASWVAWLLAVSTCASVSGMFLAYISYGGFVTATSLEWPVAGVASAVNLAVAACTVLRYVRVNGDMDG >ONIVA07G19240.1 pep chromosome:AWHD00000000:7:18488461:18489355:-1 gene:ONIVA07G19240 transcript:ONIVA07G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKAVCHVVVDIELQDVARGGTAATTTNCCAVCVEPLEWVAIGPCGHRCVCARCIVQVRFVSEDRRCHVCGAPCPLVVVVKGHCINGADILAELPSSPSMITRQGRVDNFWFNVDTAAYFADEQQFKVARIACVKKSFYKPKEWWRSHRRDVCWKNESYVVESDNLSRVNMCCTVVC >ONIVA07G19230.1 pep chromosome:AWHD00000000:7:18481995:18487776:1 gene:ONIVA07G19230 transcript:ONIVA07G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPSAAAAEGSQHASAAEGGSAAAAASAAPAAKAAEALLPSLSIWPPSQRTRDAVVRRLVQTLVAPSILSQRYGAVPEAEAGRAAAAVEAEAYAAVTESSSAAAAPASVEDGIEVLQAYSKEVSRRLLELAKSRAAPSPAAAAPAEGAASESEAAAAPAPAEDGYLRAKIVHHPDSIAGAKHTWEKASSSKQAKKKESGPRGLKPLARCPHRSIAKCLRPKKSLILVLNFTSKSLGIPEDSSRRGHTNATVANAAASARLGSETRNPARPIEASDLLARADPATSPPPGAIRPWSASEFEANGAPIRKSKSLPRLSLCSLLSSPSSLASCSASSLECAAIHPEGETVTARVEVELSGGWDGFLRDE >ONIVA07G19220.1 pep chromosome:AWHD00000000:7:18476766:18480004:-1 gene:ONIVA07G19220 transcript:ONIVA07G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRLLLLLAVLLLTLLAATAAVVGGHGGDDVAGAEEAKPPDDDGAAAKLDVRARRWPRFPATDGQLALFGKNMVRACKILDEGGVRRVTGAPSGRSLFLVMGESRRKEEYICFPEHLCTCYSFFYDIVGRGEQLCCKHQLAARLAEAVSKHQDIEFYFAPPDQA >ONIVA07G19220.2 pep chromosome:AWHD00000000:7:18476766:18480004:-1 gene:ONIVA07G19220 transcript:ONIVA07G19220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRLLLLLAVLLLTLLAATAAVVGGHGGDDVAGAEEAKPPDDDGAAAKLDVRARRWPRFPATDGQLVMGESRRKEEYICFPEHLCTCYSFFYDIVGRGEQLCCKHQLAARLAEAVSKHQDIEFYFAPPDQA >ONIVA07G19210.1 pep chromosome:AWHD00000000:7:18468868:18469434:1 gene:ONIVA07G19210 transcript:ONIVA07G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPEVVQHKAANRAAEDDHTTSIPWLKLGVVDALTAEAGKLPESNPKPAVAAPHRTFSCNYCMRKFFSSQALGGHQNAHKRERCAARKSHGFQQQHLMVGLSPTAPSSFLHHMRVNPHATILKVNRGDSSADGVVVAKFHGGQMSSSWVPFAVEHGRGSVWPGSFKASSQEQKKRTEEDLDLSLRL >ONIVA07G19200.1 pep chromosome:AWHD00000000:7:18453792:18455703:-1 gene:ONIVA07G19200 transcript:ONIVA07G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTDVSTTGTALRTPAAGAVKEGDVEKLRFIDEMTTNVDAVQERVLGEILGRNAGTEYLTKCGLDGATDRAAFRAKVPVVSYDDLQPYIQRIANGDRSPILSTHPVSEFLTSSGTSAGERKLMPTIMDELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETKTPGGLTARPVLTSYYKSDHFKNRPYDPYHNYTSPTAAILCADAFQSMYAQMVCGLCQRNDVLRLGAVFASGLLRAIRFLQLNWEQLADDIESGELTPRVTDPSVREAVAAILLPDPELAKLIRAECSKGDWAGIITRVWPNTKYLDVIVTGAMAQYIPTLEFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFEFLPVDETGAASGDATQLVDLARVEVGREYELVITTYAGLNRYRVGDVLRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLRPHGASVVEYTSQACTKRIPGHYVIYWELLTKGAGATVVDADTLGRCCLEMEEALNTVYRQSRVADGSIGPLEIRVVRPGTFEELMDYAISRGASINQYKVPRCVTFPPIVELLDSRVVSSHFSPALPHWTPARRSE >ONIVA07G19190.1 pep chromosome:AWHD00000000:7:18436851:18437909:1 gene:ONIVA07G19190 transcript:ONIVA07G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDLTKGRVHVLPGRRVRRDVDRPAVLQADVVDRLPGGRRRPSAIRRPERRRHLRGLRGAHGGVAGLHRVLRGGGRRARAAGDDDEGGEVRSVGGRRLDRRRHLAVHLQLLLHLHRRRWSGADVAAVDDRRRLLRRQLRLRHAHHHAFHWQVQQCQG >ONIVA07G19190.2 pep chromosome:AWHD00000000:7:18436958:18437909:1 gene:ONIVA07G19190 transcript:ONIVA07G19190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVVVYVATSTGPLFCRLMSSIASPEAAAARRRSGGLNDAVTCAVFAVLTAASQAFIACFVEEAVGPAPPATTTREERCAAWAVGVLTGVVTSLCISSYFFTYIAAGGVAPTSLQWTIAAVFSVANFVFVTPTIMRFIGRFNSARDD >ONIVA07G19180.1 pep chromosome:AWHD00000000:7:18434733:18435368:1 gene:ONIVA07G19180 transcript:ONIVA07G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGINEGRLLAFLIVLYASTIAASIGRRILGARLAAWRSDGLGATCMSFAALTLAMQAMLACVLEEKPAAAAAAVARRPASGGLLPWLVAAVSWMCVTNYFVAYIALGGNVAPTSLEWTAAGVASAANLAIATRTVRRHLGVSNPAKNES >ONIVA07G19170.1 pep chromosome:AWHD00000000:7:18429038:18432110:-1 gene:ONIVA07G19170 transcript:ONIVA07G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAPPSALAAAAAPARGGRKRKRHLVTTSPAAQCAPSPRDPTLRKHLLRPRAWVALCDGDAARPDDACEITFFHTRTARSLRVRLPELRCHRIVGFTDGLIILLHKRTTAVRVLNPFTGVAVDLPPLAPVFHQVVKNRNSLLYMLHQRHVSDDPHCRHRLVPLHGWSARL >ONIVA07G19160.1 pep chromosome:AWHD00000000:7:18418845:18420585:-1 gene:ONIVA07G19160 transcript:ONIVA07G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKQGFFAALKEEVVRGLSPARSRGKSPARSASPGRMLIPRRRKEKQQQPPPEKLLQQYFAEPLISRSGSLRPGGEALAPLIEGPDPERLAAGGDFAGGEESARREGFGRWVRGQLARTPSVASSAAAAASPGGSGDSFRRSDLRLLLGVMGAPLAPIPSKLADPLPLLSIKGTPIESSSAQYILQQYMAASGGYRLLQSVRNAYAMGKVRMVASEFETATRVVKNRGPSGRGAASVEQGGFVLWQMAPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLSADPQTLKQRSEGPAEIIRHVLFGYFSQRTGLIVHIEDSHLTRIQPHSGGDAVYWETTISSALEDYRPVEGIMIAHAGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSVDCFIPPADIRSGPVGESCELPPPAAAPHGERARHPARVAAVDRAPPHHNAGGANVGRRGDKIMWRVEV >ONIVA07G19150.1 pep chromosome:AWHD00000000:7:18409264:18412250:-1 gene:ONIVA07G19150 transcript:ONIVA07G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDWPTTPAPTKAPPTKSTKAPAPAPKAAKATPPPASSPPVEAPVASPPSPAAEAPATIPTKPDAPAPAPAKKKKPSPPSKKKKKSSKAPAPAPVAVAESPKHSKKAKAPAASSTADAPGPAGDGVAADSTGAAGRTSVQAIASACAVALGLVALLA >ONIVA07G19140.1 pep chromosome:AWHD00000000:7:18401788:18404142:1 gene:ONIVA07G19140 transcript:ONIVA07G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02860) TAIR;Acc:AT5G02860] MADTLAFPLPLRAPAPPPSSPISQASAHSSPPPRIFSLLSSSHPAPSSSTSRKPRLGRPGGGGQQPWHLPPSLSLPARRALLALLDDPGRATSPRDLLSGLPAPELAAVVGALGSRGQPGAALAALHAARELHGEGVLHHPRVLATAIRVMARAGRLAEASALLDAAPGPDAGAYTALVSAFSRAGRFRDAVAVFRRMVDSGVQPAIVTYNVVLHVYSKMAVPWKEVVELVASMKEHGVAPDRYTYNTLISCCRRRALYKEAAQVFDEMKASGFEPDKVTFNSLLDVYGKARRHDEAIEVIQEMERVGCPPSVVTYNSLISSYVKDGLLEQAVALKQEMEVKGIKPDVVTYTTLISGLDRAGKIDAAIVEYDEMVRNGCKPNLCTYNALIKMHGVRGKFPEMMAVFDELRSAGFVPDIVTWNTLLAVFGQNGLDSEVSGVFKEMKKAGYIPERDTYVSLISSYSRCGLFDLAMQIYKRMMEAGIYPDVSTYNAVLSALARGGRWEQAEKLFAEMEERDCKPDEYSYSSLLHAYANAKRLDKMKALSDDIYSERIEPHNWLVKTLVLVNSKVNNLAEAEKAFLELRQKRCSLDINVLNAMVSIYGKNRMVRKVEKILSLMKESAINLSAATYNSLMHMYSRLGDCEKCENILTEIKSSGVRPDRYSYNTVIYAYGRKGQMKEASRLFSEMKCSGLKPDVVTYNIFVKSYVSNSMFEEAIELVRYMVTQGCKPNERTYNSIVEGYCRNGKLTDAKIFVSNLPQLHPGYSKQEQQNLFEVLAKYTQR >ONIVA07G19130.1 pep chromosome:AWHD00000000:7:18400671:18401305:-1 gene:ONIVA07G19130 transcript:ONIVA07G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWERLKERELGCSGRRADGSGGIDGLSLAHADRGGAPHARRRPMRNTRGGTDLKLELTCAEIPKEGLGNVPLNLDLACAKLPESEA >ONIVA07G19120.1 pep chromosome:AWHD00000000:7:18396790:18398221:-1 gene:ONIVA07G19120 transcript:ONIVA07G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVVMVMVVVTATAMAMAVQESRHSDFFVEGEVYCDTCRAGFVTNVTTAIQGARVRLECRHYMSASGSVERSAEGTTDATGHYRMELVEVDNRGAELVCAVALLSSPVPECHEMEVGRDRAPVTLVQDTRPLPICGDLLKSYALGTAPSY >ONIVA07G19110.1 pep chromosome:AWHD00000000:7:18382689:18389341:-1 gene:ONIVA07G19110 transcript:ONIVA07G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVASLAPHRPRRPPRQRQNGAGHFMFPRYCLVMKQHFGLSMKPTRPRATGGLRRGSGAVTSSTTNNDGNIYNVVIFLETYIGDSVLSFAL >ONIVA07G19100.1 pep chromosome:AWHD00000000:7:18377129:18377728:1 gene:ONIVA07G19100 transcript:ONIVA07G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGGAADGGDLISLCLMALAAAARGESTALALALAPPPPELHFRCSLCGKAFASYQALGGHKASHRKPSAAAAAPPAHRDVVVAAAPASSGGVAADADAASEADGRRRRHVCSLCRRGFATGQALGGHKRFHYLHGPSVSATVSSAATAASVGAAFDLNVAPIKEIAGEQRRCGEEADDDDEAESPSPAKKPRRRPG >ONIVA07G19090.1 pep chromosome:AWHD00000000:7:18375051:18376460:1 gene:ONIVA07G19090 transcript:ONIVA07G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDEPATKAAGGEPKPSPATNAAGEEDEEEESSGGGGTEPENRVFLWTNFELVKEHAAVFVASGDSGPSFFRRKDTGFRVFRETVAHPSSVEKAPVSEYSLFRVCINPQVAYVSQVEIELGPVIKFQASYLGSLFASSAIVRCFDLTRAISSCHLRRL >ONIVA07G19080.1 pep chromosome:AWHD00000000:7:18356725:18357582:-1 gene:ONIVA07G19080 transcript:ONIVA07G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAADAAAGGGSVAKDTGDDFEFCVLSSGGLVSAGAGAAAADMCVADEVFSQGKLLPLRLSSAAAGDAAGLGVLPRSESVASTVGFGSRSDSRSASSSGSSSGCVSRSESSKSASSDHSAAHPPPQQQQQPRRSLSSSLFYAHPSPSPQLRTRPPRRSTGSAPPPPPATAWGIFRLGVVGAPDVYPPRSTDSKNAAAAAKVGSSRSARFEPASTAADKKHPVVGLFGDSFGCKCSPDVVEPVTLPAAAKRAKAKNKNKAGDKKAQSVRRSRILDWFEELTITKK >ONIVA07G19070.1 pep chromosome:AWHD00000000:7:18346514:18351508:1 gene:ONIVA07G19070 transcript:ONIVA07G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66240) TAIR;Acc:AT5G66240] MVARGPAVPYRGPVQARHGPRAIVPGTARLGPVHLSTDSADAEAACVAASATRRRRRGPRRLLGSPPAPSSRRSPSPGALLLPSHTLASDPESISPSRRRLGLDSRLLEEFAPSPAADLHPSRERRCGVPAASASGREREERVTMVSMEVTDEMFKCMEVGLAFRDYNGRISSMDFHSKATNYLVTACDDESIRLYDIQNAVCLKTINSKKYGVELVCFTENPTYVLHSSKNGWDDSLRLLSLVNNCFLRYFKGHLDRVVSISLCSENGNILSGSLDRTVLLWDSRVEKAQGLLRVQGRPAVSYDDQGLVFAIAYGGYIRMFDARNFEKGPFDIFSVGNDDSEANVIKFSSDGRRLLLTTKAGRVHVLDSFHGNNIATYNVKPVVSNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDSEPPLIRWAPGSLMFLTASSELSCWVPDLSKLGSFTVSK >ONIVA07G19060.1 pep chromosome:AWHD00000000:7:18341053:18342471:1 gene:ONIVA07G19060 transcript:ONIVA07G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTHTAPPPPPLHPNGHGLGLGLYLDVGATRGGGGARPWSSSSSTTTLGGSGYFPSSAAASKISLGNLNSTGCMEQLLVHCANAIEANDATLTQQILWVLNNIAPADGDSNQRLTAAFLCALVSRASRTGACKAVTAAVADAVESAALHVHRFTAVELASFIDLTPWHRFGYTAANAAIVEAVEGFPVVHIVDLSTTHCMQIPTLIDMLAGRAEGPPILRLTVADVAPSAPPPALDMPYEELGAKLVNFARSRNMSMDFRVVPTSPADALTSLVDQLRVQQLVSDGGEALVVNCHMLLHTVPDETAGSVSLTTAQPPVSLRTMLLKSLRALDPTLVVVVDEDADFTAGDVVGRLRAAFNFLWIPYDAVDTFLLKGSEQRRWYEAEVGWKVENVLAQEGVERVERQEDRARWGQRMRAAGFRAAAFGEEAAGEVKAMLNDHAAGWGMKREDDDLVLTWKGHNVVFASAWAPS >ONIVA07G19050.1 pep chromosome:AWHD00000000:7:18322709:18324365:1 gene:ONIVA07G19050 transcript:ONIVA07G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEGCVLRPCLQWIDGAEAQGHATVFVAKFFGRAGLMSFLTAVPEPQRAAVFQSLLYEAAGRTINPVGGAVGLLSGGSWHLCQAAVDTVLRGGGIQPLPDQVDAAAAGGRDVFASTARRAMGGCSTFSTAKRSTTTTTTKNPGTPHDAAAAAPQPEPSCDLGLWLSPGSPPAPGDRRSGGRRADTPSMNSEGSVTTCGVVGGGEREPELLNLFV >ONIVA07G19040.1 pep chromosome:AWHD00000000:7:18318883:18319128:1 gene:ONIVA07G19040 transcript:ONIVA07G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRQSPAAVIVSPVAGCRRPRPLPPLPTEGSNPPTSSPAAYPNRRRVREEKGRGGERVMTWPADMWGSCGSHADSATT >ONIVA07G19030.1 pep chromosome:AWHD00000000:7:18317914:18318759:1 gene:ONIVA07G19030 transcript:ONIVA07G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFAAPAGRAGLGAVVLVAASRCPLFSFFALLVLLLFQPHHQLAASHVAVNQQLAAAKNRLTAADALLPRRCLAPLAGRHVAGWGRT >ONIVA07G19020.1 pep chromosome:AWHD00000000:7:18311555:18317040:1 gene:ONIVA07G19020 transcript:ONIVA07G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVKVDMLEVEKVVDKIQGNVPKVEWDFEGIHYFDDGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLQSYTGPQLRQLLNWPRPLPIEEERVRLLHEVGMELERSFGGEAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDIKSITIFADYIVPAVLRELGILKYGSNLSCSIDSSSEIVPGSEEEVEIRACSVYAVEKMRELINKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >ONIVA07G19020.2 pep chromosome:AWHD00000000:7:18311555:18316252:1 gene:ONIVA07G19020 transcript:ONIVA07G19020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVKVDMLEVEKVVDKIQGNVPKVEWDFEGIHYFDDGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLQSYTGPQLRQLLNWPRPLPIEEERVRLLHEVGMELERSFGGEAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDIKSITIFADYIVPAVLRELGILKYGSNLSCSIDSSSEIVPGSEEEVEIRACSVYAVEKMRELINKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >ONIVA07G19020.3 pep chromosome:AWHD00000000:7:18311555:18316252:1 gene:ONIVA07G19020 transcript:ONIVA07G19020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVKVDMLEVEKVVDKIQGNVPKVEWDFEGIHYFDDGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLQSYTGPQLRQLLNWPRPLPIEEERVRLLHEVGMELERSFGGEAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDIKSITIFADYIVPAVLRELGILKYGSNLSCSIDSSSEIVPGSEEEVEIRACSVYAVEKMRELINKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >ONIVA07G19010.1 pep chromosome:AWHD00000000:7:18307024:18307479:1 gene:ONIVA07G19010 transcript:ONIVA07G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVKGVGVDTLELVKPSQRAYECSVCGKVYWCYQALGGHMTCHRNLFAQVVAGDELSSDGTMVVKGHKCSICRLEFPSGQALGGHMRVHYVCGVEGGSVKEKNVVKTKVTGALKLVLKDFDLNVPVVATMVGDEAESSHSEAKARMMTLP >ONIVA07G19000.1 pep chromosome:AWHD00000000:7:18306799:18307020:1 gene:ONIVA07G19000 transcript:ONIVA07G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNEKPLVPPLSPTPVDFRAHQVFPSKHHDFDTSKSRNISGSVAIGSDSEEEYLATSLLMLAHGIRDETKDI >ONIVA07G18990.1 pep chromosome:AWHD00000000:7:18296786:18299306:1 gene:ONIVA07G18990 transcript:ONIVA07G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGMINMPCLDGLISNKNRSETDAYVFLKLLASSSGGNNIMGPHDSPARCVEYSYSPTCRYGTFATGGCDSFMAFGMALTKALKYPCNITSCLSARMAICFAVASRCTFDKPKPEDEDISGSLSA >ONIVA07G18980.1 pep chromosome:AWHD00000000:7:18295994:18296653:1 gene:ONIVA07G18980 transcript:ONIVA07G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGKPPVPPPSTPPMDSWACGGRRSKRRGGGGGSSGSSGSSGGGGGGESEEYLAACLLMLAHGVRDEAEVVGVAAATAKPQHGYECSVCGKVYGSYQALGGHKTSHRKPPSPAAEPAAGEEPSSGGVAGEAKVHRCSICLRTFPSGQALGGHKRLHYEGGAVGDAVKEKNSLKTKAAVATAVLKDFDLNLPAAATTAGDEAESSPPEAKRARLLLLV >ONIVA07G18970.1 pep chromosome:AWHD00000000:7:18288382:18292865:1 gene:ONIVA07G18970 transcript:ONIVA07G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPTGDPPVRVAVASGGGGMGLGKELREPPSDGVSSLRFSKHSDRLLVSSWDKTVRLYDAEANVPRGVFMHAASVLGCCFHDDSSGFSASADNTVRRLAFSSGGNYFLGRHDAAVSCVEYSYSTGQVITGSWDKTIMCWDPRGVNGTSHHTLVGTHNQPERVYSLSVSGYNLVVATAGRHVNVYDLRSMSRPEQQRESPLRYQTRCVQCYPNGTGFALGSVEGRVAMEFYDQSESAPYKKYSFKCHRVPEDGETKVYPVNAISFHPVHGTFATGGCDRFVNLWDGANRRKLFQFPRYPSSIAALSFSRDGRLLAVASSYTYEEGDIPHPPDAIFIRDVNEVQVKPRPKITFTEPPSVASPSV >ONIVA07G18960.1 pep chromosome:AWHD00000000:7:18279490:18280742:-1 gene:ONIVA07G18960 transcript:ONIVA07G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLGAHGDHCFTYEQMDESMEAMAAMFLPGLDTDSNSSSGCLNYDVPPQCWPQHGHSSSVTSFPDPAHSYGSFEFPVMDPFPIADLDAHCAIPYLTEDLISPPHGNHPSARVEEATKVVTPVATKRKSSAAMTVCPCKYLNRTVIYFSYHAVLFSMPNWIDELIQASKKSKKAGKKDPIGSDEGGNTYIDTQSSSSCTSEEGNLEGNAKPSSKKMGTRANRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDMWMYAPIAYNGVNISNIDLNISSLQK >ONIVA07G18950.1 pep chromosome:AWHD00000000:7:18275881:18278835:1 gene:ONIVA07G18950 transcript:ONIVA07G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSSSTSRPALPSSPHRPALRPGSLQRLLRPPDPSDDDGAAPTAPRSSRGGGRVLLQVTNITPALSGANPFSGHHGFYLRLSDSARSCYVSLHADHDDLILTNGLHIGQVIEVEHLVPSVPAPVLRHFRVLPGRYPCIQQEPADDAAAGGAAAEIKEVVSERPRRSSPTPSIPGERRARQAGGGGSPSAISYRHRSRSISNLSEAGAAARRSGAAVLGKLRKVSVTSIDGTSTDDDDEESDVSSLSSARRNWDFTGGVKDRRPVAPRRRGNSVSPSKSGPNSTITQNDDPMESVRRKAEKAFNVLSKRASAKMTRESSNCTVATPQSAAASSSIKWCESNVMWSTLSSSLLKHGKEAVKQRDMALQAVLDGLLEASTTEKLIKCLSTYSELQSDKEENPKELIDRFLKFSQELDHAIFIAQSQTKIRHVKACGSNSTSSASTKAALKAALDRKQSAILWIREAIEADLSPFSSHTRPTESPKLSLAESKPMTPLFCCSKPKCNCSKRSSRKASDGSSQGSNMSAAMDLAVALRSECNCWFLKYIDKFLDDIESETMYAPCDSQVAGLLQQLKRVDDWLNRVALHERMLSVDRTNKDIMFSEEEESDACERVRRKIYGALLRHVQYAAMALEGLNGVIDEEKDERK >ONIVA07G18940.1 pep chromosome:AWHD00000000:7:18267045:18272987:1 gene:ONIVA07G18940 transcript:ONIVA07G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I305] MRRRVALSTAIALLVGAQLCVAAEVEVAGAGGGVVRRRSLHQPFFPIEWSPPPPMSGSEAVPPPPPAAAASATTGGGRSTTTVMNTVAIALSAGLVALAVASYSCCLLLRRRRREEEDDGDRAAKRAVGAAAAVAARVPSDVGSSSRQHRSPPPSSTASDAIYLDPLTTLVEVRQHEKSPDLRPLPLLKQPSPDLRPLPPLKRPESQPPPPPPSTPPLTTTGYSTDEEDQATYYTAPKTAMSSFSRSTSQHSTLEQTAMPPMAAPAPPQTNPPRPVRPPPPPPPPRQRLLRPLPAESPPPAALANLELTGSPVKPAVEDRGGENSGAARPPKPPHLKPLHWDKLRAISGRTTVWDQVKNSDTFRVDEEAMESLFLNSGGGGAGSSDPAARRGGSGKQERRLLDPKRLQNVAIMLKSLNVAADEVIGALVRGNPEDLGSEFYETLAKMAPTKEEELKLKGYSGDLSKIDPAERFLKDVLGVPFAFERVDAMLYRANFDNEVNYLRKSFGTLEAACEELRSSKLFLKLLDAVLKTGNRMNDGTNRGEARAFKLDTLLKLADIKSTDGRTTLLHFVVKEIIRSEGFDSDQSAVNPGSGSKEQFKRDGLKLLAGLSSELSNVKRAATLEMDTLSGNILRLEANLEKVKLVLQLKETCSDQGASENFFQAMVVFLRRAEAEIKNMKTAEENALRLVKETTEYFHGDATKEEPHPLRIFVVVDEFLLILDRVCRDVGRTPERVMMGSGKSFRVTAGTSLPPHRNENRRVLSSSDEDSSSS >ONIVA07G18920.1 pep chromosome:AWHD00000000:7:18259247:18261673:-1 gene:ONIVA07G18920 transcript:ONIVA07G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G25360) TAIR;Acc:AT1G25360] MRPWRPPPTAVASLAHQCSLLLRRLAERHSPAPTCPSSSFLRALRCLHARLLTADLLHAPSHPHLTLRLIHLYTLSPDLATPAALFRSDPDPGPVAATSLVAAHAAAGRLRDAAAFFDAVPPARRDTVLHNAMMSAFARASLAAPAVSVFHALLGSGSLRPDDYSFTALLSAVGQMHNLAAPHCTQLHCSVLKSGAAAVLSVSNALIALYMKCDTPEASWDARKVLDEMPDKDDLTWTTMVVGYVRRGDVNAARSVFEEVDGKFDVVWNAMISGYVQSGMCADAFELFRRMVSEKVPLDEFTFTSVLSACANAGFFVHGKSVHGQIIRLQPNFVPEAALPVNNALVTLYSKGGKIVIAKRIFDTMNLKDVVSWNTILSGYIDSGCLDKAVEVFKVMPYKNDLSWMVMVSGYVHGGLSEDALKLFNQMRAEDVKPCDYTYAGAIAACGELGALKHGRQLHAHLVQCGFEASNSAGNALLTMYARCGAVNDARLVFLVMPNLDSVSWNAMISALGQHGHGREALELFDQMVAEGIDPDRISFLTILTACNHAGLVDEGFHYFESMKRDFGISPGEDHYARLIDLLGRSGRIGEARDLIKTMPFEPTPSIWEAILSGCRTNGDMEFGAYAADQLFRMIPQHDGTYILLSNTYSAAGRWVDAARVRKLMRDRGVKKEPGCSWIEVGSKIHVFLVGDTKHPEAQEVYQFLEVIGARMRKLGYVPDTKFVLHDMEPHEKEYILFAHSEKLAVGFGLLKLPPGATVTVLKNLRICGDCHTAMMFMSKAVGQEIVVRDVRRFHHFKDGECSCGNYW >ONIVA07G18910.1 pep chromosome:AWHD00000000:7:18251868:18257843:1 gene:ONIVA07G18910 transcript:ONIVA07G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKVRGVGGGDDLIDSSDADSVGSSSTALSDLSMSYATEHVGSQEFILDKYIDALYEKRGSTREAALSQLVDAFESFILHGLVENKYATLLSLFNSSIKKGSTKEACLASRAIGLLALTVGAGSSSHEIMEESHAQLSRVLQTWPDASKMISALDCLAVVTFVGAADLAETELSLKAMWDVIHPKSGSNVGIIRKPRPPVLAAAISAWAFLLTTIGSWRINADSWKEPIAFLSTLLGAEDRAVRMAAGEALALCFELNLLDVSFGEDDDVENGGTVGSKSKLFLDMQALKAKISSLASNLSMEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESVKISGKRDVLRVSSWSELIQLNFLRRFLGRGFLKHVQENGLLQDVFDIKTDKAETLSSTDKKIFRSGEEKGRALKLNKDRRLAQERKNAVMLDLDE >ONIVA07G18900.1 pep chromosome:AWHD00000000:7:18247736:18249676:-1 gene:ONIVA07G18900 transcript:ONIVA07G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLSLSLPPRATHWFPQMLLLSLLRSQAPPQLSRTRWPGPTPGGSLPLSSIDKTAAVHVFVDFIQVFPFTFAEIVEDKAVSIFAMWEGFAKVLIHYYPVANTSRSRSSGSPRYTAHGEGVWFIEAEANCLLEEALNLEHLLCIPKDKLLPCPAPEASLGGP >ONIVA07G18890.1 pep chromosome:AWHD00000000:7:18237560:18239995:1 gene:ONIVA07G18890 transcript:ONIVA07G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVQRVRQRRPLVHAGCRRGNPPAAAVVRGGGVGRDDLVARRGAGVAVVVAGLATARRDGAPAAGEAQPGQPDSHRGGRGGASARRAAVARRPAPAGARRHGAAQPVDLRREQGDHRGGRRDTAARPRAQVGRVAGRAGERGVRAAPPVPARRLRRGLHRPRGRHPAAGLPPRDRRRARQEGRRYGPLRALQRRAREPPPRRGGRCRAPTARPHGRPGDRHGGQGRLRLALPRRHRRGPLRRRRGRRHPRPRRDGGGRLPAAEGDRHPLPPTDLRGQRCLPHHGRPRGRHPSPRRPLPILLRPPQAQNQGGGADRDATAATEREPAREANGGRCGGVILTSFNSSPQLGGAPGVVLCFPYSGGDRGRRRPPARACKYTNQRVCVRERVYIFLKRDDDEGDGGAKKSLL >ONIVA07G18880.1 pep chromosome:AWHD00000000:7:18231090:18234973:-1 gene:ONIVA07G18880 transcript:ONIVA07G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIPIPSSPKPPNQAAPRLTGGPHGTEGYIAWFNSGALSLSLSETADHTRSSEARVSHPREVGAPLFLLLPPPRHPSSVKMSDSHETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQIARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLETNRYVLKNSASGETVIKHFNKEQEADQSNFRDPASNAELEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDEGLSRN >ONIVA07G18870.1 pep chromosome:AWHD00000000:7:18229021:18229404:-1 gene:ONIVA07G18870 transcript:ONIVA07G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTPTNPSNQPQQHKQPRPRDQGGEKITKEERGFVAKMEGLIPFVIDAIRRSNDQRGGYRGVSSQDGSSHGGGGGSRRHLIDYWELAGSAAEDARPGSVQETGAAEDERSRPPAAVVAAGSAYRRK >ONIVA07G18860.1 pep chromosome:AWHD00000000:7:18220287:18225564:-1 gene:ONIVA07G18860 transcript:ONIVA07G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMSRSWQELGVVDTIYEDDHEEEEEEEEEEEEEEEEEECFDSPTMSSSPAATSRSCSPPPAAEEFAMPAALRNAVREWSRANGPCKPDVIVRVQEHCFALHRDPITSQSSYLKRRLSECSDVAVDLPAGLTVDAFVDAVASCYGAEAALSPGSLAVAWAAADWLELRGEDGLARRAEDYFFQEVATDHGRAAAVLRACTAFLGGEAAGAGAALLVRCLETLASSGGADGRWLDDVAALPVEEFEVAVEAMRARFAHDHDLMYTIVDHYLQDLETNNLNLLTEEEKSRVCYNVNCTKLSHHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLSGGHHHHAGAAGAPTSAAPPASLLKSSLSGAFGACGGFAATAAAAGDAASSMTLGDILQRDAVLRQSAHIRASMQATTQRIDSLERELAGLRTRLRRSEQAAAAAATASAAIDRASAKSASFRIPRSRLWDGEDLAAPAAAVVTKDTTNTRGLKSRLVLGFKNLFGRRPVTAAAAAPPTSGERAAARVSVNEKAMSTDAPELDADADADELTRPHRRNLSIV >ONIVA07G18860.2 pep chromosome:AWHD00000000:7:18220287:18225564:-1 gene:ONIVA07G18860 transcript:ONIVA07G18860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMSRSWQELGVVDTIYEDDHEEEEEEEEEEEEEEEEEECFDSPTMSSSPAATSRSCSPPPAAEEFAMPAALRNAVREWSRANGPCKPDVIVRVQEHCFALHRDPITSQSSYLKRRLSECSDVAVDLPAGLTVDAFVDAVASCYGAEAALSPGSLAVAWAAADWLELRGEDGLARRAEDYFFQEVATDHGRAAAVLRACTAFLGGEAAGAGAALLVRCLETLASSGGADGRWLDDVAALPVEEFEVAVEAMRARFAHDHDLMYTIVDHYLQLTEEEKSRVCYNVNCTKLSHHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLSGGHHHHAGAAGAPTSAAPPASLLKSSLSGAFGACGGFAATAAAAGDAASSMTLGDILQRDAVLRQSAHIRASMQATTQRIDSLERELAGLRTRLRRSEQAAAAAATASAAIDRASAKSASFRIPRSRLWDGEDLAAPAAAVVTKDTTNTRGLKSRLVLGFKNLFGRRPVTAAAAAPPTSGERAAARVSVNEKAMSTDAPELDADADADELTRPHRRNLSIV >ONIVA07G18850.1 pep chromosome:AWHD00000000:7:18214337:18217429:-1 gene:ONIVA07G18850 transcript:ONIVA07G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I2Z6] MASRREGPLMRGGAGGGAGQPLSRGSRIAAAVAVGVALGCVCAFLYPDGLLSRSSDAALHWPRQADSVACETSEGVTSLKSHVVLLERKNAEFRKQINELTMKLQLAGQGKDEVLYKAGPFGTVKAVRKNPTVIPDESINPRLAKILQQVAIKKELIVALANSNVREMLEMWFTNIKRVGISNYLIVALDDSTETFCKSKGVPFYRRDPDEGVDNIGKVGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFDHLYRDADVESMSDGHDNRTAYGFNDVFDEPLMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSHPGYEGLHISKRTMDIYQFMNSKVLFKTVRKDANLRKLKPVIVHLNYHPDKSERMKAVIEFYVNGKQNALEHFPDGSE >ONIVA07G18840.1 pep chromosome:AWHD00000000:7:18192793:18194589:1 gene:ONIVA07G18840 transcript:ONIVA07G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLQAASEQQQSASYNSRSTTSSGSRSSSHQTNASYSYYHHSSNSGGGGGGGGGYYYGGQQPPPSQYYYLEPYQEECGNAPHHQLYMDEDFSSSSSSRHFHHGAPVQQQQPPASSTPTGTAPTPPLSTSSTAAGAGHGLFEAADLSFPPDLNLDFSSPASSSGGGTASSGAVGGGGGGRWASQLLLECARSVAARDSQRVQQLMWMLNELASPYGDVEQKLASYFLQGLFARLTASGQRTLRTLAAASDRNTSFDSTRRTALRFQELSPWSSFGHVAANGAILESFLEVAAAASSETQRFHILDLSNTFCTQWPTLLEALATRSADETPHLSITTVVSAAPSAPTAAVQRVMREIGQRMEKFARLMGVPFRFRAVHHSGDLAELDLDALDLREGGATTALAVNCVNSLRGVVPGRARRRDAFAASLRRLDPRVVTVVEEEADLVASDPDASSATEEGGDTEAAFLKVFGEGLRFFSAYMDSLEESFPKTSNERLALERGAGRAIVDLVSCPASESMERRETAASWARRMRSAGFSPVAFSEDVADDVRSLLRRYREGWSMREAGTDDSAAGAGVFLAWKEQPLVWASAWRP >ONIVA07G18830.1 pep chromosome:AWHD00000000:7:18175472:18176236:-1 gene:ONIVA07G18830 transcript:ONIVA07G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQVNVSVWRDDDYRRMVMACLIEAVYLLELERQERRDAAAVAQQWWKPFRYRLAHELVDERDGSVFGAIFERDHQPAAAVDGEAPRAVIAFRGTLLRGPTIRRDVEDELRLLARNSLRGSARLAGALQALRATIERFGSENVCLCGHSLGAGFARQVARMLASSSSPPSPRHHHHAAAAAAASLEFHLFNAPYLSLPMGVRSVVKTADCLLKAVRTGVAAVGRWHGKALKNVAYANCILGYTRLESSRRL >ONIVA07G18820.1 pep chromosome:AWHD00000000:7:18166704:18169262:-1 gene:ONIVA07G18820 transcript:ONIVA07G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASTAVPARLKREDYPRTKHDSLFSPWKVLVGPSDWEDHAAGKEGIQRYRVLNLPENFPGLYELGVARASDEGIRAARRWNGSGGGGVVVVYLGQADSVRARLQQYGRTGSHLDAGNPPPSAGEAETNTRATGNGLFREVFVRGYSLVFRCALMGNKQEAEKTEARLLRVFDYAWNKLQNGGLRREEILIKLEQGAVNNRSSLLSRVRHFKQEVFREKAGIKISRNGSVDVSSGIMKNMLPRIRTFVGFRPQLVNSGDNVDKEIGIRWKNTSEGNSYGKQARRSSEGYKVKRVNVIKRRTMPEQDSNDVCGVMLEDGSSCLDHPVQGRKRCELHKGRRLGRITVNPKGSSCSYSCQVEIPVVESISPLTENESESDQAQQTSELLSKFLPETVKKSSRPWYSFEAKEIKTGEAPIEDGKQETSEVIDICEAKKSDNSACTNKVISGSKKCQLHNGCKAEEFVSSRVIDLLQNEEKVKSMTVDKFSGEEISHGKYQSQENQPSGRMWFELIKLQNPTSTLSSKGQGRQKRVTGNVAAICEALTDNRCRETIPMAGRERCDAHEGIKVTDASSVPFSGSSGWPSICGARASDGSPCKNQPIAGRKRCAMHKGQRACRTPSID >ONIVA07G18810.1 pep chromosome:AWHD00000000:7:18165061:18165630:-1 gene:ONIVA07G18810 transcript:ONIVA07G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPPTPTPPPHLPLAASTAVSFTPRAAAQRGRGRRSKPKPKPVAFPPPPLRRLVSSSLRRLLPRPRPLTVLFLGGGGGGGGWFGMGGRGRRRRATPAEELAALALSLALGDKLAVLADYWNASGLGVWAAVWRRGRGRRRRGGGLRRLAALLLGIAFCALVCHLRGAALVDGLARTAGGRKLARIFLH >ONIVA07G18800.1 pep chromosome:AWHD00000000:7:18157168:18164199:1 gene:ONIVA07G18800 transcript:ONIVA07G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO-activating enzyme 2 [Source:Projected from Arabidopsis thaliana (AT2G21470) TAIR;Acc:AT2G21470] MASSPASAASEEEAVKAAKVLMVGAGGIGCELLKTLALSGFRDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAHVARDAVLKFRPNINITSYHANVKDAQFNVEFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVVESLSHEQNSQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKELLFAKMFGDKNQDNDLNVRSNESGTSKSDVFERNADEDLDQYARRIYDHVFGYNIEVALENEETWKNRRRPNPIYIRDTLPEEAIRQNGSSRDINNEQEEPSAMVSLGLRNPQEIWSLADNSRVFLEALKLFFEKREKEIGNLVFDKDDQLAVEFVTTAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLHGDYKKYRMTYCLEHPSRKMLLMPIEPFEPNKSCYVCSETPLLLEVNTKTTKLREVIEKIIKSKLGMNLPLVMIGSTLVFEDGEGLEEDEAANYALNLEKVLAELPAPVVNDTKLTVEDFQQELSCSINIKHRDEFDEEKEPDGMVLSGWSAPVEKQVTSNGGNQSVASSSGADYADGIVEDISTKPGMKRKLDEVLELKENCDASSSAQVVEDDDDDDLVMLDENPKLAKKKRLQ >ONIVA07G18800.2 pep chromosome:AWHD00000000:7:18157168:18164199:1 gene:ONIVA07G18800 transcript:ONIVA07G18800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO-activating enzyme 2 [Source:Projected from Arabidopsis thaliana (AT2G21470) TAIR;Acc:AT2G21470] MASSPASAASEEEAVKAAKVLMVGAGGIGCELLKTLALSGFRDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAHVARDAVLKFRPNINITSYHANVKDAQFNVEFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKELLFAKMFGDKNQDNDLNVRSNESGTSKSDVFERNADEDLDQYARRIYDHVFGYNIEVALENEETWKNRRRPNPIYIRDTLPEEAIRQNGSSRDINNEQEEPSAMVSLGLRNPQEIWSLADNSRVFLEALKLFFEKREKEIGNLVFDKDDQLAVEFVTTAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLHGDYKKYRMTYCLEHPSRKMLLMPIEPFEPNKSCYVCSETPLLLEVNTKTTKLREVIEKIIKSKLGMNLPLVMIGSTLVFEDGEGLEEDEAANYALNLEKVLAELPAPVVNDTKLTVEDFQQELSCSINIKHRDEFDEEKEPDGMVLSGWSAPVEKQVTSNGGNQSVASSSGADYADGIVEDISTKPGMKRKLDEVLELKENCDASSSAQVVEDDDDDDLVMLDENPKLAKKKRLQ >ONIVA07G18790.1 pep chromosome:AWHD00000000:7:18150434:18150849:1 gene:ONIVA07G18790 transcript:ONIVA07G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYGFRSFIQLCDVPIESRKVLELHVFGKLRRESKVPGLWELVGVDEAMAIAMEEAPDDYDSPSEDAPDGYDSLSEDAANGYNSLSDDAPDGYDNPSERCTLWLQQPE >ONIVA07G18780.1 pep chromosome:AWHD00000000:7:18144143:18147300:-1 gene:ONIVA07G18780 transcript:ONIVA07G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPYAAAVVVATVAVLVLVSQVSVAAGADCRFPAVFNFGDSNSDTGGLSATFGAAPPPNGRTFFGMPVGRYCDGRLVIDFIAESLGLPYLSAYLNSIGSNFTQGANFATAGSSIRRQNTSLFLSGFSPISLDVQSWEFEQFINRSQFVYNNKGGIYRELLPKAEYFSQALYTFDIGQNDITTGFFINMTSEQVIAYIPDLMERLTNIIQDGSGCSVAYNEVAQLFNQRLKETVGRLRKTHADAAFTYVDVYSAKYKLISDAKKLGMDDPMLTCCGYGGGRYNFDDRVGCGGKVKVNGTWVVAGKSCDDPLKRVSWDGVHFTEAANKFVFDQIAGGKLSDPPVPLRQACQISRGQ >ONIVA07G18770.1 pep chromosome:AWHD00000000:7:18137959:18139975:-1 gene:ONIVA07G18770 transcript:ONIVA07G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRRRRCTAAPPTTAKLVLLLVVLLLQLSEGASSDGGSDAPCDFPAIFNFGDSNSDTGGLSALIAVVPPPFGRTYFGMPAGRFSDGRLTIDFMAQSLGIRYLSAYLDSVGSNFSQGANFATAAASIRPANGSIFVSGISPISLDVQTSQFEQFINRSQFVYSNIGGIYREILPKAEYFSRALYTFDIGQNDLTMGYFDNMSTEQVEAYVPDLMERFSAAIQKVYSLGGRYFWVHNTAPLGCLTYAVVLLPKLAAPRDDAGCSVAYNAAARFFNARLRETVDRLRAALPDAALTYVDVYSAKYRLISQAKQLGTYVDLTTGAGEETLEIAAGLKNWWVLFVAGFGDPLLVCCGYGGGEYNFDRDIRCGGKVEVNGTSVLAGKSCDDPSRSVSWDGVHFTEAANRFVFELIVGGKLSDPPVPLRQACRRGGGGR >ONIVA07G18760.1 pep chromosome:AWHD00000000:7:18132811:18135996:-1 gene:ONIVA07G18760 transcript:ONIVA07G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAALRPTEPLPLPSGLSLAPRLKLLLTFFRADLSVRPVDEWQLKTALLAFLRDPPLSLPVLPDSDLSVRTLPDLHKRRRDEPVASGVLHVRDLSFLRPRRRNGDDDEEEAEEMTREQEEEKYFQWRSSLVEKLAGIELNLEGVKFRMSVEIPPSDDFRAMKKSWENFYASELLSSRNPVRKIAKRPDTILVRGVPSRWFAETRISSKASTLVMNCPSHFGELTPHTLFFGILLPIFRNLNISSDDEWGAKQDGTNKEIISGLNCKVWVQFENYDDFNSAMQALCGRSLEKEGSRLKVDYEVTWDHEGFFRNAQYEPVRSNLDERNSSAHGRKKHYTSRIESDHRKRFRD >ONIVA07G18750.1 pep chromosome:AWHD00000000:7:18131050:18131907:1 gene:ONIVA07G18750 transcript:ONIVA07G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCGTGSFKDVDGKAAAPEAKKKKQGGGGGGGKKENPYASRGLDKFSTVLSELESRREKILRQVGGGAPGEGGGGGGGEHVLVRFVQSEGKGWVPIVVKLPPEEEEQQHRKGGKNKRKQQAAATSATSSQSSTPPTSEPASPREDVIKPARPAAAAAAAAPGSAKRKAGVRWSWSDVRPRHYMPFVAVLLLASLVVFGKVFAICCTSVWWYLVPILTASSNGAGGAGGAHGVRRSKAAVKVLGKKASDKKMAVTPLLGPSHGKRGSSGVHELISPRSHPHGKKG >ONIVA07G18740.1 pep chromosome:AWHD00000000:7:18121901:18126117:-1 gene:ONIVA07G18740 transcript:ONIVA07G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase-related [Source:Projected from Arabidopsis thaliana (AT5G67590) TAIR;Acc:AT5G67590] MAAPLRRSLPSLGRALLSPSPAPARMLSAAASDALVEIKPGEIGMVSGIPEEHLRRKVVIYSPARTASQQGSGKVGRWKINFVSTQKWENPLMGWTSTGDPYANVGEAGLTFDSADSAKAFAEKHGWDYVVRKRHTPLLKAKSYAENFKWRGPPKAEQA >ONIVA07G18730.1 pep chromosome:AWHD00000000:7:18120186:18121214:1 gene:ONIVA07G18730 transcript:ONIVA07G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQPVDMAVKANEIMARFRPIAPKPVLPAAAAGVTGGGDGAAAVAATNRVLCQLQSRPCRARKRGRPSVVPPVSPPAGAKRKRAPAYPVPVAPLRCAAVATATRARVSVVVVPAPGSAGGVSALAPVSPSAGDSTRLSPTVVEVEDEDEERGVVLVERDLLRKLLEPKVISPRAVRPVGSTIHVESVHIDVGRTTAAAAAAAPKTAEEVEAELESDSLPAVVSDSSNRVRLVNDAYKRMVGQPECPWLDAVATAASRRISGEVALVVSEPPAAAAALPETCKGFSCSAKIAWERDGKWSSVHAPCDVTRLQCESRDYVFAWRFRAAGDECNTHRRAAGDA >ONIVA07G18720.1 pep chromosome:AWHD00000000:7:18109007:18114967:-1 gene:ONIVA07G18720 transcript:ONIVA07G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSVASARAAAEGAATVAARGGAEPARALRLPPANGARRGVRCCCGAANPGGGSGGGGGAVAREEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDGILQDISLLHGLGIQFVLVPGTHVQIDKLLSERGRMAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVIGRWHGLVDNVASGNFLGAKRRGVVSGIDYGFTGEVKKIDVSRIKERLDSDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVIPFMSLEEADMLIRKRAKQSDIAANYVKVVDEEGISHLHEDVKPFLNGSGPLGAYAASFHNGLGFNNGNGILSGEQGFAIGGEERLSRSNAYLSELAAAAFVCHGGVQRVHIIDGTVDGSLLLELFTRDGTGTMIARDVYEGTRIAREEDLLGIRKILRPLEESGVLVKRTDKELLEALESFYVVERDGSIIGCAALFPFPEDKSGEVAAIAVSEECRGRGQGDKLLDYIEKAAMSLGLEKLFLLTTRTADWFVRRGFLECSIESIPEQRRKRINLSRGSKYYIKQLQPKHASVTPNNITAR >ONIVA07G18720.2 pep chromosome:AWHD00000000:7:18109007:18114967:-1 gene:ONIVA07G18720 transcript:ONIVA07G18720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSVASARAAAEGAATVAARGGAEPARALRLPPANGARRGVRCCCGAANPGGGSGGGGGAVAREEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDGILQDISLLHGLGIQFVLVPGTHVQIDKLLSERGRMAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVIGRWHGLVDNVASGNFLGAKADKLICIVDGQIFDEHGRVIPFMSLEEADMLIRKRAKQSDIAANYVKVVDEEGISHLHEDVKPFLNGSGPLGAYAASFHNGLGFNNGNGILSGEQGFAIGGEERLSRSNAYLSELAAAAFVCHGGVQRVHIIDGTVDGSLLLELFTRDGTGTMIARDVYEGTRIAREEDLLGIRKILRPLEESGVLVKRTDKELLEALESFYVVERDGSIIGCAALFPFPEDKSGEVAAIAVSEECRGRGQGDKLLDYIEKAAMSLGLEKLFLLTTRTADWFVRRGFLECSIESIPEQRRKRINLSRGSKYYIKQLQPKHASVTPNNITAR >ONIVA07G18710.1 pep chromosome:AWHD00000000:7:18105676:18108028:1 gene:ONIVA07G18710 transcript:ONIVA07G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGVPPQQGYPGKDGYPPPGYPPAGYPPAQGYPPAGYPPQQGYPPPYAQPPPQQQQHSSGPSFMEGCSNYRTLVSDLSTPTGALGCPLLLLSPGRLLLRIEATGARELKRFVSWS >ONIVA07G18710.2 pep chromosome:AWHD00000000:7:18105676:18108028:1 gene:ONIVA07G18710 transcript:ONIVA07G18710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGVPPQQGYPGKDGYPPPGYPPAGYPPAQGYPPAGYPPQQGYPPPYAQPPPQQQQHSSGPSFMEGCLAALCCCCLLDACF >ONIVA07G18700.1 pep chromosome:AWHD00000000:7:18098569:18098951:1 gene:ONIVA07G18700 transcript:ONIVA07G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDWTSARGASGGGGGRHGAMRRGWRSGRGVWRGLRRTNAGRRGRQCSGSTCQQRLSGGDERRVKTQPGLAGPTTMFPLQRALSCRLIPQGCLPGENLILALLSP >ONIVA07G18690.1 pep chromosome:AWHD00000000:7:18096415:18097319:1 gene:ONIVA07G18690 transcript:ONIVA07G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTSSNFASGGGGMWSWNGIGSDAMLPQDNYTLVWWENSEVKLEVVRMRIRLTDLVKNHVSMKRELVRVSPVNRLLRSFPRTLNSLFRTHLAVEPGVQPPGDAPYMCGDSSQMMAGDSKQGQRWPLQPSLRRSDEDDALHQGRLEEHKSSKERGNRARASKVGGLKELAATSLAACHGWMPYRPDLGGVKEGRAWSVGHWRVDPTG >ONIVA07G18680.1 pep chromosome:AWHD00000000:7:18094771:18095552:-1 gene:ONIVA07G18680 transcript:ONIVA07G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHTWVISLLLTSAVAGASRQPPATASQGPTWTGLAGEQEVEGAATASSAAPVASLFPGLPPLPPLPALPALPPLPPLPALPPLPLLPPLPPLPSPGTTTTRPWPPSPPPTECLTSLVELLPCVDYLTNDATAPSGACCDGFRSLVGSALICLCHGINGDMSRMISRPIDPVRMVLLPAMCSTMLPPQSLFICYTETVPPLVP >ONIVA07G18670.1 pep chromosome:AWHD00000000:7:18082224:18085504:1 gene:ONIVA07G18670 transcript:ONIVA07G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16490) TAIR;Acc:AT4G16490] MVSLAGSQIPSPGQSPCAAARSQRRGAGYSMRTIRSALLQPDSCPGSPHVAAAYDAAGADSDMENLTDSVIDFHLSELAATAGPAHPAAVAKSSSANAVATEMLELSRDFSDYSSFNSDISGELERLAAAAAAVVTPRSDAPQVGAVDLNELESMDLSVEAAPLERVEPFVLACVRALGPDAAPDARRTAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQESAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTASAKQNAACALLSLSGIEENRATIGACGAIPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVVPLIHLVGERGSGTSEKAMVVLASLAGIVEGRDAVVEAGGIPALVETIEDGPAREREFAVVALLQLCSECPRNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGGGGCRVEPVAASSLARTQDSTTALWHLTQLSINHE >ONIVA07G18660.1 pep chromosome:AWHD00000000:7:18067870:18069638:1 gene:ONIVA07G18660 transcript:ONIVA07G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFTDAGVAERVTMDKHMIDGRMVEAKKAVPRDDQSITSKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPAARSPAGGQNYAMSRVHSFLNGFNQGYNPNPIGGYGMRVDGRYGLLTGARNGFSSFGPGYGMGMNSESGMNANFGANSSFVNNSNGRQIGSFYNGSSNRLGSPIGYVGLNDDSGSLLSSMSRNVWGNGNLNYPNNPTNMSSFAPSGTGGQMGITSDGINWGGPTPGHGMGNISSLGLANLGRGAGDSFGLPSGSYGRSNATGTIGEPFSAPPNAYEVNNADTYGSSSIYGDSTWRFTSSEIDMPPFGNDLGNVDPDIKSNIPASYMGNYTVNNNQTSRGQ >ONIVA07G18650.1 pep chromosome:AWHD00000000:7:18054611:18054994:1 gene:ONIVA07G18650 transcript:ONIVA07G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILEDEPAAGIRWQIGSLRKASAATWRREHLVWRGSVETGDADDGAAATWRREHLVRRGGGGQAMQRTARRLIRSGAVMAEVRERCSGGGRRRRCGGRSIGREMGRAGRALIEGGWRRDRSGPFGF >ONIVA07G18640.1 pep chromosome:AWHD00000000:7:18052031:18054150:-1 gene:ONIVA07G18640 transcript:ONIVA07G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARILVHNVANLLSAAQLHCISRQSAPPTRCSTSSASSATTTNTNPLRQLIFKKH >ONIVA07G18630.1 pep chromosome:AWHD00000000:7:18049966:18051205:1 gene:ONIVA07G18630 transcript:ONIVA07G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWRCVWQRRWVVATEEIGVVSLLLSSTVGGGTEMSRVGFGGAGWGDTVLKAEIGGCTETVDEPEIGGGTEETGEAEFPVKIGGGAEETSEKGDERRSGEWRGQLAGWEGGCGVRRATAEWAMRSGRRVGARAPGDDGGGDVHRRDGRENWQRRWISRLEGKCDDFGAVGVREGGCYPGRQISWG >ONIVA07G18620.1 pep chromosome:AWHD00000000:7:18044546:18048318:1 gene:ONIVA07G18620 transcript:ONIVA07G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G48130) TAIR;Acc:AT5G48130] MEPEMEVEVEVEMSPAAAKAAVFSPYSSPSTALLLQRRVVSWAKETGSPATVSVHVGDRSFNLHKDPLVSRCGYLRQAILRCGDGDGEVVELPASFPGGSEAFEVIGLYCYGDAVALDPFNVAAVRCAAEFLDVSGLGARCDLYINQVVLQSWDDALIVLQRCQPLLPVAEELLVVSRCVESLAFMACMEILDPDGDEQRRERDQPGLLAAAAARGLAGRRWDAELVKELAARDLWIKDLVALPFEFFRRIVLALRRQGMKEKYVSPVVLFYANKWVLSKKTHKFMASTDTGDGETDANRRATAILQGVIDLLPLESSAATGGAIPVSFYFALLARSITLELNDESQTRLRELVASNLQFARVDDLPLPEPEQDAGGQSIAGSPEVRAMESIVASHVSMQRRGAEAVAELWDRYIAQIVGDPKLRPDRLAELIGVVPAGDRKSHDHLYEAIDTYIVEHPGLSGDEKASLCGHLECRKLSHEACIQAVQNDRMPLRLIVQALFVQQLHTHRAFTECSDSFRCMHSGELLVPVSGGAAAATAYTPSPGCTTAVPTSQPLSTSSPYTDTAHATRDGRKLVRARAGDDDDDAASGYETASFRIQALEQEILSLKQTLQRHNTVKKSSSRKEASFRMDTAATPAAAAAVRRRAPVSSSSCIGSMRWGSQRRCASRILRIFARLAVFGRGSRSSSSSSTSRGKQSKCRASAEQLSSVACRTKHAARD >ONIVA07G18610.1 pep chromosome:AWHD00000000:7:18037153:18039354:1 gene:ONIVA07G18610 transcript:ONIVA07G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G55560) TAIR;Acc:AT5G55560] MPPNPTPPRRATTTTTRATSGVRRGEEEQGGMAVSASAGEEEEAFEEVDPTGRFGRYADVLGLGSVKKVYRGFDQEEGIEVAWNRVRLRALADRDPAMVERLHAEVRLLRSLHHEHIIGFHKVWLDRDAGVLNFITEVCTSGSLREYRDRHRHVSVKALKKWARQILLGLDHLHTHDPCIIHRDLNCSNVFINGNTGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREMPYAECDSVVQIYHSVTRGVPPAALKRIRDPELRAFIERCIGQPRNRPSAAELLRDPFFAGIDDDDSTGTLG >ONIVA07G18600.1 pep chromosome:AWHD00000000:7:18032374:18035931:1 gene:ONIVA07G18600 transcript:ONIVA07G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0I2W8] MGLLFVESLPGPKVFKCKFCGVDSASPDAIVSKEFRGRHGRAYLFDSVVNVSLGPREDRLLMTGLHTVNDIYCSCCQRLLGWRYEKAYSEDQKYKEGKYILEKHMMLKEG >ONIVA07G18580.1 pep chromosome:AWHD00000000:7:18014203:18019202:-1 gene:ONIVA07G18580 transcript:ONIVA07G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G26640) TAIR;Acc:AT4G26640] MADSPNPSSGDHPAGVGGSPEKQPPVDRRVAALAAGAAGAGARYKAMSPARLPISREPCLTIPAGFSPSALLESPVLLTNFKVEPSPTTGTLSMAAIMNKSANPDILPSPRDKTSGSTHEDGGSRDFEFKPHLNSSSQSTASAINDPKKHETSMKNESLNTAPSSDDMMIDNIPLCSRESTLAVNVSSAPSQLVGMVGLTDSSPAEVGTSELHQMNSSGNAMQESQPESVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCDVKKLLERSLDGQITEVVYKGRHNHPKPQPNRRLSAGAVPPIQGEERYDGVATTDDKSSNVLSILGNAVHTAGMIEPVPGSASDDDNDAGGGRPYPGDDAVEDDDLESKRRKMESAAIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNTGCPVRKHVERASHDPKSVITTYEGKHNHEVPASRNASHEMSTPPMKPVVHPINSNMQGLGGMMRACEPRTFPNQYSQAAESDTISLDLGVGISPNHSDATNQLQSVSDQMQYQMQPMGSVYSNMGLPAMAMPTMAGNAASSIYGSREEKPSEGFTFKATPMDHSANLCYSTAGNLVMGP >ONIVA07G18570.1 pep chromosome:AWHD00000000:7:18010138:18017339:1 gene:ONIVA07G18570 transcript:ONIVA07G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPTSRMIHPFSNIPSQNPKQFQYSDNPQHPCHPYRAPSDTHVVPHHYGLKSHSPDAGYESQATPNKYTLDSSEGAGCMRHDSPSSQSFTTRSGSPLSQEDSHSDSTDGSPVGASCVTEDPNDLKQKLKDLEAVMLGPDSEIVNSLENSVANQLSLEPEKWVRMMGIPRGNLKELLIACARAVEEKNSFAIDMMIPELRKIVSVSGEPLERLGAYMVEGLVARLASSGISIYKALKCKEPKSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHISQGAQWISLLQALAARPGGPPTVRITGIDDSVSAYARGGGLELVGRRLSHIASLCKVPFEFHPLAISGSKVEAAHLGVIPGEALAVNFTLELHHIPDESVSTANHRDRLLRMVKSLSPKVLTLVEMESNTNTAPFPQRFAETLDYYTAIFESIDLTLPRDDRERINMEQHCLAREIVNLIACEGEERAERYEPFGKWKARLTMAGFRPSPLSSLVNATIRTLLQSYSDNYKLAERDGALYLGWKSRPLVVSSAWH >ONIVA07G18560.1 pep chromosome:AWHD00000000:7:17991261:18001226:-1 gene:ONIVA07G18560 transcript:ONIVA07G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLERGAYAPMSTGFAAQAKLDQLEPSCANAIVQYANISKPSWISWYAFSARDEAIQALINEMKSYYMRFPPIQHLQQLPLLQSPSTRYDYESPSLYLEPQFQSLNIPGDGLPRDYLNAQVNVLGSGVHEMHNQRQSHPRGRQRDFFGEGPDFTIYITINFDGGRLTKENIWDYFKKFGPVINVYLSCKPGNEKYTFGFVTFENADMVSLLLSKSTPHFIFGVKVRVKRYLEWTKQEQRKLPQENDHFDNVAHRTSCANAFDGMPRDYLNAQVLGSGVPELHNQRQSHPRGSQRDFFGHNQRQSHPHGSQRDFFGQSTEFTIYITIAKNILTWKNIRDYFKKFGPVINVYIPFKPDNEKHTFGFVTFENDDTVGLLLSKSTSHSISGVELPQRNDRFDNVAHRTSCDNAIEGHSGQKMPNFIELSQETLTHQFGDFDSPLTHNLSEKKTESPEDDHATKESNVDESSEFPAM >ONIVA07G18550.1 pep chromosome:AWHD00000000:7:17987676:17988214:1 gene:ONIVA07G18550 transcript:ONIVA07G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSSGSSSSAQRRRSDLPLIKCPCCRVKNIVELTATTDANRGRIFFTCPDHEKDGSGCNFWYWEEGYVKYLERKGYIADKELRDVKHMSERKKAVNNEDGGEDEVKKLMLSLVSIGLEIVQILKGMLVGFILLIVALVCLVLAVWLK >ONIVA07G18540.1 pep chromosome:AWHD00000000:7:17979156:17981685:-1 gene:ONIVA07G18540 transcript:ONIVA07G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKCSVLLNRAREFEPSRANGGYILSTSSYPQIRQYAASPDEHLRSLPSLLPPPPGQELPLAYLRAQRQSSGNYRGIQAQRRPLIDQTGALQSSFPESICLKEELQSLSMPRNSPNAGRNLVGHPHSSSKSSSKPCHFHFFRGYCKKGVNCQFFHGSVPELHNPRQVHPFASLSKLDMEIRELLIGIPPPVAVDRLPSMYFEKYGKPLGPDGWLTESQQHGRTGCSLTSLLMGLNTIRVVEREHGQYHVVLVEDARKKYMDCLGLAHSCNLMDTGTGSNQIYMTFPVHSKFTDDDVENYFKYCLNFIVTRQFGPVSGVRIPYQEKRMFGFVSFLYTVTVRLILSKGTAHFICGSRVLVKRYMEKPELRKIYRKNKQFDYREHRTSGFGVTNEHYIGNNMKKKSHRSDDLDEASAYEDSDEIILPDSLGLY >ONIVA07G18530.1 pep chromosome:AWHD00000000:7:17974600:17978179:1 gene:ONIVA07G18530 transcript:ONIVA07G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT5G55580) TAIR;Acc:AT5G55580] MAPPPPLAALRPAPFPLPRLLPCPASAAARRGAVAFSLQTNVRLLKPNRRSRRSRYPYYDHDEDEDDDEAEFEFEEGEEEEEDGYETDDDLSGLEYPGVLYSNNPRAPIKKPGREKPAVKQNWEGRQPKTRDRCDTSKKVDALHAKSKASRSTGLVDIDNEVELKNESISRSLFQKLQEEYDFDDKWLPLIDYLCTFGLKESHFTNMYERHMACFQISQASAEERLEFLLSVGVKSKDMKRMLVRQPQILEYTLSNLKSHVAFLVGIGVPSARIGQIISAAPSFFSYSVEQSLKPTIRYLIEEVGIEESDVGKVVQLSPQILVQRIDSAWKSRFLFLSKELGAPKDNIVKMVTKHPQLLHYSIEDGILPRINFLRSIGMRDTDVLKVLTSLTQVLSLSLEENLKPKYLYLVNDLKNDVQSLTKYPMYLSLSLDLRIRPRHRFLVSLKKAPKGPFPLSSFVPTDERFCKRWAGTSLEKEDWKENINIEAEKAWVAVLVFNHQHSAHIYQYHRYITNYSLDFLFFLLLLQQGVNSSSDSSSRKTNGCSRSQSKDEKGCTRMVDVAFCCLLPR >ONIVA07G18520.1 pep chromosome:AWHD00000000:7:17956996:17962728:-1 gene:ONIVA07G18520 transcript:ONIVA07G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTAGLIGERGKKTSRLLLLAPPRQVAAEQGSGGDLHLASRAGERIMTTSRRLSDRKVARFEKNVTKRGSVPETVKKGNDYPVGPIVLGFFVFVVVGSYHQDSPKCWILLRTAEACRFLNQRISSAFTTRNLLVGLVNRDGVFFLPEMRRPKNKYGFVTAVLSSATPLLLGYDLVMVCGSATLPEPPGVKLLACVAVASCVLGALAAVGAQCVVGDRCTVLLSAAVLCAGALARGLATSFAAFEAGVFVNGVGMGLALMSVPAYAGELSPSSLHRGLTSHPDGFVCLGCILGGLCFSPRFLNLPVRVAWRLTVATGTAIPALLGFAVLLMPELPQWLLTKDHARRVLSRTLSLEDAELRLLETKTELGEPHDVGCDDTVATPAWRTRWREERALWLELLARPTEPVRRNIVSALVAKAFQQASGIGSMFLYVQRAFRDAGVPSDTSMTRALVAFGLVVFAFFAVSTVLLELAWLLVKALAGGCCPRRAPAPAPAPADHPSSPHAHRGGVAMGMKRRREQLKWARSLSATMLMSLMALVWLLLGPVQMADASSSSGWPRWLRTAVAAVNRAVRAAILWSFAWVYEVTAVYGNLLACSAIIVFAWFLVYFGVLGAKER >ONIVA07G18520.2 pep chromosome:AWHD00000000:7:17956996:17962728:-1 gene:ONIVA07G18520 transcript:ONIVA07G18520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTAGLIGERGKKTSRLLLLAPPRQVAAEQGSGGDLHLASRAGERIMTTSRRLSDRKVARFEKNVTKRGSVPETVKKGNDYPVGPIVLGFFVFVVVGSYHQDSPKCWILLRTAEACRFLNQIHSNRFLSSASVFFLSDLQRISSAFTTRNLLVGLVNRDGVFFLPEMRRPKNKYGFVTAVLSSATPLLLGYDLVMVCGSATLPEPPGVKLLACVAVASCVLGALAAVGAQCVVGDRCTVLLSAAVLCAGALARGLATSFAAFEAGVFVNGVGMGLALMSVPAYAGELSPSSLHRGLTSHPDGFVCLGCILGGLCFSPRFLNLPVRVAWRLTVATGTAIPALLGFAVLLMPELPQWLLTKDHARRVLSRTLSLEDAELRLLETKTELGEPHDVGCDDTVATPAWRTRWREERALWLELLARPTEPVRRNIVSALVAKAFQQASGIGSMFLYVQRAFRDAGVPSDTSMTRALVAFGLVVFAFFAVSTVLLELAWLLVKALAGGCCPRRAPAPAPAPADHPSSPHAHRGGVAMGMKRRREQLKWARSLSATMLMSLMALVWLLLGPVQMADASSSSGWPRWLRTAVAAVNRAVRAAILWSFAWVYEVTAVYGNLLACSAIIVFAWFLVYFGVLGAKER >ONIVA07G18510.1 pep chromosome:AWHD00000000:7:17955171:17955598:-1 gene:ONIVA07G18510 transcript:ONIVA07G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAAASRAGHLLPSPAPPAAGQLLPSPSPRALPVRDALGDDVGLLCQSTHRPASSAATGVASSRSGRGEARSAAEGAESASGVAGFALWTSSDAAFRLTLATPPPTPRGRIVAGWFSPPPPSWPAARFPVAGSGGGEGG >ONIVA07G18500.1 pep chromosome:AWHD00000000:7:17951308:17954143:-1 gene:ONIVA07G18500 transcript:ONIVA07G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCRGWKRLSSGPCARGLVVHCLHERKKKKRANLSKRSFDTYVTYHSESGSDRSRRALPLRARLAPQEGAWLEEEEERRRRFLAYPFLRVKTFFRCSDGRCLALIATFLLGGFVLKILPYMEVGAALLSSATMSFVLELCCRKFFGLFFVWVSLGFLLKPCLYEINGVCFFLKSCTN >ONIVA07G18500.2 pep chromosome:AWHD00000000:7:17949632:17951307:-1 gene:ONIVA07G18500 transcript:ONIVA07G18500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESTKLTAMAVDPKKKNASYAFTCAILASMASIILGYDIGVMSGASLYIKKDLKITDVQVEILMGILNIYSLVGSFAAGRTADWIGRRFTVVFAAAFFFAGALLMGFSGDYATLMVGRFVAGVGVGYAIMIAPVYTAEISPASSRGFLTSFPEVSINLGILLGYVSNYAFARLPLSLGWRVMLGVGAAPSVLLALMVLGMPESPRWLVMKGRLADAKAVLEKIADTPEEASERLADIKAAAGIPDDLDGDVVTVSKKRGGEEGQVWRELVVSPTPAMRRIVLAAVGLHFFQQASGVDSVVLYSPRVFQSAGITGDDQLLGTTCAVGVAKTVFILVAAFLLDRAGRRPLLLTSTGGMVFSLVGLATGLTVVGRSPDAQVPSWAVGLCVASILAYVAFFSVGLGPMSGVYTSEIFPLRARALGFAVAVACNRVTSGVISMTFLSLSSAITIGGSFFLYAAISSLAWVFFFTRLPETRGQTLEEIDKVFGMDDTAMEAEDSAAYRERLLATSP >ONIVA07G18490.1 pep chromosome:AWHD00000000:7:17946900:17949853:1 gene:ONIVA07G18490 transcript:ONIVA07G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTSNSRRLCNGRGQVMPGPTLPEAVKMGPHAIRIVVGGLGEGELSTATTVHGPERASSSSEPPEMASAALPEAVAPKKKGNVRFAFACAILASMTSILLGYDIGVMSGASLYIKKDFNISDGKVEVLMGILNLYSLIGSFAAGRTSDWIGRRYTIVFAAVIFFAGAFLMGFAVNYAMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSRLPLNLGWRIMLGIGAAPSVLLALMVLGMPESPRWLVMKGRLADAKVVLEKTSDTAEEAAERLADIKAAAGIPEELDGDVVTVPKRGSGNEKRVWKELILSPTPAMRRILLSGIGIHFFQQASGIDSVVLYSPRVFKSAGITDDNHLLGTTCAVGVTKTLFILVATFFLDRVGRRPLLLSSTGGMILSLIGLGAGLTVVGQHPDAKIPWAIGLSIASTLAYVAFFSIGLGPITWVYSSEIFPLQVRALGCSLGVAANRVTSGVISMTFLSLSKAITIGGSFFLYSGIAALAWVFFYTYLPETRGRTLEEMSKLFGDTAAASESDEPAKEKKKVEMAATN >ONIVA07G18490.2 pep chromosome:AWHD00000000:7:17946780:17949853:1 gene:ONIVA07G18490 transcript:ONIVA07G18490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALPEAVAPKKKGNVRFAFACAILASMTSILLGYDIGVMSGASLYIKKDFNISDGKVEVLMGILNLYSLIGSFAAGRTSDWIGRRYTIVFAAVIFFAGAFLMGFAVNYAMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSRLPLNLGWRIMLGIGAAPSVLLALMVLGMPESPRWLVMKGRLADAKVVLEKTSDTAEEAAERLADIKAAAGIPEELDGDVVTVPKRGSGNEKRVWKELILSPTPAMRRILLSGIGIHFFQQASGIDSVVLYSPRVFKSAGITDDNHLLGTTCAVGVTKTLFILVATFFLDRVGRRPLLLSSTGGMILSLIGLGAGLTVVGQHPDAKIPWAIGLSIASTLAYVAFFSIGLGPITWVYSSEIFPLQVRALGCSLGVAANRVTSGVISMTFLSLSKAITIGGSFFLYSGIAALAWVFFYTYLPETRGRTLEEMSKLFGDTAAASESDEPAKEKKKVEMAATN >ONIVA07G18480.1 pep chromosome:AWHD00000000:7:17927094:17927397:1 gene:ONIVA07G18480 transcript:ONIVA07G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLIVVQVWDHDLDVCRQQEKVAREDGYRFCHPIAISVSSVSVSGPNKSGTLDSEAATKSSIICMHPATLHG >ONIVA07G18470.1 pep chromosome:AWHD00000000:7:17924578:17925961:1 gene:ONIVA07G18470 transcript:ONIVA07G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGEKQQQQQMFASYVDASLLAASGEVQGERPRARRRRRRGARCVGGGGGGGEVDGGDPKKRRLSDEQVEMLELSFREERKLETGRKVHLASELGLDPKQVAVWFQNRRARHKSKLLEEEFSKLKHAHDAAILHKCHLENEVLRLKERLVVAEEEVRRLRSAAGSHTASGEGGDIMGLGGSGACVAGSPSSSFSTGTCQPPSFGGGGGGGDHLGDDDLVYVPEYGGYADNSVVEWFSLYGLI >ONIVA07G18460.1 pep chromosome:AWHD00000000:7:17905119:17908601:1 gene:ONIVA07G18460 transcript:ONIVA07G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPTGTEGEPGPAVEPAPAGAGAAPVVKKKRNLPGTPDPDAEVIALSPGTLLATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPEPGCVHHNPTRALGDLTGIKKHFCRKHGEKRWTCQRCGKRYAVQADLKAHTKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAVPAPPSPRPPDLEAEENVDKDKEEEVKEKEKEKELEENEDSPVAEVDEPQPSQAVAEVPQQCAPSPPPPILQEHPQPVVAVVPNVDEQEVVAKPAVIAKIEVEDERDEEVCFQEADRYKDAELEDSNLLDNDTPMLPCFLPSPSEAIGTDGSSTSCGTGSSVTNAIAPATTTSTFAGLFASVTTSSTPQSRSLRDLIGVDPTFLCLAIGAPSSLFPQTNASDPCSFAPPPAPHMSATALLQKAAEVGASQSSSSFLKEFGLAASTSSSPPSKLSQGRFTTGNTPTTSHPHPHPHPHPHPPQGRFMDNVPQPPPPAKLPHRMFTDNSVQQWHHRSNQQMEMEPGPMLPGGLGLGLTYDSGNSGLPDLMMGPSALYGPKPATLDFLGLGIGGTMGGSTANGGLPALMVGGELDMGSAQAPWEEAKRKTNGRTIL >ONIVA07G18450.1 pep chromosome:AWHD00000000:7:17891980:17900314:1 gene:ONIVA07G18450 transcript:ONIVA07G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGQPNSAASDHNLDGDAQAHPWMELIEPISTDKTQNSSVPPVEGVAGGGTSYGWVDGGLQASSLGNGAIDPTKIHSADLLHVWSMPSTANVSQQEAPRPLEHVNLLAARNERESFQIALRPKVSWATSGIAGSVQVQCTDLCSSAGDRLVVGQSVTLRRVVPMLGVPDALVPIDPLNSQINLLPGETSAIWVSLNVPCGQQPGLYEGEIFLSAVRAEAESRGESLTKSERYQLYKELRNCIDITETRDYSSSEEMVQRLTSASTTLRRMLALPSFQDCQENNGLGDMMDEDIMNNVAVRLKLSLTVWDFTLPLTPSLPAVFGISETVIEDRFCLEHGTKGWYDALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKAKEYYSDPRLAAYAVPYAPILSSTDAAKNSLRREVEILKSEAHWSKSYFYLWDEPLNMEQYDVICSISNELRSYASDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLEKTGVYLGPDRYALDHGPIDVMRGEVYRTCRS >ONIVA07G18450.2 pep chromosome:AWHD00000000:7:17891980:17900314:1 gene:ONIVA07G18450 transcript:ONIVA07G18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGQPNSAATQNSSVPPVEGVAGGGTSYGWVDGGLQASSLGNGAIDPTKIHSADLLHVWSMPSTANVSQQEAPRPLEHVNLLAARNERESFQIALRPKVSWATSGIAGSVQVQCTDLCSSAGDRLVVGQSVTLRRVVPMLGVPDALVPIDPLNSQINLLPGETSAIWVSLNVPCGQQPGLYEGEIFLSAVRAEAESRGESLTKSERYQLYKELRNCIDITETRDYSSSEEMVQRLTSASTTLRRMLALPSFQDCQENNGLGDMMDEDIMNNVAVRLKLSLTVWDFTLPLTPSLPAVFGISETVIEDRFCLEHGTKGWYDALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKAKEYYSDPRLAAYAVPYAPILSSTDAAKNSLRREVEILKSEAHWSKSYFYLWDEPLNMEQYDVICSISNELRSYASDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLEKTGVYLGPDRYALDHGPIDVMRGEVYRTCRS >ONIVA07G18440.1 pep chromosome:AWHD00000000:7:17891758:17891958:1 gene:ONIVA07G18440 transcript:ONIVA07G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCNEATTTRPHNTRARARLITVILRRACDDRPPGSGSGSGVGVPNSIAFASPFNGAAPAREARW >ONIVA07G18430.1 pep chromosome:AWHD00000000:7:17887133:17889755:1 gene:ONIVA07G18430 transcript:ONIVA07G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I2U8] MGIAESLGAETPFASVAASELFSLDLSKTEEALTQAFHRSIGVRIKAEAEIIEGEVVEISIDRPVSGGSSAPSGVAAAGKIGRLTLKTTDMETVDELGGKMIEALGKEKAGMDVVALDKAFGKVTKLGRSIGRSRDAGITMLFVLRPSPSSALRASSRSARRSCIVSTLHEIDVINSRTQGFLAIFTGDTGEIRAEAREQIDTKVAEWREEGKAEIVPDIECFSFLNRALENDVTPILVIATNRGITSIRGINYQSLHGIPPDFLNRLLIITTQPYTEDDIPKVLDIRCDEEDVEMSTAYAKVLLTKIGAETALRYAIHLITSAALALAETHG >ONIVA07G18420.1 pep chromosome:AWHD00000000:7:17877351:17880365:-1 gene:ONIVA07G18420 transcript:ONIVA07G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKPPAVSDVGAWAMNVVSSVGIIMANKQLMSSSGYAFSFGTLRAFARSSSSPFPSPQIWIRRFGFVPATTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVLNSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAVSLIILGPFADYYLNGRWLLNYNFSTGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTVKNILGMLLAVMGMVVYSWAVETEKKASAPIPRNKSDMLDDSEDVPLKARVSGLPSSDLEEGEMKS >ONIVA07G18410.1 pep chromosome:AWHD00000000:7:17872838:17874274:-1 gene:ONIVA07G18410 transcript:ONIVA07G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLAASRVRISPLIPAAAMAGTAGAAAASCAQHRRRFCAIVATAAASPVPAAAVTGFDFNAYMGEKAAAVNRALDASIPADEPPAALHEAMRYALLAGGKRVRPALCLAACAVVGGREAWAMPAAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLTGDALLSLSFHHMARFDSYPPDIDADKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGSTETVPLERLEYIHLHKTAALLEASVVIGAILGGGSDEQIESLRMYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSRDCFLMQGNNFQDLIKRPQHHFCTWPIILPIGRTEVMGTPLIIVDL >ONIVA07G18410.2 pep chromosome:AWHD00000000:7:17872877:17874274:-1 gene:ONIVA07G18410 transcript:ONIVA07G18410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLAASRVRISPLIPAAAMAGTAGAAAASCAQHRRRFCAIVATAAASPVPAAAVTGFDFNAYMGEKAAAVNRALDASIPADEPPAALHEAMRYALLAGGKRVRPALCLAACAVVGGREAWAMPAAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLTGDALLSLSFHHMARFDSYPPDIDADKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGSTETVPLERLEYIHLHKTAALLEASVVIGAILGGGSDEQIESLRMYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEKLLSDAREQLSGFDQETAAPLLHLANYIAYRQN >ONIVA07G18400.1 pep chromosome:AWHD00000000:7:17861824:17869529:-1 gene:ONIVA07G18400 transcript:ONIVA07G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEMVANLQIIGLCLLHLCLAASAAAYYPDMLLQTSFIPRDYARYADVARRCRSALASADELSPFDPVGAGVLARDLSFANGDWGQDAGRAPLMPSHGGDPPFLRLATFALTHIDTDTLLLRRRRPAISAVNVSGVLSFTITRNCCCSSEYAVPHRQVSPEFKLLPGAARLSILFEGVYTETRSSGNDDIGGGERVLCMVGNGVLPMRGGDSADPWAWARNAGDGSFEPPVMADGNMVLVLRYPKVHTLTTRAVRGELTSTSAASDNAYFDAVRMVSRIGQYSSYLFRPEHGELAANGCSTTRPFVCDDGVEGNCAGDLRGGASFCDILTELSPGDHGVLAVVPNWNCNSTDEFCSRLGPFQTGGGATNTTDRMLAGFAIVMQDLRCEPHGGEKPAARVSAVFRAVSPWEDQQLAVRRTGLGGATLSAEGVWRASTGQLCMTGCLGVVDAAAVGDEACHYRVSLHVPTTFSIRHRSIIVGRITAGDGSHFPLSFHQSVPPKHPWNRFGRSEASLRVVYDYTMVKNAGELLRRSEPSGFRSSSIAKALVSYPRQAGGASAAADEMMSLSDLADDLSLHFQPGSKLPFLPEQKVWPQWPALHLDMLSVGPLVGSYSPPFRTLPSTPVARAEIDGGVEQQLLNVSAVLSLSGKMFGWSPVMSLEGVYNQEDGRMYLIGCRNVEAPWRIVSTSRDLEDGMDCSIEVRVEYPPKTTRWLFSPTATAYISSTRDAGDPLHFNTTELRTTPISYRGGRRDAPPDTLTEQTIEGLVCIAMLSGTIAAAVGQLRYIASRPDVAPYVSLVALGVQAVGYTATLVTDAKMLPAWPTYNYRMYVGHLHWNMDSTVKALTLAALLLTLRLAQKVRRSRARARARSPAEPGRVPSDGAVLLRSSGFYLAGLVFVLAVHAMATHTSSTSKQEVFYDQQKAAAAASHAPPSCMRTRGAVVERYVGFVKEWFLLPQVIGNAVWRVNCKPLRNAYYGGVTAVWMLPHVYRYLRVPEVYIYRPEVQDDAMAFYAKATDVVVPVVAVALALLIYVQQRWNYKIVGWSLLRTVQTKKQTKPSCCYSNKISGAVVMGTNLLFLSVFLLYLCMMASSCFAHQFNPSEEAEHSYLRFADVKRQCRSVLASASELTDDAYRGKRVKRELSFEKGDWLQDAGQAPLVPFDGGDAAEDGRRPTLDPLRLATFMVTHVDDDDERRARNAVNVSGLLVLTISRTSASPEIGYHVPVVSSPEFELSPGSTKLRIVFEGVYTEAARSGNGGGERVLCMVGTGVLPMRGDDGADPWGWAKNSGRAGFQPPVATDESMLLVLRYPKELTLTTRAVVGEMRSTRAMSDAAYFDAVKLVSGPTWNRQYEFRRPGELDPAAGTCRPLTSGDDDGNRARDLYKGRYLCDVLERYSHGVITARPFEMDRAEDPAIVGIVLHDLRCQGYDLDMAGKPGGVKVSVVFRALSPREHWYTAVQRTALSGETLSAEGVWNASAGEVSMVACRGIGSKACHFRVCLSFPATFSITGRDMMLGEITTVDVNEAGGGARSSLSFRQRMPPPRLQRCVSGILPVVYRYNYTKVKLAGEFLRRSSSPSDLREIIARSLPLSYPNCGGNGDGKRSLADLADRLTLRFTAMPSLFSPPGWMERPVLHLEVFFLGQLIERFMPASDDATTRSSKIPGDEPCLQEQRLLNVSAELTIFGELRVASSAMSLEGVYDREDGRMYLIGCRDVHHLPWRNSSARRELELEEGMDCSIEVKVEYPPTTTHWFVRSTARVQIASMHFDTVKLWAQPVRYPRRWPDFISRAIVDGVLCVVLLTATIAADLFQLRHLKHHADVAPYVSLVMLGAQALGLVMPLFAGMEALLARVTLQPELDTTRQLPPPGSSYMLDYNRPYQAVDRTAKILAVAAFLLTLCIAWKVRCSRARLLARSLGEAARVPGDGKVFVYCSSAHLALFVVVLALNSSRDATVEQHVGLMQDMFLLPQVIGNAAWRVNCKPLAGSFYVGITAARLLPRVYDLVRPTPVADVFSDDVHAPATASASSREGFFPRAGDVVMPLAAVSLAAAVFVQQRWNYAIVSSMGGSSQQQKGHHII >ONIVA07G18390.1 pep chromosome:AWHD00000000:7:17859326:17860370:-1 gene:ONIVA07G18390 transcript:ONIVA07G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAAAAAAAAAGRTPTWKERENNKRRERRRRAIAAKIFTGLRALGNYNLPKHCDNNEVLKALCREAGWVVEDDGTTYRKGCKPPPSSAGGASVGMSPCSSTQLLSAPSSSFPSPVPSYHASPASSSFPSPSRIDNPSASCLLPFLRGLPNLPPLRVSSSAPVTPPLSSPTASRPPKIRKPDWDVDPFRHPFFAVSAPASPTRGRRLEHPDTIPECDESDVSTVDSGRWISFQMATTAPTSPTYNLVNPGASTSNSMEIEGTAGRGGAEFEFDKGRVTPWEGERIHEVAAEELELTLGVGAK >ONIVA07G18380.1 pep chromosome:AWHD00000000:7:17855244:17855911:-1 gene:ONIVA07G18380 transcript:ONIVA07G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEKPLPVEEIQGINDEAEPHPPSRNKDFLHDEEFQRVMRDVVVGPDYVPGGYALRILTDPATAFEELLEYYRKAGLIEGQVWKRYNIFEGVEGLDNSQDEIKMEEEPMKEEDATGCRGRDASPDRPDELAKKRRLDGP >ONIVA07G18370.1 pep chromosome:AWHD00000000:7:17852669:17853334:-1 gene:ONIVA07G18370 transcript:ONIVA07G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRHSSAIHRTPASLRIVSNSSSVATAEDAHRHRGGNYYKQWPVCRDERLPMPPPLHSKRRREEHHRQDYHYDDDDYAYRASKKKKQQVAAEQAARASNNRTTRPTAARASSSSRSCAKEESHARGGGAALRREMKDRKDGAGGCCSQERAPMISGPRVPPPAPPTPADSLKAQDALSAAAARAREISLMREAARRELAKMVRTVEFNDPYISPMDALKP >ONIVA07G18360.1 pep chromosome:AWHD00000000:7:17851187:17851861:-1 gene:ONIVA07G18360 transcript:ONIVA07G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMMSMAQIMRKTSVVVLQEEEDLTSSATRRAPAPPPREVVRVRLRLAPASVATAEDAARGGKMPPPPPPPPLPLPTKRRREVVVVDADDASRASKKKHVAEPAARVSGNKAIPLAHASSKKEEAALVRCSSAAARRPMIKNCSGGGERSQESAPAPSPSPARLGSSMAQDALSAAIAVARSVMDKRREVSLRREEARRELAKMVRTVEFNDPYISPMDVLKP >ONIVA07G18350.1 pep chromosome:AWHD00000000:7:17849943:17850994:-1 gene:ONIVA07G18350 transcript:ONIVA07G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYKGRHKLPRTRTRTPEGPVASRAQPLNPDGLSSPTAVTRRGRRAPAAKATPPGAAAREDLKGAAREEHSRRALVAGCIAAAARRRTAGQAGRQRLSGGQRRFGGSGGTARAGGLRPAACGSAAEDHLIVARVLSRAILHPPLAVEKLGSNHKKEHQEAVAGTATEK >ONIVA07G18340.1 pep chromosome:AWHD00000000:7:17845690:17849643:-1 gene:ONIVA07G18340 transcript:ONIVA07G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G23330) TAIR;Acc:AT3G23330] MSLAAVASPHFPTSWAYQIRMAASQGQFLHAISLFLQMRASVAPRSSVPASLPAALKSCAGLGLCTLAASLHALAIRSGSFADRFTANALLNLCIKLPGFHHPFGTDGPSGEGGLESAAYESMRKVFDEMLERDAVSWNTLILGCAEHKRHQEALSLVREMWRDGFMPDTFTLSTVLPIFAECADIKRGMVVHGYAIKNGFDTDVFVGSSLIDMYANCTQMDYSMKVFHSFSDCDAVLWNSMLAGYAQNGSVEEALGIFRRMLQAGVRPVPVTFSSLIPAFGNLSLLRLGKQLHAYLIRARFNDNIFISSSLIDMYCKCGNVDIARRVFNGIQSPDIVSWTAMIMGYALHGPATEAFVLFERMELGNVKPNHITFLAVLTACSHAGLVDKGWKYFNSMSNQYGFVPSLEHCAALADTLGRAGDLDEAYNFISEMKIKPTSSVWSTLLRACRVHKNTVLAEEVAKKIFELEPKSMGSHVILSNMYSASGRWNEAAQLRKSMRIKGMKKEPACSWIEVKNKLHVFIAHDKSHPWYDRIIDALNVYSEQMIRQGYVPNMEDVLQDIEEEQKREVLCGHSEKLAIVFGIISTPPGTTIRVMKNLRVCVDCHTATKFISKIVAREIVVRDGQPPLDASAIYNSSLRHFEISDSKFPLHSVSLSYDNLGWMLTLVEFSADLWSIRSTLQGTEEIGCLVYFPCVIKPFVLEECGCGGEVGDDVHAKAQGWQPSLHPHQFA >ONIVA07G18340.2 pep chromosome:AWHD00000000:7:17845690:17849643:-1 gene:ONIVA07G18340 transcript:ONIVA07G18340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G23330) TAIR;Acc:AT3G23330] MSLAAVASPHFPTSWAYQIRMAASQGQFLHAISLFLQMRASVAPRSSVPASLPAALKSCAGLGLCTLAASLHALAIRSGSFADRFTANALLNLCIKLPGFHHPFGTDGPSGEGGLESAAYESMRKVFDEMLERDAVSWNTLILGCAEHKRHQEALSLVREMWRDGFMPDTFTLSTVLPIFAECADIKRGMVVHGYAIKNGFDTDVFVGSSLIDMYANCTQMDYSMKVFHSFSDCDAVLWNSMLAGYAQNGSVEEALGIFRRMLQAGVRPVPVTFSSLIPAFGNLSLLRLGKQLHAYLIRARFNDNIFISSSLIDMYCKCGNVDIARRVFNGIQSPDIVSWTAMIMGYALHGPATEAFVLFERMELGNVKPNHITFLAVLTACSHAGLVDKGWKYFNSMSNQYGFVPSLEHCAALADTLGRAGDLDEAYNFISEMKIKPTSSVWSTLLRACRVHKNTVLAEEVAKKIFELEPKSMGSHVILSNMYSASGRWNEAAQLRKSMRIKGMKKEPACSWIEVKNKLHVFIAHDKSHPWYDRIIDALNVYSEQMIRQGYVPNMEDVLQDIEEEQKREGQPPLDASAIYNSSLRHFEISDSKFPLHSVSLSYDNLGWMLTLVEFSADLWSIRSTLQGTEEIGCLVYFPCVIKPFVLEECGCGGEVGDDVHAKAQGWQPSLHPHQFA >ONIVA07G18330.1 pep chromosome:AWHD00000000:7:17844589:17845614:-1 gene:ONIVA07G18330 transcript:ONIVA07G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPPAPPPRPPFKVRIRIKPARAARAEEDSGKQEERLPMDHPLSTTTTKRRPEGEESSGAASQPAPERVNPTGTITPAARAAASCCVNEEALASSSHAGGRDDGASAIKKCKDGEGRQEDAKNAQESSGMTSPAVHSARATSPAPPPSRTKKETPVRAHAAVRDDDATPPRAIKSCKNGERGQEKDAESAQGCARTRSPPVRSARATTPAASPSRSKKETPVRAHAAVRGDDATPPRAIKKCKSDERRQEKDGESAGQCATTSSPRVQPSPTPSPAPAARPDPSAAENSLREAIERARPHMRRDIARQREAARREIASMVRTVEFNDPFISPEDVNKP >ONIVA07G18320.1 pep chromosome:AWHD00000000:7:17840707:17844364:1 gene:ONIVA07G18320 transcript:ONIVA07G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cycloligase [Source:Projected from Arabidopsis thaliana (AT5G13050) TAIR;Acc:AT5G13050] MIKNGAASLMVRLLHLPRAASAPTYPRRRHHLALLPSLRLRVPAPAAAAAMSSAAAAQEVADQKRALRSEVRRALRALTPEQRASEDQAIQNAILNSSWFKESKRLCAYISCAQLREVDTSKVLSEVLSPNSEHGHAKDLYVPRVEDKNRNMRMLKITTMDDLVKNSMNILEPSPLDASGNAREEGQAFDRTGRRLGRGGGYYDTFLMRYQELAKEKGWYQPLLVALSYSVQILEEGIIPVNSTDVPIDALVSSSGIIPISPAALARI >ONIVA07G18310.1 pep chromosome:AWHD00000000:7:17837605:17838564:-1 gene:ONIVA07G18310 transcript:ONIVA07G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPARDDEEPQDGYYSIVYELHAPGDDEWLLRHLWREAHPMAQAGGEAGAPVLGRMMAAMRRRWWWRADPQLHLGRARLLLRRWRAGSASARRLARWRREGGVTPRVLLQSAEDIEGYFDFLSIRYPFALPSFFLRYERVMHDFAVAGGITVLTPFIWGALVAIMVGLGADMGPLLELDVLGITDGLQLDGRPVVPEPEQQVHQPDPDEPEHVYRVVLHGEEFTFLTNIPRAKFYLLIAAVFVVVFLFHPFSAET >ONIVA07G18300.1 pep chromosome:AWHD00000000:7:17828594:17830507:-1 gene:ONIVA07G18300 transcript:ONIVA07G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPPPPPSAPPPSPPRAVFPSARRCLPSPHRFLPSTRRKGLVTVAKLVFLAELKAHTLHLVDNGGELMLVHHCFGTTRRGAGGGGFNLFNDRLTSFYYTHRVRLMLQLWMNSFVCSQRFSVIAMKKQLQDEIQQHEEAPAGAGLEAWKWKGRPRGARAVNSKYRGLEWAM >ONIVA07G18290.1 pep chromosome:AWHD00000000:7:17822648:17823385:-1 gene:ONIVA07G18290 transcript:ONIVA07G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLMQVSSPLNIGLTDPEWMCTSMILRKNRIGESAGETAPTRALLRFGPKRLSGHRSAAACGPSGHTARPRPSTRVLKTSHGLWPVEEAN >ONIVA07G18280.1 pep chromosome:AWHD00000000:7:17819881:17822193:1 gene:ONIVA07G18280 transcript:ONIVA07G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSKPLQCLALFLLLAQLAHSAFIPKPKNRTEHKPDQLSNTYIVHANHLLKPSRFATLEHWYISMVATHSPRAATNATAAAAAVAGRILYTYDTVMHGFAVRLAADEARSLSRGAPGVTAVHQARMYYPQTTRSPGFIGLDPEYGLWRDTEFGDGVIIGVIDSGIWPESPSFNDSGLAAVRRSWKGGCVGLGARLCNNKLVGAKDFSAAEYGGASSPRDDVGHGTHVASTAAGSEVHGAGLFMFARGTARGVAPKARIAMYKCGGNWGCSDASIIAGIDAAVKDGVDIISISLGGFPIPFYEDSLAIATFGAQREGVFVALAGGNSGPRPYTVTNVAPWMTTVGAGAVDRLFPANLTLGNGEVLVGQSLYTKMATGTTMAPLVLLDSCDEWSLSPDVVMGKIVVCLAGVYEGMLLQNAGGAGLVSMQGEEWHGDGVVADAFTLPALTLSYSKAEKLMDYFESAASPVASFSFACETVTGENRAPTAVGFSSRGPNRVVPELLKPDVLAPGLNILAAWPRDIPVSMLNMDTRRSEFNILSGTSMACPHAAGVAALIKKRHGDWTPAMIRSAMMTTAATLDNTGRDITDEGVQEAANATFTSATPLAAGAGHVRPQLAVDPGLVYDAGVEDYVDFLCSLNYTVEQLRVFVPDTAGCAPALPGGGPANLNYPSFVVAFNGSTRVRTLTRTVTKVYEKPETYSVAVSAPAGVKVTVRPATLEFKEKNEEKSYTVEFTSVAGGHVNQSWDFGHISWENRKHQVRSPVVFMWN >ONIVA07G18270.1 pep chromosome:AWHD00000000:7:17811510:17819184:1 gene:ONIVA07G18270 transcript:ONIVA07G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVGARVSHAFRSPSLLPFPSVPPLSSSSVFALRLLLPHGSLPAHSSSSPLLLLLPSTRHHRASPSFFLRLALPQLDEPLGRVPILSYGSGHMLNDITSSCWFTYLLVFLTDIGLSPSDAAVVMLSGQLADGFATIFVGELIDRFGHFKLWHAGGSILVAISFSSVFGSCLPCKLTGTISSTMETVGYSTFAAIFNVGWAVTQVSHMSMVNCMTSNPTSRVALVSCRNAFTMYRWIAYVSIALGSCFVVVFLIGTKEPGLNQHCQNKRLSRISWTHWFKKVLYYQVALVYMFTRLVTNVSQALLAFYVINDLEMPQSSKALVPAIIYVCSLIVSVILQETRWSSWRLKNYFSAGAMLWILSGVGIVVLPSGMHNFMYALSITIGVANALMTVTSISMEGILVGEDLNGCAFVYGSLSFVDKVSCGLALYILESYQGSTNTRQNLELAFGYSVTRLGLGLVPAACSLLSAIIAYTMDLPDTRRRPLVEPLLA >ONIVA07G18270.2 pep chromosome:AWHD00000000:7:17811510:17819184:1 gene:ONIVA07G18270 transcript:ONIVA07G18270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVGARVSHAFRSPSLLPFPSVPPLSSSSVFALRLLLPHGSLPAHSSSSPLLLLLPSTRHHRASPSFFLRLALPQLDEPLGRVPILSYGSGHMLNDITSSCWFTYLLVFLTDIGLSPSDAAVVMLSGQLADGFATIFVGELIDRFGHFKLWHAGGSILVAISFSSVFGSCLPCKLTGTISSTMETVGYSTFAAIFNVGWAVTQIANLSLYGIALLIFSLRQSVSVIVQYRWIAYVSIALGSCFVVVFLIGTKEPGLNQHCQNKRLSRISWTHWFKKVLYYQVALVYMFTRLVTNVSQALLAFYVINDLEMPQSSKALVPAIIYVCSLIVSVILQETRWSSWRLKNYFSAGAMLWILSGVGIVVLPSGMHNFMYALSITIGVANALMTVTSISMEGILVGEDLNGCAFVYGSLSFVDKVSCGLALYILESYQGSTNTRQNLELAFGYSVTRLGLGLVPAACSLLSAIIAYTMDLPDTRRRPLVEPLLA >ONIVA07G18260.1 pep chromosome:AWHD00000000:7:17792953:17795148:-1 gene:ONIVA07G18260 transcript:ONIVA07G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIKAQAGVKHNMRRMNKSIIQQGSNHVVHVVLFALFCFFVVYLLSKFSRR >ONIVA07G18260.2 pep chromosome:AWHD00000000:7:17792953:17794919:-1 gene:ONIVA07G18260 transcript:ONIVA07G18260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLHGSGPLRSRNASSSDEIQLRIDPVHGDLDEEIDGLHSRVRLLKGVAQEINAEAKFQNDFLSQLQMTLIKAQAGVKHNMRRMNKSIIQQGSNHVVHVVLFALFCFFVVYLLSKFSRR >ONIVA07G18250.1 pep chromosome:AWHD00000000:7:17787947:17789528:-1 gene:ONIVA07G18250 transcript:ONIVA07G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEKQLLKGNADHTKRHANSFRFGLADDRTVVIHNTSFLAVAKPGDKCWTAVDLSDHLRPIMSFAGHFYGVTTHQRCHHGGGGQPGEPDAAAGGGRRDLTLQHRFSRMLGSAHLVDNNGELLLVHRTLSGDKRLYQAYRVDLDGRKMVPVRGLGGRAVFIGHDCSLSVSPATFPPSLVTPSTRASAVGIELAGSTSKPMITWQMEPLNTHSMKTAKRIGNTL >ONIVA07G18240.1 pep chromosome:AWHD00000000:7:17782288:17787310:1 gene:ONIVA07G18240 transcript:ONIVA07G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate--CoA ligase [ADP-forming] subunit alpha, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0I2S5] MAASARRASQLLGSAASRLLHARGFAAAAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTTMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIQESKTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALREAGVTVVESPAKIGSTMFEIFKQRGMLE >ONIVA07G18230.1 pep chromosome:AWHD00000000:7:17778903:17781017:1 gene:ONIVA07G18230 transcript:ONIVA07G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 2 [Source:Projected from Arabidopsis thaliana (AT3G61470) TAIR;Acc:AT3G61470] MALVSASSSTTAVAALPGAARASSFLGGAGRSGRLLLRQAESSSARASFAVRAAAPDRPIWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGTGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQAFTPK >ONIVA07G18220.1 pep chromosome:AWHD00000000:7:17770462:17776022:1 gene:ONIVA07G18220 transcript:ONIVA07G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATECDVNKSRRFDLGMSRRTRRSTSLITCYQDQQVQPLVQQLRQDAKLKTLFQCQDTELQPPCPYEDQELRILQAPLQCEVDAQETLNQHRDEQEEKLHHYLDEEHEKKLQDHLDEEPEKKLHHYLDEEQEKKLQDHLDEEPEKKLHHYLDEEQEKLFQDQDEEKKTPKQYLDEDQKTLQQCQDEEKAPNQYEDEDNTTGQYQDGEQKTAEQCEEEKTSEKYHDEEHKSLEAQQQCQDTKQKAQEQRKTVKKPITPPFADDVPRFSLQDLIQEKQLLIVGEAKATSKLGNGEKAIIADHKLPVPPAAGGATLAMVIKRPDGGKKSMGVIRRCVKALNQMVKAKHGSKKNKPF >ONIVA07G18220.2 pep chromosome:AWHD00000000:7:17770462:17776022:1 gene:ONIVA07G18220 transcript:ONIVA07G18220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATECDVNKSRRFDLGMSRRTRRSTSLITCYQDQQVQPLVQQLRQDAKLKTLFQCQDTELQPPCPYEDQELRILQAPLQCEVDAQETLNQHRDEQEEKLHHYLDEEHEKKLQDHLDEEPEKKLHHYLDEEQEKKLQDHLDEEPEKKLHHYLDEEQEKLFQDQDEEKKTPKQYLDEDQKTLQQCQDEEKAPNQYEDEDNTTGQYQDGEQKTAEQCEEEKTSEKYHDEEHKSLEAQQQCQDTKQKAQEQRKTVKKPITPPFADDVPRFSLQDLIQEKQLLIVGEAKATSKLGNGEKAIIADHKLPVPPAAGGATLAMVIKRPDGGKKSMGVIRRCVKALNQMVKAKHGSKKNKPF >ONIVA07G18210.1 pep chromosome:AWHD00000000:7:17764199:17770326:1 gene:ONIVA07G18210 transcript:ONIVA07G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT3G13560) TAIR;Acc:AT3G13560] MAHRSTVPESGAGSSQRSSLQSEVRASFLAVCIWKGEVEVEERSLLRGQDQLRLSASEKVRKVSLDNKKSDARAPKYVASEPKRRGDSVNAHGAFVGINVGTDISNPPSASDIVSILKEKKIQHVRLLDSDHQMLSALANTGIEVVVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITHIAVGNEVLTTEPNAALVLVPALQFLHAFGCKSQYPASGWPSHGGQNEKAANVDNALAYNTNLIRHVLNNSGTPGQPNNQVSTFIFELFNEDLRAGPVSEKNWGIMFPNATTVYSLTFEDMATTNTDSPVLRGTFCVANSSAPHSALKQSLDWACGPGSANCSAIQPGQPCYKSDDIVAVASYAFNDYYHRTRASGGTCNFNSTAMVTSTDPSHGSCIFAGSTGSNGSSGGAASGPVSPDNFATQIHSCWLTHLITLLSIMVFM >ONIVA07G18210.2 pep chromosome:AWHD00000000:7:17764199:17769983:1 gene:ONIVA07G18210 transcript:ONIVA07G18210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT3G13560) TAIR;Acc:AT3G13560] MAHRSTVPESGAGSSQRSSLQSEVRASFLAVCIWKGEVEVEERSLLRGQDQLRLSASEKVRKVSLDNKKSDARAPKYVASEPKRRGDSVNAHGAFVGINVGTDISNPPSASDIVSILKEKKIQHVRLLDSDHQMLSALANTGIEVVVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITHIAVGNEVLTTEPNAALVLVPALQFLQSALLAANLNTQVKISSPHSTDMISKPFPPSTATFNSTWSSIMLQYLQFLNNTASPFMLNAQPYYDYVKGQGVFPLEYALFRSLNPDSQISDPNTNLFYTNMFDAMVDATYNSMQAMNFTGIPVMVTASGWPSHGGQNEKAANVDNALAYNTNLIRHVLNNSGTPGQPNNQVSTFIFELFNEDLRAGPVSEKNWGIMFPNATTVYSLTFEDMATTNTDSPVLRGTFCVANSSAPHSALKQSLDWACGPGSANCSAIQPGQPCYKSDDIVAVASYAFNDYYHRTRASGGTCNFNSTAMVTSTDPSKPHWLQWQQRWCGVWARKPRQFCYTNSLVLVDSPNYIAVYHDAKMSGLVCTMKN >ONIVA07G18210.3 pep chromosome:AWHD00000000:7:17764199:17770326:1 gene:ONIVA07G18210 transcript:ONIVA07G18210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT3G13560) TAIR;Acc:AT3G13560] MAHRSTVPESGAGSSQRSSLQSEVRASFLAVCIWKGEVEVEERSLLRGQDQLRLSASEKVRKVSLDNKKSDARAPKYVASEPKRRGDSVNAHGAFVGINVGTDISNPPSASDIVSILKEKKIQHVRLLDSDHQMLSALANTGIEVVVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITHIAVGNEVLTTEPNAALVLVPALQFLQSALLAANLNTQVKISSPHSTDMISKPFPPSTATFNSTWSSIMLQYLQFLNNTASPFMLNAQPYYDYVKGQGVFPLEYALFRSLNPDSQISDPNTNLFYTNMFDAMVDATYNSMQAMNFTGIPVMVTASGWPSHGGQNEKAANVDNALAYNTNLIRHVLNNSGTPGQPNNQVSTFIFELFNEDLRAGPVSEKNWGIMFPNATTVYSLTFEDMATTNTDSPVLRGTFCVANSSAPHSALKQSLDWACGPGSANCSAIQPGQPCYKSDDIVAVASYAFNDYYHRTRASGGTCNFNSTAMVTSTDPSHGSCIFAGSTGSNGSSGGAASGPVSPDNFATQIHSCWLTHLITLLSIMVFM >ONIVA07G18200.1 pep chromosome:AWHD00000000:7:17722814:17758659:1 gene:ONIVA07G18200 transcript:ONIVA07G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVGHGNPPSRSASSSTIVAETATGYHLLKINGYSLTKATTPTGSFLPSSPFTVGGHRWSIKYYPNGDDVETADYISFFLVLEEEETNMGLTVQAKFNFRI >ONIVA07G18190.1 pep chromosome:AWHD00000000:7:17712022:17713092:1 gene:ONIVA07G18190 transcript:ONIVA07G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAGNSSRSASTSTIVADTATGYHLLKIDGYSRTKGTPIGTAIASSQFVVGGHRWRIYYYPNGDHTDNADYMSFYLLLDEKKNTKTKSVKVRTLFQICFADQVKALPTLTSKTVRTFGDGSSWSWGYSKFIKREDFEKSKDLRDDSFTIRCDIAIVREFLVETTEVLPPKSFVSVPPSDMNLQLGELLETEKGADVVFEVAGERFAAHRCVLAARSPVFGAELYGLMKEGNAAVVVRVEDMEARVFKLLLRFVYTDSLPEMKNKDEAVMCQHLLVAADRYNLERLKLICEEKLCNHISTGTVSNMLLLANQHRCAGLQKACCNFLGSSANLSPVSRGCLSVMKMLVSGCWCLH >ONIVA07G18180.1 pep chromosome:AWHD00000000:7:17703687:17707508:1 gene:ONIVA07G18180 transcript:ONIVA07G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAACGESPSRLGSASAIVADTETRYHLLKIGCYSRTKATTPTGSCLSSGQFTVGGHRWRINYYPNGERADSADYISLYLLLDEKATNSSVKAQVKFQISSTDQFIKREDFEKSNDLRDDSFTIRCDVAVIGEIRTEKTTEIPAATAFVTVPPSDLNQQLVDLLETEKGADVVFQVGDETFAAHRCVLAARSPVFSAELYGLMKEGDTAGVVRIEDMETQVFKLLLRFMYTDSLLEMKEEEDVMCQHLLVAADRYNLPRLKLICEDRLCNYIGVDMLSSFSTQACNFVKYIPSSLCSVLSALILHKSIDKLAIKTLSCLPAMSCSAIVTGYHLLKIDGYSLTKFIKTEDFEKSDYLRHDSFTVRCDMVVVRKIRAEKRKTRAHLAETFVSVPPSDMNRQLGDLLETGKGADVVFEVAGERFAAHRSKKNDEGVMCQHLLVAADRYNLERLKLICEEKLCKHISMGTVSNTLLLADQHQCAGLKKACCNFLGSWANLSPVSRGYLSVMEVLVSGCWCLH >ONIVA07G18170.1 pep chromosome:AWHD00000000:7:17694657:17702227:-1 gene:ONIVA07G18170 transcript:ONIVA07G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARQLSPALQADTRAVAAPAQPPACARLAIAGRSVAPPVAHRPQPPRRLAASAPCAWPARWRPAPGGRLRASPPPVLETSTIVSKTTRGCNAMAGYNDDDDSYPSRRPINLDDRARHGDSGDGRPSLFSSAFKQEESAKGWGVVVEERGASAIGEVASVVMPGWFGHDRSAVDDDGNELGTTGSGDSFAPFF >ONIVA07G18150.1 pep chromosome:AWHD00000000:7:17678402:17687035:1 gene:ONIVA07G18150 transcript:ONIVA07G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAACRGSPSRFGSASAIVADTETGYHLLKIGCYSRTKATTPTGSFLRSSQFTVGSHRWRINYYPNGESADCADYISLYLSLDEKASKNVKVKAQFQFQISFTDKVEKPHSLASAEVNTYGGESFWSWGCPKFIKRDGFEKSKDLRDDSFTIRCDVAVIGEIRTEITTTTFVSVPPSELNQQFGNLLETEKGADVVFEVGDETFAAHRCVLAARSPVFNAELYGLMKEGNAAGVVRIQDMEAQVFKLLLRFVYTDTLPEMKEEDVMCQHLLVAADRYNRQRLKLMCEEKLCKYVSVGTLSNILALADQHHCEGLKKACFNFLGSPANLSVVIANNGFKHLSRSCPSLMEELVAMLAPPPPTEASREPASSVQAMSPAACRADPSRPSSTSAIVADTETGDHLLKIGCYSRTKATTPTGSFLSSAMFTVGGHRWRIDYYPNGESADSADYISLFLLLDEKATKNVKVQAQFKFQISSTDQVKKAPSLASTEVNTYGEGSSWGRAKFIKREDFEKSNDLRDDSFVIRCDVAVIGEIRTEITTTTFVTVPPPELNQQLGDLLDTEKGADVVFQVGDKMSYRYNLQRLKLICEERLCRYIAVATVGNILALADQHHCDGLKKACFHFLGSQANLSAVIAADAFEHLSRSCPTLMKELVAVLSLPPYHA >ONIVA07G18140.1 pep chromosome:AWHD00000000:7:17663663:17664844:-1 gene:ONIVA07G18140 transcript:ONIVA07G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCAMSHTRSTTAGASGKPPIAPPLTSSASAIVADTASGYHLFKISDYSRTKDIFPTGSALKSRAFTIGGHQWRIHYYPNGNTEECGEYISLFLHLDEIVTDKNVYAQHGFRLFDEFAGDDDDDELRPSSIADLEQVSTFGGNNIGLGRLRFIKREELEKSNYLKNDSFTVRCDVVVTKRIRSEETPLVVRTSPKPKAARFVTVPPSDLHRHLQDLLHAEKGADVVFEAGGETFTTHRCVLAARSPVFSAELFGSMKESDTTVVIRIDDMVAQVFKALLFFVYTDSLPETKKEDEYAMCQHLLVAADRYNMERLKLMCEDRLCSYIGVGTVTTILELAEQHNCDGLKKACFDFLSSQKNLKAVTAGEGLEHLGRNCPSLVNELIATLGNLIQ >ONIVA07G18130.1 pep chromosome:AWHD00000000:7:17660096:17661196:1 gene:ONIVA07G18130 transcript:ONIVA07G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSRGTASAIVADAVTGYHLLKIDGYSRTKGTPNGAALTSDQFVYHFTDYISFHLMLDENATSTKGVKVKAQFQICFADQVETQPLLSSRPVRTFGDECLTWGHVKLIKRDEFEKSDDLRDDSFTIRCDIAVVGEIRTERTTEIAAETFVTVPPSDLNQKLGKLLDTEKGADVVFEVGGETFAAHRAELFGSMKESDRTGVVCIDDMEAQVFKALLRFMYTDSLPEMEEEEEEGTMCLHLLVAADRYNLERLKLICEDRLCKHVGVGTVVNILTLAGQHHCDGLKKVCLHFLGSPANLSAVLAGDGFEQLSRSCPSLVNELVAMLAPPIPPSSHA >ONIVA07G18120.1 pep chromosome:AWHD00000000:7:17650115:17650519:1 gene:ONIVA07G18120 transcript:ONIVA07G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGGGVEETGQAVDTDLAAFPDPDSVAARRRSRDCRRPDGGSVENSARRRLVVLAAWRRSRRDRRRRRGGQEGIARNGGVEPVVEAEIVGGADDAEGGEGSGRGCEGIGEAGVSVPEAEFGEGGGRQCPWRR >ONIVA07G18110.1 pep chromosome:AWHD00000000:7:17644088:17645122:1 gene:ONIVA07G18110 transcript:ONIVA07G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSMVSGCFVIKISGYSRTREEGRSLDSPPFSVGGYSWRTIYHPSLLFYPVRMSFALVLLDRIDGPMTMNARCKFTLLDRAGNPVPSHARDSPVVIAWSGAERSWRCSDLVTVDELERRRPELLGDDDRLAVRCDIVFMDVLGGAAARPLPPSDLHQHLGKLLSEKVGADVTFQVAGRGETFAAHRCVLAARSPVFRAQLFGPMKEGSTASGVIAIDDMEPEVFSSLLNFIYTDSLDDDGDGDDDDGVMAQHQLAAADRYGLDRMKLVCEEKLRKHIDGSSVGSILSLADRHNCDDLKEACFDFLSSGAKLREFAGTDAFEELIGSSPAVVKELVANVATLIS >ONIVA07G18100.1 pep chromosome:AWHD00000000:7:17641235:17641546:1 gene:ONIVA07G18100 transcript:ONIVA07G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNVAHVISIDDMEAHVFRALLRFIYTDSLPEMKKGEEDIMFQNLLVAADSYNIERLKLICEEKLCEYVGVGTVAAMLVLADQLGCDGLNKACFNFLKLQQT >ONIVA07G18090.1 pep chromosome:AWHD00000000:7:17611826:17612974:-1 gene:ONIVA07G18090 transcript:ONIVA07G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERWAGWIPSPLPAGHGRARTRGGFRRRPERMQGGDGEVSRRRTRGRKSGVYSEVQQLHDHECMKRPVKKQDSQVH >ONIVA07G18080.1 pep chromosome:AWHD00000000:7:17599402:17641201:1 gene:ONIVA07G18080 transcript:ONIVA07G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSSASNNGIPSRSSSSAIVVSKVSGCHVLKIDGYSHTKEMLSQGDCSRSCTFRVGTHSWYLEYYPNGRSLHNASDHIAICLVRDDDDGGDLGYGGAAREQMTARFHLLDHHAGKPVPGHTRGVTSPLLSGKVWACSNLVTRKELEEHVLDGDCFAVRCDITIVKVPRRAAPAPAVVVDVPAAAPDLQSQMGALLLSKEGADVTLQVGGGETTTFAARLSVFRSELFSATATSKAGSGGRVHVVDDGIDARAFEALLRFIYTDAPPELDEEDDDFSSMAWLLVAADRYKVERLKMICENELCKRIDGNNFEATLALAEQHHCSSLKKACMDLVDANPRAVEAAGGFEYLSNKCPSILRELIARLADFDLKNDGPLFKILLNVVVDMPPAAAAPDLQSQMNALFLGADVTLQVGGGETETTTFVAHRCVLAARSSVFRSESFGATATSKAGSGGQVHVVDDGIDARAFEALLRFIYTDAPPELDEEDDDASSMARDLLVAADRYNVERLKMTCQNELCKRIDANTIDTTMALAEKHHCSSLKKAVLVSPAGMSSSTAGVPSRSSSAIMVSTVRGYHLLKIDGYSRTKTVLSFVHKIAQLPCRQPFMAHGSDTIRTAAPTSSCTAAASPSLSSSNLKITATLSRRWERSGSAFLIAPAILMKEPSAVADPPAVVDVAVPLPELNRDMEALLQSEEGADVTFEVGGESFAAHRCVLAARSSVFRAELFGAMKESTAGGGKARVDGVEARALKLICEDKLCKRIDVSSAATTLALAEQHRCPSLKKACMDFLYSPGNLKAVEATDGFEHLATSCPVILRELIAKLLKIVEYSRTKAVPNGCSMKYPAFTAAGHTWHVGYFPNGVIGAEEAEADYVAFFLYLNDNDAAEEAVKAQAIFSLLDIEGNPVSSYTFTTVLVNFSEKKYWGYKNFIKRESLENPLYLKDDCFSIRIDLAVTPPLTVVVPPSDMHRHYGRLLISKEAADVEFQVGKKVFDAHRLVLAARSPVFKAELYGRMKESTTKSAIAIDDMEEEVFEAMLTFIYTDSLPKMKRRDEAAMAQHLRVAADRYNLERLKLICEDKLSKNIDTGSIANILLLAEKNSCHALKEACFEFLRTSRSLNAVMETDEFEYLIDTCPGVIKELMSKLIKKNKKQKQKPSKPRRRLPASSPAAAETHRGSSRGRVPPATATGSTRPTAPRPLPTRTMPASSRASASASDGASSSAIVAGTVNGYHVLKIVGYSLTKAVPNGKSIRSRPFRAGGHTWHVAYYPNGQNAEKAEYMAFFLCLDGTASKGVEAKAIFSLLDMEGNPVSSHSFTTRVVNFSEERSWGYSEFMKRGSLEKSEYLKDDCFKIRIDVSVIADFRAEETPLIVVPPSDMHRQFGDLLLSKQGVDFEFQVGKKKFDAHRLVLAARSPVFRAQFYGRMRESTTKRAIKIDDMEVEVFAAMLTFIYTDALPEMKQQEEAAMAQHLLVAADRYNLEKMKLICEDKLSKHIDAGSVANILALAEQHSCHTLKEACLEFLRSSRSLKAVMETDGFRISFPSFLLVNLGESNQGHVDEDLVIPIAKLILRYYQKLRQSLDGDDRCMNGPRTGVSAIALDYLAMSTTEGSKALLTDASDGASSSSASAIVAATVNGHHVLKIVGYSLTKAVPNGKSIRSRPFRAGGHTWHMLYYPNGNRAEKADFVAFYLCLDDAEACSEAVEAKAIFSLLDMEGNPRFTTRVVNFMEHKKGWGFDFMKRESLEESEYLKDDCFKIQIDVVIITDFHTEEETPLIVVPPSDMCRQFGDLLLSKQAADVKFQVGKKKFDAHRSVLAACSPVFKAQLYGRMRESTTRGAIRIDDMEEEVFRAMLTFVYTDDLPEIKQQDEAAMAQHLLVAADRYNLERMKLICEHNLSKHIDTDSVVNILVLAEQHSCHMLKEACLEFLRLSRSLKAVMETDGESCRSPSSIATPTSYIRRAGAMSRTTTGGKPALSSSSIVSDTVRAHHHLKIDGYSRIKDDLPNGECVSSCPFAVGGHLWRIDFYPNGKRAGRQITISGGGTFTCDTNCMSFYLVLVDEHVPKPVKAQFEFSFKKPKPPPTRSLFGKSKPPPLASAVRSFDCHGSCGGKATEVSKSSVERQIRDDSFTIWCDIAVLNEFRAEGATAASSSSSVAAAASPSYVSVPPSDLHRHLGELLASGDGADVTLEAGGETFKAHRYVLAARSSVLKAELLGPMARSTAAATPTRINDIEAPVFRAMLHFIYTDHLPETARNEEEEEEEEEEEEEEAAAMAQHLLEAADRFNLERLKLVCEDKLCHGIGTATVATTLALAEQHGCHGLKEACVEFLRIPGNLSSAMATDGFEHLTTSCPAILKELMSKLAVPYLRQYFFPILPVSTITMAFVPTSPTSSFPATADQVSMVNGCFLFRINDYSRKAGNVVESTLFSAGGYSWRIIYSPRCGGPIFFALVLNLGFDGGCGSPIRARCKLTLLDKAGKPAVPSRIRASPVLDWSVDMKWSCSDLVTPEELLQRRRAELLGDRDRLAVRCDIVFTDVLGGAAAAARPLPPSDLHQHLKGADVQVAGRGETFAAHRCVLAARSPVFRAQLFGPMKEGSTDSGVIAIDDMEPEVFSSLLNFIYTDSLDDDGDGDDDDGVMAQHQLAAADRYGLDRMKLVCEEKLRRHIDGSSVGSLLVLAERHHCRGLKEACFDFLSSGVKLEEFAGADAFEQLMESSPAVVKELNRVHSDLYIQGMPPAWWPAAAFAGRGDPPRSSTSTIVADAASGSHCLKIDGFSRTKGLPAGERLQSIPFTVGDHRWRLNLQPNGNAAEGHASLYLLLDEDVAKPVTAQFEFSIGAENRPSFFLLHVKRMKLKHAPFTPRVSTCNFASRAAWGFSKFLKWADLENQRYLEYDCFVIKCDVVVINEFRTVGGTTSAAATPAAPSFVSVPPSDLCQQLGVLLDTEKGADVVFRVGGETFAAHRCVLAAR >ONIVA07G18070.1 pep chromosome:AWHD00000000:7:17588411:17591865:-1 gene:ONIVA07G18070 transcript:ONIVA07G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPDVSAIGAALRSPAATVGEADVEKLRLIEELTSDVDAVQERVLSEILGRNAGAEYLTRCGLDAGDTGRATFRAKVPVVSYDDLKPYIQRVADGDCSPVLSTHPITEFLTSTGTSAGECKLIPVAMDDSGRRQALHGLIGPVLKLYVPGLEKGKGLNFMFVKSETKTRGGLTAWFALTSVYKSKQFKSMANAYTSPTAAILCEDAFQSMYAQMVCGLCQRHDVVRVGAVFAAALVRAIRFLQLNWGQLAADIEAGELGPHVADPSVREAVSGILRPDAELAEFVRIECSKGDWAGIITRIWPNTKYVDAIVTGAMAQYIRTLQYYSGGLPIVSTSYASSECFFGINLRPMCDPSEVSYTIMPNMAYFEFLPVGEVVDATNLVDLARVEVGREYEVVITTYAGLSRYRVGDVLRVTGFHNVAPQFRFVRRQSVLLSIEADKTDEAELHRAVERASSALLCPRGVSVADYTSRACTERIPGHYVVYWELLTESPVGAGDGETVDGETLGRCCLEMEEALSAVYRQGRVADGSIGPLEIRVVRPGTFEEVMDLAVSRGTSIGQYKVPRCVTVPSMVELLDSRVYYGRYWLTWRVKLTWALHISGSTLLPLPLPSLPPLSLSISRIERRRVRARDGALPCGWRRRQATTRQVDIDCIHGTTTSKRAAGKDKAAMPECHASPPPANYFKNKADYNAAAASASAGAINYK >ONIVA07G18060.1 pep chromosome:AWHD00000000:7:17579620:17585886:1 gene:ONIVA07G18060 transcript:ONIVA07G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGISTIGAASRSLSSSLMAAAKEPDVENLRLIEELTSNVDAVQERVLAEILGRNADAEYLDKCGLDASDTDRATFRAKVPVASYDDLKPYVKRIANGDRSPILSTHPIIEFFTSSGTSAGERKLMPIVTDEMARREVLSSLATSVLNVYVPGLHTGKGLYFLFARSETKTPGGLTAQPALTSVYKSEHFKRAYAYTSPMAAILCEDASQSMYAQMLCGLCQRHDVLRVGAVFAAALVRAIRFLQLNWAQLAADIETGELNPRVTDPSDRECSRGDWTGIVTRVWPKTKCLNVVVTGVMAQYIPILQYYSGGLPIVSGMYASSECFFGLNLRPLCGPSEVSYTIMPNTAYFEFLPVGEAVDASNLVELARVEDGREYEVVVTTYAGLNRYRVGDVLCVTGFHNAAPQFRFVRRHSVLLSIEADKTDEAELQGAVERASSALLRPRGAKYTSRACTERVPGHYVVYWELLTESGNTVDGETLGRCCLEMEEALSAVYRQGRVTDGSIGPLEIRVVRPGTFEEVMDLAVSCGTSIGQYKLPRCVTLPCMVELLDSRVVSSHFSPALPHWTLAP >ONIVA07G18050.1 pep chromosome:AWHD00000000:7:17567729:17575255:1 gene:ONIVA07G18050 transcript:ONIVA07G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homogentisate prenyltransferase [Source:Projected from Arabidopsis thaliana (AT3G11945) TAIR;Acc:AT3G11945] MASLVSPPLPCRAAATASRSGRPAPRLLGPPPPPASPLLSSASARFPRAPCNAARWSRRDAVRMCSQAGAAGPAPLSKTLSDLKDSCWRFLRPHTIRGTALGSIALVARALIENPQLINWWLVFKAFYGLVALICGNGYIVGINQIYDIRIDKVNKPYLPIAAGDLSVQTAWLLVVLFAAAGFSIVVTNFGPFITSLYCLGLFLGTIYSVPPFRLKRYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKYQISTLATKLGVRNIAFLGSGLLIANYVAAIAVAFLMPQAFRRTVMVPVHAALAVGIIFQTWVLEQAKYTKDAISQYYRFIWNLFYAEYIFFPLI >ONIVA07G18050.2 pep chromosome:AWHD00000000:7:17567729:17575255:1 gene:ONIVA07G18050 transcript:ONIVA07G18050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:homogentisate prenyltransferase [Source:Projected from Arabidopsis thaliana (AT3G11945) TAIR;Acc:AT3G11945] MASLVSPPLPCRAAATASRSGRPAPRLLGPPPPPASPLLSSASARFPRAPCNAARWSRRDAVRMCSQAGAAGPAPLSKTLSDLKDSCWRFLRPHTIRGTALGSIALVARALIENPQLINWWLVFKAFYGLVALICGNGYIVGINQIYDIRIDKVNKPYLPIAAGDLSVQTAWLLVVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKYQISTLATKLGVRNIAFLGSGLLIANYVAAIAVAFLMPQAFRRTVMVPVHAALAVGIIFQTWVLEQAKYTKDAISQYYRFIWNLFYAEYIFFPLI >ONIVA07G18040.1 pep chromosome:AWHD00000000:7:17563869:17566916:1 gene:ONIVA07G18040 transcript:ONIVA07G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKWCLPCFPMASTAALPIETAFSLPAPLPSWPSSVSDGGFAKGSIDLGGLEVRQVTTFAKVWSTGQDGGGATFFRPEQVPAGFSALGHYAQRNDRPLFGHVLVARDVSGGGLLTPPLDYAPVWSSQDGAAHFWLPTPPDGYRAIGVAVTASPDKPPRDEVACVRADFTDACEAEATVWDKDGFSAVALRPAVRGVDARGVHAGTFVLARSDATAASASALACLKNNGAAYTSCMPDLAQVNALLAAYAPQLFLHPDEPYLPSSVTWFFQNGALLYQKGNQTPTPVAADGSNLPQGGGNDGGYWLDLPVDNSQRERVKKGDLPGAKVYVQAKPMLGATATDLAVWFFYPFNGPARAKVGPLTIPLGKIGEHVGDWEHVTLRVSNFSGELLRMYFSQHSAGAWVDAPQLEYLDGGNRPSAYSSLHGHALYPRAGLVLQGDARLGVGIRNDCDRGSRLDTGGAGRCEVVSAEYLGGGGGGVAEPTWLLFDREWGPREEYDIGREINRVAKLLPRSTRERLRKLVESVFVGEGPTGPRMKGSWRNDEREAK >ONIVA07G18030.1 pep chromosome:AWHD00000000:7:17558568:17562299:1 gene:ONIVA07G18030 transcript:ONIVA07G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19850) TAIR;Acc:AT5G19850] MATSGAAARPPVATTLSARRGTAPSATAAAASCPASSARRTTWRPRARLSSGNAARARARLLRVEASSMADPVEESLPAAPHTATDAPLETQPQVRTSMWNWKGYNIRYQYAGTSGPALVLIHGFGANSDHWRKNIPVLALKNRVYAIDLIGYGYSDKPNPRELGESFYTFETWGEQLNTFCAEVIKSEAFFICNSIGGLVGLQAAAMEPQKCKGIFLLNISLRMLHISKQPWFGRPFIKSFQSLLRNTVIGKLFFSAVATPESVKNILCQCYHDTSAVTDELVQFILQPGLDPGAVDVFLEFICYSGGPLPEELLPRVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPNVGHCPQDEAPDLVNPLVESFVTRHS >ONIVA07G18020.1 pep chromosome:AWHD00000000:7:17548007:17557093:-1 gene:ONIVA07G18020 transcript:ONIVA07G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTAGPTPIIQLENEQWPVEMWSKTMLVVFINIVFIHCLSVNIGAAVANPAASDGRFVHHGFTAEDLTMDGLAAVTPTGLLALTNATYQTKAHAFHPAPLRFLNTSSSAAATVRSFSTSFVFAIVSDDPRFRNNVDHGLAFVVSPTKNLSTANAGQYLGLLSMADDGKPSNHVFAVELDIITNPEFGDIDSNHVGVDVNSLRSLQANTAGYYVDGDGAFRSLQLNSQKPMQVWVDYDGQAKQLNVTLSPVQVPKPKKPLLSQAIDLSTVMAEEMYVGFSSATGVVFTHHYVLGWSFSFDGGAAPSLDFSMLPKVPRVGPTRRSVMLYVVLPIASALLFLVAFVLGVFFFVRRWHRQYAEVREDWEVEFGPHRFTYKDLFHATQGFTDKNLLGAGGFGSVYKGVLPVSNTEIAVKRVSHNSRQGMREFIAEVVSIGRIRHRNIVRLLGYCRRKGELLLVYDYKTNGSLDKCLHDNATSTILCWPKRIHIIKGVASALSYLHKDWEQVVIHRDVKASNVLLDSEMNGLLGDFGLSRLRDHGADAKTTYVVGTMGYIAPELMHTGKATPLTDVFVFGVFLLEVTCGRRPIGESDSNEILLIDWVLKHFLSGSILDVVDPRLAGRFSFEEVNLVLKLGLMCSHPLPKARPSMDKVVKYLDGMLPAPELSPTHMSYNMMELMLQNGVGSHSLPCWYNVFCFGSLGREMILKLSNRQANKLAAPRRSDANTMLREPFHCFTLLLLLLAAADRCAAATGGSGGLQFAYDGFSGANLTLDGAATVTASGLLMLTNGSIQMKGHAFHPSPLPLRAARSFSTTFVFAIFGQYADFSSHGLAFFVSASADAFAAALPGQFLGLFNGTGATTGNRSAAGVFAVEFDTLFNAEFHDLNSNHVGVDVNSLTSVKAADAGYYDDVTGQFRNLTMISRKPMQAWVDYDGGSTEVTVAMAPLGTARPKKPLLRTNVDLSDVATGAAHVGFASATGILFSRHFVLGWSFAVDGPAPPLNISSLPPLPRAWPKPRSKVLEIVLPIASAALVAAVAMAVYAMARRRLRYAELREEWETAFGPHRFSYKDLFHATKGFSDKNLLGTGGFGSVYRGVLRKPDMEVAVKRVSHESRQGMKEFVAEVASIGRLRHRNLVQLLGYCRRKGELLLVYDYMPKGSLDKYLYDGSKHPLSWPQRFHIIRGVASGLLYLHEDWEHVVIHRDVKASNVLLDGEMNGRLGDFGLARLYDHGAIAQTTHVVGTMGYLAPELGHTGKATPSTDVFAFGAFLLEVTCGRRPIVQDEHGNRAVLVDWVTEQWSKGALVNVVDARIPSGFDPDEVSLVLKLGLLCSHPLPNARPTMRQVAQYLVGDMALPDLSPTYLSFTSLERMYKEFNRNSISYISSASMGAISDISGGR >ONIVA07G18010.1 pep chromosome:AWHD00000000:7:17543980:17546073:-1 gene:ONIVA07G18010 transcript:ONIVA07G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMIAKFSAHFVLLLVFSLSNDVVVASAAGGDGQFTYQGFAAANLTVDGLATVTASGLLVLTNFTYQAKAHAFHPAPLRFLGESSTAAAGANASGGAGADVARSFSTAFVFAIVSGYDGLSDHGLAFVVAPTANLSAANAGQYLGFLNATNGTASGQILAVELDTIMNPEFHDISSNHVGIDANSLMSTQARPAGYYGDGDGAFRELRLNSRQPMQVWVDYDGQAKQLNVTLSPVQVPKPKKPLLSQAIDLSTVMAEEMYVGFSSATGVVNTHHYVLGWSFGFDGPAPPLDLSKLPRLPRFGPKPRSKVLDIVLPLATALLVAAVLAAVFFVVRRRRRFAEVREDWEDEFGPHRFAYKDLFRATDGFKDRNLLGVGGFGRVYRGVLPESNLEIAVKRVSHDSRQGIREFVAEVVSIGRLRHRNLVQLLGYCRRKNELLLVYDYMANGSLDKYLHERNVTTLFWPERLWIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDSAMNGRLGDFGLARLYDHGTDPKTTHVVGTMGYLAPELVRTGKASPLTDVFAFGVFLLEVTCGRRPIETDEHNKRVVLVDLVLEHHRNGSIVGAADPRLTGKFDVEEVALVLKLGLLCSHPLPGARPSMRNVMQYLERGGKSAPDLSPSYVSYSMMAIMQNEGFDSFIMSGGPRSATSIGTVSGASSMTIMSEGR >ONIVA07G18000.1 pep chromosome:AWHD00000000:7:17539586:17541705:-1 gene:ONIVA07G18000 transcript:ONIVA07G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I2P8] MATKNGGFVALLLLLSFLLSSPLPARCDAPLPVNVWPKPTSMSWAEPHMAVRVSSSFHVVAPSGNAHLLSAARRYAALLLAERYRPLVTPAVNVTAGGAGAGAAGRGAELGYLTLAVSDLHAPLQHGVDESYALEILPAGAAATVTAATAWGAMRGLETFSQLAWWCGRERAVLVAAGVRVEDRPLYPHRGLMLDTGRTYFPVADILRTIDAMAANKMNVFHWHITDSQSFPLELPSEPALAEKGSYGDGMRYTVDDLVSCAGEFWLPDASDWPSRLAAEPGAGQLNPLEPKTYQVMSNVINDVTSLFPDGFYHAGADEVTPGCWNADPSIQRYLARGGTLSRLLEKFVGAAHPLIVSRNRTAVYWEDVLLDQAVNVTASAIPPETTILQTWNNGGNNTRLIVRAGYRAIVSSASFYYLDCGHGDFAGNDSAYDDPRSDYGTSGGSWCGPYKTWQRVYDYDVAGGLTAEEARLVVGGEVAMWTEQVDAAVLDGRVWPRASAMAEALWSGNRDATGRKRYAEATDRLTDWRHRMVGRGVRAEPIQPLWCRNRPGMCNLVR >ONIVA07G17990.1 pep chromosome:AWHD00000000:7:17536622:17537590:-1 gene:ONIVA07G17990 transcript:ONIVA07G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVNEVVAMRLAAMDAEATMGVDKNWGECRPILLLEQAMGACCSSGQQGTKTQQQGSESVQQPKSTHRRWKSEAAGSDAVVMGNLDAMMEQAPPVSSVQAASPRDSCLLCHLRAAVNRTPAADPCLLDLVP >ONIVA07G17980.1 pep chromosome:AWHD00000000:7:17524800:17533355:-1 gene:ONIVA07G17980 transcript:ONIVA07G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDDQAAAAALLGGDPAAFDALLSTLMSSSNADRAAAEAAFHRLRGSHPEPLALRLASSLSSPATPADLRAMAAVLLRKLLSPTPSSDASSAAAAPVWPHLSPAGQAALKQHLLSALQSDPPKPIAKKVCDAISELAALLLPENAWAELLPFLFRAASGPEAPNLQESALLIFARLADYIAESLLDHLMTIHNLLASALAHPTSPDVRIAALSAAVNLVQCLPTNADRDKMQDLLPAMMRALTDCLNSGQEASAQEALELLVELAGAEPRFLRRQIADVVGAMLQIAEAAQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMQMLLDVEDDPAWHTAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHPHARVRWAAINAIGQLSTDLGPDLQVNYHQQVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVTKLLVLLQNGKQMVQEGALTALASVADSSQEHFKKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMSLQGTTMENDDPITSYMLQAWARLCKCLGQDFLPYMNVVMPPLLQSAQLKPDVTITSAESDDDIESDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGLAQGRDESYVKQLSDYIIPALVEALHKEPETEMCSSMLDSLNECMQLSGRLLDENQVRAVSDEIKNVIIASATRKRERSERSKAEDFDADEGELLKEENEQEEEVFDQVGECLGTLIKTFKASFLPFFDELSVYITPMLGKDKTAEERRIAICIFDDIAEQCRESALKYYDTYLPFLLEASNDENSDVRQAAVYGVGVCAEFGGHVFRPLVGEALSKLNNVITHPEAKHADNIMAYDNAVSALGKICQFHRDGIDAAQVIPAWLGCLPIKDDKIEAKVVHDQLSSMVERSDADILGPHSQYLPKIVSIFAEVLCNGTELATDETTKRMVNLLRRFQQTLPPDFLASTFSSLQPQQQLLLQSILST >ONIVA07G17970.1 pep chromosome:AWHD00000000:7:17519391:17520338:-1 gene:ONIVA07G17970 transcript:ONIVA07G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFQVQPPVFQLQDYCYYYSQEVAAAASPAAKPTKPRGRKKGSTSHSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETADAAARAYDEAARLLRGAEARTNFAPRISPDCPLAVRIRGILHHKKLKKARSAAAATAGSPGAASKKRSTTAAAAAATPTITTTSNSNSDGAGSACGGSSSSSSSTDSCDGAVKQGGGGGGAPTDASEVYRPDFVHAGAEEFDSWMFDTAFGPFPELDSFAAVDAVTPPPATASPEESSAGTPPVEMAEFERIKVERRISASLYAMNGLQEYFDKVFDASACDPFWDFSPLCH >ONIVA07G17960.1 pep chromosome:AWHD00000000:7:17516422:17516649:-1 gene:ONIVA07G17960 transcript:ONIVA07G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYPIWRLEPLDLRLICDEEPVERVGVRESPHEKVFERLSRDGAISTVAATVTVTVTAAASVSLDVAWVSDLIN >ONIVA07G17950.1 pep chromosome:AWHD00000000:7:17511869:17515631:1 gene:ONIVA07G17950 transcript:ONIVA07G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0I2P3] MREIISIHIGQAGIQVGNACWELYCLEHGIEPDGTMPSDTTVGVAHDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDENDDGEDY >ONIVA07G17940.1 pep chromosome:AWHD00000000:7:17498009:17498545:-1 gene:ONIVA07G17940 transcript:ONIVA07G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAEIDAADEFVLVTAAADDEITHETRVRVLAGGYSEKSLNANYFAAAAAVASRRDAFDNDDEVDDAVANASEGSGDADNDEEVEMVLVEDEQGGGGDHRWQQHVVGVLCSVGLTAATAAGLALLLGCGRGGGGGRQKPAVAVNFRASADYKVQMRSSYHESSMTVTKLRAFDNVIR >ONIVA07G17930.1 pep chromosome:AWHD00000000:7:17496131:17497128:1 gene:ONIVA07G17930 transcript:ONIVA07G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATRGEADSPSPEVEPSVLVTLRVKDSEGVRITRTMRRTDKLRDLTDFYLAMVVPAAVAQGHVCRPVGVFMHYGRRVTGYETPADYDMDDGDEVSFFPDRVMSLPVTLTVKDSKGRTVTRTMRRIEKLNVLFDLYHAMFRVPWPQGLAAASVTRTMHRTDKLQDLIDFYEAMVPADKYCDGECQVVYGGKTVEGEKTPADCEMEDGDQIKRMSSMFVTVTVGFVSLGKNIEHAHTLRRTDKLQGLMDLCSSMMPSRYKHGCRFLFDGRFVLGSQTPDDLAQEDVDMIDLTCY >ONIVA07G17920.1 pep chromosome:AWHD00000000:7:17489582:17491440:1 gene:ONIVA07G17920 transcript:ONIVA07G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRSGITAAVKVEEEDDGKTPAAKRAGEYVTLKVQDTDGRAVHRTMRWTEQLQGLMDFYYDRAHGRVQRGTGRFLYDGRRLSGWQTPAELDMEDGDEVDFFEELIASRSAITMSKRSRSAMSSKATGEDDADDSSSPEVEASSLITLRVKDSEGVRITRTMRTTDALDDLMDFYLGMVPADMDAAEGVFMHYGRRVTGDRTPADYDMEDGDEVSFFPDGTWTTPVTLTVTDNNGRRVTHTMRRLHILDILFDLYFAMLPSTAPREGAFIYHCRELSPKQTPEECNMKDGDEIAFSPFSKPSAFVTLTIRGNNNNGGGSVVVTRTMLRTQERLQDLIDLYFAMVPTDDERGEFDVTYCGRKVDIEKTPADYGMEDGDQLRLAPATERSRFVTINLVTMVGVKRAYTLRRTDELHGLMDLCLSREPASMYQNGCIFLYNGLCSRLGNSG >ONIVA07G17910.1 pep chromosome:AWHD00000000:7:17476042:17476434:1 gene:ONIVA07G17910 transcript:ONIVA07G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWSGIPAAVKVEKENEWKTPATWEWKAPATRVAGEYVTLKVQGTDGRAVYRTMLRTEQLQGLMDFYYDRSHGRVQRGTGRFLFDGRRLRGWQTPAELQMEDGDEVNFFEELIGGAAGSGWDPPSSILA >ONIVA07G17900.1 pep chromosome:AWHD00000000:7:17474992:17475324:1 gene:ONIVA07G17900 transcript:ONIVA07G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSPRAEEDAKETVKPIFITLKVMDQEDRRIRHTIRMADKLQVVMDMYYAKAPEVTYGTGTFLFDGIRLKGDMTPMGLEMVDGDTVDFFPVMIGGGGFFQCNLLPSSH >ONIVA07G17890.1 pep chromosome:AWHD00000000:7:17469637:17471532:-1 gene:ONIVA07G17890 transcript:ONIVA07G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHHCCWFLLLLLLLAAWCVRGEAEVANGGHQDLPPLLSFKSYNPAAAALESWVGGDPCSGAWIGVRCSRGRVVGVFLDNASLVGGVAPLLGLARLGVLAVRRNSLSGRLPPLDNSTSPRLRHLLVSHNQLTGGLRVSLPSLVTLRAEHNGFHGDLRALSVPMVRSFNVSRNMLDGEISGDLSRFPSSSFGGNLGLCGLPLPRCVHAYNALGDSVGQSPSAAMEEASSGGSNGGLSKLSVTALMATGIGNAALMVISVAISVAMFVYMRRKLRSWKGASDAALSFEEEDKVRNREEKGQKSNGGGLVCFDGGEELRLESLLKASAEVLGKGVSGSTYKAVLEDGIVVAVKRLSALQFPGRSKAFDRHMRLAGRLRHRHVVSLRGYCNSNGERLLVYDYLPNGSLQSLLHGSNGGGGGGRSLDWAARKAILFGAAQGLNYIHTFPARPALVHANVKPSNILLDEHGAACVSECGVMRYAANIQQSIPQPPRCPPGLFLDRAAAAAGGGGWHGYAAPELASGAGAAGARATQESDVYSFGMVLLEVVTADNAGDGNGGGGGGGDGGEDETMGWVKIGMLCTAEAPEERPRMAQVLAMMGEFM >ONIVA07G17880.1 pep chromosome:AWHD00000000:7:17465954:17468951:1 gene:ONIVA07G17880 transcript:ONIVA07G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHHEGFVAMFFLSVHGRKLSDQCDAAVRIVNTNGTSMMDELRKTLAWRCDAVVGSINTSKMSDAKAHSPVNPAE >ONIVA07G17870.1 pep chromosome:AWHD00000000:7:17455883:17460259:-1 gene:ONIVA07G17870 transcript:ONIVA07G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding [Source:Projected from Arabidopsis thaliana (AT3G03890) TAIR;Acc:AT3G03890] MKPLLLTPAARLAAAAPSTLSRLLRLQSGRHHAHASSSSNRLLPPLLRPPRDGFRGGRLIASSSSSSSQMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPVSDQEKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >ONIVA07G17870.2 pep chromosome:AWHD00000000:7:17455883:17460259:-1 gene:ONIVA07G17870 transcript:ONIVA07G17870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding [Source:Projected from Arabidopsis thaliana (AT3G03890) TAIR;Acc:AT3G03890] MKPLLLTPAARLAAAAPSTLSRLLRLQSGRHHAHASSSSNRLLPPLLRPPRDGFRGGRLIASSSSSSSQMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPVSDQEKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVQYSTTVKANKPSQVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >ONIVA07G17870.3 pep chromosome:AWHD00000000:7:17455883:17460259:-1 gene:ONIVA07G17870 transcript:ONIVA07G17870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding [Source:Projected from Arabidopsis thaliana (AT3G03890) TAIR;Acc:AT3G03890] MKPLLLTPAARLAAAAPSTLSRLLRLQSGRHHAHASSSSNRLLPPLLRPPRDGFRGGRLIASSSSSSSQMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPTKKKMQYEVHTYEDILRHFGAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >ONIVA07G17870.4 pep chromosome:AWHD00000000:7:17455883:17459919:-1 gene:ONIVA07G17870 transcript:ONIVA07G17870.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding [Source:Projected from Arabidopsis thaliana (AT3G03890) TAIR;Acc:AT3G03890] MAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPVSDQEKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >ONIVA07G17870.5 pep chromosome:AWHD00000000:7:17455883:17459919:-1 gene:ONIVA07G17870 transcript:ONIVA07G17870.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding [Source:Projected from Arabidopsis thaliana (AT3G03890) TAIR;Acc:AT3G03890] MAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPVSDQEKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVQYSTTVKANKPSQVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >ONIVA07G17860.1 pep chromosome:AWHD00000000:7:17446985:17453522:-1 gene:ONIVA07G17860 transcript:ONIVA07G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT4G35335) TAIR;Acc:AT4G35335] MEYRRVKDQESYDVVSQKDIESPGERSLSSTSATSSLSTAGASKGNNSWKLKSIVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLIALYRTWNSQGVTEDNRLSTSFDEVSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPIQGWVMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMLFNLVAICVQDFDAVMNKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFHLSLAFFLGSTWHSVLQRAENMVNAIKGLFISCDVPMAQFIVNLNASMPASDKFILHMLDPTHMFVQPHVAEMIRSKISEFRDQNSYEKPT >ONIVA07G17850.1 pep chromosome:AWHD00000000:7:17439365:17444260:1 gene:ONIVA07G17850 transcript:ONIVA07G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARRGCLVALLAVLFLACAAEGGAAAASAAQQQQQLRRRRHLLRRQRQVHSHLRRLNKAPLASIESPDGDIIDCVHISNQPAFDHPFLKNHTIQMRPDYHPEGLYDESKVASQQNTQTITQMWHKNGVCPENTIPIRRTKKEDVLRASSIRRYGKKKHKSTPNPMSVDPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPRIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAMGASISPLSNYGGSQYDINILVWKDPKEGNWWLQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGSHTSTQMGSGHFPEEGFGKSSYFKNIQVVDSSNNLRAPSGIGSFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNPNCP >ONIVA07G17840.1 pep chromosome:AWHD00000000:7:17431088:17435297:1 gene:ONIVA07G17840 transcript:ONIVA07G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G20110) TAIR;Acc:AT1G20110] MQHGDYASSAPPAAGHYYPHQFAPNPPPHPASSAADAAPPTIPASYASAPPYSVGGYSDQPPSAPSYAPPPQYAGYAPPYNNPNPAPYPPESSPAPAPYYSYPPTAAGAATQHAPAAEPSPAPLPYDAPYYGGYQPPPTAGYGDDDYLNEGAYAYSGDGGSEPYGARGTAPTRSGAAMFDDYGRSIGPSSGGADQWPTGGGGGVGGSFGKIARAVPKAESHEDANGGAQKFRVKLLPEGAGSPTDVLCQIGLDGIRMLDPSTSRTLRIYPLDTLTRWDVLDSTVFAFWAKTPVDFEAKRIRLKSNSYTSNTLLDTVTAATVQFKEIGGDARGRGTVDSSKPMSQSNEKKKGFDWMFAKPVDEVKDHWVPDEAAKKCYSCAVDFSPFNRRHHCRNCGEIFCDKCSQGRTALTAEDNAPLVRVCDRCMAEVSQRLSIAQEAANRSATVQSHEDLARKLKEEMERNRKSSGSASGGSSGTRMREVACPTCTVHLQVQVPTSGSETVECGVCQHAFRVSAN >ONIVA07G17840.2 pep chromosome:AWHD00000000:7:17430997:17435297:1 gene:ONIVA07G17840 transcript:ONIVA07G17840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G20110) TAIR;Acc:AT1G20110] MQHGDYASSAPPAAGHYYPHQFAPNPPPHPASSAADAAPPTIPASYASAPPYSVGGYSDQPPSAPSYAPPPQYAGYAPPYNNPNPAPYPPESSPAPAPYYSYPPTAAGAATQHAPAAEPSPAPLPYDAPYYGGYQPPPTAGYGDDDYLNEGAYAYSGDGGSEPYGARGTAPTRSGAAMFDDYGRSIGPSSGGADQWPTGGGGGVGGSFGKIARAVPKAESHEDANGGAQKFRVKLLPEGAGSPTDVLCQIGLDGIRMLDPSTSRTLRIYPLDTLTRWDVLDSTVFAFWAKTPVDFEAKRIRLKSNSYTSNTLLDTVTAATVQFKEIGGDARGRGTVDSSKPMSQSNEKKKGFDWMFAKPVDEVKDHWVPDEAAKKCYSCAVDFSPFNRRHHCRNCGEIFCDKCSQGRTALTAEDNAPLVRVCDRCMAEVSQRLSIAQEAANRSATVQSHEDLARKLKEEMERNRKSSGSASGGSSGTRMREVACPTCTVHLQVQVPTSGSETVECGVCQHAFRVSAN >ONIVA07G17830.1 pep chromosome:AWHD00000000:7:17427236:17427628:1 gene:ONIVA07G17830 transcript:ONIVA07G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVAWVAAAARVPAELCQGQGGARGRRRRLRADEVLRALLVAPVRELERLADWLFVFFCLPLPDYYVPGSGRGGLLVARAPSSPSGGALLHYGGRYRRPLSLLLPSSSSSSSSSSMSSSEEYYYYSDD >ONIVA07G17820.1 pep chromosome:AWHD00000000:7:17421472:17426265:1 gene:ONIVA07G17820 transcript:ONIVA07G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I2M4] MEASLPFHHHHPAASSTAAHHAARLTPPPPRDPRATARWVPPAAAPARSRSPANLGLPPHPPRRLRLRLAPPRITAAVTGGPRRPRRRAPPPLECAGGSSSSLRRPREEEEEEEEEEEEEERSSTAHAGVSLVGENKVLQMSSTVPKASNIFWHDCAVGQADRQKLLKQKGCVVWITGLSGSGKSTLACTLDRELHTRGKLSYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLVCIASFISPYRRDRESCRALLSDGSFIEVFLNMPLELCESRDPKGLYKLARAGKIKGFTGIDDPYESPLNSEIEIKEVDGVCPSPSDMAGQVVTYLEEKGFLHD >ONIVA07G17820.2 pep chromosome:AWHD00000000:7:17421472:17426529:1 gene:ONIVA07G17820 transcript:ONIVA07G17820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I2M4] MEASLPFHHHHPAASSTAAHHAARLTPPPPRDPRATARWVPPAAAPARSRSPANLGLPPHPPRRLRLRLAPPRITAAVTGGPRRPRRRAPPPLECAGGSSSSLRRPREEEEEEEEEEEEEERSSTAHAGVSLVGENKVLQMSSTVPKASNIFWHDCAVGQADRQKLLKQKGCVVWITGLSGSGKSTLACTLDRELHTRGKLSYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLVCIASFISPYRRDRESCRALLSDGSFIEVFLNMPLELCESRDPKGLYKLARAGKIKGFTGIDDPYESPLNSEIEIKEVDGVCPSPSDMAGQVVTYLEEKGFLHD >ONIVA07G17810.1 pep chromosome:AWHD00000000:7:17417998:17418357:1 gene:ONIVA07G17810 transcript:ONIVA07G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVQYQAAAAATAMACEEEFMPQGLISCFGRSLSRASSGRHLEYCSRDVSGGGEDSRRMAQERSARAKLRWKAVAQEIMARRSGGGGGGSGRRRKTAFSYDSKSYALNFDDQAAGAE >ONIVA07G17800.1 pep chromosome:AWHD00000000:7:17414655:17415110:1 gene:ONIVA07G17800 transcript:ONIVA07G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSSGKGMSCSVLPYRRAAPAWVKTSASEVEEMIVRAAKKGQLPSQIGAILRDAHAVPLAQGVTGGKILRVLKSRGLAPEVPEDLYFLIKKAVAMRKHLERNRKDKDTKFRLILVESRVHRLTRYYRLAKKIPAFFKYDSTTASTLVA >ONIVA07G17790.1 pep chromosome:AWHD00000000:7:17407213:17411342:1 gene:ONIVA07G17790 transcript:ONIVA07G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPKANAAAAGDLPEYAEVDPTGRYGRYNDVLGKGASKTVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEMFTSGTLRQYRQKHMRVNIWAVKHWCRQILSGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPMVRQFVEKCLATASRRLSARELLKDPFLQVDDLVFCPGDGDYSLMNYLRQPYLEHAYSNVSMMSNGLSESIDEDTPTEDRWDCEDDDIKADGIDLFNGHEDEPLGNVDITIKGRKSEDGSIFLRLRIADNDGHVRNIYFPFDIEADTALSVATEMVAELDITDHEVTRIAEMIDGEVSALVPDWRPGPGIEESQDTTYCHNCGSNVSSCGSLYAYMSSAARGCQCAELHGRFEEITFQANGEQTDLQDSGGSSDDGGGQTQHVKNKEAVHSNGFVQMGRRGPPDQFCFSSFQEQSCSPRHYEYDTSLQAKGFDMKHEVKMAKYKARKMAHLRRAIHPSLDFDNLNGERRMKSSLNKLQSFHIGKNHNFRIPTCERSPGARDAEEDPDIFNLAYHSRHPDPGAQRARHCEVDAQSSPDLMFTARSYYTGAQLPTNLPRTKSVTLNAVDA >ONIVA07G17790.2 pep chromosome:AWHD00000000:7:17407213:17411156:1 gene:ONIVA07G17790 transcript:ONIVA07G17790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPKANAAAAGDLPEYAEVDPTGRYGRYNDVLGKGASKTVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEMFTSGTLRQYRQKHMRVNIWAVKHWCRQILSGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPMVRQFVEKCLATASRRLSARELLKDPFLQVDDLVFCPGDGDYSLMNYLRQPYLEHAYSNVSMMSNGLSESIDEDTPTEDRWDCEDDDIKADGIDLFNGHEDEPLGNVDITIKGRKSEDGSIFLRLRIADNDGHVRNIYFPFDIEADTALSVATEMVAELDITDHEVTRIAEMIDGEVSALVPDWRPGPGIEESQDTTYCHNCGSNVSSCGSLYAYMSSAARGCQCAELHGRFEEITFQANGEQTDLQDSGGSSDDGGGQTQHVKNKEAVHSNGFVQMGRRGPPDQFCFSSFQEQSCSPRHYEYDTSLQAKGFDMKHEVKMAKYKARKMAHLRRAIHPSLDFDNLNGERRMKSSLNKLQSFHIGKNHNFRIPTCERSPGARDAEEDPDIFNLAYHSRHPDPGAQRARHCEVDAQSSPDLMFTARSYYTGAQLPTNLPRTKSVTLNAVDA >ONIVA07G17780.1 pep chromosome:AWHD00000000:7:17387450:17393074:1 gene:ONIVA07G17780 transcript:ONIVA07G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDPSVSDEDDDLETLVPQNHVKPPSSSFHVAGLRAAVPPSAASLGRVLWSRRYLLLFVALPVLFLVLFVSLGGASSLRLPASIRLPSAAAPAADPAASRMRDAELRALYLLRSQRSGLLALFNRTAAPTNGSSSGSNAVSYADLHDALLGQIKINREIQAALLSAHRTGAAGNATEDGLDLDLPADGCRRRELPSNRRTIEWNPKKDRFLFAICVSGQMSNHLICLEKHMFFAALLGRILVVPSQKLDYQYDRVLDINHINDCIGRKVVITYEEFTEKRKKVSIDQFICYAASPPCFIDEDHVKKLKGLGISLGKIQAAWPEDAKLKEPKKRFVEDIMPKFTTDAEVLVIGDMFYADVEEEWVMQPGGPLAHKCNTLIQPSRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRIVEKANAPVIYLSTDAAESETSLLQSLVVFNDRQVPLVKRPEHHNSEKWDALLYRNHMGGDNQVEAMLDKTICALSNVFIGASGSTFTEDILRLRRGWGSASHCDEYLCQGELPNFIAELD >ONIVA07G17770.1 pep chromosome:AWHD00000000:7:17384114:17386870:1 gene:ONIVA07G17770 transcript:ONIVA07G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQRRPRDWGNLAEGPAGLIAERLLAADVADYVRFRAVCGPWRRCCASPRGGGGPVDGRFLPRRWIMIDKASPAAAAARRHRFLNVATGECIHTDLPELAEHDLLMVTPEGLLLLFRKDAPHGVRLLNPLTRHLTELPPFATVLTPEQRNDPHQGLRGEDFRVRGVAIADSSSTSTSTSTVVAYLKSPTTLAVAKPGDERCTKIDFDHRLMLYSTVPFAGRIYHATAIGIMTPETTTSSDQPPRMLTAVDTTIDPPFSFCSMSDSLHLVDNGGRELILVYRTVSHDEFRREYAVYRMDLDSRLLIPARSLSGRAVFIGLSRSVSISPSTFPSVTGDTVYLGFDCGERTKISGYHVGDGSIEHSQLIKHASWFKPSTLVDCLSWCIKSNGKQLG >ONIVA07G17760.1 pep chromosome:AWHD00000000:7:17381088:17383450:1 gene:ONIVA07G17760 transcript:ONIVA07G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMRSPELLMEQKLLSTGESEEATTGTTATAAAAAEVNECMVRGRRVVVAGTMGWLQSLLAPLKKLWIRMHSAQRKKRGIYILYEDVKSCPCEDVQILWSILVESSHGGGHHHHHPPPLRLKQ >ONIVA07G17760.2 pep chromosome:AWHD00000000:7:17381088:17383450:1 gene:ONIVA07G17760 transcript:ONIVA07G17760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKVNSGCRGRRVVVAGTMGWLQSLLAPLKKLWIRMHSAQRKKRGIYILYEDVKSCPCEDVQILWSILVESSHGGGHHHHHPPPLRLKQ >ONIVA07G17760.3 pep chromosome:AWHD00000000:7:17381263:17383450:1 gene:ONIVA07G17760 transcript:ONIVA07G17760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKVNSGCRGRRVVVAGTMGWLQSLLAPLKKLWIRMHSAQRKKRGIYILYEDVKSCPCEDVQILWSILVESSHGGGHHHHHPPPLRLKQ >ONIVA07G17760.4 pep chromosome:AWHD00000000:7:17381088:17381482:1 gene:ONIVA07G17760 transcript:ONIVA07G17760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMRSPELLMEQKLLSTGESEEATTGTTAPDHVGTKFISHQCYIPFTDGIDVYISAKTLELL >ONIVA07G17750.1 pep chromosome:AWHD00000000:7:17378150:17379616:1 gene:ONIVA07G17750 transcript:ONIVA07G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLLLLMALGGRGRSTFACGAVGWVVIVLGAAGQCPLLIAEAPAAPFSAGGVLDFVGGKDSSRQSEQADVLNPDSNRGEQPARSVRVTKAAKEKVGVQLATVAIVTMRDFASCLSQSGVQVAHSSSPGGQNMVQCTYLARLRGKSCSVTVTWSKMTMGQALSVAVDDSSNRCLCKAEIKPWLFSKRKGSKAMEVDGGALDIVWDLSSAKFAAGPEPVEGFYVALVCDLEAVLVLGDMRKDGDHRVSSDVLASNAVMIARKEHVYGKKVYSAKARFLDIGHLHHITIECDTSGLKDPSLEIRIGKKRVMQVKRLAWKFRGNQTVYVDGLPVEVLWDVHDWLFGSSNGCAVFLFQSGQSMEKLLSRSCSQNEKELQAHRFGFTLILNAWKTE >ONIVA07G17740.1 pep chromosome:AWHD00000000:7:17368521:17373300:1 gene:ONIVA07G17740 transcript:ONIVA07G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I2L1] MPLRAMLPLPLLLAALAVAAAAAGAPRPHPLDPLSAAELTAVRAAVLASPLVPARPLTFHYVGLDEPDKPDVLSYAYGGGGGASRHALPRRAFVIARAGGESHELRVDVANASSPSVLSHAVHRGAGFPTLTLEEQFAAVALPPKHPPFVESVRRRGVDMDDVLCAVFPVGWFGGDGEPRRSRVAKVLCFVAGATANFYARPLEGVTLVVDLDRMAIVGYRDRVAYPVPKAEGTDYRAGKAGPPYAGPAPAPGVVVQPEGRGFHIDGHVVRWANWEFHVGFDMRAGTVISLASVHDADADAGGARRRRQVLYRGFVSEIFVPYMDPVEEWYYRTFLDAGEYGLGLWAFPLQPGGDCPANAAYLDGHYSGQDGRPVEARNMICVFERYSGDVAWRHTEAGFPNQLVIFDHEQKALRLRGRRRVSNSQSLADSITEVRPDVSLVARMVVSAGNYDYILDWEFKTSGSIKLVVSLTGLLEVKATAYAHADEVAQDAHGTLVAENTIAVYHDHYVTYHLDLDVDGTNNSFVKNIVTAVVRDADADAAADTPRRSYWTVRREVAEREADGQVDLGGGAPADLVFVNPGKKTRMGNEVGYRVVPGGATAASVLADDDFPQRRAAYCKKQVRVTPYSRSEKWASGLYADQSTGGDGLAAWSERDRAIRGEDIVLWYTVGLHHVPYQEDFPVMPTLSGGLELRPSNFFERNPLLTTMPIGHGRPLVNCSCAGGDSISR >ONIVA07G17740.2 pep chromosome:AWHD00000000:7:17368521:17373300:1 gene:ONIVA07G17740 transcript:ONIVA07G17740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I2L1] MPLRAMLPLPLLLAALAVAAAAAGAPRPHPLDPLSAAELTAVRAAVLASPLVPARPLTFHYVGLDEPDKPDVLSYAYGGGGGASRHALPRRAFVIARAGGESHELRVDVANASSPSVLSHAVHRGAGFPTLTLEEQFAAVALPPKHPPFVESVRRRGVDMDDVLCAVFPVGWFGGDGEPRRSRVAKVLCFVAGATANFYARPLEGVTLVVDLDRMAIVGYRDRVAYPVPKAEGTDYRAGKAGPPYAGPAPAPGVVVQPEGRGFHIDGHVVRWANWEFHVGFDMRAGTVISLASVHDADADAGGARRRRQVLYRGFVSEIFVPYMDPVEEWYYRTFLDAGEYGLGLWAFPLQPGGDCPANAAYLDGHYSGQDGRPVEARNMICVFERYSGDVAWRHTEAGFPNQLITEVRPDVSLVARMVVSAGNYDYILDWEFKTSGSIKLVVSLTGLLEVKATAYAHADEVAQDAHGTLVAENTIAVYHDHYVTYHLDLDVDGTNNSFVKNIVTAVVRDADADAAADTPRRSYWTVRREVAEREADGQVDLGGGAPADLVFVNPGKKTRMGNEVGYRVVPGGATAASVLADDDFPQRRAAYCKKQVRVTPYSRSEKWASGLYADQSTGGDGLAAWSERDRAIRGEDIVLWYTVGLHHVPYQEDFPVMPTLSGGLELRPSNFFERNPLLTTMPIGHGRPLVNCSCAGGDSISR >ONIVA07G17730.1 pep chromosome:AWHD00000000:7:17364725:17367422:1 gene:ONIVA07G17730 transcript:ONIVA07G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02730) TAIR;Acc:AT4G02730] MSQQQQAPAPPYRPYRQVRAATPHSRAVSCVRFSPCGRLLATASLDGTVALLSPSSLAAIATLRGHADGVSDISWSTDSFYLCSASDDRTLRIWDVRPVLAGLNPGSGGGGGGAQPADPNADRCIRVLKGHTNFVFSANFNPQTNSTVASGGFDCTVRIWDVKSGRCVRAIDAHSEPVTSVHFIRDGSIIVSGSHDGTCKIWDAGTGSCLKTVIDEKKPAVSFSMFSPNGKFILVAALDDTLKLCNFASGKFLKMYSGHVNRKYCLQSAFSVTNGKYIVSGSEDNCVYIWDLQGKNILQKLEGHTDTVISVSCHPTENKIVSGGLDNDRTVRLWLQDG >ONIVA07G17720.1 pep chromosome:AWHD00000000:7:17360923:17363483:-1 gene:ONIVA07G17720 transcript:ONIVA07G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKRELEKKRKAATADFGGKSFVRRSELEQKQLQKRRHEEHRQLLSKAPPATSSASAAGSDPSNPNADPAQSSAANPNPNSSSSAAAASVPPGLAGKKTAQDEALLSEELRIDELDLPRHEVVRRLRILREPVTLFGEDDADRLARLKFVLKSGVIDDIDDLDMTEGQTNDFLRDMVELRKRQRAGRDTYVKGKGKRAGGGDGGEGGAGGDNADDGDGDGRRSGDDADADKDSKRMKTKFEELCDEDKILVFFKKLLIEWNQELDEMPELEKRTAKGKSMVATFKQCARYLSPLFEFCRKQVLPDDIRQALLVIVECCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTLCQRRYPALPSKSVEFNSLANGSDLQALLSEEKDPGNPPSEDRLRLMPASKE >ONIVA07G17710.1 pep chromosome:AWHD00000000:7:17359256:17360709:1 gene:ONIVA07G17710 transcript:ONIVA07G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAARHHCSGLRERLGCVQCSFCATVLLVSVPCSSVLRVVAVQCGHCSGILSAVNLPPSPVSASIELTPQELDAGPPPGEYSDESSGDDREGRDAEDDAPAPAAAAVANKPPGRKQRTPSAYNCFVK >ONIVA07G17700.1 pep chromosome:AWHD00000000:7:17353856:17357976:-1 gene:ONIVA07G17700 transcript:ONIVA07G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDLGSQQFNTIQPSTFPLSAACAAMAGGDAEEEEEEEETYTTDDALTRAGFGRFQALVLAYACVGWVAEAMEVMLLSFVGPSVKAEWGCPARRRGSSATSSSPGCSSAPASEASSRTDTAEVVTGIFGLPSAFSPNYASLLVLRFVVGLGLGAGHVLSTWFIEFVPAAKRGTWMVVFHCSWTVGTILEALLAWAVMPVLGWRWLLALSSAPCFILFIFFPVTPESPRYLCSVGRTMDARVILEKIARMNNSSLPPGILTYASTRRIDKVLDDSETALLITEDGGSGIDEHTSSKPGGITALRESWSYDLIRSTFLLWFVYLANYFAYYGVILLTSELSNGQRRCASVGINFMQPKDANLYRDVLVTSLAGLVLAALLVDRIGRKVSLGIMLLLSCAFLAPLAVHLGQGSVTTLLFCARTCIMGGFAVLYVYTPEIYPASSRNTGVGITSSFGRIGSIVSPVVTVSLSENCRQKEAVFFMDLMLFLAAVACALIPLETKGRQIHGMLFKLLNGDSNTT >ONIVA07G17690.1 pep chromosome:AWHD00000000:7:17349399:17351547:-1 gene:ONIVA07G17690 transcript:ONIVA07G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G63170) TAIR;Acc:AT3G63170] MVSLRFPAATFPRLPPPRPPSRPAFAAALAAAAAAAAAAAASLTLTAKSAGRPPLPHPAPLWASISLADGAAPGSVEPRTGAAFPAETSGGRRLLGVGLRRTTILGLKSIDVYAFGVYADDHDLRQLREKYQKLPVSQLKENAELINDALERDIRMTVRLQIVYGRLSIRSVRSAFEKSVGSRLLKFGGSDTHELLQSFVSLFKDEYKLPKGSVIELSRESSHVLKISIEGEELGSIQSKLLCKSILDLYIGDDPFDKNAKESVQENMASILKN >ONIVA07G17680.1 pep chromosome:AWHD00000000:7:17342944:17347135:-1 gene:ONIVA07G17680 transcript:ONIVA07G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAADDAAAGEDQALSDLREKHRIDLERLTLTSQPLRTLALFALAIGQSIKSTCLCVLKDSARLKFLVLLVASACTPLLLTNGPHEKHVQELLWYIRFGLWWVILGVASSIGLGSGLHTFVLYLGPHIALFTIKAVHCGRTDLKSAPYDTILLKMRPSWLEKDCLEFGPPMYQETIPFSKILHEVHLEAVLWGIGTALGELPPYFLSRAGRKLDELEELDASVSGEGFLSSTLHRAKRWLMSHSQYLNFPTILLLASVPNPLFDLAGILCGQFNIPFWKFFLATLIGKAVIKTTLVITLCNNQLLDLVEKRIMWVFGNVPMVSSVLPSLVAKLKTAKSKFLSASVAASASSVVKETKWNLSFSLIWNTVVWLLIMNFIVQIITSTAQSYLKRQQELEIRKKLSATIQTVSETTGLSN >ONIVA07G17680.2 pep chromosome:AWHD00000000:7:17342944:17347063:-1 gene:ONIVA07G17680 transcript:ONIVA07G17680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAADDAAAGEDQALSDLREKHRIDLERLTLTSQPLRTLALFALAIGQSIKSTCLCVLKDSARLKFLVLLVASACTPLLLTNGPHEKHVQELLWYIRFGLWWVILGVASSIGLGSGLHTFVLYLGPHIALFTIKAVHCGRTDLKSAPYDTILLKMRPSWLEKDCLEFGPPMYQETIPFSKILHEVHLEAVLWGIGTALGELPPYFLSRAGRKLDELEELDASVSGEGFLSSTLHRAKRWLMSHSQYLNFPTILLLASVPNPLFDLAGILCGQFNIPFWKFFLATLIGKAVIKTTLVITLCNNQLLDLVEKRIMWVFGNVPMVSSVLPSLVAKLKTAKSKFLSASVAASASSVVKETKWNLSFSLIWNTVVWLLIMNFIVQIITSTAQSYLKRQQELEIRKKLSATIQTVSETTGLSN >ONIVA07G17670.1 pep chromosome:AWHD00000000:7:17322500:17328558:-1 gene:ONIVA07G17670 transcript:ONIVA07G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIREGSRRAGVSGGGGGGGPPPPRRRLRSSGGGGGGSGSGGPRDSPRSERRRGERLMLNGGGGGGRDDDDDTSDESLGDDDEDADEELAASAPRYPPVQRRSPSTAPPPSPPQPSGGGGHHHSSSSSGGGGGGYHNHHHHGGQPQMQRKGGSNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWSVPGGGSSGGGGSGSVAGDTSQIQRPSSRPISPASGSTTGPARKKLKHLGGSGSSGASGQAPKQRPSPASAPSTAPPQPPPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPSKPVESNHKLEVRDAPEAKSGNSSPAPSSSVARPSDSTSVATIAPKRKRPRLVKYDDDVRPASPAKPDLAEPSSRPETLPASRSEAKASVSAATDSGTTTATAGAQHEAMREQEKREDHRSRDSELRPGESDRRDHRPESRAEPTPPAQPSGKPDGESAAVVSEARNGEATAATKIELASDGARQEKFCIDLMAPPGKSPDRDGASDPDVDKKGLDSEMDMAARGNSEKKDAERPRRGLEINLEDDKMVQRMPADEVAPKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQKPKNEIKHEKSAMPAVTPPMPIPVGSWLGSFPPFGYLGPVPALSAAGLHHPMDVKPGSSAGLQHAALLPPVRPKRCATHCFIAQQIRYNQQVTAKMQNFWTPATAAAAAAAASRSAAPFFGPRPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPLQEAKAPSMATSPFQGSLSKDKAAMNNAAVAESSQRKQPPAHEAQQPGPVPNMLQGPAFIFPFNQQQAAVVAAAANAASRVGDAKPSVGSNTMPPSAAAHNSSANPGAAAMNLSFANLPPADAQLFAILQNGGYPFQVAAHAGGPPAAYRGMAPPGPAVPFFNGHVYPSHMLHPSQQQGASPQNLQKNPMSNMSASSQKHQPQQSQGLLGYAPNANAAAAASNSQSYSSGNQRPVLLPGLAHRQDSEKTVQDGPSGDDKSSHPQKGGYDHNYAVPVHLPNFALMPPTSAAGGGGQSDKKLSDHHPHQLPPASRGQGVRIDLASSPSFVVPFGSVGAPGSAPGGLDFSSLGPNHQLFQSHQEAGRHGYPQLNFATAQSVQAGQHKPQRQVSGETKSVAGDSSTHNTVDSERKKSAAAKYPGDSQQHSLSFSRQDNKSYVPPFLSGSTNDSSSRTLSLIGSEPSNAFSLGGKSANTSAPAATSAAAPSPSSIPQQQQQQQQQQQHFLQLHKQQQQLIQHHHMNSRPRSAAPSNTGGYSDRLNMPSFQGMMYPSSAAQVGVPSQSPQLKPSSARATGAPAGVASPGASPSNLIVMKSGLHQQQAKAPMQSLSTSNHQPQSSSKMGPVTNMSTGGGDLSRSSNAPVASGSPSNSVSKSTGGSPPASGSAKGVPPAVQLPSPQQQSAKNPASTSGAKSAPTNHYSSMPMPSILGQQPNVPPGSNSGSKQQSHMMKQQPFPQGGHFFISNAYTPQAPGAAGGVALGLYQKRSADKTQQQQQPHQQSAMSAAASNNMKTHHPPAGSFMHLAAASQSPSGVPHSHMSAAQLTFGAPMSMSVKPSSDQKPAAGRYSGLAS >ONIVA07G17670.2 pep chromosome:AWHD00000000:7:17322589:17328558:-1 gene:ONIVA07G17670 transcript:ONIVA07G17670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIREGSRRAGVSGGGGGGGPPPPRRRLRSSGGGGGGSGSGGPRDSPRSERRRGERLMLNGGGGGGRDDDDDTSDESLGDDDEDADEELAASAPRYPPVQRRSPSTAPPPSPPQPSGGGGHHHSSSSSGGGGGGYHNHHHHGGQPQMQRKGGSNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWSVPGGGSSGGGGSGSVAGDTSQIQRPSSRPISPASGSTTGPARKKLKHLGGSGSSGASGQAPKQRPSPASAPSTAPPQPPPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPSKPVESNHKLEVRDAPEAKSGNSSPAPSSSVARPSDSTSVATIAPKRKRPRLVKYDDDVRPASPAKPDLAEPSSRPETLPASRSEAKASVSAATDSGTTTATAGAQHEAMREQEKREDHRSRDSELRPGESDRRDHRPESRAEPTPPAQPSGKPDGESAAVVSEARNGEATAATKIELASDGARQEKFCIDLMAPPGKSPDRDGASDPDVDKKGLDSEMDMAARGNSEKKDAERPRRGLEINLEDDKMVQRMPADEVAPKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQKPKNEIKHEKSAMPAVTPPMPIPVGSWLGSFPPFGYLGPVPALSAAGLHHPMDVKPGSSAGLQHAALLPPVRPKRCATHCFIAQQIRYNQQVTAKMQNFWTPATAAAAAAAASRSAAPFFGPRPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPLQEAKAPSMATSPFQGSLSKDKAAMNNAAVAESSQRKQPPAHEAQQPGPVPNMLQGPAFIFPFNQQQAAVVAAAANAASRVGDAKPSVGSNTMPPSAAAHNSSANPGAAAMNLSFANLPPADAQLFAILQNGGYPFQVAAHAGGPPAAYRGMAPPGPAVPFFNGHVYPSHMLHPSQQQGASPQNLQKNPMSNMSASSQKHQPQQSQGLLGYAPNANAAAAASNSQSYSSGNQRPVLLPGLAHRQDSEKTVQDGPSGDDKSSHPQKGGYDHNYAVPVHLPNFALMPPTSAAGGGGQSDKKLSDHHPHQLPPASRGQGVRIDLASSPSFVVPFGSVGAPGSAPGGLDFSSLGPNHQLFQSHQEAGRHGYPQLNFATAQSVQAGQHKPQRQVSGETKSVAGDSSTHNTVDSERKKSAAAKYPGDSQQHSLSFSRQDNKSYVPPFLSGSTNDSSSRTLSLIGSEPSNAFSLGGKSANTSAPAATSAAAPSPSSIPQQQQQQQQQQQHFLQLHKQQQQLIQHHHMNSRPRSAAPSNTGGYSDRLNMPSFQGMMYPSSAAQVGVPSQSPQLKPSSARATGAPAGVASPGASPSNLIVMKSGLHQQQAKAPMQSLSTSNHQPQSSSKMGPVTNMSTGGGDLSRSSNAPVASGSPSNSVSKSTGGSPPASGSAKGVPPAVQLPSPQQQSAKNPASTSGAKSAPTNHYSSMPMPSILGQQPNVPPGSNSGSKQQSHMMKQQPFPQGGHFFISNAYTPQAPGAAGGVALGLYQKRSADKTQQQQQPHQQSAMSAAASNNMKTHHPPAGSFMHLAAASQSPSGVPHSHMSAAQLTFGAPMSMSVKPSSDQKPAAGNGLALFSTSSISSLYKLKCFSHETSLL >ONIVA07G17670.3 pep chromosome:AWHD00000000:7:17322500:17328558:-1 gene:ONIVA07G17670 transcript:ONIVA07G17670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIREGSRRAGVSGGGGGGGPPPPRRRLRSSGGGGGGSGSGGPRDSPRSERRRGERLMLNGGGGGGRDDDDDTSDESLGDDDEDADEELAASAPRYPPVQRRSPSTAPPPSPPQPSGGGGHHHSSSSSGGGGGGYHNHHHHGGQPQMQRKGGSNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWSVPGGGSSGGGGSGSVAGDTSQIQRPSSRPISPASGSTTGPARKKLKHLGGSGSSGASGQAPKQRPSPASAPSTAPPQPPPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPSKPVESNHKLEVRDAPEAKSGNSSPAPSSSVARPSDSTSVATIAPKRKRPRLVKYDDDVRPASPAKPDLAEPSSRPETLPASRSEAKASVSAATDSGTTTATAGAQHEAMREQEKREDHRSRDSELRPGESDRRDHRPESRAEPTPPAQPSGKPDGESAAVVSEARNGEATAATKIELASDGARQEKFCIDLMAPPGKSPDRDGASDPDVDKKGLDSEMDMAARGNSEKKDAERPRRGLEINLEDDKMVQRMPADEVAPKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQKPKNEIKHEKSAMPAVTPPMPIPVGSWLGSFPPFGYLGPVPALSAAGLHHPMDVKPGSSAGLQHAALLPPVRPKRCATHCFIAQQIRYNQQVTAKMQNFWTPATAAAAAAAASRSAAPFFGPRPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPLQEAKAPSMATSPFQGSLSKDKAAMNNAAVAESSQRKQPPAHEAQQPGPVPNMLQGPAFIFPFNQQQAAVVAAAANAASRVGDAKPSVGSNTMPPSAAAHNSSANPGAAAMNLSFANLPPADAQLFAILQNGGYPFQVAAHAGGPPAAYRGMAPPGPAVPFFNGHVYPSHMLHPSQQQGASPQNLQKNPMSNMSASSQKHQPQQSQGLLGYAPNANAAAAASNSQSYSSGNQRPVLLPGLAHRQDSEKTVQDGPSGDDKSSHPQKGGYDHNYAVPVHLPNFALMPPTSAAGGGGQSDKKLSDHHPHQLPPASRGQGVRIDLASSPSFVVPFGSVGAPGSAPGGLDFSSLGPNHQLFQSHQEAGRHGYPQLNFATAQSVQAGQHKPQRQVSGETKSVAGDSSTHNTVDSERKKSAAAKYPGDSQQHSLSFSRQDNKSYVPPFLSGSTNDSSSRTLSLIGSEPSNAFSLGGKSANTSAPAATSAAAPSPSSIPQQQQQQQQQQQHFLQLHKQQQQLIQHHHMNSRPRSAAPSNTGGYSDRLNMPSFQGMMYPSSAAQVGVPSQSPQLKPSSARATGAPAGVASPGASPSNLIVMKSGLHQQQAKAPMQSLSTSNHQPQSSSKMGPVTNMSTGGGDLSRSSNAPVASGSPSNSVSKSTGGSPPASGSAKGVPPAVQLPSPQQQSAKNPASTSGAKSAPTNHYSSMPMPSILGQQPNVPPGSNSGSKQQSHMMKQQPFPQGGHFFISNAYTPQAPGAAGGVALGLYQKRSADKTQQQQQPHQQSAMSGSSTMLSLCSTSMSISTTAMLTDPVNVLAAAASNNMKTHHPPAGSFMHLAAASQSPSGVPHSHMSAAQLTFGAPMSMSVKPSSDQKPAAGRYSGLAS >ONIVA07G17670.4 pep chromosome:AWHD00000000:7:17322589:17328558:-1 gene:ONIVA07G17670 transcript:ONIVA07G17670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIREGSRRAGVSGGGGGGGPPPPRRRLRSSGGGGGGSGSGGPRDSPRSERRRGERLMLNGGGGGGRDDDDDTSDESLGDDDEDADEELAASAPRYPPVQRRSPSTAPPPSPPQPSGGGGHHHSSSSSGGGGGGYHNHHHHGGQPQMQRKGGSNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWSVPGGGSSGGGGSGSVAGDTSQIQRPSSRPISPASGSTTGPARKKLKHLGGSGSSGASGQAPKQRPSPASAPSTAPPQPPPPKISKSPSFIQEEIEVAEVLFGLTRQFPCPSKPVESNHKLEVRDAPEAKSGNSSPAPSSSVARPSDSTSVATIAPKRKRPRLVKYDDDVRPASPAKPDLAEPSSRPETLPASRSEAKASVSAATDSGTTTATAGAQHEAMREQEKREDHRSRDSELRPGESDRRDHRPESRAEPTPPAQPSGKPDGESAAVVSEARNGEATAATKIELASDGARQEKFCIDLMVGICFLAPPGKSPDRDGASDPDVDKKGLDSEMDMAARGNSEKKDAERPRRGLEINLEDDKMVQRMPADEVAPKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQKPKNEIKHEKSAMPAVTPPMPIPVGSWLGSFPPFGYLGPVPALSAAGLHHPMDVKPGSSAGLQHAALLPPVRPKRCATHCFIAQQIRYNQQVTAKMQNFWTPATAAAAAAAASRSAAPFFGPRPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPLQEAKAPSMATSPFQGSLSKDKAAMNNAAVAESSQRKQPPAHEAQQPGPVPNMLQGPAFIFPFNQQQAAVVAAAANAASRVGDAKPSVGSNTMPPSAAAHNSSANPGAAAMNLSFANLPPADAQLFAILQNGGYPFQVAAHAGGPPAAYRGMAPPGPAVPFFNGHVYPSHMLHPSQQQGASPQNLQKNPMSNMSASSQKHQPQQSQGLLGYAPNANAAAAASNSQSYSSGNQRPVLLPGLAHRQDSEKTVQDGPSGDDKSSHPQKGGYDHNYAVPVHLPNFALMPPTSAAGGGGQSDKKLSDHHPHQLPPASRGQGVRIDLASSPSFVVPFGSVGAPGSAPGGLDFSSLGPNHQLFQSHQEAGRHGYPQLNFATAQSVQAGQHKPQRQVSGETKSVAGDSSTHNTVDSERKKSAAAKYPGDSQQHSLSFSRQDNKSYVPPFLSGSTNDSSSRTLSLIGSEPSNAFSLGGKSANTSAPAATSAAAPSPSSIPQQQQQQQQQQQHFLQLHKQQQQLIQHHHMNSRPRSAAPSNTGGYSDRLNMPSFQGMMYPSSAAQVGVPSQSPQLKPSSARATGAPAGVASPGASPSNLIVMKSGLHQQQAKAPMQSLSTSNHQPQSSSKMGPVTNMSTGGGDLSRSSNAPVASGSPSNSVSKSTGGSPPASGSAKGVPPAVQLPSPQQQSAKNPASTSGAKSAPTNHYSSMPMPSILGQQPNVPPGSNSGSKQQSHMMKQQPFPQGGHFFISNAYTPQAPGAAGGVALGLYQKRSADKTQQQQQPHQQSAMSGSSTMLSLCSTSMSISTTAMLTDPVNVLAAAASNNMKTHHPPAGSFMHLAAASQSPSGVPHSHMSAAQLTFGAPMSMSVKPSSDQKPAAGNGLALFSTSSISSLYKLKCFSHETSLL >ONIVA07G17660.1 pep chromosome:AWHD00000000:7:17317221:17317697:-1 gene:ONIVA07G17660 transcript:ONIVA07G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVGGVISLAAARRHRLSFPSPLMESGARVEVADGKQWPSLSSLPRADIAPPPSPTFVPAPSCAALAPSRPNWDGARRPRRIEEEAATPGEEVAQVVTSIVSAARLHLPLAADAHLSPSPLSPPFSLSLPLTATARAMRRANAAAGATPLPVADNA >ONIVA07G17650.1 pep chromosome:AWHD00000000:7:17305480:17310784:1 gene:ONIVA07G17650 transcript:ONIVA07G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosome recycling factor, chloroplast precursor [Source:Projected from Arabidopsis thaliana (AT3G63190) TAIR;Acc:AT3G63190] MPPLHAVSPAAAAAPPRALSSAARVPQRPESRQLWWWVLRAIGHPECLPVNEPSFYQWLCSSRIRIREHLQRGFDTVVTLVAWTIWKERNGRIFNQQQRTWVDIVKGMAAEATLWRQANQAIPALIFRREFVLRHATIEEIEAEKSVIEDQARERMEKAIETVQNNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQINTPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEVIRVTVPPLTSDRRKELAKTVAKLAEEGKVAIRNIRRDAIKAYDKLEKEKKLSEDNVKDLSADLQKVTDEYMKKIEAIQKQKEQELMKI >ONIVA07G17650.2 pep chromosome:AWHD00000000:7:17305480:17310784:1 gene:ONIVA07G17650 transcript:ONIVA07G17650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosome recycling factor, chloroplast precursor [Source:Projected from Arabidopsis thaliana (AT3G63190) TAIR;Acc:AT3G63190] MPPLHAVSPAAAAAPPRALSSAARVPQRPVLRHATIEEIEAEKSVIEDQARERMEKAIETVQNNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQINTPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEVIRVTVPPLTSDRRKELAKTVAKLAEEGKVAIRNIRRDAIKAYDKLEKEKKLSEDNVKDLSADLQKVTDEYMKKIEAIQKQKEQELMKI >ONIVA07G17650.3 pep chromosome:AWHD00000000:7:17305480:17310533:1 gene:ONIVA07G17650 transcript:ONIVA07G17650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosome recycling factor, chloroplast precursor [Source:Projected from Arabidopsis thaliana (AT3G63190) TAIR;Acc:AT3G63190] MPPLHAVSPAAAAAPPRALSSAARVPQRPVLRHATIEEIEAEKSVIEDQARERMEKAIETVQNNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQINTPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEVIRVTVPPLTSDRRKELAKTVAKLAEEGKVAIRNIRRDAIKAYDKLEKEKKLSEDNVKDLSADLQKVTDEYMKKIEAIQKQKEQVLTLSLFYRYIVNIPHVN >ONIVA07G17640.1 pep chromosome:AWHD00000000:7:17298784:17304175:-1 gene:ONIVA07G17640 transcript:ONIVA07G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAAASRVSSAMAVAAAALVVMWAGTAAAAAAAVYEVGDKTGWTIMGNPNYTAWAASKKFHLGDTVVFTYNKQLHNVMAVSKADYKNCDARKPIATWSTGNDSVVLNATGHHYFLCGFPNHCGIGQKVDIRVAASGHSSAAPSMAPAPASGSEAPVAPGGGGGSSGHAAAAPSPHGNAAVGRSVFTAIAVSVLSVAAAGLKLI >ONIVA07G17630.1 pep chromosome:AWHD00000000:7:17236084:17293378:-1 gene:ONIVA07G17630 transcript:ONIVA07G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWLIRPKPISPPLSRSRRRHRRARAKPTPRLRPPGARADSVSVSPVHARGGESEAAMDSRPREADAPAEPSGGSAPAAAANGEVEITKPRNDKRGYRRVVLPNALECLVISDPDTDKAAASMNVSVGYFCDPEGLPGLAHFLEHMLFYASEKYPIEDSYSKYIAEHGGSRNAFTSREHTNFFFDVNNDCLDDALDRFAQFFINPLMSPDAILREVNAVDSENQKNLLTDILRMSQLQKHICLESHPYHKFSTGNLNTLLVNPNKEGLDILEELIKFYSSHYSANLMQLVVYGKESLDNLQTLVENKFSDVRNTGRKRFSFYGHPCSSEHLQVLVKAVPIKQGHTLRILWPITPNIQHYKEGPCKMGYEFESRGSVVIRLTDVGHEHMEDIIGLLFRYITLLQTSGTPKWIFDELLTIRETGFHYRDKSPPSQYVVNISSNMQGREGRTREVTEEKGDPGSDDAVIFPPEDWLIASSVPSKFSPDAIQSILNDLTPDKVRIFWESKKFEGQTNLTEPWYGTSYSVEAVPPSIIQNWVNRAPMEDLHIPKPNIFIPSDLSLKNVEEKGSFPCMLRKTLFSRVWYKPDTMFFTPKAYIKMYFHCPLSRSSPESIVLTDMFTRLLMDYLNDYAYDAQVAGLYYAVKPNDTGFQITMVGYNDKMRTLLETVIGKIAAFEVKVDRFVVIKETITKAYENFKFQQPHQQASYYCSLILEEQKWTWDEKLAAISHTEASDLEKFLPYLLGKTFIESYFAGNMEPGEVKGVIQHVEDILFNAPVSLCKALPSSQHLTKRIVKLERGLRYYYPALCLNHQDENSSLLHYIQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYITWLKQRNDSGVRALQFTIQSTVKDPANLDARVEAFLKMFEGTLYQMPDIEFKSNVNTLINMKLEKYKNIREESAFFWREISEGTLKFDRKEAEVAALRDLKKEELIEFFDNHVKVNAPRKKILSIQVYGRLHTNEYEKVVHDEPQPHSYQITDIFSFRRSRPLYGSFKGDKIACISFDTFSTTLLFAGTEGDRDTSDHLGSTAPTSLSRGLAVPSQTQPNRNRPEASRTRPVHAIGGESAAADAMDSTSRPPETDAPAEAVPPAAAAAPAAKGDVEITRPRNDKRGYRRVVLPNDLECLLVSDPDTDKVLPASLAALYLDRDGEGGLVISWGFWLWLESCDYLFCLQAAASMNVSVGYFCDPEGLEGLAHFLEHMLFYASEKYPIEDSYSKYITEHGGSTNAFTTCEHTNFFFDVNHDCLDDALDRFAQFFIKPLLSADATLREIKAVDSENQKNLLSDPWRMNQLQNHISLESHPYHKFGTGNWDTLEVKPKEKGLDTRLELIKFYDSHYSANLMQLVVYGKESLDNLQTLVENKFCGVKNTGRERFSFPGHPCSSEHLQVLVKAVPIKQGHTLRILWPITPNIRHYKEGPCKYVSHLIGHEGEGSLFYVLKKLGWAMSLEAGEGDWSYEFSFFSVVIKLTDVGHEHMEDIVGLLFRYITLLQTSGTPKWIFDELQTICETGFHYRDKSPPIHYVANISSNMQIYPPEDWLIASSVPSKFSPDAIQGILNELTPDNVRIFWESKKFEGQTNLTEPWYGTSYSVEAVPPSIIQKWVEKAPVEDLHMPKPNIFLPSDLSLKNAEKASFPCMLRKTLFSRVWYKPDTMFFTPKAYIKMDFHCPLSRSSPESSVLTDVFTRLLMDYLNDYAYDAQVAGLYYGVRPNDTGFQITMVGYNDKMRTLLETVIGKIAEFEVKADRFSVIKETITKEYENFKFRQPYQQAFYYCSLILEEQTWAWDEELAAVSQIEASDLEKFLPHLLGKTFIESYFAGNMEPGAVKGVMQHVEDILFNAPVSLCKALPSSQHLTKRIVKLERGLRYYYPALCLNHQDENSCLLHYIQIHQDDLKKNVLLQLLALVAKQPAFHQLRSVEQLGYITLLRQKNDSGVRGLQFIIQSTVKDPANLDARVEAFLTMFEGTLYQMPDTEFKSNVNALIDMKLEKYKNIREESAFFWGEISEGTLKFDRKEVEVAALRDLKKEELIEFFNNHVKVNAPQKKILSIQVYGGLHSSEYEKIVHDEPQPNSYQITDIFSFRRSRPLYGSYKGEASPPPPELEGVVWETGRATAGRIVSLVVGVAVERRRGGGLQREVEMGLGTSTPTPTPPTASGGESAAAAMAGSRPARETTTDAPAEPSSDGAVAAAPSAAAAAEGDAEITRPRNDKRGYRRVVLPNALECLLVSDPDTDKVSVLSLAPSIGDVPGVLVPASWAAASMNVSVGYFCDPERLPGLAHFLEHMLFYASEKYPVEDDYSKYIAEHGGSTNAFTSRERTNFYFDVNNSCLDDALDRFAQFFIKPLISPDATLREINAVDSENKKNLLSDPLRMSQLQKHFCSESHPYHKFSTGNLDTLLVNPNKEGLDTLEELIKFYNSHYSANLMQLVVYGKESLDNLQNLVENKFSDVRNTGRESFSFHGHPCSSEHLQIIVKAVPIKEGHTLRIQWPITPNIQHYKEGPCKYVSRLVGHEGEGSLFYVLKNLGWAMSLYAWEGDWSYEFSFFNVVIQLTDVGYEHMEDIIGLLFRYIALLQTSGTRQWIFDELVAISEMGFHYRDKSPPIHYVVNISSNMQIFPPEDWLIASSVPSKFSPDAIQNILNDLTPDNARIFWESKKFEGQTNLTEPWYGTSYSVEAVTPSIIQKWVNMAPMEDLHIPKPNIFIPSDLSLKNVEEKGSFPCMLRKTMFSRVWYKPDTMFFTPKAYVKMDFHCPLSNSSPESTVLTDMFTRLIMDYLNDFAYDAQIAGLYYFIRPSDTGFQITMVGYNDKMRTLLDTVIGKIAEFEVKVDRFAVIKETIIKDYENFKFRQPYEQAFYYCSLILEEQTWAWDEKLAAVSHIEASDLQIFLPRLLGKTFIECYFAGNMEPGEAKSVIQHVEDTLFNAPISFCKALPPSQHLTKRIVKLERGWRYYYPALCLNHQDEKNSSILHYIQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYITVLTQRNDSGVRGLQFIIQSTVKDPSNLDDRVEAFLNMFEGTLYQMPDEEFKSNVNALIDMKLEKYKNIREESAFFWKEISEGTLKFDRKEAEVAALRDLNKEELIEFFNNHVKVNAPQKKILSIQVYGGLHSSEYEKIVHDEPQPHSYQITDIFSFRRSRPLYGSFKGGVGQMKL >ONIVA07G17620.1 pep chromosome:AWHD00000000:7:17230868:17231765:1 gene:ONIVA07G17620 transcript:ONIVA07G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRTDQLPASVATRRRIFVGEAVLGHCRPSMTPSAASVEVELKAKQSIVDATIFSPT >ONIVA07G17610.1 pep chromosome:AWHD00000000:7:17226889:17229045:1 gene:ONIVA07G17610 transcript:ONIVA07G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRSSMIPALLLLASLSIFKLPCCSRSLSFSYDFPNPDTFDDSDIKRQGSASLNGFIDLTLSANNGIIFNKSGRASYSHPVPLWDEATGEVTSFTTRFSFVIKFNYSGKAKYAPSDGLAFFLSSYPSEMPPFDGGGLLGLFTNSTCMNSSAAAPIVAVEFDTYQNEWDQSSDHIGIDVNSINSTAVKPLPSLSLSSVTEPMVASVSYNNSTRMLAVMLQMAPQDGGKRYELNSTVDLKSLLPAQVAIGFSAASGWSEERHQVLTWSFNSTLVASEERRENATRGRPAAAVLAGVVVASVVVVGASICLFVMIRRRRISRRRTREEYEMGGSDDFDMNDEFEQGTGPRRFLYSQLATATNDFSEDGKLGEGGFGSVYRGVLSEPAGVHVAVKRISKTSKQGRKEYASEVSIISRLRHRNLVQLVGWCHGRGDFLLVYELVPNGSLDAHLYGGGATLPWPTRYEIALGLGSALLYLHSGYEKCVVHRDIKPSNIMLDSAFAAKLGDFGLAKLVDHGDASQTTAVLAGTMGYMDPEYAASGKASTASDVYSFGIVLLEMCCGRRPVLLQEQSIRSRLLEWVWDLHGRGAILEAADERLRGGELELDAKQVECVMVVGLWCAHPDRGVRPSIKQALAALQFEAPLPALPPTMPVPTYSSSPSLALYCDAAAASSSSSSAGFSSSTSGERSSTSSSAATAESSWLLKHNNRGSEKTVLGNN >ONIVA07G17600.1 pep chromosome:AWHD00000000:7:17222078:17224739:1 gene:ONIVA07G17600 transcript:ONIVA07G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPNLGKHCSVGDCNQIDFLPFTCDRCDHNCCFNKNEIDLRQNLKQGLRMQWVFCLQHRSYTSHQCPNANQKDVTVLICPLCAKGVRLNPNEDPNITWDTHVNSDCDPSNYQKVTKKKKCPVPGCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRKPESTFPFGNMLRRSQKAESRSNSNSSSTSSSWWSSSLLTAATSFKSSAEAGMQKLSTATTQAIQKAKDGISTSSSNSGDLVEQCVQCPARFSTVGALIEHCEKSHQSNLQSSRSRVTVDVCPKCSKAFRDPVLLVEHVERDHGGTSRA >ONIVA07G17590.1 pep chromosome:AWHD00000000:7:17214194:17218359:-1 gene:ONIVA07G17590 transcript:ONIVA07G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARMAAAVVCLPSSSSSSSACRAAPLPWSRGVVVGVRRRRTVARAARRRGRRPGRRGLVIVDEFAGQYEEGFEDVHTEIMNYFTYKATSTVLHQLYEMNPPAYTWLYNYVVVNDPKEGKHFLIALAKERQDLAERVMITRLHLYSKWIKKCDHAKMYEKISNENLEIMRQSAQARDIIVPYTSLLPSDLGCHNSTDLYQVQNRDYSPEIFTACQVVIELIPKGKLNFTESNHNGSATIQLQEDAFYNKAVKLTKDELNGKITQSVGRAIYTDPVPLWDSTTGQLANFTTRFTFKIYAPTNDSSYGEGLAFFLSSYPSVVPNNSMDGYLGLFSNSNDQSDPLNQIVAVEFDSHKNTWDPDGNHVGINIHSIVSVANVTWRSSINDGRIANAWVTYQANSRNLSVFLSYQDNPQFSGNSSLSYSVDLSKYLPDKVSIGFSASTGKFVELHQILYWEFDSTDVHLMKTEKTKGILVISLSTSGSVVVCSIGLVCFFLCFRRIRRTTRSREKEKEKLDCDESIDSEFEKGKGPRRFQYNELVVATDNFAAERKLGEGGFGAVYQGFLKDQNIEIAIKRVAKGSTQGRKEYISEVKIISRLRHRNLVQLVGWCHEHGEFLLVYEFMPNRSLDKHLYDDGNLLAWPLRFKITIGVASALLYLHEEWEQCVVHRDVKPSNVMLDSGFNAKLGDFGLARLVDHDRGSQTTVIAGTMGYMAPECVTTGKASKETDVYSFGILALEIACGRRPVVPKEDNDRISLVQWVWDLYGRNEILNAIDGRLDGEFEEREVISLMVVGLWCAHPDYNIRPSIRQVISVLKFEAPLPDLPPKMPVAMYFAPPISLCRFSQSSNGTLKELERPNSYGNTSSSSATNDSCAPPSVRLPEVGY >ONIVA07G17580.1 pep chromosome:AWHD00000000:7:17212471:17213265:1 gene:ONIVA07G17580 transcript:ONIVA07G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADPLVAGATVSSESDSDDLHLLLPNLLPSAATASSDAQLHHFHLPALPSPITVRTLPSLGLTFQLWPSASTLLRVLPASPQLLPRAPTPESPLGILELGSGTGAAGLALAAALPAHAVLSDLPAALPNLRHNASLNAPLLDARGGSVSVVPLPWGDAAAMEAVAAPPPASRFDLVVASDVVYYEALVEPLIETLRFFVKGEVVFVMAHMRRWKRTDKKFFAKARKVFDVEVVHEDPPLEGWRHGPVVYRFTEKKQRGKK >ONIVA07G17570.1 pep chromosome:AWHD00000000:7:17207061:17207691:-1 gene:ONIVA07G17570 transcript:ONIVA07G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEGICRQVEAGCFAHWCVQVLLCKGEPSRSSFRPVTSGLHAHDQERSVCAVLPHAGCRVVSWELGKLWDGQFAM >ONIVA07G17560.1 pep chromosome:AWHD00000000:7:17189382:17190759:-1 gene:ONIVA07G17560 transcript:ONIVA07G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEHTAASGSRSSSSRGADDREIVISTGREIVVRSGGEEREEEVVVEEELEEPEFRDIHALSPPPTPSQPSSYHRRRRESWESAAGSRHTSIRSVGSDTAPSELFPTMSREFSAMVAAAANANANAAAAAAAAPNGGDSSRAGVDDALGRIGEDELEETNPLAIVPDSNPIPSPRRAHLALPAPGDVSSAGGGHGDEVSVGQVKKEEVESKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKANAWLKKYERKLEEKRAKAMEKAQNEVAKARRKAEEKRASAEAKRGTKVARVLELANFMRAGAIQALLLLSDRATLFPSSSSSLLCSPPSPSSSSPAPAADRSPLRFTRRDQCCDVVAVWNSRFSVVSTCMMYCHHILFFFSFFLVLFTFLCLIRVLQSWEGQTEQVNSISWMVLCPL >ONIVA07G17550.1 pep chromosome:AWHD00000000:7:17182205:17188925:1 gene:ONIVA07G17550 transcript:ONIVA07G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSEPGRPWTVSATWAPAGGAAVEDAVSFETSADDAEAVPSAVFLERPSPDGDGDAPPCEVTVHFRGKYEIHRVYVRSTARIYEIYYTTDPKDSSKDYLCTVRCGPAVKEPLPSAEESMPQWSSDASTSEKHEHETKSVSSSIDEDSWVDVKIPELHVEKNRSKSQEPNAIGAKQETTLAHYEATAEITDASPCVSLTVRLLSLQSKTSVHIEEIYIFADPVEPTNDESETRPGNMGGSSLLAMLVPSLMQMSKSRNQKTDNKYSSDASRTQLSQGCAMAVNNSPCENVVRDAEPCSTDDLNFKSAGMESKLNAIDGDTITYEKGNHYELKDSKSILLPIQTTENTQAPLTKNQSVSNTDQPVTPLMDENPNPYSRIEGKLDTLLSKMEKMELYCSRFDDGMMRPICSIESRLQRLEQQFDVFSMEIKTLQASSARMTAPDGLSDMINPQDKTDNDGKAENSASTTNRQPGLVVRAPEFSLEESFSCDKSNENAVTLRGPSMVPRLLVKAPDFVSESEFACEKLHDGSFSPVDFALSSEKEPKTSPGLVVKVPEFPNDSDDELEEEKETEVGDHDDGHTKSDAALSESTVDSFKSKSHVSVDGALASALEALLGSTKKTPSSQSAACPASNSTAENTTDSSTCPFSSEQVDETSTNDGSAGQLSGTFVDANKVNTFISCEEADAVPQTYLSKANLKAEVNDLNNVLDLNMMAFAESTEPLCVPQLHTVEESKDVGSQANLKNNGSSSYMMQSIANTEHIIAPSQLPTVLESINDGQQLNGNRSTLSLAEFLVARNANFSKNVISEALSSNGGAEMHTFKRTAMESAKNIKDINQLLLQKALEVNEDDSAKFSVSGGMNSCCLRAFTDSKKRCIESSGLEASLNDSFTKSEVEHSLSDLCSMESFSAEPAREAVGSGGVTAGNCVDDPFTGSSTVNPTAGEELQKVCDLLYEYNDDVLGMAFVAKKTSKSSPSLEVLLAESSDSEAEISDAKDTDNDAGLSSARLFSTFSSSDDEAPRTDEPIIDVVDLPTPLDAYASSKNELVDDESLLDMDDPPIPPESYASVLSDMHHACLNDQSKPSGTFAEEGNGEYPDSLI >ONIVA07G17550.2 pep chromosome:AWHD00000000:7:17182205:17188999:1 gene:ONIVA07G17550 transcript:ONIVA07G17550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSEPGRPWTVSATWAPAGGAAVEDAVSFETSADDAEAVPSAVFLERPSPDGDGDAPPCEVTVHFRGKYEIHRVYVRSTARIYEIYYTTDPKDSSKDYLCTVRCGPAVKEPLPSAEESMPQWSSDASTSEKHEHETKSVSSSIDEDSWVDVKIPELHVEKNRSKSQEPNAIGAKQETTLAHYEATAEITDASPCVSLTVRLLSLQSKTSVHIEEIYIFADPVEPTNDESETRPGNMGGSSLLAMLVPSLMQMSKSRNQKTDNKYSSDASRTQLSQGCAMAVNNSPCENVVRDAEPCSTDDLNFKSAGMESKLNAIDGDTITYEKGNHYELKDSKSILLPIQTTENTQAPLTKNQSVSNTDQPVTPLMDENPNPYSRIEGKLDTLLSKMEKMELYCSRFDDGMMRPICSIESRLQRLEQQFDVFSMEIKTLQASSARMTAPDGLSDMINPQDKTDNDGKAENSASTTNRQPGLVVRAPEFSLEESFSCDKSNENAVTLRGPSMVPRLLVKAPDFVSESEFACEKLHDGSFSPVDFALSSEKEPKTSPGLVVKVPEFPNDSDDELEEEKETEVGDHDDGHTKSDAALSESTVDSFKSKSHVSVDGALASALEALLGSTKKTPSSQSAACPASNSTAENTTDSSTCPFSSEQVDETSTNDGSAGQLSGTFVDANKVNTFISCEEADAVPQTYLSKANLKAEVNDLNNVLDLNMMAFAESTEPLCVPQLHTVEESKDVGSQANLKNNGSSSYMMQSIANTEHIIAPSQLPTVLESINDGQQLNGNRSTLSLAEFLVARNANFSKNVISEALSSNGGAEMHTFKRTAMESAKNIKDINQLLLQKALEVNEDDSAKFSVSGGMNSCCLRAFTDSKKRCIESSGLEASLNDSFTKSEVEHSLSDLCSMESFSAEPAREAVGSGGVTAGNCVDDPFTGSSTVNPTAGEELQKVCDLLYEYNDDVLGMAFVAKKTSKSSPSLEVLLAESSDSEAEISDAKDTDNDAGLSSARLFSTFSSSDDEAPRTDEPIIDVVDLPTPLDAYASSKNELVDDESLLDMDDPPIPPESYASVLSDMHHACLNDQSKPSGTFAEEGNGEYPDSLI >ONIVA07G17550.3 pep chromosome:AWHD00000000:7:17182205:17188084:1 gene:ONIVA07G17550 transcript:ONIVA07G17550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSEPGRPWTVSATWAPAGGAAVEDAVSFETSADDAEAVPSAVFLERPSPDGDGDAPPCEVTVHFRGKYEIHRVYVRSTARIYEIYYTTDPKDSSKDYLCTVRCGPAVKEPLPSAEESMPQWSSDASTSEKHEHETKSVSSSIDEDSWVDVKIPELHVEKNRSKSQEPNAIGAKQETTLAHYEATAEITDASPCVSLTVRLLSLQSKTSVHIEEIYIFADPVEPTNDESETRPGNMGGSSLLAMLVPSLMQMSKSRNQKTDNKYSSDASRTQLSQGCAMAVNNSPCENVVRDAEPCSTDDLNFKSAGMESKLNAIDGDTITYEKGNHYELKDSKSILLPIQTTENTQAPLTKNQSVSNTDQPVTPLMDENPNPYSRIEGKLDTLLSKMEKMELYCSRFDDGMMRPICSIESRLQRLEQQFDVFSMEIKTLQASSARMTAPDGLSDMINPQDKTDNDGKAENSASTTNRQPGLVVRAPEFSLEESFSCDKSNENAVTLRGPSMVPRLLVKAPDFVSESEFACEKLHDGSFSPVDFALSSEKEPKTSPGLVVKVPEFPNDSDDELEEEKETEVGDHDDGHTKSDAALSESTVDSFKSKSHVSVDGALASALEALLGSTKKTPSSQSAACPASNSTAENTTDSSTCPFSSEQVDETSTNDGSAGQLSGTFVDANKVNTFISCEEADAVPQTYLSKANLKAEVNDLNNVLDLNMMAFAESTEPLCVPQLHTVEESKDVGSQANLKNNGSSSYMMQSIANTEHIIAPSQLPTVLESINDGQQLNGNRSTLSLAEFLVARNANFSKNVISEALSSNGGAEMHTFKRTAMESAKNIKDINQLLLQKALEVNEDDSAKFSVSGGMNSCCLRAFTDSKKRCIESSGLEASLNDSFTKSEVEHSLSDLCSMESFSAEPAREAVGSGGVTAGNCVDDPFTGSSTVNPTAGEELQKVCDLLYEYNDDVLGMAFVAKKTSKSSPSLEVLLAESSDSEAEISDAKDTDNDAGLSSARLFSTFSSSDDEAPRTDEPIIDVVDLPTPLDAYASSKNELVDDESLLDMDDPPIPPESYASVLSDMHHACLNDQSKPSGTFAEEGNGEYPDSLI >ONIVA07G17550.4 pep chromosome:AWHD00000000:7:17182205:17187425:1 gene:ONIVA07G17550 transcript:ONIVA07G17550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSEPGRPWTVSATWAPAGGAAVEDAVSFETSADDAEAVPSAVFLERPSPDGDGDAPPCEVTVHFRGKYEIHRVYVRSTARIYEIYYTTDPKDSSKDYLCTVRCGPAVKEPLPSAEESMPQWSSDASTSEKHEHETKSVSSSIDEDSWVDVKIPELHVEKNRSKSQEPNAIGAKQETTLAHYEATAEITDASPCVSLTVRLLSLQSKTSVHIEEIYIFADPVEPTNDESETRPGNMGGSSLLAMLVPSLMQMSKSRNQKTDNKYSSDASRTQLSQGCAMAVNNSPCENVVRDAEPCSTDDLNFKSAGMESKLNAIDGDTITYEKGNHYELKDSKSILLPIQTTENTQAPLTKNQSVSNTDQPVTPLMDENPNPYSRIEGKLDTLLSKMEKMELYCSRFDDGMMRPICSIESRLQRLEQQFDVFSMEIKTLQASSARMTAPDGLSDMINPQDKTDNDGKAENSASTTNRQPGLVVRAPEFSLEESFSCDKSNENAVTLRGPSMVPRLLVKAPDFVSESEFACEKLHDGSFSPVDFALSSEKEPKTSPGLVVKVPEFPNDSDDELEEEKETEVGDHDDGHTKSDAALSESTVDSFKSKSHVSVDGALASALEALLGSTKKTPSSQSAACPASNSTAENTTDSSTCPFSSEQVDETSTNDGSAGQLSGTFVDANKVNTFISCEEADAVPQTYLSKANLKAEVNDLNNVLDLNMMAFAESTEPLCVPQLHTVEESKDVGSQANLKNNGSSSYMMQSIANTEHIIAPSQLPTVLESINDGQQLNGNRSTLSLAEFLVARNANFSKNVISEALSSNGGAEMHTFKRTAMESAKNIKDINQLLLQKALEVNEDDSAKFSVSGGMNSCCLRAFTDSKKRCIESSGLEASLNDSFTKSEVEHSLSDLCSMESFSAEPAREAVGSGGVTAGNCVDDPFTGSSTVNPTAGEELQKVCDLLYEYNDDVLGMAFVAKKTSKSSPSLEVLLAESSDSEAEISDAKDTDNDAGLSSARLFSTFSSSDDEAPRTDEPIIDVVDLPTPLDAYASSKNELVDDESLLDMDDPPIPPESYASVLSDMHHACLNDQSKPSGTFAEEGNGEYPDSLI >ONIVA07G17540.1 pep chromosome:AWHD00000000:7:17179916:17181999:-1 gene:ONIVA07G17540 transcript:ONIVA07G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFVPFPAIAVGGASLRFLADNTRAVGGSGRRRCSDVVAFSSSEKGPGPGSGEEREPRAEEALRRLAELDSQLEGLSEPRERPPAPPLPPDPYMDRDMITGRGSKDELPEFSPTDLGILVIKHGTLNILGVINAELECAVDNGRVRGEKRSSTELLWCGSGPELYDLLLCR >ONIVA07G17540.2 pep chromosome:AWHD00000000:7:17180557:17181999:-1 gene:ONIVA07G17540 transcript:ONIVA07G17540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFVPFPAIAVGGASLRFLADNTRAVGGSGRRRCSDVVAFSSSEKGPGPGSGEEREPRAEEALRRLAELDSQLEGLSEPRERPPAPPLPPDPYMDRDMITGRGSKDELPEFSPTYVTFSTLALVILTIFTNVVFNLYIKPSVDGVDQPVRIERVPMVNPADQQFK >ONIVA07G17530.1 pep chromosome:AWHD00000000:7:17178110:17179181:-1 gene:ONIVA07G17530 transcript:ONIVA07G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALQACYADLGQRPRPPPIHFRPPRNPHRVSRLSSPCRGMCVSPDPRMRIPSATSAHASAATPAHRAPPARDREGALVGSRQPAGARGLRAARQNRAWGICMGRGRLRCRRAVAVADAWCMQFFDRDTVGGWEQLGSGEVMIARGQLGKGRIALRGLAVIWLFWPGRAARDGRGQRATNARDGCATKCCSARPPASCWLSFCHLMNEQQY >ONIVA07G17520.1 pep chromosome:AWHD00000000:7:17174612:17175610:-1 gene:ONIVA07G17520 transcript:ONIVA07G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASFMLAVLLAVAVAAAPARAVRCPPSDKQALMRVKQSLGNPATLSTWSLASADCCEWDHVRCDEAGRVNNVFIDGANDVRGQIPSAVAGLTALMSLSLFRLPGLSGPIPACLTALSNLQFLTISHTNVSGVIPDSLARIRSLDSVDLSHNSLTGPIPNSFSDLPNLRSLDLRSNKLTGCIPAGLVQGQFRSLILSYNQLTGPIPRDDAQDEINTVDLSHNRLTGDASFLFAAGRPIGKVDLSWNDLDFDLSKLVFPPELTYLDLSHNRIRGTVPRSLAALSTLQTLDLSYNRLCGPLPRLHGVIRHGCKPYEHNQCAGGAPLGGCHQS >ONIVA07G17510.1 pep chromosome:AWHD00000000:7:17159003:17162276:-1 gene:ONIVA07G17510 transcript:ONIVA07G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPEGSGRGRKKQQQEQKQKQKEPKQQQQQQKKGKKPNPFSIEYNRSSAPSGHRLVVLREPTGRDIAARYELGGELGRGEFGVTYLCTERETGDAYACKSISKKKLRTAVDIEDVRREVDIMRHLPKHPNIVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDNAKDLVKGMLNPDPRRRLNAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEVAGIKDMFEKMDLNKDNMINFDELKLGLHKLGHQMADADVQILMDAADVDGNGSLDYGEFVALSVHLRKIGNDEHLHKAFAYFDRNQSGYIEIDELRESLADDLGANHEEVINAIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQLTTTQ >ONIVA07G17500.1 pep chromosome:AWHD00000000:7:17151614:17153590:-1 gene:ONIVA07G17500 transcript:ONIVA07G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSLRSSDPIRSHVCPVGTGFVQNDMLASFGRDDSIKGIPSLAARHSQHRRLEVGCRASSLASFSYPELTSKPRWWWRTVACVPYLLPLHNMWSYADVIYQLHTYLQGFSLVYTFIDTMTLFPGWLLLVIFMTVYFFVVRRKWSPHFLRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMAIAFIQIFTVLECMRCALAGMYPNVPFISHTAFIHSDLNLFR >ONIVA07G17490.1 pep chromosome:AWHD00000000:7:17144955:17149216:-1 gene:ONIVA07G17490 transcript:ONIVA07G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein K [Source:Projected from Arabidopsis thaliana (AT4G36720) TAIR;Acc:AT4G36720] MALLAPAISGEVGLRLLLAPLSSTVVIRTASCAIGVGLPVYSTFRAIEKKDQKEKERWLLYWAAYGSFSIAEIFADQILSSVPFYYHVKFAILVWLQFPSNSGAKHVYRRYMRPFFLKHQAKIDRILNILSKELNKFVSSHEDEIHFIEHMAARGASTANYIINGPEQSEAVRAAIEGPNSTTTEEAGTPRNET >ONIVA07G17480.1 pep chromosome:AWHD00000000:7:17140355:17143673:1 gene:ONIVA07G17480 transcript:ONIVA07G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELADLVVVPSQPPLAGGRRDRLAALLELAAADDVDGLRGALAEGGEEAAELADGVGLWYGRSKAYEARTPLMVAATYGSAGVVSLLVGLGGCVDVNRRPGADGATALHCAASGGSRNAVAVVKLLLAAGADPATSDSAGRFPADVILAPPASPDALGDLEVLLGRRRALAVATSVASGSSSPPLSSSPDEGNRSPSSRSSSLSPITVDRGKKEYPVDPTLPDIKSSVYASDEFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNSGAGLLSPRASSSIDMTAAAALGLLPGSPTRHFAPPPVSPSAGSNGGAAAAHWLQGSRLRSSFNARDAAVDDLGMLLEWESQYLGALCLPPSSRPQPRLSAGLSIRPTIAPSNLEDMYASDMAMSPRFPNDQGHSVYSPAHKSALLNKLHQQKGLLSPVNTNRMYSPRALDPSSLAHSPFGGMSPRSPRTMEPTSPLSARVGAPATQREMFEQFASLNKHQLPSVGSPRNSTAWGTVGSPMGKVDWGVDSEELVRLRRPAQPGFGEDETDVSWVQSLVSNAELNGKRGEVQGMPGTSALMNRPDLNNQGDLLDQTVIGAWLEQMHLDQK >ONIVA07G17480.2 pep chromosome:AWHD00000000:7:17140355:17143465:1 gene:ONIVA07G17480 transcript:ONIVA07G17480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELADLVVVPSQPPLAGGRRDRLAALLELAAADDVDGLRGALAEGGEEAAELADGVGLWYGRSKAYEARTPLMVAATYGSAGVVSLLVGLGGCVDVNRRPGADGATALHCAASGGSRNAVAVVKLLLAAGADPATSDSAGRFPADVILAPPASPDALGDLEVLLGRRRALAVATSVASGSSSPPLSSSPDEGNRSPSSRSSSLSPITVDRGKKEYPVDPTLPDIKSSVYASDEFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNSGAGLLSPRASSSIDMTAAAALGLLPGSPTRHFAPPPVSPSAGSNGGAAAAHWLQGSRLRSSFNARDAAVDDLGMLLEWESQYLGALCLPPSSRPQPRLSAGLSIRPTIAPSNLEDMYASDMAMSPRFPNDQGHSVYSPAHKSALLNKLHQQKGLLSPVNTNRMYSPRALDPSSLAHSPFGGMSPRSPRTMEPTSPLSARVGAPATQREMFEQFASLNKHQLPSVGSPRNSTAWGTVGSPMGKVDWGVDSEELVRLRRPAQPGFGEDETDVSWVQSLVSNAELNGKRGEVQGMPGTSALMNRPDLNNQGDLLDQTVIGAWLEQMHLDQK >ONIVA07G17480.3 pep chromosome:AWHD00000000:7:17141002:17143467:1 gene:ONIVA07G17480 transcript:ONIVA07G17480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGELADLVVVPSQPPLAGGRRDRLAALLELAAADDVDGLRGALAEGGEEAAELADGVGLWYGRSKAYEARTPLMVAATYGSAGVVSLLVGLGGCVDVNRRPGADGATALHCAASGGSRNAVAVVKLLLAAGADPATSDSAGRFPADVILAPPASPDALGDLEVLLGRRRALAVATSVASGSSSPPLSSSPDEGNRSPSSRSSSLSPITVDRGKKEYPVDPTLPDIKSSVYASDEFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNSGAGLLSPRASSSIDMTAAAALGLLPGSPTRHFAPPPVSPSAGSNGGAAAAHWLQGSRLRSSFNARDAAVDDLGMLLEWESQYLGALCLPPSSRPQPRLSAGLSIRPTIAPSNLEDMYASDMAMSPRFPNDQGHSVYSPAHKSALLNKLHQQKGLLSPVNTNRMYSPRALDPSSLAHSPFGGMSPRSPRTMEPTSPLSARLPSVGSPRNSTAWGTVGSPMGKVDWGVDSEELVRLRRPAQPGFGEDETDVSWVQSLVSNAELNGKRGEVQGMPGTSALMNRPDLNNQGDLLDQTVIGAWLEQMHLDQK >ONIVA07G17470.1 pep chromosome:AWHD00000000:7:17130650:17136666:-1 gene:ONIVA07G17470 transcript:ONIVA07G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEVKNDEEYQMDPIEIKDGEEHSIEMLVEQPRFLEPICPEEVNEDTRVYPRVGDEYQVEIPNLATEEERMKLRSCPVDDSGIFGFDYPVGVGLAIPVTWTQKTSDHVKKEQTGFSGRSSCSSQDECNSHVTEDIPRNVPGCKVECDEHDEKLLKSAEQDINCLQNGKASDYIPLPGMTHYSWTDEEAETFLLGLYIFGKNLVQVTKFLQCKTMGEVLSYYYGEFFRSDSYNRWAACRKVRSRRCILGLRIFSGTRQQELLSRMLAGIAREVQHPLLEVFKTFNEGTSTFEEFILSLRSTVGARVLVEAVGIGKGKYDLTGFALDPSRNHGISTRAEIPIGKACSSLSSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKHALVFLIPGVKKFSRKKLVRGNHYFDSVSDVLSKVASEPRLLEFGVEGINGEGGFKIENGWIHDAELEKSTVTDKKSSCYTRPSEPGCSPELMKFTVVDTSVVQGEEPCKVRSLRNLPTDASHGYMSSPHSEDSGSDSSEEHSDSEDSSQSYEHINTNQNKTGAKYVNEKKCKPPTGDRMDIDVLQKNSTFAGTMTSTNGHMSFDQGFSLMNNACSSTATILPVGTQRVHATNSSTEINFQFDQRVTPEPQIFLAASVAKRRRLVSCKNERTGRRNTAANKRQHGKQVDTAQHDVSKSNEASAGAKPFIWGSIPNSSTTINFDMSNIHLCHKQYNVPPTDEKMVLKEKSQDKHVIDLNIPQVPSDYESAVSYIVPSSDKNTHTMDRSIHSSETNRMDDCLPDINASCNGLLSEQRRQSTRSRPPTTRALEALACGFIGTKQKGAEGNFPSSIRSSRPVRRPRRLTDGAVSFPSDGEGSSSHFTDSAIIVNEWHMTNRQYQVLDNTPTDKSTDNRTRELFGADKSADKGTREFFGADKSADKGGGIETMK >ONIVA07G17470.2 pep chromosome:AWHD00000000:7:17130650:17136666:-1 gene:ONIVA07G17470 transcript:ONIVA07G17470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEVKNDEEYQMDPIEIKDGEEHSIEMLVEQPRFLEPICPEEVNEDTRVYPRVGDEYQVEIPNLATEEERMKLRSCPVDDSGIFGFDYPVGVGLAIPVTWTQKTSDHVKKEQTGFSGRSSCSSQDECNSHVTEDIPRNVPGCKVECDEHDEKLLKSAEQDINCLQNGKASDYIPLPGMTHYSWTDEEAETFLLGLYIFGKNLVQVTKFLQCKTMGEVLSYYYGEFFRSDSYNRWAACRKVRSRRCILGLRIFSGTRQQELLSRMLAGIAREVQHPLLEVFKTFNEGTSTFEEFILSLRSTVGARVLVEAVGIGKGKYDLTGFALDPSRNHGISTRAEIPIGKACSSLSSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKHALVFLIPGVKKFSRKKLVRGNHYFDSVSDVLSKVASEPRLLEFGVEGINGEGGFKIENGWIHDAELEKSTVTDKKSSCYTRPSEPGCSPELMKFTVVDTSVVQGEEPCKVRSLRNLPTDASHGYMSSPHSEDSGSDSSEEHSDSEDSSQSYEHINTNQNKTGAKYVNEKKCKPPTGDRMDIDVLQKNSTFAGTMTSTNGHMSFDQGFSLMNNACSSTATILPVGTQRVHATNSSTEINFQFDQRVTPEPQIFLAASVAKRRRLVSCKNERTGRRNTAANKRQHGKQVDTAQHDVSKSNEASAGAKPFIWGSIPNSSTTINFDMSNIHLCHKQYNVPPTDEKMVLKEKSQDKHVIDLNIPQVPSDYESAVSYIVPSSDKNTHTMDRSIHSSETNRMDDCLPDINASCNGLLSEQRRQSTRSRPPTTRALEALACGFIGTKQKGAEGNFPSSIRSSRPVRRPRRLTDGAVSFPSDGEGSSSHFTDSAIIVNEWHMTNRQYQVLDNTPTDKSTDNRTRELFGADKSADKGTREFFGADKSADKGGGIETMK >ONIVA07G17470.3 pep chromosome:AWHD00000000:7:17131824:17136666:-1 gene:ONIVA07G17470 transcript:ONIVA07G17470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEVKNDEEYQMDPIEIKDGEEHSIEMLVEQPRFLEPICPEEVNEDTRVYPRVGDEYQVEIPNLATEEERMKLRSCPVDDSGIFGFDYPVGVGLAIPVTWTQKTSDHVKKEQTGFSGRSSCSSQDECNSHVTEDIPRNVPGCKVECDEHDEKLLKSAEQDINCLQNGKASDYIPLPGMTHYSWTDEEAETFLLGLYIFGKNLVQVTKFLQCKTMGEVLSYYYGEFFRSDSYNRWAACRKVRSRRCILGLRIFSGTRQQELLSRMLAGIAREVQHPLLEVFKTFNEGTSTFEEFILSLRSTVGARVLVEAVGIGKGKYDLTGFALDPSRNHGISTRAEIPIGKACSSLSSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKHALVFLIPGVKKFSRKKLVRGNHYFDSVSDVLSKVASEPRLLEFGVEGINGEGGFKIENGWIHDAELEKSTVTDKKSSCYTRPSEPGCSPELMKFTVVDTSVVQGEEPCKVRSLRNLPTDASHGYMSSPHSEDSGSDSSEEHSDSEDSSQSYEHINTNQNKTGAKYVNEKKCKPPTGDRMDIDVLQKNSTFAGTMTSTNGHMSFDQGFSLMNNACSSTATILPVGTQRVHATNSSTEINFQFDQRVTPEPQIFLAASVAKRRRLVSCKNERTGRRNTAANKRQHGKQVDTAQHDVSKSNEASAGAKPFIWGSIPNSSTTINFDMSNIHLCHKQYNVPPTDEKMVLKEKSQDKHVIDLNIPQVPSDYESAVSYIVPSSDKNTHTMDRSIHSSETNRMDDCLPDINASCNGLLSEQRRQSTRSRPPTTRALEALACGFIGTKQKGAEGNFPSSIRSSRPVRRPRRLTDGAVSFPSDGEGSSSHFTDSAIIVNEWHMTNRQYQVLDNTPTDKSTDNRTRELFGADKSADKGTREFFGADKSADKGSHELFGIP >ONIVA07G17460.1 pep chromosome:AWHD00000000:7:17126313:17130910:1 gene:ONIVA07G17460 transcript:ONIVA07G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFGPLLLVLPLSSALLVLSAATAPRGRPSQVDVGRQLREELWERNQGHEMLRSWRDGDPCSPSPWEGFSCRWKDGNLFVVKLNFSSKKLQGPIPAAIGNLTELDEIDLQDNNFTGSIPESFFDLTHLLKLSVKCNPFLNNQLPHGLSISVEFSYGGCAYHSPPGASNQRIAVIGGVAGGSLACTFALGFFFVCFNKREKNPQKKDCSSTRNPVFEECSTHKATNSAVQQLSLKSIQNATCNFKTLIGEGGFGSVYRGTLAHGEEVAVKVRSTSSTQGTREFNNELRLLSAVRHDNLVPLIGYCCEKDQEILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSVCIGAARGLAHLHGFAGRCIIHRDVKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASMEVRGTAGYLDPEYYSTQSLSTKSDVFSFGVVLLEIVTGREPLDVQRPRDEWSLVEWAKPYIREYRIEEIVDPGIKGQYCSEAMWRVLEVASACTEPFSTFRPSMEDVVRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSARFASFDATKGHLQTMPSLPG >ONIVA07G17450.1 pep chromosome:AWHD00000000:7:17116694:17121318:-1 gene:ONIVA07G17450 transcript:ONIVA07G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: exocyst complex component 84B (TAIR:AT5G49830.1); Has 132 Blast hits to 132 proteins in 25 species: Archae - 0; Bacteria - 0; Metazoa - 7; Fungi - 0; Plants - 117; Viruses - 0; Other Eukaryotes - 8 (source /.../ BLink). [Source:Projected from Arabidopsis thaliana (AT1G10180) TAIR;Acc:AT1G10180] MESSSEEELEEDFPGHEWITPQSSIRAAYQSQTEKGIRKICSELLELKDAIENLCGNMQSKYHAFLRISEEVVEAEQELIELQKHVSAQGILVQDLMSGVCRELEMWQKHCKDEHVEEKDLQTELDEILSYDTQDSKVSFLDKLDTLLTEHKIEEALLALETEEKKCMATDHPGKELDAEISTYKTALSKRKSILEDQLVRYSEQPSLSITELRKSLSGLIKIGKGSLAHQVLLKAYGSRLQKNVEAFLPTCSIYTETYSATLSKIVFSAISKVSKESSSLFGDSPMNLNRIIQWAEYEIETFARLVKENSPLPESVSALRSACICIQTSLTHCSYLESYGLKFSNLLMVLLHPYVEEVLELNFRRLRRKIVDSAKNDDILLPSPQEGSRLSSSVAPNIMLTSSGKKFMSIVNDVLDQITPMTIVHFGGTILNKFVQLFDKYVEALIEVLPGASEDDHLVESKEPIEFKAESDAQQIQLIGTAYTVADELLPAAVSKFFDIQTEKKRIGGTGEGLGSGSIYSIEYKEWKRSLQHSLDKLRDHFCLQYVLSFIYLEGKSRLDARMYLELKTDDLLWECDPSPSLPFQALFVKLRQLASVAGDVLLGKEKIQKVLLSRLTETVVMWLSNEQEFWDVFEDQSIQLRPSGLQQLILDMHFVVEIAVCGRYPHRPVQQLVSVIITRAIAAFSVRNVDPQSSLPEDEWFLDMAKVAINKQLGTSGSESELEEPVVVHDEISDSEESSISSPSTIGSEDSFASANNDDLETPVYFTDPEA >ONIVA07G17440.1 pep chromosome:AWHD00000000:7:17114951:17115314:1 gene:ONIVA07G17440 transcript:ONIVA07G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPAPVTAYPPPAMAPLQQPTEHTAPPQGNYAPPPPPGYPGNFDVGMNPPQPAQTQSRGDKAFLEGW >ONIVA07G17430.1 pep chromosome:AWHD00000000:7:17107110:17108483:1 gene:ONIVA07G17430 transcript:ONIVA07G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor [Source:Projected from Arabidopsis thaliana (AT5G41920) TAIR;Acc:AT5G41920] MLQGVLSRAPGADAAAMKAKRAADDEEEGGERERARGKRLAAEGKQGLVVVSTGEEEEAAAETRGLRLLSLLLRCAEAVAMDQLPEARDLLPEIAELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLALRPLAAAQSRRISGAFQAYNALSPLVKFSHFTANQAIFQALDGEDRVHVIDLDIMQGLQWPGLFHILASRPTKPRSLRITGLGASLDVLEATGRRLADFAASLGLPFEFRPIEGKIGHVADAAALLGPRHHGEATVVHWMHHCLYDVTGSDAGTVRLLKSLRPKLITIVEQDLGHSGDFLGRFVEALHYYSALFDALGDGAGAAEEEAAERHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVTLAGSPAAQARLLLGMYPWKGYTLVEEDGCLKLGWKDLSLLTASSWEPTDGDADADVAVAGDTHHESHDS >ONIVA07G17420.1 pep chromosome:AWHD00000000:7:17101895:17102227:-1 gene:ONIVA07G17420 transcript:ONIVA07G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGGGGSGGRQGSEPESAAAVHVPGPCAATQRALAECHRSAARGPLRPEVLCRHLNRALAECLVTSCCPGETEAVRTLCGSAGTALKRSQCQRARIGLSLCLESHQEP >ONIVA07G17410.1 pep chromosome:AWHD00000000:7:17098860:17099469:1 gene:ONIVA07G17410 transcript:ONIVA07G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADARLPEQRCAFGLSKSYNNKRGGKNVMCDAYISANMLGSQEMRQKGGAPPRPTAAWPRALPPQTQRRRERQMTASPPASGGRSTPSSSSGERDERRPPRDADPVAVRPEEAGAWSCCFFLPRRPSHRGQG >ONIVA07G17400.1 pep chromosome:AWHD00000000:7:17098376:17101889:-1 gene:ONIVA07G17400 transcript:ONIVA07G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c [Source:Projected from Arabidopsis thaliana (AT5G45040) TAIR;Acc:AT5G45040] MEPTLISLVPGATADGGGRSSSSMHRLPLASRPPGPHRAAAAAHRAPQRTTTACCGRLKQEATPSFASLAVAASAAAERAATPLLAAAALLLSAASPGFLASTPSAFAQSEGAALFRKACIGCHDMGGNILQPGATLYMKDLERNGVATEDELYNITYYGKGRMPGFGEKCTPRGQCTFGPRLVEDDIKLLAAFVKSQAENGWPKIDGDGD >ONIVA07G17400.2 pep chromosome:AWHD00000000:7:17098376:17101889:-1 gene:ONIVA07G17400 transcript:ONIVA07G17400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c [Source:Projected from Arabidopsis thaliana (AT5G45040) TAIR;Acc:AT5G45040] MEPTLLLLNPKAQRCSGRRASAAMIWEEISYSRNGVATEDELYNITYYGKGRMPGFGEKCTPRGQCTFGPRLVEDDIKLLAAFVKSQAENGWPKIDGDGD >ONIVA07G17390.1 pep chromosome:AWHD00000000:7:17091418:17097664:-1 gene:ONIVA07G17390 transcript:ONIVA07G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha 1,4-glycosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G19900) TAIR;Acc:AT4G19900] MLPRSHSHPAARRRSGLGAQLCAVVAALLLLVSLAVLHSRLSSSSSPFPLSSSGDGVSNSSLVEDEDDGAAVLDPTVTITATTTTTTTTTTNAAADSTTDASSVSNPEDDPIDELDVLDEDTASGLGAADEVPASASASSLVWDHAVGVARLPFRLPAAGDPLPAGLPHLEPAHRIAAAAFGSDDEPVDLELRVEISSIAGVEDALLLKPASSAPETPLRAGWARWLEGKADYLRRDRMLRSNLEFLNPRNHPLLQDPDSPGLTSLTRGDRMVHRMLLAEIEKAASKNFERRSLQSSDIKQGMGVTEKVQQRRWGYYPGIDPHLGFNEFMEKFFEHGKCSVKVFMVWNSPQWAYGVRHQRGLESLLRQHPEACVVMLSETLELEFFQEFVKEGYKVAVALPNLDELLEGTLTHDFVSVWNEWRKTKYYPLHYSELVRLAALYKYGGIYLDSDVVVLKPLNALRNSIGVVKQVSENSSFSGAVLAFEKNSPFLAECLKEFHSTYDDELLQWNGAELMTRVIRNMSDKADDNSGHLDIKFEPSVAFYPISSTDITRYFSEADSTDERAQHDALFSRIVNDSTTFHLWNSNTSSLVPEPNSLVERILNRYCLHCLDVL >ONIVA07G17380.1 pep chromosome:AWHD00000000:7:17084495:17090525:1 gene:ONIVA07G17380 transcript:ONIVA07G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVWFSAIAAVLLAASTIAVVVVAKMTGKRNGGAAAAAAAAAEAELPLPPVVSGVSLIIPVITRGPMAVADELYVKLGSVFTVSFLGVVKATFLVGPEVQGGFYSRPESEVHQGGTYRMTVPMFGRGVMYDVDVATRSEQIAVCFEEYFAKWGEQGTVDLKRELDLLILTIASRVLLGKEVRETMFADVVASFHELMDNSMHLISLCFPNLPIPRHRRRDTASARLKELFSRAIQLRRGSGRAEDDVLQRFLESRYRDGRAMSDNEITGMLIALVVAGQHMSSSASTWTGAFLLRDPKHLAAAVDEQRRLIGDDRVDYDALTTGMSTLHRCIKEALRMHPPAPALVRTVRRGFAVRTREGKEYRMPAGHSVVSYAAFNHRLGYVYRDPDEYDPERFGPERKEDRVAGKFSFTAFGGGRHACLGEHYAFLKMKVIWSYLLRNFELELVSPFPEVELNNIMLGPRGEVMRENIVTVVAQRVRFLHRKVTFLVGPEESSHFFTGLDAEISQDEPSYRGATSFTRPTPELLERDCNMSSLPSVAAPHQRVASTSSVETTEEHVAHHKKEADPRRRRPRRTQVMIVVTLIATRCLFGEVRSKMLGEVPTLLRELNDSMRLITIVFPYLPIPAHRRRDSARARLGEIFVEIVRSRRSSPGGGGAGHDDMLQCLIDARTAAPRRRAGGGRRDALHELQHQHVDRRARLLTHPEHLRAAVREQEELVLVRHRHGGDVVDHDALQRMGHLHRCVKETLRLHPPSLMLLRHARRSFVVRARGSGDAEAHGGEPMVIHNALPHVYEDAGSFDPGRFDPAREEYRAYAADHAYTVFGGGRHACVGEALSR >ONIVA07G17370.1 pep chromosome:AWHD00000000:7:17079212:17083164:1 gene:ONIVA07G17370 transcript:ONIVA07G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWERGRLPRKPRPSPILVPPPPASPPPPPRLLAFLLPRSLLSLAARAMPSRRPSPLLLLLLALALAFLFLLLSPSAPSASHLSSSLASAAAAVSTPASSPPAPVKIYMYDLPAKFTYGVVRSYMAARARAGAAGAASAIPDDELRYPGHQHSAEWWLFKDLRRRGPRDRPVARVDDPADADLFYVPFFSSLSLVVNPIRPSAAAANASDAAEPAYSDESTQEELLVWLERQPYWRRHQGRDHVFICQDPNALYRVVDRISNAVLLISDFGRLRSEQASLVKDVILPYAHRINSFQGDVGVESRPSLLFFMGNRYRKEGGKVRDTLFQVLENEADVIIKHGAQSRESRRMATRGMHSSKFCLHPAGDTPSACRLFDALVSLCVPVIVSDYIELPFEDVIDYRNISIFVETSKAVQPGFLTSTLRGISSQRILEYQREIKKVKHYFEYEDPNGPVNQIWHQVSSKAPLIKLLINRDKRLVERGTNGTDCSCICSTTKELLRK >ONIVA07G17360.1 pep chromosome:AWHD00000000:7:17073407:17074216:-1 gene:ONIVA07G17360 transcript:ONIVA07G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGLSRGRRCTIASRLNASAEAAVAATSSSRGEKQKAAVARRVEYYDEEDARGEARYDVFINHRGVDTKRTVARLFYDRLVAAGVRAFLDNQSMRPGDRLDERISEAISQCAVAVAIFSPHYCDSEYCLRELALLVESRKKIIPVFYNIKPSDLVLPQAVVESVAHHPRDIERFKFALREVKNTVGLTYDPATGDLAELVTSAATAVLENIDKHEVTQGRHMIMSRL >ONIVA07G17350.1 pep chromosome:AWHD00000000:7:17061116:17062460:1 gene:ONIVA07G17350 transcript:ONIVA07G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSVNLRSCALTTRSTERNNGQNVKQTARTHAPTHAPARREGVSRQTGVCHLQRNPAGIELGADVCSAGQRETVFVGLGARIDIDLFVATNSVLVSTLYRCRSVSSNKLGREEGEDVAFHGELEIDRCTSRGPRQGRRDSAVRDLLSLRVGSCIAVPVPVCDTNLCQYSVKAAPRVIDNWCHFALSFRRPYTVANRDGFINYVLPFSIASLGMPFIFSKL >ONIVA07G17340.1 pep chromosome:AWHD00000000:7:17056283:17057875:-1 gene:ONIVA07G17340 transcript:ONIVA07G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDSSSGSAPPRVLRRQQQQPGSAPELPPGFRFHPTDEELVVHYLKKKAASVPLPVTIIAEVDLYKFDPWELPEKANFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIMASGSTREKVGVKKALVFYRGKPPKGVKTNWIMHEYRLTDTSSSAAAVATTRQPPPPITGGSRGAVSLRLDDWVLCRIYKKTNKAGAGQRSMECEDSVEDAVAAYAPSSQQHATAAAGMAGSDGAGGVAAAHGGDYSSLLHHDSHEDTFLVNGLLTAEDAAGLSTGASSLSQLAAAARAAATPCDATKQLLAPSPTPFNWFEAFLPRAKEFPSGLSRSSRDIGDMSLSSTVDRSLSEAGAVAIDTGDAANGANTMPAFINPLGVQGATYQQHQAIMGASLPSESAAAAAACNFQHPFQLSRVNWDS >ONIVA07G17330.1 pep chromosome:AWHD00000000:7:17048867:17051103:-1 gene:ONIVA07G17330 transcript:ONIVA07G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASPNDQTLFLDLLFLPLASAQLGRGQTTPRYHTRQFGCDGVRHRAATTHWGGARRLAALRCTRGSSLARARKGGGRASGHRLRGGKRGVVEDEAANYAWGGGHQPAVRKEELVHRPPAGRISSACQARGGALQHGGHTGKFVDLPRRPGSSAQRRWVGAGALSKRGKEMVVEDEAEAAQANPSTSTAATVGEEGRRDPRAPSRRRLRRRRSALCT >ONIVA07G17320.1 pep chromosome:AWHD00000000:7:17028624:17032753:-1 gene:ONIVA07G17320 transcript:ONIVA07G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVARSGTAVDAGGDGGEDGKRRRRRWKTPREDQLGMVPGRIFSNDGRSRTATVYTQQGRKGINQDAMLVWDGFGGEDDGVLCGVFDGHGPHGHVVARRVRDSLPLRLMSAARDSGADMPAAAWRKAFARAYKAMDKDLRSHPSLDCFCSGSTAVTVLKLVRAVDTGHDTHLLSITPPSSQKCQIESAYYASTHGGSRGDFCMQGSDLYMANIGDSRAVLGSREATGGGMVAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTEKDQFVILASDGVWDVLSNQEAVDIVSASPSRSKAAKSLVEAATREWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASLDNISIEEGSVADPNEPQEQEPTLTRNFTVRTVAGSTQEKTLAGVDARIAGVANDQNWSGLDGVTRVNSLVQLPRFSEERAIG >ONIVA07G17310.1 pep chromosome:AWHD00000000:7:17019921:17020271:-1 gene:ONIVA07G17310 transcript:ONIVA07G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGCARRDDLAGWLAARAERTLAVDGVHEDELVVLGPQRLATLDSGQMHARRTSTADGMCEEELADGDQIHALGGRQDRRTTRGAARFPGIPHCRTSQSHIHGYGNSDRPTMLTN >ONIVA07G17300.1 pep chromosome:AWHD00000000:7:17004934:17010720:-1 gene:ONIVA07G17300 transcript:ONIVA07G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRLHLLILCLIILFLVYNMASYQHKQTKLEAKSRPFDTITVSDKVSSKVSKRSANRVGFLPHGIVEPYSDMELKPLWLTRSAQSKDSSQKDRCLLAIPAGIDQKRSVDAIMKKFLPENFTVMLFHYDGNVDGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPSVVSMYEYIFLWDEDLEVDNFHPRRYLNIVKSEGLEISQPGLDPKLSEIHHRITVRKKGWSFHRWVEGMAPVFSRSAWRCAWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEYIVHRGVQTLGGPSVKRSHGKNNDPLHQKTAEAQQQMRVKAGLDMRTKVRRYSRSELRDFQKRWERATREDRAWVDPFARPRRKRKRTDRQ >ONIVA07G17290.1 pep chromosome:AWHD00000000:7:16991529:17001992:-1 gene:ONIVA07G17290 transcript:ONIVA07G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] MAGAAGGFVTRAFEAMLKECTANRGKFAALQQSIQSYLDAIKGAAAAGQEEGGDAAAAPITQVLASAGRVLEGTQAELVLQPLRLAFETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNRFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVSVPPASSAMKEEPSSSTEESENGEVSTGNQADEKITLGDALSLNRATEASPASVEELQSLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVGDSFTKNFHFIDSVKAYLSYAILRAAVSSSAVVFQYACGIFAVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLSQRASVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAQGSQNADTNTAASSQTVSVKGSSLQCLVSILKSLVDWEQARRDSLKQGSVAEACENDSSARSITSDEIKSQEDGRNQFEIAKAHKSTMEAAISEFNRKPARGIEYLLLNKLIENNATSVAHFLKSNSSLDKAMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTASDAEECAPKELLEEIYDSIVQEEIKMKDDFPDSAKTNKPRRETEERGVVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSIAATVMQGSNQISRESVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLSQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSHSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEHIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGCIPGGAVKPVDDVPEAHFDVTEHYWFPMLAGLSDLTLDPRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSVGFQKPNNQQSLSREAETNGLGSSYHDSREGGASISHIDEQDGHQETNAQTSLDNSEGLPSPSGRAQPAVSPRGQTFGQRIMGNMMDNLLVRSLTSKSKGRTDDIVPPSPVKAPDADGADKTDDEENPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKTTQQTAIMDILLSLLEFASSYNSTSNLRTRMHHIPPERPPLNLLRQELAGTAIYLEILQKSTVEHDGNDPSEDTNGHVIESDEHEKLKSLAEGKLVSFCGQILKDASDLQPSTGEAASADIHRVLDLRAPVIVKVLNGMCIMDAQIFKKHIREFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >ONIVA07G17290.2 pep chromosome:AWHD00000000:7:16991529:17001992:-1 gene:ONIVA07G17290 transcript:ONIVA07G17290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] MAGAAGGFVTRAFEAMLKECTANRGKFAALQQSIQSYLDAIKGAAAAGQEEGGDAAAAPITQVLASAGRVLEGTQAELVLQPLRLAFETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNRFREIAVVFYKNPVSVPPASSAMKEEPSSSTEESENGEVSTGNQADEKITLGDALSLNRATEASPASVEELQSLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVGDSFTKNFHFIDSVKAYLSYAILRAAVSSSAVVFQYACGIFAVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLSQRASVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAQGSQNADTNTAASSQTVSVKGSSLQCLVSILKSLVDWEQARRDSLKQGSVAEACENDSSARSITSDEIKSQEDGRNQFEIAKAHKSTMEAAISEFNRKPARGIEYLLLNKLIENNATSVAHFLKSNSSLDKAMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTASDAEECAPKELLEEIYDSIVQEEIKMKDDFPDSAKTNKPRRETEERGVVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSIAATVMQGSNQISRESVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLSQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSHSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEHIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGCIPGGAVKPVDDVPEAHFDVTEHYWFPMLAGLSDLTLDPRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSVGFQKPNNQQSLSREAETNGLGSSYHDSREGGASISHIDEQDGHQETNAQTSLDNSEGLPSPSGRAQPAVSPRGQTFGQRIMGNMMDNLLVRSLTSKSKGRTDDIVPPSPVKAPDADGADKTDDEENPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKTTQQTAIMDILLSLLEFASSYNSTSNLRTRMHHIPPERPPLNLLRQELAGTAIYLEILQKSTVEHDGNDPSEDTNGHVIESDEHEKLKSLAEGKLVSFCGQILKDASDLQPSTGEAASADIHRVLDLRAPVIVKVLNGMCIMDAQIFKKHIREFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >ONIVA07G17280.1 pep chromosome:AWHD00000000:7:16984390:16988296:-1 gene:ONIVA07G17280 transcript:ONIVA07G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I2E9] MAGRYDSNPFEEDDVNPFSEQARGKAGGQPSYGGGAFYMPNPRNVPSVSSNSRLSPLPPEPAAFGATVDIPLDSSKDLKNREKELQAREAELNKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDITNEIPSHLQRMQYVAFASFLGLACCLFWNVIAVTSAWVKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLVYLFHILFCVFSAVAPPVVFEGKSLAGILPAIDLISKNALVGIFYFVGFGLFCVESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMRAAF >ONIVA07G17270.1 pep chromosome:AWHD00000000:7:16979043:16982369:1 gene:ONIVA07G17270 transcript:ONIVA07G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSRIARGSQLTQPLSRILAEGNAAATPAAYALRNAAALGQRASSASASSSFHSLALAGLADKYAAGAAGRLQPSRGISTTSPALRPAAEAAARVVECSDAADEAAAAAVPDLGPTRPGEKPRVVVLGTGWAACRFLKDVDTRAYDVVCISPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLASCTGIDTGRHEVHCTAADGDGLPANPYNFKVSYDKLVIASGSEPLTFGIKGVAENAIFLREVSHAQEIRRKLLTNLMLSENPGLSEEEKKRLLHCVVVGGGPTGVEFSGELSDFITRDVRERYAHVKDYVKVTLIEANEILSSFDVGLRQYATDHLSKYGVNLVRGVVKEVKPREIELSDGSRVPYGVLVWSTGVGPSEFVRSLPLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEGTGRAVLPALAQVAEREGRYLARVMSRIAAQDGGRAGRAVGSAELGEPFVYKHIGSMASVGRYKALVDLRENKDARGVSMAGFVSWLMWRSAYLTRVVSWRNRFYVAINWATTLVFGRDNTRIG >ONIVA07G17270.2 pep chromosome:AWHD00000000:7:16979942:16982369:1 gene:ONIVA07G17270 transcript:ONIVA07G17270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSRIARGSQLTQPLSRILAEGNAAATPAAYALRNAAALGQRASSASASSSFHSLALAGLADKYAAGAAGRLQPSRGISTTSPALRPAAEAAARVVECSDAADEAAAAAVPDLGPTRPGEKPRVVVLGTGWAACRFLKDVDTRAYDVVCISPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLASCTGIDTGRHEVHCTAADGDGLPANPYNFKVSYDKLVIASGSEPLTFGIKGVAENAIFLREVSHAQEIRRKLLTNLMLSENPGLSEEEKKRLLHCVVVGGGPTGVEFSGELSDFITRDVRERYAHVKDYVKVTLIEANEILSSFDVGLRQYATDHLSKYGVNLVRGVVKEVKPREIELSDGSRVPYGVLVWSTGVGPSEFVRSLPLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEGTGRAVLPALAQVAEREGRYLARVMSRIAAQDGGRAGRAVGSAELGEPFVYKHIGSMASVGRYKALVDLRENKDARGVSMAGFVSWLMWRSAYLTRVVSWRNRFYVAINWATTLVFGRDNTRIG >ONIVA07G17260.1 pep chromosome:AWHD00000000:7:16974904:16975128:-1 gene:ONIVA07G17260 transcript:ONIVA07G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARQTGKHRPGDAPTNNGDDADLRETTRRWIGKKLKPMGVSGPRVVSWRNRFYVAVNWATTLVCGRDNIRIG >ONIVA07G17250.1 pep chromosome:AWHD00000000:7:16974744:16977100:1 gene:ONIVA07G17250 transcript:ONIVA07G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHREPDAPGVSGISSASTVKLKDLVPAATNNVNTTFIVLDKAARAPPPPHGRADAREETCLALVADETAAAHFLLWGAERDAFEPGDIVRLTGGIFSYHRSNSLVLRAGRRGRAEKVGEFTMLFVETPNMSEVKWVRDPGDPRRMVQEAVVSPHSQVFKPLQ >ONIVA07G17240.1 pep chromosome:AWHD00000000:7:16972772:16973996:1 gene:ONIVA07G17240 transcript:ONIVA07G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKGPDAPVVSGINSGSTVKLKDLVPAATNNVNTTFIVLDKAARAPPPPHGRADAREETCLALVADETAAAHFLLWGAERDAFEPGDIVRLTGGIFSYHRSNSLVLRAGRRGRAEKVGEFTMLFVETPNMSEVKWVRDPGDPRRMVQEAVVSPHSQVFKPLQ >ONIVA07G17230.1 pep chromosome:AWHD00000000:7:16967054:16967284:-1 gene:ONIVA07G17230 transcript:ONIVA07G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCFLVDQRRKVRSSKPAAGICSRCGGCASVADMETATRFCYLLTVHRATWRAIICTFCGAMLKSYRHYRLYTS >ONIVA07G17220.1 pep chromosome:AWHD00000000:7:16963099:16964544:1 gene:ONIVA07G17220 transcript:ONIVA07G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I2E2] MAGPTIVLLPAWGSGHFMSALEAGKRLLVAGGGAVSLTVLVMQAPTEIEASVVEAHVRREAASGLDVTFRRLPAVEHPTGCEATEEFMSRYVERHAHHVKAAIAGLASPVAAVVVDLFFTPLLDAAHELSLPAYVYFASTAAFLALMLRLPELRDDLTVGFDGMEGTVDVPGLPPVPPSYMPVCLVSKTVKNYDWFEYHGRRFTEAKGIIVNSSVELEGAVLAAIADGRRPAPAIHAIGPVIWFDATPPPEQPHECVRWLDAQPAASVVFLCFGSIGFLDAAQVRELAAGLERSGHRFLWVLRGAPAGGVRYPTDADPGELLPEGFLEATAGRGMVWPRWAPQKDILGHAAVGGFVTHCGWNSVLESLWFGVPMATWPLYGEQHLNAFEAVASMGVAVELRRTTAKDGDAARSLVEAAEVERAVRRLMAPQGGGSSEAREKAAEVSAACRKAVEEGGSSHAALQRLVREIVRLVAGHTRPE >ONIVA07G17210.1 pep chromosome:AWHD00000000:7:16957496:16961490:1 gene:ONIVA07G17210 transcript:ONIVA07G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQATHRRAGDCGGGGDGGSSSASIAKHLANQSQVLKWLQDFSDKVEERAKGAAAEVNVLLDEAGALELDMKTAMISFNNLTRQRFIEHASSGSKSKISDEDSMHLKTTESMHGSLQSQVPAQDYERDILPRYKEALHIGLSSCKDHFRSKGRSTTSVFRAMSAYGPLPHIIGSEEYIHDNSCGLADDMQPLSDDFSWLREFQSESSDSRTADISESQIFRAQRGYEKGETDSVVSAAREFKAMLEAALVNPYKFYDDATITAQDASVEKKISTSEDQVMLTGTSEAPSGRSAQEDNSEQTGLFASLQSADANPHDIYSALVREGLFDTGDEILSMDRGESADTPISGLASGLANLGTADPAERGYSINETTNEEGYLIEGDDPSPSNKDEQDDQTDAHGVSSPEPEDGVSRPS >ONIVA07G17200.1 pep chromosome:AWHD00000000:7:16939159:16944508:-1 gene:ONIVA07G17200 transcript:ONIVA07G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 5 [Source:Projected from Arabidopsis thaliana (AT5G54310) TAIR;Acc:AT5G54310] MNEKASVSKELNAKHKKILEGLLRLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSYWEAELPPNYDRVGIENFIRAKYEDKRWIPRNGSSRPSSGARDEKSSESQTSVNRGGHNQRSSFEQHRTSPAAVSKIAPVVSRTPTQAPHQPKAQPSVPKVSPPQPEKSPPNATPPKVEKPSVAPPPKVDYATDLFNMLSMDGTTEKEAESSSNDDSAWEGFQSAEPVPSSDKKDSAKPVESKPQSTSGIEDLFKDSPAVTVSSASAAPQVNVKNDIMSLFEKSSMVSPYAVQQQQLAFMTPQQLALLSQQQALLMAALKAGNAPQMIPGNASLLNGNGSNPANGGLPSQSWTNLAYQNPGLAPVAAQNGATKVANNNQEFSFGNFNFSTPGAYNTSSSVPANGAASAAANKSTSPTSSSLPSQSGKEYDFSSLTQGLFSKR >ONIVA07G17190.1 pep chromosome:AWHD00000000:7:16932309:16937534:1 gene:ONIVA07G17190 transcript:ONIVA07G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IKI3 family protein [Source:Projected from Arabidopsis thaliana (AT5G13680) TAIR;Acc:AT5G13680] MKNLKVVTRIAQKLQLQLDGETVVVSAVDAERRRAFFVSSENFLYSVDLPAPTQQSLQWSESTLDSDAEEVVLEPGDYIVAMDYLMEKESLLLGSSTGCLLLYNVDEKTTEVVGRLEGGVKTIASSPDGALISVTTGFGQLLIMTNDWEVLFETSLDPHYDLTGDINSPNGHIQSSISWRGDGKFFATLGGLEGSSQKLTIWERESGNIHSSSDTKAFIGASLDWMPSGAKVATAHDLKTEGKCPLIVFYEKNGLERSHFSIDEPAEAVIQALKWNCNSELLAALVSCGQYDVIKVWSCSNNHWYLKQELRYTKKEGVRFYWDQTKPMHLICWTLGGQVITHRFAWTTAVSETSIALVIDGSHVLVTPLSLGLMPPPMSLFHLTFPCAVNEVSFLSDNSKNHIAAYLSNGSLCVSVLPVADTWEEFEGSGISVDPCFSESTLNNYMHLTWIDTCTLIGICCRADAFSSTPMRSSEASSLLEKNDSPYFINEIELVCSEDSLLGSACSSGWQAKISKKMPLQAAVIGISQNPAKEGSAFIQLSGGRFVEYCSKVNLFRMSAPVQVSETGSDHTFPTSCPWMTAVQCHENGMVRTLLFGLDDSSKLHVGKRLLSSNCSSFTFYSSAYGAKEQVVTHLLVTTKQDLLFIVDISEILLKNGEVTTDSHIRSHPRGKQSKEHITVWEKGAKLIGVLHGDEAAVIMQTTRGNLECIYPRKLVLVSIVQALVQGRFRDAFDMVRRHRIDFNMVVDYCGWKSFMKSAADFVKQVNNLSHITEFVCSIKNENVSSKLYETYISFPDHCATSVADTVNSHGLLSDNKVTSVLMAIRKALEVQVEESSSRELCILTTLARSEPPLLEEALNRIKVIRELELLGVDDARRKLYPSAEESLKHLLWLTEPEAVFNAALGLYDLNLSAIVALNSQKDPKEFLPFLKSLECLPPAIMKYTVDLRLGRYESALKNIVSAGDEYHEDCMKLLNANPQLFPLGLQLFTDPDKRHQILEAWGDQLSEEKCFADAAITYQCCSSYQKSLKAYRDCGDWRGVFTVAGLLKFKKEEILQLAHDLCDEFQALGKPGDAAKIALEYCSDVDRGVGYFITAREWEEALRVAYMHSRQDLVDTVRDAALECSALLISEYQEGLLKVGKYLARYVAVRQRRLSLAAKLQSEDRFMDVEDDSISEVSTSFSEMSAYTTRSTKESSASVISSSASKSRGARRQKKGGKIRAGSPGEEMALVEHLKGMSLTSGALTELKSLLVVLIQLGREETALQVQLAGDNFEVSQRAAVKLAEDTVSNDKIDENAHTLENYVKMLRAHQSADSETTCWRIKALSPPWTGVYSNSHE >ONIVA07G17180.1 pep chromosome:AWHD00000000:7:16927754:16931654:1 gene:ONIVA07G17180 transcript:ONIVA07G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSGGSAKEKDPENAPELVALPLVRTLRPVHAVIDPAADPRSAQLSWPGHVVLLPPYATWPHHVPTPPPAVP >ONIVA07G17170.1 pep chromosome:AWHD00000000:7:16912843:16913935:-1 gene:ONIVA07G17170 transcript:ONIVA07G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF761) [Source:Projected from Arabidopsis thaliana (AT5G54300) TAIR;Acc:AT5G54300] MGYARTVKAATAAAAAVLVAFGVRMAAPAAAGFVADELPRAQAAAATWLTPPYLYLVINAIILSIAASSRFQPNRPQAASADASLVRPAPVPVPVPVVAVPAPAVTMPMEVPVVPVPEAMAPEPIPVEVTVPEVVKTAPEAEEAEENFTISRSAWTPRRRSTAEAEAEHEALSPFADLTNSREKPLVSTRFGRKPVKASPEGSSRALGVSRPRKEQTLESTWKAITEGRAPPLARHLKKSDTWETRPGRRQSGSGGGEDAPPPATAMRKAETFNEAAGGGGGGKKVRREPSLGQDELNRRVEAFINKFNMEMRLQRQESLKHYNEMISRGSVY >ONIVA07G17160.1 pep chromosome:AWHD00000000:7:16904316:16912109:1 gene:ONIVA07G17160 transcript:ONIVA07G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARKSHAAMAPSPIWSSSMHMAQNVADRKDNFVKSWRPPAGQFSSQWRQNNMWSMSTMQSDLQQRLAFEFDRPSGSEKLLPGRTFIHAHEKKFDDMHDRSTTPAGMNQIMRERYANGHTQHTTLDPTYAYTLYHREGTNPNLHDHSHHAGENDHLTARKGVTSDPCSSVSTTFKLDSHHPSILKDDPSAVPAGLSSNFSSANGPKDHIRIGPTQQQQQMASSSLQKQFYSHSVIDNDFQAQLRNGRPRMDAKARSQLLPRYWPRITDQELQHLSGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPAISQAEGLPLKVQDATGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLVMGFRKATNLSAEQDQPTKPANGVLPPPEANNKVVVPDSSPNAAVPRPIKVNTESKSSSPVEQATACKIDKGALPQKEGPGTSSSSPLPVKRKATSVGPKIKRFHMDSEESMELKITWEEAQELLRPPPKAPSIVVVDGHEFEEYEEPPILGRRTYFVTDQSGENHQWAQCEDCSKWRKLPVDALLPSKWTCSDNKWDSERSSCDSAQEINMEELGEMIPIKPGAAKKTKGKVDTDNIDVSDGLDTLANLAILGEGESLPSQPTTRHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMMRREKRQQSEKDSGVPRKREPGQSSEPVPQSGSGAHPTSTSSPHQRADTNGEGPEDMSIDNKRTSSPVKNQIDLNSQPEREDEQSPKSDATRLLRDNPT >ONIVA07G17150.1 pep chromosome:AWHD00000000:7:16903130:16903991:1 gene:ONIVA07G17150 transcript:ONIVA07G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTDDLLLLCWCGGVACYVHGPAALAGWEVRAERGESIGNSELATCMQREHGARHAAVDSTPI >ONIVA07G17140.1 pep chromosome:AWHD00000000:7:16885155:16891116:1 gene:ONIVA07G17140 transcript:ONIVA07G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQSKAQAGAKALSEPVRVGGSAAEATLSGGELAWRPTGGGGGGGEAGRLELESEVLGVRVDGRALRVATFARGDDAAAAAARPATCGGGERRREREREVVVEMESEEAAAAWRDAMRDRLASLGRPKRLFIIVNPYGGKRGGRKIFQTEVLPLIEAAGILYTMQETKHRLHAQEIAHSLDLRKYDGIVCVSGDGVLVEVVNGLLQREDWNTAIKIPLGIIPAGHKRPLDVTSVVQGKTRFFSVLMLTWGLVADIDIESEKYRWMGSARLDFYSLLRVVSLRRYNGRVLFVPAPGYEGLGDLVEQISSCKSNGASTGVQEDRSNDFNDETCAYAGPSIDEADHEWRSLDGPFVSVWVSGVPFASENVMTAPEAKFGDGYLDVAIIKDCPRSALAGLMFQMKDGSYVKSPYVEYFKVKALRIEPGMRVGSTTKGGIIDSDGEVIARGDGSHTGDEIEHLMAYGPPIQLTVDQGLATIFSPR >ONIVA07G17130.1 pep chromosome:AWHD00000000:7:16878807:16882022:1 gene:ONIVA07G17130 transcript:ONIVA07G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKSKKCGGGGVAAMDTSEGAPAASTAVGAPERNLALPFLPFPRVFSGFWVSHLSFLVIAAMDTSEGKQTSSVSVALTSINKKTEDIPVLKCLDPKQTAQFFVSRKIKKGVQIKRSQNVRKMKAVARAISKNEKAEEKVLKAKSKKSRVQSAKSLYD >ONIVA07G17120.1 pep chromosome:AWHD00000000:7:16861480:16869888:-1 gene:ONIVA07G17120 transcript:ONIVA07G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAAAKSSLEVLLETIKKRDEQPKDAPPALPARPTCRGRLPSARRPSLPSGVKLENGAAKGAVADTMMAGVKLENGAAEGAVADMVMADKKPGVEMKICVQEAKEENVVKTRIFGAKRKFSNGEVLEESPYADNIHKERKEMMVSKELPSVSPRTKTNGKPVFTDTMDYVLQKKLRVWCSSPDATWKLGQIQSVSGDDVEILLVNGEVLTLSPDRLLPANPDILDGVDNLIHLSYLNEPSVLYDLQSRYSRDLIYTKAGPVLVAVNPLKEVALYGKDFISQYRKKLNDDPHVYAIADLAFNEMRRDGVNQSIIISGESGAGKTETAKIAMQYLATLGDARGMESEVLQSNAILEALGNAKTSRNDNSSRFGKLTEIHFSETGKLSGAKIQTCKSPVQYIVEMSSDKTVELPDNSRVVRRATGERSFHIFYQLCSGANPLLKKKLFLKEADYYNYLKQSACLRIDGVDDAKRFSMLVDALDIIQISKEDQMKLFSMLAAVLWLGNISFSVIDNENHVEIVSNEGLATAAKLLGCSAPQLMNALTTRKIQAGKDNIIQKLTLTQAIDARDALAKSIYAHLFDWVVEQINHSLGTGREHTWRSISILDIYGFESFIKNGFEQFCINYANERLQQHFNRHLFKLEQEEYLEDGIDWANVEFVDNADCLTLFEKKPLGLLSLLDEESTFPKATDFSFANKLKQHLRGNSAFRGEQEGAFKICHYAGEVTYDTTGFLEKNRDPLNSELIQLLSSCKSELPKYFASVMVADSQNKSTLSWHSAFDSQKQSVVTKFKAQLFKLMQQLENTTPHFIRCIQPNSKQRPMLFEHDLVSHQLKCCGVLEVVRISRAGYPTRMTHQQFAERYGCLLLRSIASQDPLSISVAVLQQFNIPPEMYQVGYTKLFLRTGQVAALENAKNRMLHGALRIQKNFRGLCTRQEYQGLKKGAMTLQSFIRGEKARVHFDHLVKRWKASVLIQKYARRRIAATMFIDQLKYVVLLQSVMRGCLARKKYKCLKEEKDSKASHRKVIHVRNNVSQARMYHETNGDYPRQPVITELQGRVSKAEAALRDKEEENEMLKQQLDQYEKKWSEYEAKMKSMEEAWKKQLSSLQLSLVAAKKSLTAEDVASRAARTDAAPMHAHYDSEDTSTGTHTPEGTEFKYQNHNSEARVAAPNSDRRINAVNHLAKEFEDRRQVFEDDAGFLVAVKSGQVGSNMNPDDELRKLKDRFATWKKDYKSRLKETKVNLQKVGEEKSRKRWWGKKSSK >ONIVA07G17120.2 pep chromosome:AWHD00000000:7:16861480:16869888:-1 gene:ONIVA07G17120 transcript:ONIVA07G17120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAAAKSSLEVLLETIKKRDEQPKDAPPALPARPTCRGRLPSARRPSLPSGVKLENGAAKGAVADTMMAGVKLENGAAEGAVADMVMADKKPGVEMKICVQEAKEENVVKTRIFGAKRKFSNGEVLEESPYADNIHKERKEMMVSKELPSVSPRTKTNGKPVFTDTMDYVLQKKLRVWCSSPDATWKLGQIQSVSGDDVEILLVNGEVLTLSPDRLLPANPDILDGVDNLIHLSYLNEPSVLYDLQSRYSRDLIYTKAGPVLVAVNPLKEVALYGKDFISQYRKKLNDDPHVYAIADLAFNEMRRDGVNQSIIISGESGAGKTETAKIAMQYLATLGDARGMESEVLQSNAILEALGNAKTSRNDNSSRFGKLTEIHFSETGKLSGAKIQTFEGGSKSNRGEDALDIIQISKEDQMKLFSMLAAVLWLGNISFSVIDNENHVEIVSNEGLATAAKLLGCSAPQLMNALTTRKIQAGKDNIIQKLTLTQAIDARDALAKSIYAHLFDWVVEQINHSLGTGREHTWRSISILDIYGFESFIKNGFEQFCINYANERLQQHFNRHLFKLEQEEYLEDGIDWANVEFVDNADCLTLFEKKPLGLLSLLDEESTFPKATDFSFANKLKQHLRGNSAFRGEQEGAFKICHYAGEVTYDTTGFLEKNRDPLNSELIQLLSSCKSELPKYFASVMVADSQNKSTLSWHSAFDSQKQSVVTKFKAQLFKLMQQLENTTPHFIRCIQPNSKQRPMLFEHDLVSHQLKCCGVLEVVRISRAGYPTRMTHQQFAERYGCLLLRSIASQDPLSISVAVLQQFNIPPEMYQVGYTKLFLRTGQVAALENAKNRMLHGALRIQKNFRGLCTRQEYQGLKKGAMTLQSFIRGEKARVHFDHLVKRWKASVLIQKYARRRIAATMFIDQLKYVVLLQSVMRGCLARKKYKCLKEEKDSKASHRKVIHVRNNVSQARMYHETNGDYPRQPVITELQGRVSKAEAALRDKEEENEMLKQQLDQYEKKWSEYEAKMKSMEEAWKKQLSSLQLSLVAAKKSLTAEDVASRAARTDAAPMHAHYDSEDTSTGTHTPEGTEFKYQNHNSEARVAAPNSDRRINAVNHLAKEFEDRRQVFEDDAGFLVAVKSGQVGSNMNPDDELRKLKDRFATWKKDYKSRLKETKVNLQKVGEEKSRKRWWGKKSSK >ONIVA07G17110.1 pep chromosome:AWHD00000000:7:16859660:16861944:1 gene:ONIVA07G17110 transcript:ONIVA07G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light-harvesting chlorophyll B-binding protein 3 [Source:Projected from Arabidopsis thaliana (AT5G54270) TAIR;Acc:AT5G54270] MASTIMAPTSRVLAAKTPFLGHPRPSNAPLRDIAAAATGRITMSKELWYGPDRVKYLGPFSAQTPSYLRGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGAQIFSDGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGYRINGLPGVGDGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLADPVANNAWVYATKFTPGS >ONIVA07G17100.1 pep chromosome:AWHD00000000:7:16858355:16859252:1 gene:ONIVA07G17100 transcript:ONIVA07G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKLLIGCFVPRGGETEAQMMMRELDEELNELFRDVLPPPRSPWKRAKEAIRRRRNGAKVAPATVDAAVIVLGPAVTRMLVDQGATHLLDELELLNQAIREHYASSVF >ONIVA07G17090.1 pep chromosome:AWHD00000000:7:16851454:16854012:1 gene:ONIVA07G17090 transcript:ONIVA07G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAATTPLLTSHEAKPAKALSIDDAIETYIGATGARQLLTAMLLAFAWAFEAQQVFMSVFTDAEPTWHCTGVAAGDPGSFCSLAAASASASACALPPGTWEWDRPAETSVVSEWALKCGGGGPALVSLPASSFFAGNLAGGFLLTTLADTLLGRRKMLVLSLVTMSVAGVLTVFSPNVWVYAALRFVCGFCRSTAGTSAMVLSTELVGKWWRNTLASFFASCAAYDVLLMYSIELFPTSVRNSAVGLVRQAGVLGGVVAPMLVALGRERSYWSFGVFGLTVGCLGLFVTCLPETKGRRLSDTMEDEEEAAAVLSEMKL >ONIVA07G17080.1 pep chromosome:AWHD00000000:7:16840677:16842311:-1 gene:ONIVA07G17080 transcript:ONIVA07G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAATTPLLTSHEAKPAKAPSIDDAIETYIGATGARQLLTAMLLAFAWAFEAQQVFMPVFTDAEPTWHCTGVAAAAGGDPGSSCSPAAASPCALPPGTWEWDRPAETSVVSEWALKCGGGGPALVSLPASSFFAGNLAGGFLLTTLADTHLGRRKMLVLSLATMSVAGVLTAFSPNVWVYAALRFVSGFGRSMVGTSAMVLSTELVGKWWRNTVSVAGFVLFSVGFMSLPALAYTLREASWRTMYVWTSLPSLCYAVLLYFLVQESPRWLLVRGRKQEAIEALRQIASLNGGEGVTTSSFSMLDACAVKVGDGVAGGDGMFASLRLIWERRWAFQRLAAMMTASFGVGVVYYGLPLSVGSLSSDLYLSVAYNAAAELPSSVLSWLLMGRFNRRSSVVALTTASGLCSLACVVIPDEEAGTGGLRLAAELASFFASCAAYDVMLMYSIELFPTSVRNSAVGLVRQAAVLGGVVAPMLVALGRERSYWSFGVFGLAVGCLGLFVTWLPETKRRRLSDTMEEEEEAAAAILSCAGASDMNNNGELV >ONIVA07G17070.1 pep chromosome:AWHD00000000:7:16831653:16832678:1 gene:ONIVA07G17070 transcript:ONIVA07G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPLSTATTSGGVNHRQWHCGPRGRCSGNPKLDGVDAQLKTIGSRSRHVRALNRVIKPKSRSTRRGSRSCNRCRCRRRANVDEAIGDANPFSEREKKRYKQQHSSRPAVQCLKLHGRVQASRPSASGHHQSLDCPISTVRTGMIKSTMHRPPPLSHLSCSLVKREFTPEKSRERQARRRSPCRSVQQDPGGRGQHGAVEEVADGVGDGLRKDGARPQGGGATTMCRATSGGAEGSGGSSDEIGSGSVGGEAGRVRGRPSSWSQFPDPGRAAEACGEAAGGEVVEAGSRRMTGGGAQAEGGPRSATTCPRSPAATEEAGPGANGATTWRAAPPTRSATWR >ONIVA07G17060.1 pep chromosome:AWHD00000000:7:16831322:16831647:1 gene:ONIVA07G17060 transcript:ONIVA07G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAMNHVGRSRRRCSGTNGLDGDVGSWTTSLERRQWQLGNAAAMLEVEEEDPERLTMTNMDDEVVDARTVRKTTKAAKLRSERTTQLQLHVDAAARSPPMM >ONIVA07G17050.1 pep chromosome:AWHD00000000:7:16830751:16831186:-1 gene:ONIVA07G17050 transcript:ONIVA07G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPCLARAVLATPARAFVPSCPGVWQTLCDVSSFTVRLHRLFGINFLNDCRDRVTVIISCTSSRTFVHDHSTTPHARPAARLPRHQLPDIGYIDHGYSTHSFINHGSFGSFILATSTMAQRAIIRVEHSCRPSVRVAHA >ONIVA07G17040.1 pep chromosome:AWHD00000000:7:16827450:16829048:1 gene:ONIVA07G17040 transcript:ONIVA07G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEATTTPLLASYKAKPAKAPSIDDAIETYIGATGAGQLFKAILLAFAWAFDAQQVFISVFTDAEPRWHCTAGADPSCSPGAASPCALPPGAWAWDRPAETSVVSEWALKCAGPALVSLPASSFFAGCLAGGFLLTTLADSLLGRRKMLLVSLASMSVAGVLTAFSPNVWAYAALRFVCGFGRSMVGTCALVLSTELVGKRWRDTVSVAGFVCFTVGFLSLPALAYTFREASWRSMYLWTSLPSLGYAILLYFLVQESPRWLLVRGRKHDAIETVRQIAALNGGGGITCSFSMLHACATEREDDAAGGAGGGGGGVFATLRSMWERRWALRRLAAIMTASFGVGMVYYGMPLNVGNLGSNLYLSVTYNALAEFPSSVLSWLLMGRINRRSSVVALTAAAGVCSLACVAIPEGTGGRMAAEVLSFFATCTAFNIILMYSIELFPTSVRNSAVGMVRQALVLGGVAAPMLVALGRERSFWSFGVFGLAVGCLGLFAVCLPETRGRSMSDTMEEEEHKEAAAAIGDMDSNADLV >ONIVA07G17030.1 pep chromosome:AWHD00000000:7:16819216:16820861:1 gene:ONIVA07G17030 transcript:ONIVA07G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRKEEAVELGDAAHRPHVEVREADEHPRRVRPPLEALLQHAVRDGVCVPRAAYVERSFLVESPPGSPSGTGDRHGLMQVELLRPVAAAEEEGFKVCVLDECGETWEEADDIGDVAVVVDASGVGAASTRECPRLRLSTMYFAVDPAGKTLTR >ONIVA07G17020.1 pep chromosome:AWHD00000000:7:16816345:16818365:1 gene:ONIVA07G17020 transcript:ONIVA07G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCGVGVGMPRARSSRRDPLLLVGVGVPLFPTGSRRGAVADVLLLLRRRIDGASMAPPALKLALPAMPPTRLTSASATALVDGVVGVVADRPSAKAVKVALHVLYRLYPWSQNRVKAVDAGAVSALARLRARRRGDDHICGYAEGRIVLVAHPTGLAAVACAATRLSAAGTESAVRTLHAMARHSATPAVLQEVQAGVRSLFDAAESAFGAGAPHIVVANAAVLDDKYPTLVDTATADFDRTFAVNTRGAFLCLREAAHRLPRGGGGRIVAITSSVVGSHPTGYSAYTASKAAVEAMVRTMAKELKGTRITANCVAPGATATDMFFAGKSEERVDEIKATNPMGRLGEAGDIAPVVGFLCTDAAEWVNGQVIRVNGGYV >ONIVA07G17010.1 pep chromosome:AWHD00000000:7:16812834:16813573:-1 gene:ONIVA07G17010 transcript:ONIVA07G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQAKTKSSLSTSLSFSCSSTRILGRKRVAVSPAPPPSGGPHSPVRTLRKQRSTRLHMDDAVSLLESLPQDVLIKVLCKVNHSDLRPLLLVSKQVSEATVVAREQHFAFATPSSKAALRGGEEEEEAPGAPKLQRRVARSSPVWGKNLASISVNLFEAFESEVVEM >ONIVA07G17000.1 pep chromosome:AWHD00000000:7:16805818:16806514:-1 gene:ONIVA07G17000 transcript:ONIVA07G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQTSNSTMFFMIVLLILGSTVLGKEPPNPCLAPVPTPAKPPSTITPAKPPSTIAPTKPPIKSPPTIGPAIPPSTIAPAKPPTKPPPTIAPAKPPQTTNQTSTHYCSGQAPNQTSTHYCSGQTPNQTSAHYYSGQSTTIVATSVCEPAKT >ONIVA07G16990.1 pep chromosome:AWHD00000000:7:16787660:16788274:-1 gene:ONIVA07G16990 transcript:ONIVA07G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSSSSSSSPPPPPQDLAGGEQRQHHPLLAAAAAASLLAFLYLPRPLLPLLLSPASLSSLLVLLSLLRLGSPPPPPAEATPPPPPPPPPERAVPEAADTPPPPPPPTAPTPTPPELPSVFVETEFASSWAAKGRALEVIHEEFEAEWGPEEMGLTWTSDSDSDSYSGSDDDVDDYRDGDGMIEIELEEDNLIEIDISKCR >ONIVA07G16980.1 pep chromosome:AWHD00000000:7:16771850:16773699:-1 gene:ONIVA07G16980 transcript:ONIVA07G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAATAAAAERDEQGSVTAALDAITGLVSASLAASLFPYKWQLIRDRLNRLHAGLADIATGGGEGGEGHGALAGVLGAVVETAREASELVPRSQGRHYGGGKLRLRSDLDVVAGTLDALVARVDEVYASGALTRARALVVSRPCAGASRDDVRFYVRDLFARLRVGGAEMRGEAAAALAEVLHDDEKCVRVVVSDVADGVCVLVWLLECPDACVQEEVLEAVSVIAGFEAYRGDLVVGGVIAPVIRVLDSAGDRPSAKERAARLLCKLTENSDNAWAVAAHGGVTALLNVCADYTASGGELVCAACRVLRSLAGVDEIRKYMVAEAGAAPVLVSLCRGAADEAAQIQAMELLAAIASGDSSVREAVLQEGAVESLVSVLDPASPRSSKAREVALRAIDALCLSSPPSTSRLVAAGFLDRVLVFLRSGDATLQHCALKAAHRLCHASEDTKKAMGDAGFMPELVSILHAAKSLEARDMAAEALCAMVSVHRNRKRFVQEDRNVAQVLQLLGPDDEKLSPAKRFLLSTLMHLSDSTSGRRKIMSSEHLRNLERLAETNVTDAKKIVKKLGGSKLRNIFHGIWSLQKA >ONIVA07G16970.1 pep chromosome:AWHD00000000:7:16768978:16769370:-1 gene:ONIVA07G16970 transcript:ONIVA07G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATLAVKGAGRPRARRGKTGEATRELKTWFSGQLHAETAPGCGRERRWAGGGRAPSHSVRAASPGVRRLVGVGGRPPLALPPTPRTTGVDWVAMTTTRPPHLPVSLRPPLPPLPHHLRFEIVREHHLS >ONIVA07G16960.1 pep chromosome:AWHD00000000:7:16768677:16768976:-1 gene:ONIVA07G16960 transcript:ONIVA07G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDGGKVKKAAGGRKAGKPRKKAVTRSVKAGLQLPVSRIGRYLKKSQPVDLLSAAPSPSAAPTLFHTASMMPPTLFRTTSVTPLLPLPHRPSSPHQL >ONIVA07G16950.1 pep chromosome:AWHD00000000:7:16759698:16759935:-1 gene:ONIVA07G16950 transcript:ONIVA07G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVALKGRPLATLLKQLLADAPSAATGRPARRYDVVDESGTDNGEEYDATDDGECDPKTQ >ONIVA07G16940.1 pep chromosome:AWHD00000000:7:16751079:16753386:-1 gene:ONIVA07G16940 transcript:ONIVA07G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVVNNGGGKDYPGKLTMFVLFACIVAATGGLIFGYDIGISGGVTSMNPFLIKFFPSVYRKEQAAEKNQSNQYCKFDSPLLTMFTSSLYLAALVASFFASTVTRVAGRKWSMFGGGVTFLVGAALNGAAKNVLMLILGRVLLGVGVGFANQSVPLYLSEMAPARLRGMLNIGFQLMITIGILCANLINYGTAKIKGGWGWRVSLALAAVPAAIIAVGALFLPDTPNSLIDRGHTDAAKRMLRRVRGTDDIEEEYNDLVAASEESKLVAHPWRNILQRRYRPQLTMAIAIPLFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIVTVDRLGRRKLFLQGGTQMLACQIVVGSLIGAKFGFSGVADIPKAYAAFVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMLFTFIIAQAFLPMLCRFKFILFFFFGAWVVIMTLFVAFFLPETKNVPIEEMVLVWKSHWYWGRFIRDEDVHVGADVEMPAAGNRNGKVDPAKLAN >ONIVA07G16930.1 pep chromosome:AWHD00000000:7:16744379:16745810:-1 gene:ONIVA07G16930 transcript:ONIVA07G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLSCFGRGSATASAPDQPEEAAAAAAPGPVLLELFCSQGCGASPEADAVAARMVHEDQQRAAAGEGGGGERGVVVLGFHVDYWDYRGWKDPFASSAWTVRQKAYVEALRLDTLFTPQAVVQGRAHCVGTEQDALAQAVRDAPRYPAPAMKVTFQRPNPSTLQASFTGTLRSRMEGGGSVLVALYESGLVTDCGRGENKGKSLLNDHVVRRLEKVAAVRDGASAKKSVSGSVQFPLWDGFRASRCGLVLFVQNAALQVLGVQHFDLPDNV >ONIVA07G16920.1 pep chromosome:AWHD00000000:7:16743222:16743695:1 gene:ONIVA07G16920 transcript:ONIVA07G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRIAGCPSDNESCLYTAVAATLDRLSRVQEHGTIGSFPSDTAASFGVGECDTIGGCLLPPLAYSDTGWECAVGLVTSRCCDVMPPLHATRQCTLAIDDVTQVAAVASLASSIATTRLLGEGSKRACTARRRGVALAASDVKERRREGRIRVGADT >ONIVA07G16910.1 pep chromosome:AWHD00000000:7:16741179:16741400:1 gene:ONIVA07G16910 transcript:ONIVA07G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSRVWVAATVAAVRAQRERAPAARERVAGLAPQAAALAAARAAADADGRRQAGADESLRKAMYLSCWGPS >ONIVA07G16900.1 pep chromosome:AWHD00000000:7:16737811:16739051:1 gene:ONIVA07G16900 transcript:ONIVA07G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVLVNKAYMAVTLGAAIELKEQVAKPCSSAAKRGASVLAVRPSSSAATAAAAAAEESLRMVMNMHAKPWVNGSYRNNSPLAKNDVANSGPPKKKEESYRYLSGWYKLVFRWTREGDQDRKAFTRTYVYSI >ONIVA07G16890.1 pep chromosome:AWHD00000000:7:16731660:16736241:1 gene:ONIVA07G16890 transcript:ONIVA07G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGEDRYAAAGGGGDSGGGKLWNLCRMPFWQPGGAPATASAPPPPSSSSSSAGIHHHSAGRYGHEGGGGGAVAGDGAPAGSISSVAKSLLPARRRLRLDPPNKLYFPYQPGQQVRSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVKGPMDYAPEMFDEQRDQAVVEKILRVVFLNVENPGPQLEKLNNQLAEAEAALEARKKPPEENGPKIVGEGLVIDEWKERRERYLAQQQVEVVDSV >ONIVA07G16880.1 pep chromosome:AWHD00000000:7:16729056:16730336:-1 gene:ONIVA07G16880 transcript:ONIVA07G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRNHEITAIITILLQPAGDICTEVKNTVNGGGSQTEASALRLTSLVSGIGGFPTPAYVRGRRPSNGNEMVVGHGGRWRWGAKGKKGPFVKIHGHYQ >ONIVA07G16870.1 pep chromosome:AWHD00000000:7:16721013:16727038:-1 gene:ONIVA07G16870 transcript:ONIVA07G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPTLPRRTMTPQRTHSQQEDDSSPPTYPSEEHPGGRRRVADRAGSGSEPPPPLSLSWARRSRGGRGRWSPAAAGRVVWSVAAAGARPPGEVFFFFSPMLRLVGIGERLLAVGTNRRAAYFSQPSHRSGYYTAARDNGLSAKRKIPTVFSRMFSHYKVIVRKNKAGDHKCRNRISRGFRTFSGTVANSSATQHAQLAWKRLSHTYMYSGPRFPLISRAACALSFSFTRFHVVPGVMALAFGKFALSRPVLADSPHLPTMEGIVTNARDTRQFLSSIVWSIWEGVTLLIRAVHLAILFFPATALSPFADTFSVGFRRRWLRLVRRTLEIAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFAYSKATIEKAFGRKLSEIFETFEEEPVASGSIAQVHRATLRDLHTEKHVAVKVRHPGVGESIKKDFLLINLLAKASNVVPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPLVHPSVLVETFENGESVSRFMDEIEGNARMKRDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNERKPKRKLFFRPKPHIVFLDVGMTAELTRDDRDNLQQFFKAVATRDGRTAANCTLQLSKQQSCPNPVAFIEELDKTFSFWGTPEGDIFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLDKDIKQPG >ONIVA07G16870.2 pep chromosome:AWHD00000000:7:16722107:16727038:-1 gene:ONIVA07G16870 transcript:ONIVA07G16870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPTLPRRTMTPQRTHSQQEDDSSPPTYPSEEHPGGRRRVADRAGSGSEPPPPLSLSWARRSRGGRGRWSPAAAGRVVWSVAAAGARPPGEVFFFFSPMLRLVGIGERLLAVGTNRRAAYFSQPSHRSGYYTAARDNGLSAKRKIPTVFSRMFSHYKVIVRKNKAGDHKCRNRISRGFRTFSGTVANSSATQHAQLAWKRLSHTYMYSGPRFPLISRAACALSFSFTRFHVVPGVMALAFGKFALSRPVLADSPHLPTMEGIVTNARDTRQFLSSIVWSIWEGVTLLIRAVHLAILFFPATALSPFADTFSVGFRRRWLRLVRRTLEIAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFAYSKATIEKAFGRKLSEIFETFEEEPVASGSIAQVHRATLRDLHTEKHVAVKTFENGESVSRFMDEIEGNARMKRDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNERKPKRKLFFRPKPHIVFLDVGMTAELTRDDRDNLQQFFKAVATRDGRTAANCTLQLSKQQSCPNPVAFIEELDKTFSFWGTPEGDIFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLDKDIKQPVDFFS >ONIVA07G16870.3 pep chromosome:AWHD00000000:7:16722107:16727038:-1 gene:ONIVA07G16870 transcript:ONIVA07G16870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPTLPRRTMTPQRTHSQQEDDSSPPTYPSEEHPGGRRRVADRAGSGSEPPPPLSLSWARRSRGGRGRWSPAAAGRVVWSVAAAGARPPGEVFFFFSPMLRLVGIGERLLAVGTNRRAAYFSQPSHRSGYYTAARDNGLSAKRKIPTVFSRMFSHYKVIVRKNKAGDHKCRNRISRGFRTFSGTVANSSATQHAQLAWKRLSHTYMYSGPRFPLISRAACALSFSFTRFHVVPGVMALAFGKFALSRPVLADSPHLPTMEGIVTNARDTRQFLSSIVWSIWEGVTLLIRAVHLAILFFPATALSPFADTFSVGFRRRWLRLVRRTLEIAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFAYSKATIEKAFGRKLSEIFETFEEEPVASGSIAQVHRATLRDLHTEKHVAVKVRHPGVGESIKKDFLLINLLAKASNVVPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPLVHPSVLVETFENGESVSRFMDEIEGNARMKRDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNERKPKRKLFFRPKPHIVFLDVGMTAELTRDDRDNLQQFFKAVATRDGRTAANCTLQLSKQQSCPNPVAFIEELDKTFSFWGTPEGDIFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLDKDIKQPVDFFS >ONIVA07G16860.1 pep chromosome:AWHD00000000:7:16710557:16712738:-1 gene:ONIVA07G16860 transcript:ONIVA07G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHVAAALLLALLLAPSAATDVPSYPLSQAQSPANTSSGSSTSPPCHLDLSAELFGGVAAACGAGGGPGSLDRGRCCPVLAAWLFAAHARTALSVPAPAPALAGEGLDGREDEPMVPYDNQRCVDALGSALERRGVALPRPNATCDTVLCFCGIRLHQIGSLRCPAAFAVGGGGAAAAKNATPTAAVKELEKSCRNASYAGCSRCVQSLQKLKGNVSREVAGGDRARRMLGRDCQLMGLTWLLAKNKTVYIPTVSAVLRAMLYSARPTESAAAGGAPPRCSPDQENMPLAVDSLQFERASATSSSAAATVLRGGGAGVVVSLLLGCLVVFDVFL >ONIVA07G16850.1 pep chromosome:AWHD00000000:7:16701938:16709192:1 gene:ONIVA07G16850 transcript:ONIVA07G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRYKGRGRGRGRGRGRGKGRGRAADATPVEEVDVEGAKSEEEEEEEAAAGSGGDTEAEDAKEEGASESEGEAMDVEAKESADEKEEEEAEAEADGDEGEDKSDEMDAEAGAAEEEAKEEEELKDAEVEGEGAGSDSERGEAEAADGENEEEAAATDGEKEEEAAETDGEEEAEEEAAETAGENEDEGAESDPEGEASDAEEDASEESPPSPPTRGRRRKRAATSDPPPEDDEEEGTPAQPRRRKRAATSDPPPEDDEEEGTPAPPRRRRRRKAGDRGDSPPPLPDHLRCRRSDGKKWRCQNRALPTVSFCEYHYSRANKGKKPPADGEVLAVALQRQKKNKRKGRRNVNASPASPPAATSDVTRDLPNGLMRISPGSSEPAASLPSPVTTKVGVDIPVPTQRCYRSKNAEPMPVGPVKVVPRAMGMSKAGQKTCHRCGMKKAARIVQCKNCDNRYFCNSCINKWYSGLSKKDIKTRCPVCRGSCGCKQCTLGQTKGAISKESSGDQDKLISIKICNHQLYKLLPVELNQEQLDELEIEAKIQETKISDVRVQVADEQSGSLDCNNCKLSVHRFLRSCPRCPFKLCLSCCQKIRDGNISAATPEDKFNQRLLQQESAHEDGSISCPSIELGGCGDSLLNLVYVPPSDQSEEVSSGDELDGPGNHSGVKDAVAESNGGPDRLSVGQQETVSS >ONIVA07G16850.2 pep chromosome:AWHD00000000:7:16708043:16709347:1 gene:ONIVA07G16850 transcript:ONIVA07G16850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVRVGGGSLRYGRERGEGSRPRQRERGRGGRPPRGSAHGKRRGEIQHMRPYSVGNYCCDGDGTIMTEAKRVHCTE >ONIVA07G16840.1 pep chromosome:AWHD00000000:7:16698327:16700652:-1 gene:ONIVA07G16840 transcript:ONIVA07G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSYSAIPGDQSELITGCDEDDHGLAKTKGKNWGIFICWLLGNGCLFGFNGMVTIEDYYVYLFPNYHPTRMITLVYQPFVLTTTALFAYHEAKINTRMRNLAGYMLFFLSSFGVIVLDVASSGRGGIAPFVGLCLIATAFGVADGHVQGGMTGDLSLMCPEFNQSFFAGIAASGAITSALRFLTKAIFENSRDGLRKGAMMFSSIACFFELLCVILYAFVFPKLPIVKFYRTKAASEGSLTVTADLAAGGIKSQPENPLDEEDQAFAERLSNRQLLNQNMDYALDVFMIYVLTLSIFPGFLAEDTGTHSLGSWYALVLIATFNVSDLIGRYMPLIEQIKLTSRKWLLIAVVARFLFVPAFYFTVKYCDEGWVIMLTSFLGLSNGHLTICVITEAPRGYKGPEQNALGNMLVFFLLAGIFCGVVLDWMWLIGKGW >ONIVA07G16830.1 pep chromosome:AWHD00000000:7:16696589:16697227:-1 gene:ONIVA07G16830 transcript:ONIVA07G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLFCRRHHHQGAPPSLTWKLPSLLPLLSLVFRLGHVGEVNTDGSSLFTAIDRATATKPRARNLRHRIVHRFVDVYSATHAPNRDTIGAAVRHLYALDLKVSWGVNVVHELKLLAPKSHCHDLDAAINGIVDLDIQSVGVTTVGETMAWRANPWSTKKLPRRCTRRSAMRIAT >ONIVA07G16820.1 pep chromosome:AWHD00000000:7:16681916:16689723:-1 gene:ONIVA07G16820 transcript:ONIVA07G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKGEQRVATTQNYHPTRVVTLTYQPFVLGTTAIFTYHEAKVNTRLRNLAGYTLFFLSSFAAIVLDVATSGRGGIAPFVGVCIIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFFAGLAASGMITSALRLITKAAFENSRDGLRKGATSEGSLTVAADLAAGGIQNRANPLLKTLDHTAWALGTVLTFVLDFGSIIDRYALVLIASYNVWDLIGRYIPLIEQVKLRSRKVILIAVVSRFLLIPAFYYTAKYSDQGWMIMLTSFLGLSNGYLTVCILTEAPKGYKAQVNFIMSLEVAGAGAPQAQGKFLGILVCWVLGNGSLFAWNSMLTIEDYYSILFPTYHPTRVLTIAYQPFAFGITCILTYHEAKLNTRKRNLIGFALFLISSFALIMLDIGTKGRGGLGPFIGVCIISALFGTADASVQGGLVGDLSFMCPEFIQSFLAGLAASGVLTSALRLITKAAFENSQNGLRNGAILFFSITCFFELVCLLLYAYVFPKLPIVKYYRSKAAAEGSKTVASDLAAAGISNEHSIQAEEDPKKCDRLSTKELLIQNIDYAFDIFLIYVLTLSIFPGFLSEDTGAHSLGTWYALTLIAMYNVWDLIGRYLPLIKCIKLTSRKGLTGAILARFLFIPAFYFTAKYGDQGYMIFLTSFLGLTNGFLTGPEQNALGNVLVVCLLGGIFSGVVLDWLWLIGKGW >ONIVA07G16810.1 pep chromosome:AWHD00000000:7:16674025:16674228:-1 gene:ONIVA07G16810 transcript:ONIVA07G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPAAVLLLLYLPLLVTPTRIGLSRNPFVPPPNSVPTIDRTEMGAGPRRRRCGIASPFPPPQGESG >ONIVA07G16800.1 pep chromosome:AWHD00000000:7:16673066:16673984:-1 gene:ONIVA07G16800 transcript:ONIVA07G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQELITVHRGGRSTTVFSDSNLAPPSSIPVQPYEGSATRKFEEPHQPHKQKNTQEQCSTI >ONIVA07G16790.1 pep chromosome:AWHD00000000:7:16662236:16665823:-1 gene:ONIVA07G16790 transcript:ONIVA07G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEALPGDVLEEVLRRLPPRSLAACRCVCRALRALVDDRRVLRADLLPLKLAGIFIGVIWVPQFFARPVLPHALDLTRLPETMLDCRNGLLLAHNVVVNPATGRWARLPRSPPPPPGCSCNDVFDYLVFDPTVSAHYEVYKIPSPFGDGMSDWPPSPFIIDVFSSKTLQWEKRSYVREGEAAGTVANLLARGFNCHQRSALWRGALYVPCETDFVTRISLSDGKYQVIKSPIGLEAMGKGSFLQLGKSKDGVCAFAHDDYQLCVWFLNESCGQMNWELKHQTHLWSLLAQLKSREHLAQCKSCKQTNGHWKYYDGNLFEEQILQTDLQVDTYPENDYQAEEDEFGRHFDTDSNYYDAEEYGWASDEDGECHSECNNKYGEDELYKVDKLYNMQCQELFYFFGFHPYRDVVFLHVSSSRAVAYHLNSSKVRDLGVGWEPHISAGFSKRHYRYGIGDPSIGVGSTTGTFEAHARKNRADTYFKLLSVAPNHLTSKNKLNHPPHLTTTNP >ONIVA07G16780.1 pep chromosome:AWHD00000000:7:16646112:16652633:-1 gene:ONIVA07G16780 transcript:ONIVA07G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLLAAVLLGVLAVAFPGAAAAVGTQPGAMEKATREPAPHVSLSCSPTAAAAVGTQPGAMAKASREPSPHVSLSCAPASSFAGRAAADTAISISPVFFMFLPPRSRRMLPAAREAPSTGKPPPQLSGADGIARDRLAAVSGGVAPALTPARGYASRPAAARRFLGEEVCDAPQLAVEVVGACMENVPDRPCCRAIAAVVDFGCFCPVAESSVIFSNGITPPVILTLYVECRGTKNVSTLDHCLEDTPRNIYSLWTDIKYFISSTATVTATVSYAASSTYDASSIQV >ONIVA07G16770.1 pep chromosome:AWHD00000000:7:16637506:16638642:-1 gene:ONIVA07G16770 transcript:ONIVA07G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASSTPRAGGGGSGGSQHTPPLPPAPHGNGHGGGGGGGGGGGGAHVRLMCSFGGRILPRPGDHQLRYVGGETRIVSVPRAATFDALVAALVKVAPALFPAGGPSPALRYQLPQDDLDALISITSDDDVDNLIEEIDRFQGLAAASIKPPRLRLFLFASSPSDHASAAAFGSVLSGAGGDSSSDQWFVDALNAPAPAPIERGRSEASSIISEVPDYLFGLDTTSDEPSPGPGGARPKVDTEMAHVEDDAPVLSRGTPPAPYVTESAPWPAPPPPYMTQQVYYVPVRPVHYLDPSSQGGYMPGPVYHIVGGGGSEARGDLYSTGGSVSAAAGVGGVYGVPHPMQPFPPMMYGHAPPPGAVVYSSEGKPPMEGGAHAS >ONIVA07G16760.1 pep chromosome:AWHD00000000:7:16634011:16638787:1 gene:ONIVA07G16760 transcript:ONIVA07G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosynthetic electron transfer C [Source:Projected from Arabidopsis thaliana (AT4G03280) TAIR;Acc:AT4G03280] MASTALSTASNPTQLCRSRASLGKPVKGLGFGRERVPRTATTITCQAASSIPADRVPDMGKRQLMNLLLLGAISLPTVGMLVPYGAFFIPAGRRGTATNPYKTCKFAELIFFAGDRSGNAGGGQVAKDKLGNDVLAEEWLKTHGPNDRTLTQGLKGDPTYLVVEADKTLATYGINAVCTHLGCVVPWNAAENKFICPCHGSQYNNQGRVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGDNPWWA >ONIVA07G16760.2 pep chromosome:AWHD00000000:7:16634011:16638787:1 gene:ONIVA07G16760 transcript:ONIVA07G16760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosynthetic electron transfer C [Source:Projected from Arabidopsis thaliana (AT4G03280) TAIR;Acc:AT4G03280] MASTALSTASNPTQLCRSRASLGKPVKGLGFGRERVPRTATTITCQAASSIPADRVPDMGKRQLMNLLLLGAISLPTVGMLVPYGAFFIPAGSGNAGGGQVAKDKLGNDVLAEEWLKTHGPNDRTLTQGLKGDPTYLVVEADKTLATYGINAVCTHLGCVVPWNAAENKFICPCHGSQYNNQGRVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGDNPWWA >ONIVA07G16750.1 pep chromosome:AWHD00000000:7:16619416:16621120:-1 gene:ONIVA07G16750 transcript:ONIVA07G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMATGAKEVVVVEAYEYEFDLENPFTSPADEPIASLLDAEGHHSPSVSAAASAARREAAGFISKVRYDGELDVHPRVAYLALNYVDRYLSKRQLACERNPWAPRLLAISCLTLAAKMQRAAAISAADIQRGEEFMFDEAKIQRMEQMVLNALEWRTRSVTPLAFLGFFLSACFPQPRHPALLDAIKARAVDLLLRVQPGTSKPPPRPMPPSSPLDSASAHLLPPVHFAEVKMAEFSPSVAAAAALLAAAGEVAGGHFLGFEAGVAACPFVNSEKLRECGEVMAAACGVGPSWAAAASSAETPVTVLGHHRSASSESERTTTVGSAANSADAKRRCMGPPRQWG >ONIVA07G16740.1 pep chromosome:AWHD00000000:7:16578462:16596146:1 gene:ONIVA07G16740 transcript:ONIVA07G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGDRGEGHARRPGRSSSFGGGHRGGGGVGGAGKGGGGSSGQPPLATNRSFRKSGNGHGGHQRVVSQPDTHGFQPAPAPTALQTPPLRPPAPQNAPAHVPVPAPRPQHHDPSGARAPTLPPSSENTANAPPLKGIPHAAPRAPSRISSTSTSQGAPKGGAYNLQFGSFPMNGGTGGSTMQFPARTSSAPPNLDEQKRMQALPEGHKVVPSGLVPQAPKHQQQQQPLQQQKQQPQSQPPLQQTRKDVVSSNHSSKPINPHIPSQVKSSVHVSPSVPNVAPPRPPVQQIPGMPMSMPFHHQAPLQFGGHNPQIPPQGVVPSSLQMSMGLHGANAPQVAQQMYIPTIQHHHQLQPPTMMHQAAGIPYGPAAHQLTPQMSGMMNVGVAPQFTPQQPNKYVTGPTRKTTVKITHPDTHEELKLDKRMDSSGQRGLPSVQQQSQPVSTYGSPMGFYQQNSYNQSTMFYPTTSGVGQVPTVSQGPRFVSTQTVSYISPSMNTGPGSNKDNLAGSTTSGHSQVTGKPHPAGLHMEKSGVQTVTISAPPGKSDVNKLKPAEDVVSHRQKDNEAVSGVRKSGENESKASPITEKHPTPVSQPLQALAANPETTAAASFVVNSVPGDDGKSKESIQRTGSFKDSNKNATKDTRNLSQEPQSASSAEDLKVHTSVKDVCCGVSLMESKGVNKESEQTNAASAAPTEMLKAADASSIDRSSARSTSESTENVQEVGKSDVAIGDSEKSGITNKVSPDLTKDDISSGSTGNESHEVCTLDLAEQLPVGASNPDNLDTATSVTDQGQLLKEPSSSVSDENVIMDRLHQSAEKMSDLVDDTVASVASSETLPESIIQNANAKGNTSGNQETGSATSSNILNVLPVPHSVASEDPLKPESMLKDQSSSAPAASARPVSREKPSVEITRTKFTAVKKKKRREMLSKADAAGSSDLYNAYKGPEEKVDFIGASESLDSSSIADHELPDESSEKEVNMGEDEGKKKVELDDWEDAAEMSTPKLERSDSSNQTTEANGRKRYSRDFLLTLAQSCTNLPVGFQMIEYASVLFPNLAGKSYVVDHPSPGRGADRPASRGDRRGVVIEDDRWGKSGHLFGSGRDMSMDNGPPTMNHRGAPGVMRNPRGGLINVGPIAPQMSRSGSDADRWQQKGIFPSPVTPMQVMHKAEKKYVVGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEKVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCYHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGNYQNPDEENIEALCKLMSTIGEMIDHAKAKEHMDAYFDIMLKLSTSQQLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIDEVHRDAAQERHAQSSRLARGSVVGSGPRRGAAPMDYGPRGSAAALASPSSQQVGHRGMPSHSRGFGTQDIRFEERSPLDHRTTVLPPRKDEAITLGPQGGLARGMSIRGQPLISNAELSSADSRRMVSGPNGYNSASTAREEPGSRIPDRSGRIAPNTQFAGPSNRPASQEGRSGNKLYSEDDLREKSISAIREYYSAKDEKEVALCIEELNAPSFYPSVVSLWVNDSFERKDMERELLTKLFVSLCNSRNNLLSKSHLTAGLATVLGSLEDALSDAPRAAEYLGRLLARFVVESILSLQEVGTLIEKGGEEPGELVHHGIGADVLGAVLESIKVEKGDSFLNEAKASSNLKLEDFRPQHLKRSNPPTALAAPTTGGRRHDVLAGAGADLRPTSTRRRAPRGDLPPHRLARRPRTGLRRLVTGRSFLRRYRSLHKPAPLLGLLGHDGFHPAEPPHPSAAAARALAQAADFTFSFLPSPCRWIQQDCRDGRVLLERSLSDNDDAGEGELVPFDTRNMADLAVCDPVSRRYVILPPIPDDLITSGEQEGLLVFETFLAPAAKEEEEMVGTTSFRVVARANYESKVVIFVFSSLTEEWHSSRSVSWSLLTADPWLSSTAQAHLWFFPRYYAHFVYWVMHLVDMLLVLDTSKMAFFTINFQWDRDFAILETEEDMIGAFCLKGDLSGRTHLCYGTRRIDADFADSPPLNLDKTIPLPLPLDHCYHIINATQGYLLLQGRRLHSSRRTTEEDDTDMLYFTLEPKTMLLEKVCGMSRPNVTAKIYTSFPPSLSLPSLNTEYGLFGSMRRSAQEPGRNILVDMKLQLTVVASIIRQIVAFGAFQPSISPPPPMSSPEQPVDPTSLYTENILPPDELLEEILLRVGSPADLARVSTACAAFRRIVTSRSFLRRFRSFHHPPPLLGLLCDDFYPADPAAAARALAHAADFTFSFLPTPDHWWRWMPLDWRDGRVLAALVPMTKIITHEGDEGEFVPFPRRDDVTDLAVCDPISRRYVIIPAIPGDLITSGEQRDCLFDFNAFLAPATEEEMADSSFRVVATAQCKSKLFVFVFSSRSEEWRKLSIRQWEHLAADVSSSVLVQADFFLAPRYYAHCRLYWVLKEMDKLLVLDTCEMVFFTIDLERDEHMSNIAILEEAEEDMVGVFSLRTDLGFTTKTQLCYTIRQVEADAANGPPLNFDKIIPLPLPMEYMFRIIDAADGYLLLEGRLLDWFECSLKEGRPDTLYFSLEPKTLVLKRICVLERPITAAKIYTGLFDILIRRHPEGAEQDWLSTLV >ONIVA07G16740.2 pep chromosome:AWHD00000000:7:16578462:16596146:1 gene:ONIVA07G16740 transcript:ONIVA07G16740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGDRGEGHARRPGRSSSFGGGHRGGGGVGGAGKGGGGSSGQPPLATNRSFRKSGNGHGGHQRVVSQPDTHGFQPAPAPTALQTPPLRPPAPQNAPAHVPVPAPRPQHHDPSGARAPTLPPSSENTANAPPLKGIPHAAPRAPSRISSTSTSQGAPKGGAYNLQFGSFPMNGGTGGSTMQFPARTSSAPPNLDEQKRMQALPEGHKVVPSGLVPQAPKHQQQQQPLQQQKQQPQSQPPLQQTRKDVVSSNHSSKPINPHIPSQVKSSVHVSPSVPNVAPPRPPVQQIPGMPMSMPFHHQAPLQFGGHNPQIPPQGVVPSSLQMSMGLHGANAPQVAQQMYIPTIQHHHQLQPPTMMHQAAGIPYGPAAHQLTPQMSGMMNVGVAPQFTPQQPNKYVTGPTRKTTVKITHPDTHEELKLDKRMDSSGQRGLPSVQQQSQPVSTYGSPMGFYQQNSYNQSTMFYPTTSGVGQVPTVSQGPRFVSTQTVSYISPSMNTGPGSNKDNLAGSTTSGHSQVTGKPHPAGLHMEKSGVQTVTISAPPGKSDVNKLKPAEDVVSHRQKDNEAVSGVRKSGENESKASPITEKHPTPVSQPLQALAANPETTAAASFVVNSVPGDDGKSKESIQRTGSFKDSNKNATKDTRNLSQEPQSASSAEDLKVHTSVKDVCCGVSLMESKGVNKESEQTNAASAAPTEMLKAADASSIDRSSARSTSESTENVQEVGKSDVAIGDSEKSGITNKVSPDLTKDDISSGSTGNESHEVCTLDLAEQLPVGASNPDNLDTATSVTDQGQLLKEPSSSVSDENVIMDRLHQSAEKMSDLVDDTVASVASSETLPESIIQNANAKGNTSGNQETGSATSSNILNVLPVPHSVASEDPLKPESMLKDQSSSAPAASARPVSREKPSVEITRTKFTAVKKKKRREMLSKADAAGSSDLYNAYKGPEEKVDFIGASESLDSSSIADHELPDESSEKEVNMGEDEGKKKVELDDWEDAAEMSTPKLERSDSSNQTTEANGRKRYSRDFLLTLAQSCTNLPVGFQMIEYASVLFPNLAGKSYVVDHPSPGRGADRPASRGDRRGVVIEDDRWGKSGHLFGSGRDMSMDNGPPTMNHRGAPGVMRNPRGGLINVGPIAPQMSRSGSDADRWQQKGIFPSPVTPMQVMHKAEKKYVVGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEKVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCYHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGNYQNPDEENIEALCKLMSTIGEMIDHAKAKEHMDAYFDIMLKLSTSQQLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIDEVHRDAAQERHAQSSRLARGSVVGSGPRRGAAPMDYGPRGSAAALASPSSQQVGHRGMPSHSRGFGTQDIRFEERSPLDHRTTVLPPRKDEAITLGPQGGLARGMSIRGQPLISNAELSSADSRRMVSGPNGYNSASTAREEPGSRIPDRSGRIAPNTQFAGPSNRPASQEGRSGNKLYSEDDLREKSISAIREYYSAKDEKEVALCIEELNAPSFYPSVVSLWVNDSFERKDMERELLTKLFVSLCNSRNNLLSKSHLTAGLATVLGSLEDALSDAPRAAEYLGRLLARFVVESILSLQEVGTLIEKGGEEPGELVHHGIGADVLGAVLESIKVEKGDSFLNEAKASSNLKLEDFRPQHLKRSKLVWFNEKECSGARKEYLGRHEATTDGGGIYQQIVAFGAFQPSISPPPPMSSPEQPVDPTSLYTENILPPDELLEEILLRVGSPADLARVSTACAAFRRIVTSRSFLRRFRSFHHPPPLLGLLCDDFYPADPAAAARALAHAADFTFSFLPTPDHWWRWMPLDWRDGRVLAALVPMTKIITHEGDEGEFVPFPRRDDVTDLAVCDPISRRYVIIPAIPGDLITSGEQRDCLFDFNAFLAPATEEEMADSSFRVVATAQCKSKLFVFVFSSRSEEWRKLSIRQWEHLAADVSSSVLVQADFFLAPRYYAHCRLYWVLKEMDKLLVLDTCEMVFFTIDLERDEHMSNIAILEEAEEDMVGVFSLRTDLGFTTKTQLCYTIRQVEADAANGPPLNFDKIIPLPLPMEYMFRIIDAADGYLLLEGRLLDWFECSLKEGRPDTLYFSLEPKTLVLKRICVLERPITAAKIYTGLFDILIRRHPEGAEQDWLSTLV >ONIVA07G16730.1 pep chromosome:AWHD00000000:7:16566425:16577929:1 gene:ONIVA07G16730 transcript:ONIVA07G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLTNLMAFIFPWEAGEWRAVEYDGWAALINGTSTWLAEMFWRFHLLILDTTTNQLSTIEMVPGSWKKDIVFLETEEGQLGLFVLINNFYTSFDLYYAIWQDNDKGVKQWRVIEAIQLPLNYWYENLLGIDGGYVLLQGIPKGSKSSWQASSRQNGSSQASESSSEVPEHGNSWAHIGHEFYYSAAVRWGIAFLLKRLAKLRCFRRRRRRAAKPNAATPSPAARPAPTPTPTPPPLAVLSDDVLREIFVRVPSPADLARAATACAGFRRVITEPSFLRRFRAADHPPALLGFIDVGGGFVPAEPPHPSAAAAAGAAARDVVDFACPFLPSSPDPWRRRDVLDGRVLFSRGAVGGGGEVDGQDDDPGFMDLAVCDPLSRRYVLLPAVPADLAASAQLHNLLDLQPFFAPPRDDDDDGGGGTSFRVMYMARCQSKLVVFTFSSDTQQWSSTSYDGWGILVAATPSQETALTQRHHAHGCIFWFLRWAKKLLVLDTFTMELSTINLPSSELIEIQQVAIVESARGGIGMFAMVDEILDSTFDMFYVVWDPEGANKWPLERLMKLPVEFRYNLVGAAGGYLLVQGISVQGPVQDQVCFTVELKTFKVEMFCETRRTLIGADLFAGFAPSLSPPSKPPIDPLPSSTSGDSPAMASPSPSPSPSSASNQRRSLPPSPAAASSVGSSPAFPPRKRRRSGRPPPFLGLACYDGFYPATEPHPSAERAIALARKADFEYGFVPWVPEEEAWGWFPLDARDGRVLIQSKYFPDDPDGGDFPRPRFMNYAVCDPLFKRYVMLPPVPDDLTANEGSLVDFGLCLAPSQEDEADTSFRVICVARYNTNLVAFVFSSVTRQWGIGSSSTWSSLGTEEPPNRHGLSCFDCVDGCFYWTVPSADKILVLDAIKMEFSVINYAHRVEDGFRACIAVDTEGTPGMLTVGEYLGNREFRFSRIAKQSDRESPNERLSENIIQLPSNCNKYFTLGAAEGFIFLRGIPEEEKVEDSSSEDLYMDPEEIEYYSLNVKTVEFEMVCVMDMDKCYFHVCPYFRFSPPSAKPWMKLCF >ONIVA07G16730.2 pep chromosome:AWHD00000000:7:16566425:16577929:1 gene:ONIVA07G16730 transcript:ONIVA07G16730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLTNLMAFIFPWEAGEWRAVEYDGWAALINGTSTWLAEMFWRFHLLILDTTTNQLSTIEMVPGSWKKDIVFLETEEGQLGLFVLINNFYTSFDLYYAIWQDNDKGVKQWRVIEAIQLPLNYWYENLLGIDGGYVLLQGIPKGSKSSWQASSRQNGSSQASESSSEVPEHEFYYSAAVRWGIAFLLKRLAKLRCFRRRRRRAAKPNAATPSPAARPAPTPTPTPPPLAVLSDDVLREIFVRVPSPADLARAATACAGFRRVITEPSFLRRFRAADHPPALLGFIDVGGGFVPAEPPHPSAAAAAGAAARDVVDFACPFLPSSPDPWRRRDVLDGRVLFSRGAVGGGGEVDGQDDDPGFMDLAVCDPLSRRYVLLPAVPADLAASAQLHNLLDLQPFFAPPRDDDDDGGGGTSFRVMYMARCQSKLVVFTFSSDTQQWSSTSYDGWGILVAATPSQETALTQRHHAHGCIFWFLRWAKKLLVLDTFTMELSTINLPSSELIEIQQVAIVESARGGIGMFAMVDEILDSTFDMFYVVWDPEGANKWPLERLMKLPVEFRYNLVGAAGGYLLVQGISVQGPVQDQVCFTVELKTFKVEMFCETRRTLIGADLFAGFAPSLSPPSKPPIDPLPSSTSGDSPAMASPSPSPSPSSASNQRRSLPPSPAAASSVGSSPAFPPRKRRRSGRPPPFLGLACYDGFYPATEPHPSAERAIALARKADFEYGFVPWVPEEEAWGWFPLDARDGRVLIQSKYFPDDPDGGDFPRPRFMNYAVCDPLFKRYVMLPPVPDDLTANEGSLVDFGLCLAPSQEDEADTSFRVICVARYNTNLVAFVFSSVTRQWGIGSSSTWSSLGTEEPPNRHGLSCFDCVDGCFYWTVPSADKILVLDAIKMEFSVINYAHRVEDGFRACIAVDTEGTPGMLTVGEYLGNREFRFSRIAKQSDRESPNERLSENIIQLPSNCNKYFTLGAAEGFIFLRGIPEEEKVEDSSSEDLYMDPEEIEYYSLNVKTVEFEMVCVMDMDKCYFHVCPYFRFSPPSAKPWMKLCF >ONIVA07G16720.1 pep chromosome:AWHD00000000:7:16565820:16566419:1 gene:ONIVA07G16720 transcript:ONIVA07G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRQNPAPAPPPPPPVILTDDLLEEIFIRLDTPADLARASASCPPFRRVITDPSFLRRYRALYPPPLLGILPRDADAFLPAEPPHRSAPAAGAVDLSCAFLPDRHTWRRRDVRDGRILFSREEEYYAPDDDGADVLLMDLAVCDPFSGRYAILPEIPQDLIDPLDLEGQSFLCFEPFLAPPPPPTTTRTRSAAPRSG >ONIVA07G16710.1 pep chromosome:AWHD00000000:7:16558984:16561118:-1 gene:ONIVA07G16710 transcript:ONIVA07G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCYANLIDKLISIAICRGVEHLNLETYLYSANDQRPSPAPYKFPLSLFADGKGLSVTKLILAECTLSIPVGFAGFKSLVELSFTEMHISEDMIQTLIENCPNLECFRLRLCSGARHLKIASPHLQLREIMVKSCLQITHMELFAPKLQQFTYRGPCISMVLSSVPLMEHACLDYEGRRDGESVKYILGKLSQDFSLLTSLSIVLNTYRLKNPVIPEVVPTPFKNLKSLTLGAIMHCNDDIGWVTMLLEVAPVLESFQIELLTNEKREHPGGVLWEPSDNAHRHLRQVKFYRFRMRQADVALAGLLLARAPLLQTMTFSRGSVHRSPGQTAQYVEAAAAWTAEQRSAITRRLETCNAFGARLEFRS >ONIVA07G16700.1 pep chromosome:AWHD00000000:7:16554810:16557226:-1 gene:ONIVA07G16700 transcript:ONIVA07G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPRRKRKRGLGKAAGARKRAREQHPGVAKLRKQHGSTFEDRISELPDDPLITILGHLDTRSSAATSVLSRRWQHLWKSVPKLRFSQHDIVPQTELSRFLRAHEYIFFKPSLCSWKRRVRVNLDRRIRLTDMYRTRIFSSSLTGFLHKSNAGDKNNTKISSLFLSCTMEDRYVNLVDKLVSIAVCRGVEDLNLTTSFYYDGQRRSTTPYEFPLSLFTDGKGLSLTELKLCECTLNIPIGLDGFKSLVKLSLTRMPISEDMIHTLFENCLKLECFHLNHCWGANRLFAGPGANHLKIASHDLQLRDIMVNSCEQTTHMELVAPKLHQFRYRGPSISMMLGSVPSIEHACLHYEDSRDGESNPVIPGGLPTAFKNLRSLMLRVTMHSNDDLAWATMLLEVAPALESFQIELISNKKREHPGGVLWEPSDFEHHRLRQVKFYRFRMRQGDVALAGLLLARAPLLQTMSFFRGFVHNPPNWITQYVEAYHDWSTGQQSAITRRLEACNTFGARLEFRS >ONIVA07G16690.1 pep chromosome:AWHD00000000:7:16546494:16555247:1 gene:ONIVA07G16690 transcript:ONIVA07G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARRSGHRRLDDTQELALPDDLLAEVLIRLPSLADLGRASAACASFRRVATDPAFLRRARALHPPSLLGFCASPGGFHPAEPPHPSAPAARAVLRAADFGFSFLPSPLSWVVRDVLDGRFLLDRDGGEGGAALRILAVCDPLFRRYSLLPQIPEDLAASVRRRPRRGVAPNGRFDTFFAPIGEEERAAAAVAETSFKVIWIAQCPDKLVAFVFSSVTGQWRATASPCWGDLSPAFSRPACRSLLRRSYAYGCFYWMMGDSGNLLVLDMCKMNFSIVKLPSSPPGRDIVECAIVEAGEGKIGMFAFCNCIDIYALELYSTTMQNEGRVASKWSFESAILMPSRDGFRVLGVTGKELCLQYKSKLQETRVCPEGDQGCSNFSAIPVCRLPTISIITKHMKRTKPTAMASPAWQTPHRLQPPPPAQLDLTDELLEEVFVRLPTAADLARASTACASFRRLITGHAFLRRFRRLHPPPVLGILAAGFLAAQPPHPSAAAARALADPDATDFSCSFLPSRDRWCLRHFSDGRYLLSAIPERSDPAPDHRALVREFAVCDPLYRRYLLLPPVPDDLASVVNQSEIVNFEPFLCPATEDEEDTMFRVICLAQCEAKLVAFTYSRCSGQWHAVEFDGWRDLTRGTSNPFPSGEPELSGRYYAHGCFCWVMHWVNKLLVLDARSFEFSSIDLPPGPSSRRMVIVEALEGKLGLFTLCNDNALYYFLWYDILENDDEGALQWCMKEIIPLHENFNYNILGVAGGYLLLQGTFKLEWFCGTTYAIISPDMWLICTQLRLRLRHALVGLRRFELQGWLAMEEKQNVVETNGLGGRTSFN >ONIVA07G16680.1 pep chromosome:AWHD00000000:7:16537101:16538330:-1 gene:ONIVA07G16680 transcript:ONIVA07G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAHRQLDALPDDLLAEVLIRLPSLADLGRACASCASFRRVVTDRAFLRRARALHPPSLLGFCGASPGAGGFHFHPAEPPHPSAPAARGVLRAADFGFSFLPSPDPLGWVVRDVLGGRFLLDRDVVEEGAAAASRILAVCDPLFRRHLLLPQIPQDLAAASALRQSRRGDTFFAPIGEEECVAAVAETSFKVIWIAECADKLVAFVFSSVTGQWRATASVCWGDLSPAFSRPACRSMSRRSYAYGCFYWMMGDSGKNLLVLDMRRMDFSVLELPSSAPGHDIVECAIVEEGEGKIGMFAFRNYIAGYALQIYSAKMQNEGKAAAGKWSFETAMVVPFDEFGILGATSRELFLKVPPSFARGCYSLEFSTNPSCKYLESVRRVISGVPPSLSFLYVGYPPSLSSPSI >ONIVA07G16670.1 pep chromosome:AWHD00000000:7:16529816:16534671:1 gene:ONIVA07G16670 transcript:ONIVA07G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEMASPSPPVAALTNDLIAEIFLRLPTPEDLVRASAACVSFRRLVTTAPSSAASAPSTPRPSGFHPALPPHPSAPVARAVADAADFALSFLPSPAGSWMVRDVRGGRVLVDRDTKAETGGSEKPLVFTEIAVCDPLRRRFLLLPPIPDDLAASVDRPVRVHLDRWCEPFLAPHIEEEEDDTSFKVIWMAQCKAKIIAFVFNSSTGQWLAGASPSTTDLFNGAGLSPPPSSSSPSLVFSSPGRVFSSLRYACGCFCWGILRTMLLVLDTRLMKFSIAEPPPVCLGGPTAIVEAGEGMTGIFALRGSVGGTFDLHYSIWGKEGATRREQMEKIIPLDHGYRYYIRGAMEKHLLLARSRGEGEEDTPEEPDLECFSLDVKTLQLEPDLTYPEITILDKPFRARLYPILVPKANELKIQLKHRYVFPKANNLKNVGFRAVGSWLRSGGEVGSGGESASDGSGGVRRLGGLEAAKVDEPEEERRVVDGAVAAEEVAAGDESAEGDIGGGGAGEVRGRADTEEDLLQELVSEGGKLTRPRN >ONIVA07G16660.1 pep chromosome:AWHD00000000:7:16525143:16525853:-1 gene:ONIVA07G16660 transcript:ONIVA07G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTDLYSPLVPSRGGGTRRRPDLRGPAAALAKVAAAVVDEAEVAEFVEMAVAVLVEVAAVTDEAEEAPGAEARRRPDLSGAAAVLAEVAVADERRHSWRRRRRPWRRGSARGRAEVAAVLAEVVVADKRRSSWRRLRRPWRRGGARGRAEVVVAGKRRRSWRRRRSRTRQRRRRSRRRRRRRRTCGGGGGCGRGGDARGRPAELAKVVDGAVMPSLVPGGTSLSASFWRIGWSRI >ONIVA07G16650.1 pep chromosome:AWHD00000000:7:16519092:16521898:-1 gene:ONIVA07G16650 transcript:ONIVA07G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein [Source:Projected from Arabidopsis thaliana (AT1G11380) TAIR;Acc:AT1G11380] MAAEAREEEEASTAARSRQESEGEEEEVMAVLDFDMLCASVALAAERRKDSAAAAAATVEAGGGGGGGGGGGVQRMWEGDVVLDCLEDRRIALEAACCPCYRFGKNMRRANLGSCFLQAMVYLISLVAILVSLIAFSVTRHNIYLYMGLSSVLLIAIYTGYFRRRIRKQFNIRGTDSSLDDCVLHLICPCCTLCQEARTLEINNVQCGVWHGRGDTICLGSNGEGNKAFAALHKSSFVPIKSPELCGMDRTSNGANEHEPLVPSDLPEQ >ONIVA07G16650.2 pep chromosome:AWHD00000000:7:16519092:16521898:-1 gene:ONIVA07G16650 transcript:ONIVA07G16650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein [Source:Projected from Arabidopsis thaliana (AT1G11380) TAIR;Acc:AT1G11380] MAAEAREEEEASTAARSRQESEGEEEEVMAVLDFDMLCASVALAAERRKDSAAAAAATVEAGGGGGGGGGGGVQRMWEGDVVLDCLEDRRIALEAACCPCYRFGKNMRRANLGSCFLQGTDSSLDDCVLHLICPCCTLCQEARTLEINNVQCGVWHGRGDTICLGSNGEGNKAFAALHKSSFVPIKSPELCGMDRTSNGANEHEPLVPSDLPEQ >ONIVA07G16640.1 pep chromosome:AWHD00000000:7:16513612:16514277:1 gene:ONIVA07G16640 transcript:ONIVA07G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFACFSAAAVADEAEAGARHHHHRSGGGGGRRHRGTSSSLRSKLFGGRTTKAGSKKYSSAAALDDVYDAAEWSSSSVPWSSTSALSLDSARSSSSSSSTTTTAPCSRSRSLSSLSDALSPPAARRAPERRGRTPRPAAGVAAVIVCLVMVMLCGRVEATALASAAFYLFPRRWRPVGAIEAAESAAASPEHDWPSSATDQETTTKRKVVKEGFLARNCKK >ONIVA07G16630.1 pep chromosome:AWHD00000000:7:16508371:16511134:1 gene:ONIVA07G16630 transcript:ONIVA07G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0E0I275] MEEYKRSRNSQGRQGKQKLLTQHSYLLNHLLGFLCSGDRAAGRPAARVHFWAPTFKWGISIANVADFAKPPEMISYPQQVVVACSGVIWARWGMVITPINWNLSSVNAAMAVTGVCQLSRKIRHDYFSDEKSATASLEG >ONIVA07G16620.1 pep chromosome:AWHD00000000:7:16506298:16506549:1 gene:ONIVA07G16620 transcript:ONIVA07G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHYVYLIDKLVDIALCRGVEDLDLTTKLDQIYQRSDIAWYKFPLSLFTNGKGLSLLKLKLGQCTLSIPTGFDGFKCTFLMT >ONIVA07G16610.1 pep chromosome:AWHD00000000:7:16500004:16502478:-1 gene:ONIVA07G16610 transcript:ONIVA07G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I273] MAPVFFLLLFSQIFLCTAVDTINSTTPLSGTQKIVSKGGRFALGFYTPPQGNNTASGTGNYYIAIWYNNIPLQTTVWTANSDVPVSDPTTASLSIGSDGNLVLLDQSKNRQLWSTNVSVASNSTVAVIQDGGSLDLMDATNSSIVYWRSIDHPTNTWLPGGKLGLNKTTGVSQRLVPWRNNANPSPGLFSLELDPNGTTQYFIQWNDSITYWTSGPWNGNIFSLVPEMTAGYNYNFRFINNVSESYFIYSMKDDSIISRFTIDVNGQIKQWTWVPASENWILFWSQPRTQCEVYGLCGAYGSCNLNVLPFCNCIKGFSQKFQSDWDLQDFTGGCKRNVPLQCQTNSSSAQTQPDKFYSMVSVRLPDNAQSAVAASSQACQVACLNNCSCNAYTYNSSGCFVWHGDLINLQDQYNGNGGGTLFLRLAASELPDSKKSKKMIIGAVVGGVAAALIILAIVLFIVFQKCRRDRTLRISKTTGGALIAFRYSDLQHVTSNFSEKLGGGAFGTVFKGKLPDSTAIAVKRLDGLSQGEKQFRAEVSTIGTIQHVNLVRLLGFCSEGSRRLLVYEYMPKGSLELQLFHGETTALNWAIRYQIALGTARGLNYLHEKCRDCIIHCDVKPDNILLDESFVPKVSDFGLAKLLGRDFSRVLTTMRGTRGYLAPEWISGVPITPKADVFSYGMMLFELISGRRNADLGEEGKSSFFPTLAVNKLQEGDVQTLLDPRLNGDASADELTKACKVACWCIQDDENGRPTMGQVVQILEGFLDVNMPPVPRSLKILDESPDVINFFSDVSSSQTSQMHNSTASLQTKSSTSGGSQFQST >ONIVA07G16600.1 pep chromosome:AWHD00000000:7:16497050:16504231:1 gene:ONIVA07G16600 transcript:ONIVA07G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMVLTSARNCFSPWLSPSSLFTAMAVESGSFPLNTVPKAPPPSFSEKLLVTCCRSLYRKAISAPPVVLDMRRVLSRRHFWNTMNNTIARIIKAAATPPTTAPMIIFLLFLESGSSDAASLRKSVPPPFPLYWSWRLIKSPCQTKQPLLL >ONIVA07G16600.2 pep chromosome:AWHD00000000:7:16497050:16504231:1 gene:ONIVA07G16600 transcript:ONIVA07G16600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMVLTSARNCFSPWLSPSSLFTAMAVESGSFPLNTVPKAPPPSFSEKLLVTCCRSLYRKAISAPPVVLDMRRVLSRRHFWNTMNNTIARIIKAAATPPTTAPMIIFLLFLESGSSDAASLRKSVPPPFPLYWSWRLIKSPCQTKQPLLL >ONIVA07G16600.3 pep chromosome:AWHD00000000:7:16497050:16503683:1 gene:ONIVA07G16600 transcript:ONIVA07G16600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMVLTSARNCFSPWLSPSSLFTAMAVESGSFPLNTVPKAPPPSFSEKLLVTCCRSLYRKAISAPPVVLDMRRVLSRRHFWNTMNNTIARIIKAAATPPTTAPMIIFLLFLESGSSDAASLRKSVPPPFPLYWSWRLIKSPCQTKQPLLL >ONIVA07G16600.4 pep chromosome:AWHD00000000:7:16497050:16502547:1 gene:ONIVA07G16600 transcript:ONIVA07G16600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMVLTSARNCFSPWLSPSSLFTAMAVESGSFPLNTVPKAPPPSFSEKLLVTCCRSLYRKAISAPPVVLDMRRVLSRRHFWNTMNNTIARIIKAAATPPTTAPMIIFLLFLESGSSDAASLRKSVPPPFPLYWSWRLIKSPCQTKQPLLL >ONIVA07G16600.5 pep chromosome:AWHD00000000:7:16501197:16504231:1 gene:ONIVA07G16600 transcript:ONIVA07G16600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKILKLAALFSLMWVSRDRFSDMMLIWTESIEVALMESRWMNTDHHSVEGRGPQTQQGDTIIQILVFESPDDKDEDKN >ONIVA07G16590.1 pep chromosome:AWHD00000000:7:16469764:16480303:-1 gene:ONIVA07G16590 transcript:ONIVA07G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASVAGGGEDSNGCSSLIDPLLVSRTSSIGGAERKAAAGGGGGAKGKHWAAADKGERRAAKECGGEDGRRPLLFRSYRVKGSLLHPYRALIFARLIAVLLFFGWRIRHNNSDIMWFWTMSVAGDVWFGFSWLLNQLPKFNPVKTIPDLTALRQYCDLADGSYRLPGIDVFVTTADPIDEPVLYTMNCVLSILAADYPVDRSACYLSDDSGALILYEALVETAKFATLWVPFCRKHCIEPRSPESYFELEAPSYTGSAQEEFKNDSRIVHLEYDEFKVRLEALPETIRKRSDVYNSMKTDQGAPNATWMANGTQWPGTWIEPIENHRKGHHAGIVKVVLDHPIRGHNLSLKDSTGNNLNFNATDVRIPMLVYVSRGKNPNYDHNKKAGALNAQLRASALLSNAQFIINFDCDHYINNSQAFRAAICFMLDQREGDNTAFVQFPQRFDNVDPKDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPYWRQDNITPEASKFGNSILLLESVLEALNQDRFATPSPVNDIFVNELEMVVSASFDKETDWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMEHDAFCGTAPINLTERLHQIVRWSGGSLEMFFSHNNPLIGGRRLQPLQRVSYLNMTIYPVTSLFILLYAISPVMWLIPDEVYIQRPFTRYVVYLLVIILMIHMIGWLEIKWAGITWLDYWRNEQFFMIGSTSAYPTAVLHMVVNLLTKKGIHFRVTSKQTTADTNDKFADLYEMRWVPMLIPTMVVLVANIGAIGVAIGKTAVYMGVWTIAQKRHAAMGLLFNMWVMFLLYPFALAIMGRWAKRPIILVVLLPIIFVIVALVTNGNGAAGVYVAAAAAPCSPAAKRVNDGGGKDDVWVAVDEADVSGPSGGDGVRPTLFRTYKVKGSILHPYRFLILVRLIAIVAFFAWRVRHKNRDGAWLWTMSMAGDVWFGFSWVLNQLPKLNPIKRVADLAALADRQQHGTSGGGELPGVDVFVTTVDPVDEPILYTVNSILSILAADYPVDRYACYLSDDGGTLVHYEAMVEVAKFAELWVPFCRKHCVEPRAPESYFAMKTQAYRGGVAGELMSDRRRVRREYEEFKVRIDSLFSTIRKRSDAYNRAKNGKDDGENATCMADGTHWPGTWFEPAENHRKGQHAGIVQVLLNHPTSMPRFGVAASVDNPLDFSGVDVRLPMLVYISREKRPGYNHQKKAGAMNALLRVSALLSNAPFIINFDCDHYVNNSQAFRAPMFFDATLLGLNGVQGPSYVGTGCMFRRVALYGADPPRWRPEDDDAKALGCPGRYGNSMPFINTIPAAASQERSIASLDETAAMAELEEVMACAYEDGTEWGDGVGWVYDIATEDVVTGFRLHRKGWRSMYCDMEPDAFRGTAPINLTERLYQILRWSGGSLEIGRASRCWTGAATSSST >ONIVA07G16580.1 pep chromosome:AWHD00000000:7:16469273:16469725:-1 gene:ONIVA07G16580 transcript:ONIVA07G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLFTGKGIHFRLTSKQTTASSGDKFADLYTVRWVPLLIPTIVVLAVNVGAVGVAVGKAAAWGLLTEQGRFAVLGMVFNVWILALLYPFALGIMGQWGKRPAVLFVATVMAVAAVAIMYAAFGAPYQAGLSGVAASLGKAASLTGPSG >ONIVA07G16570.1 pep chromosome:AWHD00000000:7:16456567:16459186:1 gene:ONIVA07G16570 transcript:ONIVA07G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASTMSAAAAVTRRINAALRVDATSGDVAAGADGQNGRRSPVAKRVNDGGGGKDDVWVAVDEKDVCGARGGDGAARPPLFRTYKVKGSILHPYRFLILLRLIAIVAFFAWRVRHKNRDGAWLWTMSMVGDVWFGFSWVLNQLPKLSPIKRVPDLAALADRHSGDLPGVDVFVTTVDPVDEPILYTVNTILSILAADYPVDRYACYLSDDGGTLVHYEAMVEVAKFAELWVPFCRKHCVEPRSPENYFAMKTQAYKGGVPGELMSDHRRVRREYEEFKVRIDSLSSTIRQRSDVYNAKHAGENATWMADGTHWPGTWFEPADNHQRGKHAGIVQVLLNHPSCKPRLGLAASAENPVDFSGVDVRLPMLVYISREKRPGYNHQKKAGAMNVMLRVSALLSNAPFVINFDGDHYVNYSQAFRAPIQIKAMDIANKFGSSTSFVGTMLDGANQERSITPLAVLDESVAGDLAALTACAYEDGTSWGRDVGWVYNIATEDVVTGFRMHQQGWRSVYASVEPAAFRGTAPINLTERLYQILRWSGGSLEMFFSHSNALLAGRRLHPLQRVAYLNMSTYPIVTVFIFFYNLFPVMWLISEQYYIQRPFGEYLLYLVAVIAMIHVIGMFEVKWAGITLLDWCRNEQFYMIGSTGVYPTAVLYMALKLVTGKGIYFRLTSKQTTASSGDKFADLYTVRWVPLLIPTIVIMVVNVAAVGVAVGKAAAWGPLTEPGWLAVLGMVFNVWILVLLYPFALGVMGQWGKRPAVLFVAMAMAVAAVAAMYVAFGAPYQAELSGVAASLGKAAAASLTGPSG >ONIVA07G16560.1 pep chromosome:AWHD00000000:7:16438537:16441505:1 gene:ONIVA07G16560 transcript:ONIVA07G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAGDVWFGFSWVLNQLPKLSPIKRFPDLAALADRHSDELPGVDVFVTTVDPVDEPILYTVNTILSILAADYPLWVPFYRKHCVEPRAPESYFAMKTQAYRGGVAGELMSDRRRVRREYEEFKVRIDSLFSTIRKRSDAYNAKHADRRESQMGRESVVYIDGGGVIPSIAAEGGDSIRSKS >ONIVA07G16550.1 pep chromosome:AWHD00000000:7:16438168:16438485:1 gene:ONIVA07G16550 transcript:ONIVA07G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASTISSAAAVTRRNNAALRVDATNGDTAARADGQNERRSPAAKRVNDDVGGDVWVAVDEADVSGASGGRPPLFRTYKVKGIILHPYRILILARLIAIVAF >ONIVA07G16540.1 pep chromosome:AWHD00000000:7:16429258:16430815:-1 gene:ONIVA07G16540 transcript:ONIVA07G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVRRRRRCPVPALPSTDPALPWPDLVLPPAGVVWAAGSAAFPASSDDAAACQGEVGAGAPLLVRRRQPWREAVTVSGAIIGTVGNGGRPCGAARQSGAGLGGDSSEIPQIRANSVGSGRRLVVAAVTAAAAGDGDSCGGSGRHGVGAAPSCLLVDVLLVEADSFPFAGGGRRCSGSLRRRWLASVAAATVAVTVEAVATLVAGKEVVAGRKPSLDSFESRRTTAAWRSVTLSGGRSGVSLLLSLCVGDVGVWVVV >ONIVA07G16530.1 pep chromosome:AWHD00000000:7:16428251:16428923:1 gene:ONIVA07G16530 transcript:ONIVA07G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSGAEATWCEEASALATEAAAARAGARGRRGEVAEVGATWLKRSAMVEVGATRHGRPQQRTARRMWERPTAVVDWRRIARRRWSLVPRHIRKAAGCSLRAVTKVDLADGLSGGEAAAVEDEGDDDATGGSLTRDGLPPQDGQTGRVDGLVWGELIHSSLSRKSQSHPFPLQPNHVDRVEALEGRDSTLMAD >ONIVA07G16520.1 pep chromosome:AWHD00000000:7:16412314:16416725:-1 gene:ONIVA07G16520 transcript:ONIVA07G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGGGGAGGCSNGGGGGAVNGAAANGGGGGGGGSKGATTRRAKVSPMDRYWVPTDEKEMAAAVADGGEDGRRPLLFRTFTVSGILLQPYRLLTLVRLVAIVLFFIWRIKHPYADGMFFWWISVIGDFWFGVSWLLNQVAKLKPIKRVPDLALLQQQFDLPDGNSNLPGLDVFINTVDPINEPMIYTMNAILSILAADYPVDKHACYLSDDGGSIIHYDGLLETAKFAALWVPFCRKHSIEPRAPESYFAVKSRPYSGSAPEDFLNDHRYMSREYDEFKVRLDALFTVIPKRSDAYNQTHAEGVKATWMADGTEWPGTWIDPSENHKKGHHAGIVQVMLNHPSNQRQLGPPASTDSPVDFSNVDVRLPMLVYIAREKRPGYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSKAFRAGICFMLDRREGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRVALYGVDPPRWRSDDGNIVDSSKKFGSLDSFISSIPIAANQERSIISPPALEEPILQELSDAMACAYEDGTDWGKDVGWVYNIATEDVVTGFRLHRTGWRSMYCRMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSHNCPLLAGRRLNFMQRIAYVNMTGYPVTSVFLLFYLLFPVIWIFRGIFYIQKPFPTYVLYLVIVIFMSEMIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPLAVLHIVLKCFGLKGVSFKLTAKQVASSTSEKFAELYDVQWAPLLFPTIVVIAVNICAIGAAIGKALFGGWSLMQMGDASLGLVFNVWILLLIYPFALGIMGRWSKRPYILFILIVISFVIIALADIAIQAMRSGSVRLHFRRSGGANFPTSWGF >ONIVA07G16510.1 pep chromosome:AWHD00000000:7:16405116:16407084:-1 gene:ONIVA07G16510 transcript:ONIVA07G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWATSRSRVLPYQVSYSKLPILLQQHKASTTIKGCITYFRPSGGDQDGDIYEKGLGPHKLPFEISILLRGVLLLWPELLRCGLMPK >ONIVA07G16500.1 pep chromosome:AWHD00000000:7:16396939:16404935:-1 gene:ONIVA07G16500 transcript:ONIVA07G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFDDRHILLFYKGPKVYSSHVDARESDHPATRQRQSGHKQSLDFLDGYIGTSIVRECLKADLLALLMKMSNESHVKSPYVTYLMVCGLVILAGLCVLCGPVDEGGFASICSLHNRVIFVGIAMVSIVYVSPLITSYQLTEFQWISVFAVGVAVLLLPLIVTPST >ONIVA07G16500.2 pep chromosome:AWHD00000000:7:16396939:16404935:-1 gene:ONIVA07G16500 transcript:ONIVA07G16500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFDDRHILLFYKGPKVYSSHVDARESDHPATRQRQSGHKQSLDFLDGYIGTSIVRECLKADLLALLMKMSNESHVKSPYVTYLMVCGLVILAGLCVLCGPVDEGGFASICSLHNRLLPLIVTPST >ONIVA07G16490.1 pep chromosome:AWHD00000000:7:16390789:16396497:-1 gene:ONIVA07G16490 transcript:ONIVA07G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAAAGRTGRNNNNDAGLADPLLPGGGGKDKYWVPADGEEDEEEICRGEDGGRPPAPPLLYLTFKVSGVLLHPYRLLTLVRLIAVVLFLAWRLKHRDSDAMWLWWISVVGDFWFGVTWLLNQASKLNPVKRVPDPSLLRRRFDDGGLPGIDVFINTVDPVDEPMLYTMNSVLSILATDYPADRHAAYLSDDGASLAHYEGLIEAARFAALWVPFCRKHRVEPRAPESYFAAKAAPHAGPAPPEEFVGDRRLVRREYEEFKARLDALFTVIPQRSEASVGNANTKGAKATLMADGTPWPGTWTEPAENHKKGQHAGIVKVMLSHPGEEPQLGMPASSGHPLDFSAVDVRLPMLVYIAREKRPGYDHQKKAGAMNAQLRVLLNHPTSMPRFGVAASVDNPLDFSGVDVRLPMLVYISREKRPGYNHQKKAGAMNALLRVSALLSNAPFIINFDCDHYVNNSQAFRAPMFFDATLLGLNGVQGPSYVGTGCMFRRVALYGADPPRWRPEDDDAKALGCPGRYGNSMPFINTIPAAASQERSIASLDETAAMAELEEVMACAYEDGTEWGDGVGWVYDIATEDVVTGFRLHRKGWRSMYCDMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSRNCPLLAGRRLRPMQRVAYTNMTAYPVSALFMVVYDLLPVIWLSHHHGEFHIQKPFPTYVAYLVAVIAMIEVIGLVEIKWAGLTLLDWWRNEQFYMIGATGVYPAAVLNIVLKRLLGMKGVRFKLTAKQLAGGARERFAELYDVHWSPLLTPTVVVMAVNVAAIGAAAGKAVVGGWTAAQLAGASAGLVFNVWVLVLLYPFALGIMGRWGKRPCALFALLVAACAAVAAGFVAVHAVLAAGSAAPSWLGWSRGATAILPSSWRLKRGF >ONIVA07G16480.1 pep chromosome:AWHD00000000:7:16386857:16387663:-1 gene:ONIVA07G16480 transcript:ONIVA07G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPASHPVDLPLAAAPPPVKAPTPRPPTPASLQPESPGVFFTAAAAAAPVGSSHRRIAIAVDLSDESAYAVRWAVANYLRPGDAVILLHVRPTSVLYGADWGSVDLSLPAANPNPSGDPPSAEDDAEAAARKMEDDFDAFTASKADDLAKPLKDAGIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSKGFGASRRTSKGRLGSVSDYCVHHCVCPVVVVRFPDDGVAEGGEAGGASELAVGEEVLHPVPEEDAEYHDATEEHKGN >ONIVA07G16470.1 pep chromosome:AWHD00000000:7:16361973:16368108:-1 gene:ONIVA07G16470 transcript:ONIVA07G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I254] MAASPPPPPRPLLLLLPLLLVWGVVVAAAAATDTLRQGESLTGAATLVSSPSGVFEVGFFAPDPKLPSRLYLGIWYRSISPRTVVWVANRAAPATAPSPSLTLAANGELRVLDGSAADADAPLLWRSNASTQSAPRGGYKAVIQDTGSLEVRSDDGTLWDSFWHPSDTMLSGMRITVRTPGRGPSEPMRFTSWTSETDPSPGRYALGLDPANSGQAYIWRDGNVTIWRSGQWTGQNFVGIPWRPLYLYGFKPANDANLGAYYTYTASNTSLQRFVVMPNGTDICYMVKKSAQEWETVWMQPSNECEYYATCGANAKCTAMQDGKAKCTCLKGFQPKLLDQWNMGNWSQGCVRSPPLGCQVNQTGDGFLSIPNIKWPDFSYWPSTVQDENGCMNACLSNCSCGAYVYMTTIGCLLWGSDLIDMYQFQSGGYTLNLKLPASELRSHHAVWKIATIVSAVVLFVLLACLFLWWKRGRNIKDVMHKSWRSMHTSTRSQQNSGMLDISQSIPFEDDTEDGKSHELKVYSFDRIKAATCNFSDSNKLGAGGFGPVYMGKLPGGEEVAVKRLCRKSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFLFNPEKQGLLDWRKRFDIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDKDMNPKISDFGMARMFGGDQNQFNTNRVVGTFGYMSPEYAMEGIFSVKSDIYSFGVLMLEIITGKRALSFHGQQDSLNIAGFAWRQWNEDKGEELIDPLIRASCSLRQVLRCIHIALLCVQDHAQERPDIPAVILMLSSDSSSLPMPRPPTLMLHGRSAETSKSSEKDQSHSIGTVSMTQLHGR >ONIVA07G16460.1 pep chromosome:AWHD00000000:7:16356737:16357318:1 gene:ONIVA07G16460 transcript:ONIVA07G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRFVIQRNGIGRQGKKRKKKKKKKKKKKKKKKKKKKKKKKGERNSNPLHYRIDEPETNQPCIAGNKASWRGERTVKCRTCHTEKAVGGR >ONIVA07G16450.1 pep chromosome:AWHD00000000:7:16348462:16353227:-1 gene:ONIVA07G16450 transcript:ONIVA07G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I252] MASTAAIAALALVFFVLLCVRDGGGVDAADTLSQGQSLGANDMLVSANGTFKVGFFTPAGGDPGKVYLGVMYATSNVQTVMWVANRDAPVRTAAGAASATVTGSGELLVKEGDRVAWRTNASAAGRSNHTLTIRDDGNLVISGSDAAGTDVEWESFHHPTDTFVPGMEIALRQTNGDRTLYTSWRSDADPATGDFTLGLDASAQLYIWRSQGGKNSTYWRSGQWASGNFVGIPWRALYVYGFKLNGDPPPIAGDMSIAFTPFNSSLYRFVLRPNGVETCYMLLGSGDWELVWSQPTIPCHRYNLCGDNAECTADDNDQPICTCFTGFEPKSPQEYNNGNWTQGCVRSVPLTCSSERNNTTAGGGDGFTVIRGVKLPDFAVWGSLVGDANSCEKACLGNCSCGAYSYSTGSCLTWGQELVDIFQFQTGTEGAKYDLYVKVPSSLLDKSSGRWKTVVVVVVVVVVVVLLASGLLIWKCRRRIKEKLGIGRKKAQLPLLRPARDAKQDFSGPAQSEHEKSEEGKNCELPLFAFETLATATDNFSISNKLGEGGFGHVYKGRLPGGEEIAVKRLSRSSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFLFDPERRGLLDWRTRFQIIEGVARGLLYLHRDSRLRVVHRDLKASNILLDRDMNPKISDFGMARIFGGDQNQVNTNRVVGTLGYMSPEYAMEGLFSVRSDVYSFGILILEIITGQKNSSFHHMEGSLNIVGYAWQLWNGDRGQELIDPAIRGTCPAKEALRCVHMALLCVQDHAHDRPDIPYVVLTLGSDSSVLPTPRPPTFTLQCTSSSSGRDMYYRDKEESYSANNLTVTMLQGR >ONIVA07G16440.1 pep chromosome:AWHD00000000:7:16339627:16341801:1 gene:ONIVA07G16440 transcript:ONIVA07G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAFTVKRSAPELVAPSRATPRELRPLSDIDDQDGLRFYRSGLHFFRGRGGGGGADPAAVVRRGLADALVHYYPVAGRIREVEAPARKLVVDCTGDGVVFVEADADVSLSDFGDVLCPPFPCYQELLCEPDGNCAAVVGRPLLFIQVTRLRCGGFVFGLQICHNIADAAGTVQLLRAIGEMSRGMPAPTVPPVWARELLMARSPPVVTHRHPEYDETAAGGNHDVLAHHEPLVQRAFFFGPKEMSALRELAAPAGAAAGKRISRFDMLAAFLWQRRAAALEYDDDDEVRVMFVVNARGRSPPLPAGFYGNAFAFAVAACTAGRLRDSPLADVVGMVAGAKARATSEGNLQSVADLMAQRGRPRFGRAARAYLVSDVTRAGFEGVDFGWGEGAYGGPAAATLATFHLTVKDASGEEVIAVPMCLPAPAMERLELDVQMSLNH >ONIVA07G16430.1 pep chromosome:AWHD00000000:7:16331345:16335928:-1 gene:ONIVA07G16430 transcript:ONIVA07G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I249] MAMAAASAMRPLAPLFLFFFFSLAASASDNILANSSLADGQKLVSAGGVFELGFFTPPGSTTAARFLGIWYRDIDPPTVVWVANRDAPVSGTAGSLAVVVNGGGGRLVLGDGSGRVVWSSAPSNVTASDPVAARLLDSGNFVLAGGGGAGDVIWQSFDYPSDTLLPGMKFGWDLTTGLDRYLTTWRSAGDPSPGDYTFKIDPRGAPEGFIWYNGTSPVYRNGPWDGLQFSGEPEMEPNNTSFRFEFVANRTDVYYTFVVDGGGGGGVLSRFVLNQSSAQRYVWLPQAGGWSLYWSLPRDQCDQYAHCGAYGVCDVGAASMCGCPAGFAPASPRNWELRDSSAGCARRTRLNCTGDGFLPLRGVKLPDTTNATVDAAIAVDQCRARCLANCSCVAYAASDVRGGGSGCIMWSSPLVDIRKFSYGGEDLFMRLAASDLPTNGDDSSRKNTVLAVVLSLSGVVLLALAAFFVWDKLFRNKVANPVRFQSPQRFTSFDSSIPLNQVQDRKMEDETRHSNELNVTLFDFNTIAFSTDNFANLAKLGEGGFGPVYKGELDGGQTVAVKRLSKFSTQGLDEFKNEVMLIARLQLVNLVRLLGCCIHGEERMLVYEYMENKSLDNFIFDKARSAQLNWSKRFNIILGIARGLLYLHQDSRFKIIHRDLKAGNILLDGDMNPKISDFGVARIFGDDTDSHTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLELVSGRKNRGMYSSGEQTSLLSHAWRLWREGNALALLDEAVAGGGGGGGGYSRSEVLRCVQVGLLCVQERPEDRPHMAAVFMMLGNLSAVVPQPRHPGFCSDRGGGGGSTDGEWSSTCTVNDVTVTIVEGR >ONIVA07G16430.2 pep chromosome:AWHD00000000:7:16331345:16335928:-1 gene:ONIVA07G16430 transcript:ONIVA07G16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I249] MAMAAASAMRPLAPLFLFFFFSLAASASDNILANSSLADGQKLVSAGGVFELGFFTPPGSTTAARFLGIWYRDIDPPTVVWVANRDAPVSGTAGSLAVVVNGGGGRLVLGDGSGRVVWSSAPSNVTASDPVAARLLDSGNFVLAGGGGAGDVIWQSFDYPSDTLLPGMKFGWDLTTGLDRYLTTWRSAGDPSPGDYTFKIDPRGAPEGFIWYNGTSPVYRNGPWDGLQFSGEPEMEPNNTSFRFEFVANRTDVYYTFVVDGGGGGGVLSRFVLNQSSAQRYVWLPQAGGWSLYWSLPRDQCDQYAHCGAYGVCDVGAASMCGCPAGFAPASPRNWELRDSSAGCARRTRLNCTGDGFLPLRGVKLPDTTNATVDAAIAVDQCRARCLANCSCVAYAASDVRGGGSGCIMWSSPLVDIRKFSYGGEDLFMRLAASDLPTNGDDSSRKNTVLAVVLSLSGVVLLALAAFFVWDKLFRNKVRFQSPQRFTSFDSSIPLNQVQDRKMEDETRHSNELNVTLFDFNTIAFSTDNFANLAKLGEGGFGPVYKGELDGGQTVAVKRLSKFSTQGLDEFKNEVMLIARLQLVNLVRLLGCCIHGEERMLVYEYMENKSLDNFIFDKARSAQLNWSKRFNIILGIARGLLYLHQDSRFKIIHRDLKAGNILLDGDMNPKISDFGVARIFGDDTDSHTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLELVSGRKNRGMYSSGEQTSLLSHAWRLWREGNALALLDEAVAGGGGGGGGYSRSEVLRCVQVGLLCVQERPEDRPHMAAVFMMLGNLSAVVPQPRHPGFCSDRGGGGGSTDGEWSSTCTVNDVTVTIVEGR >ONIVA07G16420.1 pep chromosome:AWHD00000000:7:16328550:16330582:1 gene:ONIVA07G16420 transcript:ONIVA07G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQALAIDSLCITVEKENRTRQLPLAAIPAHRVIAWLRFASRRRVRRLNLSLPADDDMAARAPRRRVGGEEKTMEALPIDLLAMERATSIVLDLGHRFRLRLMMIPPPVAGGSGAFTKLTALTIIAAAVESRDLEALVSSHCPRLERLSIIGVKLLGGGGAASSFSIRSDSLTSLYIHLRDSGLEEVVAPRLEKLHASGDTGFHVAAPMLAEVSWQDVHRAYLSNGVRRRPLKIAGAARSLRRLCITSPCSVGYLLQRFDAVDWLDLTVAVPQGVEAYRTFMDDMDNLPKCETLVVALIAQFHGFVPSMLHLLRRCSHVKKLVVMIIEHRDPPPLSPSSFCSTACPCRSPDIYKTDGIALDCLEEVEIRPIGSAPVGIVAEFVDQIFRLDAAMLKKVVYQQSPFRPDQEGYEKVRSMYLSNPRIEFRQLASTTQSKDVTVLCSVNQS >ONIVA07G16410.1 pep chromosome:AWHD00000000:7:16316346:16319909:1 gene:ONIVA07G16410 transcript:ONIVA07G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYAATVEPWLEFASRRVAGNLTLNFSVAGGLELPACERATSINLHVGHLRLPPAGSFAALASLSIVGARMQGGDFEAVCSPRCPRLRRLAVRSVTLVGGAADVSVRSGSLEWLVFMAQGVGRLEVAAPRLRYFRAEAKAAAGDVSDVSVASPVLEDVAWYGEFDPRRHRFAEAGHRLRKLMVMDMPTAALMRRFYIVDELVLSFGISPGIRGYKTFLNATSMIAKCEVLEVQVTTRRHAFSSAVLHLLRKSVGVAKLLIRLPRMGNKSCTEGCPCSLTDSCNTDKIQLDSLKEVEILEFQGEFNQMKFINLLLDCQAPILKKVYVRIPKDVKSISNTKSKKIRSIIDGHPEIDVEFKLWS >ONIVA07G16400.1 pep chromosome:AWHD00000000:7:16308030:16310424:1 gene:ONIVA07G16400 transcript:ONIVA07G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHHYIPRIRPPAGAGAPVFAALRALTIRCSTFDSLEMERRLVSSLCPRGCRTSRSSPTSSRSPTVRPHRALRVAGARDWTFAAASTAGSPSRRRQRLVRLANTASVAAPNLGDVPWRDIYDPRRHLFVQAPRHVRKLKRVIPARYKVLIPSRYRVIPTKYHAILPRIRYRLDFYDVSGDTCEVSGVRRGVVGGGRVLLHPRSTPNPNLVAGSRVLPRPRSTSKLVAGDCVLCRELVTGDRARRPRLSRRLRPSRRSSSPAPPPLPRRILRRPCPMLELVAGAVSITTQDPPPSMRPCPTP >ONIVA07G16390.1 pep chromosome:AWHD00000000:7:16307557:16308475:-1 gene:ONIVA07G16390 transcript:ONIVA07G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLDEQVAARVVDVSPRHVAEMMPFVAPPIGDSEAELALPPMRRRDANRSHGLTRAARVDRPTGFDGDCQAMQRRGGVGGIDGVGGARLGGVASCAGQRGELGEARPDAAPSAGQEARARRVGGGREKDAVEELFGEVADAVVAAMEVAHDINLLV >ONIVA07G16380.1 pep chromosome:AWHD00000000:7:16306822:16307133:1 gene:ONIVA07G16380 transcript:ONIVA07G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H4 [Source:Projected from Arabidopsis thaliana (AT2G28740) TAIR;Acc:AT2G28740] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONIVA07G16370.1 pep chromosome:AWHD00000000:7:16302535:16304920:1 gene:ONIVA07G16370 transcript:ONIVA07G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELSRLLEWSRPSSLNPSLVAAAPQSLRPFRTAALFPATVGRWLSMAAAAARAGFRRMFSVSGFTPPPPPAARPVADPCNNLFVSGLNKRTTSDGLREAFSKFGQVIEARVITDRISGYSRGFGFVKYATVEEAGEGIKGMDGKFLDGWVIFAEYAKQREAQQPAQPAASTGYQYSNQ >ONIVA07G16360.1 pep chromosome:AWHD00000000:7:16296165:16301977:1 gene:ONIVA07G16360 transcript:ONIVA07G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit H family protein [Source:Projected from Arabidopsis thaliana (AT3G42050) TAIR;Acc:AT3G42050] MDHAELTTEQVLKRDIPWESYMANKLISGTCLQLLRRYDHKPESQRGPLLDEDGPSYVRVFLNILRNISKEDTVEYVLALIDEMLAVNPKRAALFYDNSLSGEDIYDPFLRLLLKGNWFVQEKSCKILTQIISARPKMQNGIVPNGEASNSKSKLTSTQDVLRGLVDWLCSQLRNPTHPNCSVPTAMHCLATLLREQYVRALFVQADGVKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVIMSIRNLLAKGAFAAQMIDLGLPHIVQNLKAQAWTDEDLLDALNQLEIGLKDNLKKLSSFEKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSADTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENAEVRKNALLCVQRLFLGAKYASFLQT >ONIVA07G16360.2 pep chromosome:AWHD00000000:7:16296165:16301977:1 gene:ONIVA07G16360 transcript:ONIVA07G16360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit H family protein [Source:Projected from Arabidopsis thaliana (AT3G42050) TAIR;Acc:AT3G42050] MQNGIVPNGEASNSKSKLTSTQDVLRGLVDWLCSQLRNPTHPNCSVPTAMHCLATLLREQYVRALFVQADGVKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVIMSIRNLLAKGAFAAQMIDLGLPHIVQNLKAQAWTDEDLLDALNQLEIGLKDNLKKLSSFEKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSADTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENAEVRKNALLCVQRLFLGAKYASFLQT >ONIVA07G16360.3 pep chromosome:AWHD00000000:7:16295784:16298350:1 gene:ONIVA07G16360 transcript:ONIVA07G16360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit H family protein [Source:Projected from Arabidopsis thaliana (AT3G42050) TAIR;Acc:AT3G42050] MSNSSPDPSRKRKGRKKKPTPRRKQQRPPPLYPAGGGLFGHPVMDHAELTTEQVLKRDIPWESYMANKLISGTCLQLLRRYDHKPESQRGPLLDEDGPSYVRVFLNILRNISKEDTVEYVLALIDEMLAVNPKRAALFYDNSLSGEDIYDPFLS >ONIVA07G16350.1 pep chromosome:AWHD00000000:7:16293790:16295377:1 gene:ONIVA07G16350 transcript:ONIVA07G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARARGSGGGGGEEVKVEDDFVDSVLNFGGGGGGEEDGDDGEEEQQQQQAAAAAMGKEFKSKNLEAERRRRGRLNGNIFALRAVMSKEATLSDAIEHIKNLQNEVLELQRQLGDSPGEAWEKQGSASCSESFVPTENAHYQGQVELISLGSCKYNLKIFWTKRAGLFTKVLEALCSYKVQVLSLNTISFYGYAESFFTIEVKGEQDVVMVELRSLLSSIVEVPSI >ONIVA07G16340.1 pep chromosome:AWHD00000000:7:16285205:16287741:-1 gene:ONIVA07G16340 transcript:ONIVA07G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVPCLADLLSAARTMSPRFDLIHRKALPEFNR >ONIVA07G16330.1 pep chromosome:AWHD00000000:7:16282593:16284705:-1 gene:ONIVA07G16330 transcript:ONIVA07G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46870) TAIR;Acc:AT3G46870] MAAASRAPLARRSLLLLRYKALPLSSPSSSSSSTHSLLPRPPALWPPPPPPPPHGCERRRAFHDGRPRGPLWRSKKLIGKEALFAIQGLKRFKGDEERLGEFVRRYVARLLKADKLAVLGELERQEEVDLAVKMFRIIQKEDWYKPDVFMYKDLIVALAKCKKMDEAMVIWGNMTDENLFPDAQTYAEVIRGFLRYGSPSDAMNIYEEMKKSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGLR >ONIVA07G16320.1 pep chromosome:AWHD00000000:7:16277998:16278363:-1 gene:ONIVA07G16320 transcript:ONIVA07G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLFCLVSSQLAMTAVMGRPFPLFYGGGGGAAASIADAPTSSSSSDGGGGGGHLLHVYSLLESSFAESPMSSHHRNHSPFDRKFAGGKVILGGLAAAIFAAVFCYIRITRRKKIEPKS >ONIVA07G16310.1 pep chromosome:AWHD00000000:7:16268435:16273332:-1 gene:ONIVA07G16310 transcript:ONIVA07G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVKAARPAFRGAHDGVAFAANAAFLAAGYSLCAVGPAALTDPPPSGEEEVGIDGWNSMDNCYAFLYIKEERGKKKRVLVKCLVIGDVLAIDVLDLEAQNKGPYNIQINVKDFFSEEQPKNYGNMYKNFAGLIETMNSNALSKLDEKDAGAAKNPEVETSSSIHRSENPGLRTTEPGLVYPPIAPLGHDDAFPGPGAGFYPHSGIGGGGSMHVGPNDPRFFPSNPFPVPFGGPGSVPPGGRYDPIGPPDVPGFEPSRFVRRPRPPAGTTHPDLEFFQPGPHGPF >ONIVA07G16300.1 pep chromosome:AWHD00000000:7:16262960:16265751:1 gene:ONIVA07G16300 transcript:ONIVA07G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRPLAVLLLLLLAACALPLARSRAAPRTAVGGGGGAAAADTIHELLRTHGLPGGLLPRGVESYSLDEANGLLEARLSAPCYAKYDDGDLAFFDTVVRGNLSFGALRGVEGLSQEELFVWLPVKGIVVADPGSGVILFDIGYAHKRLSRSLFEEPPDCKPSASAGTDAADAARWKDRQGEIAAIHSFIHSPISSLLLEMPSDLCSWPEVHGRGIIRSRKPPGPEVKRGVKTRKCNEGEIQTRRQRQLAEPVFPLCKNPVVRLLLMLQTTTATACRGFGCIYLREMWLSSE >ONIVA07G16300.2 pep chromosome:AWHD00000000:7:16262931:16266809:1 gene:ONIVA07G16300 transcript:ONIVA07G16300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRPLAVLLLLLLAACALPLARSRAAPRTAVGGGGGAAAADTIHELLRTHGLPGGLLPRGVESYSLDEANGLLEARLSAPCYAKYDDGDLAFFDTVVRGNLSFGALRGVEGLSQEELFVWLPVKGIVVADPGSGVILFDIGYAHKRLSRSLFEEPPDCKPSASAGTDAADAARWKDRQDVPGLRFMGEASSGAENHQDQR >ONIVA07G16290.1 pep chromosome:AWHD00000000:7:16241696:16245364:1 gene:ONIVA07G16290 transcript:ONIVA07G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVPIDGDGDGEGEGGRAKAVTDPAPAPAPAPMDMDGDRGALDVLAPAPMAMDLDRDRGRDRDGYGDGEALVASTRNGRGRGRGHLNDLPEDILAVILRRLLDTRAAARTSLLSRRWRHVWKWVSGLFLHHYQPHDSSIVRAALAAHAVHAVTNINKLYVVCLRSATPDAAASWIRVAAPLISGELAFCNRASVPFHMLFDEVFSDPIEERGALELPCFTRATKIALRLGCLGLSLPPSGVFAALRELRLSFVRFHGELTLDDTVMPFLEGLEIYSARGLASLTLRLKHLNWMNLSAVRGLLRLNAVVPRLKFLTVSCCFRSSTWLVAMAGVCIVAEELQVLKWLDWYCPRLIKFSQMPRLYVLSVSPFYPYGRHRQHTKFNPSCDRLLKTFSRIRCLEMLVFIEPHLGGVNPLMEGITRLPDIRFLHLQFSAHGHVYGASVLYMLTMCTGISNLKIGGDRYKDQDVCPPNCSCDQPPNWRDNKDISMRSLREVQMLNFRGKEHELDLLRVLVRVATGIRRIRITCHRSFAAWERLSAVIRSFARPETSVEVSQAPPSLQRQ >ONIVA07G16280.1 pep chromosome:AWHD00000000:7:16235252:16238788:1 gene:ONIVA07G16280 transcript:ONIVA07G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42310) TAIR;Acc:AT5G42310] MPASSLLPPTLLPHRHRLRLPPAGCSTSSSPSATRYDFDPLLSYLSTTSSSPSPPSTSVLPVTESRLAASYAAVPAREWHALLRELAATDASLPLAFALLPFLHRHRLCFPLDLLLSSLLHSLSVSGRLLPHSLLLSFPPSLSDPPSPLLLNSLLAASAAASRPAVALRLLGLLREHSFLPDLASYSHLLASLLNTRDPPDAALLDRLLGDLRESRLEPDAPLFSDLISAFARARLPDAALELLASAQAIGLTPRSNAVTALISSLGSARRVAEAEALFLEFFLAGEIKPRTRAYNALLKGYVKIGSLKNAEQVLDEMSQCGVAPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPSSYVFSRILAGFRDRGEWQKAFAVLREMHASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIEPDVVTWNTLIDAHCKGGRHDRAIELFDEMRESNCPLGTTTYNIMINLLGEEQRWEGVEAMLAEMKEQGLVPNIITYTTLVDVYGRSGRFKEAVDCIEAMKADGLKPSSTMYHALVNAYAQRGLADHALNVVKAMRADGLEASTVVLNSLINAFGEDRRIAEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFEKVPVIYEEMITSGCAPDRKARAMLRSALRYMKHMRVA >ONIVA07G16270.1 pep chromosome:AWHD00000000:7:16207847:16232094:-1 gene:ONIVA07G16270 transcript:ONIVA07G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEQLVEEFGDLVVDESGAFELPCFMRVTKITLHLGFLGLSLPPSGVFAELRELHLVHVQFNGELTMDDVMLPSLERLDIRHSSGLASLTLRLAPLTLMTLYNVRWLRRLNAELPGLEVLSVIRCFHVHLEGVRILAEELEQLRWLDLYWPGLVYFNRMPRLRTLVPPAVYPYGLQRVLFNRSCQMLLNLCPSIYRVVLIVDIEPEQGGHHGVRPLMEGITQLPHIKILSLNLQTQGHAYGASVLHILTMCTGIAKLSLRNQEDFQVENACPPNCLCDRPRNWRDKDISMMSLREVEILNFRGRQHELDLVRVLVRVAPALDLVRIICHRSSTAFGVELLRAYVRSFASFRTSVEPNPPNHPPRLPPRPSKSNPKTPSRRRPWRRRSWRRVPIDEGSDGEGGSATDVAASSPAPMDIDGDDDGRDRGGEGDGAAASVPAADGSSAALSLTLGLHVHGDGGGADRLSDLHEDILAKILGHLRDIRNVATTAVLSRRWLDLWTHVDIIVLQSSVPSEILNEEMDVVEQLVEELGDLVVDESGAFELPCFMRVTKITLSLGFLGLSLPPSGVFAKLRELHLVHVQFNGELTMEDAMLPSLEWLEIRHSSGLASLTLRLAPLTLMTLYNVRRLRRLNAVLPGLEVLSVTECFLEDLEGVSIVAEELEDLRWLDMYQPGLVYFKRMPRLQMLLPPAVYPYGLQNVFFNRSCQMLLNLCPSIDGLLLFVEIELEQGDKHGVRPLMEGITQLPHIKILCLNLLTRGHAYGASVLHILTMCTGIAVLGLRIEEDFQVENACPVNCLCDRPRNWRDKDISMRSLTEIVILNFRGKQHELDLVRVLVLVAPALDLDFYGSESNDGEGGSATDVAASSPAPMDIDGDDDVRDRRGEGDGGGVDRLSDLSNDILAKILGHLRDIRNVATTAVLSRRWLDLWTHVDIIVLQYDEPPDSRIVQEVLAAHAGKGSTATDIRLLEVTSLNSATAGATASWLRVAEPRLTGELFFRNASSVPFELLNDEMVVVEQLVEELGVVVDEMGAGFELPCFMRVTKITLSLGFLGLSLPPSGVFAKLRELHLVYVRFNGELTLDDAMLPSLECLDIGKSRGLASLTLRLAPLTLMALHDMRWLRRLNAVLPGLKELSVSECFLEHLDGVSIVADEMEQLRWPGFYWPGLVYFSRMPRLRTLCVSVSDFAHGSREAFNQGSQMLLNRYPSIHHLELRVVIKTGVTPLMVGITGLPYTKILTLHLVTEGHSYGASVLHILTMCTRIAKLTLMIPKYFEVEDACAEICICDWLPNWRNKNILLECLEEVTILYYRGEDDELDLLKLLVRGATGLRRIRIARYCSVADWEIEMLRADLLPPLSWQRARLAAPRVTRDDGFRLRYAAGKSVALTSFFCLGFGTFRGNGFGFGGYCRAGARAAVRVIDREMSIHSTRAMVVIVPIYGDGDGEGGSGTFVADNPPDPAPAPMDIDDDGDGRDRGGQGDSPATQDLLSKLNGSGGEGDSPATQDLLSKLNGSGGEGDSAAAARVPGGEGDSSPLSLALGLHLHVHGDGGGRDGVDRISNLPNDTLAFILGQLGDTRNAAVTSVLSRRWINLWTQVDILILRYDKPPDSRFVQEALAAHAHATEGSETTAIRLLEVISLNRATPEATAAWLRVAAPRLTGELYFRNRSSAPFEALDLEVFSILYEAVVEQEMIWSSSWFQLPCLTEVTKITLSLGFLGLSLPQSGSFGKLRELHLEHVRFNGDYTLDDAMLPLLEYLGIRRSNGLASLTLRLESLGWMGLYDVVGIRRLDAVVPGLKALCSVGCFCYHDVDSVSIVAEELEEIEWEDFYSPQSFNFNDLPLLTMIHTHCVFCSESNEAFIEGYYQLLLNRYPRISHLDLRFVIELIRDEKSVTDSMIDNIQLPYIRMLNLALKTEGHVYGASVLHILTKRTTIAELRLVNQEKFKSDDACKLECICDGPPDWRETDISMRYLRKVEILNFRGEEHELDLLRVLVRVAPALRMIRIICHRSCAAWETLSAHIRGFAREATSVEVSLSE >ONIVA07G16270.2 pep chromosome:AWHD00000000:7:16207847:16232094:-1 gene:ONIVA07G16270 transcript:ONIVA07G16270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVEQLVEELGDLVVDESGAFELPCFMRVTKITLSLGFLGLSLPPSGVFAKLRELHLVHVQFNGELTMEDAMLPSLEWLEIRHSSGLASLTLRLAPLTLMTLYNVRRLRRLNAVLPGLEVLSVTECFLEDLEGVSIVAEELEDLRWLDMYQPGLVYFKRMPRLQMLLPPAVYPYGLQNVFFNRSCQMLLNLCPSIDGLLLFVEIELEQGDKHGVRPLMEGITQLPHIKILCLNLLTRGHAYGASVLHILTMCTGIAVLGLRIEEDFQVENACPVNCLCDRPRNWRDKDISMRSLTEIVILNFRGKQHELDLVRVLVLVAPALDLDFYGSESNDGEGGSATDVAASSPAPMDIDGDDDVRDRRGEGDGGGVDRLSDLSNDILAKILGHLRDIRNVATTAVLSRRWLDLWTHVDIIVLQYDEPPDSRIVQEVLAAHAGKGSTATDIRLLEVTSLNSATAGATASWLRVAEPRLTGELFFRNASSVPFELLNDEMVVVEQLVEELGVVVDEMGAGFELPCFMRVTKITLSLGFLGLSLPPSGVFAKLRELHLVYVRFNGELTLDDAMLPSLECLDIGKSRGLASLTLRLAPLTLMALHDMRWLRRLNAVLPGLKELSVSECFLEHLDGVSIVADEMEQLRWPGFYWPGLVYFSRMPRLRTLCVSVSDFAHGSREAFNQGSQMLLNRYPSIHHLELRVVIKTGVTPLMVGITGLPYTKILTLHLVTEGHSYGASVLHILTMCTRIAKLTLMIPKYFEVEDACAEICICDWLPNWRNKNILLECLEEVTILYYRGEDDELDLLKLLVRGATGLRRIRIARYCSVADWEIEMLRADLLPPLSWQRARLAAPRVTRDDGFRLRYAAGKSVALTSFFCLGFGTFRGNGFGFGGYCRAGARAAVRVIDREMSIHSTRAMVVIVPIYGDGDGEGGSGTFVADNPPDPAPAPMDIDDDGDGRDRGGQGDSPATQDLLSKLNGSGGEGDSPATQDLLSKLNGSGGEGDSAAAARVPGGEGDSSPLSLALGLHLHVHGDGGGRDGVDRISNLPNDTLAFILGQLGDTRNAAVTSVLSRRWINLWTQVDILILRYDKPPDSRFVQEALAAHAHATEGSETTAIRLLEVISLNRATPEATAAWLRVAAPRLTGELYFRNRSSAPFEALDLEVFSILYEAVVEQEMIWSSSWFQLPCLTEVTKITLSLGFLGLSLPQSGSFGKLRELHLEHVRFNGDYTLDDAMLPLLEYLGIRRSNGLASLTLRLESLGWMGLYDVVGIRRLDAVVPGLKALCSVGCFCYHDVDSVSIVAEELEEIEWEDFYSPQSFNFNDLPLLTMIHTHCVFCSESNEAFIEGYYQLLLNRYPRISHLDLRFVIELIRDEKSVTDSMIDNIQLPYIRMLNLALKTEGHVYGASVLHILTKRTTIAELRLVNQEKFKSDDACKLECICDGPPDWRETDISMRYLRKVEILNFRGEEHELDLLRVLVRVAPALRMIRIICHRSCAAWETLSAHIRGFAREATSVEVSLSE >ONIVA07G16270.3 pep chromosome:AWHD00000000:7:16207847:16232094:-1 gene:ONIVA07G16270 transcript:ONIVA07G16270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEQLVEEFGDLVVDESGAFELPCFMRVTKITLHLGFLGLSLPPSGVFAELRELHLVHVQFNGELTMDDVMLPSLERLDIRHSSGLASLTLRLAPLTLMTLYNVRWLRRLNAELPGLEVLSVIRCFHVHLEGVRILAEELEQLRWLDLYWPGLVYFNRMPRLRTLVPPAVYPYGLQRVLFNRSCQMLLNLCPSIYRVVLIVDIEPEQGGHHGVRPLMEGITQLPHIKILSLNLQTQGHAYGASVLHILTMCTGIAKLSLRNQEDFQVENACPPNCLCDRPRNWRDKDISMMSLREVEILNFRGRQHELDLVRVLVRVAPALDLVRIICHRSSTAFGVELLRAYVRSFASFRTSVEPNPPNHPPRLPPRPSKSNPKTPSRRRPWRRRSWRRVPIDEGSDGEGGSATDVAASSPAPMDIDGDDDGRDRGGEGDGAAASVPAADGSSAALSLTLGLHVHGDGGGADRLSDLHEDILAKILGHLRDIRNVATTAVLSRRWLDLWTHVDIIYDEPPDSRIVQEVLAAHAGKGSTATDIRLLEVTSLNSATAGATASWLRVAEPRLTGELFFRNASSVPFELLNDEMVVVEQLVEELGVVVDEMGAGFELPCFMRVTKITLSLGFLGLSLPPSGVFAKLRELHLVYVRFNGELTLDDAMLPSLECLDIGKSRGLASLTLRLAPLTLMALHDMRWLRRLNAVLPGLKELSVSECFLEHLDGVSIVADEMEQLRWPGFYWPGLVYFSRMPRLRTLCVSVSDFAHGSREAFNQGSQMLLNRYPSIHHLELRVVIKTGVTPLMVGITGLPYTKILTLHLVTEGHSYGASVLHILTMCTRIAKLTLMIPKYFEVEDACAEICICDWLPNWRNKNILLECLEEVTILYYRGEDDELDLLKLLVRGATGLRRIRIARYCSVADWEIEMLRADLLPPLSWQRARLAAPRVTRDDGFRLRYAAGKSVALTSFFCLGFGTFRGNGFGFGGYCRAGARAAVRVIDREMSIHSTRAMVVIVPIYGDGDGEGGSGTFVADNPPDPAPAPMDIDDDGDGRDRGGQGDSPATQDLLSKLNGSGGEGDSPATQDLLSKLNGSGGEGDSAAAARVPGGEGDSSPLSLALGLHLHVHGDGGGRDGVDRISNLPNDTLAFILGQLGDTRNAAVTSVLSRRWINLWTQVDILILRYDKPPDSRFVQEALAAHAHATEGSETTAIRLLEVISLNRATPEATAAWLRVAAPRLTGELYFRNRSSAPFEALDLEVFSILYEAVVEQEMIWSSSWFQLPCLTEVTKITLSLGFLGLSLPQSGSFGKLRELHLEHVRFNGDYTLDDAMLPLLEYLGIRRSNGLASLTLRLESLGWMGLYDVVGIRRLDAVVPGLKALCSVGCFCYHDVDSVSIVAEELEEIEWEDFYSPQSFNFNDLPLLTMIHTHCVFCSESNEAFIEGYYQLLLNRYPRISHLDLRFVIELIRDEKSVTDSMIDNIQLPYIRMLNLALKTEGHVYGASVLHILTKRTTIAELRLVNQEKFKSDDACKLECICDGPPDWRETDISMRYLRKVEILNFRGEEHELDLLRVLVRVAPALRMIRIICHRSCAAWETLSAHIRGFAREATSVEVSLSE >ONIVA07G16260.1 pep chromosome:AWHD00000000:7:16206096:16207088:-1 gene:ONIVA07G16260 transcript:ONIVA07G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVPIDGGGDGEGEGGRATAVADPAPAPAPMDIDGDRGALDVLAPAPMDLDLDRDRDGGGDRAALVASTSNGGGRGGLLRDLPEDILAGTLGCLRDTSAAARTSLLSRRWRHVWKWVSGLFLHHYPAPRLQPRARRPRRPRRARGHQHQPALRHLPPSTAPRRTPPPPGSASPRPSSPESFSSATGARFRSTCFSTRYSAIPSKKEARSSSPASREPPRFGCAWGFFAYRSLPPSGVFAALRELHLVFVRFNGGELTLDDTRMPFLEGVEIWCSRGLASLTIRLKHLISMNLYAVRGVVWAQCRGAETHSSLINPSWSIFDLLYTQLI >ONIVA07G16250.1 pep chromosome:AWHD00000000:7:16201459:16201822:1 gene:ONIVA07G16250 transcript:ONIVA07G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQLVLADDDKPTFALLTKLHLSETVITDDEGGYILELVSIYSCVRELTIHTSSLLTLFLVSVNDLQWLEVDAANLTKMQVKDCFVIELKDSAMSLSMPAMEEFC >ONIVA07G16240.1 pep chromosome:AWHD00000000:7:16190582:16193464:1 gene:ONIVA07G16240 transcript:ONIVA07G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGSSSPAKLERDERAPVVSVGAAAYEGPDRISSLADALLHHILVFLPVVEAIRTCVLSRRWARVWTGLPRLRLDDGAAEAVGSFPALVDGVLRRYDARVNLRDLTVSAHVGEEEELGGLENDDVVSLVGAAARLVTGRFRLDVSRGINISEDYDEEANLLALPCFERATEIAISIADMAVQLAPDDHRGRTFAHLTKLHLSNTFVADEGELLSEVVSHGCPCLKTLELIDIHAGARELTIHTTSLLTLCVVSINDLQLLDVDAANLRWMKVKDCFDIDAAETEGSAMSLSTPAMEEFYWKDCCPEEVKLVREPAGFIHKIACVDSASTNLSFISGSQSFYTRILQLFSSTCTEVLQIEFPIKPESEEQKKFLHTVDLPYCLELELIVEKKEHTLAPTIVHLLKKSRWIKRFSLEICPKKNHIQCEPNCTCRQPPNWRDQEISLGSLEELSINGFGGTYDEKQLVLFIVENSKLLRKVSLVSSVNLHSYKSFLDNLRQLCTSDCTIELNNNNLV >ONIVA07G16230.1 pep chromosome:AWHD00000000:7:16184491:16188741:1 gene:ONIVA07G16230 transcript:ONIVA07G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRCAAHKKASLSGGSVGAGAGGGRGYYGGPDRISSLPDELLHHVLSFVTTPEAVRTSALSRRWVGVWKRVPRLHLLEEEATSAGHIPDHFDGILRRYAADVDIADLAISYHWDWPEVDGDRASAWAAFAARRVTGRFYLAVTTQVGHDDDAVLDLPCFERATEISLYSSGMAVRLPALDDTAAAGGFTRLTRLRISELRFSDAGEGISGVVSRRCPSLECLELEHIDGMEALTLRSDSLLSLRLAYVPLRRLDVAAGNMRKMRVKYCFDGTSRCPWTGGAAMRLAAPALEELGWEDAYPDKVELISLPSCLMELAVVELPSHIIHEIGQSDFTKILKLFSRAHVLRLTSPMTATATLDSEEQESLIHSVQLPYYSELDLGVITNGHSSFGSTVVHFLRRNSSIRNLTLTLNPYHPKENKFAPCCMSNCTCHEPLKWWDQDIPLDSLEQLAIKHISGHREAKKLKSIWIQKKQ >ONIVA07G16220.1 pep chromosome:AWHD00000000:7:16177066:16179269:-1 gene:ONIVA07G16220 transcript:ONIVA07G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRCIAHKRARLSGGSVGSSAGGGRGYGGPDRISRLPDELLHHVLSFVTTPEAVRTSALSRRWVGVWKRVPRLHLLEEEATKAEHIPDHYDGILRRYAADVDIADLAITYHWDWPEVDGDRASAWAGFAARRVTGRFDLAVTSQVGRGGDDDERAVLDLPCFERATEISLYSSGLAVRLPAELDAAGDGDFTRLTKLQISGSAMPARASAASSRGGARAWIASIELEHIAGVEALTLRTDSLLSLRLVSVSRLQRLDVEAGNLRRMRVEYCFDETRSCRPWNGGGAAAVMRLSAPALEELGWEDACPDEVERIRLPTCLRELVVSELPSHIIRSMGQSDFTKILELFSGADVLKLTSPMTATLDSEEQESLIYSVQLPYYSKLDLGVITKGHKSYGSSVVHFLKRNSSIRNLTLTLHPYHPEDKRCAPCCMSNCNCHEPLKWWDEDISLDSLEQLCVKHIWGECEGKKLIYFIMRNSKVLKKITVVFSSWLCS >ONIVA07G16210.1 pep chromosome:AWHD00000000:7:16174810:16176146:-1 gene:ONIVA07G16210 transcript:ONIVA07G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPDESDGHIGFSYHYTIPPTQPLERTPCRGSSAPVGQPPQTLDPSPHVFRRHSSSPTKLECERASVSGGSDRDGDAAYECPDCISSLAAVLLHHILVFLPVVEAIRNCVLSHCWVCVWTGIPQLQLDDDAWRVDLLELIVEKKHHTLDPTIVHLLKKSKWTKRFSLKICPKKIHIHASPTAIVANRQTVGIKRSRWARLKN >ONIVA07G16200.1 pep chromosome:AWHD00000000:7:16173204:16174338:-1 gene:ONIVA07G16200 transcript:ONIVA07G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNACLFFGKEGLESKEQKKFIHGVNLPCIFFRARPHCGKQSTHGRPNHCTHSQEKQVDQKVFSQNVSKGMSIIVLLIDGSIKFIFKASPPTLVANRQTRRIKRSHWARSKN >ONIVA07G16190.1 pep chromosome:AWHD00000000:7:16171233:16172791:-1 gene:ONIVA07G16190 transcript:ONIVA07G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRSVWMDSNNVEYCVALNRLSSLWNLLDALHKIACVDFASTYLSLIKEANHIILGSWSYSQALALIFYGSNFVLNRHKYSMFVEQDFVESKEQKKFIRNVNLPYISELGHIVEKNQRMLALIIVHLHKKSRWIKSSLSKYVQNSFLYHFNSFSISEIHIHCEPNYTCRQPPNLRDQEISLDRLKN >ONIVA07G16180.1 pep chromosome:AWHD00000000:7:16160248:16161658:-1 gene:ONIVA07G16180 transcript:ONIVA07G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRPRSYWAVWINGPEGRFPSRSPPRSSSPPAPPQPPQLNSLRQRAAAPSTMPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSKYLYTLCVFDADKANKLKQSLPPGLTVQEV >ONIVA07G16170.1 pep chromosome:AWHD00000000:7:16155045:16159125:-1 gene:ONIVA07G16170 transcript:ONIVA07G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescent 109 [Source:Projected from Arabidopsis thaliana (AT5G36170) TAIR;Acc:AT5G36170] MIDCPRLLLAAARASPYPSSAAHRRVCTAGVPPVPVYCRVSHRRRSSTWVNTVQSASSGSGGQSSVAESSEATEWAMQDFYALRKDVELALARVGEVRQSAGLDQLEEEIASLEKKSADSSLWDDPSKAQEILVALTEVKDRVKLLNDLKSQVEEAETIVKLTEELDSIDTGLLEEASKIITALNKALDNFEMTQLLSGPYDKEGAVINITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATIELEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMDVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTACETSDITGVMDGELDTFIRAYLKYKLSAAAEEQGVK >ONIVA07G16160.1 pep chromosome:AWHD00000000:7:16143791:16144538:-1 gene:ONIVA07G16160 transcript:ONIVA07G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVADEQEKGPRMNMKKPLAVDHTELAMDPWWDGVCCKDHPAFFDHLSMVMTCKLDLDFKPVVSKKEMESFCQAHRSTKVGIESL >ONIVA07G16150.1 pep chromosome:AWHD00000000:7:16140285:16143212:1 gene:ONIVA07G16150 transcript:ONIVA07G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT3G22104) TAIR;Acc:AT3G22104] MDMAAAAAEVSTPPSLVKMTEKALDEMPQWSWQSVVDAVRQCRRLLPTADATGVFDRVVGALVSHVAVSPGDATPTSSSPESSAFRFSCDTKSSCLSARCTSRTWWFEDLAVVLGPGMVERVAGALLARGTDHGVVSRFLFYYLKCRVAGAATADDKRAMLEAAIAAMSGLDRSSVSCRGLFGILRISSPLKLPTSCHDSLVAMIGAKLDHATLDNLLVPAPPGTTSSLYDVTLVLRFLDAFLHHAAATGARGETPRLKKVGKLVDLYLAEVAPDPSLRPAMFVELATALPAAARDCHDALYRAIDVYFQVHTRLTEEEKMRICKGINYEKLSPECCKHLARNAGFPTRAAVQALASQHTVLKSLLRGGGPDEHLRPVSAAKHRAGGEDHDDLVAAGGQVVLYAGRLDLSLESQNLRSLLDGMHWRVMELEKVCSRMKTQMSKMKAARRATGGGGGAAAGRRAASRSLPRMCS >ONIVA07G16140.1 pep chromosome:AWHD00000000:7:16128864:16132166:-1 gene:ONIVA07G16140 transcript:ONIVA07G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPINIPEKTSRVILPWGGTGGGDPAAPLLPPSASPPPERPSAKPAGRKDGGGGASRRPCFGRAGESSSTGDGGRQIRAAPAGFGGVATGGGKGDDEGRGARCWAAVVEGGRDGVC >ONIVA07G16130.1 pep chromosome:AWHD00000000:7:16119849:16122314:-1 gene:ONIVA07G16130 transcript:ONIVA07G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFAFVRRCRRLSSAPVDQAAEEEPAAVAPPAEKRRRRPSGPAWKPTLGAISEDAAVASSAAAKAKPAARTKAKAKGKAAAPPPPRRVVRSEYDDFRHYGAPTVLPAFAPTAFLF >ONIVA07G16120.1 pep chromosome:AWHD00000000:7:16115141:16120861:1 gene:ONIVA07G16120 transcript:ONIVA07G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAVTTMTLKDFHGGSIPSELPLPSAPGVSARPADRPVASQAAAPAASARPRAPATSAAAAAAAAAAVPSFLTNPSRIGRHFDEDERTPFEAASTPRRPAPSPPSFAPSPAAGPTRSGPGNAWGPRREAAPTAAPVSPAASGGGGGQIWSATRIAQASAVEKVISGRWNSSKPSSPPAAPVSVPVVVETHVAPPEMERPKSVGVRELDGGIERSVAPVRPASHEGRVGEARGLEVQERPRVGDVPERPRVGDVLPERPKLKLLPRSKPIEASEPSPVYVEEKQVHQVPVVANTVQVDVIHDVHQNVVAPKAGVAGADAEGRAVERPRLNLKPRSNAVGQSDESAPKERQSLFGGARPREQVLRERGIDALASDLDKTSPVGRSKSEFAKGEQKVEAMSINPSGEKAESFPAGSRGPRNADKKDYRRDTDRSDVYRPTRREDNRRVARDVEKPEQQRPEPETWRKPVEPPKPEVVAPRFGKGASALELAQAFSKSMSDTVPQSRLTSVPSPKVPQSPGTRDQVGFSRLTDNRALHSSPSQRKINGY >ONIVA07G16110.1 pep chromosome:AWHD00000000:7:16111333:16114685:1 gene:ONIVA07G16110 transcript:ONIVA07G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAVEDAGMDEVQKRLMFDDECILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIQENYLGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVVPNPDEVADVKYVSREQLKELIRKADAGEEGLKLSPWFRLVVDNFLMGWWDHVEKGTLNEAVDMETIHKLK >ONIVA07G16100.1 pep chromosome:AWHD00000000:7:16107164:16110411:1 gene:ONIVA07G16100 transcript:ONIVA07G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18975) TAIR;Acc:AT4G18975] MAGAFSLRLGPRIAGTPPPPASATKGEGRGGGRPTGSRALVSKKPNKEHHLWIRKDSAGSGKKALHLVNTVSKLPNEKEAVYGALDKWTAFEPEFPTIAAAKALEMLKRRRQWLRIIQVAKWLMSKGQVLTWTTYDTLLLALFMDGRIDEAESIWNNIIQTHTRSVPKRLFSRMILIYDTRHCPDKVLEVIYADMEELGVHPDEDTTRRIGRAFVTLGQEDKEKIVLEKYLKKYKYMHFNGERVRVRRGGPLT >ONIVA07G16090.1 pep chromosome:AWHD00000000:7:16100498:16105605:1 gene:ONIVA07G16090 transcript:ONIVA07G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHQLLKYRLTGANVVYEIPTENNLQNSPWQANPLKYEFSDSPYTPLSSQFECDNLSALTNTPDNQSSTETISAQPISPLEADSSYRQTGILLRENIQVGADPLYATSRHNMQHALREIETVLMAPDTDDATTSTKHEFEEIKPAQLVRQRSRTWSHESRQPLPGVGRSQFASGGYPTASYEFRPEKRQRELREDPQMIVKQLLTRCAEALSEDRTEEFHKLVQEARGVVSINGEPIQRLGAYLLEGLVARHGNSGTNIYRALKCREPESKELLSYMRILYNICPYFKFGYMAANGAIAEALRTENNIHIIDFQIAQGTQWITLIQALAARPGGPPRVRITGIDDPVSEYARGEGLDIVGKMLKSMSEEFKIPLEFTPLSVYATQVTKEMLEIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFGETMEYYSAMFESIDANLPRDNKERISVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFRPYPLSSYVNSVIRKLLACYSDKYTLDEKDGAMLLGWRSRKLISASAWH >ONIVA07G16080.1 pep chromosome:AWHD00000000:7:16071788:16076669:-1 gene:ONIVA07G16080 transcript:ONIVA07G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I209] MMRHCRREWLLALCLISVQLLIPTGCEGVLVAASDMSPPALTPPLINQVDQLVEHAWVKCGLDKRTLQNVRRHFNYNHVLAILRRMSGQDIKDTSPDIDGGTSVLSLEMRDTILNCLSKRNFMSIAGQDGAKILSADYIKALIASLRTDLAQESSTTKSIPEQAGKPVPGKTSTPKPVNKPTDSVSAPTEKENPPTKSVAEKKKDSSGMPNAFIGLSIAGIALMAHLCLCCFMCHGTSSSDLRDDKPLLTLNPSNLSAASKSFQGNPIDVNKLGAVSLKSEAGQNGDVKLISKEGTNNVNVVHPVSSVSESTLMPPPVMPPPEGANNVNVNMVHPVGSLSESTPMQPPVMPPPVPTPPIPKPLSPPAPQAPMPPLKASPVPPPEPSPPPAPKAAPPPPPPKSTGPGPPRPPPPAMPGSSKTRPPPPLKPGANVGAVENSNEAKTKLKPFFWDKVTANPAQSMVWDHLKSGSFQFNEQLMENLFGYNSTDKSSDTKKDLSSKDAAQLIRILDPKKAQNLAISLRALGVSPQEVCNAVKEGSELPSDLIQTLIRWTPSNDEELRLRLYTGELFQLGPAEQFLRVIIDIPYIFRRLDALLFMANLPEEASNVKQSFATLEVACQELRNSRLFMKLLEAVLKTGNRMNVGTFRGGAQAFRLHTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAERAAKEQNSGVSSVKTDDLSDNSEQTEDGYKQLGLEVVSSLGDELQDVRKAAILDADQLTMSVASLGHKLVKTNEFLNMDMKSLDEDSGFHRKLTHFVQQSQTDITFLLEEEKKMQLLVKDTVDYFHGSAGKDEGLRLFVIVRDFLAMLDKVCKEVKEASKVAPVKAKAKQPSQSLQSFRDPRVNLFPAIQHLRADSSSSSSDDES >ONIVA07G16080.2 pep chromosome:AWHD00000000:7:16071788:16076669:-1 gene:ONIVA07G16080 transcript:ONIVA07G16080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I209] MMRHCRREWLLALCLISVQLLIPTGCEGVLVAASDMSPPALTPPLINQVDQLVEHAWVKCGLDKRTLQNVRRHFNYNHVLAILRRMSGQDIKDTSPDIDGGTSVLSLEMRDTILNCLSKRNFMSIAGQDGAKILSADYIKALIASLRTDLAQESSTTKSIPEQAGKPVPGKTSTPKPVNKPTDSVSAPTEKENPPTKSVAEKKKDSSGMPNAFIGLSIAGIALMAHLCLCCFMCHGTSSSDLRDDKPLLTLNPSNLSAASKSFQGNPIDVNKLGAVSLKSEAGQNGDVKLISKEGTNNVNVVHPVSSVSESTLMPPPVMPPPEGANNVNMVHPEGANNMNVVHPEGANNVNMVHPEGANNVNVNMVHPVGSLSESTPMQPPVMPPPVPTPPIPKPLSPPAPQAPMPPLKASPVPPPEPSPPPAPKAAPPPPPPKSTGPGPPRPPPPAMPGSSKTRPPPPLKPGANVGAVENSNEAKTKLKPFFWDKVTANPAQSMVWDHLKSGSFQFNEQLMENLFGYNSTDKSSDTKKDLSSKDAAQLIRILDPKKAQNLAISLRALGVSPQEVCNAVKEGSELPSDLIQTLIRWTPSNDEELRLRLYTGELFQLGPAEQFLRVIIDIPYIFRRLDALLFMANLPEEASNVKQSFATLEVACQELRNSRLFMKLLEAVLKTGNRMNVGTFRGGAQAFRLHTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAERAAKEQNSGVSSVKTDDLSDNSEQTEDGYKQLGLEVVSSLGDELQDVRKAAILDADQLTMSVASLGHKLVKTNEFLNMDMKSLDEDSGFHRKLTHFVQQSQTDITFLLEEEKKMQLLVKDTVDYFHGSAGKDEGLRLFVIVRDFLAMLDKVCKEVKEASKVAPVKAKAKQPSQSLQSFRDPRVNLFPAIQHLRADSSSSSSDDES >ONIVA07G16070.1 pep chromosome:AWHD00000000:7:16069430:16070539:1 gene:ONIVA07G16070 transcript:ONIVA07G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0I207] MAGRGKAIGSGAAKKAMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKAGGSAKAAAGDDDN >ONIVA07G16060.1 pep chromosome:AWHD00000000:7:16066964:16067923:1 gene:ONIVA07G16060 transcript:ONIVA07G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0I206] MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVASVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAGSSKASTVDDDDN >ONIVA07G16050.1 pep chromosome:AWHD00000000:7:16062806:16063156:-1 gene:ONIVA07G16050 transcript:ONIVA07G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVDPASATMTTMMAAVTTTTTEHQEGCSGSANPLPSTKSGRRRIKARAVDPRARGGSVTTCGGGRNLVTGGGRRIWAMAQGTGGASDAGARAWAMDLGISFARKSFFLVASLT >ONIVA07G16040.1 pep chromosome:AWHD00000000:7:16059260:16060806:1 gene:ONIVA07G16040 transcript:ONIVA07G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVVVCLLIIAMDVVAGVLGIHAEKAQHQGRRLRILFIECRQPVRRAYELGVAAAAVLAASHAIANVVGGCSCACSRDRRATPNRQMASFALVISWIVLVVGLALLVLGALPNAERKAAKCGLPRHHFLSIGGILCFVHGLFCLVYYASANAAKREEGGRHA >ONIVA07G16030.1 pep chromosome:AWHD00000000:7:16052718:16055755:-1 gene:ONIVA07G16030 transcript:ONIVA07G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28 family [Source:Projected from Arabidopsis thaliana (AT4G31460) TAIR;Acc:AT4G31460] MSFRAREMYKKVVRRVGGEGKLPAELMASVKNLLPDSKVVMGRAKRGIYAGRHIQFGNKVSEDGGNKSRRTWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGVAWKAKIEKMYSQLAQMEVGFFSPEEETKIEQGFEEARAAKREHRREARRALAKQTQLEAGNAGGDKTAEAASNVAGSYAESVTPFYWQQQQCMATILTTKKNA >ONIVA07G16030.2 pep chromosome:AWHD00000000:7:16054134:16055755:-1 gene:ONIVA07G16030 transcript:ONIVA07G16030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28 family [Source:Projected from Arabidopsis thaliana (AT4G31460) TAIR;Acc:AT4G31460] MSFRAREMYKKVVRRVGGEGKLPAELMASVKNLLPDSKVVMGRAKRGIYAGRHIQFGNKVSEDGGNKSRRTWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGVAWKAKIEKMYSQLAQMEVGFFSPEEETKIEQGFEEARAAKREHRREARRALAKQTQLEAGNAGGDKTAEAASNVAVKS >ONIVA07G16020.1 pep chromosome:AWHD00000000:7:16050998:16052339:1 gene:ONIVA07G16020 transcript:ONIVA07G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMASMTGLSQGVQLPAGPRRAGGRSRLAVVRADAAAADVQTGRRAVLGLVATGIAGGALAQAALAEAAKPIKLGPPPPPSGGLPGTLNSDQARDTDLPLRERFYLQPLPPAEAAARAKESAQDIINLKPLIEKKQWPFVRDDLRLRASYLRYDLKTVINSKPKDEKKGLKDLTGKLFATIDGSPEEAEKYYTLTKSALGDVLAKLG >ONIVA07G16010.1 pep chromosome:AWHD00000000:7:16045678:16048127:1 gene:ONIVA07G16010 transcript:ONIVA07G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVLIRIEVGVLSNDKRSSLGAVLGTGRVGTLTRVALTVLVFLLLLPSHALAAAVARDTSATLVETLPLPTTLVGPESVAFDKFGDGPYSGVSDGRILRWDGADKGWTTYSHAPGYNVAKCMAPKLHPAELTESKCGRPLGLRFHNTSGNLYIADAYKGLMRVGPRGGEATVLATEADGVPFKFTNGVDVNQVTGEVYFTDSSTRFQRSQHERVTATGDSTGRLMKYDPTTGYLDVLQSGMTYPNGLALSADRSHLVVALTGPCKLVRHWIEGPKAGTSEPFAELPGYPESRTT >ONIVA07G16000.1 pep chromosome:AWHD00000000:7:16043626:16044678:-1 gene:ONIVA07G16000 transcript:ONIVA07G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGTGRVGTLTRVALTIVVFLLLLPSHALSAAVAKDTSATLVETLPLPTTLVGPESVAFDKFGDGPYSGVSDGRILRWDGADKGWTTYSHAPGYNVAKCMAPKLHPAELTESKCGRPLGLRFHNTSGNLYIADAYKGLMRVGPRGGEATVLATEADGVSFKFTNGVDVNQVTGEVYFTDSSTRFQRSQHEMVTATGDSTGRLMKYDPTTGYLDVLQSGMTYPNGLALSADRSHLVVALTGPCKLVRHWIEGPKAGTSEPFTELPGYPDNVRPDGKGGYWVALHREKTESPYGSDTHLLAVRIGRKGKILQELRGPKNVRPTEVIERGGGKLYLGSVELGHVAVVKAT >ONIVA07G15990.1 pep chromosome:AWHD00000000:7:16037923:16043170:1 gene:ONIVA07G15990 transcript:ONIVA07G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVTVGGGGEHRVQVAAAKPCGGQQQQGKKAAAPEKWLNGFVSLVALVERVGNALGTLAFTWATVVLLGGYPTSLTALGSYNDFWFATAIIFLESARPLDWNWLIAIVCILDVWKLLIPLPHKNVMAAVVLYAMIILLILGKSMTPKFQPSLRNPLRRAISLWSPLAAILLMTPAMQHDHSRDFVRNITGNGSITTRTGGFAPTRSTVVAKWIVFLLLLVSVLVVTISRLKFPRIIKLLDSAWGRKLVSWRRSIQNICMLAALVMVVLTTDGFFRFMIIGMLVIVAVMVSSGNLQIPAAVLRVVFAGLALSHLMALHKNNKEDEETNLVPSLIVFYAMVTAQGILYTVACTLEIFSFIPRRSLIRRAGFRGQLGVEYVNLYYAYALEKCMEKAVFAPKKTSLGNFAMDSLNSDSPKNHLYGIQLMHSLLEKETTRARLLEKLITSTKTMARIISMLGWTSPNYMTVRLYAAKVTAELAKDLQVIAVPRAMQLVSALLDTDGKLKKGNPLLQVDDEQEERQDPILSTANSQEERPNAIRNADDPPKQRQQETLQGTDNLPETQTRSAHIHEQNCIIRSLQKISEYWTVPKEHPLTYHDQLPALGMLIVDKLASCDQNNCVEIDRVTDLIPKIIGFTSFISDTMNSEAQQMVLVKSSLKVLQRLTSIEGEIGKALRYKISKHPFLSRNLADILGDNIRDQELKKLAVGILRNISIDGNTRQEIGNMKVLITRLMKAFLDLHRTSNTDVDFLLPKVAGQALAMLAMDNVHNCLVMLKEPEFITKLKNMILIQDEKYIYVAPSLLRSMCQHAQATLTELDLKELSHTLREVLERIMNAEGAELEILIGLSSQICKVNPEEFAQELDHGHIRQRFVKRLVDALNANMKPSAHCPGIRRVILEQSIYMMECNSHYANCFNEFRMMDALSIVEETPSKVENYMVFLGDVGFMECGTPLFALVDRAKELMGRQWLQGINSAN >ONIVA07G15980.1 pep chromosome:AWHD00000000:7:16034858:16035761:1 gene:ONIVA07G15980 transcript:ONIVA07G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDIQQHYSVESPNTTCLHKGYGIKDTVVVHPKTDMFSPIVSHQKKRREATTLITVYQATTLITIYRAPSQCDFLPSSVREGMGREKHRCGSRHYMWRARPQVTGDGDRRYVEEGVNSDGKGGMGIERCLQWPNQPIFPYLL >ONIVA07G15970.1 pep chromosome:AWHD00000000:7:16028673:16031564:1 gene:ONIVA07G15970 transcript:ONIVA07G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I1Z6] MAGNLLANYVQVNVMLPLDVVTVDNKFEKVDETRAQLKKLTEAGVDGVMVDVWWGLVEGKGPGSYDWEAYKQLFRLVQEAGLKLQAIMSFHQCGGNVGDIVNIPIPQWVRDVGASDPDIFYTNRGGARNIEYLTLGVDDQPLFHGRTAIQMYADYMKSFRENMAEFLDTGVIVDIEVGLGPAGEMRYPSYPQSQGWVFPGIGEFICYDKYLEADFKAEAAKAGHPEWELPDDAGEYNDTPEKTRFFADNGTYVTEKGKFFLTWYSNKLIKHGDKILDEANKVFLGCRVQLAIKISGIHWWYRVPNHAAELTAGYYNLDNRDGYRTIARMLTRHRACVNFTCAEMRDSEQSSEAKSAPEELVQQVLSAGWREGLHVACENALGRYDATAYNTILRNSRPTGINKNGPPEHKLFGFTYLRLSDELLEGQNYSTFKTFVKRMHANLDYNSNVDPLEPLQRSMPEMPIGKILQAAHPKLAPFPFDENTDLPV >ONIVA07G15960.1 pep chromosome:AWHD00000000:7:16027449:16034118:-1 gene:ONIVA07G15960 transcript:ONIVA07G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSRQGVREEGVALTSLFEYHVRKNFPFSVTYVPLSAKNRVFSGVSLYSPASSGNSHSGCPAFAASALKSASSSSMEERLVIHSKGEVLDVPRPTTVGVEDVGVARADIPHPLRDRDVDDVADVTTALVERHDGLQLQPGLLHQPEQLLVGLPVDPGPFPSTSPHHTSTMTPSTPASVSFFSCARVSSTFSNLLSTVTTSSYISRNRIQDAHGLFILAKRRGGLFSTGGNQPILFFQDAFGILLPNANTMAKVCRCVLF >ONIVA07G15960.2 pep chromosome:AWHD00000000:7:16027449:16034118:-1 gene:ONIVA07G15960 transcript:ONIVA07G15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSRQGGGTHQEGYLISPAGPSPTSMSTMTPVSKNSAMFSLKLFISSMEERLVIHSKGEVLDVPRPTTVGVEDVGVARADIPHPLRDRDVDDVADVTTALVERHDGLQLQPGLLHQPEQLLVGLPVDPGPFPSTSPHHTSTMTPSTPASVSFFSCARVSSTFSNLLSTVTTSSYISRNRIQDAHGLFILAKRRGGLFSTGGNQPILFFQDAFGILLPNANTMAKVCRCVLF >ONIVA07G15950.1 pep chromosome:AWHD00000000:7:16014657:16017905:1 gene:ONIVA07G15950 transcript:ONIVA07G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I1Z3] MPRIHRTKPRTSSKNFQIWTNIARTASLLPIMITTSSPSSQPVRLQQRWTCRSRAASPAPLLPPPATGRRRRRRLVADSQKTAASLRVRAIAAESEQASPLPEPPPLLTEEEEEEEKMLANYVPVYVMLPLGVVTAENELEDAAGLRARLRRLRREAGVDGVMADVWWGIVEGAGPARYEWRAYRELFRVAQEEGLKVQAIMSFHACGGNVGDAVTIPLPRWVRDVGDDDPDVYYTSPGGARNHEYLTIGVDVRPLFHGRTAIQLYADFMKSFRENMGDFLDSGLIVDIEVGLGPAGELRYPSYPESQGWEFPGIGQFQCYDKYLEEDFRAAATEAGHPEWELPGDAAGEYNYTPEDTRFFAADGGTYLTEAGRFFLTWYSSKLLEHGDRVLDEANMAFLGCSLKLAAKVSGIHWWYRHPSHAAELAAGYYNVPGRDGYRPVARMLARHDCAVLNFTCAEMRDSEQPPEAMSSPERLVRQALSAAWREGVEAACENALSRHDRRGYNQMLLNARPNGVGPAGGGGAPPRRVAAVTYLLLSDELLTATNFRAFKAFVRKMHADQDCCPDPARYGRPMRPLERSGPEVAIERLLDATAPEPPYPFDGETDMSVGGGLAELIDWVFDKVEWIFC >ONIVA07G15940.1 pep chromosome:AWHD00000000:7:16010889:16013543:-1 gene:ONIVA07G15940 transcript:ONIVA07G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEGSTWVFDCPLMDDLATAAGFDAAPAGGFYWTTPAPPQAALQPPPPQQQPVAPATAAPNACLVVLFFMYLLLYIIVTYGILKYKFIFFTSAEINGSVDCEHGKEQPTNKRPRSESGTRPSSKACREKVRRDKLNERFLELGAVLEPGKTPKMDKSSILNDAIRVMAELRSEAQKLKESNESLQEKIKELKAEKNELRDEKQKLKAEKESLEQQIKFLNARPSFVPHPPVIPASAFTAPQGQAAGQKLMMPVIGYPGFPMWQFMPPSDVDTTDDTKSCPPVA >ONIVA07G15940.2 pep chromosome:AWHD00000000:7:16010889:16013543:-1 gene:ONIVA07G15940 transcript:ONIVA07G15940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEGSTWVFDCPLMDDLATAAGFDAAPAGGFYWTTPAPPQAALQPPPPQQQPVAPATAAPNACAEINGSVDCEHGKEQPTNKRPRSESGTRPSSKACREKVRRDKLNERFLELGAVLEPGKTPKMDKSSILNDAIRVMAELRSEAQKLKESNESLQEKIKELKAEKNELRDEKQKLKAEKESLEQQIKFLNARPSFVPHPPVIPASAFTAPQGQAAGQKLMMPVIGYPGFPMWQFMPPSDVDTTDDTKSCPPVA >ONIVA07G15930.1 pep chromosome:AWHD00000000:7:16009015:16009915:1 gene:ONIVA07G15930 transcript:ONIVA07G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSVALLAAVMAVAAVASTAVAKDYTVGGSYGWDTYVDYDKWAAGKTFIVGDTITFKYEPYHNVVEVPAETDYDGCVSTNPVSVHSGGNTTFELAAAGTRYFICSIPRHCLNGTMHVKVTTVPYSASAAAAADAGPSPAPLPSPPADEQQHRSNSASSPAAGPSSSAASTPRHRKQPAVAVAGLALAALVAMAA >ONIVA07G15920.1 pep chromosome:AWHD00000000:7:15993386:15994798:-1 gene:ONIVA07G15920 transcript:ONIVA07G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFGSLLLDLSTLRTATYDFSEHKRLGEGGFGVVYKGDLPEGQEIAVKRLAKTSRQGLEELKTELLLVAKLNHNNLVRLIGVCLEENEKILVYEYMPNRSLDTILFDAEKIKELDWGQRFKIINGIARGLQYLHEDSQLKIVHRDLKASNVLLDSAYNPKISDFGLAKIFERDQSQVITHRIAGTYGYMSPEYAMRGQYSIKSDVFSFGVLVLEIITGRRNFGSYGSDHDVDLIYVVSTWTQLFYTETWEHWTKGEAMELIDPSLGSHYPVDKVLKCIHIGLLCVQPKPADRPLMSAVNVMLSSTVCLPSLSRPAFWFHEIGATASSDVNSEWNLHYPLNSRKTSQNEAPITELEPR >ONIVA07G15910.1 pep chromosome:AWHD00000000:7:15985561:15991136:1 gene:ONIVA07G15910 transcript:ONIVA07G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRPYLDGVAAAAATFLLAVLLHAPLAAGEDEPPPWVLCAPYPPSGNYSKNGTYQANLDLLSTTLPKNTSSSPAMYATGTVGDVPDKVYGLALCRGDANASACERCVAAALRDAPRRCPLVKDVLVFYDLCQLRYSNRDFFLDDDYFVTTYTLQRSRRVGAAAAAAFDAAVAVLVNATADYAAADSSRRYGTGEEEGVDGDSDRPKIYALAQCTPDKTPEVCRTCLSTVIGQLPKEFSGRTGGGMFGVWCNFRYEVFPFFSGRPLLQLPAFVETPPPPPSPSATSGEKTKNRIGTVLAIVMPAIAAILLMVVACFCCWKRIKKRRPEEQTFLSYSVSSDDIQSIDSLILDLPTIRVATDDFADTKMIGQGGFGMVYKGVLPDGQEIAVKRLCQSSRQGIGELKSELILVAKLYHKNLVRLIGVCLEQQEKILVYEYMPNGSLDIVLFDTDKNRELDWGKRFKIINGIARGLQYLHEDSQLKIVHRDLKASNILLDFDYSPKISDFGLAKIFGGDQSEDVTNRIAGTYGYMAPEYAMRGNYSIKSDVFSFGVLVLEIITGRRNTGSYDSGQDVDLLNLVWEHWTRGNVVELIDPSMGDHPPIEQMLKCIHIGLLCVQKKPASRPTISSVNIMLSSNTVRLPSLSRPAFCIQEVSASDSSNPYSERYARPRHSGYSDNSTVVSSNDLSITELVPR >ONIVA07G15910.2 pep chromosome:AWHD00000000:7:15985561:15988847:1 gene:ONIVA07G15910 transcript:ONIVA07G15910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRPYLDGVAAAAATFLLAVLLHAPLAAGEDEPPPWVLCAPYPPSGNYSKNGTYQANLDLLSTTLPKNTSSSPAMYATGTVGDVPDKVYGLALCRGDANASACERCVAAALRDAPRRCPLVKDVLVFYDLCQLRYSNRDFFLDDDYFVTTYTLQRSRRVGAAAAAAFDAAVAVLVNATADYAAADSSRRYGTGEEEGVDGDSDRPKIYALAQCTPDKTPEVCRTCLSTVIGQLPKEFSGRTGGGMFGVWCNFRYEVFPFFSGRPLLQLPAFVETPPPPPSPSATSGEKTKNRIGTVLAIVMPAIAAILLMVVACFCCWKRIKKRRPEEQTFLSYSVSSDDIQSIDSLILDLPTIRVATDDFADTKMIGQGGFGMVYKGVLPDGQEIAVKRLCQSSRQGIGELKSELILVAKLYHKNLVRLIGVCLEQQEKILVYEYMPNGSLDIVLFDTDKNRELDWGKRFKIINGIARGLQYLHEDSQLKIVHRDLKASNILLDFDYSPKISDFGLAKIFGGDQSEDVTNRIAGTYGYMAPEYAMRGNYSIKSDVFSFGVLVLEIITGRRNTGSYDSGQDVDLLNLVWEHWTRGNVVELIDPSMGDHPPIEQMLKCIHIGLLCVQKKPASRPTISSVNIMLSSNTVRLPSLSRPAFCIQEVSASDSSNPYSERYARPRHSGYSDNSTVVSSNDLSITELVPR >ONIVA07G15900.1 pep chromosome:AWHD00000000:7:15975900:15982145:-1 gene:ONIVA07G15900 transcript:ONIVA07G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGQHLPLSLSLCYNLAAVALLVAVFLHAPPRAGAQPLPWQLCNATAGNYTEGSTYQANVRALASALPGNASSSPALFAEGAAGTAPDVVYAIALCRGDTNASSCAACVATAFDTAQQLCAFNKRATLFNDPCILRYSDLDILANVTDNSGRFVAWNYNNVSAAKAAAYDAASGRLVNATADYAAADPVRRFGTGEVGSDDATYPRIFSLAQCTPDMSEADCRSCLGDIIRKATPKYFVGKPGGRVFGVRCNFRFEAYEFFSGRPLLQLSGPPPPPANMTPPVTSEALAFIALTCFCFRRKRTPANKASSLPFSTNPDDIQSIDSLLLDLSTLRAATDNFADRNKLGEGGFGAVYKLKTELVLVAKLRHKNLVSLVGVCLEGDEKLLVYEYMPNKSLDTILFDYEKSKDLDWGKRLKIVSGVARGLQYLHEDSQLRVVHRDLKASNVLLDFDHNPKISDFGLAKLFEWDQTQDVTSHIAGTYGYMAPEYAMRGQYSVKSDAFSFGVMILEIVTGRRNSSFSNSEQSIDLLSLVWEHWTTGTIEELLDPAMRGRSADDLLKLINIGLLCVQDNPADRPTMSAVSVMLSSETFSVQAPSRPTFCIQETDSAADTDLYSSAFRSTGDSKTRSVASPNEVSLTELEPR >ONIVA07G15900.2 pep chromosome:AWHD00000000:7:15975902:15982172:-1 gene:ONIVA07G15900 transcript:ONIVA07G15900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAATAMRRGQHLPLSLSLCYNLAAVALLVAVFLHAPPRAGAQPLPWQLCNATAGNYTEGSTYQANVRALASALPGNASSSPALFAEGAAGTAPDVVYAIALCRGDTNASSCAACVATAFDTAQQLCAFNKRATLFNDPCILRYSDLDILANVTDNSGRFVAWNYNNVSAAKAAAYDAASGRLVNATADYAAADPVRRFGTGEVGSDDATYPRIFSLAQCTPDMSEADCRSCLGDIIRKATPKYFVGKPGGRVFGVRCNFRFEAYEFFSGRPLLQLSGPPPPPANMTPPVTSEALAFIALTCFCFRRKRTPANKASSLPFSTNPDDIQSIDSLLLDLSTLRAATDNFADRNKLGEGGFGAVYKLKTELVLVAKLRHKNLVSLVGVCLEGDEKLLVYEYMPNKSLDTILFDYEKSKDLDWGKRLKIVSGVARGLQYLHEDSQLRVVHRDLKASNVLLDFDHNPKISDFGLAKLFEWDQTQDVTSHIAGTYGYMAPEYAMRGQYSVKSDAFSFGVMILEIVTGRRNSSFSNSEQSIDLLSLVWEHWTTGTIEELLDPAMRGRSADDLLKLINIGLLCVQDNPADRPTMSAVSVMLSSETFSVQAPSRPTFCIQETDSAADTDLYSSAFRSTGDSKTRSVASPNEVSLTELEPR >ONIVA07G15900.3 pep chromosome:AWHD00000000:7:15975902:15982172:-1 gene:ONIVA07G15900 transcript:ONIVA07G15900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVAATAMRRGQHLPLSLSLCYNLAAVALLVAVFLHAPPRAGAQPLPWQLCNATAGNYTEGSTYQANVRALASALPGNASSSPALFAEGAAGTAPDVVYAIALCRGDTNASSCAACVATAFDTAQQLCAFNKRATLFNDPCILRYSDLDILANVTDNSGRFVAWNYNNVSAAKAAAYDAASGRLVNATADYAAADPVRRFGTGEVGSDDATYPRIFSLAQCTPDMSEADCRSCLGDIIRKATPKYFVGKPGGRVFGVRCNFRFEAYEFFSGRPLLQLSGPPPPPANMTPPVTSEVSTNPDDIQSIDSLLLDLSTLRAATDNFADRNKLGEGGFGAVYKLKTELVLVAKLRHKNLVSLVGVCLEGDEKLLVYEYMPNKSLDTILFDYEKSKDLDWGKRLKIVSGVARGLQYLHEDSQLRVVHRDLKASNVLLDFDHNPKISDFGLAKLFEWDQTQDVTSHIAGTYGYMAPEYAMRGQYSVKSDAFSFGVMILEIVTGRRNSSFSNSEQSIDLLSLVWEHWTTGTIEELLDPAMRGRSADDLLKLINIGLLCVQDNPADRPTMSAVSVMLSSETFSVQAPSRPTFCIQETDSAADTDLYSSAFRSTGDSKTRSVASPNEVSLTELEPR >ONIVA07G15890.1 pep chromosome:AWHD00000000:7:15973848:15974581:-1 gene:ONIVA07G15890 transcript:ONIVA07G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSVESVEGWVHAGARVQWSFWSVDCENALQEMIMTIYLLLARFVLLLSSITEICLAVYNY >ONIVA07G15880.1 pep chromosome:AWHD00000000:7:15964063:15971108:1 gene:ONIVA07G15880 transcript:ONIVA07G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVATAMRRRRNLTPCDSRLATAVPLLVAFLLLLHAPPLAEAQPLPWQMCDTAGGNYTEGSTYQANVRALASALPVNASSSRALFAKGAAGAAPDVVYAIALCRGDTNASSCAACVATAFQDAQQLFAFNRRATMFDDPCILRYSDQDILANATDDQGNFIAWNYNNVSAARAAAFDAASGRLVNATAGYAAADQVRRFGTGEVGFDDATYPRIFSLAQCTPDLSEADCRSCLGRIIRWVPQYFSGKPGGRVFGVRCNFRFESYSFFSGRPLLQLPGPPLAPAPSPANMTPPSPPGTMGGRTRHRTGRVLAITLPIAGAILALIVLTCFCFWRRRTPARKASPVPYSTNPDDIQSIDSLLLDLSTLRAATDNFAERNKLGEGGFGIVYKGVLPEGREIAVKRLSQSSRQGIEELKTELVLVAKLRHKNLVSLVGVCLEEGEKLLVYEYLPNKSLDTILFDYEKSKDLDWGKRLNIVSGVARGLQYLHEDSQLRVVHGDLKASNVLLDFDHNPKISDFGLAKLFEWDQTQDVTSHIAGTYGYMAPEYAMRGQYSVKSDAFSFGVMILEIVTGRRNSSFSNSEQSIDLLSLVWEHWTTGTIEELLDPAIGRRSVNDLLKLINIGLLCVQDNPADRPAMSAVNVMLSSDTVSLQAPSRPTFSIQEMDGAADTDLYESGAYSRSAFQPTGDGTTRAAAAASPNELSLSELEPR >ONIVA07G15870.1 pep chromosome:AWHD00000000:7:15929840:15961044:-1 gene:ONIVA07G15870 transcript:ONIVA07G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAHHLAGVVAAFLLAVLLHVHLAAGEDEPPPWYLCDPYSASGRYSENSTFQANVNRLSATLPRNTSSSPAMYATGAAGDVPDKVYGYALCRGDVADAHACERCVAAALRDAPRVCPLAKDALVFHDLCQLRYSNRNFLLDDDYYVATYSLQRSSRLVSAPAPAAVAAFDAAVAMLANATAEYAAAANTSRRGGRTGGGVFGVWCNLRYEVFPFFSGRPLLHLPAFVEAPPPATSAAATRRGEKKRNKTGIVLAIVMPTIAAMLLIVVAYFCCWRRRRPEEQTFLPFFLFIFVQTTSIGSDDIQSIDSLLLDLSTLRAATDDFAETKMIGRGGFGMVYKGVLPEGQEVAVKRLCQCSGQGIEELKSELVLVAKLYHKNLVRLIDIDKNIELDWGKRFKIINGIAQGLQYLHEDSRLKIVHRDLKASNILLDFDYNPKISDFGLAKIFDGDQSKDITHRIAGTYGYMAPEYAMRGHYSVKLDVFSFGVLVLEIVTGRRNSGSYDSGQDLDLLNHVWGHWTRGNVVELIDPSLGNHPPIEQMLKCIHIGLLCVQKRPASRPTISSVNIMLSSNTVRLPSLSRPAFCIQDVSAIALLLALLHGPLAEAQPLPWQLCNATAGNYTEGSAYQANMRALASALPGNASSSRALFAEGAAGTAPDVVYAVALCRGDTNASSCAACLAAAFDTAQQLCAFNRRATLFNDPCILRYSDQDILANVTDNRGMFVAWNYNNVSTGRMAVFDATSGQLVNTSGDYASAVYDAFSGMLVNATADYAAKDSVRRFGTGEMGFNVFDSPYHNIFSLAQCTPDMSEADCRSCLGDIIRKVTPKYFVGKPGGRVFGVRCNFRFEAYEFFSGRPLLQLSGLPPSPPGLRPAASGRNKPGTQILVIILPLVAISSVAAISICMWNIRKKRRWRRAENLSAPDTAEDFESIKSTLLSLSSLQVATDNFDENKKLGEGGFGAVYKGLLSGQEVAVKRLAKGSSQGLEELKNELVLVAKLHHRNLVRLVGFCLEEGERMLVYEYMPNKSLDFFLFEGVARGLQYLHQDSRKKIVHRDMKASNILLDIDMNPKIGDFGLARLFGQDQTRDVTNCIVGTFGYMSPEYVMRGQYSTKSDVFSFGVLIIEIVTGQRNNRPYLFEQNEDIISTVSIPASSYSTMWYYLRLQVWRRWSDGTVAKMIDHSLGKNYPEAEVLKCINIGLLCLQENPVNRPTMADIMVLLNSNASSSIPAPAARPTFSFDGSSRYSQTITQLSASEIHGSKPPIQKPAYHALYSCCRIEQGRLKNELVLVAKLQHKNLVRLVDVCLEEHDKMVIYEYMPNRSLDTILFGSFSIAKISAVQLYKCTTQVPHANHASSLLDWGRRLKIIHGIARHLQYLHEESRLKIIYRDLKTSK >ONIVA07G15860.1 pep chromosome:AWHD00000000:7:15921317:15921963:-1 gene:ONIVA07G15860 transcript:ONIVA07G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding LALGVAAWLGERRRRARVPLRHGVFAVVEAKLGDPGFRGRLRRSGARAGPLQQGRVRRAGADGARRRRAGRVRVRVRLSLAGAAAPLNGLVAAIRSPLVDELHARGELPRLVSLLCSADPRIRTLALEFALRVGYYGRKEIVDALLAEGLVKRLLALEGVVAAVATAAPPWFDSCTCLVHSCQS >ONIVA07G15850.1 pep chromosome:AWHD00000000:7:15920813:15921043:-1 gene:ONIVA07G15850 transcript:ONIVA07G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPPDPAALDGSWGKVNWSAAHGVLLPVGLPRPPEERTLPRRMFSSAEGQWRRQRLYEPLRRRLRIPRRRASMS >ONIVA07G15840.1 pep chromosome:AWHD00000000:7:15909870:15916010:-1 gene:ONIVA07G15840 transcript:ONIVA07G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFYTHHLPSQFTPRLLLLLHIEAYSREALEEEQEQPRNTPPPSPPHPSSVQRDRLLLFFFFSMEHRADRIFLPRVKMMVKKKTSWSQIVKNGRPANTSVTTRNLRPQDLGAVIFGCTNNTIAECHSRQLFGLPRTHLSYVQNIKEGLPLFLFNYDDRKLYGIYEAASNGKFCPESNAWSQDGKGKTSYPAQVAMRIKVWCVPLAESQFRGAILANYYQRMPGAPGQKLHFFQFELDHAQTRALMDMFTPSPSTNNGWSPPVAQPADEHVRSSWAPVYAGNNGLKSEKIVKSYADVVNNNKFEQVRTGDVVHVDAEHASLGNEHVNGFDDLDCGDTTPESEEYALSGKEVEVQQQQQQQQQEQSGQQDKLSFKGVLEKLKMLSVQQRKSTFYANATETESIDAYSCKDVQDDLPENLDSEVDQFSWGHSKLLMHSLDSESCTEVKLVDIVKELYERIAIMEKKQAWSNKELKYLQGVNERLLKRVVELKGTVKTLNSKIDPLTLDDSLSQFVEQCLGSEDVIYLVGGFDGFSHLPSLDSFSPSLDVLTPLKSMAVGKSYASTVALDGKIFVLGGGDGVCWFDTVDCYDRRRDDWTTCPSFTHDKGSLAAVSFNGKIYAYGGGDGNECFSDVEVFDPAYGKWIKNQSMLDKRFALAGVELNGAIYAVGGFNGVQYLSSAERLDPREPSWKRLPKLSTGKGCHTLAVLDDKIFSIGGYDAEAKTMVATVELYEPRMPSWVMAEPMNYNRGYHSSAVLGGSIFAFGGVKGEGDAILDVVERYKEGCGWVTTESRSIGRRCYCSAIDFTEADVGLAGFTIPAITNHI >ONIVA07G15830.1 pep chromosome:AWHD00000000:7:15909390:15909608:-1 gene:ONIVA07G15830 transcript:ONIVA07G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDEDAAPALSRCEMVTGGDCDGLSSAAPSDHDGNSSHQSPIPPPPPPFPHSSVSLSPHDTAHPARCSSN >ONIVA07G15820.1 pep chromosome:AWHD00000000:7:15888823:15905026:-1 gene:ONIVA07G15820 transcript:ONIVA07G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDDAAPAATKISISGAALAALLQRCGMADGDCDGLLSGRTSTTPVPPPSLSDYDDHTPAQSAPAISLSISGHSSLSRPSSLSDPLGRFHPSASAAAAGPASIGFFSSRRRTALRPSMRELSLAHSLSKSLALAHPLLFLLVSPSSPNLSTHSYDHRAFLLIGSRLVPTSLHVVNVGPVFRDQYHSFAPDSPMPWLPLAQHSSSSSAAAGGAHTIREQNAVDAVVDGFGLGKLQGILGSAAGQAAEMDGMYAGMLRRLEKLAREVEKSNLLVLKQSRHGICIIVWKKAHGTEDENGGTSCGKLVWKGRLALCSAMCRGSVLLLRVHAALLLLAALPALIAGQPWEICGENGNYTANSTYQANLKQLAAALHKNVSSGTGGGRLFASGAVGAVPDAVYALALCRGDINASACADCVGTIFQDAQQLCPYRKEVSIVYDSCYLRFSNLDFLSSADNSGVVDLYNTGTVSGDVGRYDRAVTGLLNATARYAAGNTNASSRLFATGVMVGFDAQFPKIYAMAQCSPDLSPAQCGLCLGAMVARWWQTFEPNTQGARSVGARCNMRVELYSFYNVPSMLQLQAEAVAPSPSPAPAPAGKPPAVPGTTGGGEDQKKHLHQDRSDVSPLKSEHLKSCAASRSEDFESIESLFLDLSTLRIATDNFSENNKLGEGGFGVVYKGSLPHGEEIAVKRLSQSSVQGMGELKNELVLVAKLQHKNLVRLVGVCLEEHERMLVYEYMPNRSLDTILFDAEKSSLLDWGRRLKIINGVARGMQYLHEDSQLKIVHRDLKASNVLLDSDYNPKISDFGLARLFGGDQTQDVTNRVVGTYGYMAPEYAMRGHYSVKSDVFSFGVLVLEIVTGRRNSGSYYSEQSGDLLSIIWEHWTMGTIMEMVDRSMGERAAGGEIARCIHVGLLCVQENPASRPAMSAVNVMLSSGTVSLKAPSRPAFYIRKGGGDDGGGTGSYSGSFVGTLPSSGRSAPMSPNEVSITELEPR >ONIVA07G15820.2 pep chromosome:AWHD00000000:7:15888823:15892816:-1 gene:ONIVA07G15820 transcript:ONIVA07G15820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGSVLLLRVHAALLLLAALPALIAGQPWEICGENGNYTANSTYQANLKQLAAALHKNVSSGTGGGRLFASGAVGAVPDAVYALALCRGDINASACADCVGTIFQDAQQLCPYRKEVSIVYDSCYLRFSNLDFLSSADNSGVVDLYNTGTVSGDVGRYDRAVTGLLNATARYAAGNTNASSRLFATGVMVGFDAQFPKIYAMAQCSPDLSPAQCGLCLGAMVARWWQTFEPNTQGARSVGARCNMRVELYSFYNVPSMLQLQAEAVAPSPSPAPAPAGKPPAVPGTTGGKRRNGAGKVLAIVMPIVAAILAVTVIGFCFWRRRRPEKTPPPGPLRSASRSEDFESIESLFLDLSTLRIATDNFSENNKLGEGGFGVVYKGSLPHGEEIAVKRLSQSSVQGMGELKNELVLVAKLQHKNLVRLVGVCLEEHERMLVYEYMPNRSLDTILFDAEKSSLLDWGRRLKIINGVARGMQYLHEDSQLKIVHRDLKASNVLLDSDYNPKISDFGLARLFGGDQTQDVTNRVVGTYGYMAPEYAMRGHYSVKSDVFSFGVLVLEIVTGRRNSGSYYSEQSGDLLSIIWEHWTMGTIMEMVDRSMGERAAGGEIARCIHVGLLCVQENPASRPAMSAVNVMLSSGTVSLKAPSRPAFYIRKGGGDDGGGTGSYSGSFVGTLPSSGRSAPMSPNEVSITELEPR >ONIVA07G15820.3 pep chromosome:AWHD00000000:7:15888823:15892816:-1 gene:ONIVA07G15820 transcript:ONIVA07G15820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGSVLLLRVHAALLLLAALPALIAGQPWEICGENGNYTANSTYQANLKQLAAALHKNVSSGTGGGRLFASGAVGAVPDAVYALALCRGDINASACADCVGTIFQDAQQLCPYRKEVSIVYDSCYLRFSNLDFLSSADNSGVVDLYNTGTVSGDVGRYDRAVTGLLNATARYAAGNTNASSRLFATGVMVGFDAQFPKIYAMAQCSPDLSPAQCGLCLGAMVARWWQTFEPNTQGARSVGARCNMRVELYSFYNVPSMLQLQAEAVAPSPSPAPAPAGKPPAVPGTTGGGEDQKKHLHQDRSDVSPLKSEHLKSCAASRSEDFESIESLFLDLSTLRIATDNFSENNKLGEGGFGVVYKGSLPHGEEIAVKRLSQSSVQGMGELKNELVLVAKLQHKNLVRLVGVCLEEHERMLVYEYMPNRSLDTILFDAEKSSLLDWGRRLKIINGVARGMQYLHEDSQLKIVHRDLKASNVLLDSDYNPKISDFGLARLFGGDQTQDVTNRVVGTYGYMAPEYAMRGHYSVKSDVFSFGVLVLEIVTGRRNSGSYYSEQSGDLLSIIWEHWTMGTIMEMVDRSMGERAAGGEIARCIHVGLLCVQENPASRPAMSAVNVMLSSGTVSLKAPSRPAFYIRKGGGDDGGGTGSYSGSFVGTLPSSGRSAPMSPNEVSITELEPR >ONIVA07G15820.4 pep chromosome:AWHD00000000:7:15889831:15892816:-1 gene:ONIVA07G15820 transcript:ONIVA07G15820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGSVLLLRVHAALLLLAALPALIAGQPWEICGENGNYTANSTYQANLKQLAAALHKNVSSGTGGGRLFASGAVGAVPDAVYALALCRGDINASACADCVGTIFQDAQQLCPYRKEVSIVYDSCYLRFSNLDFLSSADNSGVVDLYNTGTVSGDVGRYDRAVTGLLNATARYAAGNTNASSRLFATGVMVGFDAQFPKIYAMAQCSPDLSPAQCGLCLGAMVARWWQTFEPNTQGARSVGARCNMRVELYSFYNVPSMLQLQAEAVAPSPSPAPAPAGKPPAVPGTTGGKRRNGAGKVLAIVMPIVAAILAVTVIGFCFWRRRRPEKTPPPGPLRSASRSEDFESIESLFLDLSTLRIATDNFSENNKLGEGGFGVVYKGSLPHGEEIAVKRLSQSSVQGMGELKNELVLVAKLQHKNLVRLVGVCLEEHERMLVYEYMPNRSLDTILFGAFPLHAF >ONIVA07G15820.5 pep chromosome:AWHD00000000:7:15889831:15892816:-1 gene:ONIVA07G15820 transcript:ONIVA07G15820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGSVLLLRVHAALLLLAALPALIAGQPWEICGENGNYTANSTYQANLKQLAAALHKNVSSGTGGGRLFASGAVGAVPDAVYALALCRGDINASACADCVGTIFQDAQQLCPYRKEVSIVYDSCYLRFSNLDFLSSADNSGVVDLYNTGTVSGDVGRYDRAVTGLLNATARYAAGNTNASSRLFATGVMVGFDAQFPKIYAMAQCSPDLSPAQCGLCLGAMVARWWQTFEPNTQGARSVGARCNMRVELYSFYNVPSMLQLQAEAVAPSPSPAPAPAGKPPAVPGTTGGGEDQKKHLHQDRSDVSPLKSEHLKSCAASRSEDFESIESLFLDLSTLRIATDNFSENNKLGEGGFGVVYKGSLPHGEEIAVKRLSQSSVQGMGELKNELVLVAKLQHKNLVRLVGVCLEEHERMLVYEYMPNRSLDTILFGAFPLHAF >ONIVA07G15820.6 pep chromosome:AWHD00000000:7:15893313:15905026:-1 gene:ONIVA07G15820 transcript:ONIVA07G15820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDDAAPAATKISISGAALAALLQRCGMADGDCDGLLSGRTSTTPVPPPSLSDYDDHTPAQSAPAISLSISGHSSLSRPSSLSDPLGRFHPSASAAAAGPASIGFFSSRRRTALRPSMRELSLAHSLSKSLALAHPLLFLLVSPSSPNLSTHSYDHRAFLLIGSRLVPTSLHVVNVGPVFRDQYHSFAPDSPMPWLPLAQHSSSSSAAAGGAHTIREQNAVDAVVDGFGLGKLQGILGSAAGQAAEMDGMYAGMLRRLEKLAREVEKSNLLVLKQEIRKVLHETTGVQYISFA >ONIVA07G15810.1 pep chromosome:AWHD00000000:7:15887252:15888357:1 gene:ONIVA07G15810 transcript:ONIVA07G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAQAAKRTSGSDGWSGPRPAARRRSLKAQSQTREKAEMVRRWMEAKAKGRNGRRASTGRSAERRGTPGARRAVAKRAYAMAAAAAAETAARARSSDAMPMRPRRPRAVATGGRSGSSTQHRDDLMSNGRWINTIESVINRRIKERV >ONIVA07G15800.1 pep chromosome:AWHD00000000:7:15886492:15887553:-1 gene:ONIVA07G15800 transcript:ONIVA07G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELRALAAVSAAAAAAMAYARFATARLAPGVPRLSALLPVLALLPFLPFAFASIHLRTISAFSLVWLCAFKLLLLAAGRGPLHPSLPLVRFAACAALPIKVVDDEKRKPTTSTSSSSRRLAPAFVLSYAAKAAVFAALVSARCYREGMPAYAVVAFDGAHVYLMLELFLASAAAAARVVLGAELEPQFDRPYLATSLADFWGRRWNLMVPAVLRPSVYLPVRARHGAAAGVAAAFLVSGLMHEVLFYYILDPGCTTGEVTAFFALHGACVVAERWWLEEARRRAWRWRAPRRAVATAMTLAFVTGTGSWLFFAPVTRSGLDKAIVAECEGFMAFLEEAGWKAAAAARLLPS >ONIVA07G15790.1 pep chromosome:AWHD00000000:7:15873139:15876666:1 gene:ONIVA07G15790 transcript:ONIVA07G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGYVYGGGILAVVLAVVVVTLPPPATAAPVAECDPGVANTNVTGNSAFDRNLGLLAAALAANASAAGAPGFAVRTAGAAPDQVYALALCRGDVNASACRACVAAAFVDAKGVCPGGISLYEDACLIRFTGQSFMDFLRPDKWQVSQMTETDLLLVLNSWIPGQASGNVKVPEVGWFNAAVAKILAALVEHAWATTTTTTTGNNSTTTIKYFATGEESFNPKIYGFAQCVPVLTPEQCKECLRSLHDNAKTVYMGNSLRWVGIYSVWCRLMYSVRPFYGGRATLQLSPPPPPVVETPVEAGAGKKKSAAGVAAGLACSVLVLLILAVFAFVRFKRRTKAVEADHPLKKITRAQCMIFDLPTLQEATENFSENNKLGEGGFGSVYKGVLSDGQEVAVKKLLGTSGHGLDQLHNEVLLLAELQHKNLVRLHGFCLHQGETLLVYEYIKNGSLDNFLFGNFTSHSNISYFFTLLEEIPKDINRGNALNWEQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLGEGHTHTRTTRVVGTFGYMAPEYAIDGNVSTKIDIFSFGVLVLEIVTRRRNCNSDDHDLVNLLSDVWNCWTKGTVSQMIDQSLHGYSQSQALRCIHIALLCVQSDPNDRPQISSVIFMLTRENMELQPPAQPAFFFGGGSASSSPSFGQRSYVYDRCGFDNISVNGVTLTEPYPR >ONIVA07G15780.1 pep chromosome:AWHD00000000:7:15870320:15871519:1 gene:ONIVA07G15780 transcript:ONIVA07G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVAAGGAVDGDRGREAEATGGGGAEVRCSGEAQVPICHRANRCWQLPPVEGPPQLDPTIAAPPQLDPIVTRPLLLDLAATVRSGCCKASDRGGIEALAIVEEEELRHAVTAVVVVAPEADGGGCAPPGTGDGRLATLPPSHESRYAIVIISLPTTVVIVVALSTGVRSDHHRAIPVAAVVTTVVVAPVDRRWGKGDGC >ONIVA07G15770.1 pep chromosome:AWHD00000000:7:15857653:15866261:-1 gene:ONIVA07G15770 transcript:ONIVA07G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAVVNLLKENGITKVRIYDADPTVLRSLSNTGIKVMVALPNKDLASAGHDQGSALDWVKTNIVPYYNQGTQINGVAVGNEVFRQAPNLTPQLLPAMKNVQTALARLGLADIIKVSTPINFDAVNVSWPSSKGVFQDSIAQSVMSPMIDFLQQTNSYLMVNFYPYIAWANSKGQISRDYATFGPNAGVVDPWSHITYYSLFDAQLDAVYYAINQVSGDSVRASMAQTRWGHPTPRVPVKCSECGHPSGGQFRKLTTQADAEVDVATKANAQAFNNGIIRRALFGASGMPDVSVYIFALFNENKKAGASVERNFGLFYPNGTKVYEVDFHGGGICPTKASWCVANLAVGNSRLQAALDWACSNGADCSAIQQGKPCFEPNTMVAHASFAFNDYYQRMGQANGTCDFAGAAYIVYQPSESICDPNPSWCIANPAVGDMRLQAALDYACGSCADCSAIQPGARCFEPNTKVAHASYAFNDYYQRVGRVSGSCDFGGAGSITYQAPDGPDVGISKEAISPLDLRCLLPRHLLHALLVAAALPLLLLPRAADAGEIGVCYGRDANNLIDPPAAVSLLKANGISAVRIFDADQTVLDAMANTGIKVMVAIPNADLAAAGQDLRSATDWVTNNVVPYRSRGTLINGVAVGNEVFRQRPELTGMLVSAMRNLHKALENLNLANDVKVSTPIAFDALKQSSPPSAGEFKDEIAQSVMKPMIDFLKQTGSYFMVNLYPYFAYVAQPDKISLEFATFRPNAGVLDGNTGIRYFSLFDAQLDAVYAAINRVSGGSLTVSMARRDGILSVQASESGHPSGGTFPLFSMLAAADTDSIATIADAQAYNNGLIRRVVSGASGMRDVSAYIFSLFNENEKPGPAIERNFGLFYPNGQKVYEVDFRGGGGGGACPTKTSWCVARTDVGSAALQSALDFACGNGADCSAIRQGSVCFEPNTLVAHASYAFNDYYQRKGQASGTCNFSGAASIVFKPSPSICDPNPSWCVAKSEVGDAQLQNALDYACGSCADCSAIQPGARCFDPDTKVAHATYAFNDFYQTTGRASGSCDFAGAASIVNQQPKIGNCVLPPNNAGTEQTAIEDQSTANLPATAWQ >ONIVA07G15770.2 pep chromosome:AWHD00000000:7:15864263:15866261:-1 gene:ONIVA07G15770 transcript:ONIVA07G15770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAVVNLLKENGITKVRIYDADPTVLRSLSNTGIKVMVALPNKDLASAGHDQGSALDWVKTNIVPYYNQGTQINGVAVGNEVFRQAPNLTPQLLPAMKNVQTALARLGLADIIKVSTPINFDAVNVSWPSSKGVFQDSIAQSVMSPMIDFLQQTNSYLMVNFYPYIAWANSKGQISRDYATFGPNAGVVDPWSHITYYSLFDAQLDAVYYAINQVSGDSVRASMAQTRWGHPTPRVPVKCSECGHPSGGQFRKLTTQADAEVDVATKANAQAFNNGIIRRALFGASGMPDVSVYIFALFNENKKAGASVERNFGLFYPNGTKVYEVDFHGGGICPTKASWCVANLAVGNSRLQAALDWACSNGADCSAIQQGKPCFEPNTMVAHASFAFNDYYQRMGQANGTCDFAGAAYIVYQPSESICDPNPSWCIANPAVGDMRLQAALDYACGSCADCSAIQPGARCFEPNTKVAHASYAFNDYYQRVGRVSGSCDFGGAGSITYQAPEIGNCVLPPMLELDKAY >ONIVA07G15770.3 pep chromosome:AWHD00000000:7:15857653:15859717:-1 gene:ONIVA07G15770 transcript:ONIVA07G15770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSHLLHALLVAAALPLLLLPRAAGNVLLAFLPMQLIGNAKRWSNSLLVNADAGEIGVCYGRDANNLIDPPAAVSLLKANGISAVRIFDADQTVLDAMANTGIKVMVAIPNADLAAAGQDLRSATDWVTNNVVPYRSRGTLINGVAVGNEVFRQRPELTGMLVSAMRNLHKALENLNLANDVKVSTPIAFDALKQSSPPSAGEFKDEIAQSVMKPMIDFLKQTGSYFMVNLYPYFAYVAQPDKISLEFATFRPNAGVLDGNTGIRYFSLFDAQLDAVYAAINRVSGGSLTVSMARRDGILSVQASESGHPSGGTFPLFSMLAAADTDSIATIADAQAYNNGLIRRVVSGASGMRDVSAYIFSLFNENEKPGPAIERNFGLFYPNGQKVYEVDFRGGGGGGACPTKTSWCVARTDVGSAALQSALDFACGNGADCSAIRQGSVCFEPNTLVAHASYAFNDYYQRKGQASGTCNFSGAASIVFKPSPSICDPNPSWCVAKSEVGDAQLQNALDYACGSCADCSAIQPGARCFDPDTKVAHATYAFNDFYQTTGRASGSCDFAGAASIVNQQPKIGNCVLPPNNAGTEQTAIEDQSTANLPATAWQ >ONIVA07G15760.1 pep chromosome:AWHD00000000:7:15847279:15854723:-1 gene:ONIVA07G15760 transcript:ONIVA07G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVHGADAVHDTVAVAHDAAAARDEAAVVAAAAALADDVERGTAACGTAVHDVERGTAAAHDVAVDAAAAHDVAMEAAAAHDVAVADDDTADKEQDEAAAAATAVAVAHDVAMVHDDTTSKAQVEVAAAAAMATATVQDDEAVADKGEAPVEDAEDEAASGDMTTMPHDRVAVVTRSAVLLPGDMDHAALDDMAVVIPPAAMLLQDGEQAPTTPTSPVVPSTPTIRAAVDGAMSVLFKPLEPALLTIPLEEQQVQQNEVQAEDGARRSARLAMKPLAGIPMVVKAQINAVGL >ONIVA07G15750.1 pep chromosome:AWHD00000000:7:15839016:15840889:-1 gene:ONIVA07G15750 transcript:ONIVA07G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNHKLSALLVATALPLLLLSTADAGEIGVCYGRDASNLIDPPEVVKLLNANSITMVRIYDTDPTVLNALANTGIKVMVMLPNKDLASAGADVGSATNWVKNNVVPYLNQGTLINGVAVGNEVFKQQPELTGMLVSAMQNVQMALANLNLADGIKVSTPIAFDALDVSFPPSDGRFKDSIAQSVMKPMIDFLVRTGSYLLVNLYPMYAAADPSTHISIEYATFRPNSGVLDEKTGIMYFSLFDAELDAVYAAISKVSGGSLRASLAQGDQMLVQVAETGHSSGNTFGGPVVVEADADLNAIATIPNAKAYNNGLIRRVLSGSPGKHDVSAYIFSLFNENLKPGPATEGHFGLFYPNGQQVYEVNFQGGRSPCPTNASWCVANPNVDNAALQRALDWACNNGADCSAIQLGKACYEPNTLVAHASYAFNDYYQRKGQASGTCNFNGVAFIVYKPSPSICDPNPSWCVAKDSVGEAQLQNALDYACGSCADCSAIQRGAQCFNPDTKVAHATYAFNDYYQTAGRASGSCDFAGAATIVTQQPSEFILIL >ONIVA07G15740.1 pep chromosome:AWHD00000000:7:15837852:15838197:-1 gene:ONIVA07G15740 transcript:ONIVA07G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMSTKQEIEEQWGLYDGFDLKVECKLCIRHIWSKWGLLHLLINPNKAKKPLPPIWSKVLSFFTNPHKPMILALSRQDPKKNITTLLKAYGENRHLRERSRRNLGRGKE >ONIVA07G15730.1 pep chromosome:AWHD00000000:7:15829182:15829520:-1 gene:ONIVA07G15730 transcript:ONIVA07G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNAFSNTSIKVMVAIPNNDLASVGQDLGSSTNLVKNNVVLYLNQGTLINGVAMGNEVFIQQPNLTGMLVPAMQNVQMALVNLNLAKDIHVSTLIAFNALDVSFPPSDGRF >ONIVA07G15720.1 pep chromosome:AWHD00000000:7:15828820:15829159:-1 gene:ONIVA07G15720 transcript:ONIVA07G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMIDFLIQTADPSSISIEYATFHDNGGVLDKNTYKTYFNLFDTDLDIVYAAINKVSGVSVLDVKATESRYPWGMKIPPLADANVDSLAKIANAQA >ONIVA07G15710.1 pep chromosome:AWHD00000000:7:15828095:15828795:-1 gene:ONIVA07G15710 transcript:ONIVA07G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPGMHDVSIYILSLFNENLKKGSDTKGNFSLFYPNGMKMYNVDFDGGNSCPTKASWCVAKAGVGHMVFTIQVGKTCYQPNTLVAHASYAFNDYYQRKGQANRTCYFESTALIVHKPSSSICDPNLSWCIAKPEVGDTRLQKTLDYASLSNMVDSVLILTPRRRLDLCIQ >ONIVA07G15700.1 pep chromosome:AWHD00000000:7:15815467:15817518:-1 gene:ONIVA07G15700 transcript:ONIVA07G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALAHLILAAALPLLFLSRADGGEVGVCYGRDGNNLIDPPSVVSLLKAKGITMVRIYDADPTVLNALANQNIKVMVAMSNRDLVAGSAKDFNSALSWVKNYVLPYYRSSQINGVAVGNEVFQQAPDLTSQLVSAMRNVQAALARLGLADAIKVSTPISFDSVKVSFPPSAGVFQDNIAQSVMSPMIDFLQQTNSYLMVNFYPYIAWANSNGQISRDYAVFGPNASPVVDQASGITYHSLFDAQLDAVYFAIDHVSGGSVRVSMAQARRGRPSPRIPVKCSECGHPSGGRLPQLSTLDDVQVDVATKANAQAFNNGLISRALFGATGMPDVSVYIFALFNENLKGGASVEQNFGLFYPDGTEVYQVDFHNGGGGNVCPTKASWCVANSAVGSTRLQAALDWACSNGADCGAIQPGKTCFAPNTLVAHASYAFNDYYQRKSQASGTCDFSGAAFIVYKPSPSICDPNPSWCIAKPEVGDTRLQNALDYACGSCADCSAIQRGAQCFDPDTKVAHATYAFNDYYQTTGRASGSCDFNGAATIVTQQPKIGNCVLSPNNS >ONIVA07G15690.1 pep chromosome:AWHD00000000:7:15804131:15804964:1 gene:ONIVA07G15690 transcript:ONIVA07G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCGCGRIWRGGQPVSDLATFTLDPEGEGRREWSWRRRSGGVGWLLGEAGSRQVEEAVNPAYAESGRLHARREEAGHKELGEASGVGGGRQKVLVEVAGGEAEKEDGRRGAAAAVRWSRHLERLIVCCSFACWKAVGHDDQQCGQCPGRRRGFLPTSCCSLLPSIGDPTLLRLAYFSRGVPHVKERDTGLVGRFCLVKIGRPSTLSAPSKSLQQRGVWCSKLLWRNRVVELGVESELLAVASSATITPFSRRWKRLRVVKHCGGLGFVVVREQEHG >ONIVA07G15680.1 pep chromosome:AWHD00000000:7:15800881:15802988:-1 gene:ONIVA07G15680 transcript:ONIVA07G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAPNMLAPPTDDELLHAQADLWRHSLYFVTSMAFQCAVKLGIPTAIHRAGGTASLPDLVAALSLPPAKLPFLRRLMRLLVHSGVFAAADDTTGSAGTYRLTPLSWLLVEGEGAAPVVDGHPCQVPVVLAGTSRHFVEAAMGLAEWFRKDVPAAAPPSPFEEVHGAVLFDESMSSLHPEVDTVFNQALAAYDHSGFATVLRECSEVFQGVQSLTDCRGGDGAAAKAIVEAFPHIKCTVLDFPRVIGNKRGDGVVNYVAGDMFRAIPPAQAVMLKLVLHHWSDEDCVKILTQCKKAIPARKDGGKVIIIDIVIGAPSGPLLEAQLLMDVGMMVATKGRQRDENDWRDLFKKAGFNDYKIVKKLGARAVFEVYP >ONIVA07G15670.1 pep chromosome:AWHD00000000:7:15750008:15754125:1 gene:ONIVA07G15670 transcript:ONIVA07G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLFPGMDLTKMDAPTLTLLGAACCVMLSMHFTVQLVSQHLFYWKNPKEQKAILIIVLMAPLYAINSFVGLLDIKGSKTFFTFLDAVKECYEALAIAKFMALMYSYLNISISKNIVPDEIKGRVLHHSFPVSLFLPRNVRLEHKTLKLLKYWTWQFVVVRPICAILMITLQLLGLYPSWVSWTFTIILNFSVSMALYALVIFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGFALEVLAAVGIIQSHHFWLDVEHIQEAIQNVLVIIEMVFFSVLQQYAYHVAPYSGADRAKFEKKNE >ONIVA07G15660.1 pep chromosome:AWHD00000000:7:15744704:15745075:-1 gene:ONIVA07G15660 transcript:ONIVA07G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRVMQWLNGKELRSVVFVSLAMMAHGLELSGAAFVHGDGGGTVGAAVARVRHRLCRHCGSGSEHDSQPHRSPLPSLFHRCLPLPPRLADRLSPLSSRCGRPPLSLSPSKAHQPPILFSPF >ONIVA07G15650.1 pep chromosome:AWHD00000000:7:15732850:15733101:-1 gene:ONIVA07G15650 transcript:ONIVA07G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLGDYRRSGRATATSIGRGAPKVGSGASSTSPTNVNLIAATLDNTCSIVWFRKLASDQIKNTLLPMLQSWPPATAVLPISR >ONIVA07G15640.1 pep chromosome:AWHD00000000:7:15728715:15732466:1 gene:ONIVA07G15640 transcript:ONIVA07G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRPPRSLRLGSVSPPPPSAGPTHRCPVPPPPYSSLGSFSAVSTRSGPPRIVAHLAVPYLHRKNTVGSLGGLLCAGLLRVISSLVPPLPSPPFSTPPPPSSPRLPFRPSSALATLHPGPGEKQEKSIHRALALSVANQLTNIFRDREKCQYNGFRKLCSMISFEQLSPL >ONIVA07G15640.2 pep chromosome:AWHD00000000:7:15729277:15732466:1 gene:ONIVA07G15640 transcript:ONIVA07G15640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCKPNISGRNKVIDSKGVASNQAASLNTLEKQEKSIHRALALSVANQLTNIFRDREKCQYNGFRKLCSMISFEQLSPL >ONIVA07G15640.3 pep chromosome:AWHD00000000:7:15729277:15732466:1 gene:ONIVA07G15640 transcript:ONIVA07G15640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCKPNISGRSTAKDGFMLPEDKARHHHEKQEKSIHRALALSVANQLTNIFRDREKCQYNGFRKLCSMISFEQLSPL >ONIVA07G15630.1 pep chromosome:AWHD00000000:7:15706699:15713020:-1 gene:ONIVA07G15630 transcript:ONIVA07G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRRSTEKSPAETESSVSSPSERLSDEQDTPKSSPSSVQSPEILLKEAQDDNVKVKVLSERLSSAVLDIRAKDDLVKQHSKVAEEAVLGWEKAEKEIASLKTQLNAATAKNSTLEDRIVHLDGALKECVRQLRRAKEELDHGIQDALAQQSREWESEKADLELRVVELKAKLEAKSEFSVNAETDASSRLASLEKENSALKVQLLAMSEEVELRTIEKELNRRAAETASKQQLESIKKIAKLEAECRRLQANARRELKRAPSSVYAESVTDCQSDCSDSWASILITELDQFKNDKSITRSASLAAADIGMMDDFLEMEKIASANSPSKSEAEDAASVQLVKLEEKIKRLAMEKADREKALHEAQRELRNTRHRAMVAEEKSVELQRQLNLVKGVKHSMETEMEAMENRRNELEGRIELAHGEITSLLDKGRILEERLESEKALTLELAAKYQQMDALEAERRELRGHLEASQSEAKNLGDKITLLEKKLEEEKAFSTRLAVRCHGIEALEEKKKGTEHELESAREEIASLQKKVSILELKIQEERALSEKLATRSRDLEALGVQTNELRSQLQSANSEIAGLNEKVKMLEEAEEKHKPLTAGLESQLRLAQAEAMRLKDHVSSLEKKLESQKNLSSAYITALDASEAQKNKFASRFELKEAEAEELRRKIRLLEEEIHKEKAQSSELGVQCQNLKEQFTSRALSQPMKPMASKELHIKKEKELARAAGKLADCQKTIASLNRQLKSLADFDEFVPGFENDSVIAEGWEENGLKLLNSANYPAQLGCLAVK >ONIVA07G15630.2 pep chromosome:AWHD00000000:7:15706701:15712029:-1 gene:ONIVA07G15630 transcript:ONIVA07G15630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRRSTEKSPAETESSVSSPSERLSDEQDTPKSSPSSVQSPEILLKEAQDDNVKVKVLSERLSSAVLDIRAKDDLVKQHSKVAEEAVLGWEKAEKEIASLKTQLNAATAKNSTLEDRIVHLDGALKECVRQLRRAKEELDHGIQDALAQQSREWESEKADLELRVVELKAKLEAKSEFSVNAETDASSRLASLEKENSALKVQLLAMSEEVELRTIEKELNRRAAETASKQQLESIKKIAKLEAECRRLQANARRELKRAPSSVYAESVTDCQSDCSDSWASILITELDQFKNDKSITRSASLAAADIGMMDDFLEMEKIASANSPSKSEAEDAASVQLVKLEEKIKRLAMEKADREKALHEAQRELRNTRHRAMVAEEKSVELQRQLNLVKGVKHSMETEMEAMENRRNELEGRIELAHGEITSLLDKGRILEERLESEKALTLELAAKYQQMDALEAERRELRGHLEASQSEAKNLGDKITLLEKKLEEEKAFSTRLAVRCHGIEALEEKKKGTEHELESAREEIASLQKKVSILELKIQEERALSEKLATRSRDLEALGVQTNELRSQLQSANSEIAGLNEKVKMLEEAEEKHKPLTAGLESQLRLAQAEAMRLKDHVSSLEKKLESQKNLSSAYITALDASEAQKNKFASRFELKEAEAEELRRKIRLLEEEIHKEKAQSSELGVQCQNLKEQFTSRALSQPMKPMASKELHIKKEKELARAAGKLADCQKTIASLNRQLKSLADFDEFVPGFENDSVIAEGWEENGLKLLNSANYPAQLGCLAVK >ONIVA07G15620.1 pep chromosome:AWHD00000000:7:15700814:15705277:1 gene:ONIVA07G15620 transcript:ONIVA07G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29320) TAIR;Acc:AT1G29320] MPRTSVVESPGCPPLRALTTDILGLIKVVEARTKPAGVAKVVETWGAPDAPRAVLAASLADRAVDPVLAVARKNGVVELLNPLNGETLAGVNAAAGRAAPADSSAEEDPLATLHLFRRHALDSSMLGTFLACTEKGKAYVKSVAKENASSDMAVGPSSSWDVSNSGTVQFSSVDAGESYAMFGGLEATVFRYSLHLGSLLELFCARMTIVRLYDTASQRRPVISVDFRESPIKAVAEDPNGHAVYIGTGRGDLASFDMRTELTLACTLFPGKLLGCFVGKCSGSIRSIVRHPELPLIASCGLDSYLRIWDTNTRQLLSAVFLKQHLTAVVIDSYFSTEELEETKSKQPDPVGAEVRKERKEKKNRTSEMDEDETRMLDHDDSDSEMHTSKRKKSGEKSKGMKKKSKKQQVA >ONIVA07G15620.2 pep chromosome:AWHD00000000:7:15700814:15705277:1 gene:ONIVA07G15620 transcript:ONIVA07G15620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29320) TAIR;Acc:AT1G29320] MPRTSVVESPGCPPLRALTTDILGLIKVVEARTKPAGVAKVVETWGAPDAPRAVLAASLADRAVDPVLAVARKNGVVELLNPLNGETLAGVNAAAGRAAPADSSAEEDPLATLHLFRRHALDSSMLGTFLACTEKGKAYVKSVAKENASSDMAVGPSSSWDVSNSGTVQFSSVDAGESYAMFGGLEATVFRYSLHLGSLLELFCARMTIVRLYDTASQRRPVISVDFRESPIKAVAEDPNGHAVYIGTGRGDLASFDMRTGLDSYLRIWDTNTRQLLSAVFLKQHLTAVVIDSYFSTEELEETKSKQPDPVGAEVRKERKEKKNRTSEMDEDETRMLDHDDSDSEMHTSKRKKSGEKSKGMKKKSKKQQVA >ONIVA07G15610.1 pep chromosome:AWHD00000000:7:15699780:15700379:-1 gene:ONIVA07G15610 transcript:ONIVA07G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSDGSSSSHQWKPSPVPYRVGPLDYQPAVMCRCRCLAKEARWISWSTDNPGCRYYKCQHARVGGCDFWAWCDDPTSSFISELLNDLRDMVNLLRREKELLQKEVEDSRAKGERERREIDDVRAMVAVKKEEIRSLKARNQKLEKEKKILVICMLSCIFMLFVVLVGKE >ONIVA07G15600.1 pep chromosome:AWHD00000000:7:15698666:15699103:1 gene:ONIVA07G15600 transcript:ONIVA07G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNTPGSSAQSRKRKAVSLTTTSTTEHSKSNQSKSKAAMDNQEVVRVAAKAKVSTAQGGSAREDLQAIVPHSNSSTTASVRLTSGKATVTVSAQEPTKNKPKKRVGGSLILLPWEAKKL >ONIVA07G15590.1 pep chromosome:AWHD00000000:7:15688892:15693457:1 gene:ONIVA07G15590 transcript:ONIVA07G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYHKMKDKVKDAFSSSGPETGKGKTKLSGKRVKHGYHLVKGKSNHPMEDYLVAEYRQEGEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILKQPEFLSNPQAAIRNAYQLTDAKILESAAELGRGGSTAVTAILISSENSVNLVVANVGDSRAVISKSGVAKQLSVDHEPNKERHSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPDVVEEPIDENTDFLILASDGLWKVMSNQEAVDEIKDFKDAQAAAKHLTEQAVNRKSKDDISCIVVKFLC >ONIVA07G15590.2 pep chromosome:AWHD00000000:7:15688892:15693861:1 gene:ONIVA07G15590 transcript:ONIVA07G15590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYHKMKDKVKDAFSSSGPETGKGKTKLSGKRVKHGYHLVKGKSNHPMEDYLVAEYRQEGEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILKQPEFLSNPQAAIRNAYQLTDAKILESAAELGRGGSTAVTAILISSENSVNLVVANVGDSRAVISKSGVAKQLSVDHEPNKERHSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPDVVEEPIDENTDFLILASDGLWKVMSNQEAVDEIKDFKDAQAAAKHLTEQAVNRKSKDDISCIVVKFLC >ONIVA07G15580.1 pep chromosome:AWHD00000000:7:15678802:15683973:1 gene:ONIVA07G15580 transcript:ONIVA07G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:targeting protein for XKLP2 [Source:Projected from Arabidopsis thaliana (AT1G03780) TAIR;Acc:AT1G03780] MAPDANPEAAAAPPQLQVDEGYEFCAPKFFDFVCDETEEEIRAAERWFEASASHAPSPFAPRIKESRAEVKIESLCDFTDAEPIPKEVAVEEAAGSAANPSQNSDGNVQQNKDGSIKLVHEANPSENCVTDGDHKHQESDAMLESPPAEEDEKESPKSFEFVPSNAKSDVASSTPKIQRPPPVKAVTTVPTCPKLTVKTEAFTPKVQATNSSRGLAPLTSSRAHPSALKQSMSVKRSVIKCPRELLAGKAATAANEIAQENQAVKRQKLDDGRTRQILNVKTRTLPHKGRGGGLAGSTEMSLSAMRKHRDDSRSLKEVTHYISAAEMVKKFESGTRELAIPHNRSLSHEDAATALQRRTKLMLTRPKEPEFQTSHRVRAVRVKSSAELEEEMLAKIPKFRARPFNKKIAEAPSFPPLPRKAPQLPEFNEFHLKTMERATRHADTCSEASSVGTIRSQSSKPLTLTAPKPPQLETALRARPPRVKSSQELELEELEKAPKFKAKPLNKKILESKGDIGVFPHLKAQPTAPKEFHFSTDDRLGPPAVVDLFDKLSLCSESSYRSKKDVPRLTIPNPFNLHTDERGHEKERQLAAQLLQKQLQEEKARIPKANPYPYTTDYPVIPPKPEPKPCTRPEGFQLESLVRHEMEQQRIMEERERMEREEAQRRVVKAHPIMKEDPIPLPEKERKPLTEVQPLKLHVDERAVQRSEFDNMVKEKEITYKRLREENEFAQKIEEEKALKQLRRTLVPQARPLPKFDRPFRPQRSTKQVTRPKSPQLQVDQRGARRHAFIR >ONIVA07G15570.1 pep chromosome:AWHD00000000:7:15668498:15678221:1 gene:ONIVA07G15570 transcript:ONIVA07G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSGRDLIGRGSFGDVYKGFDKELHKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQTGPPLDELSIACILRDLLHAVEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLKKNPAERLSAKDLLKHRFVRNARKSPKLLDRIRERPKFPVKSSADATQNGRTHVEEDDGTGTIKVERVTRDVVSPSSQGTVRKAAGWNLPDRSEGTGTVRGGLKPSQVTSTKDSRSDASHSPNTPKRTADRENQWRTSWTGSEESISTNPSQRDAQSEYGRLESSTEDNDQSISGSGTVVLRSPRASQVYPAATNHSSKPPSRFSSYEDTSISGTVVRNQIEEPETPRSSRSRLGIQEKSPNASLEDSATNLAEAKAALQAAFKKGNARERHVISKHEKESHEPRISAVNSHDAPSENADMEKGRKPRQIHDGQSAPQVSGSVSSPALSSLIIPSLKEATGDKFNGPVVHTFLDSLMHLEKELPGSCEVLIGRMLHRLGSSKESSLQSLQETATSVFAKKSEPPSEPPSNKKMANTPSLAAPTVSPLARFLLTRWQNQVSQDLNSV >ONIVA07G15550.1 pep chromosome:AWHD00000000:7:15646724:15650768:-1 gene:ONIVA07G15550 transcript:ONIVA07G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE12 [Source:Projected from Arabidopsis thaliana (AT5G46550) UniProtKB/Swiss-Prot;Acc:Q9LS28] MTTQACKKRRAVYISSESEDSGTDSEVAGSKLSKKDGVTSVYTCGHQPTSKNKVDPMNTSKSRQCGSILKKLMDHKSGWIFNTPVDPVVYGIPDYFDVIRNPMDLGTVKRKLTSKQYSNPYEFAADVRLTFSNAMKYNPPGNDVHAIADQLNKIFDSEWKLLERKWKDRNLVQEQPSLKVLKAQPAVTPKPVLPKGVTAGTNSAVSKTLATALSSKVKIKFSVRGSELTSSKDTPLQAVGRRDGTINQSLPCTKDNAKTPRIQSSEDRSESTGNELRPCDDASTSPLASSRQEEEYLPEEPLSPSKALRAAMLKSRFAGTIVKAQQKALLDHGKKIDPVKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQLKLDEEMRMKREQERRAARLALHMMKKTVDIDNSDFLKDLENLSKKWELNPPGKLIVDFVDGIDLPPGLGSPLERLGLFMKKDLEEEVEHEMEDSVSPSTEIDVEEGEISFCQ >ONIVA07G15540.1 pep chromosome:AWHD00000000:7:15636960:15645220:1 gene:ONIVA07G15540 transcript:ONIVA07G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G13010) TAIR;Acc:AT5G13010] MEGDMDATMTTLGPEDDTGAQGLILPSRDRVMYRPPPGKSALGLDLLAHRKREAEGGNAFKPPPQKVVAAATSIDEDEKPGPAENDEKSLSSGHRGSVSRRYRGANSDERTSFKEPTITDEDGRGPSPSHRDGSYRQDTHKSRSSQGSHSRSTPHRYDDYEDRGSRDKHGERERSASIGYSSSGRRGHHDDRESHNRRDERERSTSVDYMNKRSRHEHSSRSSRTPARSDWDSGRWEWEDTPRREYRDDRSNSHRQHPSPSPMLAAASPDARLVSPWLGGNTPRYAASPWDNVSPSPAPIRASGSSKGSSYPRSGGRSHQLTFSSTSASNDRESDRSPSAADGNYEISEEMMQEMDYNADRAWYDCEEHNTMFDGDNSMYLEDDSSYKKREAQLPKRLTRKDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIVARKGSALVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTATVGDQGEIDFKEEAKFSQHMKVKAEAVSDFAKSKSLSQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDMTSSNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGALTVIGWKMVEFPLDPTLAKMLLMGEQLECLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKEDKTAMEEEMEKLRQEQAEAARLEKEREREKRAKQQQQVSMPGLKKGSTYLRPKRMGL >ONIVA07G15540.2 pep chromosome:AWHD00000000:7:15636960:15645411:1 gene:ONIVA07G15540 transcript:ONIVA07G15540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G13010) TAIR;Acc:AT5G13010] MEGDMDATMTTLGPEDDTGAQGLILPSRDRVMYRPPPGKSALGLDLLAHRKREAEGGNAFKPPPQKVVAAATSIDEDEKPGPAENDEKSLSSGHRGSVSRRYRGANSDERTSFKEPTITDEDGRGPSPSHRDGSYRQDTHKSRSSQGSHSRSTPHRYDDYEDRGSRDKHGERERSASIGYSSSGRRGHHDDRESHNRRDERERSTSVDYMNKRSRHEHSSRSSRTPARSDWDSGRWEWEDTPRREYRDDRSNSHRQHPSPSPMLAAASPDARLVSPWLGGNTPRYAASPWDNVSPSPAPIRASGSSKGSSYPRSGGRSHQLTFSSTSASNDRESDRSPSAADGNYEISEEMMQEMDYNADRAWYDCEEHNTMFDGDNSMYLEDDSSYKKREAQLPKRLTRKDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIVARKGSALVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTATVGDQGEIDFKEEAKFSQHMKVKAEAVSDFAKSKSLSQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDMTSSNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGALTVIGWKMVEFPLDPTLAKMLLMGEQLECLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKEDKTAMEEEMEKLRQEQAEAARLEKEREREKRAKQQQQVSMPGLKKGSTYLRPKRMGL >ONIVA07G15540.3 pep chromosome:AWHD00000000:7:15637233:15645220:1 gene:ONIVA07G15540 transcript:ONIVA07G15540.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G13010) TAIR;Acc:AT5G13010] MALPLSLFQGDMDATMTTLGPEDDTGAQGLILPSRDRVMYRPPPGKSALGLDLLAHRKREAEGGNAFKPPPQKVVAAATSIDEDEKPGPAENDEKSLSSGHRGSVSRRYRGANSDERTSFKEPTITDEDGRGPSPSHRDGSYRQDTHKSRSSQGSHSRSTPHRYDDYEDRGSRDKHGERERSASIGYSSSGRRGHHDDRESHNRRDERERSTSVDYMNKRSRHEHSSRSSRTPARSDWDSGRWEWEDTPRREYRDDRSNSHRQHPSPSPMLAAASPDARLVSPWLGGNTPRYAASPWDNVSPSPAPIRASGSSKGSSYPRSGGRSHQLTFSSTSASNDRESDRSPSAADGNYEISEEMMQEMDYNADRAWYDCEEHNTMFDGDNSMYLEDDSSYKKREAQLPKRLTRKDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIVARKGSALVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTATVGDQGEIDFKEEAKFSQHMKVKAEAVSDFAKSKSLSQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDMTSSNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGALTVIGWKMVEFPLDPTLAKMLLMGEQLECLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKEDKTAMEEEMEKLRQEQAEAARLEKEREREKRAKQQQQVSMPGLKKGSTYLRPKRMGL >ONIVA07G15540.4 pep chromosome:AWHD00000000:7:15637233:15645411:1 gene:ONIVA07G15540 transcript:ONIVA07G15540.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G13010) TAIR;Acc:AT5G13010] MALPLSLFQGDMDATMTTLGPEDDTGAQGLILPSRDRVMYRPPPGKSALGLDLLAHRKREAEGGNAFKPPPQKVVAAATSIDEDEKPGPAENDEKSLSSGHRGSVSRRYRGANSDERTSFKEPTITDEDGRGPSPSHRDGSYRQDTHKSRSSQGSHSRSTPHRYDDYEDRGSRDKHGERERSASIGYSSSGRRGHHDDRESHNRRDERERSTSVDYMNKRSRHEHSSRSSRTPARSDWDSGRWEWEDTPRREYRDDRSNSHRQHPSPSPMLAAASPDARLVSPWLGGNTPRYAASPWDNVSPSPAPIRASGSSKGSSYPRSGGRSHQLTFSSTSASNDRESDRSPSAADGNYEISEEMMQEMDYNADRAWYDCEEHNTMFDGDNSMYLEDDSSYKKREAQLPKRLTRKDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIVARKGSALVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTATVGDQGEIDFKEEAKFSQHMKVKAEAVSDFAKSKSLSQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDMTSSNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGALTVIGWKMVEFPLDPTLAKMLLMGEQLECLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKEDKTAMEEEMEKLRQEQAEAARLEKEREREKRAKQQQQVSMPGLKKGSTYLRPKRMGL >ONIVA07G15530.1 pep chromosome:AWHD00000000:7:15634016:15634189:-1 gene:ONIVA07G15530 transcript:ONIVA07G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATWWWTTTAAVYCNATTASSYLPVVCRALATPTRLAPDAGERHHAKLHRQHISN >ONIVA07G15520.1 pep chromosome:AWHD00000000:7:15626801:15631183:-1 gene:ONIVA07G15520 transcript:ONIVA07G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 1 [Source:Projected from Arabidopsis thaliana (AT3G14750) UniProtKB/Swiss-Prot;Acc:Q93V84] MAGRHRNPPPPSFPRGGGGGVGRGHHPPPPSLHHHRLPPPHHLDDFREPPLLPPHHRLDDFREPHHLPPPHHHHHLDEFGEPPRHHVFAGHGGGAGPPPAPHVVAALEERLGAEIEEAHVLLGQNQRLAATHVALVQEVSAVRHELGRTARGLAAAQEEGELRLREVYERSMKMEAELRAVEEMRAELAQVRLDIQKLGAARQELMGQVQGFTQDLARSAVDLQQVAALKAEIQEIRHETQHLRSGIEVEKKGYAESYEQGQEMQKKLISVASEVEKLRAEAEKRSRAAVSGGNQVYVGGYGNPKAAYAANPYNAGYNINQPHPQANTADSGSQFGPGSTHAPWGAYDMQRATGRR >ONIVA07G15510.1 pep chromosome:AWHD00000000:7:15620543:15626108:1 gene:ONIVA07G15510 transcript:ONIVA07G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G18060) TAIR;Acc:AT4G18060] MDVLRKQASKFKEQVAKQQQAVIKQFSTTGYEHSDAVVIDEVELQRHQQLEKLYTSTRSGRDFQKDIVRAAEGLVSIGIRHVEVGTKFSEDCYRYGGESSASDEALAKAASLYGGALRNVEKEYEEFNRILSSQTIDPLRAMAAGAPLEDARGLAQRYSRMRHEAEILSAEIARRKQRVREAPLAEHTTKLQQSESKMIEHKASMAVLGKEAAAALAAVESQQQRITLQRLVGMVEAEKLFHLRLAAILDDVEAEMSSEKQKRESAPPTIHSHKRAEKAQYFLAEAVHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECKGVAGWFPAAYVERRENIPPNKVFPQA >ONIVA07G15500.1 pep chromosome:AWHD00000000:7:15612041:15615226:1 gene:ONIVA07G15500 transcript:ONIVA07G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHPPIPAVGRGAPFLLRGCEETKPNLKRNPKNPKPAERERGVGGCDAFAVPDPSCSSSRRRLATQHSWCRLSLVVAAPLCRLSLPSRLVVPPPARRRSRGDQRRGGFQPTQLCIELASSGAIGPPNLAARRLWQRLGF >ONIVA07G15490.1 pep chromosome:AWHD00000000:7:15600555:15606263:-1 gene:ONIVA07G15490 transcript:ONIVA07G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERTPLVVPEAAAAAAAASSPLRRPSPRVLREVPPPPLDPILPYLRSINKAMDELRTGPKFEAAALDRLKICVMECIDKYGDDYQYSTDPRLLKIWILYADAIGDFDKAYKQLEEKRMFLEHALLYDAYALFLFSKGKVLEAGKVYEVGISRKAEPLDHLKKMHTIFLKHLEKIVEEADADAQPKPSKIQKKEPILLDPWSESTMNNLLENINVDLKKFAGYHKSNKVYHGKVPLTSPQNVLRNKVIELGGRKYQIKGSPGTGAFAKVYKASVDGNTEDLVALKIQKPPFPWEFYMYRQLDTRVSDIERPSFGYTHEVHVYADVSVLVCDYLPYGTLLDVINSHLVLERHMDEVLCIYYTIEMLRMLETLHSVGIIHGDFKPDNMLVCYPSGEITNETFKGETRSERNQGLCLVDWGRGIDLNLFPSGAEFYGDCRTSGFSCVEMQEQRAWTFQADTYGLCVIAHMMLHGTQMSIQKTPRPDGSYMYWNVELWKNLFSTLLNATSNGSDAAVLRSLRMSFQEYLCSNRQLVGKLNQQLAKQKTSLCLS >ONIVA07G15480.1 pep chromosome:AWHD00000000:7:15568397:15569476:-1 gene:ONIVA07G15480 transcript:ONIVA07G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGAATAAAGGGGGGGVAAGRSGGGGGGGAAAAAGAGAPDPRAEALRCPRCDSANTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRSRSGGAAPGGGVGRGGPGGGAAAAVSSAGGGAAGTSPASSLALPQPGSLPSLSSALGLTGGTSLASLLLGSGGSGGDHLGLFQAMQSVVSDAAAFEMHQQHQSQVDHLLGLGYGAAGAQIQAAKPWLHDGGATGGLLDGFYAPLLSGSIVPGLEELQVKAEATTGDHQQKSSAAAAGEQSWDLPTPSSSNVEASIIASDALMAAAAASMNPAVSAAAASTAPSAQSLLYWGNGGIGAAAAAWPDLANCGSSIATLF >ONIVA07G15470.1 pep chromosome:AWHD00000000:7:15561272:15562031:-1 gene:ONIVA07G15470 transcript:ONIVA07G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYAVVMTTTIEPSCIFVGGPRSPRRADGDTVMLKKDGNKRMGTNRSNASSTGSGVFPARHDALQSDMCMVTRDARKARRGSGRERRPLRSGPLDGGTLIWWRHRAVLMEAEAYFFGCTERHQGGGIGFHGREMLGIGVMDYCV >ONIVA07G15460.1 pep chromosome:AWHD00000000:7:15545935:15554246:1 gene:ONIVA07G15460 transcript:ONIVA07G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0I1S6] METRSGGSGSASGGGGGGRMRLRKTESAEMRWVVSGGAYEEDEIESSDGGGGTPAAASGSRGGCSDSDDNYEEAEMLRQRLVRTGPRADSLDVEAQDVAGMNRHQEITVGRSIVLAVQTLGVVFGDVGTSPLYAFDVMFNKYPITSKEDVLGALSLVIYTMILIPLLKYTLIALWGNDDGEGGTFALYSLICRNARVSLLPNQLRSDTRISSFQLQVPSVELERSLKIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAVLIVLFTLQRFGSSKVALAVGPALFIWFCCLAGIGIYNMKTYGSAVLQAFNPMYIYYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVKSVQLTFVFLVLPCLLLGYLGQAAFLMENLTENQQVFFLSIPNQAFWPVVFIAILAAIIASRTMTTAIFSTIKQATALGCFPRLKIIHTSRSFMGQIYIPMMNWFLLVSCLAFVTMFGSINEIGNAYGIAELGVMMMTTVLVTIIMLLIWQINIIVVLCFLTFSLGLELIFFSSVLGSVADGSWVLLVFAAVLYLIMYIWNYGTKLKYETEVKQKLSMDLLMELGCNLGTVRVPGIGLLYNELARGVPGTFGQFLATMPAIHSMIIFVCIKYGYKDIRKEDYISFQQLLIESLEKFMRREAQERSLESDQYDGTDSEEEVASASSRALVGPNGSINSLGVPPAEAAGTTEHPTIGSSMSFDGSLDEAIDGRGSLDDELSFIHKAKESGVVYLLGHGDIRARKESFFVKKLVINYFYAFLRRNCRRGIAALSIPPSRMMQVAMQYMV >ONIVA07G15450.1 pep chromosome:AWHD00000000:7:15535926:15538147:1 gene:ONIVA07G15450 transcript:ONIVA07G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGTEEGAKYRSAKTDKFLFKDEPHEGLRAGATHSTRSDSWLCGWQVWGAHRRVTERRRMGRGAANKGGGVASDLKLDCCRGLYKRGWEELERGAGCVALEEAAKKMGRWRCGGENSGSNGI >ONIVA07G15440.1 pep chromosome:AWHD00000000:7:15526939:15528098:-1 gene:ONIVA07G15440 transcript:ONIVA07G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I1S4] MKRAVSSIRSRDVAHLAAAATAPSPAAAEAWDGRFRLHKPRGQHLLTNPRVLDAIVRRAALRPGDAVLEVGPGTGNLTVRLLESPAARVSAVEIDPRMVDAVTARVDALGLAHKLTVIRADAVEAEFPEFDVCVANIPYGISSPLIAKLLFGPYRFRAATLLLQKEFARRLVAAPGDSEYNRLAANVRMVADARLLMDVSKRDFVPMPKVDSSLVEIRPRAAEPNVDLAEWLAFTRSCFGQKNKTLGAIFKQKRKVLELFRRSRCAEERCDGNANAGGGSRLIALGGGDDDGDMSDGDSNEGHDGAPSFSEEEVAVFKAKIAGALETCELAGKRPSKLSNDELLRLLKLLNEQGVRFQ >ONIVA07G15430.1 pep chromosome:AWHD00000000:7:15524624:15525499:1 gene:ONIVA07G15430 transcript:ONIVA07G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPQILPSPRLAAAAASPNSAFRPAPRLHSSAPARRGRGARLATAASASGSGSGSSPSSPEPYQPAESDDGLVELPLFPLPLVLFPDATHALHIFEFRYRIMMHTVLQTDLRFGVVFAGSGAGGAADVGCVGEVVKHERLADDRFFLICKGQERFRVARVVRTKPYLVAAVQWLEDRPPAETPAPGDDAEALATDVEALMRDVIRIANRLNGKPEKDVGDLRRGLFPTPFSFYVGNTFEGAPREQQALLELEDTAARLRRERDTLRNTLNYLTAASAVKDAFPSSPSSG >ONIVA07G15420.1 pep chromosome:AWHD00000000:7:15518298:15521890:1 gene:ONIVA07G15420 transcript:ONIVA07G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASISSHSVALRDLKAARIGAVKQQVAAAPAAGTAAARAQRARAVRPLRAAEPARQPVSASAAAAPAAAPVAEDAAAAAVDAPAPAVDYEALAQELQGASPLEIMDRALAMFGSDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQLFDKVEKHYGIRIEYMFPDAGEVQALVRAKGLFSFYEDGHQECCRARKVRPLRRALRGLRAWITGQRKDQSPGTRAAIPVVQVDPSFEGLAGGAGSLVKWNPVANVDGKDVWTFLRAMDVPVNALHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIDDQGGAAAAAAHKAGGANGNGSAGAPDIFESSGVVSLTRAGVENLLRLESRAEPWLVVLYAPWCPFCQAMEGSYLELAERLGGAGGGVKVGKFRADGEQKAFAQQELQLQSFPTILLFPSRTARPIKYPSEKRDVDSLLAFVNSLR >ONIVA07G15410.1 pep chromosome:AWHD00000000:7:15507823:15511892:-1 gene:ONIVA07G15410 transcript:ONIVA07G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I1S1] MAAPGACLASRSPPAFLAGGFLAARPAAVSPIPSRSGTDGWMGILGSQEPSSASSKKRPPLRRGKISPQLPVPEHIPRPSYVGSNRPQELSSVRQIHSAEGIAGMRAACKLAARALDFAGTLIKPSVTTNEIDREVHNMIIEAGAYPSQLGYGGFPKSICTSLNECVCHGVPDSTQLQGYHGGASRTFVCGEVDDSIRHFLKAAEECLEKGITVCRDGVNYKKIGKKISKLAYFYGYYVVDRFVGHGIGPIWHSEPLILHHANDNSGRMVEGQTFTIEPILTMEKAETVTWEDGWTTVTADGSWAAQFKHTVHMWQDYRSQAEELIDEICDESSVPGEWLVRRVCGSLEFCVWHTGI >ONIVA07G15400.1 pep chromosome:AWHD00000000:7:15504786:15505300:-1 gene:ONIVA07G15400 transcript:ONIVA07G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLVMSWDCRCGCFRRPPAVAAEVVLLLDRRPRRCFFPFSPGVRVGAMSSPEIQKLADEVCSAAAQSVPSVCYCSASFVDLRHIWFQFQCDIVWRNGRGDCNILVSVMTAQQLQ >ONIVA07G15390.1 pep chromosome:AWHD00000000:7:15497548:15503106:-1 gene:ONIVA07G15390 transcript:ONIVA07G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT1G11820) TAIR;Acc:AT1G11820] MGSRSRGGRHLLLLLHLLSLHSSLLPWAAVSAAGGGGSGDPYVGVTIGTAVTNLLSPSDLAEFLRAQRITHVRLYDADPRMLSALASSGARAIVGVPNDELLALGSSPATASAWVARRVLPYAGANSSTPGLIAAIAVGDEVPTALPSALPVLLPAIQSLAAALAAANLSSIPVSTPLPFSVVLDPFPPSQAFFNQSLAKSFILPLLSHLANTSAPLMLNLYPYYSMMQSNGVIPLDNALFKPLPPSLEMVDPNTLLHYTNVFDAMLDAVHVAVKNLNATGGGGPVPVLVTETGWPSYGDRRAEPYATRDNADAYNSNLIKHVNDKPGTPMRPGAQASVYIYELFNEDLRPGPVSEANWGLFHGNGTPVYLLHVSGAGGFLANDTTDRTFCIASDDADEKAVQAAMDWACGPGRTDCTAIQPGQGCYEPNDVRSHASFAFDSYYQSQGKAAGSCYFQGVGMVTTTDPSEYTPPQHCHFFLIAYAFSVHTELLVADKWIPRTQQWGLHTTAGHDSCIFPGSKLLSNVTKSDGANTTTAQTSDAEGSAIWRLRTGRETGFLFILRWLLSLSVVLITTNSNFWT >ONIVA07G15390.2 pep chromosome:AWHD00000000:7:15497548:15503106:-1 gene:ONIVA07G15390 transcript:ONIVA07G15390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT1G11820) TAIR;Acc:AT1G11820] MGSRSRGGRHLLLLLHLLSLHSSLLPWAAVSAAGGGGSGDPYVGVTIGTAVTNLLSPSDLAEFLRAQRITHVRLYDADPRMLSALASSGARAIVGVPNDELLALGSSPATASAWVARRVLPYAGANSSTPGLIAAIAVGDEVPTALPSALPVLLPAIQSLAAALAAANLSSIPVSTPLPFSVVLDPFPPSQAFFNQSLAKSFILPLLSHLANTSAPLMLNLYPYYSMMQSNGVIPLDNALFKPLPPSLEMVDPNTLLHYTNVFDAMLDAVHVAVKNLNATGGGGPVPVLVTETGWPSYGDRRAEPYATRDNADAYNSNLIKHVNDKPGTPMRPGAQASVYIYELFNEDLRPGPVSEANWGLFHGNGTPVYLLHVSGAGGFLANDTTDRTFCIASDDADEKAVQAAMDWACGPGRTDCTAIQPGQGCYEPNDVRSHASFAFDSYYQSQGKAAGSCYFQGVGMVTTTDPSHDSCIFPGSKLLSNVTKSDGANTTTAQTSDAEGSAIWRLRTGRETGFLFILRWLLSLSVVLITTNSNFWT >ONIVA07G15380.1 pep chromosome:AWHD00000000:7:15490555:15491777:1 gene:ONIVA07G15380 transcript:ONIVA07G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNVWSREDVAVYTRMKAIGSLVEKHKPDVIFFQEITPYILRIFKSFAWWKEYHCSEVKPEEQATKLHFCMMKFTNTSTGRGYVEADINLGTSSPAIHIATTQLESPSSGPPAAQPQTRSLERYAQAEHAVAALGSARNVVLGGDMSWDDAVDMPFPLPAGGGGGGGGWVDAWTVLRPEHERASARRTTASGTRISPCSTASRRMLASSLKKRSDRFVCKLQDYKLGGIELIGSTENIGIDYLKKRRDYCYDELHLRPSCHLGVVLTVVVTGDPPPVHGALAPRRKLRDSTGSTAPSE >ONIVA07G15370.1 pep chromosome:AWHD00000000:7:15449407:15475310:1 gene:ONIVA07G15370 transcript:ONIVA07G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKKLWSSTTQESHFCLLLSKLDADDAHIRRWSESVSPPRIAPPGLLSQMVYKPFPPGHPHSAHRVCAATCRLVPPTPTDGATPKPKAKLIGRRPCSRSIVRIRIQPMAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDISFRHLPAVDMPTVHTGIEEWSPPPSMAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDISFRHLPAVDMPTVHTGIEEWVSLILRSHGPHVRAAIAGLDCPVAALVTDIFCTPALDVAAELGVPSYVYFTSGAVMLELLLYSPVLDEEVPGEFSEMDGALNIPGLPPVPPSVLPATMLHKKKMSTYRWFLETGRCYMKATGFIVNTAAELEQSVIDAIADGRCTRGVPAPTVYAIGPVIALTPPPEQPHECVRWLDAQPPASVLLVCFGSKGLLPPPKSLWFGVPMLPWPLDNEQHANAFLLVSVLGIAVPLRLDRERDNFVEAAELERAVSTLLGGGDGEAGRKAREKAVAVKAACRKAVEKGGSSDAAFQRLTEEIRRGQSPPPSMAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPDAAVDIAAQVKREEASGADDISFRHLPAVDMPTGHTGVEEWISRILRSHAPNVRAAIAGLDCPVAALVTDIFCTPALEVSRELGVPGYVYFPCSASMLALLLRSPGLDEEVAVEFEEMDGAIRIPGLPPVPPSALPSTMLDRKKSTYDWFVATGRGYMNATGVIVNTAAELEQSVLAAIADGRCTRGVPAPTVYPIGPVLSFPPPPEEQPHECVRWLDAQPPASVLFLCFGSKGLLPPPKVREIAAALERSEHRFLWVLRGPPKDSRHGQRVPTDAMLDELLPEGFLERTKGRGLVWPTRAPQKEILAHAAVGGFVTHCGWNSILESLWFGVPVLPWPLDAEQHFNAFTLVAHLGVAVPLGMDRRRDNFVEAAELERAVRSLMDDASEEGRKARAKAAETRVVCRKAVEEGGSSSTAFRRLTDDIVRRGAVQIR >ONIVA07G15370.2 pep chromosome:AWHD00000000:7:15449407:15475310:1 gene:ONIVA07G15370 transcript:ONIVA07G15370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKKLWSSTTQESHFCLLLSKLDADDAHIRRWSESVSPPRIAPPGLLSQMVYKPFPPGHPHSAHRVCAATCRLVPPTPTDEVHTLHLRTACSRSIVRIRIQPMAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDISFRHLPAVDMPTVHTGIEEWSPPPSMAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDISFRHLPAVDMPTVHTGIEEWVSLILRSHGPHVRAAIAGLDCPVAALVTDIFCTPALDVAAELGVPSYVYFTSGAVMLELLLYSPVLDEEVPGEFSEMDGALNIPGLPPVPPSVLPATMLHKKKMSTYRWFLETGRCYMKATGFIVNTAAELEQSVIDAIADGRCTRGVPAPTVYAIGPVIALTPPPEQPHECVRWLDAQPPASVLLVCFGSKGLLPPPKSLWFGVPMLPWPLDNEQHANAFLLVSVLGIAVPLRLDRERDNFVEAAELERAVSTLLGGGDGEAGRKAREKAVAVKAACRKAVEKGGSSDAAFQRLTEEIRRGQSPPPSMAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPDAAVDIAAQVKREEASGADDISFRHLPAVDMPTGHTGVEEWISRILRSHAPNVRAAIAGLDCPVAALVTDIFCTPALEVSRELGVPGYVYFPCSASMLALLLRSPGLDEEVAVEFEEMDGAIRIPGLPPVPPSALPSTMLDRKKSTYDWFVATGRGYMNATGVIVNTAAELEQSVLAAIADGRCTRGVPAPTVYPIGPVLSFPPPPEEQPHECVRWLDAQPPASVLFLCFGSKGLLPPPKVREIAAALERSEHRFLWVLRGPPKDSRHGQRVPTDAMLDELLPEGFLERTKGRGLVWPTRAPQKEILAHAAVGGFVTHCGWNSILESLWFGVPVLPWPLDAEQHFNAFTLVAHLGVAVPLGMDRRRDNFVEAAELERAVRSLMDDASEEGRKARAKAAETRVVCRKAVEEGGSSSTAFRRLTDDIVRRGAVQIR >ONIVA07G15370.3 pep chromosome:AWHD00000000:7:15449407:15475310:1 gene:ONIVA07G15370 transcript:ONIVA07G15370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKKLWSSTTQESHFCLLLSKLDADDAHIRRWSESVSPPRIAPPGLLSQMVYKPFPPGHPHSAHRVCAATCRLVPPTPTDEVHTLHLRSIVRIRIQPMAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDISFRHLPAVDMPTVHTGIEEWVSLILRSHGPHVRAAIAGLDCPVAALVTDIFCTPALDVAAELGVPSYVYFTSGAVMLELLLYSPVLDEEVPGEFSEMDGALNIPGLPPVPPSVLPATMLHKKKMSTYRWFLETGRCYMKATGFIVNTAAELEQSVIDAIADGRCTRGVPAPTVYAIGPVIALTPPPEQPHECVRWLDAQPPASVLLVCFGSKGLLPPPKVREIAAALERSEHRFLWVLRGPPKDSRHGQRVPTDAMLDELLPEGFLERTKGRGLVWPTRAPQKEILAHAAVGGFVTHCGWNSILESLWFGVPVLPWPLDAEQHFNAFTLVAHLGVAVPLGMDRRRDNFVEAAELERAVRSLMDDASEEGRKARAKAAETRVVCRKAVEEGGSSSTAFRRLTDDIVRRGAVQIR >ONIVA07G15370.4 pep chromosome:AWHD00000000:7:15449407:15475310:1 gene:ONIVA07G15370 transcript:ONIVA07G15370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKKLWSSTTQESHFCLLLSKLDADDAHIRRWSESVSPPRIAPPGLLSQMVYKPFPPGHPHSAHRVCAATCRLVPPTPTDPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDISFRHLPAVDMPTVHTGIEEWSPPPSMAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDISFRHLPAVDMPTVHTGIEEWVSLILRSHGPHVRAAIAGLDCPVAALVTDIFCTPALDVAAELGVPSYVYFTSGAVMLELLLYSPVLDEEVPGEFSEMDGALNIPGLPPVPPSVLPATMLHKKKMSTYRWFLETGRCYMKATGFIVNTAAELEQSVIDAIADGRCTRGVPAPTVYAIGPVIALTPPPEQPHECVRWLDAQPPASVLLVCFGSKGLLPPPKSLWFGVPMLPWPLDNEQHANAFLLVSVLGIAVPLRLDRERDNFVEAAELERAVSTLLGGGDGEAGRKAREKAVAVKAACRKAVEKGGSSDAAFQRLTEEIRRGQSPPPSMAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPDAAVDIAAQVKREEASGADDISFRHLPAVDMPTGHTGVEEWISRILRSHAPNVRAAIAGLDCPVAALVTDIFCTPALEVSRELGVPGYVYFPCSASMLALLLRSPGLDEEVAVEFEEMDGAIRIPGLPPVPPSALPSTMLDRKKSTYDWFVATGRGYMNATGVIVNTAAELEQSVLAAIADGRCTRGVPAPTVYPIGPVLSFPPPPEEQPHECVRWLDAQPPASVLFLCFGSKGLLPPPKVREIAAALERSEHRFLWVLRGPPKDSRHGQRVPTDAMLDELLPEGFLERTKGRGLVWPTRAPQKEILAHAAVGGFVTHCGWNSILESLWFGVPVLPWPLDAEQHFNAFTLVAHLGVAVPLGMDRRRDNFVEAAELERAVRSLMDDASEEGRKARAKAAETRVVCRKAVEEGGSSSTAFRRLTDDIVRRGAVQIR >ONIVA07G15370.5 pep chromosome:AWHD00000000:7:15449407:15475310:1 gene:ONIVA07G15370 transcript:ONIVA07G15370.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKKLWSSTTQESHFCLLLSKLDADDAHIRRWSESVSPPRIAPPGLLSQMVYKPFPPGHPHSAHRVCAATCRLVPPTPTDGATPKPKAKLIGRRPCSRSIVRIRIQPMAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDISFRHLPAVDMPTVHTGIEEWSPPPSMAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDISFRHLPAVDMPTVHTGIEEWVSLILRSHGPHVRAAIAGLDCPVAALVTDIFCTPALDVAAELGVPSYVYFTSGAVMLELLLYSPVLDEEVPGEFSEMDGALNIPGLPPVPPSVLPATMLHKKKMSTYRWFLETGRCYMKATGFIVNTAAELEQSVIDAIADGRCTRGVPAPTVYAIGPVIALTPPPEQPHECVRWLDAQPPASVLLVCFGSKGLLPPPKVREIAAALERSEHRFLWVLRGPPKDSRHGQRVPTDAMLDELLPEGFLERTKGRGLVWPTRAPQKEILAHAAVGGFVTHCGWNSILESLWFGVPVLPWPLDAEQHFNAFTLVAHLGVAVPLGMDRRRDNFVEAAELERAVRSLMDDASEEGRKARAKAAETRVVCRKAVEEGGSSSTAFRRLTDDIVRRGAVQIR >ONIVA07G15370.6 pep chromosome:AWHD00000000:7:15449407:15475310:1 gene:ONIVA07G15370 transcript:ONIVA07G15370.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKKLWSSTTQESHFCLLLSKLDADDAHIRRWSESVSPPRIAPPGLLSQMVYKPFPPGHPHSAHRVCAATCRLVPPTPTDEVHTLHLRSIVRIRIQPMAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDISFRHLPAVDMPTGHTGVEEWISRILRSHAPNVRAAIAGLDCPVAALVTDIFCTPALEVSRELGVPGYVYFPCSASMLALLLRSPGLDEEVAVEFEEMDGAIRIPGLPPVPPSALPSTMLDRKKSTYDWFVATGRGYMNATGVIVNTAAELEQSVLAAIADGRCTRGVPAPTVYPIGPVLSFPPPPEEQPHECVRWLDAQPPASVLFLCFGSKGLLPPPKVREIAAALERSEHRFLWVLRGPPKDSRHGQRVPTDAMLDELLPEGFLERTKGRGLVWPTRAPQKEILAHAAVGGFVTHCGWNSILESLWFGVPVLPWPLDAEQHFNAFTLVAHLGVAVPLGMDRRRDNFVEAAELERAVRSLMDDASEEGRKARAKAAETRVVCRKAVEEGGSSSTAFRRLTDDIVRRGAVQIR >ONIVA07G15360.1 pep chromosome:AWHD00000000:7:15430522:15437307:-1 gene:ONIVA07G15360 transcript:ONIVA07G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNVVAAALFLFLATSALLVAGDDPYRFFTWTVTYGDITPLGVKQQGILINGQFPGPTIEAVTNDNLIINVFNKLNDPFLISWNGIQQRRNSFEDGVAGTTCPIPPGGNFTYILQVKDQIGTYFYFPSLAFHKAAGGFGAIRVLSRPMIPVPFPPPAADYPLLIGDWYKANHTDLKYMLDSGKALGFPDGLLINGRSWDGYTFNVQQGKIPCFSKIFVLKTKNAGRTYRFRISNVGLSTSLNIRFQGHTMTLVEVEGSHTMQTTYSSLDVHLGQSYSVLLTADQPAYDYAVVVSTRFTSKIISTTAVLRYSGSGGKSPAALPGGPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGMVTTTRTIRLASSSATVNGKQRYAVNGVSHVNPDTPLKVADYYKIAGVFSVGTISDSPSGGGGGGAYLQTAVMGASYRDYVEIVFENPENEVQSWHIDGYAFWVVGMDGGKWSSASRQGYNLRDAVSRYTVQVYPNSWTAIYMPLDNVGMWNVRSENWARQYLGQQFYLRVWTSSTSWRDEYPIPKNALLCGRAAGRRTRPL >ONIVA07G15350.1 pep chromosome:AWHD00000000:7:15424060:15428109:-1 gene:ONIVA07G15350 transcript:ONIVA07G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLPSPAPSSASSSPSTTTATTTSLVSRARTAIHSAAARVLTDIKADLRDADGSGALRAPSPRPSADRHPDSIAAVVGSPRDEAPDIISSPDGDTSNIEPDSTSSTKMAFPSVSVVKQLVAAIDNGKNFKSMNDMRSNGDQLLKEKGGLSLSVVKSLVRREKDERSSSEFVGDDETQSLMYTLFKLEEHFPHDKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVYKMAFFWQSLVLELKKLWSDGQPVPRMPLDAAPDLNCCLLHQEIQVINCCIARKKRRKAAKESLDSLLKRASIDNSNHLYSNGDSPDSEMYIKGSAGDNVLRLGADHPSENLTLLETGELVYSPTLQEGPIMTAELIKETEELVLRTGRAMVVMLFVFILSVLVLGVLNFYQICKPSRQAANPGCVLEDFIRWHSPPDWSEDCAASSAEVGEGSSRRGRLSERMQTKEGNLWKELWGAAKPIPAVEQAPIYDEDLAVESIFDALEVIEPSKLFEQLLAVILSVCFVAAELVLPAGSNLSKLFYDCKDYILSIYQDDISKEKLDEICKVYETMEAIVTHPEETLQIMEAPDEKSPLESKNRFKIKLNFIGKDRHPLWKRAPKEEKKSSPKDEKRSSEERSTKIFSNLLDKKVSIFSKKNAKPTTEVPPPPPPSSAPGPFDDSEWTIL >ONIVA07G15350.2 pep chromosome:AWHD00000000:7:15425101:15428109:-1 gene:ONIVA07G15350 transcript:ONIVA07G15350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLPSPAPSSASSSPSTTTATTTSLVSRARTAIHSAAARVLTDIKADLRDADGSGALRAPSPRPSADRHPDSIAAVVGSPRDEAPDIISSPDGDTSNIEPDSTSSTKMAFPSVSVVKQLVAAIDNGKNFKSMNDMRSNGDQLLKEKGGLSLSVVKSLVRREKDERSSSEFVGDDETQSLMYTLFKLEEHFPHDKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVYKMAFFWQSLVLELKKLWSDGQPVPRMPLDAAPDLNCCLLHQEIQVINCCIARKKRRKAAKESLDSLLKRASIDNSNHLYSNGDSPDSEMYIKGSAGDNVLRLGADHPSENLTLLETGELVYSPTLQEGPIMTAELIKETEELVLRTGRPQIQGVSWKTSLDGTLHLIGLKIVQQAAQKLGRAHLDEVD >ONIVA07G15350.3 pep chromosome:AWHD00000000:7:15424060:15425092:-1 gene:ONIVA07G15350 transcript:ONIVA07G15350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKEGNLWKELWGAAKPIPAVEQAPIYDEDLAVESIFDALEVIEPSKLFEQLLAVILSVCFVAAELVLPAGSNLSKLFYDCKDYILSIYQDDISKEKLDEICKVYETMEAIVTHPEETLQIMEAPDEKSPLESKNRFKIKLNFIGKDRHPLWKRAPKEEKKSSPKDEKRSSEERSTKIFSNLLDKKVSIFSKKNAKPTTEVPPPPPPSSAPGPFDDSEWTIL >ONIVA07G15340.1 pep chromosome:AWHD00000000:7:15404608:15405251:-1 gene:ONIVA07G15340 transcript:ONIVA07G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGNDNNGGAVTTNPRGRPGRQPAELPTTTGDSRRREASPSSSSSRFSSSSFLSLASSPLPPFFLAALAPTTVGERWTRPPRASAWHNMSDEELL >ONIVA07G15330.1 pep chromosome:AWHD00000000:7:15395088:15403884:-1 gene:ONIVA07G15330 transcript:ONIVA07G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLILSIAIVAVALLVPLAAGEPWPVCGQDFGTFTPKSRFSANLQLIAAALPRNASSSPDLYATAVDVGAVPEQVTAAALCRGDVSASSCLGCLTQAFADLPNAIDAYTVNNDNKVTSEQGRYNSLVAALVNATADYAAYNSTRRYASGEADFDAALPKVYSLAQCTPDLSPARCRSCLAKIVAQELWSYKDDIGGRTLSVRCSFRIETKPFLNGTMMVRLPATSPISASPPPAPAYAVGVKAERMSRLKKSAYVAVPTFAAILTTIAACFHRWWKISKTAAKPLPLHTASPEHIQSIDSLLLDLSTLHVATDNFAEHKRLGEGGFGVVYKGILPDGQEIAVKRLSQNSRQGIGELKTELLLVAKLNHKNLVRLVGVCLEKHENILVYEYLPNRSLDIILFDAQKNKKLQWGMRYNIIDGIARGLQYLHEDSQMKIVHRDLKASNILLDSTYNPKISDFGLAKIYGGDRSHIVTKRIAGTLGYMSPEYAMRGQYSIKSDVFSFGVLILEIVTGRRNYGSYDYEKDTDLINAIWQHWIREKAIELIDPSLSNNSPTDQLLKCIHIGLLCVQENPADRPLMSAVNFMLSSNTVQFPSLSRPGFCTQEICVNSTEVSSNELSITKLEPR >ONIVA07G15310.1 pep chromosome:AWHD00000000:7:15380873:15416926:1 gene:ONIVA07G15310 transcript:ONIVA07G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHVAAVALLLLVLPRAAESYPWGLCNDTAGNFPARRSGYLANINLIAATLPGNASASPDLFATVEGVGAPPDQVSALALCRGDANASTCLACLTQAFLDLPSSCAYDKVAAIFYDSCLLAYSNATIAAGDFSSEKIPIYGFYNNANATTEQARFNRLVAALVNATADYAARNSTRRRYASGQADFNAEFPKVYSWAQCTPDLTPASCRSCLAKIIGTDIGHFENSVGGFVRAVRCSFQYSTTPFLDGPMLVRLQGTSGASPAPSPAAVVPAVNQTPATPTPEGEVQMNTGGLAASPAMNGGPTVVDTKRENIESLGVNPKYVKSQSMVTRINTGGSAGGGRACGETVRNRQRKAVSIAVPTVAAVLTTSAACFYAWRRTRRRTAKPLQSYPASPDDIQSIDSLHFDLSALHVATDGFAEHNRLGEGGFGVVYKGILPEGQQIAVKRLSEASRQGIEELKTELLLVAKLNHKNLVRLVGVCLESHEKILVYEYMPNRSLDTILFDTVKNKELDWSKRFKIIDGIARGLQYLHEDSQMKIVHRDLKASNILLDSTYNPKISDFGLAKIFGGDQSHIVTYCIVGTYGYMSPEYAMHGKYSIKSDVFSFGVLVLEIVTGRKNLDSCDSEQDDCPIDLLLKCIHIGLLCVQQKPSDRPLMSAVNFMLSSKTARLPSLSRPTFCRQETCANSTKVSSNRLSSRQSNPEGSPYEARPMYKQVGNYEASSKYLDNVNLIGATLPGNASASPDLFATAEHVGSVPDQVSALALCRGDANPSSCLSCLNQAFRDLPNLCAYNKVAAIFYDSCQLSYSNATIAAGDFSSEKIPIYGFRSYANVTTEQARYNRLVAALVNATADYAARNSTRRRYASGEADFNAEFPKVYSWAQCTPDLSPASCRSCLAQIIGRGLGYFENSVGGFIRAVRCSFQYSTTPFLDGPMLVRLQGTSEAMRNGQKKAVSIAVPTVVAVLTTSAACFYAWRRTRRRVTKPLQSHLASPDDIQSIDSLHMDLSALRVATDGFAMHNRLGEGGFGVVYKGILLEGQEIAVKRLSEASKQGIEELKTELLLVAKLNHKNLVRLVGVCLESDEKILVYEYMPNRSLDTILFDTVKNKELDWSKRFKIIDGIARGLQYLHEDSQMKIVHRDLKASNVLLDSAYNPKISDFGLAKIFGGDQSHIVTYCIAGTYGYMSPEYAMHGKYSIKSDVFSFGVLVLEIVTGRRNLDSCDSEQDVDLINDAWEHWTREKATELVDPSLSNDCPIDLLLKCIHIGLLCVQQKPSDRPLMSAVNFMLSSKTVQLPSLSRSTFCRQQTCANSTKVSSNRLSSQHSNPELIDEHIFI >ONIVA07G15310.2 pep chromosome:AWHD00000000:7:15380873:15416926:1 gene:ONIVA07G15310 transcript:ONIVA07G15310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHVAAVALLLLVLPRAAESYPWGLCNDTAGNFPARRSGYLANINLIAATLPGNASASPDLFATVEGVGAPPDQVSALALCRGDANASTCLACLTQAFLDLPSSCAYDKVAAIFYDSCLLAYSNATIAAGDFSSEKIPIYGFYNNANATTEQARFNRLVAALVNATADYAARNSTRRRYASGQADFNAEFPKVYSWAQCTPDLTPASCRSCLAKIIGTDIGHFENSVGGFVRAVRCSFQYSTTPFLDGPMLVRLQGTSGASPAPSPAAVVPAVNQTPATPTPEGETVRNRQRKAVSIAVPTVAAVLTTSAACFYAWRRTRRRTAKPLQSYPASPDDIQSIDSLHFDLSALHVATDGFAEHNRLGEGGFGVVYKGILPEGQQIAVKRLSEASRQGIEELKTELLLVAKLNHKNLVRLVGVCLESHEKILVYEYMPNRSLDTILFDTVKNKELDWSKRFKIIDGIARGLQYLHEDSQMKIVHRDLKASNILLDSTYNPKISDFGLAKIFGGDQSHIVTYCIVGTYGYMSPEYAMHGKYSIKSDVFSFGVLVLEIVTGRKNLDSCDSEQDDCPIDLLLKCIHIGLLCVQQKPSDRPLMSAVNFMLSSKTARLPSLSRPTFCRQETCANSTKVSSNRLSSRQSNPEGSPYEARPMYKQVGNYEASSKYLDNVNLIGATLPGNASASPDLFATAEHVGSVPDQVSALALCRGDANPSSCLSCLNQAFRDLPNLCAYNKVAAIFYDSCQLSYSNATIAAGDFSSEKIPIYGFRSYANVTTEQARYNRLVAALVNATADYAARNSTRRRYASGEADFNAEFPKVYSWAQCTPDLSPASCRSCLAQIIGRGLGYFENSVGGFIRAVRCSFQYSTTPFLDGPMLVRLQGTSEAMRNGQKKAVSIAVPTVVAVLTTSAACFYAWRRTRRRVTKPLQSHLASPDDIQSIDSLHMDLSALRVATDGFAMHNRLGEGGFGVVYKGILLEGQEIAVKRLSEASKQGIEELKTELLLVAKLNHKNLVRLVGVCLESDEKILVYEYMPNRSLDTILFDTVKNKELDWSKRFKIIDGIARGLQYLHEDSQMKIVHRDLKASNVLLDSAYNPKISDFGLAKIFGGDQSHIVTYCIAGTYGYMSPEYAMHGKYSIKSDVFSFGVLVLEIVTGRRNLDSCDSEQDVDLINDAWEHWTREKATELVDPSLSNDCPIDLLLKCIHIGLLCVQQKPSDRPLMSAVNFMLSSKTVQLPSLSRSTFCRQQTCANSTKVSSNRLSSQHSNPELIDEHIFI >ONIVA07G15300.1 pep chromosome:AWHD00000000:7:15369834:15378315:-1 gene:ONIVA07G15300 transcript:ONIVA07G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGYGIVAVVLVLLPPSATPAGIADCDQGVGNTYVANSTFEANLNVLAAALSANVSVAPAGFAVSTIGADPDKVFAMALCRGDVDAFACSACVAAAFVDGKKNCPGNSGVAMYEDACVARFSRYRFMDFLSPDQWQVSQMIWNPEQASASVDVPAVGWFNAAVTKILAAMVDHAVTSTTGNSTTKKYFVTGEEEFDQHLRCVPDLTPAQCNDCLKDLLFYAKQAYLGKSLSWVRVNSVWCRLMYSVQPFYRGRAMLQVSAPPPTAEAPVLSPEPGSGKKISTAGIAAGVASSVVKITRAQCMIFDLSALQEATENFSPNNKLGEGGFGAVYKGVLSDGQEVAVKKLLGTGHGLDQLYNEIKLLAELQHKNLVRLQGFCLHQEQTLLVYEYLKNRSLDNFLFDPSRGNALNWDQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILVGDDMEPKIADFGLARLLGEGHTHSKTTRVVGTFGYMAPEYAIDGNVSTKIDIFSFGVLVLEIITRRRNWHSDDHDLVWNCWTKGTATKMIYQSLNGYSESQALRCIHIGLLCVQPDPDDRPHMSSIIFMLTKENMKLQPPAEAAFFLGRESASSPPSCGQHGYNWTTCMVVSVSLPCWTEIIHYYSPSPWERTWIELLFAHAGNTPMDRRLILSVAVAVAVALLAPRAAGEPWPVCGQDFGTFTPKSRFFANLQLIAATLPGNASSSPDLYATAVDVGAVPEQVTAAALCRGDVSASSCLGCLTQAFADLPNACGNSREAATYYDRCMVSYSAINFLSGGAGGEDPARIDAYTVNNDNKVTSEQGRYNSLVAALVNATADYAAYNSTRRYAAGEADFDAALPKVYSLAQCTPDLSPARCRSCLAKIVAQELWSYKDDIGGRTLSVRCSFRIETKPFLNGTTMVRLPATSAPSPAPPVNATPSAATPGRETKYKVPRLVLIILLPIIAAVNLVVCFCVWRRKRPVITKAKQTNANYYAEADDVDSVDSMLMDISTLRAATGDFAESNKLGEGGFGAVYKGVLPDGNEIAVKRLSKSSTQGVQELKNELALVAKLRHKNLVSFVGVCLEQHERLLVYEFVPNRSLDLILFDTEKREKLDWEKRYRIINGIARGLQYLHEDSQLKVVHRDLKPSNILLDANMNPKISDFGLARIFGQDQTQAVTNRVVGTYGYMAPEYMMRGNYSVKSDAFSFGVMVLEIVTGRKNNDFCNNSHQSEDLLNTIWERWMAGTVDEMVDRAMSAYVSASDVRKCVHVALLCVQENPADRPVMSSVVMMLDSETVSLQVPSKPAFFARNGGAKPGVASDESTASV >ONIVA07G15300.2 pep chromosome:AWHD00000000:7:15369834:15378315:-1 gene:ONIVA07G15300 transcript:ONIVA07G15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGYGIVAVVLVLLPPSATPAGIADCDQGVGNTYVANSTFEANLNVLAAALSANVSVAPAGFAVSTIGADPDKVFAMALCRGDVDAFACSACVAAAFVDGKKNCPGNSGVAMYEDACVARFSRYRFMDFLSPDQWQVSQMIWNPEQASASVDVPAVGWFNAAVTKILAAMVDHAVTSTTGNSTTKKYFVTGEEEFDQHLRCVPDLTPAQCNDCLKDLLFYAKQAYLGKSLSWVRVNSVWCRLMYSVQPFYRGRAMLQVSAPPPTAEAPVLSPEPGSGKKISTAGIAAGVASSVVVLLILSVFAFVRFRRRTKVTDAVHPLKKITRAQCMIFDLSALQEATENFSPNNKLGEGGFGAVYKGVLSDGQEVAVKKLLGTGHGLDQLYNEIKLLAELQHKNLVRLQGFCLHQEQTLLVYEYLKNRSLDNFLFDPSRGNALNWDQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILVGDDMEPKIADFGLARLLGEGHTHSKTTRVVGTFGYMAPEYAIDGNVSTKIDIFSFGVLVLEIITRRRNWHSDDHDLVWNCWTKGTATKMIYQSLNGYSESQALRCIHIGLLCVQPDPDDRPHMSSIIFMLTKENMKLQPPAEAAFFLGRESASSPPSCGQHGYNWTTCMVVSVSLPCWTEIIHYYSPSPWERTWIELLFAHAGNTPMDRRLILSVAVAVAVALLAPRAAGEPWPVCGQDFGTFTPKSRFFANLQLIAATLPGNASSSPDLYATAVDVGAVPEQVTAAALCRGDVSASSCLGCLTQAFADLPNACGNSREAATYYDRCMVSYSAINFLSGGAGGEDPARIDAYTVNNDNKVTSEQGRYNSLVAALVNATADYAAYNSTRRYAAGEADFDAALPKVYSLAQCTPDLSPARCRSCLAKIVAQELWSYKDDIGGRTLSVRCSFRIETKPFLNGTTMVRLPATSAPSPAPPVNATPSAATPGRETKYKVPRLVLIILLPIIAAVNLVVCFCVWRRKRPVITKAKQTNANYYAEADDVDSVDSMLMDISTLRAATGDFAESNKLGEGGFGAVYKGVLPDGNEIAVKRLSKSSTQGVQELKNELALVAKLRHKNLVSFVGVCLEQHERLLVYEFVPNRSLDLILFDTEKREKLDWEKRYRIINGIARGLQYLHEDSQLKVVHRDLKPSNILLDANMNPKISDFGLARIFGQDQTQAVTNRVVGTYGYMAPEYMMRGNYSVKSDAFSFGVMVLEIVTGRKNNDFCNNSHQSEDLLNTIWERWMAGTVDEMVDRAMSAYVSASDVRKCVHVALLCVQENPADRPVMSSVVMMLDSETVSLQVPSKPAFFARNGGAKPGVASDESTASV >ONIVA07G15300.3 pep chromosome:AWHD00000000:7:15369834:15378315:-1 gene:ONIVA07G15300 transcript:ONIVA07G15300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGYGIVAVDFGTFTPKSRFFANLQLIAATLPGNASSSPDLYATAVDVGAVPEQVTAAALCRGDVSASSCLGCLTQAFADLPNACGNSREAATYYDRCMVSYSAINFLSGGAGGEDPARIDAYTVNNDNKVTSEQGRYNSLVAALVNATADYAAYNSTRRYAAGEADFDAALPKVYSLAQCTPDLSPARCRSCLAKIVAQELWSYKDDIGGRTLSVRCSFRIETKPFLNGTTMVRLPATSAPSPAPPVNATPSAATPGRETKYKVPRLVLIILLPIIAAVNLVVCFCVWRRKRPVITKAKQTNANYYAEADDVDSVDSMLMDISTLRAATGDFAESNKLGEGGFGAVYKGVLPDGNEIAVKRLSKSSTQGVQELKNELALVAKLRHKNLVSFVGVCLEQHERLLVYEFVPNRSLDLILFDTEKREKLDWEKRYRIINGIARGLQYLHEDSQLKVVHRDLKPSNILLDANMNPKISDFGLARIFGQDQTQAVTNRVVGTYGYMAPEYMMRGNYSVKSDAFSFGVMVLEIVTGRKNNDFCNNSHQSEDLLNTIWERWMAGTVDEMVDRAMSAYVSASDVRKCVHVALLCVQENPADRPVMSSVVMMLDSETVSLQVPSKPAFFARNGGAKPGVASDESTASV >ONIVA07G15290.1 pep chromosome:AWHD00000000:7:15367519:15368041:1 gene:ONIVA07G15290 transcript:ONIVA07G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMTRLRFISFSIKVWALAGGEGSVLSIGRGWGRRNARVWVMKAGVATATRAHGRWRSSVFTGENPGERLEVRLGVARVLARRGTEGTTKAWARRVVVRRTGGRCEADAANGVARLGLGSDAAEEKEARVRCGTGVSTDAARGEGAAWAQIEQVMGDE >ONIVA07G15280.1 pep chromosome:AWHD00000000:7:15362958:15363563:1 gene:ONIVA07G15280 transcript:ONIVA07G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTGAVNVVVAGGGGIRRWLSAAHTPTSSPRLNAYPNASTDAQLLSAGRHSSPMLPTVALPQRLRLARIFFHPLYLFPQILSTTATNSIPWSHPCSNPSAAAANATSRHPYWGRIATLHHSTAVGSGGGRASKGPPPTPRGAHLRREGPDRRARKATEPEEGSRLREVRSQPKGLGYLGAQSGASEPPEPVQGPRRSRS >ONIVA07G15270.1 pep chromosome:AWHD00000000:7:15359840:15361519:-1 gene:ONIVA07G15270 transcript:ONIVA07G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHDHLLRVLLATALPLLFLSHADAGELGVCYGRDADNLMDPQSVVSLLRANGITMVRIFDADPAVLRALANTGIKVMVALPNTDLGSAGWDPSYAQRWVESSVVPYYPATLINGVAVGNEVFDQAPHLTQQLVPAMRNVHAALARLGLVDAIRVSTPIAFSAVEVSFPPSAGAFRDDIAQSVMSPMIDFLRQTGSYFMVNLYPFFAYADPSTGISLEYATFRPNAGVFDPVSNVTYYSLFDAELDAVYYAINKVSGSNERASLAQAGGRVPIRVSESGHRSGGRIRSEVTEANADGDSVATKANAQAYNNGLVKRVLFGASGMEDVSAYIFALFNENKKGGPSIESNFGLFYPDGTKVYDVDFHGGGTCPTKESWCVANAAVGNAGLQGALDWECSNSADCSAIQQGKVCYEPNTLVAHASYAFNDYYQRNGKASSACNFAGAAYIVYKPSPSICDPNPSWCVANAEVGDMRL >ONIVA07G15260.1 pep chromosome:AWHD00000000:7:15341630:15345762:-1 gene:ONIVA07G15260 transcript:ONIVA07G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRAGCLSMLLTAAVVLLALAPRGAAYPWQVCGTTGNFTTNSTYQANLDAVAAVLPRNTSSSPDLFATAMVGAVPEQVSALALCRGDANATECSGCLATAFQDVQNMCAYDKDAAIYYDPCILYYSNVPFLSSVDNAASTNRVNLRNVTSDPGRFNGIVAALVNATADYAARNSTRRRYASGQADFNAEFPKVYSWAQCTPDLTPAQCGDCLAAIIAKLPRLFTNRIGGRVLGVRCSYRYEVNPFLNGPVMVHLTAPPIPTASPPAAAAAAAGEGKDYNVPRLVLAILLPTIAALVLINILVWLCFWRRMERLRSGATQPYSSNFAESENISSVESMLIDISTLRAATGCFAERNKLGEGGFGAVYKGTLPDGDEIAVKRLSKSSTQGVGELKNELALVAKLQHKNLVRLVGVCLEQEERLLVYEFVPNRSLDQILFDADKRQQLDWGKRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDMNMNPKISDFGLARLFGRDQTQGVTNLVIGTYGYMSPEYAMRGNYSLKSDVFSFGVMVLEIVTGKKNNDCYNSLQSEDLLTLVSTNHMILLVCSWKNEVWEQWTARAVSEAVDPVMEGGFSWSDVMRCIHIGLLCVQENPADRPVMSSVVMMLGSDTVSLRAPSKPAFCARRNGGGGGGAGASSGGTSSGTSTAASVQGK >ONIVA07G15250.1 pep chromosome:AWHD00000000:7:15329373:15356048:1 gene:ONIVA07G15250 transcript:ONIVA07G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRVRCLLAAALLLVAPMAAAQPWQFCGQSGNFSANSAYQSNLRQLSATLPKNASAALFAAGSLGTVPDIVYALALCRGDIANASACESCVDNAFQGGQQLCPYNKDVFIVYDLCYLRFTNRNLLASATDNGSPMMLMNAQNASATAEVFDAAAATLLNATSSYAAANSSRRFATGEEAFDAADPTIYGLSQCTPDMSPDDCRSCLGDIIALIPQYFGRKRGARVIGTRCNYRYEVYPFFAGGSMLRLPAPATPPAPPPAPGPANMTPPAPPGERKKNKSGTALAIALPLVVVLLATVAICFSVQRRRRRSRSKQQHSYSMQMGEDIESVDSLFIDLSTLSLFIDLSTLRNFSETNRLGEGGFGSVYKGVLPNGEEIAVKRLSMSSGQGIEELNNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDLDRRRELDWGKRFRIINGIARGLQYLQEDSQLRIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQTREITHRVVGTYGYIAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSLSFDQSNDLLSLVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNVMLSSSTVSLQSPSKPSFFIPKSDTDSNIYSESHPLTSQSTASTDSGKTEITHDPLHQPGIISAAMATFARHRVLLCRLAAALLLAPLAAAQPWQFCGQGGNYSANGTYQSNLAGLSATLPKNASASRTLFAKDSLGAVPDIVYALALCRGDVANATACESCVATAFQDAQQLCPYDKDAFIVYDLCYLAFSNRNILAAAAAAADDDGSPKFLMNTQNASAPAEVFDAAVATLLNATSSYAAENSSRRFATGEEAFDTAATPTIYGLSQCTPDMSPDDCRSCLGRIIALIPRYLSRRKGGRAIGMRCNFRYEVGPFFAGGSMLRLPAPANSTPTAPTGGRKKNKSGTALAIALPLVVILLATVAICLSVQWWRRRSRSKQQPSNSIQMAEDIENTDSLFIDLSTLRAATGNFSESNRIGEGGFGSVYKGVLPSGEEIAVKRLSMSSRQGIEELKNELVLVAKLQQKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDLEKRKELDWGKRFRIINGIARALQYLHEDSQLRIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQTREVTSRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSYSFDQSYDLLSRVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNIMLSSNTVSLQSPSKPSFFIPKSGTDSNIYSESYPQTSQPTHRSGMMSVNDAQSCRARFVRQRRERGIVRCILPAAAMLAVVVVVVAVLLPLAAAQQTMQFSSCASGAYAANSTYEANLAVLAAALPGNASTAVAGAAAGYATATVGAVPDQVSALALCRGDANATACRACVAASFRVARRDCPRSKDATTYQDGCIVRFSDQRFLDFVGVNSPVSELYSDADSNITAVPVAWFNAAVVALMNATVDTAVAAGSGSNNTKKYFATAVEGFDPKHYPKIYGMAQCAPVMTAAQCRSCLGGFVSSIPWFLNGKPGGRVLGIWCNLRYSVKPFYQGQPMLQISAPPEPPPPAMPSLAAPPTRTARRRRVAGISAGVACFVVLMLILSAYAFIRFKRKKAAKKDNLLKKMARGKCTIFDLATLKEATENFSEKHKLGEGGFGTVYKGKLPDGQEIAVKKLIESCGHGLNQLHNEVLVLAELQHKNLVRLQGFCVHKDEMLLVYEYIKNGSLDNFLFGNIVSSRGLKLEDHSPRPEIKQYTTWGGHGTKNCRFWIGKAARRRNIECASLMLILCSGYMAPEYAIHGNVSTKIDVFSFGVLILEIVTGRRNLNSDDYDHGNLLSDVWNCWTKGTVTQLIDQSLEEQFRRQALRCIHIGLLCVQSDPDDRPHMSSVIFMLSRENMNLQPPAQPAFFFDGDSNSCSQPFEQPVYNRPNAIYEEDISVNRMTVTDIYPR >ONIVA07G15250.2 pep chromosome:AWHD00000000:7:15329373:15349250:1 gene:ONIVA07G15250 transcript:ONIVA07G15250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRVRCLLAAALLLVAPMAAAQPWQFCGQSGNFSANSAYQSNLRQLSATLPKNASAALFAAGSLGTVPDIVYALALCRGDIANASACESCVDNAFQGGQQLCPYNKDVFIVYDLCYLRFTNRNLLASATDNGSPMMLMNAQNASATAEVFDAAAATLLNATSSYAAANSSRRFATGEEAFDAADPTIYGLSQCTPDMSPDDCRSCLGDIIALIPQYFGRKRGARVIGTRCNYRYEVYPFFAGGSMLRLPAPATPPAPPPAPGPANMTPPAPPGERKKNKSGTALAIALPLVVVLLATVAICFSVQRRRRRSRSKQQHSYSMQMGEDIESVDSLFIDLSTLSLFIDLSTLRNFSETNRLGEGGFGSVYKGVLPNGEEIAVKRLSMSSGQGIEELNNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDLDRRRELDWGKRFRIINGIARGLQYLQEDSQLRIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQTREITHRVVGTYGYIAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSLSFDQSNDLLSLVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNVMLSSSTVSLQSPSKPSFFIPKSDTDSNIYSESHPLTSQSTASTDSGKTEITHDPLHQPGIISAAMATFARHRVLLCRLAAALLLAPLAAAQPWQFCGQGGNYSANGTYQSNLAGLSATLPKNASASRTLFAKDSLGAVPDIVYALALCRGDVANATACESCVATAFQDAQQLCPYDKDAFIVYDLCYLAFSNRNILAAAAAAADDDGSPKFLMNTQNASAPAEVFDAAVATLLNATSSYAAENSSRRFATGEEAFDTAATPTIYGLSQCTPDMSPDDCRSCLGRIIALIPRYLSRRKGGRAIGMRCNFRYEVGPFFAGGSMLRLPAPANSTPTAPTGGRKKNKSGTALAIALPLVVILLATVAICLSVQWWRRRSRSKQQPSNSIQMAEDIENTDSLFIDLSTLRAATGNFSESNRIGEGGFGSVYKGVLPSGEEIAVKRLSMSSRQGIEELKNELVLVAKLQQKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDLEKRKELDWGKRFRIINGIARALQYLHEDSQLRIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQTREVTSRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSYSFDQSYDLLSRVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNIMLSSNTVSLQSPSKPSFFIPKSGTDSNIYSESYPQTSQPTHRSGMMSVNDAQSCRARFVRQRRERGIVRCILPAAAMLAVVVVVVAVLLPLAAAQQTMQFSSCASGAYAANSTYEANLAVLAAALPGNASTAVAGAAAGYATATVGAVPDQVSALALCRGDANATACRACVAASFRVARRDCPRSKDATTYQDGCIVRFSDQRFLDFVGVNSPVSELYSDADSNITAVPVAWFNAAVVALMNATVDTAVAAGSGSNNTKKYFATAVEGFDPKHYPKIYGMAQCAPVMTAAQCRSCLGGFVSSIPWFLNGKPGGRVLGIWCNLRYSVKPFYQGQPMLQISAPPEPPPPAMPSLAAPPTRTARRRRVAGISAGVACFVVLMLILSAYAFIRFKRKKAAKKDNLLKKMARGKCTIFDLATLKEATENFSEKHKLGEGGFGTVYKGKLPDGQEIAVKKLIESCGHGLNQLHNEVLVLAELQHKNLVRLQGFCVHKDEMLLVYEYIKNGSLDNFLFAHASRGNALSWDQQYNIIFGIAKGIMYLHEDSSIRIIHRDLKANNILLDEDMDPKIADFGLARLIGGGHTHTKTTRIVGTYGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRKNCSYGDGTTDTVNLLSDVIDQSLEGHFRNQALRCIHIGLLCVQSDPDDRPDIPSVIFMLTRDNMELQPPTEPAFFFNGNSNSASQTSDQRVYVYDRSGKIYEEDISANGITLTDIYPR >ONIVA07G15240.1 pep chromosome:AWHD00000000:7:15324351:15329282:1 gene:ONIVA07G15240 transcript:ONIVA07G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTLLLAFLVALPGGAKAWHFCGSSGDVFAPNTTYQSNLALLSAGLAKNASSSPVLFAAGGVGDPPDTAYGLALCRGDTTNATACGACVAAAFQDGQQLCAYAREATVFYDPCYLRFSGRNFLATDGDNFAAYFSKVRNVTAPAEVFDAAVVALLNATADHAAAAAAASSPRRFATGVEAFRGWGVRDIYALVQCTPDMSPAGCRSCLAGIISWVNDPDYFSGSPTGRVLGVRCNYWYDVHPFFPGSPLLRLDAPAFDVAPPAPSPAPVAADSTPPADRAGSVFICLKRRKASKNQKTPIIPAPNKIKRGNCAIFDLPTLQIATDNFSDSNKLGEGGFGTVYRGKLGNGQKVAVKKLSQAQYTREGLNQLHNELQLLAELQHKNFVRLLGFCSHQDEMMLVYEHIKNGSLDIFLFDTSRGKTLNWEQRHNIILGIAKGILYLHEDSSIRIIHRDLKANNILLDENMNPKIADFGLGRLLGGGHTQTKTATVVGTYGYMAPEYVLFGKVSPKIDIFSFGVLVLEIVTGRRNSSFDNTYNAVNLLTEVWNCWTKGTALQLADQSLDGYSDSKVLRCIHIGLLCVQESPVDRPSISSVILMLTRRRIKLQQPRQPAFFFGGDFSSVYQQQHRHRNYMYDKSGVIVEDKFSVNDVTNTDPYPR >ONIVA07G15230.1 pep chromosome:AWHD00000000:7:15318720:15323606:1 gene:ONIVA07G15230 transcript:ONIVA07G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRGHLPACVAAAAAAAAALLTSLLPPPVAGQPLGNFCGDSGNYTANSTYQGNIRSLSATLPKNASSSRTLFATATLGAVPDIVYALALCRGDTANASACAGCVAAAFQDAQQLCPYDKDATVFYDACALRFSNQNFLASTNGDNKFLILMNTKNVSAPAKVFDAAVGVLINATADYAAANSSRRFGTGEEGFNGSKIYGLAQCTPDMATATCRSCLGGIVGMMPKYFSGKQGGRILGLRCHYRYEIYPFFNGVSLLQLPAASLGAPPAPSPAPVNVTPPATTGGGGNKTGRVLAIALPIVAAILAAVVICFYIWKRKTERARNPSIADPTDPEDIGSIDSLILSISTLRVATDNFDDSNKLGEGGFGAVYKGVLPSDQEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLLGVCLEEHEKLLVYEYMPNKSLDTILFDPDRSNVLDWWKRLKIVNGIARGLQYLHEDSQLKIIHRDLKASNVLLDSDFNPKISDFGLARLFGNDQSQDVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGVLILEIVTGRKNNVSYDSEQSVDLLSLVWEHWLAGTAVELADSSMAGHCPGDQILKCVHIGLLCIQEDPTERPMMSMVNVMLSSSTVSLQAPSRPAFCIQKSSVNSDSYSEPFRGANHSTDRSPMSPNEVSITELEPR >ONIVA07G15220.1 pep chromosome:AWHD00000000:7:15314572:15316961:1 gene:ONIVA07G15220 transcript:ONIVA07G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAAIILLVLVLALVAPPLAAAAAATDAVDDDGGGHRPPIHLCGGVVQGRFARNSSYEANLRHVAATLPAMVANGSSPSNRSSCVSILAGVRPDQISASAFCCNSSAPAYSDCGACVAMAFRYARWLCRYRRRAMVDLGACRVGYHDVERMEREMRAVSAVRRMGRRYKEKSPGQSAAIGGGSILVMSDG >ONIVA07G15210.1 pep chromosome:AWHD00000000:7:15304307:15305703:1 gene:ONIVA07G15210 transcript:ONIVA07G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAAILLLVLALVAPPLAAAAVLDDGGGGHRPPFHMCGMVQGRFAPNSSYEANLRRVAATLPAIVANGSSSSSSGVDVLVGERPDQISASAFCGANSSAAPEYSDCGACVAKALRYARRLCGYSRRAMVDLGACRVSYHDVGRVELEIQASLAVRILDEHTSSWWRIVLTHDFPMMVVFQVIGVAWVLFMFLQEWRDGRRRRAQANRLP >ONIVA07G15200.1 pep chromosome:AWHD00000000:7:15294874:15300659:1 gene:ONIVA07G15200 transcript:ONIVA07G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVVLFFFFLSPPPLAAAAYTEYSCNGTRGNFTEGSSFGLNLELLAAELPANASSSRSLFASAAVGAAADRVFGLALCRGDMRDAAACAGCVSGALRRLRALCGRDRDATYFHDLCVVRYSGDDFLSRPDDNSPVINALDANASTYYGWDGRNATTRSFFLSLVGTLFGEMAMYGSYNSSARRYASAVMYVNPQLPTVYGLAQCTPDLSPAQCWHCFQGLQEQNRQWYDGRQGGRILGVRCNFRYESYQFYAGTPDVRIGLQDVAPSPTANNGTNHRKTLVIVLSVSITVFCFMLVGCLLLIKKLRKGDGRKSNRQLEAHSRNSSKTEEALKLWRIEESSTDFTLYDFGDLAAATDNFSEDHRLGRGGFGPVYRGELSDGAEIAVKRLAAQSGQGLKEFKNEIQLIAKLQHTNLVRLVGCCVQEEEKMLVYEYMPNRSLDFFIFDQEQGPLLDWKKRLHIIEGVVQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVFSFGVLLLEIVSGKRNSGHQHYGEFVNLLGYAWQLWREERGCELIDPTLGECSGSEAAAIIRCVKVALLCVQDNATDRPTMTDVAAMLGSDGVPLPDPLPPPHYQLRVSGDDYDDGGRGSPAGGGFRPSRWRFTDSCSTNDVTITTIEEGR >ONIVA07G15190.1 pep chromosome:AWHD00000000:7:15282648:15292474:1 gene:ONIVA07G15190 transcript:ONIVA07G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMQSWRRREFKQEHIQWCTNKKLHSILDIKGNKDCHDKNYCHFELLKFRHESLPNKRRNPAASLTDELIVEILRRLPIRSVCQFKCVSKSWRRLIADHEHRKKLPQTLSGFFYKSINYERCQSTARHFTNVTGKGMPLVCPSFSFLPQCHDVYLLDCCNGLLLCRCYVSRETLQFHYAVCNPATKEWVMLPDASWAIDENRTACLCFDPAISSHFHMLEYVEEEDDSYVTLVTGVEIYSSETGLWTLHENGWNDEVVVSLSVNRRSVFLNGFLHSVTPADEIVAVDMEGKKWRKIPMLDPDGDIGIIHQTQGRMCAFNVDPNDIFKLSIWFLEDYDTDNWILKHTVSSMKLFGGKKYQLDYDYQVIAVHPECNLIFFVYGWHNTLMAYEMDRKEVRAIRKLGHESRQPYLPYVPMFSESLADGR >ONIVA07G15190.2 pep chromosome:AWHD00000000:7:15282648:15292474:1 gene:ONIVA07G15190 transcript:ONIVA07G15190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANMQSWRRREFKQEHIQWCTNKKLHSILDIKGNKDWFAFQLSRPTSSTGQREAERQESLPNKRRNPAASLTDELIVEILRRLPIRSVCQFKCVSKSWRRLIADHEHRKKLPQTLSGFFYKSINYERCQSTARHFTNVTGKGMPLVCPSFSFLPQCHDVYLLDCCNGLLLCRCYVSRETLQFHYAVCNPATKEWVMLPDASWAIDENRTACLCFDPAISSHFHMLEYVEEEDDSYVTLVTGVEIYSSETGLWTLHENGWNDEVVVSLSVNRRSVFLNGFLHSVTPADEIVAVDMEGKKWRKIPMLDPDGDIGIIHQTQGRMCAFNVDPNDIFKLSIWFLEDYDTDNWILKHTVSSMKLFGGKKYQLDYDYQVIAVHPECNLIFFVYGWHNTLMAYEMDRKEVRAIRKLGHESRQPYLPYVPMFSESLADGR >ONIVA07G15190.3 pep chromosome:AWHD00000000:7:15278691:15282648:1 gene:ONIVA07G15190 transcript:ONIVA07G15190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCTLSRLLMRLWRLTWRGKKWRKIPVPDRDNDIGIIHQTQGCLCAFNTIKHTVSSLDLFEGKNYRLDFEYQVIAVHPECNLIFFVYGLDNTLMAYEMDRKEENLPNKRRNPAASLTDELIVEVLRRLPIRSVCQFKCTLVCPTFSFLPQCHDVVILDCCNGLLLCRCYVSRGTSQFHYAVCNPATKEWVMLRDANWAVDERRTARLCFDPAISSHFHVLEYVEDEDSYVTGVEIYSSETGLWTLHENGWNDEEVSLDVDRTSVFLNGFLHSVTYAAKIVVVDMEGKKWRRIPMPDPDGLDNGIIHQTQGRLCAFHVDPNDIFKLSIWFLEDYDTDNWILKHTMSSMKLFGGKKYRLDSSYQVIAVHPECNLIFFVYGWHNTLMAYEMDRKEVRAIRKLGHESRQPYLPYVPMFSESLADGR >ONIVA07G15190.4 pep chromosome:AWHD00000000:7:15278691:15283738:1 gene:ONIVA07G15190 transcript:ONIVA07G15190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCTLSRLLMRLWRLTWRGKKWRKIPVPDRDNDIGIIHQTQGCLCAFNTIKHTVSSLDLFEGKNYRLDFEYQVIAVHPECNLIFFVYGLDNTLMAYEMDRKEVCRPGVPSDELHGSAEAERQVTRAAASLPCLDRLTTSSPPPTPDGGEPPQQAAQPCCQSHRRAHRRGPPPPPHPLRVPVQVREQVLAQTLVCPTFSFLPQCHDVVILDCCNGLLLCRCYVSRGTSQFHYAVCNPATKEWVMLRDANWAVDERRTARLCFDPAISSHFHVLEYVEDEDSYVTGVEIYSSETGLWTLHENGWNDEEVSLDVDRTSVFLNGFLHSVTYAAKIVVVDMEGKKWRRIPMPDPDGLDNGIIHQTQGRLCAFHVDPNDIFKLSIWFLEDYDTDNWILKHTMSSMKLFGGKKYRLDSSYQVIAVHPECNLIFFVYGWHNTLMAYEMDRKEVRAIRKLGHESRQPYLPYVPMFSESLADGR >ONIVA07G15190.5 pep chromosome:AWHD00000000:7:15278691:15292474:1 gene:ONIVA07G15190 transcript:ONIVA07G15190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCTLSRLLMRLWRLTWRGKKWRKIPVPDRDNDIGIIHQTQGCLCAFNTIKHTVSSLDLFEGKNYRLDFEYQVIAVHPECNLIFFVYGLDNTLMAYEMDRKEESLPNKRRNPAASLTDELIVEILRRLPIRSVCQFKCVSKSWRRLIADHEHRKKLPQTLSGFFYKSINYERCQSTARHFTNVTGKGMPLVCPSFSFLPQCHDVYLLDCCNGLLLCRCYVSRETLQFHYAVCNPATKEWVMLPDASWAIDENRTACLCFDPAISSHFHMLEYVEEEDDSYVTLVTGVEIYSSETGLWTLHENGWNDEVVVSLSVNRRSVFLNGFLHSVTPADEIVAVDMEGKKWRKIPMLDPDGDIGIIHQTQGRMCAFNVDPNDIFKLSIWFLEDYDTDNWILKHTVSSMKLFGGKKYQLDYDYQVIAVHPECNLIFFVYGWHNTLMAYEMDRKEVRAIRKLGHESRQPYLPYVPMFSESLADGR >ONIVA07G15180.1 pep chromosome:AWHD00000000:7:15278049:15278648:1 gene:ONIVA07G15180 transcript:ONIVA07G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPVGRNLVASLTDDLVVDILRRLPVRSVCRSKGVCPYWRDLIADHDHRKKMPQTLSGFFYTGFSEERLAAEHHFTNVTGIGMPLISPTFAFLPQCKEVIPLDSCNGLLLCRCLQFDGVSKFHYAVCNPATEEWVMLPDANWAIDENRIACLCFDPAISSHFHVVEYVEDEAERVTGWRSIRLKLGYGVSMEMDGMV >ONIVA07G15170.1 pep chromosome:AWHD00000000:7:15275948:15277955:1 gene:ONIVA07G15170 transcript:ONIVA07G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDVVRRRRGWRRCRARGRTRARRRVDAPISLTAGFELFSALAFSVTGGRTARQPAQWRRFTGEGEGARLPTCTTVSTARARGASEAKRRSIAASGKVRLVHGLMTHGVDPLSDIYLFAVLIVLFE >ONIVA07G15160.1 pep chromosome:AWHD00000000:7:15274207:15275694:1 gene:ONIVA07G15160 transcript:ONIVA07G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLASAVPRALAVEPVVQVGNLVTSPVTEKARGRELRRAFAVRWRSTHLLVGVVAPEQREQQTTPPLNPPLCPHRQQQQQKKRNVSARYPGYQVLVDTQVPRPDTQVSGWRGGRGSRAGCCRRCGSRGTRTAGFFCFDGGNGGSICAHRQGGGRSNGQGQRHQWLTRSGRWRPWRGARGRRGTAPAPAATGSVRAEAATQWAEDGVEEAWRDHPRRPPDFTDAVPSSSSSAAGDDDDDPFLLVGFFSAFSALSREREREFVEGKGRR >ONIVA07G15150.1 pep chromosome:AWHD00000000:7:15273187:15273918:-1 gene:ONIVA07G15150 transcript:ONIVA07G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPVGRNLVASLTDDLVVDILRRLPVCSVCRSKGVCPYWRDLIADHDHRKKLPQTLSGFFYTGFSEERSAAEHHFTSVTGIGMPLISPTFAFLPQCKEVIPLDSCNGLLLCRCLQFDGVSKFQYAVCNPATEEWVMLPDRGIGLLARSCLCFDPAISSHFHVVEYVEDEAECVTGVEIYSSKTGLWSLHENGWNGVVLVSLSVNRRSVFLNGFLHSVTPADEIVAVDMEGEEMEENSCARP >ONIVA07G15140.1 pep chromosome:AWHD00000000:7:15260174:15264673:1 gene:ONIVA07G15140 transcript:ONIVA07G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPMGRRNPAASLTDDLVVEILRRLPVRSVCRFKRVCRSWRNLIADREHRKKLPQTLSGFFYKSSSGERCPCSAHHFTNVSGKGVPLIYPSFSFLPQCDTVVPLDCCNGLLLCRCFQPGPNNSDDEIEPFHYACDTVVPLDCCNGLLLCRCFQPGPNNSDDEIEPFHYAVCNPATKEWVMLPDADWANGETRIACLCFDPAISSHFHVLEYVEAEYEDVTGVEIYSSETGLWTLHVSGWGDDVFLRHWANPRSVFLNGFLHSATCAAEIAVVDMEGKKWRTIAMPEPEGDTGIIHQTQGRLCAFNVDPDDIFKLSIWILEDYDTDNWILKHTVSSLRLFGGMKYRFGFDYQIIAVHPECNLIFFVYGWDKTLMAYEMDRKEVRAIRNLGHDSFEPYLPYVPLFNESLADGFLLLCHFDVSVRVIQSPCSALAGESPSLRGLQADTLSAASPEMEDLPMGRRNPAASLTDDLVVEILRRLPVRSVCRFKRVCRSWRNLIADREHRKKLPQTLSGFFYKSSNGERCPCSAHHFTNVSGKGIPLIYPSFSFLPQCDTVVPLDCCNGLLLCRCFQPGPNNSDDEVWVFHYVVCNPATKEWVMLPDANWANGETRIACLCFDPAISSHFHVLEYVEAEYEDVTGVEIYSSETGLWTLHVSGWGDDVVVRHCANPRSVFLNGFLHSATCAADIVVVDMEGKKWRTIAMPEPEGDTVMGFGPGVLDSLDRIRVC >ONIVA07G15130.1 pep chromosome:AWHD00000000:7:15242925:15249005:1 gene:ONIVA07G15130 transcript:ONIVA07G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLLLLAAAAAVSSPAPAAGDGGGALPVLNPISSFCNTTAARRTYLPNSTFEANLNGLFAVLSRNASASGYAAGAFGVAPDTAYGLLLCRGDFTGNDCSAARLASSFQQAASSCLYSKDVAVYYDQYQLRYSDQDFLAGAGAGAANEPETAAFNMNNVSNAGDVAAFDALVAELVNAVADRASNATRRYAAGKAGFAPEAMTVYAIAQCTPDLSPPQCRGCLAGIIDQMPKWFSGRVGGRILGVRCDFRYEKDPFFKIPNDMVVLSPLPDPSSQGSSSSSSSGGLWIVAIVVPVAVLLLGFLGCFLWIRRRRRRVINMAGTVSVPTMSMEMEQVLKLWRIEESGSEFSLYDFDQIADATDNFSDACKLGQGGFGPVYKGQLPDGLEIAIKRLSSCSVQGLMEFKTEIQLIAKLQHTNLVRLLGCCVQADEKMLIYEYMHNKSLDCFIFDTEKGAMLNWDKRFRIIDGIAQGLLYLHKHSRLRVIHRDLKASNILLDREMNPKISDFGMARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSIKSDVFSFGVLLLEIISGKRTAGFYQYGKFFNLTGYAYQLWQEGQWHELVDQALGEDFPAMEVMKCVQVALLCVQDSADDRPNMSDVIAMLGSEGVTMPEPRQPAYFNVRISSLAVSSSSFGESYCMSNVTLMEEDGR >ONIVA07G15120.1 pep chromosome:AWHD00000000:7:15231557:15235325:1 gene:ONIVA07G15120 transcript:ONIVA07G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLIVSLLMLLLSTPNLLVAKQLPFCSNANTITHMPEGTYKTNLLQLAKNLITNVNQTQLHSANGTAGAAGPDTVYGAVLCRGDSSAESCATRLQRVLDTASINGTSGDDSGYFQNQKNVTLYDHDFQALLSFSDKDFISSFSNAPECTVSAYLNPPPDADRAQFSQLFSELMEKIAAAVVSRRPVNYLTGRGWFDLKSQTVYALAQCTDGMPPENCRSCLDGIIDEGKKMVGGGLTGGAVLGMRCSLWYQTDVKFFAGDPEVSLHMPTQQARFELRLLSMAVQNVINLWRIEEGNSGFSLYDFSQIKEATQNFSRENKLGQGGFGAVYKGLLPGGLEVAVKRLSACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIEGEHEKMLVYEYLQNRSLDVFIFDFVKGAQLTWSKRLRIIDGIAQGILYLHNHSRVCVVHRDLKASNILLDSDMTPKISDFGMARIFGSNMIESNTTRIVGTHGYISPEYAFDGVCSIKSDVFSFGVLVLEIISGKRTAGFYPYDGKLCNLISYAWQLWRSGQGHELVCCRIGNNHKVIQRCIQVALLCVQERADDRPSIDQVVTMLNSEEMALPKPNQPAYFYVRSSGSDDSSCNNSISITLARQTVRQWTVISINMDGRVSGRAAIFKSKYELSATD >ONIVA07G15110.1 pep chromosome:AWHD00000000:7:15215921:15223835:1 gene:ONIVA07G15110 transcript:ONIVA07G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALLILLLSSPPSPAAGDRWFCGNATTYTPNSAYTSNRDSLAASLIAGATKLHSATGAAGAGADRVYGAVLCRGDTAAADCGGRLREAFAGIVNGTSVCALRRDVALYDELYHLRFSDHDFLSAFSNSPEWVDVTNLNTAPAADAERFEEVVGELLGSLADAAARRPERYAAGDAPWPSRERDRTVRTVYGLAQCTRDMPPERCRSCLDGVVAERRRKIGGGTMGGAIHGVRCSLRYETDTHLPKEPQGQKKGHAILIIATVYSLSIICTRLFFCFLSIRRKQKRVKINLMEQTTDMDEVMRLWKIEDAGSEFSLYDFSQLADATDNFSANNILGEGGFGPVYKGLFPDGQELAIKKLGAQSRQGLVEFKNEIQLVAKLQHKNLVRLLDPIRRTSLNWKTRRKIVEGIAQGLLYLHKHSRLRIIHRDLKASNILLDSELNPKISDFGMARIFPSDASRAKASRLVGTFGYMAPEYASEGLISIKSDVFSFGVLLLEIMSGTRSAGFQHYGEFQNLLEYAWGMWKDGRWCDFIDQSFGDEYEPGEMMKCLVVALMCVQEKSAERPTMSDVVAMLSSDDIPLTEPKQPAYSHIRLDVSVDVDVSCSRNDITITLTDVLFISCPAPSVGQELCSDYNGAIYMPNSTYKSNLISLAATLIANATELHSATGMAGTGLDKVYGAVSCRGDSDGSDCHKNLTEALDAAINSKNSNSYSPKAMTKKVTYYYNQDQARIHFSNQDFISSFTNVPECTVNTNLNAVTASVAKQFEDLVTKVLRALTDAAVSRPERYAVGKQRFEETGQTVYGLVQCMQGMPSEQCMNCLDGIISGRQSKISTTQMGAAILGVWCTLRYETDTQFFTDTKMLLLDVLKKKQALSKLRRLSLAIKTVIYLWRTEGTNSDFFLYDFSQLKEATNNFSNDNKLGQGGFGPGQLSSGLKIAVKRLETCSLQGLLEFQNETQLIAKLQHKNLVKLLGCCTQGDQEKILVYEYMENKSLDYFIFSNVKGAQLNWSKRLHIIDGIGQGLLYLHNFSRLCVVHRDLKASNILLDSTMNPKISDFGMARIFYSNMAESNTTRIVGTHGYIPPEYAFEGVCSIKSDVFSFGVLILEIVSGKRTAHFYQHNGKLYNLISFAWQLWRDGKWGDLIYYPPGNKHQEIERCIHVALLCVQESAEFRPAMERVVTMLNTKNVSLPMPMQPAYFNVNPSEEEVSSCNITVSITLER >ONIVA07G15110.2 pep chromosome:AWHD00000000:7:15215921:15223835:1 gene:ONIVA07G15110 transcript:ONIVA07G15110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSLLVLFISCPAPSVGQELCSDYNGAIYMPNSTYKSNLISLAATLIANATELHSATGMAGTGLDKVYGAVSCRGDSDGSDCHKNLTEALDAAINSKNSNSYSPKAMTKKVTYYYNQDQARIHFSNQDFISSFTNVPECTVNTNLNAVTASVAKQFEDLVTKVLRALTDAAVSRPERYAVGKQRFEETGQTVYGLVQCMQGMPSEQCMNCLDGIISGRQSKISTTQMGAAILGVWCTLRYETDTQFFTDTKMLLLDVLKKSEHTGKKAFFRRENTALVSIGGFILVVSISCLLFHRWIKTQQHREQALSKLRRLSLAIKTVIYLWRTEGTNSDFFLYDFSQLKEATNNFSNDNKLGQGGFGPVYKGQLSSGLKIAVKRLETCSLQGLLEFQNETQLIAKLQHKNLVKLLGCCTQGDQEKILVYEYMENKSLDYFIFSNVKGAQLNWSKRLHIIDGIGQGLLYLHNFSRLCVVHRDLKASNILLDSTMNPKISDFGMARIFYSNMAESNTTRIVGTHGYIPPEYAFEGVCSIKSDVFSFGVLILEIVSGKRTAHFYQHNGKLYNLISFAWQLWRDGKWGDLIYYPPGNKHQEIERCIHVALLCVQESAEFRPAMERVVTMLNTKNVSLPMPMQPAYFNVNPSEEEVSSCNITVSITLER >ONIVA07G15110.3 pep chromosome:AWHD00000000:7:15215921:15223835:1 gene:ONIVA07G15110 transcript:ONIVA07G15110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALLILLLSSPPSPAAGDRWFCGNATTYTPNSAYTSNRDSLAASLIAGATKLHSATGAAGAGADRVYGAVLCRGDTAAADCGGRLREAFAGIVNGTSVCALRRDVALYDELYHLRFSDHDFLSAFSNSPEWVDVTNLNTAPAADAERFEEVVGELLGSLADAAARRPERYAAGDAPWPSRERDRTVRTVYGLAQCTRDMPPERCRSCLDGVVAERRRKIGGGTMGGAIHGVRCSLRYETDTQAKEGTCNLDHCYCLLSFHHLHTPVFLFPKHKKKTKKSYMQELMKDVHLVKINLMEQTTDMDEVMRLWKIEDAGSEFSLYDFSQLADATDNFSANNILGEGGFGPVYKGLFPDGQELAIKKLGAQSRQGLVEFKNEIQLVAKLQHKNLVRLLGCCVHEEQKILIYEYLPNKSLDHFIFGMFYSLAIDKNCIYSHPIRRTSLNWKTRRKIVEGIAQGLLYLHKHSRLRIIHRDLKASNILLDSELNPKISDFGMARIFPSDASRAKASRLVGTFGYMAPEYASEGLISIKSDVFSFGVLLLEIMSGTRSAGFQHYGEFQNLLEYAWGMWKDGRWCDFIDQSFGDEYEPGEMMKCLVVALMCVQEKSAERPTMSDVVAMLSSDDIPLTEPKQPAYSHIRLDVSVDVDVSCSRNDITITLTDVLFISCPAPSVGQELCSDYNGAIYMPNSTYKSNLISLAATLIANATELHSATGMAGTGLDKVYGAVSCRGDSDGSDCHKNLTEALDAAINSKNSNSYSPKAMTKKVTYYYNQDQARIHFSNQDFISSFTNVPECTVNTNLNAVTASVAKQFEDLVTKVLRALTDAAVSRPERYAVGKQRFEETGQTVYGLVQCMQGMPSEQCMNCLDGIISGRQSKISTTQMGAAILGVWCTLRYETDTQFFTDTKMLLLDVLKKKQALSKLRRLSLAIKTVIYLWRTEGTNSDFFLYDFSQLKEATNNFSNDNKLGQGGFGPGQLSSGLKIAVKRLETCSLQGLLEFQNETQLIAKLQHKNLVKLLGCCTQGDQEKILVYEYMENKSLDYFIFSNVKGAQLNWSKRLHIIDGIGQGLLYLHNFSRLCVVHRDLKASNILLDSTMNPKISDFGMARIFYSNMAESNTTRIVGTHGYIPPEYAFEGVCSIKSDVFSFGVLILEIVSGKRTAHFYQHNGKLYNLISFAWQLWRDGKWGDLIYYPPGNKHQEIERCIHVALLCVQESAEFRPAMERVVTMLNTKNVSLPMPMQPAYFNVNPSEEEVSSCNITVSITLER >ONIVA07G15110.4 pep chromosome:AWHD00000000:7:15216571:15223835:1 gene:ONIVA07G15110 transcript:ONIVA07G15110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSLLVLFISCPAPSVGQELCSDYNGAIYMPNSTYKSNLISLAATLIANATELHSATGMAGTGLDKVYGAVSCRGDSDGSDCHKNLTEALDAAINSKNSNSYSPKAMTKKVTYYYNQDQARIHFSNQDFISSFTNVPECTVNTNLNAVTASVAKQFEDLVTKVLRALTDAAVSRPERYAVGKQRFEETGQTVYGLVQCMQGMPSEQCMNCLDGIISGRQSKISTTQMGAAILGVWCTLRYETDTQFFTDTKMLLLDVLKKKQALSKLRRLSLAIKTVIYLWRTEGTNSDFFLYDFSQLKEATNNFSNDNKLGQGGFGPGQLSSGLKIAVKRLETCSLQGLLEFQNETQLIAKLQHKNLVKLLGCCTQGDQEKILVYEYMENKSLDYFIFSNVKGAQLNWSKRLHIIDGIGQGLLYLHNFSRLCVVHRDLKASNILLDSTMNPKISDFGMARIFYSNMAESNTTRIVGTHGYIPPEYAFEGVCSIKSDVFSFGVLILEIVSGKRTAHFYQHNGKLYNLISFAWQLWRDGKWGDLIYYPPGNKHQEIERCIHVALLCVQESAEFRPAMERVVTMLNTKNVSLPMPMQPAYFNVNPSEEEVSSCNITVSITLER >ONIVA07G15100.1 pep chromosome:AWHD00000000:7:15208767:15214593:1 gene:ONIVA07G15100 transcript:ONIVA07G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumen 15.0 kDa protein [Source:Projected from Arabidopsis thaliana (AT5G52970) TAIR;Acc:AT5G52970] MATNMVLLPCHALRITPSGASAFASSPLSARPRPIFASSLGGRCSACRTPPPAAAAAGRVAAASWAGDLGRAVPWKAAVSSALAVALSFTCFVGIVNAKTGVNKPELLPKEFTTVIDVAGFLSPGQENRLRQEIEDLEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDDQTIVFVADPTFGNIINFNVGALVDLDIPRSFWSRVSGKYGNMFFWKEKGEDASIEAAVMAVSRCLREPTGANNCSEVL >ONIVA07G15100.2 pep chromosome:AWHD00000000:7:15208767:15214593:1 gene:ONIVA07G15100 transcript:ONIVA07G15100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumen 15.0 kDa protein [Source:Projected from Arabidopsis thaliana (AT5G52970) TAIR;Acc:AT5G52970] MATNMVLLPCHALRITPSGASAFASSPLSARPRPIFASSLGGRCSACRTPPPAAAAAGRVAAASWAGDLGRAVPWKAAVSSALAVALSFTCFVGIVNAKTGVNKPELLPKEFTTVIDVAGFLSPEDLEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDDQTIVFVADPTFGNIINFNVGALVDLDIPRSFWSRVSGKYGNMFFWKEKGEDASIEAAVMAVSRCLREPTGANNCSEVL >ONIVA07G15090.1 pep chromosome:AWHD00000000:7:15199970:15206183:-1 gene:ONIVA07G15090 transcript:ONIVA07G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRVIVVLVCVTAGAALADAAAGGVRVGLTRIHSEPGVTASQFVRDALRRDMHRRARFGRELASSSSSSSPAGTVSAPTRKDLPNGGEYIMTLAIGTPPQSYPAIADTGSDLVWTQCAPCGERCFKQPSPLYNPSSSPTFRVLPCSSALNLCAAEARLAGATPPPGCACRYNQTYGTGWTSGLQGSETFTFGSSPADQVRVPGIAFGCSNASSDDWNGSAGLVGLGRGGLSLVSQLAAGMFSYCLTPFQDTKSKSTLLLGPAAAAAALNGTGVRSTPFVPSPSKPPMSTYYYLNLTGISVGPAALPIPPGAFALRADGTGGLIIDSGTTITSLVDAAYKRVRAAVRSLVKLPVTDGSNATGLDLCFALPSSSAPPATLPSMTLHFGGGADMVLPVENYMILDGGMWCLAMRSQTDGELSTLGNYQQQNLHILYDVQKETLSFAPAKEYIWTKTQQITPSSVRLRALVLAPMAAFLVWILLLLPYVAISSTASHGVRLELTHADDRGGYVGAERVRRAADRSHRRVNGFLGAIEGPSSTARLGSDGAGAGGAEASVHASTATYLVDIAIGTPPLPLTAVLDTGSDLIWTQCDAPCRRCFPQPAPLYAPARSATYANVSCRSPMCQALQSPWSRCSPPDTGCAYYFSYGDGTSTDGVLATETFTLGSDTAVRGVAFGCGTENLGSTDNSSGLVGMGRGPLSLVSQLGVTRFSYCFTPFNATAASPLFLGSSARLSSAAKTTPFVPSPSGGARRRSSYYYLSLEGITVGDTLLPIDPAVFRLTPMGDGGVIIDSGTTFTALEERAFVALARALASRVRLPLASGAHLGLSLCFAAASPEAVEVPRLVLHFDGADMELRRESYVVEDRSAGVACLGMVSARGMSVLGSMQQQNTHILYDLERGILSFEPAKCGEL >ONIVA07G15080.1 pep chromosome:AWHD00000000:7:15197531:15199413:1 gene:ONIVA07G15080 transcript:ONIVA07G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVLVLVLSALLLIVLADPLLLAGGATRPDGFRSSLLQKKAATLDDHPLSGRRRLSPSEATASSTHIKGIAGLYYVMELAVGTPPVTVQALFGISDLCWVECAPCSGCNNNAAPPAGARLYDRANSSSFSPLACGSQACEVLPEQVRTTCSDTECGYRYAYGATDTDRNYVQGILGTETIKFGSNDAATVQSFTFGCTNTVYRNDLFDGNTGVVGLGRSKLSLVGQLGLDRFSYCLASNPNVASPVLYGSTASMDGNGVSSTPLLPDDANYYVNLLGISVDGTRLAIPNDTTRGVAFQIGAPATLLVEPAYTAVVEAFRARMSRTYEAVNGSGLLCFLVDDASKNVVTVPTMTMHFDGMDMELLFGNYFAYTGKQSGGGGGDVLCLMIGKSSTGSRIGNYLQMDFHVLYDLKNSVLSVQPADCGKI >ONIVA07G15070.1 pep chromosome:AWHD00000000:7:15188369:15189751:1 gene:ONIVA07G15070 transcript:ONIVA07G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALVLVLSALLLIVRAPLLLAGAYTRPDGFRGSLLQKKATVDGHPLSRRRLSEPAGAVHIKGTGLYYVMELAVGTPPVTVQAIVASSDLSWVECSPCSGCSTPAAAAGARRLYYRANSSSFSPLPFTGAVGLGRTNLSLVGQLGLDRFSYCLSSNPESASPILFGSTAQMTGGGGIQSTPLLHHYMDYSVNLLGISVNGTRLPIPNGTFALDPTTGDGGFSFETSAPATLFVDPAYTVVVEAFKARMSENYKVVNGSGDMLCFMFDANKDVVVAAVPAMTMHFDGMDMELPWKNYFAFGKLKQSGDDVLCLMIGRSTATSVIGSIMQMDFHVLYDLKNSLLFVQPADCSKA >ONIVA07G15060.1 pep chromosome:AWHD00000000:7:15183621:15184940:-1 gene:ONIVA07G15060 transcript:ONIVA07G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAILALIILLLPPITLAGDLHGFRGTLTRVHDLSPGKFSEAARRDSQRIAFLSRATTNSSSSAVSFQALLANRDGGYNMNLSIGTPPLTFPLLADTGSDLIWTQCAPCTKCFQQPTPPFQPGSSSTFSKLPCTSAFCQSVPSSVRTCNATGCVYSNYIYGSGYTEGYLATETLRVGDDAAASFRDVVFGCSTVNGMDNLTLGIAGLGRGPLSLVSQLGVRRFSYCLRSDAAAGESPILFGSLAKLTDGSVQSTPLLKNPFLQRSTHYYVNLTGIAVGSTELPVTASTFGFTQTGLGGGTVVDSGTTLTLLAKDGYAMVKQAFLSQMANNLTALNVTSSGLDLCFEASASGDLLPVPTLVLRFAGGAEYVVPRRSYFDVVAVDSQGRAAVECLLVIPAPRKLPISIIGNLMQMDMHVLYDLDGEMFSFAPADCAKV >ONIVA07G15050.1 pep chromosome:AWHD00000000:7:15169806:15171203:-1 gene:ONIVA07G15050 transcript:ONIVA07G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYKPRLTTNVKIRLLGVDATKPQRILLPPIALAAGDLNGFRATLTRIHQLSPGKHSEAVRRDGHRLAFLSYAATAAAGKATTTGTNSSSVNVQAQLENGAGAYNMNISLGTPPLDFPVIVDTGSDLIWAQCAPCTRCFPRPTPAPVLQPARSSTFSRFPCNGSFCQYLPTSFRPRTCNATAPGCAYNYTYGSGYTAGYLATETLTVGDGTFPKVAFGCSTENGVDNSSGLVGLGRGPLSLVSQLAVGRFSYCLRSDMADGGVSPILFGSLAKLTEGSSVQSTPLLKNHFLLRSTHYYVNLTGIAVGSTELPVTASTFGFTQTGLGGGTIVDSGTTLTYLAKDGYAMVKQAFLSQMANATTTASGAPYDLDLCFKVSGGKAVRVPRLALRFAGGAKYDVPVQNYFAGVEADSQGRVTVACLLVLPATDNLSISIGNLMQMDMHLLYDIDGGMFSFGPADCAKL >ONIVA07G15040.1 pep chromosome:AWHD00000000:7:15161483:15164526:1 gene:ONIVA07G15040 transcript:ONIVA07G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNYEPLKTSKRNHCNPAMTGTSQWKKKRGTLVCSPYVMYELRLQLSPCQKQAIEDSGNLLKIDKIHIDRNLCNEIARSYGKEKKAFNINGTFITMTLDDVYCLLGLTSKGEKIFEAPKINKPELFNLYKKEGQITITLQALQEAIINSSSYDDHFIRRFILFSIGCFICPTTQRYVRSEYLNLVDDVDKMSELNGSSLTLNQLMKGILKFREKTTNIEGNVCLLQIWYWEKARLDELAATIYYSGHQKPLIQYWDKKEKDRISYLFGEGKVHSITSTEQSADITLQERLQERIQTLENNFNGFTMDFWPRMRSLILDCMENDSKCPNRKDTTHVFEDSSNQCNQSSKRLTGPTGRTYKPTNRTDFIYEIRVYIEKEDLTQKKTYTKAHQRMPYEVERKEK >ONIVA07G15030.1 pep chromosome:AWHD00000000:7:15156988:15159489:1 gene:ONIVA07G15030 transcript:ONIVA07G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIVFVSGLILFPGFVAMFFLFVHGRPLFLGSLAVGSINTSKMSDAEVFTFVSIPLDDLSCADENISAIPSNAPAAEDTSCGNFFNIVNILLLDLYQEQLVMMKDVVVDDEELMDSPQLTTHDTNASNTPPI >ONIVA07G15020.1 pep chromosome:AWHD00000000:7:15155065:15156005:1 gene:ONIVA07G15020 transcript:ONIVA07G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRISPKITHSRRFQKRKKNHALAIYSAQKNASPSPKRRHPPDPLHLALNDKSSPFSSVGEERVPDPKPRRNPRPEQNRILEAIFNSGMVNPPRRATRSRASACSCRSTARSATPTSSAGVQPQQQLVSPVGGGGAHLVVVFVLRPLVRVQQAREGYVDAGDVRDGGHGPALAALRGVPPHQQMLYQGQPLESTPAPAPKWPQSPCLSAVDLGAAITTLP >ONIVA07G15010.1 pep chromosome:AWHD00000000:7:15140594:15151198:-1 gene:ONIVA07G15010 transcript:ONIVA07G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAILALVLLLPPTTLATDVHGFRATLTRIHQLSPGKYSAAVRRDSHRLAFLSHAAAAAGSKATTTTTNSSVSFQTLLDNSAGAYNMDLSIGTPPVTFSVLADTGSSLIWTQCAPCTKCAARPAPPFQPASSSTFSKLPCASSLCQFLTSPYRTCNATGCVYYYPYGMGFTAGYLATETLHVGGASFPGVAFGCSTENGVGNSSSGIVGLGRSPLSLVSQVGVGRFSYCLRSDMAAGDSPILFGSLANITGGNVQSTPLLENPEMPSSSYYYVNLTGITVGATDLPVTSTTFGFTRGAGAGLGGGTIVDSGTTLTYLVKEGYAMVKRAFLSQMAMANLTTVNGTRFGFDLCFDATGGGGGGVPVPKLVLRFAGGAEYAVRRRSYFGVVAMDSQGRAAVECLLVLPASEKLSISIIGNVMQMDLHVLYDLDGGMTDIGNMASTAAILALILLLLTPITLAGDLHGFRGTLTRIHQLSPGKYSEAVRRDSHRIAFLSRAAAYGKATTNSLVSFQALLERWGGGYNMNLSIGTPPLIFPVVADTGSDLIWTQCAPCTKCFTSAPVLQPASSSTFSKLPCTNSFCKSLPSSTRTCNATGCVYDYPYGKGYTAGYLATETVKVGDASFPNVALGCSTENGVGNSSSGIAGLGRGNLSLVSQLGVRWFSYCLRSDDADAAESPILFGSLAKLTDGNVQSTPLLKNPEMPNSSYYYVNLTGIAVGSTDLPVTGSTFGFTQNGLGGGTIVDSGTTFTYLVKEGYAMVKQAFLSQMANLTTVNATNSGFDLCFEASASGDQPVPTLVLRFAGGGGELSTSYFDVVAVDSQGRVAVECLLVLPAPGKLSISIIGNFMQMDMHVLYDLDGEMFSFAPADCAKL >ONIVA07G15000.1 pep chromosome:AWHD00000000:7:15116997:15118399:-1 gene:ONIVA07G15000 transcript:ONIVA07G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGDELKQVRSALQGYLSPEMVTKYVWKMDEEVRRHIDLNWVGHKTIKVAPLAKRLTFNIISSVMFGQGAAPFREALAIDFEKVVRAALSIPVNIPFTKFNKGLSASRRIRKLLRQIAHEREAAFQQGYCSSADDFFTYMLALRSEGKHSLTVEDIVDNAILLLIAGYEMSSVLITFLIRQLANEPDILGKITDEQEEIARNKGPNKPLTWNDVSRMKYTWKVAMETLRTVPALLGSFRTATKDIEYRGYHIPKGWQNILII >ONIVA07G14990.1 pep chromosome:AWHD00000000:7:15112704:15113276:1 gene:ONIVA07G14990 transcript:ONIVA07G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRKPALICCGAALAAAVVLAAVFTALYFTVLRPRPPRVTATVVGTRVSAFALIPSPALNLTFDVAVAAYNPNRAAFEYGEVVTVVRYHGDAVGEAVVPRGEVGARSSAEVRAAVEVDAVEVFSSPYFPLEGIAGALPFETATTVAGKAVVLGVLKIRASSVVTCGVTVYPLRKETTSPQCTSTVHVG >ONIVA07G14980.1 pep chromosome:AWHD00000000:7:15110442:15111083:1 gene:ONIVA07G14980 transcript:ONIVA07G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGKKTKTKPHRRACCLLLAAVAVLGALVLALYLVYRPRPPRVVATPVDVTIELFSLVPPKLKAAVGVHVVVTNPSNSAYRYGESLASVTYHGERVGASVVPRGEVEARSTRLIEPATAVDVVRVAESPHFAHDAAAGVLPFVAVTTVEGKALVLRSFEVSVSVEVVCFVQMYVFHGESTSRCVSTVRTATETVSSEPSGARGARNSVPSYD >ONIVA07G14970.1 pep chromosome:AWHD00000000:7:15103158:15109578:1 gene:ONIVA07G14970 transcript:ONIVA07G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMVFLFGMELSNYLAVNTSTSVIVDRSSDGEFLRIDFNLSFPALSCEFASVDVSDVLGTNRLNITKTVRKYSIDRNLVPTGSEFHPGPIPTVSKHGDDVEENHDDGSVPLSSRNFDSYSHQYPVLVVNFYAPWCYWSNRLKPSWEKTAKIMRERYDPEMDGRIILAKVDCTEEIDLCRRHHIQGYPSIRIFRKGSDLKENQGHHDHESYYGDRDTESLVAAMETYVANIPKDAHVLALEDKSNKTVDPAKRPAPLTSGCRIEGFVRVKKVPGSVVISARSGSHSFDPSQINVSHYVTQFSFGKRLSAKMFNELKRLTPYVGGHHDRLAGQSYIVKHGDVNANVTIEHYLQIVKTELVTLRSSKELKLVEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTELPKSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRLVKKVELGKNI >ONIVA07G14960.1 pep chromosome:AWHD00000000:7:15089762:15090246:1 gene:ONIVA07G14960 transcript:ONIVA07G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVSGSSVMRSAIISCSSTSEDQQAAAAAQAQPEESTWTDYFVDFMMSEEEKKRQEDHGASSYCSHGGDGVYGDCSDQKELEEEEEGEEDSMISDAASCAPAAAALPDRYKELKKLKKKVFKALDHDDSLEDTASSPVNSPKA >ONIVA07G14950.1 pep chromosome:AWHD00000000:7:15085115:15087319:1 gene:ONIVA07G14950 transcript:ONIVA07G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >ONIVA07G14940.1 pep chromosome:AWHD00000000:7:15077932:15082339:1 gene:ONIVA07G14940 transcript:ONIVA07G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT5G54840) TAIR;Acc:AT5G54840] MTTTTATNTATNTAAAMEVTKAVTQLCAQGGGGGGRRRGRGRPAVLRLDLRWGRLLRLAVISRVVRLVWDQLLACSSCAGGGGGRYRRLGPPPQGVAAGAVLSPLPRDADDDAAAADRDAADVEDVVSLKVSLLGDCQIGKTSFMVKYVGDDEEQNGLQMTGLNLMDKTLAVRGARIAFSIWDVAGDSQFLDHVPIACKDAVAILYMFDLTSRCTLTNVIDWYERARKWNKTAIPILIGTKFDDFAQLPLEMQWTIVNEARAYARAMKATLFFSSSTHNINVNKIFKFITAKLFNLPWTVERNLTVGEPIIDF >ONIVA07G14930.1 pep chromosome:AWHD00000000:7:15058116:15063390:1 gene:ONIVA07G14930 transcript:ONIVA07G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0I1K3] MEEMGSAQLSALAVCVCIFGCLAMADGQNVTGGDGSRPAELCIGALFTFDSVIGRAVMPAIELAVADVNADPGVLPGTKLSVIMQDTNCSGFLGTMEALELLAKDVVAVLGPQSSSIAHVISHAVNELHVPLVSFAASDPTLSSLEYPYFVRATTSDYFQMSAIASIINQYRWREVIAIYVDDDYGRGGITALGDALAKKKSKIAYKAKLPPGASRTTIEDMLMHVNEMQSRVYVVHVNPDSGLAVFAAAKSLGMMSTGYAWIATDWLSAVLDSSDHISTDRMELTQGVIMLRQHVSDSGIQHSLVSRWNNLTRNGGHSSFSSYSMRTYDSVWLVARAVEDFLSEGNAVSFSADPNLQDIKGSNLQLDSLRSLNNGERLLEKVWHTNFTGVSGLVQFTAERDLIHPAFDILNIGGTGFRTIGYWSNISGLSVVAPEKLHSEPLDSSTNNIELHGVIWPGQTSEKPRGWVFPYHGKPLRIGVPLRTSYKEFVMPDKGPDGVKGFSVDVFKAAVGLLPYPVSFDFILFGDGLKNPSYNDLIEKVSDNHFDAAIGDIAIVTNRTRLVDFTQPYTESGLIILAPAREIESNAWAFLKPFTFQMWSVLGVLFLFVGAVVWVLEHRTNTEFRGPPRQQIMTVCWFSFSTMFFAHRENTVSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGIQGLDSLISSPSSIGYQVGSFARSYLVQELNIAETRLVPLNSPSDYARALELGSGNGGVDAIIDELPYVEIFLSKYCKFKTVGQVFTKSGWGFAFPRDSPLAEDLSTAILTLSENGNLQRIHDEWLTGTECSADDNEVGSNRLSLSSFWGLYLICGFSCVLALLIFFLRICCQYSKYNNQVGLDSPEPEIVTRSARLTTIKSIISFVDKREEEVKNALKKKPNDSLQPRTGSTGEQSTLPP >ONIVA07G14930.2 pep chromosome:AWHD00000000:7:15058105:15063390:1 gene:ONIVA07G14930 transcript:ONIVA07G14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0I1K3] MEEMGSAQLSALAVCVCIFGCLAMADGQNVTGGDGSRPAELCIGALFTFDSVIGRAVMPAIELAVADVNADPGVLPGTKLSVIMQDTNCSGFLGTMEALELLAKDVVAVLGPQSSSIAHVISHAVNELHVPLVSFAASDPTLSSLEYPYFVRATTSDYFQMSAIASIINQYRWREVIAIYVDDDYGRGGITALGDALAKKKSKIAYKAKLPPGASRTTIEDMLMHVNEMQSRVYVVHVNPDSGLAVFAAAKSLGMMSTGYAWIATDWLSAVLDSSDHISTDRMELTQGVIMLRQHVSDSGIQHSLVSRWNNLTRNGGHSSFSSYSMRTYDSVWLVARAVEDFLSEGNAVSFSADPNLQDIKGSNLQLDSLRSLNNGERLLEKVWHTNFTGVSGLVQFTAERDLIHPAFDILNIGGTGFRTIGYWSNISGLSVVAPEKLHSEPLDSSTNNIELHGVIWPGQTSEKPRGWVFPYHGKPLRIGVPLRTSYKEFVMPDKGPDGVKGFSVDVFKAAVGLLPYPVSFDFILFGDGLKNPSYNDLIEKVSDNHFDAAIGDIAIVTNRTRLVDFTQPYTESGLIILAPAREIESNAWAFLKPFTFQMWSVLGVLFLFVGAVVWVLEHRTNTEFRGPPRQQIMTVCWFSFSTMFFAHRENTVSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGIQGLDSLISSPSSIGYQVGSFARSYLVQELNIAETRLVPLNSPSDYARALELGSGNGGVDAIIDELPYVEIFLSKYCKFKTVGQVFTKSGWGFAFPRDSPLAEDLSTAILTLSENGNLQRIHDEWLTGTECSADDNEVGSNRLSLSSFWGLYLICGFSCVLALLIFFLRICCQYSKYNNQVGLDSPEPEIVTRSARLTTIKSIISFVDKREEEVKNALKKKPNDSLQPRTGSTGEQSTLPP >ONIVA07G14920.1 pep chromosome:AWHD00000000:7:15042609:15056255:1 gene:ONIVA07G14920 transcript:ONIVA07G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEITPSGSRRSWLSSGAASLARSLRDGDDPFRRSAAASRRDAGDDEENLRWAALEKLPTYDRMRRGILRKAVDGGGDGEGAGSLFKADEVDIANLDPREGRELMERVFKAVEDDNERFLRRFRDRLDQVGIELPKIEVRYQHLDIEADVHVGKRALPTLLNATINTLEGLVSLFISSNKRKLKILNDVNGIIKPSRMTLLLGPPSSGKSTLMRALTGKPDKNLKVSGEITYCGHTFKEFYPERTSAYVSQHDLHNPEMTVRETLDFSRRCLGSGARYDMLSELTRRERNAGIKPDPEIDALMKATVVEGKQNNIVTDLVLKALGLDICADTIVGGAMIRGISGGQKKRVTTGEMLTGPATALFMDEISTGLDSSSTFQIVKYIRQVTHVMNATVMMSLLQPPPETYALFDDIVLIAEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWFLEQDHYRYVSVEEFAQNFKKFHVGQKLQKELQVPYDKSKTHPAALTTKKYGLSSLESLKAVMSREWLLMKRNSFLFIFKAFQLFVLGFITMTLFLRTKMPHEKFSDTSKYVGALTASLITIMFNGFGELQLTIDKLPIFYKQRDFLFFPAWTYGLANIILKVPLSLMESSLWIVLTYYVVGFAPAAGRFFKQFLAYFWTHQMALALFRLLGAILRSMVVANTFGMFVLLLIFLFGGFLVSRKDIKPWWIWGYWTSPMMYSNNALSVNEFLASRWAIPNNDSSISAPTIGKAFLQSKGYFTGEWGYWLSIGAMIGFMIVFNILYLCALTFLRPIGSASTVVSDDDTKSELEAESNQEQMSEVINGTNGTENRRSQRGMVLPFQPLSLSFNHMNYYVDMPAEMKAQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIKLSGYPKKQETFARISGYCEQTDIHSPNLTVYESIVYSAWLRLSSEVDKNTRKVFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGRVIYAGQLGLHSQILVEYFEAIPGVPKITEGYNPATWMLEVSSSLAEARLDIDFAEVYANSALYRSNQELIKQLSVPPPGFQDLSFPTKYSQNFLNQCVANTWKQFQSYWKDPPYNAMRYVMTLLYGLVFGTVFWRRGKNIESVNDLNNLLGATYAAVFFLGAANLLTLLPVVSVERTVFYREKAAGMYSPLSYAFAQGFVEFCYSAVQGVLYTILIYSMIGYEWKADKFFYFLFFMIAAFAYFTLFSMMLVACTASEMLAAVLVSFVLSSWNNFAGFIIPRPLIPVWWRWFYWANPVSWTIYGVIASQFADSDRVVTVPGQSTTMVVKDFLEKNMGFKHDFLGYVVLAHFGYVIIFFFLFGYGIKCLNFQKR >ONIVA07G14910.1 pep chromosome:AWHD00000000:7:15031417:15032094:-1 gene:ONIVA07G14910 transcript:ONIVA07G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSPPGDDLGALVSAAIAAAARKLRAFLGDDYARYESALLALDIVEAQLRALPLGGGGRGEGGDDDDPDVRVARLEWKLRLDGATNELEDLVGEMEAAVEAEKKAARWCWAAPWPWRRRRCRAAAEVVTGWLRSDARNKVRMELAVGRLAGVYVQGGELFDDDDEDGVAGDGDGTAASQCRDGGACQSDGMAGETTKASLTRTNTSSSSSHSPQCINECSR >ONIVA07G14900.1 pep chromosome:AWHD00000000:7:15023830:15024499:1 gene:ONIVA07G14900 transcript:ONIVA07G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKEEASLWALAGAKRLRRRSEAGLAAGEPVLWATEEAAGGDDATAWRHVRRRGGPGDRAGGGERGIGGHASGGGGAMRVRWWLRLAGEVEARPTARDDGGSTGLPKELRLAAVQRE >ONIVA07G14890.1 pep chromosome:AWHD00000000:7:15015917:15016363:1 gene:ONIVA07G14890 transcript:ONIVA07G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIQFTSMNKENPEIPTTFNPDPRRRVHWRNMRCAKSVAYLSLSAFVMSGRAVASAAATASPPVGVQLTVTSEHSEWSILSPRKTCHPTGKVKWKGRREHFVERLVGTAHLPRRRPERQPQGSGAVPLPTPDACAECTYPRAVGLPK >ONIVA07G14880.1 pep chromosome:AWHD00000000:7:15015369:15016407:-1 gene:ONIVA07G14880 transcript:ONIVA07G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSEESSGGWRAAYFGSPTARALGASVGGGQWHGSTSLRLPLRPPTRQVLRGLRIDHSECSDVTVNWTPTGGLAVAAAEATALPDMTNAERLRIRGKDKEGCNGEHNC >ONIVA07G14870.1 pep chromosome:AWHD00000000:7:15011099:15014913:1 gene:ONIVA07G14870 transcript:ONIVA07G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPELTVGGWFAGAVISNLVAKVRSAMEHHAALRAAAGDMLYGVEAALPRIRILVEATERRAISRASFAAWLQQFKDAVAEAEDLLDDLETRRIRAALRARGSKLGSATSLALRFLRNLVLSDGDLQRLKDVLAKLNRITSDATGFHDILKLADDDVGAMRSVLPVPATPPAVIGRDEEQQQLVKMILRPGAPPCPQDGAESCSGVSVISVVGAAGVGKTTLAQLIYSDPNVKEAFLLRGWVFTSRSCSRTGLEQDIIESFASEQEENLQRKSVSSESSLIDVVRNKKFFLVLDDVQHNLHSQWDSLRSTLARGANGSVVLLVCQSKEVANSLGATAQVPMGYLPSPVLWRVFEHHAFGNQKRASLESIGKKVLQNLHGLPLLAEAIGRLLRQRLDKAHWQKISSSPWWLFSEDEDDVALPSVAIMCEHLCDHLRKCLCYCSIFPSGYLFEKNMLIHMWIASFMQQHDGIGMKEMEKEWFDELFRRSFFQPTIWKNRYIMPDMIRKPLCSIAGKECHAASELGEQKRRLQDYRHLAISFPDFNVHLDLRKDNKLRTILLFDGRKTIKPHEAFANILSHLSGLRVLDFSYSEAKLEKAPDFINKFTHLRFLDLSFTGMTVLPDSLCKLHLLQVLGLRGCQFKELPRAINELVNLRFLYAEAHTVSLIYKIGKLTNLQGLDEFLVGRMDGHKITELKNLNEISGQLCIGNLDKVASTDVVSDAELFKKRHLKKLVFRWGLTACKPLAEADGFMRTLAGLKPNTNLEELKIQCYMGVGFPSWMAEEQYFINLRRIHLIECKQLLTLPPLGQLPSLVVLILQGLTAIEKIGYEFCGKGYRVFPSLKEVTFLDMPNWRKWSGIEELQDLQIPPFPQLRKVQIKNCEVLIDMPVCCLKASLEELEISGCNEIFACNPSCLDRLTSLVRLKIHHCLGKIYLPCRLLESIEVLNLQRCEVYFQGGKEHLMKLRRTVTNDVHELNLDESKAISTELLVLKLSEGYHYLSSCFLNKWNFEASSVS >ONIVA07G14860.1 pep chromosome:AWHD00000000:7:14991210:15006333:-1 gene:ONIVA07G14860 transcript:ONIVA07G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVEDNGWPLPAAIARVVGKLRLHLGGSSDAHKYRGTMKMLDLLEDKLSILRGESLQGVDADREEEAAAWLRQVKEAADEAEELVNAMEASAAAAASDSSSLLLGVKYTVGKLVSACSEVESLLPVPGLDEDGLETPGDDVAALPPGPDQPFVVGRDEEIGVILEMILDDARFVADESVEERASADGSQISRKGWIIDALRSIDLSDQRNQPAESAAAYQKEMGSRVKYTRVQSSTVSSMCNPTVIPIVGVGGVGKTALAQFIFDDERVREHFRGNSAWVYFTDNIRKEEPMAQIFVSMQPEHNMLDHAFSLNSLRVQLQSVIEGKRFLLVLDDVSDEIRAMWGDLRSALKKGAPGSVVLVTTNLYSVASFVGTTTPVFLDYLHYDDLWKLFKHHAFASYQSTEALEPIGRKIVDKVHGSPLAAKFIGASLRNCLDEAYWKRVLESWWWNVSSCSFDIHIISSFRICHSELPAYLRQCLVFCSIFPRNYLFEKYELIQMWIANGFVELDNTTVARKLEDVAGEWFDELVNKCFLQPTVWKAWYIMHSWVRDFAIALSSNEYQGVDCTMGNLPRSVRHLSVDMDAMNIPWTEYSIKQLRSLILFGGFRHNNSSKGFNNIYNILEGSYDAADSISERSYNTTDKDTADNISEWSSFSFDDGEVDIVAIILKRYCDIIGSILNRSTSLRLLSLSNLRANSATACIGDYPLEEDGIAQFVEFTTTHQMLPYLTHLRYLDFSHSGITKLPDSLCSLCNLQVLGLKGCRFTQLPRRMNSLVGLRHLHADADTVALIHGIGQLTRLQDLYEYRVKAEDGHTLIELKDMRYIQGSLCISDLQRVANREEAIQANLGRKEYVTCLALKWDRNQSSRGKYNLYGKELSQFDRGQKQPLQASLVEKNYTSDISGYLMNPPEVIKPDQDMEILECLSPPRNLQTIKFFGYTGLAFPDWVVQLRYIKVIEISHCTELQVLPPFGQLEHLRKLILHELPSMKDVSSDVYGTSNVAFKSLEILCFESMGKLENWADSQNEESFSNLQNLQINRCDNLRELPLMSLGLAIRKLSLTGCGSYAETVFRYLQKLTSLTHLSINDCSQKLILPCQNLISLENLHLSNCKELYFEGGILRLNNLKNLHISGCRKIISTVEEEINRLFSNWEIRLGKDQSLVLKSGLLNIAKELGTKRRELPLPGSPQKEEFMHTLQYLTDLTMDNLSQSLDLDNFLCKLSALRTLCLHKIDVISLHQEKWLEQITSLQELEFSCCYLLRQLPSNLVTLSSLKKLNMQSCFQIHSLPLKGLPGNLRELQILGCSPILEARCQKEDGETWVKKKRGEWQKETINEYRQKKTCEFWEGWLKYEEEWVESATDHLNDKGEWLENEEEDWLKNNSDELENNEDVWLKSRGEDWPKIAHIPYIRKSEEIGGGGGGGGGGGAARDPGDLRHLAATKRTHRPLAEFRRLRPGRGTHAACSTKCAGKTGADHMSHEIEEKVRARRRRRSTPPPRPRPCREARELNSTQEMGGRPVSPSAPRPKELDLQTEHSLEGSPPPFPWQNRRMAGVGLVVGGWIAKAVIANILSRVRSLLHDNFSLQKDTEKMLNDLEVALPRIEAVIEAAERRSIESSALSTWLQQLKDAVSHAGDVVDDFEAKTIKDQVESKSKVSAKAYSTVKALKALVFSDSELKKLKHAVRRLENVSMRVDSFIELVKLNDDDTVGRIGHSLHSETSSLLGDTKVIGRDEEISLILDIILGYRYHLPRTSEHARPDDQPEFGQRGTLFDKLRKIFLTGTAESSKSSDKAKLEELEPRKKGIKIEEVDPSKDCIEIGEYEPNQKGQTEILDYTSSDVHETSGSSRNLGILPIVGINGVGKTTVAQAVFNNTRVKMCFDLRAWVYVSDNISGKQIVQRIIMSLEPWSGVTDAALDLDSLQHKLIDIIRSKRLLLVLDGVSDDIIIVWSQLRSILRCSEPQSMVLVTTQKYSIANLVGTMGSITLNTLGQTDFRYLFEHLVFDDCFYHHYEVHLFESVCEKIADKFHGLPLAAKTVAPLLRANRNMGYWENVLRSDWWNIADHGLGINVLPALGIGCLNAALRQCLLFCSLFPRNCL >ONIVA07G14850.1 pep chromosome:AWHD00000000:7:14985469:14991190:-1 gene:ONIVA07G14850 transcript:ONIVA07G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAHGFIQSSNTGDILPENVANNWFDEQVDRSFLQPTVWQGRYVMHDLIREFSFAVSSNEYYVFHRNSKVLPQFANHISVDNDNFDLQWGHYDHKRLQTLMFFGHHRVDKNYDTLGSIVRKSTSLRVLDLSYICMSNVSQASYILSKLSLLRYLDLSFTGIKHLPEAFGNLYHLQLTKLQELQEFRVRLEDGYKINELRDMKDLRKLCITNLEKVSSLQEATDAKLVEKKSLDYLQLKWVYQVPERERHWWWGSIKNHGGMACCGRQSYGGVAELGHGGPAQVLTSGGHHINCHWLSVLPPLGELPRLKKLSLFGLSSITHINDQVYGTNDVIFPYLEELHFSELFSWEQWSEAEYKLLIPHLRKLGINACSKLSLLPIETLSSSVKELHLSSCTSYISMLPAYLQRLTSLTKLSIQDCSATLLIPCHSLTLLEHLQLESCLDVHFEGGMQYFTKLKKLEVHGCFDVTQNIYEQTSPVERYSLMGGLQSLIHLVIDDRFMYYRYYHMLNTLCSIRTMKFCAFDLSEFTMEDEEWLQQLQSLQEIQFASCRNLLRLPSNLNNMRNLKKVVLNDCCKLQSLPLNGLPDNLKEFHVSGGSEVLEQQCQKTDGDEWQKISHVPYCRLRTGPTFLAFHTSVWRGRSYRIFIPEAADSPDVKSSLFTGFGKGNFASIRIMLFLSASSTRQTHLRSYNASKKSRY >ONIVA07G14850.2 pep chromosome:AWHD00000000:7:14985469:14991190:-1 gene:ONIVA07G14850 transcript:ONIVA07G14850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAHGFIQSSNTGDILPENVANNWFDEQVDRSFLQPTVWQGRYVMHDLIREFSFAVSSNEYYVFHRNSKVLPQFANHISVDNDNFDLQWGHYDHKRLQTLMFFGHHRVDKNYDTLGSIVRKSTSLRVLDLSYICMSNVSQASYILSKLSLLRYLDLSFTGIKHLPEAFGNLYHLQVPDLRGCIIEKLPKNMNNLINLRHLYADSQTIALIYAVGQLTKLQELQEFRVRLEDGYKINELRDMKDLRKLCITNLEKVSSLQEATDAKLVEKKSLDYLQLKWVYQVPERERHWWWGSIKNHGGMACCGRQSYGGVAELGHGGPAQVLTSGGHHINCHWLSVLPPLGELPRLKKLSLFGLSSITHINDQVYGTNDVIFPYLEELHFSELFSWEQWSEAEYKLLIPHLRKLGINACSKLSLLPIETLSSSVKELHLSSCTSYISMLPAYLQRLTSLTKLSIQDCSATLLIPCHSLTLLEHLQLESCLDVHFEGGMQYFTKLKKLEVHGCFDVTQNIYEQTSPVERYSLMGGLQSLIHLVIDDRFMYYRYYHMLNTLCSIRTMKFCAFDLSEFTMEDEEWLQQLQSLQEIQFASCRNLLRLPSNLNNMRNLKKVVLNDCCKLQSLPLNGLPDNLKEFHVSGGSEVLEQQCQKTDGDEWQKISHVPYCRLRTGPTFLAFHTSVWRGRSYRIFIPEAADSPDVKSSLFTGFGKGNFASIRIMLFLSASSTRQTHLRSYNASKKSRY >ONIVA07G14840.1 pep chromosome:AWHD00000000:7:14984788:14985472:1 gene:ONIVA07G14840 transcript:ONIVA07G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILRQLAWTASRLGPRRLDHFIDEFNIPRNLTVVSALIATASFSAFFGLVGARGGHRRDRYLFKALVLSDSLAFLCAIVATVWLTTRRGASMLVAFSLGAYRLLSPVDERTAPPVMVLGLLLVLLAGNLADWEPLSLLRAAPAAVLRRGVRAVGLGVFEEPLSPHVAEHVAKPVRSSFAGVLLLRMAVTMFICLLVFGLAFL >ONIVA07G14830.1 pep chromosome:AWHD00000000:7:14980712:14981422:1 gene:ONIVA07G14830 transcript:ONIVA07G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSGYEGPCTRGILDAARAGDVEMPAARVPSQRRRRRGVLPALPPRPGGGGLDAVRTCGGNTALHIAAGLGHAELALFLCHAERPLLELRNHAGETPLHLASKAGHARVVGNLLDVLVSPADDYAAAAAAARAVNRSGETALHEAARAGRPRGRDRVGDLAGVVSGDGASPLYLAAMEGHEGVVWRLLRRLPVGTMVSAASYAGPDGQTALHAAVLLRETGK >ONIVA07G14820.1 pep chromosome:AWHD00000000:7:14976495:14977285:-1 gene:ONIVA07G14820 transcript:ONIVA07G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDRSASAEKPTRQWAAWTHQEEQSFFNALRLEGKNFEKITLRVQSKNKDQLQPQPPHLHLHLTLRLHTHTTQSATASQSAALASKWSKKKNQRTVERGELISCGLAASDDRSRLSQKSMEEKRELWPKSRSPTTRRRRRRAPPPYRTGRRGRERPLTERRPRGELRHGAAVLDAGGCWCCWRGMRPPWNDLRRQLPSRHRPPPPQPSACPREEKRREEESQE >ONIVA07G14810.1 pep chromosome:AWHD00000000:7:14970360:14976024:-1 gene:ONIVA07G14810 transcript:ONIVA07G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRRTLETGGGPAPSDQGESLRSRESSDRGASSWARTAHHLFDELRGKSGKNTGMWEGPSLLVSNKLNQCQLHRESPELVVGGWFASAVIRNLVSVTRSYLSNNASLNKESSDMLDELQVSLPHIQEMIDVAEQISINHVKPMSQRSIAGIWLRELKDAAHDAEDVLDDFEAKVIRMKIQGKSSHVVSSALSPLSNVFPRGDVKRLKDAIRTIKRLCDKGDSLVVPRDLNFAQRKSEKISIRVNRESSPFTFDAEVIGRDEEISLIMDMVLAAYSSEAPISTNKETDNDKPESSQRGWIIHALRRLFPTWTGESSEQAAPDVEKSKDKDQEIEETQPSKRGAEIEEIQPSWKESERGGLDPSKKAMMIREGAAYGKGKDVAAEVSLLTSKGSSFGDHKVSVLPIIGIAGIGKTTVAQVVFNKERVKEYFDIRGWIYVSEIVDRKKVVTQIIRSFEGRRFLIVLDDVWDDITTVWDDLYRVFQAGASGSVVLTFLFRHLKRIDLESIGRRIAEKLHGLPLAAKIVGRLLSMNLDKGHWEEVLQSPWWNIANNRMGEEILASIGIGYYNLSPHQRQCFLYCSIFPRNYVFEVDKLVRMWISHGFVQINSDGNNRMEIKEGRKWFYDLVDRSYLVPTVWENRYMMHDLLRDFAVTISSYDCFILSEYTMHVPNFVRHLSIDRDNFDVQWGDYDREKLRTLLTFGHCRACKTQEKLYRHTLKSSTGLRVLDLSYVSLGMTGNDFLTGIGGLLHLRYLDLSFTGISELPESFCYLCHLQLTKLQELHEFRIKAKIGHRISELRDLRDLGGSLCISNLLMVADREESLNANLSGKHYLTSLDLRFESCEHDITPASHLAMEILDGLRPSRTLEELKISNYPLSTFPDWMGQLRWLATLPPLGQLEHLQKLVLNYVPSITHVSSEVYGTSEAIFRSLEALSFKLMDGWVDWEEAGDAVVIAPKLQKLKISSCLSLRRIPFRTLGADVTELRLSGSGLCADEISSYLQRLTALTHLYLEGTYKTITLPCRNLRSLVSLQIRSCKEVLFKGGPLYLKNLKNLSVRKVQKITAPLDEEPSCDHPTQSNPVLNSLTHLDIDGLSLSQLLNLDNMRYKIPVLQTLKLSHLPKLTASLEMFLEQFTMLQQLEFHLCGELTRLPSNLASILSLKKLSLSHCPQIHSLPLNGLPGSLKELQIEGCSQMLEARCLKEPGEDWPKKEKMDEWRQSKQKEWWLGKRKEMLKCLKKNVEDMTLNNEEEELIRRKEEEWLKKEQHELLMNKGEDWPKIAHIPYIRVNGVTVQNLYL >ONIVA07G14810.2 pep chromosome:AWHD00000000:7:14970360:14976024:-1 gene:ONIVA07G14810 transcript:ONIVA07G14810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRRTLETGGGPAPSDQGESLRSRESSDRGASSWARTAHHLFDELRGKSGKNTGMWEGPSLLVSNKLNQCQLHRESPELVVGGWFASAVIRNLVSVTRSYLSNNASLNKESSDMLDELQVSLPHIQEMIDVAEQISINHVKPMSQRSIAGIWLRELKDAAHDAEDVLDDFEAKVIRMKIQGKSSHVVSSALSPLSNVFPRGDVKRLKDAIRTIKRLCDKGDSLVVPRDLNFAQRKSEKISIRVNRESSPFTFDAEVIGRDEEISLIMDMVLAAYSSEAPISTNKETDNDKPESSQRGWIIHALRRLFPTWTGESSEQAAPDVEKSKDKDQEIEETQPSKRGAEIEEIQPSWKESERGGLDPSKKAMMIREGAAYGKGKDVAAEVSLLTSKGSSFGDHKVSVLPIIGIAGIGKTTVAQVVFNKERVKEYFDIRGWIYVSEIVDRKKVVTQIIRSFEGRRFLIVLDDVWDDITTVWDDLYRVFQAGASGSVVLTFLFRHLKRIDLESIGRRIAEKLHGLPLAAKIVGRLLSMNLDKGHWEEVLQSPWWNIANNRMGEEILASIGIGYYNLSPHQRQCFLYCSIFPRNYVFEVDKLVRMWISHGFVQINSDGNNRMEIKEGRKWFYDLVDRSYLVPTVWENRYMMHDLLRDFAVTISSYDCFILSEYTMHVPNFVRHLSIDRDNFDVQWGDYDREKLRTLLTFGHCRACKTQEKLYRHTLKSSTGLRVLDLSYVSLGMTGNDFLTGIGGLLHLRYLDLSFTGISELPESFCYLCHLQVLDIRGCQFKSLPKRMNRLINLRHLYADADTTALVYGIGKLTKLQELHEFRIKAKIGHRISELRDLRDLGGSLCISNLLMVADREESLNANLSGKHYLTSLDLRFESCEHDITPASHLAMEILDGLRPSRTLEELKISNYPLSTFPDWMGQLRWLATLPPLGQLEHLQKLVLNYVPSITHVSSEVYGTSEAIFRSLEALSFKLMDGWVDWEEAGDAVVIAPKLQKLKISSCLSLRRIPFRTLGADVTELRLSGSGLCADEISSYLQRLTALTHLYLEGTYKTITLPCRNLRSLVSLQIRSCKEVLFKGGPLYLKNLKNLSVRKVQKITAPLDEEPSCDHPTQSNPVLNSLTHLDIDGLSLSQLLNLDNMRYKIPVLQTLKLSHLPKLTASLEMFLEQFTMLQQLEFHLCGELTRLPSNLASILSLKKLSLSHCPQIHSLPLNGLPGSLKELQIEGCSQMLEARCLKEPGEDWPKKEKMDEWRQSKQKEWWLGKRKEMLKCLKKNVEDMTLNNEEEELIRRKEEEWLKKEQHELLMNKGEDWPKIAHIPYIRVNGVTVQNLYL >ONIVA07G14810.3 pep chromosome:AWHD00000000:7:14970360:14974286:-1 gene:ONIVA07G14810 transcript:ONIVA07G14810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDELQVSLPHIQEMIDVAEQISINHVKPMSQRSIAGIWLRELKDAAHDAEDVLDDFEAKVIRMKIQGKSSHVVSSALSPLSNVFPRGDVKRLKDAIRTIKRLCDKGDSLVVPRDLNFAQRKSEKISIRVNRESSPFTFDAEVIGRDEEISLIMDMVLAAYSSEAPISTNKETDNDKPESSQRGWIIHALRRLFPTWTGESSEQAAPDVEKSKDKDQEIEETQPSKRGAEIEEIQPSWKESERGGLDPSKKAMMIREGAAYGKGKDVAAEVSLLTSKGSSFGDHKVSVLPIIGIAGIGKTTVAQVVFNKERVKEYFDIRGWIYVSEIVDRKKVVTQIIRSFEGRRFLIVLDDVWDDITTVWDDLYRVFQAGASGSVVLTFLFRHLKRIDLESIGRRIAEKLHGLPLAAKIVGRLLSMNLDKGHWEEVLQSPWWNIANNRMGEEILASIGIGYYNLSPHQRQCFLYCSIFPRNYVFEVDKLVRMWISHGFVQINSDGNNRMEIKEGRKWFYDLVDRSYLVPTVWENRYMMHDLLRDFAVTISSYDCFILSEYTMHVPNFVRHLSIDRDNFDVQWGDYDREKLRTLLTFGHCRACKTQEKLYRHTLKSSTGLRVLDLSYVSLGMTGNDFLTGIGGLLHLRYLDLSFTGISELPESFCYLCHLQLTKLQELHEFRIKAKIGHRISELRDLRDLGGSLCISNLLMVADREESLNANLSGKHYLTSLDLRFESCEHDITPASHLAMEILDGLRPSRTLEELKISNYPLSTFPDWMGQLRWLATLPPLGQLEHLQKLVLNYVPSITHVSSEVYGTSEAIFRSLEALSFKLMDGWVDWEEAGDAVVIAPKLQKLKISSCLSLRRIPFRTLGADVTELRLSGSGLCADEISSYLQRLTALTHLYLEGTYKTITLPCRNLRSLVSLQIRSCKEVLFKGGPLYLKNLKNLSVRKVQKITAPLDEEPSCDHPTQSNPVLNSLTHLDIDGLSLSQLLNLDNMRYKIPVLQTLKLSHLPKLTASLEMFLEQFTMLQQLEFHLCGELTRLPSNLASILSLKKLSLSHCPQIHSLPLNGLPGSLKELQIEGCSQMLEARCLKEPGEDWPKKEKMDEWRQSKQKEWWLGKRKEMLKCLKKNVEDMTLNNEEEELIRRKEEEWLKKEQHELLMNKGEDWPKIAHIPYIRVNGVTVQNLYL >ONIVA07G14810.4 pep chromosome:AWHD00000000:7:14974393:14976024:-1 gene:ONIVA07G14810 transcript:ONIVA07G14810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRRTLETGGGPAPSDQGESLRSRESSDRGASSWARTAHHLFDELRGKSGKNTGMWEGPSLLVSNKS >ONIVA07G14800.1 pep chromosome:AWHD00000000:7:14967434:14969586:1 gene:ONIVA07G14800 transcript:ONIVA07G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYQNKARFAPLSDAPFALRGALGSSNSSFNNIDHLRQSSSSGQARSYTSSPLGALRPKMSPSGNRLLHTSRPLSAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAVIISIPLATKFSLMFDV >ONIVA07G14790.1 pep chromosome:AWHD00000000:7:14952777:14962234:-1 gene:ONIVA07G14790 transcript:ONIVA07G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipases;galactolipases [Source:Projected from Arabidopsis thaliana (AT1G61850) TAIR;Acc:AT1G61850] MASWGLGWKRSSEIFHLTLDYGDLADGPPHHHQQQPPPPQQPGSPPTPSSAGSTPTSSSSSPTARRSGGSGEFGFRIELDWSAGDDEDQVALRLQSQLMVALPPPHDAVCVDLSPRGEGGEEEEGAVAVAVEMRVVRRREALRSVRVARAAGSAAGSGDGAGVLSRLIRSNLAPAPAVDGAAATGVPVLADHWRSVAVLSLCNCGLLMLPVELTRLALLEKLHLDNNKLSVLPPEVGDLKKLIVLTVDNNMLVSVPAELRQCVLLEELSLENNKLVRPLLDFRSMPKLRVLRLFGNPLEFLPEILPLHNLRHLTLANIRIEALESLKSVTVQIETENNSYFVAARHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNQVAISKEENAVRQLISMISSDNRHVVEQACLALSSLGSDISSAMLLIKCDIMKPIEAVLKSFDEEELESVLQVVVTLTFVSDHVAQKMLTKDVQRLSLFAVGNLAFCLETRRTLMHSESLRDLLIRLTLSQEKRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQILKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGVKQMTLDQCEEIYTKLGKLVFAEPAPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFAVSTLVSVMPAQPYIFRNYQYPPGTVEVSSVMTESPSIGSAGTPVSGAPVGIKPINTVGTAVSGAPVGIKRGAFMGSCKHRIWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKSRRGGWRYLDTGQVLIESSCSVERVEETLDTLIPMLPEMQYFRFNPVDERCGMELDETDPAVWLKLEAATDEYIQKNFQDFKNVCELLVPRYQEEEKSSETTKSMLFSRFKPSNSGFSESNPTLGWRRVVLLVEASYSPDFGKKVNHARSLETFCSQNGIRLTLMNSASGFGKAATTLPTPITSPLFTGSFPSSPLLYSPEGTQRIGRIDLVPPLSLDGNPTAKSSPPTSPLKSWQPSVHVQSLYDKLQNMPQVGVIHMALQNDSTGSILSWQNDVFVVAEPGELADRFLQCVKTSLSAMSHGCKRKGAYSLSKISCLSELVAEWPSFEIGGIHHRYIGRQTQVMEDNQEIGAYMFRRTVPAAHMTPEDVRWMVGAWRERIIVCSGKYGLAHGLVKAFMDSGAKAVISSAMEPPDSQSIVYHGMEVNGSLENGKFVIADDEASESEAEPVSPTSDWEDSDMEKNGDRSKDFDDEEYMAQFICLLYDKLFREGVTVDTALQQALRSHPKLKYNCHLPNVFRNISRHQGRRRRRSDRSGGQGGGEAEDELPGVVGGAVERRPAVDVLDVVLGAGAEELLELGLVALGGGEHEEDVHRVDVLVQQRLHLVVGALHRQHPHMPAPSASLFPNLKKHVGPTLPLSLAGTTALSRDGRSHPSLAAARGDLLAAAAGAAADALALSWKVHGMDAMASRTLDGGVARGGEEGREIYGGNG >ONIVA07G14790.2 pep chromosome:AWHD00000000:7:14953895:14962234:-1 gene:ONIVA07G14790 transcript:ONIVA07G14790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipases;galactolipases [Source:Projected from Arabidopsis thaliana (AT1G61850) TAIR;Acc:AT1G61850] MASWGLGWKRSSEIFHLTLDYGDLADGPPHHHQQQPPPPQQPGSPPTPSSAGSTPTSSSSSPTARRSGGSGEFGFRIELDWSAGDDEDQVALRLQSQLMVALPPPHDAVCVDLSPRGEGGEEEEGAVAVAVEMRVVRRREALRSVRVARAAGSAAGSGDGAGVLSRLIRSNLAPAPAVDGAAATGVPVLADHWRSVAVLSLCNCGLLMLPVELTRLALLEKLHLDNNKLSVLPPEVGDLKKLIVLTVDNNMLVSVPAELRQCVLLEELSLENNKLVRPLLDFRSMPKLRVLRLFGNPLEFLPEILPLHNLRHLTLANIRIEALESLKSVTVQIETENNSYFVAARHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNQVAISKEENAVRQLISMISSDNRHVVEQACLALSSLGSDISSAMLLIKCDIMKPIEAVLKSFDEEELESVLQVVVTLTFVSDHVAQKMLTKDVQRLSLFAVGNLAFCLETRRTLMHSESLRDLLIRLTLSQEKRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQILKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGVKQMTLDQCEEIYTKLGKLVFAEPAPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFAVSTLVSVMPAQPYIFRNYQYPPGTVEVSSVMTESPSIGSAGTPVSGAPVGIKPINTVGTAVSGAPVGIKRGAFMGSCKHRIWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKSRRGGWRYLDTGQVLIESSCSVERVEETLDTLIPMLPEMQYFRFNPVDERCGMELDETDPAVWLKLEAATDEYIQKNFQDFKNVCELLVPRYQEEEKSSETTKSMLFSRFKPSNSGFSESNPTLGWRRVVLLVEASYSPDFGKKVNHARSLETFCSQNGIRLTLMNSASGFGKAATTLPTPITSPLFTGSFPSSPLLYSPEGTQRIGRIDLVPPLSLDGNPTAKSSPPTSPLKSWQPSVHVQSLYDKLQNMPQVGVIHMALQNDSTGSILSWQNDVFVVAEPGELADRFLQCVKTSLSAMSHGCKRKGAYSLSKISCLSELVAEWPSFEIGGIHHRYIGRQTQVMEDNQEIGAYMFRRTVPAAHMTPEDVRWMVGAWRERIIVCSGKYGLAHGLVKAFMDSGAKAVISSAMEPPDSQSIVYHGMEVNGSLENGKFVIADDEASESEAEPVSPTSDWEDSDMEKNGDRSKDFDDEEYMAQFICLLYDKLFREGVTVDTALQQALRSHPKLKYNCHLPNVFIAETYPGIKVVVVGEAIDQAAKVAAKPRMNSLVSSAARSSAVRPSTSLTSYLAPARRSSSSLGLSPSEAASMRRMSTGWMSWFSSASILS >ONIVA07G14780.1 pep chromosome:AWHD00000000:7:14952759:14956970:1 gene:ONIVA07G14780 transcript:ONIVA07G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKITYNLSVPSIYLSPLFSTSRYSTIQRARSHGIHPVHLPAEREGVGGGAGGGGEEVAAGGGEAGVAAAVAAERGGAGEREREGGADVLLQVREQGRRGRRHLRQPGQGRLRPRRRRAVLQLHGDAGGGGHLRQDGGAAEPGHPPGGHPPHARRLRGRQAQARGAPPRRRQVRRQGRRRPDGARPRRRRHQGVHPRLRRHLGRLIDRFAYDDDLDAWICFGYTSILRIYLFFFSLFQVSPSFSCVW >ONIVA07G14770.1 pep chromosome:AWHD00000000:7:14944181:14945432:1 gene:ONIVA07G14770 transcript:ONIVA07G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMPCAAAELANGEAAAAAACAVKVGTTGTIGSLMTRELEAIKAAPPHATAAATTPRWLRRQSSPVSVPCGASPRKIAALRKSSSSLSTTSSSGGSGRRTDRVSAEESSACKTAACRRSSSTTPASSPMLAADVDRSGGGGKAKKAAARGRRGVGGVEVVDVRCGNPMSSRLRRLGFSKLSETFA >ONIVA07G14760.1 pep chromosome:AWHD00000000:7:14920489:14922057:1 gene:ONIVA07G14760 transcript:ONIVA07G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVVVALLVAFLTPLAVYLAGRSARTTPPPRRNLPPGSLGLPLVGQSLSLLRAMRRNTAERWLQDRIDRYGPVSKLSLFGAPTVLLAGPAANKAVFLSEALAPKQPRSLAAIIGRRNMLELVGDDHRRVRGALAQFLRPEMLRRYVGRIDGEVRRHLAGRWAGRRTVAVLPLMKLLTLDVIATLLFGLARGAVRERLAAAFADMLEGLWAVPLDLPFTAFRRSLRASARARRLLAATVREKKANLEQGESSPSDDLISYLVSLRDGDGGGGRPLLTEEEIIDNSIVCLTAGHDTSAILLTFMVRHLADDPAILAAMHEEIARSKRDGEALTWEDVARMKLTWRVAQETLRMVPPVFGSFRRALEDVELDGGYVIPKGWQVFWAPCVTHMDPAIYHDPDKFDPSRFDAQAAASAPPPYSFVAFGGGPRICPGMELARVETLVTMHYLVRHFRWRLCCGGEENTFVRDPLPSPANGLPVELDHIAPLRCDEFNS >ONIVA07G14750.1 pep chromosome:AWHD00000000:7:14920268:14928612:-1 gene:ONIVA07G14750 transcript:ONIVA07G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSKSAAKPHPRSPTTAQPPNNGSAAGAAAGGGGGGVGMGPAGATPSKNAAMAELKSRVLGALAKLSDRDTHHIAVEDLDRIIRSLPSPDAVPMLVHALASDSPGLASPARRESLRLLATLCAAHPDAAAPYLQKVMAHLARRLKDTNSDSSVRDACRDAAGQLSAVYLRPLAASAAAEAGNATVTLFVKPLFEAMGEQSKAVQGGAAACLAKTVEGAGPGPGVVGMFGKIGPRVCKLLGGQGVQAKGALLTIIGSLSQVGAISPQNMPQTLQSIRDCLENSDWATRKAAADTLCVLATYSGRLIGDGTAPTIAALEACRFDKVKPVRDSMADAVQLWKKMAGDDSNDGKNKESADNEGKMDSPNNNDKVKGSSMAEKAAVLLKKRPTLTDRELNPEFFQKLETRITDELAVEVVVPRKTLQSHLQSEEEPEDADGDPVGPANSNGSADDEANLTQLRSSSNFQNIRDRWAGQRGSRNKDAKVRASDVEDRNESSAKDSASAAMNVPGEGPSLNNKTNWLAIQRQLSHLDRQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMARDISLSSGRRGGGPMLGFDSSPGRSSMKYNGFHEYSNSKFGRDRDGRVGFAERYFSGDGMSSGVRSSEPWDSYAYSGSRSGMNARRGLDSVSSDNRVPRNERSNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGTSRAAARVAIRELDGETLNDDNQGDERGPIWESWTRAMDAIHVGDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDIALSWLQQLFMFFVCSTIPIIIKVLSGQQLTDLVMDNGSGYLGIPLDAKNDLLLGLHEATAIELPDDWEGATPVQIMKQLASSWRIDLQQLIRQRQQEIKDILSSLMQADNNFVLNPQLDPS >ONIVA07G14750.2 pep chromosome:AWHD00000000:7:14922913:14928612:-1 gene:ONIVA07G14750 transcript:ONIVA07G14750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSKSAAKPHPRSPTTAQPPNNGSAAGAAAGGGGGGVGMGPAGATPSKNAAMAELKSRVLGALAKLSDRDTHHIAVEDLDRIIRSLPSPDAVPMLVHALASDSPGLASPARRESLRLLATLCAAHPDAAAPYLQKVMAHLARRLKDTNSDSSVRDACRDAAGQLSAVYLRPLAASAAAEAGNATVTLFVKPLFEAMGEQSKAVQGGAAACLAKTVEGAGPGPGVVGMFGKIGPRVCKLLGGQGVQAKGALLTIIGSLSQVGAISPQNMPQTLQSIRDCLENSDWATRKAAADTLCVLATYSGRLIGDGTAPTIAALEACRFDKVKPVRDSMADAVQLWKKMAGDDSNDGKNKESADNEGKMDSPNNNDKVKGSSMAEKAAVLLKKRPTLTDRELNPEFFQKLETRITDELAVEVVVPRKTLQSHLQSEEEPEDADGDPVGPANSNGSADDEANLTQLRSSSNFQNIRDRWAGQRGSRNKDAKVRASDVEDRNESSAKDSASAAMNVPGEGPSLNNKTNWLAIQRQLSHLDRQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMARDISLSSGRRGGGPMLGFDSSPGRSSMKYNGFHEYSNSKFGRDRDGRVGFAERYFSGDGMSSGVRSSEPWDSYAYSGSRSGMNARRGLDSVSSDNRVPRNERSNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGTSRAAARVAIRELDGETLNDDNQGDERGPIWESWTRAMDAIHVGDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDIALSWLQQLFMFFVCSTIPIIIKVLSGQQLTDLVMDNGSGYLGIPLDAKNDLLLGLHEATAIELPDDWEGATPVQIMKQLASSWRIDLQQLIS >ONIVA07G14750.3 pep chromosome:AWHD00000000:7:14922913:14928612:-1 gene:ONIVA07G14750 transcript:ONIVA07G14750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSKSAAKPHPRSPTTAQPPNNGSAAGAAAGGGGGGVGMGPAGATPSKNAAMAELKSRVLGALAKLSDRDTHHIAVEDLDRIIRSLPSPDAVPMLVHALASDSPGLASPARRESLRLLATLCAAHPDAAAPYLQKVMAHLARRLKDTNSDSSVRDACRDAAGQLSAVYLRPLAASAAAEAGNATVTLFVKPLFEAMGEQSKAVQGGAAACLAKTVEGAGPGPGVVGMFGKIGPRVCKLLGGQGVQAKGALLTIIGSLSQVGAISPQNMPQTLQSIRDCLENSDWATRKAAADTLCVLATYSGRLIGDGTAPTIAALEACRFDKVKPVRDSMADAVQLWKKMAGDDSNDGKNKESADNEGKMDSPNNNDKVKGSSMAEKAAVLLKKRPTLTDRELNPEFFQKLETRITDELAVEVVVPRKTLQSHLQSEEEPEDADGDPVGPANSNGSADDEANLTQLRSSSNFQNIRDRWAGQRGSRNKDAKVRASDVEDRNESSAKDSASAAMNVPGEGPSLNNKTNWLAIQRQLSHLDRQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMARDISLSSGRRGGGPMLGFDSSPGRSSMKYNGFHEYSNSKFGRDRDGRVGFAERYFSGDGMSSGVRSSEPWDSYAYSGSRSGMNARRGLDSVSSDNRVPRNERSNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGTSRAAARVAIRELDGETLNDDNQGDERGPIWESWTRAMDAIHVGDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDIALSWLQQLTDLVMDNGSGYLGIPLDAKNDLLLGLHEATAIELPDDWEGATPVQIMKQLASSWRIDLQQLIS >ONIVA07G14740.1 pep chromosome:AWHD00000000:7:14915033:14917092:1 gene:ONIVA07G14740 transcript:ONIVA07G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMPFALLLALLIPTLLRFVIRRKYSSYNLPPGSLGFPLIGQSISLLRALRSNTDYQWYQDRIKKYGPVFKMSLFGSPTVLMAGPAANHQSLCIQQPGPHFHPDKGDQHHPWAAAPLAKRLTFDIICSVVFGQGIGPIREALATDFETLVQALLSLPVNIPFTKFNKGLRASRRIRKAHTHSVEDIVDNAIVILTAGYGTTAVLITFLLRYLANDPDILGKITEEQEEIARRKGPNEPLTWDDVSRMKYTWKVALETLRTVPPIFGSFRTAVKDIEYHGYHIPKGWQVFTAQSITHLDGNFFNDPVKFDPTRFDNHTSIPPYCFVPFGGGPRMCPGNEFARTDIGNHALPSEAVQVEIVLRRSLIEVSECCREMWNGLQCYSVIIRTDAEGIPFLLVLLKKGLRVPLGKSVS >ONIVA07G14730.1 pep chromosome:AWHD00000000:7:14911280:14911570:1 gene:ONIVA07G14730 transcript:ONIVA07G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRCRRSHHLRRPCSPPRSTRTTSWWWSPSSRPPLPWPPPRGGKREASSGGEREREEKAAAALAGEGEEEGGASARGREREREGEDGDAAERKE >ONIVA07G14720.1 pep chromosome:AWHD00000000:7:14901053:14904971:1 gene:ONIVA07G14720 transcript:ONIVA07G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARVETLVTTHYLVRHFSCAAACGEENTFVRDPLPTPLNGLPEGSHSLLGLLWQLGIPGDPRPFPWEKGPR >ONIVA07G14710.1 pep chromosome:AWHD00000000:7:14900749:14901010:1 gene:ONIVA07G14710 transcript:ONIVA07G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEHDEIAKSKQEGEALTWEDVTRMKLSRAPEDIEVDGYVIPKGWQAFWSPCVTHMDPAKFLPSRFDAQAASAAPPPPLP >ONIVA07G14700.1 pep chromosome:AWHD00000000:7:14890413:14900076:1 gene:ONIVA07G14700 transcript:ONIVA07G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMPFALLLALLIPILLHFVIRRKYSSYNLPPGSLGFPVIGQSISLIRALRSNTDYQWYQDRIKKYGPVSKMSVFGSPTVLLTGPAANRFVFSNQDLIITETKAANALIGSVYSPVFKMSLFGSPTVLMAGPAANHFVFSNQDLIFTQTKAINTIIGRSILTLSGEELKQVRGALQGHLRPEMVTKYMRKMDEEVRRHIDLNWVGHKTVKVAPLAKRLTFDIICSVVFGQGIGPIREALATDFETLVQALLSLPVNIPFTKFNKGLSASRRIRKVLRQIAREREAALQQGHSSSADDFFTHSLTVEDIVDNAIVLLTAGYGNSAVLITFLLRYLANDPDILGKITKEQEEIASSRGPNEPLTWDDDSRMKYTWKVALETLRTVPPIFGSFRTAIKDIEYRGYPIPKGWKVFTAQSITHLDGNFFNDPVKFDPTRFDNQTSIPPYCFVPFGGGPRMCPGNEFARTETLVTMHYLVRQFRWKLCCKEEGYRKDPLPMPLSLFGAPTVLLAGPAANKVVFLHEALAPKQPRSLATISSGGRTSWSSSAR >ONIVA07G14690.1 pep chromosome:AWHD00000000:7:14880435:14880716:-1 gene:ONIVA07G14690 transcript:ONIVA07G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPGVPLPSCSPSLPPSPPLPPATSPSPYPFNTDLIYTMQEMIRTEVCNYMAGVGLRAGCGPGALAECSMPQLVDGVMRAAAERVCVVTHQ >ONIVA07G14680.1 pep chromosome:AWHD00000000:7:14873281:14879105:1 gene:ONIVA07G14680 transcript:ONIVA07G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSSIPFALLLALLIPILLHIVIRRKYSSYNLPPGSLGFPVIGQTISLLRALHSNTDYQWCQDRIEKYGVVSKMSLFGSPTVLLAGPAANHFVFSNQDLIFTETKAINALVGRSILTLSGEELKQVRSALHGYLRPEMVTKYMRKMDEEVRRHIDLNWVGHKTVTVAPLARRLAFDIICSVIFGQGVGPIREALAADFETMVKAMLSIPVNIPFTKFNKGLNASRRIRKVLRQIARDMEGALQQGYSSSADDFFTYMLVLRSKGTHSLTVEDIVDNAIVLLAAGYETSSVLITFLIRCLANEPDIFGKITDEQEEIARSKGPNEPLTWDDVSRMKYTWKVALETLRTISPIFGSFRTAIKDIEYRGYHIPKGWQVFHAQSITHLDGKFFNDPIKFDPTRFDNQSLIPPYCFVPFGGGPSMCPGNEFARTETLVAMHYLVRQFRWKLCCKEEGYRKDPLPTPVLGLPIELETRTPPEYAHA >ONIVA07G14680.2 pep chromosome:AWHD00000000:7:14870389:14873684:1 gene:ONIVA07G14680 transcript:ONIVA07G14680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKCIRKMDEEVRRHIDLNWVGQKTVTVAPLAKRLTFDIICSVIFGQGAGPIREALAADFKKMVQAMLSIPVNIPFTKFNKGLSASRRVRKVLRQIACDREAALQQGHSSSADDFFTYMLVLRSEGTHSLTVEDIVDNAILLLLAGYETSSVLITFLLRYLANEPDILGKITEEQEEIARYKGPDEPLTWDDVSRMKYTWKVAMETLQTVFTAIIITHLDANFFDDPNKFNPARFHNQSSVPPYCFVPFGGGPRMCPGNEFARTEILVAMHYLVRQFRWKLCCKDEGYRKDPVPMPLELPIELETRSSPWICLK >ONIVA07G14670.1 pep chromosome:AWHD00000000:7:14862360:14868675:1 gene:ONIVA07G14670 transcript:ONIVA07G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSSMLLALLLALFIPILLHLVTRCKYASYNLPSGSLGFPLIGQTISLLRALRKNTDYQWYQDRIKKYGPVSKMSVFGSPTVLLTGPAANRFAFCNPDLIFTQTKALNALVGVFFGEEAGPIREALATDFEALVKATLSIPVNIPFTKFNKGLSACWRIRKLLSRIAREREAALQQGRCSSTDDFFTYMIALRSEGTHLLTVEDIVDNAILLLTAGYETTSVLIIFLLRCLANESDILGNITEEQEEIARNKGPNEPLTWDDVSRMKYTWKVAMETLRTVPAIFGSFRTAIKDIEYQGYHIPKGWQIFTDQIVTHLDTNFFDEPRKFDPARFHNQSSIPPYCFLPFGGGPRMCPGNEFAKTGTLVAMHYLVRQFRWKLCCKEGYRKDPTPMPLLGLPIDLETRSPPGYAHS >ONIVA07G14660.1 pep chromosome:AWHD00000000:7:14847973:14850867:1 gene:ONIVA07G14660 transcript:ONIVA07G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSILPALLIALFIPILLHLVTRFKYSSYNLPPGSLGFPFVGQSISFLRALRSNTDHQWYQGRIGKYGPVSKMWLFGSPAVLMAGPAANRFIFSNKDLLFTGTRSMNLLSGRNILMLSGEELKQVRGALQNFLSPEMVIRYVSKMDEEVRRHVKVNWVGHKTVKVLPLAKRLTLDIICSVIFGQEAGSVREALATDFPAMVRAALSIPVKIPFTRFSRGLSASQRIRKLLRGIARERETLLQQQQAHGASAADDFFTYMLALRAEGAHSLTVEDIVDNAIFLLIAGYETTSVLITFMLWHLDKEPEVLSKITEEQDEIARNKGPEDALTWDDVSRMKYTWKVFTAQSVTHLDANIFPEPSNFDPARFENNSSIPPYCFVPFGGGPRMCPGNEFARTETLVTMHSLVTQFRWKLCCKEESYKKDPSPTPLLGLPVELEPRCLPENAHA >ONIVA07G14650.1 pep chromosome:AWHD00000000:7:14838464:14839526:1 gene:ONIVA07G14650 transcript:ONIVA07G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSRGREGDEGREGWDVGCLRGGGGREEEAEEGHGARWAARKRARKAGDEVVAFGHRPSRTATCMALLRVLVPLSTTSLSVAFAIDGMQVSRCI >ONIVA07G14640.1 pep chromosome:AWHD00000000:7:14823487:14823921:-1 gene:ONIVA07G14640 transcript:ONIVA07G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAVQLPQCPRHCSFDVAKQRMARSASFTVLMHTKGDHGAAERWVMDRVVPLDKELERVLRAPLRDGSVFVLAVRDSYAYLATSPMFHDPQSPCWFLFLCLETMKLERLFRRTFDNDVQPYIMA >ONIVA07G14630.1 pep chromosome:AWHD00000000:7:14820818:14822380:1 gene:ONIVA07G14630 transcript:ONIVA07G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLVVALIAVASSCVFVHFLARGATKKRRSPAAKKLPPGSLGLPVIGQSLGLLRAMRSNSGERWVRRRIDRYGAVSKLSLFGKPTVLVAGAAANRFVFFSGALALQQPRSVQRILGDRSILDLVGADHRRVRGALSEFLRPEMLRMYVGKIDGEARRHVAGCWSGRAAVTVMPLMKRLTFDIIASLLFGLGPGAAARDALAGDFERVMGGMWAVPVDLPFTAFRRSLRAAARARRLLAGITRERKAALERGAATRSSDLIACLLSLTDDRGGAPLLSEEEIVDTAMVALVAGHDTSSILMTFMVRHLANDPDTLAAMHEEIARSKRDGEALTWEDLTRMKLTWRVAQETLRMVPPVFGNFRRALEDIELDGYVIPKGWQVFWVASVTHMDAAIFHDPDKFLPSRFDSQSSSPSTAKAAPPCSYVAFGGGPRICPGIEFARIETLVMMHHLVRKFRWKLCCKEDTFARDPMPTPLHGLPIEIEPRISP >ONIVA07G14620.1 pep chromosome:AWHD00000000:7:14807717:14808190:-1 gene:ONIVA07G14620 transcript:ONIVA07G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRSSPRSSRPIIHRAVDAKGRLVSKKPPGSGSPINKMSFNKGDEVRVRTPVGRLGTTALRLVMWLGAVVVSDADDGHLAVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPTPANMAAPRPNTAGKSLPRLKMFVLEKEQLRANSEALLAL >ONIVA07G14610.1 pep chromosome:AWHD00000000:7:14799113:14800682:-1 gene:ONIVA07G14610 transcript:ONIVA07G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPLVAAAAAAASASAPAAGAQQGGCAGRALATAASVAAYAVLARSMSRELLPDELRAVARWGAAFVRARVGAAEKERHTVVIRRQLDGGYGENQMFEAARAYLATKIDPRALRRLSLARSRCIDADGRSSWTTLLCLEPGDSSTPPPTCSMASSSGGRPWRPAAATTARGAARAVTAVTAPRASSSPSPSSSASTPSTPTQRSSATSPSSWPRRERALRIFMNEERSWHGFNHHHPATFDTIAMEPDLKKSIVDDLDRFLKRRDYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANYLRFNLYDLDLSQVRVNAALQRLLISMPNKSILVIEDIDCCFDAKPREDHKITTAALDQPEDFDFSDDGGGAPRGAGDLQQKNVTLSGLLNFIDGLWSTSGEERVIVFTTNYKERLDPALLRPGRMDVHVYMGYCGWDAFKTLAHNYFLVGDHPLFPEVRELLAGVEATPAEVSEMLLRSEDVDVALRGLTELLRERTRRRARQEAAIENEVVAEKGNAA >ONIVA07G14600.1 pep chromosome:AWHD00000000:7:14795454:14798146:1 gene:ONIVA07G14600 transcript:ONIVA07G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYVTIPYGAAVLGGGVAGYLKRGSKASLAAGGGFGGALLLAGALSARAFARGHPGGSLFATVLQTVCAVALTVVMGIRYIKTRKVMPAGIIAAVSALVLIFYIYKISNGGNKVYVPVSAE >ONIVA07G14590.1 pep chromosome:AWHD00000000:7:14787199:14788494:-1 gene:ONIVA07G14590 transcript:ONIVA07G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHRLPLAAAGASESERGRALSAYRKALATAASVAAYSVIARDLLPDELRAAVRRAARLVWARLFAAAAAEKKKKRRTLVIRRRYADGDTNLLFRSAREYMATKMVPGDMPRLAVAASYRRKEADGSWSWRARLCVVPGDSATDVFDGVEFKWAFVETGRDGDDGDGKSGGHRDKLFEVTFDAEHMSMALDRYIPFVMATVDQMNRPTRALTISMNRGGSWHGFNHHHPATFDTIAMEPDLKTSRHDDDAAAAAADLDSDDSDYDDSDESEPKVRQMQPQPQQNVTLSGLLNFIDGLVGCGRRAFLEEN >ONIVA07G14580.1 pep chromosome:AWHD00000000:7:14770934:14787447:1 gene:ONIVA07G14580 transcript:ONIVA07G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRAHKFQEGEKTSALAVGRMDSSSAAYGGKAVDAYRRALGTAASAAAYAVLARSMARELLPDELRAAARVGEQGAPHARATPAAAAAAAEVEVENTRTATSCSTPRGRTWRRGSTRATCAAWGSRCPRPREEGDGGRDGWRARLFIEPGDSTTDVFDGVEFTWRSVPLAAATGGAEKKAKGGDREFLLELSFDADAEHTATAMDSKHGSAPSINHDHFLDRCKVTLSGLLNLIDGLWSATSDERVIVFTTNYKERLLRPGRMDMHVYMGYCGWEAFKTLAHNYFLVDDHPLFPEIRQLLAGVEATPAEVSEMLLRCEDAGVALRGLAELLKEKKKQEARRDGQQQQKAVATAATVTAYAVLAHGMARELVPHDLRAALSWAASLVRARVEPRPAERRTAIIRSIEGNGHGHAQCIESRFFVDAHAYLATKIDPRSMSRFFLGGGRRGRNVLSMVPGDSMTDVFEGVEFKWTSVPAEGRFADTEVSLELSFDAAHTDMALGRYVPFIKEEVEQARRRDRELMIFMNEGSSWRGIAHHHPATFDTLAMDPELKRSIVADLDRFLKRKEYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANYLRFNLYDLDLSEVHSNSALQRLLIGMTNRCILIIEDIDCCFRARSRENGKERKTPTPTNNDGDDDDDDEEGDDFSEKRMTLSGLLNFIDGLWSTSGEERVIVFTTNYKDRLDAALLRPGRMDMHVYMGYCGWDAFKTLAHNYFLVDDHPLFPEIRALLAGVEATPAEVSEMLLRSEDADAALSGLVEFLEEKKEKKKKKQAMCEAGNSAEFSDGACGQHHTVELTPRLICSDGHPKSFRLVVLAIDDSRVRASICSSDNTGEWEWSSLPWVDIPEPVRSDDTGCWLLNEGTMQANGSLYWVYEDRRYLLSLDAATMAFSAVQLPQCLRHCSSLDVGETKDGATCIVYAHQLNVGVLMHTKGDDGASERWVMDRVVPLGKELERVLRAPLRDGSVLMHLVDNPRQVFVLAVRDGYAYLATSPMFHDPQSPCWFLSLCLETMKLERLFRRTFDNLVQPYIMAWPPSLVVDTYRRAVATAATVTAYAVLARGMARELVPHDLRAAVSWAATLVRARLGPRPAERRTVIIRRVDDDGRHDGCFAEAHAYLATRIDPRALSRFRLSGGVGDGRGRRNALSMVPGDSMTDVFEGVEFRWTSVVAEGGGRFSESSLELSFDAEHTDMSLGRYVPFITEEVEQARRRDRDLKIFMNERSSWRGIVHHHPATFDTLAMDPELKQSIVADLDRFLKRKEYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANQLRFNLYDLDLSEVHSNSALQRLLIGMPNRTILVIEDIDCCFSARSREDGKDRKTPPAVCYGDGGGDYDEDEYYEEDEGNWRDDFSEKQSLTLSGLLNFIDGLWSTSGEERVIDRLDAALLRPGRMDMHIYMGYCGWDAFKTLAHNYFLVGDHPLFPEIRELLAGVEATPAEVSEMLLRSEDADAALAGLVEFLEEKKKLASSVDASRTSAMPLQDNSKNRDDLMGHGSMEVGNFSLEVQNTEV >ONIVA07G14580.2 pep chromosome:AWHD00000000:7:14770934:14787447:1 gene:ONIVA07G14580 transcript:ONIVA07G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRAHKFQEGEKTSALAVGRMDSSSAAYGGKAVDAYRRALGTAASAAAYAVLARSMARELLPDELRAAARVGEQGAPHARATPAAAAAAAEVEVENTRTATSCSTPRGRTWRRGSTRATCAAWGSRCPRPREEGDGGRDGWRARLFIEPGDSTTDVFDGVEFTWRSVPLAAATGGAEKKAKGGDREFLLELSFDADAEHTATAMDSKHGSAPSINHDHFLDRCKVTLSGLLNLIDGLWSATSDERVIVFTTNYKERLLRPGRMDMHVYMGYCGWEAFKTLAHNYFLVDDHPLFPEIRQLLAGVEATPAEVSEMLLRCEDAGVALRGLAELLKEKKKQEARRDGQQQQKAVATAATVTAYAVLAHGMARELVPHDLRAALSWAASLVRARVEPRPAERRTAIIRSIEGNGHGHAQCIESRFFVDAHAYLATKIDPRSMSRFFLGGGRRGRNVLSMVPGDSMTDVFEGVEFKWTSVPAEGRFADTEVSLELSFDAAHTDMALGRYVPFIKEEVEQARRRDRELMIFMNEGSSWRGIAHHHPATFDTLAMDPELKRSIVADLDRFLKRKEYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANYLRFNLYDLDLSEVHSNSALQRLLIGMTNRCILIIEDIDCCFRARSRENGKERKTPTPTNNDGDDDDDDEEGDDFSEKQSLTLSGLLNFIDGLWSTSGEERVIDRLDAALLRPGRMDMHIYMGYCGWDAFKTLAHNYFLVGDHPLFPEIRELLAGVEATPAEVSEMLLRSEDADAALAGLVEFLEEKKKLASSVDASRTSAMPLQDNSKNRDDLMGHGSMEVGNFSLEVQNTEV >ONIVA07G14570.1 pep chromosome:AWHD00000000:7:14757930:14764952:-1 gene:ONIVA07G14570 transcript:ONIVA07G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding;calmodulin binding [Source:Projected from Arabidopsis thaliana (AT4G21820) TAIR;Acc:AT4G21820] MSRREPAVSSPFRDLSNLRTPNPRAPPNPKSSASKEEPLPSATPTTRRRRGPPPPPRPGAATATPLARRLRALELDQSRSARRAESGRDGALRAFASSATSWLSLLLRDPSACGCAPSAAAARVTRDAPAHGVQGKRDAVDGERARGRSPKRHRGGEDRGGPGPRRKTMTPAMAASLRDSLREVCSLDDVTERMGSHMSREACEEVLVMMCQICKNIDNGRLKMKEHCPLVSDLRLRDKAIRIFMCYNPKWLRIGLHIVLGGDSWIQNESQKKDKEVAFLKFVLEKQLFVHMNTQPSALNKAPEGHRRACYAEAASNSILKRLFLLVAALDRAKIESGLPSESGIDGLDGGSPLLFCRQTEIKSSRQIVQESLGEVMHGEGDLLMHLNIMGYKLNYQQLALSEYDFTVGNLFEDLQDGIILCRIIQLLTSDASIILKVIAPSDTYKKRLHNCTMAIQYIKQAGFPLSDADGLSISAEDIVNGDKELILALLWNMFIYMQLPVLVNETSVAQEISRLKAPVSEQSISEMKSQTGLLYDWIQETLSDCRKELFSCHKTDMIADITTYQFNNIGKVLAQFLQDLPGWNILANDVLFVEKSAIILLAFLSSHLTNVRRLEQLKNLIDSKLDHQSLVTEVSPRRRSRGTNDMKCHFPQTEETDGSRSTREWAATVIQTQARRLNAMSKYCKLKNATQPCNKGHDSASSSPLKSIADSSCIDSATKLVCEDDVDCSSNSCQVLFYHDPVSTKVDFLFCRKAMAARKIQFAYRRFAHRIRSRISAAIKIQSHWRCFSVRIRFKRQIQNITTIQAVASIKYLIKYTAEDCAIFSPKVTKMVEKGPFKSVYQNISNLSSVLCSWLRWWRQVLFLESRKRSVIVIQAHVRGWIARQTAVRNKKRITIIQSYVKAYLLRKRSKQEITDDIMCLINRLIAAVSQRSISTIRQICATLSTATEHSEKCCQTIVNAGAVEILLKQINLLNRGVPDQEVLKQVLFTLRNIARFRNLQPVLANTPQAVEIVFQELLRSKTEGFFVACDILKRLCESEEGHKIARALKRHIRRLGCLVQELEKKVDLDKRNGRTGVAKDNNLRRLGEAVTLHHLLTNDHC >ONIVA07G14570.2 pep chromosome:AWHD00000000:7:14757930:14764952:-1 gene:ONIVA07G14570 transcript:ONIVA07G14570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding;calmodulin binding [Source:Projected from Arabidopsis thaliana (AT4G21820) TAIR;Acc:AT4G21820] MSRREPAVSSPFRDLSNLRTPNPRAPPNPKSSASKEEPLPSATPTTRRRRGPPPPPRPGAATATPLARRLRALELDQSRSARRAESGRDGALRAFASSATSWLSLLLRDPSACGCAPSAAAARVTRDAPAHGVQGKRDAVDGERARGRSPKRHRGGEDRGGPGPRRKTMTPAMAASLRDSLREVCSLDDVTERMGSHMSREACEEVLVMMCQICKNIDNGRLKMKEHCPLVSDLRLRDKAIRIFMCYNPKWLRIGLHIVLGGDSWIQNESQKKDKEVAFLKFVLEKQLFVHMNTQPSALNKAPEGHRRACYAEAASNSILKRLFLLVAALDRAKIESGLPSESGIDGLDGGSPLLFCRQTEIKSSRQIVQESLGEVMHGEGDLLMHLNIMGYKLNYQQLALSEYDFTVGNLFEDLQDGIILCRIIQLLTSDASIILKVIAPSDTYKKRLHNCTMAIQYIKQAGFPLSDADGLSISAEDIVNGDKELILALLWNMFIYMQLPVLVNETSVAQEISRLKAPVSEQSISEMKSQTGLLYDWIQETLSDCRKELFSCHKTDMIADITTYQFNNIGKVLAQFLQDLPGWNILANDVLFVEKSAIILLAFLSSHLTNVRRLEQLKNLIDSKLDHQSLVTEVSPRRRSRGTNDMKCHFPQTEETDGSRSTREWAATVIQTQARRLNAMSKYCKLKNATQPCNKGHDSASSSPLKSIADSSCIDSATKLVCEDDVDCSSNSCQVLFYHDPVSTKVDFLFCRKAMAARKIQFAYRRFAHRIRSRISAAIKIQSHWRCFSVRIRFKRQIQNITTIQAVARKRSVIVIQAHVRGWIARQTAVRNKKRITIIQSYVKAYLLRKRSKQEITDDIMCLINRLIAAVSQRSISTIRQICATLSTATEHSEKCCQTIVNAGAVEILLKQINLLNRGVPDQEVLKQVLFTLRNIARFRNLQPVLANTPQAVEIVFQELLRSKTEGFFVACDILKRLCESEEGHKIARALKRHIRRLGCLVQELEKKVDLDKRNGRTGVAKDNNLRRLGEAVTLHHLLTNDHC >ONIVA07G14560.1 pep chromosome:AWHD00000000:7:14752372:14757127:1 gene:ONIVA07G14560 transcript:ONIVA07G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Non-SMC condensin II complex, subunit H2-like (InterPro:IPR009378); Has 249 Blast hits to 211 proteins in 82 species: Archae - 0; Bacteria - 0; Metazoa - 145; Fungi - 8; Plants - 30; Viruses - 0; Other Eukaryotes - 66 (so /.../NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G16730) TAIR;Acc:AT3G16730] MEGGGGGGGGGGGEGSTSGARFPILQANRDPESNWEVDVAKSLEEYLLRICSGEISGEDGAHSVNFAEAALLLQGSVQVYSRKVEYLYTLVLNALEFLSQKKQDQENSSAQANESDPSTVPNEEDDVFSGLDDVPVEARTTLDNNIDRDDLLKKIVRPPANLLVFEGDCLDSDTSELELYLLATCGFFGDFLLLDPCDAPAVSDFLQGKQSAKEDIFAGRGSSARSKSRTNVFCSPNGRSGGTGRRPTPGKVQEGNPDQTQESNPDQSQEMNANQTQEHIDDLNVNDDHWSVHPADHDFPDNDMPHPDDADAGCVDDSDDDDDPWRPLNPHEPGNLKIRTCRKVKSFARQVIGAPKRNIIASLFPMEKMDGASFKVHLSQQETHHVPEPPPLYEKLMRSLEHGEPESHLFGDLKDGHEPDIGVNDFDIHEPDMPDDVCDMDVDMDIPTYPDKNNDATLDGAQGTQDSMDAHESLEDLCRSHLDALLASIAEAEQQTELDARDRNPPFDIGSYGEQIIDTLSSRTENAGIASFSEIVSGKPKYEVARTFSALLQLVNGRSVDLDKGQTTNGLVCHTASNPFHVRLIGPNQRPEIEARFARKRVNSPSRNKGSGEPSPAQQKSPKKHGHKNGKVPVKTSIKLTPDGKRRRRSTQMLRPINLESS >ONIVA07G14550.1 pep chromosome:AWHD00000000:7:14751119:14751325:-1 gene:ONIVA07G14550 transcript:ONIVA07G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASPASPTATSRSSSISTTTPNTSASSSIPSRIYNIKEALLTVSHDGYFPEMDEFVRDRRAATASG >ONIVA07G14540.1 pep chromosome:AWHD00000000:7:14749317:14750404:1 gene:ONIVA07G14540 transcript:ONIVA07G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21870) TAIR;Acc:AT4G21870] MDYYYPMEEEEEVHERPRFRRPVHPWQWHQWQNLLGLLSSSSPSPATAAAAQRCSHVSWEETAAAHLYSASLPGVRKEEIRVEVEDAMYLVIRTELDDGGDGDGGGGGGRRSFARKFRLPAMVDADGISAEYTHGVLRVTVPRLHTRARPVVNLAAGGGGGGGPACDPVARAA >ONIVA07G14530.1 pep chromosome:AWHD00000000:7:14740289:14745851:-1 gene:ONIVA07G14530 transcript:ONIVA07G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G54910) TAIR;Acc:AT5G54910] MRRPRSRGAAKQTRLREADEIRLLEAWIDAGKPARGTRPPPLSKSSSSPADAAAAKRGAKGAGGVPSKAAGEHPEYGACARFDELPLSKKTKDGLRKAGYTEMSEIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPALEKLYRERWGPEDGVGCIVLSPNKDLAGQIFNVFQKVGKLHGFSAACIVGNRKGLDEEKAVINNMNILVCTPGRLLQHMGETTNFDCSQIQILVIDEADQVLDKNFQEQVDNVVSQLPKVRQTLLFSATQTKSVKDLARVSLKDPEYISVHEEATTATPDTLEQYAMIVPLEQKLNMLWSFIKRHLKSRILVFLSSVKQVKFVHEVFKKLRPGISLRCMHGRMKYEVQQAIVAEFKEGHSVLFSTDIFARGLDIEDVDWVVQVDCPENIALYIHRVGRTARYNKRGKALIFLCPEEEKMLEKLKAAEISQRYAEMLREMQEHDKEDKLEHKRILREKKLQKKLKLKRKRNEEMDAGSENSGSESDRDQRTASKGKKRYFNSDDEEGSKDAAKDGDVLAQQEALALKLLSKMHS >ONIVA07G14520.1 pep chromosome:AWHD00000000:7:14734268:14738265:1 gene:ONIVA07G14520 transcript:ONIVA07G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPPPTMNGGHHAAPPPPQVSGAPPPPHGHYQQQPPPQPYYQQQQPLPPHYYQAGPPHAPPPQQPPAMWGQPPPPPPQYAPPPPQQFQLPHQQYAPPPQHYAPPPQQQQYGAQMAGGPAPGGDEIRSLWIGDLQYWMDESYLSNAFAPMGQQVTSVKVIRNKQSGHSEGYGFIEFQSHAAAEYALANFNGRMMLNVDQLFKLNWASSGAGERRAADDGPEHTIFVGDLASDVTDSMLEEAFKTSYPSVRGAKVVFDKVTGRSKGYGFVRFGDENEQTRAMTEMNGATLSTRQMRLGPAANKKNMGTQQTYSTNGYQSSQGNSLENDPNNTTIFVGGLDSNVNEDHLKQVFTPYGEIGYVKIPLGKRCGFVQFTSRSSAEEAIRVLNGSQIGGQQVRLSWGRTPQNKQAPQQDANQWNGNYYGYQQGYDSSYYGAPNAQDPSAQNYYGYSGYGNSEQQQEPPQQQQQPPQQPPQQPQDNNFSTSFITR >ONIVA07G14510.1 pep chromosome:AWHD00000000:7:14731253:14731579:1 gene:ONIVA07G14510 transcript:ONIVA07G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLLLRPSAAAAAPFAYAKVDKVDAEEARHLQAQYLIHKVLEGSSAARGRGRGRRPAARQVGVRLRRLRLAARSVRLRLCRGLQRHLRSLRRLVRGSSALHDSSSCS >ONIVA07G14500.1 pep chromosome:AWHD00000000:7:14725562:14729914:1 gene:ONIVA07G14500 transcript:ONIVA07G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0I1F0] MGHAVDGRLEALLSGGGGSEAAAPWARRMAAAAALELRLLAPLAAPAVVVYMLIIVMSSATQIFCGQLGNVQLAASSLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAGRHEMLGVYLQRSAVLLTAAGVPLAALYACSERVLLLLGQSPEISRAAAGFARGLIPQIFAYAANFPIQKFLQAQSIVAPSAAVLAASFALHLPLSWAAVRVLGLGLPGAALALSATWWVLVAGQFAYIVRSPRCAATWTGFTWAAFHELAAFARLSAASAVMLALEVWYFQVLILLAGMLPDPQIALDALTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAAFSTWMVTALSAIIAAIAGVVVILLRDKLSYIFTQGEAISRAVSDLCPLLVGTIVLCGIQPVLSGVAVGCGWQALVAYINIGCYYLIGLPLGVLLGFKFDYGIKGLWGGMIGGTLIQTLILIWITFRTDWNKEVEDARRRLDKWDDTKQPLLVNRQ >ONIVA07G14490.1 pep chromosome:AWHD00000000:7:14719967:14723919:-1 gene:ONIVA07G14490 transcript:ONIVA07G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDWMKTSRSSAEYNIGVDKFIEFALSNSAHNNRIICPCKNCGNRYWLGEHKVREHLICDGFLAGYTSWIHHGESMSTSKPSVASSSHHEQNDDMDQMLLEGLGIRTLGTDDGAEDDLDVDAEAYYKLVNDGSQELYPGCKNAISVDGQALNDCVDILVNTVFNQHTIIPRAYGMISKLGSAQARCIPWPRDNLMHPSGQALHSKVSTIARHNSANQGNSVALTEVFKHNSIDNALQSVVGHKREVHDLTPKENIRREDTHSRAKKIGKARPDSPYANFTNSQLLTTSRNLHVQMHGQCEVLAVFMSAAEQLISKPGGKVCTRGQGSRTRHC >ONIVA07G14490.2 pep chromosome:AWHD00000000:7:14719967:14723919:-1 gene:ONIVA07G14490 transcript:ONIVA07G14490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDWMKTSRSSAEYNIGVDKFIEFALSNSAHNNRIICPCKNCGNRYWLGEHKVREHLICDGFLAGYTSWIHHGESMSTSKPSVASSSHHEQNDDMDQMLLEGLGIRTLGTDDGAEDDLDVDAEAYYKLVNDGSQELYPGCKNAISVDGQALNDCVDILVNTVFNQHTIIPRAYGMISKLGSAQARCIPWPRDNLMHPSGQALHSKVSTIARHNSANQGNSVALTEVFKHNSIDNALQSVVGHKREVHDLTPKENIRREDTHSRAKKIGKARPDSPYANFTNSQLLTTSRSKCMDRFWCNPFSGCCEVLAVFMSAAEQLISKPGGKVCTRGQGSRTRHC >ONIVA07G14490.3 pep chromosome:AWHD00000000:7:14720630:14723919:-1 gene:ONIVA07G14490 transcript:ONIVA07G14490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDWMKTSRSSAEYNIGVDKFIEFALSNSAHNNRIICPCKNCGNRYWLGEHKVREHLICDGFLAGYTSWIHHGESMSTSKPSVASSSHHEQNDDMDQMLLEGLGIRTLGTDDGAEDDLDVDAEAYYKLVNDGSQELYPGCKNAISVDGQALNDCVDILVNTVFNQHTIIPRAYGMISKLGSAQARCIPWPRDNLMHPSGQALHSKVSTIARHNSANQGNSVALTEVFKHNSIDNALQSVVGHKREVHDLTPKENIRREDTHSRAKKIGKARPDSPYANFTNSQLLTTSRSKCMDRFWCNPFSGCVFPHCKYMRASQDDRGKPLSRSMMFIVL >ONIVA07G14480.1 pep chromosome:AWHD00000000:7:14717888:14718247:1 gene:ONIVA07G14480 transcript:ONIVA07G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWRSAVARGEAAAGAEHTSIVPGLRRRRSDEDDAAVPERRSAAVRRTEGRRRRQGRSTPPSCLCSRDGDGDGGSESKDMATGGDLMRMQLFLAEVIHLVLLLVWGRRSELRVVASWS >ONIVA07G14470.1 pep chromosome:AWHD00000000:7:14712392:14712730:1 gene:ONIVA07G14470 transcript:ONIVA07G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLERSLGGGGGGAHCDAGKKKRAVLVEEELAAAAVEEEEVRKQGGEKVTEVKIRITRKQLEELLRRLEEGSDGGGGGGAVVSELLCMTSSCNFRHRPEQWRPSLHVIPE >ONIVA07G14460.1 pep chromosome:AWHD00000000:7:14699777:14700352:-1 gene:ONIVA07G14460 transcript:ONIVA07G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQQPQGPFAGGAAAGERSFLGFQYHHHHRGGSVAPAYGDDDDLPDLAEADVWYAPSSEGGADHRGGGGGGGGLEISGGGWGGGKHKVGGLSRAFADGRQVAASAPVQVPAWPGRYADPNQAAFAEEEKRREEEDDAGDGDGWVPPHVYLARRQARSSVVEGVGRTLKGRDASRVRDAVWSRTGFDG >ONIVA07G14450.1 pep chromosome:AWHD00000000:7:14686504:14689899:1 gene:ONIVA07G14450 transcript:ONIVA07G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoribonuclease L-PSP family protein [Source:Projected from Arabidopsis thaliana (AT3G20390) TAIR;Acc:AT3G20390] MAWTAAAATVTRAAATPAAELRLPLAAGLGRASFAVTGRLRRAVSASLSTAAAAVKKEAVQTEKAPAALGPYSQAIKANNMVFVSGVLGLNPETGKFVSESVEEQTEQVMKNMGEILKASGASYSSVVKTTIMLADLQDFKKVNEIYAKYFPAPAPARSTYQVAALPLNARIEIECIAAL >ONIVA07G14440.1 pep chromosome:AWHD00000000:7:14684319:14686158:1 gene:ONIVA07G14440 transcript:ONIVA07G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQAVLETGRGRASSSSAGGRKVTFGYHLVEGKTPHGMEDLHVAEFRRLDDGNEVGRPLRRVRRPLRRRDVATYLRERLFDDILREPGFWTDTAAAIRRAYRRADRKVLDGGDGGSTAVTAILINGETLAVANVGDSRAVAFDVRAWRAQQLSVDHEPLRERDAIEHCGGFVTEIHGDVPRVDAQLATSRAFGDRQIKEHISSDPNVTIEDVGGRRQRWWHGARRPRQRRGVEEYAICIHGASMEHRNFHVHSSHVHYFEIPPFKEV >ONIVA07G14430.1 pep chromosome:AWHD00000000:7:14664942:14683015:1 gene:ONIVA07G14430 transcript:ONIVA07G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIYWCSRQKVAWSATGCTLLISLLFFFSDSPHRILLNKKPLNFKTAPSRLLREISISSSRVQRVNNSSAEFVANLNDRNVEIVQHMEDNDHNIINATANVTSDWSIVKEEFTFPAGSAPFNSCHASTIVETEKDSFLVAYFGGSKEGAPDVKIWLQRYSPFLVEDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPIYIEGETLGVIQPVPYMTANGTIRVLLRSFETIGRVCMADSADWGVTWSYVHETDLPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKVAVSMDDGDSWNDVMTLEDTEGMEFSYPAVIQTMDDLIHITYTYNRTQIKFDLPTLSSQGSKDHGLILHIQEKCDKMTNKWSVLREEFTFSEGNVPFKTCHASTIVEVQKNMFLVAYFGGTQEGADDVKIWLQRYYNGLRHSPEVVDEVPNVPLWNPVLFQLPSGEILLFYKVGKTVESWSGCMKCSSDGGVIWSKREQLPPGILGPIKNKVTKDHGKTWKKYGPIYVRGKTMGVIQPVLYQTSSGTIRMLLRPSDEVGRICVAESKDSGVNWNYAQPTELPNPNSGIDGVKLKDGRVVLVYNSTSRGVLKVAVSQDDGDKWEDVLTLEETHGVEFSYPAVIQTSDGLVHVTYTYKRTQIKVVLPMLFGLLMVITSFIIFHVKNEFLLVTALCSMSFYNQARFDAVSNQTCGFVSGVKFEL >ONIVA07G14430.2 pep chromosome:AWHD00000000:7:14664942:14683015:1 gene:ONIVA07G14430 transcript:ONIVA07G14430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIYWCSRQKVAWSATGCTLLISLLFFFSDSPHRILLNKKPLNFKTAPSRLLREISISSSRVQRVNNSSAEFVANLNDRNVEIVQHMEDNDHNIINATANVTSDWSIVKEEFTFPAGSAPFNSCHASTIVETEKDSFLVAYFGGSKEGAPDVKIWLQRYSPFLVEDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPIYIEGETLGVIQPVPYMTANGTIRVLLRSFETIGRVCMADSADWGVTWSYVHETDLPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKVAVSMDDGDSWNDVMTLEDTEGMEFSYPAVIQTMDDLIHITYTYNRTQIKFDLPTLSSQGSKDHGLILHIQEKCDKMTNKWSVLREEFTFSEGNVPFKTCHASTIVEVQKNMFLVAYFGGTQEGADDVKIWLQRYYNGLRHSPEVVDEVPNVPLWNPVLFQLPSGEILLFYKVGKTVESWSGCMKCSSDGGVIWSKREQLPPGILGPIKNKVTKDHGKTWKKYGPIYVRGKTMGVIQPVLYQTSSGTIRMLLRPSDEVGRICVAESKDSGVNWNYAQPTELPNPNSGIDGVKLKDGRVVLVYNSTSRGVLKVAVSQDDGDKWEDVLTLEETHGVEFSYPAVIQTSDGLVHVTYTYKRTQIKVVLPMLFGLLMVITSFIIFHVKNEFLLVTALCSMSFYNQARFDAVSNQTCGFVSGVKFEL >ONIVA07G14430.3 pep chromosome:AWHD00000000:7:14676038:14683015:1 gene:ONIVA07G14430 transcript:ONIVA07G14430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIYWCSRQKVAWSATGCTLLISLLFFFSDSPHRILLNKKPLNFKTAPSRLLREISISSSRVQRVNNSSAEFVANLNDRNVEIVQHMEDNDHNIINATANVTSDWSIVKEEFTFPAGSAPFNSCHASTIVETEKDSFLVAYFGGSKEGAPDVKIWLQRYSPFLVEDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPIYIEGETLGVIQPVPYMTANGTIRVLLRSFETIGRVCMADSADWGVTWSYVHETDLPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKVAVSMDDGDSWNDVMTLEDTEGMEFSYPAVIQTMDDLIHITYTYNRTQIKFDLPTLSSQGSKDHGLILHIQEKCDKMTNKWSVLREEFTFSEGNVPFKTCHASTIVEVQKNMFLVAYFGGTQEGADDVKIWLQRYYNGLRHSPEVVDEVPNVPLWNPVLFQLPSGEILLFYKVGKTVESWSGCMKCSSDGGVIWSKREQLPPGILGPIKNKVTKDHGKTWKKYGPIYVRGKTMGVIQPVLYQTSSGTIRMLLRPSDEVGRICVAESKDSGVNWNYAQPTELPNPNSGIDGVKLKDGRVVLVYNSTSRGVLKVAVSQDDGDKWEDVLTLEETHGVEFSYPAVIQTSDGLVHVTYTYKRTQIKVVLPMLFGLLMVITSFIIFHVKNEFLLVTALCSMSFYNQARFDAVSNQTCGFVSGVKFEL >ONIVA07G14430.4 pep chromosome:AWHD00000000:7:14676947:14683015:1 gene:ONIVA07G14430 transcript:ONIVA07G14430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIYWCSRQKVAWSATGCTLLISLLFFFSDSPHRILLNKKPLNFKTAPSRLLREISISSSRVQRVNNSSAEFVANLNDRNVEIVQHMEDNDHNIINATANVTSDWSIVKEEFTFPAGSAPFNSCHASTIVETEKDSFLVAYFGGSKEGAPDVKIWLQRYSPFLVEDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPIYIEGETLGVIQPVPYMTANGTIRVLLRSFETIGRVCMADSADWGVTWSYVHETDLPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKVAVSMDDGDSWNDVMTLEDTEGMEFSYPAVIQTMDDLIHITYTYNRTQIKFDLPTLSSQGSKDHGLILHIQEKCDKMTNKWSVLREEFTFSEGNVPFKTCHASTIVEVQKNMFLVAYFGGTQEGADDVKIWLQRYYNGLRHSPEVVDEVPNVPLWNPVLFQLPSGEILLFYKVGKTVESWSGCMKCSSDGGVIWSKREQLPPGILGPIKNKVTKDHGKTWKKYGPIYVRGKTMGVIQPVLYQTSSGTIRMLLRPSDEVGRICVAESKDSGVNWNYAQPTELPNPNSGIDGVKLKDGRVVLVYNSTSRGVLKVAVSQDDGDKWEDVLTLEETHGVEFSYPAVIQTSDGLVHVTYTYKRTQIKVVLPMLFGLLMVITSFIIFHVKNEFLLVTALCSMSFYNQARFDAVSNQTCGFVSGVKFEL >ONIVA07G14420.1 pep chromosome:AWHD00000000:7:14599886:14603637:-1 gene:ONIVA07G14420 transcript:ONIVA07G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSRATSPDSGRGGANGYGYSHQTKPAQTTPSYNHPQPPPPAEVRYTPSAMNPPVVPPVVAPPKPTPDTILGKLYDDVRSVYSLGKELGRGQFGVTYLCTEIASGKQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQQNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYSERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILQGEIDFESQPWPSISESAKDLVRKMLTQDPKKRITSAQVLQHPWLRDGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFTNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDNSGFITRDELESALIEHEMGDTSTIKDIISEVDTDNDGRINYEEFCAMMRGGGMQQPMRLK >ONIVA07G14420.2 pep chromosome:AWHD00000000:7:14599888:14603637:-1 gene:ONIVA07G14420 transcript:ONIVA07G14420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSRATSPDSGRGGANGYGYSHQTKPAQTTPSYNHPQPPPPAEVRYTPSAMNPPVVPPVVAPPKPTPDTILGKLYDDVRSVYSLGKELGRGQFGVTYLCTEIASGKQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQQNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYSERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILQGEIDFESQPWPSISESAKDLVRKMLTQDPKKRITSAQVLQHPWLRDGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFTNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDNSGFITRDELESALIEHEMGDTSTIKDIISEVDTDNDGRINYEEFCAMMRGGGMQQPMRLK >ONIVA07G14410.1 pep chromosome:AWHD00000000:7:14593016:14604527:1 gene:ONIVA07G14410 transcript:ONIVA07G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein / kelch repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G04670) TAIR;Acc:AT4G04670] MEFDRRKAAALAALASPAPDKSPKGGVDAPIAPLLDALNSHPDLFTTSSCSGRVSVLAQPPPPQQADPGGAKTKKKARGGGWVYISHDPADPEALVEVLFGVKEGGGGGDDELVFRFEPMIVAVECRDAAAAAALVAAAVGAGFRESGITSLQKRVMVALRCSIRMEVPLGQTKELVVSPDYIRYLVRIANSKMEANKKRMGGFLDLLQAKGLLGSSKGCATADNESLVAKKSSDTYNCDADNNCDNGFVEISLEASYLESQDPVLQNGAKHGFEEALPTLSGNTTHCLSTAALEITGEPIEKLFLWGQSACALTVGREHHILTFGGFGGPGRHARRNYSLLVNPGSGLLTELKVTGSPSPRMGHTITVVGNDIYVVGGRGGPSEILNDIWVLERSNNRWSKVDCSGDFFRPRHRHAAAAVDRKVYVFGGLSDDGLCSCMNIMDTASIQWNVISPDDKWPCARHSHSLVSYGSKLFLFGGHDGQRALNDFYSFDTTTLKWNKENTNGKAPSPRFSHCMFIYKDYLGILGGCPIRESSQEIALLNLKHKIWFYVSIPSLSQCLCVRSSSVIIDDDLVIVGGGASCYAFGTRFSQPIKIDLHLLESIFKLAYNKEKEMSVQHGSVSNVDLLEGHEENCNPSDNVKVVIDTATLGSSPLVLQLEKKYAKLAKDILKKFGWLDLTRKVRKALEILLLCRGSILKDELAISRKASKTPQTIMRELVSVLLDKKGLPSQLLEQLPTRWETLGDIIVFPKTCFKDPLWESVRDDLWPLVAKSLGAQRLARQGKITPNGTRDSTLELLVGNDGWLTHHENGICYSLDATKCMFSSGNRSEKLRMGKLDCRDEVVVDLFAGIGYFVLPFLVKANAKLVYACEWNPHALEALQRNVMDNHVADRCIILEGDNRLTAPKGIADRVCLGLLPSSECSWDTAVRALRAEGGMLHIHGNVNDSDESLWLDNVVKSITNIAKTHGLSWNVTVEHVERVKWYGPHIRHLVVDVKCRAT >ONIVA07G14410.2 pep chromosome:AWHD00000000:7:14593016:14604527:1 gene:ONIVA07G14410 transcript:ONIVA07G14410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein / kelch repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G04670) TAIR;Acc:AT4G04670] MEFDRRKAAALAALASPAPDKSPKGGVDAPIAPLLDALNSHPDLFTTSSCSGRVSVLAQPPPPQQADPGGAKTKKKARGGGWVYISHDPADPEALVEVLFGVKEGGGGGDDELVFRFEPMIVAVECRDAAAAAALVAAAVGAGFRESGITSLQKRVMVALRCSIRMEVPLGQTKELVVSPDYIRYLVRIANSKMEANKKRMGGFLDLLQAKGLLGSSKGCATADNESLVAKKSSDTYNCDADNNCDNGFVEISLEASYLESQDPVLQNGAKHGFEEALPTLSGNTTHCLSTAALEITGEPIEKLFLWGQSACALTVGREHHILTFGGFGGPGRHARRNYSLLVNPGSGLLTELKVTGSPSPRMGHTITVVGNDIYVVGGRGGPSEILNDIWVLERSNNRWSKVDCSGDFFRPRHRHAAAAVDRKVYVFGGLSDDGLCSCMNIMDTASIQWNVISPDDKWPCARHSHSLVSYGSKLFLFGGHDGQRALNDFYSFDTTTLKWNKENTNGKAPSPRFSHCMFIYKDYLGILGGCPIRESSQEIALLNLKHKIWFYVSIPSLSQCLCVRSSSVIIDDDLVIVGGGASCYAFGTRFSQPIKIDLHLLESIFKLAYNKEKEMSVQHGSVSNVDLLEGHEENCNPSDNVKVVIDTATLGSSPLVLQLEKKYAKLAKDILKKFGWLDLTRKVRVSQDNIHVLFPVSKTFHALITDKHLKVQPDDSCVFEELLPFSENKLFGASISLQKALEILLLCRGSILKDELAISRKASKTPQTIMRELVSVLLDKKGLPSQLLEQLPTRWETLGDIIVFPKTCFKDPLWESVRDDLWPLVAKSLGAQRLARQGKITPNGTRDSTLELLVGNDGWLTHHENGICYSLDATKCMFSSGNRSEKLRMGKLDCRDEVVVDLFAGIGYFVLPFLVKANAKLVYACEWNPHALEALQRNVMDNHVADRCIILEGDNRLTAPKGIADRVCLGLLPSSECSWDTAVRALRAEGGMLHIHGNVNDSDESLWLDNVVKSITNIAKTHGLSWNVTVEHVERVKWYGPHIRHLVVDVKCRAT >ONIVA07G14410.3 pep chromosome:AWHD00000000:7:14593016:14604527:1 gene:ONIVA07G14410 transcript:ONIVA07G14410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein / kelch repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G04670) TAIR;Acc:AT4G04670] MEFDRRKAAALAALASPAPDKSPKGGVDAPIAPLLDALNSHPDLFTTSSCSGRVSVLAQPPPPQQADPGGAKTKKKARGGGWVYISHDPADPEALVEVLFGVKEGGGGGDDELVFRFEPMIVAVECRDAAAAAALVAAAVGAGFRESGITSLQKRVMVALRCSIRMEVPLGQTKELVVSPDYIRYLVRIANSKMEANKKRMGGFLDLLQAKISLEASYLESQDPVLQNGAKHGFGNAKRHVLISLSFYPAFISPHGVILTQEEALPTLSGNTTHCLSTAALEITGEPIEKLFLWGQSACALTVGREHHILTFGGFGGPGRHARRNYSLLVNPGSGLLTELKVTGSPSPRMGHTITVVGNDIYVVGGRGGPSEILNDIWVLERSNNRWSKVDCSGDFFRPRHRHAAAAVDRKVYVFGGLSDDGLCSCMNIMDTASIQWNVISPDDKWPCARHSHSLVSYGSKLFLFGGHDGQRALNDFYSFDTTTLKWNKENTNGKAPSPRFSHCMFIYKDYLGILGGCPIRESSQEIALLNLKHKIWFYVSIPSLSQCLCVRSSSVIIDDDLVIVGGGASCYAFGTRFSQPIKIDLHLLESIFKLAYNKEKEMSVQHGSVSNVDLLEGHEENCNPSDNVKVVIDTATLGSSPLVLQLEKKYAKLAKDILKKFGWLDLTRKVRVSQDNIHVLFPVSKTFHALITDKHLKVQPDDSCVFEELLPFSENKLFGASISLQKALEILLLCRGSILKDELAISRKASKTPQTIMRELVSVLLDKKGLPSQLLEQLPTRWETLGDIIVFPKTCFKDPLWESVRDDLWPLVAKSLGAQRLARQGKITPNGTRDSTLELLVGNDGWLTHHENGICYSLDATKCMFSSGNRSEKLRMGKLDCRDEVVVDLFAGIGYFVLPFLVKANAKLVYACEWNPHALEALQRNVMDNHVADRCIILEGDNRLTAPKGIADRVCLGLLPSSECSWDTAVRALRAEGGMLHIHGNVNDSDESLWLDNVVKSITNIAKTHGLSWNVTVEHVERVKWYGPHIRHLVVDVKCRAT >ONIVA07G14400.1 pep chromosome:AWHD00000000:7:14586175:14588152:-1 gene:ONIVA07G14400 transcript:ONIVA07G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAAFADEGGGGGGCGRGQRRSRAATAADAEEAVEGGGSRGRWRTRTRVVAAAATTTADADEGRVAEVARGQRRSRVVADVEEGGGSGRGWWWTRTRRLFKDGVLQAEIGDKEDPLLSKMRKEVVVAGGKDTMEPWRWTMTSSWCLSRSLITRSVKND >ONIVA07G14390.1 pep chromosome:AWHD00000000:7:14578516:14580813:-1 gene:ONIVA07G14390 transcript:ONIVA07G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRDDDARWRQLGPLLHARDLAPADLDAALALARAYAAMERFDLAARECERALALALADDTAAAAAEEELLHLQLEPPPTKEARMLAKERLRFLLLQASSKAVAMAARDRWRAAMAAAAADDDERRRGFLTVSVEDLKAHYTGEPLSDAQMSALACAVDLAKDTGDWICWMCPDPQCMMVFLTAECFQSHVADEFFPDLQRSPLLVPERISEEQEAELIGSCAVKLAPSDDDDDDESERFLSKIKSTLQRIKDRKALSVDLLDNLVEFTNRWTIEEETAAAAASADPPQNPICSIAKLHPVALHVLALTLDMIVPGLSAGDDDAKLQDSYDHFDYVSVVREDFVPSIVVEEDALRIIIDGSSSNQDALFRWLSRPRRQDPVTSWNNMRQACLDNGARVLEKLIASAAALVEKIELKRGLIEMNTHESYFTKKAKLDIEILQLDAEVDDLKKKLVEVCTCDYRKVSLPAMKDYLWDKLRDDPPEKVLCSEDGLNIGTPEVYIQSYQDDEKGAKDNPKGGELEIQLRLTIYNSVVEELPGDKALSCLQVLRKSKHDPLYYCFSWKLFHYVCWTAYALIG >ONIVA07G14380.1 pep chromosome:AWHD00000000:7:14577358:14577744:-1 gene:ONIVA07G14380 transcript:ONIVA07G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPTPHPFASGCRGPHHNGPLSLSVSLAVGPHPNGRNLSNRLAVAGYMSPPPPAPPRETCSPPASSSSASAATFVLRFGISSNTSRPSPSADRGAVRRDPIRRLLPRAVTTSTTTRTTPPQQQHV >ONIVA07G14370.1 pep chromosome:AWHD00000000:7:14573705:14577324:-1 gene:ONIVA07G14370 transcript:ONIVA07G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVGSKSNRDLSDCDAAFREEAGRAIVALTEEGEQGHAHGLSLATALVGRYPWSPLARAILARCYLQRNSRQQERVQLELAAVLAPRCPHIASLLIDALISMDLFDEAAEVRDRALRVAEPTDPALHYTFVSNRYSSADHHDNPFDLEYRKAHGRETIRGQRARIEKGKGQAAASPEPASTPEWPPETVDLGIAGDRWSRMSEEERQALLKVSFGEMKSYCRSRGLMDMTSMLSDAEVFVKKGWSCPFCSGMIYVEFAAFKSHIDEEHIVGKEFLSLVPERISDSERELLRSWRWEPTDGDDLAGRTKILREVKEIVFELIDLEVVSLNLLYIMHKFIMNRVRPVAPLVVSMCGSCGIGQLSSTHLQELCELLKPLKLVVQTQRETGTLSFDCEKIASRETDGSSQADRLFACLLSEPLLEDPMELCFSMWRECFVDGPDILNNISRALGKAKLKFSSWEELKGIQGGVYFLPKAIFERDIDIKTYFDSWIGSARVEMLLIDAEVDYWKESLLKTCQVDCLAVISPIAKTCLWAKLVNDPLEDALLAHPQNCHKPQVPLDAILRSLWHIRRFCSDLWEIPCISPDVKARVYRAILSRIFRSWDQCKTCDLPSSAIFMVDSLRSFVIDEKAGNISAYRVVESILERLHVAQTPLHFEFKGESLVPQTAIVPSLLGCICLAHNLFGLHIIEKKCNCVNEVPMKTKSTFFHSINLGSVEGTTLESFSELLKAVDKQSVCDFRNGGCGHRITRYLWYPPHFFMIGERKDKRKKSLDQCII >ONIVA07G14360.1 pep chromosome:AWHD00000000:7:14559534:14571423:1 gene:ONIVA07G14360 transcript:ONIVA07G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHHSSPRSSRPISHRRSELAVEAKGRVVSNKASGGSPVKKMSSAPSPAPVSFKKGDEVRIRTPVGRLGTTTLRLVMWLGAVVVSDADADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTLTPANMAAPRPTTAVKSLPRLKMFVLEKEQLRAKSKALLAPDCPSRILDQLITAKLIADLNSDTDFNSNSDSAGYKSMRGRLPSHSPAIPSANPRTPSSALVVNGASPPLDELAVEAKGRVVSKKPSGSGSPINKMSSFKKGDEVRVRTSLGRLGTTALRLVMWLGAVVVSDADADDGHLEVIYNGNIPRDDPFQTVHVAVKDVKLPARRPAPTPANMAAPRPNKAGKSLPRLKMFMLEKELLRANPEALLASTDFNSNSVSDLLPSPISTRTPLRLPINPSAPPLTVSAFTTIPSTHPRSSASSSSMALPRRSSPRSSRPIIHRAVDAKGRVVSKKPSAGSPVKKMSSAPSTATPVSFKKGDEVRVRTPVGRLGTTALRLVMWLGAVVVSDSDADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPANMAAPRPTTAGKNLPRLKMFVLEKEQLRAKSEALFAS >ONIVA07G14350.1 pep chromosome:AWHD00000000:7:14546797:14559219:1 gene:ONIVA07G14350 transcript:ONIVA07G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H fold protein with HRDC domain [Source:Projected from Arabidopsis thaliana (AT2G32415) TAIR;Acc:AT2G32415] MPTANLRSRAAAAAAAAACLAALAVAALLHRRRRRGRARAPASPGLLGGRRGRRPRRACEEEEKPQARFRRVVADNSYSAFKHLRRQGAGPVGSGHHGSEAQPTSQESSQKVHPFEEEITSLLNNPPDFQNFMPGDRCPEMSTSYNWVETDAQLEDLARLLDDEKAFAVDTEQHSLRSFLGYTALMQISTQKADYLIDTIALHDVMSILRPVFANPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLELYCGVTTDKTMQREDWRLRPLTPEMIQYARCDAHYLLYIANCLASELHAKTYDASDSPNDKINFFFEASHRSNMVCMQLYAKEIECPPGASSVASILSKNLQSHGLDSYKSSEVKDLVWKICAWRDLMARMHDESLRYVLSDQAIASLAVSVPRGPTEVCSAILETETSNSTVYPSLPPPSPIVVAHAEELRYLIEDITVSMDAIFKNLLEKYKDPSRLCRLSVFNYNLVSQLSLKQKNMFSFASSGEKLLMAPTNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIALLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKILNSGDISLIAGASLSEDKSNGTGVSPLQLRTAAMALLRHGSNMPLKRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPNERRRHSKKNGFSYRSQAQNVIRKSNSNGIVENNEHDPENGYAEQFSKNGVENNSHPDIDENNNQLGIDEHTSQPGSGGNKIHGPTLSKESTIYPPRMANPISDSSMEADTVQQASLGGNPANGDLDRDPCGSNNSNQAIPQNGDKKISLLGHGHHGKQVVELLLSNGGEEAINQFSQRWRQVFVASLHPRYLPSGWNIKHSGRRDFGDFSVYKPSKKPPAADQSETLAAAAVVP >ONIVA07G14350.2 pep chromosome:AWHD00000000:7:14546797:14559219:1 gene:ONIVA07G14350 transcript:ONIVA07G14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H fold protein with HRDC domain [Source:Projected from Arabidopsis thaliana (AT2G32415) TAIR;Acc:AT2G32415] MPTANLRSRAAAAAAAAACLAALAVAALLHRRRRRGRARAPASPGLLGGRRGRRPRRACEEEEKPQARFRRVVADNSYSAFKHLRRQGAGPVGSGHHGSEAQPTSQESSQKVHPFEEEITSLLNNPPDFQNFMPGDRCPEMSTSYNWVETDAQLEDLARLLDDEKAFAVDTEQHSLRSFLGYTALMQISTQKADYLIDTIALHDVMSILRPVFANPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLELYCGVTTDKTMQREDWRLRPLTPEMIQYARCDAHYLLYIANCLASELHAKTYGASSVASILSKNLQSHGLDSYKSSEVKDLVWKICAWRDLMARMHDESLRYVLSDQAIASLAVSVPRGPTEVCSAILETETSNSTVYPSLPPPSPIVVAHAEELRYLIEDITVSMDAIFKNLLEKYKDPSRLCRLSVFNYNLVSQLSLKQKNMFSFASSGEKLLMAPTNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIALLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKILNSGDISLIAGASLSEDKSNGTGVSPLQLRTAAMALLRHGSNMPLKRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPNERRRHSKKNGFSYRSQAQNVIRKSNSNGIVENNEHDPENGYAEQFSKNGVENNSHPDIDENNNQLGIDEHTSQPGSGGNKIHGPTLSKESTIYPPRMANPISDSSMEADTVQQASLGGNPANGDLDRDPCGSNNSNQAIPQNGDKKISLLGHGHHGKQVVELLLSNGGEEAINQFSQRWRQVFVASLHPRYLPSGWNIKHSGRRDFGDFSVYKPSKKPPAADQSETLAAAAVVP >ONIVA07G14340.1 pep chromosome:AWHD00000000:7:14539826:14546437:-1 gene:ONIVA07G14340 transcript:ONIVA07G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05670) TAIR;Acc:AT1G05670] MLLRRAVAAVAQRSSRLSHSRPLLRRAGPACSSLTTTTTSQHRHGRRRAPPAESNALTTTAAPRPFPDYSPPRPDSPADDDLARRLAAAVLSSPNPGSLPPLPFLPLLRPLHLLLALPLLASHPHLPTILLPLLLLFPSGPRPHPHLLQSFAVAAHLAAVRDPGAARAILVRALRFPSPHRHFVEQFISTYKAFSSDPVSFDLLLLCLPSAPLLLRLRQYGISPSPESCNAVLCRLPLDEAVQLFQELPEKNTCSYNILLKALCTAGRIKDAHQLFDEMASPPDVVTYGIMVHGYCTLSELETAIKLLSEMAARGLELNPVAYTSVIALLCDEGQVSDAVRVVEDMVMHGVVLDAAVFTTVMSGFCRKGDLAAARNWFDEMQKRGLAADGVTYTALINGLCRAGELKEAERVLQEMEDKGLDVDAVTYTVLIDGYCKVGKMTEAFLVHNKMVQKRVTPNVVTYTALSDGLCKQGDVCAANELLHEMCSKGLELNIFTYNSLINGLCKAGNLEQAMRTMIDMDEAGLKPDVYTYTTIIGALCQSKELDRAHSLLQEMLDKGIKPTIVTYNVLMNGFCMSGRVEGGKRLLEWMLEKNIHPNTTTYNSLMKQYCIEKNMKSTTEIYKGMLSQEVVPNENTYNILIKGHCKARNMKEALYFHSEMIEKGFRLTASSYNALIRLLNKKKKFTEARRLFEKMRKERLTAEPDVYNFYIDLSFNEDNLESTLALCDELVEVTLVKSIADTDDDFAEEHISIMRFLEEMWEVLGYYRYIDHPVAVEHVDLQSGVMVSSKRTVRDLHGGRSGGSRSREDMEAQPRRLWW >ONIVA07G14330.1 pep chromosome:AWHD00000000:7:14537870:14539525:1 gene:ONIVA07G14330 transcript:ONIVA07G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHTMAGKERSHVHLCLTDYRDGTYTYTVHHIDVAPFFLRSDDPDVPDPGAMEEAVLPPPSTRLATRPETNGLEFHHLLRAADGGDMIVATDDQRRTLIYDVAARAVGPGHMLLSDKRVPVSAAVADRLYVLDTSHAARRATCFEALVYDGVEDPLRADWYWRRLPGPPYADDGIGRPLPGSRVTALAVVGAGIWTTTAPAEDGVASVRPCSRLDPRRGVWTTPKGAGAGPGTYSFDTERQAWRREGDWELPFAGKAELVPGCNLWFGFSRADGSSSLCAADLAAAPHRACGVWEDFRPPKEWFSCGRHLVSLGSGKLCVVRFFATDPLDKWRRRDPVAIITAMEVRTMPACDGDDDGGRGGERRIKVVKHMPRCIKLPNCNKGRNWVL >ONIVA07G14320.1 pep chromosome:AWHD00000000:7:14531389:14536518:1 gene:ONIVA07G14320 transcript:ONIVA07G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSQIPFPKFQHPPKQINFARNQKLGKGDTIHTIIKFLSNKLSGHEPTCKRIVAVGTGSSKSESVVAVDKVESMLCNLDRFEANAQHEVGPTTPDCNYSSPGCFNANVKQAAMATLHRREEGAITVVIARSMETRRRRERRMG >ONIVA07G14310.1 pep chromosome:AWHD00000000:7:14527439:14530356:-1 gene:ONIVA07G14310 transcript:ONIVA07G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRRSGPNVGYTAHGDESREVISGDQQGAPPLLGGGRGEERRVIFFFAFSSISPSCSPAGTSLLLLRLRTKAQGGKNGTLLTPSCAREGEEGRFSRRAAPRFKGYDIMKDDIGTSYGDLPDHKYFISVREFTTSAMEYIDSTRCSLLGEYKKLNVWIVKERIKCCVRSMAVELFNQHEEGYCLSRFGESNIWVAPTGHIRFRLVTRTKRTDELELQYGPNTRGLEIFNVSMLHNPHEHGYLICNYAPLIPIENRILFYFKAYEHMRFVLAYTNDAAYRDILKKLPYQNRWFQITEGNYLLEASLKHKNYGVDDNPEKAHDPETFFKYYRHSNCHRLDRCFMIEEVGGYSAEQFELIFIVKYPLFLPLLQQELQRYNQLRCLKPHTLFFYGNIQDAEQSCAMIYHDQLDNPQATVGELMCTLEELYQGTDLTVALHRRITRHTDEPVENEEIILQVKVLPGSRKGTKITLPYEGSHFYGQPPHDLILTLDIAPHETYILYGNDLVVHWVLRLVDALAKCTINLKTLDGRYLKIKVDEVVYPGYELVIKDEGWPIGEGLKGNLRIIFDVSFPKTLSGRQQHSIRQVLDQCPGVL >ONIVA07G14310.2 pep chromosome:AWHD00000000:7:14527439:14530417:-1 gene:ONIVA07G14310 transcript:ONIVA07G14310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSGAPPATVHAQRRGCGGDGRTEEEWAERGLYGPWGCISPSCSPAGTSLLLLRLRTKAQGGKNGTLLTPSCAREGEEGRFSRRAAPRFKGYDIMKDDIGTSYGDLPDHKYFISVREFTTSAMEYIDSTRCSLLGEYKKLNVWIVKERIKCCVRSMAVELFNQHEEGYCLSRFGESNIWVAPTGHIRFRLVTRTKRTDELELQYGPNTRGLEIFNVSMLHNPHEHGYLICNYAPLIPIENRILFYFKAYEHMRFVLAYTNDAAYRDILKKLPYQNRWFQITEGNYLLEASLKHKNYGVDDNPEKAHDPETFFKYYRHSNCHRLDRCFMIEEVGGYSAEQFELIFIVKYPLFLPLLQQELQRYNQLRCLKPHTLFFYGNIQDAEQSCAMIYHDQLDNPQATVGELMCTLEELYQGTDLTVALHRRITRHTDEPVENEEIILQVKVLPGSRKGTKITLPYEGSHFYGQPPHDLILTLDIAPHETYILYGNDLVVHWVLRLVDALAKCTINLKTLDGRYLKIKVDEVVYPGYELVIKDEGWPIGEGLKGNLRIIFDVSFPKTLSGRQQHSIRQVLDQCPGVL >ONIVA07G14300.1 pep chromosome:AWHD00000000:7:14525767:14526255:-1 gene:ONIVA07G14300 transcript:ONIVA07G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRCSSPRSSRPIIHRRSELTVEAQGRVVSKKPSGSGSPINKMSSFKKGDEVRVRTPVGRLGTTALRFVMWLGAVVVSDADADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRLAPTPANMAAPRPTTAGKKLPRLKMFMLEKEQLRANSEAFLAL >ONIVA07G14290.1 pep chromosome:AWHD00000000:7:14520323:14520532:-1 gene:ONIVA07G14290 transcript:ONIVA07G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVQTEPPYVVSLPHRRHLHQFGLGFEKFAISTMPRVYIEQNSQGLAINHSKLITEFNSNSVSDVLRS >ONIVA07G14280.1 pep chromosome:AWHD00000000:7:14513803:14520194:-1 gene:ONIVA07G14280 transcript:ONIVA07G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSSPRSSRPIIHRAVDAKGKKPSGRSPVNEMSFKKGDEVRVRTPLGRLGTTTLRLVMWLGAVVVSDADAADGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTLTPANMAAPRPTTAGKSLPRLKMQMLEKEQLRANSEAFLALHSDLGRVVSKKPSGSGSPINKMSSFKKGDEVRVRTPVGRLGTTALRLVMWLGAVVVSDADDGHLEVIYNGNFPRDDPFRAVRVAVKDVKLPAPRPAPIPANIAAPRPTTAGKNLPRLKMFVLEKEQLRAKSEALLAS >ONIVA07G14270.1 pep chromosome:AWHD00000000:7:14507381:14508068:1 gene:ONIVA07G14270 transcript:ONIVA07G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLESSQAGPDEIFLLPAGLTPGGDKVDFSRLLVSRSRGALPSARSDRARRGGAVAADGMKGRRWRPGRGRRGGDALPSARSGRGGRGDAVAVDDMEGWRWRPNGGGEAVVARRRWILGATAAVAPSPPPDLAGGEAAAAKAATSTSGGFGSGGLFGSEHLHRLSSN >ONIVA07G14260.1 pep chromosome:AWHD00000000:7:14504404:14504880:-1 gene:ONIVA07G14260 transcript:ONIVA07G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVRRVEEEEEFDEGEVWEVLHHDQSNEAAAAALAGRTTKGARNNRQHEEGGGGAAAARRSKGRSSAPVAIPAAAAGSSSSSSLSSRRGGGGGEEDEEEEEEMMMMLPPHEWLARKMERMNAAAPAPEIGGGRSKGREMRKVRDAVLPKTAFSSEQ >ONIVA07G14250.1 pep chromosome:AWHD00000000:7:14499172:14501478:-1 gene:ONIVA07G14250 transcript:ONIVA07G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0I1B5] MARTSFKLEHPLERRQAESARIREKYSDRIPVIVEKADKTDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVKNTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >ONIVA07G14240.1 pep chromosome:AWHD00000000:7:14487125:14490845:-1 gene:ONIVA07G14240 transcript:ONIVA07G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQDPSSPVPAAARPKRTSSAPIRPSDYTHSPAHHRVALRDAAGLAGVLQGLPPLAHPSRILTAADAAREARLAASVSGALDRRDVPGGDTALHLAVRLRLPSLASALAAAGADPTLQNHAGWTPLQEALCLGCKDIAACLLRAHRLAAWAKLRRRAPALSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGAELRADTTLAGFDGLRIRRADHSFLFFGEEADAGGRHLPPGSLLVLHRGKREVHDAFAAAAAAGDEDSATSDAAAYRPGLNISSARLVPRTTWLRKEKTESVGEWKARVFDVHNVVFSFRTLKAANAGRKDFTFELAGDDDDDDDNNDDEEDFLPLEIRDDDEDGDFLVADIPPPPSRRSCYVPGRRSVAAPPSHMATPQRRRNSVDVPRRLPACASVGRGEGGVFGRHATTTGGARWKEEETVKTLRPTVWLTEDFPLTVDEFLPLLDILASRVRAVRRLRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVPLLEPEEFFTPMSSPSLLVSPGPGSIMHKPDTQKSSYLKWGLKNSRSKPVNLSQVADNTDPFTIPSDYTWGRIHGPTLRLYRAILAAKNIPGLAVKNGSFFYHG >ONIVA07G14230.1 pep chromosome:AWHD00000000:7:14468401:14482656:-1 gene:ONIVA07G14230 transcript:ONIVA07G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASCLSVFAGATATTVAASSRLMSRRAVACAGYAGMDDGGGGEGMMIMARRRRLVVPGIIATAGGGVRLRPATKGAYTCARAQRARGPSLATDQSLDIERANVRVAYQGSLGTAIEEMVFKAFPDCIAVPCKKFVAAFEAVDSSLADIVVLPIENSSTGSFHQNYDLLLRHKLHIVQEVQVEIELCLWALPGVQKNDLRTIFSHPEEFAQCEHSLSSLRVIKKNVDHCAAGAEIISMQNLGDAGVIGNAQAAELYGLNIVECNFQIESRPNKREPMRTQGNEKHFNYIFYVDFEASTAEVRVQNALNDLKVQQRATFLRVLGCYQMREVGLTAPICQLSTVELVVNQEKGTRCSSLGEAYPCLVSVASGEPGLAIVVGPVILRSLSGPTGGILPNPCFALDFL >ONIVA07G14220.1 pep chromosome:AWHD00000000:7:14462594:14470345:1 gene:ONIVA07G14220 transcript:ONIVA07G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPSPPPDRHHHHHHPARRRGPAGAAAPPPRSLRPRRAAAPSSRPLVDDFFPFPSSPSSSPSRPPPPQQQQQRRPSPEPSSSDSDGHGGGGGGGGGGSSASDRRRRKLKLVVKLSQLAPDQNHHRRGPPPPSYSDSSGGEQEEEEEEEAGGNGGDDVSGGEERVKPPKKRRIEPRGDRSRHREVGGRSDAASAPRTKRLPVPGMARTTPLPDRKALDMILDKLQKKDTYGVFAEPVDPEELPDYHDVIEHPMDFGTVRRKLARNAYRSFEQFEDDVFLICSNAMQYNAPDTIYFRQAHSIHELARKKFQELRDEGIPTENLIKSEQKIRPHPSNREPIKKPVLRYSDDDLGFMSHKEQVSRPNSKDLEDDRKFKDQVKKTISRNSEDVLSSSFQKERVKKSSVRNSDDDLSSSFQKEQVKRPISRNSGDDISSSFHKEQGRKVISRNSENDRVASFHKQHDKRPTSRSSKDELPSQKKHIRKPVCTNGEEPDFSSHRDSVENPVCTNGEHVGVLSPKRLVEKPICRNRDDLGHSHKKGLNNKSICGDGQDDMGYSCNGETVKKPVRMNSQDALGSDVSAATIASAGDDSNGLSMSQANAVEPQDCIAANGFMDKDISSPLDEIRSEKPDDISARESSVKPSYKSIVVDETRRKTYDTYEEQPSSESDTIFDVFCEEPKELVNVGPHSEHSYARSLARFAGSLGTQGWRLASERIQRVLPTDVKFGRGWVGEYEPPLPSILFVQNQPRSLVSSEANVQRSASMTRNNERIRPTESVNPKDMSLSLLNRITTGNNVVGVPGPLESPEIKPRLFGVTAEPQQRSTEAPSLHENHRAPGSVAKTKRAPSEQTRKGSSSSSSRPLQKQPQRPEISKGASNVLDMPSLNKMTGQPRPFFQPAEAAITQQMRKSETPKSSHPLEMAHQRLECAKGASGVHDMPSLNNTSGQPKPFFQSQEAAVPQPRNENTWVYHGRPGDGKYGTTDKSRPMSSMGFITKNQQVNAASFAMNLNGQKNVNDNVKSVGSTVMPVQVNTTNRGPDSSRNIFSAFPPAVRENQSIPSAPVAQSWISFGASSESKPTIVSPTFHDSNSGWKMPFANARPDEAKMTAVPQFFRQPVQMVRESPGQNKGLVIFPQLVQTDFSRSQGQPQWQGLVPPMQQKPNKDMLRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >ONIVA07G14210.1 pep chromosome:AWHD00000000:7:14427840:14435237:1 gene:ONIVA07G14210 transcript:ONIVA07G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGASSDSVRVLVYHGGRRGAQKYDFNKYDFVITTYSTIEADYRKHIMPPKTRCCYCDKLFYPNKLKVHLRYYCGPDAQRTEKQAKQESRKWGSKKGTSKRRVQKKKNDSDGEDFEERDGGSGSQSRGQSPLHSVRWERIILDEAHFIKDRRCNTAKAIFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCNCEILDTLFEGKRAMVLLKEKVLKGIVLRRTKKGRAADLALPPKIVTLRRDSFDKNEMEFYEALYTQSRTQFDSYVDAGTLMNNYAHIFDLLTRLRQAVDHPYLVAFSKTAELSDRSKNEGNENMESQCGICHDMTEDAVVTSCEHVFCKNCLIDYSATLGNVSCPSCSVPLTVDLTTRSSGEKVTPNLKGGKRSGILGRLQNLADFKTSTKIDALREEIRNMVEHDGSAKGIVFSQFTSFLDLIEFSLQKSGIKCVQLNGKMNIVEKGKAIDTFTNDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIRSMRFVIKDTVEERILQLQEKKRLVFEGTVGDSPEAMSKLTEADLKFLFQN >ONIVA07G14210.2 pep chromosome:AWHD00000000:7:14427840:14435237:1 gene:ONIVA07G14210 transcript:ONIVA07G14210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGASSEAADDEYDYASDGEDGAGPAAAAAAAPAAVAPPPPQPKRLQGGRKKGAGGHGKLPLPWEEWEEANEKWLDEREAAAKGEEPPDPEVPPAVAAGVPTAEPAPEVLLPLLRFQKEWLAWALAQEASPSRGGILADEMGMGKTIQGISLVITARRLRPPAPPPRRRAASSSQGQPKRWVGCTLVVCPVVAVIQWAQEIERHTAKDSVRVLVYHGGRRGAQKYDFNKYDFVITTYSTIEADYRKHIMPPKTRCCYCDKLFYPNKLKVHLRYYCGPDAQRTEKQAKQESRKWGSKKGTSKRRVQKKKNDSDGEDFEERDGGSGSQSRGQSPLHSVRWERIILDEAHFIKDRRCNTAKAIFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCNCEILDTLFEGKRAMVLLKEKVLKGIVLRRTKKGRAADLALPPKIVTLRRDSFDKNEMEFYEALYTQSRTQFDSYVDAGTLMNNYAHIFDLLTRLRQAVDHPYLVAFSKTAELSDRSKNEGNENMESQCGICHDMTEDAVVTSCEHVFCKNCLIDYSATLGNVSCPSCSVPLTVDLTTRSSGEKVTPNLKGGKRSGILGRLQNLADFKTSTKIDALREEIRNMVEHDGSAKGIVFSQFTSFLDLIEFSLQKSGIKCVQLNGKMNIVEKGKAIDTFTNDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPISTVGDSPEAMSKLTEADLKFLFQN >ONIVA07G14200.1 pep chromosome:AWHD00000000:7:14418711:14419715:1 gene:ONIVA07G14200 transcript:ONIVA07G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLGKLVCTLLAAVLCLSCFARAHHFDNDGFGPGGFGHGPKGFGRDPGFGHDCRFGQCHGGGGGFGGGGGFRGGGSGGLGGGGGFGGGGGGGLGGGGCEGGGFGSGVGGGSGAGGGLGGGGGGGFGGGSCGGVGGGGGQGGGFGAGGGVGSGSGAGGGLGGGGGGGFGGGGGDGIGGGGGKGGGFGAGGGVDGAAGGGGGMGGGGGGGFGGGGGKGGGFGAGGVMGGGAGGGGGLGGGGGGGMGGGGGSGMGGGAGGGFGGGAGGGAGQGGGGGLGGGGGTGGGLGGGVGGGLGHGGGLGGGGGFGIGVGVGVGIGFGGGAGAGSGAGGGGR >ONIVA07G14190.1 pep chromosome:AWHD00000000:7:14387530:14388956:-1 gene:ONIVA07G14190 transcript:ONIVA07G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVPDRPPLIRKRRVRPPPSKLEPRSHRPRSASRSVGGASWFFHGDPPSAIHGGKLAPVSIRVDLQMSRFTGQFCWFAG >ONIVA07G14180.1 pep chromosome:AWHD00000000:7:14383457:14387508:-1 gene:ONIVA07G14180 transcript:ONIVA07G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGAMTALIAKLTTLLMDQYKLQNSARGDIIFIKAELESIQAALEKLFEVQVTDRQVKIWERDVREQSYDMEDIIDNFMVHVETHLLAKPHELKGFMKGSLSLLRRAMVRYRVATDIKRIRRLVNETSARRDRYKVGTIVAAAKTETAIDPRLIGIYGEATKLIGISGPKEELTKLLMDSKGNSKNKLKVISIVGVGGLGKTTLANVIYQQLRGQFECHAFVSVSLKPDLKKVLSSILRQFSEQGYAWTETWYLIVIDDIWEKSAWECIECALIENDRGSRIITTSRVLDAATPCSSEVDHTVYKLQPLSSDNSKKLFYKRIFYCEDGCPLELKDISEKTLRKCEGVPLAIITIGSLLAMRPQNLYQWDRVHNLIGSGLEKSHHVENMRHILSISYYDLPAHLRACFLYLSIYPEDYNIQKDQLIRRWISEGFILGEDMDTLHEGGKYFNELINRSMIQPAYIDSHGRVHACRVHDMVLDLITSLSNETNFVTSLGGQQPTYHPNRARRLCLQNSIYDHTIRQEKMKWPRVRSLIVFPHATNLLPSLSRFRILRVLDLEGCQDLKNHQIEGISDLFHLRSLVLKDTNIGSLPKKIGNLSCLHTLDIRHTIITELPSTVVHLRRLVRLLIDASVKLPDGIGKMECLQEISLVGISKSPNFLKELGSLTELRILQISESTGAWHDSYENTLIDSLCNLHKICDLYIHGCKLSTEFISNIRCSPRYLRYLSCGQLSILPRWINSSLLSLSTIDLILNVLRQDDLHSLGALQSLCCLRLNVFKIEPERLVVGTEHAKFHWLAEFSFTTNAMGLIFSQYSMPRLENLELAFNVRETKYFDIGLEHLSSLKDVTARIDCRDSSIFEVQNADAAIRRIAYMNSNQPKVHVIRHYEHMLMDEVKIQKETEEEKEVLSK >ONIVA07G14170.1 pep chromosome:AWHD00000000:7:14345877:14348503:-1 gene:ONIVA07G14170 transcript:ONIVA07G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKDKARKNFSSSSSSSAASMAALAAAAAAGDGGAALPSPMEEDKKPRLVASSLAPVAGGGGGGSSSSAAVAAGASSSSSSSSVAAAARRGAGRAGGGAPSGGGGGPRCQVERCGVDLSEAGRYNRRHKVCQTHSKEPVVLVAGLRQRFCQQCSRFHELTEFDDAKRSCRRRLAGHNERRRKSAADTAHGENCRHADQDAGRSHQGTGNPPFQIR >ONIVA07G14160.1 pep chromosome:AWHD00000000:7:14343961:14344476:-1 gene:ONIVA07G14160 transcript:ONIVA07G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAYRRRISCEFERRRRRSRRPERVTIATTGRDAARRFATAAAAAAAPPSRERVRRRVVLPPASSSYRPRHATALDVKWSPWIENNEERSAAVFARSAGRPALAGAGAGDGPVKKRAVRVRVRDRVGKIMSSISRTIHLTSRDVVKHSGFRVAACHQAVSSLKYMFLRH >ONIVA07G14150.1 pep chromosome:AWHD00000000:7:14340696:14341840:1 gene:ONIVA07G14150 transcript:ONIVA07G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASEGIRSEELLDLDLARILPAAGLTIKTEVSTLKRCRGCEVISLLPSCHVQMNRRIQCITSMSYVTVIGL >ONIVA07G14140.1 pep chromosome:AWHD00000000:7:14336112:14337264:-1 gene:ONIVA07G14140 transcript:ONIVA07G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMMVQSAGIKRLRREMESRRRRSPELAPTGRVAKMSSTPPPLHPSPDDERSADVLAMPAPPPVPGRPATSAAAAATANEPTPTIEKGTQVSVRTRVGKISVTGHQTRHLVLRLDAVVVSADEDGFLDVVYKVGFPHDDPFRPVRVARDQVQVILQPAAEAPSVDSSTATDAAVRRAPGHDHARSRRTSVLPGRPTVAGNKGLFGTAPTPKLNFRS >ONIVA07G14120.1 pep chromosome:AWHD00000000:7:14334779:14335048:-1 gene:ONIVA07G14120 transcript:ONIVA07G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERDDGVRGNRATARHGSCHCRGFAEPPCWRRARDGGKRAGRKTAALMGGGQRRRWVEKGGDWGRRTTALTGGRGMAATRGGRRHWI >ONIVA07G14110.1 pep chromosome:AWHD00000000:7:14330980:14331693:-1 gene:ONIVA07G14110 transcript:ONIVA07G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGHDCTRSQKGRCRWIRRWRDSAAAAATLVADADFLIAHPSSSERPPSLLQQSTSPHRCNAARVAASSSLPTTGSIGKGAGSCSSIWLAHLVRQRRRPV >ONIVA07G14100.1 pep chromosome:AWHD00000000:7:14329074:14330099:1 gene:ONIVA07G14100 transcript:ONIVA07G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPDVVSYCQHCQRTTPMVLDHATGDAICTGCVFDLGNGANACPEPPRWRAAAGHGDSDGDRSSSSSSVGGDVSPAAADPLLQGGEGVACSVGAPPVQPRVRGAVVPPKQMRAGGAVPPKVRCGVPDTSKALAEGFDAIAGMASRLGLADKVSDRAKEVLRKLEEARACPKGRSRDALYAACLHAACRVEGAPRTLKELIAATSDAAATKRDLGKFINAIKRHLGMEERGQDQAADMKASGGGVGVVVRAGDYLHRYGSAVGMSGQEASAARRAAGRLDSLDVRRNPQSIAAAIVYMAAQGSSGVRKSVREVSAATGVSESTIKDAYKDLCPHAALLFA >ONIVA07G14090.1 pep chromosome:AWHD00000000:7:14323885:14324251:-1 gene:ONIVA07G14090 transcript:ONIVA07G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSYVDCWKRIVIRDGSPCKIVLFPSAMVSIQQVLPQPMKSDNASTPASGKNTWWPMAEYIDMHCSASTRTTHEFQ >ONIVA07G14090.2 pep chromosome:AWHD00000000:7:14323895:14324460:-1 gene:ONIVA07G14090 transcript:ONIVA07G14090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGGIYRYALQRVDSNDS >ONIVA07G14080.1 pep chromosome:AWHD00000000:7:14316614:14318460:1 gene:ONIVA07G14080 transcript:ONIVA07G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHTPTNYSTHATEYENPVEQPIDTRHFDHKFGASQCTPTKFNGAPEFGTDEQKKRKYTVEKPPSHLLKHKSKRAVKPNRKLMSPFLSKQCSTERMDSRTADELYSYVMSISNEASLDAKWLQSSYLFRISLTLRNIQETIKIGSQMDSDSLNLAIRIMFQQEVERFQNTNYLGWRHFINQDFGMYAVAGDEFWEASHQLAHFTGPEVVYDVLDSHMILIPVHLLKHYVLYVFNMESKKVLVLDSLNTQDPLGESRFTRHDKIKIMVSRCVMECMRLAFPGWNKDILNWDFEAVENIPEQQNGDDCGFHVFNNMVNWDGLHLVNSTSQDPYYLRRQFLIHLLTLRDNEAILPEYVVHRLRHIKDN >ONIVA07G14070.1 pep chromosome:AWHD00000000:7:14314036:14316598:1 gene:ONIVA07G14070 transcript:ONIVA07G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPIGDTEAEVIILEDLPPVGVPGAEVIDLESPECKSGAAGAKSRSHSSAGHPKQQSHGRISLSPQSKAFKVSGTRGNLQKTAARVVSRYRQSRVLNRQKKDNTTFGINCRCQPKCIVNITKDFDDRKKELIAEIGFDGILDIKLTKVNRQFWAWLLSKVDPKSGTIVTDFNQELPFDVSAMVGPQAYKELKSYVQDGFNQIDEILPSIADFVDISNLKTATEAANMFKKAFKYNMAATVKIATRAAVRNVIDTIEDMQGPLHP >ONIVA07G14060.1 pep chromosome:AWHD00000000:7:14311867:14312931:1 gene:ONIVA07G14060 transcript:ONIVA07G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPDGVRYCQQCERTTSMVLDHDTGDAICTECAIVLGNGNDPRRPAVASAATKHGGADAPADDDPLLQGSDVVAAAAAEVACSVAPTKLQAEGAAPAAPPRMRGAVVAPKVRGGGGGAVTKARGGVPDTNKSLAEGFDAIDNMASRLGLAGNVRDRGKDVLRKVEEAKVCARGRSRDALYAACLHTACRMEGAPRTLKELIAATPDAAATKRDLGKFIHAIKRLLGSNDEEAEAGQDQAGSKATNGCGGGGGAGAVVRASNYLLRYGSAVGMSGQEVSAAQRAASRLDESLDVRRNPQSIAAAIIYMAVQRAGGGGGRSKSVREVSAATGVSESTIKDAYKDLCQHAEVLFG >ONIVA07G14050.1 pep chromosome:AWHD00000000:7:14308207:14309700:1 gene:ONIVA07G14050 transcript:ONIVA07G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVLLGQLSGSHRADSGFDVRFHCLPAEELLDFCGSEDFISRFMQQHSSHSREAIFGLESHVAAVVLDLFSTTFLDVTCNLDLPGYVYFTSTASLLSLVLRLLVLDQEMLVDFEEMEGVVDLLGDESRLA >ONIVA07G14040.1 pep chromosome:AWHD00000000:7:14304386:14305843:1 gene:ONIVA07G14040 transcript:ONIVA07G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I193] MASSAATVVLIPFCVSGHLTPMLEVGKRMLRSRCCGDDDDGRPAMSLTVLLAQLPESHRAPEIDEIIRREAASASEHSGFDVRFHCLPAEELPDFRGGEDFISRFMQQHASHAREAIAGLESRVAAVVLDWFCTTLLDVTRDLGLPGYVFFTSAASMLSLLLRLPALDKEVAVDFEEMGGAVDLPGLPPVPAALLPTPVMKKGCNYEWLVYHGSRFMEAAGIIVNTVAELEPAVLEAIADGRCVPGRRVPAIYTVGPVLSFKTPPEKPHECVRWLDAQPRASVVFLCFGSMGSFAPPQVLEIAAGLERSGHRFLWVLRGRPPAGSPYPTDADADELLPEGFLERTKGRGMVWPTWAPQKDILAHAAVGGFVTHGGWNSTLESLWHGVPMAPWPLYAEQHLNAFELVRDMGVAVEMEVDRKRGNLVEAAELERAVRCLMDEGSEGRMAREKAAAAKAACRNAVDGGGSSIAALRKLTQEMAHMSSI >ONIVA07G14030.1 pep chromosome:AWHD00000000:7:14291164:14293272:1 gene:ONIVA07G14030 transcript:ONIVA07G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHDLTARMAAHMNCHLVFPLLEFLQWRPGRVYAVEEILQAKLRLLIQGTNMVDYAMDTHKLLHGDTDDDVVVPVPDDMVERRHEVVTRLGALAAPAAPIVSALKNHHLGPDKEHNIRMLHERFQIPILFLYLNAIQTDAPHLLRYLAAAIVVNRRRRNMLKELVKVIQQEQHIYKDPITEFLECLYVNHDFDGAQQKLIECEQVILNDPFLGKRIEEGNSMTMFFQCFAMNSMLSEKLKMSYNEAELWIMNLVSNSKLDAKIDTASGTLIMTANHANIHQQFIESLKNLDMRTFMLAKSTMEPA >ONIVA07G14020.1 pep chromosome:AWHD00000000:7:14275281:14276750:-1 gene:ONIVA07G14020 transcript:ONIVA07G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATASPATVVLIPFCVPGHLTPMLEVGKRMLRVGFCGNADDGRGAMSLTVLLAQLPEFVRAPDHEETIRREAAGASEHSGFDVRFHCLPAEELPDFRGGEDFMSRFMQQHASHAREAIAGLESRVAAVVLDWFCTTLLDVTRDLGLPGYVFFTSAASMLSLLLRLPALDKEVAVDFEEMGGAVDLPGLPPVPAALLPTPVMKKGCNYEWLVYHGSRFMEAAGIIVNTVAELEPAVLEAIADGRCVPGRRVPAIYTVGPVLSFKTPPEKPHECVRWLDAQPRASVVFLCFGSMGSFAPPQVLEIAAGLERSGHRFLWVLRGQPAAGMPYPTDAVVDELLPEGFLERTKEKGLVWSKWAPQKEILAHPAVGGFATHCGWNSTLESLWNGVPLLPWPLYAEQHLNAFELVAAMGVAVEMKVDRKRDNFVEAAELERAVRCLMDEGSEEGRMAREKAAEAKTACRNAVEEDGSSFVALQKLSQEMIHVSSK >ONIVA07G14010.1 pep chromosome:AWHD00000000:7:14273219:14274643:1 gene:ONIVA07G14010 transcript:ONIVA07G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I190] MAIPTLVLLPTWGTGHLMSLLDAGKRLLGCRGGGGLSLTVLVMQPPRKEYASAVAATVRREEASGLDIRFRHLPAVEPPTGCAGVEEFVSRFVQLHADHVRAAVSGLDCPVAGLVIDFFCTTLLDVARELAVPAYVYFTSNAACLALLLRLPALEGEVTVEFEEMDGEVDIPGLPPVPPSSLPMPVMDKKNPNYTWFVYHGRRFMEANGIIVNTVREIERSVLAAIADGRVTPGVRAPVIHPVGPVISFTPPSDDPPHECVRWLDAQPPASVVFLCFGSMGSLAPPQVLEVAHGLERSGHRFLWVLRGAPAAGGSMNPTDADLDELLPEGFLERTTGRALVWPTWAPQKEILAHAAVGGFVTHGGWNSTLESLWFGVPMVPWPLYAEQHLNAFTLVAAMGVAVAMKVDRKRNNFVEASEVERAVRSLMGGSEEGRKAREKAAEMKAVCRKAVEEGGSSDMAVHKLYEELSTQTA >ONIVA07G14000.1 pep chromosome:AWHD00000000:7:14265793:14267283:1 gene:ONIVA07G14000 transcript:ONIVA07G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I189] MATPAPALVLLPEWGSGHLMSMLESCKRVLLAGAGGGREFSITLLVMRPPTDEAGSEVEAHVRREAASGLDIRFHRLPAVDPPADAAGVEEFIARYIHLHAPHVRDAVAGMGRPVAALVLDMFAAPMVDVARDLGVPSYVFMSSTGAMLALMLHLPVLHDRVAVEFHEVDGEVDVPGLPPLPPASMPCPVVDKKSPNYTWFVRLGDRFMDATGIIANTADELEPGPLAAIADGRCVPGRAAPPVYPIGPVLSLGGNDKRDSSEPPHEGIAWLDGQPPASVVFLCFGSMGWFEAAQVVEITAALERSGHRFLWVLRGPPPAAESGTGAPDGSEHPTDANLDELLPEGFLERTKGRGMVWPTWAPQKEILAHPAIGGFVTHGGWNSTLESLWHGVPMAPWPLYAEQHLNAFELVRDMGVAVPLGVDRERDNFVEAAELERAVRSLMDDASEEGKKAREKAAEMKAVCRSAVAAGGGSSHAALQRLSEALHQGAALPKK >ONIVA07G13990.1 pep chromosome:AWHD00000000:7:14263504:14264255:-1 gene:ONIVA07G13990 transcript:ONIVA07G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGFRLRVNGEGGHSAEKDGSGCNFWYWEEAYIKFLKRSGFIDEATCAELLKEAKMKDGDEMKKSSGIQEGTRCWTFQTARKYDFHFDQDDGVVEADPSWWKNNAEKNVMFQMKCNVQCAMNEQC >ONIVA07G13980.1 pep chromosome:AWHD00000000:7:14249256:14250719:-1 gene:ONIVA07G13980 transcript:ONIVA07G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I187] MAMASANVLLLPEAGSGHLMSLIEAGKRLLAHGGRGDGEGPAVTVTVLVVRPATSESAAEVDAHVGRVEASGLGVRFHRLPAVEPPPMGCAAGNVQEFKSRYMQLQAPHVRAAAAELGAAALVVDFFATGVLDAAREAGVPTYVYFTSTAALLALMLRLPALEEEVPVDFEEFDGTVDVPGLPPVPAGSLPAFMGRKESPNFKWFVYHGRRFMDADGIIINTVAELEPALLAAIADGRCVPGRTAPPLYPIGPVLDLEDKPSSNARCVRWLDAQPPASVLFLCFGSMGWFDAAKAREVAAGLERSGHRFLWALRGPPAAGTVHPTDASLDELLPEGFLERTKGRGMVWPTWAPQKEILAHAAIGGFVTHCGWNSTLESLWHGVPLVPWPLYAEQRLNAFELVRDMGVAVPLGVDGKRRDSFVEAAELERAVRSLMDDASEVGRKAREKAAEMKAVCRNAVAPGGGSSYAALQRLLGAIRGGFSTMTQ >ONIVA07G13970.1 pep chromosome:AWHD00000000:7:14247072:14247675:1 gene:ONIVA07G13970 transcript:ONIVA07G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAEVRTSEAAARKGGPPRESGTLRTPVHRSMPPPPPLTQVRPYSPELDATSAKPGANTGMGSFSNGLSEFRDEHFWFCG >ONIVA07G13960.1 pep chromosome:AWHD00000000:7:14242751:14242930:1 gene:ONIVA07G13960 transcript:ONIVA07G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEALCAAAVLIIAAWLERFELPLGREVVRHMLDVDGHTLSVHRAKANWFRIMGVLT >ONIVA07G13950.1 pep chromosome:AWHD00000000:7:14211202:14214388:-1 gene:ONIVA07G13950 transcript:ONIVA07G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0I182] MVKVTPTSQANHRLHRFPESRTTRFRSQSSLTGMSGALETLCGQAYGARMYRMLGLYLQSSLLMSAAVSVLVSALWCFTEPLLLLLRQDPAVSAAASAFVRAQVPGLFAFSFLQCLLRYLQTQSVVAPLVACSLAPFLLHVALAHLLVNALGLGLAGAGAAVFVVPTIKLATPSAVMVCLEYWAFELLVLIAGLLPNPTVSTSLIAMCSSTEAIAYMITYGFSAAVSTRVSNEIGAGNVEGAKNAVAVTLKLSVFLAAAFVLLLGFGHGLWAGLFSGSAIIAAEFAAVAPLMMASILLDSAQGVLSGVARGCGWQHLAAVTNLVAFYFIGMPLAIFFAFKLKWYTKGLWMGLICGLTCQTCTLMVITARTKWSKIVDAMQEKKASYVA >ONIVA07G13950.2 pep chromosome:AWHD00000000:7:14211202:14217182:-1 gene:ONIVA07G13950 transcript:ONIVA07G13950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0I182] MSHFRQVRGAHAASSLLPFSHRPPRATTTTTPPRPNRCRRLHIHSSASHHHIHNQLRHRRASHHRRREIVVVVVRCSGRDDDGVMASTDPLLGGKEEEEGGGEVRRARRWWVGRVVDTEEAWAQTRFAVPMVLTNMSYYAIPLVSVMFSGHLGDVHLAGATLGNSWATVTGYAFVTGMSGALETLCGQAYGARMYRMLGLYLQSSLLMSAAVSVLVSALWCFTEPLLLLLRQDPAVSAAASAFVRAQVPGLFAFSFLQCLLRYLQTQSVVAPLVACSLAPFLLHVALAHLLVNALGLGLAGAGAAVSITFWASCLMLLAYVLRSERFAETWNGFSAEAFRFVVPTIKLATPSAVMVCLEYWAFELLVLIAGLLPNPTVSTSLIAMCSSTEAIAYMITYGFSAAVSTRVSNEIGAGNVEGAKNAVAVTLKLSVFLAAAFVLLLGFGHGLWAGLFSGSAIIAAEFAAVAPLMMASILLDSAQGVLSGVARGCGWQHLAAVTNLVAFYFIGMPLAIFFAFKLKWYTKGLWMGLICGLTCQTCTLMVITARTKWSKIVDAMQEKKASYVA >ONIVA07G13950.3 pep chromosome:AWHD00000000:7:14211202:14214388:-1 gene:ONIVA07G13950 transcript:ONIVA07G13950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0I182] MVKVTPTSQANHRLHRFPESRTTRFRSQSSLTGMSGALETLCGQAYGARMYRMLGLYLQSSLLMSAAVSVLVSALWCFTEPLLLLLRQDPAVSAAASAFVRAQVPGLFAFSFLQCLLRYLQTQSVVAPLVACSLAPFLLHVALAHLLVNALGLGLAGAGAAVSITFWASCLMLLAYVLRSERFAETWNGFSAEAFRFVVPTIKLATPSAVMVCLEYWAFELLVLIAGLLPNPTVSTSLIAMCSSTEAIAYMITYGFSAAVSTRVSNEIGAGNVEGAKNAVAVTLKLSVFLAAAFVLLLGFGHGLWAGLFSGSAIIAAEFAAVAPLMMASILLDSAQGVLSGVARGCGWQHLAAVTNLVAFYFIGMPLAIFFAFKLKWYTKGLWMGLICGLTCQTCTLMVITARTKWSKIVDAMQEKKASYVA >ONIVA07G13950.4 pep chromosome:AWHD00000000:7:14216013:14217182:-1 gene:ONIVA07G13950 transcript:ONIVA07G13950.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0I182] MSHFRQVRGAHAASSLLPFSHRPPRATTTTTPPRPNRCRRLHIHSSASHHHIHNQLRHRRASHHRRREIVVVVVRCSGRDDDGVMASTDPLLGGKEEEEGGGEVRRARRWWVGRVVDTEEAWAQTRFAVPMVLTNMSYYAIPLVSVMFSGHLGDVHLAGATLGNSWATVTGYAFVVLLSDHRAQFT >ONIVA07G13940.1 pep chromosome:AWHD00000000:7:14203631:14206925:-1 gene:ONIVA07G13940 transcript:ONIVA07G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSAGADDRSIFPPIDDHQAISCSSHRLRPASRRHVSHKPTLFLSLLSPRRRATRLLARPHHLSRRPLTILWSSLSNTCYARDLELAGNSLATCLFEYCGRAAPCVSFQYYGGSAILLLTGPYPTHHCTVLRIGKPQLW >ONIVA07G13930.1 pep chromosome:AWHD00000000:7:14196140:14202587:-1 gene:ONIVA07G13930 transcript:ONIVA07G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQETSEEKNRRKNSLTYDMEKHCSVEIAAKVVRVSSNLNEGEHEKYRLEGLMESTAVDDDGECFSPILLNATSLNVEVYYNKAVNYTLMGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKANGILLGGILLMYELHNFLRPLLFLMYSFWVPQIVTNVIRDTRKPLHPQYILGMTITRLAIPLYIFGCPSNFMRIEPDKTWCIAVTIFMGIQAAVLLLQHYFGSRCFIPHQILPEKYCYHRKVEDNTNQPIDCVICMTTIDLTQRTSEYMVGIEVQ >ONIVA07G13930.2 pep chromosome:AWHD00000000:7:14196468:14202587:-1 gene:ONIVA07G13930 transcript:ONIVA07G13930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQETSEEKNRRKNSLTYDMEKHCSVEIAAKVVRVSSNLNEGEHEKYRLEGLMESTAVDDDGECFSPILLNATSLNVEVYYNKAVNYTLMGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKANGILLGGILLMYELHNFLRPLLFLMYSFWVPQIVTNVIRDTRKPLHPQYILGMTITRLAIPLYIFGCPSNFMRIEPDKTWCIAVTIFMGIQAAVLLLQHYFGSRCFIPHQILPEKYCYHRKVEDNTNQPIDCVICMTTIDLTQRTSEYMVIMKSCCL >ONIVA07G13920.1 pep chromosome:AWHD00000000:7:14186648:14191623:-1 gene:ONIVA07G13920 transcript:ONIVA07G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:receptor like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G28340) TAIR;Acc:AT1G28340] MQRGSLGVLRLRLWLWLLAVSASTDVLAADPSKEPFTIRISCGSFDDIRTAPTNTLWYRDFGYTGGRFANATRPSFIIPPLKTLRHFPLSDGPENCYYINNVPNGHYQVRLFFALVADPNLDSEPIFDVSVEGTLFSSLLLGWSSEDEKTFAEALVFVQDSSLSICFHSTGHGDPSILSIEVLQIDDNAYKFGPSWGKGTILRTAKRLTCGSGKPAFDEDLNGIHWGGDRFWFGVKTLSSSSDDQPISTENVIAETLLAPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNRNYSVWLHFAEIENGITAEEERVFDVLINGDTAFKDIDIIRMAGERFTALVLNKTIVVTGTTLTIVLQPLKGTRATISAIEVFEIILAEKKTLTQEVSALRTVKGSLGLPLRLGWNGDPCVPQQHPWSGVECQFDDIKGHWVIDGLGLDNQGLRGFIPSDISKLQHLQSINLSGNSIKGNIPVTLGTISGLQVLDLSYNELNGSIPDSLGQLASNLNGNYLSGRVPASLGGRPLHRARFNFTDNAGLCGIPGLHECGPHLSVAAKIGMAFGVLVAILFLVVFAACWWKRRQNIRRAQKLAAAREAPYAKSRTQFTRDMQMAKHHRPHESSRSGNDESTPHLLPS >ONIVA07G13910.1 pep chromosome:AWHD00000000:7:14183034:14186647:1 gene:ONIVA07G13910 transcript:ONIVA07G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGLLKVRVMRGLNLAICDPLTHSSDPYVVLRHGSQKVKSSIRYHSINPEWNEELTLSITNMMLPVKIEVFDKDTFTKDDSMGDAEFGILDFVEIAKQDHSHLGDGAVMKTIHPDMENCFAAESHITWKDGKVSQDINQLSKQQITIANN >ONIVA07G13900.1 pep chromosome:AWHD00000000:7:14176171:14178328:-1 gene:ONIVA07G13900 transcript:ONIVA07G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVGPLVGRLQELALGQARALVGVNADIQKLKDKLMWLQAFLREADAKRRAVSDEVTKVWVLQTRDAVFDAEDALDHYYLQLDKSRTTMLPRKPLAMENVGVDFPTDVLVVILSQLPTSSL >ONIVA07G13890.1 pep chromosome:AWHD00000000:7:14167581:14176095:-1 gene:ONIVA07G13890 transcript:ONIVA07G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFVKGLDNEASAYIVDEARGRHRCVWTSSCSVDMIGTRNGLICVLDGDTGAVTVANPATRVAVRAAAAVTGVALAVLPRRVHVPCYFNKSGTFDAMYPLWIRPTAKLVTTFTTQAHIRHKLSRKIKAINSRLEDIIENKHKYKIEEANTRTTGTWKASTSISYTHKKLEYLHESDVPIHVEERKKLEKVLLTTPEDLHGKEHNPVIISVFGKSGVGKTTLVRKIFKEIGKQKQFDIPAMECFAPYLSATNILQQIVQKLTTDDQDCPRNMVLENLERKLKEQKYLLVIDGEVSGIELNNILSTLPIGHAGSRIVHITESKPEEPPSNYHHVTIELKTIDKSISKKMFLHHMEVQNLDLENHEDDIIFQITGGLPLAIALLSGLMKTKESTGEWQKVFEYLKSKQSKQIDDMLSICFDDLPHELKCCFLYLAAFPANVTIEARSLVSMWAAEGFLRSKVGKSMEDIGYFYLKELSARNLVSLVQMDDDSNVSNMTVTIQNKVHEFLQFEAHEASFLEVHSGDDIPTLTSARRLSLQNYTDKYAVLANPLPKLRSIFSQFEQEPKEELETMTKSIQAYVCCSPQQGTIASMQKKNIKSHIKELLHGSEFLRVINIQGIEIGNRLTRAIGKAVHLQYLGITSCSLENIPSSIGNLTSLQTLDVRETKVRKLPKAFWMIKTLRHVFGFILKLPKQTVNLKQLHTLDSIELEDFEQGLDNTLGEMIHLEGLVIWKISNRNVEALLSALRKLESLKTLNLQGNNITSSVFTTLFLRRLKFMVLDGELDFSSDKLNNGLALPNLTMLTLKETKVTQEFINKLAKLPSLVTLALCLGSYKDQELVFFSNKFRCLKKLKVDVEKLKKVEIKLSMLPKLKKLEIRTHDSHHYQEHEVTHQEHEQKTVISWKKENAIQVE >ONIVA07G13880.1 pep chromosome:AWHD00000000:7:14165002:14166348:1 gene:ONIVA07G13880 transcript:ONIVA07G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSSPAIGGGGGGRVTVDLYPFLRVYEGGHIERLVRSTAAVAASHDDGTATSAAVRPDTRDGVATRDVVVDEDTGASARLFLPGGGGEGRRLPLVLYFHGGAFVTGSAFGRLFHRYAASLAARAGALVVSVEYRLAPEHPLPAAFADGWAALRWAASLADPWVARYADPTRLFLAGESAGATIAHNVAARAAGPDGDDVDIEGVALLQPCFWGARWLPSEEAAAAGWRDDEPPMLAPGRLDALWPYVTGGAAGNDDPRIDPPAEDVSSLPCRRALVAVAEKDVLSERGRRYAAQLRGGGREVTLVESEGEDHCFHLYRPARPSAVELMDRVAQFISPASSCLQAEELHLHGRRRTLCHGNATAAAATRSGAPRRQLVVSGGPTTAKLGRPKTKVCGGPACKAQTALCLGPRGIGKAQRHGFVGMGGPMPSGTNKYSVSSAALRVLC >ONIVA07G13870.1 pep chromosome:AWHD00000000:7:14145742:14147370:-1 gene:ONIVA07G13870 transcript:ONIVA07G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPATAAAAAPAAVVSTHEIRRAQRADGPATVLAIGTANPETCVPQDEYADFYFRVTKSEHLPELKNKLRRICNKSGIEKRFMFVNDDVMEAHPEFADRHLTSLDARVEIVSKVVPELAAAASAKAIAEWGRPATDITHLIFSTYSGVKAPGGDRLLASLLGLRPTVSRTVLSLHGCYGGGRALQLAKELAENNRGARVLVACAESTLIAFYGPEVGCNDTIIGQALFGDGSGAVIVGADPVGAVERPLFEMAFASQATVPDSEGAITMQHKKGGMDYHIGGGVPEMLAGSIERCLADAFGAIGVAARWRDLFWAVHPGGRRILDLIEEALGLDNGAMAASRQVLREYGNMSGTTVIFVLNELRRRFVADGAEGADWGALMAFGPGVTVETILLRVGSGLKGN >ONIVA07G13860.1 pep chromosome:AWHD00000000:7:14123493:14127451:-1 gene:ONIVA07G13860 transcript:ONIVA07G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHHQAAAATTVTTQDIRRAQRADGPATVLAIATANPETRRSQDEYADFYFRVTKSEHLPQLKEKLERIYVDKFYINTVELYAMYNFILESHYKLLYLFWDSLQSSNFENFLQIRKPHQGKKSGIENRYTYVNDEVMKAHPEFSDRKLPSLDARVEIASNAVPELAAAAASMAIAEWGRPATDITHLIFSTYSDLKAPSSDRRLASLLGLRHTVCRTILSLHGCYGGCRALQLAKELAENNRGARVLVACSEISLIAFYGPEEGYTDTDTLVAHALFGDGSGAVIVGADPVDAVERPLFEMAFASQTTVPDSEGAITVQHKKGGMEYHIARGLPEMLAGNIKRCLADAFGAIGVAARWKDLFWAVHPGGRRILDLIEEALGLDNGAMAASRQVLREYGNMSGTTVIFVLNELRRRFAADGAEGADWGALMAFGPGITAETILLRVASGLKGN >ONIVA07G13850.1 pep chromosome:AWHD00000000:7:14119719:14119955:-1 gene:ONIVA07G13850 transcript:ONIVA07G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSRLIRDLIVGFFHQTYEDDSSSSVAPPRFIPLPSASSRFGDGELDRVFDNPGLFKNSCLVASRIGRLIVELRRP >ONIVA07G13840.1 pep chromosome:AWHD00000000:7:14112239:14114921:1 gene:ONIVA07G13840 transcript:ONIVA07G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAHKEEVIGKLNVRVVRGSNLIIADPLTHTSDPYAVLSYGPQKVKTSVQKKNSNPVWNEVLQLAVTNPTKPEVFDEDKFTADDSMGVAEFNVTDIYDAAKLDLKHVSDGARIKTIYPVGVNYLGAESHVSWKNGKVVQDITLKLSKVDSGLIVLQLEWVHVPGVTL >ONIVA07G13830.1 pep chromosome:AWHD00000000:7:14093758:14097695:1 gene:ONIVA07G13830 transcript:ONIVA07G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQECMEANHRLEEKIDMILEKLNEVEANRSKFFEEMSASIKATVAVLKDAPYPPPQDPPSSMLTTCSTMCSNNDHPRATSSSSHIDKETAPTVVLDLRDGEDKVHDPCIVTKDFLEVTLTLCSTKCSSPHTVPDLTMVAVVMCATTATTSTELVVFEDTTGVAYINTPNYSKMVHAKCSTVGLDIDGGMDQAVVVFQIMKSVSKVVLISVEPLGIFSLRLTANLKQDRPTPTKCSMKSPLHRNMVLLIVYDLHHVTMATTNSDKFLGILHIGWNLVNTASKNAWGETMKLVPSSRSEIASRQEQFTELEVQIFWELGDSEMSACCLEPANHHFTTCVMVQLDTLYCVPSNSNLEPSVNTSAEAAYANYWSINLLEVTRDGILIEAIYWTLALGVITWKVISDAVLIEAGKGTWTPDSCSMPFVRVIDIAFFKDKLYLITTAEDLFAVDLAADKHGKPTVINVERIIRQPRSPDGMIDAFRWSDDEDDNGDGDASSTNDDGVDGEDHDEVFNQEGGDREIVSVSDDDDIDDVGQQWHFTWKHRKYEEEYASIGTWHLLESCDRLHMVRREWVLPFILQTDHTRKLDVFEANMDAGAWVPVTSGLGGQAIFVSKLFSKSMAAPAHGEVEEDTIYFVDKHDVWNMKSGTRRPFRRVSKIMDTDMTWVFPPKLIV >ONIVA07G13820.1 pep chromosome:AWHD00000000:7:14084713:14086059:-1 gene:ONIVA07G13820 transcript:ONIVA07G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSDLPSDLLGLVIARLPFPADRARFRAVCRAWHSALRRHVAAPPQLPWIVLPEGTFVTVSDGGVHRMAFPESNTVCIGSTDGWLALHRTDNDDDDSVDGARTTKTRHTFLLHNPFTGATVPLAELGDILDDDFFEEFRVCKVIIRSHPNGGGHLIAVMTNHWDCPLILCQPGKGIWTPDSCTMPFVRVVNIAFFADKLYLITKAEDLFAVDLADDKDGKPTVTSVERIIRQPRSPDGVIDAFRWSDDEDDDGGNAQDNDGDASTNDHDESLNQEGDSDNDSEIEPVSDDGIDDVGHQWQYLTGEDLIWKTTKYELEGDDYAVNGSWHLLESSGRLLMVRRECLIVAFVKDADHTRSVDVSEADMDAGTWVPVTGGGLGGQAIFLNELFNKSMPAPAHGEVLEDTMYFVDTPDMWDLKSGTRRPFTRSIGFFDLDRTWVFPPELIV >ONIVA07G13810.1 pep chromosome:AWHD00000000:7:14073983:14075062:-1 gene:ONIVA07G13810 transcript:ONIVA07G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTVFRFQVTNAGEEEEPEERQIAVDPFSLRQFSRLDIDGPLPIPSVSVDHHHDHAPHARPGPALVLAGASASVPTSPRRVSAWDAPPTRWDAHLAVVAAAPAARVASSDVMAPPRTAISRSRSCAGAAEAELDDDEFDVILSSSERKASAPQRWGSDVPLIGAGDGAEDSTGYAAADARGKSGRRKWKRGGGAAPFTCCLYLPGLGTRRTAKPSPPTAAARASSLPSSPATFRGGGGGGGVESDPGTARPSTMSLAMSLERFDCGSCSTSSRSGLALDGEAGSSYFDLPLELILGCDGDDEADLPVHAAFMFDSDGIRKSVLKKGVRRAAAAAARPSVGKMSTDGPDRISGRHVRF >ONIVA07G13800.1 pep chromosome:AWHD00000000:7:14068325:14073537:1 gene:ONIVA07G13800 transcript:ONIVA07G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLQGILQAARQGVLQHPRPAAAVAAPALPRPPTPPPPPPPRCCWWRGGGGGGGGAHAEAAEADTGSVGGGGGGRVRHGVRRGPRAAGAEAEGESSDASSGAGAGCGGGAGCGAELPPAAPRASSLIQRWREIEAVGPATPRPCDLASDSDGGSPRGRVGCIVKKLSGTSSIPDDELDAANKEVAMSQSAPPSPAPMRAGVEPPTNIAGINGSRPTQLVVRTVRGRRAMEELVAMMAHCRRCELAAVADRHVVSRFSHKGRIQSMLRLRLLRQGFKVKDEVWTLPKPVRPRLPKHEHEAYTTSQCLTQAFLSKCIAGNQHKGGQVLAEKSTGSVERLVSSDGLGNEQNDGQNSNSENQCQEGCKNMVKLCTQNQEYSEPSSFVRYDEHSTVDDVSPSTISTLHELCTPSSRGDNLREEDNQSLNGSWEERALWISSLGWPAPVEAMSPDSWNQDEIGDIENHTQNEFNDRPWIDSPNSWRSLCVATQADSGALSGNADICNLLESKNVSKSLESDFSNKMNNMLLTILRKQRQQHMIDDFEGYYDKRLYWRQNDEQQNADQRVSAQCSLAPVSHLHQQEGWQHSSFEHQHHENQNFLEMEVRVRSEMAQVHHEIYELRKLVESCIASQVKIQHSIKEEMCSALREAGLMPSQPDTPAKRGSCCICHQTQVDSLLYRCGHMCTCFNCADQLKSSNRSCPICQSPIEDVVRAHMNF >ONIVA07G13780.1 pep chromosome:AWHD00000000:7:14043337:14045086:1 gene:ONIVA07G13780 transcript:ONIVA07G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRARHADRKTSFELAGGVGSTLPPVVCRHASPGRPCRCAACASPPHLAVRPSGCGLLRDVAVGRVAMRQMNGLKQQYV >ONIVA07G13770.1 pep chromosome:AWHD00000000:7:14027694:14028140:1 gene:ONIVA07G13770 transcript:ONIVA07G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSPPMYGVAGAELRDGAVMSFSGVKLCPPCISQPSSPPSSLVHPPLLSLVLGRGASGDGYRLMRCEGDLGITLDPPHRSLEAELAVKRYCSGCVYVKGPPLLSSRSSIGDLVVLELRVARSADD >ONIVA07G13760.1 pep chromosome:AWHD00000000:7:14024685:14025709:-1 gene:ONIVA07G13760 transcript:ONIVA07G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVPNRARFCAVCRPWQSAVRLHVASPPQLPWIALSPRPPVATPTHAGENNVVNTVCVGSTDGWRALHRTATVGGGTKTKRHTFFLHNPFTTTTVPLAELEDVLDDAFLKRNEVRKVIIRSSSCCPDGDHLVAIMTDHYNFPLILCRPGKGTWTPDSCTMPFVRVIDIAFFKDKLYLITTAEDLFAVDLAADEHGKPTVTNVERIIRQPRSPDGMIDAFRWSDNEDDDDDGDAREDDGDASSTNDDGEYSSLDDEGVVDGEDHDEVLNQEGGDNNSDGDGEIEPVTDDDDIDDVGQQWRPTWEHRKFEQFYDEEYAIVGT >ONIVA07G13750.1 pep chromosome:AWHD00000000:7:14011581:14017605:1 gene:ONIVA07G13750 transcript:ONIVA07G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) TAIR;Acc:AT2G14530] MPPPARSPAAGVRRRGALLPLAFLLLLLLLLLHLTSSPARSPNSPLLRGSGDGEPRQRGPCDYASGEWVPDDDDPAVSGGLRYDQTCREIFKGWNCLANGKRNGRELLRWRWRPRGCELPRLDPLRFLERHRNTSIGFVGDSLNRNMFVSLVCMLRGASGEVRKWRPAGADRGFTFLRYNLTLAYHRTNLLVRYGRWSASPNGGPLESLGYKQGYRVDVDIPDQTWAEAPSFHDVLIFNTGHWWWAPSKFNPVQSPMLFFEKGIPVIPPLLPPAGLDLALKHMIIFVNKAMRPNGVKLFRTQSPRHFEGGDWNEGGSCQRDKPLSAEEVEELFSLDNNGTNVEARLVNQHLVRALEKSTFNVLNITGMSEFRADAHPSTTGGKKHDDCMHWCLPGPTDTWNDLLAANLLATES >ONIVA07G13740.1 pep chromosome:AWHD00000000:7:14001543:14009820:-1 gene:ONIVA07G13740 transcript:ONIVA07G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTAPSPLTSSPSSSSSSSFTAAPSSSQFSLLTQLATLIKRKKRKRKIATEKSSLPPIGQEQPPHRPPIRSPPPLARFIRRLPTPPLPATLVPHPNAAASSARLARGAAVSTCGLGGSGPLRIPHASVGASSSTVKAGDDPEATIEINIKTLDSQVHKLRVKKNVPVLVLKEKIVEATGVPVDQQRLIFRGRVLKDDHLLSEYHLEDGYTLHLVARRAAAEGQHSSGTSDENTHANVNVAGNGLLGDISREIIEAMHSEGMIDDLARSVRDILGSLGLAMPGGMTNTTFSVPLTTAPEGANNVNGRTQPGNHAQPGFSILNHQIQVSQLQPAGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDTNTQQPPRSDDAYLNQRFPSPEVLVSVIERAQQLLGGSAASALSHLAQRIQRDSDTSDASIRSQIQNESAQLGVAMQHLGAMFLELGRTMMMLRMGPSPADAFVNAGSSVYINSAGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSAVNTHGTSTTSGSSAGMTTASAGAVNEGRQNVERTQGGNPSATSMHGLPARTVIAAIPARSTAEAPNHVLSVILPVQVRSQVAMPNQSTVSQGSQTAVGGGSQPQASVTAQVANALSANQQGQVSSSAQNTVDQGSRSVTTNGVDNVDSLVSASTQLQNELSDSNNGRTSLNAQSLVAGAGISPSNTSDPNLASEDSSTENAPNIGSIQQHPEMEGIHANNVRKPSGESTTANLVGQITTTCTDDISVNRSAENSSQKNIPLDGVSAQSIKPSASSRSEPVGLGGGLQPKRRSRTAKPPGSSSDTGEVVNSSRISNSQNAVSMGQQVLQALASQNTNVNRSHVTDSPLPSTTSQFSGGMPPRRQGGEGQVDFGSMISSVLNNPAFGNLLSNVAEQTGMGSAGDLRNMVEECAQSPAIMDTMSNLVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGARPAGTNSGQSRLQPRRSDMRVDDASDYGNSQIDLHQAREHIEQHDSPRDIFGAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLLQQVRQRIQSASQSGNQS >ONIVA07G13740.2 pep chromosome:AWHD00000000:7:14001543:14009820:-1 gene:ONIVA07G13740 transcript:ONIVA07G13740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTAPSPLTSSPSSSSSSSFTAAPSSSQFSLLTQLATLIKRKKRKRKIATEKSSLPPIGQEQPPHRPPIRSPPPLARFIRRLPTPPLPATLVPHPNAAASSARLARGAAVSTCGLGGSGPLRIPHASVGASSSTVKAGDDPEATIEINIKTLDSQVHKLRVKKNVPVLVLKEKIVEATGVPVDQQRLIFRGRVLKDDHLLSEYHLEDGYTLHLVARRAAAEGQHSSGTSDENTHANEAMHSEGMIDDLARSVRDILGSLGLAMPGGMTNTTFSVPLTTAPEGANNVNGRTQPGNHAQPGFSILNHQIQVSQLQPAGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDTNTQQPPRSDDAYLNQRFPSPEVLVSVIERAQQLLGGSAASALSHLAQRIQRDSDTSDASIRSQIQNESAQLGVAMQHLGAMFLELGRTMMMLRMGPSPADAFVNAGSSVYINSAGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSAVNTHGTSTTSGSSAGMTTASAGAVNEGRQNVERTQGGNPSATSMHGLPARTVIAAIPARSTAEAPNHVLSVILPVQVRSQVAMPNQSTVSQGSQTAVGGGSQPQASVTAQVANALSANQQGQVSSSAQNTVDQGSRSVTTNGVDNVDSLVSASTQLQNELSDSNNGRTSLNAQSLVAGAGISPSNTSDPNLASEDSSTENAPNIGSIQQHPEMEGIHANNVRKPSGESTTANLVGQITTTCTDDISVNRSAENSSQKNIPLDGVSAQSIKPSASSRSEPVGLGGGLQPKRRSRTAKPPGSSSDTGEVVNSSRISNSQNAVSMGQQVLQALASQNTNVNRSHVTDSPLPSTTSQFSGGMPPRRQGGEGQVDFGSMISSVLNNPAFGNLLSNVAEQTGMGSAGDLRNMVEECAQSPAIMDTMSNLVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGARPAGTNSGQSRLQPRRSDMRVDDASDYGNSQIDLHQAREHIEQHDSPRDIFGAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLLQQVRQRIQSASQSGNQS >ONIVA07G13740.3 pep chromosome:AWHD00000000:7:14001541:14009820:-1 gene:ONIVA07G13740 transcript:ONIVA07G13740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTAPSPLTSSPSSSSSSSFTAAPSSSQFSLLTQLATLIKRKKRKRKIATEKSSLPPIGQEQPPHRPPIRSPPPLARFIRRLPTPPLPATLVPHPNAAASSARLARGAAVSTCGLGGSGPLRIPHASVGASSSTVKAGDDPEATIEINIKTLDSQVHKLRVKKNVPVLVLKEKIVEATGVPVDQQRLIFRGRVLKDDHLLSEYHLEDGYTLHLVARRAAAEGQHSSGTSDENTHANVNVAGNGLLGDISRSVRDILGSLGLAMPGGMTNTTFSVPLTTAPEGANNVNGRTQPGNHAQPGFSILNHQIQVSQLQPAGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDTNTQQPPRSDDAYLNQRFPSPEVLVSVIERAQQLLGGSAASALSHLAQRIQRDSDTSDASIRSQIQNESAQLGVAMQHLGAMFLELGRTMMMLRMGPSPADAFVNAGSSVYINSAGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSAVNTHGTSTTSGSSAGMTTASAGAVNEGRQNVERTQGGNPSATSMHGLPARTVIAAIPARSTAEAPNHVLSVILPVQVRSQVAMPNQSTVSQGSQTAVGGGSQPQASVTAQVANALSANQQGQVSSSAQNTVDQGSRSVTTNGVDNVDSLVSASTQLQNELSDSNNGRTSLNAQSLVAGAGISPSNTSDPNLASEDSSTENAPNIGSIQQHPEMEGIHANNVRKPSGESTTANLVGQITTTCTDDISVNRSAENSSQKNIPLDGVSAQSIKPSASSRSEPVGLGGGLQPKRRSRTAKPPGSSSDTGEVVNSSRISNSQNAVSMGQQVLQALASQNTNVNRSHVTDSPLPSTTSQFSGGMPPRRQGGEGQVDFGSMISSVLNNPAFGNLLSNVAEQTGMGSAGDLRNMVEECAQSPAIMDTMSNLVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGARPAGTNSGQSRLQPRRSDMRVDDASDYGNSQIDLHQAREHIEQHDSPRDIFGAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLLQQVRQRIQSASQSGNQS >ONIVA07G13730.1 pep chromosome:AWHD00000000:7:13971083:13974990:-1 gene:ONIVA07G13730 transcript:ONIVA07G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRFFPAWVVVVMVVVVVLGSCSAAAAAGDGDALMDVKNAFVEDPGGVLAGWGGGGGNSSAFCSWAGVECDAAGARVTGLNLSGAGLAGEVPGAALARLDRLEVVDLSSNRLAGPVPAALGALGRLTALLLYSNRLAGELPPSLGALAALRVLRVGDNPALSGPIPAALGVLANLTVLAAASCNLTGAIPRSLGRLAALTALNLQENSLSGPIPPELGGIAGLEVLSLADNQLTGVIPPELGRLAALQKLNLANNTLEGAVPPELGKLGELAYLNLMNNRLSGRVPRELAALSRARTIDLSGNLLTGELPAEVGQLPELSFLALSGNHLTGRIPGDLCGGGGGGAESTSLEHLMLSTNNFSGEIPGGLSRCRALTQLDLANNSLTGAIPAALGELGNLTDLLLNNNTLSGELPPELFNLTELKVLALYHNGLTGRLPDAVGRLVNLEVLFLYENDFSGEIPETIGECSSLQMVDFFGNRFNGSLPASIGKLSELAFLHLRQNELSGRIPPELGDCVNLAVLDLADNALSGEIPATFGRLRSLEQLMLYNNSLAGDVPDGMFECRNITRVNIAHNRLAGGLLPLCGSARLLSFDATNNSFSGGIPAQLGRSRSLQRVRFGSNALSGPIPAALGNAAALTMLDASGNALTGGIPDALARCARLSHIALSGNRLSGPVPAWVGALPELGELALSGNELTGPVPVQLSNCSKLIKLSLDGNQINGTVPSEIGSLVSLNVLNLAGNQLSGEIPATLAKLINLYELNLSRNLLSGPIPPDIGQLQELQSLLDLSSNDLSGSIPPSLGSLSKLESLNLSHNGLAGAVPPQLAGMSSLVQLDLSSNQLQGRLGSEFSRWPRGAFAGNARLCGHPLVSCGVGGGGRSALRSATIALVSAAVTLSVVLLVIVLVLIAVRRRRSGEVNCTAFSSSLGGGGNNTNGRQLVVKGSARREFRWEAIMEATANLSDQFAIGSGGSGTVYRAELPTGETVAVKRIAHMDSDMLLHDKSFAREVKILGRVRHRHLVKLLGFVASHDVGGGGGGSMLVYEYMENGSLYDWLHGIAAGGGGGGDGERKKRVLSWDARLKVAAGLAQGVEYLHHDCVPRVVHRDIKSSNVLLDGDMEAHLGDFGLAKSVADNRKDFTDSASCFAGSYGYMAPECGYSLKTTEKSDVYSMGIVMMELVTGLTPMDKAFGGDVDMVRWVQSRVEAPSPGREQVFDPALKPLAPREESSMTEVLEVALRCTRTAPGERPTARQVSDLLLHVSLDYYRAGEHKR >ONIVA07G13720.1 pep chromosome:AWHD00000000:7:13967145:13974471:1 gene:ONIVA07G13720 transcript:ONIVA07G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPPPTSWLATKPRSLTRWRWRTRPRILTSLAKLLSWRSMSLSMCAIRFTATVSPVGSSARYTVPDPPEPMANWSLRFAVASMIASHRNSRRADPFTTSCRPFVLLPPPPRLDENAVQFTSPDRRRRTAISTSTITSSTTDSVTAADTSAIVADRSADRPPPPTPQLTSGWPQSRALPANAPRGHRENSLPSLPCSWLLDKSSCTKLLIPASCGGTAPASPLWERFKLSSLESDPSDGGMLPLRSLLLKSSKLCSSCSWPMSGGIGPDKRFRDRFSSYRLISFARVAGISPESWLPARLSTLSETKLPISDGTVPLIWLPSSESLMSLEQLLSWTGTGPVSSLPESASSPSSGSAPTHAGTGPDSRLPLRAMWLSRAHRASASGMPPVSAFPDASSIVSAAALPSAAGIGPDSALLPKRTRWSDRDRPSCAGMPPEKELLVASNDRSLAEPQSGRRPPASRLCAMLTRVMFRHSNMPSGTSPASELLYSMSCSSDRSLPNVAGISPESALSARSRTARFTQSPSSGGIRPDSSFCRRWRNASSESFPMDAGKLPLNRFPKKSTICKLEHSPIVSGISPEKSFSYRKSTSRFTRRPTASGSRPVSPL >ONIVA07G13710.1 pep chromosome:AWHD00000000:7:13944470:13946035:-1 gene:ONIVA07G13710 transcript:ONIVA07G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKRGLWSPEEDEKLVRYISEHGHSCWSSVPKHAGLQRCGKSCRLRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASKTLLHGGGGGGAANPSGNGLAQFQSNNGAAAAGTTPFTISSPAKAAAYDVAPPALYDVVLPANPAGGMLMAHDHHHHHQVAAAAAPVGYPYADHGGNGGGVLMSFRDQNAGVHGAASMDFMNGSSSSSSMEQLGGGGGMSSNGNGSFSASMAAFMDEEAAMWATAVAPPGMGGLAGMDQVAQQQKQQQVLVQDAAVGVAPTTLMMHGGGAATAGAMVVDKSVEMVDVSSAVYGGATATAFDLDLMVESCGMFCGGSGGAGNAMEQLQWDC >ONIVA07G13700.1 pep chromosome:AWHD00000000:7:13928671:13939380:1 gene:ONIVA07G13700 transcript:ONIVA07G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide-N-glycanase 1 [Source:Projected from Arabidopsis thaliana (AT5G49570) TAIR;Acc:AT5G49570] MVVRRFVVRQGGGGGGGGEAEEHEVEYDTEHGLDILRLQIFSLTSVPPELQKIVVEADGSVVDDGTDLEAISEGLRLVAITGEEEEAEAAAAAEAARAQEKSDEELARMIQIVVEADGSRVDGGTDMESICEGLRVVAISEGDGEDIAAAEAARVQEKSDEEFARMLQAEEEALLLQQYSIRNDGGEEFRERVEPYMHQVLMYEDPMRQEAARKTVPMDELQEKALVSLAKLFLEFKYAPTPSWWDCIMEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAPPCDSCGRETFNVGMGTALPSEIKFGANRVEIYRCNYCSSTTRFPRYNDPYKKLGKDAVENGPIALHSTVEVLDMKLVWWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRIITSEDTVPAILSSITGKYRSGLSIDGLTALENRDKKESEELSKAAYLEVDTSISLPGRQSGSVEWRKARLELSQVESLACSSCPARKCVDAHVSKIYDALSALLSHFCDGDIPKERVIEVFDSLKCLMQNLKDAKFKSRRATLDKKTQLVFEEIFPSVERLLCAMSLKAELGTDGKCSVTTVGNAVHTSLALPVAMDVVDEILSNYKSNAVCTKGHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIRSSKWEEPDGAKGCWIIYRMLDGQTCELDSYDLMSANDVPERDPMDWVLEGSTDGGSTWNTIDARSSVIFDSRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKST >ONIVA07G13700.2 pep chromosome:AWHD00000000:7:13928671:13939380:1 gene:ONIVA07G13700 transcript:ONIVA07G13700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide-N-glycanase 1 [Source:Projected from Arabidopsis thaliana (AT5G49570) TAIR;Acc:AT5G49570] MVVRRFVVRQGGGGGGGGEAEEHEVEYDTEHGLDILRLQIFSLTSVPPELQKIVVEADGSVVDDGTDLEAISEGLRLVAITGEEEEAEAAAAAEAARAQEKSDEELARMIQIVVEADGSRVDGGTDMESICEGLRVVAISEGDGEDIAAAEAARVQEKSDEEFARMLQAEEEALLLQQYSIRNDGGEEFRERVEPYMHQVLMYEDPMRQEAARKTVPMDELQEKALVSLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAPPCDSCGRETFNVGMGTALPSEIKFGANRVEIYRCNYCSSTTRFPRYNDPYKKLGKDAVENGPIALHSTVEVLDMKLVWWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRIITSEDTVPAILSSITGKYRSGLSIDGLTALENRDKKESEELSKAAYLEVDTSISLPGRQSGSVEWRKARLELSQVESLACSSCPARKCVDAHVSKIYDALSALLSHFCDGDIPKERVIEVFDSLKCLMQNLKDAKFKSRRATLDKKTQLVFEEIFPSVERLLCAMSLKAELGTDGKCSVTTVGNAVHTSLALPVAMDVVDEILSNYKSNAVCTKGHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIRSSKWEEPDGAKGCWIIYRMLDGQTCELDSYDLMSANDVPERDPMDWVLEGSTDGGSTWNTIDARSSVIFDSRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKST >ONIVA07G13700.3 pep chromosome:AWHD00000000:7:13928671:13939380:1 gene:ONIVA07G13700 transcript:ONIVA07G13700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide-N-glycanase 1 [Source:Projected from Arabidopsis thaliana (AT5G49570) TAIR;Acc:AT5G49570] MVVRRFVVRQGGGGGGGGEAEEHEVEYDTEHGLDILRLQIFSLTSVPPELQKIVVEADGSVVDDGTDLEAISEGLRLVAITGEEEEAEAAAAAEAARAQEKSDEELARMIQAEEEALLLQQYSIRNDGGEEFRERVEPYMHQVLMYEDPMRQEAARKTVPMDELQEKALVSLAKLFLEFKYAPTPSWWDCIMEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAPPCDSCGRETFNVGMGTALPSEIKFGANRVEIYRCNYCSSTTRFPRYNDPYKKLGKDAVENGPIALHSTVEVLDMKLVWWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRIITSEDTVPAILSSITGKYRSGLSIDGLTALENRDKKESEELSKAAYLEVDTSISLPGRQSGSVEWRKARLELSQVESLACSSCPARKCVDAHVSKIYDALSALLSHFCDGDIPKERVIEVFDSLKCLMQNLKDAKFKSRRATLDKKTQLVFEEIFPSVERLLCAMSLKAELGTDGKCSVTTVGNAVHTSLALPVAMDVVDEILSNYKSNAVCTKGHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIRSSKWEEPDGAKGCWIIYRMLDGQTCELDSYDLMSANDVPERDPMDWVLEGSTDGGSTWNTIDARSSVIFDSRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKST >ONIVA07G13700.4 pep chromosome:AWHD00000000:7:13928671:13939380:1 gene:ONIVA07G13700 transcript:ONIVA07G13700.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide-N-glycanase 1 [Source:Projected from Arabidopsis thaliana (AT5G49570) TAIR;Acc:AT5G49570] MVVRRFVVRQGGGGGGGGEAEEHEVEYDTEHGLDILRLQIFSLTSVPPELQKIVVEADGSVVDDGTDLEAISEGLRLVAITGEEEEAEAAAAAEAARAQEKSDEELARMIQAEEEALLLQQYSIRNDGGEEFRERVEPYMHQVLMYEDPMRQEAARKTVPMDELQEKALVSLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAPPCDSCGRETFNVGMGTALPSEIKFGANRVEIYRCNYCSSTTRFPRYNDPYKKLGKDAVENGPIALHSTVEVLDMKLVWWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRIITSEDTVPAILSSITGKYRSGLSIDGLTALENRDKKESEELSKAAYLEVDTSISLPGRQSGSVEWRKARVMRFAQKVTNSQEAIDSVQATAAFDGIRSSKWEEPDGAKGCWIIYRMLDGQTCELDSYDLMSANDVPERDPMDWVLEGSTDGGSTWNTIDARSSVIFDSRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKST >ONIVA07G13700.5 pep chromosome:AWHD00000000:7:13928671:13939380:1 gene:ONIVA07G13700 transcript:ONIVA07G13700.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide-N-glycanase 1 [Source:Projected from Arabidopsis thaliana (AT5G49570) TAIR;Acc:AT5G49570] MVVRRFVVRQGGGGGGGGEAEEHEVEYDTEHGLDILRLQIFSLTSVPPELQKIVVEADGSVVDDGTDLEAISEGLRLVAITGEEEEAEAAAAAEAARAQEKSDEELARMIQAEEEALLLQQYSIRNDGGEEFRERVEPYMHQVLMYEDPMRQEAARKTVPMDELQEKALVSLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAPPCDSCGRETFNVGMGTALPSEIKFGANRVEIYRCNYCSSTTRFPRYNDPYKKLGKDAVENGPIALHSTVEVLDMKLVWWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRIITSEDTVPAILSSITGKYRSGLSIDGLTALENRDKKESEELSKAAYLEVDTSISLPGRQSGSVEWRKARLELSQVESLACSSCPARKCVDAHVSKIYDALSALLSHFCDGDIPKERVIEVFDSLKCLMQNLKDAKFKSRRATLDKKTQLVFEEIFPSVERLLCAMSLKAELGTDGKCSVTTVGNAVHTSLALPVAMDVVDEILSNYKSNAVCTKGHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIRSSKWEEPDGAKGCWIIYRMLDGQTCELDSYDLMSANDVPERDPMDWVLEGSTDGGSTWNTIDARSSVIFDSRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKST >ONIVA07G13690.1 pep chromosome:AWHD00000000:7:13911458:13925534:-1 gene:ONIVA07G13690 transcript:ONIVA07G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 4 [Source:Projected from Arabidopsis thaliana (AT5G44800) TAIR;Acc:AT5G44800] MNKKEGAKPTHLLCQTIAVSTYKNLPKFFSLKPSHPKRKDRRSIPSSPPSSHPSPLPHHRPPAAARAGSRGRVHHHHHHTEEASQRHARRLTPASAGGGAHVSPSAAPAGPACHPPPSLLFLDFEAMKHLNDVRVGSKVARMYLVQANCVGACDVDTQMMKERSSLCESAADGSWVLKYKRKRSKLTVSPSSEHDASSPILDSQMNNGSIKKKIKHDTNISPSTKKIRGHDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKKVSLKLLDNADADTSKRERTRRMRTSTTSDSPSPSPQNKASFNTSRGAAFRDEEPGAKDNEVEKRKPLILHLKKRSTKELSTDTTSSKSGLLGKSSEEKQEKHGSALKVKKHLHPMELSPKKYKNKKQHNHRDSKRSEAKKVQYLASDVDSDSSMEPSTSLEHSESPPPKRKSLDGRTPASSTKKGKKKVKFVDKKHPENAVHITEKEHGGAGDKITTQGDLQVDRILGCRLQTSQIISPAHASSEQIDMAPPSASGAPEPSQALSKGLHEEIQSSNSDTNVTEDACADELANDGGENNLDCSDAQKESNVRSHGHKESLNAKEIMNTASACSADQIVTVKDAGAVQTYVTASVNGEYETVTDIPEEKNDTKHPVSKADTEVHTKQEHTPDSKLHGKIQETELKEHDGTTYEFLVKWVGKSNIHNSWISESELKALAKRKLENYKAKYGTDEIEEALIKWCALPYDECTWERLDEPTMVKYAHLVTQFKKFESQALDKDKGGSHAKPREHQEFNMLVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEYKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDASQMGKIKKSHKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLTTTEKVEELKNLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTWEFGPKTFERVDGSVSVAERQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDVAVKDNNEASGAKNDVAEVEFKHKRKTGGLGDVYEDRCADGSAKFIWDENAITKLLDRSNVPSTVAESTDGDLDNDMLGTVKSIDWNDELNDDPGATEDIPNIDNDGCEQASEAKQDAANRVEENEWDKLLRVRWEQYQTEEEASLGRGKRLRKAVSYRETFATIPNEALSEDSDEEDEPKREYTAAGLALKEKYEKLRARQKERIAQRHNYADDRLEEFMKLYDSSANPLRIVEDPNPVQPSGAKRLGESTAEMKQSSKKTKRYPEIPQELYAKLTGNAASSKHHPKAADISNSGTPHHLLPVLGLCAPNADQMNSYKGSVCGPSTKEQKRASGELANKPLLTPAVDHSSEQKHDGQPTPCKPMFPGSSEETLRRLNNIIPDSYFPFQPIPPISGKGIGDHVENPVSSIPSFQGKLGLPNFSLEDSIPLKHLKSVPDLFPNLSLGTSNEYLRNCVPELPNSSFLPSFMADIAGTSKQKNKFMADMSGLLPGLAINPVQQIHSSMPENHKKVLDKLMMRAQYSSSKFLKNASNKFLKKSLKPDYWSEDELDALWIGVRRHGRGNWEAMLRDPKLKFLSHRSHEELASRWILEEQKIIEEPMPTATRSSNSTSFPGISDAMMSRALNGSSFSKLRMEPPKLQSHLTDIQLGCNDIPTRFSHVEPTNYMNLSEGGPSLTPWQDFKNRSGHSGDFPGPLDKWEKPDMGLIPPFMPNPFMKESIGSLPINRHSSNSIQQNEVGSSSHENILHGFSDGQAKLFHEMQRRVKLGKLPIEMNLNHTKLSDPLAENSGDFESSKPNKLPHWLQEAVRAPPSKPPECELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSLPKDPRSAPKKRRVHKVQQASSLVDHSKTTVGQGDHNSTPSAPLSMEAAPASPAVIKSSDTPSLNLNSPSSSSAGSRGQDASTPSTFEEPERTMEVSEPASVAAATCPSRPEPPETGTHRTEFSAVDDMDTGSCRSPVRDTPDPDNQKSELSGSGNTPTELSVLPLVDAPGTSSEPAVVPVSSDEESTQEGVPGKAVSTGDQEKRTPPDESENSGAANPVSAAQTADEDKVDEMITDEH >ONIVA07G13690.2 pep chromosome:AWHD00000000:7:13911458:13924370:-1 gene:ONIVA07G13690 transcript:ONIVA07G13690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 4 [Source:Projected from Arabidopsis thaliana (AT5G44800) TAIR;Acc:AT5G44800] MKHLNDVRVGSKVARMYLVQANCVGACDVDTQMMKERSSLCESAADGSWVLKYKRKRSKLTVSPSSEHDASSPILDSQMNNGSIKKKIKHDTNISPSTKKIRGHDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKKVSLKLLDNADADTSKRERTRRMRTSTTSDSPSPSPQNKASFNTSRGAAFRDEEPGAKDNEVEKRKPLILHLKKRSTKELSTDTTSSKSGLLGKSSEEKQEKHGSALKVKKHLHPMELSPKKYKNKKQHNHRDSKRSEAKKVQYLASDVDSDSSMEPSTSLEHSESPPPKRKSLDGRTPASSTKKGKKKVKFVDKKHPENAVHITEKEHGGAGDKITTQGDLQVDRILGCRLQTSQIISPAHASSEQIDMAPPSASGAPEPSQALSKGLHEEIQSSNSDTNVTEDACADELANDGGENNLDCSDAQKESNVRSHGHKESLNAKEIMNTASACSADQIVTVKDAGAVQTYVTASVNGEYETVTDIPEEKNDTKHPVSKADTEVHTKQEHTPDSKLHGKIQETELKEHDGTTYEFLVKWVGKSNIHNSWISESELKALAKRKLENYKAKYGTDEIEEALIKWCALPYDECTWERLDEPTMVKYAHLVTQFKKFESQALDKDKGGSHAKPREHQEFNMLVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEYKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDASQMGKIKKSHKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLTTTEKVEELKNLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTWEFGPKTFERVDGSVSVAERQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDVAVKDNNEASGAKNDVAEVEFKHKRKTGGLGDVYEDRCADGSAKFIWDENAITKLLDRSNVPSTVAESTDGDLDNDMLGTVKSIDWNDELNDDPGATEDIPNIDNDGCEQASEAKQDAANRVEENEWDKLLRVRWEQYQTEEEASLGRGKRLRKAVSYRETFATIPNEALSEDSDEEDEPKREYTAAGLALKEKYEKLRARQKERIAQRHNYADDRLEEFMKLYDSSANPLRIVEDPNPVQPSGAKRLGESTAEMKQSSKKTKRYPEIPQELYAKLTGNAASSKHHPKAADISNSGTPHHLLPVLGLCAPNADQMNSYKGSVCGPSTKEQKRASGELANKPLLTPAVDHSSEQKHDGQPTPCKPMFPGSSEETLRRLNNIIPDSYFPFQPIPPISGKGIGDHVENPVSSIPSFQGKLGLPNFSLEDSIPLKHLKSVPDLFPNLSLGTSNEYLRNCVPELPNSSFLPSFMADIAGTSKQKNKFMADMSGLLPGLAINPVQQIHSSMPENHKKVLDKLMMRAQYSSSKFLKNASNKFLKKSLKPDYWSEDELDALWIGVRRHGRGNWEAMLRDPKLKFLSHRSHEELASRWILEEQKIIEEPMPTATRSSNSTSFPGISDAMMSRALNGSSFSKLRMEPPKLQSHLTDIQLGCNDIPTRFSHVEPTNYMNLSEGGPSLTPWQDFKNRSGHSGDFPGPLDKWEKPDMGLIPPFMPNPFMKESIGSLPINRHSSNSIQQNEVGSSSHENILHGFSDGQAKLFHEMQRRVKLGKLPIEMNLNHTKLSDPLAENSGDFESSKPNKLPHWLQEAVRAPPSKPPECELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSLPKDPRSAPKKRRVHKVQQASSLVDHSKTTVGQGDHNSTPSAPLSMEAAPASPAVIKSSDTPSLNLNSPSSSSAGSRGQDASTPSTFEEPERTMEVSEPASVAAATCPSRPEPPETGTHRTEFSAVDDMDTGSCRSPVRDTPDPDNQKSELSGSGNTPTELSVLPLVDAPGTSSEPAVVPVSSDEESTQEGVPGKAVSTGDQEKRTPPDESENSGAANPVSAAQTADEDKVDEMITDEH >ONIVA07G13690.3 pep chromosome:AWHD00000000:7:13911458:13925534:-1 gene:ONIVA07G13690 transcript:ONIVA07G13690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 4 [Source:Projected from Arabidopsis thaliana (AT5G44800) TAIR;Acc:AT5G44800] MNKKEGAKPTHLLCQTIAVSTYKNLPKFFSLKPSHPKRKDRRSIPSSPPSSHPSPLPHHRPPAAARAGSRGRVHHHHHHTEEASQRHARRLTPASAGGGAHVSPSAAPAGPACHPPPSLLERSSLCESAADGSWVLKYKRKRSKLTVSPSSEHDASSPILDSQMNNGSIKKKIKHDTNISPSTKKIRGHDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKKVSLKLLDNADADTSKRERTRRMRTSTTSDSPSPSPQNKASFNTSRGAAFRDEEPGAKDNEVEKRKPLILHLKKRSTKELSTDTTSSKSGLLGKSSEEKQEKHGSALKVKKHLHPMELSPKKYKNKKQHNHRDSKRSEAKKVQYLASDVDSDSSMEPSTSLEHSESPPPKRKSLDGRTPASSTKKGKKKVKFVDKKHPENAVHITEKEHGGAGDKITTQGDLQVDRILGCRLQTSQIISPAHASSEQIDMAPPSASGAPEPSQALSKGLHEEIQSSNSDTNVTEDACADELANDGGENNLDCSDAQKESNVRSHGHKESLNAKEIMNTASACSADQIVTVKDAGAVQTYVTASVNGEYETVTDIPEEKNDTKHPVSKADTEVHTKQEHTPDSKLHGKIQETELKEHDGTTYEFLVKWVGKSNIHNSWISESELKALAKRKLENYKAKYGTDEIEEALIKWCALPYDECTWERLDEPTMVKYAHLVTQFKKFESQALDKDKGGSHAKPREHQEFNMLVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEYKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDASQMGKIKKSHKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLTTTEKVEELKNLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTWEFGPKTFERVDGSVSVAERQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDVAVKDNNEASGAKNDVAEVEFKHKRKTGGLGDVYEDRCADGSAKFIWDENAITKLLDRSNVPSTVAESTDGDLDNDMLGTVKSIDWNDELNDDPGATEDIPNIDNDGCEQASEAKQDAANRVEENEWDKLLRVRWEQYQTEEEASLGRGKRLRKAVSYRETFATIPNEALSEDSDEEDEPKREYTAAGLALKEKYEKLRARQKERIAQRHNYADDRLEEFMKLYDSSANPLRIVEDPNPVQPSGAKRLGESTAEMKQSSKKTKRYPEIPQELYAKLTGNAASSKHHPKAADISNSGTPHHLLPVLGLCAPNADQMNSYKGSVCGPSTKEQKRASGELANKPLLTPAVDHSSEQKHDGQPTPCKPMFPGSSEETLRRLNNIIPDSYFPFQPIPPISGKGIGDHVENPVSSIPSFQGKLGLPNFSLEDSIPLKHLKSVPDLFPNLSLGTSNEYLRNCVPELPNSSFLPSFMADIAGTSKQKNKFMADMSGLLPGLAINPVQQIHSSMPENHKKVLDKLMMRAQYSSSKFLKNASNKFLKKSLKPDYWSEDELDALWIGVRRHGRGNWEAMLRDPKLKFLSHRSHEELASRWILEEQKIIEEPMPTATRSSNSTSFPGISDAMMSRALNGSSFSKLRMEPPKLQSHLTDIQLGCNDIPTRFSHVEPTNYMNLSEGGPSLTPWQDFKNRSGHSGDFPGPLDKWEKPDMGLIPPFMPNPFMKESIGSLPINRHSSNSIQQNEVGSSSHENILHGFSDGQAKLFHEMQRRVKLGKLPIEMNLNHTKLSDPLAENSGDFESSKPNKLPHWLQEAVRAPPSKPPECELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSLPKDPRSAPKKRRVHKVQQASSLVDHSKTTVGQGDHNSTPSAPLSMEAAPASPAVIKSSDTPSLNLNSPSSSSAGSRGQDASTPSTFEEPERTMEVSEPASVAAATCPSRPEPPETGTHRTEFSAVDDMDTGSCRSPVRDTPDPDNQKSELSGSGNTPTELSVLPLVDAPGTSSEPAVVPVSSDEESTQEGVPGKAVSTGDQEKRTPPDESENSGAANPVSAAQTADEDKVDEMITDEH >ONIVA07G13690.4 pep chromosome:AWHD00000000:7:13911458:13924613:-1 gene:ONIVA07G13690 transcript:ONIVA07G13690.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 4 [Source:Projected from Arabidopsis thaliana (AT5G44800) TAIR;Acc:AT5G44800] MVERSSLCESAADGSWVLKYKRKRSKLTVSPSSEHDASSPILDSQMNNGSIKKKIKHDTNISPSTKKIRGHDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKKVSLKLLDNADADTSKRERTRRMRTSTTSDSPSPSPQNKASFNTSRGAAFRDEEPGAKDNEVEKRKPLILHLKKRSTKELSTDTTSSKSGLLGKSSEEKQEKHGSALKVKKHLHPMELSPKKYKNKKQHNHRDSKRSEAKKVQYLASDVDSDSSMEPSTSLEHSESPPPKRKSLDGRTPASSTKKGKKKVKFVDKKHPENAVHITEKEHGGAGDKITTQGDLQVDRILGCRLQTSQIISPAHASSEQIDMAPPSASGAPEPSQALSKGLHEEIQSSNSDTNVTEDACADELANDGGENNLDCSDAQKESNVRSHGHKESLNAKEIMNTASACSADQIVTVKDAGAVQTYVTASVNGEYETVTDIPEEKNDTKHPVSKADTEVHTKQEHTPDSKLHGKIQETELKEHDGTTYEFLVKWVGKSNIHNSWISESELKALAKRKLENYKAKYGTDEIEEALIKWCALPYDECTWERLDEPTMVKYAHLVTQFKKFESQALDKDKGGSHAKPREHQEFNMLVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEYKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDASQMGKIKKSHKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLTTTEKVEELKNLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTWEFGPKTFERVDGSVSVAERQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDVAVKDNNEASGAKNDVAEVEFKHKRKTGGLGDVYEDRCADGSAKFIWDENAITKLLDRSNVPSTVAESTDGDLDNDMLGTVKSIDWNDELNDDPGATEDIPNIDNDGCEQASEAKQDAANRVEENEWDKLLRVRWEQYQTEEEASLGRGKRLRKAVSYRETFATIPNEALSEDSDEEDEPKREYTAAGLALKEKYEKLRARQKERIAQRHNYADDRLEEFMKLYDSSANPLRIVEDPNPVQPSGAKRLGESTAEMKQSSKKTKRYPEIPQELYAKLTGNAASSKHHPKAADISNSGTPHHLLPVLGLCAPNADQMNSYKGSVCGPSTKEQKRASGELANKPLLTPAVDHSSEQKHDGQPTPCKPMFPGSSEETLRRLNNIIPDSYFPFQPIPPISGKGIGDHVENPVSSIPSFQGKLGLPNFSLEDSIPLKHLKSVPDLFPNLSLGTSNEYLRNCVPELPNSSFLPSFMADIAGTSKQKNKFMADMSGLLPGLAINPVQQIHSSMPENHKKVLDKLMMRAQYSSSKFLKNASNKFLKKSLKPDYWSEDELDALWIGVRRHGRGNWEAMLRDPKLKFLSHRSHEELASRWILEEQKIIEEPMPTATRSSNSTSFPGISDAMMSRALNGSSFSKLRMEPPKLQSHLTDIQLGCNDIPTRFSHVEPTNYMNLSEGGPSLTPWQDFKNRSGHSGDFPGPLDKWEKPDMGLIPPFMPNPFMKESIGSLPINRHSSNSIQQNEVGSSSHENILHGFSDGQAKLFHEMQRRVKLGKLPIEMNLNHTKLSDPLAENSGDFESSKPNKLPHWLQEAVRAPPSKPPECELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSLPKDPRSAPKKRRVHKVQQASSLVDHSKTTVGQGDHNSTPSAPLSMEAAPASPAVIKSSDTPSLNLNSPSSSSAGSRGQDASTPSTFEEPERTMEVSEPASVAAATCPSRPEPPETGTHRTEFSAVDDMDTGSCRSPVRDTPDPDNQKSELSGSGNTPTELSVLPLVDAPGTSSEPAVVPVSSDEESTQEGVPGKAVSTGDQEKRTPPDESENSGAANPVSAAQTADEDKVDEMITDEH >ONIVA07G13690.5 pep chromosome:AWHD00000000:7:13911458:13925534:-1 gene:ONIVA07G13690 transcript:ONIVA07G13690.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 4 [Source:Projected from Arabidopsis thaliana (AT5G44800) TAIR;Acc:AT5G44800] MNKKEGAKPTHLLCQTIAVSTYKNLPKFFSLKPSHPKRKDRRSIPSSPPSSHPSPLPHHRPPAAARAGSRGRVHHHHHHTEEASQRHARRLTPASAGGGAHVSPSAAPAGPACHPPPSLLERSSLCESAADGSWVLKYKRKRSKLTVSPSSEHDASSPILDSQMNNGSIKKKIKHDTNISPSTKKIRGHDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKKVSLKLLDNADADTSKRERTRRMRTSTTSDSPSPSPQNKASFNTSRGAAFRDEEPGAKDNEVEKRKPLILHLKKRSTKELSTDTTSSKSGLLGKSSEEKQEKHGSALKVKKHLHPMELSPKKYKNKKQHNHRDSKRSEAKKVQYLASDVDSDSSMEPSTSLEHSESPPPKRKSLDGRTPASSTKKGKKKVKFVDKKHPENAVHITEKEHGGAGDKITTQGDLQVDRILGCRLQTSQIISPAHASSEQIDMAPPSASGAPEPSQALSKGLHEEIQSSNSDTNVTEDACADELANDGGENNLDCSDAQKESNVRSHGHKESLNAKEIMNTASACSADQIVTVKDAGAVQTYVTASVNGEYETVTDIPEEKNDTKHPVSKADTEVHTKQEHTPDSKLHGKIQETELKEHDGTTYEFLVKWVGKSNIHNSWISESELKALAKRKLENYKAKYGTGLINICKEQWCQPQRVIALRTSLDEIEEALIKWCALPYDECTWERLDEPTMVKYAHLVTQFKKFESQALDKDKGGSHAKPREHQEFNMLVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEYKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDASQMGKIKKSHKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLTTTEKVEELKNLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTWEFGPKTFERVDGSVSVAERQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDVAVKDNNEASGAKNDVAEVEFKHKRKTGGLGDVYEDRCADGSAKFIWDENAITKLLDRSNVPSTVAESTDGDLDNDMLGTVKSIDWNDELNDDPGATEDIPNIDNDGCEQASEAKQDAANRVEENEWDKLLRVRWEQYQTEEEASLGRGKRLRKAVSYRETFATIPNEALSEDSDEEDEPKREYTAAGLALKEKYEKLRARQKERIAQRHNYADDRLEEFMKLYDSSANPLRIVEDPNPVQPSGAKRLGESTAEMKQSSKKTKRYPEIPQELYAKLTGNAASSKHHPKAADISNSGTPHHLLPVLGLCAPNADQMNSYKGSVCGPSTKEQKRASGELANKPLLTPAVDHSSEQKHDGQPTPCKPMFPGSSEETLRRLNNIIPDSYFPFQPIPPISGKGIGDHVENPVSSIPSFQGKLGLPNFSLEDSIPLKHLKSVPDLFPNLSLGTSNEYLRNCVPELPNSSFLPSFMADIAGTSKQKNKFMADMSGLLPGLAINPVQQIHSSMPENHKKVLDKLMMRAQYSSSKFLKNASNKFLKKSLKPDYWSEDELDALWIGVRRHGRGNWEAMLRDPKLKFLSHRSHEELASRWILEEQKIIEEPMPTATRSSNSTSFPGISDAMMSRALNGSSFSKLRMEPPKLQSHLTDIQLGCNDIPTRFSHVEPTNYMNLSEGGPSLTPWQDFKNRSGHSGDFPGPLDKWEKPDMGLIPPFMPNPFMKESIGSLPINRHSSNSIQQNEVGSSSHENILHGFSDGQAKLFHEMQRRVKLGKLPIEMNLNHTKLSDPLAENSGDFESSKPNKLPHWLQEAVRAPPSKPPECELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSLPKDPRSAPKKRRVHKVQQASSLVDHSKTTVGQGDHNSTPSAPLSMEAAPASPAVIKSSDTPSLNLNSPSSSSAGSRGQDASTPSTFEEPERTMEVSEPASVAAATCPSRPEPPETGTHRTEFSAVDDMDTGSCRSPVRDTPDPDNQKSELSGSGNTPTELSVLPLVDAPGTSSEPAVVPVSSDEESTQEGVPGKAVSTGDQEKRTPPDESENSGAANPVSAAQTADEDKVDEMITDEH >ONIVA07G13690.6 pep chromosome:AWHD00000000:7:13911458:13924613:-1 gene:ONIVA07G13690 transcript:ONIVA07G13690.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 4 [Source:Projected from Arabidopsis thaliana (AT5G44800) TAIR;Acc:AT5G44800] MVERSSLCESAADGSWVLKYKRKRSKLTVSPSSEHDASSPILDSQMNNGSIKKKIKHDTNISPSTKKIRGHDGYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKKVSLKLLDNADADTSKRERTRRMRTSTTSDSPSPSPQNKASFNTSRGAAFRDEEPGAKDNEVEKRKPLILHLKKRSTKELSTDTTSSKSGLLGKSSEEKQEKHGSALKVKKHLHPMELSPKKYKNKKQHNHRDSKRSEAKKVQYLASDVDSDSSMEPSTSLEHSESPPPKRKSLDGRTPASSTKKGKKKVKFVDKKHPENAVHITEKEHGGAGDKITTQGDLQVDRILGCRLQTSQIISPAHASSEQIDMAPPSASGAPEPSQALSKGLHEEIQSSNSDTNVTEDACADELANDGGENNLDCSDAQKESNVRSHGHKESLNAKEIMNTASACSADQIVTVKDAGAVQTYVTASVNGEYETVTDIPEEKNDTKHPVSKADTEVHTKQEHTPDSKLHGKIQETELKEHDGTTYEFLVKWVGKSNIHNSWISESELKALAKRKLENYKAKYGTGLINICKEQWCQPQRVIALRTSLDEIEEALIKWCALPYDECTWERLDEPTMVKYAHLVTQFKKFESQALDKDKGGSHAKPREHQEFNMLVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEYKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDASQMGKIKKSHKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLTTTEKVEELKNLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTWEFGPKTFERVDGSVSVAERQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDVAVKDNNEASGAKNDVAEVEFKHKRKTGGLGDVYEDRCADGSAKFIWDENAITKLLDRSNVPSTVAESTDGDLDNDMLGTVKSIDWNDELNDDPGATEDIPNIDNDGCEQASEAKQDAANRVEENEWDKLLRVRWEQYQTEEEASLGRGKRLRKAVSYRETFATIPNEALSEDSDEEDEPKREYTAAGLALKEKYEKLRARQKERIAQRHNYADDRLEEFMKLYDSSANPLRIVEDPNPVQPSGAKRLGESTAEMKQSSKKTKRYPEIPQELYAKLTGNAASSKHHPKAADISNSGTPHHLLPVLGLCAPNADQMNSYKGSVCGPSTKEQKRASGELANKPLLTPAVDHSSEQKHDGQPTPCKPMFPGSSEETLRRLNNIIPDSYFPFQPIPPISGKGIGDHVENPVSSIPSFQGKLGLPNFSLEDSIPLKHLKSVPDLFPNLSLGTSNEYLRNCVPELPNSSFLPSFMADIAGTSKQKNKFMADMSGLLPGLAINPVQQIHSSMPENHKKVLDKLMMRAQYSSSKFLKNASNKFLKKSLKPDYWSEDELDALWIGVRRHGRGNWEAMLRDPKLKFLSHRSHEELASRWILEEQKIIEEPMPTATRSSNSTSFPGISDAMMSRALNGSSFSKLRMEPPKLQSHLTDIQLGCNDIPTRFSHVEPTNYMNLSEGGPSLTPWQDFKNRSGHSGDFPGPLDKWEKPDMGLIPPFMPNPFMKESIGSLPINRHSSNSIQQNEVGSSSHENILHGFSDGQAKLFHEMQRRVKLGKLPIEMNLNHTKLSDPLAENSGDFESSKPNKLPHWLQEAVRAPPSKPPECELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSLPKDPRSAPKKRRVHKVQQASSLVDHSKTTVGQGDHNSTPSAPLSMEAAPASPAVIKSSDTPSLNLNSPSSSSAGSRGQDASTPSTFEEPERTMEVSEPASVAAATCPSRPEPPETGTHRTEFSAVDDMDTGSCRSPVRDTPDPDNQKSELSGSGNTPTELSVLPLVDAPGTSSEPAVVPVSSDEESTQEGVPGKAVSTGDQEKRTPPDESENSGAANPVSAAQTADEDKVDEMITDEH >ONIVA07G13680.1 pep chromosome:AWHD00000000:7:13907101:13910629:1 gene:ONIVA07G13680 transcript:ONIVA07G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G12940) TAIR;Acc:AT3G12940] MDDAEANILASLGRVRLCDLAAADGLPSDGYKMCVSALTQSLAQYSAAVVELPPADGALLRSGLDSARLFFHQRGYGSGEAGGGGGGGSGDAREWCKTSGYYADPQMWLEMYDFRPGITPVEPNGVAELPPSGLPDMFSVLGKVSREVLDAISFSLNLRSSTFTELLDNIPLRSQEVSSSVLSACCHSRPSMEGAQQHSVASQDDEQLLMFSDQENQIDKTLLTLVKSDRSGLYIKDLQGRWILVDGDLGPLDIVVYPGLALYRETAGYVNPAVHKTEVGNLQECMFGRCSLVFKLMPRSVARLSGEEMRAAGHGVDAQFQVPILVNDFMQTEHSANQLFPKNNEPSPHVEQEASYNFVMKRKKEGRKTKALPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCSLRDCESHIKSLDRPCENIRTEIGWPPGVPFVHPHDLPNKAKLMFLEAYEPGWTASQQDLESRSL >ONIVA07G13680.2 pep chromosome:AWHD00000000:7:13907044:13910418:1 gene:ONIVA07G13680 transcript:ONIVA07G13680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G12940) TAIR;Acc:AT3G12940] MDDAEANILASLGRVRLCDLAAADGLPSDGYKMCVSALTQSLAQYSAAVVELPPADGALLRSGLDSARLFFHQRGYGSGEAGGGGGGGSGDAREWCKTSGYYADPQMWLEMYDFRPGITPVEPNGVAELPPSGLPDMFSVLGKVSREVLDAISFSLNLRSSTFTELLDNIPLRSQEVSSSVLSACCHSRPSMEGAQQHSVASQDDEQLLMFSDQENQIDKTLLTLVKSDRSGLYIKDLQGRWILVDGDLGPLDIVVYPGLALYRETAGYVNPAVHKTEVGNLQECMFGRCSLVFKLMPRSVARLSGEEMRAAGHGVDAQFQVPILVNDFMQTEHSANQLFPKNNEPSPHVEQEASYNFVMKRKKEGRKTKALPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCSLRDCESHIKSLDRPCENIRTEIGWPPGVPFVHPHDLPNKAKLMFLEAYEPGWTASQQDLEVGSVV >ONIVA07G13680.3 pep chromosome:AWHD00000000:7:13907101:13910418:1 gene:ONIVA07G13680 transcript:ONIVA07G13680.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G12940) TAIR;Acc:AT3G12940] MDDAEANILASLGRVRLCDLAAADGLPSDGYKMCVSALTQSLAQYSAAVVELPPADGALLRSGLDSARLFFHQRGYGSGEAGGGGGGGSGDAREWCKTSGYYADPQMWLEMYDFRPGITPVEPNGVAELPPSGLPDMFSVLGKVSREVLDAISFSLNLRSSTFTELLDNIPLRSQEVSSSVLSACCHSRPSMEGAQQHSVASQDDEQLLMFSDQENQIDKTLLTLVKSDRSGLYIKDLQGRWILVDGDLGPLDIVVYPGLALYRETAGYVNPAVHKTEVGNLQECMFGRCSLVFKLMPRSVARLSGEEMRAAGHGVDAQFQVPILVNDFMQTEHSANQLFPKNNEPSPHVEQEASYNFVMKRKKEGRKTKALPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCSLRDCESHIKSLDRPCENIRTEIGWPPGVPFVHPHDLPNKAKLMFLEAYEPGWTASQQDLEVGSVV >ONIVA07G13680.4 pep chromosome:AWHD00000000:7:13906890:13910629:1 gene:ONIVA07G13680 transcript:ONIVA07G13680.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G12940) TAIR;Acc:AT3G12940] MDDAEANILASLGRVRLCDLAAADGLPSDGYKMCVSALTQSLAQYSAAVVELPPADGALLRSGLDSARLFFHQRGYGSGEAGGGGGGGSGDAREWCKTSGYYADPQMWLEMYDFRPGITPVEPNGVAELPPSGLPDMFSVLGKVSREVLDAISFSLNLRSSTFTELLDNIPLRSQEVSSSVLSACCHSRPSMEGAQQHSVASQDDEQLLMFSDQENQIDKTLLTLVKSDRSGLYIKDLQGRWILVDGDLGPLDIVVYPGLALYRETAGYVNPAVHKTEVGNLQECMFGRCSLVFKLMPRSVARLSGEEMRAAGHGVDAQFQVPILVNDFMQTEHSANQLFPKNNEPSPHVEQEASYNFVMKRKKEGRKTKALPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCSLRDCESHIKSLDRPCENIRTEIGWPPGVPFVHPHDLPNKAKLMFLEAYEPGWTASQQDLESRSL >ONIVA07G13670.1 pep chromosome:AWHD00000000:7:13904061:13905044:1 gene:ONIVA07G13670 transcript:ONIVA07G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXORDIUM like 1 [Source:Projected from Arabidopsis thaliana (AT2G35150) TAIR;Acc:AT2G35150] MGRLPLLLLLAVAAVSTAGGAPVYRADYLVDGNQLVDMQYHMGPVVSGSPTNLYLIWYGRWEAAAQAVLRDFLASLSAPAAPSPAVSDWWARAPRLYADQTGANVTGAFAVAGERSDAGYSHGASLRRIDMQSVIRSAVYAYPDPLPLDPYSGVYLVLTSPDVQVEEFCRAVCGFHYFTFASVVGVTVPYAWVGNSATQCPGKCAYPFAAPDYGGGAGGQQVLRPPNGDVGVDGMVIVLGHELAELATNPLVNAWYAGDTPTAPTEIADLCLGVYGDGGGAGGLVGNVSRAADGASYNVNGVNGRRFMVQWLWNPVRGACYGPNSSS >ONIVA07G13650.1 pep chromosome:AWHD00000000:7:13892162:13892791:1 gene:ONIVA07G13650 transcript:ONIVA07G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLADPAAEGFRIPFLPSDSDLLDCLLRPKIASGRVDPRFAPLVHDVADAFALPPAQLAAAHAPAPGAGGAEAWYFFSVRPRARARAGSKRAASRAVGGGGGKRWCSMGAKKAVEGGGYCQRFRYKERTAAGVVAPRWMMVEYGVAQEHDGEGVAQEHGGEGVAELVLCKIFRSPEPSRRSESGSPSSSSSASASPSCSGGRKRKAAE >ONIVA07G13640.1 pep chromosome:AWHD00000000:7:13884062:13886754:1 gene:ONIVA07G13640 transcript:ONIVA07G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin-like B1 [Source:Projected from Arabidopsis thaliana (AT4G17030) TAIR;Acc:AT4G17030] MAQLLRRHLPVILSLILFLSKATADANFTVSRAAYYPNSDIKGTENGACEYGAFGATLNNGDVSASASLYRDGVGCGACYQVRCTNPYYCSPNGVTIVITDSGASDGTDFILSQHAFTRMAQSTDAGTALLTLGVVGIEYRRVSCTYPNKNIVFKITESSNFPNYLEFEIWYQQGNQDIIAVQLCETVNLTCQLLSRTHGAVWAAVSPPSGPLSIRMLFSSGAPRGGDTWLVPTNIVPQNWTAGATYDSGVQVQLQ >ONIVA07G13630.1 pep chromosome:AWHD00000000:7:13873270:13882285:1 gene:ONIVA07G13630 transcript:ONIVA07G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 1 [Source:Projected from Arabidopsis thaliana (AT2G13560) TAIR;Acc:AT2G13560] MAAASISRSLLRRSRCGGGEHHLLLLARGFVTAECHRPVVLHKRGSDILHDPWFNRGTGFSMTERDRLGLRGLLPPNVVSSQQQIDRFMLDMQRLQKYARDGPSDTYPLAKWRILNRLHDRNETMYYKAEVLIDNIEEHAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGEEYVAIIDEFMEAVFARWPNVIVQFEDFQSKWAFRLLQRYRKTYRMFNDDVQINALSLALTSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEERTNIDPDARPFARRKSELGHQGLSEGASLVEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPEEAFSILGEKIIFASGSPFSDVDLGNGKIGHSNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAERIRDITKEVAAAVVKEAVAEDLAEGYRDMDARELARLSEVEETVEYVQQNMWSPVYPTIVYKKD >ONIVA07G13620.1 pep chromosome:AWHD00000000:7:13864987:13868309:-1 gene:ONIVA07G13620 transcript:ONIVA07G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G44610) TAIR;Acc:AT2G44610] MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSSNANSSQSQAQAGGCSC >ONIVA07G13620.2 pep chromosome:AWHD00000000:7:13864987:13868237:-1 gene:ONIVA07G13620 transcript:ONIVA07G13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G44610) TAIR;Acc:AT2G44610] MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSSNANSSQSQAQAGGCSC >ONIVA07G13610.1 pep chromosome:AWHD00000000:7:13857740:13863156:1 gene:ONIVA07G13610 transcript:ONIVA07G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIEFKRRVQAGGDSRHGKRVGRGGASGRGGHLKEDTVGREEPHRPGMSTAALGRGGGIEPPRSIPSIGNFAVHDDVVGELAIFRGTSTSWVIVAGAAPVAVEIAVGELGFADNGEWGTEMVEPDRDGWLVSSQPGAQASIRKYVRGQTAWMAIQTYIMAWFQFGRNCDGT >ONIVA07G13600.1 pep chromosome:AWHD00000000:7:13841953:13852596:1 gene:ONIVA07G13600 transcript:ONIVA07G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 1 homolog [Source:Projected from Arabidopsis thaliana (AT5G47010) UniProtKB/Swiss-Prot;Acc:Q9FJR0] MATQPPSSSAAADLYETASQPDPPASAAGDAYTFLEFNTQGDDFDYPDFPELSQPARSAPPTSAPGVPGSAGSPSPSSSSWPPPPPPPPDASQDPDLAPREATTPPASSSSPSPRASAKARASAAAADGLASGVAALSFEEPLGAGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAENVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQQVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSLTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDSSHPAWQSVGHVIKLTAQEEVALELRASQGVPVDLNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSDFPSNCFYEGTLQNGVTVNERQTPGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMQGASFGAAGTNPVADKRSGRGKGHSFVPFGPPNGAHKPGVHPSGYPLPRMPFPPFAGAHSQPYAIPTRGSLHGPIGAVPPVPQPGNRNFGPRGNTGGPIGGHLAHQQSSQQAMGGMGSAFNFPGLENPSSQPSGGGPMSQTGLMTQMPVQGLSQTFRDGFSIGGMSQDFFGDDFKSQGSHVAYNIADFSTQASQGGYGVDYSQGPQSGYPGNYLNQNAHPGYSHMGAANDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHFGMAGPGLQSQPMMNPLYSQSYAHYNTQPQSLQPPPQ >ONIVA07G13600.2 pep chromosome:AWHD00000000:7:13841953:13852596:1 gene:ONIVA07G13600 transcript:ONIVA07G13600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 1 homolog [Source:Projected from Arabidopsis thaliana (AT5G47010) UniProtKB/Swiss-Prot;Acc:Q9FJR0] MATQPPSSSAAADLYETASQPDPPASAAGDAYTFLEFNTQGDDFDYPDFPELSQPARSAPPTSAPGVPGSAGSPSPSSSSWPPPPPPPPDASQDPDLAPREATTPPASSSSPSPRASAKARASAAAADGLASGVAALSFEEPLGAGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAENVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQQVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSLTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDSSHPAWQSVGHVIKLTAQEEVALELRASQGVPVDLNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSDFPSNCFYEGTLQNGVTVNERQTPGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMQGASFGAAGTNPVADKRSGRGKGHSFVPFGPPNGAHKPGVHPSGYPLPRMPFPPFAGAHSQPYAIPTRGSLHGPIGAVPPVPQPGNRNFGPRGNTGGPIGGHLAHQQSSQQAMGGMGSAFNFPGLENPSSQPSGGGPMSQTGLMTQDFFGDDFKSQGSHVAYNIADFSTQASQGGYGVDYSQGPQSGYPGNYLNQNAHPGYSHMGAANDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHFGMAGPGLQSQPMMNPLYSQSYAHYNTQPQSLQPPPQ >ONIVA07G13590.1 pep chromosome:AWHD00000000:7:13839687:13840310:1 gene:ONIVA07G13590 transcript:ONIVA07G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGIEGAAPPEGEGRGNGARGKGRGRGGAGGGRRGAVSGGRRQPAIGGWGRRRWRWAGGGREREGENESRVRVAPGF >ONIVA07G13580.1 pep chromosome:AWHD00000000:7:13832750:13833719:-1 gene:ONIVA07G13580 transcript:ONIVA07G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRAFAYYRRSSPVHTEAEAEVGSWLFSTNCLVSYESSLHRVFNSVVFLPVSRIPVKTQEDWGKYI >ONIVA07G13570.1 pep chromosome:AWHD00000000:7:13819710:13828141:-1 gene:ONIVA07G13570 transcript:ONIVA07G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLATTRAAAAAAAGRSSRVLSTAEVPAEAATDSAFAEAWKKVAPNIETPATPMSLMQPRPPTLAAIPSKLTVNFVLPYKSEIANKEADCYGSWRRQSALAIHHLPMGTIAAKILPWEAPSRETLLRTINAALDDGNVDDVLQAFANYKTLHGLPEPRVLDRMIVSLSYASSRRWLQRAFDMVLSVYQCNGNLLNCGSLMKLALALARDQMPIPASTVVRIILESGKLPDVDMLTMVYLHMVKSQVGSYLAADVLCETCECFLEQIGDRRQLKKLDPIKSNVTLFNMVLKSCVDFKCMIKAQRIMELMSLVGVVADVNTVAIASLVFEMVGQRVELVNMKRSIDSFASLPFIQHYLYFYGSLLNLHFKYNDMDAAAQLLVDLYRQQKPRAFVGDSVHKQGVIQIGSGNLKTGFRIMFDPIKVDKGFVLDTESQFGLLAVIDGNIRPSEKALAKFIVGCLKASKVRALSSFLITLHKEDLKGPSHSDVISACILMGWLHAAHDILDDLESAEIPVLICTYMSLLRAYEKENKPEEVNRFLQQIQKKAYTMADFHTNPSFTIKDVAKIVKDEMPLRNSSLLSSLVQEIEHYSSREHLTFEFNNSILFFCKANMMDDALSTYKRMREQNVRPSLHTFCHILCGYSSLGMHREIAMLWGEIKRRLEYGELTVDRDLLDCLILNFLNAGYFARVMEVLSYMANRKMYCDKWKYKQVFLKLHKNLYRNLNLLHEKTEQQSKRIEDVRAFRSWAGDYGYVTRKMLRHAARRLATTRAAAAAGRSSRALSTAEVPAEAATDSAFAEAWKKVAPNIEAPATPMSLMQPRPPTLAAIPSKLTVNFVLPYKSEIANKEVDMVIVPATTGQMGVLPGHVSTIAELKPGVLSVHEGNDITKYFVSSGFAFVHANSIADIVAVEAVPLDQIDPAAVQQGLAEFNAKLGSASTELEKAEAQIGVDVHSALNAALAG >ONIVA07G13570.2 pep chromosome:AWHD00000000:7:13819710:13824866:-1 gene:ONIVA07G13570 transcript:ONIVA07G13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALKRKMLRHAARRLATTRAAAAAGRSSRALSTAEVPAEAATDSAFAEAWKKVAPNIEAPATPMSLMQPRPPTLAAIPSKLTVNFVLPYKSEIANKEVDMVIVPATTGQMGVLPGHVSTIAELKPGVLSVHEGNDITKYFVSSGFAFVHANSIADIVAVEAVPLDQIDPAAVQQGLAEFNAKLGSASTELEKAEAQIGVDVHSALNAALAG >ONIVA07G13570.3 pep chromosome:AWHD00000000:7:13824872:13828141:-1 gene:ONIVA07G13570 transcript:ONIVA07G13570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLATTRAAAAAAAGRSSRVLSTAEVPAEAATDSAFAEAWKKVAPNIETPATPMSLMQPRPPTLAAIPSKLTVNFVLPYKSEIANKEADCYGSWRRQSALAIHHLPMGTIAAKILPWEAPSRETLLRTINAALDDGNVDDVLQAFANYKTLHGLPEPRVLDRMIVSLSYASSRRWLQRAFDMVLSVYQCNGNLLNCGSLMKLALALARDQMPIPASTVVRIILESGKLPDVDMLTMVYLHMVKSQVGSYLAADVLCETCECFLEQIGDRRQLKKLDPIKSNVTLFNMVLKSCVDFKCMIKAQRIMELMSLVGVVADVNTVAIASLVFEMVGQRVELVNMKRSIDSFASLPFIQHYLYFYGSLLNLHFKYNDMDAAAQLLVDLYRQQKPRAFVGDSVHKQGVIQIGSGNLKTGFRIMFDPIKVDKGFVLDTESQFGLLAVIDGNIRPSEKALAKFIVGCLKASKVRALSSFLITLHKEDLKGPSHSDVISACILMGWLHAAHDILDDLESAEIPVLICTYMSLLRAYEKENKPEEVNRFLQQIQKKAYTMADFHTNPSFTIKDVAKIVKDEMPLRNSSLLSSLVQEIEHYSSREHLTFEFNNSILFFCKANMMDDALSTYKRMREQNVRPSLHTFCHILCGYSSLGMHREIAMLWGEIKRRLEYGELTVDRDLLDCLILNFLNAGYFARVMEVLSYMANRKMYCDKWKYKQVFLKLHKNLYRNLNLLHEKTEQQSKRIEDVRAFRSWAGVK >ONIVA07G13570.4 pep chromosome:AWHD00000000:7:13819710:13828141:-1 gene:ONIVA07G13570 transcript:ONIVA07G13570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLATTRAAAAAAAGRSSRVLSTAEVPAEAATDSAFAEAWKKVAPNIETPATPMSLMQPRPPTLAAIPSKLTVNFVLPYKSEIANKEVDMVIVPATTGQMGVLPGHVSTIAELKPGVLSVHEGNDITKYFVSSGFAFVHANSIADIVAVEAVPLDQIDPAAVQQGLAEFNAKLGSASTELEKAEAQIGVDVHSALNAALAG >ONIVA07G13560.1 pep chromosome:AWHD00000000:7:13813604:13817674:1 gene:ONIVA07G13560 transcript:ONIVA07G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFYSREKNKNKNKKQSRSSPTLTVDKDDFRDEMSQKANRSCSLVVASSPRSILELYEERAHELRDFRLAELRSATGNFSRELKIGEGGFGSVYKGFLKTSCGHLGLRNDSGNVVVAVKKLNPNGMQGHKQWLAEVQFLAVVDHPNLVKLIGYCGTDDGEQGPQRLLVYEFMPNKTLEYHLFNKAYPTLPWKTRLSIALGVAKGLQYLHEGLEIQVMGTYGYAAPDYVETARLTARSDVWSFGVVLLELLTGHRAFDRSRPRPDQKLVDWARRHPAGTRWFSRLPDPRLAGRYSHRAAQDVAALAWRCLADRAGERPSMAEAARALERAARHGELDGPPEPPEEGSPPPPRCHAGSGSSSPEAKKAATAKRRRMAHLAKLAAGASAAPAARRLVHMKMTKAAATCNAGTQHVET >ONIVA07G13550.1 pep chromosome:AWHD00000000:7:13805315:13810066:1 gene:ONIVA07G13550 transcript:ONIVA07G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0I123] MYKQGGGGGGGGGGGAGLDRKRISDALDKHLEKAVAAAAAAASPSTSRGSAGGRGGGDHQRLVVPSSASSMPKGRCSEGESESDSEASDVSGSDGEDHSWISWYCSLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >ONIVA07G13540.1 pep chromosome:AWHD00000000:7:13795746:13801077:1 gene:ONIVA07G13540 transcript:ONIVA07G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) TAIR;Acc:AT4G17050] MINREWREQRWRGSRAGGQWHPSHQVGPTYQPPSTSVPPPPPRIHSTLSLLSPATAPPKKKKKPRHREHAARLLPPPAPGEKMMLPRLLLLVVASALPLASVAAGAVGVGEGFCSAEPSAASGGCSGVRPPLYWKATNPTLAPAHLQDLPGFTRSVYKRDHALITPESHVFSPLPDWINTLGAYLISPAIGAHFTMYLAKMHDGSKSALPPKGVERLIFVLQGSILLSEESGNTHTLLVDSYAYLPANMKHSVISDEVTTLVIFERRYTTIEGYHPDLIVGSTDKQPLLETPGEVFELRKLLPTSLPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQSGDTIWMAPFVPQWLVSVNIVFIIHGIGFCRQLITVALLFQSIKYVATCRTSMFGYLKMPFKNGNELL >ONIVA07G13530.1 pep chromosome:AWHD00000000:7:13789420:13792994:-1 gene:ONIVA07G13530 transcript:ONIVA07G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT5G51150) TAIR;Acc:AT5G51150] MPFLSTPSFDLSAGAEPTLGPRSPPPPPDAAHPPTPQQAAPASEAAARRLREAEERLREAIQELHRHQHGGGGDGDGDGKGVDGDEEGGGGGGGGWGCGHEGESCAAHAAGNLCQSFLLSYGVRVGIGILLRAFKLARRRSYSSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCSVAGLAIVALDDSSRRRTLSLYLLARLAQCAYNSAKSKNRFHFWGSHWRHGDALLFSLASAQVMYSFVMRPESLPKSYQDFIQKTGPVAEPVYKAFLESPAATCWRALVGAVRSTTFLSAFVTFFQAAICLHRKVATKDHKLVYWFAGLMSGLSILLEKKARRAELALYVLPRAGDSLWYILINRHLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPIPPPNPNASYSYLQKLNALEQPRTQQGPENGLTSSEKYNLEAIPGL >ONIVA07G13530.2 pep chromosome:AWHD00000000:7:13789420:13792994:-1 gene:ONIVA07G13530 transcript:ONIVA07G13530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT5G51150) TAIR;Acc:AT5G51150] MPFLSTPSFDLSAGAEPTLGPRSPPPPPDAAHPPTPQQAAPASEAAARRLREAEERLREAIQELHRHQHGGGGDGDGDGKGVDGDEEGGGGGGGGWGCGHEGESCAAHAAGNLCQSFLLSYGVRVGIGILLRAFKLARRRSYSSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRKKETPFNAILAGSVAGLAIVALDDSSRRRTLSLYLLARLAQCAYNSAKSKNRFHFWGSHWRHGDALLFSLASAQVMYSFVMRPESLPKSYQDFIQKTGPVAEPVYKAVRDCCRGGHVDLIGLSAYLANKKNSNLINLTKSPPIIPCSVIHPDRASCLAHNVSVSSSTFKKTFPLYFSLTFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSAFVTFFQAAICLHRKVATKDHKLVYWFAGLMSGLSILLEKKARRAELALYVLPRAGDSLWYILINRHLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPIPPPNPNASYSYLQKLNALEQPRTQQGPENGLTSSEKYNLEAIPGL >ONIVA07G13530.3 pep chromosome:AWHD00000000:7:13789420:13792994:-1 gene:ONIVA07G13530 transcript:ONIVA07G13530.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT5G51150) TAIR;Acc:AT5G51150] MPFLSTPSFDLSAGAEPTLGPRSPPPPPDAAHPPTPQQAAPASEAAARRLREAEERLREAIQELHRHQHGGGGDGDGDGKGVDGDEEGGGGGGGGWGCGHEGESCAAHAAGNLCQSFLLSYGVRVGIGILLRAFKLARRRSYSSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCSVAGLAIVALDDSSRRRTLSLYLLARLAQCAYNSAKSKNRFHFWGSHWRHGDALLFSLASAQVMYSFVMRPESLPKSYQDFIQKTGPVAEPVYKAVRDCCRGGHVDLIGLSAYLANKKNSNLINLTKSPPIIPCSVIHPDRASCLAHNVSVSSSTFKKTFPLYFSLTFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSAFVTFFQAAICLHRKVATKDHKLVYWFAGLMSGLSILLEKKARRAELALYVLPRAGDSLWYILINRHLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPIPPPNPNASYSYLQKLNALEQPRTQQGPENGLTSSEKYNLEAIPGL >ONIVA07G13520.1 pep chromosome:AWHD00000000:7:13786336:13788441:1 gene:ONIVA07G13520 transcript:ONIVA07G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQAQYNNIFCATHMGDWYEKLSQSFRDTANEVLAKADIDPNVSTSNNYGTVLGKGGFSVVYKGKLDNGRSVAVKQYNWRTQKKEFTKEVILQHYLGKRRVFCGVQGPSVAIGTLLGYWVEADAPMLVTEFVPNGNLSELLHGKSGQLPVSLETRFQIALDVAEALVYMHCSQNHPILHGDIKPSNILLGDKHVAKLCDFGISRLLCMDNDEHTGFVIGSKGYMDPVYCETGRLSPKCDVYSFGVVLLELITRKKGIDDHSRNLAGMFARSSRDKRHELFDKEIAADENIDFIEEIANLALDCLKSEIEDRPQMKEVLKQLWSIKRSEILRQERRLAELRERRIMTLREIEVMLRGSGFERFVTKADIDSIIGDPEQESTSETFSGKSDVAMGKVYMGRLKNTPLIVIKMSVEVDEDWKQTFFYEMIMQSRIKHWNVANLFGCCLDHVDAPVLVYEYGEMGLHDALFGKAWQSIEHPFTSYVRLQIATDAAEGLAHLHSFDMVHGDVRTANVIVDVFSGSKLEMPRISTFPAKIAGLGTTKLLSLDKAQYARFLTENIHYKDPHFLITGLMTKEHDVYGVGGVLVELFTGNKIQMHDINTVIKYFDSVFAICHHLEEIKELASWCVAPEVTERPTLAKVVRCLHDILKDQRRPCPCPCKSMH >ONIVA07G13500.1 pep chromosome:AWHD00000000:7:13783071:13783385:1 gene:ONIVA07G13500 transcript:ONIVA07G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPMEGPSLLFLSLLRFVPPVEMVERSEVVIVVADKGVDLSRMWWNKLATTVWDRARLSKGHGAWLMAAIKKTSQWRLRALARRRQLIPFDVKPCMCIHEVG >ONIVA07G13490.1 pep chromosome:AWHD00000000:7:13762845:13767184:1 gene:ONIVA07G13490 transcript:ONIVA07G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWYDKLSQSFRDTAKEVLAKTDIDPNVRCFPKRQMKRITNNYSTTLGRGGFSVVYKGRLDDGRSVAVKQYNWRTQKKEFTKDDHTCSHKNIVRLLGCCVEAAAPILVTEFVPNGNLSDLLHGNSGLLPVTLETRLQIALDVAEALVYMHCSQSYPILHGDVKPSNILLGDKGVAKLCDFGISRLLSMDSDEYTGFVIGSKGYVDPVFCQTGRLSQKCDVYSFGVVLLELFTRKKGIDDMKVCLAEIFACASRKGDEHKLFDMDIVTNENMEFLQGIGRVALECIKFEVEERPEMRLVLEQLLSLKRSRDKSIHEMLVVRKEIEVFLRGCGFGRFILSKESVDDLICNLKIVLKECASGKAYIGKSRGTPLMAIKMSTAVTEKWKDMLGNEIAVQSRIKHMNVAKLIGYCLDHSDGTVFIYEYGAISLYDVLFGDAGKIYRPFTCDLRLKIAIGAAEGIAHLHSLGVVNGDVSINDILLDHVSSSLVKIAGYGTSGLPDIDKALDSLETVLGLFSSLFTWKKVSLPHDLKSEPDKPVLLHQEAIRGRRCNHLEMIKGFASRCLTSEATKRPSMVEVAKHLNFILIFPERRKTCHDLAIYQSRMLSD >ONIVA07G13480.1 pep chromosome:AWHD00000000:7:13761110:13761319:1 gene:ONIVA07G13480 transcript:ONIVA07G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHPGMGVVGGRDLGGGWRREVRRWIGGEGEVAWEGEVGHDDWGEHVMDEERECGHDGVLAGEIVGNV >ONIVA07G13470.1 pep chromosome:AWHD00000000:7:13760000:13761001:1 gene:ONIVA07G13470 transcript:ONIVA07G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKQRTAIAALAFARITSTSYTRCSGAHRGSQAQWRCLRCQGVALVPPPDVMRNVGVAATAAVHRNRSSPPSARLPP >ONIVA07G13460.1 pep chromosome:AWHD00000000:7:13757952:13758888:1 gene:ONIVA07G13460 transcript:ONIVA07G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSRTVRMGDGGAGRRERRRGSAAAEPSGRRRELTTSGGGRSRRRSRTRRRRARRRGEERSSGGGRSRMRRRTWQREQAGEGWVAVAESSGRRRELTTSGGGRRRELMTSGGGRSRTQRRTERRRGREQAEEDGAAPGEGAGGGGTGGRGGAAAEPSGSRRRFLRRSGMDWSGRFWRTVSSRIWEEYSSPGTIPSHSPLNQTPLKVGSSHPIPSPQPNTT >ONIVA07G13450.1 pep chromosome:AWHD00000000:7:13750288:13753075:1 gene:ONIVA07G13450 transcript:ONIVA07G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDMISIKIHHGGSFSKEGQLCYVGGQVSIFRNCDSERMSYFCLVDMAKDVGFKGGDELFYAIPGYSLENGIDKLHDDHSVRKMLNFAKKGKSMEIYIKHLEQGVSATPIFGQDVEDNHVEETWLALQPIEIL >ONIVA07G13450.2 pep chromosome:AWHD00000000:7:13750271:13753075:1 gene:ONIVA07G13450 transcript:ONIVA07G13450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDMISIKIHHGGSFSKEGQLCYVGGQVSIFRNCDSERMSYFCLVDMAKDVGFKGGDELFYAIPGYSLENGIDKLHDDHSVRKMLNFAKKGKSMEIYIKHLEQGVSATPIFGQDVEDNHVEETWLALQPIEIL >ONIVA07G13450.3 pep chromosome:AWHD00000000:7:13750953:13753075:1 gene:ONIVA07G13450 transcript:ONIVA07G13450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSVFVNFIRDTYRMEGDMISIKIHHGGSFSKEGQLCYVGGQVSIFRNCDSERMSYFCLVDMAKDVGFKGGDELFYAIPGYSLENGIDKLHDDHSVRKMLNFAKKGKSMEIYIKHLEQGVSATPIFGQDVEDNHVEETWLALQPIEIL >ONIVA07G13440.1 pep chromosome:AWHD00000000:7:13745996:13748029:1 gene:ONIVA07G13440 transcript:ONIVA07G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWYDKLSQSFRDTAKEVLAKADIDPNVRCFTRRQMKRITNNYSTTLGRGGFSVVYKGMLDDGHSVAVKQYNWRTQKKEFTKEVIIQSQCSHRNIVRLLGCCVEADAPMLVTEFVPNGNLSELLHGNSGQLPVSLETRFQIALDVAEALVYMHYSQNHPILHGDIKPSNILLGDKYVAKLCDFGISRLLCMDNDEYTGFVIGSMGYMDPVYRETGRLSPKCDVYSFGVVLLELITRSKGIDDQNRSLARVFAHSSIDERYKLFDNEIVTNENVDFIQEMANLALDCLKSEIEDRPQMKEVLEHLCSLKRKMLEQERKIAELMEERRIAELTERRTVAFREIKAILQDIGFERLVTKEKIDSIVGNPKQVSTSEAFSGKSSVLIQRAIGKICMGHLKNIRFIVIKMSVEADEIWKEMFLYEMIMQSRIEHCNVAKLFGCCLDHVDAPVLVYKYGDIGLHDALFGNAWQQFDCPFACEIRLEIAVGAAEGLAHLHSLNVVHGDVRTANVVLDVYSKSKLEMPGITAFMAKIAGYGTQRLLSLDKAKHEIFLTENIHYKDPHFLKTGLMAKEYDVYGFGVVLVELFAQNMVQMHDVNMVLKELDGIPARCHHLKEIKKLASWCLASKVTERPAMDKVVRCLRAVLTNLQNLHDPCNCKSMYNKSAMQSEQITSAKSASS >ONIVA07G13430.1 pep chromosome:AWHD00000000:7:13722496:13722873:1 gene:ONIVA07G13430 transcript:ONIVA07G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLAFARSKGCSLERKESATAAFSRSWRRRRQSPSPVDEYWEILRYFGEDLEGKLVARRLVLAWSRSTALGACTGAPVGIAAFPSLQWMK >ONIVA07G13420.1 pep chromosome:AWHD00000000:7:13721981:13722839:-1 gene:ONIVA07G13420 transcript:ONIVA07G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAPVHAPSAVDLDHAKTSRRATSFPSRSSPKYLKISQYSSTGDGDCLRLLQLRLNAAVADSFLSSEHPFDLYDPRDIHAWQIVEGKQAISVCLRRCVTAGRTFTAKASLTAIVWPVRQDNTLRSDHVSKAELAIWRRKSRATPQIGESLPFDDCPRGDKFAQITLLDKQLAQEAGQVIQHRSGIGPGCLGRSSLPRSHFGLRSGEATKVKGELGE >ONIVA07G13410.1 pep chromosome:AWHD00000000:7:13711009:13717582:1 gene:ONIVA07G13410 transcript:ONIVA07G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGWPALQPLLCFAWIAATLPIIAAALPIPTAVGGHLLRRLLSAFSSRGKTVRPSPASSSGSSSSKAKFTVPQKYFMHFYVVGVLATTILLLAIWFYAYMKLTPLLLESSSYSTIFSHLVGSNSFSFGRVRSRTMGHKYRVWRTVFALLLMEVQVLRRLYETEHVFHYSPARMHIVGYLTGLFYYVAAPLSLASSCIPEAAEYFQGQVPEFVVKGRARMPDLVIDSSSLLQPLLKLGWTQWIGAVIFIWGSLHQIRCHAILGSLREHKDSDEYVIYFGMLVASGAEDIPITNLSFAAVETYNWYLQKFEDYPRSRYAIIPFVC >ONIVA07G13410.2 pep chromosome:AWHD00000000:7:13711009:13717582:1 gene:ONIVA07G13410 transcript:ONIVA07G13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGWPALQPLLCFAWIAATLPIIAAALPIPTAVGGHLLRRLLSAFSSRGKTVRPSPASSSGSSSSKAKFTVPQKYFMHFYVVGVLATTILLLAIWFYAYMKLTPLLLESSSYSTIFSHLVGSNSFSFGRVRSRTMGHKYRVWRTVFALLLMEVQVLRRLYETEHVFHYSPARMHIVGYLTGLFYYVAAPLSLASSCIPEAAEYFQGQVPEFVVKGRARMPDLVIDSSSLLQPLLKLGWTQWIGAVIFIWGSLHQIRCHAILGSLREHKDSDEYITNLSFAAVETYNWYLQKFEDYPRSRYAIIPFVC >ONIVA07G13410.3 pep chromosome:AWHD00000000:7:13711009:13717582:1 gene:ONIVA07G13410 transcript:ONIVA07G13410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGWPALQPLLCFAWIAATLPIIAAALPIPTAVGGHLLRRLLSAFSSRGKTVRPSPASSSGSSSSKAKFTVPQKYFMHFYVVGVLATTILLLAIWFYAYMKLTPLLLESSSYSTIFSHLVGSNSFSFGRVRSRTMGHKYRVWRTVFALLLMEVQVLRRLYETEHVFHYSPARMHIVGYLTAAPLSLASSCIPEAAEYFQGQVPEFVVKGRARMPDLVIDSSSLLQPLLKLGWTQWIGAVIFIWGSLHQIRCHAILGSLREHKDSDEYITNLSFAAVETYNWYLQKFEDYPRSRYAIIPFVC >ONIVA07G13400.1 pep chromosome:AWHD00000000:7:13699407:13703763:1 gene:ONIVA07G13400 transcript:ONIVA07G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRRLRPEKRILLMKKKKNRKNTRQDAAKEMLAKTDIDPNVKCFTRKQMKRISNNYRTILGKGGFSVVYKGRLNDGRAVAVKKYNWKTQKKEFTKEPVSCFIRDTLTDCTGCAEALVYMHSSQNHPILHGDVKPSNILLGDKDVAKLCDFGISRLLCMDSDEYTGFVIGSRGYVDPVFCQTGRLSLKSDVYSFGVVLLELITKKKGINDKKVCLAETFARISRKGNGHELFDMDVVTNENMEFLQGIGRLALECIKFEVEERPEMKEVLERLWSLKRSRDRRIREMQVMVRSEIEVLWRRCGFGRFMISKERMDDMIYYFKTVLKECASGKAYIGRFCNAQLLVIKTSISVLDQWKNIVWNELNVQSRIKHWNVAKLLGYCLDLWEGLVLVYEYGAMSLYDVLFHDARKVSPFICGLRLKIAVGAAEGLAHLHSLGIVHGNVSTVNILLDDLSVLKVISRNYPVKIAGYGTSGLPDIDKAQHTGFFMEDSLVTDHGKEHDVYCFGLVLLTLFTWKKVSLQEADTVFEQLWDIGPPHDVNSEPEKPGQQLKEAILRCRHLEEVKSLVSRCLMSEVTKRPSMVEVAKHLKNINDLHDSTACHELAIYQSRMLSG >ONIVA07G13400.2 pep chromosome:AWHD00000000:7:13699407:13703424:1 gene:ONIVA07G13400 transcript:ONIVA07G13400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRRLRPEKRILLMKKKKNRKNTRQDAAKEMLAKTDIDPNVKCFTRKQMKRISNNYRTILGKGGFSVVYKGRLNDGRAVAVKKYNWKTQKKEFTKEVIIQSQFSHKNIVRLLGCCVEADAPMLVTEFVPNDCTGCAEALVYMHSSQNHPILHGDVKPSNILLGDKDVAKLCDFGISRLLCMDSDEYTGFVIGSRGYVDPVFCQTGRLSLKSDVYSFGVVLLELITKKKGINDKKVCLAETFARISRKGNGHELFDMDVVTNENMEFLQGIGRLALECIKFEVEERPEMKEVLERLWSLKRSRDRRIREMQVMVRSEIEVLWRRCGFGRFMISKERMDDMIYYFKTVLKECASGKAYIGRFCNAQLLVIKTSISVLDQWKNIVWNELNVQSRIKHWNVAKLLGYCLDLWEGLVLVYEYGAMSLYDVLFHDARKVSPFICGLRLKIAVGAAEGLAHLHSLGIVHGNVSTVNILLDDLSVLKVISRNYPVKIAGYGTSGLPDIDKAQHTGFFMEDSLVTDHGKEHDVYCFGLVLLTLFTWKKVSLQEADTVFEQLWDIGPPHDVNSEPEKPGQQLKEAILRCRHLEEVKSLVSRCLMSEVTKRPSMVEVAKHLKNINDLHDSTACHELAIYQSRMLSG >ONIVA07G13390.1 pep chromosome:AWHD00000000:7:13685119:13690664:1 gene:ONIVA07G13390 transcript:ONIVA07G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFPAHFYMDVQPLGDGDESDGVCVAVADGRIAKESTLHLAVRLRGGSRGHYPCLAPGLLALARKYIEMKMICRKCYARLPPRSTNCRKKKCGHSNKLRRKKKLKDY >ONIVA07G13380.1 pep chromosome:AWHD00000000:7:13677245:13681655:1 gene:ONIVA07G13380 transcript:ONIVA07G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLKTADEATQAPAVSPISTAEAYAPPVGTLLDPKLFMAASRGDNNGLKELLGPDDDEVVIVDVDPVPAAPSSSSASGSSHHQLQQLDDHGVTSNEGDSLLHVVATRSGGGDGDRFVACANTIYYHGSSNGALLAARNHKGDTPLHCAARAGGARMVACLVALKTAEVVAAPAGDGPGVEEFLRMRNQCGETALHQAVRAAFTACIDELLLVDPMLATVPQEGEGGASPFYLAFSLGKLDIARHLLDKTNGQLSYSGLDGQNVLHAAISRGQGDRAIRVPLVSHLAQQRDHQTGSTPLHLAASLEGWPYVGILSKWFPDVWPRPKSAVALLLEANTCAAYQPDAEGLYPIHVAALADSLDVVRTMLQKCPDCATLRDAKGRTFLHSAVEAEGYRVVEYACRRMPKEFSSVLNMQDNNGDTALHRAVHLGNLPVFNCLTRNPHVHLNIPNKYELTPLDLSWITVPSSFYYDSNPRGLIQLSLQFVGAPCGASRPDLLSQKHIPKIDNDKVSAHLTNASQMLGIVSVLVATVTFASAFTLPGGYQTGSDNAAGTPLLAGSYAFDAFILSDTLAFICSCMATFSLIFAGVPAMDISIRCRYFEISALLLRSSGRSFVVAFALGLYLVLAPVAHTIATAVCVIIFVSSLYGNSEAWQILRVADMARARLGTRMHVAWTLGLTFYNVFVNLFLNFWSFIIIFGLAAVIRKVHARE >ONIVA07G13370.1 pep chromosome:AWHD00000000:7:13651428:13655361:-1 gene:ONIVA07G13370 transcript:ONIVA07G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein [Source:Projected from Arabidopsis thaliana (AT1G02090) TAIR;Acc:AT1G02090] MAMDAERRQAELIEQFSAQAAALSSSAPQLAALVLEATSHPALFAFSELLSLPALSKLTGTQYASSLDVLRLFAYGTLKDYKSNSGNLPALLPDQVRKLKQLSVLTLAESTKVLPYDQLMQELDVSNVRELEDFLINECMYSVQFATGRDLTPDQLNNMIDTLSDWLGTSDNLLHQIQEKIKWADTMSEVNKKHQKEFEDKVEEAKKSIKEATTNGIVSMAYLPASPLVEVLTPYLETFWHQS >ONIVA07G13360.1 pep chromosome:AWHD00000000:7:13648381:13650879:1 gene:ONIVA07G13360 transcript:ONIVA07G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWLLRGCRDECSASDQLKQARDVFVAKEAVLQKKISQEMERAKEFTKSGNKQAAMQCLKRKKYYESQMNQVGSVQLRINTKEKMIADHSGNKEDK >ONIVA07G13360.2 pep chromosome:AWHD00000000:7:13648381:13650187:1 gene:ONIVA07G13360 transcript:ONIVA07G13360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWLLRGCRDECSASDQLKQARDVFVAKEAVLQKKISQEMERAKEFTKSGNKQAAMQCLKRKKYYESQMNQVGSVQLRINTKEKMIADHSGNKEDK >ONIVA07G13350.1 pep chromosome:AWHD00000000:7:13641380:13645575:-1 gene:ONIVA07G13350 transcript:ONIVA07G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVENRGGGGFGSKRSRNDVSVREGDWTCPQCGNVNFSFRNVCNRGACGAPRPSPSLSPRVPPPPAAGYDRPHLGYDRPHLFYGSAGTPPPIPLGSGSYGAPYPHLGLRYGYGPPVGPPASYGLFSSYGQPGPMGSPMGGMGYGPGPELGRYGYGFRGSPMPVSSPWSGGALVENNDSSASRKRRGGPDGMAENDWICPKCENVNFSFRNSCNMKKCGAPRPSPGSNATPSRKDKDAPEGSWTCPECNNLNYPFRTACNRKGCGSSRPAAATAN >ONIVA07G13340.1 pep chromosome:AWHD00000000:7:13640063:13640248:-1 gene:ONIVA07G13340 transcript:ONIVA07G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNSVNPTIIMFLELAAWVYRNVDKPSATISAQVKHRTEAAKATGMEARRAPIFPAAKKF >ONIVA07G13330.1 pep chromosome:AWHD00000000:7:13635816:13643031:1 gene:ONIVA07G13330 transcript:ONIVA07G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT4G38050) TAIR;Acc:AT4G38050] MPSSRRTTARGGGGGGGGGDGDGGGGGRAVPPFAGNNADHNPRELRSWARRTGFHPSTFFSGESAVSTSSFASSVMPQPPPPPPPPATSRRPPRAPERELDTEEDGDEESDLPARPRLDLERGVRGGRGARGGRPPPPRRRIDLRGELELEIPDAAPAAEEDPAPAPARGGRADARRANGVERVAAVNGGARNGNGGGAHAAAGAEARKKAEEAEAKRKAEEAEARRKKEEEERDAELAAYYQEQWANEEDGGGEGGAPAVTSETAPLYGESGLRCGVTENPGWVPLIFYGIQHYLSIAGSLVFVPLILVPTMGGSDEDTATVISTILLVSGLTTILHTFFGSRLPLIQGSSFVYLAPALVISNSEEFRNLSENKFKHIMRELQGAILVSSVFQIILGYSGLMSLFLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISMPLILLVLLCTLYLRKVSLFGNRIFLIYAVPFSVAVVWAYAFFLTAGGAYNFKGCNSNIPSSNILMDSCKRHLETMRRCRTDASNAWRTAAWVRVPYPFQWGPPTFHFKTSIIMVIVSLVASVDSLSSYHATSLLVNLSLPTRGVVSRGIGFEGISTLIAGVWGTGTGSTTLTENIHTLENTKMASRRALQFGAVLLVIFSFFGKIGALLASIPVALAASVLCFTWALIVALGLSTLRYTQAASSRNMIIVGFTLFISMSVPAYFQQYEPSTNLILPSYLLPYAAASSGPVRSGSNGLNFAVNALLSINVVVALLVALILDNTVPGSRQERGVYIWSDPNSLEMDPASLEPYRLPEKISCWFRWAKCVGI >ONIVA07G13320.1 pep chromosome:AWHD00000000:7:13628154:13632154:1 gene:ONIVA07G13320 transcript:ONIVA07G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G60370) TAIR;Acc:AT3G60370] MELVSSSRSVLPRCGGGGVAGVAAAPFRTASASSRRKGTSGFVCALGCDGEGKKSVAEGTVRRRAALALLLASPAMSVAFSAHGKTKSRNPYDERRLLQQNKKIQEANRAPDDFPNFIREGFEVKVVTSDNYITRDSGLLYEDIKVGTGNSPKDGFEEGIRDMKPGGKRRIIIPPELGPPDTVGKALLKISSIIKIEDVFAGTLVGPSTFFSAKQFEVFDIELLAVQDCQRRTIAFYSDVVCS >ONIVA07G13320.2 pep chromosome:AWHD00000000:7:13628154:13632154:1 gene:ONIVA07G13320 transcript:ONIVA07G13320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G60370) TAIR;Acc:AT3G60370] MSVAFSAHGKTKSRNPYDERRLLQQNKKIQEANRAPDDFPNFIREGFEVKVVTSDNYITRDSGLLYEDIKVGTGNSPKDGFEEGIRDMKPGGKRRIIIPPELGPPDTVGKALLKISSIIKIEDVFAGTLVGPSTFFSAKQFEVFDIELLAVQDCQRRTIAFYSDVVCS >ONIVA07G13310.1 pep chromosome:AWHD00000000:7:13621663:13627892:-1 gene:ONIVA07G13310 transcript:ONIVA07G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquinol-cytochrome C chaperone family protein [Source:Projected from Arabidopsis thaliana (AT5G51220) TAIR;Acc:AT5G51220] MSRWRAAAARISAAAESRLLSRAFSRVGTPAPQPPCRGFSKASAAAAASSRGPAAAAATTTATAAGARPEVRLNSMFLSKPCSLALPPDSPLRVEDPKFEGIKHLMLTLLLFYSKQSKSIRGANVVYHRITSQVDKSDIFDVFQLEKTFKTTFSLLVLHMWLILRRLKEEGKDGVKFGQYIYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVKYDSAISPEARQDDLVNVIWRNVYADEGSEAMDAAAALARYTRREATCLSLTDKEAMFSGNFKFTALLPNSPSPAKPAGVENGPQTQAAANPTGNFADSDDTVSAPPSSLATHLKSGAQR >ONIVA07G13300.1 pep chromosome:AWHD00000000:7:13605212:13618608:-1 gene:ONIVA07G13300 transcript:ONIVA07G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKDLLHRSADAAKYAATQPPPTPPLTGVSHNILTLLSPLHLQSSSLSSSARAAAAEAEAAAMAGAGGWDPLVGSEIHGFLTYPDLNYEKLVAEAAARWFRPNEIYAILANHARFKIHAQPVDKPVSGTVVLYDRKVVRNFRKDGHNWKKKKDGRTVQEAHEKLKIGNEERVHVYYARGEDDPNFFRRCYWLLDKDLERIVLVHYRQTAEENAMAPPNPEPEVADVPTVNLIHYTSPLTSADSTSGHTELSLPEEINSHGGISASSETGNHDSSLEEFWANLLESSIKNDPKVVTSACGGSFVSSQQINNGPKNSGNIVNTSMASNAIPALNVVSETYATNHGLNQVNANHFGALKHQGDQTQSLLASDVDSQSDQFISSSVKSPMDGNTSIPNEVPARQNSLGLWKYLDDDSPGLGDNPSSVPQSFCPVTNERLLEINEISPEWAYSTETTKVVVIGNFYEQYKHLAGSAMFGVFGDQCVAGDIVQTGVYRFMVGPHTPGKVDFYLTLDGKTPISEICSFTYHVMHGSSLEARLPPSEDDYKRTNLQMQMRLARLLFATNKKKIAPKLLVEGTKVANLMSALPEKEWMDLWNILSDPEGTYVPVTESLLELVLRNRLQEWLVEMVMEGHKSTGRDDLGQGAIHLCSFLGYTWAIRLFSLSGFSLDFRDSSGWTALHWAAYHGRERMVATLLSAGANPSLVTDPTPESPAGLTAADLAARQGYDGLAAYLAEKGLTAHFEAMSLSKDTEQSPSKTRLTKLQSEKFEHLSEQELCLKESLAAYRNAADAASNIQAALRERTLKLQTKAIQLANPEIEASEIVAAMKIQHAFRNYNRKKAMRAAARIQSHFRTWKMRRNFINMRRQVIRIQAAYRGHQVRRQYRKVIWSVGIVEKAILRWRKKRKGLRGIASGMPVVMTVDAEAEPASTAEEDFFQAGRQQAEDRFNRSVVRVQALFRSYKAQQEYRRMKIAHEEAKDHNNNHCTTINVRNGFD >ONIVA07G13290.1 pep chromosome:AWHD00000000:7:13603750:13605192:1 gene:ONIVA07G13290 transcript:ONIVA07G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I0Y9] MGAAAAHVLVFPMPLQGHINVMLHLATALAAAAGVHVTFLHTDHNLRRLGNAAAATTAGSPRRLRFLSVPDGLPDDHPRSASDVPVMVDSLLGAGQAAYRALLGSLLVGSGGAGGFPPVTSVVADALLTFAIDVAEELGVPALAFRTASASSLLAYMSVPRLFELGELPFPPGGDLDEPVRGVPGMEGFLRRRDLPSTFRRHGNDHDVHPKLQMLVDLTTGSCKARAVILNTAASLEAPALAHIAPRVRDVFAVGPLHAMSPAPAAATSLWREDDGCMAWLDGQADRSVVYVSLGSLTVISPEQFTEFLSGLVAAGHPFLWVLRPDMVTARLQHADLQEAVAAAAGHSKARVVRWAPQRDVLRHRAVGCFLTHAGWNSTLEAAVEGVPTVCWPFFVDQQINSRFVGGVWGTGLDMKDACDAAVVARMVREAMESGEIRATAQALAEKVRRDVADGGSSATEFKRLVGFLQELATRIQHAN >ONIVA07G13280.1 pep chromosome:AWHD00000000:7:13596484:13602638:-1 gene:ONIVA07G13280 transcript:ONIVA07G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQKIEEMRRREKRCCSCKRESSILEERRVAAPAEERSAQKRKHFDELAAREEIEVISVNVVYLKLTCPYFQRGMYQMSINESAQPQGPSFFLEMLGQGDCLLSQPPIMQPQTTGPSQLDDPLPITQPTQDYGHVDFSGVEVACRFVRERHSPERLSLSGRRSLAGARRKGKKKDTTTSTNFDDEVNE >ONIVA07G13280.2 pep chromosome:AWHD00000000:7:13596089:13602638:-1 gene:ONIVA07G13280 transcript:ONIVA07G13280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQKIEEMRRREKRCCSCKRESSILEERRVAAPAEERSAQKRKHFDELAAREEIEVISVNVVYLKLTCPYFQRLDDPLPITQPTQDYGHVDFSGVEVACRFVRERHSPERLSLSGRRSLAGARRKGKKKDTTTSTNFDDEALDE >ONIVA07G13270.1 pep chromosome:AWHD00000000:7:13592236:13593708:1 gene:ONIVA07G13270 transcript:ONIVA07G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I0Y6] MGTAPAPAHALVFPWPIQGHLNVMLHLAVALAGAGVHVTFLHTDYNLRRLGAAAAAAVASPWLRFMSVTDGLPDDHPRTVANLGEISRSLHTSGRAAYRALLASSSQLVPADAAGGGAFPPVTTVVADALLPFAIDVAEELGVPALAFRTASACSFLAYMSVPRLVELGELPFPPGGDLDEPVRGVPGMEGFLRRRDLPSPCRHHGANNNDDAAALLGRLVDAAVHCSKARALILNTAASLEEPALAHIAPRMHDVFAVGPLHAMSPAPAAATSLWRADDGCMAWLDCQADRSVVYVSLGSLTVISPEQFTEFLSGLVAAGNPFLWVLRPDMVTARRRHADLQESVTAAAGDSKARVVGWAPQRDVLRHRAVGCFLTHAGWNSTLEAAVEGVPTVCWPFFTDQQINSRFVGGVWRTGLDMKDVCDAAVVARMVREAMESGEIRASAQSVARQLRRDVAEGGSSATELKRLVGFIGELATPIQHAKSESEV >ONIVA07G13260.1 pep chromosome:AWHD00000000:7:13583907:13585954:1 gene:ONIVA07G13260 transcript:ONIVA07G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT3G16250) TAIR;Acc:AT3G16250] MGATMQLVVGLAGVASSSPRLAPPCAVATATTTSSCSVRKQSCSCCGAAHLPRRLQHKQQRRCGIRVRAVETDAAAGGEAEAAPEEPPSVDFAFVAPRLLPDGTPDVHYRTACGGQKLRDIMLDNYIDLYGPYDKLLLNCEGGGECGTCIVEVVEGGELLSPKTDVEKELLKRKPKTWRLACQATVGNPDSTGQMVIQQLPEWKIHEWDK >ONIVA07G13240.1 pep chromosome:AWHD00000000:7:13550459:13561785:-1 gene:ONIVA07G13240 transcript:ONIVA07G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAHVLVFPFPAQGHINCMMHFATGLLGAGLHVTFLHSDRSLRRLGGAAAALAAGSPRLRFLSIPDGLPDDHARAAGDLLEHMESIRTKGSVAYRRVLLASLVRAGDDGSTGVQFPPVTCVVADGIMPLAIDIAEEIGVPALGFRTVSACSFLAYLSIPRLFELGELPFPAGGDLDEPVRGVPGMENFLRRRDLPSFCRGGGGGDSQNDPMLQTVNEVTAHSRKARALVLNTSASMEGPALAHIAPHMRDVFAIGPLHTMFPAPAAAGSLWRADDGCMEWLDGQPDRSVVYVSLGSFTVISLEQFTEFLHGLVAAGHAFLWVLRPDMVGASQSAALREAAAAAGDSRARVVEWAPQLGHRAVGCFVTHAGWNSTLEAAGEGVPTVCWPFFADQQINSRFVGGVWRTGLDMKDVCDAAVVERMVREAMESAEIRASAQALARQLRRDIADDGGSSAAEFQRLVGFIKELSQSMADSKSDDLLTAGTTDMAAAHVLVFPWPVQGHINCMLHFATGLLRAGLHVTFLHSDHTLPAAGDDDDDALAAASPRLRYASIPDGLPDGHPRHAGAAVRLMESVQTQSSAYRSLLAELARGDGDGGGFPPVTCVVADGLLPFAVDVAEELGVPALSFRTASACSFLAYLSVPRLFELGELPFPAGGDLDEPVRGVPGMESFLRRRDLPGQCRNCTELQNDPLLEMVIDFTARSRHARALVLNTAASMERAALDHIARNMRDVFAVGPLHVMSPAPAAALASQWREDDGCMAWLDGQADRSVVYVSLGSLTVISPEQFTEFLSGLVAAGHPFLWVLRPDMVTARLQHADLQEAVAAAAAGDSKARVVRWAPQRDVLRHRAVGCFLTHAGWNSTLEAAVEGVPTVCWPFFVDQQINSRLVGAVWRTGLDMKDVCDAAVLARMVREAMESGEIRASAQALSQQLGRDVADGGSSATEFKMLVAFIEQLSTTAQNGGCSDGG >ONIVA07G13230.1 pep chromosome:AWHD00000000:7:13542981:13548353:1 gene:ONIVA07G13230 transcript:ONIVA07G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I0Y3] MDAATVAGYFKDKSILITGSTGFLGKIFVEKILRIQPDVKKIFLLVRAADTSSAEQRVLNEVIGNELFGPLRENYGSNFYSFMKEKISPLAGDIINENLGLESLEILKLSKEIDIIVNGAATTNFYERYDVSLASNVLGAKYVCKFAKKCANLKMFLHISTAFVSGEQEGLLLEKVFQIGETLKEGCHLDIAAELQLVESVKAELTHSTNARQFGWPNTYVFTKAMGEMLVGHFGRELPVVIIRPSIVSSIYHDPLPGWIEGTRTIDSIISAYAKQTIPHFIGAGHVILDVIPGDMVVNAMLVAMAVHWSERGQVIIHVTSSQQNPLSTSTMLDLMYRYFTANPQTMGKNGKVVKTKRLNITNKTGFRAYMFLKYKLPLEVLHLVNPLLGGYFSQYYNKSIRSYRYFVLLAKLYMPYAFFNACFNGTNLARLQTATTQDQSKEACVLNFDPKSIDWEYYLYNSHIPGVMKYAHKKK >ONIVA07G13220.1 pep chromosome:AWHD00000000:7:13539490:13540359:-1 gene:ONIVA07G13220 transcript:ONIVA07G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGAATAMPTLLMTIFLVALVSGGRVASQPQPQEAPAPAPEGTGSSSGACTAVLAKLADCVQYATAGSPLRQPPGSCCSKVERGVKDPAAVGCVCTLLAGNTYGLPLNLTRAAGLPAACGAPPTALSNCNVPSPKGGDRSGSSPKSAATPAPITIVVFVATVAAVFCYL >ONIVA07G13210.1 pep chromosome:AWHD00000000:7:13527883:13528511:-1 gene:ONIVA07G13210 transcript:ONIVA07G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPLGGDVKESFGSGEECEEARDEQDQRAEVPTLRRTAASPWRWRPNEGTIAVAAEMAVVEPDSGRAHGYDNGSVEVNDGTAEAENGTRGSAGRRRRPVWCVRGGAGAHVPAETAAAVLSSFISLEALSRQPPCRNL >ONIVA07G13200.1 pep chromosome:AWHD00000000:7:13506501:13510587:-1 gene:ONIVA07G13200 transcript:ONIVA07G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTVLPLVLVMLLLPLAAIASGDPPWQHYCGSSGNYTAGSKYQANLQALAATLPSTASSSSPALFAKDAAGAGDAEPDRVFALTLCRGDTASANASSSSCADCASRAFRDAQSVCPYSKEVAVYYDPCLLYFSGDDFLSSPANPAQVRLYDVDRSTRRGGGGADFVTLVRALLSYTMQWAVPYNSTGGGAAAVRWYTTVRMDVVTPPLFSLMQCTPDMSGGDCRQCLQDLVGNTTFNGSVSGVRNIGARCGYRYDTYKFYGGEPKLKIGSLSEINSTAPSSPPPPPPVTETRSGRKKVLTVALLVPLIALCPVVIFCFAWIRRLRNHYSCVISLLITQINVAKKGYNGQRRSIKALETRRKRFRIEDATSNFSEDKKLGEGGFGSVYKGQLPNGLEVAVKRLAAHSSQGLVEFKNEIQLIAKLQHTNLVNLRGCCIQGEENLLIYEYMPNKSLDFFIFDLKRAASLNWKTRLNIIEGITQGLLYLHKHSRLCIIHRDLKASNILLDRDMNPKISDFGLAKIFDSNDVQRNTKRVVGTYGYMAPEYASEGCFSLKSDVFSFGVLVLEIISGKRNAGFHQYGDFFNLLGYAWQLWKDGSWHELVDPSLVSEGQMMEIKKCMKVALLCVQENAVDRPTMSAVVKMLSSELKILPEPKQPAFFNVRVKHGELSNTAPSSINDVTITIVNGR >ONIVA07G13190.1 pep chromosome:AWHD00000000:7:13491360:13496538:1 gene:ONIVA07G13190 transcript:ONIVA07G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:small G protein family protein / RhoGAP family protein [Source:Projected from Arabidopsis thaliana (AT5G61530) TAIR;Acc:AT5G61530] MPLAESPQWRQKATNFFSSSSFKLKQAGQSAGDNIADVAGKVGSVVKSRWAVFQEARQRQPPPGETVQERFISAAATTGVLLRKGISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLVLVRCADYLVISGLSNEYLFKSEGEKKVLQQLVSLYNEDSGSPLPDGVNPIDVAALIKCYLASIPEPLTTFSLYDELRDARVSIADLRNILKKLPNVNYMTLEFVTALLLRVSRKSSLNKMDSRSLAVEFAPLIMWQQGDSGTDLRNHLRFTLKAPPKIVDTTSNTTEWDLLDEDDVDASSQIPLDDASPTDYSSIEVIQSLIEHHNAIFTDANETVWR >ONIVA07G13190.2 pep chromosome:AWHD00000000:7:13491360:13496846:1 gene:ONIVA07G13190 transcript:ONIVA07G13190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:small G protein family protein / RhoGAP family protein [Source:Projected from Arabidopsis thaliana (AT5G61530) TAIR;Acc:AT5G61530] MPLAESPQWRQKATNFFSSSSFKLKQAGQSAGDNIADVAGKVGSVVKSRWAVFQEARQRQPPPGETVQERFISAAATTGVLLRKGISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLVLVRCADYLVISGLSNEYLFKSEGEKKVLQQLVSLYNEDSGSPLPDGVNPIDVAALIKCYLASIPEPLTTFSLYDELRDARVSIADLRNILKKLPNVNYMTLEFVTALLLRVSRKSSLNKMDSRSLAVEFAPLIMWQQGDSGTDLRNHLRFTLKAPPKIVDTTSNTTEWDLLDEDDVDASSQIPLDDASPTDYSSIEVIQSLIEHHNAIFTDANETVWR >ONIVA07G13180.1 pep chromosome:AWHD00000000:7:13466708:13470471:-1 gene:ONIVA07G13180 transcript:ONIVA07G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCRSLRHSLVYLNRRSSPNTRCFDALSYFAQVPEVFYYAEKAMLNPIAPLSGQEAQSLKRRIGEAAEAFTIEDKMEVGLQPTGMPCTSMMLRAAACCLLAIVLEII >ONIVA07G13170.1 pep chromosome:AWHD00000000:7:13457191:13463027:-1 gene:ONIVA07G13170 transcript:ONIVA07G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I0X6] MPPRARHRQQRGHGQGPPVPAPPPPPPDPTQTQGIRVFELNVSLVGAAEYTRLQNERDQHCSQLSAVHFNGTPMTTGDDSSCHLVRLTHPDYQQRVQLLFRDRDQYLLAFQRGDGRWFRFLGLEVPGLNAEILNLQSSHGSMLRGPRYYSI >ONIVA07G13160.1 pep chromosome:AWHD00000000:7:13447688:13450394:1 gene:ONIVA07G13160 transcript:ONIVA07G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRSATRRKEAAASPAMGTRATGKSKTTEAVGARAARGNKQAGAATKREEATAMAIAGTGSGQSPSPEVEMDAEAEAEPSTRKRPQASGPEEGKVEGEEGDSALAKRVRDDAEDDEEDEEAAQAFNFRHFWNFLFSAQANFEDITDVPPMRHTDDPGAIHAKCRDAVQVYSVEVKQIKCGLQWPIEVFGHVAVRDSIDRKRNLVFNRGRDDCQTLTAQHMHVKCCCCRELLRCRKLPLLPRVATAIVPAATAQVAAKARTPL >ONIVA07G13150.1 pep chromosome:AWHD00000000:7:13418897:13424933:-1 gene:ONIVA07G13150 transcript:ONIVA07G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAEAVAGAAVMGVFKYNFTAQFLSRVTPFLYNSWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNDSDSGNAISDEEILKTFRKLMLQEGEKFVLVWFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYATFAQLASGLVVISFGPSYSYTLLKLLYGARYSDGDATVILRYYCFYIICLAMNGTSEAFLHAVANEDKLKQSNDMLLLFSAIYIVLNVVLIKSAGAVGLIAANSINMLLRITYSAAFIKDYFKGSFSFRHCLPAGWGVLLISGLTTAFSERMFLNRNRFKQTLPIHMAIGIMCLGFSSLEIYRGEKQFLMSIIRSLKSRDKLA >ONIVA07G13150.2 pep chromosome:AWHD00000000:7:13418897:13424933:-1 gene:ONIVA07G13150 transcript:ONIVA07G13150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAEAVAGAAVMGVFKYNFTAQFLSRVTPFLYNSWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNDSDSGNAISDEEILKVAWMIVPFGILVSFISSLFVLRVKKLRLSDTYAKATLIIGQTFRKLMLQEGEKFVLVWFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYATFAQLASGLVVISFGPSYSYTLLKLLYGARYSDGDATVILRYYCFYIICLAMNGTSEAFLHAVANEDKLKQSNDMLLLFSAIYIVLNVVLIKSAGAVGLIAANSINMLLRITYSAAFIKDYFKGSFSFRHCLPAGWGVLLISGLTTAFSERMFLNRNRFKQTLPIHMAIGIMCLGFSSLEIYRGEKQFLMSIIRSLKSRDKLA >ONIVA07G13150.3 pep chromosome:AWHD00000000:7:13418897:13424936:-1 gene:ONIVA07G13150 transcript:ONIVA07G13150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAEAVAGAAVMGVFKVTPFLYNSWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNDSDSGNAISDEEILKTFRKLMLQEGEKFVLVWFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYATFAQLASGLVVISFGPSYSYTLLKLLYGARYSDGDATVILRYYCFYIICLAMNGTSEAFLHAVANEDKLKQSNDMLLLFSAIYIVLNVVLIKSAGAVGLIAANSINMLLRITYSAAFIKDYFKGSFSFRHCLPAGWGVLLISGLTTAFSERMFLNRNRFKQTLPIHMAIGIMCLGFSSLEIYRGEKQFLMSIIRSLKSRDKLA >ONIVA07G13150.4 pep chromosome:AWHD00000000:7:13418897:13424933:-1 gene:ONIVA07G13150 transcript:ONIVA07G13150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAEAVAGAAVMGVFKYNFTAQFLSRVTPFLYNSWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNDSDRLSALMDYDKQLLRMCMLFTGQTFRKLMLQEGEKFVLVWFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYATFAQLASGLVVISFGPSYSYTLLKLLYGARYSDGDATVILRYYCFYIICLAMNGTSEAFLHAVANEDKLKQSNDMLLLFSAIYIVLNVVLIKSAGAVGLIAANSINMLLRITYSAAFIKDYFKGSFSFRHCLPAGWGVLLISGLTTAFSERMFLNRNRFKQTLPIHMAIGIMCLGFSSLEIYRGEKQFLMSIIRSLKSRDKLA >ONIVA07G13140.1 pep chromosome:AWHD00000000:7:13407876:13421377:1 gene:ONIVA07G13140 transcript:ONIVA07G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G17380) TAIR;Acc:AT4G17380] MEGDAAGAGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVTCTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKNVHCSSLELASLNARNKSAAVECFLRTELCLEGLINEIREDIGILTLLAEVLCLLDMLVNSFAFTISTKPVDRYTRPEFTDDGPMAINAGRHPILESLHNDFVPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKYYGSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >ONIVA07G13140.2 pep chromosome:AWHD00000000:7:13407876:13421377:1 gene:ONIVA07G13140 transcript:ONIVA07G13140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G17380) TAIR;Acc:AT4G17380] MEGDAAGAGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELVGKHLPTNKKITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTRTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVTCTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKNVHCSSLELASLNARNKSAAVECFLRTELCLEGLINEIREDIGILTLLAEVLCLLDMLVNSFAFTISTKPVDRYTRPEFTDDGPMAINAGRHPILESLHNDFVPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKYYGSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >ONIVA07G13140.3 pep chromosome:AWHD00000000:7:13407876:13421377:1 gene:ONIVA07G13140 transcript:ONIVA07G13140.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G17380) TAIR;Acc:AT4G17380] MEGDAAGAGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELVGKHLPTNKKITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTRTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVTCTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKNVHCSSLELASPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKYYGSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >ONIVA07G13140.4 pep chromosome:AWHD00000000:7:13407876:13421377:1 gene:ONIVA07G13140 transcript:ONIVA07G13140.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G17380) TAIR;Acc:AT4G17380] MEGDAAGAGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTRTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVTCTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKNVHCSSLELASPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >ONIVA07G13130.1 pep chromosome:AWHD00000000:7:13404102:13404329:-1 gene:ONIVA07G13130 transcript:ONIVA07G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRPRRACVGTATEVPAAKGRAQFTARRASAAKDRAPSAGGRAPWIRGRGSGVVGRGRRGERHCRWRERDGVAS >ONIVA07G13120.1 pep chromosome:AWHD00000000:7:13393675:13394420:-1 gene:ONIVA07G13120 transcript:ONIVA07G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLQEALVDIETDAEQLLLARHQLVENDRIRNGNREALTALRKRAKTTKTSVPTPFEIIMKGLEGTSGKQLVKEICPTCGDHDPKEDTWLMFPGSDIFARVPFHVAHTVLDKDQERLDYDTKKLQSFVKEKSFVISEKGALADRISPGIVKSLVSLTDKPK >ONIVA07G13110.1 pep chromosome:AWHD00000000:7:13387403:13390730:-1 gene:ONIVA07G13110 transcript:ONIVA07G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAATGGGGGEDDAAVPLLLPVLPPAAGWCSWEWEDVAISAGLVAVQLAGAAYMVVLAPVLALGLDPLFLVTFGSLATGLFTLPFAINLERKRWPVTVFQALMLHGMKKTSPAIASTMPNLAPVFIFVVAACLGFERVDLSCRYTRAKIAGTVLCLAGAVTMSVLQSPAAAAATRSSSHRTTTAAAANAGGGDWAIGCACLLGAVLVVSGTTVLQAATMVHFPAPFTLCSATSLAGAALTGAFQAATAAGGLSPGTPQISLQIILSLLLVGGLVSSVCVMFQTWALEKKGPVVVSLFSPTQTVGSAIFSAFMLGMVFLFSGLYVVLWAKKKECQVDRMVEDGTANDIEKPLLFQD >ONIVA07G13100.1 pep chromosome:AWHD00000000:7:13380297:13388884:1 gene:ONIVA07G13100 transcript:ONIVA07G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17300) TAIR;Acc:AT4G17300] MAAAAATRLLRLAPRRLQGPPRACPFAALPLHPTPARLAATTSRWRRFCAAAQASAPPPPPAAAAAAAASGEAVGEFRRRTRVADVKGGEDEGAAWVGKQLAVRGWVRTCRAQRTVTFVEVNDGSCLSNMQCVLTPDTEGYDQIDSITTGASVLVEGVIASSQGGKQKLELKVSKISVIGESDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVASPIITASDCEGAGEQFYVTTLISNSAEGGSLVKDIPSTKDGRVDWSQDFFCKPAFLTVSGQLNGETYATALSDVYTFGPTFRAENSNTSRHLAEFWVKYVLENCKEDMDFFNTWIEKGIIDRLNDVVEKNFVHLSYSDAIELLVGSKKKFEFPVKWGLDLQSEHERYITEVAFGGRPVIIRDYPKEIKAFYMRENDDGKTVAAMDLLVPRVGELIGGSQREERLDYLEARLDELNLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFATGMDNIRDTIPFPRVPGSAEF >ONIVA07G13090.1 pep chromosome:AWHD00000000:7:13362074:13365375:1 gene:ONIVA07G13090 transcript:ONIVA07G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMKFAEGSYVANELDMLSLASSVYTAPLFQTEFDSVYVPEYGDVGNSQEGLFPGLFISDGFVFPPSEHENLPIESDLDGSNNNNNGQESSCAGNIYEGCNEPAKEVDGRSLSVSGDLHSANETTIPNLEPPEIHAEQVKDNATIKCDLPCEGWLKRKSNCLSHRMKGVTTVCTIVAAGALMGFVIIGQRWQQDKLHLHHFQFNIGTEGGNRIVGIFSRCKDALPSSQQLKSLLPTRVLPQEPLSA >ONIVA07G13080.1 pep chromosome:AWHD00000000:7:13347498:13354246:-1 gene:ONIVA07G13080 transcript:ONIVA07G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEQVDAAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIRIPSARRSSVADGLSIQEILENWLKLKPTIMSEWNEDRDSLVDLFGSIRDDWIENDLSGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIEFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLHFVEDRLATLKNVIKEPALDQWNLYLVNWGYNTPKEREDAEGISRIQVIDLPGFSQKLK >ONIVA07G13080.2 pep chromosome:AWHD00000000:7:13348567:13354246:-1 gene:ONIVA07G13080 transcript:ONIVA07G13080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEQVDAAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIRIPSARRSSLRYPGGFKKKTPEANQMELECSMAISRMPSLLQLSSSYAPPREQSRVVVDGLSIQEILENWLKLKPTIMSEWNEDRDSLVDLFGSIRDDWIENDLSGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIEFPSERIYGLGTGLSKGKSSPATAANATASRPDTSVALCLCWRAGHLPTAADLRLILHKLHSVCALLRMAMEGNYVAAMRMRRLENSMTLMP >ONIVA07G13080.3 pep chromosome:AWHD00000000:7:13348567:13354246:-1 gene:ONIVA07G13080 transcript:ONIVA07G13080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEQVDAAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIRIPSARRSSVADGLSIQEILENWLKLKPTIMSEWNEDRDSLVDLFGSIRDDWIENDLSGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIEFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLQAGHLPTAADLRLILHKLHSVCALLRMAMEGNYVAAMRMRRLENSMTLMP >ONIVA07G13080.4 pep chromosome:AWHD00000000:7:13348567:13354246:-1 gene:ONIVA07G13080 transcript:ONIVA07G13080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEQVDAAMEEWIVEQIFAQWWKQDGLSIQEILENWLKLKPTIMSEWNEDRDSLVDLFGSIRDDWIENDLSGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIEFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLQAGHLPTAADLRLILHKLHSVCALLRMAMEGNYVAAMRMRRLENSMTLMP >ONIVA07G13080.5 pep chromosome:AWHD00000000:7:13347498:13348193:-1 gene:ONIVA07G13080 transcript:ONIVA07G13080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKPTRGGSVLEEGEDFVEDRLATLKNVIKEPALDQWNLYLVNWGYNTPKEREDAEGISRIQVIDLPGFSQKLK >ONIVA07G13070.1 pep chromosome:AWHD00000000:7:13338551:13343279:-1 gene:ONIVA07G13070 transcript:ONIVA07G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARPRRAASSSARLLRRLLPRRLLLLPAALLLFLLLPYTPGVLLRRANSLGRRCLPLPHAAAGDLVLLPRAAPRLKISIVTLSDEGAASGSDQDHGRRGRSFRGVLAATARNKRSYAAANGYGLAVLPAASVDPRRPPSWSKVLALRAHLRHHHWLFWNDADTLVTNPDIPLEMILFSVIGHSDFDAAPDLILTEDFNGVNAVTIRWLDLSTSSVPVLFKPLLLNR >ONIVA07G13070.2 pep chromosome:AWHD00000000:7:13339242:13343279:-1 gene:ONIVA07G13070 transcript:ONIVA07G13070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARPRRAASSSARLLRRLLPRRLLLLPAALLLFLLLPYTPGVLLRRANSLGRRCLPLPHAAAGDLVLLPRAAPRLKISIVTLSDEGAASGSDQDHGRRGRSFRGVLAATARNKRSYAAANGYGLAVLPAASVDPRRPPSWSKVLALRAHLRHHHWLFWNDADTLVTNPDIPLEMILFSVIGHSDFDAAPDLILTEDFNGVNAVTIRWLDLSTSSVPVLFKI >ONIVA07G13060.1 pep chromosome:AWHD00000000:7:13335661:13337273:-1 gene:ONIVA07G13060 transcript:ONIVA07G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMRGASPVVVLVLVASVLAPGAMSAKFVFTNNCHEVLYPGVLTPATAQAFPTTGFELQPGASAAYDGVPDNWSGNIWARRLCSTDASGRFSCESGDCGTGRVECDGRGNGPPSTLSEFTLRGGSAHDTDFYDISNVDGFNVPVQVAPSAAGCSAVACAADIDASCPAELAVKGAGGAVVGCKSGCLAFDRDDLCCRGAYGTPDKCPPSQYSKFFKDKCPQAYSYAYDDKSSTFTCTSGASYQITFCP >ONIVA07G13050.1 pep chromosome:AWHD00000000:7:13304337:13306633:1 gene:ONIVA07G13050 transcript:ONIVA07G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQVLSDRTGIYPKNLTNRHFVRLLGKGLVLTDGDEWKRHRKVVHPAFNMDKLKMMTMTMSDCSRSMMSEWESELAAKGGLVEIELSRRFEELTADVISHTAFGSNYKEGKQVFLAQRELQFLAFSTFLTVQIPGFSYLPTMKNFKTWSLDKKVRGMLMDIIKTRHANKNVAWYGNDLLGLMLEACAPEHGESCPQLSMDEIIDECKTFFFAGHDTTSHLLTWTMFLLSTHPDWQEKLREEIAMECGDKVPAGDMLNKLKMVNMFLLETLRLYSPVSLIRRKVGTDIELGGIKMPEGALLTIPIATIHRDKEVWGEDADEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHAPTDVITLRPKYGLPMILKSLKL >ONIVA07G13050.2 pep chromosome:AWHD00000000:7:13304337:13306646:1 gene:ONIVA07G13050 transcript:ONIVA07G13050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFKTWSLDKKVRGMLMDIIKTRHANKNVAWYGNDLLGLMLEACAPEHGESCPQLSMDEIIDECKTFFFAGHDTTSHLLTWTMFLLSTHPDWQEKLREEIAMECGDKVPAGDMLNKLKMVNMFLLETLRLYSPVSLIRRKVGTDIELGGIKMPEGALLTIPIATIHRDKEVWGEDADEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHAPTDVITLRPKYGLPMILKSLKL >ONIVA07G13040.1 pep chromosome:AWHD00000000:7:13302570:13303416:1 gene:ONIVA07G13040 transcript:ONIVA07G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVMVEETKRRQRQPSGLDAARAFLAGAGRDGLVKTMSWLPKTGGSDALCVVSFLEASS >ONIVA07G13030.1 pep chromosome:AWHD00000000:7:13258263:13268855:-1 gene:ONIVA07G13030 transcript:ONIVA07G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSLYVAAASTAASAAALQWWAGSLLDGDADAAGGGGGGGGGDWLGTVLGSHVTVALLANLAAHVFLVLILSLKTLFFVQLTSSETRKLLEHIINYVLYKMFQSLARERLEQLNASPSATPSKYFRVYSALLLVLSADVLWIKLCVGFCKSCNSQLFWLMFFEPLSIGFETLQSIMVHGFQLFDIWQRHQMDSGVDYLDFQKTYKQAAVQVEQSMSHFLYMRLLNYLNFTTRVQSCFVTAGSFSEWRGRLVRNFGFVIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALIASFWKRIKTYAKLRKALSSLDGALPDATYDEICAYDDECAICRVGPRINGWLLMSNLSKTSLSVISRSHKIYSREQLNAGLNQQRVPGHEHPIPIEHQNPADAVWRDNMEEKAANVEISSAKTKWELRGAKTAGASEEAALQFVPMKKQPTASVAYSEGAGLDSSWAPPWSSPGMDNPSSSSAVTSVGLSGVQMMMRQLTSVTDNYGHPDATWSLWPEPVAGSSVVPSSSSRPDGASAAGLRFRGTARPANGSMSEVLSMVDRVREVLPHIPDELIIEDLMRTNNINATVNNLLLMQ >ONIVA07G13030.2 pep chromosome:AWHD00000000:7:13258345:13268855:-1 gene:ONIVA07G13030 transcript:ONIVA07G13030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSLYVAAASTAASAAALQWWAGSLLDGDADAAGGGGGGGGGDWLGTVLGSHVTVALLANLAAHVFLVLILSLKTLFFVQLTSSETRKLLEHIINYVLYKMFQSLARERLEQLNASPSATPSKYFRVYSALLLVLSADVLWIKLCVGFCKSCNSQLFWLMFFEPLSIGFETLQSIMVHGFQLFDIWQRHQMDSGVDYLDFQKTYKQAAGSFSEWRGRLVRNFGFVIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALIASFWKRIKTYAKLRKALSSLDGALPDATYDEICAYDDECAICRVGPRINGWLLMSNLSKTSLSVISRSHKIYSREQLNAGLNQQRVPGHEHPIPIEHQNPADAVWRGAGLDSSWAPPWSSPGMDNPSSSSAVTSVGLSGVQMMMRQLTSVTDNYGHPDATWSLWPEPVAGSSVVPSSSSRPDGASAAGLRFRGTARPANGSMSEVLSMVDRVREVLPHIPDELIIEVHFYFLSTLVLAITLRTGMH >ONIVA07G13020.1 pep chromosome:AWHD00000000:7:13254252:13256207:1 gene:ONIVA07G13020 transcript:ONIVA07G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMLALHAGEEWQANSASGLAVNDECKFKFQELKARRGFRFIVFKIDDKAMEIKVERLGQTAEGYEDFAATLPADECRYAVYDLDFVTDENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIIRARAH >ONIVA07G13010.1 pep chromosome:AWHD00000000:7:13235682:13243147:-1 gene:ONIVA07G13010 transcript:ONIVA07G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEYELEYGADCLEMHVDAVQPGERALVVDDLVATGGTLCAAIVLLERAGAEVVECACVIELPELKGRERLNGKPLYVLVESHS >ONIVA07G12990.1 pep chromosome:AWHD00000000:7:13216340:13224516:1 gene:ONIVA07G12990 transcript:ONIVA07G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRRQSRAFHRRARSAALGRWRSKLASVWSTPFRLCSKPTNSSNPCTPASPAMPSLAPTSGKDDLNFVLFSVQYPFKIFQLVRMDREFRMVVGNLLQNCRSMMHGKIKQLLIMPFVEFMCLSLQGQRRLQTTYKPFAPWGY >ONIVA07G12990.2 pep chromosome:AWHD00000000:7:13215946:13224516:1 gene:ONIVA07G12990 transcript:ONIVA07G12990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRRQSRAFHRRARSAALGRWRSKLASVWSTPFRLCSKPTNSSNRNPQPDLLHLSPTLDLYLTICWLLPWKDKGHLMLAVVPHHHLLSQRALLHPQPCQAWRRPPARTTSTLCCSASNTHSKYSSWSGWIGNSGW >ONIVA07G12980.1 pep chromosome:AWHD00000000:7:13211579:13215579:1 gene:ONIVA07G12980 transcript:ONIVA07G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDASRLGESLDAVSAAFQSRVMELQELVLARNMYPATAIPDLAAVDVSLTAMEAQLQAVRRRLQEEREAFPKAKKLVQQSLKQQRRLQLMLANMPTGMREDVFATPLEHNSSMMFPESLNFSSAVPEVRDHDLKIKEEPTAPPKKKGRGPAPRWYISTEELDSLSSYMRGRLTLEKVNIAINEVASYADGNAHLVACPKKKLSEDTWEKALVLRDIAARESVKGKHFFLETDIKGPGLKLDTTGKAILTVLRHLGRFQETRIGHHRVFILSKQQ >ONIVA07G12970.1 pep chromosome:AWHD00000000:7:13200841:13201292:-1 gene:ONIVA07G12970 transcript:ONIVA07G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRTLSWLSRCSEERSQVEACDIPELKCACGWPAAPRTSSTIRNPARRWLQCGGEVNLWPREILSCISSISFPIPFPSFSCKEYVEDMMAYYHAGEYNSLRETCNQLRWQLVDAQGHNIELSSILEAKEEQLQLC >ONIVA07G12960.1 pep chromosome:AWHD00000000:7:13196927:13197695:1 gene:ONIVA07G12960 transcript:ONIVA07G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLPADPWARRRGDGSRRIQSDHLFKAMSIPNPKSIETYSFNVEFVLSSLVELTWGPNQSPTIWYFCKSISEDVRLLENGQIPELFEIYAEESHFELIVSILDAAKGVPFAINALNPICVAPPEFPAEIHPEIPPDIPHEILADIPASIVGGFDQLTTEEAEVREADIFDNEEYVGVDDECLYVPASK >ONIVA07G12950.1 pep chromosome:AWHD00000000:7:13190863:13193640:-1 gene:ONIVA07G12950 transcript:ONIVA07G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-ureidopropionase [Source:Projected from Arabidopsis thaliana (AT5G64370) TAIR;Acc:AT5G64370] MDSSNGERPPQGEDAPAAAAGSIGGYESLHRLLQSNLSPELFKEASRLLLGLNCGRALEAISLPEATSALAKAHNFDVQAFRFDADKEYLRQPQVVRVGLIQNSISIPTTSHFADQKKAIMEKVKPMIDAAGDAGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQQLAKKYNMVIVSPILERDVNHGEIVWNTAVVIGNHGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGINICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLMISDMDLNLCRQIKDKWGFRMTARYDTYASLLSEYLKPDFKPQVIVDPLINKSA >ONIVA07G12940.1 pep chromosome:AWHD00000000:7:13186161:13191874:1 gene:ONIVA07G12940 transcript:ONIVA07G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I0U0] MGSCGNGRSSEYDDPASLEKMEELVLPLKLMPLHTNCRLYDMRLSSPTATCVINSSSGSFDPIYRAWTKKYPSALNAFDHIVAYGKGKKIALFLDYDGTLSPIVDEPDNAIMSDQMREVVRNAALHLPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVGEHDSVTDHRSSINSNRKQGKGVKIFQAGTEFLPMINEVFRLLIDKTKAIDGVKVENNKFCVSVHYRNVEEKNWQLVSQCTNDVLKVYPRLRLTHGRKVLEVRPVIDWNKGKAVEFLLDSLDLANCKNVLPIYIGDDRTDEDAFKVLRDDKRGFGILVSSVPKDSHALYSLIDPSEVMEFLKRLVMWKKEEASHNK >ONIVA07G12930.1 pep chromosome:AWHD00000000:7:13170195:13171640:-1 gene:ONIVA07G12930 transcript:ONIVA07G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEEKKEMQDPRTQAIASTIRVVPNFPKPGIMFQDITTLLLNPPVFKDTIDLFVERYTGKGISVVAGNI >ONIVA07G12920.1 pep chromosome:AWHD00000000:7:13123126:13126161:-1 gene:ONIVA07G12920 transcript:ONIVA07G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNLKLGVEVTSAHDLLPKEQGTCNPYVEIEFDDQKFRTAIKERDINPVWNEQFYFNISDPSRLTEKDLEAYVYHANRASNSKTCLGKVRISGTSFVSHSDATPLPYPLEKRTILSRARGELGLRVFLTDDPSVRVSAPGQEFDFISTPTTAQEQVAANAIPNPFQETRADQVRQFQHLPKEQHQHRPQPMTAQPYYPESSYGQQQQKTYSAVGNKAEGPPPPVMRMYAQGPQQQPVEFQLKETSPTLGGGRVIGGRVIPGEKAGAYDLVEKMQYLFVRVVKARDLPHMDITGSLDPYVEVHLGNYKMKTRHFEKNQRPEWDEVFAFPREVMQSTSLEVIVKDKDFIRDDYVGRVSIDLNEVPLRVPPDSPLAPEWYRLVGKEGRRDKGELMLAVWYGTQADECFPSAIHAGSEPIDSHLHNYIRGKVYPVPRMWYVRVNVIGAQDIFPMENHIPDVFVKVRLGHQMLKTRPARSPTRNFMWNEEMMFVAAEPFEEDLIIQIEDRVAQNKDEVIGETMIPLARLPRRADHKPVLPAWFDLRRPGLIDLNQLKEDKFYAKVQLRICLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGLLEVGILSANGLNPTKTKHEQGSCDAYCVAKYGQKWVRTRTIVDNLNPRFNEQYTWDVFDHGTVLTIGLFDNCHISADSNHSSSPGHMDKPIGKVRIRLSTLETGRVYTHTYPLLVLHPSGVKKMGELHLAIRFTATSLLNVLFTYSRPLLPKMHYAQPLSIVQQEMLRHQAVQLVAQRLGRMEPPVRREVVEFMSDARSHLWSMRRSKANFFRLMQVFSGFIAAGKWFGDVCQWKNPVTTVLVHVLFIMLVFYPDLILPTIFLYMFLIGLWNYRFRPRFPPHMNTRISHADMTNPDELDEEFDTFPTSKSPDLVRMRYDRLRHVAGRIQTVVGDIATQGERLQSLLSWRDPRATSMFLLFCLLTAVILYVTPFQVIALCLGFFWMRHPRFRHKVPSAPVNFFRRLPAKTDSLL >ONIVA07G12910.1 pep chromosome:AWHD00000000:7:13119726:13122687:1 gene:ONIVA07G12910 transcript:ONIVA07G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAAAAASPDPPEDPSPSPSSAPSPAAAAPPPGPRELAAAMEAVERDVAAISDSYASLFASLRVALANVTSTSAENMECLGDVVGRLQESDPRRVKVLLQMKSTE >ONIVA07G12900.1 pep chromosome:AWHD00000000:7:13115769:13116633:1 gene:ONIVA07G12900 transcript:ONIVA07G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYWILLTSRRRIGKQEIAITCVIHVQITKMRIYLIAEWTVDVHAYLIQRGFMEDYTCWVKHREQESGSGAQNQEDEDHEHESGSAAPPSGSPLPSPSPTSSSTEPGTIPKPASAAVDLLHTVLAPILATTASTSPAVPEHAHRLTSSSAGYFIDVKVI >ONIVA07G12890.1 pep chromosome:AWHD00000000:7:13088560:13091401:-1 gene:ONIVA07G12890 transcript:ONIVA07G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDILLGSHSYAALCVVTLLIGWLTHWVYKWMNPPCNGRLPPGSMGFPVVGETFQFFRASPSVDMPSYYKQRLERYGPLFKTSLVGQPLVVSLDPEVNRFIFQQEGKLFRSWYPETANNIFGKKSLTTYNGTVHKFIRSFASKLFGLENLKESLLPELENSMRESFASWASKPRIEVQDGVSDMIFDLVAKKLIGLNVTQSRELRKNFQEFFQGMVSFPIYFPGTSFYRCMQGRKNVRNTLTDVMKERLSAPEKKYGDLVDLIVEELQSEKPVIDENFAIDALAALLFTSFATLSSTLTVALKFLNDNPKIVEELKEEHDVILKKREVMNSGFTWEEYKSLKFTTQVTNEITRISNVAPGVFRKTLTDVQVNGYTIPSGWLVMISPMAVHLNPELFEDPLKFDPWRWTEEKRSSLLRNYMPFGGGIRLCLGAEFSKLFIALFLHILLTEYRWKEIEGGEVLRISEIMFPQGYHIQLIPRT >ONIVA07G12880.1 pep chromosome:AWHD00000000:7:13074205:13084018:1 gene:ONIVA07G12880 transcript:ONIVA07G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGVVVAAAYETDNARPRPGVDPQLLMAARRGDSKLLEEKLVGLNDDRVEAAASEEAVVVVDVVVVDVVPPPPRRTPPPPPPPAAADVIPASSSSLPAAPPPPLDGVTAEGDTLLHVVAECGDGLEFRRCARLIYDTEKRLLDARNGRGDTPLHCAARAGNAEMISFLIDLAAASRDGKAATEAERKVAYLRVHNNRGETALHHAVRAVATAAGRKGGRIEKQLDCIDRLIAEDAELAAIPPPSEKAAASPLYLAISLGEIGIAKHLFVISEGNLSCSGPNGRNVLHAAVSHDQALQALPMVLEWLQNKKLKTADVDMQQLTSQRDKDNGSTPLHLAASMAGLPSEGSKSAGRSATRLLLDANVSTAYQPDNQGRYPIHAAASAGSLEAVKALLQKCPDCATLRDARGRTFLHAAVEKTSLKVINYVLGSPGLSSILNLQDDNGDTALHSAVRLDMPNKEGMMPADVSWSMMPLKTYYAWDPRIKIRNLLCILRAPFGESRGDLFDEKHAKIVVESKRDMENMSENITAAAQVLALFSVLITTLTFASAFTLPGGYRSAGDYGGAAGTPVLARRGSYAFDAFILADALAFICSFVATSFLLYAGVPAHKLEVRLKNINFAYGLMMNSGRCLVVALALGFYVVLLPPVGRTIAIEIGVVMIMVAIVAFTKDSEGRVKPTFITVPVMRNNWKPSQEVVRSFITYFYERYWSFILIFGLPAIHTWAKANDNSNTVAGNSLISSALQFGAIYFDEKYGKNMEKFTSAGLSSNIFFRGVNFNKEREEKKQRKYIQMDKSLRIRKLLWILRAPFGENRGDLFDEKHARIIDESKRDMEKMSENVTAAAQVLALFSVLITTVTFASAFTLPGGYRSAGDDGGAAGTPVLARRGSYAFDAFILADALAFVCSFVATANLLYAGVPAFSFESRFRSINATYGLMMNSGRSLVAALALGLYVVLLPPVGRTIATEIGIVMIMLAIVAFTKDSEGSFNPHETVTTGCETLHYLFVGTFLVLHINIRPPCNSQVGKGKVSRDAYRSTFTLLIHSFRYILICPTNNI >ONIVA07G12870.1 pep chromosome:AWHD00000000:7:13072390:13073131:1 gene:ONIVA07G12870 transcript:ONIVA07G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENVTAAAQMQVLALFSVLITTATDHPASDNGGAAGTPLLARRGSYIFNTFILADALAFVCFFVAMSLLLFAGVPANILERRFGSLNSAYSLMVNSGRSLVVALALGLYVVLLPPVGRTIATEIGVAMIMLAIVAFTKDSEGRVEPTFIIIPVMRNNPKPLQRERYWSFVLIFGLPTIHMWAKATPPHVGHTGQLLPHPLLH >ONIVA07G12860.1 pep chromosome:AWHD00000000:7:13061654:13066853:-1 gene:ONIVA07G12860 transcript:ONIVA07G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAEEPLLLAALHNAGGSLEIRRPRRSDPPVPDSAASRKFVSWRGLQVELGFKISKAGYTKDVVRSLGELAANEIAKVLCVKNEINKLKRKLETMSAIIRDAEQTVVQYETTRDWLKQLRGIAYDAENIIDRCRIEQERLQMFQPQECNPSSLFKCCRDVAVDYIIANDIHELNQELESIRSESTLLHLNPVTEDQIRLDLDVAPHLEPDIVGREVENDSDSLIQLLTRDYNTTCPLFAIIGTIGVGKTTLARKVYHKAAAMFDARLWVHVSKDLRHLTMWSDGMFSKAEIAEQQALLLSYLRDKKFLLVIDDVWGENVWDGRLEIQAQHGAPGSRVLVTTRDERVARRMGAIHLHRVKMLNEDDGWWLLRTRAFLDESAGSMQDMGRRIVQKCNGLPMAIRRIGCHLRDVDPKEDEWGRVYSSDFCGISARIRSTINMSYLELPYYLKRCFLYCALYPEGSVIERQCITQQWIAEGFIVTQTNSTQRHSATVEEEAERCYDELLGRGLLLPENEACDVVGSKMPHLFRSFALLQSQDENFTGNPQDIGDVFKPCRLSVTNGGVESIRNGLKKLRNLRTLLLSGGTLNDRALSDIFLKFTHLRVLDLGNTQIDCVTASLGRMAHLRYLSFANTQIREIPGTIENLRMLHFLILRNCIRLNALPESVGRLKNLRSLDMSGAGLNIVSFKFSQMRELNCLQGFLVSPSGAQHKSGWPFQELSSLSKLTSLQMLRIERELNAEGAKQSALREKRHLKELELCCSIDEQTTQMGRAENIKDVFEELAPAPSVVSIKMANYYGHEFPSWLSFPGLSALQRLTIDGCSHCSQLPSLGQMSNLKYLAIIDSNLSATIGPELRGKPDNGVAFPKLEQLLISEMSNLKSWSGIEEGDMPSLVDFRLERCPKLDSLPRWLEHCTALRSLRIDHADSLKTIENLPSLRELEVHRNKKLKRILNLESLEDLKVVHCLVLKVVHGVPSLRDVHSDDRNSTELPQWLQPQQPFVLKRLDIIGTEELLDKCSSASSTYWFAIQHADHVFAYLPDDTFYFSYTKSNSNFHRSARSLARSLYSSQSFVMPSITPSNDVIVPEENIRRNKRIEIGRSRSQSWVRTELFAVLLFVAAHLFFLSTTHASSH >ONIVA07G12850.1 pep chromosome:AWHD00000000:7:13055660:13059670:1 gene:ONIVA07G12850 transcript:ONIVA07G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATAALPSAARSAEALAAEAGGHAHAAADELRGLARRLDAAGAAAAGAEAREARDAGARAWLRELLDALYELGDARDDFRRAADAAARRQREEGRRSFLHWFTIPPNLYGMRYKTLKTSINSLNMKMDGILQKGSELGLLPIDQEILNERKSAKTLVAIVGGSGTGKTTLAWKIHDDHRTRNAFGMIVWVSVFNDFDDIGLLSAVVTAAGGNPKEAKNRTQLELMLASMLKGKRFLLVLDDVRGHQIEENSLEAHWHVCGHGSRILITTRDENVATKLNASYIHQVKELSFQNCWSLLCCNACLDENLHGNTLRNIGIMIIQKCKKIPMAVKIIGAVLRRKEQTQESWQRVYEFEGWSFDDLRGDIQGLTGAIYLGYHDLPSHLKQCLLYLSLFPEGSTIRQQFVTQLWISEGLIDRQDDCSAEKIAEEYYEELISRNFLQLETGNRDITRCTMHDQIRSFLQFFVKDKICSGEVKPGTNGTSSEGLRHVWISGTSTTTNLEEIATVTSLKTVILYKNPLRNQGLDKLFKGLKYLHVLDLGGTEIRYIPRTLEFLVHLRLLNLSLTRIIELPESINYLRNLQFLGLRYCNWLHTLPKGIGNLHRLQTLDLRGTSLHQVLPSLVNLKQLSTLHGFTVNRTPIPEDDPSGWPLEHLKDLNALRSLQILKMEKVSDFSRVKEAMLEMKCQLKDLEICCSNDDRLAEAREDDSRTLKQIFDSLSPPQCLKSLKIVSYYAKHFPNWLPCLTNLQRLVLSDCKFCEHMPDLSKLNQLKFLTITGCSKLLTVEQESAGVTQAFPKLEQLHLKDMPKLVSWIGFASGDMPSLVKFRLESCPKLKCLPEGLKYSRVLRSVQIRHADSLEVIQDLPVLKELNVQACNELKIVSNLPLLEVLTIRRCPRLDDVSGVHYLSHVCITDKELRKLPDWLSIHAPVLQTFNIVGVSELLDRLLPNGEDWEIIRQIDKVYANLLDESPFFTYTKSSGDFHVDQRIGEINKPPVVLGHGTAGGGLSIPDHSVEISSQIGVSRVPMNRISTLRRVVRSYLVPYLIMVMVVMQLLSYYLHNRSTREIWLVQTLFIFFATVFLLLLVFLD >ONIVA07G12850.2 pep chromosome:AWHD00000000:7:13055660:13060437:1 gene:ONIVA07G12850 transcript:ONIVA07G12850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIATAALPSAARSAEALAAEAGGHAHAAADELRGLARRLDAAGAAAAGAEAREARDAGARAWLRELLDALYELGDARDDFRRAADAAARRQREEGRRSFLHWFTIPPNLYGMRYKTLKTSINSLNMKMDGILQKGSELGLLPIDQEILNERSEISLEVIPDDYIVGDIENEANKLIDILTDRKSAKTLVAIVGGSGTGKTTLAWKIHDDHRTRNAFGMIVWVSVFNDFDDIGLLSAVVTAAGGNPKEAKNRTQLELMLASMLKGKRFLLVLDDVRGHQIEENSLEAHWHVCGHGSRILITTRDENVATKLNASYIHQVKELSFQNCWSLLCCNACLDENLHGNTLRNIGIMIIQKCKKIPMAVKIIGAVLRRKEQTQESWQRVYEFEGWSFDDLRGDIQGLTGAIYLGYHDLPSHLKQCLLYLSLFPEGSTIRQQFVTQLWISEGLIDRQDDCSAEKIAEEYYEELISRNFLQLETGNRDITRCTMHDQIRSFLQFFVKDKICSGEVKPGTNGTSSEGLRHVWISGTSTTTNLEEIATVTSLKTVILYKNPLRNQGLDKLFKGLKYLHVLDLGGTEIRYIPRTLEFLVHLRLLNLSLTRIIELPESINYLRNLQFLGLRYCNWLHTLPKGIGNLHRLQTLDLRGTSLHQVLPSLVNLKQLSTLHGFTVNRTPIPEDDPSGWPLEHLKDLNALRSLQILKMEKVSDFSRVKEAMLEMKCQLKDLEICCSNDDRLAEAREDDSRTLKQIFDSLSPPQCLKSLKIVSYYAKHFPNWLPCLTNLQRLVLSDCKFCEHMPDLSKLNQLKFLTITGCSKLLTVEQESAGVTQAFPKLEQLHLKDMPKLVSWIGFASGDMPSLVKFRLESCPKLKCLPEGLKYSRVLRSVQIRHADSLEVIQDLPVLKELNVQACNELKIVSNLPLLEVLTIRRCPRLDDVSGVHYLSHVCITDKELRKLPDWLSIHAPVLQTFNIVGVSELLDRLLPNGEDWEIIRQIDKVYANLLDESPFFTYTKSSGDFHVDQRIGEINKPPVVLGHGTAGGGLSIPDHSVEISSQIGVSRVPMNRISTLRRVVRSYLVPYLIMVMVVMQLLSYYLHNRSTREIWLVQTLFIFFATVFLLLLVFLD >ONIVA07G12840.1 pep chromosome:AWHD00000000:7:13048357:13052070:1 gene:ONIVA07G12840 transcript:ONIVA07G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWACQHCFHTGGYDSETWGLASPNEFEPVPRLCRLILAVYEDDLEHPQWAPPGGYGIEPRWVVHRKTYEHTGGHAPTYLLYVDHHHSDVVLAVRGMNMAKESDYAVLLDNSLGQRRFDGGYVHNGLLKAAEWLFDAECDVLRDLLERNPGYTLTFTGHSLGSGVVAMLALVAVHNRDRLGGVERKRIRCFAMAPARCMSLNLAVRYADVINSVILQISKSI >ONIVA07G12840.2 pep chromosome:AWHD00000000:7:13048357:13052070:1 gene:ONIVA07G12840 transcript:ONIVA07G12840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWACQHCFHTGGYDSETWGLASPNEFEPVPRLCRLILAVYEDDLEHPQWAPPGGYGIEPRWVVHRKTYEHTGGHAPTYLLYVDHHHSDVVLAVRGMNMAKESDYAVLLDNSLGQRRFDGGYVHNGLLKAAEWLFDAECDVLRDLLERNPGYTLTFTGHSLGSGVVAMLALVAVHNRDRLGGVERKRIRCFAMAPARCMSLNLAVRYADVINSVILQDDFLPRTDTPLEDVFKSLVWVPDVVLCVQLAMPFMWKVPYRHMYT >ONIVA07G12840.3 pep chromosome:AWHD00000000:7:13048357:13052070:1 gene:ONIVA07G12840 transcript:ONIVA07G12840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWACQHCFHTGGYDSETWGLASPNEFEPVPRLCRLILAVYEDDLEHPQWAPPGGYGIEPRWVVHRKTYEHTGGHAPTYLLYVDHHHSDVVLAVRGMNMAKESDYAVLLDNSLGQRRFDGGYVHNGLLKAAEWLFDAECDVLRDLLERNPGYTLTFTGHSLGSGVVAMLALVAVHNRDRLGGVERKRIRCFAMAPARCMSLNLAVRYADVINSVILQVRLIPALDFANMC >ONIVA07G12840.4 pep chromosome:AWHD00000000:7:13049218:13052070:1 gene:ONIVA07G12840 transcript:ONIVA07G12840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWACQHCFHTGGYDSETWGLASPNEFEPVPRLCRLILAVYEDDLEHPQWAPPGGYGIEPRWVVHRKTYEHTGGHAPTYLLYVDHHHSDVVLAVRGMNMAKESDYAVLLDNSLGQRRFDGGYVHNGLLKAAEWLFDAECDVLRDLLERNPGYTLTFTGHSLGSGVVAMLALVAVHNRDRLGGVERKRIRCFAMAPARCMSLNLAVRYADVINSVILQVRLIPALDFANISASPSETLLEQDDFLPRTDTPLEDVFKSLVWVPDVVLCVQLAMPFMWKVPYRHMYT >ONIVA07G12840.5 pep chromosome:AWHD00000000:7:13048357:13052070:1 gene:ONIVA07G12840 transcript:ONIVA07G12840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWACQHCFHTGGYDSETWGLASPNEFEPVPRLCRLILAVYEDDLEHPQWAPPGGYGIEPRWVVHRKTYEHTGGHAPTYLLYVDHHHSDVVLAVRGMNMAKESDYAVLLDNSLGQRRFDGGYVHNGLLKAAEWLFDAECDVLRDLLERNPGYTLTFTGHSLGSGVVAMLALVAVHNRDRLGGVERKRIRCFAMAPARCMSLNLAVRYADVINSVILQVRLIPALDFANMC >ONIVA07G12830.1 pep chromosome:AWHD00000000:7:13039071:13041424:-1 gene:ONIVA07G12830 transcript:ONIVA07G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKDSPYAFIIVPYTCLQKQAARTAATMSGGSGFPGFHNHGYDRDYARPLFRVSSFSDNGGGKGQERYTPSPPQERSMSRTTSTVAVAPRLSPSVSKLSMKNLQQVVNEKSLEDEEMELMKEKYTKLLLGEDMSGSGKGVCTAVAISNAITNLYATVFGTCHRLQPLPPEKKAMWNREMDCLLSICEYIVEFSPKVQAMPDGSTHDVMATSPRSDILMNLPALEKLETMLLEILDSFEKTDFWYIDQRKQSFSDSMKFQRSEDKWWLPEPCVPESGLSDRMHRELQQKRDQASQIHKMAMEINSSILSEMQVPLSYIETLPKSGKVGVGDAIYRYMSAGDQFSPDHLLNFLNLSSEHEALEIADRVEAAMYVWRRKASMTHVVSKWENVTELNADGDKNLILASRARSLLLCLKQRFPGLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAYNIVSWIDDVLIADGNARKGHNIRMQKQEFSKLSPQQY >ONIVA07G12820.1 pep chromosome:AWHD00000000:7:13031608:13040119:1 gene:ONIVA07G12820 transcript:ONIVA07G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLVAEPCASEARRRCGGCGAVAYCSRAHQNVHWGFHKEECARLAEQMSRIDMLKQFPFTFSVESPALNHTFPSPRCFLLESFKLHQKGLWKSECICDPEVTSVKDLSKTTDWSMGSALCPCTEPEHNVSTPLTSWKDYYRWRSLPLQSPVAVLLHWTKGSNSFRQVHQEIIVLLMLWKDRMKTRCKLVLPPLTLYHCVQLSHLQTSKYDSQDTLCIHYLGPEKELYQLAVFGELRALFPGVRIYIELVGPAVPKSRDGELVTISNYAHCCDESCFCKSSIGSKDLSCSAVTFKLRKGLYHERYSDIVKDSKPHLIVAANAGIAAYPSWISTIEIIRKVGIPAIFTDFCEEAAHLASSCIASITGQPLRVPLAVHPIRQA >ONIVA07G12820.2 pep chromosome:AWHD00000000:7:13031608:13041942:1 gene:ONIVA07G12820 transcript:ONIVA07G12820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLVAEPCASEARRRCGGCGAVAYCSRAHQNVHWGFHKEECARLAEQMSRIDMLKQFPFTFSVESPALNHTFPSPRCFLLESFKLHQKGLWKSECICDPEVTSVKDLSKTTDWSMGSALCPCTEPEHNVSTPLTSWKDYYRWRSLPLQSPVAVLLHWTKGSNSFRQVHQEIIVLLMLWKDRMKTRCKLVLPPLTLYHCVQLSHLQTSKYDSQDTLCIHYLGPEKELYQLAVFGELRALFPGVRIYIELVGPAVPKSRDGELVTISNYAHCCDESCFCKSSIGSKDLSCSAVTFKLRKGLYHERYSDIVKDSKPHLIVAANAGIAAYPSWISTIEIIRKVGIPAIFTDFCEEAAHLASSCIASITGQPLRVPGSL >ONIVA07G12820.3 pep chromosome:AWHD00000000:7:13031608:13041942:1 gene:ONIVA07G12820 transcript:ONIVA07G12820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLVAEPCASEARRRCGGCGAVAYCSRAHQNVHWGFHKEECARLAEQMSRIDMLKQFPFTFSVESPALNHTFPSPRCFLLESFKLHQKGLWKSECICDPEVTSVKDLSKTTDWSMGSALCPCTEPEHNVSTPLTSWKDYYRWRSLPLQSPVAVLLHWTKGSNSFRQVHQEIIVLLMLWKDRMKTRCKLVLPPLTLYHCVQLSHLQTSKYDSQDTLCIHYLGPEKELYQLAVFGELRALFPGVRIYIELVGPAVPKSRDGELVTISNYAHCCDESCFCKSSIGSKDLSCSAVTFKLRKGLYHERYSDIVKDSKPHLIVAANAGIAAYPSWISTIEIIRKVGIPAIFTDFCEEAAHLASSCIASITGQPLRVPGSL >ONIVA07G12820.4 pep chromosome:AWHD00000000:7:13031608:13040119:1 gene:ONIVA07G12820 transcript:ONIVA07G12820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLVAEPCASEARRRCGGCGAVAYCSRAHQNVHWGFHKEECARLAEQMSRIDMLKQFPFTFSVESPALNHTFPSPRCFLLESFKLHQKGLWKSECICDPEVTSVKDLSKTTDWSMGSALCPCTEPEHNVSTPLTSWKDYYRWRSLPLQSPVAVLLHWPLTLYHCVQLSHLQTSKYDSQDTLCIHYLGPEKELYQLAVFGELRALFPGVRIYIELVGPAVPKSRDGELVTISNYAHCCDESCFCKSSIGSKDLSCSAVTFKLRKGLYHERYSDIVKDSKPHLIVAANAVGIPAIFTDFCEEAAHLASSCIASITGQPLRVPLAVHPIRQA >ONIVA07G12820.5 pep chromosome:AWHD00000000:7:13031608:13040119:1 gene:ONIVA07G12820 transcript:ONIVA07G12820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLVAEPCASEARRRCGGCGAVAYCSRAHQNVHWGFHKEECARLAEQMSRIDMLKQFPFTFSVESPALNHTFPSPRCFLLESFKLHQKGLWKSECICDPEVTSVKDLSKTTDWSMGSALCPCTEPEHNVSTPLTSWKDYYRWRSLPLQSPVAVLLHWPLTLYHCVQLSHLQTSKYDSQDTLCIHYLGPEKELYQLAVFGELRALFPGVRIYIELVGPAVPKSRDGELVTISNYAHCCDESCFCKSSIGSKDLSCSAVTFKLRKGLYHERYSDIVKDSKPHLIVAANAGIAAYPSWISTIEIIRKVGIPAIFTDFCEEAAHLASSCIASITGQPLRVPLAVHPIRQA >ONIVA07G12820.6 pep chromosome:AWHD00000000:7:13031608:13040119:1 gene:ONIVA07G12820 transcript:ONIVA07G12820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDMLKQFPFTFSVESPALNHTFPSPRCFLLESFKLHQKGLWKSECICDPEVTSVKDLSKTTDWSMGSALCPCTEPEHNVSTPLTSWKDYYRWRSLPLQSPVAVLLHWPLTLYHCVQLSHLQTSKYDSQDTLCIHYLGPEKELYQLAVFGELRALFPGVRIYIELVGPAVPKSRDGELVTISNYAHCCDESCFCKSSIGSKDLSCSAVTFKLRKGLYHERYSDIVKDSKPHLIVAANAGIAAYPSWISTIEIIRKVGIPAIFTDFCEEAAHLASSCIASITGQPLRVPLAVHPIRQA >ONIVA07G12820.7 pep chromosome:AWHD00000000:7:13031608:13040119:1 gene:ONIVA07G12820 transcript:ONIVA07G12820.7 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLVAEPCASEARRRCGGCGAVAYCSRAHQNVHWGFHKEECARLAEQMSRIDMLKQFPFTFSVESPALNHTFPSPRCFLLESFKLHQKGLWKSECICDPEVTSVKDLSKTTDWSMGSALCPCTGPEKELYQLAVFGELRALFPGVRIYIELVGPAVPKSRDGELVTISNYAHCCDESCFCKSSIGSKDLSCSAVTFKLRKGLYHERYSDIVKDSKPHLIVAANAGIAAYPSWISTIEIIRKVGIPAIFTDFCEEAAHLASSCIASITGQPLRVPLAVHPIRQA >ONIVA07G12810.1 pep chromosome:AWHD00000000:7:13028120:13030148:1 gene:ONIVA07G12810 transcript:ONIVA07G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Papain family cysteine protease [Source:Projected from Arabidopsis thaliana (AT3G54940) TAIR;Acc:AT3G54940] MAAAPAPARLVVLVLVAVVVGGDGGDGVIRQVTDGGYWPPGLLPEAQFAAFVRRHGREYSGPEEYARRLRVFAANLARAAAHQALDPTARHGVTPFSDLTREEFEARLTGLATDVGDDDVRRRRLPMPSAAPATEEEVSGLPSSFDWRDRGAVTGVKMQGACGSCWAFSTTGAVEGANFLATGNLLDLSEQQLVDCDHTCDAEKKTECDSGCGGGLMTNAYAYLMSSGGLMEQSAYPYTGAQGACRFDANRVAVRVANFTVVAPAAGPGGNDGDAQMRAALVRHGPLAVGLNAAYMQTYVGGVSCPLVCPRAWVNHGVLLVGYGERGFAALRLGHRPYWIIKNSWGKAWGEQGYYRLCRGRNVCGVDTMVSAVAVAPP >ONIVA07G12800.1 pep chromosome:AWHD00000000:7:13019621:13021899:1 gene:ONIVA07G12800 transcript:ONIVA07G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I0R4] MAASAAAPATVAALLVAVAAIMAASPAAAQPSPGYYPSSVHRAMAFSRDYTNKWGPQHQTLSADQSSLTIWLDKTCGSGFKSRKSYRNGYFAARVKLPAGYTAGTNTAFYLSNNEAHPGFHDEIDMEFLGTIPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTADFHHYAILWNPDAITFFVDDVPIRRYERKSELTFPDRPMWVYGSIWDASDWATDDGRHRADYRYQPFVARFDRFTVAGCAPSAPASCRPVPASPAGAGLTPRQYAAMRWAQQSHMVYYYCQDYRRDHSLTPEC >ONIVA07G12800.2 pep chromosome:AWHD00000000:7:13019621:13022006:1 gene:ONIVA07G12800 transcript:ONIVA07G12800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I0R4] MAASAAAPATVAALLVAVAAIMAASPAAAQPSPGYYPSSVHRAMAFSRDYTNKWGPQHQTLSADQSSLTIWLDKTCGSGFKSRKSYRNGYFAARVKLPAGYTAGTNTAFYLSNNEAHPGFHDEIDMEFLGTIPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTADFHHYAILWNPDAITFFVDDVPIRRYERKSELTFPDRPMWVYGSIWDASDWATDDGRHRADYRYQPFVARFDRFTVAGCAPSAPASCRPVPASPAGAGLTPRQYAAMRWAQQSHMVYYYCQDYRRDHSLTPEC >ONIVA07G12790.1 pep chromosome:AWHD00000000:7:13004481:13007198:1 gene:ONIVA07G12790 transcript:ONIVA07G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELIDNVTASLPPDLISEILLRLPPDEPEHLFRAALVCKSWLRAICEHGFLRRYRAFSAFFTGSKSCRETPPPASPAPQRCPSPPIPPFAGPSIAAMAAPSSTLRTMIGISLSGIPSRANGTACQSLASPVFCAASGCDHLDCHGGPFRVVFIATDDDDELVKASVYSSETCAWNKTVILADGYQTWQERLQAITRGESYRTPYVQPRRGALVGDEIYFTLRNENAIIKYNWAANCLSKIDPPIRDVYDISLMEMENGSLGYACIQGSSLYVWSRNASSEGAAEWVQCWVIELEQMVPVANRGDEAFVVGSAEGVGVIFMSTGVGLFTIELKSRRVKKVEEPGVYSSVLPYMSFYTPVEPQRSASHAKLNSWIMFTLITTLQGRGSEENVLDKDGKYGL >ONIVA07G12780.1 pep chromosome:AWHD00000000:7:12956036:12956284:1 gene:ONIVA07G12780 transcript:ONIVA07G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRRRSRGGLNPVATDDVEDDGDSAKDGGGHRVCERREAAPAHLSSMPSSTLGQQQASRCKRGAVKEMGGGMYVEPTVGQ >ONIVA07G12770.1 pep chromosome:AWHD00000000:7:12948880:12949193:-1 gene:ONIVA07G12770 transcript:ONIVA07G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLADPLTAFSHICSTHDSGRRKRKESMLEVKGRRLHCLLLPLLTIADAIFLTEADGRPITTIFLAIPFAATAVPSFLQPPDHRIRWL >ONIVA07G12760.1 pep chromosome:AWHD00000000:7:12947610:12948852:-1 gene:ONIVA07G12760 transcript:ONIVA07G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNGGEEMKVESGVVGEVGGEEEGGGAEEEEEVRQVPGRRVAKQRWRLPAVDPATWIPTTATDGGARRGKPRSRAAVLRHRLAIPAPSLPRNGAAVLTRSRSPVVRDRLGFRSCIPSQRFVLAPAHSFRGLGIFL >ONIVA07G12750.1 pep chromosome:AWHD00000000:7:12935836:12943363:1 gene:ONIVA07G12750 transcript:ONIVA07G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQFFLHGLSCHWYHAKPYHPMSTFWFFSPLSKQNQLRVFHMGKYEPEAPIATVEDPISSHDKLENTEMVASLEMLGNMTDKPLAPLEMLPKVKLSVETPPAPMSPPMRFGNGGCHIDLNDQPPEVEKLVDETLKISGEEIKANMELPAPISPPRSFGTGGCGCDLNEMPEDNDEGLAPELKGTKDSPRIGRAHHVAQLPPEQGRSNGCQTEAARGLVDQGCQSGWGDTCHHGEDSSIMPFSTGRLGNYLSLRTTAEESDWTSQRQSALTGGGGVGVAISTRVKDKKFGPMGGLGQGPEVYYLVDFHLVLNVQELIRMMMNHVIYHFRRNFNMGVKDHVSSQDHFENIEMASSDMLVSPPMRFGNSGCHIDLNDQPPVEEKLAAEAVKISGEEKMLIKADKEYPTPPVSPPRSFGTGVCGYDLNETPEEIDEP >ONIVA07G12740.1 pep chromosome:AWHD00000000:7:12933148:12933438:-1 gene:ONIVA07G12740 transcript:ONIVA07G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAATSRIYEALKMLQPPPWVHARPHLCLYHVTLVVLTTNLSSPLFCPPFPLALHFAGSSSVTIARAFIPDTINKAIGTDKKVTEYADLCDFLIS >ONIVA07G12730.1 pep chromosome:AWHD00000000:7:12930576:12931737:-1 gene:ONIVA07G12730 transcript:ONIVA07G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFFLPGFNGFGKSVLGRAFSRVTPAGQQHGGGRHRGGRGGRANVKKTSPTPLRENMKQVVGRNARVAEEPVD >ONIVA07G12720.1 pep chromosome:AWHD00000000:7:12924877:12925702:-1 gene:ONIVA07G12720 transcript:ONIVA07G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVSVSVSLEGNISSLPNSIINDSNGKDTSFINHAAIAWAEMRRQWTGDQEKVPKEASQEPIISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDIWHEEGLYD >ONIVA07G12710.1 pep chromosome:AWHD00000000:7:12919650:12923493:-1 gene:ONIVA07G12710 transcript:ONIVA07G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKGLLKPRPTPQQQLREWQRKLRNECRVLDRQIRDVQREEKNVEKSIREAAKRNDIGSAKALAKELVRSRRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSAEVMKIVNNLMKAPELAATMQEFSKEMTKAGVMEEMVNDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAVRKQRINQASTSRVPEEQQAVAEGADDDEEDLEEIRARLAKVRS >ONIVA07G12700.1 pep chromosome:AWHD00000000:7:12908526:12911911:-1 gene:ONIVA07G12700 transcript:ONIVA07G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I0Q4] MGPTRQSHSLLLTFLLVGAAAAAAAASPEDGSPFLRLPTASDLPVPAGQLPRSAAVGLIRALNLHPRDASPSPSSRGDGDVPAGTLVERPIHLASMATGKSGGSSAEDLGHHAGYYRLPNTHDARLFYFFFESRGSKGEDDPVVIWLTGGPGCSSELALFYENGPFHIADNMSLVWNDFGWDQESNLIYVDQPTGTGFSYSSNPRDTRHDEAGVSNDLYAFLQAFFTEHPNFAKNDFYITGESYAGHYIPAFASRVYKGNKNSEGIHINLKGFAIGNGLTDPAIQYKAYTDYSLDMGLITKSQFNRINKIVPTCELAIKLCGTSGTISCLGAYVVCNLIFSSIETIIGKKNYYDIRKPCVGSLCYDFSNMEKFLQLKSVRESLGVGDIQFVSCSPTVYQAMLLDWMRNLEVGIPELLENDIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKEAFVSSSEEPFTVDGKEAGILKSYGPLSFLKVHDAGHMVPMDQPKVALEMLKRWTSGNLSNASSSFQRLDFTM >ONIVA07G12690.1 pep chromosome:AWHD00000000:7:12902815:12907873:1 gene:ONIVA07G12690 transcript:ONIVA07G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAGTMELEILGINFGCVLAALADAKIPEKDCLLPLASKLLGYAIVAASTTVKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYCGLAPTVLGGKIDPALFEVLYASQHAIFFFARLPQIWKNFMNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSDSVVPEACSKEREEERLSTTAQHKKRQNA >ONIVA07G12690.2 pep chromosome:AWHD00000000:7:12902815:12907873:1 gene:ONIVA07G12690 transcript:ONIVA07G12690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAGTMELEILGINFGCVLAALADAKIPEKDCLLPLASKLLGYAIVAASTTVKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYCGLAPTVLGGKIDPALFEVLYASQHAIFFFARLPQIWKNFMNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSGILLVLITA >ONIVA07G12680.1 pep chromosome:AWHD00000000:7:12897992:12901894:1 gene:ONIVA07G12680 transcript:ONIVA07G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAMPSSSAAAAAAAASGCCLDRLWRACGGCGAAAASAAGWTVCALLTCVFAVVGSLVGVFIGAFMGMSTESGMLRGAGVGAVSGAVFSIEAVESCIEIWRSSESGKYSIIFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGNTGGMSRDLINRIPKTTFSAATNPDQETDNCCAVCLQDFGASQFVRVLPHCQHTFHARCIDNWLFRHASCPLCRAGVHIDHIHM >ONIVA07G12670.1 pep chromosome:AWHD00000000:7:12842568:12843032:-1 gene:ONIVA07G12670 transcript:ONIVA07G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGPPAATALVVALGIARRHCTPYMHAFSARTGSELIHDDGWSRCEGVAVHDDRAGRSAAAYPLRRRHQQLPPAIAGHTLLDFVLATSVEHPSRPKPCHHRSTLAELVTTMGPHVAATTFFADSAAAAARSQRRWLVAAAGLSIDLGLVLDLG >ONIVA07G12660.1 pep chromosome:AWHD00000000:7:12796077:12797260:1 gene:ONIVA07G12660 transcript:ONIVA07G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIHHARVLIRQRHIRVGRQIVNVPSFMVRLESEKHIDFSLTSPFGGGPPGRVKRKNMKKASSGGDGGGDEDETYFKMGQF >ONIVA07G12650.1 pep chromosome:AWHD00000000:7:12776494:12783326:1 gene:ONIVA07G12650 transcript:ONIVA07G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Histone deacetylation protein Rxt3 (InterPro:IPR013951); Has 34444 Blast hits to 20801 proteins in 1175 species: Archae - 64; Bacteria - 2390; Metazoa - 15568; Fungi - 3729; Plants - 1886; Viruses - 208; Other Eukaryotes /.../9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08450) TAIR;Acc:AT5G08450] MSGAPKRSHEEGSHSTPAKRPLDDSSLYSSPSGKIIQPGSSDFHGSFEHDGRFAKVQRIEPRDDKRPSLAHRMPIGPSNFVDHSISSDGRLESKQNKDPRDTKVDVREAKADTRDVYSDPRVEFPSNKVETDVKTDNRADDNDIRADRRIHADYKGDAKLDKDGHPTAISNIAWKDNKEHRGKRSIEQPSDNADWRFSRPGLQGTDESSKGPVPADERSKDAHESTGENKTEPKTEDKFRDKDRKKKDEKHRDFGTRDNDRNDRRIGIQLGGNSVERRENQREDRDAEKWDRERKDSQKDKEGNDREKDSAKESLVATEKENAILEKTASDGAVKSAEHENKTVEQKTLKDDAWKSHDRDPKDKKREKDMDAGERHDQRSKYNDKESDDTCPEGDIEKDKEALGSVQRKRMARSRGGSQASQREPRFRSRMRDGEGSQGKSEVSAIVYKAGECMQELLKSWKEFEATPEAKSAESVQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQDSYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHYNRYELLPLTIWPSPEVIFLTSVEHSSFISPPPVTASFHRYELCFSGEKARLVGSSSNAADAETEKHQNSSHHHSQNGDRASSEHELRDLFRWSRCKKAMPESSMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSSN >ONIVA07G12640.1 pep chromosome:AWHD00000000:7:12766298:12769342:-1 gene:ONIVA07G12640 transcript:ONIVA07G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSQIEHNLPMPPHLLSRPLVDAIKAELERLFLDKVVANLGLCVSVYDIRSVEGGFIFPGEGCSTYKVSFRLLMFRPFVGEVLVGKISGYDEKGLHVSLDFFSDISIPGHLMQYGTARALDGRWMLKTEDGDELYLDLDDEIRFLVSSIKYPPIPVEQKETDRAFAPMQIIGSIKGDGLGLLAWWAADEEEGEAEAEAEE >ONIVA07G12640.2 pep chromosome:AWHD00000000:7:12766298:12768753:-1 gene:ONIVA07G12640 transcript:ONIVA07G12640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSQIEHNLPMPPHLLSRPLVDAIKAELERLFLDKVVANLGLCVSVYDIRSVEGGFIFPGEGCSTYKVSFRLLMFRPFVGEVLVGKISGYDEKGLHVSLDFFSDISIPGHLMQYGTARALDGRWMLKTEDGDELYLDLDDEIRFLVSSIKYPPIPVEQKETDRAFAPMQIIGSIKGDGLGLLAWWAADEEEGEAEAEAEE >ONIVA07G12640.3 pep chromosome:AWHD00000000:7:12766300:12768767:-1 gene:ONIVA07G12640 transcript:ONIVA07G12640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSQIEHNLPMPPHLLSRPLVDAIKAELERLFLDKVVANLGLCVSVYDIRSVEGGFIFPGEGCSTYKVSFRLLMFRPFVGEVLVGKISGYDEKGLHVSLDFFSDISIPGHLMQYGTARALDGRWMLKTEDGDELYLDLDDEIRFLVSSIKYPPIPVEQKETDRAFAPMQIIGSIKGDGLGLLAWWAADEEEGEAEAEAEE >ONIVA07G12630.1 pep chromosome:AWHD00000000:7:12764103:12765002:1 gene:ONIVA07G12630 transcript:ONIVA07G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplastic drought-induced stress protein of 32 kD [Source:Projected from Arabidopsis thaliana (AT1G76080) TAIR;Acc:AT1G76080] MASTAAFLSTLAGSTSLGGATPASGGGSGRSKTARFLRRRRRGGAVRAAVSGTEQAPETTKKKGGGGGDERVVQVHSAEELDGALRAAKERLVVVEFAASHSVNSSRIYPCMVELSRTCGDVDFLLVMGDESDATRELCRREGITAVPHFTFYKGAEKVHEEEGIGPDQLAGDVLYYGDHHSAVVQLHSRADVESLISDHRGEGGKLVVLDVGLKRCGPCVKVYPTVVKLSRTMADTTVFARMNGDENDSCMEFLRDMDVVEVPTFLFIRDGDIVGRYVGSGRGELIGEILRYNGVKVT >ONIVA07G12620.1 pep chromosome:AWHD00000000:7:12760752:12761263:-1 gene:ONIVA07G12620 transcript:ONIVA07G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADHPLPPSSSTPSRRRRGSSFLATLPGWPGEPLWVAAAVTARSASLRPDLAGWRLAAGMATATVGASCAGRLDRRQRGWRRRRGRQWCVGGGSGQAGRAGGGGGGGARSDESTAAGRATTT >ONIVA07G12610.1 pep chromosome:AWHD00000000:7:12760121:12760709:-1 gene:ONIVA07G12610 transcript:ONIVA07G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASDSVCERRHGGRARASGSAAETVVVLRVWQTATSDRRGARGATSGGGGDYGARRSCQCVWRGLRRAKAGRRGAPVQWSHMSAEVEWCRGLAGGERRVKTQLGLSRTDNDGESPVLGSFEPPTDGGGGFPSLLSLETSFRHPLAETTQTIGASTL >ONIVA07G12600.1 pep chromosome:AWHD00000000:7:12750494:12754865:-1 gene:ONIVA07G12600 transcript:ONIVA07G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPKQLLIQSKTKKAPTRISYSTIVTWNLIVILVALSLYATYRHWHHRPMLETEMDLPRAEHVGRSEDSTKTSRPSYAVIDTAKGSITIEIYKDASADVVDRFSNHFKGMPFRHVIKNFVIQGGDFDFNGAAQEWILKAKASGENALSPKHEAFMIGTTKNPNNKGFDLFITTAPIPDLNDKLVVFGQVINGQDIVQEIEEVDTDEHYQPKTPIGILNITLKQQALS >ONIVA07G12590.1 pep chromosome:AWHD00000000:7:12747425:12748295:-1 gene:ONIVA07G12590 transcript:ONIVA07G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNCPHRRLEQAGSCLVPVAVAQLPPGAQLAVVVASVGGRRSAVLCCEGAAAPEPYHRGGWSAAAMEVALGGLVVARPAMKRLRRSPRPPAAAVARAPRLAVRRRRVLKRQELLVSQLHELPPHLPVQPADLAARAAAAADGAYRAVRRVGQALAPGATLDLEVGGVEEHKSGNEDARWSTARSSMVELTRRKPRA >ONIVA07G12580.1 pep chromosome:AWHD00000000:7:12730400:12731085:1 gene:ONIVA07G12580 transcript:ONIVA07G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQHPSQQDGKTLDRDDGRRHGTRGRRAGGTTPPSRWRGSSARIDPGTAYLLKIRLHGHHSRENFTYKIEEVVDSGRTNFKDFIDDIREKYPWR >ONIVA07G12570.1 pep chromosome:AWHD00000000:7:12690973:12696063:1 gene:ONIVA07G12570 transcript:ONIVA07G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCVYTQRRWAHRRGGFVTGGTGWSQRRAPAAAAAVGAKKSEWWAVDGEMHEIGEGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDTEHEAWCKKYMELYQELRENWERLYWDEGYSKKIAEDHANYDSAEEDDLDFSPYSRRRHTNVEPNKDIGFTASKQGETWERVTQIRDKFEYDRERRMRERAFAPMNMENNFGQHDSRFRNRHDSNYAPRNMENKFGSNDSDFGTQSGRSFRHDPSFRNQHGLNFQNESSFRNHQYPNFQNQRDPRNRVMSSEDQELMSSDDQEF >ONIVA07G12560.1 pep chromosome:AWHD00000000:7:12690100:12690455:1 gene:ONIVA07G12560 transcript:ONIVA07G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGRWTERLLPGRGATWKEPLRRSETSGDEDGAERAWTALRIPKPSRDIEELMHPRSAIRSLVISCATNDAFCLPPVASTRSGDLKTAGQHYLQGGRARTWHPCSSTVCRRQP >ONIVA07G12550.1 pep chromosome:AWHD00000000:7:12679981:12686732:1 gene:ONIVA07G12550 transcript:ONIVA07G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGDSAASPSPWDDLPDDFFLSASISSPSPSPSPSTSTPPPPSPIPSTSPRATLRSSSLPPASTPSPSSSASSSSGSLHHLHPTHSLPAFSASAAAAAAADVWPPPPGAHHSGSLQEFAAPASASASATRPPPRAAVRADRPPPLDLRPRPPRESRAGAALRAIACCAGAATGSHLWAVGDAGVRVWGLADAFRPPASRQRWGDEAAAPFRESRRTQPALCLAVDPCRGVVWSGHANGRIMGWSADPGVLEAGECIAWEAHRGPVFALITSPYGDLWSGSEGGVIKVWYEEGIEKSLSLQREEKRKTSFLVERSFIDLRAMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSLALWDSCTKELLKVISVDGQVDTRFDILSSQDPFGYETKQNLFSAPRKDKARSPVGFFQRSRNALMGAADAVRRVAAKAGFGDDSQRIEALAMSIDGMIWTGSANGCLARWDGNGNRLQEFQHHSCSVQSIFSFGTRIWAGYMDGSIQLLDLEGNLLGGWIAHSSPVLSMAVGGSYIFTMAGHGGVRGWNLSSPGPIDNIMRSRLIEAEPLYKKFEYMKVLVGSWNVGQEKASYESLRAWLKLPTPEVGLVVVGLQEVDMGAGFLAMSAAKETVGLEGSPNGDWWLDAIGQQLKGYSFERVGSRQMAGLLICVWVRTHLKQFIGDIDNAAVACGLGRAIGNKGAVGLRMRIHDRSICFVNCHFAAHMEAVSRRNEDFDHVFRTMTFATPSSGIMTTSVSGSTGQLLRGANGSRMPELSDTDMIVFLGDFNYRLYDISYDDAMGLVSRRCFDWLKNNDQLRAEMRSGRVFQGLREGDFKFPPTYKFEKHTAGLSGYDSSEKRRIPAWCDRILYRDSRVSSGNECSLDCPVVSSISLYDSCMEATDSDHKPIKSVFNLDIAYVDKQTMRQKYVELMSSNNKVVHLLQELEAFPEVNMNNSNIILQDRNPSVVKLQNRTKVIACFEIIGQAPNLSSTHFSAFPAWLKVSPAVGIISPGQTIEVTLQHRDLHSQQNYNGTSLDILPGGATQQKAATVFAKITGVYSTVAKYYEIHVQHQNYSTLPSRDYNLGGRFF >ONIVA07G12550.2 pep chromosome:AWHD00000000:7:12679981:12686829:1 gene:ONIVA07G12550 transcript:ONIVA07G12550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGDSAASPSPWDDLPDDFFLSASISSPSPSPSPSTSTPPPPSPIPSTSPRATLRSSSLPPASTPSPSSSASSSSGSLHHLHPTHSLPAFSASAAAAAAADVWPPPPGAHHSGSLQEFAAPASASASATRPPPRAAVRADRPPPLDLRPRPPRESRAGAALRAIACCAGAATGSHLWAVGDAGVRVWGLADAFRPPASRQRWGDEAAAPFRESRRTQPALCLAVDPCRGVVWSGHANGRIMGWSADPGVLEAGECIAWEAHRGPVFALITSPYGDLWSGSEGGVIKVWYEEGIEKSLSLQREEKRKTSFLVERSFIDLRAMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSLALWDSCTKELLKVISVDGQVDTRFDILSSQDPFGYETKQNLFSAPRKDKARSPVGFFQRSRNALMGAADAVRRVAAKAGFGDDSQRIEALAMSIDGMIWTGSANGCLARWDGNGNRLQEFQHHSCSVQSIFSFGTRIWAGYMDGSIQLLDLEGNLLGGWIAHSSPVLSMAVGGSYIFTMAGHGGVRGWNLSSPGPIDNIMRSRLIEAEPLYKKFEYMKVLVGSWNVGQEKASYESLRAWLKLPTPEVGLVVVGLQEVDMGAGFLAMSAAKETVGLEGSPNGDWWLDAIGQQLKGYSFERVGSRQMAGLLICVWVRTHLKQFIGDIDNAAVACGLGRAIGNKGAVGLRMRIHDRSICFVNCHFAAHMEAVSRRNEDFDHVFRTMTFATPSSGIMTTSVSGSTGQLLRGANGSRMPELSDTDMIVFLGDFNYRLYDISYDDAMGLVSRRCFDWLKNNDQLRAEMRSGRVFQGLREGDFKFPPTYKFEKHTAGLSGYDSSEKRRIPAWCDRILYRDSRVSSGNECSLDCPVVSSISLYDSCMEATDSDHKPIKSVFNLDIAYVDKQTMRQKYVELMSSNNKVVHLLQELEAFPEVNMNNSNIILQDRNPSVVKLQNRTKVIACFEIIGQAPNLSSTHFSAFPAWLKVSPAVGIISPGQTIEVTLQHRDLHSQQNYNGTSLDILPGGATQQKAATVFAKITGVYSTVAKYYEIHVQHQNYSTLPSRDYNLGGRFF >ONIVA07G12540.1 pep chromosome:AWHD00000000:7:12675415:12677571:1 gene:ONIVA07G12540 transcript:ONIVA07G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRIGMRGTSGGGDRHGARGAADGNGGRLGVRGSADGGRPDWREKRGRRWRWRRRPRSEEELPVDVAWSSAHEGWPMSGTGAVVPHVVKGVGFALVVRAFFGRSRLCSFVGLAAVGHA >ONIVA07G12530.1 pep chromosome:AWHD00000000:7:12674288:12675354:1 gene:ONIVA07G12530 transcript:ONIVA07G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAARPGEGGRGSAPKRRDPASLAWIWPGCFRSGGSGCGVEAGDQFGMGDQPGNSSRVRTSEDKVRMAGVDPEWSHSMAHALALDTRTWPRWDVPGLGLSKWRRDRAWGGRRHGGLGRLAGSKWRRNCACGGRRHGGLERLAGGMEEGRT >ONIVA07G12520.1 pep chromosome:AWHD00000000:7:12651571:12661161:-1 gene:ONIVA07G12520 transcript:ONIVA07G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSIPRDTNRSHTLEMVNCPCEGHQERTSARELLRRSTNSGTKMEAIVYRRQAEHFNQLLRCGSVYDFYNVGFDPTEMIVHLRFKIRSHFCMILNSATTTRTPHGPVHMLRCLWRFPEYDDIFFGKAQFFNVIGLVVHVGDIEFRSLYLRRTPTRIIALIIFVRVWDQQLTRNLTRWRSARTHFDCFVATLTRVDRRADELSTTYESDIIFNPDSASANEFNVLRQALAVSPSNVQEQSVNYNNMMSANYRTHISNRVHAPTSEQLPDASQPVTIIKPFNRKGYFTCLSVEFDEIKMEHVFRTCWKVSAKVVFKSPVQQNKFGAQYIRFILKDATDTRMEALAYDQQADRFNGTIQSGLVYDFTNVGFQPTDVPTYANLTMQAKFCMILTPKTALRKPRFVDFGAIFTDAISDDMFIVWWQALVSQYCCMSATWLKKLDILTVSRRLKDKIIEGRKKHETASTPAYPGHVNQDAIHADIITTPPSKKATNSESEEHGVCKVSMPNINVPSNLIRSMFGQTYEYLPQDYELTDEDVMAIFLIEDLTENCTLVISAYIHCMREQANNDKKVKYENPFLSDMLKAAGLQGLQYHLNIIGRQQDLPSHKWGDLNVIKWPIIEQLKERIQEDSSSCGLFMLKLMENWTGESLSRSITQMSKQELISGLLHYIQQINCAEAMEKIWVQSSRPHYISLSLKQLQTILKKDEPLESDCFNMAIRKFMYEKIEMIHKTKEAISNHCLDLQFWILIPVRHARSFIVLVVDQESQTLYVLDPNPLMPEYKNNPNMRYTRKLITICDHFNKAMRKACPGSRWNEDINLWRQVIVNNPVYSRMVTS >ONIVA07G12520.2 pep chromosome:AWHD00000000:7:12651571:12661161:-1 gene:ONIVA07G12520 transcript:ONIVA07G12520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENCSIPRDTNRSHTLEMVNCPCEGHQERTSARELLRRSTNSGTKMEAIVYRRQAEHFNQLLRCGSVYDFYNVGFDPTEMIVHLRFKIRSHFCMILNSATTTRTPHGPVHMLRCLWRFPEYDDIFFGKAQFFNVIGLVVHVGDIEFRSLYLRRTPTRIIALIIFVRVWDQQLTRNLTRWRSARTHFDCFVATLTRVDRRADELSTTYESDIIFNPDSASANEFNVLRQALAVSPSNVQEQSVNYNNMMSANYRTHISNRVHAPTSEQLPDASQPVTIIKPFNRKGYFTCLSVEFDEIKMEHVFRTCWKVSAKVVFKSPVQQNKFGAQYIRFILKDATDTRMEALAYDQQADRFNGTIQSGLVYDFTNVGFQPTDVPTYANLTMQAKFCMILTPKTALRKPRFVDFGAIFTDAISDDMFIVWWQALVSQYCCMSATWLKKLDILTVSRRLKDKIIEGRKKHETASTPAYPGHVNQDAIHADIITTPPSKKATNSESEEHGVCKVSMPNINVPSNLIRSMFGQTYEYLPQDYELTDEDVMAIFLIEDLTENCTLVISAYIHCMREQANNDKKVKYENPFLSDMLKAAGLQGLQYHLNIIGRQQDLPSHKWGDLNVIKWPIIEQLKERIQEDSSSCGLFMLKLMENWTGESLSRSITQLQTILKKDEPLESDCFNMAIRKFMYEKIEMIHKTKEAISNHCLDLQFWILIPVRHARSFIVLVVDQESQTLYVLDPNPLMPEYKNNPNMRYTRKLITICDHFNKAMRKACPGSRWNEDINLWRQVIVNNPVYSRMVTS >ONIVA07G12510.1 pep chromosome:AWHD00000000:7:12647679:12651081:1 gene:ONIVA07G12510 transcript:ONIVA07G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLEKKQLWPELVDGMPLAAWCLTKTGRTASTSASHWPQDERRLAWWWPIDDNYIAATPDLRLIEAEQGKGTKLARGCCSTSSDFAQKLLLDLRRRRERLGFNSPAPPQSTSSSNAAALLRCPTLPRKGRAASNTFSDCTPPPPRRPSRRGCLSWQPTMTRRASGSSLRRAPPPPTSPRHGAADPAPPRHQERRLASDHYRCVPSSEFDHAIAAAIAAAVHHLTSIRKHPHHHPCRSPEAAAAARRCVEREVAALRRMHGHPHVVGLLDVLATRSTVYLVLKLARGGGGVIATSVSPFLLSPQRARRRRRRSGGGGGGSVRKRSGAQWRSGSAKRRSGGGGSVRKRSCAQWRSGSARSGGGGAARRGGGAAAAVR >ONIVA07G12500.1 pep chromosome:AWHD00000000:7:12644836:12645630:1 gene:ONIVA07G12500 transcript:ONIVA07G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVMSCCDPIPNVTNDGAGNPEALAAQTIYTVNEIEALYELFKRIDGAVIEDGKINMEEFNLRVFGPEKGGTCLLIRYVYTCML >ONIVA07G12490.1 pep chromosome:AWHD00000000:7:12639684:12642785:-1 gene:ONIVA07G12490 transcript:ONIVA07G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVEVVGEGRGGRDAEAAATVGLEELRRRMADFARERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDEAEKVHLGEELADVLLYLVRLSDMCGVDLGSAALRKLEINARKYPASQCKGSSKKHTYYSSRCDVSGNSNGTNHLTSNEEHDNNTSSNNDNNGDSDQLSEIYLELSWGTSGLDGWLGSVRDYSHVLNCVSFSDSLQVLGL >ONIVA07G12490.2 pep chromosome:AWHD00000000:7:12640443:12642785:-1 gene:ONIVA07G12490 transcript:ONIVA07G12490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVEVVGEGRGGRDAEAAATVGLEELRRRMADFARERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDEAEKVHLGEELADVLLYLVRLSDMCGVDLGSAALRKLEINARKYPASQCKGSSKKHTYYSSRCDVSGNSNGTNHLTSNEEHDNNTSSNNDNNGV >ONIVA07G12480.1 pep chromosome:AWHD00000000:7:12629513:12630163:-1 gene:ONIVA07G12480 transcript:ONIVA07G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPTGADEETPEAKAPLLASSDDGQTTQATQASLVCKALNSTADLAKHLPTGAVLAFEVLSPSFTADGSCTAANRALTACLVGACALCCFLLCFTDSYRDATGAVRYGFVTPSGSLRLIDSGSGSGSGSPPPPRDDRYRLGARDVLHGALSFAVFLAVAMVDRNVVACFYPVESPATRQLLAAVPMAAGAAGSFLFAMFPSTRRGIGFPVAAGA >ONIVA07G12470.1 pep chromosome:AWHD00000000:7:12624144:12629098:1 gene:ONIVA07G12470 transcript:ONIVA07G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIARAQEQRRNVEDDALAAVSSVPFDADLYGGVSDPDRFAGYETSIAATAEDDDDDDDATEPVNPAARSLASYSGHAIASSSSGLPRGADEEGDGLRAREGEYHRRHPPAPATKRRNRWDQSQEDEGDGAAATGAKKAKTASSSSSSHWDAAPDAATPGIGRWDATPGRAGGATPSLKRNRWDETPTPGRMADADGTPAPSVAWDSSSTPTPKKQRSRWDETPAGVGSTAPRATDAVTPAGYAPGPTPFDAADLATPSPGQIARGPMTPEQYQLLRWERDIEGRNMPLTDEELDTMLPQVGYKILDPPASYQPIRTPARKLLATPTPLFTPLYAIPEENRGQQFDVPKELPGGLPQMKPEDYQYFGTLLNEGEEEEKLSPEEHKERKILKLLFKVKNGTPQQRKAAIRQLTDKAQEFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVIYKLDDLVRPFVHKILVVVEPLLIDEDYYARVEARQIISNLSKAAGLATMIATMRPDIDNTDEYVRNTTARAFSVVASGLGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLRSLVDIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLRPLWKGTKSHRGKVLAAFLKAVGFIIPLMDVEYASYYTRGVIPILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNGILPEFFRHFWIRRMALDRRNYKQLVETTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVVHLGASDIDRHQEELLIDGIVYTFQQQTSDDSNVILNGFETVANALGQRVKPYVPQICGIIKWMLNTSSAKARQRAADLMSRIAIVMKLCQEERLMCHLGHILYESLGEEYPDVLGSILGALKAIVNVVGMPKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRVADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPEDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVRNGILKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNLVWPNIFETSPHVINAVMEAIDGMRVALGSAVILNYCLQGLFHPARKVREVYWKTYNSLYIGAQDALVAAYPALDIDGNNIYSRPELAMFGNLIQVRCRVLDLRTTTRTFSPLRMD >ONIVA07G12470.2 pep chromosome:AWHD00000000:7:12624144:12628733:1 gene:ONIVA07G12470 transcript:ONIVA07G12470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIARAQEQRRNVEDDALAAVSSVPFDADLYGGVSDPDRFAGYETSIAATAEDDDDDDDATEPVNPAARSLASYSGHAIASSSSGLPRGADEEGDGLRAREGEYHRRHPPAPATKRRNRWDQSQEDEGDGAAATGAKKAKTASSSSSSHWDAAPDAATPGIGRWDATPGRAGGATPSLKRNRWDETPTPGRMADADGTPAPSVAWDSSSTPTPKKQRSRWDETPAGVGSTAPRATDAVTPAGYAPGPTPFDAADLATPSPGQIARGPMTPEQYQLLRWERDIEGRNMPLTDEELDTMLPQVGYKILDPPASYQPIRTPARKLLATPTPLFTPLYAIPEENRGQQFDVPKELPGGLPQMKPEDYQYFGTLLNEGEEEEKLSPEEHKERKILKLLFKVKNGTPQQRKAAIRQLTDKAQEFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVIYKLDDLVRPFVHKILVVVEPLLIDEDYYARVEARQIISNLSKAAGLATMIATMRPDIDNTDEYVRNTTARAFSVVASGLGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLRSLVDIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLRPLWKGTKSHRGKVLAAFLKAVGFIIPLMDVEYASYYTRGVIPILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNGILPEFFRHFWIRRMALDRRNYKQLVETTVEIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVVHLGASDIDRHQEELLIDGIVYTFQQQTSDDSNVILNGFETVANALGQRVKPYVPQICGIIKWMLNTSSAKARQRAADLMSRIAIVMKLCQEERLMCHLGHILYESLGEEYPDVLGSILGALKAIVNVVGMPKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRVADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPEDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVRNGILKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNLVWPNIFETSPHVINAVMEAIDGMRVALGSAVILNYCLQGLFHPARKVREVYWKTYNSLYIGAQDALVAAYPALDIDGNNIYSRPELAMFGNLIQVRCRVLDLRTTTRTFSPLRMD >ONIVA07G12460.1 pep chromosome:AWHD00000000:7:12620060:12621972:1 gene:ONIVA07G12460 transcript:ONIVA07G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:A0A0E0I0M3] MVLSNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >ONIVA07G12450.1 pep chromosome:AWHD00000000:7:12611928:12614389:1 gene:ONIVA07G12450 transcript:ONIVA07G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKTMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >ONIVA07G12450.2 pep chromosome:AWHD00000000:7:12612071:12614389:1 gene:ONIVA07G12450 transcript:ONIVA07G12450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKTMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >ONIVA07G12440.1 pep chromosome:AWHD00000000:7:12607907:12608915:1 gene:ONIVA07G12440 transcript:ONIVA07G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRSNPLAPAWWKSFGFRCRNVIKDDSWVSIDMDASDQGSSDSGRDDEIFGATYEYEPPARLPRHPSAPSYVVAFRGTIPTNLGDLIHDIKIVYNTFSNSNRCDITHDEVEGLLQGGANSCTMWLAGHSLGASQALDVGRSMAEKGFNLPTFLFNPPQVSPAPAIYLLRPNEKAKMHLYATSSLLKVGLSKIVKSHEEHMEDLFKQLYVHDSDPICQGYVDYFEQRQLVQERFPSIGMSAMKLSYRDMFFSALNKDKERPHLLPSALLWKNSRMDNDVENHPSKCTLLRKANRLKKRVLKAHSLEQWWKPDNELSLTKTQYNYPSA >ONIVA07G12430.1 pep chromosome:AWHD00000000:7:12596903:12597115:-1 gene:ONIVA07G12430 transcript:ONIVA07G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDSNRRRVHTNALAPAWWESFGFRLLRVIKDDSNNNDQFIIGAVYEHVLPALPASKPSRHPLAPHYVA >ONIVA07G12420.1 pep chromosome:AWHD00000000:7:12589871:12596882:-1 gene:ONIVA07G12420 transcript:ONIVA07G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLDLKVMVNTLPESKRSHLANKEVQNLVATIDKGTGSGCGGHGDGVASSGLWDTHSALLWRWTWGGAMMAEKDYNLPTFLFNPPQVSLAPAIDVLLPTKKARRSIHAASSFLKARMDKVLKPHKERMEKLFEQLSPWAPELYVHERDLICKGYISYFEQREQVKERFRGVGKSAMALSYRDMLFAAFGKEKERPHLLPTARLWKNSSMDGDAHDLQQWWRPDLKATQQNRAGEDLGARDGEEGSRRRGFLRDFLDLDFDHADCFDNSGPVHMMAKNGAGSPRTVVDWGKEEHRRCVAACLIKGVYIIENDSTRRRVHTNALAPPWWENFGFNLLDVIRDDSDHDDQFIIGAIYEHVPPLGEPAHPLSPHYVVAFRGTMISHPKALIDLYLDAKIMVNTLKESKRSRLANTAVKKLVATIDKGMGGACGHGTAGSCIVWLAGHSLGASLALDVGRAMMVEQGYNLPTFLFNPPQVSPTPAIDVLLPIEKAQKAKRNIYAVSYFVKAGLGKVLNPHKERMENLFKRLSPWAPELYVHERDVICKGYIDYFEQRQQVQERFRAVATSAMTLSYRDMFFSMFGMEKEQPHLLPSVRLWKSTSKDEDAHALQQWWKPMGEQSLSVRRYSYPL >ONIVA07G12400.1 pep chromosome:AWHD00000000:7:12569413:12584458:-1 gene:ONIVA07G12400 transcript:ONIVA07G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLTYRLDLSDFSGTGWVCSLATASSPTTAVPEELQNPQVQRAARSPETPNNNHGHHTLERSCSSLGGRMLFVARGCSRSYEANKYPGCRRRRRGAAVPLLALQRQRQVAGSCRGRGQVLAGARPVEILTVGLVSLNSFESERDIEMGYQNSKNKSDYGLEDFFQEVQEIETLLDKMSNINHKLQEANEESKSVTKASEMKAINKRMEKDINEVGKITRTIKVKLEEMDRNNLENRKKQGCEKGTGVDRSRMSMTIALKNKLKERMKNFQNLRQIIQDEYRQGVARMVFTVTGEQPSDQVIDHLIETGSSEQIFEKAIQGIGRGQIIGTVKEIHERHDVVMEIEKKLLELQQIFADMATLVDAQGETLNDIENQVQNAVDHIQRGTGELRTAKRLQKKSRKCMFIAIIILLVIAAIVVLSILKPWAK >ONIVA07G12400.2 pep chromosome:AWHD00000000:7:12569413:12584458:-1 gene:ONIVA07G12400 transcript:ONIVA07G12400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLTYRLDLSDFSGTGWVCSLATASSPTTAVPEELQNPQVQRAARSPETPNNNHGHHTLERSCSSLGGRMLFVARGCSRSYEANKYPGCRRRRRGAAVPLLALQRQRQVAGSCRGRGQVLAGARPVEILTVGLVSLNSFESERDIEMGYQNSKNKSDYGLEDFFQEVQEIETLLDKMSNINHKLQEANEESKSVTKASEMKAINKRMEKDINEVGKITRTIKVKLEEMDRNNLENRKKQGCEKGTGVDRSRMSMTIALKNKLKERMKNFQNLRQIIQDEYRQGVARMVFTVTGEQPSDQVIDHLIETGSSEQIFEKAIQGIGRGQVEYRVQLHQYIICSFHVIKAGNSYNSAQMFHNCTYLQIIGTVKEIHERHDVVMEIEKKLLELQQIFADMATLVDAQGETLNDIENQVQNAVDHIQRGTGELRTAKRLQKKSRKCMFIAIIILLVIAAIVVLSILKPWAK >ONIVA07G12400.3 pep chromosome:AWHD00000000:7:12569413:12584458:-1 gene:ONIVA07G12400 transcript:ONIVA07G12400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTLTYRLDLSDFSGTGWVCSLATASSPTTAVPEELQNPQVQRAARSPETPNNNHGHHTLERSCSSLGGRMLFVARGCSRSYEANKYPGCRRRRRGAAVPLLALQRQRQVAGSCRGRGQVLAGARPVEILTVGLVSLNSFESERDIEMGYQNSKNKSDYGLEDFFQEVQEIETLLDKMSNINHKLQEANEESKSVTKASEMKAINKRMEKDINEVGKITRTIKVKLEEMDRNNLENRKKQGCEKGTGVDRSRMSMTIALKNKLKERMKNFQMSTDKALRGWFSQIFADMATLVDAQGETLNDIENQVQNAVDHIQRGTGELRTAKRLQKKSRKCMFIAIIILLVIAAIVVLSILKPWAK >ONIVA07G12390.1 pep chromosome:AWHD00000000:7:12566933:12569126:1 gene:ONIVA07G12390 transcript:ONIVA07G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isocitrate lyase [Source:Projected from Arabidopsis thaliana (AT3G21720) TAIR;Acc:AT3G21720] MSSPFSVPSLIMEEEGRFEAEVAEVEAWWGTDRFRLTKRPYTARDVALLRGTLRQSYASGDMAKKLWRTLRAHQANGTASRTFGALDPVQVAMMAKHLDTVYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQLYHDRKQREARMSMSRAERAHEPYVDYLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDIMGVETVLVARTDAVAATLIQTNVDARDHQFILGATNPRLRNRSLAAVLSDAMSAGKNGRELQAIEDEWLATAQLKTFSDCVRDAIAGLDAATDGDKQRKLQEWSAATSHDKCVPLEQARDIAAGLGVTSLFWDWDLPRTREGFYRFRGSVAAAVVRGRAFAPHADVLWMETSSPNIAECTAFAEGVRAASPGAMLAYNLSPSFNWDASGMTDADMSEFIPRVARLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQREERSNGVETLQHQKWSGANFYDRVLKTVQGVTEEQFKGSWTGPGSESSSHVLAKSRM >ONIVA07G12380.1 pep chromosome:AWHD00000000:7:12554889:12555896:1 gene:ONIVA07G12380 transcript:ONIVA07G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQSRDMPDGSAFGGARNDWQRRYIAEKSRAAPGPSSHGLASAPLRDAKPTLQAHADRGTRYIGSNPLSGWEQVSE >ONIVA07G12370.1 pep chromosome:AWHD00000000:7:12542530:12543666:-1 gene:ONIVA07G12370 transcript:ONIVA07G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thiazole biosynthetic enzyme, chloroplast (ARA6) (THI1) (THI4) [Source:Projected from Arabidopsis thaliana (AT5G54770) TAIR;Acc:AT5G54770] MATTASSLLKTSFAGARLPAAARNPTVSVAPRTGGAICNSISSSSSTPPYDLNAIRFSPIKESIVSREMTRRYMTDMITYADTDVVVVGAGSAGLSCAYELSKDPSVSVAVIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGVAYDEQEDYVVIKHAALFTSTVMSRLLARPNVKLFNAVAVEDLIVKEGRVGGVVTNWALVSMNHDTQSCMDPNVMESRVVVSSCGHDGPFGATGVKRLQDIGMIDAVPGMLALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAIDGTIKKAAAAAAHPELILASKDDGEIVDA >ONIVA07G12360.1 pep chromosome:AWHD00000000:7:12537150:12538667:-1 gene:ONIVA07G12360 transcript:ONIVA07G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 8 [Source:Projected from Arabidopsis thaliana (AT1G11545) TAIR;Acc:AT1G11545] MGSLGRRPWVGGLTAAMIFAVAVCGFCFSGASAAAAAPTFGDNFEITGAEDHVKTSADGQTWYLYLDNKTGVGFQTKERYLFGWFSMNLKLAGNDSAGVVTAYYMCSDVDAAPQRDELDFEFLGNRTGEPYIIQTNVYRSGVGGREMRHSLWFDPTADFHSYSILWNPKQIVFFVDKVPIREYRNSDKPNTFFPIMKPMYVFSSIWNADDWATRGGLEKTDWTKAPFISSYRDFTADACSWGTAAAAAAASPPSCAASTGNSWWDQPPAWALDAGQREDSAWVARNLVIYDYCDDRKRFPSPPEECLLRTTSS >ONIVA07G12350.1 pep chromosome:AWHD00000000:7:12532509:12536020:1 gene:ONIVA07G12350 transcript:ONIVA07G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDIQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >ONIVA07G12340.1 pep chromosome:AWHD00000000:7:12518467:12528839:1 gene:ONIVA07G12340 transcript:ONIVA07G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tyrosyl-DNA phosphodiesterase-related [Source:Projected from Arabidopsis thaliana (AT5G15170) TAIR;Acc:AT5G15170] MEFLDVGLVEVDKWAKTHIDLVVGLQSRFARLELSNGLRLRLLQFSFPSPSSSPLRWVGFQCGGLGFRVWSQSQTAPMASSSRVRVGNLVPLNEGNASSSNGSVSSIPIYLGANVVGRNHLVVVDKRVSRKHLSLHASADGSIEAVVEGPNPIIVRSEGQRRKVCAQERVKIAHDDVLELIPGEYFVKYLNVGDNHKSSTSMGSSDFKKGKRLCEDDTVVIKRNRQIMEDEALARSLQKSFAEESSTISGLGCDQMLSSLDSAGSSERNNERIHSVDYLKDVLSLTFRLMRVQGLPSWTNTSSVTIQDVIQGEVLLAVLSNYMVDMEWLLTACPSLRKVRHVLVIHGEDGASVELLKKVKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRVVVHTANLIHVDWNNKTQGLWMQDFPWKDAKDVNRSVSFENDLVDYLSAIKWPEFRVNLPVVGDVNINAAFFRKFDYKSSSVRLIGSVPGYHVGPNIKKWGHMKLRSVLEGCTFEQQFCKAPMIYQFSSLGSLDEKWMSEFACSLSAGKSDNGSPLGIGKPLIVWPTVEDVRTSIEGYAAGSCIPSPQKNVEKDFLRKYWARWKADHLGVLFLPKTHQSVPQFSCTGKNNSNLNNLAPGKEIKTKLVTLCWKSDEEKEQSTEIIRLPVPYQLPPKPYGTEDVPWSWDKRYTKKDVYGSVWPRHG >ONIVA07G12330.1 pep chromosome:AWHD00000000:7:12516548:12516982:-1 gene:ONIVA07G12330 transcript:ONIVA07G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKPKDDFDFLEPSVLLDETHYQTGFKNGYSEGLVSGKEEGRQVGLKNGFQVGEELGFYQGCLDVWTSLVSIDQDAFSARVRKNIEQLAALLRSYPLSNPEDEQVQDIMEKIRLKFRVITASLGTKLEYQGRPTSSKQDVEDL >ONIVA07G12320.1 pep chromosome:AWHD00000000:7:12513456:12516021:1 gene:ONIVA07G12320 transcript:ONIVA07G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDWSTLTGCLVMLIAERLLANDVTDYIRFRAVCSPWRQHTEDPRVGDGLRPKYLPRSWIMLEETPPAAAPFRNRLLNTGTGAVLAVDVPELKDHDVMGPTLGGLLTLRERGGAHVLRLLHPFTRHLTELPSLVTMIHAASHDPKMVEPEYHQPTAIGLSDDHKAVAVFCGLVNKVAVARPGDSHWKWVYVPHFHLESAASLAGCFYAVSHVYIYQLESDGARGEPKLVPVAYVPVDAPSFRLTLVADDERERLMLMKEVFYVHAGEEVPPEGPDMLTMPRVCVAYAVDMAARTIALSRLGARALFMGDDRAVWASPGAFSPGVAADTVYAGRPNRLFTVHECGIEADRPLTVVLHTHGLVSGLTRHAVFESDDSEDLNPMGIVETVSSYVASDRGGAARPTMYVASHARRGRGRGV >ONIVA07G12310.1 pep chromosome:AWHD00000000:7:12505177:12506008:-1 gene:ONIVA07G12310 transcript:ONIVA07G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALCHAGGRAGDWRFSDARPRLREVSALTAAETATDDILVASPDVVMTSVAGKMSWSTGERDVEIPKKTYPKNGSFWGARVAGAVVQQDDASLFGAVPPPTWWGDAEMARGSAPEWLAPPPPEIFYFCFIYLIFFSRLGTHKNQP >ONIVA07G12300.1 pep chromosome:AWHD00000000:7:12504128:12504310:-1 gene:ONIVA07G12300 transcript:ONIVA07G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARLVPSSLGRRQHGWRPPSAMSVRVRHGGRRTAPASLAPSRWMTAPATLASKKDHF >ONIVA07G12290.1 pep chromosome:AWHD00000000:7:12497736:12501707:-1 gene:ONIVA07G12290 transcript:ONIVA07G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSPRFGSMISAKLASPPPSLLLPPSPRLQGRRLTPPSCTPGTPAALPSPGPDKEPEREAAGSGSGSATTPRSPAQLGSSQLHRWSRARAHRSGRRLEWPTIRDRGSGGASSPPTPTRPHPSSDEAASAAAKVAVEEEDGYGVVGRDEAAKSIYMVSDGTGWTAEHSVNAALGQFEHCLVDRGCAVNTHLFNGIDDMDRLIEIVKQAAKEGALVLYTLADPSMAEATKKACELWGVPSNDILRPTIEAIASHIGVAPSGIPRSSPSRKGQLTEDYFRRIEAIDFTIKQDDGAQPQNLNRAHIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGVNLPKSLFEIDQDKIFGLTINPVVLQAIRKARAKTLGFHGQKSNYAEMEHVRGELDHANQIFAQHPIWPVIEVTGKAIEETAAVVVRIFHDRKQKCAMPRISKRVAPIRIYDYLSEMVNTHVEYQKIFARDF >ONIVA07G12280.1 pep chromosome:AWHD00000000:7:12494140:12501363:1 gene:ONIVA07G12280 transcript:ONIVA07G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAASNQPVLAASDLGQSNLDAGAGAGAVGGGGFIALDVSALSSLAGDGPDTTAAPPRTPTVVRSLSRKGERKPADGDGNGAAGTGKRPPLSPLFVHVAAADDMGGLGRLVHTPVAGTPGGKSRRLGRQPAAPWLDPRRVVFFFATLSSVGTLILLYFTLSMSKMGGDSGGGGSSDAR >ONIVA07G12270.1 pep chromosome:AWHD00000000:7:12487640:12491064:1 gene:ONIVA07G12270 transcript:ONIVA07G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMESDLCHCVLCGLFQEMTLETVSYLCENPGKILSSKMDRKYQEMKPQTKLLMCGILLLFVRIPGMDQEIPEYFYISSSESIISMNPDQIEVAYLAMINPYVTDDTDR >ONIVA07G12270.2 pep chromosome:AWHD00000000:7:12487605:12490954:1 gene:ONIVA07G12270 transcript:ONIVA07G12270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQQKIHIQAFQQLKFNSFQYRGRRYVIPYKLMKKMKICVGFQQSFIQITSVCPHPWDGSRDP >ONIVA07G12270.3 pep chromosome:AWHD00000000:7:12487605:12491172:1 gene:ONIVA07G12270 transcript:ONIVA07G12270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQQKIHIQAFQQLKFNSFQYRGRRYVIPYKLMKKMKICVGFQQSFIQITSVCPHPWDGSRDP >ONIVA07G12260.1 pep chromosome:AWHD00000000:7:12483636:12484061:1 gene:ONIVA07G12260 transcript:ONIVA07G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNCLERARAKVTAWGAEEGDGGEDEFWGATAAEFSGGGGGGARGGVPSTRKKEIIKDGGGGEGSSSPTRRVKIRMTKGQLRRLLAGAGRGAAVEDVVAEIMSMGDVHVEPVKAEEGGGGRRPPPSPSKLEPIQEDMDE >ONIVA07G12240.1 pep chromosome:AWHD00000000:7:12467080:12467682:-1 gene:ONIVA07G12240 transcript:ONIVA07G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYRLPMYRERPAVRCINFLCAVLLTMVLVAGIIMFVLWLSLRPHRPRFFLDDFTIPNLNRQSGAVNLPVRFNVDERNPNQKIGIHYGTIFGSVYYNDLLVASGPVVQPFYQQPKGDTPLAGELTASGPTPGDPAWQRFAGDAAAGSVALRLLLNSTVRFQVQMWDTREHHMKVDCEFGLRGDGTLQQGDKNKQCTLYF >ONIVA07G12230.1 pep chromosome:AWHD00000000:7:12460873:12465439:1 gene:ONIVA07G12230 transcript:ONIVA07G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycerol-3-phosphate acyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT5G60620) TAIR;Acc:AT5G60620] MATSSVAGDIELDRPNLEDYLPSDSLPQEFPRNLHLRDLLDISPVLTEAAGAIVDDSFTRCFKSNSPEPWNWNIYLFPLWCLGVVIRYGILFPLRGLTLLVGWLAFFAAFFPVHFLLKGQKMRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLKDREVVAKKLRDHVQHPDSNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLRDGETAIEFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFADSVLRRLEES >ONIVA07G12220.1 pep chromosome:AWHD00000000:7:12455890:12460933:-1 gene:ONIVA07G12220 transcript:ONIVA07G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cytosol, nucleus; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: NUC153 (InterPro:IPR012580); Has 3663 /.../t hits to 21323 proteins in 1057 species: Archae - 109; Bacteria - 2369; Metazoa - 13796; Fungi - 4858; Plants - 1657; Viruses - 489; Other Eukaryotes - 13360 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G01160) TAIR;Acc:AT3G01160] MRSESERDGRNVAYVQRIDAWVDRWTNRQRRGRERLVTGWAPHTDRWAHCSAYMGRLGDAKGSKGKKRKHKDGEGEKEHGKRSKERKGEGEAAEARRGDDKVRRAMEDERFAAARTDPRFRAMRRKEAKVELDSRFSSMLTDPRFSSSSAPVDKHGRRRRKKGGRENPMLQYYLNQEEEEEKEKAKLVEEEEEEGDAEEQQGEEESSSSDDDDDDEDEEEDDDDEVYSVGSDIAHYLMGRHDVTPMIDKETHRLAVVNMDWDHIKAVDLYMVMTSCLPKGGRVLSVYYYAVVVCDSSATANHLYMNLDGTELLKTSNVFDLQFIPDSMEFKHPARDVATEAPPSYKEPNFETRALQHSKVKLTWDDDEPERKKVLRRKFTDDQLDDLDMYLASDDSASDDEGADNHGDESLQSGAKRKLTREERLALLLQGDKSEEEQTDGEDMEITFNTELEDLSKRILDRKVNNEKTVWEKHQEKMKEKRKSRKRRSKDDDDDGYSSEDGLDEHDDFFDDEMSDEEIKPNKKQKAKAKDKGKGKGKDKLPEQHLEDEATREELELLVAADKDAGNGAKGYNLKRKKGTKGKKGKEQSVEDELPNIDLSKDERFSAMFNSHLFAVDPTDPQYKRSAAFMRKQAGIKGAHEPSLGGRGSGRGTLPPDDVPTDTHDQKPDGTSTEKLETMSAVKSLKRKLTALKNTSKSDR >ONIVA07G12220.2 pep chromosome:AWHD00000000:7:12455890:12460662:-1 gene:ONIVA07G12220 transcript:ONIVA07G12220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cytosol, nucleus; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: NUC153 (InterPro:IPR012580); Has 3663 /.../t hits to 21323 proteins in 1057 species: Archae - 109; Bacteria - 2369; Metazoa - 13796; Fungi - 4858; Plants - 1657; Viruses - 489; Other Eukaryotes - 13360 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G01160) TAIR;Acc:AT3G01160] MAPPGNSDELASSKKVKKSNSKEERKHKKGKHERPAASDEAPTPRSDAKGSKGKKRKHKDGEGEKEHGKRSKERKGEGEAAEARRGDDKVRRAMEDERFAAARTDPRFRAMRRKEAKVELDSRFSSMLTDPRFSSSSAPVDKHGRRRRKKGGRENPMLQYYLNQEEEEEKEKAKLVEEEEEEGDAEEQQGEEESSSSDDDDDDEDEEEDDDDEVYSVGSDIAHYLMGRHDVTPMIDKETHRLAVVNMDWDHIKAVDLYMVMTSCLPKGGRVLSVYYYAVVVCDSSATANHLYMNLDGTELLKTSNVFDLQFIPDSMEFKHPARDVATEAPPSYKEPNFETRALQHSKVKLTWDDDEPERKKVLRRKFTDDQLDDLDMYLASDDSASDDEGADNHGDESLQSGAKRKLTREERLALLLQGDKSEEEQTDGEDMEITFNTELEDLSKRILDRKVNNEKTVWEKHQEKMKEKRKSRKRRSKDDDDDGYSSEDGLDEHDDFFDDEMSDEEIKPNKKQKAKAKDKGKGKGKDKLPEQHLEDEATREELELLVAADKDAGNGAKGYNLKRKKGTKGKKGKEQSVEDELPNIDLSKDERFSAMFNSHLFAVDPTDPQYKRSAAFMRKQAGIKGAHEPSLGGRGSGRGTLPPDDVPTDTHDQKPDGTSTEKLETMSAVKSLKRKLTALKNTSKSDR >ONIVA07G12210.1 pep chromosome:AWHD00000000:7:12451443:12454664:1 gene:ONIVA07G12210 transcript:ONIVA07G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAFGAVNLVLGLIQDEARLLGRVREDLQFIMQEMESMNNVLRHIVANKGSTTAGDYQLRPWMKQVMELAFDSRNCVELYTQSGSARCGWLPWEMVARHRVVTRIRELKIRAREISERQARYGIAVSHHQLPVEVTTTMEPRDASVRPRNQRRTNAGSWNNPSRRAILEDGWYGDYGSVDEALSRLKPFTEYLYVEVKPPEELNSDDKQQPQPLLDGGNKHDDKEQPPPPVQSGGCVKLQPPLQSDGSDKQDDKGQPLPLQSDGGNKEQPPPLQSEGGDKEQPLPLQSEGGDKEQPPPLQSEGGDKEQPPPLQSEGGGKEQPPPPQSGGGIKQQQQLPQSDGDDQQPLLPQSDGGDKQQHIRVVIISVQDGMDEAAVGETMLKRYKSHWRNPWTDEELHVSVQRPPILSEITKAMVDKLRHPKERETDNEAEDRQRLAKKLSNDNVLLVLSGLNYPVLWHQVLELLSSTGCSDSAVILCTNDSKMAKYCCDSAKCGPPVIYSLVDIYLNRALTLLPHRYDDRHLKGILCNILTQCCPDVFCMKMFLHALYYNPETTEHQLQVLNTSLGKEYTDHGRQDRIMAFCYQALPNNYKNCLWYSTVFTRGISMPDGVRRASLLRRWVAQGLITQVDQSSAEIEAGHCFEAMLRQKLIVPSGLSGARKVKSCTVHPVVADLIDRESSTVEDLLLNNQLPLDLDLLYSIRNGMQLHPANSNITRFLNSLSSTSRLLLTVLDLEGRKGLKANDLHTVCKIHKLKYLSLRNTDVAQLPKQIGQLRLLETLDIRGTRVQVFHTALPMLKHLLAGCIIVCPGKDIVKSKEFFSTVCVPRAVATMEKMEILSHVKVSNSATELNNIGDKLEHLKKLGVVLSGTKASLIDLFLQVDKLHRCLLSLSIRMDPPGNWDAIDAILLRPPKLLESLHICSIRSRLPPRIKELHHLAKITLRDTFLNQGALDVLSRLDGIRYLRLCYHSFAEGALWFWRFGNLMDLIIEDDIIISVTFGYRNPDKLEKIVWSFTHMEKLSGVRKLQSLTHLELKGGTCNPQNLEKLKRKVSEHSNGITFTLKLPENQSQ >ONIVA07G12200.1 pep chromosome:AWHD00000000:7:12439361:12444025:-1 gene:ONIVA07G12200 transcript:ONIVA07G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPAAAAAVEFGPHPHDMLTLDGELLRVLITGDRVRLEEILREAGSYGGDGEHPGDGGNGGSPPHEVLHCWSSMARWCQARDAPAPHPARGRGVHPRQPIAVAPAARHGAGCLLGVTSNGNTALHLVASRGHAELAALIRVRAPSLVATRNRCLDTPLHCAAKAGHREVVARLLETPTGVAEAEADQLAAAATAEAALRVRNCLGATVLHEAVRHGHTEVVHLLMSRAGAAELASVASDDGVSPLYLAATTGSVRMVQELLRMLRPADDGRRSPALFTGREGRTALHVAATKSAVMIDEIMETCPDFSELVDNRGRNFLHCAVEHGQGSVVRYICQDDRFAMLLNATDSEGNTPLHLAVEYACPRVLSSLLQTARVETDIVNKDGRTAADLAHHAFAPGQSYYFLNPHALILSCLQWVRAPFTVDGVSHLPLDIKSAHGEQAQKELDDMRKSGTIASVLIATVAFAAAFTVPGGFVADDHPHAGTATLARRFAFRSFVVSDTMAFVFSIVATCFLIYATGAAELPPSRRRWYSLIASGLVPLGAQFMIAAFAFGFHLVLGVANRGLLVFVYVVSSASVLLCFPGIWTPWRLGLGKATWRRAGWKGLINMYQRPCSLRVLFRCTPYNFLFENIRRPLFSVLITVTFLVAVTLDIVLPNY >ONIVA07G12190.1 pep chromosome:AWHD00000000:7:12428556:12431771:-1 gene:ONIVA07G12190 transcript:ONIVA07G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAEFGPQVLSLDEELLRVLIAGNKVRLEKLLSGEGGGGGHSHQQPQTDGQQVTISFHGAACAPVAAAPQPELSGTIRLLGVTSNGSTALHIVAIHGHAELAALICARAPSLAAARNRCLDTPLHCAAKAGHREVAACLLRTMQGGAAAGTDQAALLAPRNKTGATALHEAVRRSRVEVVDLLMTTAPWLAAVTTDGGVSPLYMAAAAESVQMVQLLLRPSRNGGPSPASAAGPEGRTALHVAAISTTKDIAEAILSWEPEGPTLLSRADSSGKTPLHFAVIYGRLDIVQLFLGDHASLRLTSISDNDGSYPLHAAAMFGRTKIIDELVKKCPNYYELVDDKGRNLLHVAVENEEEMVVRHICQNDMFAMVLNATDYDGNTPLHLAVKQGYPRIFGLLLGTASVDMCITNKDGHTATDLACCALSPDRSRYFPDPQVTVLACLWWVREPFSLDHRALHIHDLHALDDEPSEQQDNMTKNITIGSVLIATVAFAAAFTLPGGVVADDHPRAGTATLANRFAFRAFVVTDTMAFLYSIMATCFIIYGKAREIPRSHRRACSLLASGLFPCGAQFLIGAFAFGFHLALGAANRGLIIFVYVVSSVAVLACFPNIWAPFRFGLGRAIWRRYGWRGLVSMHKRPSSPLDFFLLVFTGPLIEIRRTLFAVLISSSFIVAVAFDIAKPNY >ONIVA07G12180.1 pep chromosome:AWHD00000000:7:12411574:12416373:-1 gene:ONIVA07G12180 transcript:ONIVA07G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDADLVQVLTDGDAVRLEELLMGREGHDGDDGCRRSDSLRQLQVSINVGDVALRREAAPRSRGTNYLLGKTSNGNTALHLVASRGHVELTKLISEMAPSLVATTNKCLDTPLHCAARTGRREVAAYLLPMMRTAAGGGEEETAPPLRATNQLGATALYEAVRHRRAEVVDLFMAEAPELAAVVTSGANGGVSPLYLAVTTGSVRMVAALLRPSRDGTPSPASFAGPKRRTALHVAAAINKELVEEILAWEPEGPTLLTRVDSAGRSPLHFAVQHQKLDVIQLFLKTEPTIAHISDDDGLFPLHAAAIVGSTRIIDELIKSCPNYYEMVDNRGRNFLHCAVEHNQGTVIRYICQDGRFEILLNATDSEGNTPFHLAVKNAFPLAVSLLLQTSSVEINIVNKDGLTAADLAELAFIPSKSYYFLDPLIIVFDCLHWVRAPHTLEGLSYHVHMDDKSETKETPNKQDDMNHMDDKSETKETPNKQDDMNKNGTIASVLIATVAFAAAFTLPGGLIADDHPHPGTATLARRFAFRAFVLSDTMAFVTSIIATCFLIYAGSIEIPTGHRRWYGLIASGLVPLGAQFMIAAFAFGFHLTLGPANRELVIFVYLVSSASVLFCFPGIWMPMHLGIGKAIWRRAGWRGLTNMSRWPFSLRSIFRRFTYSFLFTNLRRPFFAVLIPATFIVAIVLDVALPNY >ONIVA07G12170.1 pep chromosome:AWHD00000000:7:12407803:12411679:1 gene:ONIVA07G12170 transcript:ONIVA07G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRCYPPGHLRASPAASDRHRISSAVRLPAAAREDHMEAAAIDQSWGSKERNYIRLLSDYHVQILLPALFFTLCIGLLSLVVFGGPGSMAGLGKATSSTMATMKVAGMSTAKNGLLKFVNRKL >ONIVA07G12170.2 pep chromosome:AWHD00000000:7:12407803:12411679:1 gene:ONIVA07G12170 transcript:ONIVA07G12170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRCYPPGHLRCRRQHARVRPPPGRPLGGAPPFRHYPPGTTRPGASPAASDRHRISSAVRLPAAAREDHMEAAAIDQSWGSKERNYIRLLSDYHVQILLPALFFTLCIGLLSLVVFGGPGSMAGLGKATSSTMATMKVAGMSTAKNGLLKFVNRKL >ONIVA07G12160.1 pep chromosome:AWHD00000000:7:12394607:12396940:-1 gene:ONIVA07G12160 transcript:ONIVA07G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGPCNETLDTELLHALTSGDEVGMADLLGRERRGHGHSQSQVATSVDDDGRAPAGASHLLGVTTGNGNTALHVAATYGHAALAALGARAGRHAQQVPRHASALRGQVRVAACLLSEMLRAGGRASAAVALPLLRATNCQGATALYEAVRNGHAGVVALLMAEAPELASVANDGGVSPLYLAATDGSVDIVRALLRPLPDRTPSPASAAGPDGRTALHSAATTSKEIAREILDWKPEGRTLLTKVDSSGRTPLHFAISSQIERFDVVQLFLDAEPSLALVRDNQGSFPLHVAAVMGSVRIVVELIQKCPNNYYDLVDDRGRNFLHRAVEHNKESIVRYICRDDRFGILMNAMDSEGNTPLHLAAEYGHPRMVSLLLETMSVDVAITNRDGLTAADLAYRHLQPGLHYFLNPRAVVKNLFYCTRAPVTIEGDHARTGIPSAMEVADAPKDKGGGMTSTGTVASVLIATVTFAAALTVPGGYVADDHPNAGTAASAGRFAFRAFVVSDTMAFLCSIVGTCLLVVGEAREVRPSRGRLRAYQGSAWALVTAGAQFMVAAFAFGLQVALGGGADSRWLVVFVHALCSASVLLCFPGIWAPFCLGKVIWRRAGWRGLTNLHRCPSPSSLEEFLWCFVTSFLAKTLLRTFLPVLISVTLVVAIVLNIAKPNC >ONIVA07G12150.1 pep chromosome:AWHD00000000:7:12381384:12381852:-1 gene:ONIVA07G12150 transcript:ONIVA07G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVGSGFWPATVHTRSFSSNLKGSGSATNGSEAISDLFCFLREPCDLFTIWMCAWKKGIGRKYMVLSLMDERHGCELDTDRDGIQNSWENILDFYNG >ONIVA07G12140.1 pep chromosome:AWHD00000000:7:12362588:12376960:-1 gene:ONIVA07G12140 transcript:ONIVA07G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT2G06210) TAIR;Acc:AT2G06210] MASVYIPVQGTEEEVRVALDQLPADASDILDILKAEQAPLHLWLIIAREYFKQGKIDQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKVERAQQKEVHFKEATQCYNRASRIDETEPSTWIGRGQLCVVKHDLQMASDSFKIVLDEDGSNFPALLGQASVYFLMGDSEQQHKKALDYYRNSLDLYKRALRAYTSCPAAVRLGIAFCRYKLGQSDKARQAFQRLDPENIDALVALAIMDLQTNEAGGIRRGMEKMRRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSHAFYNLARSYHSKGDIETAGRYYMASVNEISKPQDFVLPFFGLGQIQLKFADYKSSLASFEKVLEVHPENCESLKAIGHIYAKSGENDKAIETFKKVTRIDPKDHQAFMELGELLVQSDWATAMEYLKTARNLLKKSGEKIPIELLNGIGLLHFEKGELEMAEQSFKEALGDGFWVSIIDGSVGSSVVNWSIQYRDQSFFQQLEEEGTPLELPWDKVTTLFNYARLFEELHDTVKASLFYRLIIFKYPDYIDTYLRLAAIAKEKNNLQLSIELIGDALKIDDKYPNALSMLGSLELQGDETWLTAKEHFREAKDASEGKDTYSMLQLGNWNYFAANRPEKKAPKFEATHREKAKELYSNVLKQHRGNMFAANGIGILYAEKAQWDIAKELFTQYQNCLRKFFYNTDATILLYLARTHYEAEQWQDCRKTLLRAIHLAPSNYLLRFNKFSASTLQKTKRTVDEVRATVSELQNAIRVFSLLSVASTYHSHGFDERKIETHIEYCKHLLDAAKVHRDAAEQAEQQNKQKMEVARQIALADEARRKAEEQRKAQLERRKQEDELKQVMQQEQHFERVKEQWKTSSNTPGKRKDRSKHEDEEGGSEKRRKKGGRRRKDQKTKAHYGEEEEDEYRDEPEAEDDYANTARSNDGGDSERAPGHLLAAAGLEDSDAEEDDMGHPQSAIERKRRAWSESEDDEPVQRPVQPSAGENDLSD >ONIVA07G12130.1 pep chromosome:AWHD00000000:7:12347897:12348073:1 gene:ONIVA07G12130 transcript:ONIVA07G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLVVDVVAIRDWSWHPALLSGRRVIEMHQDEYGAAVKKEKVEPEIDLEEAADCGA >ONIVA07G12120.1 pep chromosome:AWHD00000000:7:12331259:12342686:-1 gene:ONIVA07G12120 transcript:ONIVA07G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAESCGSRGGESPPPPRTRGASAGGRRRKAEAYREVLRRIRGGGGALDDDELWAHFYSLPARYALDVNVERVDDVLLHKRLLDQAREPMNGLVFDVRRSQAATLDGSTEVEQSTSFKHEVQDPQCSSFTSRDQRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWSHEVDVLRDALRRGVEKIKYKAWPLVQSMPTRTGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVRHKNVVQFIGACTRPPILCIVTEFMRGGSIFDFLYNFRGTFQLPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPIIPADTHPMLAGLLQKCWQKDPALRPTFSEILDILNSIKEAVRSSGHQKRHSGRSYSRQRRSGLMNLLFENETTMSSYACSASTDID >ONIVA07G12120.2 pep chromosome:AWHD00000000:7:12331259:12342686:-1 gene:ONIVA07G12120 transcript:ONIVA07G12120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAESCGSRGGESPPPPRTRGASAGGRRRKAEAYREVLRRIRGGGGALDDDELWAHFYSLPARYALDVNVERVDDVLLHKRLLDQAREPMNGLVFDVRRSQAATLDGSTEVEQSTSFKHEVQDPQCSSFTSRDQRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWSHEVDVLRDALRRGVEKIKYKAWPLVQSMPTRTGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVYIMKKVRHKNVVQFIGACTRPPILCIVTGIQLPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPIIPADTHPMLAGLLQKCWQKDPALRPTFSEILDILNSIKEAVRSSGHQKRHSGRSYSRQRRSGLMNLLFENETTMSSYACSASTDID >ONIVA07G12120.3 pep chromosome:AWHD00000000:7:12331259:12342686:-1 gene:ONIVA07G12120 transcript:ONIVA07G12120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAESCGSRGGESPPPPRTRGASAGGRRRKAEAYREVLRRIRGGGGALDDDELWAHFYSLPARYALDVNVERVDDVLLHKRLLDQAREPMNGLVFDVRRSQAATLDGSTEVEQSTSFKHEVQDPQCSSFTSRDQRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWSHEVDVLRDALRRGVEKIKYKAWPLVQSMPTRTGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQELPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPIIPADTHPMLAGLLQKCWQKDPALRPTFSEILDILNSIKEAVRSSGHQKRHSGRSYSRQRRSGLMNLLFENETTMSSYACSASTDID >ONIVA07G12120.4 pep chromosome:AWHD00000000:7:12331259:12342686:-1 gene:ONIVA07G12120 transcript:ONIVA07G12120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAESCGSRGGESPPPPRTRGASAGGRRRKAEAYREVLRRIRGGGGALDDDELWAHFYSLPARYALDVNVERVDDVLLHKRLLDQAREPMNGLVFDVRRSQAATLDGSTEVEQSTSFKHEVQDPQCSSFTSRDQRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWSHEVDVLRDALRRGVEKIKYKAWPLVQSMPTRTGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLQFMRGGSIFDFLYNFRGTFQLPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPIIPADTHPMLAGLLQKCWQKDPALRPTFSEILDILNSIKEAVRSSGHQKRHSGRSYSRQRRSGLMNLLFENETTMSSYACSASTDID >ONIVA07G12120.5 pep chromosome:AWHD00000000:7:12332211:12342686:-1 gene:ONIVA07G12120 transcript:ONIVA07G12120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAESCGSRGGESPPPPRTRGASAGGRRRKAEAYREVLRRIRGGGGALDDDELWAHFYSLPARYALDVNVERVDDVLLHKRLLDQAREPMNGLVFDVRRSQAATLDGSTEVEQSTSFKHEVQDPQCSSFTSRDQRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWSHEVDVLRDALRRGVEKIKYKAWPLVQSMPTRTGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVYIMKKVRHKNVVQFIGACTRPPILCIVTGIQLPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPIIPADTHPMLAGLLQKCWQKDPALRPTFSEILDILNSIKEAVRSSGHQKRHSGRSYSRQRRSG >ONIVA07G12120.6 pep chromosome:AWHD00000000:7:12332211:12342686:-1 gene:ONIVA07G12120 transcript:ONIVA07G12120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAESCGSRGGESPPPPRTRGASAGGRRRKAEAYREVLRRIRGGGGALDDDELWAHFYSLPARYALDVNVERVDDVLLHKRLLDQAREPMNGLVFDVRRSQAATLDGSTEVEQSTSFKHEVQDPQCSSFTSRDQRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWSHEVDVLRDALRRGVEKIKYKAWPLVQSMPTRTGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVRHKNVVQFIGACTRPPILCIVTEFMRGGSIFDFLYNFRGTFQLPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPIIPADTHPMLAGLLQKCWQKDPALRPTFSEILDILNSIKEAVRSSGHQKRHSGRSYSRQRRSG >ONIVA07G12110.1 pep chromosome:AWHD00000000:7:12325520:12330448:-1 gene:ONIVA07G12110 transcript:ONIVA07G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA processing protein-related [Source:Projected from Arabidopsis thaliana (AT3G22660) TAIR;Acc:AT3G22660] MARLAAEDPLVRDEAILDDDDDDVDTDEEESESEDDSGEEFHAEPSKKAVYNKEGILEKLEDIAWPENVDWRHKLTIEHDQGEKIDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPADYYAEMVKTDAHMHKIKGRLLSEKKKIEEAEERKKAREAKKRAKEVQAQKEKERAKQKKEQIESVKKWRKQRQQGGFAKGNDDGPDLNFEGDEGFKQSKKKRPGVSPGDRSGGLAKKGKQGKNRKSRDSKFGHGGRKGLKKQNTAETTNDFRGFNQMDKSQNKRRKMDGPRVRFAFFYAP >ONIVA07G12100.1 pep chromosome:AWHD00000000:7:12320248:12320610:1 gene:ONIVA07G12100 transcript:ONIVA07G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLRLAEISRKWSGSGSSKVTSPTAAAAACPRGHFAAYTRDGSRFFVPIACLASDTFRELLSTAEEEFGSPGGRPIVLPCSADRLHQILAAFRSASGKNKCSPPSGSGGRAGGRTKIW >ONIVA07G12090.1 pep chromosome:AWHD00000000:7:12310329:12315115:1 gene:ONIVA07G12090 transcript:ONIVA07G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARAHAAAAARRRRGQTPMAAPMRSVEKDALRHRSHPSIRPSTPHRRTITTPLLGWLLLSPFYGIFQIRGVASKPHMQSCRLCFSWPLALLLPLWPLGPKIYYYILYIICGFRIILPQYKNYICNILAPLRFLIDDAVNF >ONIVA07G12080.1 pep chromosome:AWHD00000000:7:12295888:12298796:-1 gene:ONIVA07G12080 transcript:ONIVA07G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLSILSSSFLLLLAASSSSTPRASACERCVRNGKAAYSPSLSPLPPGGGGGCGYGAMAMEMELNGGFLAAGGPRQHRGGLGCGRCFQMRCRNAEVCSNAGVRVVLTDFHRSNSTDFLLGGPAFAGLAKPGMAHKLKKLDALSVEYRRIPCDYKDKNLSILVEEQSKRPNNLVIKFLYQGGQTDILAVDVAQVGSSDWRFMTRVYGPVWSIDRAPNGPLQFRAVVTGGYDGKWVWADREVLPANWQPGQVYDTGARIADVARESCLDCATLDWK >ONIVA07G12070.1 pep chromosome:AWHD00000000:7:12285281:12287230:1 gene:ONIVA07G12070 transcript:ONIVA07G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTNPRSDRWSVCLFSLKPRTIPTRREKPSPADQNHPPHRRRRHRRRKQFVSPVGVRPVVRSMDRRPPLAVSPRRLRPRPHRSAAAGAAPRPPVACSVQTPPGSIKKATTPMRSSFCALPTSRLEPTPRAKLDFAAAPSPARAAVAAGKENRHVDDEVSLDLTAMAMPTPMPTWTASPLPPPTSPLFERGRLYDLYSARRNERLKRKHGFPAGEEEAEAMAADPCVAVELSKRRGAKKMTGAESVRRSMPAAADFSAAGRAATSTLGLRSSLRSSKEMKKTSAASSSFAGAKSPAAKERRASTRSSARRF >ONIVA07G12050.1 pep chromosome:AWHD00000000:7:12251601:12254304:1 gene:ONIVA07G12050 transcript:ONIVA07G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRWPPLLLLLLLLFLLAAATATATATGNAPPPEPKPARWPDVFHARLFTNLTNHSAASTGPPLRVADLYYDWPRRRNLNLIRYQLAAADDPLYDVEWDNGTTFYFDSRSCRTERFPVGVLRPGWLADGGGVVYLGRWRTGGIECDVWDKLGFVVYYQEVATGRPVRWNFLDKTGIQQFVMSFEVGVTLEDDSQWQAPAHCFPAAAADEDEEEEEDEEQDEMIKSSYDHIEGVDDGLDAARLLRRLAGAAAF >ONIVA07G12040.1 pep chromosome:AWHD00000000:7:12246486:12247786:1 gene:ONIVA07G12040 transcript:ONIVA07G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFKTILLVVFFALFAVSSLQPSAAVRDAQVFKPTVANADVTQPSASLVGLPGLAPLQPLPTIQIPGLPPLPQLPTIQIPSLPPLQPLPTIQIPGLSPLQPIPTIQIPSLPQLPPLPTIQIPGLPTLPQLPTIQIPGLPQLPTLPTIQIPELPPLPSVSITPGSPGVPARIPISSQSALAAAPIAPQQPTECLSSLMALMPCMEYVTKADVPAPPSVCCDGFKSLVEKAPICLCHGINGNISKLMPAPIDLTRIMSLPATCGVAPPVEALTKCFTGPVPPLMPASTPAAAPSPSPEPST >ONIVA07G12030.1 pep chromosome:AWHD00000000:7:12241544:12245665:1 gene:ONIVA07G12030 transcript:ONIVA07G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPNLFVPASG >ONIVA07G12020.1 pep chromosome:AWHD00000000:7:12224984:12235976:1 gene:ONIVA07G12020 transcript:ONIVA07G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVAVVGAGVSGLAAAHEAARGGGGVRVTLYEREDSLGGHARTVAVDGDAGPVDLDLGFMVFNRVTYPNMMEWFEELGVEMELSDMSFSVSAQLQDGDEQTMEWGSRNGLAGLLAQKTNAVSPAFWRMIREILKFKDDVLTYLEEHDKNPDLDRNETLGHFVQSHGYSRLFQQAYLVPICACIWSCPSQGVLGFSAFFVLSFCRNHHLLQLFGRPQWLTVKGRSHTYVNRVREELESLGCQIKTGCEVQSVSALEGGGYRVVEAGGTEEAYDSIIFAVHAPDALNILGDEATHDERRILGAFQYVYSDIYLHCDKSLMPRNPSAWSSWNFLGTTTSGVCVTYWLNLLQNIEESAGRGRRPFLVTLNPPRVPDHVLLAWKTSHPVPSVAAAAAAGELRRVQGCRGLWFCGAYQGYGFHEDGLKAGMAAARGLLLAANGGAGERRLLANPRQMVPSWTEAGARLLVTRFLAGYVSVGNLTLLEEGGTMFSFGEAGKKCQAKCVMRVHDPLFYWKVATEADLGLADAYINGYCSFVDKKQGLLNLLLILIANRDANKQSSTSTSRIRGWWTPMLLTAGVASAKYFLRHVSRKNTVTQTRQNISQHYDLDEDESLEAAQQRKVSLLIHKARVERDHHVLEIGSGWGSLAIQVVKQTGCKYTGVTLSEEQLKYCQRKVKEAGLEDHMTFLLCDYRQIPTVRKYDRIISCEMIEGVGHEYMDDFFGCCESLLAQDGLFVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLSRITSAMSTSSRLCIEHLENIGYHYYPTLIRWRDNFMANREEIKSLGFDDKFIRIWEYYFIYCAAGFKSRTLGNYQIVLSRPGNDKLLPFADNPYATFPAA >ONIVA07G12010.1 pep chromosome:AWHD00000000:7:12200624:12201034:1 gene:ONIVA07G12010 transcript:ONIVA07G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDVLTPAIDDEVAAALKVIDGYDVDPMLKTVCKLALEVMAGVEPVVETLRKAEAILRMKKMKPPPAKEKVSQAYIDSLLSRPPPVPYLASRKDFSDDLPKDYTMLQPSVPGRRRHQQDPRRRRKDNRGVQAYG >ONIVA07G12000.1 pep chromosome:AWHD00000000:7:12164457:12165081:-1 gene:ONIVA07G12000 transcript:ONIVA07G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLRPSLMMTMQQRSWLEPKVNDHDKEKELNKGDKAQLRLRSGLTPATQAQALAIKGELGWGLAVKPFAREVEILKMAQPAEGFKVMVERVARELERVEKLVVQEFTR >ONIVA07G11990.1 pep chromosome:AWHD00000000:7:12140487:12153655:1 gene:ONIVA07G11990 transcript:ONIVA07G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-localized GRIP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G66030) TAIR;Acc:AT5G66030] MDPDENPTPPPPYQEAEAAVPVDEQPPPPVEEEQAEAARQESAAPVEQDAAAAEGGGGDRAAGGERSREELERVVMELGFQNDYLKSQIAAAEGGSGAAESELVKGLKEQVERLRKEVEEHKQTQKATEAALEHVNVAYAEADAKVQDLTAKLTQAQQKMDKELKERDEKYVELDTKFQRLHKRAKQRIQDIQKEKDDMEARFNEINQKAEQASSLQSAAQQELERARQQASEALRSMDAERQQLRTVNSKLRTNLDEARVALEARNNVLEKLRQSMFEKEQLLEQTQASLQSAEEKRNASIAELTAKHQKQLESLEAQLTEVSAERTKASETIQSLQMLLVEKDSEIAEIEAASTGEAARIRAAMEELKGELAHLKDQHEKERQNWETTCESLRTKLEASESACHISVIESTKVKSQLELELSKQNQLLQTKDSDLLAAKDEISRLESEFSAYKVRAHALLQKKDAELNTAKNSDLIKAHEEAIREAEKEITAALAERDKAIHDLQIAQSKYGEEIEARDLALADSDKKLKNVMAKLDSLTSKFLSEKESWEKNMASVEESWRLKCESVKAESNGHAGDELKKNLVELTVKHEKLKEEHDSFRDIADRMLEEKDREVAKLLRENKDLHNSLEAKAAVRPAKQDAMDIELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNVILKLLETGEVGALLPVVATLLQFSPEELTKCQHGVLSAVASSPATAVPDGGSTPNSFFSRFTF >ONIVA07G11990.2 pep chromosome:AWHD00000000:7:12140487:12153167:1 gene:ONIVA07G11990 transcript:ONIVA07G11990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-localized GRIP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G66030) TAIR;Acc:AT5G66030] MDPDENPTPPPPYQEAEAAVPVDEQPPPPVEEEQAEAARQESAAPVEQDAAAAEGGGGDRAAGGERSREELERVVMELGFQNDYLKSQIAAAEGGSGAAESELVKGLKEQVERLRKEVEEHKQTQKATEAALEHVNVAYAEADAKVQDLTAKLTQAQQKMDKELKERDEKYVELDTKFQRLHKRAKQRIQDIQKEKDDMEARFNEINQKAEQASSLQSAAQQELERARQQASEALRSMDAERQQLRTVNSKLRTNLDEARVALEARNNVLEKLRQSMFEKEQLLEQTQASLQSAEEKRNASIAELTAKHQKQLESLEAQLTEVSAERTKASETIQSLQMLLVEKDSEIAEIEAASTGEAARIRAAMEELKGELAHLKDQHEKERQNWETTCESLRTKLEASESACHISVIESTKVKSQLELELSKQNQLLQTKDSDLLAAKDEISRLESEFSAYKVRAHALLQKKDAELNTAKNSDLIKAHEEAIREAEKEITAALAERDKAIHDLQIAQSKYGEEIEARDLALADSDKKLKNVMAKLDSLTSKFLSEKESWEKNMASVEESWRLKCESVKAESNGHAGDELKKNLVELTVKHEKLKEEHDSFRDIADRMLEEKDREVAKLLRENKDLHNSLEAKAADAMDIELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNVILKLLETGEVGALLPVVATLLQFSPEELWLHRQQLRYQTVDPRQIHSSQDSHSSRGT >ONIVA07G11980.1 pep chromosome:AWHD00000000:7:12134015:12138582:1 gene:ONIVA07G11980 transcript:ONIVA07G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASLSPSSSGDEEEEDEGVEGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDVHTQKFVALKIQKSAPEFAQAAFHEIEFLSEITKRDPSNCKCIIQLIDHFKHAGPNGQHICLVFEFLGDSLLKLVQYNRYNGIGFGPVREICRSILIGLDYLHRELGIIHSDLKLENILLISTIDPSKDPIRSGLKPNLERPEGNPNGEVMLNPIEKKLKMRARRVLAKLAEKKKSAAEYARAERSLDGIDMTCKIVDFGNACWADKQFTDFIQTRQYRAPEVILGSGYSFPVDMWSFACIAFELATGEMLFTPKEGQGYSEDEDHLALMMEVLGKIPKKIATMGTKSKEYFDRHGDLKRIRRLKFSSIERVLVDKYKISESDAREFAEFLCPLFDFAPEKRPTAAQCLQHKWLQYSDGKNYGTLNISDVKNASVTCSPGTTASSDSKSIDVTRNIGDKPDSYAERADAKCNTSKKSIINSNSENSDVQPNTASVGNRNSKIDDVNSNTGSITNKDSKNTVIKPSIGSFANRNAETVDVKPNIGSITSRGDSSSDTKSNIGSVASKDAKTIDAKPSTSSITSQDSKIGDGRSNIDGIANRDAKTADVKHNIRSVVNTYLRNFDVKHNTGNIVDGGVKHSDLKPGTVSAANVDSKSISAKPSTGSFENSDAKSISAKTSTGSFENSDAKSISAKTSTGSFDNSDARNIMKANTGIVANNDVKDTDVQTNTESVTSGDDLNDIDRKPNIGRVAASIQRLESSIGKVQSGKYR >ONIVA07G11970.1 pep chromosome:AWHD00000000:7:12125849:12128067:-1 gene:ONIVA07G11970 transcript:ONIVA07G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLKTVGRFFRRYPSIFSSLVFLVILYKFFFGWFTFLLETSPIFLFAGFFLGIILAYGEPNIPENDHVYKKIEKAYNRNVRDNSKSAGGVTLPSIASSEERLAKHNNTEKVTNGRSHIGAFSSESGSGESDGSETDTHPMLHTFHHLRSATSSSRSSQDGDSNDSSIEDGNENQEGKDDNEHEEKNGKVVAWTADDQKNILNIGCLEIERNQRLENLIARRRARKYIDRNLIDFGSSDSLPKIEELSKFNVQIPAIFAPRKNPFDLPYNEDNFPESAPSAPLNMLSKFDLPFDEANESSSTGGANSNHVDSTTVFSQSQKDTMFRRHESFTPGAPFLSDFWQDTQPSRFRPYFVTEKMANEGISVPNLEGEASEKSSVEDSDSTSSVTDQENHKLVLEDSLNQNLGPQMSQMDEQPHRSQNAREVPLALDIEPPLLISDSSDDDISLPGGNINDREEAQENGNLNLSQNASLEDPSVIEYPHQMEMISNEFHQLSPHSNDNDSLSSSTEATEPPELNSIELPANEVEFINEIPIADPIYDISPSRSEKPASIGSTIDAVLLQEGNTHTSDVEMSMDGEDSVSRIEASEIPAPSLASVQESKPREKESSEVKEQDNSGHDGGNQDSVSHANSKVPVISSKPSISGLSNRKFYDYDLYIHTLPIFYHILIGKTILSWVL >ONIVA07G11960.1 pep chromosome:AWHD00000000:7:12118255:12123746:1 gene:ONIVA07G11960 transcript:ONIVA07G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEGLVLRPSSSSPSRSYKIGITPVNEKRVQPTTSPRSRTGVIVDRAILAEDKKHGGFKRLVITIGTPRSESPWLKKPMHGVSGSTAMASTPWSSMPPSSHSLVVGKGGGCGEGFSCSMCSLVEADCCAPIYLTYLPGGVKLIRERKGDFSCLTVAGICEASTSDAISSGSWHSNAGSPCPISNSRAIYTSQWYGFQLGTARTQLLFKAMIVQFPGPKASSSAHVSSRSNVDLLRSLRYRDRGACPRAEI >ONIVA07G11960.2 pep chromosome:AWHD00000000:7:12118255:12123746:1 gene:ONIVA07G11960 transcript:ONIVA07G11960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEGLVLRPSSSSPSRSYKIGITPVNEKRVQPTTSPRSRTGVIVDRAILAEDKKHAPRSESPWLKKPMHGVSGSTAMASTPWSSMPPSSHSLVVGKGGGCGEGFSCSMCSLVEADCCAPIYLTYLPGGVKLIRERKGDFSCLTVAGICEASTSDAISSGSWHSNAGSPCPISNSRAIYTSQWYGFQLGTARTQLLFKAMIVQFPGPKASSSAHVSSRSNVDLLRSLRYRDRGACPRAEI >ONIVA07G11960.3 pep chromosome:AWHD00000000:7:12118255:12123746:1 gene:ONIVA07G11960 transcript:ONIVA07G11960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELDATKQPFPGERKGDFSCLTVAGICEASTSDAISSGSWHSNAGSPCPISNSRAIYTSQWYGFQLGTARTQLLFKAMIVQFPGPKASSSAHVSSRSNVDLLRSLRYRDRGACPRAEI >ONIVA07G11960.4 pep chromosome:AWHD00000000:7:12118258:12123746:1 gene:ONIVA07G11960 transcript:ONIVA07G11960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEGLVLRPSSSSPSRSYKIGITPVNEKRVQPTTSPRSRTGVIVDRAILAEDKKHGGFKSSKE >ONIVA07G11960.5 pep chromosome:AWHD00000000:7:12118255:12123746:1 gene:ONIVA07G11960 transcript:ONIVA07G11960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEGLVLRPSSSSPSRSYKIGITPVNEKRVQPTTSPRSRTGVIVDRAILAEDKKHGGFKSSKE >ONIVA07G11960.6 pep chromosome:AWHD00000000:7:12118255:12122335:1 gene:ONIVA07G11960 transcript:ONIVA07G11960.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEGLVLRPSSSSPSRSYKIGITPVNEKRVQPTTSPRSRTGVIVDRAILAEDKKHGGFKRLVITIGTPRSESPWLKKPMHGVSGSTAMASTPWSSMPPSSHSLVVGKGGGCGEGFSCSMCSLVEADCCAPIYLTYLPGGVKLIRERKGDFSCLTVAGICEASTSDAISSGSWHSNAGSPCPISNSRAIYTSQCLFCS >ONIVA07G11960.7 pep chromosome:AWHD00000000:7:12118255:12122494:1 gene:ONIVA07G11960 transcript:ONIVA07G11960.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEGLVLRPSSSSPSRSYKIGITPVNEKRVQPTTSPRSRTGVIVDRAILAEDKKHGGFKRLVITIGTPRSESPWLKKPMHGVSGSTAMASTPWSSMPPSSHSLVVGKGGGCGEGFSCSMCSLVEADCCAPIYLTYLPGGVKLIRERKGDFSCLTVAGICEASTSDAISSGSWHSNAGSPCPISNSRAIYTSQCL >ONIVA07G11960.8 pep chromosome:AWHD00000000:7:12118255:12122682:1 gene:ONIVA07G11960 transcript:ONIVA07G11960.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEGLVLRPSSSSPSRSYKIGITPVNEKRVQPTTSPRSRTGVIVDRAILAEDKKHGGFKSSKE >ONIVA07G11950.1 pep chromosome:AWHD00000000:7:12109836:12114783:-1 gene:ONIVA07G11950 transcript:ONIVA07G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73710) TAIR;Acc:AT1G73710] MLTPTTAAAVSGELSISATQSRLLPSASRVHLPHLLPAPPALSSSRSHPSVPTPTGGGGAGEAADLDPSDEHLATMSPREQTALLSRQRHWRRARDLLDRMRALPGYAPSAIHYGVVLRHLARARRWGELRRVWARMAREGAPPPTNQAYAALADALAKAGHARDSLLLLRHMRARGVAPDEVSMSTFVRILKDVGRYSDAATLFDNWCNGRFEVGFIDLDYSALDSGGPMQFLLEEMCHGNVDDAGASGIQGVARIPKLAVTYNTMIDLYGKAGKLKDAMDMFMGMPDYGVAADTCTFNTLINIFGSCGNVKEAEALFASMIVRGTNPDVKTFNVMMTLFASKGDVEGVLKHYYHIGKMGLSADTVSYGIVLRVLCERKMVHEAEDVIVGIMRSGTCVPEQPLPVVMKMYIDQGLLDKANAFFDRHCRGDEVSSKTFAAIMDAFAERGFWEEAEHVFYSHRGVRRRGIVEYNVMVKAYGAAKRYDRVSPLLEHMNESGISPDECTFNSLIQMFATGGYPQRAKKLLGKMKYAGFKPKCETYAAAITTLSRNYLVSEAIYLYNEMKASGVEPNVVVYGVLIDTFAETGQLEEALHYNNLMEESGIAPNQIVLTSLIKAYSKANCWKEAQDLYSRMKNMDGGPDIVASNSMLNLYANLGMVTKVKEIFDCLRRNNQADDVSYTSMISLYKNMGLLNESVRVAHDLQNSGLLSDCASYNAVMACFVAKGKLRECAELVQQMQEGNILPDASTFGMIFSIMKKIQIAPEEVSQLQSAYNDNRSSSSQAVIAFLFLIAGMHAAALNICEKYMKPVLTIDQCACNVAFKVYASCGEVDKAFSLFMQMHELGLKPDTATYIHLTTCYGEYGMPGGKMKAIFLLISQLRNEMLIQFTQSPDMLTRMPMAPCEMQSVDSCLMETASDPSTSSSYRKATKHPGEHDFGGADILECNAQLLELSQEELHNKISVPIWKLEYMNLNKDQHPGPGAYKGRNEEHKCCQY >ONIVA07G11950.2 pep chromosome:AWHD00000000:7:12109836:12114783:-1 gene:ONIVA07G11950 transcript:ONIVA07G11950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73710) TAIR;Acc:AT1G73710] MLTPTTAAAVSGELSISATQSRLLPSASRVHLPHLLPAPPALSSSRSHPSVPTPTGGGGAGEAADLDPSDEHLATMSPREQTALLSRQRHWRRARDLLDRMRALPGYAPSAIHYGVVLRHLARARRWGELRRVWARMAREGAPPPTNQAYAALADALAKAGHARDSLLLLRHMRARGVAPDEVSMSTFVRILKDVGRYSDAATLFDNWCNGRFEVGFIDLDYSALDSGGPMQFLLEEMCHGNVDDAGASGIQGVARIPKLAVTYNTMIDLYGKAGKLKDAMDMFMGMPDYGVAADTCTFNTLINIFGSCGNVKEAEALFASMIVRGTNPDVKTFNVMMTLFASKGDVEGVLKHYYHIGKMGLSADTVSYGIVLRVLCERKMVHEAEDVIVGIMRSGTCVPEQPLPVVMKMYIDQGLLDKANAFFDRHCRGDEVSSKTFAAIMDAFAERGFWEEAEHVFYSHRGVRRRGIVEYNVMVKAYGAAKRYDRVSPLLEHMNESGISPDECTFNSLIQMFATGGYPQRAKKLLGKMKYAGFKPKCETYAAAITTLSRNYLVSEAIYLYNEMKASGVEPNVVVYGVLIDTFAETGQLEEALHYNNLMEESGIAPNQIVLTSLIKAYSKANCWKEAQDLYSRMKNMDGGPDIVASNSMLNLYANLGMVTKVKEIFDCLRRNNQADDVSYTSMISLYKNMGLLNESVRVAHDLQNSGLLSDCASYNAVMACFVAKGKLRECAELVQQMQEGNILPDASTFGMIFSIMKKIQIAPEEVSQLQSAYNDNRSSSSQAVIAFLFLIAGMHAAALNICEKYMKPVLTIDQCACNVAFKVYASCGEVDKAFSLFMQMHELGLKPDTATYIHLTTCYGEYGMPGGKMKAIFLLISQLRNEMLIQFTQSPDMLTRNSECPWLHAKCKVLTAASWKLQVIQGDFLPEVVNIYDSTSSSYRKATKHPGEHDFGGADILECNAQLLELSQEELHNKISVPIWKLEYMNLNKDQHPGPGAYKGRNEEHKCCQY >ONIVA07G11940.1 pep chromosome:AWHD00000000:7:12099922:12100374:-1 gene:ONIVA07G11940 transcript:ONIVA07G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLSAAAAAAAAAASPEESMLRPEWLVVEVLVKEVMVALDADRSSSAPDDDVRWWWCWCAAAIVGGHRGAAADGGGSQLGADAAPYGWCMSRHQEAAAAAEEEEEEGGGGAGGELATEASEPSCAMHCMRVVVAGRRRRIEKEKWRREK >ONIVA07G11930.1 pep chromosome:AWHD00000000:7:12099884:12107023:1 gene:ONIVA07G11930 transcript:ONIVA07G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMAQEGSEASVASSPPAPPPPSSSSSSAAAAASWWRDMHHPYGAASAPSWLPPPSAAAPRWPPTMAAAHQHHHHRTSSSGAEDDLSASNATITSFTNTSTTNHSGLSMDSSGEAAAAAAAAAAESHLIWNQVLMGAAGGEVGRSMPAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGGGGGGGGGGWPEHQFTAAAALEKHLSSAAAAGYGGALAHHHHAAGAPERLTANLSDLVSNWSIAPPNHGHHVGGAAACDNPAVAAAMAAAHGGGNVKQSGSSFLDSGGGGGGAMLQQESSSSTGTGGGGQDFLRPMGLAAGSSSYSSMLGLSSRMYGGGGTATMDVPWGSSNAGAARSLSDLISFGGGAMDKPPPPPPSSAPARTSSGGGGKEGKKKRSEEAAGSEGSTKKSKHEATSPTSSLKSQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKSSSSKDYNAWGGLDRKEKADAEVDLRSRGLCLVPVSCTPQVYRDNNGPDYWTPPYRSCLYR >ONIVA07G11930.2 pep chromosome:AWHD00000000:7:12101514:12107023:1 gene:ONIVA07G11930 transcript:ONIVA07G11930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAAGGEVGRSMPAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGGGGGGGGGGWPEHQFTAAAALEKHLSSAAAAGYGGALAHHHHAAGAPERLTANLSDLVSNWSIAPPNHGHHVGGAAACDNPAVAAAMAAAHGGGNVKQSGSSFLDSGGGGGGAMLQQESSSSTGTGGGGQDFLRPMGLAAGSSSYSSMLGLSSRMYGGGGTATMDVPWGSSNAGAARSLSDLISFGGGAMDKPPPPPPSSAPARTSSGGGGKEGKKKRSEEAAGSEGSTKKSKHEATSPTSSLKSQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKSSSSKDYNAWGGLDRKEKADAEVDLRSRGLCLVPVSCTPQVYRDNNGPDYWTPPYRSCLYR >ONIVA07G11920.1 pep chromosome:AWHD00000000:7:12065260:12071846:1 gene:ONIVA07G11920 transcript:ONIVA07G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGGQHQRHQQQQQQPGGYGRGGGGGRGRGRDGAPYSGGRGRGQDGSYPGGRGGGYGGGGGGGGPPYYGGGGGGGGGGGGQGRGYYDDGGDGRGYQRGMEGGGGRGGYRGDGDGGYGRGGGGCGGGGGGYRGDDEGRSSYGRARGGGGGGYHGDGEAGYGRGRGGRDYDGGRGGGGRRGGRGGGGSSYHQQPPPDLPQAPEPRLAAQYAREIDIAALRAQFKGLTTTTPGAASSQFPARPGFGAAGEECLVKVNYFFVGLKNDNFHHYDVAIAPDPVLKGLFRTIISKLVTERRHTDFGGRLPVYDGRANLYTAGELPFRSRELEVELSGSRKFKVAIRHVAPVSLQDLWMVMAGCPAGIPSQALQLLDIVLRDMVLAERNDMGYVAFGRSYFSPGLGSRELDKGIFAWKGFYQSCRVTQQGLSLNIDMSSTAFIEPGRVLNFVEKAIGRRITNAITVGYFLNNYGNELMRTLKGVKVEVTHRGNLRKKYRIAGFTEQSADVQTFTSSDGIKTVKEYFNKKYNLKLAFGYLPCLQVGSKERPNYLPMELCNIVPGQRYKNRLSPTQVSNLINITNDRPCDRESSIRQTVSSNQYNSTERADEFGIEVDSYPTTLKARVLKAPMFFRTSVLTFKVVNGATIKSWACVNLCEGLDNRVVEAFCLQLVRTSKITGLDFANVSLPILKADPHNVKTDLPMRYQEACSWSRDNKIDLLLVVMTDDKNNASLYGDVKRICETEIGVLSQCCRAKQVYKERNVQYCANVAIKINAKAGGRNSVFLNVEASLPVVSKSPTIIFGADVTHPGSFDESTPSIASVVASADWPEVTKYNSLVRMQASRKEIIQDLDSIVRELLNAFKRDSKMEPKQLIFYRDGVSEGQFQQVVESEIPEIEKAWKSLYAGKPRITFIVVQKRHHTRLFPNNYNDPRGMDGTGNVRPGTVVDRVICHPREFDFFLCSHAGIKGTSRPSHYHVLRDDNNFTADQLQSVTNNLCYIYTSCTRSVSIPPPVYYAHKLAFRARFYLTQVPVAGGDPGAAKFQWVLPEIKEEVKKSMFFC >ONIVA07G11910.1 pep chromosome:AWHD00000000:7:12058539:12062160:1 gene:ONIVA07G11910 transcript:ONIVA07G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) TAIR;Acc:AT5G17240] MEALLRWAAELGVSDSPSAPSPSSCLGRSVLIADFPDAGGRGLAAARDLRRGELVLRAPRAALLTSGRVMDDDPRIASSVASHLPRLSSVQTLIICLLSEVGKGKSSNWYLYLSQLPSYYTILATFNDFETEALQVDEAIWVAQKALRGIRSDWEEATPLMKGLGFKPKLLMFKSWIWAFATVSSRTLHIAWDDAGCLCPIGDLFNYAAPNDDNSSTDEDRDDMMHQETNKMLDQTDFDSSEKLTDGGYEDVNEYRLYARKRYRKGEQVLLAYGTYTNLELLEHYGFLLGENPNEKIYIPLDLDLCMIGSWPRDSLYILPNGHPSFALLCALRLWTTPRNRRKALSHQIYSGSLLSVENELEILKWLVKKCKETLQQLPTTIEFDDNLLVLLCKLQNSTSCITEMNRSIFEQEFAPFFRFHGFKLDCSIHSKLPVRLLRSLERWGLAVQWRCNYKRTLTKCIVHCKSLVHELSLQQNQQ >ONIVA07G11910.2 pep chromosome:AWHD00000000:7:12058539:12061823:1 gene:ONIVA07G11910 transcript:ONIVA07G11910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) TAIR;Acc:AT5G17240] MEALLRWAAELGVSDSPSAPSPSSCLGRSVLIADFPDAGGRGLAAARDLRRGELVLRAPRAALLTSGRVMDDDPRIASSVASHLPRLSSVQTLIICLLSEVGKGKSSNWYLYLSQLPSYYTILATFNDFETEALQVDEAIWVAQKALRGIRSDWEEATPLMKGLGFKPKLLMFKSWIWAFATVSSRTLHIAWDDAGCLCPIGDLFNYAAPNDDNSSTDEDRDDMMHQETNKMLDQTDFDSSEKLTDGGYEDVNEYRLYARKRYRKGEQVLLAYGTYTNLELLEHYGFLLGENPNEKIYIPLDLDLCMIGSWPRDSLYILPNGHPSFALLCALRLWTTPRNRRKALSHQIYSGSLLSVENELEILKWLVKKCKETLQQLPTTIEFDDNLLVLLCKLQNSTSCITEMNRSIFEQEFAPFFRFHGFKLDCSIHSKLPVRLLRSLERWGLAVQWRCNYKRTLTKSS >ONIVA07G11910.3 pep chromosome:AWHD00000000:7:12058539:12062162:1 gene:ONIVA07G11910 transcript:ONIVA07G11910.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) TAIR;Acc:AT5G17240] MEALLRWAAELGVSDSPSAPSPSSCLGRSVLIADFPDAGGRGLAAARDLRRGELVLRAPRAALLTSGRVMDDDPRIASSVASHLPRLSSVQTLIICLLSEVGKGKSSNWYLYLSQLPSYYTILATFNDFETEALQVDEAIWVAQKALRGIRSDWEEATPLMKGLGFKPKLLMFKSWIWAFATVSSRTLHIAWDDAGCLCPIGDLFNYAAPNDDNSSTDEDRDDMMHQETNKMLDQTDFDSSEKLTDGGYEDVNEYRLYARKRYRKGEQVLLAYGTYTNLELLEHYGFLLGENPNEKIYIPLDLDLCMIGSWPRDSLYILPNGHPSFALLCALRLWTTPRNRRKALSHQIYSGSLLSVENELEILKWLVKKCKETLQQLPTTIEFDDNLLVLLCKLQNSTSCITEMNRSIFEQEFAPFFRFHGFKLDCSIHSKLPVRLLRSLERWGLAVQWRCNYKRTLTKCIVHCKSLVHELSLQQNQQ >ONIVA07G11900.1 pep chromosome:AWHD00000000:7:12045289:12050515:1 gene:ONIVA07G11900 transcript:ONIVA07G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRRVLLQLVLLAGVAFRGVRFDDAADAAAAAQGSSDLFELPSPSPTLALPGGGDEGASTEIIAAPWPGRHGLFTPPRSTSQPARAVVQPAADFGSQLQFYDNGTIQLVDLLSKLPRWQFSTGPPLSKHITTSKPDLNYVIYLDGSETSDLIEVHNGSGVRLPWKLEEFIAETPYIRDSFVTIGSKVSTTFVVNADSGEIIYKHSLPVALNEVGGPLVEEIPSKLDAARSGTSANIIVVVRTDYSISASDLGEHLFNWTRTSFTANYYARYGHQDMLAQSSCLRGNIPCIRTEGPPIKLYLPDSSSDNAIVLRPVNEVSAVDALEPLLPPKKLPQPAGESNVALDSAQNQTADIALGHFVPADTELTNSVTKFSYRWLFPTFLMLLIMACLVKLADASKYCRQFVIRFLKPFMRDEKLMDPRGKSEGTSKRRKARKKDGLINSTQIFSASDKEGNGTGGSTEAQSNKAHDSTNVELPNGLNGRQIGKLCVYSKEIGKGSNGTVVFEGSYGGREVAVKRLLRSHNDIASKEIENLIASDQDPNIVRMYGFEQDNDFVYISLERCRCSLADLIQLHSVPPFSNTKGTDIELWRQDGLPSAQLLKLMRDVVAGIVHLHSLGIIHRDLKPQNVLISKEGPLRAKLSDMGISKRLQEDMTSVSHHGTGFGSSGWQAPEQLCHGRQTRAIDLFSLGCLIFYCITKGKHPFGEYYERDMKIINNQFDLFILDHIPEAVHLISQLLDPDPEKRPTAVYVMHHPFFWSPELCLSFLRDTSDRIEKTSETDLIDALEGINVEAFGKNWGEKLDAALLADMGRYRKYSFESTRDLLRLIRNKSGHYREFSDDLKELLGSLPEGFVQYFSSRFPKLLIKVYEVMSEHCKDEEAFSKYFLGSSA >ONIVA07G11890.1 pep chromosome:AWHD00000000:7:12038038:12041900:-1 gene:ONIVA07G11890 transcript:ONIVA07G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHVKSALLSGHRGPHHLAASAAAAASFHSTPPLQRKRKTQWHHRFSYYEKRRRNRESKRTMLRNMSEYAEYLFQSWRDEDDKNDESSGPSWFRGHRWVRNPKSNGFRPHDFYFGNFRSRGGFEFCTSDEDEPETVFRNAFRGQQTFYWSFDSDDFCRRNHRRSHSESSRRWSYETDDEDETPAQTEVSLARQALGLSTSGPLKLEDVKSAYRTCALRWHPDRHNGSTKATAEEKFKHCSAAYQILCDSLASA >ONIVA07G11880.1 pep chromosome:AWHD00000000:7:12032019:12037984:1 gene:ONIVA07G11880 transcript:ONIVA07G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT1G51110) TAIR;Acc:AT1G51110] MAAAAAAAAAAAAGGLLHLGASRVPSRRPSAGAAPRLRGASCARGRHPRRRAPPPLAVAAAEEAYTGAETELLDALAGVQGRGRGEVESAVQALEALGGLPDPTNSSLIEGSWQLIFTTRPGSASPIQRTFVGVDSFKIFQEVYLRTDDPRVINVVKFSESIGELKVEAEATIEDGKRILFRFDRAAFNFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSQTGNIRISRGNKGTTFVLQKSADQRQLLLSAISAGTGVKEAIDDLTSSRQGIEADLNTLAGEWQLLWSSKTEDESWSFVASAGLKGVQIIKEDGQLKNLVRPFPGVSLNASGNICKNEDGNNFNLSINKGAIQAGGLQFPLDARGEFAMEILYIDNKIRISNINQHKLVHNKAVLGSKLSLEADVDRRVTKRPGNLPV >ONIVA07G11870.1 pep chromosome:AWHD00000000:7:12017048:12022142:1 gene:ONIVA07G11870 transcript:ONIVA07G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT2G16440) TAIR;Acc:AT2G16440] MASRGSGGGGDGNSPPPSVSSPDVRPSSPLPATNSSPPQSGRRGGGRRRRGSASPYPSSPSLGGFETPPHPGRRTPSGGAAAAARQQRQNWTGGRFPPTPSTPMSTDDVPLSSEAGDEDTPETDGGGGGGAGADATPVFVWGTNISVQDVNAAILRFLRHFRDPRDAGRVDPVMDEGKYMRAIHRILELEGGESLDVNAHDVFDHDPDLYGKMVRYPLEVLAIFDIVLMDLVARIEPLFEKHIQTRIYNLKSSVCLRNLNPSDIEKMVSIKGMIIRCSSVIPELKEAVFRCLVCGFYSEPVMVDRGRVTEPHICQKEQCKATNSMTLVHNRCRFADKQIIKLQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRVGPTQRTVKSIFKKTDKSRLHVEDSMETDNPNANKTTEDDFLRDKVEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNALRLPSGASFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPTESRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFENPNIEELEVLDLPTLVAYISYARKHIQPQLSDEAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSEVVEVRDVVEAFRLLEVAMQQSATDHATGTIDMDLIMTGISASERQRRDNLVAATRNLVMEKMQLGGPSVRMIELLEEIRKQSSMEVHLHDLRGALGTLMTEGAVVIHGDSVKRV >ONIVA07G11860.1 pep chromosome:AWHD00000000:7:12003704:12005149:1 gene:ONIVA07G11860 transcript:ONIVA07G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQSLCFGKSLSAKPSAEKWESSRIYLSAGLGGLQYSFEPSAYRKLFQPTIIGNSTSTCYSFVNGSLGFPNQIIALPPARSFQLMRLESDGHLRLYDSTRPVFDVLSTVMDYCDYPLACGDYGICSNGQCSCPSLSYFGFKNERHPEAGCVPLTTISCNHRRDHQFQPLSNVSYPRGTIFQSLATTSQSENVCKSSCLRDCSCRVALFQNDGYVESGSCLLLSEKKLILLVEGSQEHFSAFIKVQGDRSEKMKIRAAVSSVAAFVSLVSVLSYAVVWRKKKKVDQENLIFIPGAPKRFSYDELKVATRKFSVKLGSGGFGSVFKGKIGKGTIAVKRLEGVEQGMEEFLAEVKTIGRIHHLNLVSLIGFCSEKSHRLLVYEYMSNGSLDKWIFHTSPVFTLSWKTRRNIIMAIARGLSYLHEECQEKIAHLDIKPQNILLDDKFHAKLSDFGLSKLINRDQSKIMTRMRGTRGYLAPEWLG >ONIVA07G11850.1 pep chromosome:AWHD00000000:7:11961761:11962051:-1 gene:ONIVA07G11850 transcript:ONIVA07G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDDEVAAGEAGPAPAAAATGGHADRVFRALALASLYILFRRWRAGGAGLAERPSPAEIAAAVALCASVAWLYALPAFGIRRSSEISTRRWHQD >ONIVA07G11840.1 pep chromosome:AWHD00000000:7:11956557:11956889:1 gene:ONIVA07G11840 transcript:ONIVA07G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVAAYLMATIGGNASPTKDDVRAILGAVGADIDEDKLGYLFDQVAGKDLAEILAAGSEMLAFGAAPAAAAATAGGAAAAGEKEEEEEKVEEKEKEGEDDIVFSLFDDE >ONIVA07G11830.1 pep chromosome:AWHD00000000:7:11948719:11949006:-1 gene:ONIVA07G11830 transcript:ONIVA07G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDLELTGVNRERSRDSIPLLFSDCGHRSNAGGESERRLCTGCYCMCAYSHVVIKWMYECGIRKCYEAHRSFTAA >ONIVA07G11820.1 pep chromosome:AWHD00000000:7:11945028:11948673:-1 gene:ONIVA07G11820 transcript:ONIVA07G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGFTATVRSGLRAAKERRGMDQRSPKDASYARNCAEANPRARNGRRWPAAGLEEEREDLLFGGSSARFPWQAFSWNIGDAHGAAARSDMHRIIGNGEATDGGALDTEDADELDARCYLDGRWGMGKNVSEIWFLTLSCSLSSFDKYKVDSMNFGETRLLQGGQGFDGSMCQVPRFNYFLSYHDHSQKYFRKLKPAVVV >ONIVA07G11810.1 pep chromosome:AWHD00000000:7:11927214:11927594:-1 gene:ONIVA07G11810 transcript:ONIVA07G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACAIFSFARFFTPVTGCTLYITDGSQYKSPLTDLHPSKVRTVISDHLSLTRAKSVRGDSLSPSQVALSGVVNSAVNSVKIPTTICLQVEQVGV >ONIVA07G11800.1 pep chromosome:AWHD00000000:7:11924623:11925183:-1 gene:ONIVA07G11800 transcript:ONIVA07G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRESQASPEAAVAAAVDAADQAAPEATAATGATAATGDTAATGVAAATGASSATSATAATAVTGATAPMAAHGATAATAAPGATSVTTGLHEYHAPGMVATAGAPAPAGTADKVAPLGTPAPAVSPAAAGTAGTAASAVATAGTAAAAGTAAAAAGTAAVAAVPVVAVVVAVAAGAAAVAVAGV >ONIVA07G11790.1 pep chromosome:AWHD00000000:7:11911520:11912648:1 gene:ONIVA07G11790 transcript:ONIVA07G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQQADLSLPFLLSLTQLLGRPAGGGDEVVRVGRVRGGKRVQHLAAPPSHQLRCSGVLVPSPPPMHQRKNCTDAGMLPGPLLPTPPPLPPELVVYPSVPGLPPSATPPPSSSIGSSIAIVVLVVITTTIMIVSIVVIRCSYRRGLIHGSSSTPVVTGHTTLHIFTTFSAIAGSQSSGWSVSQAVDFAAVGEPLGAGAHGHVGNKRGNEMASWYVGLT >ONIVA07G11780.1 pep chromosome:AWHD00000000:7:11907868:11908077:1 gene:ONIVA07G11780 transcript:ONIVA07G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAELLEEYTAAVARAMELLLSRAPPRIFPRRVRFLVLRSLPFASPPPSPLSPPPPFTVAAGTR >ONIVA07G11770.1 pep chromosome:AWHD00000000:7:11902245:11904741:1 gene:ONIVA07G11770 transcript:ONIVA07G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTCRLAAAPLVLAPLPRRPTTVAFAVASTGIKYGLRASRGVAIRAADGTGGETEVPEVVKAAQDAWAKVEDKYAVTAIGVAALVGLWTAIGAIKAIDRLPLLPGVLELVGIGYTGWFTYRNLIFQPDREALVSKIKSTYNEITGSSS >ONIVA07G11760.1 pep chromosome:AWHD00000000:7:11884195:11884452:1 gene:ONIVA07G11760 transcript:ONIVA07G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRWCLDGGFGLGDGPRWLGVPSESLAQFLWANSDYAFGRGNPPEGIVEVPLLPRKGTLGENLVQFFGRMMMASFGVATLVRSSF >ONIVA07G11750.1 pep chromosome:AWHD00000000:7:11871034:11873195:1 gene:ONIVA07G11750 transcript:ONIVA07G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGKHLRWSSMARARAPCPPERSHDDGDHLPDSHLPSTSRRVGRELRREREGRPGRLASWSGHSCCRRWRGVHCDGSTGHVVKLDLRNDHAVHSDTDWIFLLYEVRVDIDSSWVHSALALRNTGEMISSLAALHHLRYLDLSWNNFNDSSIPLFMAGLKNLRYLNLSGPSFSGRISPQLGNLSNLQYLDLSSAPTISSDLSWLLGMSSTHL >ONIVA07G11740.1 pep chromosome:AWHD00000000:7:11861550:11865474:1 gene:ONIVA07G11740 transcript:ONIVA07G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSALTTTVITCLILITPAPAAASGASCVPSERDALAAFRASLLDPAGRLATWSGHSCCRWRGVHCDGSTGHVVKLDLRNDHTVHSDTDWILFYEVRVDVDSSWVHSALALRNTGEMISSLAALHHLRYLDLSWNNFNDSSIPLFMAGLKNLRYLNLSGPSFSGRIPPQLGNLSNLQYLDLSSGPTISSDLSWLLGLSSLRHLDMSWVDLTAVRDWVHTVNTLSSLKVLRLRGCKLESAISTLSHFNLTRLEVLDLSVNKFNASIQQKWLWDHKGIRELYLTEGHWFGSIPDALGNMSALQVMDLGHNNLMGTIPTTLQHLCDLQVVSLYDNYIDGDATEFMERLPRCSWNKLREMDLHSTNLSGELPVWIGKLSSLDFVDLSHNTLTGELPVGFGALRNMIYLNLGWNNFTGQISEEHFSSLLNLKYLYLSGNSFKQMVFEEDWIPPFRLKVAHLRSCGLGPKFPSWLKWQTEIRVLDVSGTCISDSLPVWFKTVFSQAYSLNLSDNQLCGTLPRTLEDMLAMVMDLGSNNLTGQVPRFPVNITYFDLSNNSLSGPLPSDLGAPRLEELRLYSNYITGTIPAYFCQLRGLVSLYLSSNHLTGEFPQCSDNYKALPPDDLDPFFSPYFGDRMSTIDLSNNSFTGPFPQFLENTTYLRFLDLSHNNFSGKLPTWIAKRIPYLRFLRLRSNMFSGHIPEELTNSFGLHYLDLANNNISGIIPQSLAGMKAMRRTTPDGNRGDVYEGSISSFTKGQELHYTFSNYNLVVLLDLSGNSLTGQIPEEISLLLGLKSLNLSGNHLGGKIPNTIGDLKGLESLDLSRNRLSGEIPSSLSELTSLSWLNLSYNNLSGRIPSGHQLQTLNDQEYIYIGNPGLCGPPLRKNCAMRGRHDEVDDVSDDLAALYLGLQDVLLKLDELPHLKHVIIHDLYMLRMS >ONIVA07G11730.1 pep chromosome:AWHD00000000:7:11851825:11852544:1 gene:ONIVA07G11730 transcript:ONIVA07G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDQLVLLDFWASPFGQRCRIALAEKKIPYDYSEQELLGAKSDLLLRSNPIHQKVPVLLHGDGDDGRAVCESLAILEYLDDAFPDATPRLLPSAAADPYARARARFWADYVDKKVYPVGTRLWKVKGEDEEGVRAAVAAARAELVEALRTLDGELGEKEFFGGEGGFGFVDVALVPMMPWVYSFARYGGFSVEEECPRVAAWARRCMERGSVAGSLRSPEEIYDFIGLLRKHYGIDD >ONIVA07G11720.1 pep chromosome:AWHD00000000:7:11838574:11849210:1 gene:ONIVA07G11720 transcript:ONIVA07G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases [Source:Projected from Arabidopsis thaliana (AT5G52800) TAIR;Acc:AT5G52800] MAAHDPKDDVDRLFACFKCGVSPPQSAFRERPRRACKRSRVASAADGSGSGGGGSSSSSTPTPDAAEKHEAPSSAVIKFTGHKQMSPVVFYGSPQGVPVKKPLSLLRLLREIRIDLKKQTDLVPSAGVWATFPRQEEAIRFCKAHAYTNVFSYQDHLSGQRRFLVSMYDEFWKRYNNMDPQIRHHYEVIQDGSPCHIYFDLEFDPRLNKMRDADEMVDILVAVTFSALHDKYSIEGQEEWIIELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFISEICSRIASQRAANPNFDKLYITKDSSCTGRADHLFMDTAVYSRNRCFRLAFSSKSGKKSFLVATERFKHKNMSDKELFMESLICRLDDDCDKLLTCKLDLDCKKTLHFDSEASMIRIQGRNSKDSIGTYRNDFPVSNTYGISPFPALDVFIESIASFGNVSVCQGVDTVSILEENIKAIMRAAYYQKCYDPDCQGYRSPLRPVPWDVIPELSSISDSAQREYQGEVVEINIEGSNRNDEYLCNGTKSVTESGEDDPSWWEEAVKFADSIDNTDHGPDTRDQDKDCDDADWWMDAERIMVQIEEQIGSQSKA >ONIVA07G11720.2 pep chromosome:AWHD00000000:7:11838574:11848359:1 gene:ONIVA07G11720 transcript:ONIVA07G11720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases [Source:Projected from Arabidopsis thaliana (AT5G52800) TAIR;Acc:AT5G52800] MAAHDPKDDVDRLFACFKCGVSPPQSAFRERPRRACKRSRVASAADGSGSGGGGSSSSSTPTPDAAEKHEAPSSAVIKFTGHKQMSPVVFYGSPQGVPVKKPLSLLRLLREIRIDLKKQTDLVPSAGVWATFPRQEEAIRFCKAHAYTNVFSYQDHLSGQRRFLVSMYDEFWKRYNNMDPQIRHHYEVIQDGSPCHIYFDLEFDPRLNKMRDADEMVDILVAVTFSALHDKYSIEGQEEWIIELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFISEICSRIASQRAANPNFDKLYITKDSSCTGRADHLFMDTAVYSRNRCFRLAFSSKSGKKSFLVATERFKHKNMSDKELFMESLICRLDDDCDKLLTCKLDLDCKKTLHFDSEASMIRIQGRNSKDSIGTYRNDFPVSNTYGISPFPALDVFIESIASFGNVSVCQGVDTVSILEENIKAIMRAAYYQKCYDPDCQGYRSPLRPVPWDVIPELSSISDSAQREYQGEVVEINIEGSNRNDEYLCNGTKSVTESGEDDPSWWEEAVKFADSIDNTDHGPDTRDQEDKDCDDADWWMDAERIMVQIEEQIGSQSKA >ONIVA07G11720.3 pep chromosome:AWHD00000000:7:11838574:11849210:1 gene:ONIVA07G11720 transcript:ONIVA07G11720.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases [Source:Projected from Arabidopsis thaliana (AT5G52800) TAIR;Acc:AT5G52800] MAAHDPKDDVDRLFACFKCGVSPPQSAFRERPRRACKRSRVASAADGSGSGGGGSSSSSTPTPDAAEKHEAPSSAVIKFTGHKQMSPVVFYGSPQGVPVKKPLSLLRLLREIRIDLKKQTDLVPSAGVWATFPRQEEAIRFCKAHAYTNVFSYQDHLSGQRRFLVSMYDEFWKRYNNMDPQIRHHYEVIQDGSPCHIYFDLEFDPRLNKMRDADEMVDILVAVTFSALHDKYSIEGQEEWIIELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFISEICSRIASQRAANPNFDKLYITKDSSCTGRADHLFMDTAVYSRNRCFRLAFSSKSGKKSFLVATERFKHKNMSDKELFMESLICRLDDDCDKLLTCKLDLDCKKTLHFDSEASMIRIQGRNSKDSIGTYRNDFPVSNTYGISPFPALDVFIESIASFGNVSVCQGVDTVSILEENIKAIMRAAYYQKCYDPDCQGYRSPLRPVPWDVIPELSSISDSAQREYQGEVVEINIEGSNRNDEYLCNGTKSVTESGEDDPSWWEEAVKFADSIDNTDHGPDTRDQEDKDCDDADWWMDAERIMVQIEEQIGSQSKA >ONIVA07G11720.4 pep chromosome:AWHD00000000:7:11838574:11846900:1 gene:ONIVA07G11720 transcript:ONIVA07G11720.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases [Source:Projected from Arabidopsis thaliana (AT5G52800) TAIR;Acc:AT5G52800] MAAHDPKDDVDRLFACFKCGVSPPQSAFRERPRRACKRSRVASAADGSGSGGGGSSSSSTPTPDAAEKHEAPSSAVIKFTGHKQMSPVVFYGSPQGVPVKKPLSLLRLLREIRIDLKKQTDLVPSAGVWATFPRQEEAIRFCKAHAYTNVFSYQDHLSGQRRFLVSMYDEFWKRYNNMDPQIRHHYEVIQDGSPCHIYFDLEFDPRLNKMRDADEMVDILVAVTFSALHDKYSIEGQEEWIIELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFISEICSRIASQRAANPNFDKLYITKDSSCTGRADHLFMDTAVYSRNRCFRLAFSSKSGKKSFLVATERFKHKNMSDKELFMESLICRLDDDCDKLLTCKLDLDCKKTLHFDSEASMIRIQGRNSKDSIGTYRNDFPVSNTYGISPFPALDVFIESIASFGNVSVCQGVDTVSILEENIKAIMRAAYYQKCYDPDCQGYRSPLRPVPWDVIPELSSISDSAQREYQGEVVEINIEGSNRNDEYLCNGTKSVTESGEDDPSWWEEAVKFADSIDNTDHGPDTRDQEDKDCDDADWWMDAERIMVQIEEQIGSQSKA >ONIVA07G11710.1 pep chromosome:AWHD00000000:7:11828459:11835788:1 gene:ONIVA07G11710 transcript:ONIVA07G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT4G00840) TAIR;Acc:AT4G00840] MQRPNQTPPHTVSSHPRRHRHLPTYALAPRPRRRRRRRRRPRVSLPRRRGTARMDCCRRVNPFRACSPLRGLGYLMLAFVAAIVAVSYYAVVVYTWGPLLLGGGGAAAGAAAVLVAFHLLKGKVHKNIVLAAKMASRLVVTIVLFVYTFVETVLDTLVLLPYFIEFFRDESRRSSSPGDIAILFITFVLNLAFALSLLCFIGMHASLVTSNTTSIEVHERRNSVSWKYDLGWRKNLEQVFGTKKLLWFLPLYSAEDLHHIGALHGLEFPTRSDAVA >ONIVA07G11700.1 pep chromosome:AWHD00000000:7:11815709:11818387:-1 gene:ONIVA07G11700 transcript:ONIVA07G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSGATNGCGAGEYIRIPEDVEAGLGKEAGKGEGEGECPAVLRWRAIRWWAQVAALGILLAGAAAAAVVFLGPLVIKKVIAPVIEWESRTFSRPVIALICFGAIAFFPSVLLPSSPFMWMAGMSFGYFYGFLIITAAMSIGMSLPFFIGSAFHSKIHRWLEKWPKKAAFVRLAGEGDWFHQFRAVALLRISPFPYIVFNYASVATNVKYGPYIAGSMAGTVHETFLAIYSGKLLQSLAVATTQGSFLSVDQIIYNGLGFSVAAVSTAAITIYAKKALQKLQADDELC >ONIVA07G11690.1 pep chromosome:AWHD00000000:7:11810368:11813524:1 gene:ONIVA07G11690 transcript:ONIVA07G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEAKKDSASNPPGGGGGGGGGEEEEDSSLAVGEAAVGVGEAGGGGGGGEKADREEEEGKEDVEEGGVCKDLVLVEDAVPVEDPEEAAATAALQEEMKALVESVPVGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQKYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRNAIANEKYCERHMHRGRKRPVQLVVEDDEPDSTSGSKPASGKATEGGKKTDDKSSSSKKLAVAAPAAVEST >ONIVA07G11680.1 pep chromosome:AWHD00000000:7:11805430:11806136:1 gene:ONIVA07G11680 transcript:ONIVA07G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQDGLGLGDAICFSCFAAWMMMMKAETIERRKRRTWPPDPLPRRPFITSASWEGGGERDGDAQCWSLRIKKTRAMGETEIKGSIVVTGLDINYPTIWILSDDSLKNGGKIILTI >ONIVA07G11670.1 pep chromosome:AWHD00000000:7:11791657:11793300:-1 gene:ONIVA07G11670 transcript:ONIVA07G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2 iron, 2 sulfur cluster binding [Source:Projected from Arabidopsis thaliana (AT5G51720) TAIR;Acc:AT5G51720] MATPFCAAACRLSVSPPNAAPSAPGRARALARRGLVVAVRAEAGVGGINPSIRKEEEKVVDTVLAGELSKPLTPYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLVKK >ONIVA07G11660.1 pep chromosome:AWHD00000000:7:11729756:11730220:1 gene:ONIVA07G11660 transcript:ONIVA07G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLCVVAVPRALPLQPPRRPPRRRTLSSSRSLPPATLAARARGRHAAVVECDAVLKALSLVSPKGGDALRPAGAPGEAPAL >ONIVA07G11650.1 pep chromosome:AWHD00000000:7:11728428:11728778:1 gene:ONIVA07G11650 transcript:ONIVA07G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKKQSLARLPTAPLQFAFLGVGVGGAEYEGRLLRRHPPFYLSAPCPPPCESFHGGGVLDNDGFDGSGGGGAKLFTTTHIRGMPRAAQKREKGRPLLPSPDSHGREATTTTIAF >ONIVA07G11640.1 pep chromosome:AWHD00000000:7:11710321:11713077:1 gene:ONIVA07G11640 transcript:ONIVA07G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVALLIVKLSLALGRETSILGAKKLFHETTALSRLFHGIHEVKEELEGMQSFLRGALRFKDTDETTANFIKKIRTLAFEIEDVIDEFTYRLEDRSHGGLVSKIIQSIRYIMAWCNFASKLEDIKLKIEIANTIKDRYDIRGITRVAGNIDDCSTSSEFDAAGWVTVSKSYQVEDLLKQIIRGFISNDPQGDLYDHIDFSNMRITSLIEHIFNYLRGKRYVLILDDVWGVDVWFKIRAAFPSDSTGRFVITSRIHEVALLATGKCVIQLEPLGPQHSWELFCKEAFWKNEEKVCPPELVILAQKFLDRCSGLPIAIACLGRLLSFKEPSYDVWENLYNDVQSQLTNNVILDINIVLKVSLEELPYELKNCFLHCTVFPEDYLMSRKRLVRHCVTAGIYRETRNKTMENVANDYLNKLVNRSLLQVLEKSRNGEVQTCQMHDIIRILALEKSEEECFCRVYDDSMSFLAEGTRRLSIQTSNVNGLSRSCAPHVRHLYIFGSGLSFDSLTPFLKSLKFLSCLDLQGVNIKSLPHVVFNLYNLRFLGLRNTKIETIPRLIGRLRQLEVLDACNTKLTTLPSDIVQLQKLRYLNVETIPEEADRRVVLFCGIRVPTGIEHLSRLQVLELVQASSETLPQLGSLTQLRTFAISKVRNEHCADLGNAIMKMRHLVHLKIKGIDEKEILQLEALRLPHSLSNLSLGGQLSGKSLPQLILSHENSSNLTRLTLIFSKLSEDSFSCLLNLHSLYVLHLLKAYEGNGLYFHATSFPKLKRLLIWDAPCLNKVEIEQGAMPSLVKLVLRDLPKLKTLPHGIDHLRVLEELELQDTSEELIDSLGKRDQPLDAKLIRLSTISNGSVSMAPEGMSRKVL >ONIVA07G11630.1 pep chromosome:AWHD00000000:7:11688755:11691343:-1 gene:ONIVA07G11630 transcript:ONIVA07G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGNDFGGEPIPELFGELKSMRHLTLGDANFSGLVPPHLGNLSRLIDLDLTSYKGPGLYSTNLAWLSRLANLQHLYLGGLSTAFDWAHSLNMLPSLQHLSLRNCGLRNAIPPPLHMNLTSLEVIDLSGNPFNSPVAVEKLFWPFWDFPRLETIYLESCGLQGILPEYMGNSTSLVNLGLNFNDLTGLPTTFKRLSNLKFLYLAQNNISGDIEKLLDKLPDNGLYVLELYGNNLEGSLPAQKGRLGSLYNLRISNNKISGDIPLWIGELTNLTSLELDSNNFHGVITQFHLANLASLKILGLSHNTLAIVADHNWVPPFKLMIAGLKSCGLGPKFPGWLRSQDTITMMDISNTSIADSIPDWFWTTFSNTRYFVLSGNQISGVLPAMMNEKMVAEVRDFSNNLLEGQLQKVPENLTYLDLSKNNLSGPLPLDFGAPFLESLILFENSLSGKIPQSFCQLKYLEFVDLSANLLQGPFPNCLNISQAGNTSRADLLGVHQNIIMLNLNDSNLSGMFPLFLQKCQNLIFLDLAFNRFSGSLPAWIDELSALALLRLRSNMFTGEIPPQLTKMKELQYLDLAYNSFSGAIPWSLVNLTAMSHRPADNDSLSYIVYYGWSLSTSNVGVIMLANLGPYNFEESGPDFSHITSATNESLLVVTKGQQLEFRSGIIYMVNIDLSCNNLTGHIPEDISMLTALKNLNLSWNHLSGVIPTNIGALQSIESLDLSHNELSGQIPTSLSAPASLSHLNLSYNNLSGQIPYGNQLRTLDDQASIYIGNPGLCGPPLSRNCSESSKLLPDAVDEDKSLSDGVFLYLGMGIGWVVGLWVVLCTFLFMQRWRIICFLVSDRLYDRIRASFTKQSGRN >ONIVA07G11620.1 pep chromosome:AWHD00000000:7:11685686:11688206:1 gene:ONIVA07G11620 transcript:ONIVA07G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRATVGSGTKRIEVENMEVFKETVELISLCEDLTYKTRLTNDETTQKPWSMEEQVWSESERKPWSSTLLPPYLHTREVAHLGHDETWLL >ONIVA07G11610.1 pep chromosome:AWHD00000000:7:11681949:11683348:-1 gene:ONIVA07G11610 transcript:ONIVA07G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-related modifier 1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0I0B4] MHLTLEFGGGLELLLEKSTKVHKVDLQPNDGDGKVVMKGLLAWVKSNLIKERPEMFLKGDSVRPGVLVLINDCDWELCGGLDAELEEKDVVVFISTLHGG >ONIVA07G11600.1 pep chromosome:AWHD00000000:7:11678706:11682611:1 gene:ONIVA07G11600 transcript:ONIVA07G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPAASASLAKPSPLVALRDIRSLDLRQWRRPRMSLPCFALPRSLPSLLRPVEITASISKEATPLIT >ONIVA07G11600.2 pep chromosome:AWHD00000000:7:11678706:11682025:1 gene:ONIVA07G11600 transcript:ONIVA07G11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPAASASLAKPSPLVALRDIRSLDLRQWRRPRMSLPCFALPRSLPSLLRPVDKNNNILLLQLRVKATA >ONIVA07G11590.1 pep chromosome:AWHD00000000:7:11671679:11678612:1 gene:ONIVA07G11590 transcript:ONIVA07G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTASPPPSPLSRAAQLRRGEKSARFWQKKRSIGGDGEQTRAAAIRSGGGARKPLPIPQPHTQQVAASRLHAASRLPLLRSSPVNVNVILSCCFFHFQFHPFSLVHAFVRATPNTQQGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWAWDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >ONIVA07G11590.2 pep chromosome:AWHD00000000:7:11671679:11678493:1 gene:ONIVA07G11590 transcript:ONIVA07G11590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTASPPPSPLSRAAQLRRGEKSARFWQKKRSIGGDGEQTRAAAIRSGGGARKPLPIPQPHTQQVAASRLHAASRLPLLRSSPVNVNVILSCCFFHFQFHPFSLVHAFVRATPNTQQGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVYAHLHPSFSSPFSLSFLSLLFFYHTYIALTAFKLRFQLSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWAWDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQN >ONIVA07G11590.3 pep chromosome:AWHD00000000:7:11671679:11678077:1 gene:ONIVA07G11590 transcript:ONIVA07G11590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTASPPPSPLSRAAQLRRGEKSARFWQKKRSIGGDGEQTRAAAIRSGGGARKPLPIPQPHTQQVAASRLHAASRLPLLRSSPVNVNVILSCCFFHFQFHPFSLVHAFVRATPNTQQGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVYAHLHPSFSSPFSLSFLSLLFFYHTYIALTAFKLRFQLSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWAWDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >ONIVA07G11590.4 pep chromosome:AWHD00000000:7:11671679:11678077:1 gene:ONIVA07G11590 transcript:ONIVA07G11590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTASPPPSPLSRAAQLRRGEKSARFWQKKRSIGGDGEQTRAAAIRSGGGARKPLPIPQPHTQQVAASRLHAASRLPLLRSSPVNVNVILSCCFFHFQFHPFSLVHAFVRATPNTQQGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWAWDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >ONIVA07G11590.5 pep chromosome:AWHD00000000:7:11671679:11678077:1 gene:ONIVA07G11590 transcript:ONIVA07G11590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTASPPPSPLSRAAQLRRGEKSARFWQKKRSIGGDGEQTRAAAIRSGGGARKPLPIPQPHTQQVAASRLHAASRLPLLRSSPVNGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWAWDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >ONIVA07G11590.6 pep chromosome:AWHD00000000:7:11671679:11678612:1 gene:ONIVA07G11590 transcript:ONIVA07G11590.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTASPPPSPLSRAAQLRRGEKSARFWQKKRSIGGDGEQTRAAAIRSGGGARKPLPIPQPHTQQVAASRLHAASRLPLLRSSPVNVNVILSCCFFHFQFHPFSLVHAFVRATPNTQQGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWAWDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQS >ONIVA07G11590.7 pep chromosome:AWHD00000000:7:11671679:11678612:1 gene:ONIVA07G11590 transcript:ONIVA07G11590.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTASPPPSPLSRAAQLRRGEKSARFWQKKRSIGGDGEQTRAAAIRSGGGARKPLPIPQPHTQQVAASRLHAASRLPLLRSSPVNGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWAWDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQS >ONIVA07G11580.1 pep chromosome:AWHD00000000:7:11663707:11664831:1 gene:ONIVA07G11580 transcript:ONIVA07G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVCRDKEEHRRCVAACLVKGAYVVENDLNRRRMWGKELAPAWWENFGFRTVDVINDDVIDDNDQTVTGTIYEHETPPGGGEPRHPLSPRYVVAFRGTMTWHPKAFVDLYLDLQVLFNTLQDSQRFRLAKAAVQKLVDTIHKGTGVCDHAVGGRCVVWLVGHSLGASVALEVGRVMMTEQGYNLPTFLFNPPQVSPAPVINLLHPNEKAKRHLHAASSLLKVGLGKIMNSHEEHMEKLFERLSPWTPELYVHESDPICQGYIDYFEQRQLVQERFRGIGNSAMKLSYRDMFFSVLGKNKERPHLLPSALLWKNSRVDDDVEDHKKLSKCKMLQEQLHQYKKLAFNAHSLEHWWKPDNELSLTKTQYMYSYPSA >ONIVA07G11570.1 pep chromosome:AWHD00000000:7:11614707:11627089:1 gene:ONIVA07G11570 transcript:ONIVA07G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 8 [Source:Projected from Arabidopsis thaliana (AT4G25450) TAIR;Acc:AT4G25450] MAISTWSTRLLLSPSASASSHRPNPTRRLAFPSPISGSTRGGVHALRRGPGRQAPRAYISAPASGPDAYPSPALDAAAAAADVAAAIASSDAVTWAGVWALLSPHRARIAVSLAALLACTTCTLSMPLFSGRFFETLIGRGSEPLWRLLSKIAVLYTLEPIFTIVFVINMTVIWEKVMARLRSQIFRRILIQKMVFFDRHKVGELTGLLTSDLGTLKSVITGTLCILFTLSTELAPVLGLLMVSVSVLVALFKRSTVPTFKSYGIVQARISDTASETFSAIRTVRSFGGEKRQISMFDNLALAFQNSGTKLGVLKSANESLTRVVVYVSLMALYVLGGSKVNAGKLSVGTMASFIGYTFTLTFAVQGAVNTLGDLRGTFASVERINSILSAEDIDDSLAYGLAKELEDSNGAVHENGTANKHYMSALKSSSSCSNLAWSGDIHLEGVHFSYPLRSDVEILNGLDLTIECGKITALVGPSGAGKSTVVQLLARYYEPTHGRITVAGEDIRVFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDDVVSKDEIIKAAKAANAHEFIVSLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNSPILILDEATSALDTTSERLVQEALNHLMKGRTSLVIAHRLSTVQNAHQIAVCSDGKIAELGTHAELVASGGRYASLVGTQRLAFE >ONIVA07G11570.2 pep chromosome:AWHD00000000:7:11614707:11627142:1 gene:ONIVA07G11570 transcript:ONIVA07G11570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 8 [Source:Projected from Arabidopsis thaliana (AT4G25450) TAIR;Acc:AT4G25450] MAISTWSTRLLLSPSASASSHRPNPTRRLAFPSPISGSTRGGVHALRRGPGRQAPRAYISAPASGPDAYPSPALDAAAAAADVAAAIASSDAVTWAGVWALLSPHRARIAVSLAALLACTTCTLSMPLFSGRFFETLIGRGSEPLWRLLSKIAVLYTLEPIFTIVFVINMTVIWEKVMARLRSQIFRRILIQKMVFFDRHKVGELTGLLTSDLGTLKSVITGTLCILFTLSTELAPVLGLLMVSVSVLVALFKRSTVPTFKSYGIVQARISDTASETFSAIRTVRSFGGEKRQISMFDNLALAFQNSGTKLGVLKSANESLTRVVVYVSLMALYVLGGSKVNAGKLSVGTMASFIGYTFTLTFAVQGAVNTLGDLRGTFASVERINSILSAEDIDDSLAYGLAKELEDSNGAVHENGTANKHYMSALKSSSSCSNLAWSGDIHLEGVHFSYPLRSDVEILNGLDLTIECGKITALVGPSGAGKSTVVQLLARYYEPTHGRITVAGEDIRVFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDDVVSKDEIIKAAKAANAHEFIVSLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNSPILILDEATSALDTTSERLVQEALNHLMKGRTSLVIAHRLSTVQNAHQIAVCSDGKIAELGTHAELVASGGRYASLVGTQRLAFE >ONIVA07G11560.1 pep chromosome:AWHD00000000:7:11612473:11613517:1 gene:ONIVA07G11560 transcript:ONIVA07G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHRRVNSANQGRIKRGERGGIDDEEERNRFLLLSELRALGGVASILSLRSTTFVYVFDLDRGGGDELGLRGLHES >ONIVA07G11550.1 pep chromosome:AWHD00000000:7:11605622:11606016:1 gene:ONIVA07G11550 transcript:ONIVA07G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANETRQALQGVMSQGLRRPIHSILGLVSMEETLVPEQRLVIDTMARTDNVVSMLINDVMEMSVDNRERLPLETRPFHLHAMIRDTACVARCLIGRTELGHVTLRVHSAANDVLEGLERERGKKIGDR >ONIVA07G11540.1 pep chromosome:AWHD00000000:7:11603341:11605603:1 gene:ONIVA07G11540 transcript:ONIVA07G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHLTSAKFLTTLRGGSDDGIEVGGVDNANIVEVRGSDGVKLLGPDSVLASASGGKEQGSGAVVAIRMPMLKVADFKEVIQTSYVVLVLVPPSGKSWGQHEMEIIKVVAGQVAVALSHATLLEGSRAMRVRLAEQNRELL >ONIVA07G11530.1 pep chromosome:AWHD00000000:7:11595712:11596486:-1 gene:ONIVA07G11530 transcript:ONIVA07G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNLKADAVLLKSVLHDWSDEDCIKILKCCKEAIIPSKDAGGKIIIIDVVVGSSSEAICQGTQHLVDLNISVLTPGKERDEEEWFKMFTKYKISPVLGFRSIIKE >ONIVA07G11520.1 pep chromosome:AWHD00000000:7:11583757:11586200:-1 gene:ONIVA07G11520 transcript:ONIVA07G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQLVQASTELMHHSLGYVRSMALGCAAKLGVADAIHRAGGRATLHDLHAALSLHPTKLPFLRRVMRVLVASGVFAQVKEEEEDHYRLTPVSSLLVTAGRTLLPFVLLQHSPLCVTPATSMAEWLKTGEEETAFEMAHGAGLWGACRRAPELGDFFNDAMAADSAFIMDAAIRGARQVFDKITSLVDVAGGTGAAARAVAAAFPHIKCTVLDLPHVIDSIPVDHGDVVQFVAGDMMDFIPQADALLLKFVLHDWSDEDCVKILKRCKGAIPSKDTGGKVIIIDVVVGSSSQAMCYGTQLLFDLTISMLTPGMERDEKEWFKIFNEAGFTEYKISPVLGIRSIIEVFP >ONIVA07G11510.1 pep chromosome:AWHD00000000:7:11564476:11568086:-1 gene:ONIVA07G11510 transcript:ONIVA07G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSKSKAKHAVTVQNWSPHFSPPLTQRRETAIIVGVAAVPSTAASGASPPQQDYSSNRGGSVSSRRVEHGARCDVFGGQRDVAVTHAGRTVVPRLKVFLFLVSAKSYYRTDDRSFFYRNL >ONIVA07G11500.1 pep chromosome:AWHD00000000:7:11563998:11564263:1 gene:ONIVA07G11500 transcript:ONIVA07G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNERPGNAARVWKWSILREPRMKTLPSAILGENRVPFGMGVDSILDVAPLLKASLRRFMLH >ONIVA07G11490.1 pep chromosome:AWHD00000000:7:11563180:11563919:1 gene:ONIVA07G11490 transcript:ONIVA07G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPSLLAPSRPGAGVATMAAGRRGRGGGTVRSRRRRGGGALCGVGGAAACCGFCRRRGRRIHPPVPPDPVLPFFSGCSSGALWRCGARSFFLRCSSLLRLGCFQSMPGSSLVEVACGFLASYSLVVGRAVSLLQLGSWV >ONIVA07G11480.1 pep chromosome:AWHD00000000:7:11549307:11552994:-1 gene:ONIVA07G11480 transcript:ONIVA07G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQLLQASTELMNHSLGYIRSMALGCAAKLGVADAIHHAGGRATMDDLRAALSLHPSKLPYFLRRVMRVLVASGVFAHDEEEDDDDIYRLTPVSSLLVTATGGNGGRSLLPFVLLQLSPPLYVTPATSMAEWLTSGEEETPFEMTHGAGLWTVCSRDPELGELFNDAMAADSAFIMDVAIRGAGRQVFDKITSLVDVAGGTGTAARVVAAAFPHIKCTVLDLPHVIDSIPADHRGRDVVMFVAGDMMDFIPRADALLLNFVLHDWSDEDYMKILKRCKEAIPSREAGGKVIVIDVVVGSSTQAMCHGTQLLFDLLISTTLPGMQRGEKEWCKVFKEAGFTDYKISPVLGIRSIIEVFL >ONIVA07G11470.1 pep chromosome:AWHD00000000:7:11541750:11543820:-1 gene:ONIVA07G11470 transcript:ONIVA07G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMQTNQAKTRGKGGDQEMAPPMERWSPSLAESRQWTRRFLRGLGVDGTLPAAAELPAAYSALVRGVLSSAAVSVVPATPASPRVSCTLTVSPAAVNGYNTLHGGMVAAVAEAVGMACARAAAGDKEMFLGELSAAYLSAARLNSEVEVEAQILRKGRSVVVTTVEFRLKGTNKLCYTSRATFYIMPVASL >ONIVA07G11460.1 pep chromosome:AWHD00000000:7:11537014:11543253:1 gene:ONIVA07G11460 transcript:ONIVA07G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPPRSGDASPSSPLLPSPTSPDRRSGGGGGGGRLLPGLRGAARFLGRTGSRRLMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFVAVAAAVLAASLPESPSVPLRVWLAGYVLQCLFHVLCVTVEYRRRREARGGGFGADQGAAADGDFKLSIVKHLESANTMFSFIWWIIGFYWISAGGQALSHDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQEGASEEDINNLSKFKFRTMGDADKLVAGIAAPVGGVMTECGTNPPVEHFLSAEDAECCICLCPYEDGAELRELPCNHHFHCTCIDKWLHINATCPLCKFNIIKSNLGPEDV >ONIVA07G11460.2 pep chromosome:AWHD00000000:7:11537014:11542052:1 gene:ONIVA07G11460 transcript:ONIVA07G11460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPPRSGDASPSSPLLPSPTSPDRRSGGGGGGGRLLPGLRGAARFLGRTGSRRLMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFVAVAAAVLAASLPESPSVPLRVWLAGYVLQCLFHVLCVTVEYRRRREARGGGFGADQGAAADGDFKLSIVKHLESANTMFSFIWWIIGFYWISAGGQALSHDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQEGASEEDINNLSKFKFRTMGDADKLVAGIAAPVGGVMTECGTNPPVEHFLSAEDAECCICLCPYEDGAELRELPCNHHFHCTCIDKWLHINATCPLCKFNIIKSNLGPEDV >ONIVA07G11450.1 pep chromosome:AWHD00000000:7:11528734:11529661:1 gene:ONIVA07G11450 transcript:ONIVA07G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGWFLAAAVAAMAVVACFAATSSSSSSQLHCGTVTSLLSGCAAFVRGHGGGAQLPSPGTPCCDGVAGLYAVAADSADNWRAVCRCMARLVRRHSSNASAIALLPGVCGVVSPWTFAAGNTNSNRPYCRSLP >ONIVA07G11440.1 pep chromosome:AWHD00000000:7:11524192:11527243:-1 gene:ONIVA07G11440 transcript:ONIVA07G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDYNDMDMGYEDEPPEPEIEEGAEEEPENNNEDAVDDVVGAEDDKEQEKTARPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG >ONIVA07G11430.1 pep chromosome:AWHD00000000:7:11522494:11523660:1 gene:ONIVA07G11430 transcript:ONIVA07G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLGARTGGHKRTRLRSTRRWLRTALVGGGGQRVVAAVWPPCHAEGFAVSRLGGEPGRRRSHARPAESHVAAAAASHARSRRRQRRCRGNGLRQGECGVACGAEASARKPVQPAEAVELDVEGRLRLPGPRRTEEVH >ONIVA07G11420.1 pep chromosome:AWHD00000000:7:11520243:11522422:-1 gene:ONIVA07G11420 transcript:ONIVA07G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVHSPIHVAKCRSEATCSHACVLARGRLLVSFAPPPRFPPVGRGARSRFQRHEQARGRDVDSGGAEETASSEASAASLAARRPVHGSRCNRRRRRSSTRRAI >ONIVA07G11410.1 pep chromosome:AWHD00000000:7:11509313:11510315:-1 gene:ONIVA07G11410 transcript:ONIVA07G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIANTFSSENTLITKAEDKRNSNNEDAVYDKEYEKDGSSTENINVYDKIRACTHPWYTALQISMNALVMVELDGETDPLEEYEKDGSPTENINVYDKIRVCTHPWYTALQISMNALVMVELDGETYPLEIKIKIKCFT >ONIVA07G11400.1 pep chromosome:AWHD00000000:7:11506905:11508444:1 gene:ONIVA07G11400 transcript:ONIVA07G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQQAGHGKLPHEQLLLQASTELMNLSLGYARSMALGCAAKLGVADAIHRAGGRATLHDLHAALSLHPTKLPFLRRVMRVLVASGVFAQVEEEEEDHYRLTPVSSLLVTAGDGGGRSLLPLVLFQLSPLCVTPATSMAEWLRSGGEEETAFEMVHGVGLWGACSRAPDLGELFNDAMAADSRFIMDMAINGSGRQVFDKITSMVDVAGGTGAAARAVAAAFPHIKCTVLDLPHVIDSIPADHGDFVLHDWSDEDCIKILKRCKEAIIPSRAAGGKIIIIDVVVGSSSEAICQGTQQLFDLIISVLTPGKERDEEEWRKIFKEAGFTKYKISPVLGFRSIIEVFL >ONIVA07G11390.1 pep chromosome:AWHD00000000:7:11498659:11500760:1 gene:ONIVA07G11390 transcript:ONIVA07G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVPPEERRKPSPAESRQWTQRFFQVLGAGEPLPAPAELPAAYSALVRGVLSSAAVSSSASPRVSCTLTVSPAAVNGYNTLHGGMVAAVAEAVGMACARAAAGDKEMFLGELSTAYLSAARLNSEVEVEAQILRKGRSVVVTTVEFRLKDTKKLCYSSRATIYIMPVVSL >ONIVA07G11380.1 pep chromosome:AWHD00000000:7:11497163:11497511:1 gene:ONIVA07G11380 transcript:ONIVA07G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWAPHVNFLFSFSTSPLSLLAFFSIAEDELVPALTKAVHGMVTPISRSDRPALAPLPLGRARMRTPREHLRDHVHDKSHLIDATLEHVRELVTECVHLLARAS >ONIVA07G11370.1 pep chromosome:AWHD00000000:7:11493135:11496367:1 gene:ONIVA07G11370 transcript:ONIVA07G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKECGSSSVIVGVVKVKVVRGTNLAVRDVFSSDPYVVLKLGNQEVYDRDTFVDDPMGAAFFELRPLVEAAAASSRRRTPSGVDSKEDGTAVVPRSGSSVVWSASEGKAAQGLVLRLAGVESGEVELQLELEWHGGAAGDTSMIDRLIDRNS >ONIVA07G11360.1 pep chromosome:AWHD00000000:7:11475096:11478692:-1 gene:ONIVA07G11360 transcript:ONIVA07G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVLASVLLLDVIAFGLAVAAEQRRSKARPPPLPLTPFLSPTGLWRSRLLTYVRGLLFLQATVTPDSEKLYDYCVYDSDIATGYGVGALLLLAAAQAVVMLASKCFCCGRGLKPGGSRACALILFLFAWLTFLIAEACLMAGSIRNAYHTRYRGMFVGESVSCETVRKGVFAAGAAFTFFTAILSEFYYVSYSKSRDAAGGAPYGGSNIGMGTYS >ONIVA07G11350.1 pep chromosome:AWHD00000000:7:11462086:11466394:-1 gene:ONIVA07G11350 transcript:ONIVA07G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPLTKEDLMAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVSFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >ONIVA07G11350.2 pep chromosome:AWHD00000000:7:11462088:11465981:-1 gene:ONIVA07G11350 transcript:ONIVA07G11350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVAPDGGAAGRRRRRRGRPVVAMTEPLTKEDLMAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVSFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >ONIVA07G11350.3 pep chromosome:AWHD00000000:7:11462086:11465981:-1 gene:ONIVA07G11350 transcript:ONIVA07G11350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVAPDGGAAGRRRRRRGRPVVAMTEPLTKEDLMAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVSFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >ONIVA07G11340.1 pep chromosome:AWHD00000000:7:11457139:11459149:-1 gene:ONIVA07G11340 transcript:ONIVA07G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQSFLALNPPATAAALGGLGLGGARLRPSRVTACLATPTPTPPPPTSAPLAPAAAARRELSAASRAVVEDEARYIVGTYNRSRVVLVAGRGCKLYDADGREYLDMAAGIAVNALGHADPDWVAAVSAQAATLVHASNVQYTVPQASLERVTPTAHHVFDALPNPLLTVIISFFRVHVALAKRLVEASFADRVFFANTGTEANEAAIKFARKYQRVARPDGDAPTEFMSFTNCFHGRTMGSLALTSKVQYREPFAPVMPGATFAEYGNLEEAKKVIQCGKIAAVFVEPMQGEGGIHSATKEFLQGLRDACDEAGALLVFDEVQCGLGRTGYLWAYEAYGVVPDIMTLAKPLAGGLPIGVVLVTEKVASAINYGDHGTTFGGGPLVCQAALTTLDKIQKPGFLAEVAKKGENFKQLLSTKLSGNAHVKEIRGIGLIVGIELDVPAGPLVDACLDRSVIVLTAGKGNVAQL >ONIVA07G11340.2 pep chromosome:AWHD00000000:7:11457356:11459149:-1 gene:ONIVA07G11340 transcript:ONIVA07G11340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQSFLALNPPATAAALGGLGLGGARLRPSRVTACLATPTPTPPPPTSAPLAPAAAARRELSAASRAVVEDEARYIVGTYNRSRVVLVAGRGCKLYDADGREYLDMAAGIAVNALGHADPDWVAAVSAQAATLVHASNVQYTVPQASLERVTPTAHHVFDALPNPLLTVIISFFRVHVALAKRLVEASFADRVFFANTGTEANEAAIKFARKYQRVARPDGDAPTEFMSFTNCFHGRTMGSLALTSKVQYREPFAPVMPGATFAEYGNLEEAKKVIQCGKIAAVFVEPMQGEGGIHSATKEFLQGLRDACDEAGALLVFDEVQCGLGRTGYLWAYEAYGVVPDIMTLAKPLAGGLPIGVVLVTEKVASAINYGDHGTTFGGGPLVCQAALTTLDKIQKPGFLAEVAKKGENFKQLLSTKLSGNAHVKEIRGIGLIVGIELDVPAGPLVDACLDRSVIVLTAGKGNVVRQCC >ONIVA07G11330.1 pep chromosome:AWHD00000000:7:11444729:11454294:-1 gene:ONIVA07G11330 transcript:ONIVA07G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKIWVSPTMLRAVADEDLGVADADEVSRAPCAMPTRIWVSPTRLPAVADEDLGVVDADEVSRAMPRRNLGLSPAYLHPTIPRRRRRRPRFLSAETSASALRGAAGLGFPPAAELGAEAPAPPPLPPPPTTREEERLSPLPQRPRGCLVAWMTCRMAAGKQKKRIIRSTNSDQNRTWKKSKVESSNCHISLKSQIALKWDDYQKRVVPQKEQVGILWSDLAPFIDSRQKHDSGLADVTYIPPETFSLENLRSVLSYKVWDTCLTEADRKSSSLCYGDTHPDALLNKEKQIRADEKAYRVNLNNYHSNMVESLKKWKKRWLSSDDPEIMFRDNNLAKHKQGDTRPKVTSSEMPLKVAQSSDVSKFMSYIEISRTQHNLVKSMKQSGDGIKTKHLTRVIGNLDKFHVKPYGTLIDDEQRRLREHWLNISCNDIPAAFEVLKNNRVTTEKLRNLLGLELGEKNVSIMRKADQLAGITKELGQHGACENDGSTDLQDALVEQLSEDMLQGGNDHCPSRQDCDDDETKHIETSADHHDSQGRENSDLQAQDYKGTSCADRSISFCASNVEEQNEDFVNTKFSNDGPDVQAEDFKEISYTDTTIIDHSPESRQIKTTCYTTAPIDTRESQNTQAQSLEGITYTGPSMHAHEQNQGLKGTRYKIMIDKGHSANDISLVNSYPEMNDVTMDSKEVENTTVIPSNSSTLLSNTSGGQIPVEEHLNGQAAKGVKDLWELPEPDDSYYLPLENSSVYNGSGGLQIGHRHLPAGQQGSVVCMENGILSQQQSQVTIAAAFPMDNPASFMQPCSNRQSNGQVQTVANDIGMLPYSLEHTDCIGQSTDLHSLDNNRFSQPTHFPSPLQEQQLVDQSNSVLYDELHKNLYSDVSFQTKGNNSILEQHSFASSGSMDHRYNRFPQHQPHDNWPAMESNNCLPQALPVGSSNTDGSLFSALAQYRLPSSSLHMQSGRSSPSQLLEIRNQVPMSGSFVPRTQGTNLQAPSIYGYTQNLPSSSSSHVASVGSLNNMQWTNLIQQNPGMPNLTNRQFRGPWTR >ONIVA07G11330.2 pep chromosome:AWHD00000000:7:11444729:11454294:-1 gene:ONIVA07G11330 transcript:ONIVA07G11330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKIWVSPTMLRAVADEDLGVADADEVSRAPCAMPTRIWVSPTRLPAVADEDLGVVDADEVSRAMPRRNLGLSPAYLHPTIPRRRRRRPRFLSAETSASALRGAAGLGFPPAAELGAEAPAPPPLPPPPTTREEERLSPLPQRPRDLQPPFYDQMTCRMAAGKQKKRIIRSTNSDQNRTWKKSKVESSNCHISLKSQIALKWDDYQKRVVPQKEQVGILWSDLAPFIDSRQKHDSGLADVTYIPPETFSLENLRSVLSYKVWDTCLTEADRKFLIQFLPTEIDAEENVHLLLKGQNYHFGNPSLSWQLGLGMSSSLCYGDTHPDALLNKEKQIRADEKAYRVNLNNYHSNMVESLKKWKKRWLSSDDPEIMFRDNNLAKHKQGDTRPKVTSSEMPLKVAQSSDVSKFMSYIEISRTQHNLVKSMKQSGDGIKTKHLTRVIGNLDKFHVKPYGTLIDDEQRRLREHWLNISCNDIPAAFEVLKNNRVTTEKLRNLLGLELGEKNVSIMRKADQLAGITKELGQHGACENDGSTDLQDALVEQLSEDMLQGGNDHCPSRQDCDDDETKHIETSADHHDSQGRENSDLQAQDYKGTSCADRSISFCASNVEEQNEDFVNTKFSNDGPDVQAEDFKEISYTDTTIIDHSPESRQIKTTCYTTAPIDTRESQNTQAQSLEGITYTGPSMHAHEQNQGLKGTRYKIMIDKGHSANDISLVNSYPEMNDVTMDSKEVENTTVIPSNSSTLLSNTSGGQIPVEEHLNGQAAKGVKDLWELPEPDDSYYLPLENSSVYNGSGGLQIGHRHLPAGQQGSVVCMENGILSQQQSQVTIAAAFPMDNPASFMQPCSNRQSNGQVQTVANDIGMLPYSLEHTDCIGQSTDLHSLDNNRFSQPTHFPSPLQEQQLVDQSNSVLYDELHKNLYSDVSFQTKGNNSILEQHSFASSGSMDHRYNRFPQHQPHDNWPAMESNNCLPQALPVGSSNTDGSLFSALAQYRLPSSSLHMQSGRSSPSQLLEIRNQVPMSGSFVPRTQGTNLQAPSIYGYTQNLPSSSSSHVASVGSLNNMQWTNLIQQNPGMPNLTNRQFRGPWTR >ONIVA07G11330.3 pep chromosome:AWHD00000000:7:11444729:11454294:-1 gene:ONIVA07G11330 transcript:ONIVA07G11330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKIWVSPTMLRAVADEDLGVADADEVSRAPCAMPTRIWVSPTRLPAVADEDLGVVDADEVSRAMPRRNLGLSPAYLHPTIPRRRRRRPRFLSAETSASALRGAAGLGFPPAAELGAEAPAPPPLPPPPTTREEERLSPLPQRPRDLQPPFYDQMTCRMAAGKQKKRIIRSTNSDQNRTWKKSKVESSNCHISLKSQIALKWDDYQKRVVPQKEQVGILWSDLAPFIDSRQKHDSGLADVTYIPPETFSLENLRSVLSYKVWDTCLTEADRKSSSLCYGDTHPDALLNKEKQIRADEKAYRVNLNNYHSNMVESLKKWKKRWLSSDDPEIMFRDNNLAKHKQGDTRPKVTSSEMPLKVAQSSDVSKFMSYIEISRTQHNLVKSMKQSGDGIKTKHLTRVIGNLDKFHVKPYGTLIDDEQRRLREHWLNISCNDIPAAFEVLKNNRVTTEKLRNLLGLELGEKNVSIMRKADQLAGITKELGQHGACENDGSTDLQDALVEQLSEDMLQGGNDHCPSRQDCDDDETKHIETSADHHDSQGRENSDLQAQDYKGTSCADRSISFCASNVEEQNEDFVNTKFSNDGPDVQAEDFKEISYTDTTIIDHSPESRQIKTTCYTTAPIDTRESQNTQAQSLEGITYTGPSMHAHEQNQGLKGTRYKIMIDKGHSANDISLVNSYPEMNDVTMDSKEVENTTVIPSNSSTLLSNTSGGQIPVEEHLNGQAAKGVKDLWELPEPDDSYYLPLENSSVYNGSGGLQIGHRHLPAGQQGSVVCMENGILSQQQSQVTIAAAFPMDNPASFMQPCSNRQSNGQVQTVANDIGMLPYSLEHTDCIGQSTDLHSLDNNRFSQPTHFPSPLQEQQLVDQSNSVLYDELHKNLYSDVSFQTKGNNSILEQHSFASSGSMDHRYNRFPQHQPHDNWPAMESNNCLPQALPVGSSNTDGSLFSALAQYRLPSSSLHMQSGRSSPSQLLEIRNQVPMSGSFVPRTQGTNLQAPSIYGYTQNLPSSSSSHVASVGSLNNMQWTNLIQQNPGMPNLTNRQFRGPWTR >ONIVA07G11330.4 pep chromosome:AWHD00000000:7:11444729:11454294:-1 gene:ONIVA07G11330 transcript:ONIVA07G11330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKIWVSPTMLRAVADEDLGVADADEVSRAPCAMPTRIWVSPTRLPAVADEDLGVVDADEVSRAMPRRNLGLSPAYLHPTIPRRRRRRPRFLSAETSASALRGAAGLGFPPAAELGAEAPAPPPLPPPPTTREEERLSPLPQRPRGCLVAWMTCRMAAGKQKKRIIRSTNSDQNRTWKKSKVESSNCHISLKSQIALKWDDYQKRVVPQKEQVGILWSDLAPFIDSRQKHDSGLADVTYIPPETFSLENLRSVLSYKVSSSLCYGDTHPDALLNKEKQIRADEKAYRVNLNNYHSNMVESLKKWKKRWLSSDDPEIMFRDNNLAKHKQGDTRPKVTSSEMPLKVAQSSDVSKFMSYIEISRTQHNLVKSMKQSGDGIKTKHLTRVIGNLDKFHVKPYGTLIDDEQRRLREHWLNISCNDIPAAFEVLKNNRVTTEKLRNLLGLELGEKNVSIMRKADQLAGITKELGQHGACENDGSTDLQDALVEQLSEDMLQGGNDHCPSRQDCDDDETKHIETSADHHDSQGRENSDLQAQDYKGTSCADRSISFCASNVEEQNEDFVNTKFSNDGPDVQAEDFKEISYTDTTIIDHSPESRQIKTTCYTTAPIDTRESQNTQAQSLEGITYTGPSMHAHEQNQGLKGTRYKIMIDKGHSANDISLVNSYPEMNDVTMDSKEVENTTVIPSNSSTLLSNTSGGQIPVEEHLNGQAAKGVKDLWELPEPDDSYYLPLENSSVYNGSGGLQIGHRHLPAGQQGSVVCMENGILSQQQSQVTIAAAFPMDNPASFMQPCSNRQSNGQVQTVANDIGMLPYSLEHTDCIGQSTDLHSLDNNRFSQPTHFPSPLQEQQLVDQSNSVLYDELHKNLYSDVSFQTKGNNSILEQHSFASSGSMDHRYNRFPQHQPHDNWPAMESNNCLPQALPVGSSNTDGSLFSALAQYRLPSSSLHMQSGRSSPSQLLEIRNQVPMSGSFVPRTQGTNLQAPSIYGYTQNLPSSSSSHVASVGSLNNMQWTNLIQQNPGMPNLTNRQFRGPWTR >ONIVA07G11320.1 pep chromosome:AWHD00000000:7:11440061:11441390:-1 gene:ONIVA07G11320 transcript:ONIVA07G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0I070] MSSFDSVAAVAGDGDADDDDVLPPAPFDPAADGAQGGLGALRRGHRFATSYSSFGTAASEDDLAGAGAGTDGGVGAGIPLGSSSNGGAAYGYGGSGDVMNGHVDQIGDVMGGGVVVGDGGGIDDDLFAGAGDGDDGPVLPPPEAMKEEGILRREWRRQNALMLEEKERKERERRGEIIAEADEFKRSFAEKRKLNGDTNRAQNRDREKLFLAKQEKFHGEAEKQYWKVIAEMVPHEIPGLEKRGKRREKQSAEANAKAKQPGVVVVQGPKPGKPTDLSRMRQVLMKLKQTPPPHMAPPPPQPAKDTGGDTDANKDGEAEKAAGEIEKKAAGGEKEAAAGPPVTAAAAADAQANKAAAEETAKK >ONIVA07G11310.1 pep chromosome:AWHD00000000:7:11411406:11413425:1 gene:ONIVA07G11310 transcript:ONIVA07G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGGGTVATERLIRSAQKSTNQLKALLAGGGGGGGRSSGAVEVILADISDSLSQALASLMLRAACDDQSLPAAAAPPPPPEASLLPSYGQCVVANSGGRSVSKRKAQRRSRADGSSRRIILELGDRDDSYLWRKYGQKDILGARFARSYYRCAQMLGCTARKQVQQSDDDPSRLEITYIGLHTCGGDRPSSPAPTNPADGPRCDAATSSHRLLPSALQQKLEEHVPAASDDMMMACTPSWLFIPSPACSQSELLSEGEVPELRVVRQEPYDPVELVEEHKKPSDADEDSLALHDSVVPDFM >ONIVA07G11300.1 pep chromosome:AWHD00000000:7:11401190:11401853:1 gene:ONIVA07G11300 transcript:ONIVA07G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDKKPPATAHGGESLPASTRPSNTRKRKDREDEAEEVAAAAAAQEEEGADSETSVQIRRGKAVARRRSLVSLGMAAVVGAMAWAADAPCLPLLVGLFATMGVSMCSVTLFFFLRESTAALRGGRPTFSSSNTVPLLSLNWFLLGILTSPMLPGAAHAIVSAANLSSHRRRRFSSHRPPSGGGRSKGRKGERKREGRKMGGRRKGERG >ONIVA07G11290.1 pep chromosome:AWHD00000000:7:11395846:11396106:1 gene:ONIVA07G11290 transcript:ONIVA07G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRSAAVGEGERGERGGAGGEEEAGAVRRRSARRRSALGAVALGSAAQRPMVEDGGGKGGRQRQRPSGRRRLRPASAMSTALVS >ONIVA07G11280.1 pep chromosome:AWHD00000000:7:11374683:11375443:1 gene:ONIVA07G11280 transcript:ONIVA07G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDASTPYNDTSFREYVAEGSALALPETRRLYRRLLELGVKPVFLTGRTEDQRAITVANLRRQGYTGWEKLLLKPAAHVAGGLQLSAVAYKSGERQKLQDAGFVIVGNIGDQWSDILGAPEGARTFKLPDPMYYIG >ONIVA07G11270.1 pep chromosome:AWHD00000000:7:11365747:11366689:-1 gene:ONIVA07G11270 transcript:ONIVA07G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLDVQRRSMDRRRIRPDVEIESVADDSANYVQNIRLVIDIGDRTIQVPLNGHTVVQNIGRQAAASVAGDSSSAGGVSEKAGGGGEEWLQEQDGLLCMRGWLMATATLFAAMAFQAALQPPAWMPRPRDWFAALLAADPAAATVTRDQAGKAMLYLIVSTCTFATSLAVLLMLLAVGGGGGCASRRVTARLISNMMTAVALFAAATFALCVADDYRLMAFVGTVVAVYAAVTVVFVRCNLALPFGRGGGGHGCCSWVPRM >ONIVA07G11260.1 pep chromosome:AWHD00000000:7:11364495:11365019:-1 gene:ONIVA07G11260 transcript:ONIVA07G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSFRTSNVILPLPSPGCVSEKAGSAGGSGEEWLQQQGGFGLLCMRGWLDGGGGHAVRRHGVPGGATAAGWMPRPRDWLAVLLAVGDGGSGCASRRVTARLITNMMTAVAQFAADTFALCAADDYRLPAHGVRRHRRGRVRRHHRRVRSGAILLCRLGEATPAMVVAGIPRL >ONIVA07G11250.1 pep chromosome:AWHD00000000:7:11348292:11348830:-1 gene:ONIVA07G11250 transcript:ONIVA07G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAPKSSVVPVLKIRVSLVSLTLTTSQGILSSMATGDGHSRTRSESVQLEDSLGNQGFRSRWRRFLNKQKDECGSTEERYWGVCDQFSIGRNFLLIPNPTPKTYGTNCWTVKKSS >ONIVA07G11240.1 pep chromosome:AWHD00000000:7:11313027:11317932:-1 gene:ONIVA07G11240 transcript:ONIVA07G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDAARYAHSEAHHAVATRDHAALRRVLDALPRARRPEEIRTEADSVAEEARAEAASAVIDRRDVPGRETPLHLAVRLGDAAAAEMLMAAGADWSLQNEQGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSDDGKVPPGSLCMINHKDKEVMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERTESVGPWKAKVYDMHHVMVSVKSRRVPGAMTDEEFFSACNENDTESEGFDDVLTEEEKKQLEAALKMDSPDGAGGEGQSDTFVGPRHSCVEPREREIPIEDLSISGNGDSKHDKKGWFGHWGKRVQSSKLEGTKKMAPPRSSLCVDEKVSDILIESPLNVQTRPGRHSVDVVRGDESRRGKERDYRRPAASSECGHRRKEGSKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNSKSPVAQSSSSSWIQWIKAPYHQNFSTAPGPSSRVEDIQDPFVIPADYVWTTPEEKKKKTQENKSKSKKGRNAAA >ONIVA07G11220.1 pep chromosome:AWHD00000000:7:11287458:11289617:-1 gene:ONIVA07G11220 transcript:ONIVA07G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRTIARTSRSSLQECSEDKDKAVNRRKIAEFKSLGLEAVEKKNYLSAAGFTVRIIFHV >ONIVA07G11210.1 pep chromosome:AWHD00000000:7:11284420:11284938:1 gene:ONIVA07G11210 transcript:ONIVA07G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAANFSRSHAWATAVSLTAFTSTLRRAAVDNQNRRRCHRESIRFPSPFPHPKPSSSENPQIVGSAGGGAGFDTILNSEFNDISGAQSVGGGGQVELQKGAGKKACSCGSSQRQRQPGGGRWQRQGDIISITNV >ONIVA07G11200.1 pep chromosome:AWHD00000000:7:11268942:11277441:-1 gene:ONIVA07G11200 transcript:ONIVA07G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40360) TAIR;Acc:AT2G40360] MGHSDGDHGSDLSADDSPWSEGSWSDDDDEGSLSFEDSGEGSDAESDEPDAPAVEESDSSEDEVAPRNTIGDVPLEWYKNEEHIGYDITGSKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKEEAKIISRLLKGKTPHTNVDPYPDYVDWFEYDGKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRKGWIKFDKPKEEPNFYLLWGDETDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRKFDCLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPRTCYLEFKGHNGPVKSLSVEATGQWIASGSSDGTIRVWEVETGRCIKVWNVGGVVHRIAWNPSPDRHILAAVVDHDLLLLNAEVGDEDAQMKTKGLLQIEELAQEEDNGDKKPAVKWVKHEKFDGIMLIHHKVYDLQKAQLVKKLESGVREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKNHSKDITNVTFHRKYPLFASSSEDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPRQPWLFTAGADSVLTRLAPPPPLPPFPPASRNLEVVEVEVQVEEESEA >ONIVA07G11200.2 pep chromosome:AWHD00000000:7:11270678:11277441:-1 gene:ONIVA07G11200 transcript:ONIVA07G11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40360) TAIR;Acc:AT2G40360] MGHSDGDHGSDLSADDSPWSEGSWSDDDDEGSLSFEDSGEGSDAESDEPDAPAVEESDSSEDEVAPRNTIGDVPLEWYKNEEHIGYDITGSKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKEEAKIISRLLKGKTPHTNVDPYPDYVDWFEYDGKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRKGWIKFDKPKEEPNFYLLWGDETDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRKFDCLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPRTCYLEFKGHNGPVKSLSVEATGQWIASGSSDGTIRVWEVETGRCIKVWNVGGVVHRIAWNPSPDRHILAAVVDHDLLLLNAEVGDEDAQMKTKGLLQIEELAQEEDNGDKKPAVKWVKHEKFDGIMLIHHKAVSTVEWHFKGDYFTTVVPMYSLIPAYVIQELYCCISSLRSIRIILSVNCQAFLLQQCFIQVYDLQKAQLVKKLESGVREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKNHSKDITNVTFHRKYPLFASSSEDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPRQPWLFTAGADSVVRLYCD >ONIVA07G11200.3 pep chromosome:AWHD00000000:7:11270678:11277441:-1 gene:ONIVA07G11200 transcript:ONIVA07G11200.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40360) TAIR;Acc:AT2G40360] MGHSDGDHGSDLSADDSPWSEGSWSDDDDEGSLSFEDSGEGSDAESDEPDAPAVEESDSSEDEVAPRNTIGDVPLEWYKNEEHIGYDITGSKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKEEAKIISRLLKGKTPHTNVDPYPDYVDWFEYDGKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRKGWIKFDKPKEEPNFYLLWGDETDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPRKFDCLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPRTCYLEFKGHNGPVKSLSVEATGQWIASGSSDGTIRVWEVETGRCIKVWNVGGVVHRIAWNPSPDRHILAAVVDHDLLLLNAEVGDEDAQMKTKGLLQIEELAQEEDNGDKKPAVKWVKHEKFDGIMLIHHKVYDLQKAQLVKKLESGVREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKNHSKDITNVTFHRKYPLFASSSEDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPRQPWLFTAGADSVVRLYCD >ONIVA07G11200.4 pep chromosome:AWHD00000000:7:11268942:11269309:-1 gene:ONIVA07G11200 transcript:ONIVA07G11200.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40360) TAIR;Acc:AT2G40360] MGGGLAAAGSLVATAASASAGFSSAAGGGGGGGAAASSSARTTTILLPTLFAAKLTRLAPPPPLPPFPPASRNLEVADATLMVLAIAAAAAAAAALVVEVEVQVEEESEA >ONIVA07G11190.1 pep chromosome:AWHD00000000:7:11268786:11271779:1 gene:ONIVA07G11190 transcript:ONIVA07G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTINVASATSRFLLAGGNGGSGGGGASRVSFAANRVGRRMVVVRAEEEAAAPPPPPPPAAEEKPAEAEAAVATKEPAAAKPPPIGPKRGTKVKILRRESYWYNGTGSVVTVDQDPNTRYPVVVRFAKVNYAGVSTNNYALDEIQEVK >ONIVA07G11180.1 pep chromosome:AWHD00000000:7:11261976:11264580:-1 gene:ONIVA07G11180 transcript:ONIVA07G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLVEVTQLFSRFKAAFVRNDFDTCVDLLSQLKVRLTKFPSLPPSFQQTPNAVEELKIARDIYEHAVVLSVKIEDQDAFERDFCQLKPYYMDTCGIIPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLPVTALENPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDELAGCSEKGYDYMSIAEARQVLMFSSDKELHQYIAEEHPEWEIKDGSVFFQKAKETQPCKEIPSLQVINQTLSYARELERIV >ONIVA07G11170.1 pep chromosome:AWHD00000000:7:11244442:11256797:-1 gene:ONIVA07G11170 transcript:ONIVA07G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I052] MRAACELAARVLEYAGTLVKPWVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRNGDIINIDVTVYLNGYHGDTSRTYLCGEVDESTMQLVKVTEECMLRGISACKHGASFKTIGQRISEYVDEYGYSIDPFVGHGIGKIFHSEPIIWHTYDYEPGYMVAGQTFTIEPTLSMGGTQCTLWDDGWTAVTVDGSLTAQFEHTILVTGDGAEILTMHPRAWINQELPGVMSYA >ONIVA07G11170.2 pep chromosome:AWHD00000000:7:11244442:11256797:-1 gene:ONIVA07G11170 transcript:ONIVA07G11170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0I052] MRAACELAARVLEYAGTLVKPWVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRNGDIINIDVTVYLNGYHGDTSRTYLCGEVDESTMQLVKARHSQSVEPTLSMGGTQCTLWDDGWTAVTVDGSLTAQFEHTILVTGDGAEILTMHPRAWINQELPGVMSYA >ONIVA07G11160.1 pep chromosome:AWHD00000000:7:11237731:11244065:-1 gene:ONIVA07G11160 transcript:ONIVA07G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRDPLATPSSRMYYRRQRKASSEVNANVFVPGGQNGISFPASNRAHDWGYGGVREEWEASYARKLQLINFLSSLHQRTANSLITTRMDANMDTPLEQKQKDSSAIVVLDSDDEDEAERCEQLASENNKQQAPSGPTSPCTTWIVSSAKDQVNGTLHVDGVQSTQIVPYGQNAPLINQSPLQTSWQPSIQYERVILQRRPEEQRVQDLVAASHAEKIAETQVFLTLPTLPNERKRRKSEPTTLVDGDGGTNLGKGKRKNHQNQAAVDSILDLQQNVVPLQQNDVPSQSYRTMIEEEKPVKESDGLEDLWKDFSLAAECTKLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKKNLLWGTFSLLKYFLKWESVFITNYIDIDTALEFIASRTRTNYYESRSKDADDIDTGAVKVSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGTWKREFQRWQVEDIPLYDFYSVKADKRTEQLEVLKSWEARMSILFLGYKQFSRIICGDGDGNIAAACRDRLLMVPNLLILDEGHTPRNRETDVLASLKRVQTPRKVVLSGTLFQNHVSEVFNILDLVRPKFLKMESSRPIARRIMSQVAISGIRSLKGVHDSAFTESVEDTLLNDDNFTRKAHVIRSLRELTKDVLHYYKGDILDELPGLVDFSVFLKLSTKQKEIVHKIEAYEKFKRSAVGTALYIHPCLSEISEGDAADRATNLTDATVDSLIESIIIKDGVKAKFFFNILSLANSAGEKLLAFSQYILPMKFLERLLVKRLGWHVGKEIFMISGDTSADDREVAMDQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEVKFHETAFKKEVIPKLWFEWSELCTTEDFKLNQVHIDDSEDELLEANAIRQDIKALYRRVWQ >ONIVA07G11150.1 pep chromosome:AWHD00000000:7:11234298:11234906:-1 gene:ONIVA07G11150 transcript:ONIVA07G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEVIMATPPLPPPPRARCVVRLKLPPAWTPEEDARLQRLAKENSFRRWSRVARSMPRRSARSCRDRWRHHLARDVYHRPFTARDDDELLRLHYRLGDRWKEIGRAVYGRTSRVMKHRWRELRRSGFPLAAAARKEQALAMVDNMVESEVDESDQSLPPPELQRSLADALASSFGCCSLAHDHAMDPLAGSLALGFACMAV >ONIVA07G11140.1 pep chromosome:AWHD00000000:7:11206879:11210510:1 gene:ONIVA07G11140 transcript:ONIVA07G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKKAKVKSRRAAKSDRLSSLPLEIKADILSRLNVQDAVRTNILSSAWRSTWTTIPEMLLCDCTYTSCQGSVTSAPPKFITLVDMVLSLHRGPLHGITILGSKNYHDVFGRWMHKLSMKSPNSVTIKLTSASRYRIPSCFFSISDLEHLDIKNCIISLPQMFKGFEWLTTLDLENFSSTDSDIDNLISCCPELSVLVLKSFEGISCLNIRAPELEILEVDGKFEDFHLDAPNLETANVTLHKAQEYQSVPVVHCGKSYLKQALGSLSDIEKLVINGYFLTYLSKGCIMTKIPAVFDHLEMMLLEICFWDQREILTGRSFPWDNDFGPMSLWDQDQTSIADLTLQMDHLVTVSVNDFLGLDYEVDFVGKLLSWAPVLEEVKINVDCTRAFSLGSKVLKKLLALPRVSDKAKIIVT >ONIVA07G11130.1 pep chromosome:AWHD00000000:7:11201227:11205614:1 gene:ONIVA07G11130 transcript:ONIVA07G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G15430) TAIR;Acc:AT3G15430] MATGEKAPRAFSMEELPGHLIGEVLTSGRLAAGDLARLEATCRALRPLAEHAASRLCAARAAFAAMGPAARGELLERCGGSWKKVLRFLQSVEQSSGTVHTSSGNMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTQCAAFSRVPFPSLSRVVNISASHNHAAFVTELGEVFTCGDNSSLCCGHGEVATGLSFTVILTTDGQVYTCGSNTHGQLGHGDTIDRATPKIVELFEGLAPVVQVAAGASYTFAVTDDGTVYSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGYVYTWGRGYCGALGHGDENDKTSPELISSLKSQVAVQVCARKRKTFVLTDEGSVFAFGWMGFGSLGFPDRGSSDKVMRPRVLDSLRDHYVSQISTGLYHTVAVTNRGIVFGFGDNERAQLGQEYIRGCLKPTEIMFQKSMEEIVIAAPSG >ONIVA07G11120.1 pep chromosome:AWHD00000000:7:11184715:11194448:-1 gene:ONIVA07G11120 transcript:ONIVA07G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24970) TAIR;Acc:AT5G24970] MAGPTSRRLLLLVGRRAGHLRRRHHHHHRDGLVLARSLQAAAAAAASSPPPLPASPPARSFSSAFSSVHGERPSSEYAKIRKESLESQFGRILGSSSRTLFADRGFGPFLAMYRAATISFHVMKLTIWHLLLSDVHKRAEKFRETLIRLGPFYIKLGQALSTRPDILPNAYCQELSKLQDQIPPFPTRIAIRTIESQLGSRISDLFADISPEPVAAASLGQVYKAHLHSGELVAVKVQRPGMTPLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGRNAERFARLYSHDLGGNSSGDGTSIKVPKVYWNFTRKSILTLEWIDGIKLTDAERIGKANLNRKRMIDEGLYCSLRQLLEEGFFHADPHPGNLVATEGGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHGVADALQVSFGDGRRQSNDFQGVMSHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLEDPSPDMRKILRQLLICDDGSIRWNRLERLIAAISEQSESSNKSEDRSGENAANKPGWRSFDMHSVVAATEDLFHFILSRKGWRVRVFLVQDIVKASDAFLQEATFPGIFDEEGTTGELHPERSKMIRRVVHGVQSFRQAISLAPDAWTAMLFRTLLKPESQKFILDVFLALAMHSCYKIPETSWICMSRFLNYLDRQEANMLIFVRAVTDLLMPLPTSFISVLLMIHSVLHLYYFAPLSPMVPSASIALPSFTHQPVEISIELGH >ONIVA07G11110.1 pep chromosome:AWHD00000000:7:11170736:11175704:1 gene:ONIVA07G11110 transcript:ONIVA07G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Serine-threonine protein kinase 19 (InterPro:IPR018865); Has 155 Blast hits to 154 proteins in 53 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 5; Plants - 34; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G20495) TAIR;Acc:AT2G20495] MDEPSSSSYPSSSGGKKKRPRSPGHSDERPVHTTQIYTSSLEDTLTFSDTMIALQLMRTQFPKLEKVVTEPFILQSQLYSSVKDRTQVDRDLESLKKDKVLRVFKLNTGQDDHAIMFMDDYLKQMALAVKRSRGKDQDGTEVFGWFERYVIHLKLEVSIDQRDLFSLLSLGGDVTDKHITLLMNAGLLTRQLIDPNMYWFSIPSIGPVLKGLTQGRKEILSLLNRKKYKEMLLSSLEKTRLRFSPLDVRFHIRDLIGSGHIKTVQTPTGLLVRISKD >ONIVA07G11110.2 pep chromosome:AWHD00000000:7:11170830:11175704:1 gene:ONIVA07G11110 transcript:ONIVA07G11110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Serine-threonine protein kinase 19 (InterPro:IPR018865); Has 155 Blast hits to 154 proteins in 53 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 5; Plants - 34; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G20495) TAIR;Acc:AT2G20495] MDEPSSSSYPSSSGGKKKRPRSPGHSDERPVHTTQIYTSSLEDTLTFSDTMIALQLMRTQFPKLEKVVTEPFILQSQLYSSVKDRTQVDRDLESLKKDKVLRVFKLNTGQDDHAIMFMDDYLKQMALAVKRSRGKDQDGTEVFGWFERYVIHLKLEVSIDQRDLFSLLSLGGDVTDKHITLLMNAGLLTRQLIDPNMYWFSIPSIGPVLKGLTQGRKEILSLLNRKKYKEMLLSSLEKTRLRFSPLDVRFHIRDLIGSGHIKTVQTPTGLLVRISKD >ONIVA07G11100.1 pep chromosome:AWHD00000000:7:11154488:11166215:1 gene:ONIVA07G11100 transcript:ONIVA07G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSTAADAAVVLFSLAVAAAAPLIDAQAVLPRRLFPAPLVGLHRWYATEFGDYLAAEPPGFFRGLVWLELLLHWPLSVATLYGVLARRPWAGATALAAGVSVVTAMSAVLGEFLVSGRATHKLLQIHCSSARTGRVVFAGSLYLSLCSAPPGVAAMGVVSAAADAAVVLFSLTVAVAAPLIDAQSVLPRHLFPAPLVSLKRWYAREFGDYLVARPPGFLRGLVWLELAFLWPLALATLYGILARRRWAATTSLIAGVSTLTSMSAILGEIVGSKKATLKLLQMYVPFAVFAVIAILRGLCSSAPRGLLYCGRVTNVRLRDVMYSVHRCKLPGRSYYLGMKI >ONIVA07G11090.1 pep chromosome:AWHD00000000:7:11141703:11141915:1 gene:ONIVA07G11090 transcript:ONIVA07G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSMAAVLFSLAVAVAPPLVRLHRWYAAEFRGLNWAGAPPPLAALRRHPLRGLAPPPSSPGSPSSPQW >ONIVA07G11080.1 pep chromosome:AWHD00000000:7:11105507:11106185:1 gene:ONIVA07G11080 transcript:ONIVA07G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNNVLGAFSGDGIPESCNDKMVNNITKDVGDFYDLFEGPMVTVSRSLYAQAVPMVKFTS >ONIVA07G11070.1 pep chromosome:AWHD00000000:7:11099439:11102506:-1 gene:ONIVA07G11070 transcript:ONIVA07G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMVSALTGALNSLLFKLNDLLGSEHKSLKGLRGEIRFLESELRSMNSMLERLADMEEIDAQTKEWRDRVREMAYDIEDCIDLFVHHLGSLTGKAGVIKKMAWRIKGLQLSHRISGRIQELKARVMDESDRYRRYDTMNISSMSSEAHLHRDASGSRTRSVDPRLSALYTEAERLVGIDGPKDKIIKWLMDTQGGISQRLRTMAIVGCGGLGKTTLANQVYLEVKNQFDCSAFVTVSQNLDVKHVLAKILSDVSGAIGGALADEHHLINKLREYLQDKRYFLVIDDIWDAQTWRIIECALVKNSQGSRIVTTTRINEIAKSCCCSYGDQVYEMKALCATDSKRLFFRRIFNSDERCPPQLREAANNILRKCGGLPLAIISISSLLATKPKSLDQWDKVKSRINYTQENSPDIETMAWVLSLSYFDLPHHLKTCLMYLSIFPEDYVIKKERLIGRWIAEGFIHAKQGESLYEIGENYFNELINRSLLQPVDIEDDGQVHACRVHGTILDFVVSRSNEENFVTMVGASDLTSTPTGKIRRLSFHKNSEGSVTMPTYLLRSHVRSLTTFLHAGQVPPLLGFYGLRVLDLENCSGLKNHDLKSIGRLIQLRYLNIKGTDISDLPCQIRELQYLETLDIRSTHVKELPSAIVQLQRLAHLLVDCHVKLPDGIGNMQALEELTGFSVFMYPSTFLQEIGKISSLRVLRVVWNYVDFQGNAETYRENLAISLTKLGTCYLESLSLDIHGHDEEDDFSLHLWTLAPCRLRKLYIGRWHPISRIPNWAESLANLQYLHIYVKRINQEDLRMLGSIPSLLTLYLFSDEAPKEKLTISSQGFQSLTFFKIHCYHMGLVFEAGSMAKLEYLHILISAFQVKSWDGSFDFGIQHLYCLTKVYAYINCYGLTAEEAEAAVNAIMISVDTIPNCPKLQIDRRYAPL >ONIVA07G11060.1 pep chromosome:AWHD00000000:7:11096170:11098644:1 gene:ONIVA07G11060 transcript:ONIVA07G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT2G20725) TAIR;Acc:AT2G20725] MLLAAGAAAAHAPSRRLPHCRPGGCGGGAPPSIWAAELGAPTTLPLLRRRFLGCSCSPSPSPGGDEDGARQLFDEFSVLSPNIPWEVEDIWRTFAAYFFIFHIPFSFGGLGVVAELLHCPSLDPLTTVSSTVVLQLTELTLALALLQYSTKKDHKLWAFFQGKLYPQHSWVKETVLGLIFLMTVVSLTTVVADRLIGLEDTYDPMLREILSDSPTSRLLCVFLYCVIAPLSEETIYRGFLLTALSSSMKWKDAVIVSSLMFSIAHFSINNSFQLFVIGCITGLAYSRTGTLAAPLTIHSLYNAAILHMTLMS >ONIVA07G11060.2 pep chromosome:AWHD00000000:7:11096170:11098644:1 gene:ONIVA07G11060 transcript:ONIVA07G11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT2G20725) TAIR;Acc:AT2G20725] MLLAAGAAAAHAPSRRLPHCRPGGCGGGAPPSIWAAELGAPTTLPLLRRRFLGCSCSPSPSPGGDEDGARQLFDEFSVLSPNIPWEVEDIWRTFAAYFFIFHIPFSFGGLGVVAELLHCPSLDPLTTYSTKKDHKLWAFFQGKLYPQHSWVKETVLGLIFLMTVVSLTTVVADRLIGLEDTYDPMLREILSDSPTSRLLCVFLYCVIAPLSEETIYRGFLLTALSSSMKWKDAVIVSSLMFSIAHFSINNSFQLFVIGCITGLAYSRTGTLAAPLTIHSLYNAAILHMTLMS >ONIVA07G11050.1 pep chromosome:AWHD00000000:7:11090784:11093635:1 gene:ONIVA07G11050 transcript:ONIVA07G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSSGDSEPMLPKIHGKRSDRPRGRNHTPWAPLQGLLNSVRNIRKYVNDRSVGSKMMKSTERDSMSSSASETAPKLKDNNGEDTKYKLLEIKTEITERIDPKTRGKRSARHRVKEPALWTSQDELQKFETGKNRNGNEQAVYSRKRKKTASKGEAKTGTGNDVTEKTGVRVIDTSAEVKNSTSENTNQKDGVPTLNTPMDKKLSGADAFKQEDALIADDAGAGLKDSNGAAASALDQHATGATNPMENKADNGVSGAEAALASIYGEPSEWDMCITFAVKLLMDEMPLPEDAAEVEEFFRQSITNIAGSSVP >ONIVA07G11040.1 pep chromosome:AWHD00000000:7:11083655:11089478:1 gene:ONIVA07G11040 transcript:ONIVA07G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELHDCVVQLRSNPQRCRDTVYVGCGAGFGGDRPMAALKLLERVKELNYLVLECLAERTLVDRYQIMMSGGKGYDPRVKEWLSVLLPLALDRGVCIITNMGAVDPLGAQEEVLELASNLGLEITVAVAYETSSGNSVFSNESTGVRQGGSTYLGVASIVHCLENGKPQVVITSRVADAALFLAPMVGIGMTLRSCHKGHWLAIFWNVDANSLEGISCTLLLDLSLPYAEVSYKGEVFVGKAEGSGGLLSYSTCAEQLLYEVGDPANYITPDLVVDFRDVKFLQISKDKVRCKGAKPSNPCWPEKLLQLLPTESGWKGWGEISYGGQECLKRAHAAEYLVRSWMDETYPGIEGKIISYIIGYDSLKAIGDNKDSSAKQVMDVRFRMDGLFELEEHAIKFVEEFIALYTNGPAGGGGISTGQKKEITLQKILVDREKIFWQVNMKKSSIPSPQNQATNADKGQMCDQQQHKCPRRCAMGTLPLNTNMDTLPSAVPSPSGTKIPLYHVAHSRAGDKGNDLNFSIIPHFPDDIGRLRAVITRDWVKNAVSPLLDSSSFPADRANQVRYDPLENVSIEIYDVPGISSLNVVVRNILDGGVNSSRRIDRHGKTLSDLILCQNVVLPP >ONIVA07G11030.1 pep chromosome:AWHD00000000:7:11080699:11082484:-1 gene:ONIVA07G11030 transcript:ONIVA07G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPVDSPSPPSPISRANLHFSRLLALPAGDGELALGVNTATPHPSILLCVRPPCEQVWSGGARGRPPISVLESNRSLEKCQEIKIGGSHLAQVLLFTLQSLNNEVSLYNEKVVLQFAKDDDIGFGGSHSAQVLLSRDRKLTPVQCKVIAAMHRNPVHI >ONIVA07G11030.2 pep chromosome:AWHD00000000:7:11080699:11082484:-1 gene:ONIVA07G11030 transcript:ONIVA07G11030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPVDSPSPPSPISRANLHFSRLLALPAGDGELALGVNTATPHPSILLCVRPPCEQVWSGGARGRPPISVLESNRSLEKCQEIKIGGSHLAQVVLQFAKDDDIGFGGSHSAQVLLSRDRKLTPVQCKVIAAMHRNPVHI >ONIVA07G11020.1 pep chromosome:AWHD00000000:7:11077889:11079628:1 gene:ONIVA07G11020 transcript:ONIVA07G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDGLPPGVRFDPADDELVSRYLLRRLRKQPIPLHGVIHEADPLGAPPWMLLADHGRGGDEVFFFAEARPKNVKGKRQKRTVEGGGFWQGQRVCVDGERLSVPGGDGGGEVGGGLEIEWRKYMLSFFAEGERGSSGWVMHEYAITAPDDLASSPIRLYRVRFSGHGKKRKREPERLGARVHDDDVDGGQRAAPRRAVAETALFVQPSAVDCAESAGQSFSGAIEPVFHDLPDMMPEQADAGDTTETTAAVVNLTDAMSEQPVLPLAADGDDQSSYGAIDPAFCEQADAGEAETTVSAAVVNQNYSMALCDFNFPEVLSYVDFTAGMEPSWQQRWPPMSESAPFEQQEPPLAPVAMVDLSPGNADCADHQSCSGVIDPAFRDLPDMTVLPPEQADTGGGAETTTAMVSLTDKLKYSSSMDGEAAQAWCDFDFPESTDEALSYIDFTAGAHTDNDGGVSETAMFEQLGSPPQHDPLPMDADGADQSSSGPLIDTVFRDHAEPIVLPLEQADTGGGAAAAVNLMDKQKYSSSMDGEAVPAWCDSDFPESIDEVLSYIDVSTDDTSCIDFSMDDLFDLAD >ONIVA07G11010.1 pep chromosome:AWHD00000000:7:11070681:11073036:-1 gene:ONIVA07G11010 transcript:ONIVA07G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADGLPPGVRFDPADDELVSRYLLRRLRKQPIPLHGVIHEADPLGAPPWMLLADHGRGGDEAFFFAEARAKNVRGKRQKRTVEGGGFWQGQRVCIDGERLRVPGDGGGGEVGGELEIEWRNGHGKKRKREPERLGARVHDDDVDGGERAAPRRAVEETALFVQQSSAVDCAESADQSFSGVIEPVFRDLPDMMPEQADAGDTAETTAAVVNLTDAMTEQPVLPLAADGDDQSSYGVIDPAFRDLADLMVLPPVLAQQEPPLAPVAMVDLPPGNADCADHQSCSGVIDPAFRDLPDMTVLPPVQADTGGGAETTTAMVSLTDKLKYSSSMDGEAAPAWCDFDFPESTDELLSYINFTAGAHDNNDGSVGRAAPWRPVSEIAMFEQPSAVDLPPGDADCTESADQSFSGVIEPVFHDLPDMIREQADAGDTAETTAAVVNQNYSMALCDFDSGIDFTAGAHDSGMERATPWTPMSEAALFEQQGPPLAPAAVVDLPPGNDDCADHQSSYGDMIVLPSEQAGAGGGPETTEALLDQPVPPLAADCANQGSYGVIDPVFCDLADLIVLPPEQADAMDGEAAPAWCDFDFPENIDEALSYVDFTAGAHADNDGGVSETAMFEQLGSPPQHDPLLMDADGADQSSSGALIDTVFGDHAEPIVLPLEQADTGGGAAAAVNLMDKQKYSSSMDGEEAPAWCDSDFPESIDEVLSYVDFSTDGASCDFSMDELFDLAD >ONIVA07G11000.1 pep chromosome:AWHD00000000:7:11055257:11061195:1 gene:ONIVA07G11000 transcript:ONIVA07G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWGCLSSLCRPRRGGRAAAAPLPQPDPPRLESKEVEEHGASDKVVMENILSNNDFSEGLHLWHPNGCHGFVAVEGSGYHHGIRPHSGSNYAVLTRRTHNWQGLEQDITEKVTVGTEYIVAAHVRVHGELNEPVGIQATLKLEGDGSSTNYQSVARISASKDCWEKLEGSFELKTLPRRLVFYIEGPPPGVDLLIDSVTISYKKTERAASKLVSGTENIISNYDFSEGLHLWNPICCHAYVASQWSGFLDGIRGSSGENYAVVSKRTESWQGLEQDITDKVSAGTAYAVSAYVRVDGNIHTKVEVKATLRLHNTDDSTHYSPVGSLLASKEKWEKMEGSFCLTNMPKRVVFYLEGPPAGMDLIIDSVNITCSGYQQLKEVKVPSGVDTIVKNPHFDEGLNNWSGRGCNICRHELTAYGNVKPLNGSYFASATGRVHNWNGIQQDITGRVQRKVLYEISSAVRIFGSANDTEVRVTLWVQEYGRERYVSLAKNPASDKQWTHLKGKFLLHAPFSKAVIFVEGPPAGIDILVDGLVLSPARKLHAAPRPRIENVSYGANVIHNSAFSHGLSGWSPMGSCRLSIHTESPHMLSAILKDPSAKQHIRGSYILATNRTDVWMGPSQLITDKLRLHTTYRVSAWVRAGSGGHGRYHVNVCLAVDHQWVNGGQVEADGDQWYELKGAFKLEKKPSKVTAYVQGPPPGVDLRVMGFQIYAVDRKARFEYLKEKTDKVRKRDVILKFQGSDAANLFGSSIKIQQTENSFPFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGRLNYKDSDELLEFCRKHNIQVRGHCLFWEVEDSVQPWIRSLHGHHLMAAIQNRLQSLLSRYKGQFKHHDVNNEMLHGSFYQDRLGNDIRAHMFREAHKLDPSAVLFVNDYNVEDRCDSKSTPEKLIEQIVDLQERGAPVGGIGLQGHITHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVYLREAFAHPSVEGIILWGFWELFMFREHAHLVDVDGTINEAGKRYIALKQEWLTSITGNVDHHGELKFRGYHGSYTVEVATPSGKVTRSFVVDKDNAVQVVTLNI >ONIVA07G11000.2 pep chromosome:AWHD00000000:7:11055128:11061195:1 gene:ONIVA07G11000 transcript:ONIVA07G11000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWGCLSSLCRPRRGGRAAAAPLPQPDPPRLESKEVEEHGASDKVVMENILSNNDFSEGLHLWHPNGCHGFVAVEGSGYHHGIRPHSGSNYAVLTRRTHNWQGLEQDITEKVTVGTEYIVAAHVRVHGELNEPVGIQATLKLEGDGSSTNYQSVARISASKDCWEKLEGSFELKTLPRRLVFYIEGPPPGVDLLIDSVTISYKKTERAASKLVSGTENIISNYDFSEGLHLWNPICCHAYVASQWSGFLDGIRGSSGENYAVVSKRTESWQGLEQDITDKVSAGTAYAVSAYVRVDGNIHTKVEVKATLRLHNTDDSTHYSPVGSLLASKEKWEKMEGSFCLTNMPKRVVFYLEGPPAGMDLIIDSVNITCSGYQQLKEVKVPSGVDTIVKNPHFDEGLNNWSGRGCNICRHELTAYGNVKPLNGSYFASATGRVHNWNGIQQDITGRVQRKVLYEISSAVRIFGSANDTEVRVTLWVQEYGRERYVSLAKNPASDKQWTHLKGKFLLHAPFSKAVIFVEGPPAGIDILVDGLVLSPARKLHAAPRPRIENVSYGANVIHNSAFSHGLSGWSPMGSCRLSIHTESPHMLSAILKDPSAKQHIRGSYILATNRTDVWMGPSQLITDKLRLHTTYRVSAWVRAGSGGHGRYHVNVCLAVDHQWVNGGQVEADGDQWYELKGAFKLEKKPSKVTAYVQGPPPGVDLRVMGFQIYAVDRKARFEYLKEKTDKVRKRDVILKFQGSDAANLFGSSIKIQQTENSFPFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGRLNYKDSDELLEFCRKHNIQVRGHCLFWEVEDSVQPWIRSLHGHHLMAAIQNRLQSLLSRYKGQFKHHDVNNEMLHGSFYQDRLGNDIRAHMFREAHKLDPSAVLFVNDYNVEDRCDSKSTPEKLIEQIVDLQERGAPVGGIGLQGHITHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVYLREAFAHPSVEGIILWGFWELFMFREHAHLVDVDGTINEAGKRYIALKQEWLTSITGNVDHHGELKFRGYHGSYTVEVATPSGKVTRSFVVDKDNAVQVVTLNI >ONIVA07G10990.1 pep chromosome:AWHD00000000:7:11047236:11052083:-1 gene:ONIVA07G10990 transcript:ONIVA07G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT5G47510) TAIR;Acc:AT5G47510] MEEEHTAASEAEEEDQDWLYIRPYHALVFKTLEKLIISKMSFKSIDRLIRRNSKTKLSRNIVDGIYDQKEEQYVQSLRELLLANNQLPEKFDDYHVLLRFLKMRGFNIVKAKEMFLNMLKWREECAVDAIAKDFKFEEYDAVKRCYPHGFHGVDRFGRPLYIERIGLVDLNKLMQVSSTDRYVKYHISEQEKTLSLRYPACSLVAKKHIGSTTAIFDVKGLGMNNFSKSGRDLFIEIQKIDSNYYPETLNQLYIINAGAGFRALWKVLKACMEARTLAKIQVLGTNYLSTILEAVDPSNLPDFLGGTCTCSATGGCLLQDKGPWTDQEISQASKGVFGKGQKSFDEISTTVACENFPGHQEPSVGKLHPISGWKRTLGMLLKDNQVGDTNENIQQNKVNEQISEKIQELENCSAQTQETLHALLQKQNELANHIEQLRKLLREAANADNKANVLILK >ONIVA07G10980.1 pep chromosome:AWHD00000000:7:11039876:11045035:-1 gene:ONIVA07G10980 transcript:ONIVA07G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LUC7 N_terminus domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G51410) TAIR;Acc:AT5G51410] MDAQRALLDELMGTARDLTEEERKAHKEVRWDDPDVCAAFMARFCPHDLFVNTKSNLGPCMKIHDLKLKESFESSPRRETHMRRFEAELAQQCEKLVIDLDRKIRRGRERLAQDVAVPPPVIGKTSEQLSIIEEKVKKLLEQIEELGEAGKVDEAEALMRKVELLNAEKTALTNQADNKVAMLPQEKKMELCEICGSFLVADDVLERTQSHVTGKQHIGYGLVRDFLAEHKAAKEKARDEERIAREKKAEERRKQREKEYDVGGRDGGSRREKSGDRDYDRDRYYDRNRGRERSHDHRDRGSEYRSSSYRNGRDSERDRHRYRSDDMRKDRSRVRSRSRSPSRHGPDQRRVWRPAATYAGD >ONIVA07G10980.2 pep chromosome:AWHD00000000:7:11041148:11045035:-1 gene:ONIVA07G10980 transcript:ONIVA07G10980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LUC7 N_terminus domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G51410) TAIR;Acc:AT5G51410] MDAQRALLDELMGTARDLTEEERKAHKEVRWDDPDVCAAFMARFCPHDLFVNTKSNLGPCMKIHDLKLKESFESSPRRETHMRRFEAELAQQCEKLVIDLDRKIRRGRERLAQDVAVPPPVIGKTSEQLSIIEEKVKKLLEQIEELGEAGKVDEAEALMRKVELLNAEKTALTNQADNKVAMLPQEKKMELCEICGSFLVADDVLERTQSHVTGKQHIGYGLVRDFLAEHKAAKEKARDEERIAREKKAEERRKQREKEYDVGGRDGGSRREKSGDRDYDRDRYYDRNRGRERSHDHRDRGSEYRSSSYRNGRDSERDRHRYRSDDMRKDRSRVRSRSRSPSRHGPDQ >ONIVA07G10970.1 pep chromosome:AWHD00000000:7:11013821:11016118:1 gene:ONIVA07G10970 transcript:ONIVA07G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFELELGPPPNTTMDSMSVRYLLNQIGSDRTTHIQILATVGGALLGFQALLGYRRRRSSNKLFLVLLWAAYTVSSNVVSYTVGLVQSVAERDRYSVQQWWAVGLLLLLGSADTMSAFTRGDAEQSKGMMAQHAVQTVLVLWVLVTRANNAILLESSSRGVNWEWTITLSVCWLYSIVKMGQRIKAMRMASSSHGLVRAAKVVADYMHDTVDAWDRDCGHGGDGGTAARDLDSLDMGPYKYLVHGEEGRSTPPSEQTDYRTRVPEDGTVVTIDKIWRCDGELLVSSGDGVVGDKRRARARALKDTCLSFALFKLLKRRFCGLEVAEAGHQKARDFVVAGLLAGDDYERAFRVVELELSFAHDFFYTKYPALFPTSAVLHVARFVSLLAFLKLFYDFTYTASYTAKFFKDISAVGIFSSFNDFLFISMILGVEVMQQLSTGYSDWAVVHFVCDYVRRVDKNNKKRHGGGFGFRQAVIKRLAARRARTSRHWQNKLGQYSLLYHSSAGNCLSWLTGRLLEPKVVRLPREVKVAVLRSFKESGGLLAVGRSLDSRLRWACDRLLPPSTQLQSDTHWKTRAHTHTVLVWHIATTMCDHLDAAAAADDDENGADRLVATRLSGYCAYLLAFVPEMLPDHSYTATLVLDAAVQEARKHLVDATAMADKCKKLRVLGESSGGGRYGILMDGARLGSQLMAASYDTRRRWKLLAEVWAELVLFLAPSENADAHAESLARGGEFMTHIWALLTHAGILDRDPEAAAPPAGATAV >ONIVA07G10960.1 pep chromosome:AWHD00000000:7:11008861:11009397:1 gene:ONIVA07G10960 transcript:ONIVA07G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGSVVSNETGVGNGGKVEREVTREHPAVRLISDIEAHDLDLLELVISMSRAKLTF >ONIVA07G10950.1 pep chromosome:AWHD00000000:7:10984167:10985669:-1 gene:ONIVA07G10950 transcript:ONIVA07G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLSAVASDLVGRLVSFLIGKCQESPAGTRLQRALLRTRVILEEAERRQVTSLAMLMQLRQLRWEMCRAAYVLDALTIRAAATATRRRRRLRKPPLLGLSDSWGWGGGASNGTVVENMEAALGGARELVVLLGGYPRLSRQPYSSYLFMERCMFGRQMEMEQIVDFLLRPSCSLAGDPNPGILPVVGGPEVGKRTLVEHVCIDERVRQYFAKIHRLSSDDLMAAGDDDEHRSFGIDPTSRFLVVVDVVGDVEEEPWGRLCSSVRRENGDGKVVIICRTAENAARLGTAPRPVTLDNLRRPELWYMFRVLAFGGADPEDRPELVAIAAELFEGFPGYSMFAAELFEGTPGCAMIASVNTLAAALRTDMTARSWRRIARVLGDAQVGREHGPVKDDLYYMCRPSMNAPHCLLYDRRKLPTTTRTPAASTTPAVTMQDLLTGRVVPGVDTPLFDVLVWRSSIPPYCSYVATCDTGRRPFQRRKPSGNLDHGECLNKKKRPM >ONIVA07G10940.1 pep chromosome:AWHD00000000:7:10978638:10980182:-1 gene:ONIVA07G10940 transcript:ONIVA07G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLAAVASDLVGRLISFLTSKCQEHRAGDDGASRLQRALLRARVVMEEAEGRQVTSPAMLRQLRQLSWEMCRAAYSLDALRIRNAAAAASHRRRRRCQPLLLSLGGDGDVTVVESLEAALGGAKELVVLLAGCPRLSRQPYSAYLFMERCMFGRQMEKEQIVDFLLRPACSSAGDPNPGVLPVVGGPEVGKRTLVEHVCIDERVRQYFAKIHRLSSDDLMAAGDDDEHRSFGIDPSSRSLVVADVFGDVEEEPWRRLCSSLCYMFRVLAFGGADPEDRPELVTIAAEFFEGVKHFALFAAVNAPAAALRADMTARSWRRIARVVIDAHGGREHGPVGDDSYYMYRPSMDAPHCLFYDRRKLTTRAPAASTTPTVTMQDLLTGRVVPGVDTPRFDVLVWRSPIPPYCSYVATCDMGRAQQFVVASGGRRPFERRKPSGNLDHGEYLNKKRRPMYNGS >ONIVA07G10930.1 pep chromosome:AWHD00000000:7:10970889:10971765:1 gene:ONIVA07G10930 transcript:ONIVA07G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLQFTGRTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >ONIVA07G10920.1 pep chromosome:AWHD00000000:7:10963573:10967049:1 gene:ONIVA07G10920 transcript:ONIVA07G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTPGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >ONIVA07G10920.2 pep chromosome:AWHD00000000:7:10961973:10967049:1 gene:ONIVA07G10920 transcript:ONIVA07G10920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTPGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >ONIVA07G10920.3 pep chromosome:AWHD00000000:7:10964196:10967049:1 gene:ONIVA07G10920 transcript:ONIVA07G10920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTPGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >ONIVA07G10910.1 pep chromosome:AWHD00000000:7:10959918:10960142:1 gene:ONIVA07G10910 transcript:ONIVA07G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKPLEDSFKYAFITAEAYIVTNGNLVSSAYANGELGILGATFGEHKDTQLKSSVRLILLVLLKTI >ONIVA07G10900.1 pep chromosome:AWHD00000000:7:10948588:10954789:-1 gene:ONIVA07G10900 transcript:ONIVA07G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVVSYLCREWRHFSYEPKIVSFTCDARSAPSRVDTHKINLPQFSSASVPQITHLDDGKAKTDSSDFVIFAGGNVWALDWCPREWRHFSYEPKNVSFTCDTRSAPSRVDTHKINLPQFSSASVPQITHLDDGKAKTDSSDFVIFAGGNVWALDWCPSILLLLLTLLVLLTIKLACH >ONIVA07G10900.2 pep chromosome:AWHD00000000:7:10948588:10954789:-1 gene:ONIVA07G10900 transcript:ONIVA07G10900.2 gene_biotype:protein_coding transcript_biotype:protein_coding LLVVSYLCREWRHFSYEPKIVSFTCDARSAPSRVDTHKINLPQFSSASVPQITHLDDGKAKTDSSDFVIFAGGNVWALDWCPSILLLLLTLLVLLTIKLACH >ONIVA07G10890.1 pep chromosome:AWHD00000000:7:10937401:10948241:-1 gene:ONIVA07G10890 transcript:ONIVA07G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVNPVESIPRKGRGRPRKKPVEIKGLSGIKLTEDLSTALSPIALTCMEPKKKRGRPRKYPAPSNSKHLPGTDTELGNDSVCLLPGSIDCGLGPIENTGSGANITHAAVDAASPVPLSGQRGREQPEKEVIHIDNSMQSGQSDVGSMLPTYILPESSNKSNSTGPRRRGRPRKKPFPILLGNGSLEVWEVPSPSMIPKIYSSSSKEGTDPRFLKLKPVFSSAKVALWKFSANLSFQGSKPFMCVTAESAPIRTVSWAPSVSKENMNTFVTAGEDGLKFWDLRDPVVAAAAVEAETEDTGR >ONIVA07G10880.1 pep chromosome:AWHD00000000:7:10936040:10945203:1 gene:ONIVA07G10880 transcript:ONIVA07G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLDVFSNDTPIEVREEQSVPIDPEHLDIYKSLNDEQRAGLDDIIQHVIKKKSQVFFVDGPGGTGKTFLYKALLARVRSDGLIAIATATSGIASSILPGGRTAHSRFKIPIKLAHNSMCNFTKQSGTADLLRRASLIIWDEAAMTKRQAVKTLDRSLQDIMDSSLPFGGKVIVFGGNFRQVLPVVTRGTRAQITDATLQRSYLWKNIRKIRLSRNMRAQSDPWFSDYLLRIGNGTENTIVDDYIRLPDEIVIGYSDNEDSVNTLIEYVFPSLDDERNTTSVEYMSTRAILSTKNDFVDKLNTKMIDRFPGKERIYLWTF >ONIVA07G10870.1 pep chromosome:AWHD00000000:7:10928902:10935671:-1 gene:ONIVA07G10870 transcript:ONIVA07G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGDRIGAEGRRGDVTGRRGDAEEAEGGDRIGAEGRRGDVTGRRGDFCGGGAAIAAIERERGGVREGMRSDTRDDCGELRAREREGGAGASGTLDPHSSEGFGPDPYRHLWELTTARRAVISLQWLKDARGIVISLEDGTLKFVSLSRIANDVPVTGRPLVGMKTQGVSTYQLSEYLIWSVHASEIAGYAAYCVADGTAVCFEGVPTYCPRLVSVGSRGVPWFFEFIRFGNVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVGDSGSFSSVAAQFLENIADDYTKTPVLLYCVRDPMTLGSSRMNQRESIMRALHDAVSFSKLSSFCSLMVPIGPPSLSRSYMSPYLYIQDEKPFHASAVCAAAIHSITAPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMIEMLLGNIEMKLHSLTPEISDEDEDPYSVESLVDFHITSERLGMFSLRSQRDSQNFPISQHLFAPSLSPFRSRQSSGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSTVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVDDMGEHLAKLCMPHAAAVTFCGVSGQVLISVKSSTGLAKFPFHFHCDARSHSVGL >ONIVA07G10870.2 pep chromosome:AWHD00000000:7:10928902:10935671:-1 gene:ONIVA07G10870 transcript:ONIVA07G10870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGDRIGAEGRRGDVTGRRGDAEEAEGGDRIGAEGRRGDVTGRRGDFCGGGAAIAAIERERGGVREGMRSDTRDDCGELRAREREGGAGASGTLDPHSSEGFGPDPYRHLWELTTARRAVISLQWLKDARGIVISLEDGTLKFVSLSRIANDVPVTGRPLVGMKTQGVSTYQLSEYLIWSVHASEIAGYAAYCVADGTAVCFEGVPTYCPRLVSVGSRGVPWFFEFIRFGNVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVGDSGSFSSVAAQFLENIADDYTKTPVLLYCVRDPMTLGSSRMNQRESIMRALHDAVSFSKLSSFCSLMVPIGPPSLSRSYMSPYLYIQDEKPFHASAVCAAAIHSITAPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMIEMLLGNIEMKLHSLTPEISDEDEDPYSVESLVKYKELTIEICLHRWAKDFHITSERLGMFSLRSQRDSQNFPISQHLFAPSLSPFRSRQSSGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSTVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVDDMGEHLAKLCMPHAAAVTFCGVSGQVLISVKSSTGLAKFPFHFHCDARSHSVGL >ONIVA07G10870.3 pep chromosome:AWHD00000000:7:10929262:10935671:-1 gene:ONIVA07G10870 transcript:ONIVA07G10870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGDRIGAEGRRGDVTGRRGDAEEAEGGDRIGAEGRRGDVTGRRGDFCGGGAAIAAIERERGGVREGMRSDTRDDCGELRAREREGGAGASGTLDPHSSEGFGPDPYRHLWELTTARRAVISLQWLKDARGIVISLEDGTLKFVSLSRIANDVPVTGRPLVGMKTQGVSTYQLSEYLIWSVHASEIAGYAAYCVADGTAVCFEGVPTYCPRLVSVGSRGVPWFFEFIRFGNVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVGDSGSFSSVAAQFLENIADDYTKTPVLLYCVRDPMTLGSSRMNQRESIMRALHDAVSFSKLSSFCSLMVPIGPPSLSRSYMSPYLYIQDEKPFHASAVCAAAIHSITAPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMIEMLLGNIEMKLHSLTPEISDEDEDPYSVESLVDFHITSERLGMFSLRSQRDSQNFPISQHLFAPSLSPFRSRQSSGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSTVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVDDMGEHLAKLLRPFHPEMDLTSDSD >ONIVA07G10860.1 pep chromosome:AWHD00000000:7:10923068:10926440:1 gene:ONIVA07G10860 transcript:ONIVA07G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSERGCWSRPWLTSSGHAPSAPAPSSASSTISLSTPTSTQGSLSSSNLNCCKLSVH >ONIVA07G10860.2 pep chromosome:AWHD00000000:7:10923068:10926440:1 gene:ONIVA07G10860 transcript:ONIVA07G10860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSERGCWSRPWLTSSGHAPSAPAPSSASSTISLSTPTSTQGSLSTRTTCSTATWWRPCVWRTHAVCSSTSSAPHTSFLPTTTSTSTYWGYIHDKRTEQTPFLSKSLFTEVELFLRDLTNRVSLQD >ONIVA07G10860.3 pep chromosome:AWHD00000000:7:10924997:10926440:1 gene:ONIVA07G10860 transcript:ONIVA07G10860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMLLDSWILTYWIWMHPLSFEVLAIICKSIAFPSSLDGLVPKLKNMMYCVGECKPFKAPQNISPLFFVDVVLGYMQSKIQTSDSLPAKISKNIKTWQFRERQSRSTYWGYIHDKRTEQTPFLSKSLFTEVELFLRDLTNRVSLQD >ONIVA07G10860.4 pep chromosome:AWHD00000000:7:10923066:10924499:1 gene:ONIVA07G10860 transcript:ONIVA07G10860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLIRARAIRAGTIIRVLDYLFIDSDINTRVIIVQQLELLQTECALIGTSNTHANPNAYNMLHSDMVAAVRMAHACCMFLDELSTTYLFPAHHNVNVSTFRSPNFIKEIAS >ONIVA07G10850.1 pep chromosome:AWHD00000000:7:10910588:10915604:1 gene:ONIVA07G10850 transcript:ONIVA07G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPLFDLNVAHEDWDWEKGEEAEEEEPEEVVEEGKKEVVVLEEEEEEEEPHEVIMEEEVVEEVVEEEAAVAGEAEEVDGEVRRKRKDCEVLVGGLPRDAAEEDVARALTDAGDVEEVRLVRDPADPRSNKGFAFVRFAAAWQARWAADDVRTAMVKGEACMICKNDANETLHLRNICFDWTKDDLAEELKTYKLENLEDINLVEDPERKGKNRGYAFLDFRTNVDGVDAFFKLQNRDIYLGTDVRAQVSFSKTLSQDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIHLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIVEGSGKVRIKASLQRPRPTLKKHPWQGITPMLGIRRGFIGKSYGDREHYGDRERYDDRERYHNRERYGDRGFGFSGHARRDYSSNHVHDKYHRHMHRMAIDVEERPVSSREHRSHYRRDSAVSGHIHRYERARPREAYLDSRYTNEYPRHRHSRHEESIQRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTDHEPTPSSSQVASHCEESFSQGRKLMASSSPGMNKMQPPQAPKWCLFVTSWPNLFMSEVLSLMTTLLLPMKLRSTKNARAGIIHLPEMAPRRVTIGALVVLPRCRILPKGFLAFVGVAIFSCPVVAHILPWDAMSCDVTL >ONIVA07G10850.2 pep chromosome:AWHD00000000:7:10910588:10915604:1 gene:ONIVA07G10850 transcript:ONIVA07G10850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPLFDLNVAHEDWDWEKGEEAEEEEPEEVVEEGKKEVVVLEEEEEEEEPHEVIMEEEVVEEVVEEEAAVAGEAEEVDGEVRRKRKDCEVLVGGLPRDAAEEDVARALTDAGDVEEVRLVRDPADPRSNKGFAFVRFAAAWQARWAADDVRTAMVKGEACMICKNDANETLHLRNICFDWTKDDLAEELKTYKLENLEDINLVEDPERKGKNRGYAFLDFRTNVDGVDAFFKLQNRDIYLGTDVRAQVSFSKTLSQDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIHLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIVEGSGKVRIKASLQRPRPTLKKHPWQGITPMLGIRRGFIGKSYGDREHYGDRERYDDRERYHNRERYGDRGFGFSGHIHRYERARPREAYLDSRYTNEYPRHRHSRHEESIQRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTDHEPTPSSSQVASHCEESFSQGRKLMASSSPGMNKMQPPQAPKWCLFVTSWPNLFMSEVLSLMTTLLLPMKLRSTKNARAGIIHLPEMAPRRVTIGALVVLPRCRILPKGFLAFVGVAIFSCPVVAHILPWDAMSCDVTL >ONIVA07G10850.3 pep chromosome:AWHD00000000:7:10910588:10915604:1 gene:ONIVA07G10850 transcript:ONIVA07G10850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPLFDLNVAHEDWDWEKGEEAEEEEPEEVVEEGKKEVVVLEEEEEEEEPHEVIMEEEVVEEVVEEEAAVAGEAEEVDGEVRRKRKDCEVLVGGLPRDAAEEDVARALTDAGDVEEVRLVRDPADPRSNKGFAFVRFAAAWQARWAADDVRTAMVKGEACMICKNDANETLHLRNICFDWTKDDLAEELKTYKLENLEDINLVEDPERKGKNRGYAFLDFRTNVDGVDAFFKLQNRDIYLGTDVRAQVSFSKTLSQDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIHLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIVEGSGKVRIKASLQRPRPTLKKHPWQGITPMLGIRRGFIGKSYGDREHYGDRERYDDRERYHNRERYGDRGFGFSGHIHRYERARPREAYLDSRYTNEYPRHRHSRHEESIQRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTGRKLMASSSPGMNKMQPPQAPKWCLFVTSWPNLFMSEVLSLMTTLLLPMKLRSTKNARAGIIHLPEMAPRRVTIGALVVLPRCRILPKGFLAFVGVAIFSCPVVAHILPWDAMSCDVTL >ONIVA07G10840.1 pep chromosome:AWHD00000000:7:10903613:10904425:1 gene:ONIVA07G10840 transcript:ONIVA07G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTGPTFAHRAPCGWLQRIGWRPPVMEVVCYFSFSRRLYDMNENRWMAATAQCQAHLYTTPLHPSMTLSSTTARHPIALSTTSHKAQTRMVTCDVVAFMQVNLFLLKRAFMRVKLLLLNEQMWEAGTK >ONIVA07G10830.1 pep chromosome:AWHD00000000:7:10903307:10903579:1 gene:ONIVA07G10830 transcript:ONIVA07G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGTVVVDGGGRRLLRRSHCFKPHSRTSGNTLTSKEVFTWAKSNNWRLLHVGDIDITRKKGNDTPICICILSFTFTHEAPISFCKSN >ONIVA07G10820.1 pep chromosome:AWHD00000000:7:10868882:10869109:1 gene:ONIVA07G10820 transcript:ONIVA07G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTGQLSLVMSMIGMKREVGLTEGYRHDRNGAGEAAGVLGWRRAISSSARSVESLLTTKFPSALHVPADQAELK >ONIVA07G10810.1 pep chromosome:AWHD00000000:7:10862186:10863366:1 gene:ONIVA07G10810 transcript:ONIVA07G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMTAELGRSIARAAEGEHCIGGLGSDQFDEGFRGKVCNGRHQYKSLNWLIKSFFEYDKCAQCESQGSSGVGQYKSTADAAGRDDNVDGASSSSIATGRSS >ONIVA07G10800.1 pep chromosome:AWHD00000000:7:10855407:10855843:1 gene:ONIVA07G10800 transcript:ONIVA07G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVPKLSEALSLAACMARWRRDGATAMRACAPESGLGSGNFGLIVPGLATGRGGAGLDKNVPAPDQRTLPLALTLQWRAGRISPPPNVWSPVGSRYSRKIKLTWLRSVRRQRCQLQQCLLRAETATA >ONIVA07G10790.1 pep chromosome:AWHD00000000:7:10849946:10852417:-1 gene:ONIVA07G10790 transcript:ONIVA07G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQDYYQLTPVHDYIRDAGIIGGHGKPATEDVLVNILHRLAPRCLTISRCVCKPWRTIIDAPCLLRVDLLPHLVGGIFINFHDLILSEFISRPSIGPTISGNFNYLPHNSIVREHCNGLLLLDGYADYPATRQYYVVNPATRQWVQLPPCPSSHPGMYSESTEYLVFDPGLSSQFEVFVIPYARVMPYASVLHRNIQLDPMIEKIEWPPSPCILHVFSSRTKQWEERISLSGKYQIIKPPQSPLDIGDMDDSNIYLGRSQKGIYCTFVDNPCGVYILDESYGKMEWVLKHRICYVPRQEFHQIGPWTLQDINFYEHIGHSEYDNSEAIEEQKFEWDSDNDNVIDINNRSNLTGYVTILGFHPYKEVVFLSHTLTRGLAYHLNTRKVQDMGNIRPKYYGTDMGIQPFIEGSFPYTPWLGEFPEDN >ONIVA07G10780.1 pep chromosome:AWHD00000000:7:10809431:10813349:-1 gene:ONIVA07G10780 transcript:ONIVA07G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPALRLPAAAEHHREENHPSSLPIPTGHHPYVAMFPTPRTLAKQRRRRPSLGPAPARRRRRRRSPPLLSSSSRGGIPAHLIGVHLAQALAASIAAPPRPRRQGPVVDVLSSAGITTSPLSRWSCLVPPLQGALTIREEIELMRNVYKLLGGLHAFNEFNAGNLDELIILKSIARNHIFIAIAFFIFPGPLVAGPLVHTPLQGLHLSSSCLSPAVRKCHPFLSPSPNPSLHNARGHGGAARRHCGGQPAAGGRSARAGAGVAEARLRREQGLSAGEAARARPRARGPSAIETTPPLTLISSAAGIGGFLFPPPFSRALALMSGWL >ONIVA07G10780.2 pep chromosome:AWHD00000000:7:10809431:10813349:-1 gene:ONIVA07G10780 transcript:ONIVA07G10780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPALRLPAAAEHHREENHPSSLPIPTGHHPYVAMFPTPRTLAKQRRRRPSLGPAPARRRRRRRSPPLLSSSSRGGIPAHLIGVHLAQALAASIAAPPRPRRQGPVVDVLSSAGITTSPLSRWSCLVPPLQGALTIREEIELMRNVYKLLGGLHAFFIFPGPLVAGPLVHTPLQGLHLSSSCLSPAVRKCHPFLSPSPNPSLHNARGHGGAARRHCGGQPAAGGRSARAGAGVAEARLRREQGLSAGEAARARPRARGPSAIETTPPLTLISSAAGIGGFLFPPPFSRALALMSGWL >ONIVA07G10780.3 pep chromosome:AWHD00000000:7:10809431:10812999:-1 gene:ONIVA07G10780 transcript:ONIVA07G10780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSVGIAALSSTSIAAPPRPRRQGPVVDVLSSAGITTSPLSRWSCLVPPLQGALTIREEIELMRNVYKLLGGLHAFNEFNAGNLDELIILKSIARNHIFIAIAFFIFPGPLVAGPLVHTPLQGLHLSSSCLSPAVRKCHPFLSPSPNPSLHNARGHGGAARRHCGGQPAAGGRSARAGAGVAEARLRREQGLSAGEAARARPRARGPSAIETTPPLTLISSAAGIGGFLFPPPFSRALALMSGWL >ONIVA07G10770.1 pep chromosome:AWHD00000000:7:10805927:10809105:-1 gene:ONIVA07G10770 transcript:ONIVA07G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAAAAKEVDKKVQLMKEIRAHEVAIGELNNLPPSRLMFAVRRPIRRDRRGGSGGVGALGAEGGGPRLGGREAAAAAVYQKTCNLFFRKSVKSAVTSEQKQLDMAKSRLQKLDQA >ONIVA07G10770.2 pep chromosome:AWHD00000000:7:10806686:10809105:-1 gene:ONIVA07G10770 transcript:ONIVA07G10770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAAAAKEVDKKVQLMKEIRAHEVAIGELNNLPPSRTILYQCYIKFILYMHSKEKLIIFRFEGDKAESVTEEEEVVASGPWGLRAEGRD >ONIVA07G10760.1 pep chromosome:AWHD00000000:7:10801780:10805216:1 gene:ONIVA07G10760 transcript:ONIVA07G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRATTAAASRPRRASTKPKAHSFLSPVAPPPPSARARARAGPSSPSSSSPSTPTAAGLSFLSSPGSSASPKPAPRSRSSRRSPLASPRTGTASPLSAAVAPGAPAPSPASAAVSSVGDLRSAAASQMEALKRRLDELHSRAHTDLDASLSRASKRFKIQDHACQQLTDEVDKEYKKMSDSINENTEMIKAKYKQIMAEAQSSTSRVCKVTIPEMTKSVEKAIDGLRSRYNISMPIGIFT >ONIVA07G10750.1 pep chromosome:AWHD00000000:7:10782905:10784997:-1 gene:ONIVA07G10750 transcript:ONIVA07G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAGSYPYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSACSPGIAWTVVNLAHFAITYHFFHWKKGTPFAADDQGIYNRLTWWEQIDNGQQLTRNRKFLTVVPVVLYLIASHLTDYKQPMLFLNTIAVLVLVVAKLPNMHKVRIFGINADI >ONIVA07G10740.1 pep chromosome:AWHD00000000:7:10776250:10785479:1 gene:ONIVA07G10740 transcript:ONIVA07G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G24340) TAIR;Acc:AT5G24340] MDAAAPAPPASPPLAVHLVTGGGSSPEHALLLRSLAAARVVALDAEWKPRRRGPAVADDPSAAAAATPPPPQFPTVTLLQVACRGDGDGGGAAAAEVFVVDLLAVPLAELREPLRELFERPEVLKLGFRFKQDLVYLSATFAAALGSSAGFERVEPFLDVTNAYYYLKGHDMQKRLPRETKSLATICEELLGVYLSKELQCSDWSYRPLSEGQIQYAASDAYYLLDIFDLFHQKIRTEGKCLPTNELTSDGHCPQRDAECSSSEDAVCFDDYFTSVVMKYAEKILLTESDTKPRSSRRKEKQKLATNAKCEEKFEGSTEWQGLPPWDPSAGGDGCPKFLCDVMIEGLAKHLRCVGIDAATPSSRKPEPRELLNQTYKERRVLLTRDVKLLKYQYLASNQVYRVKSLLKHDQLAEVIDTFQLKISEDKLMSRCTKCNGRFIQKPLTLDEAIEASKGFQIIPSCLFKRNLEFWKCTDCNQLYWEGTQYHNAVPKFLSVCNISD >ONIVA07G10730.1 pep chromosome:AWHD00000000:7:10769576:10771488:-1 gene:ONIVA07G10730 transcript:ONIVA07G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEEEKRLKLDCLKNYTGVTEDRIKEGNNRMKSQRSNSCVTEDRIKEKNITMKHMDQIQVN >ONIVA07G10720.1 pep chromosome:AWHD00000000:7:10760519:10765304:1 gene:ONIVA07G10720 transcript:ONIVA07G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HZY9] MGSYALPRRSLVLFLLAAAAVASTAAWAAASSAAGGGDDAARRSLLDNGLGRTPQMGWNSWNHFGCNINENTIRSTVDALISTGLTKAGYTYVNLDDCWADYQRTKEGYIAADPKKFPSGIKALADYVHSKGLKLGIYSSAGSRTCSKTMPGSLGYEDIDAKTFASWGVDYLKYDNCNSDGSSETVRFPKMSFALRKTGRPIFYSICEWGQRNVATWGGQYGNSWRTTGDINDSWASMLSNIDSNDASASYAKPGGWNDPDMLEVGNGGMTNDEYVVHISLWAIAKAPLIIGCDVRSISRETLEILSNPEVIAINQDPLGVQGKKVRKYDNEIEVWAGPLSQQRTAVLLLNRGATGSRQITAAWQDIGVGPGVAVEAKNVWLHATAPGRFTGSLTAEVAAHSCKLFVLTPVGRAGEERIELGS >ONIVA07G10710.1 pep chromosome:AWHD00000000:7:10745468:10746988:1 gene:ONIVA07G10710 transcript:ONIVA07G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLVSSSCLVVAASIAVLCYVNNDADERFPPGPRVRLPLIGNLFLHAPTMAFLPSALRRLRRSHGPVVTLWAGNRPAVFVIGRDFAHRTLVLAGAALAHRPPSPFASSRALSFNRHGVNAAEYGDRWRRLRSNICSCLAATEALRRRSVDRLVATLELEARAGAGLAPTDAFRHGVFSFFAVLCFGEWVRDGEHDAVLRDLRRAHADILALTVELGAFHLVPAVLMVPYLHRWWKLSGLQRSHRDIVAALISVRRLRREKADGDVADSTTFCYVDTLLELELGEDEMVSLCWEFMNAAAKTTSTALEWTMARLVHHSDIQRKLRHDIAKTTNSGGVGVSPSPYLKAVVQESLRLHPPAHYLLAHTVDRDVPLGAGGYVIPKGAIVNYAVAEIGRDATAWTDPDEFVPERFMEGGEGAMVDAVSCGGAEIRMMPFGAGRRACPGASFAVSVLHLFVGRLVEQFEWWPVAEDEKAAAVDFSEKTGLVTVMKTPLRALLVPITSS >ONIVA07G10700.1 pep chromosome:AWHD00000000:7:10726861:10730227:-1 gene:ONIVA07G10700 transcript:ONIVA07G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGCPRNTMSAPATSLSLTPPLHSSLFRKPKHQKQQHTPHIVFQVQENTPHAQNAQSSAIFPGFGNLAVVADQSIEHVVGVTVLAAGHSNRRRCSGRRSSPPKQHQANVLRLQARLDPIKVRLKPIEPTHSAPPELRALSRPGDVDAGVLPPWANNHRLRRSLDLAASVSLLEEEYVEEEEDPAAGNDRLDEEFDAACDVADFQDGSN >ONIVA07G10690.1 pep chromosome:AWHD00000000:7:10718275:10718493:-1 gene:ONIVA07G10690 transcript:ONIVA07G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGSEQRRRLLLGYLGRHMNTPMAAVFSILSITTHHHVMATGGEHLHWFSMARFGCPRSSVYHNMPSHHGS >ONIVA07G10680.1 pep chromosome:AWHD00000000:7:10670298:10673198:-1 gene:ONIVA07G10680 transcript:ONIVA07G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0E0HZY5] MASKLQAFWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGVIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDEKDAAASLEG >ONIVA07G10670.1 pep chromosome:AWHD00000000:7:10657934:10661846:1 gene:ONIVA07G10670 transcript:ONIVA07G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEVMESGGAAGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDASASGADAACGGVGVLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYIVAQCLGAICGVGLVKAFQSAYFNRYGGGANTLAAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIFNNEKAWHNHWIFWVGPFVGAAIAAFYHQYILRAGAIKALGSFRSNA >ONIVA07G10670.2 pep chromosome:AWHD00000000:7:10657893:10661846:1 gene:ONIVA07G10670 transcript:ONIVA07G10670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEVMESGGAAGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDASASGADAACGGVGVLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYIVAQCLGAICGVGLVKAFQSAYFNRYGGGANTLAAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIFNNEKAWHNHWIFWVGPFVGAAIAAFYHQYILRAGAIKALGSFRSNA >ONIVA07G10660.1 pep chromosome:AWHD00000000:7:10639130:10639522:-1 gene:ONIVA07G10660 transcript:ONIVA07G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTTSAVAASNHSMTAVGEGKWEVMSIPIKAEDQGSKTELSQGARQEYIDALMSIVDRILFPELRERIRATMAGFGETVRMDQAEQSNATRKSIFTDVRVKLGVRL >ONIVA07G10650.1 pep chromosome:AWHD00000000:7:10630226:10631731:1 gene:ONIVA07G10650 transcript:ONIVA07G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEADVEAGGVRDYEDPPPAPLIDIDELGRWSLYRAVIAEFVATLLFLYVTVATVIGYKHQTDASASGADAACGGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYIVAQCLGAVCGVALVKGFQSSFYDRYGGGANELAAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVVYNNSKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNA >ONIVA07G10640.1 pep chromosome:AWHD00000000:7:10629184:10629429:-1 gene:ONIVA07G10640 transcript:ONIVA07G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIWTGRRSTWAGGMRGVGKGWTRRCGGSRRTAVIYTRRGFARADRGREVALAAKDGDDRVVAAVIGQLVDENGLTWADC >ONIVA07G10630.1 pep chromosome:AWHD00000000:7:10622644:10624113:1 gene:ONIVA07G10630 transcript:ONIVA07G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLESGGVRDYEDPPPVPLVDADELCRWSLYRAVIAEFVATLLFLYVTVATVIGYKHQTDAAANGADAACGGVGVLGIAWAFGGMILARKVSLVRAVLYMAAQCLGAICGVALVKGFQSGLYARHGGGANELAAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVMYNNSKAWSDQWIFWVGPFIGAAIAALYHQVILRASARGYGSFRSNA >ONIVA07G10620.1 pep chromosome:AWHD00000000:7:10615093:10616929:1 gene:ONIVA07G10620 transcript:ONIVA07G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLEAGGARDYIDPPPAPLVDVDELGKWSLYRALIAEFVATLLFLYVTVATVIGYKHQTDAAVNGADAACGGVGVLGIAWAFGGMIFILVYCTAGVSGGHINPAVTLGLFLARKVSLVRAVLYMAAQCLGAICGVALVKGFQSSLYDRYGGGANELAAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNNKAWSDQWIFWVGPFIGAAIAALYHQIILRASARGYGSFRSNA >ONIVA07G10610.1 pep chromosome:AWHD00000000:7:10596496:10609197:1 gene:ONIVA07G10610 transcript:ONIVA07G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G17530) TAIR;Acc:AT5G17530] MAALSGKIIQNAFLAQHRPQARHSTRYPSDFCALDTRSVHSFQERRLRLAGTSAKWLNTISTAWISSSKQAYISCNAAQGSSVVSSSAKVDFLKLQNGSDIRGVAVGGVEGEPVNLTEPVTEAIASAFAAWLLNKKKADGWRLTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHLPVDGAIMITASHLPYNRNGLKFFTSAGGLNKADIKDILERASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGRLVLCSICLSVAHLFYDKGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENSISKDEHLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >ONIVA07G10610.2 pep chromosome:AWHD00000000:7:10596496:10609197:1 gene:ONIVA07G10610 transcript:ONIVA07G10610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G17530) TAIR;Acc:AT5G17530] MAALSGKIIQNAFLAQHRPQARHSTRYPSDFCALDTRSVHSFQERRLRLAGTSAKWLNTISTAWISSSKQAYISCNAAQGSSVVSSSAKVDFLKLQNGSDIRGVAVGGVEGEPVNLTEPVTEAIASAFAAWLLNKKKADGWRLTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHLPVDGAIMITASHLPYNRNGLKFFTSAGGLNKADIKDILERASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENSISKDEHLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >ONIVA07G10610.3 pep chromosome:AWHD00000000:7:10596496:10609197:1 gene:ONIVA07G10610 transcript:ONIVA07G10610.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G17530) TAIR;Acc:AT5G17530] MAAAQGSSVVSSSAKVDFLKLQNGSDIRGVAVGGVEGEPVNLTEPVTEAIASAFAAWLLNKKKADGWRLTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHLPVDGAIMITASHLPYNRNGLKFFTSAGGLNKADIKDILERASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGRLVLCSICLSVAHLFYDKGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENSISKDEHLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >ONIVA07G10610.4 pep chromosome:AWHD00000000:7:10596496:10609197:1 gene:ONIVA07G10610 transcript:ONIVA07G10610.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G17530) TAIR;Acc:AT5G17530] MAAAQGSSVVSSSAKVDFLKLQNGSDIRGVAVGGVEGEPVNLTEPVTEAIASAFAAWLLNKKKADGWRLTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHLPVDGAIMITASHLPYNRNGLKFFTSAGGLNKADIKDILERASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENSISKDEHLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >ONIVA07G10600.1 pep chromosome:AWHD00000000:7:10580103:10580480:-1 gene:ONIVA07G10600 transcript:ONIVA07G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGPNPSEACLWHGKDNVAVCERRRRHHGASKDGVVCSGKKGWHCGACAARGIGSGATVTGYGVPDMGPSEGVVWGGGAARGAAMTRPGRPGVPMEGSSVERGWATRATSGRPTGLAMAGQGG >ONIVA07G10590.1 pep chromosome:AWHD00000000:7:10579104:10580101:-1 gene:ONIVA07G10590 transcript:ONIVA07G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTARGRRVRCQQATGASEETGGCGGDNRSWLLVGRHCGGDMTWQRFDWVMELGVATIDMGKVFMSSSVG >ONIVA07G10580.1 pep chromosome:AWHD00000000:7:10574513:10576525:-1 gene:ONIVA07G10580 transcript:ONIVA07G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASFSLQIFLLFSSGFRKRHSSHVLSVLLWLAYLSADPVAVYVLGRLSLRASGSSDPRNQQQLVLFWAPFLLLHLGGQETMTAFSMEDNTLWKRHLLSLTTQMVTAIYVVSKQLQGNSRLVAPMVLVFVFGTAKYAERIWALRRAGSVAPGTSSSTANLVSRASSNAVWDTQGYYSQLCYVIERKLERNFEFILAVANEGFRLSLGFFMDMTPSISLLPEDISEIKNSVEVFKSSEDIVHMAYKLAEINLSLIYDYLYTKFGTRHFHIVPVCNVFHLIIKIALISVALALFMRARAGQKAHDVVDVIISYILLVGAIVLEICSVFMSFISSCWAYKTIITLPLTCPLCQKVPGVIAALLSLVRHLHPDSRGEWSGKLAQYNMIEGCIREKQAGAGLLRRARRYIGIDDNKAIKRIGVSPEVKKLVLDKLLEIASTSRVLEWDLGVGRFRGQWAQWVVEAKEDHLRSAAQQVLQVSNIQGLEFVSSVLLWHIITDICLLVDEDEDGGAELRGPTRDLSEYTMYLIADCGVMAGSEGHFVLRKGRHEVLSWLREKGESGCDRRKVIEEIRNEDSSFFADNYYPVLDRARRVSSDLLVLEEPGDRWELIAAVWMEMLCHISYNCGAGFHAKQLTTGGEFVTHVKMLLFMLGVPFLRDVKEPLFYRAGNLYS >ONIVA07G10570.1 pep chromosome:AWHD00000000:7:10571984:10572853:-1 gene:ONIVA07G10570 transcript:ONIVA07G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNWVSRKIHLYNVTMGLYMLDCRSSLTGEDMMVLILLWFVCFNGSRFASDVFDSHLKARIIPGGNYGLGIEK >ONIVA07G10560.1 pep chromosome:AWHD00000000:7:10569841:10571337:1 gene:ONIVA07G10560 transcript:ONIVA07G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HZW9] MAAAAVAADQKVVTMTSLREGCACAAPPAAAAPPMPKMAAAQRVVAELREACATPAARLAEVAAAMAGEMEAGLAVEGGSSEMKMIVSYVDSLPTGGEEGSFYALDLGGTNFRVLRVRLAGGGVAERVAREVPIPPGLMSGGGATSECLFGFIASALAEFVGEEEEEGGLDGGERELGFTFSFPVHQTSIASGTLIRWTKAFAVDDAIGEDVVAALQAAMSERGLDMRVSALINDTVGTLAAGSYYDEDVVAAVILGTGTNAAYVEDATAIAKLHPSQLPASNTMVINTEWGSFASPCLPLTEFDEALDQESLNPGEQTYEKLISGMYLGEIVRRVLLKISSRCPSLLGGAGELATPFVLRTPDVSAMHHDETPDLSIVGEKLERTLGIRGTSPEARRMVVEVCDIVATRAARLAAAGIVGILKKIGRVDGGEGRRRRSVVAVDGGLFEHYGKFRRCMESAVRELLGEAAAERVVVKLASDGSGLGAALVAAAHSQRA >ONIVA07G10550.1 pep chromosome:AWHD00000000:7:10568591:10568816:1 gene:ONIVA07G10550 transcript:ONIVA07G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHRRRPHDGIGDWGKAARGREEVFDLTGGAWEESLDLAGGHTTAAEAAWQGCRVMWDRVEALNIAGSDGG >ONIVA07G10540.1 pep chromosome:AWHD00000000:7:10554055:10556360:-1 gene:ONIVA07G10540 transcript:ONIVA07G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNWVSRKIHLYNVTMGLYMLDWWERCLFSILFHLTPYYIMVLILLWFICFNGSRFASDVFESPSFAITVGSWQSETLLNIKIVDVLELVVNELEYFSLDQKSTISLSEVAAVQFTAKCSQTHDFTVIVKSMSTWFDNAIQGTKVDPPFKLLNN >ONIVA07G10540.2 pep chromosome:AWHD00000000:7:10553870:10556360:-1 gene:ONIVA07G10540 transcript:ONIVA07G10540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNWVSRKIHLYNVTMGLYMLDWWERCLFNIMVLILLWFICFNGSRFASDVFERWIYKWTVGQRDMDRSIFV >ONIVA07G10540.3 pep chromosome:AWHD00000000:7:10554558:10556360:-1 gene:ONIVA07G10540 transcript:ONIVA07G10540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNWVSRKIHLYNVTMGLYMLDWWERCLFNIMVLILLWFICFNGSRFASDVFESHLKARIMPGGNYGMGVGMPST >ONIVA07G10530.1 pep chromosome:AWHD00000000:7:10545792:10548348:-1 gene:ONIVA07G10530 transcript:ONIVA07G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNIAVAGRGRESPLSLNGMLGGRSIIGKRFRNQDNELTCSYIFHTSNLSSSPHISRLELSLMKRGQ >ONIVA07G10520.1 pep chromosome:AWHD00000000:7:10512607:10512922:1 gene:ONIVA07G10520 transcript:ONIVA07G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLLLHLHLPVLRATSLKRESYSRKEEDDAEKERQKAERYKVAFALDQQWIGLEKEKFELKRMIE >ONIVA07G10510.1 pep chromosome:AWHD00000000:7:10505307:10510344:-1 gene:ONIVA07G10510 transcript:ONIVA07G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEELVYQQVNYGNADGIRALRAQGAGKTPLMVASMRPDLINVVQVLIELGANVNAYRPGSYCGTALHHAAKKGLEQTVHLLLSHGANPFITNDDCHTALDLAREKGHVNVVRAIEGRISLFCGWMRENYGPGFLEAFAPQFLTRKIWAVILPREARNQTRPLKLELTIYPELQASKPQAVIKLWKCQLEEPKFNQANPSVTIFDKGTRTRYKLLPVCEGDKQQLQWFYSACCGIPQVASMVPAQPANAPLPNPSSASSLPSVISTPSKEDAELAMAINASIQSAIAEGVPDVQPITTTTATNDWGNPPSNSLNGWGPPDTSAPSKTSGQVPVVTSSSSTYNGWDVPGTSSGQSSSKHNKSQNSTFVVPQEALPSLPVPTAPPLAVGTFYDGPIQYPSIDSTPVDVTMPSADGGTAVSSAKPAENEGDAKPAESDANASNSGNTPPGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRAKINQIIRLYAV >ONIVA07G10500.1 pep chromosome:AWHD00000000:7:10498675:10501121:1 gene:ONIVA07G10500 transcript:ONIVA07G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMKKGILRPFRYISNMMDGKEAAQDMQIGFPTDVKHVAHIGWDGPSVPNNNNTAGAPSWMKDYHSAPLDSASFRSDRGGSAAANPWASQEIVVDGGSLGDTSFSETRSEAGGSMDITAGDSPPSPDSRRSRRHRSRGSAATSSMDCTAADGGAAPEKKDKAKKSSRGKNRKKDKSDKSAAAGAGNDATCQDLPAVPKKSNRRKNKSGSEGTGAAAASKADGAGAGGEDAAAREPPATEEAQDHD >ONIVA07G10490.1 pep chromosome:AWHD00000000:7:10489183:10490981:1 gene:ONIVA07G10490 transcript:ONIVA07G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTPSLQGIKNVKSESGVILTKPFLEVCKHILPVLDKFGSAMSIVKNDIGGNITRLETKYASDPSKYEQLHSMVKVEISSKTAKSSSSCTNGLLWLTRAMDFLVALFHNLVQHPDWQMSQACSDAYSKTLKKWHGWLASSSFSEYLTIPEFQVAIKLAPDRKKFMEIISGSGDINADIEKFCATFSPLLAENHRFLLLSQ >ONIVA07G10480.1 pep chromosome:AWHD00000000:7:10472997:10478808:-1 gene:ONIVA07G10480 transcript:ONIVA07G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDARLDAAVFQLTPTRTRFDLVVIVNGRKEKIASGLLNPFLAHLKVAQDQIAKGGYSITLEPSSGVGAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQLEDAISIQSNDSLGLRSVEDHGGKLTESNEGTRANHSPDADKAIVIYQPGSQPTPAVHDETTTHEENSKVQLLRVLETRKNVLRKEQAMAFARAVAAGFDIDNLGYLIAFAERFGASRLMRACSQFIELWKRKHETGQWIEVEPEAMSTRSEFPPFNPSGIVFVGDNMKQNTETMSVSNGEANGEDASKAEHKSGQQMGYQAAYPPWAMHPPPYHMQGMPYYPGPYYPPYPPVDDPRYHYSGRKSSRKHSSDSKESEVLDEGSDGSSSERGSSHGHKSHKKGKRSGKKKPSVVVIKNVNVTSKKHGSSESESQSSSEDGSQDSDDTHSKKRHGKHKSSGSKKKEGAKTNFDSGDDYNNKDESSYGQDADQGNWNAFQSFLMRAEEKTRSNDADMFSGEKAPPSRKKNNVNTADPILLAGGDSGDVYEQRGAGFDPVNGRSRAIRLQSNDELMMSGEGGRYMDGEIKEIEAGGGRYRRGTSEDFMLYGQERSMDRRSALDPLAEARYRNPNQVDKNGYAAADESFIIPLRSGSQDNVGPEYRAAIDIDVELPTNTKKTSDGKAGTQLFYEPDELMPERGSEDASFGYDPAMDYESNMMVRAVKVEDSNDEDVSHSNDGDVKKPEKEKIRGTKDGSDKRKKDAILRRLSAPKTPLNDAQKRAQNMRAYKADLQKLKKEQEEEQMKRLERLKLERQKRIAARSNGKSDPPKASREHANGLSKSVPSLTGLKKEKSGSTESFSERLKRLAEPKSIGGADHLSNPKSVTTDHSRRRSMV >ONIVA07G10480.2 pep chromosome:AWHD00000000:7:10472997:10478808:-1 gene:ONIVA07G10480 transcript:ONIVA07G10480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDARLDAAVFQLTPTRTRFDLVVIVNGRKEKIASGLLNPFLAHLKVAQDQIAKGGYSITLEPSSGVGAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQLEDAISIQSNDSLGLRSKGDAFLFAIWKVEDHGGKLTESNEGTRANHSPDADKAIVIYQPGSQPTPAVHDETTTHEENSKVQLLRVLETRKNVLRKEQAMAFARAVAAGFDIDNLGYLIAFAERFGASRLMRACSQFIELWKRKHETGQWIEVEPEAMSTRSEFPPFNPSGIVFVGDNMKQNTETMSVSNGEANGEDASKAEHKSGQQMGYQAAYPPWAMHPPPYHMQGMPYYPGPYYPPYPPVDDPRYHYSGRKSSRKHSSDSKESEVLDEGSDGSSSERGSSHGHKSHKKGKRSGKKKPSVVVIKNVNVTSKKHGSSESESQSSSEDGSQDSDDTHSKKRHGKHKSSGSKKKEGAKTNFDSGDDYNNKDESSYGQDADQGNWNAFQSFLMRAEEKTRSNDADMFSGEKAPPSRKKNNVNTADPILLAGGDSGDVYEQRGAGFDPVNGRSRAIRLQSNDELMMSGEGGRYMDGEIKEIEAGGGRYRRGTSEDFMLYGQERSMDRRSALDPLAEARYRNPNQVDKNGYAAADESFIIPLRSGSQDNVGPEYRAAIDIDVELPTNTKKTSDGKAGTQLFYEPDELMPERGSEDASFGYDPAMDYESNMMVRAVKVEDSNDEDVSHSNDGDVKKPEKEKIRGTKDGSDKRKKDAILRRLSAPKTPLNDAQKRAQNMRAYKADLQKLKKEQEEEQMKRLERLKLERQKRIAARSNGKSDPPKASREHANGLSKSVPSLTGLKKEKSGSTESFSERLKRLAEPKSIGGADHLSNPKSVTTDHSRRRSMV >ONIVA07G10480.3 pep chromosome:AWHD00000000:7:10472997:10479026:-1 gene:ONIVA07G10480 transcript:ONIVA07G10480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFARAVAAGFDIDNLGYLIAFAERFGASRLMRACSQFIELWKRKHETGQWIEVEPEAMSTRSEFPPFNPSGIVFVGDNMKQNTETMSVSNGEANGEDASKAEHKSGQQMGYQAAYPPWAMHPPPYHMQGMPYYPGPYYPPYPPVDDPRYHYSGRKSSRKHSSDSKESEVLDEGSDGSSSERGSSHGHKSHKKGKRSGKKKPSVVVIKNVNVTSKKHGSSESESQSSSEDGSQDSDDTHSKKRHGKHKSSGSKKKEGAKTNFDSGDDYNNKDESSYGQDADQGNWNAFQSFLMRAEEKTRSNDADMFSGEKAPPSRKKNNVNTADPILLAGGDSGDVYEQRGAGFDPVNGRSRAIRLQSNDELMMSGEGGRYMDGEIKEIEAGGGRYRRGTSEDFMLYGQERSMDRRSALDPLAEARYRNPNQVDKNGYAAADESFIIPLRSGSQDNVGPEYRAAIDIDVELPTNTKKTSDGKAGTQLFYEPDELMPERGSEDASFGYDPAMDYESNMMVRAVKVEDSNDEDVSHSNDGDVKKPEKEKIRGTKDGSDKRKKDAILRRLSAPKTPLNDAQKRAQNMRAYKADLQKLKKEQEEEQMKRLERLKLERQKRIAARSNGKSDPPKASREHANGLSKSVPSLTGLKKEKSGSTESFSERLKRLAEPKSIGGADHLSNPKSVTTDHSRRRSMV >ONIVA07G10470.1 pep chromosome:AWHD00000000:7:10456240:10468739:1 gene:ONIVA07G10470 transcript:ONIVA07G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQMCASLGSMGSVLMKLDLVLANEHRLQKKAKDGILLLKVDLEEINTKFVDLSEVADPTMAVRYWMKEVRELTYDIEDYIDQLMHSEDGSKLGSGRRVRGLKIKRFSKRLKRPPLTVDKIMGFRARVQESSERHERFGLGDCISERTRGLIGACHLPPPHRKPPALVGIEKPMNQLVKWLTDEEEQLKVISIVGPAGIGKTTLARELFRKLRGQFACRAFVQASQNPDMGRLLGSMLSQVGSNKPLHTSSVQDLIDSLREHLQDKRYLSLNLTVVAALIQVRRDQRCCLCPRPPSSSPPPPPSFATAAALIRVHRALDRCRLPLPHPSPPSTAGRRPRPRPPPLPPSSAFAVTNAAALVRVRRRRHRHRTQLLPPPLSASTTPSTAAASLVRAKDVWILLTKHSQSRIITTTEIKDVALACCDYQLEHTFKMEPLGDGNSRKLFLSRVFGSENKCPKRFDDVANKIIRKCSGLPLAIISIASLLARQSNKSEVWDCLDNTLTSTLMTNSSFEVVKQAVNLAYNSLPHSLKTCMLYLSIYPEGHIIWTNDLLKQWMAEGFISLTQGTEIDRVAENYFYELVNRGMIQPVDVDYNDEVISCTVHHMVLDVITNKSMEENFVTVIDCSETSIGFPHKVRRLSLRFSSTKYATKLANITLAQVRSWYFVGLTNFVPSIMEFKLLRVVILQLWGCHDGQSSFNLTNIGRLFQLRYMKISCNISVDLPLKMQGLQYLETLEINARISAIPSDIVHLPRLFHLHLPCITDLSNGIGSMRSLRSLGYFDLCNNSEDNIRNLGELTNLQDLRLTCSTVPFDRLERNMEVVSSFLWRLGNLKSLSLVPSHSASSKCISCDGLRIVSTPPRLLQKLELLMPIGVFSRLPKWIGQLDKLCVLKIVVREVLRYDIDNLIGLPSLSILSLNVRTVPSSKIIFHKTAFPALKYLKFKCRLLCLSFEEGAMPNLKRLKLGFNAHGAEEYCSTSVGIEFLLSLTEINGVIGVFGASKSDRIAAEHVLINVSKVHPGCPSINIHQVDCIFDGNEAMSSKAEEKAYMSLQETQCQILEKVLTDQHGILELNTQKNKEGRVVRRNFFFTFPRYVWSASCFPSTVAIYRDKGRKREREMASVSVSTGVMNSLLEKLNSLPCEENYNLKGVRNELVLLKSQLSELNILLEVLAEMDEPAPLAEKWMSQVKELTYDVEDYIDDLSCQAGLVGIEGPKNKLIKLLMNVHAEQGLKVLSIVGFGGLGKTALANEVYHELGEQFEYRVFVSVTQRPQIAVLLRNMLSQLGEQKSAESNDVQYLISKLREHLNNKRYFIILDDLWDESVWNILSCAFPTNSHGSRVITTTRIETVGRACCAYQADFIYKMQCLNAKDSETLFFSRIFGSKDQCPENLEEGLVEIVRKCDGVPLAIVTVAQHLASRQTTFKEQLESLRSSLCTILGTCSTFQGIRHTLNLSYINLPNYLKTCLLYLGIYPTGYTIRKDDLVKQWVAEGFASSMHGQDAEHVAKGYFNELVNRSVVQPVDTDYNDEVLSFRVHSSMLEFIRYKSAEENFLTVVDQLGATRGRPDKIRRLCLHVNESSAFRIPASFDLSQVRSLLFFGASVMDVSEYRFLRVLILQSFDSGLLQEIDLTGIQALFQLRYLKVSAYITLLPRRIGMLQYLQTLCIEGSRLLYMPPDIVCLPNLSHLIVPLQTSLPDGICNLKSLRTLKCFDLDMNSSKNIKGIGELTSLIELDYFFDGRKLKTEKQDALCCSLGKLAYGKLKYLYISAPGAGYNASRLSNVSPSPRHLEKLVLSGCWFLHVPKWIKELRELYSLVLSVEDLDMSDVRALGGMPTLVHLFLETRRGPMENSITITDKSFPALKHFEFSCRAPCLIFQAGAMPQVERLSLNFAADGWNKCNSEAIGIERLTELKEICVQVLGSGANRLNTESRILAEVYYYFEQFHAHGKNVRYSYNNDVDHSYFNDDGDDDYNGDSDVSSVISG >ONIVA07G10470.2 pep chromosome:AWHD00000000:7:10456240:10468739:1 gene:ONIVA07G10470 transcript:ONIVA07G10470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQMCASLGSMGSVLMKLDLVLANEHRLQKKAKDGILLLKVDLEEINTKFVDLSEVADPTMAVRYWMKEVRELTYDIEDYIDQLMHSEDGSKLGSGRRVRGLKIKRFSKRLKRPPLTVDKIMGFRARVQESSERHERFGLGDCISERTRGLIGACHLPPPHRKPPALVGIEKPMNQLVKWLTDEEEQLKVISIVGPAGIGKTTLARELFRKLRGQFACRAFVQASQNPDMGRLLGSMLSQVGSNKPLHTSSVQDLIDSLREHLQDKRYLSLNLTVVAALIQVRRDQRCCLCPRPPSSSPPPPPSFATAAALIRVHRALDRCRLPLPHPSPPSTAGRRPRPRPPPLPPSSAFAVTNAAALVRVRRRRHRHRTQLLPPPLSASTTPSTAAASLVRAKDVWILLTKHSQSRIITTTEIKDVALACCDYQLEHTFKMEPLGDGNSRKLFLSRVFGSENKCPKRFDDVANKIIRKCSGLPLAIISIASLLARQSNKSEVWDCLDNTLTSTLMTNSSFEVVKQAVNLAYNSLPHSLKTCMLYLSIYPEGHIIWTNDLLKQWMAEGFISLTQGTEIDRVAENYFYELVNRGMIQPVDVDYNDEVISCTVHHMVLDVITNKSMEENFVTVIDCSETSIGFPHKVRRLSLRFSSTKYATKLANITLAQVRSWYFVGLTNFVPSIMEFKLLRVVILQLWGCHDGQSSFNLTNIGRLFQLRYMKISCNISVDLPLKMQGLQYLETLEINARISAIPSDIVHLPRLFHLHLPCITDLSNGIGSMRSLRSLGYFDLCNNSEDNIRNLGELTNLQDLRLTCSTVPFDRLERNMEVVSSFLWRLGNLKSLSLVPSHSASSKCISCDGLRIVSTPPRLLQKLELLMPIGVFSRLPKWIGQLDKLCVLKIVVREVLRYDIDNLIGLPSLSILSLNVRTVPSSKIIFHKTAFPALKYLKFKCRLLCLSFEEGAMPNLKRLKLGFNAHGAEEYCSTSVGIEFLLSLTEINGVIGVFGASKSDRIAAEHVLINVSKVHPGCPSINIHQVDCIFDGNEAMSSKAEEKAYMSLQETQCQILEKVLTDQHGILELNTQKNKEGRVVRRNFFFTFPRYVWSASCFPSTVAIYRDKGRKREREMASVSVSTGVMNSLLEKLNSLPCEENYNLKGVRNELVLLKSQLSELNILLEVLAEMDEPAPLAEKWMSQVKELTYDVEDYIDDLSCQEQGLKVLSIVGFGGLGKTALANEVYHELGEQFEYRVFVSVTQRPQIAVLLRNMLSQLGEQKSAESNDVQYLISKLREHLNNKRYFIILDDLWDESVWNILSCAFPTNSHGSRVITTTRIETVGRACCAYQADFIYKMQCLNAKDSETLFFSRIFGSKDQCPENLEEGLVEIVRKCDGVPLAIVTVAQHLASRQTTFKEQLESLRSSLCTILGTCSTFQGIRHTLNLSYINLPNYLKTCLLYLGIYPTGYTIRKDDLVKQWVAEGFASSMHGQDAEHVAKGYFNELVNRSVVQPVDTDYNDEVLSFRVHSSMLEFIRYKSAEENFLTVVDQLGATRGRPDKIRRLCLHVNESSAFRIPASFDLSQVRSLLFFGASVMDVSEYRFLRVLILQSFDSGLLQEIDLTGIQALFQLRYLKVSAYITLLPRRIGMLQYLQTLCIEGSRLLYMPPDIVCLPNLSHLIVPLQTSLPDGICNLKSLRTLKCFDLDMNSSKNIKGIGELTSLIELDYFFDGRKLKTEKQDALCCSLGKLAYGKLKYLYISAPGAGYNASRLSNVSPSPRHLEKLVLSGCWFLHVPKWIKELRELYSLVLSVEDLDMSDVRALGGMPTLVHLFLETRRGPMENSITITDKSFPALKHFEFSCRAPCLIFQAGAMPQVERLSLNFAADGWNKCNSEAIGIERLTELKEICVQVLGSGANRLNTESRILAEVYYYFEQFHAHGKNVRYSYNNDVDHSYFNDDGDDDYNGDSDVSSVISG >ONIVA07G10470.3 pep chromosome:AWHD00000000:7:10455606:10468739:1 gene:ONIVA07G10470 transcript:ONIVA07G10470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQMCASLGSMGSVLMKLDLVLANEHRLQKKAKDGILLLKVDLEEINTKFVDLSEVADPTMAVRYWMKEVRELTYDIEDYIDQLMHSEDGSKLGSGRRVRGLKIKRFSKRLKRPPLTVDKIMGFRARVQESSERHERFGLGDCISERTRGLIGACHLPPPHRKPPALVGIEKPMNQLVKWLTDEEEQLKVISIVGPAGIGKTTLARELFRKLRGQFACRAFVQASQNPDMGRLLGSMLSQVGSNKPLHTSSVQDLIDSLREHLQDKRFFIVIDDLQAKDVWILLTKHSQSRIITTTEIKDVALACCDYQLEHTFKMEPLGDGNSRKLFLSRVFGSENKCPKRFDDVANKIIRKCSGLPLAIISIASLLARQSNKSEVWDCLDNTLTSTLMTNSSFEVVKQAVNLAYNSLPHSLKTCMLYLSIYPEGHIIWTNDLLKQWMAEGFISLTQGTEIDRVAENYFYELVNRGMIQPVDVDYNDEVISCTVHHMVLDVITNKSMEENFVTVIDCSETSIGFPHKVRRLSLRFSSTKYATKLANITLAQVRSWYFVGLTNFVPSIMEFKLLRVVILQLWGCHDGQSSFNLTNIGRLFQLRYMKISCNISVDLPLKMQGLQYLETLEINARISAIPSDIVHLPRLFHLHLPCITDLSNGIGSMRSLRSLGYFDLCNNSEDNIRNLGELTNLQDLRLTCSTVPFDRLERNMEVVSSFLWRLGNLKSLSLVPSHSASSKCISCDGLRIVSTPPRLLQKLELLMPIGVFSRLPKWIGQLDKLCVLKIVVREVLRYDIDNLIGLPSLSILSLNVRTVPSSKIIFHKTAFPALKYLKFKCRLLCLSFEEGAMPNLKRLKLGFNAHGAEEYCSTSVGIEFLLSLTEINGVIGVFGASKSDRIAAEHVLINVSKVHPGCPSINIHQVDCIFDGNEAMSSKAEEKAYMSLQETQCQILEKVLTDQHGILELNTQKNKEGRVVRRNFFFTFPRYVWSASCFPSTVAIYRDKGRKREREMASVSVSTGVMNSLLEKLNSLPCEENYNLKGVRNELVLLKSQLSELNILLEVLAEMDEPAPLAEKWMSQVKELTYDVEDYIDDLSCQAGLVGIEGPKNKLIKLLMNVHAEQGLKVLSIVGFGGLGKTALANEVYHELGEQFEYRVFVSVTQRPQIAVLLRNMLSQLGEQKSAESNDVQYLISKLREHLNNKRYFIILDDLWDESVWNILSCAFPTNSHGSRVITTTRIETVGRACCAYQADFIYKMQCLNAKDSETLFFSRIFGSKDQCPENLEEGLVEIVRKCDGVPLAIVTVAQHLASRQTTFKEQLESLRSSLCTILGTCSTFQGIRHTLNLSYINLPNYLKTCLLYLGIYPTGYTIRKDDLVKQWVAEGFASSMHGQDAEHVAKGYFNELVNRSVVQPVDTDYNDEVLSFRVHSSMLEFIRYKSAEENFLTVVDQLGATRGRPDKIRRLCLHVNESSAFRIPASFDLSQVRSLLFFGASVMDVSEYRFLRVLILQSFDSGLLQEIDLTGIQALFQLRYLKVSAYITLLPRRIGMLQYLQTLCIEGSRLLYMPPDIVCLPNLSHLIVPLQTSLPDGICNLKSLRTLKCFDLDMNSSKNIKGIGELTSLIELDYFFDGRKLKTEKQDALCCSLGKLAYGKLKYLYISAPGAGYNASRLSNVSPSPRHLEKLVLSGCWFLHVPKWIKELRELYSLVLSVEDLDMSDVRALGGMPTLVHLFLETRRGPMENSITITDKSFPALKHFEFSCRAPCLIFQAGAMPQVERLSLNFAADGWNKCNSEAIGIERLTELKEICVQVLGSGANRLNTESRILAEVYYYFEQFHAHGKNVRYSYNNDVDHSYFNDDGDDDYNGDSDVSSVISG >ONIVA07G10470.4 pep chromosome:AWHD00000000:7:10456240:10468739:1 gene:ONIVA07G10470 transcript:ONIVA07G10470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQMCASLGSMGSVLMKLDLVLANEHRLQKKAKDGILLLKVDLEEINTKFVDLSEVADPTMAVRYWMKEVRELTYDIEDYIDQLMHSEDGSKLGSGRRVRGLKIKRFSKRLKRPPLTVDKIMGFRARVQESSERHERFGLGDCISERTRGLIGACHLPPPHRKPPALVGIEKPMNQLVKWLTDEEEQLKVISIVGPAGIGKTTLARELFRKLRGQFACRAFVQASQNPDMGRLLGSMLSQVGSNKPLHTSSVQDLIDSLREHLQDKRYLSLNLTVVAALIQVRRDQRCCLCPRPPSSSPPPPPSFATAAALIRVHRALDRCRLPLPHPSPPSTAGRRPRPRPPPLPPSSAFAVTNAAALVRVRRRRHRHRTQLLPPPLSASTTPSTAAASLVRAKDVWILLTKHSQSRIITTTEIKDVALACCDYQLEHTFKMEPLGDGNSRKLFLSRVFGSENKCPKRFDDVANKIIRKCSGLPLAIISIASLLARQSNKSEVWDCLDNTLTSTLMTNSSFEVVKQAVNLAYNSLPHSLKTCMLYLSIYPEGHIIWTNDLLKQWMAEGFISLTQGTEIDRVAENYFYELVNRGMIQPVDVDYNDEVISCTVHHMVLDVITNKSMEENFVTVIDCSETSIGFPHKVRRLSLRFSSTKYATKLANITLAQVRSWYFVGLTNFVPSIMEFKLLRVVILQLWGCHDGQSSFNLTNIGRLFQLRYMKISCNISVDLPLKMQGLQYLETLEINARISAIPSDIVHLPRLFHLHLPCITDLSNGIGSMRSLRSLGYFDLCNNSEDNIRNLGELTNLQDLRLTCSTVPFDRLERNMEVVSSFLWRLGNLKSLSLVPSHSASSKCISCDGLRIVSTPPRLLQKLELLMPIGVFSRLPKWIGQLDKLCVLKIVVREVLRYDIDNLIGLPSLSILSLNVRTVPSSKIIFHKTAFPALKYLKFKCRLLCLSFEEGAMPNLKRLKLGFNAHGAEEYCSTSVGIEFLLSLTEINGVIGVFGASKSDRIAAEHVLINVSKVHPGCPSINIHQVDCIFDGNEAMSSKAEEKAYMSLQETQCQILEKVLTDQHGILELNTQKNKEGRVVRRNFFFTFPRYVWSASCFPSTVAIYRDKGRKREREMASVSVSTGVMNSLLEKLNSLPCEENYNLKGVRNELVLLKSQLSELNILLEVLAEMDEPAPLAEKWMSQVKELTYDVEDYIDDLSCQGHYYGKVLFARWIAQYISMFIVHSHANQRIQQLTIAIVDAIHQHKRYKLDCSLASPSLCLDTRLPVLFVDSAGLVGIEGPKNKLIKLLMNVHAEQGLKVLSIVGFGGLGKTALANEVYHELGEQFEYRVFVSVTQRPQIAVLLRNMLSQLGEQKSAESNDVQYLISKLREHLNNKRYFIILDDLWDESVWNILSCAFPTNSHGSRVITTTRIETVGRACCAYQADFIYKMQCLNAKDSETLFFSRIFGSKDQCPENLEEGLVEIVRKCDGVPLAIVTVAQHLASRQTTFKEQLESLRSSLCTILGTCSTFQGIRHTLNLSYINLPNYLKTCLLYLGIYPTGYTIRKDDLVKQWVAEGFASSMHGQDAEHVAKGYFNELVNRSVVQPVDTDYNDEVLSFRVHSSMLEFIRYKSAEENFLTVVDQLGATRGRPDKIRRLCLHVNESSAFRIPASFDLSQVRSLLFFGASVMDVSEYRFLRVLILQSFDSGLLQEIDLTGIQALFQLRYLKVSAYITLLPRRIGMLQYLQTLCIEGSRLLYMPPDIVCLPNLSHLIVPLQTSLPDGICNLKSLRTLKCFDLDMNSSKNIKGIGELTSLIELDYFFDGRKLKTEKQDALCCSLGKLAYGKLKYLYISAPGAGYNASRLSNVSPSPRHLEKLVLSGCWFLHVPKWIKELRELYSLVLSVEDLDMSDVRALGGMPTLVHLFLETRRGPMENSITITDKSFPALKHFEFSCRAPCLIFQAGAMPQVERLSLNFAADGWNKCNSEAIGIERLTELKEICVQVLGSGANRLNTESRILAEVYYYFEQFHAHGKNVRYSYNNDVDHSYFNDDGDDDYNGDSDVSSVISG >ONIVA07G10470.5 pep chromosome:AWHD00000000:7:10456240:10468739:1 gene:ONIVA07G10470 transcript:ONIVA07G10470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQMCASLGSMGSVLMKLDLVLANEHRLQKKAKDGILLLKVDLEEINTKFVDLSEVADPTMAVRYWMKEVRELTYDIEDYIDQLMHSEDGSKLGSGRRVRGLKIKRFSKRLKRPPLTVDKIMGFRARVQESSERHERFGLGDCISERTRGLIGACHLPPPHRKPPALVGIEKPMNQLVKWLTDEEEQLKVISIVGPAGIGKTTLARELFRKLRGQFACRAFVQASQNPDMGRLLGSMLSQVGSNKPLHTSSVQDLIDSLREHLQDKRYLSLNLTVVAALIQVRRDQRCCLCPRPPSSSPPPPPSFATAAALIRVHRALDRCRLPLPHPSPPSTAGRRPRPRPPPLPPSSAFAVTNAAALVRVRRRRHRHRTQLLPPPLSASTTPSTAAASLVRAKDVWILLTKHSQSRIITTTEIKDVALACCDYQLEHTFKMEPLGDGNSRKLFLSRVFGSENKCPKRFDDVANKIIRKCSGLPLAIISIASLLARQSNKSEVWDCLDNTLTSTLMTNSSFEVVKQAVNLAYNSLPHSLKTCMLYLSIYPEGHIIWTNDLLKQWMAEGFISLTQGTEIDRVAENYFYELVNRGMIQPVDVDYNDEVISCTVHHMVLDVITNKSMEENFVTVIDCSETSIGFPHKVRRLSLRFSSTKYATKLANITLAQVRSWYFVGLTNFVPSIMEFKLLRVVILQLWGCHDGQSSFNLTNIGRLFQLRYMKISCNISVDLPLKMQGLQYLETLEINARISAIPSDIVHLPRLFHLHLPCITDLSNGIGSMRSLRSLGYFDLCNNSEDNIRNLGELTNLQDLRLTCSTVPFDRLERNMEVVSSFLWRLGNLKSLSLVPSHSASSKCISCDGLRIVSTPPRLLQKLELLMPIGVFSRLPKWIGQLDKLCVLKIVVREVLRYDIDNLIGLPSLSILSLNVRTVPSSKIIFHKTAFPALKYLKFKCRLLCLSFEEGAMPNLKRLKLGFNAHGAEEYCSTSVGIEFLLSLTEINGVIGVFGASKSDRIAAEHVLINVSKVHPGCPSINIHQVDCIFDGNEAMSSKAEEKAYMSLQETQCQILEKVLTDQHGILELNTQKNKEGRVVRRDKGRKREREMASVSVSTGVMNSLLEKLNSLPCEENYNLKGVRNELVLLKSQLSELNILLEVLAEMDEPAPLAEKWMSQVKELTYDVEDYIDDLSCQEQGLKVLSIVGFGGLGKTALANEVYHELGEQFEYRVFVSVTQRPQIAVLLRNMLSQLGEQKSAESNDVQYLISKLREHLNNKRYFIILDDLWDESVWNILSCAFPTNSHGSRVITTTRIETVGRACCAYQADFIYKMQCLNAKDSETLFFSRIFGSKDQCPENLEEGLVEIVRKCDGVPLAIVTVAQHLASRQTTFKEQLESLRSSLCTILGTCSTFQGIRHTLNLSYINLPNYLKTCLLYLGIYPTGYTIRKDDLVKQWVAEGFASSMHGQDAEHVAKGYFNELVNRSVVQPVDTDYNDEVLSFRVHSSMLEFIRYKSAEENFLTVVDQLGATRGRPDKIRRLCLHVNESSAFRIPASFDLSQVRSLLFFGASVMDVSEYRFLRVLILQSFDSGLLQEIDLTGIQALFQLRYLKVSAYITLLPRRIGMLQYLQTLCIEGSRLLYMPPDIVCLPNLSHLIVPLQTSLPDGICNLKSLRTLKCFDLDMNSSKNIKGIGELTSLIELDYFFDGRKLKTEKQDALCCSLGKLAYGKLKYLYISAPGAGYNASRLSNVSPSPRHLEKLVLSGCWFLHVPKWIKELRELYSLVLSVEDLDMSDVRALGGMPTLVHLFLETRRGPMENSITITDKSFPALKHFEFSCRAPCLIFQAGAMPQVERLSLNFAADGWNKCNSEAIGIERLTELKEICVQVLGSGANRLNTESRILAEVYYYFEQFHAHGKNVRYSYNNDVDHSYFNDDGDDDYNGDSDVSSVISG >ONIVA07G10470.6 pep chromosome:AWHD00000000:7:10456240:10468739:1 gene:ONIVA07G10470 transcript:ONIVA07G10470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQMCASLGSMGSVLMKLDLVLANEHRLQKKAKDGILLLKVDLEEINTKFVDLSEVADPTMAVRYWMKEVRELTYDIEDYIDQLMHSEDGSKLGSGRRVRGLKIKRFSKRLKRPPLTVDKIMGFRARVQESSERHERFGLGDCISERTRGLIGACHLPPPHRKPPALVGIEKPMNQLVKWLTDEEEQLKVISIVGPAGIGKTTLARELFRKLRGQFACRAFVQASQNPDMGRLLGSMLSQVGSNKPLHTSSVQDLIDSLREHLQDKRYLSLNLTVVAALIQVRRDQRCCLCPRPPSSSPPPPPSFATAAALIRVHRALDRCRLPLPHPSPPSTAGRRPRPRPPPLPPSSAFAVTNAAALVRVRRRRHRHRTQLLPPPLSASTTPSTAAASLVRAKDVWILLTKHSQSRIITTTEIKDVALACCDYQLEHTFKMEPLGDGNSRKLFLSRVFGSENKCPKRFDDVANKIIRKCSGLPLAIISIASLLARQSNKSEVWDCLDNTLTSTLMTNSSFEVVKQAVNLAYNSLPHSLKTCMLYLSIYPEGHIIWTNDLLKQWMAEGFISLTQGTEIDRVAENYFYELVNRGMIQPVDVDYNDEVISCTVHHMVLDVITNKSMEENFVTVIDCSETSIGFPHKVRRLSLRFSSTKYATKLANITLAQVRSWYFVGLTNFVPSIMEFKLLRVVILQLWGCHDGQSSFNLTNIGRLFQLRYMKISCNISVDLPLKMQGLQYLETLEINARISAIPSDIVHLPRLFHLHLPCITDLSNGIGSMRSLRSLGYFDLCNNSEDNIRNLGELTNLQDLRLTCSTVPFDRLERNMEVVSSFLWRLGNLKSLSLVPSHSASSKCISCDGLRIVSTPPRLLQKLELLMPIGVFSRLPKWIGQLDKLCVLKIVVREVLRYDIDNLIGLPSLSILSLNVRTVPSSKIIFHKTAFPALKYLKFKCRLLCLSFEEGAMPNLKRLKLGFNAHGAEEYCSTSVGIEFLLSLTEINGVIGVFGASKSDRIAAEHVLINVSKVHPGCPSINIHQVDCIFDGNEAMSSKAEEKAYMSLQETQCQILEKVLTDQHGILELNTQKNKEGRVVRRDKGRKREREMASVSVSTGVMNSLLEKLNSLPCEENYNLKGVRNELVLLKSQLSELNILLEVLAEMDEPAPLAEKWMSQVKELTYDVEDYIDDLSCQGHYYGKVLFARWIAQYISMFIVHSHANQRIQQLTIAIVDAIHQHKRYKLDCSLASPSLCLDTRLPVLFVDSAGLVGIEGPKNKLIKLLMNVHAEQGLKVLSIVGFGGLGKTALANEVYHELGEQFEYRVFVSVTQRPQIAVLLRNMLSQLGEQKSAESNDVQYLISKLREHLNNKRYFIILDDLWDESVWNILSCAFPTNSHGSRVITTTRIETVGRACCAYQADFIYKMQCLNAKDSETLFFSRIFGSKDQCPENLEEGLVEIVRKCDGVPLAIVTVAQHLASRQTTFKEQLESLRSSLCTILGTCSTFQGIRHTLNLSYINLPNYLKTCLLYLGIYPTGYTIRKDDLVKQWVAEGFASSMHGQDAEHVAKGYFNELVNRSVVQPVDTDYNDEVLSFRVHSSMLEFIRYKSAEENFLTVVDQLGATRGRPDKIRRLCLHVNESSAFRIPASFDLSQVRSLLFFGASVMDVSEYRFLRVLILQSFDSGLLQEIDLTGIQALFQLRYLKVSAYITLLPRRIGMLQYLQTLCIEGSRLLYMPPDIVCLPNLSHLIVPLQTSLPDGICNLKSLRTLKCFDLDMNSSKNIKGIGELTSLIELDYFFDGRKLKTEKQDALCCSLGKLAYGKLKYLYISAPGAGYNASRLSNVSPSPRHLEKLVLSGCWFLHVPKWIKELRELYSLVLSVEDLDMSDVRALGGMPTLVHLFLETRRGPMENSITITDKSFPALKHFEFSCRAPCLIFQAGAMPQVERLSLNFAADGWNKCNSEAIGIERLTELKEICVQVLGSGANRLNTESRILAEVYYYFEQFHAHGKNVRYSYNNDVDHSYFNDDGDDDYNGDSDVSSVISG >ONIVA07G10470.7 pep chromosome:AWHD00000000:7:10455606:10468739:1 gene:ONIVA07G10470 transcript:ONIVA07G10470.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQMCASLGSMGSVLMKLDLVLANEHRLQKKAKDGILLLKVDLEEINTKFVDLSEVADPTMAVRYWMKEVRELTYDIEDYIDQLMHSEDGSKLGSGRRVRGLKIKRFSKRLKRPPLTVDKIMGFRARVQESSERHERFGLGDCISERTRGLIGACHLPPPHRKPPALVGIEKPMNQLVKWLTDEEEQLKVISIVGPAGIGKTTLARELFRKLRGQFACRAFVQASQNPDMGRLLGSMLSQVGSNKPLHTSSVQDLIDSLREHLQDKRFFIVIDDLQAKDVWILLTKHSQSRIITTTEIKDVALACCDYQLEHTFKMEPLGDGNSRKLFLSRVFGSENKCPKRFDDVANKIIRKCSGLPLAIISIASLLARQSNKSEVWDCLDNTLTSTLMTNSSFEVVKQAVNLAYNSLPHSLKTCMLYLSIYPEGHIIWTNDLLKQWMAEGFISLTQGTEIDRVAENYFYELVNRGMIQPVDVDYNDEVISCTVHHMVLDVITNKSMEENFVTVIDCSETSIGFPHKVRRLSLRFSSTKYATKLANITLAQVRSWYFVGLTNFVPSIMEFKLLRVVILQLWGCHDGQSSFNLTNIGRLFQLRYMKISCNISVDLPLKMQGLQYLETLEINARISAIPSDIVHLPRLFHLHLPCITDLSNGIGSMRSLRSLGYFDLCNNSEDNIRNLGELTNLQDLRLTCSTVPFDRLERNMEVVSSFLWRLGNLKSLSLVPSHSASSKCISCDGLRIVSTPPRLLQKLELLMPIGVFSRLPKWIGQLDKLCVLKIVVREVLRYDIDNLIGLPSLSILSLNVRTVPSSKIIFHKTAFPALKYLKFKCRLLCLSFEEGAMPNLKRLKLGFNAHGAEEYCSTSVGIEFLLSLTEINGVIGVFGASKSDRIAAEHVLINVSKVHPGCPSINIHQVDCIFDGNEAMSSKAEEKAYMSLQETQCQILEKVLTDQHGILELNTQKNKEGRVVRRDKGRKREREMASVSVSTGVMNSLLEKLNSLPCEENYNLKGVRNELVLLKSQLSELNILLEVLAEMDEPAPLAEKWMSQVKELTYDVEDYIDDLSCQGHYYGKVLFARWIAQYISMFIVHSHANQRIQQLTIAIVDAIHQHKRYKLDCSLASPSLCLDTRLPVLFVDSAGLVGIEGPKNKLIKLLMNVHAEQGLKVLSIVGFGGLGKTALANEVYHELGEQFEYRVFVSVTQRPQIAVLLRNMLSQLGEQKSAESNDVQYLISKLREHLNNKRYFIILDDLWDESVWNILSCAFPTNSHGSRVITTTRIETVGRACCAYQADFIYKMQCLNAKDSETLFFSRIFGSKDQCPENLEEGLVEIVRKCDGVPLAIVTVAQHLASRQTTFKEQLESLRSSLCTILGTCSTFQGIRHTLNLSYINLPNYLKTCLLYLGIYPTGYTIRKDDLVKQWVAEGFASSMHGQDAEHVAKGYFNELVNRSVVQPVDTDYNDEVLSFRVHSSMLEFIRYKSAEENFLTVVDQLGATRGRPDKIRRLCLHVNESSAFRIPASFDLSQVRSLLFFGASVMDVSEYRFLRVLILQSFDSGLLQEIDLTGIQALFQLRYLKVSAYITLLPRRIGMLQYLQTLCIEGSRLLYMPPDIVCLPNLSHLIVPLQTSLPDGICNLKSLRTLKCFDLDMNSSKNIKGIGELTSLIELDYFFDGRKLKTEKQDALCCSLGKLAYGKLKYLYISAPGAGYNASRLSNVSPSPRHLEKLVLSGCWFLHVPKWIKELRELYSLVLSVEDLDMSDVRALGGMPTLVHLFLETRRGPMENSITITDKSFPALKHFEFSCRAPCLIFQAGAMPQVERLSLNFAADGWNKCNSEAIGIERLTELKEICVQVLGSGANRLNTESRILAEVYYYFEQFHAHGKNVRYSYNNDVDHSYFNDDGDDDYNGDSDVSSVISG >ONIVA07G10460.1 pep chromosome:AWHD00000000:7:10439040:10442020:-1 gene:ONIVA07G10460 transcript:ONIVA07G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLICQHINHALKKMLCLLSLIEQKYKVHKGLKEDIEYLDRELGLIACTIGDEQRAPSPSHHTRPTWEHLTEDLRDLGFEIEDCIDRLLYCSARVGQDNILKRAVNFLFPITLRFADDIRRLKKKTEQALEGWKNDSQPSAMDDDPSSSSSSSRSGPHILRDELEGIDAPLKEIIELLDLEEATPAEQPGKLKVISIVGSGGVGKTVLAREAFDSDIGKLFTGPRAWVSAADSDAQDVLRAILQELKVEPVDSDVSRQLRARLDHERYFIVIDDMRKELWCTLRSAFPSNSGYSSRIIVTTSIHSVAKACSSGELDHVYTMATLGDYYSKKLFSRKARCSEHNLEEIVKKCDGLPLALDSIAQFMRNWGLPQEEAQLKLTAQDVATWNFDMLVDRNIVRPIDVSNSDKVKTCQAYGMMLEFILHKSASQRFMTTTTCQEVLKPKHIRRISIHCGGLTNWSLGSDLSFVRSLIIFGEVGQHIMNFQRCYLLRLLDLEECNDLNDTHLQHICNLLLLKYLSLGRTITTLPREIAKLQFLETLDVRRTQVNILPIEVIMLPCLIHLFGKFEHIDRLRQTAKLEKFLSQESKLQTLAGFFVNSMEGSLQIAKLLSHMKKLRKVKLWRDSTASGTDMDHFHRAIENFIRDDKQANNGFRSLSLHFDNCPEDLPDRLEAPCYLTSLKLYGQLHKLPDFVGFLHDLKELCLSVTELKAGLLSTRVALRNLLYLKLMADHIEEVKNFDICFPSLLRLCLKLDRWTHLQIKMDLPQLVSLQLLCEGLDGLSGINIQCLKSVKEIILDSRVREDIKECWKNAAKQHPNRPKVEMVGAMETETGTNSQSPNQEGSSSSSSAGNKRKEHEELDNICKSPRRN >ONIVA07G10450.1 pep chromosome:AWHD00000000:7:10420746:10428518:-1 gene:ONIVA07G10450 transcript:ONIVA07G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HZU8] MVSSNMFYRRKRSWRAGDLVSRSTLQLLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAIKMMKLGLRLWSYIREEASHGRKAPIDPFTRESDKPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCEMSPVMANQFSIFITRGGNKKYSSVLAPGQHDSLKKSNDDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTIVNTGKERAKVSLVMTWAVGFPIIPAVMTANNNPPVTFAVAACENQNVNVTVLPAFGLSGESSVTAREMWGTLSQDGCFDRDNFSAGPSMPSLLGDTVCAAVCASTWVEPHGRCTVVFSLAWSSPKVKFKKGNAYYRRYTKFYGTSPRSAINLVQDSLMKYKHWEEEIDKWQRPILHDERFPEWYKVTLFNELYFLVAGGTVWIDSASLMADADEMMNSRLSEDNDLPLHHSSRNSAVPLIGFSPHIIDDRENVGKFLYLEGIEVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDHDDDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARTLGHHDYAERCMLKFAKAKPVFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEGRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMDDQAFTTAEGIFTAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRTILEAPKVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSSSSNCEC >ONIVA07G10450.2 pep chromosome:AWHD00000000:7:10420746:10428518:-1 gene:ONIVA07G10450 transcript:ONIVA07G10450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HZU8] MVSSNMFYRRKRSWRAGDLVSRSTLQLLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAIKMMKLGLRLWSYIREEASHGRKAPIDPFTRESDKPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCEMSPVMANQFSIFITRGGNKKYSSVLAPGQHDSLKKSNDDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTIVNTGKERAKVSLVMTWAVGFPIIPAVMTANNNPPVTFAVAACENQNVNVTVLPAFGLSGESSVTAREMWGTLSQDGCFDRDNFSAGPSMPSLLGDTVCAAVCASTWVEPHGRCTVVFSLAWSSPKVKFKKGNAYYRRYTKFYGTSPRSAINLVQDSLMKYKHWEEEIDKWQRPILHDERFPEWYKVTLFNELYFLVAGGTVWIDSASLMADADEMMNSRLSEDNDLPLHHSSRNSAVPLIAVLREDKSRVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDHDDDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARTLGHHDYAERCMLKFAKAKPVFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEGRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMDDQAFTTAEGIFTAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRTILEAPKVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSSSSNCEC >ONIVA07G10450.3 pep chromosome:AWHD00000000:7:10420746:10428518:-1 gene:ONIVA07G10450 transcript:ONIVA07G10450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HZU8] MVSSNMFYRRKRSWRAGDLVSRSTLQLLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAIKMMKLGLRLWSYIREEASHGRKAPIDPFTRESDKPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCEMSPVMANQFSIFITRGGNKKYSSVLAPGQHDSLKKSNDDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTIVNTGKERAKVSLVMTWAVGFPIIPAVMTANNNPPVTFAVAACENQNVNVTVLPAFGLSGESSVTAREMWGTLSQDGCFDRDNFSAGPSMPSLLGDTVCAAVCASTWVEPHGRCTVVFSLAWSSPKVKFKKGNAYYRRYTKFYGTSPRSAINLVQDSLMKYKHWEEEIDKWQRPILHDERFPEWYKVTLFNELYFLVAGGTVWIDSASLMADADEMMNSRLSEDNDLPLHHSSRNSAVPLIGFSPHIIDDRENVGKFLYLEGIEYFMWCTYDVHFYASFALLELFPKIELSIQRDFATAVLREDKSRVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDHDDDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARTLGHHDYAERCMLKFAKAKPVFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEGRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMDDQAFTTAEGIFTAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRTILEAPKVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSSSSNCEC >ONIVA07G10450.4 pep chromosome:AWHD00000000:7:10420746:10428518:-1 gene:ONIVA07G10450 transcript:ONIVA07G10450.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HZU8] MVSSNMFYRRKRSWRAGDLVSRSTLQLLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAIKMMKLGLRLWSYIREEASHGRKAPIDPFTRESDKPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCEMSPVMANQFSIFITRGGNKKYSSVLAPGQHDSLKKSNDDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTIVNTGKERAKVSLVMTWAVGFPIIPAVMTANNNPPVTFAVAACENQNVNVTVLPAFGLSGESSVTAREMWGTLSQDGCFDRDNFSAGPSMPSLLGDTVCAAVCASTWVEPHGRCTVVFSLAWSSPKVKFKKGNAYYRRYTKFYGTSPRSAINLSISTGKRKLISGRGQFSMMRGFRSGVYLYMTYQLAAARQYKVTLFNELYFLVAGGTVWIDSASLMADADEMMNSRLSEDNDLPLHHSSRNSAVPLIGFSPHIIDDRENVGKFLYLEGIEYFMWCTYDVHFYASFALLELFPKIELSIQRDFATAVLREDKSRVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDHDDDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARTLGHHDYAERCMLKFAKAKPVFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEGRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMDDQAFTTAEGIFTAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRTILEAPKVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSSSSNCEC >ONIVA07G10440.1 pep chromosome:AWHD00000000:7:10385927:10388560:1 gene:ONIVA07G10440 transcript:ONIVA07G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSPPSGWDFHNEIKNQCRLLHGTPVHSSSFYCSRPFKLVVDVSRSTFRLEESSVALALRACLGGSPAGFLVKHLNDRCYTFCVCNKSVGLWIYNLRSYICHDYHMRFFLWRDGGPNWGFEFRRWESEQLNEWTVVKKKKSKSSVVASSSVPISRVFNRLHVLDPPCPSPVAAGEKVSVSAPDPISHKPVSRPKVPVADGNAPNAISKSNGLPIGFGKSRPYHRGRVDTIRSPVHRDALVLGQPIAYDEVRFVRHDQGPNWRNAPYNHRDWIMLLDFPLDYVTFHNFADVFPLDDDLPLGEGPVDPNFGNVQNVNQDQNQGWGNWDEGQDNENVDFLPNVPQPVDVLQINSSSTSNSTNSVISISSDEAIEHVSDNSLMVQKVFVDANLLRLLCQRFPQVMFDENFVKDASFWSTLTSDHHSFQGNASGSSDWASRIVPDSVSVGSDEVLDPTPLAVVPPSDSFLALTAFEDPVMPTKRAYKKRAAGSVTPIVTTGLRRSSRLLAISDGHKIGFKDDDLVEPDPNQRIGNPRGKSVKKLKQVAHEAGLLFSGSSLQESDFMEDSAEVEGPASCLIPLLQKMATNLCGVAPQDVTQESLLASSPKYVPV >ONIVA07G10430.1 pep chromosome:AWHD00000000:7:10377721:10378217:1 gene:ONIVA07G10430 transcript:ONIVA07G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCWHGDISNHQRENEQLRGRINPAPSSFCFQHHHLPATTVSSLLLTSESCAASSLPRLRHHAPLLLHSVQPGC >ONIVA07G10420.1 pep chromosome:AWHD00000000:7:10377004:10377239:-1 gene:ONIVA07G10420 transcript:ONIVA07G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLREDKDGELREETGNWKNRFVSPCFLVDDQQMIKFMVDGKCNQLEIKWLAFAFDQEM >ONIVA07G10410.1 pep chromosome:AWHD00000000:7:10294920:10304765:-1 gene:ONIVA07G10410 transcript:ONIVA07G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSPAQLEEEQKGVHGGGGVAGAQVEAALNRKNVEALPEDETVEGGPEDAWPPNPIITGVPAASHCLRAILVILHRQQRAAASSSVSAVGSLPPPDAAPVHTTGRDSSFSSFSAMRHHQAGAIHHHDGGCDAVAQHLVRLTIEK >ONIVA07G10400.1 pep chromosome:AWHD00000000:7:10289631:10290026:1 gene:ONIVA07G10400 transcript:ONIVA07G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLMPGDDGRWGSGRAGGGEEAVAATVARAWQPGEVAGGAAGRGCGSRALAGGGWAWEMAGRPLLGGRRGWRWQAGIRRAGEGSGPPEGGSGVGQARGGGGTAELVAIASAATAWEHGAMATVATARSLW >ONIVA07G10390.1 pep chromosome:AWHD00000000:7:10286949:10287427:1 gene:ONIVA07G10390 transcript:ONIVA07G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGHVSRQPLPPIAGVSVPDPAIAMPSVVPFPPIARPSVLDPIVVIRTAVEEEGMRCTVEKEEPRHAVATPVLMPDLAVAIDSSHCEPVDAGSNHHEDANRGGGGDEAHVMEEPRHVVPVLITGSSRLLPAPP >ONIVA07G10380.1 pep chromosome:AWHD00000000:7:10283648:10284916:-1 gene:ONIVA07G10380 transcript:ONIVA07G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARGAALVLLLCLSGAAVGVWARPVAAKGDDNAAGEEKSLWLKKQFGKGLGAGLGGGYGKGGGFGGGGGGGGGGGFGGGGGFGGGGGGELGGGGGGGLGGGGGFGKGGGVGIGFGKGGGFGKGGGFGGGFGKGGGIGGGIGHGPGGGFGKGGGLGGGIGPGIGGGYGKGGGLGGGIGKGGGLGGGFGKSGGLGGGGGLGSGGGLGGGIGKGGGLGGGFGKGGGLGGGGGLGGGGGLGGGIGKGGGLGGGIGKGGGLGGGFGKGGGLGGGGGLGGGEGGGLGGGIGKGGGIGGGFGKGGGLGGGGGLGGGGGLGGGSGLGSGIGKGGGLGGGFGKGGGLGGGFGKGGGIGGGFGKGGGLGGGGGLGGGGGGGGGGFGGGGGSGIGGGFGKGGGFGFGVGGGGFGGGGGGGGGGGGIGGKH >ONIVA07G10370.1 pep chromosome:AWHD00000000:7:10280515:10281822:1 gene:ONIVA07G10370 transcript:ONIVA07G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATASRKGDHDCPELPPDTLRLKSRVRARAVCAAWSSALPDKIDPFPWLLRLPPAHGDAAAAAASSPAVFFPSTGTSAGFELPFHRPGTRCVGMHDGWIAAVDVDLGVRILDPLSGARVDLPPLTACPGVGFGRGRASRRLHEQVEYRQSPTAVTEFFPVDTFLDSVLVKIAFSAPGGADDGDGEVGAFAVAVFWDRVVYTAAGLGEWRQLTTPNAGTRCHPEKVVDVVHAGGGRFFGLTATDETHVTYLAPTALFDIQVFDLSACGGGGPVEASKLPVARLRPRQALRRQKFPSADVFCARLFLLDGTPHVVLRWWDVLARADEMAVLASDPGDPLGWRAAGDLRGRALLVGNGCAAPVRAPGGAIGGDRVYFADKVRCFARESNRRLTGVGTFDVKSGSLEMLWKDGAGDDPLEACRAPTWFAPPYFFR >ONIVA07G10360.1 pep chromosome:AWHD00000000:7:10244120:10250659:-1 gene:ONIVA07G10360 transcript:ONIVA07G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARPFFSPHEAFARASTASSPAAAATVAVAAPAPDPSPATGHADAAEVAVFRLLLPQAFSDADAMRLYAAIAPLRRLFPTLQVRVETLGVGASSDDADGDGDGGGGGGGGRVAVVLGPASPARRVEASSSSGEPLELSPAQEALVALLDSGWVVHLNGEAWGAERMTCLVLVEAGRLEAASGKGILWTIANESGAEVRVTPWGGEGGAAYAAQPPEEVVEITGDGTTVRRALVSVSSCLQDMSTERANTSIPHIDCPQGATGIEQTECVMQFSFRLLCPVTLAGGLIGKNGMVIKAIEVNSGASVDVGGPVHRCMERAITVSALEKPGQKFSMVENAVLRIFDRMQVVESNMHSRPNNPLHCSARVLILKGQFGYLVGPGGSLIKHMNNTTRTKMKILEETAVPACASQYELITGEPMNVRDALSLVCEKLRNHCFSSEKTTYGNGHVPSSAIDELTTSSQVNISSTGQYSAGNLSRVDHRLSQNEIDSVQNSISAFDLGYLGSPQIQKPTIGCGTEINNPINEVEKPANGNGTGINNLNTGMQNDNGIDVSNHGATSLEEKKLLRGIKTATITRITYEVAVCGDNGNDFTMIREMSGADVTAHYPLPETSDGMIVISGTPDEAQSALAMFLDLVKEGQ >ONIVA07G10360.2 pep chromosome:AWHD00000000:7:10244120:10250659:-1 gene:ONIVA07G10360 transcript:ONIVA07G10360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARPFFSPHEAFARASTASSPAAAATVAVAAPAPDPSPATGHADAAEVAVFRLLLPQAFSDADAMRLYAAIAPLRRLFPTLQVRVETLGVGASSDDADGDGDGGGGGGGGRVAVVLGPASPARRVEASSSSGEPLELSPAQEALVALLDSGWVVHLNGEAWGAERMTCLVLVEAGRLEAASGKGILWTIANESGAEVRVTPWGGEGGAAYAAQPPEEVVEITGDGTTVRRALVSVSSCLQGDGPLGSSTSAHSVNPILTQTFPKVPEPEMGSLYSDMSTERANTSIPHIDCPQGATGIEQTECVMQFSFRLLCPVTLAGGLIGKNGMVIKAIEVNSGASVDVGGPVHRCMERAITVSALEKPGQKFSMVENAVLRIFDRMQVVESNMHSRPNNPLHCSARVLILKGQFGYLVGPGGSLIKHMNNTTRTKMKILEETAVPACASQYELITGEPMNVRDALSLVCEKLRNHCFSSEKTTYGNGHVPSSAIDELTTSSQVNISSTGQYSAGNLSRVDHRLSQNEIDSVQNSISAFDLGYLGSPQIQKPTIGCGTEINNPINEVEKPANGNGTGINNLNTGMQNDNGIDVSNHGATSLEEKKLLRGIKTATITRITYEVAVCGDNGNDFTMIREMSGADVTAHYPLPETSDGMIVISGTPDEAQSALAMFLDLVKEGQ >ONIVA07G10350.1 pep chromosome:AWHD00000000:7:10233157:10236227:1 gene:ONIVA07G10350 transcript:ONIVA07G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWMQRVQARQPSVASAHRGILVYYCYSIQVAVHLSPPPYQPRGEEGEQIVSSKLSSKDNRCSGVEFEFDSSEDVHSTLIWWRRTPQPPQLTRTAKQRSRWLLAPMMLPMSPATRATGATANVKRLLTVASTNVPIWDEVMNK >ONIVA07G10350.2 pep chromosome:AWHD00000000:7:10233406:10236227:1 gene:ONIVA07G10350 transcript:ONIVA07G10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWMQRVQARQPSVASAHRGILVYYCYSIQVAVHLSPPPYQVMLSSCGAGFGVGSLFQLLPLVEFGAQPRGEEGEQIVSSKLSSKDNRCSGVEFEFDSSEDVHSTLIWWRRTPQPPQLTRTAKQRSRWLLAPMMLPMSPATRATGATANVKRLLTVASTNVPIWDEVMNK >ONIVA07G10350.3 pep chromosome:AWHD00000000:7:10233131:10236227:1 gene:ONIVA07G10350 transcript:ONIVA07G10350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPMSPATRATGATANVKRLLTVASTNVPIWDEVMNK >ONIVA07G10340.1 pep chromosome:AWHD00000000:7:10223110:10229251:1 gene:ONIVA07G10340 transcript:ONIVA07G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERISTNQLYNSGIPVTVPSPLPAIPATLDENIPRIPDGQNVPRERELRSTPMPPHQNQSTVAPLHGHFQSTTGSVGPLRSSQAIRFSSVSSNEQYTNANPYNSQPPSSGSSSTLNYGSQYGGFEPSLTDFPRDAGPTWCPDPVDGLLGYTDDVPAGNNLTENSSIAAGDELAKQSEWWNDFMNYDWKDIDNTACTETQPQVGPAAQSSVAVHQSAAQQSVSSQSGEPSAVAIPSPSGASNTSNSKTRMRWTPELHERFVDAVNLLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKAASKEDIPSIDLKGGNFDLTEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQQCIPGTDKAVDASTSAEGTKPSSDLPESSAVKDVPENSQNGIAKQTESGDR >ONIVA07G10340.2 pep chromosome:AWHD00000000:7:10223210:10229251:1 gene:ONIVA07G10340 transcript:ONIVA07G10340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERISTNQLYNSGIPVTVPSPLPAIPATLDENIPRIPDGQNVPRERELRSTPMPPHQNQSTVAPLHGHFQSTTGSVGPLRSSQAIRFSSVSSNEQYTNANPYNSQPPSSGSSSTLNYGSQYGGFEPSLTDFPRDAGPTWCPDPVDGLLGYTDDVPAGNNLTENSSIAAGDELAKQSEWWNDFMNYDWKDIDNTACTETQPQVGPAAQSSVAVHQSAAQQSVSSQSGEPSAVAIPSPSGASNTSNSKTRMRWTPELHERFVDAVNLLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKAASKEDIPSIDLKGGNFDLTEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQQCIPGTDKAVDASTSAEGTKPSSDLPESSAVKDNQVTDNEDVMRVSPRCGPKWPLNGLDGYLTDVWQS >ONIVA07G10340.3 pep chromosome:AWHD00000000:7:10223110:10226617:1 gene:ONIVA07G10340 transcript:ONIVA07G10340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERISTNQLYNSGIPVTVPSPLPAIPATLDENIPRIPDGQNVPRERELRSTPMPPHQNQSTVAPLHGHFQSTTGSVGPLRSSQAIRFSSVSSNEQYTNANPYNSQPPSSGSSSTLNYGSQYGGFEPSLTDFPRDAGPTWCPDPVDGLLGYTDDVPAGNNLTENSSIAAGDELAKQSEWWNDFMNYDWKDIDNTACTETQPQVGPAAQSSVAVHQSAAQQSVSSQSGEPSAVAIPSPSGASNTSNSKTRMRWTPELHERFVDAVNLLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKAASKEDIPSIDLKGG >ONIVA07G10330.1 pep chromosome:AWHD00000000:7:10210514:10215845:1 gene:ONIVA07G10330 transcript:ONIVA07G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein [Source:Projected from Arabidopsis thaliana (AT2G05590) TAIR;Acc:AT2G05590] MLAWKEKVADRLARLLADSPASPSSAAATPPPQPATAAAAAAAAVEPQATPFPVEHFTSPKKGSLSSYVMSLLPTSNPGHERTSPSSQHMKPLPPESLPKRWRGNDFLWHDLPLALSEESGSESERDERNGNSNNEQILQSHRPIDNSNGNEETSTSDCTDSLYYLTEKSTFISLKLFGFFQSSLPGTLKGCHWVLLYSTWKHGTSLRTLFRRSENLQGPCLLIVGDMRGAVFGGLLNGPLRPTEKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYLCLNDALAFGGGGSFALCLDEDLLHGTSGSCQTFGNSCLAHSPDFELKNVELWGFTHSWGRST >ONIVA07G10330.2 pep chromosome:AWHD00000000:7:10210942:10215845:1 gene:ONIVA07G10330 transcript:ONIVA07G10330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein [Source:Projected from Arabidopsis thaliana (AT2G05590) TAIR;Acc:AT2G05590] MLAWKEKATPFPVEHFTSPKKGSLSSYVMSLLPTSNPGHERTSPSSQHMKPLPPESLPKRWRGNDFLWHDLPLALSEESGSESERDERNGNSNNEQILQSHRPIDNSNGNEETSTSDCTDSLYYLTEKSTFISLKLFGFFQSSLPGTLKGCHWVLLYSTWKHGTSLRTLFRRSENLQGPCLLIVGDMRGAVFGGLLNGPLRPTEKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYLCLNDALAFGGGGSFALCLDEDLLHGTSGSCQTFGNSCLAHSPDFELKNVELWGFTHSWGRST >ONIVA07G10320.1 pep chromosome:AWHD00000000:7:10203225:10204930:1 gene:ONIVA07G10320 transcript:ONIVA07G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPSSAATATVLLLLALLGVAAGGGEDGQKRAAGVYIVIVQPPADGADTVAYHTCILAAALGSEERAKKALLYSYRAVASGFAAKLTPPELSALQKHPAVLQVRPDQMYHAVDNLN >ONIVA07G10310.1 pep chromosome:AWHD00000000:7:10192755:10202185:1 gene:ONIVA07G10310 transcript:ONIVA07G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRDDVRSAAQSTIHGSSSSAPTSSPVPDYPISGSVKPVLNYSIQTGEEFALEFMRDRAIPKKHLVSGMSHDQNVASGAGLKDPRGLLGAHRTGAESRFDAAIFLTTDIQQTEGIERKSFAENENRSRHVSTSSVPRIPSRSGSSQRLSHGYASSESSDSSRRIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNISWQELKQKTTAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGEGSQKLRIFLVSSIDFDDMSFSLGSMDSDSGIQYVVAINGMDVGTTKPSSGHGLGNTSINELDQFINLNNDSNQPNSSRDGSNLYSMSASTAVPPALISVPLPVTLSSDSTANLYPYHSHGMQHVQGSDYSLPASSERFYDIEGQTSIPLSVPSGYRYTSQCTPYSGTTSLQSFDQQSYHDSMMEGSMKEEKQPSVRVPLQKNDLDYFQSLENMSVPVIHHDSSSTNYMNSDVPVTTSIQEGLKSSLQPSDSAKSLETYTASKAMSAAQDSECNEDDHHSSGAFASGCSDFQVDMMDHSNKNPPPRSGRVFHSERIPREQAGSLNRLSKSDDSLNSQFLILQSQSGVAKESIAEASDPAIEGTEKSNLDARAINLNDPATVDSVTPEKECANTVQQTSTFSEQLLGEKRSSTDMSTRNVEKNMHAAENAVAKCNLNDATSDGTKIVNQQADHSAVPHHVSWDTPDPAIPTDVGCDPFVPSTSSLDDSHKEPIIPKKDNKDIVGGMSERTSPDILSDFFANTAAQSLSPFNEPVLSLNMHNYEPQRWSFFRNLAQNEFEHKNKEQDLAKIEEGVYPLVHAEHDAVNVKNVAPQNDVHLETYPVSSGINLDSSILPPGFISSQDNPPMTKNVEGFQVDNPYTNMHEMMPSVPEFEEPKFEEGKAVGPVMDASFKDNNFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPSHRPAFTEIAGRLRAMSVAANQAKAASK >ONIVA07G10310.2 pep chromosome:AWHD00000000:7:10192755:10202228:1 gene:ONIVA07G10310 transcript:ONIVA07G10310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRDDVRSAAQSTIHGSSSSAPTSSPVPDYPISGSVKPVLNYSIQTGEEFALEFMRDRAIPKKHLVSGMSHDQNVASGAGLKDPRGLLGAHRTGAESRFDAAIFLTTDIQQTEGIERKSFAENENRSRHVSTSSVPRIPSRSGSSQRLSHGYASSESSDSSRRIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNISWQELKQKTTAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGEGSQKLRIFLVSSIDFDDMSFSLGSMDSDSGIQYVVAINGMDVGTTKPSSGHGLGNTSINELDQFINLNNDSNQPNSSRDGSNLYSMSASTAVPPALISVPLPVTLSSDSTANLYPYHSHGMQHVQGSDYSLPASSERFYDIEGQTSIPLSVPSGYRYTSQCTPYSGTTSLQSFDQQSYHDSMMEGSMKEEKQPSVRVPLQKNDLDYFQSLENMSVPVIHHDSSSTNYMNSDVPVTTSIQEGLKSSLQPSDSAKSLETYTASKAMSAAQDSECNEDDHHSSGAFASGCSDFQVDMMDHSNKNPPPRSGRVFHSERIPREQAGSLNRLSKSDDSLNSQFLILQSQSGVAKESIAEASDPAIEGTEKSNLDARAINLNDPATVDSVTPEKECANTVQQTSTFSEQLLGEKRSSTDMSTRNVEKNMHAAENAVAKCNLNDATSDGTKIVNQQADHSAVPHHVSWDTPDPAIPTDVGCDPFVPSTSSLDDSHKEPIIPKKDNKDIVGGMSERTSPDILSDFFANTAAQSLSPFNEPVLSLNMHNYEPQRWSFFRNLAQNEFEHKNKEQDLAKIEEGVYPLVHAEHDAVNVKNVAPQNDVHLETYPVSSGINLDSSILPPGFISSQDNPPMTKNVEGFQVDNPYTNMHEMMPSVPEFEEPKFEEGKAVGPVMDASFKDNNFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPSHRPAFTEIAGRLRAMSVAANQAKAASK >ONIVA07G10300.1 pep chromosome:AWHD00000000:7:10189328:10190086:1 gene:ONIVA07G10300 transcript:ONIVA07G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTSTTTTTLLRLRSSEGKVLVSPAWDGRPSATAAAAAAPPLETGVPLRALEKAVLFWVGRALAEAIGGESGDGDWEAQFLRCLQQDGLAAEDVAAAVEKLRGIDALAGVVPDFTLAAAAAAHRHPSSSAAPETSASCHSHSNSRAGDKRQLPLPLQLASPDRAAASRARGRQRREEEEEEAADRGHRKTRQAGAAASDDGVQSSGTSAAAAAATTGASLRGRRGLPELHALQVNNSETQTLLAWELLLV >ONIVA07G10290.1 pep chromosome:AWHD00000000:7:10177543:10184713:-1 gene:ONIVA07G10290 transcript:ONIVA07G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRVYKQQKKEYLVRYIVQKMTLYNRLSHLTASHLMIQSQKDGERGRGRGMVVAEEVVRMWARGRTTKLFGSMWYKWITYTENGRLVVWKGHLHENFYGDLQIRLILIDELGTKMETIVFHRQAEHLN >ONIVA07G10280.1 pep chromosome:AWHD00000000:7:10176217:10177485:-1 gene:ONIVA07G10280 transcript:ONIVA07G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHLRFTIRSQFCMILNSSTTPHVIGLVVHVRDIEFRSLYLHRTPTRIIVLIIFIRVWDQQHTRNLTRWRSAWTHFGCVVTTLMRVDKRANYELTNEDVLAQFSVEYSTENCTLVDMGDFYVQKNHLTCLLSEDEFVNDDVSTTTYDEATLEFNCQYIL >ONIVA07G10270.1 pep chromosome:AWHD00000000:7:10157823:10160948:1 gene:ONIVA07G10270 transcript:ONIVA07G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKTNPPLLLLLSSFLVRFLQFASRLGLWFLKIHGVDDVVERREIACIQDVEVPILLTLDSTLVASSSQPPPPLPPPPPPRTPQHQISHHDPQLDVSHTADLGHRHWTPKMDFPKFDGNGVCGDYGYPIPTRHGYPTSYRG >ONIVA07G10260.1 pep chromosome:AWHD00000000:7:10149112:10149672:1 gene:ONIVA07G10260 transcript:ONIVA07G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLERVPQQPPHSPHHRRRGGSRRRRSWSTASSTPWRAPAAAELAHHAAAHGETGGGGGSNDEDGAADDRIDANFFGDARDEEPEPEPAEDDAAPARRRPSSPEQEPAGQLLALQRAAAAKEAKKRKKAGARPPARRQDAGKRRGGKLATAGEARPIEIRREWATRIQELELRVKQLVVKHHHHPQ >ONIVA07G10250.1 pep chromosome:AWHD00000000:7:10146103:10148563:-1 gene:ONIVA07G10250 transcript:ONIVA07G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22800) TAIR;Acc:AT1G22800] MATGLAAATARRHLLHLPRHRWAYLSSSAATTEGLDGGDGSGGGRVKIFDRDLKRRHRDRAAWAMGETDALADAVADNLLDRLEDCRKAFPSALCLGGSAGAVRRSLRGRGGIEKLIMMDMSADMVRKWQEMENATDDGLETHFVVGDEEYLPIKESSQDTIISCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDRYTVKYNSALELVEHLRVMGETNALFQRNPILKKDTALATAAIYQSMFGLEDGTVPATFQVIYMTGWKEHPSQQKAKRRGSATLSFSDLQKQFGSNQN >ONIVA07G10250.2 pep chromosome:AWHD00000000:7:10146103:10147345:-1 gene:ONIVA07G10250 transcript:ONIVA07G10250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22800) TAIR;Acc:AT1G22800] MASAYPNYPLTCPFPDAIIRELRIACTIAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDRYTVKYNSALELVEHLRVMGETNALFQRNPILKKDTALATAAIYQSMFGLEDGTVPATFQVIYMTGWKEHPSQQKAKRRGSATLSFSDLQKQFGSNQN >ONIVA07G10250.3 pep chromosome:AWHD00000000:7:10147382:10148563:-1 gene:ONIVA07G10250 transcript:ONIVA07G10250.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22800) TAIR;Acc:AT1G22800] MATGLAAATARRHLLHLPRHRWAYLSSSAATTEGLDGGDGSGGGRVKIFDRDLKRRHRDRAAWAMGETDALADAVADNLLDRLEDCRKAFPSALCLGGSAGAVRRSLRGRGGIEKLIMMDMSADMVRKWQEMENATDDGLETHFVVGDEEYLPIKESSQDTIISCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLK >ONIVA07G10250.4 pep chromosome:AWHD00000000:7:10147382:10148563:-1 gene:ONIVA07G10250 transcript:ONIVA07G10250.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22800) TAIR;Acc:AT1G22800] MATGLAAATARRHLLHLPRHRWAYLSSSAATTEGLDGGDGSGGGRVKIFDRDLKRRHRDRAAWAMGETDALADAVADNLLDRLEDCRKAFPSALCLGGSAGAVRRSLRGRGGIEKLIMMDMSADMVRKWQEMENATDDGLETHFVVGDEEYLPIKERLALKPDGLFLAAILGGETLK >ONIVA07G10240.1 pep chromosome:AWHD00000000:7:10138024:10144979:1 gene:ONIVA07G10240 transcript:ONIVA07G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSLAAANHPLLPSKPLSSPKPLTRARPLSRALHAGAAAAARGASSSSSQAELRPDSKNAPALSAELRRLARVGRLPSALALLDHLSHRGVPATASAFAALLTACRSLAHARQVHAHLRVHGLDSNEFLLARLVELYLALGAREDARKVLDGLPRASSFSWNALLHGHVRRGRGQAGGAVADGFAEMRAAGADANEYTYGCVLKSISGSAAPSMAMATATHAMLIKNAYAGAPRMLMTGLMDLYFKCGKVKPAVMVFEEMPERDVVAWGAVIAGFAHKGMKREALEHFRWMVEDGIKVNSVVLTSVVPVIGELRARNLGREIHGFVLKKFQHRKDVGNIQAGLVDMYCKCGDMVSGRRVFYSAKKRNVVSWTALMSGYACNGRPDQALRCILWMQQEGIRPDLIAVGTVLPVCTKLKALREGKELHAYALRRWFLPNVSLCTSLITMYGTCSHSDYSQRVFHVMDKKTVQAWTALVDAYLKNGDPSTAVDVFRSMLLSNRRPDAVAISRMLSACRDIGASKLGKELHGQALKLRMEPLPLVAAGLVSMYGTCGDLKAAQRVFNRIESKGSLTCTAIIEAYAINHRHKEALELFAWMLSNRFVPNNGTFDVLLRICEAAGLHDEALQIFNSMVQEYNLEASEHNFDCIIRLLTAADRTSEAHRNERERGREINSRFFVLAFGMCLHTKLKFAYSCLLPKIFGRNSVKIGLNLIHSFKKASYVLMGCLCSKGAKDDTDATSENKTPSRNSAAASAKTATGTNDGNSAVIDGQLKENASKLQLGDGEKAIVALDVRTGSGNNAELKGLSGEQVAAGWPAWLANVAPKAVEGWVPRRADSFEKLDKIGQGTYSIVYKARDLETGNIVALKKVRFVNMDPESVRFMAREIKILRTLDHPNVIKLQGIVTSRVSQSLYLVFEYMEHDLSGLIATPGLKPSEPQIKCFVQQLLHGLDHCHKNGVLHRDIKGSNLLIDNNGVLKIADFGLAISYDPKNPQPLTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCIVAELFAGKPIMPGRTEVEQIHKIFKLCGSPMDDYCKKSKVPETAMFKPQHQYRRCVAETFKVLPTSAVVLIDSLLSLDPEARGTAASALQSDFFTKEPFACDPSSLPKLPPSKEYDVRLRQEEARRQKTAALAGQGAESVRPENENRVTNHTISGVNGELKQQTHTSSKSNSEAFNQEDSVPGFRVESRERPTAVQLPGYSSTWNNMEGDNDQRTVPGRGCCSVRVANPCGIRKKGSSHSLIPQFGATDLRSTVEATDHNDSPDRHDENKNPEVKDAMNHGRKYRRIHHSGPLMPPGGNVEDMLKEHERQIQEAVRKARVSKPDR >ONIVA07G10230.1 pep chromosome:AWHD00000000:7:10117313:10124672:-1 gene:ONIVA07G10230 transcript:ONIVA07G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0HZR2] MEKRRCRRVAFLRRRRQRRPLRRAPSSSLQQLGQLLFFVADTNHAGTEPRQHRKASTCRYGGKAVNNSGGIRGTVSMEGQGLVSRSWQESKLLWRVAFPAVLVELLQFSIGFVTASFVGHLGVVELAAVTAVESILEGFAYGVLFGMGCALDTLCGQAVGAGRLDVLGLYVQQSWIVCGATAVALTPTYAFAEPILRSLLRQPADVAAVAGPYALWSLPRLFAHAANFPLQKFFQTQSRVWALAAISAAVLAVHAALTYAAVVRLRYGLRGAAVAGNLSYWLIDAAQFVYLVSGRFPDAWKGFTMTAFSNLAAFVKLSLVSAIMICLEFWYYAALLILVGLLKNARLQLDIMSICINYQFWTMMVAMGFSEAISVRVSNELGARRPKEAKFSVAVASLTSAMIGAIFMSIFFIWRTRLPSLFSDDKEVVDGAARLGYLLAVTVFFGNIGPVLSGVAVGAGWQILVAFVNIGCYYLVGIPFGVLFGFKLKLGALGIWMGMLTGTLLQMAILFFIIMRTKWEAQAILAEKRISELGETTAND >ONIVA07G10230.2 pep chromosome:AWHD00000000:7:10117313:10124672:-1 gene:ONIVA07G10230 transcript:ONIVA07G10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0HZR2] MEKRRCRRVAFLRRRRQRRPLRRAPSSSLQQLDGGKAVNNSGGIRGTVSMEGQGLVSRSWQESKLLWRVAFPAVLVELLQFSIGFVTASFVGHLGVVELAAVTAVESILEGFAYGVLFGMGCALDTLCGQAVGAGRLDVLGLYVQQSWIVCGATAVALTPTYAFAEPILRSLLRQPADVAAVAGPYALWSLPRLFAHAANFPLQKFFQTQSRVWALAAISAAVLAVHAALTYAAVVRLRYGLRGAAVAGNLSYWLIDAAQFVYLVSGRFPDAWKGFTMTAFSNLAAFVKLSLVSAIMICLEFWYYAALLILVGLLKNARLQLDIMSICINYQFWTMMVAMGFSEAISVRVSNELGARRPKEAKFSVAVASLTSAMIGAIFMSIFFIWRTRLPSLFSDDKEVVDGAARLGYLLAVTVFFGNIGPVLSGVAVGAGWQILVAFVNIGCYYLVGIPFGVLFGFKLKLGALGIWMGMLTGTLLQMAILFFIIMRTKWEAQAILAEKRISELGETTAND >ONIVA07G10220.1 pep chromosome:AWHD00000000:7:10083029:10085170:-1 gene:ONIVA07G10220 transcript:ONIVA07G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECGSGNCDAWAARDPSGILSPYKFNRRAVQSDDVSLRITHCGVCYADVAWTRNILNNSMYPLVPGHEIAGVVTEVGADVKSFKVGDHVGVGTYVNSCRDCENCNSSLENYCSQHVFTFNGVDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLEKAAPLLCAGITVYSPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVISTSESKRKEAIDLLGADNFVVSSDENQMETLKSSLNFIIDTASGDHPFDPYLTLLKVGGVMALLSFPSEIKVHPANLNLGGRSLSGSVTGGTKDIQEMINFCAANKIYPDIEMIKIDYINEALQRLVDRDVRFRFVIDIENSFK >ONIVA07G10210.1 pep chromosome:AWHD00000000:7:10072548:10075136:1 gene:ONIVA07G10210 transcript:ONIVA07G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDDGGDPSFLPIPLLSRVTTLPPPAPSSPPHPIPPLSFRHLSPCARWSAWVATALRDPAFAPLLRSAGIADAVGASGATVNPDRGALAALLSFWDPASHAFRLPAGAATFSLEDALLLAGLPPTGAPLDRPLTPEEDDLRIRLVIEKEKIRELHPCARDARRVSAELWLEWFDSSIRPGEDDELRRLGFLAYWLAFFVTPRLRPRSGELPDCTFALAARLSLGERIALGPAMVANLYADMDRIVASGVMEGVSGRVETWGPLLLLQVWIWERFDCLRPPPLKAPPFPVSNARVHLWSRRKRTTTSEEAQQVFQDEACFLWRPYQYNSLNWTQPEWFNEKTTTASSESKHKPKWLDDYSAMITQAVLTGWFGDGMANSVMYNPHLVARQFGYDQDFPVSIIHGSDSSGIEVWVPSIGRHGVASKDYAAWWNARFERHQEGNQHGCGMMLNKENKTSALPLNTGLISVVQMAVDHFRKGTKQENSKCMTKRQLTQLGNVAPNNEWNQVVLGLSAYDFDRSQNAVKRKDAIKKIRDKSTDVNRKKKKNKVFANEGGECPQFYDWVPLTVSNNENNSLQLDVQERSGPQEDSNSSSKRCDELAQLNNDECIVLEPPAKNCEVINLDDEEEQSVPNPKHHDRQLVLELEEFVRSGLLSQREECSDEDEEDRRNRDILKDNKDDPFSEAARREYPLFFEFIPQKPHYRGLLNNDEALGDLAYSGLWFLLVGLAKEVLKTSCDTDASEIVCLMKKAQELEQLGFNVKHLIARLKEPQSRLRLLQDSITRLEDARKKEHEANRLQSLSSHLSKLKHNIQTMEWHLDAKNQASSSSIFSLEKEVEAAEKYCQAMKDEVVALKMNHSNL >ONIVA07G10200.1 pep chromosome:AWHD00000000:7:10061612:10062211:-1 gene:ONIVA07G10200 transcript:ONIVA07G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIRSFLLAALAVVVAAATAAAAGLPPLPSTMPADVPQPEIPPCLNDLMPCASVYDDSSMLGPCCDALGKVFKSDRACLCQIWEMARNDTRQVGSNALDGDQQMFARCKIPGASSTICDNGQAGN >ONIVA07G10190.1 pep chromosome:AWHD00000000:7:10049960:10050427:-1 gene:ONIVA07G10190 transcript:ONIVA07G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIGPFLLAAMAVAAAVAAASETATTVPVAALPPLPALPVTAAASTLQPGTASCMDDLMPCATVSDDPTMLTPCCEAVAEVLKSDPECLCKVAEMSRNNTRKLASVSNNLDSDQQLFAQCKITGVSSDVCHKDKGHQGGAFLLWIKIKCEHVKR >ONIVA07G10180.1 pep chromosome:AWHD00000000:7:10038646:10039012:1 gene:ONIVA07G10180 transcript:ONIVA07G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGELALVGRFAWSSRASTLSALCFSCVGKVPGSVELVHETSATQPVDGVWGGVGAAWLVGFLAFLWVCWGKSELLVGDKLGNDNPFPLSMVVLW >ONIVA07G10170.1 pep chromosome:AWHD00000000:7:10030042:10030416:1 gene:ONIVA07G10170 transcript:ONIVA07G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLCSSSRFDLVFEMKGRWRREGAAAMAMRLNEAVWHATGGSVWRRRQEMAFLWADCESGYDGPAAVSPFSSSKSGNGSSDGEGGGWWPGRRWRWSSPKDLRCQIRRLAARSMTSSMRGEVRN >ONIVA07G10160.1 pep chromosome:AWHD00000000:7:9999001:10001028:-1 gene:ONIVA07G10160 transcript:ONIVA07G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGREWRRRCVEQSSGGERRIPACVEAGRGGGIELVLPSGRGVEASGGGSELVVPGGGGVDVILPGGSGVDVVLPRGESNKSICRRYAIDSEHPGVAWGSRSVTICAASAYGAGNSVAYHRGACFANDIVIVIWLSGPGGGFKNTPMEGLLVPVFKKQMQFWGWDNPMINGCKLENGLPSIMLTGFSTGCFDKFTSFFI >ONIVA07G10150.1 pep chromosome:AWHD00000000:7:9996158:9997341:1 gene:ONIVA07G10150 transcript:ONIVA07G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEATVGATATAIDQLGEGGDAADGAPGSSSLDAGAKSSSSLGAATDQSGEDGDTTDAALGSSLPVEHERKKSLAKSDKWAEKVNEAEETGGKARVDELEQRP >ONIVA07G10140.1 pep chromosome:AWHD00000000:7:9982874:9990600:-1 gene:ONIVA07G10140 transcript:ONIVA07G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSSRQAMGEINIEAMARPVSVDHRISIAYYFRIADNLLRQANIYREEKNLVDLYIILLRYSSLLCETIPKHRDYHAFKLREKEFLKKGPHNSDKLLNVVNELETLKPVVLRQIADLNRGVTQEPNSQSGTSAAISQMDHLTQSSCFTQPLVGSPTGLLKMPFSGGKHQVEPTQSGRPDSQLVKSYGNLPYPKEETLSRHSVLGPNGLHGKWTSPVTGIRIQYPSNAELTKSDVSSLVPSILNQDLLYGPSRSQDFPSNKNEDMQSVLSLDDGRLSLPVEEATSVSPGFEEEFSQLNIRQPSPPPVMAQVHPQHRAISTSTVADLGPGIASSSTGRYQNLHVPVTLMECFLRVAEANTANNLETCGILAGTLCQATNEEEIFEVQDKGSLFTLGWIHTHPTQTCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTTRKHGIFHLTDPGGMGVIHDCQESGFHPHEEPLDGTSIYEHCSHVYMNPNVKFDMVDLREL >ONIVA07G10130.1 pep chromosome:AWHD00000000:7:9955972:9957245:-1 gene:ONIVA07G10130 transcript:ONIVA07G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRQKALKAEVSAEKEAERFAQEMAKATESAKTACRTLRLALTDMGARVRGVPGEDAYAFDFSEWTQLAGGAVSDCATAYGNCCARVSVAFTMGLLQQFGCEYVAEFPNFAKEDWEMFCPRFVPSASSSGKRTADPLPMRVCLNNWRRQRRRIREKRQWPKMVEEMPKITQRVFAGNCVFGPPLGIGEVAGWMRVHPGRNLDDYDRVHVERLEDMRTDRQEAIAQRRYSIVCVSPPSPLRVVYDISSDDEPSSPSRFSGSGGCFGGEDVIFL >ONIVA07G10120.1 pep chromosome:AWHD00000000:7:9923385:9925204:1 gene:ONIVA07G10120 transcript:ONIVA07G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPPTTNRVKRPWQRAIEREDGGRTNSLHARRIWQRGMNWGVTWGGSGRQLRSPCFVDLGTASVFGILRKKNSQGLPQAEPNPKGQATVDLQSVWAMTPFSKMDTNLRCQSYPAARRNDTS >ONIVA07G10120.2 pep chromosome:AWHD00000000:7:9923560:9925204:1 gene:ONIVA07G10120 transcript:ONIVA07G10120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANWRIGDWRLASGESDVGLHRFGLGDSVHGSGRSKERMGAARIRCMRAGSGSGEYLGSSIQVPPSSIQAFDTCYLSRGMNWGVTWGGSGRQLRSPCFVDLGTASVFGILRKKNSQGLPQAEPNPKGQATVDLQSVWAMTPFSKMDTNLRCQSYPAARRNDTS >ONIVA07G10120.3 pep chromosome:AWHD00000000:7:9923385:9925204:1 gene:ONIVA07G10120 transcript:ONIVA07G10120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPPTTNRVKGSGLVTASMAAGDRKRGWGPHEFVACAPDLAAGHELGRYLGRKWAAAEVAVLRRPWHGIGQATVDLQSVWAMTPFSKMDTNLRCQSYPAARRNAVVGIHTPL >ONIVA07G10120.4 pep chromosome:AWHD00000000:7:9923287:9925204:1 gene:ONIVA07G10120 transcript:ONIVA07G10120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDRKRGWGPHEFVACAPDLAAGHELGRYLGRKWAAAEVAVLRRPWHGIGQATVDLQSVWAMTPFSKMDTNLRCQSYPAARRNDTS >ONIVA07G10120.5 pep chromosome:AWHD00000000:7:9923287:9924510:1 gene:ONIVA07G10120 transcript:ONIVA07G10120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDRKRGWGPHEFVACAPDLAAGHELGRYLGRKWAAAEVAVLRRPWHGIGQATVDLQSVWAMTPFSKMDTNLRCQSYPAARRNVLYLTF >ONIVA07G10120.6 pep chromosome:AWHD00000000:7:9923560:9923932:1 gene:ONIVA07G10120 transcript:ONIVA07G10120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANWRIGDWRLASGESDVGLHRFGLGDSVHGSGRSKERMGAARIRCMRAGSGSGEYLGSSIQVPPSSIQAFDTCYLSRGMNWGVTWGGSGRQLRSPCFVDLGTASVFGILRKKNRFAY >ONIVA07G10110.1 pep chromosome:AWHD00000000:7:9921223:9923495:-1 gene:ONIVA07G10110 transcript:ONIVA07G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYGSLRRRRWAGAVRCATKLQGLQYHLNIIGWQQDLPSHKWGDLNEDITLFRKQIKRSSLPGNKAKIPKTVTTMLKVWVQSSSPHFISLSLKQLQSVLKKDEPLESECFNMAICKFMYEKIQTIHKTKEAISNHCLDLQFWNATGFGKDPVHHDNVDLAKTISSWSKIHYKLSQCKSACPGSRWNEDINLWRQIFVNDPVLDRMVTS >ONIVA07G10110.2 pep chromosome:AWHD00000000:7:9921697:9923495:-1 gene:ONIVA07G10110 transcript:ONIVA07G10110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYGSLRRRRWAGAVRCATKLQGLQYHLNIIGWQQDLPSHKWGDLNEDITLFRKQIKRSSLPGNKAKIPKTVTTMLKVWVQSSSPHFISLSLKQLQSVLKKDEPLESECFNMAICKFMYEKIQTIHKTKEAISNHCLDLQFWNATGFGKDPVHHDNVDLAKTISSWSKIHYKLSQCKSYAMLEALSWS >ONIVA07G10100.1 pep chromosome:AWHD00000000:7:9911562:9919033:1 gene:ONIVA07G10100 transcript:ONIVA07G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKDCFFDARKKKKEIDRGFAGNLVADIQQKIIPGVTHWQSPNYFAYYPSNSSTAGFLGEMLSAAFNIVGFSWITSPAATELEVIVLDWFAKMLQLPSQFLSTALGGGVIQGTASEAVLVALLAARDRALKKHGKHSLEKLVVYASDQTHSALQKACQIAGIFSENVRVVIADCNKNYAVAPEAVSEALSIDLSSGLIPFFICATVGTTSSSAVDPLPELGQIAKSNDMWFHIDAAYAGSACICPEYRHHLNGVEEADSFNMNAHKWFLTNFDCSLLWVKDRSFLIQSLSTNPEFLKNKASQANSVVDFKDWQIPLGRRFRSLKLWMVLRLYGVDNLQSYIRKHIHLAEHFEQLLLSDSRFEVVTPRTFSLVCFRLVPPTSDHENGRKLNYDMMDGVNSSGKIFLSHTVLSGKFVLRFAVGAPLTEERHVDAAWKLLQDEATKVLGKMV >ONIVA07G10090.1 pep chromosome:AWHD00000000:7:9900033:9902033:1 gene:ONIVA07G10090 transcript:ONIVA07G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGRCLSPPPWMRLLRRRMGHTEGRGSERSVILVVGIVAVQPRPPANSEKARATGNGDGEFGGRRWRRRQSASFLAHRSRPDGKPASSEDGDGRDIPYESKDNEGEGSARLGRVVFKAGYHGNGNKVRSGLACDPIWRYNGMKDGGGEDGTARTVGLLP >ONIVA07G10080.1 pep chromosome:AWHD00000000:7:9879727:9880693:1 gene:ONIVA07G10080 transcript:ONIVA07G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAADAGGDADVAVAAAATETRQPFTALSRLTPAITLARVLQVSEGNNYGSSDVGSYEYDYEDEEDYKEELRVPGNKSGSNMGARGSSHPTPLHPHREEKEGREKKRGAEEEEDVPPSPPFLEPPLHGTNV >ONIVA07G10070.1 pep chromosome:AWHD00000000:7:9856705:9858442:-1 gene:ONIVA07G10070 transcript:ONIVA07G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HZP0] MAARVVWVNGPIVVGAGPAGLSVAACLRERGVPSVLLERADCIASLWQRRTYDRLRLHLPKHFCELPGMPFPDGYPEYPDRRQFVDYLQAYAARAGVEPRFNQSVTSARYDDAAGLWRVRAEDVSVDAAGDVTEYIGRWLVVATGENAERVVPEIDGADDFEGPVSHVAEYKSGAAYRGKRVLVVGCGNSGMEVCLDLCHHNALPAMVVRDSKVHVLPREMLGVATFSVAVFLLRFLPLWVVDRILVVLAWLFLGDLAKIGITRPSRGPLELKNTRGRTPVLDIGALARIRSGDIEVVPGIRRLLRGGAELVDGRRVPADAVILATGYQSNVPQWLKGSDFFTQEGYPRVPFPDGWKGESGLYSVGFTRRGLSGVSSDAVKVAQDIAMAWNHQTATTR >ONIVA07G10060.1 pep chromosome:AWHD00000000:7:9844189:9855917:1 gene:ONIVA07G10060 transcript:ONIVA07G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPPAVAARLAAVRADPAAVPLPFFNSLLSALASSHAHLPLHLFRRLLLPRRRPDAFTLSSLAASLLPPAHSPSASITAAAAAAGCLHAFSLRLGLLRADPVLANSFLLLYLRAASPGLARRLFDEMPARTASTYNTLISHSPPGVDVWPVVRHMVEDGCVPDRFTVSSILPACESELRGRELHCFALKSGMCGAGDFHVGSSLVSMYFRVGQPGHARRVFDGMEQRNVVSWTAMVGGFTESGMFEDAVDAFRAMWVIGAVLPNRIALISVLSAVEALTDLAAGKQVHGFAVRMGLSGEVSLNNALIVMYVKCGVLWYARQIFDDGRWCKDVISWCSMIQGYGLHGKGAEAVALFDQMHISGVKPDSITGLGVLSACSRAGLVFKGLEIYNSLVKDYGVHPTEEMSACIVDLLGRSGMINQALDFIKSMSIEPGPSVWGALLDASIVHNNKEIQDLSCRYLLRLEEGNPSNLVSVSNLHAFEGSWNIVEHVRAKIKQGALKKIPVTEETKHQLPQAGLPTSREHHGAPNFRNKSVGQSSRSQVSELMKECVPTAKGTPSEH >ONIVA07G10060.2 pep chromosome:AWHD00000000:7:9848146:9855917:1 gene:ONIVA07G10060 transcript:ONIVA07G10060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSRGWDPPRHCWIQKYALEQEVSILAAGPAGGPPAAPQHRGQPAGGTVAPGAARFHCTAKAGQRRASGACRRVGSCGCHMGKASRAGKRRGPRRHLWALLRDSDGSCWGRDPHLFLLAWPRGLGGAGASPSWDVALAASEIAGMGHRGWKKQDGGKDGRIISFSVILFWCP >ONIVA07G10060.3 pep chromosome:AWHD00000000:7:9848146:9855917:1 gene:ONIVA07G10060 transcript:ONIVA07G10060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNSLSPVPHCARLPWLHCPTGLSPGCSRSGLAHSEAQDDRLWLQIRWPNHGRPASTSVACGRPASELASTMAVVGNQHMGFKVKEKIEDRVESEKIVIFDAQTDVRVETGSQMAMKAGYIYTVPFLWTGLLYSKEPWMVAVTQVSYCSGS >ONIVA07G10060.4 pep chromosome:AWHD00000000:7:9848146:9853984:1 gene:ONIVA07G10060 transcript:ONIVA07G10060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNSLSPVPHCARLPWLHCPTGLSPGCSRSGLAHSEAQDDRLWLQIRWPNHGRPASTSVACGRPASELASTMAVVGNQHMGFKVKEKIEDRVESEKIVIFDAQTDVRVETGSQMAMKAGYIYTVPFLWTGLLYSKEEANVAMVAMYIKTLNQFNPKHENLTRVSYTIAGP >ONIVA07G10060.5 pep chromosome:AWHD00000000:7:9848146:9853736:1 gene:ONIVA07G10060 transcript:ONIVA07G10060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNSLSPVPHCARLPWLHCPTGLSPGCSRSGLAHSEAQDDRLWLQIRWPNHGRPASTSVACGRPASELASTMAVVGNQHMGFKVKEKIEDRVESEKIVIFDAQTDVRVETGSQMAMKAGYIYTVPFLWTGLLYSKEPWMVAVTQVSYCSGS >ONIVA07G10060.6 pep chromosome:AWHD00000000:7:9844189:9847913:1 gene:ONIVA07G10060 transcript:ONIVA07G10060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPPAVAARLAAVRADPAAVPLPFFNSLLSALASSHAHLPLHLFRRLLLPRRRPDAFTLSSLAASLLPPAHSPSASITAAAAAAGCLHAFSLRLGLLRADPVLANSFLLLYLRAASPGLARRLFDEMPARTASTYNTLISHSPPGVDVWPVVRHMVEDGCVPDRFTVSSILPACESELRGRELHCFALKSGMCGAGDFHVGSSLVSMYFRVGQPGHARRVFDGMEQRNVVSWTAMVGGFTESGMFEDAVDAFRAMWVIGAVLPNRIALISVLSAVEALTDLAAGKQVHGFAVRMGLSGEVSLNNALIVMYVKCGVLWYARQIFDDGRWCKDVISWCSMIQGYGLHGKGAEAVALFDQMHISGVKPDSITGLGVLSACSRAGLVFKGLEIYNSLVKDYGVHPTEEMSACIVDLLGRSGMINQALDFIKSMSIEPGPSVWGALLDASIVHNNKEIQDLSCRYLLRLEEGNPSNLVSVSNLHAFEGSWNIVEHVRAKIKQGALKKIPVTEETKHQLPQAGLPTSSKRDT >ONIVA07G10060.7 pep chromosome:AWHD00000000:7:9844189:9847913:1 gene:ONIVA07G10060 transcript:ONIVA07G10060.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPPAVAARLAAVRADPAAVPLPFFNSLLSALASSHAHLPLHLFRRLLLPRRRPDAFTLSSLAASLLPPAHSPSASITAAAAAAGCLHAFSLRLGLLRADPVLANSFLLLYLRAASPGLARRLFDEMPARTASTYNTLISHSPPGVDVWPVVRHMVEDGCVPDRFTVSSILPACESELRGRELHCFALKSGMCGAGDFHVGSSLVSMYFRVGQPGHARRVFDGMEQRNVVSWTAMVGGFTESGMFEDAVDAFRAMWVIGAVLPNRIALISVLSAVEALTDLAAGKQVHGFAVRMGLSGEVSLNNALIVMYVKCGVLWYARQIFDDGRWCKDVISWCSMIQGYGLHGKGAEAVALFDQMHISGVKPDSITGLGVLSACSRAGLVFKGLEIYNSLVKDYGVHPTEEMSACIVDLLGRSGMINQALDFIKSMSIEPGPSVWGALLDASIVHNNKEIQDLSCRYLLRLEEGNPSNLVSVSNLHAFEGSWNIVEHVRAKIKQGALKKIPENITEHQTSETRALANKRDT >ONIVA07G10050.1 pep chromosome:AWHD00000000:7:9840463:9842943:1 gene:ONIVA07G10050 transcript:ONIVA07G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQAAAVVVVSGKATDPVRGGLKQIRRGRGCDKFVAAVVGLAAAPTGPLAEASLVAAWQQERSGGGILELMEQEIGDGGAGDLLRRQSSSTSLAFPSVRTAVELELLGDVEFGGGDEAWGRVWLRRDPPLLRGAASSTAIAALFASNCAERLPPTTASATLAAPQPSQPLHIAAATVAAALAAAPCRRQWSRGRETQQREEKERERDNMDLAHIILWADLDPTCQKPR >ONIVA07G10040.1 pep chromosome:AWHD00000000:7:9838249:9838786:-1 gene:ONIVA07G10040 transcript:ONIVA07G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGHMRCATAWCSARHSSELIEELAAAAVIPGGGIGAGLWQRRLLAEEEALRAPEEETFPGGFLRSVAVPDEGIGVELRRRRFPAEESALRAPKAATFPGEAGGTVASSWRGPCPHTAPPLSSPRVLRPLVTPPLADRLACRRREKGEGVEER >ONIVA07G10030.1 pep chromosome:AWHD00000000:7:9829745:9831146:-1 gene:ONIVA07G10030 transcript:ONIVA07G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGSQQESSDLHVHHLPIASVIGVEDHTEEADDAAVDYHDDGSEGQDEVSNKRMKRHTDDQIKHLESVFERCTYLGGNQRVELAKKLGMEERQVKFWFQNRRTRKKMHDERQEGMWLQEENDVLHAENKVLKEAIWANICFTCGSPVVPAIPTVHHRYLSFQNMRLADELQHATAVFNMVAQDADVGLPPVFPLTDVSPLPQFGFVTGNNQTSLVIDPPSPSHSENQVSTESANSTSTCPRLFILSGRT >ONIVA07G10020.1 pep chromosome:AWHD00000000:7:9823876:9826953:-1 gene:ONIVA07G10020 transcript:ONIVA07G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVSPTTPGPCAARRPHRPSCTATTCIGSPRRRWRLARFQDSAAAAPPAGRLTRPPPPPSPSSSQPLPVPAPRTTAERLGSLREMRRVWWVCGLGYWVQGFRCFPWLALNFHLTRGLGLTPAALQLVQNAGNLPLVAKPLFGVLSDAVYVGRAHRLPYISIGALLQLMAWGTLAVIPVTGDTFPTQMACILIGNLGASVTEVVSDAVVTEFSRTQKAGVLQSYAFIVLAAGSLLGNLSGGYVLLRTQEPKTMFSAFSILLGLQLALSLSTKETLPSSHRNWNIRHVRTSLSDNLRKQFSNLRTAISEEQIFYPLMWIMTSFAVVPILSGTMFCFQTQHLKLDPSVIGLSKVVGQVMVLSLTVLYNKYLKKIPLRRLVAGVQTMYALAVLSDLVLVKQVNLMLGIPNEIHVLCFSALAEAIAQFKVLPFSVLLSSQCPPGCEGSLFAFFTSGLVFSAIVSGVFGVGLSSLIGVSGGDYTSFPLCILLQSLAALLPLGWISFLPEKWTADDKILKPR >ONIVA07G10010.1 pep chromosome:AWHD00000000:7:9814248:9818142:1 gene:ONIVA07G10010 transcript:ONIVA07G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAQAKTREGASYRLSGYLYAIANTVRNTLHLHGAPSDPTLADSAAMTTSTAARKAFADLLAKLATVDVSSSSKPPLPATDITPPELATLFAIARNFAGRARASPATHLYLPASTSHMVCTNSDAINIGVPPAGPTASAGSRGATAVFLNTLNAAFLGMSRAPHAAPATSPAYLPPNLDDEATASLHAQAVTVLNIKALIPVTLNIYASNYSHWRRSHPRHARQKELQVGSKVVKFAKGGSKCVVFASESART >ONIVA07G10000.1 pep chromosome:AWHD00000000:7:9786284:9791004:-1 gene:ONIVA07G10000 transcript:ONIVA07G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HZM7] MEASAGLVAGSHNRNELVVIRRDGDPGPKPLRQQNGQVCQICGDDVGLNPDGEPFVACNECAFPVTSAARARRTAPSCARVPGDEEEDGVDDLENEFNWRDRNDSQYVAESMLHAHMSYGRGGVDVNGVPQPFQPNPNVPLLTDGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDGDLPLMDEARQPLSRKVPIPSSQINPYRMVIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQTSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVAPYFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGDRKSKKKTTKPKTEKKKRSFFKRAENQSPAYALGEIEEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKLPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >ONIVA07G10000.2 pep chromosome:AWHD00000000:7:9786284:9791004:-1 gene:ONIVA07G10000 transcript:ONIVA07G10000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HZM7] MEASAGLVAGSHNRNELVVIRRDGDPGPKPLRQQNGQVCQICGDDVGLNPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLRGKSHPQCRIGGNLPPPPPSSPNCSLDSPLIDIAGCARVPGDEEEDGVDDLENEFNWRDRNDSQYVAESMLHAHMSYGRGGVDVNGVPQPFQPNPNVPLLTDGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDGDLPLMDEARQPLSRKVPIPSSQINPYRMVIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQTSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVAPYFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGDRKSKKKTTKPKTEKKKRSFFKRAENQSPAYALGEIEEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKLPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >ONIVA07G10000.3 pep chromosome:AWHD00000000:7:9786284:9791004:-1 gene:ONIVA07G10000 transcript:ONIVA07G10000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HZM7] MEASAGLVAGSHNRNELVVIRRDGDPGPKPLRQQNGQVCQICGDDVGLNPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLRGKSHPQCRIGGNLPPPPPSSPNCSLDSPLIDIAGCARVPGDEEEDGVDDLENEFNWRDRNDSQYVAESMLHAHMSYGRGGVDVNGVPQPFQPNPNVPLLTDGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDGDLPLMDEARQPLSRKVPIPSSQINPYRMVIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQTSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVAPYFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGDRKSKKKTTKPKTEKKKRSFFKRAENQSPAYALGEIEEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKLPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >ONIVA07G09990.1 pep chromosome:AWHD00000000:7:9773381:9773578:1 gene:ONIVA07G09990 transcript:ONIVA07G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFTFRSPALRPVEVDLDDGHPVGALGMIVLFYDLDAKEAVKNELLAVNQIMKHSPKLAQMMLD >ONIVA07G09980.1 pep chromosome:AWHD00000000:7:9725606:9726226:1 gene:ONIVA07G09980 transcript:ONIVA07G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAATATATGMAPLADPAVEGFRIPFLPSDSDLLDCLLRPKIASGRVDSRFAPLVHDVADVFALPPGQLAATHAPAPGAGGAEAWYFFGARPRARAGSKKAAARAVGGGGGGKRWCSVCAKKVVDGGGYCQRFRYKERMASGVVAPRWVMVEYGVAEEPGVEGVAELVLCKIFRSPEPSPRSESGSPSSASPSCSGGRKRKVAE >ONIVA07G09970.1 pep chromosome:AWHD00000000:7:9694426:9700278:1 gene:ONIVA07G09970 transcript:ONIVA07G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRGAPSGIGLWAALLVAAAVLAAGGGAAAAAAKEEAYVTLLYGDEFVLGVRVLGKSIRDTDTSRDLVVLVSDGVSEYSRKLLEVRPTRFWGVYTKLKIFNMTSYKKVAYLDADTIVVKSIEDIFNCGKFCANLKHSERMNSGVMVVEPSETLFNDMMDKVNSLPSYTGGDQGFLNSYYADFANSRVYEPNKPTTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVAVWQDIRKNLEESLPGTGGGRNPHDQSVVKILFSLPLFMLICGYYGSCFQTNKELLCIRSLCAFARRARYKYKSEEALPSYSTIGAASSSFGISHQKSHNGAHLKLPSYFGAITVLVCFISALISLAFAFIIIPRQVMPWTGLLLMYEWTSVTFFLLFGSYLRVVYNWGSSSANHVGHNNLDSSENHAGAGHQRNTSDCDTDAAFYWSGMAILSSIALLSPTVLGITALFAKLGLMVAGGVVLASFMTYASEHLAISAFVKGQRDRNASRGSICFMC >ONIVA07G09960.1 pep chromosome:AWHD00000000:7:9692685:9693303:1 gene:ONIVA07G09960 transcript:ONIVA07G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L16 [Source:Projected from Arabidopsis thaliana (ATCG00790) TAIR;Acc:ATCG00790] MKGKSYRGNCICFGRYALQALEPTWITARQIEAGRRAMTRYVRRGGKIWVRIFSDKPVTIRPTETRMGSGKGSTEYWVAVIKPGRILYEMGGVSETVARVAISIAASKMPIRNNSGAQKLMCIQVIGAASNQRYARTGDVIGLFDRVVL >ONIVA07G09950.1 pep chromosome:AWHD00000000:7:9677319:9677726:-1 gene:ONIVA07G09950 transcript:ONIVA07G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSARSCRDSWRHHLARDVYHRPFTARDDDELLRLHYRLGDRWKEIGRAVYGRTSRVMKHRWRELRRGGFLAAAARKEQAIDMVESEVEESADQSLPAPELQPPLSRTLLPRALVIAALPMSTRWIPWPAASR >ONIVA07G09940.1 pep chromosome:AWHD00000000:7:9667721:9667921:1 gene:ONIVA07G09940 transcript:ONIVA07G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGEPVQPPRLEDAGLEDCFLPPESITDAVSSHLTHFSFFDDNDDNDEEDGENLLPLYGGGAGG >ONIVA07G09930.1 pep chromosome:AWHD00000000:7:9664462:9667299:-1 gene:ONIVA07G09930 transcript:ONIVA07G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRCYHHHLKVRALLCGFGGGCFPTRTPPSPSPWSPPLTHFIKHLLGSPAALSGTATGAACEPCSLTLHFLRNTCGLSEDEAVAAAARVRLRSTKKAHAIVALFRGIGFSAADIARLVTSNPSLLSYRADATLMPKIEFFRRELGLTDAEIRRLVLANPSRVLGYSLKRCIRPNYLILRDLLGSDKNVTAAVLQSTDLIHGDVRGILLPKIKILQDYGATNDVIVKLVTTHPRALMHRASRFEESLAAMKELGVRPSSGMFPYSFGLFARLHPRKWKGRMDNFLSLGWTKEQVIEAFVRHPYCMSVSNDKVKLIWQFLAKKLRWTTDYVARSPMVLSFSYDKRILPRCTVLNLLASRGIFNRDIKTSHLVLGEKKFKEKYVTPYQDEIPEIYKPVDL >ONIVA07G09920.1 pep chromosome:AWHD00000000:7:9655066:9656067:1 gene:ONIVA07G09920 transcript:ONIVA07G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREPVFAGTNDTMELSSDAAPSPANLSRTATAICSTPAFAREMVAGGVAGVVAKTAVAPLERVKLMRQVGAAPRGAGAVQMLREIGRGEGVAGLFRGNGANALRVFHTKALHFMAYERYKRFLLGAAPSLGDGPVVDLLAGSAAGGTAVLATYPLDLARTRLACAAAPPGPAAAGMSGVLRSAYREGGGVRGVYRGLCPSLARVLPMSGLNFCVYEALKAQIPREEEEHGARGWRRAAKVACGVAAGLVASTATYPLDVVRRQIQLGGGGGGTLQAFRAIVRAQGARQLYAGLGITYVKKVPSTAVGLVAYDYMKSLLMLPASGPKANGSK >ONIVA07G09910.1 pep chromosome:AWHD00000000:7:9650599:9654487:1 gene:ONIVA07G09910 transcript:ONIVA07G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVAVAIILCFSYASCYANLVRSEDAHVNPEMNGMTRRPTGLFFSRRHKVRTDEDDSRASHVAMYHTPRGSYFGSRAKIGIWGSPNQGRFQESGASILVTSNELEDLNALEAGFHVYPDLYNDNNVHFFTHWTKDTDRSTGCYNLKCGGFVPAEGAELTPGQAVAPASTYDGDDHYISISLHTDPNSGDWVLFRDDLEKPLFLGHFPKELCPKLNGGAPRMAWTGFVSYPKNEPSPAMGSGHFPLEGERKAAYIKNIKLFDSKARAHDPYMEDLLPVLDRPDCYHLSIVDFVVKDRVYFYYGGPSGCIG >ONIVA07G09910.2 pep chromosome:AWHD00000000:7:9650599:9654487:1 gene:ONIVA07G09910 transcript:ONIVA07G09910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVAVAIILCFSYASCYANLVRSEDAHVNPEMNGMVAMYHTPRGSYFGSRAKIGIWGSPNQGRFQESGASILVTSNELEDLNALEAGFHVYPDLYNDNNVHFFTHWTKDTDRSTGCYNLKCGGFVPAEGAELTPGQAVAPASTYDGDDHYISISLHTDPNSGDWVLFRDDLEKPLFLGHFPKELCPKLNGGAPRMAWTGFVSYPKNEPSPAMGSGHFPLEGERKAAYIKNIKLFDSKARAHDPYMEDLLPVLDRPDCYHLSIVDFVVKDRVYFYYGGPSGCIG >ONIVA07G09890.1 pep chromosome:AWHD00000000:7:9615500:9615712:-1 gene:ONIVA07G09890 transcript:ONIVA07G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIGANDDNGRPMRREASAVGGREASEAGVGNGKAVAACNRSPQEDDTCDGREANVPGGGGGCHGRRQ >ONIVA07G09880.1 pep chromosome:AWHD00000000:7:9612099:9614161:-1 gene:ONIVA07G09880 transcript:ONIVA07G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAIAALPPELVSEILLRLRPDEPEHLFRASLVCKAWLRAICDPVFLRRYRAFHGSPPLLGLLHRLRVIDGDPAPRLALITAAPLSPDPSFLRALDCRHGRVLLHASNLGLIVWDPVTGEQHRLPESGIPWLIYTAAVFCAVGGCDHLDCHGGPFRVVFVATDDDDELVKGSVYSSETGVWSTPATLDDGYQSWEERWQAARSRGEYYRTPYVHPKRCALVGDEIYFTLRNGNTIIEYNWGKNRLSMFDPPTSDLYYIALTVMENGSLGFAGIEGSSLNVWSRKVNPQGAAEWVLCRIIELEKIIPVVDLSDEACVVGSAEGLGVIFVSTGVGLFTIELKSRRVKKVEEPGVYFSVLPYMSFYTPEICKSC >ONIVA07G09870.1 pep chromosome:AWHD00000000:7:9607988:9609280:1 gene:ONIVA07G09870 transcript:ONIVA07G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTFRRWSDDLTPDLVSRVADCCPVKDYASCRAVCRAWRSALPSLASRPLAPVAAAAAADVAVSLGVCSQNARRWSRLVGLHQPSGLDAETCRCVGGTRDGWLALVGTAAGKPTSGAVLLFNPLTGAEIPLHASLYDPECERAPKVVFSPSPTARDFAAVSMCRPNRLAVQRATEGYSSSLVVDTEALMDGAALADIAYSEEGKAKVVYCLTTHGAVHVLHLDRRRRRRGRLRAVEVEPLVAGAGAGAAAFSTPYDTIARHTDAKSVVLCGGALYQVWRRPGGAGSAVAPAGMLDQRLLRVSESEVFVLRYDPGARGPRWVEAKDLGGHAVFLGANDAAVRVVVDSSELVGDCLYYWDNTAAPEGGYEAFVFNVASRGSARRLPVAGGVSSPLWYFLPAREKTNLKKPVQYDDSLPVQYDDEPDIGA >ONIVA07G09860.1 pep chromosome:AWHD00000000:7:9586759:9599683:1 gene:ONIVA07G09860 transcript:ONIVA07G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSTHAPHNPASSSPPPLLASSHSHFRLASSHPHLFRGSPRSRLRLIRDPGRADPLAGGGGGRVVGVSAAGRTASSAAAPAEMEWVRVLEEGVFRFDASGAARAAAAPSFSFAEPRRREAAREGADTPAVVPACHVVGDAQKVLIKLPAGTSFYGTGEASGPLERTGKRVFTWNTDAWGFGPGTTSLYQSHPWVLAVLPDGKALGVLADTTQRCEIDLREESTIKFSAPSAYPIVTFGPFNTPSEVMTSLSHAIGTVSMPPKWSLGYQQCRWSYDSSEKVLKVVRTFREKGIPCDVVWMDIDYMDGFRCFTFDSSRFPDPKSMVDDLHSIGCKAIWMLDPGIKKEEGYFVYETGSENEVWIQKADGSPFIGEVWPGDCVFPDFTCKRTRTWWASLVKDFISNGVDGIWNDMNEPAVFKSTTKTMPVSNIHRGDDDIGGVQNHSYYHNVYGMLMARSTYEGMAKANTEKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSIPMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGLGALFPFSRGHTETGSIDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYFSHMKGTPVAAPVFFADPQDPELRKIETSFLLGPLLVCASTVPDKGAHECSHKLPKGNWLPFDFGDSHPDLPVLFLQGGAILPIGRPIKHVGEASLEDDLSLIISLDENGKAEGVLFEDAEDGYGFTQGNYLLTYYVAELHSSVVSVKVLKTEGSWRRPKRNLNISILLGGGAMISSCGIDGEEVHLTMPSDSEVSSLVATSELEQKKRLEMIKPIPDMDEPAGQEGAELSKTPVDLKSGDWMLKVVPWIGGRIISMTHLPSDSQWLHSRIEINGYEEYSGTEYRSAGCTEEYNVMRRYLEQSGEEESVCLEGDIGGGLVLQRHISILKDNPKIFQIDSSIQARNVGAGSGGFSRLVCLRVHPTFTLLHPTEVVVAFTAINGSKQEISPESGEVVLEGDMRPDGEWMLVDNCVGLSLVNRFDPSQVSKCLVHWGTGDVNMELWSEERPVSKETPLRICHQYEVRQTN >ONIVA07G09850.1 pep chromosome:AWHD00000000:7:9580320:9580861:-1 gene:ONIVA07G09850 transcript:ONIVA07G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVASCLNIKLGSQLINKAEKDSLTRSCNWGQFRVPTPYN >ONIVA07G09840.1 pep chromosome:AWHD00000000:7:9577416:9579951:-1 gene:ONIVA07G09840 transcript:ONIVA07G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDIHELSSAHNVQCYGHTNSLDVLTKNLFRYHPLLMAMYKLYTTYMSFLPDGFADHTEPLYEDKGFHVELRNDRPTAITCDLEIALHVQWVISMAAIDLFVSCTVGSVTYKRGVSKGTPTQQQKLAERRALKLRSRPHRHHPPQAQSLHRSCEARIKTVGKNIEEIRACIAYSDNVTTIASFQASQQESLALSPRSETVLNSSATTHTDPYSEGLAIPRAPDDIGTPSIDLFTSEFPDIAALLDGGADPETGLYLDSPIFPDATQEDHVDSHLPENNEACTEANPDT >ONIVA07G09830.1 pep chromosome:AWHD00000000:7:9576804:9577256:-1 gene:ONIVA07G09830 transcript:ONIVA07G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEHIKHKSRKHRTGTLMQGKAPSLMNFMGTTPRPCQNFQMLFRLMVLNMSGLRSKHFKNYSNNARERYQRKRHCKLLILFSHNKTRLTNMSICSVLLKASWSDRALPWKH >ONIVA07G09820.1 pep chromosome:AWHD00000000:7:9568743:9569648:1 gene:ONIVA07G09820 transcript:ONIVA07G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLALPAAIFCAVVVAASLGGAAATGKTGRITVYWGQTSSEGGLREACGTGLYSTVIISFLTDFGGGNYKLNLAGHAWSAVGPDVKYCQSKGVLVLLSIGGGVGRYSLASQADAKAVADHLWNFYLGGTSTKSRPFGDAVLDGVDFDIELGSNAHYGDLARYLKAYSGRKPGGRKVWLTAAPQCPFPDRMLGEALRTGLFDRVHVQFYNNPVCNYRASNVAAFTSAWNKWAASLPGSSVYLGLPAASGAANNGYVAPATLKENVLPIVQKSKNYGGIMLWSRYWDKQTGYSKSVKSAV >ONIVA07G09810.1 pep chromosome:AWHD00000000:7:9563949:9566998:1 gene:ONIVA07G09810 transcript:ONIVA07G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPHPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRERDGAVHRCH >ONIVA07G09810.10 pep chromosome:AWHD00000000:7:9563949:9565056:1 gene:ONIVA07G09810 transcript:ONIVA07G09810.10 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPHPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRSTAATDGAGRGWSRCCPQSPPKLRSSPSTAALHHCPRQSRGLPEPCSSPSAAAGADSEQYALEIHGYDATFNVLLLA >ONIVA07G09810.2 pep chromosome:AWHD00000000:7:9563949:9566998:1 gene:ONIVA07G09810 transcript:ONIVA07G09810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPHPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRSTAATDGAGRGWSRCCPQSPPKLRSSPSTAALHHCPRQSRGLPEPCSSPSAAAGADSKYMVMMLPSTCCCWPDLPLVMNNKIHGRMALQANIVDKDRFPCCRRTPPLPQVLPRREDQGGCSYEYKSCSDAIKSATDSHIHKAYFTWR >ONIVA07G09810.3 pep chromosome:AWHD00000000:7:9563949:9566998:1 gene:ONIVA07G09810 transcript:ONIVA07G09810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPHPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRERDGAVHRCH >ONIVA07G09810.4 pep chromosome:AWHD00000000:7:9563959:9566998:1 gene:ONIVA07G09810 transcript:ONIVA07G09810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPHPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRVSRMLPAPIPLHVREFWHHGMNYLFGPRDLMPVVNVVVCIGCDPFTDWLLGSF >ONIVA07G09810.5 pep chromosome:AWHD00000000:7:9563959:9566998:1 gene:ONIVA07G09810 transcript:ONIVA07G09810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPHPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRVSRMLPAPIPLHVREFWHHGMNYLFGPRDLMPVVNVVVCIGCDPFTDWLLGSF >ONIVA07G09810.6 pep chromosome:AWHD00000000:7:9563949:9566998:1 gene:ONIVA07G09810 transcript:ONIVA07G09810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPHPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRERDGAVHRCH >ONIVA07G09810.7 pep chromosome:AWHD00000000:7:9563959:9566998:1 gene:ONIVA07G09810 transcript:ONIVA07G09810.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPHPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRVSRMLPAPIPLHVREFWHHGMNYLFGPRDLMPVVNVVVCIGCDPFTDWLLGSF >ONIVA07G09810.8 pep chromosome:AWHD00000000:7:9563949:9566998:1 gene:ONIVA07G09810 transcript:ONIVA07G09810.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPHPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRERDGAVHRCH >ONIVA07G09810.9 pep chromosome:AWHD00000000:7:9563949:9565056:1 gene:ONIVA07G09810 transcript:ONIVA07G09810.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPRVRDESAAPISAPSSTPRILPPLISSTRIPAASLAPPDSPLLPDQPPHPPSSSAARGIPAASLAPDSPMPLQSTAGPATPSSLLLPPPLSRVNARRSPSLDQRRRYRASTAGPPQSLHASSRVPAARHRIVCPCRRHGGSPSLTRRSSPEKPGPFSQTRSPETEKMNKRVERRKRERDGAVHRCH >ONIVA07G09800.1 pep chromosome:AWHD00000000:7:9561832:9563169:1 gene:ONIVA07G09800 transcript:ONIVA07G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVIGDGDSHIELGVKCAKATTMFGAIILAKFRCAGGATRETISRKPHTVSCKVADKYGSVTVRMMLPPMGSSVVATRVPKKVLKFAGIEDVFTSSRGSTKTLSNFVKSRPPRRGAASPTRSKRDGSGSGEGSSFAGLPLDALFEILLLCGLVARQQPEGDLHLPPQRLSAVCRGRGHQRARVLVEPVVPRSIVRQPGLRRITSSPPTPR >ONIVA07G09790.1 pep chromosome:AWHD00000000:7:9558726:9560931:-1 gene:ONIVA07G09790 transcript:ONIVA07G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRADHTNASATQTQQATPPPTHLFLEKKRKLEEKKKGEEKEKKRQAAAEGRRRGSSDLESRKVTDLQSHHALLCIWNGTGFLALFVWSNPTMLFYLYAVPTRMAVDVTFVTQVIDTLIA >ONIVA07G09780.1 pep chromosome:AWHD00000000:7:9557704:9558711:-1 gene:ONIVA07G09780 transcript:ONIVA07G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSKVRASHSTTSVISNSNNRTVERSSHYSMIPRHLQSPRLGRHVNNANNQEPANSTLPVEDSILMEECHDAMQSSADLKCPLCRGSVSGWIPAGEVRKYLNEKLRTCSHDSCKFVGTYEQLREHARTAHLLAKPAHVDLSRKRTWDRLEREQEVGDVISAIRSQNPGAIIVGDYVIETRDAMSPDENTGDESNDEWWRDSIESPDNRYNSPRLLPNEAPESSIIWADERHGLPRFQPQNNRVLPRFSFINRSSSRSDWHRIRRPSRQSLARRGLLNRPYRNNSDYHGFRPQLFDQPNGSSHRSGINRSLDDPSFVPRRQRLRYTHRSHHIRD >ONIVA07G09770.1 pep chromosome:AWHD00000000:7:9541767:9542960:1 gene:ONIVA07G09770 transcript:ONIVA07G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGDSERKPSPFRADSGMLLSIVTPLGGTAMVSLLSIVESSAFALPLPLSLSLPTKLFQPGDWDKVEEIKVATPAGAECRRCILPPIVCSSCYAPPAPSSCSSHGCSCSPSNSSPPAAEACNGSRQQAHGTAAAPPHPEEKKPVKSNLKKAPPAAVAAQEEKNRVSLVVSRKVTWPDAQGKDLAHVLEFHPR >ONIVA07G09760.1 pep chromosome:AWHD00000000:7:9509689:9528861:1 gene:ONIVA07G09760 transcript:ONIVA07G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSIFDKKISIKKKLRLLSRMAILKDDGTVEVDIPTNAEAASLDLSSNDYCNEAFSGEPLASSDFQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQIYGHRVRLATHANFKDFVVTAGLEFYPLGGDPKLLAGYMVKNKGFLPATPSEIPIQRKEIKEIIFSLLPACKDPDTDTGAPFNVNAIIANPAAYGHVHVAEALKVPIHIIFTMPWTPTCEFPHPFSRVKQPAGYRLSYQIVDSFVWLGIRDIINDLRKRKLKLRPVTYLSSAHAYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYKPPEPLLKWLESGEKPIYIGFGSLPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKEFVYVIDNIPHDWLFLQCKAVVHHGGAGTTAASLKAACPTTIVPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHLLVDAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSLEKPQPAPPSSTFMQPFLLPVKRCFGIAT >ONIVA07G09760.2 pep chromosome:AWHD00000000:7:9515527:9528861:1 gene:ONIVA07G09760 transcript:ONIVA07G09760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASGGGEGFVGASSVSNNISLPNEGTSPRGTDNAECSETSSDRSNSESIKPEECAMPSSIFDKKISIKKKLRLLSRMAILKDDGTVEVDIPTNAEAASLDLSSNDYCNEAFSGEPLASSDFQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQIYGHRVRLATHANFKDFVVTAGLEFYPLGGDPKLLAGYMVKNKGFLPATPSEIPIQRKEIKEIIFSLLPACKDPDTDTGAPFNVNAIIANPAAYGHVHVAEALKVPIHIIFTMPWTPTCEFPHPFSRVKQPAGYRLSYQIVDSFVWLGIRDIINDLRKRKLKLRPVTYLSSAHAYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYKPPEPLLKWLESGEKPIYIGFGSLPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKEFVYVIDNIPHDWLFLQCKAVVHHGGAGTTAASLKAACPTTIVPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHLLVDAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSLEKPQPAPPSSTFMQPFLLPVKRCFGIAT >ONIVA07G09760.3 pep chromosome:AWHD00000000:7:9516758:9519154:1 gene:ONIVA07G09760 transcript:ONIVA07G09760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEINCKAIKDMLGSTDVLDFWCYQKVLPVTFEAALFSQVFLGWWNFNSLFSNNISLPNEGTSPRGTDNAECSETSSDRSNSESIKPEECAMPSSIFDKKISIKKKLRLLSRMAILKDDGTVEVDIPTNAEAASLDLSSNDYCNEAFSGEPLASSDFQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQWYRQYLVLILVLTQ >ONIVA07G09750.1 pep chromosome:AWHD00000000:7:9500779:9508808:1 gene:ONIVA07G09750 transcript:ONIVA07G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain with WD40/YVTN repeat-like protein [Source:Projected from Arabidopsis thaliana (AT5G41330) TAIR;Acc:AT5G41330] MASSVVTLIVGGEVFQTTAATLSRAGASSPLASLAPTPASAPHFLDRDPRLFATLLSFLRRGRLAPTSPDSDPPSPALLAEARHFGVEGALLASLSPASAFSPLALRPSALLPLAGRVPPSAVAVPPSPHPASVFAAHGGVVTRFDAALASRGSVLTPLPAVDSLVAVSPTLALAGARDFAGVHLCRYPDDAPATAREVLSWPGSPSATVLSMAATSATEVSSPWLFTSFESARRNSSAVVTFDMNSLSPVAEIGRKEVYGADVEAAIPASRLSWLGRHNLLLAAGSHSGPAGVVGDICLWDVRASATVPVWELREKEDCFADIAASEALSSLFKVGAASGEVFMADLRMLGGGGISIEPWVCIGDGQRAAAAASAGRKEGNGCRIECYLNWVFVARGGEVEVWTQVELAQEAGGKKLMRRNWVGNGPSFVIAGGSGHESVKEKTKIVSWAFGGSRMALARDDKRSIEVWDSAPAAISFNP >ONIVA07G09740.1 pep chromosome:AWHD00000000:7:9499145:9500570:-1 gene:ONIVA07G09740 transcript:ONIVA07G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLKMMTMTMSDCSRSMMSEWESELGAKGGLAEIELSRRFEELTADVISHTAFGSSYKEGKQVFLAQRELQFLAFSTFLTVQILGYLLTMKNFKTWSLDKKVRGMLMDIIKTRHANKDVVGYGNDLLGLLLEACAPEHGESHPQLSMDEIIDECKTFFFAGHDTTSHLLTWTMFLLSTHPDWQEKLREDIAMECGDEVPTGDMLNKLNMVNMFLLETLRLYSPVLLIRRKVGTDIELGGIKMPEGALLTIPIATIHRDKEVWGEDADEFRLERFENGVTRAAKHPDALLSFSSGPRSCIGQNFAMIEAKAVIAMILQRFSFTLSPKG >ONIVA07G09730.1 pep chromosome:AWHD00000000:7:9493741:9499707:1 gene:ONIVA07G09730 transcript:ONIVA07G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGILENLCRIMAMTALASIIAKFCPMHDLGPLEKESSASGCFAALVTPFSNLSSLNSSASSPHTSLSR >ONIVA07G09720.1 pep chromosome:AWHD00000000:7:9481744:9482415:-1 gene:ONIVA07G09720 transcript:ONIVA07G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEEDNLTHLDLGFKFYDLTDNVHRVLGQTHRFDYVNKLSVSANMPVMGGTPWYALSDIFSTNHAIARFGRHASISMHGHWQGQLNGFKLTKYMDRNFLVAVLLVLYTMDQQENWRRRLGVGCRPASEDSMEMTNGQTIKKVADGRGVEDLTASKADTKDVAIDRRQNSCMHARQ >ONIVA07G09710.1 pep chromosome:AWHD00000000:7:9479594:9481734:-1 gene:ONIVA07G09710 transcript:ONIVA07G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGEGGKEEVYESSHMCRSPPAGGFAERDQGYTTMHTWLCCLGQKVTFIIAAKHQILIMIHPSMTVMLSVKCPKFQMHWTEIQILYEP >ONIVA07G09700.1 pep chromosome:AWHD00000000:7:9478265:9478848:1 gene:ONIVA07G09700 transcript:ONIVA07G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSILSLCFHLALVIALAANVPDIANGRVIEAKSDPKPADPKPKPDPTPKPQPETKPTRSKTITQPNPQPDPKPSLPDPKPTPQLEPKQDPQPNPQPDPKQSPQLTQNLHHSLTQNKILNRTHNLTQNQRRNLTQNKILSRTHSLTRNQRHSLTRNKILNRTHNLAPKLTQNQIQSLSHNRS >ONIVA07G09690.1 pep chromosome:AWHD00000000:7:9474273:9474908:1 gene:ONIVA07G09690 transcript:ONIVA07G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIAERLPPVTVDAVRMPPVAVAAARTPPVAVAAERTPPVVVCCRAPASRRGCRRAPLPVKSRRRKLPPAAADARSCPRSAAAVRRRPPPRAASRRREPPPTDACGWIWRGEWWIWRNDGGGRRRNQIRRKNGAWIRMGWWRFFNGR >ONIVA07G09680.1 pep chromosome:AWHD00000000:7:9474246:9474470:-1 gene:ONIVA07G09680 transcript:ONIVA07G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGLDKARVGCTRTLADDTTAPRWYESFHVYRAHLTTHVAFTLKAKNPIGISLVSVGYLSPSRTSCICELIA >ONIVA07G09670.1 pep chromosome:AWHD00000000:7:9463224:9463967:-1 gene:ONIVA07G09670 transcript:ONIVA07G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSILSLCFHLALAIALAANVPDIANGRVIEAKSDPKPADPKPKPDPTPKPQPETKPSPQPNPQPNPQPDPKPSPQPDPKPTPQPEPKQDPQPNLQPDPKPSPQPDPKPTPQPDPKQDPQPNPQPDPKPTPQPNPKQDPQPNPQPDPKPTPQPDPKQDPQPNPQPSPKADPKPNPKPKPQPEPSPNPKPEPKPEPKPEPSPNPKPNPNPKPEPQPDPKLEPKPQPERSLPKPPPLSPAIAVIVPGN >ONIVA07G09660.1 pep chromosome:AWHD00000000:7:9458081:9461909:-1 gene:ONIVA07G09660 transcript:ONIVA07G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPSRFVINDNNRRYPLDIIDLEWITTADHDSVEYFRGARYLEDEKPLKSYAHPMLENLDKEGLLEQLLQSAALLNSPERGVFPTTAVWAMTSLLVCPRKSCEACGLGAGEVTARVEGVGGAGQQCPSCAVDKCKEELSRLSMLGMRRSRGGLNLMDKILAVIYTSMNHKKQGFSYVIALAQVSEVMAPEFVVNSLPCKSYKRQTAQCSDDME >ONIVA07G09660.2 pep chromosome:AWHD00000000:7:9457014:9461909:-1 gene:ONIVA07G09660 transcript:ONIVA07G09660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPSRFVINDNNRRYPLDIIDLEWITTADHDSVEYFRGARYLEDEKPLKSYAHPMLENLDKEGLLEQLLQSAALLNSPERGVFPTTAVWAMTSLLVCPRKSCEAFGELIDIDFVGGCSCGLGAGEVTARVEGVGGAGQQCPSCAVDKCKEELSRLSMLGMRRSRGGLNLMDKILAVIYTSMNHKKQGFSYVIALAQVSEVMVFLLVVVNVNVNECAVWMWGCRDEFGFIWNWG >ONIVA07G09660.3 pep chromosome:AWHD00000000:7:9457014:9461909:-1 gene:ONIVA07G09660 transcript:ONIVA07G09660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPSRFVINDNNRRYPLDIIDLEWITTADHDSVEYFRGARYLEDEKPLKSYAHPMLENLDKEGLLEQLLQSAALLNSPERGVFPTTAVWAMTSLLVCPRKSCEAFGELIDIDFVGGCSCGLGAGEVTARVEGVGGAGQQCPSCAVDKCKEELSRFLLVVVNVNVNECAVWMWGCRDEFGFIWNWG >ONIVA07G09650.1 pep chromosome:AWHD00000000:7:9448143:9448391:1 gene:ONIVA07G09650 transcript:ONIVA07G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNFLVAVLLVLYTLDQQENWRRRLGAGSMPANEDGMEMTNGQTIEKVGDGHGVEDLTASKADTKGVAIDGRRNSYTHAWQ >ONIVA07G09640.1 pep chromosome:AWHD00000000:7:9439617:9439928:1 gene:ONIVA07G09640 transcript:ONIVA07G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGAPARRAPAASYYECTFCKRGFTNAQALGGHMNIHRKDRSAGGKSQGGGQHHEGGGSGSGGGGGQQHGRDVHLGLTLGRNEEERDGVDLELRLGHAHYP >ONIVA07G09630.1 pep chromosome:AWHD00000000:7:9437242:9437553:1 gene:ONIVA07G09630 transcript:ONIVA07G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGAPARRAPAASYYECTFCKRGFTNAQALGGHMNIHRKDRSAGGKSQGGGQHHEGGGSGSGGGGGQQHGRDVHLGLTLGRNEEERDGVDLELRLGHAHYP >ONIVA07G09620.1 pep chromosome:AWHD00000000:7:9423230:9424318:1 gene:ONIVA07G09620 transcript:ONIVA07G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSPVDKPPFTLGDIKKAIPPHCFHRSVIKSFSYLLHDLAIAAGLLYFALVGIPALPSILRLVAWPLYWAAQGSVLTGVWVIGHECGHHAFSDYLLLDNLVGLVLHSALLTPFFSWKYSHRRHHANTGSMEKDEVYVAKKKSALPWYTPYVFGNPVGRLVYIALQLTLAWPLYLAFNLSGQPYPRLVTCHYDPYSPLFSDQERVQVLVSDAAILAVLLALHRLTAAYGLWWVVRVYGVPVMIVGALFVLITYLHHTHRALPHYDSSEWEWLRGSLATVDRDYGVLNRVLHNVTDTHVLHHLFPSMPHYHAMEATRAARPVLGEYYKFDRTPIIEATWREAKECMYVEPRERDGIYWYNNKF >ONIVA07G09610.1 pep chromosome:AWHD00000000:7:9394897:9395648:-1 gene:ONIVA07G09610 transcript:ONIVA07G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSRPTTVKEGKKLEPPRRAGNHAAVQRSPVDKPPFTLGDIRKAIPPHCFHRSVIKSFSYLLHDLAIAAGLLYFALVVIPALPGVLRLVAWPFYWAAQGCFLFGVWIIAHECGHHAFSGHALLDDTLGLVLHSWLLAPYFSWKYTHQRHHSNTSSQERDEVFVPRFKSDLPWYSPYLTVGWPMYLVFNTWGRWYPRFASHFDPSGAIYMRRERVFIAISDIGMLAVSLAL >ONIVA07G09600.1 pep chromosome:AWHD00000000:7:9385101:9385550:-1 gene:ONIVA07G09600 transcript:ONIVA07G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSLALYWLAEGYGFWWVVRVYGVPLLVVNAWLVVVTYLHHTHRAIPHYDSSEWDWLRGALATVDRDYGFLNRVFHNITDTHVLHHLFPAIPHYHAVEATKAIRPVLGEYYQFDPTPVVKAIWREAKECIYIQSEDHKGIFWYSNKF >ONIVA07G09590.1 pep chromosome:AWHD00000000:7:9382587:9393199:1 gene:ONIVA07G09590 transcript:ONIVA07G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISRGRCKQYYYTVATRSWAISRGRYGAIRCGGIHPSKFVTFEWADVGGWDDEARPTRLILSALPIRPLTLLQELELVAVPEDALVVLGLDVDALLSLAPYRLNDGCGIELVVLAKDGADRLGRLHRVVVRDGREEVMMDGCYCATSSSSLYHTATSFHDSIVDYSSPPVAVHGEKANSRLVACALPARLDMSCAERRMADGVVSFFFLDSVVFFVFSFFSYLFQFFSFLFFCFFFCF >ONIVA07G09590.2 pep chromosome:AWHD00000000:7:9382587:9393199:1 gene:ONIVA07G09590 transcript:ONIVA07G09590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISRGRCKQYYYTVATRSWAISRGRYGAIRCGGIHPSKFVTFEWADVGGWDDEARPTRLILSALPIRPLTLLQELELVAVPEDALVVLGLDVDALLSLAPYRLNDGCGIELVVLAKDGADRLGRLHRVVVRDGREEVVVRDVRICDIVEHSIEEAVVAVHGEKANSRLVACALPARLDMSCAERRMADGVVSFFFLDSVVFFVFSFFSYLFQFFSFLFFCFFFCF >ONIVA07G09590.3 pep chromosome:AWHD00000000:7:9381929:9382662:1 gene:ONIVA07G09590 transcript:ONIVA07G09590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVVGASGGRWRFRQRHCSGPRCQTLGNTLTSKKVFTWANSNNQRLLHVDDIDGTNKSYIYTSCSMSLAAEDRVESAGDGDDGWLLLRNVELISIPHRYILP >ONIVA07G09580.1 pep chromosome:AWHD00000000:7:9363534:9374483:1 gene:ONIVA07G09580 transcript:ONIVA07G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(ADP-ribose) polymerase 2 [Source:Projected from Arabidopsis thaliana (AT2G31320) TAIR;Acc:AT2G31320] MAAPPKAWKAEYAKSGRSSCKSCRSPIGKDQLRLGKMVQATQFDGFMPMWNHASCILSKKNQIKSVDDVEGIDTLRWDDQEKIRNYVGSAPATASSAAAISDKCTIEVAKSARTSCRRCGEKITKGAVRVSSKLEGQGWYHASCFLEMSPAATVENFSGWEILSHEDKRAVLDLVKKDAPSSGQTSSKGSKRKNNQNDIHDCKAPKIIRSISEGTAQDKGKAVVSHDSNANSSDLQEKLKEQSDTLWKLKDELKKHVSTAELRNMLEANGQDTSGPERHLLDLCSSFLYYHGGQYHCSGYVSEWSKCTYSTTEPVRSKKKWKIPDEMDNGYLTKWFKSQKAKKPERVLPPMSPEKSLCQSTQQNRSFLSEGLDKLRVSIVGQSKDVVDEWKQKLKDAGANFNATVTKDSSCLVLCSELESENAEVKKARRLKIPILREDYLGECIRKNRVLPFDLYKVEAALESSKGGTMTVKVKGRSAVHESSGLQDTGHILEDGKSIYNTTLNMSDLTRGVIEEDNGSDCYVFRKWGRVGNEKIGGTKLEEMSKIDAIQEFRRLFLEKTGNPWEAWEQKTNFQKQPGKFYPLDIDYGVRQGPKRKDIDKMKSSLPPQLLELMNMLFNIETYRAAMLEFKINMSEMPLGKLSKENIQKGFEALTEIQNLLGNTNNQELAVRESLIVAASNRFFTLIPSIHPHVIQDEDDLMVKVKMLEALQDIEIASKLVGFDSDNDESLDDKYKKLRCAITPLPHDCEDYKLEWSLELEEVFSLDRDGEFNKYSRYKNNLHNKMLLWHGSRLTNYVGILSQGLRIAPPEAPVTGYMFGKGLYFADLVSKSAQYCYVDRKNPVGLMLLSEVALGDMYELKKATSMDKPPRGKHSTKGLGKTVPLESEFAKWRDDVVVPCGKPVPASIKTSELMYNEYIVYNTSQVKMQYLLKVRFHHKR >ONIVA07G09570.1 pep chromosome:AWHD00000000:7:9348992:9353895:1 gene:ONIVA07G09570 transcript:ONIVA07G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60340) TAIR;Acc:AT5G60340] MADGPNHQTQPWPGPSSRQPLDLHSTTRSPGEQQCDFAGPFSPGKRGRKNPNQPPILAMASRGGGARRTRPNVLVTGTPGTGKTTTCSLLADAVDLRHINIGDLVREKSLHDGWDEELECHIINEDLVCDELEDMMGEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYMGAKLTNNIECEIFQMLLEEARESYKEEIVMPLRSDNVEDISRNVGTLTEWINNWRPSRS >ONIVA07G09570.2 pep chromosome:AWHD00000000:7:9348992:9353895:1 gene:ONIVA07G09570 transcript:ONIVA07G09570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60340) TAIR;Acc:AT5G60340] MADGPNHQTQPWPGPSSRQPLDLHSTTRSPGEQQCDFAGPFSPGKRGRKNPNQPPILAMASRGGGARRTRPNVLVTGTPGTGKTTTCSLLADAVDLRHINIGDLVREKSLHDGWDEELECHIINEDLMLLEEARESYKEEIVMPLRSDNVEDISRNVGTLTEWINNWRPSRS >ONIVA07G09560.1 pep chromosome:AWHD00000000:7:9331482:9338655:-1 gene:ONIVA07G09560 transcript:ONIVA07G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32900) TAIR;Acc:AT1G32900] MWAVAPHCCCATRRPPLPQRVGSLPQNSFGFRIYRRCRLPSTRTMGSTPTYCSYQTNGVGALKQSPHMQFQQSYNYGVRFLKRDTLSVRINKHMAKRIATSTGICTKPRRSHMPIVCSAGMTIIFIATECHPWCKTGGLGDVLGGLPPALAAMGHRVMTIVPRYDQYKDAWDTNVLVEVNIGDRTETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGPKLYGPTTGDDYRDNQLRFCLLCLAALEAPRVLNLNNSEYFSGPYGENVVFVANDWHTGVLPCYLKSIYQAKGMYVNAKVAFCIHNIAYQGRFAREDFELLNLPDSFLPSFDFIDGHFKPVVGRKINWMKAGITECDLVMTVSPHYVKELASGPDKGVELDGILRTKPLETGIVNGMDVYEWNPATDKYISVKYDATTVTEARALNKEMLQAEVGLPVDSSIPLIVFVGRLEEQKGSDILIAAIPEFVEGNVQIIVLGTGKKKMEEELILLEVKYPNNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVVPICSSTGGLVDTVKEGVTGFHMGSFNVECETVDPVDVTAVASTVKRALKQYNTPAFQEMVQNCMAQDLSWKGPAKKWEEVLLGLGVEGSQPGIEGEELAPLAKENVATP >ONIVA07G09560.2 pep chromosome:AWHD00000000:7:9331482:9338655:-1 gene:ONIVA07G09560 transcript:ONIVA07G09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32900) TAIR;Acc:AT1G32900] MWAVAPHCCCATRRPPLPQRVGSLPQNSFGFRIYRRCRLPSTRTMGSTPTYCSYQTNGVGALKQSPHMQFQQSYNYGVRFLKRDTLSVRINKHMAKRIATSTGICTKPRRSHMPIVCSAGMTIIFIATECHPWCKTGGLGDVLGGLPPALAAMGHRVMTIVPRYDQYKDAWDTNVLVEVNIGDRTETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGPKLYGPTTGDDYRDNQLRFCLLCLAALEAPRVLNLNNSEYFSGPYGENVVFVANDWHTGVLPCYLKSIYQAKGMHFKPVVGRKINWMKAGITECDLVMTVSPHYVKELASGPDKGVELDGILRTKPLETGIVNGMDVYEWNPATDKYISVKYDATTVTEARALNKEMLQAEVGLPVDSSIPLIVFVGRLEEQKGSDILIAAIPEFVEGNVQIIVLGTGKKKMEEELILLEVKYPNNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVVPICSSTGGLVDTVKEGVTGFHMGSFNVECETVDPVDVTAVASTVKRALKQYNTPAFQEMVQNCMAQDLSWKGPAKKWEEVLLGLGVEGSQPGIEGEELAPLAKENVATP >ONIVA07G09550.1 pep chromosome:AWHD00000000:7:9316372:9316965:-1 gene:ONIVA07G09550 transcript:ONIVA07G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSPFPSILFLSVSIFFAASRRDGGGGADFGTQRKEGVAVQASRGREAATALSDVRRERMAVTTPKREGRGRRRCRRKEGGDGAWKEQGRRGSQAAVPTRRAEGAVALGDGAAAPALALRGRMGRQLGERGRRRRRQLARGVGADARREETARWLQLRRRELVSGRRCFRRRGRGWMEGRRRKGRGWMEKVTAVT >ONIVA07G09540.1 pep chromosome:AWHD00000000:7:9313227:9320021:1 gene:ONIVA07G09540 transcript:ONIVA07G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVCAFTTYVSIVPDLRPSPQSHLCVNHGSISCSKTQKIHISVEASTGAPRPMEVEEPVAVRDGDVATGSCGNASERVRGGASGWVDLWTKNEREREEAAGDFDFSATADAPIDHLLLAAGFTGTTISARRAHMDGICLVNKKRRLTLRPCVGEVDHSSKRDIVSRIISQLTLKEAVVMSSTSTKLRRAWIYHPNLYFDTSIVFASSDRHKRVPSTETFIDRVNFILRTHSGLGVNKLAVMFELRKEHAHDIDGYQRGVAVWRAAGLQLPSPPGFCGFANLTVLTLENVLVLGNLQLLLKCSALEWLTIRMCSQLHNLYAPEPLPRLAFLCVQDCAIDKIDVHAPNLTTFKYRGRFKPKTASIASPIEDNLYYIFTELPNGLPHVERLHVNVFVKTQIPGFTQAPHKFINLRHLTMRITYEIAKRFGRNAVLQLAYFLEAAPFLVDLHLDSLKRACITGFNGNGGQVALVKFILRNAVKLEEMVIDPKGRITNQMMGEHKGRRMIKEKLVPKDKNGLLGRVILV >ONIVA07G09540.2 pep chromosome:AWHD00000000:7:9313227:9320021:1 gene:ONIVA07G09540 transcript:ONIVA07G09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVCAFTTYVSIVPDLRPSPQSHLCVNHGSISCSKTQKIHISVEASTGAPRPMEVEEPVAVRDGDVATGSCGNASERVRGGASGWVDLWTKNEREREEAAGDFDFSATADAPIDHLLLAAGFTGTTISARRAHMDGICLVNKKRRLTLRPCVGEVDHSSKRGLDADAFVQTHYQDIVSRIISQLTLKEAVVMSSTSTKLRRAWIYHPNLYFDTSIVFASSDRHKRVPSTETFIDRVNFILRTHSGLGVNKLAVMFELRKEHAHDIDGYQRGVAVWRAAGLQLPSPPGFCGFANLTVLTLENVLVLGNLQLLLKCSALEWLTIRMCSQLHNLYAPEPLPRLAFLCVQDCAIDKIDVHAPNLTTFKYRGRFKPKTASIASPIEDNLYYIFTELPNGLPHVERLHVNVFVKTQIPGFTQAPHKFINLRHLTMRITYEIAKRFGRNAVLQLAYFLEAAPFLVDLHLDSLKRACITGFNGNGGQVALVKFILRNAVKLEEMVIDPKGRITNQMMGEHKGRRMIKEKLVPKDKNGLLGRVILV >ONIVA07G09530.1 pep chromosome:AWHD00000000:7:9300439:9301284:-1 gene:ONIVA07G09530 transcript:ONIVA07G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTPTDDVMMTGAGDVQGAAAAETFRVFVGYDSREDIAYRVCRRSLLRRSSVPVAVIPIVQQELRSAGLYWRERGPTESTEFSFTRFLTPHLAGYRGWALFVDCDFLFVADVAELARMADPRYAVLCVHHDYAPKEATKMDGAVQTVYPRKNWSSMVLFNCAHPKNRAALTPEAVSTQSGAYLHRFMWLDDADIGEVPFAWNFLVGHNRVDPADTAGTAPRAVHYTSGGPWFEQYKNCEFAELWVQERDAYEAEAEEEEEHEAKAILHGPAAPSAVSVDA >ONIVA07G09520.1 pep chromosome:AWHD00000000:7:9297699:9299044:-1 gene:ONIVA07G09520 transcript:ONIVA07G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone and stilbene synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G34850) TAIR;Acc:AT4G34850] MVSTNAGGIASKQSSSMAPNPGKATILALGHAFPQQLVMQDYVVDGFMRNTNCDDPELKEKLTRLCTVPDPNLIICSYKYIYSTIIELACKTTTVKTRYVVMSEEILKSYPELAQEGQPTMKQRLDISNKAVTQMATEASLACVRSWGGALSEITHLVYVSSSEARFPGGDLHLARALGLSPDVRRVMLAFTGCSGGVAGLRVAKGLAESCPGARVLLATSETTIVGFRPPSPDRPYDLVGVALFGDGAGAAVVGADPTPVERPLFELHSALQRFLPDTDKTIDGRLTEEGIKFQLGRELPHIIEANVEAFCQKLMQEHPQAADKLTYGDMFWAVHPGGPAILTKMEGRLGLDGGKLRASRSALRDFGNASSNTIVYVLENMVEETRQRREEAAEEEDCEWGLILAFGPGITFEGILARNLQARARARD >ONIVA07G09510.1 pep chromosome:AWHD00000000:7:9294647:9297065:1 gene:ONIVA07G09510 transcript:ONIVA07G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGGTAAAASEVPMSYRRRGERRKRGGRSLTSSSTSAALVHGANCPALSTASGTKMKVKILQWHGVASWTWNAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGSCNHAFHLHCILKWVNSQTSTPLCPMCRREWQFKG >ONIVA07G09500.1 pep chromosome:AWHD00000000:7:9262137:9262856:-1 gene:ONIVA07G09500 transcript:ONIVA07G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHFSHAGMYIGYTADAAASSSSSSSSSSSSSSEMLRFDTGWPDETPAPSSVAGRRRSAGGDHRQGRGQTEAAAAFIGVRRRPWGRFAAEIRDSTRNGARVWIGTFDSAEAAAMAYDQAALSARGAAAALNFPVERVRESLHALSLGAAGGSPVLALKRRHSKRKRRKKAELLAAAAATAATANATPQTRRISKSTELTTATTDEQKRFVVELEDLGAEYLEELLWLSEINGGSDPAD >ONIVA07G09490.1 pep chromosome:AWHD00000000:7:9233620:9239699:-1 gene:ONIVA07G09490 transcript:ONIVA07G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGRPLPKTPKKPQKRDRGENPNLKAAAVSAPPVSPPTRRQPPSSPPSPLPRRALGSQMEAEAAAKRARESGDAAAAGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQFFPHLAVGFEDPRVSLHIGDGVAFLKNAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVANCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPTVDFQHPIFNIEDNEFSTKSKGPLKFYNSEIHSASFCLPSFAKRVIGSKAN >ONIVA07G09480.1 pep chromosome:AWHD00000000:7:9221172:9223557:-1 gene:ONIVA07G09480 transcript:ONIVA07G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVLVSNHGCSGGGGRVGVGVRGAGGGGPGEGKAEGQQGQVLALLLAALRRSVVLPCQMADADDPAAVAWGMEIGWPTDVRHVAHVTFDRLNGFLGLPAEFELEIPGHVPSASASVFGVSPESMQCCFDDNGNSVPKILLLMQERLYAQDGLKVAEGIFRITPENSQEENVREQLNRGLVPDDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLHCNTEEECVELVRLLPPTQAALLNWVVEFMADVVQEEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLREREHDESEYSAISSQSSSSDELDEMHHHVEQGGDSGSDTDNFGDDGSQSQKDVAKVLQQNVVNEQPIGASRRHTSIDFRLPYISYGSDDDVSPNDIEECFLRRLEWNAVSKDASEIGSITVRSNQEAGQLSFSEENDGYYSTDYQSRNILLKDSVGIQSTLPRETESRAEITNDEVQDGAEVEVTLEQ >ONIVA07G09470.1 pep chromosome:AWHD00000000:7:9179363:9182936:1 gene:ONIVA07G09470 transcript:ONIVA07G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGGAGCRHRYATGRGRLYKGEGRWDRTRDLMVVIHSARMWGGEWRWWTTPQPHREGDGLILCFASVLGMPLLTQAHHMGGGVIVYLGIGLFGTSTAGFVCIFSGDFGRWKLELTLEFKYAHGPYLGFVSVDLGV >ONIVA07G09460.1 pep chromosome:AWHD00000000:7:9172983:9174772:1 gene:ONIVA07G09460 transcript:ONIVA07G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRQWNSARSGKEAHDLFTLPMDQGFPESFCSWGAMCLPEHEHHVAGVPDAAEMDKRVAGQCSYAGYCAMFRRIEMGWDAARWDHKIGEDEAFAGSLVSFDKILIHAMLEDGKCFVLEEVLRMLHVGSWNCFVLPT >ONIVA07G09450.1 pep chromosome:AWHD00000000:7:9169832:9170974:1 gene:ONIVA07G09450 transcript:ONIVA07G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLKKDARVLLLTAIAVMAVILSPCNAEDKCYTVYRCTLPACNDYCIKLGVKNPQVTCKLSFPPSDYYDTCCCGTWDDKSVGARRLLSH >ONIVA07G09440.1 pep chromosome:AWHD00000000:7:9157061:9159805:1 gene:ONIVA07G09440 transcript:ONIVA07G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVQALIKIGSAFGETALPLLQDFIKKEAALLQELPELAKCIRGELDMISSFLLQVRSKIHSTDNEVLKRWVVRVRQVAYHVEDIIDEYTHNVALLQDQSYLIRKMREAYNVTTFHAIATGLKDVSNEIKQLSEMKTKYAEYFGELLSNTSANTQAHLSRDGSLHTVKEGIVGMTVEMDLLNSWLAPNDLSRVVLSVWGLFGLGKTTLVRKVYQSMKEQKSFDCYSWIEVPHTYNNDVILRQLIRDLSEDQSQVPGSLESMYGSKLVDILSGVLTNKRYLIVLDNVWDAAAFHGISSFLMDSGNASRIIITTRTSDVASLAQETYKLKLKPLEDDDAMELFCRRAFHNSNKVCPPHLEDLCKQIVRKCGGLPSAIYAIGNVLAVREKTEVAWKIMNDQFQCMLEDNPGLGEVRSALSVSILFLPRHLKNCFLYCSLFPQNYRLSRESLVKLWTAEGFITKRGSSTLEEVADEYLMELIRGSLLQLLETDEIGRVAFCKMHDIVRDLALSYSRKEMFGLSDGDLQTDQKEDVRRLSISKCNKNVGSILEFPRLRTFITTNGGAESDLLHSLIQKSKYLAVLELQDSPIDIIPANIGELFNLHYLGLRRTNVKSLPKSIEKLTNLETLDLKYTGVDVLPKEICKLKKLRHLFAEKLIDRNRQVFRYFKGMQLPHGFSHMNEIQTLETVEATKDSIELLGKLTALRTLWVENVHRADCTKLFDSLSEMENLSSLLVSASDEYEVLNFDAFSPSEMKLQKLIIRGCLENDTFDKLMFKNLGSHMKYLSLSSSRLGNDPFPLLAKNMKNLIYLSIRKWCCAEEVALREGWFPRLTTLFLGDMKQVHTVVIEPSAVESLEALYLVSLTAMTAVPTGLELVGSLRKLVVWGQSDDFKLEWKRENWETKLRHVPEIRI >ONIVA07G09430.1 pep chromosome:AWHD00000000:7:9093548:9101340:-1 gene:ONIVA07G09430 transcript:ONIVA07G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49180) TAIR;Acc:AT3G49180] MAPPQQLVLAASSTDAGVAAWDLRTGAEAIRHRTCASRPRALTVVAGRFLAASQAPAGNSAPIHYYHWDKPQVAVKSFPVEPIRALIADPEGSYLIGGGISGDIFFWEVASGELLVQWHAHYRAVRCLALYDFLLISGSEDGSIKVWDLLTMLDEQSRLEAKTQHIYSFNQHALPVTDVACCHGAIAVSSSEDHTCKIWSLSEGRMLRSISFPAITDSVALDPRSHIFYAGGRDGKIYVTAMGIDVTSPSSDDSTIIGALDDHSKAVTSLASSTDGLILISGSEDGNVRVWDTRTQQVIRKFKHSQGPVTNVLLVTPKRVNLPPLQSLRKVCSANGGSESRAVIVPQPENDVQIFGNFSSDFLERCLDALQPGSSSRLFESGASALYGAPKQQGVEWRSKYLELQDLFVREEIVISFSQHMSWFLTD >ONIVA07G09430.2 pep chromosome:AWHD00000000:7:9093548:9101340:-1 gene:ONIVA07G09430 transcript:ONIVA07G09430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49180) TAIR;Acc:AT3G49180] MAPPQQLVLAASSTDAGVAAWDLRTGAEAIRHRTCASRPRALTVVAGRFLAASQAPAGNSAPIHYYHWDKPQVAVKSFPVEPIRALIADPEGSYLIGGGISGDIFFWEQSRLEAKTQHIYSFNQHALPVTDVACCHGAIAVSSSEDHTCKIWSLSEGRMLRSISFPAITDSVALDPRSHIFYAGGRDGKIYVTAMGIDVTSPSSDDSTIIGALDDHSKAVTSLASSTDGLILISGSEDGNVRVWDTRTQQVIRKFKHSQGPVTNVLLVTPKRVNLPPLQSLRKVCSANGGSESRAVIVPQPENDVQIFGNFSSDFLERCLDALQPGSSSRLFESGASALYGAPKQQGVEWRSKYLELQDLFVREEIVISFSQHMSWFLTD >ONIVA07G09430.3 pep chromosome:AWHD00000000:7:9093902:9101340:-1 gene:ONIVA07G09430 transcript:ONIVA07G09430.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49180) TAIR;Acc:AT3G49180] MAPPQQLVLAASSTDAGVAAWDLRTGAEAIRHRTCASRPRALTVVAGRFLAASQAPAGNSAPIHYYHWDKPQVAVKSFPVEPIRALIADPEGSYLIGGGISGDIFFWEVASGELLVQWHAHYRAVRCLALYDFLLISGSEDGSIKVWDLLTMLDEQSRLEAKTQHIYSFNQHALPVTDVACCHGAIAVSSSEDHTCKIWSLSEGRMLRSISFPAITDSVALDPRSHIFYAGGRDGKIYVTAMGIDVTSPSSDDSTIIGALDDHSKAVTSLASSTDGLILISGSEDGNVRVWDTRTQQVIRKFKHSQGPVTNVLLVTPKRVNLPPLQSLRKVCSANGGSESRAVIVPQPENDVQIFGNFSSDFLERCLDALQPGSSSRLFESGASALYGAPKQQGVEWRSKYLELQDLFVREVLDQMPSPKNT >ONIVA07G09420.1 pep chromosome:AWHD00000000:7:9082416:9083204:1 gene:ONIVA07G09420 transcript:ONIVA07G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTTTGGDVQIPIGQQATVAATATATSDGIRDSPGTSSPFRGGGGSTTPTPQRPVKAGSSSSPPPPPTTAMDKTLSSVANLAKLLPTGTVLAFQSLSPSFTNRGACLTSNRYLTAALLYLCVLSCIFFSFTDSFVGGDGKLYYGVATAKGFLVFNYDAGSSSDGDDDDQRRRREVFKDLRRLRIRWVDYVHAVFTALVFMTVAFSSTAVQSCYFPEAGDNVKQLLTNLPLGAGFLSTTVFLVFPTTRKGIGYGGQSTN >ONIVA07G09410.1 pep chromosome:AWHD00000000:7:9074373:9077941:-1 gene:ONIVA07G09410 transcript:ONIVA07G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRAALLIPPLKLGEEGPGQDLHASCLSMNQVEIKFLFYLYDAIPFLEDCFHEPPKDWSHVPRSHSKIAMSVPVLNQSSSAPFQAIIGITSKTFYSLQSQGAISFSQVKSDTEAVS >ONIVA07G09410.2 pep chromosome:AWHD00000000:7:9074373:9077941:-1 gene:ONIVA07G09410 transcript:ONIVA07G09410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRAALLIPPLKLGEEGPGQDLHASCLSMKIGAMFQEVIPKLQLLNQSSSAPFQAIIGITSKTFYSLQSQGAISFSQVKSDTEAVS >ONIVA07G09410.3 pep chromosome:AWHD00000000:7:9076437:9077941:-1 gene:ONIVA07G09410 transcript:ONIVA07G09410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRAALLIPPLKLGEEGPGQDLHASCLSMKIGAMFQEVIPKLQLLNQSSSAPFQAIIGITSKTFYSLQSQGAISFSQVGMRSFFLVKS >ONIVA07G09400.1 pep chromosome:AWHD00000000:7:9053213:9053791:1 gene:ONIVA07G09400 transcript:ONIVA07G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMLQRRNPELSGEIDGRQRRLFMPRRRTTAMVDGATSTGLHVITNIENRAISMWYTRSRLSCELWFVGVAGDFIEQLRAPTGGREDGGISGGWRQSLMGEVRVVKEESGESCGQGLGRFGWVYDISWMGMSRARIHIHGLTAQRPVLATSSRTDGTTRMGSLQGSNGCQRWSKGEGFGRGVEDLAGTAR >ONIVA07G09390.1 pep chromosome:AWHD00000000:7:9052853:9053120:1 gene:ONIVA07G09390 transcript:ONIVA07G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTIPGCYYCKQSTNGSPVGRESTTEALGRSQTRRWSISLLDGPFLDDETKLVAQQLIRICLGAAGRRKTLLLGHGSSS >ONIVA07G09380.1 pep chromosome:AWHD00000000:7:9026809:9032205:-1 gene:ONIVA07G09380 transcript:ONIVA07G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase, large subunit family [Source:Projected from Arabidopsis thaliana (AT1G67320) TAIR;Acc:AT1G67320] MEIVRSHRQIAAEAAAGVGCGGGAGGLPTYRVAPQLEVRLEEFELFAIDRLRVLKGIADGLSRGKRPEEMEKLVKELWKAHMRHQDPTETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLESPESQRMLMSEFQLPYKALPHSEFEAVKDKLSQVARTIGQSAAVESVFFKVPFEEVPDLVASRRVFLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEDNLRAALNKMGISGHPLEEIMDKVKNRHYQLACTMTFEAEHGVSCDTGINHPNQYFSESQKVLKAKCRAKEQPKLSSDAVVVQASNPGGRGARVEEGSSPGGDGRGRRSHGGGNAQREKPRWQRARREQPRRARREKRQRRRRARREKRQLQRRAGREAEAGARRAGGERQPVR >ONIVA07G09380.2 pep chromosome:AWHD00000000:7:9026809:9032205:-1 gene:ONIVA07G09380 transcript:ONIVA07G09380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase, large subunit family [Source:Projected from Arabidopsis thaliana (AT1G67320) TAIR;Acc:AT1G67320] MEIVRSHRQIAAEAAAGVGCGGGAGGLPTYRVAPQLEVRLEEFELFAIDRLRVLKGIADGLSRGKRPEEMEKLVVSLVVTQFRCNISKALVLTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEDNLRAALNKMGISGHPLEEIMDKVKNRHYQLACTMTFEAEHGVSCDTGINHPNQYFSESQKVLKAKCRAKEQPKLSSDAVVVQASNPGGRGARVEEGSSPGGDGRGRRSHGGGNAQREKPRWQRARREQPRRARREKRQRRRRARREKRQLQRRAGREAEAGARRAGGERQPVR >ONIVA07G09370.1 pep chromosome:AWHD00000000:7:9018565:9023569:1 gene:ONIVA07G09370 transcript:ONIVA07G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPALAPPTIAQIEELERVVGISHTGSIRFVNCLVESGADPNIPDEVKHFLPSRRQLQLLKGYFSTGVNKMWILAIGRVLDVTVTPREIEPLVCEYYISKCPRGKEDTCRSAGINYFTEDIAARSQAVARQFKSLKVKAVVALLSLSRNDTAEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQVYSCSNYWRDHDTALFILKHLYHDIPEETPTDDPERMPIRLFYATGIVEAVEDLLRC >ONIVA07G09370.2 pep chromosome:AWHD00000000:7:9018565:9023569:1 gene:ONIVA07G09370 transcript:ONIVA07G09370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPALAPPTIAQIEELERVVGISHTGSIRFVNCLVESGADPNIPDEVKHFLPSRRQLQLLKGYFSTGVNKMWILAIGRVLDVTVTPREIEPLVCEYYISKCPRGKEDTCRSAGINYFTEDIAARSQAVARQFKSLKVKAVVALLSLSRNDTAEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQATGIVEAVEDLLRC >ONIVA07G09370.3 pep chromosome:AWHD00000000:7:9018565:9022863:1 gene:ONIVA07G09370 transcript:ONIVA07G09370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPALAPPTIAQIEELERVVGISHTGSIRFVNCLVESGADPNIPDEVKHFLPSRRQLQLLKGYFSTGVNKMWILAIGRVLDVTVTPREIEPLVCEYYISKCPRGKEDTCRSAGINYFTEDIAARSQAVARQFKSLKVKAVVALLSLSRNDTAEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQVYSCSNYWRDHDTALFILKHLYHDIPEETPTDDPERMPIRLFYATGIVEAVEDLLRC >ONIVA07G09370.4 pep chromosome:AWHD00000000:7:9018565:9022863:1 gene:ONIVA07G09370 transcript:ONIVA07G09370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPALAPPTIAQIEELERVVGISHTGSIRFVNCLVESGADPNIPDEVKHFLPSRRQLQLLKGYFSTGVNKMWILAIGRVLDVTVTPREIEPLVCEYYISKCPRGKEDTCRSAGINYFTEDIAARSQAVARQFKSLKVKAVVALLSLSRNDTAEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQATGIVEAVEDLLRC >ONIVA07G09370.5 pep chromosome:AWHD00000000:7:9018565:9023569:1 gene:ONIVA07G09370 transcript:ONIVA07G09370.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPALAPPTIAQIEELERVVGISHTGSIRFVNCLVESGADPNIPDEVKHFLPSRRQLQLLKGYFSTGVNKMWILAIGRVLDVTVTPREIEPLVCEYYISKCPVIVPYHRGGKRIHVGVQELTISLKILLHGLKLLLASSKDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQATGIVEAVEDLLRC >ONIVA07G09370.6 pep chromosome:AWHD00000000:7:9018565:9022863:1 gene:ONIVA07G09370 transcript:ONIVA07G09370.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPALAPPTIAQIEELERVVGISHTGSIRFVNCLVESGADPNIPDEVKHFLPSRRQLQLLKGYFSTGVNKMWILAIGRVLDVTVTPREIEPLVCEYYISKCPVIVPYHRGGKRIHVGVQELTISLKILLHGLKLLLASSKDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQATGIVEAVEDLLRC >ONIVA07G09360.1 pep chromosome:AWHD00000000:7:9004449:9006214:1 gene:ONIVA07G09360 transcript:ONIVA07G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHWCHRPISLTGASQAKPLRHQLRLAEPAGAELLLPPERKHAWPPPSRPFNHRSTSALSLFLSIVAREKKQKKGEKNGRKKKRHMTSGPLCTTVPQVLSASKELTWTQMAMLKPREILRPKARHD >ONIVA07G09350.1 pep chromosome:AWHD00000000:7:8983581:8986231:-1 gene:ONIVA07G09350 transcript:ONIVA07G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPSWAAVVLATVVFLLGAVLLRRRRAYKLPPGPKPWPIIGNLNLISSLPHRSIHEISKRHGPIVQLWFGSCPVVVGSSVEMAKLFLQTHDAVFADRPRTAAGKYTAYDCTDITWSPYGAYWRQARKMCAAELFSARRLESLEHIRHEEVRALLRDLHSAGAAGNAVHLRDHLSMAALGVISRMVLGKKYVEKQPAGGGAATTTPEEFKWMLEELFLMNGVLNIGDFIPWLDWLDLQGYIRRMKNVNRLIHRFLDRVLDEHDERRRLQGDGFVARDMVDILLQLADDPNLHVQLTRNGIKGITQDLVTGGADTSAVTVEWAMSEVLKNPAILAKATEELDTIVGVGRLVTEGDIPHLPYIHAIMKETMRMHPVVPLLVPRMSREDASVAGYDVPAGTRVLVNTWTFGHDPSVWDSPEEFRPERFVGSGIDVKGRDFELLPFGSGRRMCPGYNLGLKVIQLTLANLLHAFSWCLPDGVTAGELSMEEIFGLTMPRKIPLLAVVKPRLPDHLYAEP >ONIVA07G09340.1 pep chromosome:AWHD00000000:7:8962426:8962992:1 gene:ONIVA07G09340 transcript:ONIVA07G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEVGAHDQSQGGDGYDGGGLELACPRRALAIGGKARVPSADLARRGDRDDPGGGLDLTPENEVSCHRFRPPCYRRLGLCVEKPGRATTATPVEPKGRMQMDLAPLCQIRPESGHAGRWGSAKMVWWLGGARLSSPTMTTRCPTTSKMSVANDIDDKEGHPHTGCLVASCLATRLLMAWIIGGGVV >ONIVA07G09330.1 pep chromosome:AWHD00000000:7:8955080:8960412:-1 gene:ONIVA07G09330 transcript:ONIVA07G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HZD0] MALSCMRCSPAAGAVRRGLASAAPPAALSFARCGLRRAAALGWRVAAVTTTGVQGAKDAGLEKAARSASQSKVENGSPSEIILDDFEDLSPLSENDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRENCNEKMEEFLKRCFYHSGQYDSEEHFMDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSGNGWTRVIVEKPFGRDSDSSSALTRGLKQYLVEDQIFRWIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDRYGIIRDIMQNHLLQILALFAMETPVSLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTEDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKGAEIRVQFRHVPGNLYKRSFGTDLDTATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWELFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLTTEQKA >ONIVA07G09320.1 pep chromosome:AWHD00000000:7:8901456:8905115:1 gene:ONIVA07G09320 transcript:ONIVA07G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAFRRSTSTALQALTALSVLAALLQVEGQMYGPEEAAQTAQCPPFSCGHLKNVSPPFRRHGDPPDCGYKSHELVCSDTKATIRINNATYYVTRIIHWSLFVVVDTDLLNSHNSSCPLPRRQHQPPHERISMAPTSDGVQVIELAPFTNRWAAFVNCSKEITRNVRYKPVACLSTSHSFVYVLTGSESETVYVESLEPSCGYLGMTPLDDRGASINASLSYADVLKYMRKGFAIGISSSSGTYHFDFRACLAESVRFLLAPLVVLTFLSHKYWKARITIDAVEKFLRMQEMLSPMRYGYTDIIAITSHFRDRLGQGGYGTVYKGVLLPGNIHVAVKMLNGNSNCNGEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSDKSFSRDKLNEIALGIARGINYLHQGCDLQILHFDIKPHNILLDKNFVPKVADFGLARLYPRDKSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAENSSQAYYPSRVYRQLTRQETGEITAAADMHELEKKLCIVGLWCIQMRSCDRPMMSEFRHALFVHTLGLYSRDEHVYGVACRFVLVMLLMWTSVAYMYWKIKMRVDEVEKFLQLQQMLTPTRYSYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGDVRVAIKMLKGDANCKGEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPQGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHHGCDMQILHFDIKPHNILLDNNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRGFGAISSKSDVYSFGILLLEMAGGRRNADPNAENSSQAYYPSRVYRQLTRQETGEITAAADMHELEKKLCIVGLWCIQMRSCDRPMMSEVIEMLEGGVDCLQIPPRPFFCDDDYIPAMESLYLSSEVELAAISEEEDEESITELN >ONIVA07G09310.1 pep chromosome:AWHD00000000:7:8895308:8900270:-1 gene:ONIVA07G09310 transcript:ONIVA07G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMQLSCSGHDTILDHPVLGSCKVTAIYYRYRVINAILLVESSSNCPLQKLASTNVSTAVYEPQKKDGATIVGCSKDSIATNQDGIVGPRSCLNLSSHGSQLWYLVPPRTYMSALPPDCTVVAKGIPIPYNYDRNGPNENQYLDISNLKEKANKVINFGETAFTWHLNKITNACQGCERNGHHCGFSSQRGQAFCQHQGSQVILIAATSSAATLVVLLLMVGTTIYLSLKTKYNEEIHLKVEMFLKTYGTSKPTRYSFSEVKKITRRFREKIGHGGYGNVYKGELPNGVPVAVKMLENSRGDGQEFINEVATIGRIHHANVLRLLGFCSEGTRRTLIYEFMPNDSLEKYIFSQGPNVSREFLVPDKMLDISLGIARGMEYLHQGCNQRILHFDIKPQNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGVISYKSDVYSFGMLVLEMVSGRRNLDPGIDNQHEVYFLEWIYEKVFTGQNLLIGTEMTQDEKYKVRKLAIVALWCIQWNPKNRPSTTQPQLSILMQCANFPFSVNSAASSVAAFVVLSFIMATALYLSLKSRYDEEVHLKVEMFLRTYGTSKPTRYSFSDVKKITRCFKEQLGQGGFGSVNIVRLLGFCSEGTRHILIYEFMPNESLEKYIFFHDPNTSQELLAPKKM >ONIVA07G09300.1 pep chromosome:AWHD00000000:7:8894722:8895282:-1 gene:ONIVA07G09300 transcript:ONIVA07G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLHQGCNQRILHFDIKPHNILLDYNFNLKISDFGLAKLCARDQSIVTLTKARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSIEDQNEVYFLEWIYEKVITGQDFVLSGEMTEEDRLKVRQMALVALWCIQWNPRNRPSMTKVVNMLTGRLQNIQVPPKPFVSYESHAVP >ONIVA07G09290.1 pep chromosome:AWHD00000000:7:8892851:8893489:1 gene:ONIVA07G09290 transcript:ONIVA07G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWSTPPRCSPSPPPTSAPSASLPARRPTSTTSPAGSRPGSSRSPPWPQRTRRRQRQGEERRRVDGPHVARRPRLARRCAGSSWPRTRTASPPRTPCSPRRPPCRRLGKDLMATSRPVRRSPPDSPAPLLSPHSTAAHLRACGLCNGGRRQSPADAVVPRCLPKREE >ONIVA07G09280.1 pep chromosome:AWHD00000000:7:8892602:8893293:-1 gene:ONIVA07G09280 transcript:ONIVA07G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGSKASAAARLFLSAASLTRRNDEPGAGDEPHVDRPHADALHLGAGAASSVAATADSESSPAANLPARSWRDADGAEVGGGEGEHRGGVDHRGIGIGGGGEAREEASVDGGGGGGSERRSAVAGHGDVTEADAAQGHRPRRQRVGEDVPDEPVSFTSIAA >ONIVA07G09270.1 pep chromosome:AWHD00000000:7:8892118:8892564:-1 gene:ONIVA07G09270 transcript:ONIVA07G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSLRPRGRRPREACGDDRLSALPDDLLLLVLRRLYTRTALATGMLSRRWAHLPHELPALDFRVSDILRRAITGDRCILRHRGVVGASPVASRRHRVARHRPPATPSSSPTLPRRRQQPADVLPSCHPKREERERERRGEGDDVAR >ONIVA07G09260.1 pep chromosome:AWHD00000000:7:8891249:8892116:-1 gene:ONIVA07G09260 transcript:ONIVA07G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPCGFHADSAATSDKTGLKTTEGSRVTVREVVGGRSGNGRARCVQRAESRQRQASVAAVHGWRAEQFGREGEVAGDPVRARLTYLAPDVTRCAGDDDKERGRERYRRPTTVEAGELGDGLLTLVDQAPRSVLTHRYSACRAHGGG >ONIVA07G09250.1 pep chromosome:AWHD00000000:7:8890492:8891210:-1 gene:ONIVA07G09250 transcript:ONIVA07G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVALARRPWLASLARNSIAALARRSCLPLRLPLSLAAPPAAPAVPAAFLPLSRFFPARRRARSAVGYHARICPSAPECATDMWAPSPSGNNRHY >ONIVA07G09240.1 pep chromosome:AWHD00000000:7:8882274:8885430:1 gene:ONIVA07G09240 transcript:ONIVA07G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCLIKTLALKNILDQHVVVCAWKFKIRCAMSKLWERNLKKMRQSNSISLCVPAYEIVKMEPQEKNSQSTSLGASMEETIVVCKNIFKMNGTLPHWGCNGIKDSDDQWLNSDS >ONIVA07G09240.2 pep chromosome:AWHD00000000:7:8882502:8885430:1 gene:ONIVA07G09240 transcript:ONIVA07G09240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCAVRTIFLHFQQKIIFLSTVFFQNLVLHLLIHLKKILQAFYCSMEETIVVCRKLLWCVGTFGGSMEA >ONIVA07G09240.3 pep chromosome:AWHD00000000:7:8882513:8885430:1 gene:ONIVA07G09240 transcript:ONIVA07G09240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCLIKTLALKNILDQHVVVCAWKFKIRCAMSKLWERNLKVLFCMSSIFFHALLSKSNILLSNEVLIQETNTAALSVENEAKQLYITMCACLRDCKDGTTGEELTEHITWCKVCKNIFKMNGTLPHWGCNGIKDSDDQWLNSDS >ONIVA07G09240.4 pep chromosome:AWHD00000000:7:8882502:8885430:1 gene:ONIVA07G09240 transcript:ONIVA07G09240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCLIKTLALKNILDQHVVVCAWKFKIRCAMSKLWERNLKVLFCMSSIFFHALLSKSNILLSNEVLIQETNTAALSVENEAKQLYITMCACLRDCKDGTTGEELTEHITWCKMIDRSCTVIGRSSKNFRKCMLTCILGSSCCKNI >ONIVA07G09240.5 pep chromosome:AWHD00000000:7:8882503:8885430:1 gene:ONIVA07G09240 transcript:ONIVA07G09240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCACLRDCKDGTTGEELTEHITWCKVCKNIFKMNGTLPHWGCNGIKDSDDQWLNSDS >ONIVA07G09240.6 pep chromosome:AWHD00000000:7:8882503:8885430:1 gene:ONIVA07G09240 transcript:ONIVA07G09240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCACLRDCKDGTTGEELTEHITWCKVCKNIFKMNGTLPHWGCNGIKDSDDQWLNSDS >ONIVA07G09240.7 pep chromosome:AWHD00000000:7:8882916:8885430:1 gene:ONIVA07G09240 transcript:ONIVA07G09240.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCLIKTLALKNILDQHVVVCAWKFKIRCAMSKLWERNLKVLFCMSSIFFHALLSKSNILLSNEVLIQETNTAALSVENEAKQLYITMCACLRDCKDGTTGEELTEHITWCKVCKNIFKMNGTLPHWGCNGIKDSDDQWLNSDS >ONIVA07G09230.1 pep chromosome:AWHD00000000:7:8878724:8881630:-1 gene:ONIVA07G09230 transcript:ONIVA07G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIVHPILMDKYPLHAVVPRERRQPLPLHHRSFSTADPGARHGRRRRRCGGASALSARPQGKITRLNALLHAVIEIEVNSDALQQPARADTEHASGHSYCGPLHGVPILKDNIVKRDRLNTTAGSFALLGSVVCRDAGVTAGLRAAAAAAIILSKANPVPNGWSARRWQLQLATHRKIIFASTRSCSMDMIYLQDMIALGGDEVSVSNMQIHVIIHQNCPLATFSTDRASSYDMNNTHRSPRLHAHSLVVKVVNTSKGLF >ONIVA07G09230.2 pep chromosome:AWHD00000000:7:8878724:8881630:-1 gene:ONIVA07G09230 transcript:ONIVA07G09230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIVHPILMDKYPLHAVVPRERRQPLPLHHRSFSTADPGARHGRRRRRCGGASALSARPQGKITRLNALLHAVIEIEVNSDALQQPARADTEHASGHSYCGPLHGVPILKDNIVKRDRLNTTAGSFALLGSVVCRDAGVTAGLRAAAAAAIILSKANPVPNGWSARRWQLQLATHRKIIFASTRSCSMDMIYLQDMIALGGDEVSVSNMQIHVIIHQNCPLATFSTDRASSYDMNNTHAHSLVVKVVNTSKGLF >ONIVA07G09230.3 pep chromosome:AWHD00000000:7:8878724:8881630:-1 gene:ONIVA07G09230 transcript:ONIVA07G09230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIVHPILMDKYPLHAVVPRERRQPLPLHHRSFSTADPGARHGRRRRRCGGASALSARPQGKITRLNALLHAVIEIEVNSDALQQPARADTEHASGHSYCGPLHGVPILKDNIVKRDRLNTTAGSFALLGSVVCRDAGVTAGLRAAAAAAIILSKANPVPNGWSARRWQLQLATHRKIIFASTRSCSMDMIYLQDMIALGGDEVSVSNMQIHVIIHQNCPLATFSTAHSLVVKVVNTSKGLF >ONIVA07G09220.1 pep chromosome:AWHD00000000:7:8819100:8828774:1 gene:ONIVA07G09220 transcript:ONIVA07G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVREHMLPPTSPIPSHRSSSAPPPSPLYLFGKNRNRRRRATKKPLWKLYAFHGDVRVVSNPDVKPLVIKPVLLFAPHASTHIVVGASLLPRPPQHTSFRALCDPSRSAATHGNGPLKSRRLELYAFHGDVCTVYEPKRRTVGCKARHKPNLSAAPGTPCLDAYSGQSFTIPSTSNARRTVSSRALCDPSRSAATHGCRSNTSAPLNLHPPPILLADIRVYFILDGNLWYFKDQIIRLPISDLFVLEGELNHSNVPYSRFWSCVIRVIPTPEV >ONIVA07G09210.1 pep chromosome:AWHD00000000:7:8807801:8810598:1 gene:ONIVA07G09210 transcript:ONIVA07G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVYSGCRLHSSKFHPIWCSGSSGTRTSPSLLRSAHRVPPSGSRRRSDRCRPSQAEPSRQHILLEVLLKTLQESQAMAQQVRRSATVFFTSGRRRHCRFRLLRRLSRRRSPRRFLPPPSRYTSRCRQPSFASLVLLLDQAKPSRRANIFSLKSSSKPFKNPRQWRSKCGDRPPSSSPPVAVAIVDSASSAIAVHPEVLAAAEPLHEPPSST >ONIVA07G09200.1 pep chromosome:AWHD00000000:7:8786189:8802723:1 gene:ONIVA07G09200 transcript:ONIVA07G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G67320) TAIR;Acc:AT5G67320] MGAITSAELNFLIFRYLQESGFIHAAFTLGYEAGIHKGGIDGNLVPPGALITIVQKGLQYIELEANTDENDEDLAKDFALLEPLEIITKNVEELQQIVKKRKREKTQSDRDKDKGKEKERMEEHERRPGGERERERHDQEKELEKEKDRAERDRDQDKEKEKLHTERIDKVKAEEDSLAGGGPTPMDVSTTAHEISSADVTVLEGHSSEVFACAWSPAGSLLASGSGDSTARIWTIPDGPCGSITQSSPPGVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWNSDGELKQTLFKHKGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKIGDQRPVKSFSGHQSEVNAIKWDPTGSLLASCSDDWTAKIWSMKQDKCVYDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEQGRLLYSLAGHRQPVYSVAFSPGGEYLASGSLDQCLHIWSVKEGRILKTYRGSGGIFEVCWNKEGSKIAACFSNNTVCLMDFRM >ONIVA07G09190.1 pep chromosome:AWHD00000000:7:8752046:8752409:-1 gene:ONIVA07G09190 transcript:ONIVA07G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWPVCGEAWRSRRCKRRSAAVATATAACSRSSPESGGARARAGSTGEVTRSSGRGGKRKRRARGLGEEKSWPDVADLKRQRPATWATPWAKWGSIWRQKLGKSGGFGGGGGGVT >ONIVA07G09180.1 pep chromosome:AWHD00000000:7:8589104:8594590:-1 gene:ONIVA07G09180 transcript:ONIVA07G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26782) TAIR;Acc:AT3G26782] MAGASVMTSLPNPLPTPPAHPAPAFNPQRQRERSVPSPTTTASSLRALFLRAVDPSRPASWSAAVADLLSSGDAVAALATFAAAVRANPAALRPALPPALRAAAAARSLAAGRQLHLLALRSGLFPSDPYSASALLHMYHHCSRPMDARRAFDEIPDPNPVIVTAMASGYVRNNLVYHSLELFRAMIASDSASVVDEAAALVAFSASARVPDRGVTASLHALIAKIGFERNAGVVNTMLDSYAKGGSRDLEVARKVFDTMERDVVSWNSMIALYAQNGMSAEAIGLYSKMLNVGGGIKCNAVALSAVLLACAHAGAIQTGKRIHNQVVRMGLEENVYVGTSIVDMYSKCGRVEMASRAFRKIKEKNILSWSAMITGYGMHGRGQEALEIFTEMKRSGLRPNYITFISVLAACSHAGLLDEGRYWYNAMKQEFGIEAGVEHYGCMVDLLGRAGCLDEAYSLIKEMKVKPDAAIWGALLSACRIHKNVELAEMSVKRLFELDASNSGYYVLLSNIYAEAGMWKDVERIRLLVKTRRIEKPPGYSSFELKGKIYLFYVGDKSHPQHIEIYSYLEKLLERMQEAGYVPNTGSVLHDLDEEEKESALRIHSEKLAVAFALMNSVPRSVIHIIKNLRVCSDCHTAMKFITKITEREIIIRDLQRFHHFKDGLCSCRDYWSVNSKLII >ONIVA07G09170.1 pep chromosome:AWHD00000000:7:8582552:8588950:1 gene:ONIVA07G09170 transcript:ONIVA07G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNHSNEGEKIILGMEGRSSNEISSAEAVLVGALSSGVNAPTWFVLKITFLLLAFCFTAMLSLAFFSSDFMIIAHVLLLVTIGTVLFVLLNRFLAETGLVPVEQQMKEMGIHKIEATEKDKGN >ONIVA07G09170.2 pep chromosome:AWHD00000000:7:8585989:8588950:1 gene:ONIVA07G09170 transcript:ONIVA07G09170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNHSNEGEKIILGMEGRSSNEISSAEAVLVGALSSGVNAPTWFVLKITFLLLAFCFTAMLSLAFFSSDFMIIAHVLLLVTIGTVLFVLLNRFLAETGLVPVEQQMKEMGIHKIEATEKDKGN >ONIVA07G09170.3 pep chromosome:AWHD00000000:7:8582552:8588950:1 gene:ONIVA07G09170 transcript:ONIVA07G09170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSSNEISSAEAVLVGALSSGVNAPTWFVLKITFLLLAFCFTAMLSLAFFSSDFMIIAHVLLLVTIGTVLFVLLNRFLAETGLVPVEQQMKEMGIHKIEATEKDKGN >ONIVA07G09160.1 pep chromosome:AWHD00000000:7:8576973:8577641:1 gene:ONIVA07G09160 transcript:ONIVA07G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEAGVTATAPTVSAIVLTATVGCHLLKISGYSQARLVDNGERVESAKFKAAGHTWRIVFYPNGKYSMDHGAFSFYLKLIDRSKGVDAEIQFSLLPRHGADSGTLPYSKPEIMHTFGSARRNSKCGFNWFISRDEMETLQNKYVGEDDDSIILRCDIKVVNKPAIHRIGLNDLGVFCPCDDDTCKRLHKRSLQAPSGIAMESQPCLGIKGGFKRLFSYFLA >ONIVA07G09150.1 pep chromosome:AWHD00000000:7:8555397:8559080:-1 gene:ONIVA07G09150 transcript:ONIVA07G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF167) [Source:Projected from Arabidopsis thaliana (AT5G63440) TAIR;Acc:AT5G63440] MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTQLQKMPKRKTDRAHVLDKKKHLSRLNVKEAGKVLLKRGEGKLEKQFRMSCLGCGLFVCYRSEEELELAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP >ONIVA07G09140.1 pep chromosome:AWHD00000000:7:8548037:8551342:-1 gene:ONIVA07G09140 transcript:ONIVA07G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGDGRSFNFLQVLFEGVIAGGAAGVVVETALYPIDTIKTRLQAAKGGSKIQWKGLYAGLGGNIAGVLPASAIFIGVYEPTKRKLLEMFPENLSAVAHLTAGAIGGAASSLIRVPTEVVKQRMQMSQFKTAPDAVRLIIRKEGIKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRDLKDRENALIGAFAGAITGAITTPLDVLKTRLMVQEQAKQYRGIISCAQTILREEGAGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSILAERNSRKVRKL >ONIVA07G09130.1 pep chromosome:AWHD00000000:7:8538255:8547243:1 gene:ONIVA07G09130 transcript:ONIVA07G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSATSLLLGAALATVFFLLYTSVCRDLGDGPPKSSPPRWAHAQEQGTATVTPATRVVDAEQGTGRPGRQEEEVVAPREEKQTKDEAASRSGHGGGSVEQQQNQRRIVMPTSQQKETPSSPPQRQQQDLGELLRRAATPDKTVLMTAINEAWAAPGSFLDLFLESFRHGEGTEHLVRHLLVVAMDGRAFERCNAVHQFCYWFRVDGMDFAAEQSYMKGDYLEMMWRRNRFQQTILELGFSFLFTDVDILWFRSPFPHLSPDAQVVMSSDFFVGDPTSPGNYPNGGLLYVRSSASTVRFYEHWQSSRARFPGKHEQFVFDRIVKEGVPPHVGATVRFLDTGHFGGFCQHGKELGRVVTMHANCCVGLQNKLFDLRNVLEDWKTYKERVAAGNMDYFSWRVPGRCIH >ONIVA07G09130.2 pep chromosome:AWHD00000000:7:8538255:8539545:1 gene:ONIVA07G09130 transcript:ONIVA07G09130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSATSLLLGAALATVFFLLYTSVCRDLGDGPPKSSPPRWAHAQEQGTATVTPATRVVDAEQGTGRPGRQEEEVVAPREEKQTKDEAASRSGHGGGSVEQQQNQRRIVMPTSQQIDDG >ONIVA07G09120.1 pep chromosome:AWHD00000000:7:8533563:8533850:1 gene:ONIVA07G09120 transcript:ONIVA07G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASAATGATRAGLPVSTSHDAAPAYDRHRALLVGAPFPPIPTTFLPSTACNHTLPASPCHLHVRVAASTQPHTTVGGLGVALPHRGGRIQMGEG >ONIVA07G09110.1 pep chromosome:AWHD00000000:7:8526749:8533213:1 gene:ONIVA07G09110 transcript:ONIVA07G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAAAVLLPRPPPPPPAGAPTEPDDDEGSLHSLLASLSSSSALRLLPFPLLAFSRLRRHLPPAAGTSHLLLRPVAALLHHHRSHLRLGVQLHALSLSLGLSRHPILLPRLLSVYTSHPSLLPSAASVAADSTLPLPYNVLISSCLRHGLPLQALAAYQEMGKNGVLPDVFTYPSVLRACAEARELVLGRAVHMHAAGAGMDGNLFFQNALMSMYAKCGDLASARKVFDGMVQRDVVSWNSMISSYAAVGQWAEAMELFRRMRDEGTEVNSVTWNTIAGGYIQMRDYRAAVGLIREMVRGGAEVDYVTLVIGLNACSRVGWLRLGKEIHGLAVRMCCDQVESVSNALITMYARCKDMECARMLFRMLECPGVVTWNTMLSSFALSDCAEEASSIFREMICRGVKPNYVTVVTYLALCARVANLQHGQELHGHIVKHGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMDDCDMISYTSMIAGYGMQGKGTVALRLFEQMIDSGIKPDHIIMVTVLSACSHSGLVLEGEELFNKMVISYGIKPQMEHYSCMIDLYARAGLLEKAEEMLDHTPFPPTSTMWAALVGACHDRGNIEIGERAARKLLEMRTENAGHYVLIANMYAAAGCWDELATVRKLMRDLGVTKAPGLAWTDLGNGFTPFLVGDRSNPLAPEIYVVLDELSEQMRNINNCSDLDILAENIDTAVYTNKPLPSARDWDACVAVTAMEF >ONIVA07G09100.1 pep chromosome:AWHD00000000:7:8520238:8521418:-1 gene:ONIVA07G09100 transcript:ONIVA07G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDARLLEFMEVTSCYDVTLAARQLASCGWHLDRAVDLFYAGVVEGGGRPSSWSARHDGEESTSSASASTSVRAPISARSDTLYGVPYPSVGRATRRRRQTRWESEEDAALRRQREGEASTSTSGYGGGRDDSDDEQPPPASKKRKPSTLAELYRAPRELTYRGGFHSAKVHAARLSRWLLVNVQAEYGGREFASHLLNRDVWADETVAMYVRDNFVFWQADEGDSGGEGSKVCCYYKLDRAKLPAVLFVDPVTGQLMEKLHHITDPTDFLMAAEKFIDSKSFISTTTRANRITYHRLTVVGKEFGGQCGVDALFAYCRSVIGVEQPFRVMRMPATAGAKEEVREDKDVSFEQLGLNMSTVYVLLD >ONIVA07G09090.1 pep chromosome:AWHD00000000:7:8512775:8513247:-1 gene:ONIVA07G09090 transcript:ONIVA07G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIFNQYGMQLAKCMEVIDNLAARFEARKTQPDTNGMVTKSSYTCEIIRIVLWCALNNCEPNSKVLDPGFGIFKTDRVPYILCADNIIPNTICTAPIKEMELKP >ONIVA07G09080.1 pep chromosome:AWHD00000000:7:8510361:8510720:-1 gene:ONIVA07G09080 transcript:ONIVA07G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQVEHKNTHERSSQAIAESLAAGPTPSLPPPPVAAAPTSPHVGPPLPARSGGGEVLLPPPLSGRRLPSSHHPPPPPPPPLLPGCRSRPDLADRRRRCRLRCLAAAFPPATTADARER >ONIVA07G09070.1 pep chromosome:AWHD00000000:7:8497299:8497866:-1 gene:ONIVA07G09070 transcript:ONIVA07G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGADVSNTCRDSFGRSTTTVGITAGSGRAGTEAIGGTLGRASARSVASCQSSRAGVKDGGIPDVGQREAAAVGLVALGIGAASVGGGARRGQRRSRQGLGRRGVSISGLVGVVMPLRRRGQREGETGGIGSVSMGGGFGSVTAGCELSA >ONIVA07G09060.1 pep chromosome:AWHD00000000:7:8491357:8495305:-1 gene:ONIVA07G09060 transcript:ONIVA07G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPTGAMAAVIPKLSKLLMEEYGLQNSVKEGITFLNSELESMQAEVDKISKVPLDQLDSQIKIWARDVRELSYDIEDNVDTFMLCVDDLEARKKHDFTWLIDKYCKSLSELKIHHKIANDIKHDIIPVKEVVERHDRYNADDVDSKLPTIIDPRILKLYDNVTKPVGVDKASGDLIKKLSMETDQSSQKLKMISVVGFGGLGKTTLAKEVFGMLRVQFSYACFVSVGRKPDIKKVLKSILIEVNKQKHMSDLAKLSERHLIDEIREYLENRRYLVVLDDIWEISTWDIIKCAIVDSNCGSRVIATTRISQVAEEVGDIYNMEPLSDDNSKRLFNRRIFGADCIGTTNNQSIEAMEKVLKKCGGVPLSIITIASLLVDKPLEDWSNVFDSIGFRLEDNEAVQNTRKILSFSFYDMPSYLKNCLLHLRIFPEDCLIEKESLIWKWIAEGFVHVEQGKELFEVGERYFTKLINKSMIQPMDFNNYEGTLDGCRIHDMVLDLIRIISTEENSTTVLDRMHEEHNTSLVSRNVRRLALHISWNQDIDNNLPVDMARLRSFNAFECPTSMMPPLLDFHALRVLALEDCDITGGYFLKHLGNLRQLRYLGMRNTGKVELPQEIGNLRHLQTLDVRDSFLDALPVTVYELSKLLCLCMDSFTEVPAGLGNLKSLQELRVYVSDDSCPNFSAELLKLTDLKILHISWYWEVDEVSLKDLVESLRSLRGIEDLDFFSCSDAEMSGWEGWEPPRQLRRFSIDSVRVTLPRLPSWVNSTCVPHLSHLDLRVKAMEMQDLEALARISELRFLSVNVEAGFSWTVPGGGLFPNLRRCHTDIALTFLHGAMPMLMEIELCVVASGGGATSYDVGLGNLLLLKTVEVWIACEGATASQWLIKEDKEDGDDEDISATDQELCDEGGKEDTAFRLIGENKHTLAPARGGAPARQQGGGAPFSGEPFSGPASRMGAPSQLAR >ONIVA07G09050.1 pep chromosome:AWHD00000000:7:8489125:8490255:-1 gene:ONIVA07G09050 transcript:ONIVA07G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTTPLGIVSLLEGVVLALTSPGTKNLPCAMGHWWTPALPLKLYKPKVFDEVFSVLVLFLSLRGATKLDNDDMLQSFYKGSIAVKSKLLCRLGGNLGNENMCGLLCHHYDKLGRCLVSGSVVDF >ONIVA07G09040.1 pep chromosome:AWHD00000000:7:8444175:8446719:1 gene:ONIVA07G09040 transcript:ONIVA07G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSRLATFALLVIITLSSSPRPCPRRVDAAREWLARGASIAVEDHATDVLRSPDGTFAAGFYDASPTVFTFSVWFARAADRAVVWTAARARPVHSKGARVTLDARRGALVLTDYGGEVVWNSSTPAAGGNGGARVRLHDTGNLVVEDAGGKTLWQSFDFPTDTLLPAQRLTAATRLVSRDRLLSAGYYSLGFSDYAMLSLFYDNGNFSSIYWPNPYFSYWQNNRKIYNFSREAAMDALGQFLSSDGTTFEAADLGAAGVRRRLTLDTDGNLRADFWGYDLNDGEVMPLGDCANKCLDNCACVVFQYKEHMECYLKSVLFNGKTFPGLPGTVYIKVPADFDVPEFHVHQWQRGGDGGGGGLAIQEDIAGCAAAATGDSNRKVLLNVSSSLSSHDAGKPVWPYLYGFLSALLVVEAIVIGFGCWLFSSKGLFRHSRVYAIDQEGYKLITSHFQRYTYADIKKATANFTGVIGRGGSGVVYKGVLDDERVVAVKVLKNVSRQSEEEFQAELSVIGRIYHMNLVRMWGCCSQAKHRILVSEYIENGSLAQRLFDHGFDDDVLDWNQRFRIALGVAKGLAYLHSECSEWIVHCDMKPENILLDKDLEPKITDFGLSKLLNRDGSDAILTRIRGTRGYMAPEWVTNLPFTEKVDVYSYGVILLELVKGIRISEWVIHGIKVCEMDIRMVVRATRQKMESNEKRSIEDLVDYRLNGDFNHVQVKLMLEIAISCLEEDRSKRPNMNSVVQSLISVEG >ONIVA07G09030.1 pep chromosome:AWHD00000000:7:8438041:8439575:-1 gene:ONIVA07G09030 transcript:ONIVA07G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHRGAMMGGGGVSDGYEGSKRPRMIESNPYFAVTAGSPLDVSKRARMMEPAPPYFGAMGSSAAGGTSAFHQPYGTNLPGAGANSAIQNFPGVRLRGLPFDCDDLDICKFFVGLDIVDCLLVHKNGRFTGEAFVVFPSAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYSAIAAEVNQGGFFDSEYRHSPPPPRPKKPAEDKSSMEYTEVLKLRGLPYSATTEDIIKFFVEYELTDENVHIVYRPDGKATGEAYVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRAKSRVRQ >ONIVA07G09020.1 pep chromosome:AWHD00000000:7:8431550:8442111:1 gene:ONIVA07G09020 transcript:ONIVA07G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIAVDADVPSCASSSSSTAVPAYAGASPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQNSGKPFHDVKALTTNGKPKELFFSSDLFAIVEHTKNYLAIEDDEIVHIKDGSVSILKFDHEKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRVKDSGVLLGGLKEKEYLKTIRRSRRLVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMVMVALAVGSDQISTQVRRQAIISGLSNLPSNVSEVLKLDTEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >ONIVA07G09020.2 pep chromosome:AWHD00000000:7:8431550:8437000:1 gene:ONIVA07G09020 transcript:ONIVA07G09020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIAVDADVPSCASSSSSTAVPAYAGASPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQNSGKPFHDVKALTTNGKPKELFFSSDLFAIVEHTKNYLAIEDDEIVHIKDGSVSILKFDHEKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRVKDSGVLLGGLKEKEYLKTIRRSRRLVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMVMVALAVGSDQISTQVRRQAIISGLSNLPSNVSEVLKLDTEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >ONIVA07G09020.3 pep chromosome:AWHD00000000:7:8431550:8437080:1 gene:ONIVA07G09020 transcript:ONIVA07G09020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIAVDADVPSCASSSSSTAVPAYAGASPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQNSGKPFHDVKALTTNGKPKELFFSSDLFAIVEHTKNYLAIEDDEIVHIKDGSVSILKFDHEKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRVKDSGVLLGGLKEKEYLKTIRRSRRLVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMVMVALAVGSDQISTQVRRQAIISGLSNLPSNVSEVLKLDTEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >ONIVA07G09010.1 pep chromosome:AWHD00000000:7:8428617:8429936:1 gene:ONIVA07G09010 transcript:ONIVA07G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICRIALFILCNFFFTSVPLLCPLLLPEEGGEAPANSGNCRGESPARGRLPIPPPPPPLQFQFDRHGQEGEAGHPQSPRPIASSRGGSVDRSMVATSAAVYRRVLKEVQKHVGGGDSKKHFREFVASEFRRPTGTDADARARLRLAGDYAYLLASVHHHKDLLFSYNIAVDRSEEMKKILNKSAASVGLQLPDVYQA >ONIVA07G09000.1 pep chromosome:AWHD00000000:7:8425776:8427394:-1 gene:ONIVA07G09000 transcript:ONIVA07G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGTVTICEINRDLVAADALSDDGAKDAYGDVLGMVFSPIPFQPDAIVATHEPPAVTEAAEIVPRTSLASTVAESFKQMLFPSCDFFLKDQCCV >ONIVA07G08990.1 pep chromosome:AWHD00000000:7:8421447:8426890:1 gene:ONIVA07G08990 transcript:ONIVA07G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSRREEVDDDVSVGKKEEEAGYCSSSSISRLPEACLAHAISFTTPTDACRCSAVSADFQAAASSNAVWERFLPPDYDSILARADDPSFWLERTSGAKCYLLSSRSLEIAWGDDARYWRWIYLPDSRFERVAALVFVCWFHLRGRINCRELSPNTRYIVYLIFKLADKSYGLDCRTQEAYITMDDQVVSAKRTVSLHPRTQETPLDMGRSEVGRAEETVSYPRERGDGWMEVQLGHFYNHQGDGMVVINLQEIVQLNSKKGLILEGMEIRHSIGP >ONIVA07G08980.1 pep chromosome:AWHD00000000:7:8372898:8382115:1 gene:ONIVA07G08980 transcript:ONIVA07G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVQCVKICTSIYGEMNYADFRCKLLQNAGRPAIVNVNIGTTMRGAIDDVDEIIKTLENCGFHDRFYIHCDGALSGLMVPFIEQVG >ONIVA07G08960.1 pep chromosome:AWHD00000000:7:8347690:8353220:1 gene:ONIVA07G08960 transcript:ONIVA07G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKIRCYSVREVHEEFYIDEKAFNMNGLYIRKGYQRFGPLAGTNNIEVQPKVTGVAAHMWKIRCYSVREVHEEFYIDEKAFNMNGLYIRKDILCGQTGWHAGKNNGDERRLCTAWLDGVILSYGPSWFQDKKEKIQFGLGLGQPGHIWTKTLVSLSSGVRFGRVSTRWKANFMVHVVDRAKVTNSFWFHRKSRNKLTVLQRRN >ONIVA07G08950.1 pep chromosome:AWHD00000000:7:8299377:8301118:1 gene:ONIVA07G08950 transcript:ONIVA07G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLPYAAAATAATGEDPDQIGRLPDCLLTTILSLLPLDAAARTTALSRRWRSLWPSAPLRLHDSDLPSRSQYLSAAISGILASHRGDAVSFRLSSGRPSSADLDTWLRILAGKRLQELLLQPPSEPLPLPPSLLACHSLRSADLTNCRLPAAAAATASFPHLHELTLRYCFASSPALHGLLAGCPALAALSLDRVFGCRSLRVRSRTLRSLTVSVSLRRRDEVGDELQDLAVEDGPLLERLLGHDVNWGPSIHVLHAPRLEMLGYLGVGIPSLQIGAALFHSMRAVRLAAEFRCLKTLALEMVDPQVKPVVDFLRCFPCLEALYITSHMFEPRSMETLKCDNMDYPIECLNRHLKKVVLAGYEGRRRELQLARFLVSNARVLQVMKFLCANDCKPTWLASQKRQLCWESRLSLGPQVIFEVYRKSHTRFRKHASNITLVDPFDVKT >ONIVA07G08940.1 pep chromosome:AWHD00000000:7:8292812:8293381:-1 gene:ONIVA07G08940 transcript:ONIVA07G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEADDVDGYHQQQLRASSASARHQHGVSGASVAEVNLSTRHPFVEKVWSDLAETFFPNNPFRGISVLLPARHAWGALKYLVPVLDWAPRYGLVKFKYDLLAGITIAGLAIPQGISYARLANLPPIIGLCTFELHAAIAVRGVRELQQPAVGTVAAASQLR >ONIVA07G08930.1 pep chromosome:AWHD00000000:7:8266628:8268494:-1 gene:ONIVA07G08930 transcript:ONIVA07G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSTKSPRKRRSQELKVGHGIHHAPGAKKEKYSCLDLLPVGLGEPKEEEASRADNISRLPEEILGTIVSLLYTRDVVRTQAISRQWLPLWGSTSLNLDMNALSVHEHKRIDIAGSILAAHRGPVYRLVLISDCLECCNTTFEDWLKLPGMKNNLSHLDFRFATGNTTPADQANDMTYSLVIYALRFSSTLEVVSFSSCCFRDDMINQPLHFPKLRKLNLHSVATSEDALHAVISACPTLESLHVNYTIGLRRLHVRSASLRSICVGTTHGLNQEVVFQEVVVEDAPLLERLMPTLLDDGPPSIREMPPVSVSVPTVKILVLQSVGPNLAAVVNILKYFPCLEKLYIKITLQSTAKNELRNYVPGPVHCLEHHLKSIVLKRYQAKTPVVNFAKFFILNAKVLKVMKFGVQDITRQNEKWMTNQRRRLQLDNKASQDARFDFDSKYWSDYLESTRIDDFSVSDPFDLSLD >ONIVA07G08920.1 pep chromosome:AWHD00000000:7:8256969:8261698:-1 gene:ONIVA07G08920 transcript:ONIVA07G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLNLQNFGNFGHYRNVEAKEVLVVQHVAGASSSKRTRKRMLQELKASHGIPMHWEPRRFTNGNTTMTDQANYMSYLLVVYAFHFSSTLEVEMPSGNVAASVPTMKILVLQSTGPNLAAVVHLRYFPCLEKLYVRMTL >ONIVA07G08910.1 pep chromosome:AWHD00000000:7:8245114:8254597:1 gene:ONIVA07G08910 transcript:ONIVA07G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQPSLRGGEQSSGWRGNLAVFSPAPTTNGGPSEVATKRENEEGMTAKLSVVISHDMVTE >ONIVA07G08900.1 pep chromosome:AWHD00000000:7:8241311:8241770:-1 gene:ONIVA07G08900 transcript:ONIVA07G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDDGAPSFREMPPGNVAASVPTTKILVLQSTGPNLAAVVHLRYFTCLEKLYVRMNL >ONIVA07G08890.1 pep chromosome:AWHD00000000:7:8237973:8238720:1 gene:ONIVA07G08890 transcript:ONIVA07G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESSQPAKKRRTYRCRRCGFPKKGHVCVAAAAAGGVLPLLPLPEEEEKIDGISALPDDVLHTIISLLPTIGGAKTQLAEGLFVPDIHNGLVDDAAAIECLDLHLKEIVRAQGDDISSRCEIEQKVAVESAQATSLEGKASPNARFELSRDDYFMDYYYNHSQRSHQLSVGDPIDD >ONIVA07G08880.1 pep chromosome:AWHD00000000:7:8235114:8237086:1 gene:ONIVA07G08880 transcript:ONIVA07G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESSQPAKKRRTYRCRRCGFPKKGHVCAAAAAAPGDLPLLPSPEEEEKVDGISALPDDVVHTIISLLPTMGGAKTQVLSSRWLPLWRSAPLNLDDAEIPDLWEDFLLNVITEIITDHRGPTWRLSITKLARVNEFRGDLVATLDDLLRSGTLDGLEELRFHYRPNMTAPDPLPPAATRFSCLRVASFGFCSFPGAGVLGGVAFPNLQELTLLAITNSEDTLHAMISACPVLRSLLLRDNDAFRRVRISSPTLVSLGLCSRTSDMEELIIDNTPSLERLLMFRSSDKLPRVVSVFSAPKLEVLGCLSDGISDEHYGVVVWPQQLRVNSMAMLRTVKILAFRIEENSLDATVHILRCFPCVQKLHITLAEGLFVPDIHNGLVDDAAAIECLDLHLKEIVVRNYRGQKSHAAFAKFFVLNASVLKVMTFRACVRLSKKWLSNQRRLLRLREKASPNARFEFSCDGYFMDYYYNHSQRSHQLSVRDPFDD >ONIVA07G08870.1 pep chromosome:AWHD00000000:7:8223822:8227589:-1 gene:ONIVA07G08870 transcript:ONIVA07G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTAPVAKKRRHDEPDCQERSEGGDADAGGIDLISVLPDEILGSIISLLPTKDAARTTVLSPWWRHLWRSAPLNLDADGGLSGQERKRISIVSRILEAHRGPARRLSLRSVRLRGIYARFDRWFCSAALNNLEHLDFAYASDGRYYGIGVDPDPRPPRPLPPSALRFAPTLRTAYIGGCDFPAVAPAAAPCFPRLTRLTLYGVAISEDALHRVLAGCAVLETLGLEASSGFGAVRINSPTLRSVGFAVSAETELVIEDAPCLERLMLLDPHSGPKNVRVVRAPQLKVLGYLSDKITKLDLGTVIIQETMVVSSTASLRTVKKTMKNTRRYNPLEPIECLDHHLRYI >ONIVA07G08860.1 pep chromosome:AWHD00000000:7:8221961:8223801:-1 gene:ONIVA07G08860 transcript:ONIVA07G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDVNFAKFFVLNARMLKAMKFGVLVGCTEKWMANQHRRLQLDHKASPDAQFDFRRDYCWRNILYNKRIHDLARDDPFDDWMWLVYLHVPGMDDTLSCPITLDLMTDPVTVSTG >ONIVA07G08850.1 pep chromosome:AWHD00000000:7:8199432:8221827:-1 gene:ONIVA07G08850 transcript:ONIVA07G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLINSVTLPEPSGAAGDGHCHGAVVNMVVPPREPCASPVHHRAALEGVDGGAEEGDIGGREAVKHNVLYRACLVDANVVPWLLCLLSSTAVAMQDNVVARRPRRQLPRPRRITTIPEAIPTLVQLIQDGAYRSRKNAMVSLYGLLQSAANQGKAIAAGAVSALAALLSTDRDDLAGHSIKLMARKAEQPLGAMAVLSQPGLVAHLTDALAALSSSRSANVVKQHDAAAAAAAAKKRRFGRSTGKGSLGSDGLDHISCLPEAILGEIISLLPTKDAARTQAVSRRWRPLWRSTPLNLDVDSLSTQERKRTMFVSRILASHPGPARRLSLPFFRLRDRYAKLDGWLRSPALADLQELDFSYDIEDEEALYPLPPSALRFAPTLRVVELRTCHFPNGMAPALHFPRLARLTLYRVTISEDTLHGLLSRCSALESLLLVGNFGIRRLRINSPFLRSLGFSASSWEGYRDANFQEVVIEEAPCLERLMPLYPNHGPATIRVFAAPKLEVLGVLSDGISQLHLGTTFFQKMIAVNLTTSIRTVKVLVLDSNGPNLDVVVDFLKCFPCLERLYVVIDYNFVKYYIAKCQPSRPHKVIKNIRSYDPLHPIECMELHLRKVVIRYYEGKRPDVDFAKFFVLNAKDNQHRRLSLENKASQVAQFTFKTTSRTRNELTRNRHTHELSMSARLRGQQGPDLIGLLPDAILGEIISLLPTKDAARTQAVSHRWRRLWRTTPLNLEEDRAAVVSKILADHPGPGRRFSVRDRYAMADGWLRSGALTALDGVAQPHPLPLPALRFAPTLRVVKLGWCDFPSGMAPRPHFPLLKQITLSDVSISEDAIHGVLSCCPALESLLLEGKSFGVRRLRIASQTLRSLGLCYSWNARDDGRLQEVVIVDAPCLQRLLTPYLNNGPATIRVIAAPKMEALGWISDGISELHLGTTYFPKTTAVNMPSSMPTVKVLALVSDGPNLDAVVDFLKYKWSSVAFPIAIRNGWLINTGDFNWKIRLLKMLNLHLKKPLGVDSLALGTPMICRYLTPSTALCVDAASGFGKKRRFERSSSQEPPGSGGLDLISGLPDAILCEIISLLPTKYGARTQLVSRRWRPLWRSAPLNLDVYDLSGQERKRVALASKILAEHPGPARRFSLHCFRLRGRHAKLDGWLRSRALADLRELSFSYEVEREAQAQAYPLPPSALRFAPTLVVLYLSSCGFPDEMPPTLHFPRLKQLTLCSVATSEDAIHGVLSRCPALESQGNFGVRRLRINSASLRSFGFYSKSWGFSSASWNGFAGAELQEVVIEDAPCLERLLPLCPNDGVAAIRVIAAPKLEIMGPLSDGISQLHLGTTIFQEMTAVSLTTSMRSVKVLVLDSDGPNLDAVVDFLSCFPCLERLYIASQPFKVIKNTRRYDPLNPIECIQFHLKKVVIRNYGGRRPDVDFAKFFVLNAKALREMELAGLNNCNQKWLANQHRRLQLEKKASQNAQFTFKTTHTSDFSMNKHTHDLSISDPFDRSL >ONIVA07G08850.2 pep chromosome:AWHD00000000:7:8199432:8221827:-1 gene:ONIVA07G08850 transcript:ONIVA07G08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLINSVTLPEPSGAAGDGHCHGAVVNMVVPPREPCASPVHHRAALEGVDGGAEEGDIGGREAVKHNVLYRACLVDANVVPWLLCLLSSTAVAMQDNVVARRPRRQLPRPRRITTIPEAIPTLVQLIQDGAYRSRKNAMVSLYGLLQSAANQGKAIAAGAVSALAALLSTDRDDLAGHSIKLMARKAEQPLGAMAVLSQPGLVAHLTDALAALSSSRSANVVKQHDAAAAAAAAKKRRFGRSTGKGSLGSDGLDHISCLPEAILGEIISLLPTKDAARTQAVSRRWRPLWRSTPLNLDVDSLSTQERKRTMFVSRILASHPGPARRLSLPFFRLRDRYAKLDGWLRSPALADLQELDFSYDIEDEEALYPLPPSALRFAPTLRVVELRTCHFPNGMAPALHFPRLARLTLYRVTISEDTLHGLLSRCSALESLLLVGNFGIRRLRINSPFLRSLGFSASSWEGYRDANFQEVVIEEAPCLERLMPLYPNHGPATIRVFAAPKLEVLGVLSDGISQLHLGTTFFQKMIAVNLTTSIRTVKVLVLDSNGPNLDVVVDFLKCFPCLERLYVVIDYNFVKYYIAKCQPSRPHKVIKNIRSYDPLHPIECMELHLRKVVIRYYEGKRPDVDFAKFFVLNAKGPDLIGLLPDAILGEIISLLPTKDAARTQAVSHRWRRLWRTTPLNLEEDRAAVVSKILADHPGPGRRFSVRDRYAMADGWLRSGALTALDGVAQPHPLPLPALRFAPTLRVVKLGWCDFPSGMAPRPHFPLLKQITLSDVSISEDAIHGVLSCCPALESLLLEGKSFGVRRLRIASQTLRSLGLCYSWNARDDGRLQEVVIVDAPCLQRLLTPYLNNGPATIRVIAAPKMEALGWISDGISELHLGTTYFPKTTAVNMPSSMPTVKVLALVSDGPNLDAVVDFLKYKWSSVAFPIAIRNGWLINTGDFNWKIRLLKMLNLHLKKPLGVDSLALGTPMICRYLTPSTALCVDAASGFGKKRRFERSSSQEPPGSGGLDLISGLPDAILCEIISLLPTKYGARTQLVSRRWRPLWRSAPLNLDVYDLSGQERKRVALASKILAEHPGPARRFSLHCFRLRGRHAKLDGWLRSRALADLRELSFSYEVEREAQAQAYPLPPSALRFAPTLVVLYLSSCGFPDEMPPTLHFPRLKQLTLCSVATSEDAIHGVLSRCPALESQGNFGVRRLRINSASLRSFGFYSKSWGFSSASWNGFAGAELQEVVIEDAPCLERLLPLCPNDGVAAIRVIAAPKLEIMGPLSDGISQLHLGTTIFQEMTAVSLTTSMRSVKVLVLDSDGPNLDAVVDFLSCFPCLERLYIASQPFKVIKNTRRYDPLNPIECIQFHLKKVVIRNYGGRRPDVDFAKFFVLNAKALREMELAGLNNCNQKWLANQHRRLQLEKKASQNAQFTFKTTHTSDFSMNKHTHDLSISDPFDRSL >ONIVA07G08850.3 pep chromosome:AWHD00000000:7:8199432:8221827:-1 gene:ONIVA07G08850 transcript:ONIVA07G08850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLINSVTLPEPSGAAGDGHCHGAVVNMVVPPREPCASPVHHRAALEGVDGGAEEGDIGGREAVKHNVLYRACLVDANVVPWLLCLLSSTAVAMQDNVVARRPRRQLPRPRRITTIPEAIPTLVQLIQDGAYRSRKNAMVSLYGLLQSAANQGKAIAAGAVSALAALLSTDRDDLAGHSIKLMARKAEQPLGAMAVLSQPGLVAHLTDALAALSSSRSANVVKQHDAAAAAAAAKKRRFGRSTGKGSLGSDGLDHISCLPEAILGEIISLLPTKDAARTQAVSRRWRPLWRSTPLNLDVDSLSTQERKRTMFVSRILASHPGPARRLSLPFFRLRDRYAKLDGWLRSPALADLQELDFSYDIEDEEALYPLPPSALRFAPTLRVVELRTCHFPNGMAPALHFPRLARLTLYRVTISEDTLHGLLSRCSALESLLLVGNFGIRRLRINSPFLRSLGFSASSWEGYRDANFQEVVIEEAPCLERLMPLYPNHGPATIRVFAAPKLEVLGVLSDGISQLHLGTTFFQKMIAVNLTTSIRTVKVLVLDSNGPNLDVVVDFLKCFPCLERLYVVSRPHKVIKNIRSYDPLHPIECMELHLRKVVIRYYEGKRPDVDFAKFFVLNAKGPDLIGLLPDAILGEIISLLPTKDAARTQAVSHRWRRLWRTTPLNLEEDRAAVVSKILADHPGPGRRFSVRDRYAMADGWLRSGALTALDGVAQPHPLPLPALRFAPTLRVVKLGWCDFPSGMAPRPHFPLLKQITLSDVSISEDAIHGVLSCCPALESLLLEGKSFGVRRLRIASQTLRSLGLCYSWNARDDGRLQEVVIVDAPCLQRLLTPYLNNGPATIRVIAAPKMEALGWISDGISELHLGTTYFPKTTAVNMPSSMPTVKVLALVSDGPNLDAVVDFLKYKWSSVAFPIAIRNGWLINTGDFNWKIRLLKMLNLHLKKPLGVDSLALGTPMICRYLTPSTALCVDAASGFGKKRRFERSSSQEPPGSGGLDLISGLPDAILCEIISLLPTKYGARTQLVSRRWRPLWRSAPLNLDVYDLSGQERKRVALASKILAEHPGPARRFSLHCFRLRGRHAKLDGWLRSRALADLRELSFSYEVEREAQAQAYPLPPSALRFAPTLVVLYLSSCGFPDEMPPTLHFPRLKQLTLCSVATSEDAIHGVLSRCPALESQGNFGVRRLRINSASLRSFGFYSKSWGFSSASWNGFAGAELQEVVIEDAPCLERLLPLCPNDGVAAIRVIAAPKLEIMGPLSDGISQLHLGTTIFQEMTAVSLTTSMRSVKVLVLDSDGPNLDAVVDFLSCFPCLERLYIASQPFKVIKNTRRYDPLNPIECIQFHLKKVVIRNYGGRRPDVDFAKFFVLNAKALREMELAGLNNCNQKWLANQHRRLQLEKKASQNAQFTFKTTHTSDFSMNKHTHDLSISDPFDRSL >ONIVA07G08840.1 pep chromosome:AWHD00000000:7:8184768:8186969:-1 gene:ONIVA07G08840 transcript:ONIVA07G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILPSSASSSVSLSSLPSTTIHPRTTTPSYLHRDFRSHHHVKTSPALLATTALEPLPATPPRRRISLTCHHRRVLLLPTHCRCIASSSLPTRRPHCLRVVLLLTHRRRVLLLPITRHCLADLLSQRHHQSRGGHRPRVPFAGSTVVVRSTDGVNSATVVQCSIPNACRTVLSTTAATSSSPTPPCCCASLTCHRYRRRVRLPITHSVVLRLADILSPHCHQSRDGHRRCRAAVVVRSSSTAPTPVVSSCPQHLRTLRRLIFLRATQTSDGGRGAGPHELLNIDDQHVSISIQDVGQRRFCSFIYH >ONIVA07G08840.2 pep chromosome:AWHD00000000:7:8186023:8186969:-1 gene:ONIVA07G08840 transcript:ONIVA07G08840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLILPSSASSSVSLSSLPSTTIHPRTTTPSYLHRDFRSHHHVKTSPALLATTALEPLPATPPRRRISLTCHHRRVLLLPTHCRCIASSSLPTRRPHCLRVVLLLTHRRRVLLLPITRHCLADLLSQRHHQSRGGHRPRVPFAGSTVVVRSTDGVNSATVVQCSIPNACRTVLSTTAATSSSPTPPCCCASLTCHRYRRRVRLPITHSVVLRLADILSPHCHQSRDGHRRCRAAVVVRSSSTAPTPVVSSCPQHLRTLRRLIFLRALQFATELWQKL >ONIVA07G08830.1 pep chromosome:AWHD00000000:7:8179609:8179969:1 gene:ONIVA07G08830 transcript:ONIVA07G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLFELADIVHKYDDARSNGNRLQQRGRSSIEPLIGNEEVDPNKVVTVSARPKWQVVK >ONIVA07G08820.1 pep chromosome:AWHD00000000:7:8176175:8176623:-1 gene:ONIVA07G08820 transcript:ONIVA07G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTALTAQRGNPPTRREGCRRMRMKATSPLLTSEDELPAVSRRNGGEAGEEEVAAKRMVAMLGSEEVPTVGEGRPELHSGDGTRRRQRGSQGERRGRTAAGVEEADGRGEEENGQRVRQAAINGGSSLETKFDD >ONIVA07G08810.1 pep chromosome:AWHD00000000:7:8167636:8170132:1 gene:ONIVA07G08810 transcript:ONIVA07G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPYFLLAPLLTPLPHSASDPQPKYPITVSQIIQWRQQRKIVHMPPLCSELYFAYGLRYGRNFGTIYLRRLGLSRFIAKINISLCCLSCHSFLYISPFIVSISNKNSNISAKIISINSLKKFSISLGFILIVSSTYMYISPYSPQFPQNNLERKIQIRRMLQVQGSLRVHTFSSAAIVHAVETSDEDSDPSHFCAVPQNGKKASRKEIKRRIKKLLSSLGQKHHISKVFFRSRSEAANSNAVIDNRGGGQSDMETFVSAKSSELCSFRTDDDDSESRSFRLSPLPIFPTGGIEFQPPASPVKIIKKLPFGYIIGRQLDGAPAAAAPSTKLSLSFKKLMHRLVDKSKSKMIKKKVLRALKGRFGGGERRGRDGHVREGKESSDYGDGDGDGDDEDVFWRKDVRGLRCRWVEDNDLPY >ONIVA07G08800.1 pep chromosome:AWHD00000000:7:8124145:8130573:-1 gene:ONIVA07G08800 transcript:ONIVA07G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTCAAGEMNNVVTEFVMENNQRRASISELAYNRRGSGKAPHDGGGDRAVVEEAEQRRTDSSQRARRAPSSSAPLCWRPCAPADFSARPHIGVAAPAVISCTQRYPAPALSHHRAVMATSSNNSDFVHVEGKGVRCSPRLNKFKQSDIGLLKKTCSSLPKKSCASTSGNKKRKRAENGKEVQIIKLRCNPSNMSTIAAQLFPKNMTLWLLNHVNTELGTLEFDGLSIPIRPLIKKVIGIPEGHMRLKLTEDTDHRLKEKFTEGGRGQSLNKAISRMLLEHNEDEFIVSFMMVALGVYLVPGSNLTVHREYLTAISDVKNIKNLNWCNHVADYLFEAIHDFRINTSINLNVRGINVPQGTPRIAHITTAHIDEVKTIATSRSKHADYDSIKIKDIESTIYRDGESPLHESPQHMLSIGYRQDVEEGVHDDATCVDEGQHTPDPQGHIAAGVDEEHMNIGQHTPDPQGAPAAAGDEEQMNMQDGQVGQDPKSTRCDANPNNACDEPPVISELLMKMKEKLKIRRTEIISTCMEQLEFILDKSDNDILSEFSTELKKLARVKGMASTSEGDAAVLGTPNFNHGPDKHTEAETRSNYKAEEIGRHSGNVDATDFAEVIAIGAVAAHEGAQTEEDDGKQDEDDEDKGDEKVEDSVDDEYGEDGAGGSHSAGSQGGADENNDTDNSSGDSKQGQQPIPSEEQYPGASMMDSVTDDTSLGTPVYHDVVVIEDSSQESLRANTMVPELTEPIASGERFPDGGSVPPINKQRAKRCKTNHQSVEAIATLRKGIHLDHFVNDTYEKHVVDNFDGDGGATKVNRAWITEQDFRSTLRRKGEVSNNFMWLCCSAIMKDWDSKSKVILDLATVDQLVSPLEKCCDAKVRRTFSKIDLKLIERLYLPVLKEHHWFLIAINLRSRICSNLQVALDTGTNSSPFGFGDLFTVEYPETPYQVELSKPRYQDWYLAVPTGYRTWYLT >ONIVA07G08800.2 pep chromosome:AWHD00000000:7:8126647:8130573:-1 gene:ONIVA07G08800 transcript:ONIVA07G08800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTCAAGEMNNVVTEFVMENNQRRASISELAYNRRGSGKAPHDGGGDRAVVEEAEQRRTDSSQRARRAPSSSAPLCWRPCAPADFSARPHIGVAAPAVISCTQRYPAPALSHHRAVMATSSNNSDFVHVEGKGVRCSPRLNKFKQSDIGLLKKTCSSLPKKSCASTSGNKKRKRAENGKEVQIIKLRCNPSNMSTIAAQLFPKNMTLWLLNHVNTELGTLEFDGLSIPIRPLIKKVIGIPEGHMRLKLTEDTDHRLKEKFTEGGRGQSLNKAISRMLLEHNEDEFIVSFMMVALGVYLVPGSNLTVHREYLTAISDVKNIKNLNWCNHVADYLFEAIHDFRINTSINLNVRGINVPQGTPRIAHITTAHIDEVKTIATSRSKHADYDSIKIKDIESTIYRDGESPLHESPQHMLSIGYRQDVEEGVHDDATCVDEGQHTPDPQGHIAAGVDEEHMNIGQHTPDPQGAPAAAGDEEQMNMQDGQVGQDPKSTRCDANPNNACDEPPVISELLMKMKEKLKIRRTEIISTCMEQLEFILDKSDNDILSEFSTELKKLARVKGMASTSEGDAAVLGTPNFNHGPDKHTEAETRSNYKAEEIGRHSGNVDATDFAEVIAIGAVAAHEVSQFDIQNVRDNNMDC >ONIVA07G08800.3 pep chromosome:AWHD00000000:7:8124145:8126608:-1 gene:ONIVA07G08800 transcript:ONIVA07G08800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGAQTEEDDGKQDEDDEDKGDEKVEDSVDDEYGEDGAGGSHSAGSQGGADENNDTDNSSGDSKQGQQPIPSEEQYPGASMMDSVTDDTSLGTPVYHDVVVIEDSSQESLRANTMVPELTEPIASGERFPDGGSVPPINKQRAKRCKTNHQSVEAIATLRKGIHLDHFVNDTYEKHVVDNFDGDGGATKVNRAWITEQDFRSTLRRKGEVSNNFMWLCCSAIMKDWDSKSKVILDLATVDQLVSPLEKCCDAKVRRTFSKIDLKLIERLYLPVLKEHHWFLIAINLRSRICSNLQVALDTGTNSSPFGFGDLFTVEYPETPYQVELHDCGFCVLRMLECHNGRSLVGYTSKSIPTYRKQLCHRSKPRYQDWYLAVPTGYRTWYLT >ONIVA07G08800.4 pep chromosome:AWHD00000000:7:8126647:8130573:-1 gene:ONIVA07G08800 transcript:ONIVA07G08800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTCAAGEMNNVVTEFVMENNQRRASISELAYNRRGSGKAPHDGGGDRAVVEEAEQRRTDSSQRARRAPSSSAPLCWRPCAPADFSARPHIGVAAPAVISCTQRYPAPALSHHRAVMATSSNNSDFVHVEGKGVRCSPRLNKFKQSDIGLLKKTCSSLPKKSCASTSGNKKRKRAENGKEGCSFPKNMTLWLLNHVNTELGTLEFDGLSIPIRPLIKKVIGIPEGHMRLKLTEDTDHRLKEKFTEGGRGQSLNKAISRMLLEHNEDEFIVSFMMVALGVYLVPGSNLTVHREYLTAISDVKNIKNLNWCNHVADYLFEAIHDFRINTSINLNVRGINVPQGTPRIAHITTAHIDEVKTIATSRSKHADYDSIKIKDIESTIYRDGESPLHESPQHMLSIGYRQDVEEGVHDDATCVDEGQHTPDPQGHIAAGVDEEHMNIGQHTPDPQGAPAAAGDEEQMNMQDGQVGQDPKSTRCDANPNNACDEPPVISELLMKMKEKLKIRRTEIISTCMEQLEFILDKSDNDILSEFSTELKKLARVKGMASTSEGDAAVLGTPNFNHGPDKHTEAETRSNYKAEEIGRHSGNVDATDFAEVIAIGAVAAHEVSQFDIQNVRDNNMDC >ONIVA07G08800.5 pep chromosome:AWHD00000000:7:8124145:8126608:-1 gene:ONIVA07G08800 transcript:ONIVA07G08800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGAQTEEDDGKQDEDDEDKGDEKVEDSVDDEYGEDGAGGSHSAGSQGGADENNDTDNSSGDSKQGQQPIPSEEQYPGASMMDSVTDDTSLGTPVYHDVVVIEDSSQESLRANTMVPELTEPIASGERFPDGGSVPPINKQRAKRCKTNHQSVEAIATLRKGIHLDHFVNDTYEKHVVDNFDGDGGATKVNRAWITEQDFRSTLRRKGEVSNNFMWLCCSAIMKDWDSKSKVILDLATVDQLVSPLEKCCDAKVRRTFSKIDLKLIERLYLPVLKEHHWFLIAINLRSRICSNLQVALDTGTNSSPFGFGDLFTVEYPETPYQVELSKPRYQDWYLAVPTGYRTWYLT >ONIVA07G08780.1 pep chromosome:AWHD00000000:7:8107705:8114486:-1 gene:ONIVA07G08780 transcript:ONIVA07G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: membrane; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF6, transmembra /.../terPro:IPR000620); BEST Arabidopsis thaliana protein match is: Cation efflux family protein (TAIR:AT2G04620.1); Has 123 Blast hits to 121 proteins in 39 species: Archae - 0; Bacteria - 0; Metazoa - 69; Fungi - 0; Plants - 45; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G38380) TAIR;Acc:AT5G38380] MSPRPSASAAPEGGGGASTSRSVPTARSTPLQVIHILGNFARIWSVYSLYNYLSSSGDSMVGFIFSCLVPASVIFLALQKPWKGRPLPNTQVVPTVINGGILALYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKVNIWKKIGGLAAMLVAYYLLGNGWATRTHSPLYSFGSESLEKGTQVIGMKEMVVPLTAGILSALRRVLARRVSLKNQLKRRLHAINVASATCFLFPFAMWDTILGSASDSIVKLQFPSWAYLSTVLFGMIASGLLFSKTSNGFNRLHHCFGDTAFLKQHPWSARRKVLWRHMNYQMDHFTTSCQFLHFQAKSIKSG >ONIVA07G08780.2 pep chromosome:AWHD00000000:7:8107705:8112794:-1 gene:ONIVA07G08780 transcript:ONIVA07G08780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: membrane; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF6, transmembra /.../terPro:IPR000620); BEST Arabidopsis thaliana protein match is: Cation efflux family protein (TAIR:AT2G04620.1); Has 123 Blast hits to 121 proteins in 39 species: Archae - 0; Bacteria - 0; Metazoa - 69; Fungi - 0; Plants - 45; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G38380) TAIR;Acc:AT5G38380] MVGFIFSCLVPASVIFLALQKPWKGRPLPNTQVVPTVINGGILALYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKVNIWKKIGGLAAMLVAYYLLGNGWATRTHSPLYSFGSESLEKGTQVIGMKEMVVPLTAGILSALRRVLARRVSLKNQLKRRLHAINVASATCFLFPFAMWDTILGSASDSIVKLQFPSWAYLSTVLFGMIASGLLFSKTSNGFNRLHHCFGDTAFLKQHPWSARRKVLWRHMNYQMDHFTTSCQFLHFQAKSIKSG >ONIVA07G08770.1 pep chromosome:AWHD00000000:7:8094491:8102355:-1 gene:ONIVA07G08770 transcript:ONIVA07G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLVLLQLLYLISLILPAFSANDDNQFAYSGFSGANLTMDGATITSGGLLELTNGTVQQKGHAFYPVPLRFVRSPNGSVLSFSASFIFAILSVYTDLSAHGMAFVIVPSMNFSAALPGQFLGLANIQSNGNSSNHFFAVELDTIQNKEFGDINANHAGVNMNGLRSEQSYYAGYYDDKDGNFHNLSLISREGMQVWVDYDSNNTQITVAMAPIKVARPMKPLFTASYNLTSVITDVAYVGFSSATGTINVRHCVLGWSFAINSPASAINLGKLPKLPRMGPKPRSKVLEIVLPVATASFVLTVGIIGLVLIRRHMRYAELREDWEVEFGPHRFSYKDLYHATEGFKNENLLGVGGFGRVYKGTLPVSKLEIAVKRVCHESRQGMKEFVAEIVSIGRLQHHNLVQLLGYCRRRGEMFLVYDYMPNGSVDKYIHSIEGKTILTWVQRWHIIKGIASCLVYLHEEWEKAVIHRDIKASNVLLDGDMNGRLGDFGLARLYDHDDDPQTTHVVGTIGYLAPELGHTSKATPLTDVFAFGMFVLEVACGQRPINQSSLDSQTMLVDWVLEQWNKGSLVSTVDSRLEGNYNVREAVLAINLGLLCSHPFANARPSMRQVIHYLDGSIPLPEMSPTDLSYHMMTIMQNEGFDEYIMTSSSMSEMLRHSSSTSAGLRRKWLKRSGRNGNDMSWTKPMSSLLCTILSLVLILEAFTTSHGEFVYHGFSGVNLTLDGNAMVTPDGILELTNDTINLGHAFYPTPQNFRKFSNSTVQSFSLSFVFAILSVHDDISADGMAFFVAPSKNLSNTWAQYIGLLNSRNDGNRSNHMFAVELDTTQNDEFKDIDNSHVGININSLISLQAHHTGYYDDKSGFFNNLTLISGKAMQVWADYDGESAQINVTLAHLGAPKSVRPLLSSSYNLSDVLRDQSYIGFSATTGAISTRHCVLGWSFAMNSPAPAIDISRLPKLPRLGPKPRSKTLDITLPIATAIFVLAAGTVVVLLVRRRLRYMELREDWEVDFGPHRFSFKDMYHATEGFNKNNLLGVGGFGKVYKGVLQKSKVPVAIKRVSHESTQGMKEFIAEVVSIGKLRHRNLVPLLGYCRRKGQLLLVYDYMSNGSLNKYLYPEDGKPLLNWAERFHVIKGVAFGLLYLHEKWEKVVIHRDIKPSNVLLDSEMNGKLGDFGLSRLYDHGTDPQTTHMVGTMGYLAPELVRTGRASTSTDVFAFGIFLLEVTCGQRPIKKDSQGNQHSLFDWVLQFLHNSSLIEAMDSRLQADFNIDEVCLVLKLGLLCSHPFTNARPSMQQVMEYLEGDTPIPEISSRHFSFTMQALMQSKGFESPDMLCPQFTSIGTFSELSGGR >ONIVA07G08760.1 pep chromosome:AWHD00000000:7:8003584:8022448:1 gene:ONIVA07G08760 transcript:ONIVA07G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMSIFSSLVNADKSKKPAPPKGFSKLSVSEAERSFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKHPDPTKLPSYTLGGGICTFPDFLKSEIKSSLDFNDASISGPREGWYCPKSIKQYYKLVNSGLFSESSVKVVVGNTSTGVYKDQDLYDKYIDIAGIPELSAIVSKDKGIEIGAATSISRTIEILNQESESTSSPNGSVVFRKLAEHMSKVASPFVRNTASIGGNIILAHKYPFRSDIATILLGAAATVNLQVSSKTLHVNLEQFLEQPPLDHNTLLLSIFIPHWASDCKKEHTLVFETYRAAPRPLGNAVSYVNSAFLGHVSLDKSSGDNILSNLHLAFGAYGTKHAIRSRKVEEYLTGKILSASVVLEAIRLLRETIVPVEGTTQPEYRVSVAVGFLFSFLSPLCKGVIEPGKTLSISEDLVHTDNVHNMPLSSRRETLSGDEYKPVGDPIKKYKVELQASGEAIYVDDIPAPKNCLYGEFIYSTQPLANVKSIKFKPSLASKKIITVVSAKDIPTGGRNIGSTFWFGDEEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQAVVEYTTYGLKAPILTVEQAVQSNSYFQVPPERATKQVGDFSNGMAEADHKIMSEEVKLASQYYFYMETQTALAIPDEDNTMTVYSSSQFPELAQNVISKCLGIPFNNVRVITRRAGGGFGGKAVRSLHIATAAALCAHTLRRPVRMYLNRNTDMIMVGGRHPMKARYSVGFKSDGKITALHLDLLINAGISADASPIIPGTVISGLKKYNWGALSFDVKLCKTNNTSKSVMRAPGETQGSLIAEAIIEHVAAVLSLDANTVRQKNFHSYDSLVFVLPGKRRRIVYVHITFYF >ONIVA07G08750.1 pep chromosome:AWHD00000000:7:7994012:7998782:1 gene:ONIVA07G08750 transcript:ONIVA07G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFITDAVAYPLAVGHGGCRRNRTPFRLHCTPLPLSLSLTALAAELLVVAASPAAAAAGSVGDGDGEGCNAARGSQPSAQVPNTPSPVIAVGTQVLGCRRGTVRSLHLVPTGATPPPPQALNRSEPSPSHCDKEQCGEHSPAASAEKPATRTKQALKVQHAMIFIHPSLPHASDSRKMGGAGAGRGRRREWRRRAQLGREWLAVRSGRRDPHVLLFPTYAAEVELLGWREVEAERAADGGDGAPGAMGGGGGGRAGGRWAIEAETKSEQAAEVEAEAELLGRWMRRQSGRLRWRRSGGRQRRLSSPCGGR >ONIVA07G08740.1 pep chromosome:AWHD00000000:7:7993233:7993947:-1 gene:ONIVA07G08740 transcript:ONIVA07G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGRHQICRLLFLRRRPAARPPRPRDSSSSSSSFLLGYHADTQVPRSDTQVPARYPGYQVSVDTRVPGPLDPMTPPPPPLSLLGYHADTQSIPRYQGYQVPADTNVPGTTSVPYPRYQEDLAVRGDDADEVSPEHAIPRRIFGGEVAATSAMTPAR >ONIVA07G08730.1 pep chromosome:AWHD00000000:7:7979675:7992491:1 gene:ONIVA07G08730 transcript:ONIVA07G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKKKVVAPVERVVFALNGERQEVAAADVDPSTTLLEFIRTRTPFKGPKLGCGEGGCGACVILIAKYNPKTDEVTEFNASSCLTLLYSIHFCSIITTEGLGNTKDGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLVNADKSKKPDPPKGFSKLSVSEAERSFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKHPDPTKLPSYTLGGGICTFPDFLKSEIKSSLDFNDASISGPREGWYCPKSIKQYYKLVNSGLFSESSVKVVVGNTSTGVYKDQDLYDKYIDIAGIPELSAIVRKDKGIEIGAATSISRTIEILNQESESTSSPNGSVVFRKLAEHMSKVASPFVRNTASIGGNIILAHKYPFRSDIATILLGAAATVNLQVSSKTLHVTLEQFLEQPPLGHNTLLLSIFIPHWASDCKKELTLVFETYRAAPRPLGNAVSYVNSAFLGHVSLDKSSGDNILSNLHLAFGAYGTEHAIRARKVEEYLTGKILSASVVLEAIRLLRETIVPVEGTTHPEYRVSVAVGFLFSFLSPLCKGVIEPGKTLSISEDLVHTDNVHNMPLSSRRETLSGDEYKPVGDPIKKYKVELQASGEAIYVDDIPAPKNCLYGEFIYSTQPLANVKSIKFKPSLASKKILTVVSAKDIPTGGRNIGSTFLFGDEEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQAVVEYTTDGLKAPILTVEQAVQNNSYFQVPPERAPKQVGDFSKGMAEADHKIMSEEVKLASQYYFYMETQTALAIPDEDNTMTVYSSSQFPELAQNVISKCLGIPFNNVRVITRRAGGGFGGKAVRSLHIATAAALCAHTLRRPVRMYLNRNTDMIMVGGRHPMKARYSVGFKSDGKITALHLDLLINAGISADASPVIPGTIISGLKKYNWGALSFDVKLCKTNNTSKSVMRAPGDTQGSFIAEAIIEHVAAILSLDANTVRQKNFHTYDSLVLFYPDSAGESSTYTLHSIFDRLASTSRYVQRVESIKKFNSTNKWRKRGISSVPLIFKVEPRPAPGRVSVLNDGSIVVEVGGVELGQGLWTKVQQMTAFALGQLWPKGCEGLLDRIRVLQSDTLNLIQGGLTAGSTTSESSCAATLQACNMLIERLKPVMERLQLQSDTVSWDTLISQASQENINLSASAYWVPEQDSNFYLNYGAGTSEVEVDLLTGAITIIRSDLIYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEHQTNSDGLVISNSTWDYKIPSVDTIPKQFNAEVLNTGYHKHRVLSSKASGEPAVVLGASVHCAVREAIRAARIEFAGNNGSGSSLLTFQLDVPAPMTVVKELCGLDIVEKYLEYLSNRGAASGN >ONIVA07G08730.2 pep chromosome:AWHD00000000:7:7979675:7992774:1 gene:ONIVA07G08730 transcript:ONIVA07G08730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKKKVVAPVERVVFALNGERQEVAAADVDPSTTLLEFIRTRTPFKGPKLGCGEGGCGACVILIAKYNPKTDEVTEFNASSCLTLLYSIHFCSIITTEGLGNTKDGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLVNADKSKKPDPPKGFSKLSVSEAERSFSGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKHPDPTKLPSYTLGGGICTFPDFLKSEIKSSLDFNDASISGPREGWYCPKSIKQYYKLVNSGLFSESSVKVVVGNTSTGVYKDQDLYDKYIDIAGIPELSAIVRKDKGIEIGAATSISRTIEILNQESESTSSPNGSVVFRKLAEHMSKVASPFVRNTASIGGNIILAHKYPFRSDIATILLGAAATVNLQVSSKTLHVTLEQFLEQPPLGHNTLLLSIFIPHWASDCKKELTLVFETYRAAPRPLGNAVSYVNSAFLGHVSLDKSSGDNILSNLHLAFGAYGTEHAIRARKVEEYLTGKILSASVVLEAIRLLRETIVPVEGTTHPEYRVSVAVGFLFSFLSPLCKGVIEPGKTLSISEDLVHTDNVHNMPLSSRRETLSGDEYKPVGDPIKKYKVELQASGEAIYVDDIPAPKNCLYGEFIYSTQPLANVKSIKFKPSLASKKILTVVSAKDIPTGGRNIGSTFLFGDEEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQAVVEYTTDGLKAPILTVEQAVQNNSYFQVPPERAPKQVGDFSKGMAEADHKIMSEEVKLASQYYFYMETQTALAIPDEDNTMTVYSSSQFPELAQNVISKCLGIPFNNVRVITRRAGGGFGGKAVRSLHIATAAALCAHTLRRPVRMYLNRNTDMIMVGGRHPMKARYSVGFKSDGKITALHLDLLINAGISADASPVIPGTIISGLKKYNWGALSFDVKLCKTNNTSKSVMRAPGDTQGSFIAEAIIEHVAAILSLDANTVRQKNFHTYDSLVLFYPDSAGESSTYTLHSIFDRLASTSRYVQRVESIKKFNSTNKWRKRGISSVPLIFKVEPRPAPGRVSVLNDGSIVVEVGGVELGQGLWTKVQQMTAFALGQLWPKGCEGLLDRIRVLQSDTLNLIQGGLTAGSTTSESSCAATLQACNMLIERLKPVMERLQLQSDTVSWDTLISQASQENINLSASAYWVPEQDSNFYLNYGAGTSEVEVDLLTGAITIIRSDLIYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEHQTNSDGLVISNSTWDYKIPSVDTIPKQFNAEVLNTGYHKHRVLSSKASGEPAVVLGASVHCAVREAIRAARIEFAGNNGSGSSLLTFQLDVPAPMTVVKELCGLDIVEKYLEYLSNRGAASGN >ONIVA07G08720.1 pep chromosome:AWHD00000000:7:7974280:7976397:1 gene:ONIVA07G08720 transcript:ONIVA07G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKKSTGPSTRSSYRDPSIDSPVKPLHDYQQLLISAIGVNCIISQAAPKLTKRSDETAWSTTSMGLADFSMLLFVTGVYIRMMHSTMSKFLGLETYITAASLVMADYAVLLLINTRYIGVFIIPVILLVFIAALCTKLWDKSSPYIQQNYRSSRVSVLHVPNTEKLLKLATLPCWLQLLSSTILNPDNEQQDDTIVFSQFLLFFSSALGALAVMVAKLPAGVSPGAAQVLPVLQKTCIVLLLLTVHTMAAEWIGEDVIVACMPGLVAVLVWFTVHFDHDARNATAVSIDNVLSYRSQAVAILSSAVGLLAYLTGSYAAYERELVESRCRWCLCMVSSSSALSHVNLWMLQHWPERTFHLEELLKLFRFCRKICLSATLVLALMSIGGWVRNLIAGSIAIVSALVGFALFVTMGRKPEPRNVGRTRKNAASSFGQDDQNPSRFSKESRPSGGFFAPALESFGDFIDRNLGIAN >ONIVA07G08710.1 pep chromosome:AWHD00000000:7:7964269:7965468:-1 gene:ONIVA07G08710 transcript:ONIVA07G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMRKRVQAFTEGVVLMVCPVLLAVSLKKADLKSNGNGSLVGGGISLLAAITLEAGLLAVLFLGINDSLPASHGLLLRASKLLVHLCALLLMALAFVILLLIDMDRHMYCLAGLVLAPLVPFTLFRCYRSARDGGDDHAEGGGAATLAPLVDFSAAVTTLLFLGLEGLALEGQSSAACRGMERLFTASLGVTYLTCALGVFVMLVGTVPDPALASTSTDDQGDRSAKARHVAELLNVVLSVAFAVVVVLITAAPLREQAWLVFVPLILSFVAWMYRALVDGDGGLEEMKQAASLELTKVTFTGFLAVAVPTFSNTPVGISTRGFVALSAAAVMSDLGWRLLMTGRMDHNDQRMASTAMVSVANAGSLCAHLCVAAAVLPFATLAVNAVSSSEPGSGCH >ONIVA07G08700.1 pep chromosome:AWHD00000000:7:7958255:7959674:1 gene:ONIVA07G08700 transcript:ONIVA07G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGAEQEGVTATSGNGEVKAVVSGFQFMAIRRRKPSSDFDDCGICHGIISGQISVLSNFVVTTAYGQLLVINTSYIIVSPVFIIIIVFMIGLWLKLGEKKLEESPRQLGETIWLCLTFLLFLSSALGAVAVMVSNLKHVDAGSAALHFLQKTCTVLLIATLHIMAAKWLGMEGTALVCMPELTAMLLWFSDVDHSWYKKAEHIKLLFRSLKDKLPLVSLAIGILAFLMAFIVDNIHSHMQVFWYSKMAFGMVTAVALYLFDFWMIYLWPGSICNSK >ONIVA07G08690.1 pep chromosome:AWHD00000000:7:7957002:7957606:-1 gene:ONIVA07G08690 transcript:ONIVA07G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHAQRRDVPVAAAGQRQQQGGCGAKRQRKDGGGMFVDKTVHRPTGSGRLRPGRGRGRPVEGADEALEEELASTAGGARQVGRIKPAKPDLAATILVLEEEGRRKWSWGKLAEVLADEVEGVRQKLASRAREASSWGLEVQAAGRLAGEVQNVPIGGIAAWRGRSLLQICLLDSRWTRRSAVWSVTQLTARVLPD >ONIVA07G08680.1 pep chromosome:AWHD00000000:7:7956461:7956822:-1 gene:ONIVA07G08680 transcript:ONIVA07G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVVHEAIVAQQSGFELGWKSESLGESLAWSLTIADNVDACGRRSLLEGAVTLLSFSSGENFVLILEQMLLTSRTLFLGGFV >ONIVA07G08670.1 pep chromosome:AWHD00000000:7:7940373:7947464:1 gene:ONIVA07G08670 transcript:ONIVA07G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSMANADGESTRTGYCAATKSFRSLRPPVPLPPPDVPLSFPEFAFSLLPRSSSSSSSSLLPANPALVDAATGEAVSFQAFLSRVRALAGALRSRVGLRGGDVAFVLAPAGLDVPVLYFALLSIGAVVSPANPALTPAEVSRLVSLSGASVAFAVSSTATKLPAGLTTVVLLDSPHFRSLLMDCGQAQGQEPLPVVVVRQSETAAIQYSSGTTGRVKAAALPHRSFIAMVAGFHALRAKAREVRTLLGAPMFHSMGFLFVLQGVALGATTVVVTDAVARAGIRGLVEAAERWAVMDMTASPPVVLGMTKQRCRLPALERITCGGAPLPAAAIERFRRRFPHVDLCMGYGSTEAGGISRMISQEECNHIGSAGRVTENVEVKIVDHVTGKPLPAGQQGELWVRGPAVMTGYVGDNEANATTFDSEGWLKTGDLCYIDQDGLKELIKYKAYQVPPAELELVLHSLPQIVDAAVMP >ONIVA07G08660.1 pep chromosome:AWHD00000000:7:7861252:7866449:-1 gene:ONIVA07G08660 transcript:ONIVA07G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGQEVEAAPPSDGRRIRPLETRPGALGQLKAIQLLDGSNYVEWRNNVLINLAMLDYDLAIREDPPEEPQTAEELNIIGEEYDNLMWAYNKKLANWEKSNRMCLIYVKGAISPEVIGEIIDSKNIKTYLANIEESFEFAPETHANTLVNEMITSHYNGKSGIKKHILEMTHMANQLRSMDMKISDGFLVHIIMRSFGPNYDPFKIKYNTQKEEWTIKEVILHSVEEKERQKAEKQKIKDRLNLTNAFDKGKKVYQGESSNKNSELEGEQKQEGIKASTSAVPLSTSPYCHFCASDGH >ONIVA07G08650.1 pep chromosome:AWHD00000000:7:7855571:7855924:-1 gene:ONIVA07G08650 transcript:ONIVA07G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLLVLLLASVLAAAVSASSSEESSSKPSILIPVADTPLGSYEGADGPIADDALEDMEAAPLGSPIGTTMTEPEPELPANAPPSSAGATASSTPTTLLAAAVMAAVAGVFAF >ONIVA07G08640.1 pep chromosome:AWHD00000000:7:7848725:7852877:-1 gene:ONIVA07G08640 transcript:ONIVA07G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALSTNSNDAIPRSDVNREYFAEEHDRRARAGIDYDSSNGKARANDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLSKAGEMPSLTPPDDESIRTLYIGGLDSRVTEQDLRDQFYAHGEIETIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDEAGRQGHVAHGGMLPRAVISQQQSGDQPQPPGMEGQQQPASASYYFNIPAPPAAERTLYPSMDPQRMGALVESQEGDGKPGPQQAGQGQASSSSGQSYPEPPPPYYHGGQYPPYYPPYGGYMPPPRMPYQQPPQYPAYQPMLAPPAQSQASSSQQPAPATQQLGQGPQQQTTQNGMT >ONIVA07G08630.1 pep chromosome:AWHD00000000:7:7847455:7848109:1 gene:ONIVA07G08630 transcript:ONIVA07G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGGEAWGGGGETDELARSAIAREKVGGGRTEREEVGPTRVGRGGRRACLLHPARATRKDTGMLAVAELAALRHENLASLRAYFYFVGAGALSSLLHDSNGAVRHACLGFTSRVRIAQADPNGVAFIHGDGVVLLKMLTGKLPANTVPGFDGIDLSQWVRRGAGVDGRGVRRQHRRLGMRR >ONIVA07G08620.1 pep chromosome:AWHD00000000:7:7818576:7818971:-1 gene:ONIVA07G08620 transcript:ONIVA07G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDAVLDSATKTEWRRIDGDLIVKAAARSRADATVVLAPTTAVRGEGDSATKTGCWRRIDGDLQGGGREENQKMMEAWMRVSALAARSTKARSASATGGLRAAGIGQGRAGVMETVRRAAMETGSRG >ONIVA07G08610.1 pep chromosome:AWHD00000000:7:7812965:7815153:-1 gene:ONIVA07G08610 transcript:ONIVA07G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATDPPPPPPPLTRKRGREARKSKEAAPPREPRRARSRSHVPPPPGAGDGRGGDGEEDVGFDLISRLPDAVLGDIISRLPTKDGGKTRALSKRWRPVWRTAPLNLDAGDLAPNANGAALAVLVTQILLVHAGPVRRFCIPAQQIHERPAMVECWLGSRRFKNLEELEFTVPEDPFYGRSFLLLPPPPSTFRFSATLRVAAISQCSLPDCTATLALRFPQLRLLSLQEVIVSEHSLHSIIAGCPALEGLLLKRSFGFRCLRINSPTIRSVAFHSPCCGDHCVWKVGFHLEEVVIEDAPCLERLIHIERAMGLGVNVTVIAAPELEACVLDDLDDGYYRLDFGKVVFKGFAVINYTTPVSSIKILALIRDNLRLDRVIELMRCFQCLEKLYITASHYGATNCWRRKHWRKLKSLDICLKTLVLDNYRGLKSQINFATFFIRNATKLENMIFTGGRSNGNAYFIARQQKLLEFEKRASKTAHFHFTTKKCYYDWVHIKDVHDLSIADPFECTC >ONIVA07G08600.1 pep chromosome:AWHD00000000:7:7794110:7802290:-1 gene:ONIVA07G08600 transcript:ONIVA07G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEISSPSSSKYVTVEDLGPPEKAAERVLQHYLTEFMSTSTKLGVRRTRNLLSTMLSTSTFILFGPKQITLAETTGGSTLTHDGYSFLYPNSWIQVRGAGADLFRDPFVLDENMSVEISSPSSSKYVTVEDLGPPEKAAERVLQHYLTEFMLSQPEFSFQDL >ONIVA07G08590.1 pep chromosome:AWHD00000000:7:7788849:7790384:-1 gene:ONIVA07G08590 transcript:ONIVA07G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKTDLIRYLIVIDNVWSISAWEAILSRLLDNKCNGRIIVTTRIEHVARACSSASLEEDYYIHRIKPLQFEDAKKLFINAVFGPQQDCPQHLVETMHKILTRCTGLPLAIVCIGRLLAGYRSPDGIEMWTRVSNSIGSQMENNPTLEGMRQIITLNYNHPPHHLRACMMYLSIFPEDYDIGKNRLLYRWIAEGLVSEQRGLTLIEVAEAYFDDLVSRHMIQPPCVEPYGKEPKCRVHDMMLDITVSKALESNFVRLVGNQCQGINSYGSVRRLSIHSDDQGYGIDNTKLSHIWSLTTFRPSGHRRLLDKLSEFTLLRINKLHHLYTLWLYETLLNKVPESLVDLEKLERVGFTNRHDPTILLRLPRHIRKMKVLQQIYSFELRKDDVQLAREIGDLVQLQVLCVILSCSNCSNEQVLIELAKSIGRSLRVANNMNFLLELPSPPKFLRVLYIRGTINKIPG >ONIVA07G08580.1 pep chromosome:AWHD00000000:7:7787620:7787982:1 gene:ONIVA07G08580 transcript:ONIVA07G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTVGAPPPSSLVPHTHDCKSSSDLPVFGRGRVRPDRSDLGSCRIRTAQRSGKAVHRRPRGDAGDLA >ONIVA07G08570.1 pep chromosome:AWHD00000000:7:7778951:7782848:1 gene:ONIVA07G08570 transcript:ONIVA07G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERSELGRQLPLRGPLKALEADIHHANTMANAIQRNYGGACVQMRLSCSSLAPFFLYLIQWLDCGCCYALPSYLGLFHILICKVYADGDSSVSTYERRASLREFYAIIYPILQQLEGSLIERDLKGKGRCKDIVSRKRLEDWRKLCNKDVEREDECGICMETCTKMVLPNCSHAMCIKCYRDWYRRSESCPFCRGSLKRIRSRDLWVLTNYNDVVDPVTLERENVRHFYSYIDSLPLILPDNIFFFYYDYLL >ONIVA07G08560.1 pep chromosome:AWHD00000000:7:7763307:7767161:1 gene:ONIVA07G08560 transcript:ONIVA07G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAITGGGRRPPCRRGPAAVAPPARFSCRCGTHPVPRRNVLSTMLSTSTVILFGSKQITLAEITGATFREYIDTFDGYSFLYPKSWIQVRGAGADIFFRDPFVLDENMSVEISSPSSSKYVTVEDLGPPEKAAERVLQQYLTEFMSTRLGVRRTSNILSASSKVADDGKLYYEVEVNIKSFASNNELAVMPQDRVKRLEWDRRYLSVLGVENKRLYELRLQSPEQVFKEEEGDLRRVMDSFRVNKTLSEARCGDLGIPNNGSHQFRVRARFH >ONIVA07G08550.1 pep chromosome:AWHD00000000:7:7759604:7760062:1 gene:ONIVA07G08550 transcript:ONIVA07G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPNRGHEHAHQPSGQQTNVSSYTSPTDLVLGNHVNGSDWVNSSLSVFLEQHRLQLDRALQTHISLHNATLSAIVDSMITTALKEKDEEIARLHIMLNQLQELIINIE >ONIVA07G08540.1 pep chromosome:AWHD00000000:7:7756356:7756630:1 gene:ONIVA07G08540 transcript:ONIVA07G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIRHGCGWRVRRFGASFLPRGSCTGGEMAATFAVVSLSSGSMEQRRASLETAAPSMPPSEFSIFIFFTGGRSGHRPLFPFSI >ONIVA07G08530.1 pep chromosome:AWHD00000000:7:7744100:7745322:1 gene:ONIVA07G08530 transcript:ONIVA07G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSTCSNI >ONIVA07G08520.1 pep chromosome:AWHD00000000:7:7742713:7742940:-1 gene:ONIVA07G08520 transcript:ONIVA07G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRLTKVRMLFVRCRGGVRHSPEESALDGDVWAAARKKGKRKEERERKNNVNTTLSLSISTENNKIMGGVSSS >ONIVA07G08510.1 pep chromosome:AWHD00000000:7:7741444:7741920:1 gene:ONIVA07G08510 transcript:ONIVA07G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPAGGGTEVAWASSQGRSGGMQRAGSRVLRQVRKSASGGAPGFQAKASLDDHQAGSGYAFGCRNPLGSAVVGRAAIGHA >ONIVA07G08500.1 pep chromosome:AWHD00000000:7:7740739:7741179:1 gene:ONIVA07G08500 transcript:ONIVA07G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSRRRRPQRPKAKTAGRRGEAANPAPLRLDLAGTAARRRDGEGAAARGLVGSAAERLRRWFRWRCRSGRRGVGAVTAAGTGWRWEHRCGRRCRRIWPEQFAATMAAGRWRDAPRLPQPVASAAPHALASAASDEEAQRASGWDA >ONIVA07G08490.1 pep chromosome:AWHD00000000:7:7735567:7738882:1 gene:ONIVA07G08490 transcript:ONIVA07G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWIRPEVYPLFATTGVAVGICAMQLVRNITTNPEVRVTKENRAAGVLENFDEGKRYSQHGVRRFWLSKRRDYMQALDNPTNPSTKK >ONIVA07G08480.1 pep chromosome:AWHD00000000:7:7730562:7733039:-1 gene:ONIVA07G08480 transcript:ONIVA07G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPLDTSISMEITAFWLWLEGNYDHTDYLERIDSFDDDHFQAIAFVAKSFVETLNLDHCDLRNTRSPFQQEAIEGIAFYLNNVCYKALKDLHGHEETEEFPDQICRDNEGNLNDQVPLSTDNLLSKIKSLYANNQENHGESSSYRSIQYPRNRILQDTKVAIDEYASSSCLVSFLDNLSLREKHSDPVIQQPSDVPNDERTLFVTFSNGYPLSKDELYDFFMRHYGDIEDITIEEPPEPRPPLFAQVTFYSQLTLLRVLDGNKRVKFMTRGKHLWARQFVPKKKKSKNDEANLID >ONIVA07G08470.1 pep chromosome:AWHD00000000:7:7632903:7728308:1 gene:ONIVA07G08470 transcript:ONIVA07G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMSCKRRKVSPASGAGAPVVLPEEMMIEVLQWLPVESVLRFRAVCRSWATALSSDQFRGFHTAKNKIKPLPPKLFFVAQTAGFGSTSVHTSSPLSRSVPGGDDHRDLLFSLDNVRGDFMAMTPTPCHGLTLLHDAMGLEYYAATRSISRLPPCQTVPSGSAGLGFDARTGEYKVVRLFREIISGEPHTKCQIYTLGGKHGDSWRPASGVATSVGCQGQWQCQPYGVDGMMHSARQTPIQAIDSVAGYQADEMEQV >ONIVA07G08460.1 pep chromosome:AWHD00000000:7:7618684:7621053:1 gene:ONIVA07G08460 transcript:ONIVA07G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSAIQWWDEWQLRILALSSLLVQYILLVMAPRRKFPMKFYLRYIIWLAYLSSDALAIYALATLFNRHKKQDYGHAHNTSILEVLWAPIFLIHLAGQDSITAYNIEDNELWTRHALTSLSQITIAIYVFCKSWPGGDRRLLQAAILLFVPGVLKCLEKPWALSSASINSLVSSPKNVRRTTNREVKKDPIQDFIDKVNESRLEDGRWEESSSPADFKPSELFVDLASPSSDDRLSKLLSFLQREGDESYILLQKSLYETFDLLYTKEKMFPIILSTLKRHQIYTKEKMFPIILSTLKRHQNDDNTNVDTDEGKKEQQTLKGFCGSMLRGLLTYLSFVAIGLFHQSHRKAYNHTDVKVTYTLLCCTAVLELCGPTLQKNLTSGQARVQKTSFNMNSPKSVGKVNHAKHDVQAKSKAKGKLPVYGIMDDMVSQYSLLGYFVRNRKHSVIIGVAEFLSCKDYLDQRWRMKSCSSSRNITNLVLGHVKRWWNDEITNVSCYRKFNDNRGQWTLESEEFLQQLGWSLEGAFDESVLLWHLATDFCYYHICGSHDCEHATKTCFQGTSDLKSESPTFCEESIHQGRAVHCREMSNYMMYLLVVNPEMLMAGSRRNLFTDAYNQLKGMFNKKSTPLNEGELAGTIISEVQVHVQQPIKEKTIEDKTPAPSNKTGLIDDAWSIAEVLLNLHDEEKMWRVIEGVWVEMLCFSAARCRGYLHAKSLGTGVEFLSYVWLLMHYMGMETLAEKLARAELPNGACSGDSRTTHAEHSSGKEQVAGASSNDGITVVVDKNG >ONIVA07G08450.1 pep chromosome:AWHD00000000:7:7598678:7600984:-1 gene:ONIVA07G08450 transcript:ONIVA07G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPISDALQWGEEWQLRLLVLGSLVFQCFLLITAPFRKFPIRSYFKPFIWFAHLGCDALAIYALATLFNCHRKHDAAGHAHGNDILEVLWAPILLIHLGGQDGITAYNIEDNELWMRHLLTSLSQITIAIYVFCKSWPGDDKRLLQAAILLFVPGVLKCLEKPLALNSASINSLVSTAEHAKRTTKRQGKIDRLEDFVEMAKRCCGGNGGQGIPGFALEYNPFELFVDLASPSSGYRLENLLSFSALSQDEVYCLLQNNLSDTFNILYTKEKLFPTILNFPPTHQNDENTQPYTSLVNSVQKTVHRPMLSTFKVFCAAMLRVAVFLNFVPIGLFHHCHRKAYNDKDVKVTYTLLCCTAVLEFYNPSTKVYANSLRTDVLHRSSILTTLCSWIKPCMPNMNCISKTSERPTETYQYMDDKIFQYNLFKYFIRNRKHSKMMNIAGFLGCKDYLDQQWRMNSCSSSRRITYLVLGHVKLWWRDHITDVSAYRKFNDIRGQWTLQFEGCFQQLGWSLEGAFDESVLLWHIATNFCYHHIRGSYDSEHAAIRGSYDCEHAAMMCIHGSSYLNNRFPTWCGKCLHHKNAVQCQEMSNYMMYLLFVNPVMLMAGTRRNLFKDAYNQLKSIMKDSNTPLNENDLMQTIIAKMKQPLETSNERGFIDDAWSIAEELIKLEDTEKMWRVIEGVWVEMLCFSAARCRGYLHAKGLGTGVEFLTYVWLLLHYMGMETLAEKLARAELPNGARSSDSSTTHVGASSSKEQVAGASTSYAS >ONIVA07G08440.1 pep chromosome:AWHD00000000:7:7594144:7594452:1 gene:ONIVA07G08440 transcript:ONIVA07G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREPSPMRSCRVPDGRVQIRSMAAQVRQQMFGPLLSTTATVDWNAQGSPPMGPPHGVSPRLWAARLRVAPEALEARLHAWARRLRRPLLLMSSHRRRIADA >ONIVA07G08430.1 pep chromosome:AWHD00000000:7:7592977:7594080:1 gene:ONIVA07G08430 transcript:ONIVA07G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPPLHADSTPARRRGEEMCEMGRVVRWADKLFWRTMEATVKEHRRGGQRGGQGEEGEAHCVRRDGFTGGPTPMPRVVAYFAKALALRVVRMWPHMFEISAPWELTDDAFNSDDDAFNSDDDAMALRILNTITRAKTETSPGRAKAEEMFVRERMCNGMVAATGVRLPERRLW >ONIVA07G08420.1 pep chromosome:AWHD00000000:7:7575853:7576796:-1 gene:ONIVA07G08420 transcript:ONIVA07G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNVEPLVVKPGKTKPKVEVMLLTPHASMCIVVGASLFPRPSQHVAYSRALCGPSRSTTTHGCWSNTSTPLNLHPPPILLADMLSIDTG >ONIVA07G08410.1 pep chromosome:AWHD00000000:7:7547499:7547918:1 gene:ONIVA07G08410 transcript:ONIVA07G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVASHLNTDGLAYYSTVTTRLLARANGGTISSDDNVWSFAQSTALPPPSAPVFPSHIATGASRTWAPAATAFDLRDGVVDGKAEWSDGGGVVHAWENAKWRHGETAPTGSGWKTR >ONIVA07G08400.1 pep chromosome:AWHD00000000:7:7539773:7546920:-1 gene:ONIVA07G08400 transcript:ONIVA07G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVIGVAEQRGEEMEGRQEKTGRKKIWIQNVTAVSPSPGLQKRWCYVSLSSFLNLNQPGDNPDNMEVPVINTNRHL >ONIVA07G08400.2 pep chromosome:AWHD00000000:7:7539773:7546920:-1 gene:ONIVA07G08400 transcript:ONIVA07G08400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVIGVAEQRGEEMEGRQEKTGRKKIWIQNVTAVNRYICRLYRGPSPSSSYPPNQRHPLARHRRRPRLDLPGPPSHSPPRAVVVAPHRRPTSPPPARSSVAPRHPPRVVTRHRPPSVLPPAPSTTVGVVGPTPQPATPPRSAPSQPRPFSTTAAALLQRRRGSPPAPPWTSSAAVVPVINTNRHL >ONIVA07G08390.1 pep chromosome:AWHD00000000:7:7497694:7497933:-1 gene:ONIVA07G08390 transcript:ONIVA07G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERLEHHAHGIGNGGGGSVDSNHKGERIINGHSVGKREAQHATENVTCWRGSAWHRTARDKQIRVRVCDESGYHLIP >ONIVA07G08380.1 pep chromosome:AWHD00000000:7:7448661:7461578:-1 gene:ONIVA07G08380 transcript:ONIVA07G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIEVAGTNPLCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVVRLLVMRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFSMGPHGPKHVRDTSVSSPLPLSPLFPISFHCAKPPEKAACAAAGGESGVGSRESGAGGEELGDSGEKLLRLPPQPGSLLHAFLFCKRWRGHGFLRRFLDCRVRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ONIVA07G08380.10 pep chromosome:AWHD00000000:7:7448661:7461621:-1 gene:ONIVA07G08380 transcript:ONIVA07G08380.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINWPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ONIVA07G08380.11 pep chromosome:AWHD00000000:7:7448661:7461621:-1 gene:ONIVA07G08380 transcript:ONIVA07G08380.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVVRLLVMRLFEPVKIERWAIVNFSARCDMSRISRDLINWPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ONIVA07G08380.2 pep chromosome:AWHD00000000:7:7448661:7461578:-1 gene:ONIVA07G08380 transcript:ONIVA07G08380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIEVAGTNPLCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVVRLLVMRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ONIVA07G08380.3 pep chromosome:AWHD00000000:7:7448661:7461374:-1 gene:ONIVA07G08380 transcript:ONIVA07G08380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ONIVA07G08380.4 pep chromosome:AWHD00000000:7:7448661:7461374:-1 gene:ONIVA07G08380 transcript:ONIVA07G08380.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIEVAGTNPLCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ONIVA07G08380.5 pep chromosome:AWHD00000000:7:7448661:7461621:-1 gene:ONIVA07G08380 transcript:ONIVA07G08380.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ONIVA07G08380.6 pep chromosome:AWHD00000000:7:7448661:7461621:-1 gene:ONIVA07G08380 transcript:ONIVA07G08380.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIEVAGTNPLCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ONIVA07G08380.7 pep chromosome:AWHD00000000:7:7448663:7461621:-1 gene:ONIVA07G08380 transcript:ONIVA07G08380.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIEVAGTNPLCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVVRLLVMRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFSMGPHGPKHVRDTSVSSPLPLSPLFPISFHCAKPPEKAACAAAGGESGVGSRESGAGGEELGDSGEKLLRLPPQPGSLLHAFLFCKRWRGHGFLRRFLDCRVRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGAVLSCFNTSDLRAIYLPPIGFDEALSLRTGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ONIVA07G08380.8 pep chromosome:AWHD00000000:7:7448661:7461374:-1 gene:ONIVA07G08380 transcript:ONIVA07G08380.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINWPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ONIVA07G08380.9 pep chromosome:AWHD00000000:7:7448661:7461374:-1 gene:ONIVA07G08380 transcript:ONIVA07G08380.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKIVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKIIGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIEVAGTNPLCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINWPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENTPDNVPPGTVVDSGIVHPRQYDFYMYAHAGPIGTSRPTHYHVLLDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >ONIVA07G08370.1 pep chromosome:AWHD00000000:7:7408227:7411419:1 gene:ONIVA07G08370 transcript:ONIVA07G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAGQLNIALGAKSNAVGSVKGSGGGPRRRRGRRSLLLAARGRGGGREDQIRIPRRVECLDVECMADAMETASASASDPPPLRRRRQRQRRLVFDRRYGWIFDEWTDPADAALAGGRGMFCVLPMARSLVDVAVSSVTYAVDSNYVQLGVYQH >ONIVA07G08370.2 pep chromosome:AWHD00000000:7:7408227:7409624:1 gene:ONIVA07G08370 transcript:ONIVA07G08370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAGQLNIALGAKSNAVGSVKGSGGGPRRRRGRRSLLLAARGRGGGREDQIRIPRRVECLDVECMADAMETASASASDPPPLRRRRQRQRRLVFDRRYGWIFDEWTDPADAALAGGRGM >ONIVA07G08370.3 pep chromosome:AWHD00000000:7:7409600:7411303:1 gene:ONIVA07G08370 transcript:ONIVA07G08370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSAPHSLRFRFCVLPMARSLVDVAVSSVTYAVDSVSQALERCGTSSLIAYLPPLSLHRKQQTWFRELEHVGVIADTKLIPCRTMCSLGCISTDGH >ONIVA07G08360.1 pep chromosome:AWHD00000000:7:7402874:7407551:1 gene:ONIVA07G08360 transcript:ONIVA07G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAMANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNETIQNMNKLHSCQEKLNDHISKAKLLLEELHILEEDDEQQSGDLLDKAVSCASVMVLVHNMLKLDYTMQEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >ONIVA07G08360.2 pep chromosome:AWHD00000000:7:7402874:7407551:1 gene:ONIVA07G08360 transcript:ONIVA07G08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNETIQNMNKLHSCQEKLNDHISKAKLLLEELHILEEDDEQQSGDLLDKAVSCASVMVLVHNMLKLDYTMQEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >ONIVA07G08360.3 pep chromosome:AWHD00000000:7:7402874:7407551:1 gene:ONIVA07G08360 transcript:ONIVA07G08360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAMANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNETIQNMNKLHSCQEKLNDHISKAIWGFAGQSSVLCKCDGPGSQYEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >ONIVA07G08360.4 pep chromosome:AWHD00000000:7:7402874:7407551:1 gene:ONIVA07G08360 transcript:ONIVA07G08360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNETIQNMNKLHSCQEKLNDHISKAIWGFAGQSSVLCKCDGPGSQYEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >ONIVA07G08350.1 pep chromosome:AWHD00000000:7:7398558:7400825:1 gene:ONIVA07G08350 transcript:ONIVA07G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72030) TAIR;Acc:AT1G72030] MSPAATMAVLLRPSTLLPSSQSTTTSRLRFPVATPLPRCRHGGAGKKSRLLVARRRWRRDRVACLPTKEEEEEEEEEVGVAGDGGEEDGDEDGYLAREGEWGVRRMGRVGEEMRRVALVQAEAFHVPVALFNDFFFDFFKAEVLSALIYRVRNSPPDRYACLVAEEVDATSQISEAPFEKIVGVVDCTVQNEADILKNLQGVDEYLYVSGIAVLPSFRRRKVGTALLKACEALALQWRHRFMALRAYEDDDGARGLYSKAGYRVVAKDPGWVTWVGRRRRVLMIKELPIHEHHLEQQ >ONIVA07G08340.1 pep chromosome:AWHD00000000:7:7376340:7381735:1 gene:ONIVA07G08340 transcript:ONIVA07G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G72190) TAIR;Acc:AT1G72190] MAAAARLVSTTLARSSSSSLAAAAAAASASAARRPDLLASSPRGFSSMADSIQRSGSGDITRVLFCGPYWPASTNFTKEYLQSYPFIQAMKNRRLDSDIIAKASQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSTTGNAVSCAEMAIYLTLGVLRKQKVMDTAVKRKDLGIPVGDTIFGKSVLILGFGAIGVEIAKRLRPFGVKILATKRNWSSDTLPCDIDELVDKKGGPEDMYEFAGEADIVGIVDHKFLSAMKKGSYLVNIARGRLLDYDAVFNHLKSGHLGGLGIDVAWTEPYDPEDPILKFSNVIITPHIAGVTEYSYRTMAKVVGDVALKLHSGEPITEVEFVN >ONIVA07G08340.2 pep chromosome:AWHD00000000:7:7376340:7381735:1 gene:ONIVA07G08340 transcript:ONIVA07G08340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G72190) TAIR;Acc:AT1G72190] MAAAARLVSTTLARSSSSSLAAAAAAASASAARRPDLLASSPRGFSSMADSIQRSGSGDITRVDEVGLEEVPDVIQNYHLCVVKNRRLDSDIIAKASQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSTTGNAVSCAEMAIYLTLGVLRKQKVMDTAVKRKDLGIPVGDTIFGKSVLILGFGAIGVEIAKRLRPFGVKILATKRNWSSDTLPCDIDELVDKKGGPEDMYEFAGEADIVGIVDHKFLSAMKKGSYLVNIARGRLLDYDAVFNHLKSGHLGGLGIDVAWTEPYDPEDPILKFSNVIITPHIAGVTEYSYRTMAKVVGDVALKLHSGEPITEVEFVN >ONIVA07G08340.3 pep chromosome:AWHD00000000:7:7376340:7381735:1 gene:ONIVA07G08340 transcript:ONIVA07G08340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G72190) TAIR;Acc:AT1G72190] MAAAARLVSTTLARSSSSSLAAAAAAASASAARRPDLLASSPRGFSSMADSIQRSGSGDITRVDEVGLEEVPDVIQNYHLCVVKNRRLDSDIIAKASQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSTTGNAVSCAEMAIYLTLGVLRKQKVMDTAVKRKDLGIPVGDTIFGKSVLILGFGAIGVEIAKRLRPFGVKILATKRNWSSDTLPCDIDELVDKKGGPEDMYEFAGEADIVGIVDHKFLSAMKKGSYLVNIARGRLLDYDAVFNHLKSGHLGGLGIDVAWTEPYDPEDPILKFSNVIITPHIAGVTEYSYRTMAKVVGDVALKLHSGEPITEVEFVN >ONIVA07G08330.1 pep chromosome:AWHD00000000:7:7367172:7372799:1 gene:ONIVA07G08330 transcript:ONIVA07G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENGTNHLSTPPLLLPTPRAGDAIPAPASSAAALRARAARRPLNAVRQRCPPRARSAAALPAPPRWLSLAASRRCRIPAVRAPSIHRRLVSVKPLRPCVCTAPRRRSHAVRPPSIPRRLVSVDPHQPSAGTGSPAVRPRRHRDSSLPPSQTHLDHVFLAAPV >ONIVA07G08330.2 pep chromosome:AWHD00000000:7:7367172:7369719:1 gene:ONIVA07G08330 transcript:ONIVA07G08330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRENGTNHLSTPPLLLPTPRAGDAIPAPASSAAALRARAARRPLNAVRQRCPPRARSAAALPAPPRWLSLAASRRCRIPAVRAPSIHRRLVSVKPLRPCVCTAPRRRSHAVRPPSIPRRLVSVDPHQPSAGTGSPAVRPRRHRDSSLPPSQTHLDHVFLAAPV >ONIVA07G08330.3 pep chromosome:AWHD00000000:7:7367172:7369294:1 gene:ONIVA07G08330 transcript:ONIVA07G08330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRENGTNHLSTPPLLLPTPRAGDAIPAPASSAAALRARAARRPLNAVRQRCPPRARSAAALPAPPRWLSLAASRRCRIPAVRAPSIHRRLVSVKPLRPCVCTAPRRRSHAVRPPSIPRRLVSVDPHQPSAGTGSPAVRPRRHRDSSLPPSQTHLDHVFLAAPV >ONIVA07G08320.1 pep chromosome:AWHD00000000:7:7362403:7363169:-1 gene:ONIVA07G08320 transcript:ONIVA07G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLQRGEHLVHHDRPSHHHRRRFPTPQPAEAAAVVEVGWGFQVLRLRAFSSF >ONIVA07G08310.1 pep chromosome:AWHD00000000:7:7359258:7360124:-1 gene:ONIVA07G08310 transcript:ONIVA07G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWPVARCRRRRSCCRSVPAAATRGPGRRTPSRLRLLLCLIYQLGFRGVAIRVAEENAQLARGRLPWAGSALPLAVLAADGPICQFGRAVYYWHAPYTSREGHGFATMDSSVQISISGKKIPYPPTASTRWLELNYERFWILVPLLGGKSEDFHSSG >ONIVA07G08300.1 pep chromosome:AWHD00000000:7:7358296:7358693:-1 gene:ONIVA07G08300 transcript:ONIVA07G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGDKRNCNPQSSHTTYLQAISVVTASKSSTVYVSVQGKSAADDLSYFKSTQTHTVSYMKNKIPHIDVFLTEQDFKNNEI >ONIVA07G08290.1 pep chromosome:AWHD00000000:7:7328539:7328736:-1 gene:ONIVA07G08290 transcript:ONIVA07G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARWQQAAEERDAEAVAHVGRSGGGGELREGAAAMWDAGSGKLLREGAVVARDAEAVAPRLSSG >ONIVA07G08280.1 pep chromosome:AWHD00000000:7:7320867:7327499:-1 gene:ONIVA07G08280 transcript:ONIVA07G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVGLSAHGMAFVVAASRDFSSALPSGYLGLLNVTSDGDTGNRLLAVELDTMQNDEFRDINDSHVGIDINSLHSLRSYSAGYYNDDDNNNGFRNLTLISGKAMQVWVDYDRETTRIDVTMAPLAVAKPKRPLVSARYNLSKLLKDVAYIGFSAATGGTLRSRHYVLGWSFGLGRPAPAIDITKLPKLPRTVSKDRSRILQITLPLSTAAFLLPVGAAVFMLVRRHRSGELLLVYDYMSNRSLDRYLHDEEGQCSLDWVKRIHIIKGVASGLLYLHEEWEKVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGSDPKTTHVVSTIGYIAPELGRSGKATPLTDIFAFGIFILEVICGQRPIKQSREGHQILLVDWVIHHWKNGTLIETVDKRLEGNHDTDEAILVLKLGLLCAHPFSNARPSMRQIIQYLDGDMALPELMPTDQISNQTEGLDQYIQTGPQSTIPVNASYGTMSSLSGGR >ONIVA07G08270.1 pep chromosome:AWHD00000000:7:7311822:7315447:1 gene:ONIVA07G08270 transcript:ONIVA07G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAFFLLRLISLLHLALALCSGSGNFQFAYHGFTGTNLTLDGNATVMPDGILVLTSRKTNLTGHAFFPAPLQFRTSPDGTARSFSAAFVFAIISDYTDFSAHGMAFIVSPTKNFTTALPVGYLALLNVQNNGNTTNHLFAVELDTVQNTDFQDVNANHVGINVNNLHSLQSSPTSYYDDGNNGVFKNLTLFSREAMQVWVDYDGNTGQIDVALAPIKVAKPRKPLVSAKYDLSTVLTEWAYIGFSSVTSGINSRHYLLGWSFAMNGPAPPINIPNLPKLPCFGPKPPSKVLVIVLPIATATVMLSLGTIATLLVLRHLRYAQLLEDWELEFGPHRFSYKDLYHATNGFKSKHLLGTGGFGQVYKGVFRKSRLEVAVKKVSHESRQGMKEFISEFVTIGRLRNRNLEDKPLRDWSQRFHIIRGVASGLLYIHEKWEKVVIHRDIKASNVFLDHQMNRCLGDFGLSRLYDHGTEPQTTHVVGTMGYLAPELICTSKASKLIDVFAFGAFLLEMTCGQRPVNDDSGRYNQEMLVDWVLDHFNKGSLNETVDLRLQGDCNTDEACRVLKLGLLCSHASANLRPGMRQVMQYLDGDTPLPDLTSTNMSFSTMALMQNEGFDSYPINN >ONIVA07G08260.1 pep chromosome:AWHD00000000:7:7309204:7309713:1 gene:ONIVA07G08260 transcript:ONIVA07G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTTNQQKQHHHDEHHVPMMCRGHPPVPLPLSQCRGRCRTVLWQAHTSATSLRHFPVQWALEVVIVAVVHTERAVVDWWQRSTHWRGPWPPPLPSRPRAPPSTEQAACASGSATAAEQAARAPGTATEQAAAAVEQTTATEQATAVRAAAAEQATTAEQAAATAAAE >ONIVA07G08250.1 pep chromosome:AWHD00000000:7:7295833:7297761:1 gene:ONIVA07G08250 transcript:ONIVA07G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPTHHPLPPAVWSPLSHSVGPLLPLPEDAPPLDLALEARQDTGERRRRHSSWAWAHRGEGSRSPAGSCGGKVDAQRVGFDARMIGFKGFRWYELKNAIFHQQILKVNCDDLFL >ONIVA07G08240.1 pep chromosome:AWHD00000000:7:7287301:7288018:-1 gene:ONIVA07G08240 transcript:ONIVA07G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASKRGVNLLVVVPAVTVGEILQPTLNASVHRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITSRCKDNSKPMVKPYKFSVQRLETLGMQFTPLKESLYRTVISLQDKGHLPAAISRRSAL >ONIVA07G08230.1 pep chromosome:AWHD00000000:7:7278380:7279597:-1 gene:ONIVA07G08230 transcript:ONIVA07G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPFAHCPPTIRCHPASIAVTIGCRPLPQRRLLSLLDQGRQPPSGVIHCHGRPPPDRAGQHPSPTPARHGQLHTLAVVEAECIIEAGNVIEGPM >ONIVA07G08220.1 pep chromosome:AWHD00000000:7:7269826:7270929:-1 gene:ONIVA07G08220 transcript:ONIVA07G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFFPHEFFRPRAPAAVARDGEAEGTKPILAPHELFHHEAPAAAAATVVRDEESDEEPIVGIVGLEMSDFQVIGELGGGLYNVVYKARLRRCPHGGVFALKTPYYDLGGREEDEAVAAVLRRVEGLEHVVRCHAMFRRNESLRVAVFEHMNGGSLDRALSRRGGRGLPEPALAEVAAACLAALRGLHSRGVVHLHLNPFHILADADGNIKICDFDDAKIIPPGPGSTVYSGIGFGSPEYMSPERCAPMAMAGARVARAADVWSLGITVLHLYQGYCPARPLPSKRTLERLSYEISHGEPPSVPDEDTRASIELRGFVSACLQKCVCTRATVAELLNHPFVAERDVAESRRVLKEVIVATMDKDDY >ONIVA07G08210.1 pep chromosome:AWHD00000000:7:7265048:7266545:1 gene:ONIVA07G08210 transcript:ONIVA07G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQLWVAAACAVVLALAAPSLAGDPDMLQDVCVADLASPVKLNGFPCKANVTADDFFFAGLKNPGNTNNPAGSNVTAANVQLFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGVLEVGFITTANKLFTKTVTAGEVFVFPRGLVHFQQNRGHGPAAVIAAFNSQLQGTQAIAATLFAAAPPVPSDVLAKAFRVDVPQVDAIKAKFK >ONIVA07G08200.1 pep chromosome:AWHD00000000:7:7206728:7212386:-1 gene:ONIVA07G08200 transcript:ONIVA07G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial+prohibitin+complex+protein+2 [Source:UniProtKB/TrEMBL;Acc:G8JBF6] MNIKGGGRVPVPPAGAGTLVKLVVLGGTAVYAAVNSLYNVEGGHRAIVFNRIQGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPMPEKLPTIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTMSSSANKVFLDSNDLLLNLQQLTVANKSKK >ONIVA07G08190.1 pep chromosome:AWHD00000000:7:7199984:7204290:1 gene:ONIVA07G08190 transcript:ONIVA07G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDAGCDTASLSKSMERPPPWSRQAHHQGCRSNVPMAPSCAVALTATGSCSTKKASAPDWVEDWRKKCTFAKSCYDVLGISWDTSLSLDNMMPMYIAYQMGRYIGTLEIVWITWSRSRTPSRTTSILPHPPFVLSIRPLLGIIVKIGQEHYTPTSASLPASGDSGGNPRRSRQSKWPPKPATCEVGGGLHRSGVADSKVEDGRRDASVEAGMQEKVAQAWLGRRWRGTGGRVQRWGTGGDNGGNREPPTRQTRPDPRWTSLYLEPSGGSDRRRGSDVMRWDCSDGGNVEAVAAAVGAKSGTLWPDPVVGDSDRPV >ONIVA07G08180.1 pep chromosome:AWHD00000000:7:7129818:7138374:-1 gene:ONIVA07G08180 transcript:ONIVA07G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0E0HYX6] MDGSMGYERKNIARIWRYNSLLPSLCSSPEEAKYIIVEAKCLPNMDLMTERMRKCFTGYGACSTECGKSDPHTDVRKIITSDPYVSVCLSGATVAQTRVIANSENPKWDEHFYVQVAHSVSRVEFHVKDNDVFGAELIGVASVPVENITPGDTVSGWFPISGQYSNPMKASPELHLSIQYKPIEQNPLYKDGVGSDGCQSIGVPNAYFPLRKGGMVTLYQDAHIPDDFCPKIEIDGGRVYEQNKCWEDICHAIAEAHHLIYIIGWSLYHPVKLVRESTKPVPNGSPPTLGGLLKTKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKFFRHSGVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQVIGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFKDDFHNPTFQVNKSGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKINRMSWIVSPSADELNARVCEQDDPENWHVQIFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYVKAIRCAQHYIYIENQYFIGSSYYWSSNRSAGAENLIPIELAIKIARKIKARERFAAYIVIPMWPEGNPTTAAMQEILFWQGQTMSMMYKIVAEALQKEGLDDTHPQDYLNFYCLGKREVSNDVSTTSQSNENSPQVYGYRMSLWAEHLGTVEECFRWPHSVECVRQVNEMAEENWARYVSPEMVNMRGHLMRYPINVERDGRVGPVHGYECFPDVGGKVLGAHSSLPNALTT >ONIVA07G08170.1 pep chromosome:AWHD00000000:7:7122986:7127247:1 gene:ONIVA07G08170 transcript:ONIVA07G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G21350) TAIR;Acc:AT1G21350] MSLATAAAGAQPFVRSSSSTAAASSSRPLLAVAAARHRRPHGSLAAAAAAAARRRRRRPLLQVRAARTESTGVSVGFRAPQFVLPEPLTGKLWTLDDFEGNPALLVMFVCNHCPFVKHLKKDIAKLTSFYMEKGLAAVAISSNSIVTHPQDGPDYIAEEAKLYKYSFPYLYDESQEVAKAFRAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELPFVPKPREIDAVEALWS >ONIVA07G08170.2 pep chromosome:AWHD00000000:7:7122986:7127553:1 gene:ONIVA07G08170 transcript:ONIVA07G08170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G21350) TAIR;Acc:AT1G21350] MSLATAAAGAQPFVRSSSSTAAASSSRPLLAVAAARHRRPHGSLAAAAAAAARRRRRRPLLQVRAARTESTGVSVGFRAPQFVLPEPLTGKLWTLDDFEGNPALLVMFVCNHCPFVKHLKKDIAKLTSFYMEKGLAAVAISSNSIVTHPQDGPDYIAEEAKLYKYSFPYLYDESQEVAKAFRAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELPFVPKPREIDAVEALWS >ONIVA07G08160.1 pep chromosome:AWHD00000000:7:7097274:7103211:-1 gene:ONIVA07G08160 transcript:ONIVA07G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR4 [Source:UniProtKB/TrEMBL;Acc:G8JBF2] MEQALLRILRTLGSTAHLTQAHARLLAAGLAASPRLLPALVAAAFSAHSPRYAAAALRAAGPAASTVSHNTLVERLAGARPRGRRPAPAPAPADALAAYAAMRAQGVPPNGFTFTFLLRACALLGLPRPCGCVHGQIVRCGFGSDVFVQNALMDVYHRCGGGGGVGAARQVFDEMVDRDVVSWNSIVGVYMSSGDATGAMGFFEAMPERNVVSWNTVVAGFARMGDMVTARAVFDRMPSRNAVSWNLMISGYATSGDVEAARSVFDRMDQKDVVSWTAMVSAYAKIGDLDTANELFDHMPVKNLVSWNAMITGYNHNSRYDEALRTFQLMMLEGRFRPDEATLVSVVSACAQLGSVEYCNWISSFIGKSNIHLTVALGNALIDMFAKCGDVGRAQSIFYKMETRCIITWTTMISGFAFNGLCRDALLVYNNMCREGVQLDDTVFIAALAACAHGGLLQEGWSIFNEMVERYNIQPRMEHYGCMVDLLGRAELIEYVSKKITELEPFNSSYQVLVSNCSALEGRWDGVIDARTSMRNWGIEKVPGSSSIQVGSEVHEFLAKDTRHKRRKEIYETVDGLMALMRHTEQAHWDLFVARYWGYSMLDRATTVNMGKGDLPIVLQLYQEDHRSFDHQAAVRGLKLISPSNSCQCWEQGQPLDKLVVTTDKSHHHPGVYRNQRSPHKALIRSILAYWYTRNTWNHFHLVHSETCPALSPLLPKAGAGGMKLGGCCLEGPRIRWWLNYPGVHSEI >ONIVA07G08150.1 pep chromosome:AWHD00000000:7:7074031:7077070:-1 gene:ONIVA07G08150 transcript:ONIVA07G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAQRHHRGRRRGAAGSAARTPRGWCCSFAGVPQSPDLRPFPPSLAPPATAASSSPAPGGGAGRNKLPPKSPSISSFHSSPTSSRLAGLGGLIDPRRILSPGRVSPIDLDDSAPPLPLPLPLPPPPVTPAAETVVVPAETSAAVAPLVVASAEADAAGDEALDLRLFLRGRDGSTCVVMELDSGVLCDSSAFFAAMAPPRGPAGDGGGSGRRIEVDGVDNVEAFRAAVELMYQPDPLRWLAAAGVSRSIDVLEVSSSIMFERGVKLCLSYIEAVPWNENEEEKLKNLFARCTFDEAISQDVLARLRPHSWSSSEDLTVHLIQSVTSSTNSGARKDMQSLVNGLLSKSSVYQKDMAGLNRESLYNICYACLNSLVDLYDEATEATNHTAQALVIKGSKPFIERISQQTENLNWLLDILVNIDMAEEFVELWAKQDRLIRIHEQASPMMRYELSRISASVFIALGKGKVQCRGELRSLLFYGWFSPMLLDFGWLQRCSKGLDVRSLEENLGQALLTLPLKQQQCLFEEWFQCFASKGSECPNLTRAFQVWWRRSFVRSSVEVNLVTSK >ONIVA07G08140.1 pep chromosome:AWHD00000000:7:7071665:7072395:-1 gene:ONIVA07G08140 transcript:ONIVA07G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLSAALLRLLPCSRRRYAGGGRHEQTAFGGFYIIDEESGASSESEQEPETPLYTPLASPPLEPGPPPATIEVAHSRWRGAPSSALPPCLTQEREEEETEKDKGI >ONIVA07G08130.1 pep chromosome:AWHD00000000:7:7061462:7066555:1 gene:ONIVA07G08130 transcript:ONIVA07G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKAEAVASDGGKVVDDIEALADLRKEPAWKKFLSHIGPGFMVCLAYLDPGNMETDLQAGANHKYELLWVILIGLIFALIIQSLSANLGVVTGRHLAELCKTEYPVWVKTCLWLLAELAVIASDIPEVIGTGFAFNLLFHIPVWTGVLIAGSSTLLLLGLQRYGVRKLEVVVALLVFVMAGCFFVEMSIVKPPVNKVLQGLFIPRLSGPGATGDSIALLGALVMPHNLFLHSALVLSRNTPASAKGMKDACRFFLFESGIALFVALLVNIAIISVSGTVCNATNLSPEDAVKCSDLTLDSSSFLLRNVLGKSSATVYGVALLASGQSSTITGTYAGQYVMQGFLDIKMKQWLRNLMTRSIAIVPSLIVSIIGGSSGAGRLIVIASMILSFELPFALIPLLKFSSSSNKMGENKNSIYIVGFSWVLGFVIIGINIYFLSTKLVGWILHNALPTFANVLIGIVLFPLMLLYVVAVIYLTFRKDTVKFVSRRELQAGDDTEKAQVATCVADEDSKEPPV >ONIVA07G08130.2 pep chromosome:AWHD00000000:7:7061462:7066555:1 gene:ONIVA07G08130 transcript:ONIVA07G08130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKAEAVASDGGKVVDDIEALADLRKEPAWKKFLSHIGPGFMLLWVILIGLIFALIIQSLSANLGVVTGRHLAELCKTEYPVWVKTCLWLLAELAVIASDIPEVIGTGFAFNLLFHIPVWTGVLIAGSSTLLLLGLQRYGVRKLEVVVALLVFVMAGCFFVEMSIVKPPVNKVLQGLFIPRLSGPGATGDSIALLGALVMPHNLFLHSALVLSRNTPASAKGMKDACRFFLFESGIALFVALLVNIAIISVSGTVCNATNLSPEDAVKCSDLTLDSSSFLLRNVLGKSSATVYGVALLASGQSSTITGTYAGQYVMQGFLDIKMKQWLRNLMTRSIAIVPSLIVSIIGGSSGAGRLIVIASMILSFELPFALIPLLKFSSSSNKMGENKNSIYIVGFSWVLGFVIIGINIYFLSTKLVGWILHNALPTFANVLIGIVLFPLMLLYVVAVIYLTFRKDTVKFVSRRELQAGDDTEKAQVATCVADEDSKEPPV >ONIVA07G08120.1 pep chromosome:AWHD00000000:7:7046931:7047338:-1 gene:ONIVA07G08120 transcript:ONIVA07G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPEQRASEEGGGRQRELGRRPERRQQDLGFGRYRGRRWWLNSEGKRKGKVREWICLVEAKVVARGLGRKCSDEGDRPMAGIWKREKREARGSAWCGSKRRARRLFIGGEGRWMMAVLEAVLEEKKKRSTLAAT >ONIVA07G08110.1 pep chromosome:AWHD00000000:7:7028505:7043422:-1 gene:ONIVA07G08110 transcript:ONIVA07G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGATPKARRTACSASPLGMAWPCARDAVARMQDVGRDTGSSPQPRVPTAGGYQKCCSDTWSGIEIARNMLRRAIYFGHQNLKAKQRFLTILVSAFIESMSGDFPELLHNEKKIKDIIAEEEITFATDKKKFKKKDSKRRKKEKNNTEQNVVVTRPPKVSYKTRTIDFFGRPTHIIHQHENGPCGNVLLLRSEIGLFLNKTEVMEDDLLSRIISRLKRCRKMQFELHEGFQYLEFQHKVLAAAKNLWREVCISVTFKSTDGFVFSPEYAHFDYLEIPVFHGWLVDQDSELASAIATSSYDELNLEVGEYISQKEAMGIKGRVEQISDFLQGPQLTAYGLSCLHKDLEEKKPCVLFWNNHWSTVIKFEEELYILASDSSFLSSESGAVWQKLEDVNGGGSFVDSSFTPIKYAGEGASFCSDQARQIQKCLMPVFAMSHKVTKNLLIPNGGPRDLVERMNGIILEEMLLLERKIRHEDLSAVEVVTEEQLPPAEITDVILPQKIIAEEEQAQLLFGSFGCCDLKYWPSYPTVVCNSNVMAKSVPTVSNKRGFSSFVDKPLYISKASSEHRAQQSAFTNRFLEFLRGFRLGNTEEPYYKGTAASMVFLDLPMMDVKFDHIKIFDNELALMICHDFERSRLDLNYAAKSFIMDFRSQLEGMFMKKFESFDNIIVRIDGLPKIDRLMSLEAFVKLPGNHFVEPRTLFATGSSLTVASGTRVGRIIATGALQEILKAHASRNSWNGSFKRKNILVRNGCYSEISMPFHAEFSRDSMLNDYIAYFDEVISLFELKGVGCPAFFPWMRKSLLRFMPAPSPSFCDEFRHFQCFAMAQFALKRPVVRIGFLSNLYRLRRCANRQVRKTLLAILRSLSLRSDWRVIVLLHSHPILVKVYLFNKKKDKEGNGGGKTKEGNGENSKDQKGGEKTNDADYKMAKLTKYDNSANHLVIYTRHVIEHGMDPAQLESDDEVLEDEMYQLDDESIEMLSELDLLYSHYLELNDMLEELFYSGMMTKELWQVFEEILDVHNMVEPLYGRYN >ONIVA07G08100.1 pep chromosome:AWHD00000000:7:7024117:7027156:1 gene:ONIVA07G08100 transcript:ONIVA07G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGPGPCCAPNLRSNPLLLGHVFVVDSPSTCRSLPIPTAPPRRRTGFSSTYGATSATSPTPPPPSLPIKVTFRTARPPLLSHLCVHCPGLDFLRATPKVIASHADLLLLVVPFDPLTALSSGTWDYFVYRAADPPLLHLIPPPPRSMRFNDSEVAIVSHGDGEYAVAALAFAGTFLSVNKDFHLHLYHGGKQQQGEWVSKLLTLEDQLRDKLVPLPKAAAEYRFYQETRKTIVIGGERDLWRGIIFCDVLDDHPVLRDMPLPLPASGNWDRLLKQTDPNYIRDVTVSLCRDSIKYIELEIVGTGETHTTVQPTESYQEWVRRKPRYTSSVVLRRGWKATIWTMPIPVASWEHWRRDCHLNVKDLGINVRDPSHLKLLSKLSGCGHSKAALRSVAMVFPTISMDDDHVYFFSIAGSTDKLEAVVTVDVRNKKIQGVAELDVRKYYFGMPTYIASEMSTYLKKVTTGTGEVAHGQTESAAVEVRRM >ONIVA07G08090.1 pep chromosome:AWHD00000000:7:6993720:6994158:-1 gene:ONIVA07G08090 transcript:ONIVA07G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVLCKRLTPLFLAAHASTSSVTCAGAAAAARTGMALMKNNPMKPPFSDSINGAKRPFSSTSTKNTDPL >ONIVA07G08080.1 pep chromosome:AWHD00000000:7:6936317:6943107:-1 gene:ONIVA07G08080 transcript:ONIVA07G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERESSERGSISWRASAAHDQDAKKLDADDQLLMKEPAWKRFLAHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFVKIFLWLLAELAVIAADIPEVIGTAFAFNILFHIPVWVGVLITGTSTLLLLGLQKYGVRKLEFLISMLVFVMAACFFGELSIVKPPAKEVMKGLFIPRLNGDGATADAIALLGALVMPHNLFLHSALVLSRKTPASVRGIKDGCRFFLYESGFALFVALLINIAVVSVSGTACSSANLSQEDADKCANLSLDTSSFLLKNVLGKSSAIVYGVALLASGQSSTITGTYAGQYIMQWLRNLMTRTIAIAPSLIVSIIGGSRGAGRLIIIASMILSFELPFALIPLLKFSSSKSKMGPHKNSIYIIVFSWFLGLLIIGINMYFLSTSFVGWLIHNDLPKYANVLVGAAVFPFMLVYIVAVVYLTIRKDSVVTFVADSSLAAVVDAEKADAGDLAVDDDEPLPYRDDLADIPLPR >ONIVA07G08080.2 pep chromosome:AWHD00000000:7:6936317:6943107:-1 gene:ONIVA07G08080 transcript:ONIVA07G08080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERESSERGSISWRASAAHDQDAKKLDADDQLLMKEPAWKRFLAHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFVKIFLWLLAELAVIAADIPEVIGTAFAFNILFHIPVWVGVLITGTSTLLLLGLQKYGVRKLEFLISMLVFVMAACFFGELSIVKPPAKEVMKGLFIPRLNGDGATADAIALLGALVMPHNLFLHSALVLSRKTPASVRGIKDGCRFFLYESGFALFVALLINIAVVSVSGTACSSANLSQEDADKCANLSLDTSSFLLKNVLGKSSAIVYGVALLASGQSSTITGTYAGQYIMQMILSFELPFALIPLLKFSSSKSKMGPHKNSIYIIVFSWFLGLLIIGINMYFLSTSFVGWLIHNDLPKYANVLVGAAVFPFMLVYIVAVVYLTIRKDSVVTFVADSSLAAVVDAEKADAGDLAVDDDEPLPYRDDLADIPLPR >ONIVA07G08070.1 pep chromosome:AWHD00000000:7:6927805:6928773:1 gene:ONIVA07G08070 transcript:ONIVA07G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGSQNSLVGITSQEKWGTVTSTHGCDGLERTKLDKNMHETMKVQSWPHVGITKMPPCHLTQCQMKAQAQISDNVAFE >ONIVA07G08060.1 pep chromosome:AWHD00000000:7:6923157:6923459:-1 gene:ONIVA07G08060 transcript:ONIVA07G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRVTATHGQETDGGGDDTTTCVQERAAAASNSDAEACSNTAEIDGASAAQIDRVAEVRGLIDHDSRSNFADDAMKDQATAHHQFSSVQMMRILKIA >ONIVA07G08050.1 pep chromosome:AWHD00000000:7:6905316:6905717:-1 gene:ONIVA07G08050 transcript:ONIVA07G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGRARSLRVHAIWMQQHAQVELGRARVLCDAAIRMRQQAQMDIARARTVHLHALRMRLQEERANLVDDARTMMDAETCDDNTVELTIMGEVLLICADHLINSDNVWYVINSLANDNIVPYSLEQTKDKEEC >ONIVA07G08040.1 pep chromosome:AWHD00000000:7:6891216:6891899:-1 gene:ONIVA07G08040 transcript:ONIVA07G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCCECGCYECYDACCDRCCCGCISYDTRETIFYCAVCLLLVAAVVLLAVLLAAYGFIRHISITVESASLTRFNLSSPSEATALAYNLSLTLAVRNKNWAMSIKNTKDLEAGYSFDGQRFERVKLAGEGEKHPAGKTRVYHLDSGSDNAYAALGNAGVAEFKKENATGVFEVEVAVTGEVRYQAHYTKCKLAATCPLKLQLAPPGTPAVVFQKVKCKLAAADKNC >ONIVA07G08030.1 pep chromosome:AWHD00000000:7:6871221:6875210:-1 gene:ONIVA07G08030 transcript:ONIVA07G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRQGRPRRGGGGRMTKLAAAAAAVELSPLADVSAFSTTTSAARLALRDIVQTTATMDTSSAAATESVTVSTSLVLPELPSPVVVFELQEFSPAMSLPHRLGLPLRSSIVSDLILPSRGVTSPERLLLLRLSFGTVYRANLNGGRAVAMKQLDASETGDACCGS >ONIVA07G08020.1 pep chromosome:AWHD00000000:7:6866977:6867594:-1 gene:ONIVA07G08020 transcript:ONIVA07G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDECCCTCSNNCRDGLIVCGIVFGTLLLAVLISAFGFVRQPTFVVDDASLTRFNLSAAASSIAYNLTLKLVVHNRNWAMSVKNTKPMDAEYKFDGQPFERIQLADKGDKLGPGKTVVHRLSSGSEGAIVPALGNAGAQEYRKESAKGTFEVEVAIAGEVRYTARLTKCKIEATCPLKLQLAPPGTTSVAFQKVKCKLTKPEKNC >ONIVA07G08010.1 pep chromosome:AWHD00000000:7:6860519:6863552:1 gene:ONIVA07G08010 transcript:ONIVA07G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRPVVLVFLLLVLVITSQFEWKQQIGDAAADPADARRRHQGLAKEDAVKEKIILSQEKNIQQLNELIESLQRQLLHCRGSNNTVHTTTVSHTEVSEVDGQESIDD >ONIVA07G08000.1 pep chromosome:AWHD00000000:7:6859061:6859363:1 gene:ONIVA07G08000 transcript:ONIVA07G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRSFFLEAAISERRFVMLSQPCSSEWCGRTATGGSMKEVLAIVRPCGRVEPSLWATGGLAAGALGAHGGGRWASTVRWRRRFYERNFASDEEGIRLG >ONIVA07G07990.1 pep chromosome:AWHD00000000:7:6851313:6857797:-1 gene:ONIVA07G07990 transcript:ONIVA07G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HYV4] MATAHVLVFPAPAQGHLNCFLHFATALLRAGLHVTFLHTHHNLRRLGAAAAAAAAAISPRLRFLSVPDGLPDDDPRRVDGLPELMKGLRTTGSAAYRALLASLVVRAAAYGRASSPTAYCRSPSTSPRSSACRRSHSGSLIELGELPFPGRGGDDGLDERVRGVPGMESFLRRRDLPIQCRHLAATTTHGDPLLTSVVAATAHSRNARALLNTAISLEHPALTHLARHMRDVFAIGPLHAMSPAPAAATSLWRHDDGCMAWLDSQPNQSVVYVSLGSLTDDPLDQLNKPLNTDMAGNNHDDALLRQALLDVAGAGACVVPWAPQRDVLRHRAVGCFLTHSGWNSTAEGVAEGVPMVCWPFFADQQINSRLVGAVWGNRVDMKDACERGVVERSVKEAMESGEIRRC >ONIVA07G07980.1 pep chromosome:AWHD00000000:7:6846886:6847086:1 gene:ONIVA07G07980 transcript:ONIVA07G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGPRSPLPHLRPSCDGLLWCVVVLPCPSRAAGWLLGRAAIRPRHRRINRRLCLRVRRARHPRR >ONIVA07G07970.1 pep chromosome:AWHD00000000:7:6832492:6843511:-1 gene:ONIVA07G07970 transcript:ONIVA07G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTTRLIPLLLVLTFCLALASASAWAAAAGDDDLLAAAREPGMAEWLRGVRRRIHRHPELAFEEVRTSELVRAELDAIGVPYQWPVARTGVVATIAGGGGGDGPVVALRADMDALPVQELVDWEHKSQENGKMHACGHDAHTAMLLGAAKLLQKRKNELKGTVKLVFQPAEEGSAGAYYVLQEGVLDDVSAMFGMHVDPALPVGVVAARPGPFAATSGRFLATITGKGGHAAFPHDAIDPVVAASNAILSLQQIVAREIDPLQGAVVSITFVKGGEAYNVIPQSVEFGGTMRSMTDEEYFRPKIGQIVEGQAAVNRCGGGVDFMEESMRPYPAVVNDEGMYAHARASAERLLGAGGVRVAPQLMGAEDFGFYAARMPSAFFTIGVGNATTSSARAAHTTHSPHFVVDEAALPVGAAVHAAVAIDYLSKHASSILLPTLPASMLTSMAPPNASARLLLVAAAAAAVVLFAHLPTTTTAASPALKALGEDLLAAAGAAGFAGWLSGLRRRIHQRPELAFQEVRTSELVRAELDAIGVPYAWPVARTGVVATIDGGAGAGPVVALRADMDALPLQEKGKMHACGHDAHVTMLLGAAKLLQSRKDELKGTIKLVFQPAEEGHAGAYHVLESGLLDDVSAIFGLHVIPNLPVGVVASRPGPFMSAAARFAATFTGKGGHAGVPHDAVDPVVAVSSAVLSLQQLVSRETDPLEAAVVSITILKGGDAYNVIPESASLGGTFRSMTDEGLAYLMKRIREAGVNRCAAAVDFLEEELRPYPATVNDDGMYGHAKAVAEAMLGEANVRVAARSMGGEDFAFYARRSPGAFFFIGVGNETTMGPAAAVRPVHSPHFVLDERALPVGAALHAAVAIEYLNKHDCS >ONIVA07G07970.2 pep chromosome:AWHD00000000:7:6832492:6843511:-1 gene:ONIVA07G07970 transcript:ONIVA07G07970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTTRLIPLLLVLTFCLALASASAWAAAAGDDDLLAAAREPGMAEWLRGVRRRIHRHPELAFEEVRTSELVRAELDAIGVPYQWPVARTGVVATIAGGGGGDGPVVALRADMDALPVQELVDWEHKSQENGKMHACGHDAHTAMLLGAAKLLQKRKNELKGTVKLVFQPAEEGSAGAYYVLQEGVLDDVSAMFGMHVDPALPVGVVAARPGPFAATSGRFLATITGKGGHAAFPHDAIDPVVAASNAILSLQQIVAREIDPLQGAVVSITFVKGGEAYNVIPQSVEFGGTMRSMTDEVEGQAAVNRCGGGVDFMEESMRPYPAVVNDEGMYAHARASAERLLGAGGVRVAPQLMGAEDFGFYAARMPSAFFTIGVGNATTSSARAAHTTHSPHFVVDEAALPVGAAVHAAVAIDYLSKHASSILLPTLPASMLTSMAPPNASARLLLVAAAAAAVVLFAHLPTTTTAASPALKALGEDLLAAAGAAGFAGWLSGLRRRIHQRPELAFQEVRTSELVRAELDAIGVPYAWPVARTGVVATIDGGAGAGPVVALRADMDALPLQEKGKMHACGHDAHVTMLLGAAKLLQSRKDELKGTIKLVFQPAEEGHAGAYHVLESGLLDDVSAIFGLHVIPNLPVGVVASRPGPFMSAAARFAATFTGKGGHAGVPHDAVDPVVAVSSAVLSLQQLVSRETDPLEAAVVSITILKGGDAYNVIPESASLGGTFRSMTDEGLAYLMKRIREAGVNRCAAAVDFLEEELRPYPATVNDDGMYGHAKAVAEAMLGEANVRVAARSMGGEDFAFYARRSPGAFFFIGVGNETTMGPAAAVRPVHSPHFVLDERALPVGAALHAAVAIEYLNKHDCS >ONIVA07G07960.1 pep chromosome:AWHD00000000:7:6827492:6830679:-1 gene:ONIVA07G07960 transcript:ONIVA07G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSPLPLALPLLLLLLLVLFASHPSPHAAAAAADAAPAGGGGGELLSAARAPGFAAWLRGLRRSIHRHPELAFEEVRTSELVRAELDAIGVPYEWPVARTGVVATIAGGDGAGAGTVFALRADMDALPLQELVDWEHKSEESGKMHACGHDAHTTMLLGAAKLLQSRKDDLKGTVKLVFQPAEEGYAGARYVLQEGVLDDVSAIFGLHVDPRIQVGTVTSRPGPFLAASGRFLATITGKGGHAAGPHNAVDPILTASSAIVSLQQIVARETDPLEAAVISVTFMKGGDAYNVIPESVSFGGTFRSLTSEGLSYLKKRIKEIVEAHATVHRCTATVDFMEEERIPYPATVNDEGMYRHARAVAVDVLGEDGVKVGTPFMGGEDFAFYAQRFPAAFFMIGVGNETTMRKVYPLHSPHFVVDEDVLPVGAALHAAVAMEYLNKHASTATF >ONIVA07G07950.1 pep chromosome:AWHD00000000:7:6821859:6830409:1 gene:ONIVA07G07950 transcript:ONIVA07G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGGRAKVTPNLAMDEEGTRVLNITVLQRLDPAVEDILITAGHVTLYDFDTNLNQWSRKDVEGSLFVVKRNAQPRFQFVVMNRRNTDNLVEDLLGDFEYQLQVPYIMYRNAAQEVIGIWFYNSQECEEVANLFSRILNAFSKATPKPKAPSIKSEFEELEAAPTLVEGPLEPQTSNIIPATTHVQEDPLSAFFSGAINVGSASGLSVAGQLNQSFGSTPLSSHAPTCISISQPPAVHHLLPSQTSSVISPDVHGGTGAVVNRSASLLNPSLFSPLTSSQTTMARTNPVAPTAPPQHPRITQQPHSAPLLQPFPLPTASPSPPYGTPLLQPFPPPNPSPSLASAPVYSPVLSREKVRDALLRLVENDDFIDLVYREIVKG >ONIVA07G07940.1 pep chromosome:AWHD00000000:7:6778525:6778872:-1 gene:ONIVA07G07940 transcript:ONIVA07G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLKTPASSSSSLSSLQVGVYKMDFSERRWCRADDLGNRAFFVAPFYFGASCLAEGKYGIQKNCVYSVRYLGDKSFTVSSIEDGTSHVHSIHGAEASPDSICRTLWMLPTDEKP >ONIVA07G07930.1 pep chromosome:AWHD00000000:7:6742036:6742858:-1 gene:ONIVA07G07930 transcript:ONIVA07G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKARRSLQPGGWRPGERPTPVKAASGAPPGIATNSRLSRFRSERQLTSATLWNWRIPVTALNYDFGVAAYSVLTHHTHT >ONIVA07G07920.1 pep chromosome:AWHD00000000:7:6727010:6727305:-1 gene:ONIVA07G07920 transcript:ONIVA07G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLLLSQGLHDHIWPALQVGSDLRESGTNARVTSGGSVKRGGAGEQEEGRKRQWASGRHFGRSKYYAKEEMDWERKALPA >ONIVA07G07910.1 pep chromosome:AWHD00000000:7:6726642:6738952:1 gene:ONIVA07G07910 transcript:ONIVA07G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTHAGCKKKIPDHLFMCSELYIAAYDGQTDEVVRLLGESSGVAVESPTIRATPAAQAAANQHAACNIHEVTAERSTLLHVAAAQGHCDLIAELCRRDSNLLSAANSTGDTPLHCVARAGHTGAILAIARFARDSVEEDRLREILRGKNSAGDTALHLAARHGHGEAASELVAIAPAMASELNGSGMSPLYLAVMSRSVAAVRAVLSCGDASAAGPDSQNALHAAVLQNPEMVSLLLQWREELATQLDSSQSTPLHYASSDGDCSVIQEILKHTPPSATQLQDSDGLSALHVAALMGHTTAVRLLLKFSPASADIRDNHGRTFLHVAAMRGHVSVISYAIKNRMLMHILNEQDNEGNTPLHLAVIAGEYKVISKLLYSGKVQNHIMNYAGHTPYDLAEKSTGFYTMVRIILKLYVSGAQFRPQRQDHIVKWNGQDIIKWQATTSKYLAIVSTLVATIAFSATFNMPGSYGSDGKANLNGDRLYHAFVVLDTVAVTTSVVATILLLYGRIAQSHRSWPSFIIAMHSLWLSLICMLLAFFISIIAVMDKNNSIRIAPTRVMYHGLYILMMMLTKATMPGSVKGILMFLIGGRLEQERRAKRRIRRQYPLIVVYIFNIIVFAVVTIMALTAIDVIGNLRY >ONIVA07G07910.2 pep chromosome:AWHD00000000:7:6726642:6734151:1 gene:ONIVA07G07910 transcript:ONIVA07G07910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTHAGCKKKIPDHLFMCSELYIAAYDGQTDEVVRLLGESSGVAVESPTIRATPAAQAAANQHAACNIHEVTAERSTLLHVAAAQGHCDLIAELCRRDSNLLSAANSTGDTPLHCVARAGHTGAILAIARFARDSVEEDRLREILRGKNSAGDTALHLAARHGHGEAASELVAIAPAMASELNGSGMSPLYLAVMSRSVAAVRAVLSCGDASAAGPDSQNALHAAVLQNPG >ONIVA07G07900.1 pep chromosome:AWHD00000000:7:6719405:6725321:-1 gene:ONIVA07G07900 transcript:ONIVA07G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSHGLVLPCLALFRPNTTFVSAAEKKLVAAAAGLPSELLDVKATVCPTSLGWILVRESASGSTYLLDPQSRQDKIPLPPLTRIDDDVLMDCNCLLSDQPTASAAAGCVVLLVEPQDTVIWYHHIGAGGEWTRHEYDIGIQSDKNVRGF >ONIVA07G07890.1 pep chromosome:AWHD00000000:7:6718694:6719117:-1 gene:ONIVA07G07890 transcript:ONIVA07G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPLSTSIAAASTREEAEATGSSDLTSLLWIWVSGVDSGWDNDEERLGLWLGDGSGDNNLARFGSHRPAISFLVSEDEKVATMLSINDHDDNHGGRTACV >ONIVA07G07880.1 pep chromosome:AWHD00000000:7:6699416:6718385:1 gene:ONIVA07G07880 transcript:ONIVA07G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNALTAAGCERHQIPEHLVMCSELYIAAFEGNTVKVIGLLARSGASAEAPAENGRRSATAPTACNQLITMEPAGCSTDEVTGDRSTLLHIAAWKGHCDLIAQLCRWGNGSLITSVNSSGYTPLHCAAGAGHAGAVEAIIRALAAGANVEEGRLQEILRGRNEAGDTPLHLAARHGHGEAAEALVRVDPGLAAELNGAGVSSLYLAVMSGSVRAVRAILWCRNASAVGPKSQNALHAAVLQSSATATVASSTIGTVSSTAMGESREITVAVVSSGLEPPLCRRANPAAEMVSLLLQWKPALLSDYDSSKSSPLHFASSDGDCSIIQEMLTHAPPSTAFMLDNEGLSPLHVAALMGHAAIVHLLLQFCPSSADIRDNYGRTFLHAAAMKGHSSIISYAIKKKILEHLLNAQDKEGNTTLHLAVIAGECKVVSKLLSSGKMQANIMNNVGHAPTDLIKNCKGFYSMGRLVLKLYASGAQFQPQRQDYIDKWNVQDIMKWRETTSKNLAVVSTLVATIAFSAAFNIPGSYGNDGRANLAGNSLYSAFLILDTFSVVTSVMATILLVYGRASRSQRSWLGFMVSTHFLWLSLNSMVLGFFAALAAVMSKEKGIKIAMSQLIYYGMYILTTLLSILAMPGSFTSIVKFLISAPKERQRHTKRQISRQYPFAIFYIVNAVLFVIINSLAMASFEVARNLSY >ONIVA07G07880.2 pep chromosome:AWHD00000000:7:6694025:6699033:1 gene:ONIVA07G07880 transcript:ONIVA07G07880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPAGGERDIPDDLFMCSELYIAAFEGHTDQVIGLLEGSRASAAVAGNGWSSPAAQPTAKHHGNCNIHEVTAERWTLLHIAAAQGHDDLIDELCRRDSGLLSAASSSGDTPLHCAARAGHAGAVRAIDRLARANVEEDRLREALRGRNEAGDTALHLAARHGHGEAAEAVVEAAPETAADLNGAGVSPLYLAVMSGSVRAVTAILWCRDASATGPKSQNALHAAVLQCSEMVSLLLNWKPGLVIDLDSNRSSPLHFASSDGDCSIIKAILAHAPPGAAHMQDNQGLSPLHAAALMGHAAAVRLLMQFSPASADVRDKHGMSFLHVAAMKGHASIISHAAKNRMLEHHLNAQDRDGNTPLHLAVAAGEYNVVSKLLSSGKVQTHIMNNAGCTPSDLVKDCKGFYSMVRLVVKMYVSGVQFQPQRQDQIEKWNGQDIMKWRETTSKNLAVVSTLVATVAFSAAFNVPGSYGDDGKAILTGDRMYDAFLVLDTFAVVSSVTATILLVYGRASQSNRSWVGFMISMHFLWMSLNSMVLGFFTAMAAVTNKKVGTKTAMSQMIYFGMYFLVMLLISLVTPGSLIGTLKFLIGGCMERQRRVKRRICRQYPFTISYSPHPPSIAIEPHRCLCHWLRAFLHTLHLSFVATAPPPLSQALPFHQRTDPDGDPRIQVMMEQVVGNDGWGAKAVSRSWQRSAERLTLCVDVDLLLAGLSKIGLTTAKLVLTKDLTLVDGLVEIMPMAAEDWKERR >ONIVA07G07880.3 pep chromosome:AWHD00000000:7:6699416:6718385:1 gene:ONIVA07G07880 transcript:ONIVA07G07880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNALTAAGCERHQIPEHLVMCSELYIAAFEGNTVKVIGLLARSGASAEAPAENGRRSATAPTGCSTDEVTGDRSTLLHIAAWKGHCDLIAQLCRWGNGSLITSVNSSGYTPLHCAAGAGHAGAVEAIIRALAAGANVEEGRLQEILRGRNEAGDTPLHLAARHGHGEAAEALVRVDPGLAAELNGAGVSSLYLAVMSGSVRAVRAILWCRNASAVGPKSQNALHAAVLQSSEMVSLLLQWKPALLSDYDSSKSSPLHFASSDGDCSIIQEMLTHAPPSTAFMLDNEGLSPLHVAALMGHAAIVHLLLQFCPSSADIRDNYGRTFLHAAAMKGHSSIISYAIKKKILEHLLNAQDKEGNTTLHLAVIAGECKVVSKLLSSGKMQANIMNNVGHAPTDLIKNCKGFYSMGRLVLKLYASGAQFQPQRQDYIDKWNVQDIMKWRETTSKNLAVVSTLVATIAFSAAFNIPGSYGNDGRANLAGNSLYSAFLILDTFSVVTSVMATILLVYGRASRSQRSWLGFMVSTHFLWLSLNSMVLGFFAALAAVMSKEKGIKIAMSQLIYYGMYILTTLLSILAMPGSFTSIVKFLISAPKERQRHTKRQISRQYPFAIFYIVNAVLFVIINSLAMASFEVARNLSY >ONIVA07G07880.4 pep chromosome:AWHD00000000:7:6694025:6718385:1 gene:ONIVA07G07880 transcript:ONIVA07G07880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPAGGERDIPDDLFMCSELYIAAFEGHTDQVIGLLEGSRASAAVAGNGWSSPAAQPTAKHHGNCNIHEVTAERWTLLHIAAAQGHDDLIDELCRRDSGLLSAASSSGDTPLHCAARAGHAGAVRAIDRLARANVEEDRLREALRGRNEAGDTALHLAARHGHGEAAEAVVEAAPETAADLNGAGVSPLYLAVMSGSVRAVTAILWCRDASATGPKSQNALHAAVLQCSEMVSLLLNWKPGLVIDLDSNRSSPLHFASSDGDCSIIKAILAHAPPGAAHMQDNQGLSPLHAAALMGHAAAVRLLMQFSPASADVRDKHGMSFLHVAAMKGHASIISHAAKNRMLEHHLNAQDRDGNTPLHLAVAAGEYNVVSKLLSSGKVQTHIMNNAGCTPSDLVKDCKGFYSMGRLVLKLYASGAQFQPQRQDYIDKWNVQDIMKWRETTSKNLAVVSTLVATIAFSAAFNIPGSYGNDGRANLAGNSLYSAFLILDTFSVVTSVMATILLVYGRASRSQRSWLGFMVSTHFLWLSLNSMVLGFFAALAAVMSKEKGIKIAMSQLIYYGMYILTTLLSILAMPGSFTSIVKFLISAPKERQRHTKRQISRQYPFAIFYIVNAVLFVIINSLAMASFEVARNLSY >ONIVA07G07870.1 pep chromosome:AWHD00000000:7:6686739:6688994:-1 gene:ONIVA07G07870 transcript:ONIVA07G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHSRALPTPGATTLLAAAERCHPTCRRAAHHPSAIVHLIFQLMVNTLNSRVDSTRSLFVSLRLSFYMK >ONIVA07G07860.1 pep chromosome:AWHD00000000:7:6680193:6681096:1 gene:ONIVA07G07860 transcript:ONIVA07G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYESKMQHPVSSTGVQKNEPCDALNSITTNGLGLVNPDRVFSFYDELHAYLASAGIDGVKVDVQNILETLGAGHGMPDWDMFHSVHPMAEYHAAARAVSGCAIYVSDKPGNHDFNLLKKLVLPDGSILRAKLPENAMTIQCESMSSSVRSSSWVKDVGTAWTTMTLLSSCSAPRSPQ >ONIVA07G07850.1 pep chromosome:AWHD00000000:7:6679599:6679905:1 gene:ONIVA07G07850 transcript:ONIVA07G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERRLVSGGGGGAGGGGVMAVGMVVVGVGVPRFSQWSCGGQRAACRRAGPGDGGQRAACPTTAAKEAQQSAEARRLQAER >ONIVA07G07840.1 pep chromosome:AWHD00000000:7:6614822:6615438:-1 gene:ONIVA07G07840 transcript:ONIVA07G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRAWCTGEPGGQLRPNRRRRRRGNASEEAGRGRGVLTKRRNNVKGDAWSSAGKDFEVAFLREEDAEVLREPVKAKGAVEQREVASTGGKKWSKASLVVVVRVARRNGAPMVGTDGEAVVGALLGTAELVEVAAWLGVVGNDSKRRPELVKVMVAQVGGGGGGLVVVCGPGVVAGVRCCKVMLTVQVA >ONIVA07G07830.1 pep chromosome:AWHD00000000:7:6587893:6610359:1 gene:ONIVA07G07830 transcript:ONIVA07G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITPAGCDHEIPEHLFMCSRLYIAAFRGYTDEVFSLLAGSSGAAVEPADSRPSPAAQEHANHHGGCSIREVTAERSTLLHIAAGEGHRELIAELCSHDSSLLSSSSSSGNTPLHCAARAGHAGAVRDIVRLARDNVEEDRLRAMLRGMNATGDTALHLAARHGHGEAVEELMEVAPETALEQNGGGVSPLYLAVMSKSVRAVRAILSCRDASAAGPSSQNALHAAVLQSSDICDNQGQSFLHAAAMKGHSSIISYAVKNSMLKHLLNAQDKEGNTPLHLAVVVEECKVVSKLLSSGEVSLVVKLHESGAQFQPQRQDLIEKWNAQDIMKWRDNTSKNLAVVSTLIATIAFAAAFNVPGSYGDDGKANLAGDPMYNAFLILDTISMVTSVVATILLVYGRASRSHRSWLSFVISMYFLWLSINCMVFGFFTAIAAVSKKGIKIAMSQLIYHGMYILTMQLTFLAMPGSFISTMKFLVSGRMERQQHAKRHIKRQYPFIAFYTFNVIFFFIINNIAMKRWWPGGGTAVVAQRRDERRRRPTSIGSGGRACGGGSTAGGGGVPITPAGSDHEIPEHLSMCSKLYLAAFRGYTDEVCNLLAGSSGAAVQPANSSPSPAAQERGHDDLIAELCVHDSCLLSSASSSGDTPLHCAARAGHALAVRAISLLAGASVEEDRVRDVVRSKNVAGDTALHIAARHGHGKAVEELMEVAPETASELNGAGVSPLYLAVMSRSVRAVRAIISCRDASAAGPNSQNALHAAVLQCSEMVSLPLQWKPALATHVDRNKSSPLHFASSDGDCSIVQAILACSPPSAPHMQDNEGFSPIHAAALMDTQLQFASCCSSPLPLQIFVTIVAKALYIQQPQKATLPLSRSSMLEHLLNAQDREGNTPLHLAVDAGKCKIVSKLLSSEIVQAHIMNNEGHTPSDLVQNCKGFYSMVSLVVKMYASGAQFQPQRQDHIEKWNAQDIMKWRDTTSKYLAIVSTLVATVAFSAAFNIPGSYGDDGKANLAGNCMYDTFLILDTISLVTSVVAIMLLVFGRAFSSHHSWLSFMISTHFLWLSINTMVLGFLAAISAVMSKKKGLNITMAILIYFGMWILTMLLTSLTTVGSFTGILKFLFGGRMERQRRAKRRISRQYPYAIFYTFNMVLFFVIANIALAGFDTFKSLSY >ONIVA07G07820.1 pep chromosome:AWHD00000000:7:6538702:6541761:1 gene:ONIVA07G07820 transcript:ONIVA07G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASMTFAYTSSMPLLAMLLILLIQVQSRSTLADGTSSSASAQPAPCLPGQAWALLRLKNSFDATAGDYSAAFRSWIAGTDCCRWEGIRCGGAQGRAVTSLDLGYRWLRSPGLDDALFSLTSLEYLDISWNDFSASKLPATGFEKLAELTHLDLCSTNFAGRVPVGIGRLKSLAYLDLSTTFFEDELDDENNVIYYYSDTISQLSEPSLETLLANLTNLEELRLGMVNMSRNSARWCDAMARSSPKLRVISMPNCSLSGPICHSLSALRSLSVIELHYNHLSGPVPELLATLSNLTVLQLSNNMLEGVFPPIIFQLQKLTSISLTNNLGISGKLPNFSAHSYLQSISVSNTNFSGTIPASISNLKYLKELALGASGFSGMLPSSIGKLKSLRILEVSGLELQGSMPSWISNLTFLNVLKFFHCGLSGPIPASVGSLTKLRELALYNCHFSGEVAALISNLTRLQTLLLHSNNFIGTVELASYSKLQNLSVLNLSNNKLVVVDGENSSSVVSYPSISFLRLASCSISSFPNILRHLPYITSLDLSYNQIQGAIPQWTWETWTMNFFLLNLSHNNFTSIGSNPLLPLYIEYFDLSFNNFDGAIPVPQKGSITLDYSTNRFSSMPLNFSSYLKNTVVLKASDNSLSGNIPSSICDAIKSLQLLDLSNNNLTGSMPSCLTQDASALQVLSLKQNHLTGELPDNIKEGCALSALDFSGNMIQGQLPRSLVACRNLEILDIGNNQISDHFPCWMSKLPELQVLVLKSNKFHGKIMDPLYTRDGNNCQFSMLRIADIASNNFSGTLPEELFKMLKSMMTRSDNETLVMEHQYSHGQTYQFTAALTYKGNDITISKILRSLVLIDVSNNEFDGSIPSSIGELALLHGLNMSHNMLTGPIPTQFDNLNNLESLDLSSNKLSGEIPQELASLNFLATLNLSYNMLAGRIPQSSHFSTFSNASFEGNIGLCGPPLSKQCSYRSEPNIMPHASKKDPIDVLLFLFTGLGFGVCFGITILVIWGSNNRKQQA >ONIVA07G07810.1 pep chromosome:AWHD00000000:7:6535966:6536376:1 gene:ONIVA07G07810 transcript:ONIVA07G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRGVGLASSLLLLLLLIIIVSAPHLLLASLPPRDASAAASGSTTTAAAAATTPVAASSFPSEEADHGKKLLHDHGESATSNSYDVVPFQPHCCSSHLPGQLRAVKYRGLYYQLLCDNHPGPCPHPKTHKDIRF >ONIVA07G07790.1 pep chromosome:AWHD00000000:7:6522446:6526106:1 gene:ONIVA07G07790 transcript:ONIVA07G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKQDRAHDLQTSQVDVPVFIRVCLCHRVANGSTQPISNMSSMRVALLAMLPILLVDAQSMAASIQCLPGQAAALLQLKRSFDATVGDYFAAFRSWVAGTDCCHWDGVRCGGDDGRAITFLDLRGHQLQADVLDTALFSLTSLEYLDISSNDFSASKLPATGFELLAELTHLDISDDNFAGQLSEPSLDTLLANLTNLQELRLGMVDMSSNGARWCDAIARFSPKLQIISMPYCSLSGPICRSFSALKSLVVIELHYNYLSGPIPEFLADLSNLSGLQLSNNNFEGWFPPIVFQHKKLRGIDLSKNFGISGNLPNFSADSNLQSISVSNTNFSGTIPSSIINLKSLKELALGASGFSGVLPSSIGKLKSLDLLEVSGLQLVGSIPSWISNLTSLNVLKFFHCGLSGPVPSSIVYLTKLTDLALYNCHFSGEIATLVSNLTQLETLLLHSNNFVGTVELASFSKLQNMSVLNLSNNKLVVIDGENSSSAASYSSISFLRLSSCSISSFPNILRHLPEITSLDLSYNQIRGAIPQWVWKTSGYFSLLNLSHNKFTSTGSDPLLPLNIEFFDLSFNKIEGVIPIPQKGSITLDYSNNQFSSMPLNFSTYLKKTIIFKASKNNLSGNIPPLICDGIKSLQLIDLSNNYLTGIIPSCLMEDASALQVLSLKENNLTGELPDNIKEGCALSALDFSGNLIQGKLPRSLVACRNLEILDIGNNQISDSFPCWMSKLPQLQVLVLKSNRFIGQMDISYTGDANNCQFTKLRIADIASNNFSGMLPEEWFKMLKSMMTSSDNGTSVMESRYYHGQTYQFTAALTYKGNDITISKILTSLVLIDVSNNDFHGSIPSSIGELALLHGLNMSRNMLTGPIPTQFGNLNNLESLDLSSNKLSNEIPEKLASLNFLATLNLSYNMLAGRIPQSSHFSTFSNASFEGNIGLCGAPLSKQCSYRSEPNIMPHASKKDPIDVLLFLFTGLGFGVCFGITILVIWGSNKRNQQA >ONIVA07G07780.1 pep chromosome:AWHD00000000:7:6516067:6516363:1 gene:ONIVA07G07780 transcript:ONIVA07G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRATARGAGLPAPFVVIVSVLLLLLLGSLPLHEVAAAEDEDQIGGGGLEHGASALNPDRGPLWRGCCNQYKEGGSYTGRGRFGPCIPTQPCPKPIP >ONIVA07G07770.1 pep chromosome:AWHD00000000:7:6493619:6493828:-1 gene:ONIVA07G07770 transcript:ONIVA07G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRATGGRRRLAALLVLMLFVMAAALLEAPVMASAARVLLQSGLLPTPYPTCNPGQYSCPPPTTVP >ONIVA07G07760.1 pep chromosome:AWHD00000000:7:6431237:6434806:1 gene:ONIVA07G07760 transcript:ONIVA07G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 724 [Source:Projected from Arabidopsis thaliana (AT4G15780) TAIR;Acc:AT4G15780] MASPPGKKGEGGGDGGGGKAEWLIYAFVARGTAVLAEYTEFTGNFPALAAQCLQRLPASGDGGSGGGAPARFSYACDGHTFNFLLHRGYAYCVVAKESVPKNVSVAFLERLKDDFMKRYGGGKADTALAKSLNKEYGPVIKQHMQYVLDHSEEIEKTLKVQAQVSEVKNIMLENIEKTLGRGEKLSELQDKTSDLQSQAQEFKKKGVKIRRKTWLQNMKIKLVVLGILLLLVIIVWVSVCQGFDCTKH >ONIVA07G07750.1 pep chromosome:AWHD00000000:7:6425770:6429687:-1 gene:ONIVA07G07750 transcript:ONIVA07G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06920) TAIR;Acc:AT3G06920] MAAAALRTPATRRPLLAPLSILRTPTPRHLSSSSPPSQPPSSPAGELLRLLSAAPTWTPDLARAVSSTFSASPTADVVISVLRSIRNPSLAAPFFLLASSSSASAPHPLPADAYHAVLPFLHHDLAALEKVLEEMAVLGYGLPNQACADLAAALVRARRLDDAVLAVAVMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQEVGYEVGVHLFTTLVRALAREGQVADALALVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLKPDDVSYTSMIWVLCKAGRLGEAEELFAQMEAERSVPCAYAYNTMIMGYGSAGRFEDAYKLLERLRERGCIPSVVSFNSILTCLGKKRKVDEALSLFEVMKKDAEPNSSTYNIIIDMLCLGGRVEEAYRILDEMEHASLFPNLLTVNIMVDRLCKARKLEEAYKIFESASQRGCNPDCVTYCSLIDGLGKKGQVDEAYRLFEKMLDAGHNANPVVYTSLIRNFFIHGRKEDGHKIFKELIRRGCKPDLTLLNTYMDCVFKAGEVEKGRMIFEDIRSYGFLPDVRSYSILIHGLTKAGQARETSNIFHAMKQQGFALDARAYNAVVDGFCKSGKVHKAYEILEEMKEKCVQPTVATYGAIVDGLAKIDRLDEAYMLFEEAKSKGIELNVVLYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLLDALVKAEEINEALVCFQSMKEMKCPPNTYTYSILINGLCRVQKYNKAFVFWQDMQKQGLVPNVVTYTTMISGLAKVGNITDAYSLFERFKANGGIPDAASFNALIEGMSNANRAMEAYQVFEETRLRGCRINIKSCISLLDALNKSECLEQAAIVGAVLREIAKSQHASRSLVCLGETVCLTCKLDQTPLQTTVYQAGWLDTTMRLDCLIFS >ONIVA07G07740.1 pep chromosome:AWHD00000000:7:6419770:6421985:-1 gene:ONIVA07G07740 transcript:ONIVA07G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNDQGFLTAIIKVESYFTTSLLGQRTYVKGNNVKISIERDRYSMLALVDDVGENFNWGPNQYISFWKLGDVSTQSKVEITTDSQLLDWLDKGNQHGVVNIHAIVNDFGGPLQVEPSPTKRRCHPSVRYSIPCTPPLFTDLLVDATPLTLPESYNHLENSIQPVPSTQNESTTHPESTSHPDDEATSPIKKSAKKVVKKCAKRRSQDDDDDEEVRDDEKEENEEEEPQLCPNCDPLEVDSDSSYDSDAAASSDSEYDCDDLDDQIHDDDDNDSDLEHIIP >ONIVA07G07730.1 pep chromosome:AWHD00000000:7:6408580:6415065:-1 gene:ONIVA07G07730 transcript:ONIVA07G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCVAGGRRESDCFLLPSSIQNHFVSSFFFYLSIYLWRREERKSMASPPSPSASRAVAVERSPGFGLEPSSSSSSSSSSSAPSRNELLTMVKKHSHLIGWTVVDAEDDASDVGMDDRFWHEMLDLFFVRGRVSKRREEDDLVFFVNNNMKMHGHGFNDNMEDPPPFFVRRWAPMLEKISNINSAGVDWERSFYLNLIAHTSYTVTVAICSVRDLRSCVEKSKPLSPVYKVTKTVYASPSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPEDTESKNASSNLLNTGSNQEKPPKRTLFSGYVSYQNVREAYNAGRSQFGSLLSLGHDQTKLDKLYMRGPEGRGEVEVAVSGIADQSHERSKKDPGDSFRVFVHRAASAASKLAKHAYEAASTNKRFDHELLPLKCCLMSVSLPWDYIAHDLLHKETPPLDL >ONIVA07G07720.1 pep chromosome:AWHD00000000:7:6391695:6402731:1 gene:ONIVA07G07720 transcript:ONIVA07G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAVAVSGALLLLVVTRCGDVDIPYPFGIGPNCSHGVGFEIECNTRNGSSDLVPTLAATSLSIMQNLSVESPPMAKVMLPVAYQCYDDPTKTQDFNGEVELNKTGVYGISDELNMLVVLGCNTMVYTKNGNSEGGLYPYLYYTGCIAYCNDSRSAQDGKCAGAGCCHVDIPSGLTDNTLVFDSWNRTNPCDYAFLVAKEEYVFQRSDLNKDLNRNMPVWLDWAIRDDDSACPPLALGKKPPAGSYACVSDNSECVFSTNGPGYQSNDAKTQQCTPKFPVASRIALGVCLGFSFLIVTFLITLVMFQKQKMNEYFKKNGGSILQKVDNVKIFSKDELKKITKNNLEVLGQGGFGKVYKGTLGDNTIVAVKTSIEVNEARKDAFTNEVDVPMLVYEFAANGNLQDILHGDGNIPLPLHLRLDIAIESAEGLRYMHSSTNRTIQHGDVKPANILLTNKFIPKISDIGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELISRKPTIYGENCSLIIEFQKSYDKENSGRMMFDKDIEIEEDILILEEIGRLAMECLKEKVEERPDMKEVAERLVMLRRSRKGGQGSYSISPQNFEEISIEGTPKSFGAEISESSNAAEERKMKKQTTNTVIVFPSRNKKQKRKEDGRRFSGDQTAASKLARRVPQYDSSIDGGGWQ >ONIVA07G07710.1 pep chromosome:AWHD00000000:7:6379178:6380021:1 gene:ONIVA07G07710 transcript:ONIVA07G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCTTMAAAVCCLILPALAPSARTSAPHCPLPDANHRAAVKPRRLYIRTTRLKYWRSSTKKPSARLRMQRWEVEVGGRARWQMKAASEETRPDEVGDVRDEERHDEEEDLVVERTAIVLVCPGIAPLPLQLFTCIGVAVGRRSHGYCRAFGRISFSSRLCL >ONIVA07G07700.1 pep chromosome:AWHD00000000:7:6360690:6360905:1 gene:ONIVA07G07700 transcript:ONIVA07G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPIAFLAVLAIAVKKATKAHTRTYPLEAVMLPATEQLLQPAPSPSPPPPPPQCDGQRLVGGDAAVEIDS >ONIVA07G07690.1 pep chromosome:AWHD00000000:7:6351541:6357669:1 gene:ONIVA07G07690 transcript:ONIVA07G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02405) TAIR;Acc:AT4G02405] MPVLPWLAAAATTPVRRSPPLPATPRALLRLPASSFPLWSNCAKSGLPPRGPFATAADTPLGGSLPEPEEERDTLLDGALRAARFRDEESRRPDPLFIDPYAAVLLSLDVASEDKDLLALHLMPSAEHYRLVTRYIDDKLQHFISNSDDLRQIVLLTDGMDTRPYRLSWPRLSVVYDVSPRRVFITASQKLRGAGAKISRNCVVLHTSSESPDLQAGLNKNGFNGNRPSLWVLQGLPLFTFKSLEDLLLVIGNLAMKGSIFIGEVPRFTQWGAAADMASEQDRLENLFFTQGFRVSFVHYEEVAKDVGLGLDSPPEIHGRALFIAEQLRFSDAQMESFRMHFERIEDDADEDGFEEL >ONIVA07G07680.1 pep chromosome:AWHD00000000:7:6348689:6349762:1 gene:ONIVA07G07680 transcript:ONIVA07G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSQLAAVFLAVLVSVAPLAGAADNLQDACNRTLFPKVCIQALTTNPESRTANARRLAELSVYVAAEVGTAVAAFAHHELNGVKEDALFKCVDSCSDDIEEAVAHLSALTRELTDAKFLEVKAWLSATLGGSSTCEDTCKDAPISEIKNAVVTKSLEFEKLLRVTLDLITEASGSMSADVALPPATGAAGGGYGYESSSAAAAPAPSESDSDVGSGSGSAASAPGPSPSDDTGYGGSSGSPSSSPSSSPSSSPSSSPSGSPSGSPAGSPAGGPTAGGPASGPSSYGAASGPAEGPSSSGAASGPAEGPSPSGAAGPAEGPSSYGSTEGPSPSPSSSGSADAPGPGASAPDSEEY >ONIVA07G07670.1 pep chromosome:AWHD00000000:7:6283265:6283879:-1 gene:ONIVA07G07670 transcript:ONIVA07G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESSLHKVVVPLDLNYPRICSHCQTSKTSVWRNGPFGPKSLCNACGIRYHRKGIDALELEGKRSKDKKRKTSRNEVPLRRGLRKNNKNAKEVDFGVRMMMEGCQSEPMLTQGQQYEDDVKKAAIQLMYLSRAANT >ONIVA07G07660.1 pep chromosome:AWHD00000000:7:6273458:6273907:1 gene:ONIVA07G07660 transcript:ONIVA07G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIMSPSPPLRAPLLAVAAAIVAGLLLLAPAAAQQPPPLVQQFYYYSPPPPSSPVGGGGTGGGGPSPPTNPAPPAVPCNCGTTTAPAAPSPPGVYNYSAPSGGGGGGGQLAFLSGSARSTSHLPGGGGWRHARLLASAAAPLLLIVWW >ONIVA07G07650.1 pep chromosome:AWHD00000000:7:6254894:6258955:-1 gene:ONIVA07G07650 transcript:ONIVA07G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSGAAAASSSSSSTSSTTSSSSQSSSTRGGYMLSDRFYSPPHVRRQQMLLLQQQQLLQGQRPPSPSPSPATAPRAGRQKPLPSPSPPPPPPAPAEAARQKEVERRVDAVVQSKPSVSPLPSSADVKRPPAAESAPEPARAAEEEAAGNLERFLSSTTPSVPVQYLPKTSMRGWRSGDAMNSSPYFCLGDLWEAFNEWSFYGAGVPLVLNGKDSVIQYYVPYLSAIQLYADPSKHSTRIRHPWEESDEESMDTSSESSSGTDADQLRGLENGGFQREDSESHFPSTRPLFEYLEKDPPYGINSCKYPIYRIPTGPTLKDLDACFLTFHYLSTATRSRDADPSTPACPPSGGFNSCMNAAGKLTLPVFGLAPYKLRSSVWSSNGPHEQQLAASLMQAADDWLRDRQVHHPDFRFFLTHYNTVWR >ONIVA07G07650.2 pep chromosome:AWHD00000000:7:6254894:6258955:-1 gene:ONIVA07G07650 transcript:ONIVA07G07650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSGAAAASSSSSSTSSTTSSSSQSSSTRGGYMLSDRFYSPPHVRRQQMLLLQQQQLLQGQRPPSPSPSPATAPRAGRQKPLPSPSPPPPPPAPAEAARQKEVERRVDAVVQSKPSVSPLPSSADVKRPPAAESAPEPARAAEEEAAGNLERFLSSTTPSVPVQYLPKTSMRGWRSGDAMNSSPYFCLGDLWEAFNEWSFYGAGVPLVLNGKDSVIQYYVPYLSAIQLYADPSKHSTRIRHPWEESDEESMDTSSESSSGTDADQLRGLENGGFQREDSESHFPSTRPLFEYLEKDPPYEAVIFCQLAGCLLHGISLILQIHVIYSVILSVASVVLNPYWTNAQGSRCMFLDISLPINSDTFQGTPACPPSGGFNSCMNAAGKLTLPVFGLAPYKLRSSVWSSNGPHEQQLAASLMQAADDWLRDRQVHHPDFRFFLTHYNTVWR >ONIVA07G07640.1 pep chromosome:AWHD00000000:7:6238165:6239112:-1 gene:ONIVA07G07640 transcript:ONIVA07G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIELDHKDMVHDSAIDYYGKRLATASSDSTVKISSIGGKSAPSQLLATLSGHYGPVWRVAWAHPKYGTILASCSYDGRVIIWKEGAGGHWSQAHVFTDHKSSVNSIAWAPYEVGLCLACGSSDGTISVMTMRADGGWDTARIERAHPVGVTAISWAPATALGSLAGSGELVYKLVSGGFDSVVKVWGFVNGGWKLESALPSDVHTDCVRDVAWAPVLGLAKATIASASQDGKVVIWSRGKVGDKWEGKVMHDFGSPVWRVSWSLTGNILSVAAGENNITLWKQASDGQWEEVMKVEPTKPESSEEVKKAEQ >ONIVA07G07630.1 pep chromosome:AWHD00000000:7:6231426:6235967:1 gene:ONIVA07G07630 transcript:ONIVA07G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRARSSSYAAAAVALALALALALASVAAVAGEVFFQEKFEDGWESRWVKSEWKKDENMAGEWNHTSGKWNGDPEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHTIFTKNDKNHLIKKDVPCETDQLSHVYTLIIRPDATYTILIDNVEKQSGSIYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNFLITDDPELAKTFAEETWGKHKDAEKAAFDEAEKKKEEEEAAKAGEDDDDLDDEDAEDEDKADEKADSDAEDGKDSDDEKHDEL >ONIVA07G07620.1 pep chromosome:AWHD00000000:7:6222036:6224025:-1 gene:ONIVA07G07620 transcript:ONIVA07G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAVAKLSTQMDGRDRFEASSMMNEMAVTEGEQQITRNVVRKKWSPPGLETQKTGGWGFILLNSEGQGLLAGAGRLAFVHDADSAEARACQAALLAASVQGITEVEIEADSLILVSALKS >ONIVA07G07610.1 pep chromosome:AWHD00000000:7:6196652:6198917:-1 gene:ONIVA07G07610 transcript:ONIVA07G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCNDLTASVHRPHSVSITEFGAVNDGVTLNTKAFKNAIFYLSSFADKGGAELFVPAGRWLTGSFNLISHLTVSLDADAVIIGSQDSSDWPVIDPLPSYGRGRELPGANGTIDGQGELWWNWFHNHTLNYTRPPLLELMYSDRVVISNLTFMNAPFWNIHPVYCSQVLVQHLTILAPISSPNTDGIDPDSSSNVCIEDCYIRNGDDIVVIKSGWDEYGISFAHPSSNISIRNITGQTRNSAGIAFGSEMSGGISDVRAEGLRFINSVHGIRIKTAPGRGGYVKNIYIADVSMDNVSIAIRITGNYGEHPDDNYDKNALPVISNITIKNVVGVNIGTAGMLLGIQGDIFSNICLSNVSLSSKSADPWNCSLVEGFSNSVAPEICEQLRPSPGPGQVCYDGNSYPVPAAQQPYKSGATRLQNPFLKFISLYS >ONIVA07G07600.1 pep chromosome:AWHD00000000:7:6193217:6195799:1 gene:ONIVA07G07600 transcript:ONIVA07G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQCTSLSPFSSLHLFMEDAFVESKDGTISVASAFAGHHEAVQDRDHKFLTKAVEEAYRGVDCGDGGPFGAVVVRNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANMEIKKADGNGALIAEQVFEKTKEKFQMY >ONIVA07G07590.1 pep chromosome:AWHD00000000:7:6182269:6183281:-1 gene:ONIVA07G07590 transcript:ONIVA07G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRLAVFFLLALLAVMSPAAASASAAAAPETCVRTMQRMLSCLDFIEHRTDAVPRPCCAQLNATVAKQPCCLMHVLHGDVARLVGPGFDTARAMVNVTAACLGDASVLMSIARSCAGKPLPPLTPEYPFTTGVPPAPPQTSGATRLEGTSNTALLFALGAVAIAMLRI >ONIVA07G07580.1 pep chromosome:AWHD00000000:7:6180717:6181307:1 gene:ONIVA07G07580 transcript:ONIVA07G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQTLSAVFFLLLVPHAACMAMAKPSSSTLLQDKCELYAAGDRPSYDYCIRTLRADSASATDDERGLAAIAARIARATAVATGAKIARLQRGETAPARRDGLAACAAEYAAAVRRLGRAARDVVSRSRGGAGAREMREAQTLLAEVTGAPERCDVAFEAAGGQGSPLDAADRDRARRRGRVGLRHPAADEADVM >ONIVA07G07570.1 pep chromosome:AWHD00000000:7:6177812:6178285:1 gene:ONIVA07G07570 transcript:ONIVA07G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGILTWGRRRRQGAVYGPRVQKWRRQGSRDHGGHHRIWTLPSSLAADQRERGVERRRDPSWRGRGRRIQPLPSQPTADPRKRERRDHGICEGGEEFAGSTAPVLPRAVRSEGEEPRSNRNDHIRWPATTARSQWWIWLTTTVRGRSLRSDLTPVPE >ONIVA07G07560.1 pep chromosome:AWHD00000000:7:6173656:6178421:-1 gene:ONIVA07G07560 transcript:ONIVA07G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFLLDLGSSPSLLTARGRTGAVDPANSSPPSQIPWSLRSLLRGSAVGCDGRARIPSSLYAPLTLICRERRREGPDPVVSTVVAASLPPPLLHAWPVHRTLPSPSSPGEDGGGKVGLPALDVVLAFPQAMTASQFPPTELYTVHTEFRVEKMFVSYLDVEKIFVSYLDV >ONIVA07G07560.2 pep chromosome:AWHD00000000:7:6173656:6178421:-1 gene:ONIVA07G07560 transcript:ONIVA07G07560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFLLDLGSSPSLLTARGRTGAVDPANSSPPSQIPWSLRSLLRGSAVGCDGRARIPSSLYAPLTLICRERRREGPDPVVSTVVAASLPPPLLHAWPVHRTLPSPSSPGEDGGGKVGLPALDVVLAFPQAMTASQFPPTELYTVHTEFRVEKIFVSYLDV >ONIVA07G07560.3 pep chromosome:AWHD00000000:7:6173656:6178421:-1 gene:ONIVA07G07560 transcript:ONIVA07G07560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLPLARIRRRLRREGLDTATSSSPARIPSSLYAPLTLICRERRREGPDPVVSTVVAASLPPPLLHAWPVHRTLPSPSSPGEDGGGKVGLPALDVVLAFPQAMTASQFPPTELYTVHTEFRVEKMFVSYLDVEKIFVSYLDV >ONIVA07G07560.4 pep chromosome:AWHD00000000:7:6173656:6178421:-1 gene:ONIVA07G07560 transcript:ONIVA07G07560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFLLDLGSSPSLLTARGRTGAVDPANSSPPSQIPWSLRSLLRGSAVGCDGRARIPSSLYAPLTLICRERRREGPDPVVSTVVAASLPPPLLHAWPVHRTLPSPSSPGEDGGGKVGLPALDVVLAFPQAMTASQFPPTDVCILLGRVEKIFVSYLDV >ONIVA07G07560.5 pep chromosome:AWHD00000000:7:6173656:6178421:-1 gene:ONIVA07G07560 transcript:ONIVA07G07560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLPLARIRRRLRREGLDTATSSSPARIPSSLYAPLTLICRERRREGPDPVVSTVVAASLPPPLLHAWPVHRTLPSPSSPGEDGGGKVGLPALDVVLAFPQAMTASQFPPTELYTVHTEFRVEKIFVSYLDV >ONIVA07G07560.6 pep chromosome:AWHD00000000:7:6173598:6178421:-1 gene:ONIVA07G07560 transcript:ONIVA07G07560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLPLARIRRRLRREGLDTATSSSPARIPSSLYAPLTLICRERRREGPDPVVSTVVAASLPPPLLHAWPVHRTLPSPSSPGEDGGGKVGLPALDVVLAFPQAMTASQFPPTELYTVHTEFRVEKMFVSYLDV >ONIVA07G07560.7 pep chromosome:AWHD00000000:7:6173656:6178421:-1 gene:ONIVA07G07560 transcript:ONIVA07G07560.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLPLARIRRRLRREGLDTATSSSPARIPSSLYAPLTLICRERRREGPDPVVSTVVAASLPPPLLHAWPVHRTLPSPSSPGEDGGGKVGLPALDVVLAFPQAMTASQFPPTGETSSLAFPVEKMFVSYLDVEKIFVSYLDV >ONIVA07G07550.1 pep chromosome:AWHD00000000:7:6169589:6171021:1 gene:ONIVA07G07550 transcript:ONIVA07G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAAAPPAGEKMAIRVVSRRLVKASDASIQPHLPNAGDGDFDAVVATFEAVLPSLLNHFYPLAGRIVVDPATGLPELHCHNQGAELVVGELLRFACGSFSVVWGNNNLLHDGQVSTMIVRMWSELARTGRISDGLPINHDRSVFRPRSLPSYGAAIDAMFTAYDDGGRLVNALTAHDSFVERLYYIEAGDIARLRDAASAGAEQQRASRVQAVSAYLWKALAGVVAASCVPEERCCMGWWVDARRRVASPALVPAMCGYCGNVTSYALGDAAVGEVLERPLAEVAAMVRESIASIDYDEYLQELVDWVVGHKTEKTVFASFPLDTDFGFGQAALAMPIWDHGKMGCGTLAVGVRPGGDGSWLVSAYIWPRMAAALESDGVFKPLTAAYLGLV >ONIVA07G07540.1 pep chromosome:AWHD00000000:7:6164412:6166316:1 gene:ONIVA07G07540 transcript:ONIVA07G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRMPDSHFMASSNGGACYQNTHGTPITMGVPNLGCLVASIGIAPSSSLMPERGLATANYNLVANFPEDAAVVPQQQQLQAASSNSNSGLIKGGWIREEDEVLRQMVRYHRDRKWAEIAKSLPGRIGKQCRERWTNHLHPDIKKGIWTEEEDRKLIRAHQTYGNRWSAIARSLPGRSENTVKNRWNATKRSLNSKRRLRKKNSEQAVPRQPSLLEEYIRSCQHPLPNETAPPASFDIGGYGTGGTIGANPTPPTVHALGGSTPLGLVMFLDLLNQATPHPPQPDLNLLNITPVYGQLPVQPHGISAQVGPSNVGTSGRTGGMDNNGVIQMASNQFVMPSEGGGIPDMARWIN >ONIVA07G07530.1 pep chromosome:AWHD00000000:7:6154461:6155768:-1 gene:ONIVA07G07530 transcript:ONIVA07G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSVAKPFAATATAAAPRLSPRHRRRRLLAANATTARGALPLPALRKPTKPPPPPPLHPRPSLPVPTTSSDDDGDIRRKPATGATASLCSSGAGDVLRLLDALRLPPDEDVYVSLLRDCADAAEVASVHAHIAGKFAVSGLPLPLANRLVLAYAACGDIGAARQVFDEMPVKNGITWATMVSAYSDGCFHHDALQLFVQMCHQVRGITGDHYTHAIVAVLRSCARVNELQFGEQVHAFVVKKNGVCGDVGSSLLQLYCDSGQLSSARHVLEMMRFSCQEPVPEAAWTSLITAYHRDGILDDAIDVFRGMASSGIARSSFSLSSILAVCAEAKNKGCYGQQVHADAIKRGLDMNQFVGSGLLHMYAKEGQLADAARAFEAIDGKPDTVCWNAMAMAYARGGMYREATRVVYQMKAAGMNPSKLTMNEVKLACFR >ONIVA07G07520.1 pep chromosome:AWHD00000000:7:6148081:6153680:1 gene:ONIVA07G07520 transcript:ONIVA07G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWVATVAYTGTALACAAAATVVALRLVYRHLLHYAEPTHQRFIVRIILMVPVYAVMSFLSLVLPGSAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLTGRSLKPSWFMMTCCFSAVPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYEDGNFSVNQSYLYITIIYTISYSMALFALALFYVACRDLLQPYNPVPKFIIIKSVVFLTYWQMLIAAIGHQFAFSYKEYAGSNARPFGGFRGSLFHALKFNDFYHDTVHQFAPTYHEYVLYSNEEEDEPTKYSSASVVSTVQDIQLVEVSVVDSKAPLASVILTHEADKTMPSHGMEETVAPSEPYDLSNLVDVELSNYSAEVPAIPDVGKQ >ONIVA07G07510.1 pep chromosome:AWHD00000000:7:6142735:6144636:-1 gene:ONIVA07G07510 transcript:ONIVA07G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFAVRRRAMELVAPPAPTPRETKCLSDVDDPESLRWQVPVVFVYRPSAAAADPVDTIRRALAAALVPYYPFAGRLREVEGRKLVVDCTGEGVMFVEADADVRVAELEAAGLRAPFPCMDQLLFDVTRLLCGGFVLGIRLNHAMCDASGIEQFMDAVADLARGAREPAVSPAWSRELLDARKPPKLAFHLREYNDFAAAPPAAPSVGALGDMVMRTFSFSPGDVAALKGALPPHLRGRATSFDVLASFVWRARARALETPAGEDARLAIIVSFRNNGELRLPRGYYGNVCVPVTVAMPAEALRRRGSLADVVEQVREAKKTVNAEYVRSVADTLVMRGRPAIDTANLLLLSDVRLAGFHRVDFGWGEPVYGGPSHAWYGVSYLIAVKNGAGEDGVAVPVVLPAAAMERFTSEIERLRKGQQRGHFRVQTTSRI >ONIVA07G07500.1 pep chromosome:AWHD00000000:7:6135874:6136092:1 gene:ONIVA07G07500 transcript:ONIVA07G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWVGEKPYYDRSSNSCVGGKVCGHYTQVVWSRTTQIGCARVTGCNINGRSSTLIACNYNPRGNINGERPY >ONIVA07G07490.1 pep chromosome:AWHD00000000:7:6135121:6135810:1 gene:ONIVA07G07490 transcript:ONIVA07G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLARRSPDLSPVCDISDGSQNNGSFEIIVTCDRFDMWFVTAFTTDGPSPSKVMRCDLSDAEKAQFVKLHNDARAAVGVKAQVSWSEAVAAKAREHASTCRTDHIQGP >ONIVA07G07480.1 pep chromosome:AWHD00000000:7:6134723:6135118:-1 gene:ONIVA07G07480 transcript:ONIVA07G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGREVEAAAPFGGRRIRPERRGSRARGRRGQTVGGGTRRPEVRIHIDHIGREERVEALAAGRWGRRRQLDPCPRGQIRVLEADSAVVVGGHRRRRSSLAPVTPSSWRSAVGDGGGGAAAHLLAAATHR >ONIVA07G07470.1 pep chromosome:AWHD00000000:7:6133913:6134561:1 gene:ONIVA07G07470 transcript:ONIVA07G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPKKGAAFALAVAIATIVVATTTTMAADTTPDPHIFDGISVMGFSIETRGEALAAAAEDPTAPGRGGGVATAAARGGGSAALGNGSAARGSGGGAEAQR >ONIVA07G07460.1 pep chromosome:AWHD00000000:7:6126841:6127371:-1 gene:ONIVA07G07460 transcript:ONIVA07G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPKKGAAFALAVAIATIVMATTTTMAADLSDAEKAQFVKLHNDARAAVGVKAQVSWSEAVAAKAREHASTCRTDHIQGPYGENLWWGWSSTAGWVGKPADAMGSWVGEKPYYDRSSNKCVGGKVCGHYTQVVWSRTTQIGCARVTGCNINGRSSTLIACNYNPRGNINGERPY >ONIVA07G07420.1 pep chromosome:AWHD00000000:7:6109989:6110222:1 gene:ONIVA07G07420 transcript:ONIVA07G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADCRGLVDRHAWRWRVLVFGTWCYRAAAGEIGFASSTVSSISAHLRYKHRLGFHFVVLPRASST >ONIVA07G07410.1 pep chromosome:AWHD00000000:7:6104688:6105158:-1 gene:ONIVA07G07410 transcript:ONIVA07G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRANGGDYPVVAVSNEGVLMVDLLHEVLLCLPARPLCRLHAVCRPWRALLSGDPVFAAAHAARHPAPHLAVAVRGRLNSYGRELVDVYVVDASSGDIVKRACAGRCDRPAEVSTHGGVALLVDNYQLLRVLDPVSGAVPVVPDYKISHPTKY >ONIVA07G07400.1 pep chromosome:AWHD00000000:7:6104401:6104645:-1 gene:ONIVA07G07400 transcript:ONIVA07G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHITKDGELKHGEQQACSVLTLACDSVNGGRLALWREVRPSQPYTVSTSRRFAAVVGGVAYFAMEERLDRGVCP >ONIVA07G07400.2 pep chromosome:AWHD00000000:7:6103678:6104391:-1 gene:ONIVA07G07400 transcript:ONIVA07G07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPRRWPAGDHDDPWDVTVTLAELRGTLVVAHDDHRAGVLDLWFLLAGDGDGGKVGPQHWTKLYTVTMPYHALGLPWPWDAESAEPAVMLVDDGRVVFWVWANGSSEHGRGVIRVYDPATGGQTDVAAMVGAVHVGVYTGSLLLPLLKKWELRDGVFISRADYLWFLHGMANARKSKFYIKHPKI >ONIVA07G07390.1 pep chromosome:AWHD00000000:7:6096533:6102180:-1 gene:ONIVA07G07390 transcript:ONIVA07G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HYN5] MATCSWAATTAAAAPPRPPARCRSRVAALRRTAAASAAAASCVLAEAPKGLKVEQADAVEPAAAAAARRDVGPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNIGEGVGFGDGFVEVLAATQTTGESGKRWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVDKGADISVACVPVDESRASDFGLMKTDKNGRITDFLEKPKDESLKSMQLDMGTFGLRPEVADTCKYMASMGIYVFRTDILLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFYFYDPVKPIFTSPRFLPPTKVENCKVLNSIVSHGCFLTECSVDRSVIGVRSRLEPGVQLKDTMMMGADYYQTEAERFSELSDGKVPVGVGENTIIRNCIIDKNARIGKNVMIMNSQNVQEAERPLEGFYIRSGITVVLKNAVIPDGTISIPSGLLHLKYIFETHKGI >ONIVA07G07380.1 pep chromosome:AWHD00000000:7:6095571:6095930:1 gene:ONIVA07G07380 transcript:ONIVA07G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGTTVAPAVAAGRRLSHRRAAAAPPPSARVARPSATKSVTAAAATEEKGLFDAIFGALYKEEQLLETDPILNKVEEKAPAAASRATKAGGAPAKKAAGDGDGGFSFGGLFSKKE >ONIVA07G07370.1 pep chromosome:AWHD00000000:7:6090583:6090930:-1 gene:ONIVA07G07370 transcript:ONIVA07G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGVLGTNKVYFLIEEQHAGGYIFGMERHPNDGFVPDSKDEAHGGILNSELPPLPPPPPPTDGCFIPNSEARPIGGVPDSELPPDTGFTLDFEDKEVVASPTPSCLTWKIKP >ONIVA07G07360.1 pep chromosome:AWHD00000000:7:6086352:6088648:-1 gene:ONIVA07G07360 transcript:ONIVA07G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGQPVNVQKEQVNMQKEQMFGLAEKEMEYRVDLFNRLTQTCFSKCIEKSARKAAPHPYSVYSFVIA >ONIVA07G07350.1 pep chromosome:AWHD00000000:7:6081818:6083272:-1 gene:ONIVA07G07350 transcript:ONIVA07G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARRHRRRVVMFPFPFRSHIAPMLQLAELLRGRGLAVTVVRTTFNAPDAARHPELTFVPIHERLPDAATDPGTDLVEQMLALNAACEAPFREALRRVWYWYAALTAAAEVGVAALALRTDNAAALHCMLSYSRLRYSGYLPIKGNRDEVLPPVEPLRGRDLIRVDGGDAERVREFIARVDNAMRTAAMGVVINTFRAIEKPVLRNIRRHLPAFAIGPMHRLLGEPEEHGLHAPDSGCVAWLHAHSPRSVLYVSLGSVARIDREVFDEMALGLAGSGVPFLWVIRPGFVTGIVSDALPLPEPLTAVVDNGMGKVTSWRLAHPAIGGFWTHCGWNSTLESICEGVPMLAQPCFGDQKVNARYVTHQWGVGLELGEVFDRDRVAEAVRKLMVGEEGAAMRDKARGLKAKASKSVEDDGASNAAIDRLVRYMVSF >ONIVA07G07340.1 pep chromosome:AWHD00000000:7:6079359:6080852:1 gene:ONIVA07G07340 transcript:ONIVA07G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNRRRRKTEEAEISAADRFAALPDGVLARIVSMLPYWDVIQLSVVCAAWCRLRLHRAAPVVNIDLREFVLFGAFLPGYVVLGHRVALRRMRRPVDKLRLTYFAADRCMNEEANAIIRAVAAREIRITICHGPGGARAARARRLDEWDVDVPSTATDLDVRGSGYRAPAVYADCLRVLTLYHLELHDAPRLPSLRSLTLQSVLVAAAVPFAPGKWCPQLESLEMESCTVEYRQVDVRLQLLKLLVMDDVSVGPPCRKNDDEPFGHVTVDAPVLDELVVVCSTGWAVEYASFTLRAPALRRLCWWEQFAGRVAIDVGMPGSVTEGTIEFKSNGELEEMSCREMRFYRAQLMQMLNGILPERAAGEDRRRRTAFHDGEDDHRDGRRRDDPGGEAHLRPSTPHLVAPRLISAMIAGSISTNI >ONIVA07G07330.1 pep chromosome:AWHD00000000:7:6072866:6073990:-1 gene:ONIVA07G07330 transcript:ONIVA07G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGADGLPPGLRFDPSDDELVGRYLLRRLQGQPLPLDGVVLDADPLSAQPWRLLADHGRGGDEAFFLAEAHAKNDKGKRQKRTVEGGGFWQGQRMCVDGKKLLVPGDDDGGGGGEVLEIAWRKYVLSFFAEGERGSSGWVMHEYSVTAPADLASSPLRLYRIRFSGYGKKRKREPEDDGRAHGAPRRAEAETALFDLEVGPPPPPLLVPPPAAAAADHGTDQSSSGVTDMVFRDLPDLIADAGAALPDQNQQDWSEVADQSSFCVMGDDSSLLLPDLPGMIDDNEHQQFVRECDMPHLFVPQAEEAIAGGGAASAPSADNQNCEFNDGEDMALSDFEFPESIDEVLSYIDFSTSDTSCRDFTMDELFDLPVD >ONIVA07G07320.1 pep chromosome:AWHD00000000:7:6067449:6069344:1 gene:ONIVA07G07320 transcript:ONIVA07G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTRARGKRRKLQGHQTPPPPPPCGAAQISLLPDDALREIVTRLPSNDAARTQLLSSRWRHLWRSAPLNLDLRDAGDISRVLATHPGPARRFAVPDLGSSFPNRRATLDAWFAAPALDNLQELELMGSYRPLPPSAARLFPTLRVAVFSRCSFPDDPAAAAFCFPRLEQLTLEYVAVSEATLHGVLAGCAALDCLLLRGVRGCRRLSISSPTIRVVGVCVTRALKELIVEDAPRLERLLMPEVWQLLRVSVISAPKLEALGWLSNHCTLEIGTIAIKCSIGEFHFDSLTTVARGVKVLALDIDNLSLDMAIDFMRCFPSLEKLYIRKFSHKCNNVWRQKMRHKMLDPIECLDLNLKKVEVSGYCGNKSHIDFAMFFVLNGRVLELMRLECGTRRNDRKWIENQKMCLKLDNMVSKDAEFHFTRRTSWNYFTNVRRAHELLIADPFCT >ONIVA07G07310.1 pep chromosome:AWHD00000000:7:6059373:6062069:1 gene:ONIVA07G07310 transcript:ONIVA07G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRKPLLAVAECFRSSDMDTGRSSQLTERVRRMPASSNSGILPLEVLFDVLVRLPAKELCRLRIVCRPWQSLASDPLFMKTHVARHRETFFLASFKDDETHIHIMDFAGNVIKQIGIPAGHKVLCTRLDLVCVATNKNSCHVLNPVTGDVYNLPKSPAEEHMYHVNLRKPFTSFAFGHVASTGEYKVLRMFNRPGFTDLGMPQLCEVITVKGGTGQARWRGKQSREFFVECQKANSGVVVNGVVYFLIDSVYDSMIIGGDGAGIHPDFICSFDLEVEEWREDIQGPISRNFVYDMDFPDEYIAIWDQLSLAELKGYLVLVYHQSYRSSTIDLWYLIDYETRTWIKQYSIQIESFVPVRECKVKPLLVLDDGRIVVWLGSTGLLLIYDPRTSTFAEVEMRRLSEVGLYTGSVLSL >ONIVA07G07300.1 pep chromosome:AWHD00000000:7:6058416:6059260:-1 gene:ONIVA07G07300 transcript:ONIVA07G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRLYQTELVESFRRRRRDAAVVRCADDGENDAAVEWVGDDDKVLKRRGIVLPVGRYGSGGDAARVRRATAPAPAPTQDAASSKNGALLSCGDDDTPVSRNGSVVTSIDKPATAAASTPLVTIPKLPAPDSLVILPSVDRPQPEFVIPDAAYLGAPAPPLSFPCRWPRVASTGARLWQGCHTAWLSPVAPLSSSLSAAVALLLLVGGRWARSSCGVFAIGFF >ONIVA07G07290.1 pep chromosome:AWHD00000000:7:6056046:6058279:-1 gene:ONIVA07G07290 transcript:ONIVA07G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMAKQWFGIGSKWYFNDSISWNGVTPKPTFGSGSVRTSDFSSQTHPGERRETAPPPPPPPPCWTPAGHRAPLEASAMASPEPCAAAAAPGSGFGAVDGVLPPELLLEVLLRLPAKPICRLRAVCRSWLSFTTDRLFLAAYAAVHPHPLLAVLVDSFPSRCCVDLVDLSGNVVEEILGVGGECRVLTASYDRVLVAGEHHRVSVLDPATGSVSALPFGIAEDMARRNGMRPAWFAFGQTNSTGEYKLLRILEDLEDGYEADPVCEVFAIGDMNGRWRKMESPPGYLDPSYTNGVVFEGAAYFFLDHWQMDPSYYFATGCIPSFDLATEQWSTALQGPVNRILEEANGTLNYADLTDRLMLAQLEGTLCTAHFNDRISAVDLWFLVDFENGMWSKEYRINVEFAFDGFGDGVQPLLVTDEGNVVLWVQIGSKGMVWIYNPVTNTSSEIVQTKSSIFTGVGKWKKCQKKRSKTDVRTGVIAYWRI >ONIVA07G07290.2 pep chromosome:AWHD00000000:7:6056046:6057956:-1 gene:ONIVA07G07290 transcript:ONIVA07G07290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPCAAAAAPGSGFGAVDGVLPPELLLEVLLRLPAKPICRLRAVCRSWLSFTTDRLFLAAYAAVHPHPLLAVLVDSFPSRCCVDLVDLSGNVVEEILGVGGECRVLTASYDRVLVAGEHHRVSVLDPATGSVSALPFGIAEDMARRNGMRPAWFAFGQTNSTGEYKLLRILEDLEDGYEADPVCEVFAIGDMNGRWRKMESPPGYLDPSYTNGVVFEGAAYFFLDHWQMDPSYYFATGCIPSFDLATEQWSTALQGPVNRILEEANGTLNYADLTDRLMLAQLEGTLCTAHFNDRISAVDLWFLVDFENGMWSKEYRINVEFAFDGFGDGVQPLLVTDEGNVVLWVQIGSKGMVWIYNPVTNTSSEIVQTKSSIFTGVGKWKKCQKKRSKTDVRTGVIAYWRI >ONIVA07G07280.1 pep chromosome:AWHD00000000:7:6047756:6052036:1 gene:ONIVA07G07280 transcript:ONIVA07G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G64730) TAIR;Acc:AT5G64730] MAAAAAAARLPRTEARVLSGHEGAVLAVRFNRDGNYCLSCGKDRIIRLWNPHTGALVKPYKSHGREVRDVNSSSDNAKLVSCGGDRQVFYWDVASARVIRKFRGHNSEINSVKFNEFNTVVVSAGYDRTVRAFDCRSQSSDPIQTIDTFQDSVMSVNLTNTEIIAGSVDGTIRTFDIRMGRETVDNLGHPVNCISLSNDRNCLLANCLDSTVRLLDKSTGELLQEYKGHICKSFKMDCCLTNDDAFVVGGSEDGYIFFWELVDAPVVSSFRAHSSVVTSVSYHPTRACMLTSSVDGTIRVWT >ONIVA07G07270.1 pep chromosome:AWHD00000000:7:6044760:6047769:-1 gene:ONIVA07G07270 transcript:ONIVA07G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGRGPRRVRNTCILAHVDHGKTSLADHLIAAYGSERRVSERMAGSARVMDHLEEEQRRAITMKSASIALRRGGEDGGGHRVHLIDSPGHIDFCSEVSAAARLADSALVLVDAAEGVRVQTHAALRQAFVERLRPCLVLNKVDRLVAELRLTPAEAHARLRRIVSEVNSIYSALRSRSYFSTLDAACALSQELPDNGDAADEEEDAFQPQNGNVVFACAREGWGFRLVTLAKLLAPKLRADPAELLKGLWGQKYFDERSRTVVGKEAMAAATANPNPKPMFVKYVLEPLWGQYHKMTRKLRLAEAVFDMVVECTPNPIAAQATRVARLMPAAKTEQLTAAAPCPAAVAAEVEKVRRCVATCNASTSAPVVVFVSKMFAVPYRFLPSRGVNGEPLNHRGSSSSAESGECFLAFARVFSGVLRAGHKVFVLSPMYDPLRGGDDAMQQKHLQEVELQHLYQMMGPDLEIVSAVRAGDVLAIEGLGHHVLKNATLSSTKNCQPFSGMMFQVSPMLKVAIEPSNPSDLGALVKGLKLLNQADPFIEYTVSERGEHVLAAAGEIHLEHCIKNLQERFARVQLEVSKPLVSFKDTIQGEGAGIMESLKASHEFVERTTPNGRFTVRVKVSRLPNAVTKVIEDSKELLAQDGGDSASTLRQLLINAIDSDLEALSAQLDDEKTESYRKMLIGYLQRIWALGPLQVGPNLLLSPDATSSDGVVTSQDGREGILVRGTCHVSERLGLVNSSDAKTTIGIDGSQSAVDGLDPETVKNSIASGFQLATNAGPLCGEPTWGLAFLVKPYILPDSADASNNQSDHYSTFSGQIITAVREACQAAILESKPRLVEPMYFCELTTPTEQLGSMYAVLGNCRARVLKEEMQEGTSLFTVHAYLSVAESSEFSKKLRNATAGAASALLTFSHWETVPQDPFFVPKTREIEEFGDGSNIGPNLATKLMNSVRRRKGLHVEEKIVEYGTKQRTLAKKV >ONIVA07G07260.1 pep chromosome:AWHD00000000:7:6040642:6042328:1 gene:ONIVA07G07260 transcript:ONIVA07G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGGHEDAPPNGDHHPPAIVANGDDQEPAANGDDQAEAAANGGDQAAAANDQAAAENDQAAAANGGEHEEANRDLPNVAGIRAPRELRRVEVDALHQVLLRMPAAEVARCSGVCRRLRDLIATDSFRRGHQRHRSRHPMPLFFYRLDHWAFPDRVRVHLRAVDVAARETHPVICFSHADADLRSADPRVFTIEGSCDGILLLSYHTRLYACNPSTRRWRRLPPLHDDHVIVGFYGHGAIDEREYRVLYHTARPGCRYWVFSLSFFPDQPPRDIGRPADLEAVRAVLAEGISPSYEMPPVAIAHRLHWRAQAASLNVLVFDTVAESFGWIPPPNQQEGNQMIPVEGDQLLEINGRLAMTLVSQTTVDVWVLQEGEAWEHHYQISLPVDLLNVFGGYDDEGFVSAAVFAVSQERNVLAQCPAMMLQCDTEGNVLMFYSLAGHLTVLSRYMLQESLLAHAFLPMRQEDAIDGDPPFFQVGLNTE >ONIVA07G07250.1 pep chromosome:AWHD00000000:7:6035369:6038214:-1 gene:ONIVA07G07250 transcript:ONIVA07G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPKAKRPTPPRAHRRSTAAGAGAGGLGLAAAAYVGVDYARRHLPPAWWRWHGRLQPALWGALALAAAARAPFYRRWDAELRAAPRFLAAMALMLAAFLCEAVSVRFVSTVLGLHWHRSTAPLPDTGQWLLLALNEKLPQIVVDLLRAPIISLHHYLMLFIMLGFSALFDCIKGPGLGIAARYMFTMAVGRSLRTVTFLATILPSARPWCAEARYQIPDHPHPWAQKYYAPYASDPDAIRRVIQEDMPYEYPGEYRPSWGHMSFLVDILRPTVEEGSSWYHFLKKASGGCSDLMYSGHMLVAVLTAMAWTEAYGGWISVVIWFLVLHSAQREIRERHHYSVDCIVAIYAGILLWRMTGFIWSAIDNSRARRLAKLDKVQNRLFQAAKDSDMDEIRGLLNEVELAGQERKGFSQRVILSFSSAMIVFTLSCVLLAFTLTSDG >ONIVA07G07240.1 pep chromosome:AWHD00000000:7:6031372:6032147:-1 gene:ONIVA07G07240 transcript:ONIVA07G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKHHHLFHHKKDGEEESSGVVDYDKEKKHHKHLEQLGGLGAIAAGAYALHEKHQAKKDTENAHGHKVKEEVAAVAALGAAGFAFHEHHEKKDAKKHAADQY >ONIVA07G07230.1 pep chromosome:AWHD00000000:7:6029384:6029919:1 gene:ONIVA07G07230 transcript:ONIVA07G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVHHRRRDTKRKEMDELHPHSMSACRRCLPSVQAYTSTQKPRMVSSAAAAQPTIAEKEAEGEVAIPDITSPSNSGGSGGEGDQHRG >ONIVA07G07220.1 pep chromosome:AWHD00000000:7:6022919:6024020:-1 gene:ONIVA07G07220 transcript:ONIVA07G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALCGVALLLLLLAIEVSGGGGGYGEEEKVPLSAVIVPDPSPELREPTSPSPSLAPAPTPVSGAGGGGGGGGDDDMRPRLPTERWRRGSGSGRGEERHTGGGGGSHHAHGHGHAHAHAHAAAPSPSSSWAPARAPSPAASSASAAAPDPAAPGQSGGTAFIRSSPAVPVPRGVTDTATILPMPTPGDKQQEVGAAAASARAGMAPVVVGLITMMASFWALR >ONIVA07G07210.1 pep chromosome:AWHD00000000:7:6018566:6020440:1 gene:ONIVA07G07210 transcript:ONIVA07G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPGDLKVKGGEAPVVKEDAAVAPSPSPAAEEKEKNVDEAPAAAAAVAVAVESEAVEAGRRRSLSDLLKEDAESEGSEAVPAPSAEATKAVETESPAAAAESGIAAAAAEEEKAEVVEPSPATSEQVAAGEEEEEKETAAAAAEEEQVMVVETSPAAPEQGAAVGEEEEEEEEEEEEEEEETAAAAEAEEEQVTVVEPSPVAPEQQAAGEEAEETAAAAAVEQVQVTVVDPSSPAASEQETTGEAAEAEAGQEGGGGGAQEVAEEEKRVDPGSVQVAAAASSTPPPPSSSADDEEERRKEEAAADVSAPAAPDEN >ONIVA07G07200.1 pep chromosome:AWHD00000000:7:6014594:6015826:1 gene:ONIVA07G07200 transcript:ONIVA07G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLPPRGALVHGHGGGVGAFDLEATMQPPPPFHFAQDPHLHHHQGMVPVRGNPMLDLGNVVKTSPSDEEDVDDGHHHGGGGGSGKEASQWHRVKWISGMVKLLVSAVAYIDEDVDMDYGTGSAARRKHAMLKRKGKWRLVSAAMTERGFPVSPQQCEDKFNDLNKRYKRMTEILGRGTACQVVEHPELLEGMRLSGKLKEEARKHLNSKHLHYEEMCSYHNRNKMCLFDDPALQKSLRLALRSGEEHAKKNPFGYDDEDFSDDDDEDEEFDDLEVSAEDHHHGIHGAKRLKHDQEETHFGSNLSEVAVIDMNKMLSEGSGGPTAEKSPSTPGMRDIRLEKRRLKIKAQMLKIEQKHFKWLRFSKEKDRELEKMRLENEKMKLENERLELELKLKEIEMGIKPKKIFSD >ONIVA07G07190.1 pep chromosome:AWHD00000000:7:6005611:6007425:-1 gene:ONIVA07G07190 transcript:ONIVA07G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKPPEFACTSGSTTSNVAIALTRLGGRTAVLGKVGDLWYRRFPFSRVMAAAAAAASPATVALILSRFAPPFPPHPPPGLPENRERERMGKREGTWHPGMWGPRGSHADSAATSDKTGAKTNEGPKVNAQNSGGNRFGPAAMICTIPKPNKWAHEALVITHGGKKISTQQPASVATDFCQD >ONIVA07G07180.1 pep chromosome:AWHD00000000:7:5999978:6004780:-1 gene:ONIVA07G07180 transcript:ONIVA07G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPDTAHLQVSNLVAAAATEGKKKDTRRQQESTSPRRAAPPVAAGDELALAGATPQPYTCPSSSSARSLRWKESTGNTAVEDSEAGPCIPRVQAATGDYTPWLGQEFASEHEAYEFYRYYAWKLGFSVRREYANKSRKTGEITSRKFVCSREGFKAPDKRTNHTRTPQPDTRTGCHANLVIRRKNDTSKYEVYAFEAQHNHPLFIPSCANPLQRKLSDVQSSDADNSGSEFKACINDYEEEVELFTAWEAMISKYNLHSNRRKKKGRNAKSQRKSCIEKGLQKTKKVQPEQSPIQYTMLDATQPGNVLFQGLDISNPFPMGQLNYGGVQPQPGLCPSLPTVSRELGFAAYLSQPSSNSQHNQQKSTALLKVIVHICFNLQYNSWDKTGPVEDQINFSNSYQSVIEATKNSHLPGYIHQQHVHAMLRSTTS >ONIVA07G07180.2 pep chromosome:AWHD00000000:7:5999978:6004780:-1 gene:ONIVA07G07180 transcript:ONIVA07G07180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPDTAHLQVSNLVAAAATEGKKKDTRRQQESTSPRRAAPPVAAGDELALAGATPQPYTCNTAVEDSEAGPCIPRVQAATGDYTPWLGQEFASEHEAYEFYRYYAWKLGFSVRREYANKSRKTGEITSRKFVCSREGFKAPDKRTNHTRTPQPDTRTGCHANLVIRRKNDTSKYEVYAFEAQHNHPLFIPSCANPLQRKLSDVQSSDADNSGSEFKACINDYEEEVELFTAWEAMISKYNLHSNRRKKKGRNAKSQRKSCIEKGLQKTKKVQPEQSPIQYTMLDATQPGNVLFQGLDISNPFPMGQLNYGGVQPQPGLCPSLPTVSRELGFAAYLSQPSSNSQHNQQKSTALLKVIVHICFNLQYNSWDKTGPVEDQINFSNSYQSVIEATKNSHLPGYIHQQHVHAMLRSTTS >ONIVA07G07170.1 pep chromosome:AWHD00000000:7:5996624:5997578:1 gene:ONIVA07G07170 transcript:ONIVA07G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVGATIFYCVALSMVIVMTQLPPTEADSVATAEFASSDLKAVKLTSCKLMGAARDVPSAVFDEMKGPFTELGKKCKAASVTECCDAFKEVACPHNTLLNDLDNGRGDDMFYFIHTYGHLLPGTIFSETKDGKEEKKIGFWLYKSQSDQIDQNL >ONIVA07G07160.1 pep chromosome:AWHD00000000:7:5990931:5993482:-1 gene:ONIVA07G07160 transcript:ONIVA07G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 6 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HYL0] MSDEEVSDPKALLEDQSKAKCVYQWYEYQKCVKRIEDDETGQKHCTGQYFDYWKCVDKNVAEKLFDSLK >ONIVA07G07150.1 pep chromosome:AWHD00000000:7:5983918:5984559:1 gene:ONIVA07G07150 transcript:ONIVA07G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGYVYSRRAMLLAPAYGHGDSSAAAAAAGASQVIAGQEAPAGGDVVAGPAGTSSFDANVVMILAVLLCALICALGLNSIVRCALRCSSGGRMMMSSSSSAAAGDDGELGPSAAAQAAGVRRKALRAMPTMVYSAAGGPSPACAICLADLEPGERVRVLPKCNHGFHVRCVDRWLLARSTCPTCRQPLFAAPPVRPFLAPLRPEGFVTPYDF >ONIVA07G07140.1 pep chromosome:AWHD00000000:7:5976091:5979780:1 gene:ONIVA07G07140 transcript:ONIVA07G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43710) TAIR;Acc:AT1G43710] MVGSVGNGLVDLGGAAVAVNGVGKGMRPEAVAAAVAVAMEVESPPRPAEEEGEGSPTRREIVLGRNVHTASFAVKEPDADDEETGEREAAMASVLALYRRNLVERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARIWELEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLISGEIDCEDFQRKLLLNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEEGGFKDRFYIHCDGALFGLMIPFVKKAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINRLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKEAGIGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVTIDKLDYFLNELTEKRATWYQDGSCQPPCLAKDVGEENCLCSIHKK >ONIVA07G07130.1 pep chromosome:AWHD00000000:7:5970118:5971661:1 gene:ONIVA07G07130 transcript:ONIVA07G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRLAIGRSGGGGARHRPGQGGGWEEGTLVLGVLGGGLETPGIEGARGHHCHAHAGSVLWQSKDCGYPRPSTSSRQKYDLVMSLLESMPTNDNWGRKPQRRLGH >ONIVA07G07120.1 pep chromosome:AWHD00000000:7:5953881:5955278:1 gene:ONIVA07G07120 transcript:ONIVA07G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HYK6] MVRKEMGGGGGRLAAEYQGLEVKVPTFFRCPISLDVMRSPVSLCTGVTYDRASIQRWIDSGNTTCPATMLPLPSTDLVPNLTLRRLIALWASTAAPPSSSSSPVAASAVGPTPAAAAAELLRRVGAPGVDPCPALRKLAAFLSDDDVDEFDKNALARAGGAAETVASVLRRRGKGGDDGDDDAGGVEAVEAAVRVLAVLATSDCIEEENRRRVAAVLAAGGAAPSVAASLARVMRSGSGLEARVDAARLVESLLRDGAGAATPGVRAALAESEELVAELIRLVGPADGKGSLDARAVDAGLSCLAAIVAGTRRARAEMVRLGAVPAAVRVLATDQRGVGGSHSHAQALRVLEAAVGSAEGRAAVCEVAEAAIPAVVSRMMRCGGMGGAEAAVSVLWAVCHRYRDRRAVEAAAASKGGLTKLLLLMQSGCSPAARQMASELLKMFKVNAKSCLAGYDSKTTHIMPF >ONIVA07G07110.1 pep chromosome:AWHD00000000:7:5933544:5946043:1 gene:ONIVA07G07110 transcript:ONIVA07G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPPTENPRFEGGENGDNDYPLIATSDGEAVSSPSPSAAASTSTAASAPTGGSWWTSASDRFVRRRLFVPDWVNHPTNCSFALGRTVSTGGDQYKLLHIRTDRVLQVCSVLALGGDGINSGSFARWRKVPSPPQNVFTGRRSVAVAENERKISRAAERGNSGAIPAPMERAALEARASHVEYVPVLPVGSWH >ONIVA07G07100.1 pep chromosome:AWHD00000000:7:5927146:5928618:1 gene:ONIVA07G07100 transcript:ONIVA07G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEMGKRKRPVDDGGDSSEPELPADIIAHITGRLTSQVDFLNCRNVCPSWERALRGEARRLAAAVERVPWLLLAAKADGSYLGRRQAGDVAAVQLPGRHVRLGRREICLGCSSGWLVVANDFGYARLVNPLTAATAPLPPLWRLPYLDAAHGYDGCVGSFLYVDEHHRGGPGVAFSFDGLCDLVLLKAVVVDISDGGATVAVLYRREREFAMARTGQRSWRLVNNKLDGIVDMARHGDGKLYTVHLSGKVARWKFDCNVRRSPEILESVVVIDSPYHYVVKADNNVNAITMSREYEHDHRDRAGECCYLVGAPRGTLYLLKRVYKHKQVGSDGGGGGRTQRTTATFHVWHLTWASDGGMEWPATMDGAAIYHNLATFVSYTGAVCVGKRDADAVLAGGAVYFTEDAAGYAGAAMAEDFGVRRINIRRQKSRRITRMTRLDDESMKRIKDKLEDEESEEVKPLGRCMNWPPPFWFIPSLDDSLGAAPPGK >ONIVA07G07090.1 pep chromosome:AWHD00000000:7:5920303:5921696:1 gene:ONIVA07G07090 transcript:ONIVA07G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSFSLNLCERLDEAVPELEPLRVRDLIRVDGCETEALCGFIARVADAMRDSASGVVVNTFDAIEASELGKIEAELSKPTFAVGPLHKLTTARTAAEQYRHFVRLYGPDCACLAWLDAHPPRSVLYVSLGSVACIDHDMFDEMAWGLAASGVPFLWVNRPGSVRGCMPALPYGVDVSRGKIVPWAPQRDVLAHPAIGGFWTHCGWNSTLESVCEGVPMLARPCFADQTVNARYVTHQWGVGLELGEVFDRDRVAVAVRKLMVGEEGAAMRETARRLKIQANQCVAATLAIDNLVKHFKLVTILSMDFDVNAVEKE >ONIVA07G07090.2 pep chromosome:AWHD00000000:7:5920303:5921821:1 gene:ONIVA07G07090 transcript:ONIVA07G07090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSFSLNLCERLDEAVPELEPLRVRDLIRVDGCETEALCGFIARVADAMRDSASGVVVNTFDAIEASELGKIEAELSKPTFAVGPLHKLTTARTAAEQYRHFVRLYGPDCACLAWLDAHPPRSVLYVSLGSVACIDHDMFDEMAWGLAASGVPFLWVNRPGSVRGCMPALPYGVDVSRGKIVPWAPQRDVLAHPAIGGFWTHCGWNSTLESVCEGVPMLARPCFADQTVNARYVTHQWGVGLELGEVFDRDRVAVAVRKLMVGEEGAAMRETARRLKIQANQCVAATLAIDNLT >ONIVA07G07080.1 pep chromosome:AWHD00000000:7:5912468:5913671:-1 gene:ONIVA07G07080 transcript:ONIVA07G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALINRKVVLMDRLVRGIKWIIFFDLPMDGWPLFSNPNATSMNSSESMDAKLPKLTYNTDPVACVSSHSMQLWSWRVAPSVHGGEPVEWASGEHGGYAVVTKLAVDPLELHGFQLFECVDHHTIGVPHRFGDPSKHFENQFIIGAEKVPYSQWW >ONIVA07G07070.1 pep chromosome:AWHD00000000:7:5907867:5920271:1 gene:ONIVA07G07070 transcript:ONIVA07G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDGATRRGGGDGSSRRRRVLVFPLPFQGHINPMLQLAGALHGRRGGGGGELSVTVLHTRFNAIDPSRYPELAFAEVPDGIPPDVAANGNIVDIIVALNVAMDGGESSPSFRDVLASVVAADDEGRKPRASCLIIDGNLMAAQKTAAELGLPTLVLRTSSAACLGCYLAYPALLQKGYLPPKESQLYEPVEELPPLRVRDLYYTSNANQELVHKVLGWITETARNSNGVVINTFDELEPAELERIRRELDGDGVAIVLAVGPLHKLSPMNAGGSLHLRPDRSCIEWLDTQATGSVLYVSFGSLASLDSNEFLEVAWGLESSGQPFLWVVRPDLVKDLVKGLDKPSLPDGFERAVEGRGKVIKWAPQQEVLAHHAVGGFWTHNGWNSTLESVSEGVPMICKPQFADQMLNTRYLEAVWAVGFELVGKLERGEIKKAIKRLMVEKEGAEIRERAKELKKKMDQCLESSGSSQIAINRASDILLTAMASASVVLGGARHGGERRRRVLVFPLPFQGHTNPMLQLAGALHGRGGLCVTVLHTRFNALDPSRHPELAFVEVADGIPPDVAARGRVAEIILAMNAAMEATEDESGAASPSNIREVLASVVAAGEGQPSVACLVIDSHLLAVQKAAAGLGIPTLVLRTGSAACLRCYLAYDMLLQKAICLPKELPPLRVRDLFSTDDELVFEVLARIAETVRNSNGVVINTFEELEPMELERVHGELGDDSVATVLATGPLHRLSSMNTGSNTFNLRQDQSCIEWLDTQATGSVLYVSFGSLASMDSDEFMEVAFGLEKSGHPFLWVVRPNLVRGVERACLPDGFESAVEGRGKVIKWAPQQEVLAHCAVGGFWTHGGWNSILESICEGVPMICRPQFADQMINTRYVEAVWGAGFELEGKLEWCKIEKAIMKLMGKNEGAEMRERANELKNKVARCLEDGGSSQIAIDRLTTATMAGARDRGDGRAPRGHVVLFPLPFQGHLSPMLQLAGALHARGLAATVLHTAYNAPDAAAHPELAFVAVPSADAIARALAAAPRDGIAKIMALNAAIEASGCARDALASLMSGPERPACLVIDAALPGAQKAAAELGLPTIVLHTGSAAAFRLFRSYAMLREKGYLPAKESELNRPVEEMPPLRVSDLFDPSKYFNEEMANKILALSTETTTNSSGTVVNTFEALETPELRSLRDELGATIPVFAIGPLHKLTSNGDRSSLLDQDRSCIEWLDTKEPGTVLYVSFGSVVMVSQDEFKEVAWGLANSRRPFLWVVRPGLVIGVSGKPELPEGFVEAVEGRCKVVDWAPQTEVLAHHAVGGFWTHNGWNSTLESIYEGVPMLSRPIFGDQLVTARYVQETWQIGFRVEGKLERGKIEEAIRRLMEGEEGAEVKQRADELKKKILICLKNGGSTQQAIDKLVDHMTSPRTAAMATQEREPERQPHAGRRVALFPLPFQGHLSPMLQLADLLRARGLAVTVLHTRSNAPEPARHRHGPDLAFLPIHEAALPEEATSPGADIVAQLLALNAACEAPFRDALASLLPGVACAVVDGQWYAALGAAARLGVPTLALRTDSAATFRSMLAFPRLRDAGFIPIQGKNG >ONIVA07G07060.1 pep chromosome:AWHD00000000:7:5893323:5893763:-1 gene:ONIVA07G07060 transcript:ONIVA07G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLTLLLFLSVVATVGADDVRQVLHEPFFPIEWTPSPSPDFSSDPPTPATPVDNSGPRLLPAPLPNMITADVLSSRSRPDPQASCGGSGGMPKAAIVVASAAVAAVLALLAIVVAFLLTSRLARHPAAARPRACWSWRNSGDAN >ONIVA07G07050.1 pep chromosome:AWHD00000000:7:5889726:5892331:-1 gene:ONIVA07G07050 transcript:ONIVA07G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVMGSESGWTSPAFEELLPQLPRGEQLRLETHLRDRDRRWRRMRYNNTPPPPSSTKIRRQEKERDTWMIPHVQNALRHYNARHPGGEFDVVKPLMQARVVFKGQHWFHINFWARSRSSNKIKRFFAELHYKPLITISGFVSWEQLLPDPLPAPVAIVETCTIIEEPLDQYKRSCAFCPAGFDILHPKGDRKFVCGNDKDRFYQKLIPCKQLQFGLPFM >ONIVA07G07040.1 pep chromosome:AWHD00000000:7:5884530:5885622:-1 gene:ONIVA07G07040 transcript:ONIVA07G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIVLANVDGSIQNGITGPEYTIPPKITFPTSNRSTFEDVKNEIFRGLGYTEDDYIISIQARFDIGAPGPHYFQLIPIYEERGWKMIFEKTQTRASWHIMELYVDCKPAQVVLSQITESSRQTERNDTNVYLQHRTIHPAQVASQEDDYVGEETDLAEDRIEQDDDREHDADGSTDHSTDDEHPEPQPVVHSINSFPFMHATGKNPIKAFSDIYVLKETIADESFFGHKKQFDSPLARGKTFDSKEHLKIAIGEFHIEKNAEVKYSTSSKSKIVAECTDNSCTWRLYATPTGIDCFLFHRCIISLNIQLIGNYQELPALWTQHCRSDVPLFVIICFIASCAQIL >ONIVA07G07030.1 pep chromosome:AWHD00000000:7:5882164:5884136:1 gene:ONIVA07G07030 transcript:ONIVA07G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNDRFSDLLWMPHCQHKVSKIWDSPTNCINIRLHRVGIPYHSLCQTALENLGFFQIARMKEINNDKYLISPIHGRPITGQADGSWVDMIERLLGIPMEEQHMKQKKRKKEDDMTMVSYSRYSISLSKLRDRFRVMPKNATEREINWYTRALVLDIIGSMVFTDTSGDGVPAMYLQFMVNLSEQTEYNWGAAALSMLYRQLSIASEKERAEISRPLLLQQLWSWSRLPLGRPVKAMKITKEREEEDEQEELDYCPVFGANNLMDEMPIQIRNDRAWWFARLPLIHFWIVEFHYLDRNIPPHPPYGKVEHRKLCKIKHGGKMRSWEIVHAKYIHQYDNLEAVMVQEDQPFDPASLPEYRRWFQQNGMYSCLGVLENPIPYPRDIFEWTGYMPSGPPLARISLHVIKNAAWGIKCAITNSCKKLGKSILKTCLGNLRDLNLEPRLQNMLTDAGLPINIEDIPSDDDLSAHVHHPSPPKDSNSDIFDEWVYSGRGFERYLHAGGIAATQDLGQVTQIDE >ONIVA07G07020.1 pep chromosome:AWHD00000000:7:5874893:5877958:1 gene:ONIVA07G07020 transcript:ONIVA07G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGSFVPVDLRRPNPNGLKFYNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKVECTVPKDDGT >ONIVA07G07020.2 pep chromosome:AWHD00000000:7:5874577:5877958:1 gene:ONIVA07G07020 transcript:ONIVA07G07020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGSFVPVDLRRPNPNGLKFYNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKVECTVPKDDGT >ONIVA07G07020.3 pep chromosome:AWHD00000000:7:5874893:5877958:1 gene:ONIVA07G07020 transcript:ONIVA07G07020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGSFVPVDLRRPNPNGLKFYNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKVECTVPKDDGT >ONIVA07G07020.4 pep chromosome:AWHD00000000:7:5874577:5877958:1 gene:ONIVA07G07020 transcript:ONIVA07G07020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGSFVPVDLRRPNPNGLKFYNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKVECTVPKDDGT >ONIVA07G07020.5 pep chromosome:AWHD00000000:7:5874893:5877958:1 gene:ONIVA07G07020 transcript:ONIVA07G07020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGSFVPVDLRRPNPNGLKFYNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKVECTVPKDDGT >ONIVA07G07020.6 pep chromosome:AWHD00000000:7:5874893:5877958:1 gene:ONIVA07G07020 transcript:ONIVA07G07020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGSFVPVDLRRPNPNGLKFYNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKVECTVPKDDGT >ONIVA07G07020.7 pep chromosome:AWHD00000000:7:5874577:5877958:1 gene:ONIVA07G07020 transcript:ONIVA07G07020.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGSFVPVDLRRPNPNGLKFYNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKVECTVPKDDGT >ONIVA07G07020.8 pep chromosome:AWHD00000000:7:5874893:5877958:1 gene:ONIVA07G07020 transcript:ONIVA07G07020.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGSFVPVDLRRPNPNGLKFYNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKVECTVPKDDGT >ONIVA07G07020.9 pep chromosome:AWHD00000000:7:5874893:5876209:1 gene:ONIVA07G07020 transcript:ONIVA07G07020.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGSFVPVDLRRPNPNGLKFYNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKVECTVPKDDGT >ONIVA07G07010.1 pep chromosome:AWHD00000000:7:5870821:5871054:-1 gene:ONIVA07G07010 transcript:ONIVA07G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRWIWRRTDRSGERVSPRATDAGPSLADATASLIGGSGATMMAGGGRGCGGHDACKGRRARRRRGCGRDRPGREG >ONIVA07G07000.1 pep chromosome:AWHD00000000:7:5867662:5868163:-1 gene:ONIVA07G07000 transcript:ONIVA07G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARVNLTVLGLSSLVALLVLIIAVEDVAVVAQVIDYSSMNRDHIPGTPQLNHPGDIANKYTRGSLAKFAMIFARKHCKNVDLLMDVYHKIIYGVLL >ONIVA07G06990.1 pep chromosome:AWHD00000000:7:5865691:5865915:-1 gene:ONIVA07G06990 transcript:ONIVA07G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARVNLTVVVGLSLVALLVLTAVEDVGVSADNEIGYTTMNHDDIPGTPKLLHPGGPANTYTRGCEKEQDCRD >ONIVA07G06980.1 pep chromosome:AWHD00000000:7:5848070:5848528:1 gene:ONIVA07G06980 transcript:ONIVA07G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYGSVILQIWTPFGWTTPAPLKLNLGARAPPNRPSTSDSNIINPCFFQLLHNHHRHLRHVRYVAHVLLPSLMTEAARRFFHAMLMPNYDHTTNTDGFPTTVSYIAIRWTEINPSCS >ONIVA07G06970.1 pep chromosome:AWHD00000000:7:5837785:5842497:-1 gene:ONIVA07G06970 transcript:ONIVA07G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAARKAAARLLSPRASTHPFSAALLLRGRAARGGSLEARLATVPHERASVLRFWVRRRRFHDARGVFDERPTRTAPVWTLTISGCARRGRYADGMRAFAEMLAEGEATPNAFVLAAVVRCCAGMGDVESGKRVHGWMLRNGVHLDVVLCNAVLDMYAKCGQFERARRMFGAMAERDAVSWNIAIGACIQSGDILGSMQLFDESPLRDTTSWNTIISGLMRSGHAADALSHLRRMAQAGVVFNHYTYSTAFVLAGMLLLPDLGRQLHGRVLIAALEGDAFVRSSLMDMYCKCGLLEAAASVFDHWSPLTRDMNFAWSTMVAGYVQNGREEEALDLFRRMLREGVAADRFTLTSVAAACANVGMVEQGRQVHGCVEKLWYKLDAPLASAIVDMYAKCGNLEDARSIFDRACTKNIAVWTSMLCSYASHGQGRIAIELFKRMTAEKMTPNEITLVGVLSACSHVGLVSEGELYFKQMQEEYGIVPSIEHYNCIVDLYGRSGLLDKAKNFIEENNINHEAIVWKTLLSACRLHQHNEYAKLASEKLVQLEQCDAGSYVMLSNIYATNNKWHDTFELRVSMQERKVRKQPGRSWIHLKNTVHTFVAGDASHPQSAEIYAYLEKLVERLKEIGYTSRTDLVVHDVEDEQRETALKFHSEKLAIAFGIISTPSGTPLRIFKNLRVCEDCHEAIKYISLATVYSSSAEKRINRLPHLDEPDILTSTYEPLSSAVGMDYSPFSKAVVRQAVDNLVKASNWIILIHVLPRGADASHKELWKSTGSHMQRHCNFSSASLVQQILTAASLDKSFLTFIDKCIEVCKESREGSHLNPSSGLFQPNEKKDVILSCGWILSHTCTIMAMKKLPWHHGRVNNIINVVGLLFVNDARMATRVLFPFLQAECLDLWAKILNWVIQVQAIVGKM >ONIVA07G06970.2 pep chromosome:AWHD00000000:7:5837785:5839684:-1 gene:ONIVA07G06970 transcript:ONIVA07G06970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLCQKITHLFCKESREGSHLNPSSGLFQPNEKKDVILSCGWILSHTCTIMAMKKLPWHHGRVNNIINVVGLLFVNDARMATRVLFPFLQAECLDLWAKILNWVIQVQAIVGKM >ONIVA07G06970.3 pep chromosome:AWHD00000000:7:5839720:5842497:-1 gene:ONIVA07G06970 transcript:ONIVA07G06970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCAARKAAARLLSPRASTHPFSAALLLRGRAARGGSLEARLATVPHERASVLRFWVRRRRFHDARGVFDERPTRTAPVWTLTISGCARRGRYADGMRAFAEMLAEGEATPNAFVLAAVVRCCAGMGDVESGKRVHGWMLRNGVHLDVVLCNAVLDMYAKCGQFERARRMFGAMAERDAVSWNIAIGACIQSGDILGSMQLFDESPLRDTTSWNTIISGLMRSGHAADALSHLRRMAQAGVVFNHYTYSTAFVLAGMLLLPDLGRQLHGRVLIAALEGDAFVRSSLMDMYCKCGLLEAAASVFDHWSPLTRDMNFAWSTMVAGYVQNGREEEALDLFRRMLREGVAADRFTLTSVAAACANVGMVEQGRQVHGCVEKLWYKLDAPLASAIVDMYAKCGNLEDARSIFDRACTKNIAVWTSMLCSYASHGQGRIAIELFKRMTAEKMTPNEITLVGVLSACSHVGLVSEGELYFKQMQEEYGIVPSIEHYNCIVDLYGRSGLLDKAKNFIEENNINHEAIVWKTLLSACRLHQHNEYAKLASEKLVQLEQCDAGSYVMLSNIYATNNKWHDTFELRVSMQERKVRKQPGRSWIHLKNTVHTFVAGDASHPQSAEIYAYLEKLVERLKEIGYTSRTDLVVHDVEDEQRETALKFHSEKLAIAFGIISTPSGTPLRIFKNLRVCEDCHEAIKYISLATVYSSSAEKRINRLPHLDEPDILTSTYEPLSSAVGMDYSPFSKAVVRQAVDNLVKASNWIILIHVLPRGADASHKELWKSTGSHMQRHCNFSSASLVQQILTAASLGGVGSTLIRRY >ONIVA07G06960.1 pep chromosome:AWHD00000000:7:5834337:5836782:-1 gene:ONIVA07G06960 transcript:ONIVA07G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQCSAAAQRKYFTIKKRVGESRREEVAAAAAEGGEVNQQPEQERRRRRRRIPSFVAMGFFRTSFTLMFGMGCGVYVAQNYDVPNVKKLFNTYMFLAKHIEETYRKPKRDD >ONIVA07G06950.1 pep chromosome:AWHD00000000:7:5828139:5831254:-1 gene:ONIVA07G06950 transcript:ONIVA07G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKTEDRTIKINKPEGDAEATTSQKSACCGS >ONIVA07G06940.1 pep chromosome:AWHD00000000:7:5824030:5826922:-1 gene:ONIVA07G06940 transcript:ONIVA07G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSAKDRDGHTTEPVLGRGDYEAAHQKPSAAAADAAIAAATEGGAAAANAPSRPPPPPRVAKSLPREQPGGRGSGRGAEGSASASPVAVGDREGKKTSTATQGGGHASSSPRRLGEKLARDHPGGGGRGAEGLASAAFPVVDRKGKRKVCAANEGAASSSSPPFERLSGEELGAGAGGRGTEASTSASAAAMVDQDEREVREWPRFALLSNSHLLEIGARCEGHKDLSADAQESTYTVVSSVVRNFSADFSWWSIMGNPMKQTIPNTCTILACAVCIEALHRLEWERLHGPGTFLCRAAAPRKLRRACIRDDILHPEEGVESKKMVLLLKKIKGMGGIRTTNAPPPAPFLLPLKSWRIHRGGELQILILDNHAQTGPSRWIGFEELEKVCVLRVDPLPLDLDQLNPLPVYPISCC >ONIVA07G06940.2 pep chromosome:AWHD00000000:7:5824030:5826922:-1 gene:ONIVA07G06940 transcript:ONIVA07G06940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSAKDRDGHTTEPVLGRGDYEAAHQKPSAAAADAAIAAATEGGAAAANAPSRPPPPPRVAKSLPREQPGGRGSGRGAEGSASASPVAVGDREGKKTSTATQGGGHASSSPRRLGEKLARDHPGGGGRGAEGLASAAFPVVDRKGKRKVCAANEGAASSSSPPFERLSGEELGAGAGGRGTEASTSASAAAMVDQDEREVREWPRFALLSNSHLLEIGARCEGHKDLSADAQESTYTVVSSVVRNFSADFSWWSIMGNPMKQTIPNTCTILACAVCIEALHRLEWERLHGPGTFLCRAAAPRKLRRACIRDDILHPEEGVESKKMVLLLKKIKGMGGIRTTNAPPPAPFLLPLKSWRMYRQKGSLTRERAVHLLRTGGPYIGIIRVSLLYHFIDASVNDELVYRWVPPELRTAADVWLIDALVAGRATDNDICDLISETNGNHVIVCYGYRHRGGELQILILDNHAQTGPSRWIGFEELEKVCVLRVDPLPLDLDQLNPLPVYPISCC >ONIVA07G06930.1 pep chromosome:AWHD00000000:7:5802777:5814527:1 gene:ONIVA07G06930 transcript:ONIVA07G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPASDGVGRRRRRVLMFPIPFQGHVTPMLQLADVLRSRAGLAVTVFHAPVNAPAAAEQSAAEEDYRFVTVGAGVAGEAAALMPTGGSGSDFAGALMRLDALLRAPFDDALRQALLADDEEEAAATCLVVDSNLRGVQEVAERRGVRTLALRTGGACCLVAYMAFPELCGKGVLPPLSRDQLQLDMPLDELPPLRLRDMMFSATTTHGTMATCLERLLDSARCSSGVILNTFDDLENSDLRKIANGLSVPVYAIGPLHKISIGQESSLLTQDQSCLEWLDKQEAESVLYVSFGSLASMDSQELLETAWGLVDSEIPFLWVIRPNSVQGSEQTCLPDGFEEATRGRGMVVSWAPQQDVLKHRAVGGFWTHNGWNSTLESICDGVPMICRPQFADQMINARYVQEVWKIGFELEGKLERRMIERAVRRLLCSEEGKEMRHRAKDLKNKATTCIEKGGSSNTAIDMLVNLIMCILMPRESIVGAESGVNLGEYDVRTNRRSSGILRLIKEQLHAAVAAGAGHRRRRVLFLPLPLQGHINPMFHLASVLHARGFAVTVFHLQPAGVNAPDASLHPAFDFVPVPADGDGDGAGGDYLEATLAGILDVNRRCEAPFRERLAALLEEAAPAGGGDVACLVADAHLLTLMDVARRLGVPTLALRTGSAASFRVFAAHRMLRDMGYLPARESELDAPVTVLPPAPYRVRDVMLTAGFGGHAQDQIYELVSRAVEAVRTSSGLILNTFDALEHDELAALRRDLDVPVFDVGPLHKLSPTAPPSSLLRQDRGCLEWLDSQAPASVLYVSFGSIASVSAGELVEAAWGIANSGHPFLWVLRPGLVRGAAAAAALPDGFDAVTRGRGAVVSWAPQEEVLAHPATAAFWTHCGWNSTLESVCAGVPMLLRPCFGDQPGNARYAERVWRAGLALDGGGGELERGKVEAAIRRLMEEDDAAGMRRRAGELKSRAAECITKAGSSCLIIDKLVNHILSI >ONIVA07G06920.1 pep chromosome:AWHD00000000:7:5789755:5790629:-1 gene:ONIVA07G06920 transcript:ONIVA07G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSCPPSICSHVLSSPTALASLRSSIRSPPKPIQETTANHGHRQRRRWRRRRRGHEHPHHGAGRHRERELALHPGGLHRPGVAPLRRRARARRWRRPPGLRLRRGGPRRVRPRPVPRPRLRLLPLLQHRRALPQADRAHPPALPPPERRRRRIRRQPHGHDQPGGAPRRDPGVGGGLRVRVRVPDDGARQRRAGQARPPRLRRRRRRRVGRRRAARLARAHRHAHLHRHRLLRLHPLAQPPDQQLKRSNASIDFLLVILRDLDDAALLPTRRHCIYNYASVCSLCVLGVTC >ONIVA07G06910.1 pep chromosome:AWHD00000000:7:5783855:5790645:1 gene:ONIVA07G06910 transcript:ONIVA07G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFSCAGARLQQGRVGVGKCRGGGGGGGGAAVVRRSGCCLYPGGRRGLGVRGIRAELPPRACADGGGGATTSGSTVAVPDAGEVADHVKEVGAVAPPSVLPKGERGEVADVDGSGGNGKLPSSGGGGDGDNGGGGGGGDGGDGGDEGDDEFGPILSFDQVVQEVEKRGVSLPSLPADMIEAAKSVGIQKLLLLRYLDMQASAWPLGPAIRSCSLLRNRMLVDPSFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGGGSASPGLLGRVRHAYDSLPSSVFEAERPGYSFSIQQRIGTYFFKGILYGTVGFFCGLVGQGIANLIMTAKRSVKKSDDDVPVPPLLKTSALWGAFLGVSSNTRYQIINGLERVVEASPVAKRVPAVSLAFTVGVRFANNIYGGMQFVDWARMTGCQ >ONIVA07G06900.1 pep chromosome:AWHD00000000:7:5780431:5782510:1 gene:ONIVA07G06900 transcript:ONIVA07G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSRHVDAVAAVLPVLLTILLPSAAAIGVNYGTKGDNLPPPATVAKFLANRTRIDRVKLFDTNPDIVKAFAGTGITVMVTAGNGDIPTLGTKDGAAAWVAANIAPYYPATDISLVAVGNEIINTADNALIGGLVPAMRTLRAALVAAGFRRIRVSTPHSLGILSVSSPPSASRFLDVLDRTFFAPMLEFLRKTKSPFVVNPYPYFGYNGDTIPYALARRPNPGVLDPGTGITYTSMLEAQLDSVFSAMKKLGFEDVDITVGETGWPTKAEPGQAGVSVAEAAEYNRYLIGEASSGSGTPLMPKRTFETYIFALFNENLKPGPIAERNFGLFKPDLTPMYDVGLMKDTGKSSASAPAPAKGGNASGAAVTKRDSESEAAAPADEASAPAPSSVGKKASTKATAPAPSDDGSASPEPSEGESADEKNPEEEEEEEGDDAAATTTPEGDGDSPETEAAGDDAKESEGKNNPHGHGDSSEAISVMFSVPSMLTIALSAILLHL >ONIVA07G06890.1 pep chromosome:AWHD00000000:7:5729450:5733135:-1 gene:ONIVA07G06890 transcript:ONIVA07G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKHRRKKKTEKRYCGERILIFFKDAEPTGNLPEDAQSTNNGEAKKSDDFMSQVASCLNIMRGQQLIREAEWMSLTQSRDWAAFRVPTPFQKLLANCKDALVGHQDQPGTQPRGRPGSMCLLKPVLFNDSGTFDVPRHTATLAQQFITSRTKRWLEDQPMQLRLEISPNDKLMLWARMLMVNPYGRTYLKKVGVLRGIMASIYKYKIDPLLVAAFLTYWNVDGHTLLTSQGEMGYPLHTMYHAMGIPISGRLYEEFIPLSSTVRGHVQTLHNIYVEECPLQLNEGPGIVTIATWVNDFFGDHPVPFQSFLPDGFADPKEPLYEGPNFRVEIRNGRPTGIMCGLEMTYVHTYPLVVYRAAFIAAWLCTYCVPVEEGNFIRPEVFTMAVEIAQGSRQAIGVTSMAFLYRALDNVYHQVAARQASASDCSSFVPGHFIMGWFASPFVPGHFIMGWFASFWRGVPTSATFSCPVMNPPFIIDFRDYESMDIKSAHSLFWDFNDAGTTLRSLDFLGRSSIRFPTSDQEVELFGGLGGRVGTPKQRTAKSVSISPEHQEESAQTEIKTTSPLPTSSANPTEIVRVGPDRSGKVIEKIRACIANPDNVTTHVSAQASQQELLLLPPRNETVLNSSAMTHIDPYSEGLAIPHDDIGTLPTDLLTGDFSDLATLLEGGANPETSLCLDSPIFPGATQKDNVNSHLSEDEETRTEACADTGKGGTSHRASLTGVEELSNESNKLSMDVEQIVAQIPKAPNVDSGAGENSQFKEFHGNNPVTLPKLPADVSARGLKHSEIKAFQELLKQRPIQKDIVLKEISINLDLWSNFFSKPPPEIIRLMEGLRVLKGALSEEAPLPTTKIDHHIDMLRTAQDKVESSCVALAALTSQYNIERAVEEGNKRECSRQAQKIKAEIAMLQAELQQVEDDYSRAQCHQDVVIENLNSHLERHHQAKNRGSEIAAQLEQASVHQKSLQNIVVFTKLDEFGLSQYVYNIFDFFIGCSLDE >ONIVA07G06880.1 pep chromosome:AWHD00000000:7:5714657:5714938:-1 gene:ONIVA07G06880 transcript:ONIVA07G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPFEAHCRHGCPHHHLFAKTVELPRSFRWGDQIRPPLALGWPDLVASDFRVARSGAPKVAVIDPDAKWAKTTPMMEVEARRGDPMNRIAT >ONIVA07G06870.1 pep chromosome:AWHD00000000:7:5705932:5706171:-1 gene:ONIVA07G06870 transcript:ONIVA07G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAWVNLVILGLSLVALLVLTTIPEVAGGRPGGYIDYGAMNKDRIPGTPEFNHLGGSANQYTRGCEKQLHCRGKRRGF >ONIVA07G06860.1 pep chromosome:AWHD00000000:7:5701105:5703167:-1 gene:ONIVA07G06860 transcript:ONIVA07G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVPRPRPPPAAAARSLETGTKLHISNLDPGVTVDDVQELFSEIGELKRYSVNYDKDGKSQGTAEVVFARKVDALEAIKRYDGVILDGNPMKIDLIGNNSETSPMPPTAPLLYNPPFPNYPNRSVSTVP >ONIVA07G06850.1 pep chromosome:AWHD00000000:7:5696398:5697945:-1 gene:ONIVA07G06850 transcript:ONIVA07G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVPRRGGQRGQFHQGNGRPGNSQGIGGGPRGFQGSGRPGSGSQGGGGRSQGKTRGNERSRIQKSAADLDAELDQYHAEAVKEK >ONIVA07G06840.1 pep chromosome:AWHD00000000:7:5690237:5695784:1 gene:ONIVA07G06840 transcript:ONIVA07G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT2G06530) TAIR;Acc:AT2G06530] MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMKLDNLPMKWWKVVDEQFHFAAKNGQGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMGQMNRQLNLPGLQRIMMEFERQNERMEMTSEVMGDAIDDALEGDEDQEEETEELVNQVLDEIGIDINQELVKAPSAAVAQPAAAGKVAQAESAGGNGDGGIDADLQARLDNLRRM >ONIVA07G06830.1 pep chromosome:AWHD00000000:7:5673271:5674654:-1 gene:ONIVA07G06830 transcript:ONIVA07G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQHQAHHGHHQLHQSVEANQLPMTSVSLLLAPRSPDMAAAGILPVSGGGGASSARPASMAERARMAKIPQPEPGLKCPRCDSTNTKFCYFNNYSLTQPRHFCKACRRYWTRGGALRNVPVGGGFRRNKRGTKPSNSKKPAAAVAGGVMAPPHAQLQLPFGFDGGGGGGGHGSIIGGGGGGGASRLGFPELSSLHAAAAVDYQLGGGGGGDGLGLERQRLPHFPFLARSNAAVHPLPLMSTAAGISYPFGDVAAGGLGGDMPANAASVAGSAGLITQMASVKMDDIDNHPPPSAATTTASSPIEFLGLRGSLQFWGGGGGHRDGGDGAGGSAAPGGGGGGWSDLPAFDLSTSGNIL >ONIVA07G06820.1 pep chromosome:AWHD00000000:7:5663561:5664374:1 gene:ONIVA07G06820 transcript:ONIVA07G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNGTVQEECMRWRAVEDVKYKSKPRTALFQGREGDEPMTHQDVHGDMTSDNSIIVAGNSLISSGFQFKAIYFDEKYGKNMEKFTSAGLSSNIFFRGVNFHKKREEKKQRKYIQIGCIQVDVT >ONIVA07G06810.1 pep chromosome:AWHD00000000:7:5624988:5625213:1 gene:ONIVA07G06810 transcript:ONIVA07G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASATSSGVGHGQRRTWTPATSLAWSVVEEDAAASDELWHRTWMAEDVAAPVMISVMGCRAATTEL >ONIVA07G06800.1 pep chromosome:AWHD00000000:7:5617717:5618559:1 gene:ONIVA07G06800 transcript:ONIVA07G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPLFSFLVLFQPVLPHCAARQRVQRLSPPPFRLHVQGDVPQLKEICSSLATSKELVKALVGIWGPDNGLNPSTASLLSALCAELDLARAHVRHLATEDRRHGDETARMRAQLVEEAREWRSRQREKVEAMVRVAAAELDGEQRSRRRAERVNAKLGKALADAERELAASRRELERERRSRERLEKVCDKLVRGGLACGVDDVRGGEEEVRREAQRGAGGAREREGDAAPRRRAPQAFRCAATKAFPPAAPLTATPSSRSRPRCCHLRRHGGTADQLR >ONIVA07G06790.1 pep chromosome:AWHD00000000:7:5613797:5616856:-1 gene:ONIVA07G06790 transcript:ONIVA07G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVSPAPRTVEDIFKDFSGRRAGLVRALTVDVDEFYGFCDPEKENLCLYGHPNGRWEVALPAEEVPPELPEPALGINFARDGMHRRDWLSLVAVHSDSWLLSVAFFFGARLNGNERKRLFSLINDHPTVLEALSDRKHGRDNKSGADNGSKSRHSGKRANDVQTKTSRPAVVDDGYDEEEHSETLCGTCGGRYNANEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSSKKSRQ >ONIVA07G06780.1 pep chromosome:AWHD00000000:7:5611672:5612193:-1 gene:ONIVA07G06780 transcript:ONIVA07G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRQSSSSSSGSWSSRSGAEATDGERRTGRRWQSSSSGGRRRQIWPLSPSLSLPWKVAAATPEAADPAPPWPDLAPRRMEWRRPRRIETVVVPAVAASTMAPSFFTALADAAPSSPSLLLSDSEQPHRGDGGGISGGCIDDGAVAFFTALATSLLALPSSLRRQAAPSPRP >ONIVA07G06770.1 pep chromosome:AWHD00000000:7:5604795:5608444:-1 gene:ONIVA07G06770 transcript:ONIVA07G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDEAEGLEARLLLLPPEAAAEEPTRCGGGDGGGGGRKRKKTYLDVLGVCCSAEVALVERLLAPLDGVRVVSVVVASRTVVVEHDPAAAPESAIVKALNKAGLEASVRAYGSSGVVSRWPSPYIVASGVLLTASFFEWLFPPLQCLAVAAVVAGAPPMVRRGFAAASRLSLDINVLMLIAVAGALCLGDYTEAGAIVFLFTTAEWLETLACTKASAGMSSLMGMLPVKAVIATTGEVVSVRDVRVGDVVAVRAGEIVPVDGVVVDGQSEVDERSLTGESFPVPKQPHSEVWAGTMNLDGYIAVRTTALAENSTVAKMERLVEAAQNSRSKTQRLIDSCAKYYTPAVVVVAAGVALIPALLGADGLEQWWKLALVMLVSACPCALVLSTPVASFCAMLRAARMGIFIKGGDVLESLGEIRAVAFDKTGTITRGEFSIDSFHLVGDHKVEMDHLLYWIASIESKSSHPMAAALVEYAQSKSIQPNPENVGDFRIYPGEGIYGEIHGKHIYIGNRRTLARASSPQSTQEMGEMIKGVSIGYVICDGELAGIFSLSDDCRTGAAEAIRELGSLGIKSVMLTGDSSAAATHAQGQLGGVMEELHSELLPEDKVRLVGGLKARFGPTMMVGDGMNDAAALAAADVGVSMGISGSAAAMETSHATLMSSDVLRVPEAVRLGRRARRTIAVNVAGSVAVKAAVLALAAAWRPVLWAAVLADVGTCLLVVLNSMTLLREEWKGGAKEDGACRATARSLAMRSQLAADSQAPNAADAGAAGREQTNGCRCCPKPGMSPEHSVVIDIRADGERQEERPAEAAVVAKCCSGGGGEGIGCGASKKPTATAVVAKCCGGGGEGTRCAASKKPATAAVVAKCCGGDGGEGTGCGASKRSPPAEGSCSGGEGGTNGVGRCCTSVKRPTCCDMGAAEVSDSSPETAKDCRNGRCCAKTMNSGEVKG >ONIVA07G06760.1 pep chromosome:AWHD00000000:7:5593066:5597097:1 gene:ONIVA07G06760 transcript:ONIVA07G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNTTTTATVLLAAAVALLLATAARGDGGDGGCGKEDAAAGRDQARARGLKIAAFFSILVCGALGCGLPSLGRHVPALRPDGDVFFLVKAFAAGVILATGFIHILPDAFDNLTDDCLPAGGPWKEFPFAGFGAMVGAIGTLVVDTLATGYFTRAQSKKDAAAAVADEEKQSAAATTQQHNHHYVVGDGGGGEEHEGQVHVHTHATHGHAHGSSALVAAVGEDDKETTLRHRVISQVLELGIVVHSVIIGISLGASQNPETIKPLVVALSFHQMFEGMGLGGCIVQAKFKVRSIVTMVLFFCLTTPVGIAVGVGISSVYNESSPTALVVEGILNSVAAGILIYMALVDLLAEDFMNPRVQSRGKLQLGINLAMLAGAGLMSMLAKWA >ONIVA07G06740.1 pep chromosome:AWHD00000000:7:5572077:5572675:1 gene:ONIVA07G06740 transcript:ONIVA07G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGKRWPVALQAKALVQASLPQHLLQINAEEMSGPWRTRWTSWGCLTSIGLSTHLGVLLYSPLHDGDTVCDVYDNEAVTTATVTSIFGSVAGSSSYRGLNGQTWCCLGSSLHLHRFVFLLSLA >ONIVA07G06730.1 pep chromosome:AWHD00000000:7:5562314:5566332:1 gene:ONIVA07G06730 transcript:ONIVA07G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLVALLLISSPKLLAAQQQLPFCSSSSSNANTLAYMAEGTYKTNLLNLAKDLIANVTKTGSHSATGATAGTTGPDIVYGAALCRGDSTNCSSRLQRVLHLTASISNNGSTSSESDSQSQLQKSVTLYDHEFQALLSFSDMDFISNFSNAPECIVSAYLNLQTDADHAIPARFSELFSELMEAITNSMIISEQESYSTGQGWFNLSGRTVTVYGLAQCTDRMQPERCRTCLDSITAQGKGMVGNGLTVGVVLGVRCSLWYQTDIKFFAGEPRVVLPPYTPTEARFKLRLISMAIQNVINLWRIEEGNSGFSLYNFSQIKEATQDFSRENKIGQGGFGSVYKGLLPGGLEVAVKRLSACSVQDSVKGAQLTWSKRLHIIDGIAQGILYLHNYSQLCVVHRDLKASNILLDSDMTPKISDFGMARIFYSNTIESNTTRIVGTLGYISPEYIFDGVCSIKSDVFSFGVLVLEIISGKRTSGFYPYDGKLNLISYAWLLWRSGQGHELICCCIENNHESIQRCIQVALLCVQERADDRPCIDQVVTMLNSEGMTLPEPNQPAYFYVRSSGISDVLSYDSN >ONIVA07G06720.1 pep chromosome:AWHD00000000:7:5544402:5548372:1 gene:ONIVA07G06720 transcript:ONIVA07G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MADEESSDFTFCKIDFEGDGGLEFPKAIPVASTPGDAGADNLKTKKIEGNMQANNSIKDQTSNSISSSINRVSLEDSNGKESVLSRENTQSNLLSQPKSSKKPAARAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQLNRRLISLEEVKQHKTGDSIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDSTALFNKYHAWVNFEFLLEKCLVGFLDPNE >ONIVA07G06720.2 pep chromosome:AWHD00000000:7:5544394:5548372:1 gene:ONIVA07G06720 transcript:ONIVA07G06720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MADEESSDFTFCKIDFEGDGGLEFPKAIPVASTPGDAGADNLKTKKIEGNMQANNSIKDQTSNSISSSINRVSLEDSNGKESVLSRENTQSNLLSQPKSSKKPAARAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQLNRRLISLEEVKQHKTGDSIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDSTALFNKYHAWVNFEFLLEKCLVGFLDPNE >ONIVA07G06710.1 pep chromosome:AWHD00000000:7:5533640:5538433:-1 gene:ONIVA07G06710 transcript:ONIVA07G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPFFPPPLRFLYIGSAPPLRKLPTPTNQIEGNITSWYHQARSRTMERDDQEVQECKG >ONIVA07G06700.1 pep chromosome:AWHD00000000:7:5526480:5530354:-1 gene:ONIVA07G06700 transcript:ONIVA07G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKTASQDYLPIPVAVTKASRLKHGRTLKLMTAHGLKIRVKVAEAHKAAKALINNQSSSAPSFSTKSTAPRHPSFTNVEANTKRIVKDMCCYNKRMKLSSEVKNYVRDIAQFLDYSSKFYIVTMKNIHEVRQGGKIFHLQSIYSLEK >ONIVA07G06690.1 pep chromosome:AWHD00000000:7:5517775:5522399:-1 gene:ONIVA07G06690 transcript:ONIVA07G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRRSSVPLPLPDPSSSRSETSAVRSEGDAAEEKSEEQRPGRRRRKRKQRGPTRPTIALLTEEAKQRLLRAEGQTSPGTTVSPFAPAPRRPQYPPFPEDGNVEDVRKWNDECHEVKRDKDIPIKTEPKDPYTTEAIQSSRDKVVVLQAARAIVSISHIMDDGQRRPQCTGIIIKQWSDDTGHHHATIVTYSRIVCEAGRKRDPLPKLSVCLPNKKTVLDAELIYFNDHYDIALLHINLEVTMELPSFGRGPEYGQEVFVLARDGEASLRARRGDIQWLEESDILGRDHYMFLSCDIPEGGNGGMVIDNDGVVRGMAIYCSPYPAVTSISTIVKCIDMFMQFNQVARPLFGIGVRTIALLDVQLQEDISDFGIKGGFLVDRVYNPVAEDLGIKRGNVITSINGKGALTLPELEDYLLLLGWGYLEDKLNCIKDIKLRVCDLKSGVEIDVTLPVRFYDKSERSSLDVLLVFCHAADTSCKVGRLL >ONIVA07G06680.1 pep chromosome:AWHD00000000:7:5514011:5517838:1 gene:ONIVA07G06680 transcript:ONIVA07G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAPDIWHWTRSLPNPKHWRGESYYLQICNSPSTNQSLNLIISWHSETQSFNLSYSICAEHHDPVSLWSSHYSRLKSVNDSDFAIHFFHDIICGVLRYGPYSNKMSPFRLPNVQVSEDTGKIFNLAALTLALMVCIYEAPSTLRRDLIGTVSAQLIRGDMWGAAKKLMLAMGSDMEEQWMRSLNLAVTNWIIETRRSGGTPVSPFTVFSYAVSAIRLWKVELYCPVVAMIMEHPAHQTKDEKLQFSLNYQHLEAVIQFIYRVTFRENWIDVTVNVDNIRCDLIQLVSETLMAKQGYGSDEKHFPSRISLQLTPLVQTDILSLTVSRSTDNPAQEVDTEMGLDATLSAAPATIGITMSAHETVTRTLRPWKFEHSVHGNTAALNWFLHGGAEGREVFSSEPHKRELLQPRSWFRNRYTNPGRPFTRGGGVIFAGDEYGESVCWRMPAAAAGKTVEWEMKGRIWVTYWPNKKRTLHVETRRVEFRELLRLTIRE >ONIVA07G06680.2 pep chromosome:AWHD00000000:7:5514693:5517838:1 gene:ONIVA07G06680 transcript:ONIVA07G06680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAPDIWHWTRSLPNPKHWRGESYYLQICNSPSTNQSLNLIISWHSETQSFNLSYSICAEHHDPVSLWSSHYSRLKSVNDSDFAIHFFHDIICGVLRYGPYSNKMSPFRLPNVQVSEDTGKIFNLAALTLALMVCIYEAPSTLRRDLIGTVSAQLIRGDMWGAAKKLMLAMGSDMEEQWMRSLNLAVTNWIIETRRSGGTPVSPFTVFSYAVSAIRLWKVELYCPVVAMIMEHPAHQTKDEKLQFSLNYQHLEAVIQFIYRVTFRENWIDVTVNVDNIRCDLIQLVSETLMAKQGYGSDEKHFPSRISLQLTPLVQTDILSLTVSRSTDNPAQEVDTEMGLDATLSAAPATIGITMSAHETVTRTLRPWKFEHSVHGNTAALNWFLHGGAEGREVFSSEPHKRELLQPRSWFRNRYTNPGRPFTRGGGVIFAGDEYGESVCWRMPAAAAGKTVEWEMKGRIWVTYWPNKKRTLHVETRRVEFRELLRLTIRE >ONIVA07G06670.1 pep chromosome:AWHD00000000:7:5510518:5510874:1 gene:ONIVA07G06670 transcript:ONIVA07G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKTTRAEDAGADVDAQLPARRVAAAAEPKRAPGADAYAAARYRLFRNNFVAAAVAVALCLGALVHTILCRDDPTVVPRYVLPLLVMCCATAEVVRNYVQMYGWSVPMGVARFRMK >ONIVA07G06660.1 pep chromosome:AWHD00000000:7:5506895:5507641:1 gene:ONIVA07G06660 transcript:ONIVA07G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTTVEVSDDGDHPVLRDDERGIPRSLSLLAAIVEADAARHAAAATRPAESDLVRAFRGGATPSVAIGEFLERIHAFVRLESVRHDIQLQATCYVLAGIYLTRFLGSAAAVEAGIRVDPSTAHRLVAAAVFVGAKFGNTSDMLPTRWTSVFETSSDAAIRAGEMAGLERRFLRAVDYRLFVRSDRFGWFCGAMEQALHRSVSRSRKRTAAEAVGGEEGEDERRRRRRHSIVGAFLPPLPAVAAN >ONIVA07G06650.1 pep chromosome:AWHD00000000:7:5500563:5504304:1 gene:ONIVA07G06650 transcript:ONIVA07G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIVFAVICKIGSILGNNVAETLRAQLGNKVTILLHIESQVKMIESELKMMKSFLHDVQGKTRYNRQTVTYLQEIQTLAFETEDILDEFASLFGRHQAESAKKLFNCFQKPNVSCWHKIANNLKDVQTRLDKLREAKLQYDISLVEENAVPGEDEEHFRLLVPYQLDPNDIVKMSVEMSSRKEEIQRLLTGEEACMGVISVVGLGGSGKSNLAKAIYEAKKIKEYFDLRVWIKISWRYQLKDILRRMIQDALKETCPMDLFNKSIEDLICITKRTFCQWRYLIVLVDVWHPRHLHCLTQILGETSSGGRVLATSRQTTVGEHLSFSYSIPMSCLSEEESWCFFQKWAFKNRGSGNFVREVEVLARQILSRCHGLPLAIMVVSSLLSCKRSLREWEIFRDRLNWELDDDVHVSGLPWVARMISLSYHRLPSKLKYCLLHCSLFPEECFMRRKRLIRLWVAEGLIEPSGSRTLEDTADDYLNELISWCLLNVVETNVFGRVKQCEMHGFMRNIALSESCKDKFCKVYENSTGRMSNGEFRRISIHEYDDQLQLSTHIRHLRSLYQFDVSVDMPMISLLKSAKYLRVLDLQGCSVTDLPEFIAKLFNLHYLGLRGTNIQKLPRLIGRLHNLQTLDITSTKIGKLPIAIIRLRKLRHLIMGKRIGLYPRVVDRWDAVEIPDGPWELLELQTLKIISASIVLVQQLGKMAQLRTLRIGNVKRMHCEPLFSSIDSMHFLRKLEVLSDPGDFIDLGALTCPSHHLEKLLLNGRLQDIMLESPLFKQTANSLKLLSLENSMLNADPLPQLSCSCNLVALTLSNAFAGKQLHFHDGWFPMLQQLDLSDLCNVELITIEEHSIKKLSELSLSKMTGLKEVPNGIAFLTSLQKLSLVDMHQEFMKNIEGAAGAELQGVALVSMKVDCRDKLKEEESEETCHGHYTYGDLGVKRFGTKGRFFEILILVSQAGGPVAYLILKTS >ONIVA07G06640.1 pep chromosome:AWHD00000000:7:5492973:5493310:1 gene:ONIVA07G06640 transcript:ONIVA07G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDPCGNTFGQTKFHRKFTSFIAWRLAFYVGRIGEPTQQTPPRDSEHLSLSGGCRTKKSSIIQDQNGFLLLIVEWTHKQEN >ONIVA07G06630.1 pep chromosome:AWHD00000000:7:5490895:5491746:1 gene:ONIVA07G06630 transcript:ONIVA07G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTTMEVSDDGDHPVLRDDERGIPRSLSLLAAIMEADVARHAAAATRPAESDLVRAFRGGATPTVAIGEFLERIHAFVRLESVRHDIRLQATCYVLAGIYLTRFLGSAAGVEAGIRVDPSTAHRLVAVAVFVGAKFGNTSDMLPTRWTSVFETSSDAAIRAGEMAGLDGASCAPWTTACSSAATGHRRVEKTTEGWPALELDRLELAAVCRSSFTEF >ONIVA07G06620.1 pep chromosome:AWHD00000000:7:5479331:5480254:1 gene:ONIVA07G06620 transcript:ONIVA07G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTTVEVSDDGDHPILRDDERGIPRSLSLLAAIVEADAARHAAAATRPAESDLVRAFRGGATPTVAIGEFLERIHAFVRLESVTGGWRSTTEGWPALELDRRRLLE >ONIVA07G06610.1 pep chromosome:AWHD00000000:7:5473601:5474441:-1 gene:ONIVA07G06610 transcript:ONIVA07G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAAIAGNEWWNPNFFREQFIGESVKYDVSKCAIIFVPMCEMSHWKCYTFKLRERVVQILDPKKSVRGNDIHAENNHQWNGPILAKAMQECLSMFFHDWTDDVTKWEQTEPNVPPMHFGADSGFNTLVYMKNWNGFKCVGGLNPESINNIRADIVMDIMAMKANTATLPDVVSQLMKQYNSAVSQ >ONIVA07G06600.1 pep chromosome:AWHD00000000:7:5464550:5464996:-1 gene:ONIVA07G06600 transcript:ONIVA07G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMNTGARSEKIDQSLQKYDHPRCRWHILFGTKSELHNLYHARTASDFEDTWTALVNEYGLQEENAYLQKAQMLIDDETKPNDSQEIDTDRKDVKREVEAPKLIISRHSDDKFSS >ONIVA07G06590.1 pep chromosome:AWHD00000000:7:5459900:5460517:-1 gene:ONIVA07G06590 transcript:ONIVA07G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGYTWQELLMGVLDPGVVVGPEFGGDSIFVVAPDGVVEPVAASVAPYNPTDVVDWDKLEILAPNDDEGRLEIVRDERFYELLGLRAEDEQANMARQAAGGDGVDAAAANGAGAAAGSDRAGAAAGGVGDRDGVAADGDECWNNNKIPDPVYTK >ONIVA07G06580.1 pep chromosome:AWHD00000000:7:5452594:5455579:1 gene:ONIVA07G06580 transcript:ONIVA07G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAPLLAARGEEEVVEGRRRGGGGGATSAQTLGNVVVSIVGTGVLGLPYAFRTAGWVAGSLGVAAAGCATLYCMLLLVDCRDKLEEKESEETYHGHYTYGDLGEKCFGTIGRCLTEILILVSQAGGSVAYLIFIGQNLHSVFSQLMSPAAFIFAILLPVQIALSFIRSLSSLSPFSIFADVCNVLSMAIVIKEDLQLFDHPFANRSAFNGLWAIPFTFGVAVFCFEGFSMTLALESSMAERRKFRWVLSQAVVGIIIVYACFGVCGYLAYGEATKDIITLNLPNSWSSAAVKVGLCIALVFTFPVMMHPIHEIVEERFQSSGCFQKLSHKVRGAEWVGLHSSRIVMVTILSVVASFIPAFGSFISFVGSTVCALLSFVLPTIFHLSIVGSSMSPWRHWVDYGFLLFGLGFAGYGLITALFSH >ONIVA07G06570.1 pep chromosome:AWHD00000000:7:5436150:5439110:-1 gene:ONIVA07G06570 transcript:ONIVA07G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMAPMLPAPAPMAAGPTEPVVVVGPQYCAPYVVPLTVTKKSISLTDGDFTVTDANDNVVLNVKGTLFSVRHRRVLHDAVGQPLLSMQEKILSMHNRWEVYRGDSAHSCDKLFTVKKSSMLQLMKTEMDIFLAGNTAEQVCDFKIKGSYFDRSSAFYLGKSNTIIAQMNRKHTAASVVLGRDVFSITVFPQVDYVFIAALVAILDDINRKFSDLSDMIFVGSKFSVTVFPHVDYVFVMALVVILDEIARDIRRGAVIQISTSQRPGRSTR >ONIVA07G06560.1 pep chromosome:AWHD00000000:7:5433354:5433860:1 gene:ONIVA07G06560 transcript:ONIVA07G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAADRGAAGGASSFRVYYSLGAAGTVPFVWESKPGTPKSTVVPASAADGGYAMPPISPPPSYSYQWSSCNSDQPPPPSKAKKCRRRLSTSSSSCSWASSGGWMSWLTSFRRRQRWLPPSPGKTTYRRRWLGQDGGVAVDDVVVVRRSPPRRAVPCFGAGKVHW >ONIVA07G06550.1 pep chromosome:AWHD00000000:7:5425169:5425495:1 gene:ONIVA07G06550 transcript:ONIVA07G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGEGHGFTVDWWAVGVLVYEMAYGWTLFNGKNRKETFQNVLLKDVEFARGSQRRLPELTDLISQLLERDPKTAPTRSEHPFFASVSWDMLEVVSRPPYIPPPADDD >ONIVA07G06540.1 pep chromosome:AWHD00000000:7:5422807:5424510:-1 gene:ONIVA07G06540 transcript:ONIVA07G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Excinuclease ABC, C subunit, N-terminal [Source:Projected from Arabidopsis thaliana (AT2G30350) TAIR;Acc:AT2G30350] MAAAAKGKRRPRKAAAEAVDGQEEACRGGGGAAEAAKGKKRPRKAAAATATAAAAEGEAGRGGGGGGRFFCCYLLRSLCPRRKGSTYIGFTVNPRRRIRQHNGEIRCGAWQTKRGRPWEMVLCIYGFPTNVAALQFEWAWQHPTESLAVRKAAASFKSLGGVGSKVKLAYTMLNLPSWENLDLTVNFFSTKNTKFAAGCPPLPGHMKMAVCSLKDLQYCTDGISSEEDNNVDEPPPKKNQEPDAHAPVRDELSVSEHGLVQLPEEEIRSAGNESDYDDFAPIDWSVFGAAARGSDESSEHDEWIGQEDHLLSEARPLEHETRTAASAVGDAECSTDELGYMSWSGIHETTRELDGSAASPRCSSGLSSDDEGGRILDGVSGQISSPFPQVWRSSSSDEGELAPLFLEKDVIDLVTPIALRLGRKGGGEMARIVDLTSSPIVIEL >ONIVA07G06530.1 pep chromosome:AWHD00000000:7:5410381:5419303:-1 gene:ONIVA07G06530 transcript:ONIVA07G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTGAINTLLLKFAKLLVGEYKLQKGVKKEIESLQKELISLGAALRKVSEVPVDQIDEQVKIWARDVRELSYDIEDSIDTFMVVAHHQLAREIKDIKKLLEDASKRHERYKIDDAVIARRSESIDPRLGAMYRKETELVGLDGPKNVLVKTLMKDDGKLRQHQDIISIVGFGGLGKTTIANALLHELKVKFDCHFFALVSNKPDIRNILKSILHQLDNKADIFEGLADFQLINKIREFLQNKRFLCVIDDIWEESAWDVIKLALPDGDHGSKIITTTRKMAVAKCVGGAIFELKPLSYDDSYKLLSKRVFDTEDGFPLELSEVTRSILKKCGGLPLAIITIASLLASKPMQIQEWEKVNNSIGLGLGNNLDVDNMRKILSLSYYDLPPHLKTCLLYLSKYPEDTIIRKDILIWSWIAEGFITNEGEQHGKSLQEIGEAYFNELLNKSLIQAVDIGSICDRDGQVHACQVHDMVLELTNMLSAEEGFVTLMPSDDKEEGILVPAVPKKVRRLSYSYRFRNSTNVYATAHARGSLSQVRSFTAIDDVRSLPPLTIFRVLRVLILGNCLRLEDKHLKDFGKLYNLRFLRLGNIRKLPKCIGNLQFLQTLDIRDASSVIQLPPSFVRLRQLVRMIAHRVRLPYDLTLENMKSLQELQCVGLTENLMQGLCDLTGLRILNLLLSSDDDCDIFREAFINSLQKHNGLQQLTLKTPGRFSLDFMQGAPCCLQRFVSNGLFYRGFPQWVNSSLSCLTILSIKLDNYMKQEYLVTLAELPSLRFLRINAFDAVEMKDVKVEDKKRRLIIYSGACAFRCLQEFHFYCKRMGLSFEPRAMQELRRLCLQFSVQRTIDALGEIDIGLENLPSLQHVFVDLGRSETPGEEIQEAEAAIRKATNDHHNNPSLDFGSVDAMLSSRMRLRIH >ONIVA07G06530.2 pep chromosome:AWHD00000000:7:5410381:5419303:-1 gene:ONIVA07G06530 transcript:ONIVA07G06530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTGAINTLLLKFAKLLVGEYKLQKGVKKEIESLQKELISLGAALRKVSEVPVDQIDEQVKIWARDVRELSYDIEDSIDTFMVSAGKDGHPVAKLFSFKGMIDRTNNLFKKVVAHHQLAREIKDIKKLLEDASKRHERYKIDDAVIARRSESIDPRLGAMYRKETELVGLDGPKNVLVKTLMKDDGKLRQHQDIISIVGFGGLGKTTIANALLHELKVKFDCHFFALVSNKPDIRNILKSILHQLDNKADIFEGLADFQLINKIREFLQNKRFLCVIDDIWEESAWDVIKLALPDGDHGSKIITTTRKMAVAKCVGGAIFELKPLSYDDSYKLLSKRVFDTEDGFPLELSEVTRSILKKCGGLPLAIITIASLLASKPMQIQEWEKVNNSIGLGLGNNLDVDNMRKILSLSYYDLPPHLKTCLLYLSKYPEDTIIRKDILIWSWIAEGFITNEGEQHGKSLQEIGEAYFNELLNKSLIQAVDIGSICDRDGQVHACQVHDMVLELTNMLSAEEGFVTLMPSDDKEEGILVPAVPKKVRRLSYSYRFRNSTNVYATAHARGSLSQVRSFTAIDDVRSLPPLTIFRVLRVLILGNCLRLEDKHLKDFGKLYNLRFLRLGNIRKLPKCIGNLQFLQTLDIRDASSVIQLPPSFVRLRQLVRMIAHRVRLPYDLTLENMKSLQELQCVGLTENLMQGLCDLTGLRILNLLLSSDDDCDIFREAFINSLQKHNGLQQLTLKTPGRFSLDFMQGAPCCLQRFVSNGLFYRGFPQWVNSSLSCLTILSIKLDNYMKQEYLVTLAELPSLRFLRINAFDAVEMKDVKVEDKKRRLIIYSGACAFRCLQEFHFYCKRMGLSFEPRAMQELRRLCLQFSVQRTIDALGEIDIGLENLPSLQHVFVDLGRSETPGEEIQEAEAAIRKATNDHHNNPSLDFGSVDAMLSSRMRLRIH >ONIVA07G06520.1 pep chromosome:AWHD00000000:7:5404904:5405546:1 gene:ONIVA07G06520 transcript:ONIVA07G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGGDSVRSTGTGTGRDGTGRRLPSPAQLADGDGDRHISSIRSSGRSLPPFSFSHSMKHTDWRSTSPALCCLSLSPTHQFLKRVLIRQRLNGIHLNTFDFFRAKHDFCFVLQLLIRIVLVFMIVKSNSVAH >ONIVA07G06510.1 pep chromosome:AWHD00000000:7:5403417:5403737:1 gene:ONIVA07G06510 transcript:ONIVA07G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLASPPPLSPPLPHLAAAGELSGQSGWRRARPNLGGAPSLRVEGGLAHEEEVDDAAWRCRIRRHRGQIRFPRGGGSGASTRGSDWCKQLKQRRWEAEAAGGSW >ONIVA07G06500.1 pep chromosome:AWHD00000000:7:5401210:5402890:-1 gene:ONIVA07G06500 transcript:ONIVA07G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HYC9] MAEETPVEAPPAPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHSVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEESEGLNIVQDYVKSH >ONIVA07G06500.2 pep chromosome:AWHD00000000:7:5401210:5402836:-1 gene:ONIVA07G06500 transcript:ONIVA07G06500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HYC9] MAEETPVEAPPAPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHSVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEESEGLNIVQDYVKSH >ONIVA07G06490.1 pep chromosome:AWHD00000000:7:5397362:5400143:-1 gene:ONIVA07G06490 transcript:ONIVA07G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent glycerol-3-phosphate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT2G40690) TAIR;Acc:AT2G40690] MAAAAAATFLPHTPTPRRRLAVAVHSPTRRRLSLVFSGPPDGALSVAAAEEKADAGEEAAAAVSAPRGGGGGGGKERRRVVRKAWEKLVRWSRSWRRRNRSDVVETTRKVVVLGGGSFGTAMAVQVAAKKADLEVSMLLRDDLVCRSINHSHINWLPENITATTSASDALAGADFCFHAVPVFRTVHYKSLFSAMGVPFPLVTLEFSSSFLEGISTHVDPKLPFISLSKGLELNTLRTMSQIIPQALGNPRQPFIVLSGPSFAIELMNKLPTAMVVASKDKKLAAAVQQLLASPNLRISTSNDVTGVEIAGALKNVLAIAAGIVEGMHLGNNCMAALVAQGCSEIRWLATKMGAKPTTLSGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDEIMNSMNQVAEGVSTAGAVIALAQKYHVKMPVLTAVARIIDNELTPKKAVMELMNLPQVEEV >ONIVA07G06490.2 pep chromosome:AWHD00000000:7:5397362:5400143:-1 gene:ONIVA07G06490 transcript:ONIVA07G06490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent glycerol-3-phosphate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT2G40690) TAIR;Acc:AT2G40690] MAAAAAATFLPHTPTPRRRLAVAVHSPTRRRLSLVFSGPPDGALSVAAAEEKADAGEEAAAAVSAPRGGGGGGGKERRRVVRKAWEKLVRWSRSWRRRNRSDVVETTRKVVVLGGGSFGTAMAVQVAAKKADLEVSMLLRDDLVCRSINHSHINWLPENITATTSASDALAGADFCFHAVPVQFSSSFLEGISTHVDPKLPFISLSKGLELNTLRTMSQIIPQALGNPRQPFIVLSGPSFAIELMNKLPTAMVVASKDKKLAAAVQQLLASPNLRISTSNDVTGVEIAGALKNVLAIAAGIVEGMHLGNNCMAALVAQGCSEIRWLATKMGAKPTTLSGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDEIMNSMNQVAEGVSTAGAVIALAQKYHVKMPVLTAVARIIDNELTPKKAVMELMNLPQVEEV >ONIVA07G06480.1 pep chromosome:AWHD00000000:7:5392714:5395978:-1 gene:ONIVA07G06480 transcript:ONIVA07G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAMERELLEAFEAARKAADAVGEAAAAAGAGEGESPEAARCVDALRRLRGARVTTAALVSTQIGRRIRYLTKHPHSSIKTTASDLLGHWKKVVIEEDKKNGALQNGKSSSTVVKVEKVEPMKVEKASPRATVNNNNMDTRVVNHKGGKVEKFSNAELRTQSIKVEKVQKVVHKVSSVEKPSPVQGGPPRLTSVVKCGDASRDRIRAILGDAFSRVSEETRKDDREEVRNIIEEVKACDPFRIAVMVECALFQKLGNFNGPNKQRYRSLMFNLKDDHNTDFRRRVLLGQVQPERIADLTPTEMASDTRKLENKKIEEKALFECERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >ONIVA07G06470.1 pep chromosome:AWHD00000000:7:5382634:5383548:1 gene:ONIVA07G06470 transcript:ONIVA07G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNVVVLPTVVSRSCHLTVVVEPFDKVVEIKQKVESCYGIPNRELADDHDIEYYPIFDGSHVLLLPHWQVAARFCWIHGLAKWSGGDRMHDTVHVTAYLPPASWGRKVTVFASREESVAALKLRIHGAQKVDMPLPECMWLGIDDFVCGSLMVMMDHWPLGAYVEFDSSVVEVTIVDCKKMVEAGSSSGSNRNTNVDANNNKIVIGLLMEGSRSQHMDFLLEASPADMVATLQEQLNDNFEGDTETPLLAEGDYHFELNGVAMNEELSLEVHGVVESGETIMIISRRLPTPGRE >ONIVA07G06460.1 pep chromosome:AWHD00000000:7:5371696:5374324:-1 gene:ONIVA07G06460 transcript:ONIVA07G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGYDLLTALPDDVLRLLLARLPSTAEAARTSVLSRRWRSLWTNLPQLRFADVTDLARVAAVLRHHSAPVLLLRIESSDPAPEKIAAVLDLVAPRLQGKLHFDIITPGNQNSAAGAAGIGAAIRIPCFEKATEVTIRLLRGIGIRLPPPSGVFARLTALSLSHCRLDDQSQRDLGGAVSSEGCPSLRELRIRDADVVSNLAIRSESLRLVQLSRLEGIRQLTISAPALTELDLYACLLWGMPMVDILLQRWGHFVGAMPNVHTLAVFVMVYGYVSHSLPLLQYFTEARDVRLSLVYSSISSNDKLLVEAVKDELPAIEILFLRMSPSIRELKLQLEDHTESQYRYFPPWLQPTIYKFDSTVTNAALSRKVPCSSGCICYKPRDWETMNLSLVFLQKVEINNLSGAECQIYFVKRLLRWTPVLKTITLSFDPSVTVSEELSKELLSFSTPEICMEIYLHHDGARVKYSAAN >ONIVA07G06460.2 pep chromosome:AWHD00000000:7:5371696:5374324:-1 gene:ONIVA07G06460 transcript:ONIVA07G06460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGYDLLTALPDDVLRLLLARLPSTAEAARTSVLSRRWRSLWTNLPQLRFADVTDLARVAAVLRHHSAPVLLLRIESSDPAPEKIAAVLDLVAPRLQGKLHFDIITPGNQNSAAGAAGIGAAIRIPCFEKATEVTIRLLRGIGIRLPPPSGVFARLTALSLSHCRLDDQSQRDLGGAVSSEGCPSLRELRIRDADVVSNLAIRSESLRLVQLSRLEGIRQLTISAPALTELDLYACLLWGMPMVDILLQRWGHFVGAMPNVHTLAVFVMVYGYVSHSLPLLQYFTEARDVRLSLVYSSISSNDKLLVEAVKDELPAIEILFLRMSPSIRELKLQLEDHTESRKVPCSSGCICYKPRDWETMNLSLVFLQKVEINNLSGAECQIYFVKRLLRWTPVLKTITLSFDPSVTVSEELSKELLSFSTPEICMEIYLHHDGARVKYSAAN >ONIVA07G06460.3 pep chromosome:AWHD00000000:7:5371696:5374324:-1 gene:ONIVA07G06460 transcript:ONIVA07G06460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGYDLLTALPDDVLRLLLARLPSTAEAARTSVLSRRWRSLWTNLPQLRFADVTDLARVAAVLRHHSAPVLLLRIESSDPAPEKIAAVLDLVAPRLQGKLHFDIITPGNQNSAAGAAGIGAAIRIPCFEKATEVTIRLLRGIGIRLPPPSGVFARLTALSLSHCRLDDQSQRDLGGAVSSEGCPSLRELRIRDADVVSNLAIRSESLRLVQLSRLEGIRQLTISAPALTELDLYACLLWGMPMVDILLQRWGHFVGAMPNVHTLAVFVMVYGYVSHSLPLLQYFTEARDVRLSLVYSSISSNDKLLVEAVKDELPAIEILFLRLQPTIYKFDSTVTNAALSRKVPCSSGCICYKPRDWETMNLSLVFLQKVEINNLSGAECQIYFVKRLLRWTPVLKTITLSFDPSVTVSEELSKELLSFSTPEICMEIYLHHDGARVKYSAAN >ONIVA07G06450.1 pep chromosome:AWHD00000000:7:5361188:5370853:-1 gene:ONIVA07G06450 transcript:ONIVA07G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCRNVSFQRNPISKTLVDVASGSANADAVAAAAPRATAPTPAKMDAKVDEMSKAYQELVAAAQAILEARRQPGGENTAAMDAAREAFEQRRKLFAVACDDADALVQSARQSIVSDPGRFVDVVTTPDEDSVRSPTRSSAESSSSSGFGALPDEVLRHILLRLPSAAAAARTSLISRRWRNLWSTLLPKLRFPGVTDLARVGAALRLRVAPVRLLRIESSDRAPDKIVAVLHLAAPLLEGKLCFDVITPAAAAAGAAGTGGAIQIPCFEKATEITIRLRGRLGIQLPPSGVFAKLTALSLSHCRFDDQGQRDLGDAVSSEGCPSLRELRIRDADVVSNLAIRSESLRLVQLSRLEGIRQLTISAPALTELDLYACLLWGMPMVDIFAPALETLHWVDFFSSSSVRFDEKPNVHRLSVYGMVYGRRNTPGSLQLVQHFTEARDVHLSLVYPSIMDMSCELLVQAVKKLPAVEILSLRLLTIGHTFGPCVYHLLKMSTGIRELKLKLEDHIADGEVPCSSGCVCYEPQAWKKNNISLNFLQKVEINNLSGAERQIYFVKRLLRWTMPELKTITLSFDPSVTVSEKVSRKLLSFSTPGICMEIYLHRNGTRFEDRLDCGRVIAVFIATDGSPPVFCLLLSFSTMFTHQSTNML >ONIVA07G06440.1 pep chromosome:AWHD00000000:7:5355208:5355851:-1 gene:ONIVA07G06440 transcript:ONIVA07G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCISKLFSQATAITIRFACLTIWLPPFGVFAKLSVLCLTTANKHYNNNKYSLRFIIMTYIVKRRKYI >ONIVA07G06430.1 pep chromosome:AWHD00000000:7:5349039:5351781:-1 gene:ONIVA07G06430 transcript:ONIVA07G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGGDLLSALPDDVLHLILLRLRSAAAAARISVLARRWRSLWTTLPELRFPAVTDLARVTAALLSHDAPLLHRLELCSHDPAPHEVAAVLHLAARSLAGKLLLDIVMRKKRNPVAAAAAGIGAAFHIPCFRKATDISIRFAYLTIRLPPFGVFAKLSVLRLTRFRLDDSQCDHLGDIVSSERCPSLQALTLRNSQGLSNQPSAPRLSSPLSMVDCEFLMEDMNTLPAIEILSLRLITAGHAFGPCVFQLLRTSTGVRELHLDLDHHLKGEVSCSSGCICYKPSNWESMDICLNFLQKVEINNLSGAEYEICFVKRLLTWTPVLKMITVMFGPSVTVSEEVCQELLSFSRRCSPGICMEIYLHGNRAKVMYRAVNLKRPRDD >ONIVA07G06420.1 pep chromosome:AWHD00000000:7:5347276:5347663:1 gene:ONIVA07G06420 transcript:ONIVA07G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASSGAALGTGEAMQLRGGRPRVLRSVGEGKMQRHGRSKQIDWKYRWTFDFDPTVGRKKGDVEAPKLREFLLVGMICIDIYDNSAICDYEVEQREMIG >ONIVA07G06410.1 pep chromosome:AWHD00000000:7:5331254:5337911:-1 gene:ONIVA07G06410 transcript:ONIVA07G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDRLSALPNDVLHLILLRLRSAEAAARTSVLARRWRHVWATLPELRFRMDVSLAAHAAPALRRLEVSTDADDPAASTAALRLAAPRVAGELSFCIWPRWDDAPEEDDGPAPVRRPGVVKLPCFEKATELWLILGLLGVSLPKSGVFAQLTALAFRDVRFTGRCDLGAVVSSKRCPVLQKLQVHDSQDLYNLTIFSESLLHIELSDLHGGMGRLMIVATLLRVLDVRHCFYWRTYRSHSLVRDQPYAAVFTPALEDLIWVDAYDPTTVQFGGVERLRKLVTQLQLMLLRRFETVSILQLELDYPEIRRRRPEGRLSSASATTMMTSPSSTSPTPSVTWTTCSGSRKSHCYTVATATSHPLSSASSCYVAMRRPTTGAHGGEKGMGRSQYLMEAITMLPAIEVMSLELSKRGHAFGQCVFHLLRMSTGIRKLKLALRGGLKDSEERISVLSTWFQGHQADARCSASCICNRPQAWKTEDLFLDSLHEVEISGFRGSEHELAFLKRLFGWAAILKTFTMHLHLDLTVSDDLCKELLSLATPETDVKIYFYRDDDVHARPAWVSYTPEE >ONIVA07G06400.1 pep chromosome:AWHD00000000:7:5316560:5321550:-1 gene:ONIVA07G06400 transcript:ONIVA07G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05200) TAIR;Acc:AT5G05200] MAAAAARGAAAARSPLVLHRHPHPAHHRRLRLLPLVAGGGGGSPPRVGRRIRASREKGRRVGVRVFARYSQAQDFSTRLQDRAGELPKLVEDLLQTSISTGPRGAFRFAQGIQAVLGVGGEWLNDFSKTANTSAGIPAQMQLGLLSPLYLRRLFERMGATYIKLGQFVASAPTLFPAEYVEEFQNCFDRAPPVPYSEIESILREELQQPLDSVYEYIDPVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVVARILEFLNPELQRTSLVGIVKDIKESMLEEVDFRKEATNIEAFQRYIDAMGFDRQAKAPFVYRHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRVGFLDFGIVGRISPRTWAAMEVFLASFATDDYNAMASALSEMGATGNDINVNEFAKDLEKIFSSIQDLDTEVIVATARTPDATAVSANVVVDDRQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERINIGMNRSAAAKENLDEYNWTFS >ONIVA07G06390.1 pep chromosome:AWHD00000000:7:5309146:5313541:1 gene:ONIVA07G06390 transcript:ONIVA07G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT4G13970) TAIR;Acc:AT4G13970] MARWDEILTLPVQNPPTPEFSASDIMWSRVEGWKDSMDRLALIPFSRVNDFVRGESNNKECPTRFHVEARRRRPPTMNCKPKVDGILEYILYWCSFGPDDYRKGGSVRPSRNSSTKRKTPAGRPHTKRGCICHFIVKRLIAEPSVALVIYNHDKHVDKIGKPCHGPMDNMAIGTKAMFAPYISDELRLQIMSLLCVGIPVETIMQRHTEMIEKQGGPSNRDGLLTHRYVRRLERKIRRSVYELDDDDAISINIWVENHQNHIFLYEDFSDKDTFIVGIQTDWQLQQMIQYGNRSLLASDSKFGTNKLKYPVHSLLVFDEQKNAIPVAWIITPNFSHGEAYRWMGALYDRVRTKDPTWQLGGFIIDDPFADVRTIRGNGGMELFEAFLEDFVDCAGFLDYFRALWFPRLGSWITMLRTTPLATTEVASAIESYHHLLKLRLLNEANERVYQRADWLVHKLGMKVHSYYWLDEYSGKDNFSRYWRSEWKSGPNPWQQGLQIPDSDVVVEGNCARVVCQKNKERSHVIVNPGSDLALCDCSWSRKGNICKHAIKSTKVFRQRGLAPPSLALFRYYQALANVVHCPPSDTLISDHAVAVAIFVRTQLDSLLDATNGNSSNRSTSKDPQSVIEPRESDVREGSIENDVCASQSQAECGDEVSDEQNDDLDRFVFKKRKSREASDEEGSPTATQITQPSETESSQATDLQEPLDRQENRAPKKSKSKEASDGGKANARQAKQPSETESRPAIDQQEPLHHRQENPAHKKTKSGGEETMAMQVMEPSETE >ONIVA07G06380.1 pep chromosome:AWHD00000000:7:5303696:5304418:-1 gene:ONIVA07G06380 transcript:ONIVA07G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGEVEGGGRRRVVRIIFRDEDATDSSSSEGEGEVVAARRVVVGTFDTAEAAAAAYDDACLRLRGPGAALNLPSRRCVAPAPPPPPPPPPPTAEEKKPLLFPPPLPPRKKAMLFPLPLPPRKKPLLYPPPLPPKKKPLPPPSPPPQPPLPEKENTPLPPLLLPPKKKPLPPPSPTAAAKEEPIELEHAAPPPPPFVPRPVWPLLASGGGGKRKKQSGCGGRIPALNTAAAAVEETGRA >ONIVA07G06370.1 pep chromosome:AWHD00000000:7:5292174:5298108:1 gene:ONIVA07G06370 transcript:ONIVA07G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLYNHQGFSPARTLSPQIRSNPEADSQYLSELLAEHHKLGPFMQVLPICSRLLNQEIMRVSSMVNDHGFNDFDRRRYRSPSPMSSPIMRPNLHGNGFGPWNGIHQERLGFPPPPPPGTSMDWQGAPPSHGSYIVKKIVRMEVPVDAYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDADKEEKLKGKPGYEHLNDPLHILIEAELPANIIDTRLRQAQEIMDELLKPVDESQDYYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKPSH >ONIVA07G06360.1 pep chromosome:AWHD00000000:7:5268545:5269375:1 gene:ONIVA07G06360 transcript:ONIVA07G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKRRVRDAEADLNLPPGFRFHPTDEELVAHYLCPRAAGRAAPVPIIAELDLYRHDPWDLPHRALFGRREWYFFTPRDRKYPNGSRPNRAAASGYWKATGADKPVLHNGRTAGIKKALVFYHGKPPRGVKTEWIMHEYRLAKKGGAAAAAGAGALRLDDWVLCRLYNKKNEWEKMQSRKEEEEAMAAAQSWGETRTPESEVVDSDAFPEKDYSLPAASFDDALLPKEEARDDDWLMGMSLDDLQGLGSLLQADDLSMLAPPAAAKTEPLGAPFF >ONIVA07G06350.1 pep chromosome:AWHD00000000:7:5248716:5250334:-1 gene:ONIVA07G06350 transcript:ONIVA07G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDELASEARLDGSEWCRRQREEGCVEGGLRLTDEGHPAGNEGRLARRRWRQWFRKADAGCSRRLVRAMAGRPPRSMARRADEREVGEAIWWRARRGGRIRPPPVWIWHGRPSSDPRQRGEGGAQRWAVVAEGGGDGAGRGRGGGGGCPVAVTARCLAAALAVLHRSAPLDRILRVAAVGDGSGGVATVVAAVGRRQLATAVWSGSGRRQQLAMTAVVW >ONIVA07G06350.2 pep chromosome:AWHD00000000:7:5246259:5248718:-1 gene:ONIVA07G06350 transcript:ONIVA07G06350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVGNGGGGRGCGGCRVNWRRRRKTWRRAASCSVVEDDGAAGVCGGSGDGGCDSEVVATSAAGKDLYAVKFMELWRLRVRGLNGLSNGDESGSSSEGYA >ONIVA07G06340.1 pep chromosome:AWHD00000000:7:5199018:5202645:-1 gene:ONIVA07G06340 transcript:ONIVA07G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAHSMISSVQHAGLACPRRAWWGDRHRCRMVLPVDHAPLLSLPPTKWGKKREEGIEERKCDGKLDGSDGKCDGDGMGSYYYHPNNHKTTKETY >ONIVA07G06320.1 pep chromosome:AWHD00000000:7:5182544:5182996:1 gene:ONIVA07G06320 transcript:ONIVA07G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCPWRAGTSQSGTILGPLYHASLVPFMPKNAYRGLAHDDFGPHALPGAWRSMQEHWVRQRQLDALPELLLLRLATIDVVVHDARLVGICHDWNRGAEQPAMSEGTTPTSASDRRCSLTFTEGLRRSWWREMGKKDKTMNLTGGPTCR >ONIVA07G06310.1 pep chromosome:AWHD00000000:7:5181987:5182355:1 gene:ONIVA07G06310 transcript:ONIVA07G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGARSERGGIGVTVCCSAQGRVVGCQLPQSGGGCGWRGVRGGRRVIGGRCRDPVVREEGGRRRRRWGRGRRGGARGGCAGAIALGNRERAGAAAKGAGRAVGKRGAIEGAERGEGARLKR >ONIVA07G06300.1 pep chromosome:AWHD00000000:7:5179640:5180128:-1 gene:ONIVA07G06300 transcript:ONIVA07G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGAFLTTDINSEYVICHFRFAIDCSTGFSERQASGGGLDDFSGSHPFGDIFTHVRVDIPIFFSIRKLYWPVFRALKHNGNDIPPSLMVDLSAFPREQGLGGYLTDTLSFPMPPELSPPCCGQGKHC >ONIVA07G06290.1 pep chromosome:AWHD00000000:7:5171309:5173291:-1 gene:ONIVA07G06290 transcript:ONIVA07G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAVFLLPLAVAAASPAPARGPTACPYDLATATRMIPRECYANATAGQAATGCCWYVFAAYIFAAADHANRTGAAFLPAEPAAACSGAFAARLLSSGLVSPSLLANNGSCDLTGDPGKLAAGSRPCQLATIDAVRAMAPRALPNATRLCAAPGAARAPGVGPGDPGCAACRGAVIATTYEMLASARTKEFVPCGMAATVAVWSRAPPPLERFRAYALCMLQVLENVNSLGTSDLVPSPPPPPASPTTASRPLPSSSSRRNTVAIAVGSASAVVVAVVAVASAALAIVTIRRRRRSTTTAGDVSDDESVASLPPLPREGLYIFTKSELKQATNGYDEKLLLGSGGAGKVYLGRLPSGQRVAIKKIYRSKKVSEFYAEVAVLAKLRHRNLTTLVGYCLGGDHHALVYEYLGGGNLWRALFQGELAWRRRLEVAVDVAEGLAYLHGFREGAVVHRDVKPTNVLLSESGAAKLSDFGVSRIVPEGGTHVSTEVRGTRGYVDPESFSAGHVSEAGDVYSFGVVLLELATGMRAVVPTPSGGAESIVHAAHWAVAQAGGEAGAAAESMVDERLGADWDRPTVRAVFALACRCVRPYKHERPAMGEVLAELKAMLADYTARGGGAERSEASTSSSTATPDPASLPSTSSSVANTEAMATPPRRDS >ONIVA07G06280.1 pep chromosome:AWHD00000000:7:5168397:5170775:1 gene:ONIVA07G06280 transcript:ONIVA07G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIWKINGPNTVVDEVVKIVWKEQNMKSIALQKTRSITAVLPNKSVVLQSARSITVEFENLSEN >ONIVA07G06270.1 pep chromosome:AWHD00000000:7:5167967:5168242:1 gene:ONIVA07G06270 transcript:ONIVA07G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWRETPAASAAFLVAYTAVLALYLLLGVFPRPRGAPPCEALLWELADWAAVAVCLAADAYFIYCIASSRRRPDVPPRLPPPPPQMDLC >ONIVA07G06260.1 pep chromosome:AWHD00000000:7:5166801:5167101:1 gene:ONIVA07G06260 transcript:ONIVA07G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAERWSATFLADLGVGREYWSPSPLMEKSMVTFLMLDIGADAGDRVAGEVGLVAAMAEDAEEGDDDSVVEAGVANLSERALVLVPRTICSRG >ONIVA07G06240.1 pep chromosome:AWHD00000000:7:5156230:5156521:-1 gene:ONIVA07G06240 transcript:ONIVA07G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKCKVPAILSGAPGAKWRKCSSDVVARPSFSSMVAAIPDREDDEEEELFESEDDVNSRSFLFPIPIWAVDANLQWE >ONIVA07G06230.1 pep chromosome:AWHD00000000:7:5152898:5154213:-1 gene:ONIVA07G06230 transcript:ONIVA07G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPSPSLLFLSQIRWVTGCEVVSGRAVVGLSIEAESELLVVASSATMTLNKRPGNEARGWRWSILREPRVKTLPSENPVPFGMSIDSILDVVPLLEALLRRPTYIHNKNNDPLGNLRFQFVFASINR >ONIVA07G06220.1 pep chromosome:AWHD00000000:7:5135146:5135663:-1 gene:ONIVA07G06220 transcript:ONIVA07G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTARGKKRLLDEVSKLHILYRKCIYLSPRPNLESIHRKILKEEFRVLKHPPSRSNEPYSSASD >ONIVA07G06210.1 pep chromosome:AWHD00000000:7:5134565:5134840:1 gene:ONIVA07G06210 transcript:ONIVA07G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSQISVPFGSKERFECDTVWQQRSLRLRGWQRIGGANRQGETSEEGDIEPSVEIGSWQEEVMMLEIGGGETVMGMGSSTRDVDDQVASC >ONIVA07G06200.1 pep chromosome:AWHD00000000:7:5123165:5123518:1 gene:ONIVA07G06200 transcript:ONIVA07G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEMAESQAGHPAVTCSPRVLYAKLPPMAHLGMRVHVRQLTSTTAPLPLHQRTCGGDGRLLTLLVSLRLRRSIRSLLLHLRNSNSRRRRREAFAAGLRWRGGRASSPWRHRRGSA >ONIVA07G06190.1 pep chromosome:AWHD00000000:7:5099577:5115020:-1 gene:ONIVA07G06190 transcript:ONIVA07G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRPAAQCVRYMAAFWFIILLVSFFSGFAAATTGETTSTSNPKPTYITSLPGLDGALPSLLETGYVTVDEENGAELFYYFVESEGDPGRDPVLLWLTGGHRCSVLSGLVFEIGPVELVREPYDGISLPRLRWNPNSWTKVASILFVDSPVGAGFSFSRDPNGYDVGDVSASLQLIEFLYKWFSAHEDYLANPFYLGGGSYAAKLVPFITQKISEGIEAGVRPIINLKGYTVGNPLTGDSIDFDSRVPYCHGVGVISDQLYKTIMDNCHGKGYSNPRTFICAKAMSKFNELFDEISAPHILHNKCIAVSPGPSDVSRRKILNEEVDLLQNPPPRPPIECIEYPHYLLYFWVNNNATRETLGIKKGTVNEWVRCHQGDLPYDEDIVNGIEYHRKVASLNYRTLVYSGDHDAVLPFLSTQAWVRSLSDHIVDDWRAWHLDGQSAGFTMTYGNNVTFATVKGGGHTAPEEGVVKEKGKREEDSLVSRAATTMMTSKSIAKSQIN >ONIVA07G06180.1 pep chromosome:AWHD00000000:7:5077226:5078305:1 gene:ONIVA07G06180 transcript:ONIVA07G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIFGSLNSVAPLLRTLALLPLTVAPCRPASPSSTADRPVALHLARAAAVVLSRHRISMDQAKGLTCSSSCQERCFDDFLLGLRLNCHRRHCRVVRQYLVMHLR >ONIVA07G06170.1 pep chromosome:AWHD00000000:7:5068667:5074303:-1 gene:ONIVA07G06170 transcript:ONIVA07G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGAASWLVQVVLEKLVGDGIDAAWAAARAGGDPGRAHGGDVRRLGSRLQSLHALLSEAQEHAPMARRRSEALLRSLRSLQSLATDADNLLDEMLYHQIHRRLHPDEPSTSSNSCSSLFAVQLVEPNNRVAKRVRHSGDGDTTGRIKDILERMCEAGDDVREAIKMEKLDVSAAGGGQDDRIIQRRPTTSYSTEPKVFGRDTVKDRIVVMLISSETCGADLAVLPIVGNGGVGKTTLAQLVYSDTRVQAQFSKRIWISVSVDFDEVRLTRELLDCVSNGVNKHGGITNLNKLQEILEEDLKSERLLLVLDDMWEDNDKSRWNKLLAPLRCSSLRGNAILVTTRNHSVVKMIATMDPIHLDGLEDGDFWLLFKACAFGDEKYEGHPSLQVIGKCIANKLKGYPLAAKSVGALLNRDLDGGHWMSILQSDEWKLQRGPDDIIPALMLSYIHLPFHLQRFDGLDLVRVWISQGFVSSNNKKMEDIGHQYLNDLVDCGFFQRSTYYSMHDLIHDLAHIVLDVEYWVHLSTLPRAMNDLVNLRHFVARGELHALIAGVGRLKFLQELKEFRVGKTTDFQIGQLNGLRELGGSLAIYNLENICSKEESKNAGLRDKIYLKDLLLSWCSNRFEVSSVIEEEVLESLQPHSGLKCLSINGYGGISCPTWLSSINPLISLETICLDSCTKWEVLPPLGQFPLLRTLHLIQLPSSRVVPTVSSDDWTGSEKHIIFPCLEELVIRDCPELRTLGLSPCSFETEGSHTFGRLHHATIYNCPQLMNLPQFGQTKYLSTISIEGVGSFPYIRLFVRALYIKGCASPSKLDQILMLIEGNLCLLEKLTIESCLDLTYLPWKTLSKLVSLEMLVIVDCPRLSLTLYPYNQDGGNFSFMSLLNKLVIRACSITGKQLSHLILQLPFLHYLTIGKCPKITSLLLGDVINGSDSSSTSDYLQLTTDGMLQIPSHLLIQLQYLSIDDFPDLVLLWKEGFHGFTSLRTLHITGCTQLLSPMITENKRPNKNSSLLPPLLHDLMVTHVHNELLPFLLSNLTSLSIFAISNSPELSSLVLHSCTSLETLIIEKCVGLSALEGLHSLPKLKHLRIFQCPSLAKTWGPSSVDRPGFSLYLDKLEIDTTVLFNTEVCKKLPSLRHLVFFMAKNVRSFTEEEEKALCHLTSLHLSIKACPGIKSLPENGLPASLHELYVSSCSAELKEQCKKTKNVRCVYVDRNASKFIVICKLLRLYFRITKLGAWQRSLWLQPRTGGSNELMVLTKVLFTIATTVVFRTGLVISEL >ONIVA07G06170.2 pep chromosome:AWHD00000000:7:5068667:5074303:-1 gene:ONIVA07G06170 transcript:ONIVA07G06170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGAASWLVQVVLEKLVGDGIDAAWAAARAGGDPGRAHGGDVRRLGSRLQSLHALLSEAQEHAPMARRRSEALLRSLRSLQSLATDADNLLDEMLYHQIHRRLHPDEPSTSSNSCSSLFAVQLVEPNNRVAKRVRHSGDGDTTGRIKDILERMCEAGDDVREAIKMEKLDVSAAGGGQDDRIIQRRPTTSYSTEPKVFGRDTVKDRIVVMLISSETCGADLAVLPIVGNGGVGKTTLAQLVYSDTRVQAQFSKRIWISVSVDFDEVRLTRELLDCVSNGVNKHGGITNLNKLQEILEEDLKSERLLLVLDDMWEDNDKSRWNKLLAPLRCSSLRGNAILVTTRNHSVVKMIATMDPIHLDGLEDGDFWLLFKACAFGDEKYEGHPSLQVIGKCIANKLKGYPLAAKSVGALLNRDLDGGHWMSILQSDEWKLQRGPDDIIPALMLSYIHLPFHLQRCFSYCALFPKGHRFDGLDLVRVWISQGFVSSNNKKMEDIGHQYLNDLVDCGFFQRSTYYSMHDLIHDLAHIVSADECHMIDGFNSSGIAQSTIQHLSINTRYAYKWDVYSQKFYSKDDFQRKLTYVGETVQTRNLSTLMLFGKYDADFSETFSHIFKEVQYLRVLRLPTLTYSIDYLLSNFSKLIHLRYLELISSGPGGPLPEVICQLYHLQVLDVEYWVHLSTLPRAMNDLVNLRHFVARGELHALIAGVGRLKFLQELKEFRVGKTTDFQIGQLNGLRELGGSLAIYNLENICSKEESKNAGLRDKIYLKDLLLSWCSNRFEVSSVIEEEVLESLQPHSGLKCLSINGYGGISCPTWLSSINPLISLETICLDSCTKWEVLPPLGQFPLLRTLHLIQLPSSRVVPTVSSDDWTGSEKHIIFPCLEELVIRDCPELRTLGLSPCSFETEGSHTFGRLHHATIYNCPQLMNLPQFGQTKYLSTISIEGVGSFPYIRLFVRALYIKGCASPSKLDQILMLIEGNLCLLEKLTIESCLDLTYLPWKTLSKLVSLEMLVIVDCPRLSLTLYPYNQDGGNFSFMSLLNKLVIRACSITGKQLSHLILQLPFLHYLTIGKCPKITSLLLGDVINGSDSSSTSDYLQLTTDGMLQIPSHLLIQLQYLSIDDFPDLVLLWKEGFHGFTSLRTLHITGCTQLLSPMITENKRPNKNSSLLPPLLHDLMVTHVHNELLPFLLSNLTSLSIFAISNSPELSSLVLHSCTSLETLIIEKCVGLSALEGLHSLPKLKHLRIFQCPSLAKTWGPSSVDRPGFSLYLDKLEIDTTVLFNTEVCKKLPSLRHLVFFMAKNVRSFTEEEEKALCHLTSLHLSIKACPGIKSLPENGLPASLHELYVSSCSAELKEQCKKTKNVRCVYVDRNASKFIVICKLLRLYFRITKLGAWQRSLWLQPRTGGSNELMVLTKVLFTIATTVVFRTGLVISEL >ONIVA07G06170.3 pep chromosome:AWHD00000000:7:5068667:5074303:-1 gene:ONIVA07G06170 transcript:ONIVA07G06170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGAASWLVQVVLEKLVGDGIDAAWAAARAGGDPGRAHGGDVRRLGSRLQSLHALLSEAQEHAPMARRRSEALLRSLRSLQSLATDADNLLDEMLYHQIHRRLHPDEPSTSSNSCSSLFAVQLVEPNNRVAKRVRHSGDGDTTGRIKDILERMCEAGDDVREAIKMEKLDVSAAGGGQDDRIIQRRPTTSYSTEPKVFGRDTVKDRIVVMLISSETCGADLAVLPIVGNGGVGKTTLAQLVYSDTRVQAQFSKRIWISVSVDFDEVRLTRELLDCVSNGVNKHGGITNLNKLQEILEEDLKSERLLLVLDDMWEDNDKSRWNKLLAPLRCSSLRGNAILVTTRNHSVVKMIATMDPIHLDGLEDGDFWLLFKACAFGDEKYEGHPSLQVIGKCIANKLKGYPLAAKSVGALLNRDLDGGHWMSILQSDEWKLQRGPDDIIPALMLSYIHLPFHLQRCFSYCALFPKGHRFDGLDLVRVWISQGFVSSNNKKMEDIGHQYLNDLVDCGFFQRSTYYSMHDLIHDLAHIVSADECHMIDGFNSSGIAQSTIQHLSINTRYAYKWDVYSQKFYSKDDFQRKLTYVGETVQTRNLSTLMLFGKYDADFSETFSHIFKEVQYLRVLRLPTLTYSIDYLLSNFSKLIHLRYLELISSGPGGPLPEVICQLYHLQVLDVEYWVHLSTLPRAMNDLVNLRHFVARGELHALIAGVGRLKFLQELKEFRVGKTTDFQIGQLNGLRELGGSLAIYNLENICSKEESKNAGLRDKIYLKDLLLSWCSNRFEVSSVIEEEVLESLQPHSGLKCLSINGYGGISCPTWLSSINPLISLETICLDSCTKWEVLPPLGQFPLLRTLHLIQLPSSRVVPTVSSDDWTGSEKHIIFPCLEELVIRDCPELRTLGLSPCSFETEGSHTFGRLHHATIYNCPQLMNLPQFGQTKYLSTISIEGVGSFPYIRLFVRALYIKGCASPSKLDQILMLIEGNLCLLEKLTIESCLDLTYLPWKTLSKLVSLEMLVIVDCPRLSLTLYPYNQDGGNFSFMSLLNKLVIRACSITGKQLSHLILQLPFLHYLTIGKCPKITSLLLGDVINGSDSSSTSDYLQLTTDGMLQIPSHLLIQLQYLSIDDFPDLVLLWKEGFHGFTSLRTLHITGCTQLLSPMITENKRPNKNSSLLPPLLHDLMVTHVHNELLPFLLSNLTSLSIFAISNSPELSSLVLHSCTSLETLIIEKCVGLSALEGLHSLPKLKHLRIFQCPSLAKTWGPSSVDRPGFSLYLDKLEIDTTVLFNTEVCKKLPSLRHLVFFMLSIKACPGIKSLPENGLPASLHELYVSSCSAELKEQCKKTKNVRCVYVDRNASKFIVICKLLRLYFRITKLGAWQRSLWLQPRTGGSNELMVLTKVLFTIATTVVFRTGLVISEL >ONIVA07G06160.1 pep chromosome:AWHD00000000:7:5048069:5049397:1 gene:ONIVA07G06160 transcript:ONIVA07G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSVGLVVLGLLALAFSTTVLAETHVVGDSNGWDFSVSFDSWADGKVFAAGDTLVFNYKPGAHNVLAVDAATYRSCKVGSSADSVAAATGTASFLLKKGVNYYICGVPGHCAAGMKLRVVAN >ONIVA07G06150.1 pep chromosome:AWHD00000000:7:5044629:5045082:1 gene:ONIVA07G06150 transcript:ONIVA07G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEWLETLLSTHFFLACGAHPASPRTSATSSALILDCPSPSPPFCYYCHSHRHQSHRVIQIRRSSYNNVVRVTEVEDVLDISGVQTYVINSAKVLFLNEHPQPRGAGTVVGEAAASPYNC >ONIVA07G06140.1 pep chromosome:AWHD00000000:7:5037563:5037907:-1 gene:ONIVA07G06140 transcript:ONIVA07G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGREWKKGAAPVRKGRLGRWLLGLGGVARGGTTALGAWRGGTASVREPGTTATAEKRRWRHEEETASALSAGLCPADLRPASVVEGGRWRSGRRQEEAVSVVGNLVTAFVPAR >ONIVA07G06120.1 pep chromosome:AWHD00000000:7:5028713:5030314:1 gene:ONIVA07G06120 transcript:ONIVA07G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G47990) TAIR;Acc:AT2G47990] MAADTSKPFFPAAPNPALLPSGRKPSRLSPEASYWRSFRASELTPANEFNVTHLAFSPSSAPTLAASWSTSVLLFSGDPLSPLPRIPASQDVAFSPSFRSDGSLLAVGDKKGVVRVFRADKKQSSGALRTLTAHTAETRVVRYPVAGGDKVHLFTAGDDALLAYWDVPSETPVFAAPAAHRDYIRAGAASPADHNIFATGSYDRIVKLWDARMGKTSTLSFSHGELVESVLFLPSGGLLATAGGNVVRIWDVIGGGRLLHSVESHVKTVMALALAKMTNTGETRLLSAGSDGYVKSFDYGELKLTHSMRYPKELLSLACSPCGTVLVAGSSKGTIYMGRRKKKSTGEDEEEGKGVGGELDWAPTKPEKRRLAPSNYRYFLRGQNAKAKEGDLVIEKPKKVKVAEHDKLLRKFRHKDALVSALARNNPRSIVAVMEELVSRRKLVRCIENLDTEELVLLLLFLHRNATLPRYARFLMGVANKVLEMRAEDIRSDENLRGCVRNLKRMAAEEIQIQHTLQGIQGMISPMLALASR >ONIVA07G06110.1 pep chromosome:AWHD00000000:7:5010882:5019535:1 gene:ONIVA07G06110 transcript:ONIVA07G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPWRSLCLYSLATSHIIGNPTLATLIIATLHTTTSIMTPPCLHFGSPRQQHKVNMSSSLAEPCFVVLDVLVRGRQLCARSSLRDGSHRGDTMLALHRGCKVGTRRWR >ONIVA07G06100.1 pep chromosome:AWHD00000000:7:4944449:4947119:1 gene:ONIVA07G06100 transcript:ONIVA07G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFIRADSQVFLFSNSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKASGKGNAPKPGKGPKLGGGGGKR >ONIVA07G06100.2 pep chromosome:AWHD00000000:7:4944630:4947119:1 gene:ONIVA07G06100 transcript:ONIVA07G06100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFIRADSQVFLFSNSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKASGKGNAPKPGKGPKLGGGGGKR >ONIVA07G06100.3 pep chromosome:AWHD00000000:7:4944449:4945685:1 gene:ONIVA07G06100 transcript:ONIVA07G06100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTEEKPTAHFEQSPTTSPAHYHHTNSSTPSIPSPHRIGRLRSIPPRACPTIKGSTTSHARRSGGGRGGARVLLLPQVRRH >ONIVA07G06090.1 pep chromosome:AWHD00000000:7:4939382:4939945:1 gene:ONIVA07G06090 transcript:ONIVA07G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNILIPLVSSLMMLVLGPLIIDVISVSKKIGRLFSAIARFLAHDDSVINSMIVDNDPPPSSQLLGGGVSCCDAMAVTTRLGLMWRRSGEAAMECQGCDIPMDATVDELLDRKMASEDELKDAFYVFDRNEDGFICASELWSVMRRLGFKEGQRYEDCMRMIHTFDEDRDGRISYLEFRRMMEDAV >ONIVA07G06080.1 pep chromosome:AWHD00000000:7:4929671:4934240:1 gene:ONIVA07G06080 transcript:ONIVA07G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCCCCCPCPAALGIGIGPRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQTLGRTYAVLLFFAIVLDVAWFILFSHAIWNITPEEKYGQLFVLSLKLALWMQIIGFSVRLGVSSSTPTYHEVNYDGRNSFLSPRSSSVRRNSMADDILGGSIYDPAYYSSLFEDVRNNTCTHQGDRQSGSNDSGSTSAGQSPRLKSFASRSFVANDVEAGLRRPLNS >ONIVA07G06080.2 pep chromosome:AWHD00000000:7:4929671:4934240:1 gene:ONIVA07G06080 transcript:ONIVA07G06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCCCCCPCPAALGIGIGPRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQTLGRTYAVLLFFAIVLDVAWFILFSHAIWNITPEEKLGVSSSTPTYHEVNYDGRNSFLSPRSSSVRRNSMADDILGGSIYDPAYYSSLFEDVRNNTCTHQGDRQSGSNDSGSTSAGQSPRLKSFASRSFVANDVEAGLRRPLNS >ONIVA07G06070.1 pep chromosome:AWHD00000000:7:4922357:4923436:1 gene:ONIVA07G06070 transcript:ONIVA07G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGKRVSSSSTSSHQQHTKRRRRAPGDELSQTHGVARNAVAAMPMVGVAPLMLSPVTMQAQLLCYVAMPMAPVAGGGGGGVPLAAALCRLRNGTLERMVREMAQECTPPLVARRDRKSGATPPPPPPWWPTAEEPWWGTEVAAHLHGRRMATRVPFASPRRLAKAEKVAVLVAVVRHVAPDFGRLAAAAGRSRLTELESSIWESALRGERERRYVVMPSFILLPPPPPPPPQHAHSAESAAAHAGPEPESADQTAVDFSVSGEDTVTTGPELEQLVGDGNGATVEGEGQKTEDSPVPPLLEQHGGEDHDHHRQHGGFSGEVSGAAPEDVDWFDYDEVLRGLDELEIPSFFGGYYI >ONIVA07G06060.1 pep chromosome:AWHD00000000:7:4904699:4914538:1 gene:ONIVA07G06060 transcript:ONIVA07G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHGRWAATTGARGIGAPLLSEFQRNDDEPMAGSEEEADGAASGQRGSRALPSQGGRCKDAARGEQGAGPLPLKGREAHGRCHRRRDCVMPLPLEGREAHGYRRRREVGREHAKEEGGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKVKKEERGGGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIDIYLYVLSNLKAIAEKKGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >ONIVA07G06060.2 pep chromosome:AWHD00000000:7:4904699:4914538:1 gene:ONIVA07G06060 transcript:ONIVA07G06060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHGRWAATTGARGIGAPLLSEFQRNDDEPMAGSEEEADGAASGQRGSRALPSQGGRCKDAARGEQGAGPLPLKGREAHGRCHRRRDCVMPLPLEGREAHGYRRRREVGREHAKEEGGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKVKKEERGGGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >ONIVA07G06060.3 pep chromosome:AWHD00000000:7:4904699:4914538:1 gene:ONIVA07G06060 transcript:ONIVA07G06060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHGRWAATTGARGIGAPLLSEFQRNDDEPMAGSEEEADGAASGQRGSRALPSQGGRCKDAARGEQGAGPLPLKGREAHGRCHRRRDCVMPLPLEGREAHGYRRRREVGREHAKEEGGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >ONIVA07G06060.4 pep chromosome:AWHD00000000:7:4904699:4914538:1 gene:ONIVA07G06060 transcript:ONIVA07G06060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHGRWAATTGARGIGAPLLSEFQRNDDEPMAGSEEEADGAASGQRGSRALPSQGGRCKDAARGEQGAGPLPLKGREAHGRCHRRRDCVMPLPLEGREAHGYRRRREVGREHAKEEGGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >ONIVA07G06050.1 pep chromosome:AWHD00000000:7:4898972:4902947:1 gene:ONIVA07G06050 transcript:ONIVA07G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAAAHSPASTALPRRRRRAAAPPSRKTPESQALRSILHSRVIACLRAQDGETAMQAARAAVRGGVSVLEIVMSTPGALEVVIGDLRRSYPSLTFGVGTVLNPEDARKAITAGAQFLMSPGTVMEILHALKESEVLCIPGVLTPTEVISASNAGAEVVKVYPVSVMGGEVYMLALKKPFPFLPMVASQGISIDSIKGYLEAGASAVVLSDAIFDKELMRERKFDEISELANLATLRASQSGT >ONIVA07G06050.2 pep chromosome:AWHD00000000:7:4898972:4902947:1 gene:ONIVA07G06050 transcript:ONIVA07G06050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAAAHSPASTALPRRRRRAAAPPSRKTPESQALRSILHSRVIACLRAQDGETAMQAARAAVRGGVSVLEIVMSTPGALEVVIGDLRRSYPSLTFGVGTVLNPEDARKAITAGAQFLMSPGTVMEILHALKESEVLCIPGVLTPTEVISASNAGAEVVKKPFPFLPMVASQGISIDSIKGYLEAGASAVVLSDAIFDKELMRERKFDEISELANLATLRASQSGT >ONIVA07G06040.1 pep chromosome:AWHD00000000:7:4894503:4895977:1 gene:ONIVA07G06040 transcript:ONIVA07G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial acyl carrier protein 1 [Source:Projected from Arabidopsis thaliana (AT2G44620) TAIR;Acc:AT2G44620] MAAAALRPAILRRIRLSPSPAAAAGAAAASQPHALARWLARPMSSHDAHLTRDEVVDRLLDVLKCHPKVDPSKVSPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDQEADKIDSLPLAIEYVANHPMAA >ONIVA07G06030.1 pep chromosome:AWHD00000000:7:4886332:4892257:1 gene:ONIVA07G06030 transcript:ONIVA07G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSLRRAATVAGGARFKNVSHLLPTCSGIMDSNLNGGSLRGLGEIGCQVSCQELPFGYSGTGFPSIRRLSSEPAERPFGNKNDSEILGEDASDQLPDDNLECDDELDQHNVMIDASEAKAKNVRSQFPAIDRSGDTKLQNKTYRSYLFQIVLDAPSNSLRHVLDKWIEDGNQLERNDAMLVLFHLRKQHMYRKALQFVEWMERGKLLNFEERDYACHLDLIARSHGIETAQKYIKRVPLPFRNEVLYETLIVNCVLAGDIQKAEEVFKEIKDLCLRLTVTLCNQMILLYKRIAPGKVASVLMLMEKENVKPSAFTYRLLIDLKGRSNDLAGIEVVLNEMKAYGIEPSTSTQTMVARFYIHGGLTEKAEAVVKEMEAQLSNSKDGRHVIKSLLHLYAALNKPNDVARIWEMCTEPKLEDFLSVIKAWGELGLIEKAEETFEAMANAPEKLSSKYYNAMLNVYAQNKLLSKGKQFVERMCRDGCPNGPLTWDALINLYGYAKRGDIHNTEKIFDRLKNVGYAPRPLHYAVLLEAYVNAKVPAHGFLERMRGDNVRPTKKIVTSLDTLQKGWIAGLD >ONIVA07G06030.2 pep chromosome:AWHD00000000:7:4886332:4892257:1 gene:ONIVA07G06030 transcript:ONIVA07G06030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSLRRAATVAGGARFKNVSHLLPTCSGIMDSNLNGGSLRGLGEIGCQVSCQELPFGYSGTGFPSIRRLSSEPAERPFGNKNDSEILGEDASDQLPDDNLECDDELDQHNVMIDASEAKAKNVRSQFPAIDRSGDTKLQNKTYRSYLFQIVLDAPSNSLRHVLDKWIEDGNQLERNDAMLVLFHLRKQHMYRKALQFVEWMERGKLLNFEERDYACHLDLIARSHGIETAQKYIKRVPLPFRNEVLYETLIVNCVLAGDIQKAEEVFKEIKDLCLRLTVTLCNQMILLYKRIAPGKVASVLMLMEKENVKPSAFTYRLLIDLKGRSNDLAGIEVVLNEMKAYGIEPSTSTQTMVARFYIHGGLTEKAEAVVKEMEAQLSNSKDGRHVIKSLLHLYAALNKPNDVARIWEMCTEPKLEDFLSVIKAWGELGLIEKAEETFEAMANAPEKLSSKYYNAMLNVYAQNKLLSKGKQFVERMCRDGCPNGPLTWDALINLYVNSGEVEKADSFLLNVAEENPDRKPLFTSYFFLMKGYAKRGDIHNTEKIFDRLKNVGYAPRPLHYAVLLEAYVNAKVPAHGFLERMRGDNVRPTKKIVTSLDTLQKGWIAGLD >ONIVA07G06020.1 pep chromosome:AWHD00000000:7:4836730:4837305:-1 gene:ONIVA07G06020 transcript:ONIVA07G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVVMVKKKAKKEKKKKMKREERRVYNLHRGVATALSRYCMYLVARSPELLPDNERWVADRYGDMRAFLDEASRRRCCCCCRCRLWKCGCWRTVLMDMDAGDVADPAAKAGVKLFRKLHTDDAASAWKELADFWVRMVVYLAPSNDVEGHAMALADNGGDLITYLWAFCMHAGIIRDPREYSPSPEGDQV >ONIVA07G06010.1 pep chromosome:AWHD00000000:7:4834616:4835086:-1 gene:ONIVA07G06010 transcript:ONIVA07G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCFNRRLLLLLAGVAAAVLLSGLAVGAAAGGRRWEWESQCQPGEAFPHNPLSGCRGYVISRACPGHGPRRLEMAKARCCRDLATVQPRFRCEALPLFMDGVGELRGCPREAQRAAAAALMAAGECDLRGSSGETGRCYWPWLVGVGDGGVPMY >ONIVA07G06000.1 pep chromosome:AWHD00000000:7:4810687:4813249:-1 gene:ONIVA07G06000 transcript:ONIVA07G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDNEIRWVHALKLAMKVWISDVALPWAIVVLNSPRCNPSPSPQWVGALLLKFEAPLPCGADTVSQLQIWPATGYS >ONIVA07G05990.1 pep chromosome:AWHD00000000:7:4804308:4808976:-1 gene:ONIVA07G05990 transcript:ONIVA07G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNSFLNQELVKAADGHLSSSSHGGTCHTNTRAAPITVGVPDLGCPVSSIGVATSSSLTPKEGLATASYNSVVAFPVGATMVPQQQQTQAAGSNDNPGLVKGGWTREEDEVLRQMVRHHGNRKWAEIAKSLPGRVGKQCRERWTNHLHPDIKKDIWTEEEDMMLIEAHQTYGNSWSAIAKRLPGRSENTIKNHWNATKRSLNSKRRLRKKNSEETVPGQPSLLENYIRSCQHMLPSEIAPPPPAPPAPFDISRYGNSGVIGASPIVPVVQEPSTSTPPGLVMFLDLLNQAIPHPPQPETMDLFNMTPEVSHLNTSGYCLQLDAGGNLYYGQLPAPAPVQPHGINTQELQDTPHLSLYYPLSSFAGSHTDGTVEFDHQLSNPDGGHYGEEAGPSSVAAGGSANGMDDNDVVQMASNQFMMPSEDEGNSFLNQELLMVVNNMTDSHIMRSHGGASY >ONIVA07G05980.1 pep chromosome:AWHD00000000:7:4802722:4804121:-1 gene:ONIVA07G05980 transcript:ONIVA07G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAGSNDNLGLIKGGWTREEDEVLRQMVRHHGDCKWTEIAKSLPSQTGKQCRERWTNHLYSEIKIEEEHMKLIEVHRTYGNRWSAIVRWLPGRSENTVKNHWNLTKRSLNSKQRLRKKNSEKAVPRQPSHLEEYICSFQNPLLNETAPSPLAPPAPFDIVRYGTSRLIVVIPTPLVI >ONIVA07G05970.1 pep chromosome:AWHD00000000:7:4802401:4802687:-1 gene:ONIVA07G05970 transcript:ONIVA07G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFLNLLNQAIPHSPQPQTISLFNMTLLPHLNTSGGQPTIWQAASDGTSAATWDQCSRVVGCSAPGPILPAIITRWEPRGRPHRF >ONIVA07G05960.1 pep chromosome:AWHD00000000:7:4796429:4799647:-1 gene:ONIVA07G05960 transcript:ONIVA07G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:UniProtKB/TrEMBL;Acc:A0A0E0HY64] MAEHDLTARMAGQMDCHLVFPLLEFLQERALYANKEILEAKLRLLSGTNMVDYAMDIHKSLHDTDDVPDDMVRRRTDVVSRLRALDEATAPIVSFLQNQQLVQELRPDKQYNLHMLQDRFQIGPEQIETLYQYAKFQFDCGNYSDAAVYLYQYRALCTNSERSLSALWGKLAAEILMQNWDVALDELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSIFIFFNHENGRNGIIDLFFQDRYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLYVNYDFDGAQQKLIECEQVILNDPFLGKRIEEGNFVTVPLRDEFLENARLFIFETYCRIHRCIDIGMLSQKLNMTYDEAELWIMNLVRNSKLDAKIDSVSGTLIMTTNHVNIHEQVIESLKNLNMRTFLLAKNIVEPAQAAQQAAR >ONIVA07G05950.1 pep chromosome:AWHD00000000:7:4786193:4789511:-1 gene:ONIVA07G05950 transcript:ONIVA07G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYLNISRQAAPAPQPACTNVTKLSNELLEAKVVFAVVVMAILAGVMSVVGVERLIRGRFTLFSTVRFLLRSSFLLSLPLLSSMSRDPAHADSVLFVLLWMLLIELLSKKAFAMVRSSGGEFSRATAGGRFRLTDHYGEVTNLAWIGWLIFQNTYYSKSLNNGGEGKTVVAMFAVLWSLVLTKLVQRVFNERKAQESLTAAGNTHLIAGYMQHVVENASSGDADALARCKYVVMGEEKLVVHTANKKKMKKKRDGGGDDNVVTITTHGCGYGVGRYPNHQSEQKHVNLLVDLAKSGEVVTIDEITNKIKVPDWCCCFTGRRFTDHMHQLCFSFSLFKLLRRRFEHYPMVEAGTKTSKQLLLDKLLAVEGGAAKKTFRVMRQELDFLDSYYDPGAPVAMSSPWLFIFNYFFSLVFVSTYLVAVIIVLLEMKNAQLSNNLPLYFAISILLVATLIAVEFTELLTSYILSNWFMVHLLCLLAGDGGGLIWTWAFKPAIRLFIAGRYLLFYSFQCMLWLSCRGTNVDTIKIKQVSILRVCEPIHKLLSWSPQVKLATDGETAIVKFLEKVVRDSVDDNKDLQCMLSGLELKKGAETATQVILACHLATELLEMKHVVMVDKKAKKKKATRKMNRDERRDYRLHRGVATALSRYCMYLVARSPELLPDNERWVSDRYGDMKDFLKEASRRRCCCCCPFRLWKCGCWRTVLMDMDADDVADPAAKAGVKLFRELDKADAASAAWEGLFDFWTKMVVYIAPSNDVEGHASALAGNGGDLITYLWALCTHAGIIRDPSDDKSPEGGQV >ONIVA07G05940.1 pep chromosome:AWHD00000000:7:4783718:4784158:-1 gene:ONIVA07G05940 transcript:ONIVA07G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCFNRRLHLLLAGVAAAVLLSGLAVGAIAAGCWESQCQPGEAFPHNPLSGCRGYVISRACPGHGPRRPEMAKARCCRELAAVQPRCRCEALRLHMDGVGELRGCPREAQRAAAAALLAEEECDLWSGSGKTGRCYWPCQCTEK >ONIVA07G05930.1 pep chromosome:AWHD00000000:7:4755109:4758923:-1 gene:ONIVA07G05930 transcript:ONIVA07G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSWSGGSGGRAAFSRATAGGGRFRPMSHSDELTKLAWIGWLIFQNTYYSKSKPFKTYKVVVMFAVLWSLVLAKLLQRLFNEWNSQQSVAAAASDNSCFISSYMSRLEDLQSRPGYPIPVMNRCKYVVMGEEKLLHVAKKKMSDGGGNDDDNVFTISITTPDCGYGVGMYPHHQGEQKHANLLIDMAKSNEVVTVEEITNKIRVLPNWCYCGRQFTQHMHQLCFSFSLFKLLRRRFEHYPLVEVGSRTSRQLLLEEPLVGGGTGNKTTFRVMRQELDFLDRYYDSSAPIPMAMSAPWLIILNYLFSLLFVCTYVAAVSIVLLEVRQHSEALASTLTDLRLYVAVSILVVVTLVAVEFAELLTTYLLSDWFMVHLLCLLAGDGGSRIWRSAFKPAIRLFIADRFMLFYSFRCLLCLSCRGTNVDSTIMLKQVSILRVCEPIHKFLSWSSQVKLAKQGETAIVKVLEDVNIIG >ONIVA07G05920.1 pep chromosome:AWHD00000000:7:4730942:4731436:-1 gene:ONIVA07G05920 transcript:ONIVA07G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTASKNLLLSAAVLLSVLATAAAASAATTSCQPGMAIPHDPLRGCRRYVLRRACGLAAGGRLYDWSLKERCCRELAAVPAYCRCAALAYFMDGVAASSSSSSPPSSGEFEGRLLEDLPGCPRETQRGLAAMLTTPGECNLETIHGGPYCLELTDREMPKY >ONIVA07G05910.1 pep chromosome:AWHD00000000:7:4714329:4717960:-1 gene:ONIVA07G05910 transcript:ONIVA07G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMVLLATRPGVVCHRHRLPVISCATNTKPLSRLNLPPGPSTLPLIGSIHHFVPSSESMHGAMRRLAREHGPVMQLWFGEVPTVVASSPEAAQEVLRSKDLAFADRHMTSATAAFSFGGRNVALAPYGERWRHLRRLLTQELLTAARVRSFRRVREEEVARLVRDVSAAAAASGGAAVNLTEMAAKLINDIVLRCSVGSRSKHSDEYLAALHAMVVQSFSLSVADLFPSSKLASMVAMAPRRALANRKKMERIIEQIIQERKDQMETDTGDQAAAAAAESKSCSLDDLLRLQKEGGGPMPITNDVIIVLLMDMFAAGTDTSSTTLIWTMAELIRSPRVMAKAQAEVRQAFEGKNTLTEDDLAQLSYLKMVIKESLRLHCPVPLLAPRKCRETCTIMGYDVPKGTSVFVNVWAICRDSKYWEDAEEFKPERFENNNIEYKGSNFEFLPFGSGHRICPGINLGLANMEFALANLLYHFDWKLPNGMLHKDLDMREAPGLIAAKHTSLNVCPVTHIAPSCS >ONIVA07G05900.1 pep chromosome:AWHD00000000:7:4694999:4697291:1 gene:ONIVA07G05900 transcript:ONIVA07G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRSQRDRMDLNHIDFAESVKQRDILKPLVPMMLGRTTIGNCNPEVEE >ONIVA07G05890.1 pep chromosome:AWHD00000000:7:4678162:4678617:-1 gene:ONIVA07G05890 transcript:ONIVA07G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIAACSATAQFDVLGQNIRQYQVQSPLLLQQQVLSPYNEFVRQQYSIAASPFLQSAAFQLRNNQVLQQLRLVAQQSHYQDINVVQAIAQQLHLQQFGDLYIDRNLAQAQALLAFSLPSTYGIYPRYYSAPRSITTLGGVLY >ONIVA07G05880.1 pep chromosome:AWHD00000000:7:4663588:4665901:1 gene:ONIVA07G05880 transcript:ONIVA07G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVGICEEIRGIDINTVLNGSLQMEQISDHLSPIQNITHSCLPVLEEMDKIVGGSTQTSITTSECLGCKTIVKYSETNSWK >ONIVA07G05880.2 pep chromosome:AWHD00000000:7:4663588:4665901:1 gene:ONIVA07G05880 transcript:ONIVA07G05880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVGICEEIRGIDINTVLNGSLQMEQISDHLSPIQNITHSCLPVLEEMDKIVGGSTQTSITTSECLGCKTIVKYSETNSWK >ONIVA07G05870.1 pep chromosome:AWHD00000000:7:4655158:4655631:-1 gene:ONIVA07G05870 transcript:ONIVA07G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVVISALLVVVVSVLAATTTMADHHQEQVVYTPGQLCQPGIGYPTYPLPRCRAFVKRQCVAPGTVDEQVRRGCCRQLAAIDSSWCRCDALNHMLRIIYRESGAADAGHPMAEVFRGCRRGDIERAAASLPAFCNVDIPNGVGGVCYWLPGTGY >ONIVA07G05860.1 pep chromosome:AWHD00000000:7:4652881:4653384:-1 gene:ONIVA07G05860 transcript:ONIVA07G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVVFSALLLAIVSVLAATATMADHHKDQVVYSPGEHCQPGMGYPMYSLPRCRAVVKRQCVGHGAPAGGAVDEQLRQDCCRQLAAVDDSWCRCSALNHMVGGIYRELGATDVGHPMAEVFPGCRRGDLEHAAASLPAFCNVDIPNGTGGVCYWLGYPRTPRTGH >ONIVA07G05850.1 pep chromosome:AWHD00000000:7:4649033:4649458:-1 gene:ONIVA07G05850 transcript:ONIVA07G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMADHHQVYSPGEQCRPGISYPAYSLPQCRTLVKRQCVGRGAASAADEQVWQDCCRQLAAVDDGWCRCGALDHMLSGIYRELGATEAGHPMAEVFPGCRRGDLERAAASLPAFCNVDIPNGPGGVCYWLGYPRTPRTGH >ONIVA07G05840.1 pep chromosome:AWHD00000000:7:4646185:4646595:-1 gene:ONIVA07G05840 transcript:ONIVA07G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHGQVVYTPGQLCTAGRGYPMYPLPRCRALAKRQCAGGAVDEQVRQDCCRQLAAIDDSFCRCPALSHMLVGMYKELGAPADGKPMDEVFPGCRRGDMKRAAASLPAFCNVDIPIGIGGVCYWLSYPMSPMTGH >ONIVA07G05830.1 pep chromosome:AWHD00000000:7:4640450:4644199:1 gene:ONIVA07G05830 transcript:ONIVA07G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFHTNLQLIQLAVNLILFQPCFLLLQTAVAVAGHGGISLTSQQMALLQWKFSLRRSSSVPVLNSWQNHTTPCNWTGIECSRNAPLVVTTISLPNSSISGRLGELNFSALPFLNYIDLSSNSLIGEIPPSIGTLSALSYLDLTDNMLHGRIPSEIGDMRSLSQYLGLSLNNLTGHIPPSLGNLTMLMDISIHLNDLVGPIPEELGKLTNLVNLELSGTSLSGQIPTSISNLTKLRLLYLHSNQLSGPIPPSLGNLKEMQDLELANNHFTGGIPISFCNLTQLNILYLAMNQLTGYVPQEISWLSNLTSLVLYTNQLRGPIPASIGNLTRLNYLYLYENQFMGFIPDEIGNLVNLEAMFIADNQISGSIPATFSNLTSMRRLSLFDNTLSGPLPQEFEYLTGLVELSLFNNSLSGNLPSEICKGGQLETFNVANNMFTGRVPRSLKTCKSLKSLHLAYNQITGDISDFGPYPQLIDANLEENNLYGELSKNWAESTNLNSLALARNMITGALPPELSRLIRLEILVVHTNNLTGEIPPGIGNLANLYMLTLARNKFIGHIPSEFGRMRGLQHLDISSNKLSGSIPPELGNCTKLIYFNINGNNLSGGFPVTIGNLVNLQIKLDASNNKFTGGIPAQLGNLAMLELLNLSHNQFNGNIPSSFANMVSLSTLDLSYNNLEGPLPTGRLFGNASTSSWFLHNKGLCGNMSGLPPCYSAPKLDHHKRNFHNLALAISLPTCIALILATFIVIMAFHRRKTSQQTTAIQRRDVFSVWNFDGKLAFEDITSATEDFNERHIIGAGGYGTVFKAQLQGGRLVAVKKLHPTEAEMTDEKMFLNEIEVLMKIRHRSIVKLYGYCSHPHYKFLVYDYIDRGSLHVTLENEEVAKELDWPKRAAIVRDVAQAIYYLHHECNPPIIHRDITSNNILLDSAFKAYVSDFGTSRILKPDSSNWSELAGTYGYIAPELSYTSVVTTKCDVYSFGVVVLEIVMGRYPRELQSITSAGQREKLALDNLDQRPLLSMMEEDIALLVKVAFACQQTSPQARPTMKDVYHILMHHPSSYCFPTTSEF >ONIVA07G05820.1 pep chromosome:AWHD00000000:7:4621701:4621946:-1 gene:ONIVA07G05820 transcript:ONIVA07G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGETTSGSSIATINNNLCRCPALSHLLVGMYKELCAVTDGKPMDEVFPGCQRDNVKCVAASLLALCNVDIPIGISGVY >ONIVA07G05810.1 pep chromosome:AWHD00000000:7:4618043:4618510:-1 gene:ONIVA07G05810 transcript:ONIVA07G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNSKLSVSVAAALVALVVVVGAVVAAAAGGEDQYYGGGARAADGCEPGQGVVPKDPLPGCRAYLLRRCAGGDPPGVRARCCHQLREVAPRCRCDALRAMVEVLVEEEEAPPACKKGAMAAIAEGLPGRGECDLDTRAGADDGGSRRCHLVIN >ONIVA07G05800.1 pep chromosome:AWHD00000000:7:4607129:4610133:-1 gene:ONIVA07G05800 transcript:ONIVA07G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSKIPGQRLLCGSLQLVLVQKQEKNWKCLGGETQDPDSITAMVEGFLQTGVDSVVCMVRLGVRGIASLEAAVGKGWSGEEIEGEECEDDDVVGACGSHCGHGVDGARRLGFDVPARVLNCFDRYSNEVPQILLHYQDMNRKCSREELSRHMLLGGLSCGHTANPNFETATKNSESGNLIWATDVKFWAGRFSI >ONIVA07G05800.2 pep chromosome:AWHD00000000:7:4607129:4610133:-1 gene:ONIVA07G05800 transcript:ONIVA07G05800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSKIPGQRLLCGSLQLVLVQKQEKNWKCLGGETQDPDSITAMVEGFLQTGVDSVVCMVRLGVRGIASLEAAVGKGWSGEEIEGEECEDDDVVGACGSHCGHGVDGARRLGFDVPGSREELSRHMLLGGLSCGHTANPNFETATKNSESGNLIWATDVKFWAGRFSI >ONIVA07G05790.1 pep chromosome:AWHD00000000:7:4605808:4608789:1 gene:ONIVA07G05790 transcript:ONIVA07G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVGSAPETMDLETENRLASLLLEEARRLQAEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWRAREKELELESKMKRRTKDLGDARGEKRKSDLRNQSSSPRVEEEGIAYNSSYSDQEDGLGDDDIEKFLHSRVKRGRGAVGSRMDEPGPYLNVASRSQDKDNGPNADTRVEEKWERRVQGPEKPLFLRSRSPDDYWRKETLDGEPSSPELHKKKEKKKEKSSEKKDRKEKRKKKDKKKSKHRHHHHHKSRQRE >ONIVA07G05780.1 pep chromosome:AWHD00000000:7:4593767:4602182:-1 gene:ONIVA07G05780 transcript:ONIVA07G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41720) TAIR;Acc:AT2G41720] MSSAAPPPPRSPAATPDAAASASPARTRVVRAPPRPRPRRAHPGATPPEWAERRPAVSVDYDRGRREARAEVEGVGAAALPARHRLRVEGSRWQRDWKVSEAAARVLALPRAEAGAVDAVLNCWAGRFARRNFPLLIREITISGNLQHAVHVFRWMKNQDNYCARNDIYGMMIRLYARHNQVDQARGLFFEMQEWRCKPDADIYNSLIHAHSRAGQWRWAINIMEDMLRAAIPPTRTTYNNVINACGATGNWKKALELCKKMTENGVGPDLVTHNIVLSALKNGAQYSKAISYFEIMKGANVIPDTFTLNIIIHCLVKIGQCGEANELFNSMRERRTKCPPDVVTYTSIMHSYCVYGQVENCKAIFDLMVAEGVKPNIPEKAREVFNKMKKNSCKPNKVSYNALIDAYGSAGMLKDAVGLLHEMEKDGIQPDVVSISTLLAACGRCRQITRIETILEAARSRGIDLNTVAYNSGIKSYLSFGDYEKALELYTTMRESNVKPDAVTYNILISGSSKLGKYTESLRFFEDMVESKVSSTKEGKLSEAESTFSSMKKSGCFPDVLTYTTLIQAYNADGGWKRAWDLFKEMEVNGIPPDAIICSSLMEAFNKGGEPERVLQLMEFMKKKSIPLNQKSYFEIIASCTMMRDWKTASEMIEYLDSSLSSISVGTLNHVLNFLGKCGKTENMMKLFYKMMTSCSTVGLSTYAVVLRNLLVVGKWRKYIEVLQWMEDAGVHPTLYMYQNVLPYIWRENGMDFAATMQEKISSLRDKQTWPY >ONIVA07G05780.2 pep chromosome:AWHD00000000:7:4593767:4602182:-1 gene:ONIVA07G05780 transcript:ONIVA07G05780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41720) TAIR;Acc:AT2G41720] MSSAAPPPPRSPAATPDAAASASPARTRVVRAPPRPRPRRAHPGATPPEWAERRPAVSVDYDRGRREARAEVEGVGAAALPARHRLRVEGSRWQRDWKVSEAAARVLALPRAEAGAVDAVLNCWAGRFARRNFPLLIREITISGNLQHAVHVFRWMKNQDNYCARNDIYGMMIRLYARHNQVDQARGLFFEMQEWRCKPDADIYNSLIHAHSRAGQWRWAINIMEDMLRAAIPPTRTTYNNVINACGATGNWKKALELCKKMTENGVGPDLVTHNIVLSALKNGAQYSKAISYFEIMKGANVIPDTFTLNIIIHCLVKIGQCGEANELFNSMRERRTKCPPDVVTYTSIMHSYCVYGQVENCKAIFDLMVAEGVKPNIVAYNSLLGAYASRGMHREALAIFNLIKKNGLRPDIVSYTSLLNAYGRSAQPEKAREVFNKMKKNSCKPNKVSYNALIDAYGSAGMLKDAVGLLHEMEKDGIQPDVVSISTLLAACGRCRQITRIETILEAARSRGIDLNTVAYNSGIKSYLSFGDYEKALELYTTMRESNVKPDAVTYNILISGSSKLGKYTESLRFFEDMVESKVSSTKEGKLSEAESTFSSMKKSGCFPDVLTYTTLIQAYNADGGWKRAWDLFKEMEVNGIPPDAIICSSLMEAFNKGGEPERVLQLMEFMKKKSIPLNQKSYFEIIASCTMMRDWKTASEMIEYLDSSLSSISVGTLNHVLNFLGKCGKTENMMKLFYKMMTSCSTVGLSTYAVVLRNLLVVGKWRKYIEVLQWMEDAGVHPTLYMYQNVLPYIWRENGMDFAATMQEKISSLRDKQTWPY >ONIVA07G05770.1 pep chromosome:AWHD00000000:7:4557462:4570523:-1 gene:ONIVA07G05770 transcript:ONIVA07G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTKAVVIVVEDELPGRYAYEYISPCNCKGNSHVVVVIDGNKTTAAAPTNRRDPEVCPLISKVHGRVRSIDPGAYDPMVVSLGPCHAGRDDLLQMQREKPMCLREICSLTGRTELYFLQQVISAHLRQQALAYYLHGIHDMKPAAAAAGRNESDNIILLNFRFNRMLLHDAAFLLVTMKALDNVNEAAAAHGERRTHGRWTDVAIVHDLLLLENQIPFAVVEKLYYEVAAVGEDDDEHCKPFSDVMRDFVRSIIEKHANRGCSIHQNGRAVHHLLHQCHMLLEPTKSPVTGDTTGSRDDDDDDDASVDRLKRRWHRAVQYHVAGVGLTKRIFDGGVRHHRLLDVEYRSGALEIPS >ONIVA07G05760.1 pep chromosome:AWHD00000000:7:4548308:4551633:-1 gene:ONIVA07G05760 transcript:ONIVA07G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEHGRRLVAGCIPFRYKDNNDETSDDGHKKLVEVLMINSQSGSGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVQLLGFYDFKSKTHQDKFCPEGMCRAAVFALRVKEELASWPEQSTRKRTWLTLSEAVERSRYPWVREALTTGFTTWHENWSNGDDHVDPSSR >ONIVA07G05750.1 pep chromosome:AWHD00000000:7:4544412:4547561:1 gene:ONIVA07G05750 transcript:ONIVA07G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast stem-loop binding protein of 41 kDa [Source:Projected from Arabidopsis thaliana (AT3G63140) TAIR;Acc:AT3G63140] MAFSSTAAAASTAFVSGHRRRGGACHLLSSSFLIAFPRAAAERRCGAAAAAPRRVGAVTVRAQAAAAGAGKKSVLIVNTNGGGHAVIGFYLAKDLLAAGHAVTVLTVGDEGSDKMKKPPFSRFSELTSAGATTVWGDPADVGAAVGGGASFDVVLDNNGKDLDAVKYYYSPPPPLASLRAGVRVLTKVFDVPVVDWAKAAGVAQFLFVSSAGIYTPSEEPPHVEGDAVKESAGHVGVEKYIAEQFGSWASFRPQYMIGSGNNKDCEEWFFDRIVRGRPVPIPGSGMQVTNISHVRDLASMLALAVESPGAAAGRIFNCVSDRAVTFNGLVKMCAAAAGAQPEILHYDPAAVGVDAKKAFPFRNMHFYAEPRAAKEVLGWRSSTNLPEDLKERFAEYASSGRGQKEMSFDLDDKIIAAA >ONIVA07G05750.2 pep chromosome:AWHD00000000:7:4544412:4547561:1 gene:ONIVA07G05750 transcript:ONIVA07G05750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast stem-loop binding protein of 41 kDa [Source:Projected from Arabidopsis thaliana (AT3G63140) TAIR;Acc:AT3G63140] MAFSSTAAAASTAFVSGHRRRGGACHLLSSSFLIAFPRAAAERRCGAAAAAPRRVGAVTVRAQAAAAGAGKKSVLIVNTNGGGHAVIGFYLAKDLLAAGHAVTVLTVGDEGSDKMKKPPFSRFSELTSAGATTVWGDPADVGAAVGGGASFDVVLDNNGKDLDAVKPVVDWAKAAGVAQFLFVSSAGIYTPSEEPPHVEGDAVKESAGHVGVEKYIAEQFGSWASFRPQYMIGSGNNKDCEEWFFDRIVRGRPVPIPGSGMQVTNISHVRDLASMLALAVESPGAAAGRIFNCVSDRAVTFNGLVKMCAAAAGAQPEILHYDPAAVGVDAKKAFPFRNMHFYAEPRAAKEVLGWRSSTNLPEDLKERFAEYASSGRGQKEMSFDLDDKIIAAA >ONIVA07G05740.1 pep chromosome:AWHD00000000:7:4519421:4523547:-1 gene:ONIVA07G05740 transcript:ONIVA07G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSLMSMYCKCGDLSSACKLFGEMHTRDVVVWNAMISGYAQHGDGKEAINLFERMKDEGVEPNWITFLAVLTVCIHTGLCDFGIQCFEGMQELYRIVPRVDHYSCMVDLCLGRAANLERAVDLIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLIEKDPQSACAYIQLANIYAVANQWDDVSRVRRWMKDNAAVKTPGYRWIEINDHLDFVFHDVDETLKVQMLMRHSEKLAIAFDLISTAPGMTLRIFKNHRIGEGQQSASSAKGLSELSGPRSNIGIKRMGELDDKHFLAACKKRGGTTEQIINEDDEKLVGLKEQLGYEVDKAVTTALLEINEYNAIMRNRAQCGFLGQALPEFLLPRPTQHAGPPFGHSPPVTGAENELPFCRSLRYLEPLALPLRTILQARLILCRRPFLASARSRTRSLTVAAITAAVRRGDLAGADEAFASTPRKTTATYNCLLAVYARASGRLADVRHLFNRISTLDVVSYNTLLSCHIASGDADGARRLFASMRVRDVAS >ONIVA07G05740.2 pep chromosome:AWHD00000000:7:4518977:4519414:-1 gene:ONIVA07G05740 transcript:ONIVA07G05740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELLKSGAVEEAKVVFLAMPVRNSVSWNAMICLLGDMSVVEENAPEKGDTVLWTAMVSGYMDIDNAVKGIEYFEAMPVRNLVSWNGVVAGYVKNSHADDALRLFRTMVKELGQCSAKCIDVKQRTSCTTTKSDFSI >ONIVA07G05730.1 pep chromosome:AWHD00000000:7:4501861:4518272:1 gene:ONIVA07G05730 transcript:ONIVA07G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIPVQEQAYGAECLDIQMFMFQIIGAKHQDGCIHSMCLGATTQQEAAAMARAAVVAAAASERRSSSTCVAVFAGSVNFSLPTWLDPLISPPLLSGSGLPAHGGRDSVELGEAHWDARDAGGDMKFKHHLCHLPAYGGSSDAKLGDRRMMPLVVPATRGMFASTILVLFGALHRRSLGKCAGLRVVRASGEEEVAPCSSRAKRAGSSLGTSRAEPGFQLVRITSRAELARYPNELDRAEPS >ONIVA07G05730.2 pep chromosome:AWHD00000000:7:4501861:4518272:1 gene:ONIVA07G05730 transcript:ONIVA07G05730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRHTGQNAKHQDGCIHSMCLGATTQQEAAAMARAAVVAAAASERRSSSTCVAVFAGSVNFSLPTWLDPLISPPLLSGSGLPAHGGRDSVELGEAHWDARDAGGDMKFKHHLCHLPAYGGSSDAKLGDRRMMPLVVPATRGMFASTILVLFGALHRRSLGKCAGLRVVRASGEEEVAPCSSRAKRAGSSLGTSRAEPGFQLVRITSRAELARYPNELDRAEPS >ONIVA07G05730.3 pep chromosome:AWHD00000000:7:4501859:4521388:1 gene:ONIVA07G05730 transcript:ONIVA07G05730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLLLSPHPIHHDQEEAALLLHLRHNRRRRHGGCPRCFRFRRQGKRQESSTHTSTSGPPRGRSRHTGQNAAPWSRPCRPRSRAAPSSPLASHHLH >ONIVA07G05730.4 pep chromosome:AWHD00000000:7:4501846:4518272:1 gene:ONIVA07G05730 transcript:ONIVA07G05730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGAPIAAVSSPTPSPLLRPLPPLALPHGHHLPAALPPPHPPRPGGGCSSPPPAAQSPTPPWRVPPLLPVPAAREAPGVVDSHLHVWASPRQTDDDATAGACIHACPDHAADATPRSQLTNAIIGAKHQDGCIHSMCLGATTQQEAAAMARAAVVAAAASERRSSSTCVAVFAGSVNFSLPTWLDPLISPPLLSGSGLPAHGGRDSVELGEAHWDARDAGGDMKFKHHLCHLPAYGGSSDAKLGDRRMMPLVVPATRGMFASTILVLFGALHRRSLGKCAGLRVVRASGEEEVAPCSSRAKRAGSSLGTSRAEPGFQLVRITSRAELARYPNELDRAEPS >ONIVA07G05730.5 pep chromosome:AWHD00000000:7:4501869:4518272:1 gene:ONIVA07G05730 transcript:ONIVA07G05730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALSYPTSHHPTSPRPHVHRRRPHRRRLLPDSVSIAAPASSTGASSWPPPPCCSPPTPSTTTRRRLLFSSTCGTIADAAMEGAPAASGSGGKGSARSRRLTPPRLGLPAADPFLFRSRHTGQNAKHQDGCIHSMCLGATTQQEAAAMARAAVVAAAASERRSSSTCVAVFAGSVNFSLPTWLDPLISPPLLSGSGLPAHGGRDSVELGEAHWDARDAGGDMKFKHHLCHLPAYGGSSDAKLGDRRMMPLVVPATRGMFASTILVLFGALHRRSLGKCAGLRVVRASGEEEVAPCSSRAKRAGSSLGTSRAEPGFQLVRITSRAELARYPNELDRAEPS >ONIVA07G05720.1 pep chromosome:AWHD00000000:7:4496803:4501714:-1 gene:ONIVA07G05720 transcript:ONIVA07G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGPNFPLPSPLLSSLSPYSLSAHSLSLPSPLILAAVEQWRRLVAAGDSRGGGGGSAARRRGRRATRPRGLAPGAQGAGRQPARRRLVRQRGARGRGPRSLVPGRGRAAAARGRAAACQRFGLRGNGAGCGVVATTRTGTGAQQHTHRSVVFELLEDIETGQLQLR >ONIVA07G05720.2 pep chromosome:AWHD00000000:7:4496803:4501714:-1 gene:ONIVA07G05720 transcript:ONIVA07G05720.2 gene_biotype:protein_coding transcript_biotype:protein_coding LGPNFPLPSPLLSSLSPYSLSAHSLSLPSPLILAAVEQWRRLVAAGDSRGGGGGSAARRRGRRATRPRGLAPGAQGAGRQPARRRLVRQRGARGRGPRSLVPGRGRAAAARGRAAACQRFGLRGNGAGCGVVATTRTGTGAQQHTHSIGKCSGCHTGLR >ONIVA07G05710.1 pep chromosome:AWHD00000000:7:4487484:4496585:-1 gene:ONIVA07G05710 transcript:ONIVA07G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCRRPFLAIARSRARSLSTAAVAAAVRHGDLAGAEEAFVSTPRKTTATYNCLLAGYARAPGRLADARHLFDRIPTPDVVSYNTLLLCHFASGDADGARRLFASMPVRDVASWNTMVSGLSKSGAVEEAKVVFLAMPVRNSVSWNAMVSGFACSGDMSTAEEWFRNAPEKEDAVLWTAMVSGYMDIGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSHADDALRLFRTMVREANVQPNASTLSSVLLGCSNLSALGFGKQIHQWCMKLPLSRNLTVGTSLVSMYCKCGDLSSACILFGEMHTRDVVAWNAMISGYAQHGDGKEAINLFERMKDEGVEPNWITFVVVLTACIHTGLCDFGIQCFEGMQELYGIEPRVDHYSCMVDLLCRAGKLERAVDFIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLIEKDPQSAGAYVQLANIYAVANQWDDVSRVRRWMKDNTVVKTPGYSWIEIKGVLHEFRSNDRLHPQLYLIHEKLGQLAERMKEMGYVPDLDFVLHDVDETMKVQMLMRHSEKLAIAFGLISTAHGMTLRIFKNLRVCGDCHNAAKVISMIEDREIILRDTTRFHHFRGGHCSCDDYWGLASGSKRIAMGGVGGCSQLCREQPPRAVGYIKIRPSNTVGNVPSYPGPPLPVFKINGADPATKQWLDDAGELAFHSSVMRLKLLGANEGSRRSSKSILKAT >ONIVA07G05710.2 pep chromosome:AWHD00000000:7:4487484:4496585:-1 gene:ONIVA07G05710 transcript:ONIVA07G05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILCRRPFLAIARSRARSLSTAAVAAAVRHGDLAGAEEAFVSTPRKTTATYNCLLAGYARAPGRLADARHLFDRIPTPDVVSYNTLLLCHFASGDADGARRLFASMPVRDVASWNTMVSGLSKSGAVEEAKVVFLAMPVRNSVSWNAMVSGFACSGDMSTAEEWFRNAPEKEDAVLWTAMVSGYMDIGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSHADDALRLFRTMVREANVQPNASTLSSVLLGCSNLSALGFGKQIHQWCMKLPLSRNLTVGTSLVSMYCKCGDLSSACILFGEMHTRDVVAWNAMISGYAQHGDGKEAINLFERMKDEGVEPNWITFVVVLTACIHTGLCDFGIQCFEGMQELYGIEPRVDHYSCMVDLLCRAGKLERAVDFIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLIEKDPQSAGAYVQLANIYAVANQWDDVSRVRRWMKDNTVVKTPGYSWIEIKGVLHEFRSNDRLHPQLYLIHEKLGQLAERMKEMGYVPDLDFVLHDVDETMKVQMLMRHSEKLAIAFGLISTAHGMTLRIFKNLRVCGDCHNAAKVISMIEDREIILRDTTRFHHFRGGHCSCDDYWGLASGSKRIAMGGVGGCSQLCREQPPRAVGYIKIRPSNTVGNVPSYPGPPLPILPLNNGANEGSRRSSKSILKAT >ONIVA07G05710.3 pep chromosome:AWHD00000000:7:4487457:4496585:-1 gene:ONIVA07G05710 transcript:ONIVA07G05710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILCRRPFLAIARSRARSLSTAAVAAAVRHGDLAGAEEAFVSTPRKTTATYNCLLAGYARAPGRLADARHLFDRIPTPDVVSYNTLLLCHFASGDADGARRLFASMPVRDVASWNTMVSGLSKSGAVEEAKVVFLAMPVRNSVSWNAMVSGFACSGDMSTAEEWFRNAPEKEDAVLWTAMVSGYMDIGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSHADDALRLFRTMVREANVQPNASTLSSVLLGCSNLSALGFGKQIHQWCMKLPLSRNLTVGTSLVSMYCKCGDLSSACILFGEMHTRDVVAWNAMISGYAQHGDGKEAINLFERMKDEGVEPNWITFVVVLTACIHTGLCDFGIQCFEGMQELYGIEPRVDHYSCMVDLLCRAGKLERAVDFIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLIEKDPQSAGAYVQLANIYAVANQWDDVSRVRRWMKDNTVVKTPGYSWIEIKGVLHEFRSNDRLHPQLYLIHEKLGQLAERMKEMGYVPDLDFVLHDVDETMKVQMLMRHSEKLAIAFGLISTAHGMTLRIFKNLRVCGDCHNAAKVISMIEDREIILRDTTRFHHFRGGHCSCDDYWGLASGSKRIAMGGVGGCSQLCREQPPRAVGYIKIRPSNTVGNVPSYPGPPLPILPLNNGANEGSRRSSKSILKVCRIFLSDGNRPPRMRGAHTE >ONIVA07G05710.4 pep chromosome:AWHD00000000:7:4491160:4496585:-1 gene:ONIVA07G05710 transcript:ONIVA07G05710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILCRRPFLAIARSRARSLSTAAVAAAVRHGDLAGAEEAFVSTPRKTTATYNCLLAGYARAPGRLADARHLFDRIPTPDVVSYNTLLLCHFASGDADGARRLFASMPVRDVASWNTMVSGLSKSGAVEEAKVVFLAMPVRNSVSWNAMVSGFACSGDMSTAEEWFRNAPEKEDAVLWTAMVSGYMDIGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSHADDALRLFRTMVREANVQPNASTLSSVLLGCSNLSALGFGKQIHQWCMKLPLSRNLTVGTSLVSMYCKCGDLSSACILFGEMHTRDVVAWNAMISGYAQHGDGKEAINLFERMKDEGVEPNWITFVVVLTACIHTGLCDFGIQCFEGMQELYGIEPRVDHYSCMVDLLCRAGKLERAVDFIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLIEKDPQSAGAYVQLANIYAVANQWDDVSRVRRWMKDNTVVKTPGYSWIEIKGVLHEFRSNDRLHPQLYLIHEKLGQLAERMKEMGYVPDLDFVLHDVDETMKVQMLMRHSEKLAIAFGLISTAHGMTLRIFKNLRVCGDCHNAAKVISMIEDREIILRDTTRFHHFRGGHCSCDDYWGLASGSKRIAMGGVGGCSQLCREQPPRAVGYIKIRPSNTVGNVPSYPGPPLPFRQCLKA >ONIVA07G05710.5 pep chromosome:AWHD00000000:7:4491160:4494496:-1 gene:ONIVA07G05710 transcript:ONIVA07G05710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGSKRIAMGGVGGCSQLCREQPPRAVGYIKIRPSNTVGNVPSYPGPPLPFRQCLKA >ONIVA07G05700.1 pep chromosome:AWHD00000000:7:4475113:4477632:1 gene:ONIVA07G05700 transcript:ONIVA07G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HY24] MSPGNLFADFTVLVVVVLAVAARPVSGQRSVYPSANLSTLWVNNKVLDTVTYDDGSTVRAIVHRSPQTFSDPSFAAGFFCTPPCQEFIFAVFILTATDAQNPLPVITMAQVVWCANRANSVGENATLELTGDGDLVLREKANGRLIWSSGTSGRSVRRMEITEQGNLVLFDQRNATAWQSFDHPTDALVPGQSLLQGMKLRANASATTWTGGKIYISVLHDGVYGYVESTPPQLYLKYEVSRNMSQRDPTRITFTNSSLSIFLQSTQPRNPDDSIPLPEAKSTQYIRLESDGHLKLYEWSTQGKWTMVLDLTKQLPLDDCAFPTVCGGYGICTGGQCSCPLESNSSSRYFQPIDYRRQSLGCAPVTPISCQEMKTHQLLTLTNVSYFDKSQIIMNAKNRDDCKQACLKNCSCKAVAFRYGQNDSNGECQSVTEVFSLQSIQPEKVNYSSSAYLKVQLTPSASDPTQNKKKTILTATLAAITTLVLLVIAAIYVRRRRKYQELDEELDFDTLPGMPTRFSFEKLRECTEDFSKKLGEGGFGSVFEGKIGEESVAVKRLEGARQGKKEFLAEVETIGSIEHINLVRLIGFCTEKSNRLLVYEYMPRGSLDKWIYYRHNNAPLDWCTRCKIIMDIAKGLCYLHEECRRKIAHLDIKPQNILLDENFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSKITEKVDIYSFGVVVTEIISGRKNIDISQPEESVQLINLLREKAQNDQLIDMIDKHSNDMVSHQEEVIQMMKLAMWCLQNDSSRRPSMSMVVKVLEGAMSVENCLDYSFFNANSVISAQGNLSTYSAPPQASILSGPR >ONIVA07G05690.1 pep chromosome:AWHD00000000:7:4460567:4463050:1 gene:ONIVA07G05690 transcript:ONIVA07G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAVVLATAAPSVSGQLLDYPTANLSTRITYTDGSAVRAIILRSTQTLYGPSFAAGFFCAPPCQAFLFAVFIANFNSSYGLPLEENNMARVIWSANRASPLGENATLELTGDGDLVLREIDGRLVWSSNTSGQSVAGMQITEHGNLVLFDQRNATVWQSFDHPTDVLVPGQSLLQGMKLRANTSTTNWTESKLYMTVLSNGLYGYVGSKPPQLYYKYEVGTGQKGKDPTRVTFTNGSLSIFLQSTQAGKPDKRIALPEDKSTQYIRLEYDGHLRLYEWSGFEWTMVSVVIDMDNCDFPTVCGEYAICTGGQCICPLQTNSSSSYFQPVDERKANLGCAPVTPISCQEMKNHQFLTLTDVYYFDGSIITNAKRATLAAISSFVLVIIVGIYVRRRRKYQELDEELDFDILPGMPMRFSFEKLRECTEDFSKKLGEGGFGSVFEGKIGEKRVEVKRLEGARQGKKEFLAEVETIGSIEHINLVKVIGFCAEKSNRLLVYEYMPRGSLDRWIYYRHNNAPLDWCTRCRIILDIAKGLCYLHEECRLKIAHLDIKPQNILLDEKFNAKLADFGLSKLIDRDQSTVVTVMRGTPGYLAPEWLTSQITEKVDMYSFGVVLLEIISGRKNIDISEPEESVQLVNLFREKAQNNQLRDIIDKHSDDMISHHQEEVIEMMKLAMWCLQNDSSRRPSMSMVVKVLEGAMSVEDCLDYSFTNAKSVISAQDNPSTCLDPPSASILSGPR >ONIVA07G05680.1 pep chromosome:AWHD00000000:7:4457642:4460363:-1 gene:ONIVA07G05680 transcript:ONIVA07G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQAEGGRIPKVDIGMHGYTGRSCVPLEGLVKSQELIALHRNLILCMFFPKKPYEVFLEFGGYGQSDILIRKSKARVMKPSFTVVRDKSTKSFILFIRGATSVKDCLTTATAAEVPFHHVVLKECRVSNVVVGHRLGGLQTRPFPVSAEQLSNFQTTELRFMVSSQRWIQQPQ >ONIVA07G05670.1 pep chromosome:AWHD00000000:7:4443520:4455232:1 gene:ONIVA07G05670 transcript:ONIVA07G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNSLKASSACEFFTYPATTPFHETKFLTGIASKYSMPFTALSISMYPDTIAVHRTVSPFSSAFLNHSSAVLMSPEQANLETMAFQETEFLTGIARNTALASSTAPLFDSPDTMVFQDMTSMTRIDAKSLRAPSASPLVVQVSTSSSVTSKTIEEAFSAIPEDSRRKEYQDAVAKAQAEEETRASQRTRQAEEDTTTVKAGGKKTPSDEAAASEAQASLENLLSRAKGFGTDFSWEKLSTQLAAVATQDSDEVEPKAQIATVRGQAKAKKLAPQRAVVKPAAQKTRPTPKQPESKPDVRPVFGGLFKQETIFVDED >ONIVA07G05660.1 pep chromosome:AWHD00000000:7:4409972:4414922:1 gene:ONIVA07G05660 transcript:ONIVA07G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPARSPPRLAAAAAALATSAALLLICGTWPGFGFGFGAYTASSSARRASSTGGADAPPPSFAYLISGTGGEAARVVRLLRAVYHPRNRYLLHLDAAAGAEERAELAAAVRGVRAWRERANVDVVGEGYAVDRAGPSALAAALHGAAVLLRVAADWDWFVTLSSSDYPLVTQDDLLYAFSSVPRDLNFIDHTSDLGWKEHERFEKLIVDPSLYMDRNSEILPATEPRQMPDAFKIFTGSPWVILSRNFTEHCVHGWDNLPRKLLMYFANTAYSMESYFQTVICNSSKFRNTTVNGDLRYFVWDDPPGLEPLVLDESHFDDMVNSSAAFARRFVDDSPVLKKIDKEILNRSSAVCASFSRRRGMDVDSCSKWGDVNVLQPARAGEQLRRFISEISQTRGCS >ONIVA07G05650.1 pep chromosome:AWHD00000000:7:4396895:4397598:-1 gene:ONIVA07G05650 transcript:ONIVA07G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQASCILMLRASVVLTVPGCGAVEPTDGAVASGVNLILWVMGADQNADPLNNIFDQSLNMLLLLLSKRRMAELSEEKYEV >ONIVA07G05640.1 pep chromosome:AWHD00000000:7:4393685:4393864:-1 gene:ONIVA07G05640 transcript:ONIVA07G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRLRKRGGFTNGMQHIDRRVAEGNEHSMTPSAPTSMMTRIDSAMLARLLRESHTART >ONIVA07G05630.1 pep chromosome:AWHD00000000:7:4392993:4394913:1 gene:ONIVA07G05630 transcript:ONIVA07G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIRVIMEVGADGVMLCSLPSATRRSMCCIPLGLKEKYAEAWIATKSRQSCIRAHRSSQARLSPPLSLNTTQTSTDSIRVCIIGCNLDNDDGGTGKVLRIGN >ONIVA07G05630.2 pep chromosome:AWHD00000000:7:4393842:4394884:1 gene:ONIVA07G05630 transcript:ONIVA07G05630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFGFCSPCVVQSSRGSRRSTRRHGSRRSQGNRAFVLTEAHKLDCRRLCPSTPPRPPPTPSASASSAAI >ONIVA07G05620.1 pep chromosome:AWHD00000000:7:4388507:4392389:1 gene:ONIVA07G05620 transcript:ONIVA07G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKREGIGITKSSGTFSEQEGMASMDYVDDVDFSPHQATPKESMNSKNDVKYICDGCRRRVESSMEDYKCKKVEEGHGEKRKVGEGVKDY >ONIVA07G05620.2 pep chromosome:AWHD00000000:7:4388708:4391789:1 gene:ONIVA07G05620 transcript:ONIVA07G05620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKREGIGITKSSGTFSEQEGMASMDYVDDVDFSPHQATPKESMNSKNDVKVHPSSGQTPNKGNYYMHHANAIMMLFQYICDGCRRRVESSMEDYKCKKVEEGHGEKRKVGEGYDPRWSSLIEITMKICNDDAFDEATEKEP >ONIVA07G05620.3 pep chromosome:AWHD00000000:7:4388507:4391789:1 gene:ONIVA07G05620 transcript:ONIVA07G05620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKREGIGITKSSGTFSEQEGMASMDYVDDVDFSPHQATPKESMNSKNDVKVHPSSGQTPNKGNYYMHHANAIMMLFQYICDGCRRRVESSMEDYKCKKVEEGHGEKRKVGEGYDPRWSSLIEITMKICNDDAFDEATEKEP >ONIVA07G05620.4 pep chromosome:AWHD00000000:7:4388507:4391789:1 gene:ONIVA07G05620 transcript:ONIVA07G05620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKREGIGITKRSLEFQVDGMASMDYVDDVDFSPHQATPKESMNSKNDVKVHPSSGQTPNKGNYYMHHANAIMMLFQYICDGCRRRVESSMEDYKCKKVEEGHGEKRKVGEGYDPRWSSLIEITMKICNDDAFDEATEKEP >ONIVA07G05610.1 pep chromosome:AWHD00000000:7:4385587:4386848:-1 gene:ONIVA07G05610 transcript:ONIVA07G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFLTRYWSHWYTSKIQLPLINWLEFSSLDHSYNLKCPSGSNLMYWVDLASGNAIRPSGFPGCVDCTKMLRQPFVKFVGEVFALSIMPCSSDCPGTNAAARPDESAIGVFTEDEVTISSQLKGSILSYGASPVGTPDNLVYRYREQSTAAGEDNEDSEDEFSAGWGAEEPCDEGGAIKGLW >ONIVA07G05600.1 pep chromosome:AWHD00000000:7:4375601:4378838:-1 gene:ONIVA07G05600 transcript:ONIVA07G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGTITLPSSKKGQMRMFVLAQKRRQYWIHWCTTKISLPFVNWMETMKTAKRNAWQGGAQKNPAAKEMPGNCFCERRTMARTADPSE >ONIVA07G05600.2 pep chromosome:AWHD00000000:7:4375601:4378838:-1 gene:ONIVA07G05600 transcript:ONIVA07G05600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGTITLPSSKKGQMRMFVLAQKRRHPAGAILQASLLAILSVFRICNSLASIKVRNISKSQELMVLLFLTRYWIHWCTTKISLPFVNWMETMKTAKRNAWQGGAQKNPAAKEMPGNCFCERRTMARTADPSE >ONIVA07G05600.3 pep chromosome:AWHD00000000:7:4376481:4378838:-1 gene:ONIVA07G05600 transcript:ONIVA07G05600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGTITLPSSKKGQMRMFVLAQKRRHPAGAILQASLLAILSVFRICNSLASIKVRNISKSQELMVLLFLTRYWIHWCTTKISLPFVNWMEVT >ONIVA07G05600.4 pep chromosome:AWHD00000000:7:4375601:4376269:-1 gene:ONIVA07G05600 transcript:ONIVA07G05600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFPACVDCRKMLRLPFVKTMKTAKRNAWQGGAQKNPAAKEMPGNCFCERRTMARTADPSE >ONIVA07G05590.1 pep chromosome:AWHD00000000:7:4375449:4388228:1 gene:ONIVA07G05590 transcript:ONIVA07G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPANLFLEIVVVLLLLATAPPFVSGQPYDYPMANLSTRWVNNAAMLKHNSYSDGSAVRAIVLRSQKQLPGISFAAGFFCAPPCQAFLFAVFIVYTNSGAGITLWVNGMAQVIWSANRASLVGENATIELTGDGNLVLHEANGRLVWSSNTSVQSVAGMEITEHGNLVLFNQRNETVWQSFDHPTDVLVPGQSLLQGMKLRANTSTTNWTESKLYMTVLPDGLYGYVGSKPPQLYYTYLVDTNKSRKDPTRVTFTNGSLSIFLQSTQAGKPEAIIALPEAKSTQYIRLEYDGHLRLYEWSDEKWTMVSDVIKKYPDDCAFPTVCGEYGICAGGQCICPLQTNTSSGYFHPVDERKANLGCAPMNPISCQEKQNHQFLTLTDVSYFDGSQTIANAKNREDCKQACLKNCSCRAVMFRYDQNVSDGECQLVTEVFSLQSIQPEIIHYNSTAYLKVQLTASSSAPKQTSSSAPTQKKSYKIKTILGSTVAAIITLVLVVIVGIYAQMRRKYPEIDEELDFDIMPGMPMRFSFQKLRECTEDFSKKLGEGGFGSVFEGKISEERVAVKCLESARQGNKEFLAEVETIGSIEHINLVRLIGFCVEKSNRILVYEYMPRGSLDKWIYYRHNNTPLDWNTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDENFNAKLADFGLSKLMDRDQSKVMTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLMEIISGRKNIDFSQPEESVQLIKLLCEKAQNNQLIDMVDKHSNDMISRQEEVIQMMKLAIHVSSRQSIDIFSSTSCISIIWSKMKWVAKGHKKLRTMHPANLFAGFTVLVAVVLVVAAGTLPRQRSDYPMANLSTLWVNNRNRLPDSITYDDGGSPVGEDATLELTGDGDLVLRENANGRLVWSSGTSGRSVQGMEITENGNLVLFDQRNGTVWQSFDHPTDALVPGQSLLQGMMLRANTSPTNWTESKIYITILQDGVYGYVESTPPQLYYNYVVSTNKSKTVPTTVTFTNGCLSIFVQSTQPGNPDGRIALPEAKSTQYIRLEPDGHLRLYEWSSEENWTVVSDVTKLSLDDCDFPKVCGEYGICTDGQCICPPESNSSSSYFQPVDEWKLNLGCVPVTPISCQETQNHQLLTLSDVSYFDVSQPIANPTNKDDCKQACLKNCSCRAVMFMYFHNDSHGTCHSLTEVFSLKTIQPQTATYNSTAYLKVQLTPSSSAPTQKKSYKTKTILGSILAAIGALILVVIVAIYVRKRRKYRERDEELDFDIMPGMPMRFSFQKLRKSTEDFSKKLGEGGFGSVYEGKISEEKVAVKRLESARQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSNRLLVYEYMSRGSLDRWIYYHHNNAPLDWSTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDDNFNAKLADFGLSKLIDRDQSKVMTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLMEIISGRKNIDISQPEEAVQLINLLREKAQNNQLIDMIDKHSNDMVSYQEEVIQMMKLAMWCLQNDSDRRPPMSMVVKVLEGAMRVENCLDYSFFNANSVISVQGIPSTYSAPPRASILSSPR >ONIVA07G05580.1 pep chromosome:AWHD00000000:7:4367357:4367803:1 gene:ONIVA07G05580 transcript:ONIVA07G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGWTSTASTGGGRQLVVPVLLLLVLTLLMVMAASDAGRAANLYKQGQLLLIYLLANH >ONIVA07G05570.1 pep chromosome:AWHD00000000:7:4363335:4364275:1 gene:ONIVA07G05570 transcript:ONIVA07G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPPWGHRFGETSSCMDFVKDFSHSPLTVLSLERTIPRAYKEEGWRRVGGISARRRRRSGSGGGSAWRGGQGQRGGLATAARQGGGGPMAGSARLGAENVMQRWRLGSARP >ONIVA07G05560.1 pep chromosome:AWHD00000000:7:4362643:4363295:1 gene:ONIVA07G05560 transcript:ONIVA07G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDVAAKKLATTAADCGACRDAFLYDAGDPHCALHLQVRATLAGSGRLAAGDGGGWTVVADGGGWTGVAVTVAVAVAVAMVADGGGWRLWWLWRWRWRLSTVVQGHHPGLTVRQRRRRHVEVGKESGGGEGGVRVQGIAGESFAEPFGQLTTATPFGVVPLLGGVVLEYPSPFLTIFSG >ONIVA07G05550.1 pep chromosome:AWHD00000000:7:4357936:4358151:1 gene:ONIVA07G05550 transcript:ONIVA07G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGWTSTASTGGGRQLVVPVLLLVLTLLMVMASAAGRGANVNMVCVPRPSPEGCPIPPCGSQEYAPCPPP >ONIVA07G05540.1 pep chromosome:AWHD00000000:7:4353324:4353560:-1 gene:ONIVA07G05540 transcript:ONIVA07G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDDGAPPLVCSVHEIGAKATMWATTAPLSSPALLATREMGIGAEAATGVWADIAPREGMGMRSADSGVGFGDWGWR >ONIVA07G05530.1 pep chromosome:AWHD00000000:7:4322139:4322412:1 gene:ONIVA07G05530 transcript:ONIVA07G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRKPSLGSFEPRRTAATVFPSFLFLKTSFWHPLGGDLRRSVTLSGGRFGASLLPGCVLALLVCGWCYDPPGL >ONIVA07G05520.1 pep chromosome:AWHD00000000:7:4321128:4321395:1 gene:ONIVA07G05520 transcript:ONIVA07G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEATTPLARSGWRWLLLLTAAVTTAAAAAAVVVEAAAVVVEASWRRSCGLVGGEACRAGVMEAGDVWLLEASVVR >ONIVA07G05510.1 pep chromosome:AWHD00000000:7:4314268:4317877:1 gene:ONIVA07G05510 transcript:ONIVA07G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKRLVRPHHLAKPLLTMLHILLQVQAIAALTDDATAPVIQCLPDQASALLRLKNSFNKTAGGYSTAFRSWITGTDCCHWDGVDCGGGEDGRVTSLVLGGHNLQAGSISPALFRLTSLRYLDISGNNFSMSQLPVTGFENLTELTHLDLSDTNIAGEVPAGIGSLVNLVYLDLSTSFYIIYYDDENKMMPFASDNFWQLSVPNMETLLANLTNLEELHMGMVDMSGNGERWCDDIAKFTPKLQVLSLPYCSLSGPICTSLSSMNSLTRIELHYNHLSGSVPEFLAGFSNLTVLQLSKNKFEGLFPPIIFQHKKLVTINITNNPGLSGSLPNFSQDSKLENLLISSTNFTGIIPSSISNLKSLTKLDLGASGFSGMLPSSLGSLKYLDLLEVSGIQLTGSMAPWISNLTSLTVLKFSDCGLSGEIPSSIGNLKKLSMLALYNCKFSGKVPPQIFNLTQLQSLQLHSNNLAGTVELTSFTKLKNLSVLNLSNNKLLVLHGENSSSLGAIPQWAWETWRGMYFLLLNISHNNITSLGSDPLLPLEIDFFDLSFNSIEGPIPVPQEGSTMLDYSSNQFSSMPLHYSTYLGETFTFKASKNKLSGNIPSICSAPRLQLIDLSYNNLSGSIPSCLMEDVTALQILNLKENKLVGTIPDNIKEGCALEAIDLSGNLFEGRIPRSLVACRNLEILDIGNNEISDSFPCWMSKLPKLQVLALKSNKFTGQIMDPSYTVDGNSCEFTELRIADMASNNFNGTLPEAWFTMLKSMNAISDNDTLVMENQYYHGQTYQFTAAVTYKGNYITISKILRTLVLIDFSNNAFHGTIPETIGELVLLHGLNMSHNSLTGPIPTQFGRLNQLESLDLSSNELFGEIPKELASLNFLSILNLSYNTLVGRIPNSYQFSTFSNNSFLGNTGLCGPPLSKQCDNPQESTVMPYVSEKSIDVLLVLFTALGFGVSFAITILIVWGRHMKKQR >ONIVA07G05500.1 pep chromosome:AWHD00000000:7:4291746:4291942:-1 gene:ONIVA07G05500 transcript:ONIVA07G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPCTWPPPAVTSPPHLVASSRDLAAPRSAASSRDLATALGRLQLRPRRRVWPPPATTSPPH >ONIVA07G05490.1 pep chromosome:AWHD00000000:7:4269584:4269814:1 gene:ONIVA07G05490 transcript:ONIVA07G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKKRGIRDWIGDSQRKRNRKPGRLFFLLSLSSRPLRLRLRGHETDRGFGMRPRRREMNYGEGKNSKHLPVGSP >ONIVA07G05480.1 pep chromosome:AWHD00000000:7:4268132:4269040:-1 gene:ONIVA07G05480 transcript:ONIVA07G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSRPTTASSSERGRRWSARLTAKSRVGDIRATPTDGGDGGDFPSSRLQAPRSRLDRGGSGSGGGGDGFRLGRRAPGWSGGGGFGFGATQSRAPGWIETVATAPSLQARRRCAPAVHY >ONIVA07G05470.1 pep chromosome:AWHD00000000:7:4252261:4254555:-1 gene:ONIVA07G05470 transcript:ONIVA07G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGLGYYRRARFLLEGAKQIVEKGEFPRTASALREVRGIGDYTAGAIASIAFNEVVPVVDGNVVRVISRLYAIPDNPKESSTVKRFWQLTGELVDPSRPGDFNQAMMELGATLCSKTKPGCSQCPVSSHCQALTLSNQSASVKVTDYPRVVPKAKPRSDFAAVCVVQIAQCLDEGIAGSTGNDNLFLLIKRPEDGLLAGLWEFPSVLVSEEKTDALNRRKRDGQIFEADVKRRSSVILREDIGQHVHIFSHIRLTMFVELMILNLKDDGDELCMEGQDSSKLRFIDENAVESMGLTSGIRKVYNMVKTFKEKRLKEQRQVPTRKRSRRLK >ONIVA07G05470.2 pep chromosome:AWHD00000000:7:4252263:4254555:-1 gene:ONIVA07G05470 transcript:ONIVA07G05470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGLGYYRRARFLLEGAKQIVEKGEFPRTASALREVRGIGDYTAGAIASIAFNEVVPVVDGNVVRVISRLYAIPDNPKESSTVKRFWQLTGELVDPSRPGDFNQAMMELGATLCSKTKPGCSQCPVSSHCQALTLSNQSASVKVTDYPRVVPKAKPRSDFAAVCVVQIAQCLDEGIAGSTGNDNLFLLIKRPEDGLLAGLWEFPSVLVSEEKTDALNRRKRDGQIFEADVKRRSSVILREDIGQHVHIFSHIRLTMFVELMILNLKDDGDELCMEGQDSSKLRFIDENAVESMGLTSGIRKVYNMVKTFKEKRLKEQRQVPTRKRSRRLK >ONIVA07G05470.3 pep chromosome:AWHD00000000:7:4254561:4255086:-1 gene:ONIVA07G05470 transcript:ONIVA07G05470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPKAAKNRRPTTRRPRAAAATVTATAAADIEDLASPSGCRAAVGPTTAAAAVRAELLRWYDANRRDLPWRRAADPAAGSGSGRGEEQRAYAVWVSEVMLQQTRVPVVVDYYSRWMARCPPWTASPPPRRRR >ONIVA07G05460.1 pep chromosome:AWHD00000000:7:4245401:4248153:-1 gene:ONIVA07G05460 transcript:ONIVA07G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEATNGFDASKHSRKSPVKKERVGKLLPPAAGTPTVVREPSPATGDGCGGGEGTSRGTYSSPPRSKKALVQTIHS >ONIVA07G05460.2 pep chromosome:AWHD00000000:7:4246142:4248153:-1 gene:ONIVA07G05460 transcript:ONIVA07G05460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDH >ONIVA07G05450.1 pep chromosome:AWHD00000000:7:4244165:4247198:1 gene:ONIVA07G05450 transcript:ONIVA07G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVARHLPLLLTVLQIVLQAQAATILTDRTSSSVPPPIPCLPDQASALLQLKRSFNAKAGDYSTAFRSWITGIDCCHWDGIACGGADGRVTSLDLGGHHLQASIVDPALFRLTSLRYLDLSGNNFSMSILPVINGLEQLTELTHLDLSDTNIAGEVPSAIGRLTSLVYLDLSTSFYIVEFDNENGKMTYNSDLFRQLSAPNLETLLGNLTNLEELHMGMVNMSGNGDQWCDHIAKSTPKLQLSRDKFDGWFPPTIFHHKKLITINIINNPGLSGHLPNFSQASSLENVFISLTNFTGTIPSSISNLESLRKLDLGCSGLSGMLPSSLATLKYLDLLEVSGLQLVGSIPSWISNLTSLTVLQFSNCGLSGQVPSSIGNLRELRKLALYNCKFSGKMPPQILNLTRLQTLLLHSNNFTGTVEITSFSKLENLSVLNLSNNELLVVDGENSTKVLSFPKIKFLRLASCSISTFPNILKSLNEITSLDLSCNQIQGAIPQWAWGTWKGLQFYLLNISHNNFTSLGPDSLLPLHIDYFDVSFNSIEGPIPIPRDGSSTLDYSSNQFSAMPLHYSTYLGETLVFKASKNKLSGNIPSSICTAVRRLQLIDLSYNNFSGPIPSCLMEDLTALQVLSLKENKLVRKLPDSIKEGCVLEALDLSGNLIEGKIPRSLVACKNLEFLDIGSNQISDTFPCWMSELPKLQVLVLKSNKFTGQVMDPSYMAGGDTCEFTELRIADMASNNFNGTLPEAWFKMLKSMMSRSDNETLVMENQYYHGQTYQFTATVTYKGSSMTISKILRTLVLIDFSNNAFHGTIPETIGELILLHGLNMSHNALTGPIPPQLGRLNQLETLDLSSNKLSGEIPNELASLNFLSTLNLSYNVLVGRIPDSYQFSTFSNSSFLGNTGLCGPPLSRQCDNPKGPTEMPYTSEKSIDVVLLLFTALGFGLSFAMTILIVWGSHMRKEH >ONIVA07G05440.1 pep chromosome:AWHD00000000:7:4244066:4244643:-1 gene:ONIVA07G05440 transcript:ONIVA07G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTSPAMLVSERSSAGSTMLACRWWPPKSREVTRPSAPPQAMPSQWQQSMPVIHDRKASPAFALKERFSWSSAEAWSGRHGIGGGTEELVRSVRMVAAWACRTICSTVSSKGRWRATLMEDDDMMIPVCLFSTIVSYLMMNKSWNQSSCF >ONIVA07G05430.1 pep chromosome:AWHD00000000:7:4203037:4206581:-1 gene:ONIVA07G05430 transcript:ONIVA07G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSKEDGEGPVARCRERKHLLRDAVAARHALAGAHAGHAAALKNVGAALSDYASGEGEAHAGGALRSGSADSSAAAAALVTASSDGKPVLAILPPPPPELPPPPPPPPPPHGDVDSAPLARSMSAPDLHLQQPIKKKPSGEAPIMEEEDDEGGDGGHAGGRRGDDDAELKPPPPLPSQRPPPSRSPPPLPPENDHKVDTPGGFISSLFDSMPPPTLDTTAAEPSSSASAERREPPPPAPDEHQPSAAAREVAEGKRPAAAEAATTRRAMTQKAARKGKAKAVMLVAPPQPQPAKLGVGDILRALDEHFLKASQSAHEVSKLLEAARMHYHSNFAETRGFVDHSARVMQVITWNRSFKGIPQPENVRNEMDDDEWETHATTLDKLLAWEKKLYHEVKDFEVIRREYQQKLAVLNKKKQRGVTSSSLEKTKSVVSHLHTKYVVDLQTMESTVAEINRLRDQQLYPKLLELVKGMWHMWDAMYLHHKTQLKIILELKSLDISVAPRETSEQHHDRTVQLWNVVHEWHTQFDKFMTYQKQYVGSLYTWIKLNVIPIDTNLKPNSSQPHETTPPIKRVLHAWHEILGKLPDEAAKKAINTFAEIVKTILVHQEDELKLRMKIEDTRRDYGKKRRQFDDWAQKYMHQTAGILPEDRNPDGARPDPMAERKAAMEKLELSMKELEEMYVKQCRVVREKSLSLLRTNLPELFRVVSDFSLQSAGMFKGVWSIAHTNDQLDE >ONIVA07G05420.1 pep chromosome:AWHD00000000:7:4193263:4200329:-1 gene:ONIVA07G05420 transcript:ONIVA07G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19050) TAIR;Acc:AT5G19050] MTSSPSWFSGIARASSAMPPGGVASAAAPLSDGAGGRGGGGGGGGGGGGVVAAVVAAGPGAGAGVGAGGKRRQVQGALFKYGPKSAQVAFKTGDFNHQVIFIGGLTDGFLATDYLEPLSLALEVEKWSLVQPLLSSSYTGYGISSLEQDALELDQLISYLINKENSDGVILLGHSTGCQDIVHYMRTNFACSKAVSGVILQAPVSDREYRATLPETAEMIDLAAKMLSEGRGMDLMPREANPDAPITAYRYHSLCAYMGDDDMFSSDLSEDQLRQRLGHMSTTQCQVIFSMGDEYVPEYVDKEALVDRLCRALGNAEKVEIEWGNHALSNRVQEAVRAIVDFVKREGPKGWDDPWS >ONIVA07G05410.1 pep chromosome:AWHD00000000:7:4175059:4176102:1 gene:ONIVA07G05410 transcript:ONIVA07G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSLAPKPAAVAVAAAAIPRLVPPPSIDMSVPSPPPPLVSVSRSMVAKHKAVVVMGATGTGKTRLAVDLALQFGGEVINADKLQLHRGLDVATNKATADERAGVPHHLIGVAHPDEEFTAADFRRAASRAAAAVAARGALPIIAGGSNSYIEELVDGDRRAFRDRYDCCFLWVDVQLPVLHGFVGRRVDDMCGRGMVAEIEAAFDPDRTDYSRGVWRAIGVPELDAYLRSCAAGGGEEERARLLANAIEDIKANTRRLSCRQRAKIVRLDRLWRIRRVDATEAFRRRGGAANEAWERHVAAPSIDTVRSFLHGEFTTAAETTAAPVPPPPLLPMFALAAAGAGV >ONIVA07G05400.1 pep chromosome:AWHD00000000:7:4159679:4166875:1 gene:ONIVA07G05400 transcript:ONIVA07G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G09820) TAIR;Acc:AT4G09820] MAGGEAQAALQAVAQSLRWTYSLLWQLCPHQGSSLVWGEGHYNGAVKTRKSTVMQPPPAEEEDDADHAARHRSRQLRELYDWLQQAGENSSGGVQTSSTTASRRPGAALSPEDLTETEWFFLMSASYSFPPGIGLPGRAFARRGHVWLTGANEVDSKVFLRAILAKTVVCIPVVDGVLEIGTTEKVEEDMGLIQYARGIFMDQHGIHMKPTLSQHSTSNPVTHCTHQHPIQVQMQLGITSQTKFDYSDELNADEENDDTEEEGMSGSDTNNTDTERNSGQLQLQMQDQLNMVSNDHQTMPNNAVSSELMQCEMSEVVRDGCSNNILEDEIQMLMDCQNSNCQLNLQGPDEPCHSWHFLCEELQNDYQPATEDQVASPENTHYPKTLMTILHYNTLRQQEMNIKNYLPVSEKSSFSRWTTPEGSDDNKTMISPGTTQRMLKSILMIVPSSHCSYRGAETPESRGGKGASGTRKVGAIQGDFSANHVLKERRRREKLNEKFKILRSLVPFMTKMDKASILGDTIEYVKQLRNRIQELESSSSSSRAAARAPSAAAAGRRRKRSAAAATATAAEGMSSSNGRNGGEAAEVVQVSIIESDALLELRCGCGGGGGGGGVVLLRVMQAMQELQLEVTAVQASCAGGELLAELRAKVKGRRRSSIAQVKRAIHLVLSSSSISP >ONIVA07G05390.1 pep chromosome:AWHD00000000:7:4147454:4157480:1 gene:ONIVA07G05390 transcript:ONIVA07G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLPLVLAAAAIASLVILVLVVFACRRWRRAVVAAAPQPPPRAAADVVAASPVRSQNEDLNKPLLEILDDHSSQSNTFPGNVVGESSKVQTSRSDTSPRSHGISDSGRTYPADSCTPQGETHVIDVTDDTSEEFHLGSTLKCTKQTSWSRPDKKHKRWGSGEDNKNGSISLKDNTYRSNLDVEVIAGPSHGISCSRQSTSPTIPITLGRVPPSDLVLKDSEVSGKHARINWNAKTLKWEIVDMGSLNGTFVNSRAVHHPNVGSRHWGEPAELADGDIITLGTSSKLSVQISLQNQRVPAGIGMASDPMVGRRSGKKLAMEDISFCQCPLQGVEQFGLFGIFDGHGGDGAARAVSKIFPENVATLLSHHETKEKVLSYSDASDVLRYAFTMTEAAIDHEYEGCTATVLLIWFDQKKDCFAQCANLGDSACVMSVNGKMIEMTEDHRVASVTERARIARAGQALKAGEVRINGLNLARMFGDKFLKEQDSRFSSEPYVSQAVHITKACTAFAVIASDGLWDVISTKRAVQLVVEGRERNSGDSASADKVANRILSEARNLRTKDNTSVIFVDFDILRTDHCIAK >ONIVA07G05380.1 pep chromosome:AWHD00000000:7:4131724:4140230:1 gene:ONIVA07G05380 transcript:ONIVA07G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAAAAWVDWALEYTKAAQAESRPPAEWAARVASVVAAAGDAPWSPGLAEMLARALLYGGGGAAWKYAEAALAAGLASPALLLAILSTRVIPHRFTRPTAYRLYLELLRRHGFNFAFQMKAANFKKIMQLIDDNLGLSKIFGFSTCEPGLVDAALDDEGLLELIPDKKAHWPTRSDDVSAFDGTFSEQRIDKIDKLQKMNNVITIELIGHLLHDKVITHILSLARENMQSQWAAFTNRLQLLITKSSTLQTSTVALEAFQQLNLDVCNIFRENKHWLRRKFHPIVTSNPLSSPNGRCLGASYSAQWIPIDMYLEDCLDGSIAATNSIEILSGLIKALQAVNRATWHDAFLALWIASLRLVQREREPIEGPVPHLDTRVCMLLSITTLAIVDIIEESDSEMNSNWKEKRTSDDLRKELMLSLQTLGDYESLLVPPPCIISVANQAASKAAMFVSGTNISSGYMENVNDRTTNYSGNMWHLIVESCISRNLLETSVYYWPGYINGHVNSITHALPSQLAAWSSFMKRAPLTQSLVNVLVATPAPSLAEVQKLYEVAVDGSDEDKVSAATILCGATLLRGWNFQEHTVRLVVKLLSSSDPIDFSGGESQLVKHGPMLNVIVTGISPVDYVPIFSFHGLIPELAAALMAICEVFGSLSPSVSWSPRTGEEISAHTVFSNAFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVVSIISSTKNRNTQKQLPVTSNPSSEHPIFMDSFPKLKLWYRQHQACLASTLSGFAHGTPVHKNVDSLLNLMFRKANKESTSIGSLSGSSSISNSSGPGVDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLTDFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLVTGGSTLGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMPIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVFQLLRSCFTATLGMSSTTSVCSCGGIASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILRLLMLSVKDIAETTVSRHRSDKVRKTKYVMRHGQVSLSSAMTQVKVAASLGATLVWLSGGTALVQSLFQEMLPSWFLSVQDLGRGGAASGGTVYKLGGHALAYLAVYAGMFAWRIDPTPVSRRRERVMWSHFEFLASALDGKISLGCDLSLWRAYVSGFLGLVVECTPCWAHEVDLRVLRRLSAGLRQWKEDELAVALLRRAGPEAMAAAAELIIGGDW >ONIVA07G05380.2 pep chromosome:AWHD00000000:7:4131724:4140230:1 gene:ONIVA07G05380 transcript:ONIVA07G05380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAAAAWVDWALEYTKAAQAESRPPAEWAARVASVVAAAGDAPWSPGLAEMLARALLYGGGGAAWKYAEAALAAGLASPALLLAILSTRVIPHRFTRPTAYRLYLELLRRHGFNFAFQMKAANFKKIMQLIDDNLGLSKIFGFSTCEPGLVDAALDDEGLLELIPDKKAHWPTRSDDVSAFDGTFSEQRIDKIDKLQKMNNVITIELIGHLLHDKWAAFTNRLQLLITKSSTLQTSTVALEAFQQLNLDVCNIFRENKHWLRRKFHPIVTSNPLSSPNGRCLGASYSAQWIPIDMYLEDCLDGSIAATNSIEILSGLIKALQAVNRATWHDAFLALWIASLRLVQREREPIEGPVPHLDTRVCMLLSITTLAIVDIIEESDSEMNSNWKEKRTSDDLRKELMLSLQTLGDYESLLVPPPCIISVANQAASKAAMFVSGTNISSGYMENVNDRTTNYSGNMWHLIVESCISRNLLETSVYYWPGYINGHVNSITHALPSQLAAWSSFMKRAPLTQSLVNVLVATPAPSLAEVQKLYEVAVDGSDEDKVSAATILCGATLLRGWNFQEHTVRLVVKLLSSSDPIDFSGGESQLVKHGPMLNVIVTGISPVDYVPIFSFHGLIPELAAALMAICEVFGSLSPSVSWSPRTGEEISAHTVFSNAFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVVSIISSTKNRNTQKQLPVTSNPSSEHPIFMDSFPKLKLWYRQHQACLASTLSGFAHGTPVHKNVDSLLNLMFRKANKESTSIGSLSGSSSISNSSGPGVDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLTDFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLVTGGSTLGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMPIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVFQLLRSCFTATLGMSSTTSVCSCGGIASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILRLLMLSVKDIAETTVSRHRSDKVRKTKYVMRHGQVSLSSAMTQVKVAASLGATLVWLSGGTALVQSLFQEMLPSWFLSVQDLGRGGAASGGTVYKLGGHALAYLAVYAGMFAWRIDPTPVSRRRERVMWSHFEFLASALDGKISLGCDLSLWRAYVSGFLGLVVECTPCWAHEVDLRVLRRLSAGLRQWKEDELAVALLRRAGPEAMAAAAELIIGGDW >ONIVA07G05380.3 pep chromosome:AWHD00000000:7:4131724:4140230:1 gene:ONIVA07G05380 transcript:ONIVA07G05380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAAAAWVDWALEYTKAAQAESRPPAEWAARVASVVAAAGDAPWSPGLAEMLARALLYGGGGAAWKYAEAALAAGLASPALLLAILSTRVIPHRFTRPTAYRLYLELLRRHGFNFAFQMKAANFKKIMQLIDDNLGLSKIFGFSTCEPGLVDAALDDEGLLELIPDKKAHWPTRSDDVSAFDGTFSEQRIDKIDKLQKMNNVITIELIGHLLHDKVITHILSLARENIKFHPIVTSNPLSSPNGRCLGASYSAQWIPIDMYLEDCLDGSIAATNSIEILSGLIKALQAVNRATWHDAFLALWIASLRLVQREREPIEGPVPHLDTRVCMLLSITTLAIVDIIEESDSEMNSNWKEKRTSDDLRKELMLSLQTLGDYESLLVPPPCIISVANQAASKAAMFVSGTNISSGYMENVNDRTTNYSGNMWHLIVESCISRNLLETSVYYWPGYINGHVNSITHALPSQLAAWSSFMKRAPLTQSLVNVLVATPAPSLAEVQKLYEVAVDGSDEDKVSAATILCGATLLRGWNFQEHTVRLVVKLLSSSDPIDFSGGESQLVKHGPMLNVIVTGISPVDYVPIFSFHGLIPELAAALMAICEVFGSLSPSVSWSPRTGEEISAHTVFSNAFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVVSIISSTKNRNTQKQLPVTSNPSSEHPIFMDSFPKLKLWYRQHQACLASTLSGFAHGTPVHKNVDSLLNLMFRKANKESTSIGSLSGSSSISNSSGPGVDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLTDFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLVTGGSTLGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMPIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVFQLLRSCFTATLGMSSTTSVCSCGGIASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILRLLMLSVKDIAETTVSRHRSDKVRKTKYVMRHGQVSLSSAMTQVKVAASLGATLVWLSGGTALVQSLFQEMLPSWFLSVQDLGRGGAASGGTVYKLGGHALAYLAVYAGMFAWRIDPTPVSRRRERVMWSHFEFLASALDGKISLGCDLSLWRAYVSGFLGLVVECTPCWAHEVDLRVLRRLSAGLRQWKEDELAVALLRRAGPEAMAAAAELIIGGDW >ONIVA07G05370.1 pep chromosome:AWHD00000000:7:4114552:4115742:-1 gene:ONIVA07G05370 transcript:ONIVA07G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTSSATNMSFVLTSTTELASSYDIHSSSEEAAISQYQQCSDEAGIRNYKQLLEDIPEDGLDHSNISIWIQRADSQMLELFEKELVAMIDQSIHEINSKHGQEQESDMHRLLKLAGVMTMLPPSSDLLPAILRLYATLEIFPVNEANGIASELKRCSLIGYLDSLLETISKYQSTELQCIFLLNNAHFILEKLKKLDMKSALQESWITRHHNQVEYQIARYLEHSWEPILSCLVARKNILFPCFHPPPVTEFYTMLNNNCAVQKYWKIEDPKLRQVVRKTVSSRITQCYQAYLGRSVKNQKRAHYTSEELENKLMELFEG >ONIVA07G05360.1 pep chromosome:AWHD00000000:7:4110648:4113041:1 gene:ONIVA07G05360 transcript:ONIVA07G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKMIEDDCEKVYVAISPIQSVCLPMLLWTLNNTPPGKTVILLRIYRPARTNLIPIAVCGSVQTRLPAGFYTHEARTGEKMLRDYLRVCESQKFHAEVLTAEKENVELGLVELVSELKITTLIMGGGLYRKGGMKNMMLADRTITVLEKADPSCKIFVLNRGNLFFIRERRITISTSTKNGFAPVEVSDFPTSSYHFLGWHPNDYASRSSISSSLLSETQSKTDDGCDSEQLDDLMLEYLHPGFDNDSFRIVSKESLIYLDKIANQLTQSGHAQDLHQAPFDDRCHCHFIPDMDRILGIQSRNDDEAQWKNCIKHKMTEWLHELRYVCTIVLSAHKQLMQWHLAVHDSLALDELSKAVKEPITQLLTFASTVSKMHGSPEKFFHMLHMHQALTEASPVLQEVFSGELKESFTGELHKILHTLKDGTKETLDQLRVQIQSYSSEDMPEGGGIHLVTTYLIRYIMSLTQNTGSLDAILAHSYDDHALAEERMMNTSGHLISMLISDLTSMLYRLSKSYMSKSEGLQWLFLLNNENFILRKIEEADIRSMLPADWIQNYQHRVEQNKVNYIEATWALTLSYLKKRIKSPFNFLHPSPMKEFTSSFETTCNAQTHWKVPDPKLRVELRQTIRDYVLPAYCAFMENHPNLEKSSGRSLENIRNKLSELFEG >ONIVA07G05350.1 pep chromosome:AWHD00000000:7:4087494:4096755:1 gene:ONIVA07G05350 transcript:ONIVA07G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGGAEEEKVYVAVGGEPERCLPTLRWALSYTPAGSTLVLLYVHRPDAMIPIFTGARVPVCVLKDEVINVHRQKERSIVQERLRIFTAECESKQVHTEVIIAENDSIGLGIVKLIEEHKIGTLIMGAGYYGPKSSTGEFKVRTKLATIVEEQAHPSCKILFVHGGKELSTRPRLINIRAARNENGEIPRAAWRLDDEEVEEECRCSALSSSSSSTASYGALKLEKLDNPVIEKLESSSPSSADHGSLLTLMAPASLVALNEMVNEMILAGMSPELRRAPFGAGCDDDGQVRRSLHAIIGIDAALGLEWRNLEEVHWRGYMEYKTTRWLHTLEYVRCVAAVVHRGGQARARALSAAAEKPVETLLEFATAVSRVSGSPEKLFHMLHMHKALAHAAPLLLAAFIGDAKERFAGELERTLASLGVAVRGILSKTKALIHSYGGSPGQNVVVVVVVPDGGGIHVVTSYLARYVELLAQHAASLNVILAGDVDVDDDDGSQSQMMSPLGRLVAGVIGSLGVMLRRTAELYETEGGEGLRHLFLLNNEHAILQAIETTALLPLAAEWTQAYRHGIEQHKQGYIQTWAAVATSCLPRDDPPPPPTSAKKAGFLRRRRRSPPLREFAASLEETSVEQMQWKAASPHLRDELRRAVKECVAQAYSEFMDKHPTSNAGEEFATVDDLILRCQIDQILEG >ONIVA07G05340.1 pep chromosome:AWHD00000000:7:4073905:4075314:-1 gene:ONIVA07G05340 transcript:ONIVA07G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAFSKNWWSRFSGSSPLSVMVCESVRKEEDVTLLRSSWCQGGMKLNQECHEALYEYL >ONIVA07G05330.1 pep chromosome:AWHD00000000:7:4072200:4076745:1 gene:ONIVA07G05330 transcript:ONIVA07G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLVPVPTTAADDDAAAGSPSPSPEMEMEEDGGGGKVVYVAVSGNRNKALPTLRWALRRHAPAPEGRKKTALLVLVYVHRPATMIPIFRAKVPSIVLKDEIVTSYRQQERRITEKFLQQYLDICTSEKVQAEAFMIANDNIAHGLIGAIQEHKISTLIMGAGIYGYPALPKKTSTQRTKLAITMEKEADPSCKILFVHKGNLFSIRPRTTSIPISVNSDVPTMAGSHIPWFSFIPPWHHDDRSSVTSSSFLTDSQTMTDNGLDPENLDHQFFENAMPMFDYDSFSLIRHESLHGLNEIASQIILSGHSQYLRQLNFDVSCNEEVRNRQFIHGIDSILGVDSMNLEEVYWKAYMEDKTIKWIYLLEYIHKIVSVSLKQIQEQHDGASSGLTLEGLSDAATKPINRLLTFASMVSKVNGSPEKLFHTLQMHKALSEASPMIQQALLGEQKEFFVRELHRILDTLEDSAREILGKLKVQIQSHDSPIIPGGSVHLVTTYLMRYITLLAHNTSSLNTILGHDHSDHLLAADGINLLLPSHLISGLIFDLGSMLQKQSKLYKPEGLQYLFLMNNEHFILQQFEREDIKLMIGTEWIQKYCHNINRYKVKYIEATWATVVSCLDKKISISLNFLQPSPLKEFISSFETEYRLQMHWKVPDPKLRIELRQTVCDYVLPAYCEFMEKHPNLEKSGDNLEDIRNKLNELFEG >ONIVA07G05330.2 pep chromosome:AWHD00000000:7:4072200:4076745:1 gene:ONIVA07G05330 transcript:ONIVA07G05330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLVPVPTTAADDDAAAGSPSPSPEMEMEEDGGGGKVVYVAVSGNRNKALPTLRWALRRHAPAPEGRKKTALLVLVYVHRPATMIPIFRAKVPSIVLKDEIVTSYRQQERRITEKFLQQYLDICTSEKVQAEAFMIANDNIAHGLIGAIQEHKISTLIMGAGIYGKTSTQRTKLAITMEKEADPSCKILFVHKGNLFSIRPRTTSIPISVNSDVPTMAGSHIPWFSFIPPWHHDDRSSVTSSSFLTDSQTMTDNGLDPENLDHQFFENAMPMFDYDSFSLIRHESLHGLNEIASQIILSGHSQYLRQLNFDVSCNEEVRNRQFIHGIDSILGVDSMNLEEVYWKAYMEDKTIKWIYLLEYIHKIVSVSLKQIQEQHDGASSGLTLEGLSDAATKPINRLLTFASMVSKVNGSPEKLFHTLQMHKALSEASPMIQQALLGEQKEFFVRELHRILDTLEDSAREILGKLKVQIQSHDSPIIPGGSVHLVTTYLMRYITLLAHNTSSLNTILGHDHSDHLLAADGINLLLPSHLISGLIFDLGSMLQKQSKLYKPEGLQYLFLMNNEHFILQQFEREDIKLMIGTEWIQKYCHNINRYKVKYIEATWATVVSCLDKKISISLNFLQPSPLKEFISSFETEYRLQMHWKVPDPKLRIELRQTVCDYVLPAYCEFMEKHPNLEKSGDNLEDIRNKLNELFEG >ONIVA07G05320.1 pep chromosome:AWHD00000000:7:4046223:4050323:1 gene:ONIVA07G05320 transcript:ONIVA07G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLMAAAAAGAPYGAPVPFPMYHPGAAAAYYAHASMAAGVPYPTAEAMAAAAGAVPEGKGKGKGAAASPEKGSSGAPSGDDASRSGDSGSEESSDTRDDDTDHKDSSAPKKRKSGNTSAEGEPSQATLVPYAAVESPYPLKGRSASKLPVSAPGRAALPNATPNLNIGIDLWNTPPALAVPAGQGEASPGLALARRDGVAHLDERELKRERRKQSNRESARRSRLRKQQECEELARKVAELTTENSALRSELDQLKKACEDMEAENTRLMGDKAQYKGPTVTTTLGMSIDSSKTQHHDDEGQLHKNTNNNSNGNYVGGSHKPEANSR >ONIVA07G05310.1 pep chromosome:AWHD00000000:7:4037743:4040351:1 gene:ONIVA07G05310 transcript:ONIVA07G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIIMSSKRPCRESEEEDERHCSSSNSSNTKKKKRACRESEEKDERHCSSSTKKKNLMLGLVPCSSHNHNHKQRHLYLVLDDWEAGYSIHKVVDDDFGARPAAAAAKHNPLIRIQAQHAYSRFFAAHGTKIIAMHPASFSPGIPVFDTRTLEMAVYPPPKSRSIICPPVYASVGDRLVTFVHQYLEVLGPHPPRSAAVDDDDEPEPPPWSWTTVEPLPQFHSGLVTGYALHPDGRTIFMSIEDCVTFGTRKSTFSFDAGRLEWTRVGDWMLPFEGQAHYDRELDAWVGICRYGEGTGHLCCCDVPPSPAADAACTTTTLPAWKFCKEVMFKKGFTGYWGATLVYMGDSRFCLVDCRVPDDCDVRTTLRVLTITSFGLKYDKAGELVTTRYRAYASISYQIAGKFKRLEDPIAFWM >ONIVA07G05300.1 pep chromosome:AWHD00000000:7:4011907:4015430:1 gene:ONIVA07G05300 transcript:ONIVA07G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hexokinase 3 [Source:Projected from Arabidopsis thaliana (AT1G47840) TAIR;Acc:AT1G47840] MSAAAAIASPIPAAIAVVQQQRRGRSRGGGSGAAAVRCSAVAPTSAIAPILADLRLRCAAPLPVLRRVADAMASGMRAGLADDGAGELKMIPSHVYSLPTGNETGLFYALDLGGTNFRVLRVQLGGKDKRIIDTEFEQVSIPREIMHGITEDLFDFIASGLSRFVATEGDKFHLPQGRKRELGFTFSFPVNQTSIDSGILIKWTKGFAVSGTAGKDVVACLNAAMERQGLDMRVSALVNDTVGTLAGARYWDDDVMVAVILGTGTNACYIQRTEAIPKLQHLKLETGNTIINTEWGAFSDGLPLTEFDREMDDESINPGEQIFEKTISGMYLGEIVRRVLVKMAEVSDLFGHSFPKKLAEPFVLRTPHLCAMQQDTSDNLGEVESILSDVIGVSQASLLARRITVEVSDCIIRRGGRLAGAGIVGILEKMENDSRGHIFGRRTVVAMDGGLYEKYPQYRRYMKEAVAELLGPERSNRIAIEHTKDGSGIGAALLAAANSKYAAAQISTR >ONIVA07G05290.1 pep chromosome:AWHD00000000:7:4007995:4009717:-1 gene:ONIVA07G05290 transcript:ONIVA07G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPLCLWVLNPATGVTVALPKNHSDEIAAGRGMMMYHGKVESHAFGEISSTGVYKALRIIRFYQRQLCEVIAVDGNNQDMWRKMQGPPATICCSKQMRCVVVDGVVYFMMEFYTTYFEIVVLPVEPGSIASFNLETEKWMTVQGPEVVHRHVQDGDSTYSELNLQLSLADSGGCLVTVHNIPPIRMDLWFLTDSETGMWVKKFSLPSQFIIVTVHPLLVLDEGRVYTRSVNKEFRSEDPGTGTCATVFEASRSSYKHFERCYGTAVGTGTARYQNPSHWIWPDPTAGRVWYRAVPILSLCNTTRRTEVEGQKRNFACNNRIGRDGRAARTLEALGFSIDQRRCRLLDRRRRLLEVNSAIAAAAAGNDDINAAASGKDDIDATTAAGNDEFAAAVACFNIATATATGKDDIDAAAAGKDDINAAATRNDEFATAAACFNAVAAGKDEFDAAAATCFNACRNPPLAAT >ONIVA07G05280.1 pep chromosome:AWHD00000000:7:4003724:4006662:1 gene:ONIVA07G05280 transcript:ONIVA07G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAAGWGLGAVDGVLPPELLLDVLLRLPAGPICRLRAVCRSWLAFTTDPHFVAAHAARHPAPLLAVGVQGFPRLCVDLVDLSGNLVKQILRVGKGRVVSGSSADRVLVAGEDHSVRVLNPTTGSISILPSHRCGGADPSTIAAWFAFGQTASTGECKLVRILLNIDNSRHLSEVITIGDTDGEWRETANPPGYLGWNCTNGVVFKGAAYFILDYCFSDPSFLERGCMPSFDFATEKWSVALQGPLNRILEESNGTLSYHDLANQLMLSGLKGTLCTSHWNDQFYTVDLWFLTDSEKGTWSKDHRINVDAVFHGIGDYLKVQPLLVTDEGKIVLSMQMGSKGVVQIYDPVTDTSSDIIQISIYTGASVFTGSLLCPQSVGRALYEVLPQSWALRISTALMMFRFGVIKV >ONIVA07G05280.2 pep chromosome:AWHD00000000:7:4004004:4006662:1 gene:ONIVA07G05280 transcript:ONIVA07G05280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAAAGWGLGAVDGVLPPELLLDVLLRLPAGPICRLRAVCRSWLAFTTDPHFVAAHAARHPAPLLAVGVQGFPRLCVDLVDLSGNLVKQILRVGKGRVVSGSSADRVLVAGEDHSVRVLNPTTGSISILPSHRCGGADPSTIAAWFAFGQTASTGECKLVRILLNIDNSRHLSEVITIGDTDGEWRETANPPGYLGWNCTNGVVFKGAAYFILDYCFSDPSFLERGCMPSFDFATEKWSVALQGPLNRILEESNGTLSYHDLANQLMLSGLKGTLCTSHWNDQFYTVDLWFLTDSEKGTWSKDHRINVDAVFHGIGDYLKVQPLLVTDEGKIVLSMQMGSKGVVQIYDPVTDTSSDIIQISIYTGASVFTGSLLCPQSVGRALYEVLPQSWALRISTALMMFRFGVIKV >ONIVA07G05270.1 pep chromosome:AWHD00000000:7:4000124:4002160:-1 gene:ONIVA07G05270 transcript:ONIVA07G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGLPPGLRFEPKDDELVARFLLARIQGKPLPLHGVILDADPLCAPPWRLLADHGRGNDAFFFAEARAKNGKGSRQKRTVEGGGYWQGQRMCVDGERLVVPDGGGGGGVEIAWRKYVLSYFADGEKGSSGWVMHEYAITSPADLASSAMRLYRIRFSGHGKKRKREPDSQSAHDEHGRARCAPQIAMPETALLEDSAPPPQPVHPPAAVVDCVCDVTDQGSSLVFPDQPGSIYEDELQSFVPEFAARNLFVSLPEGSRDVVAEAALIEDLTLSPQPVPPPAEVVNQADDSDGADQGCSSVFAALPDLIVLPPEEACGSGGAAPAPSWASSLDNQNDDAPAFFEFPESMDDMVGCFDFASMDNQSCTSAVSEIAVLEEPFLPPPTMVNHDNNSVSDGADQSCFGVGDNSTLVFSDLTGSIDEDELQSFVPEFVSLPQGSCEADAEADSGGGVAPAQFAEFGGPESMDDPLNFPAEASGGGDRAAPASSWVSSQDNQNDEAPMFFELPESLDDMVGCFDFAAMDGQSCTSAVSETALIEELVLPPAAMVNHHDDSVSDIADHGCSGAVPPPNSEVVDLPNDSVGADQSCSGMVDDSLSGYYEAELKDASGGAGSMMSSPDKQKEHSSSGVMDVEATGFGVPDSMDGLSCIDFAETMDDLSCIDFTIDDELFDLWS >ONIVA07G05260.1 pep chromosome:AWHD00000000:7:3992795:3996144:-1 gene:ONIVA07G05260 transcript:ONIVA07G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGSAPGSSSSSNLMSTFSPREVEDQFHIYRLKDEIAAVVATIDKIYSHLQLDKGSLEKNGFCFGLLDPVTNILINSAISEMSPATAAQAVVGGGEKAKDLNNNAAPRVEAGGGSRKRRRRGDNAADLSQRSLDGLTAFLTCLFPYLPDAEARLYLDAADADPVVASLLIIRRRGIREFDLSSQPTEAAVEVALRCAAVAAKHPDPRSLVLGWKLLSPVVEALFGSAPSSPRETTMHGDVARRVLRRLHKDNAAADRVVRLEGPWELAKRRLTRARLQQSMDSTCRRWAGCQPRSCAIRFTAACSWVATATVHWTLSPTSLSIPSARSLYGLVSFLCTRYRGLTPDLAMQRLLVTGVNLKAADPNLSPTPSATSRKKRLDFSDCAQVCVPGSQSASDGPLSPQKLSLLRTILQRCPSSTGKLHQQQDVACRKEDHPFELHFICGVNELVSGPVRSLGEKVGDYNPWTRDKYYHTHINFLAVCKARLYDPPTLFFAECGKDGADTCWCVPVIPQKPEAGQVRCIYCEYQGNRILHPAMESFHGRDEFEKLFYGSNGSYTNDKLITNSDLEVDWVHGVQDGAMYRDCCPDSDDDEDDWIDIF >ONIVA07G05250.1 pep chromosome:AWHD00000000:7:3989292:3990488:1 gene:ONIVA07G05250 transcript:ONIVA07G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRNRTTKEKAHVDNDALQRFCGAWRDMQCSRRGGGGGGGDAFVVGAVYHIAEDLTDITLMDAYSGEVVRRMDGLSRPGLKVCAGGDMICVVSPGDGLLRVVDVATGDLTDLSMGCSAATGRNVSSGYTLGKVPATGEHKLLHVYAAANGSQSSEVLTITTGGGGGGCQWRATRSHPPMRIEHGISRSSATVGGVVHFLSPATTSAPRDRGVECDTIAAFDLATEQWRPALMDGPLPADQRHRGQRPNLGLAALDGRLVAVHHDYPGRTINLWSLTPNDTTWTKLHSLPIKNVLRGWEEEPTSHPAAAAEAKRGGRSKKRKKKKEEEVRWLCREKVAQPLAMVGDGRMAVWARGCEGAVRLHDPRTGACEEVAQVEKGGCVLGFYSGALAQGEEF >ONIVA07G05240.1 pep chromosome:AWHD00000000:7:3985193:3987313:-1 gene:ONIVA07G05240 transcript:ONIVA07G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGLPPGLRFEPKDDELVARFLLARIQGKPLPLHGVILDADPLCAPPWRLLADHGRGDDAFFFAEARAKNGKGSRQKRTVEGGGYWQGQRMCVDGERLVVPDGGGGGGVEIAWRKYVLSYFADGEKGSSGWVMHEYAITAPADLASSTMRLYRIRFSGHGKKRKREPESQSDNHQIAVAETAMLEDSAPPPQPVHPPAAMVNCVSDDTDQGFSGAVPPPAPVVHHTNDSDVTDRYSSLVFSDQPGSIYEDELQSFVPEFPARNLFVSLPEGSHEAEPAQSVSSLADVGGPENMDDQSCSGVVFANLPELIVLPSAPSLDNQNDEAPVFFEFPESMDDIVGCFDFAAMDNQSCTSAIPEEPFLPPAAMVNHDDGYASNNADQGCSGAVPLPAAVVDLPNETDGADQSCSGVGDNSTLLFSDVTGSIDEDELQSFVPEFAASNLFVSLPQGSCEADAEADSGGGVAPAQFAEFGGSESMDDSSMMYPLNFPAEAGGGGGRAAPASSWVSSQHNQNDEAPMFFELPESLDDMVGCFDFAAMDGQSCTSAVSETALIEELVLPPAAMVNHHDDSVSDIADHGCSGSVPPPSAVVDLPNDSDQSCSGYYEAELKVPLEYAARNPVDSPAKGGNEAEVDASNGAGSMMSSPDKQKEHSSSGVMDVEATGFGVPDSMDSLSCIDFAETMDDLSCIDFTIDDELFDLWS >ONIVA07G05230.1 pep chromosome:AWHD00000000:7:3979463:3980665:1 gene:ONIVA07G05230 transcript:ONIVA07G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGTSRRRGRRGRRAAGRCAGLPLDALFEIMLRLPARDVCRLRAVCRSWRAVASDRAFVDAHASRHPGLYVAASFSDDDGGDESCGVDIVDLSSGDIVKTIYTDVSGSRVQRTRLDLVCLVEGPSPLDVTMLDPVTGATYIPAKSISADDEDLLSSGRLIMESCAFGKVPSTGEYKVVRLLGSGNPCELYECEIMTMNSAGALQWRAIQGPQLPVCSSNNMRSVVINGVAYFLLDYSRLYCSNDGLLIRPGNIVPFDLETEEWMGILNGPKPVARGRDMIVVSSTLEIMEPLSLADLNGSLVMVHDVYGSPMDLWFLSDLEQGLWVKKYSIDFEYYNNNAYPLLLLDDEKIVFLLRGTNILQSYDLKDDTYTDILVVPDFRSVGIYTGDLLSLEGGLN >ONIVA07G05220.1 pep chromosome:AWHD00000000:7:3979086:3979385:1 gene:ONIVA07G05220 transcript:ONIVA07G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLCCLWARPQQNAQIGWACAGLDKTPFEGPSRQRERRRGRYLYPPPPLHSTPLLIHSLSPPPATSPPRPPHLTSPEFPPGTLPSPSLAPPSGSAVS >ONIVA07G05210.1 pep chromosome:AWHD00000000:7:3975676:3976413:-1 gene:ONIVA07G05210 transcript:ONIVA07G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit S, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23890) UniProtKB/Swiss-Prot;Acc:Q9T0A4] MAPTTPASFLRPPPLPHHHHPRIVRLPPPSATFRVADLLGGRGLCNGEVGIRKELASDPPAAPPSTTASSDEPAESPPPAASGVDPEAFDKEMMGLTGGFPGGEVGLKDFVAKNPPPPKPAHRKGFAGGAAATTAERPRAPELPLFLPGMVVLVKNPDNAYHMYCGIVQRVTDGKVGVLFEGGIWDRLITFDLDELEGREKGPPMVNPKSVLLESLAAEMEDDVAKEEEGEEAKKKKEEGTASAA >ONIVA07G05200.1 pep chromosome:AWHD00000000:7:3974510:3974842:1 gene:ONIVA07G05200 transcript:ONIVA07G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGGRDGRGRPRRRRMGPRSDLAATVGKKPDLAAAAEDVGVGSGSGEDAAAVRAGRSSGDGEDAAALHAGRRRSERGGEAKSVRGGGARSEVFACGGGGVKFGRGIVRW >ONIVA07G05190.1 pep chromosome:AWHD00000000:7:3970205:3974919:-1 gene:ONIVA07G05190 transcript:ONIVA07G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQKLELHQAVATPSDVSSVTGRIIRDLSMMVIPIEHHMVHRGHGIFDFQQAKEVGQTFSGLKISRCSAQPCNCVGRCCNGSTLEHPWCGLKPVRDKAIDDCFRTATPTDQVGGWRNIFLDDWFPDSGAVANLVPIVSTSVKPEKWTVASI >ONIVA07G05190.2 pep chromosome:AWHD00000000:7:3970205:3974919:-1 gene:ONIVA07G05190 transcript:ONIVA07G05190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQKLELHQAVATPSDVSSVTGRIIRDLSMMVIPIEHHMVHRGHGIFDFQQAKEVGQTFSGLKISRCSAQPCNCVGRCCNGSTLEHPWCGLKPVRDKAIDDCFRTATPTDQVGGWRNIFLDDWFPDSGAVANLVPIVSTSVKPEKWTVASI >ONIVA07G05190.3 pep chromosome:AWHD00000000:7:3970205:3974919:-1 gene:ONIVA07G05190 transcript:ONIVA07G05190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIPIEHHMVHRGHGIFDFQQAKEVGQTFSGLKISRCSAQPCNCVGRCCNGSTLEHPWCGLKPVRDKAIDDCFRTATPTDQVGGWRNIFLDDWFPDSGAVANLVPIVSTSVKPEKWTVASI >ONIVA07G05190.4 pep chromosome:AWHD00000000:7:3970205:3972885:-1 gene:ONIVA07G05190 transcript:ONIVA07G05190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPIEHHMVHRGHGIFDFQQAKEVGQTFSGLKISRCSAQPCNCVGRCCNGSTLEHPWCGLKPVRDKAIDDCFRTATPTDQVGGWRNIFLDDWFPDSGAVANLVPIVSTSVKPEKWTVASI >ONIVA07G05190.5 pep chromosome:AWHD00000000:7:3972942:3974919:-1 gene:ONIVA07G05190 transcript:ONIVA07G05190.5 gene_biotype:protein_coding transcript_biotype:protein_coding LYPSNPPILLAKSLGLIPTVHPRARIHHRTIPRPNFTPPPPHAKTSLLAPPPRTDFASPPRSLLLLPACSAAASSPSPELLPARTAAASSPEPLPTPTSSAAAAKSGFFPTVAARSDLGPIRRLRGRPLPSLPPEYIHVSLLAVVHRLRQCNGLYLPSTTVFWTKKQKYQQVRQLSEPQGDITPTHSLGLFLFSKNWNYTKQ >ONIVA07G05190.6 pep chromosome:AWHD00000000:7:3972942:3974919:-1 gene:ONIVA07G05190 transcript:ONIVA07G05190.6 gene_biotype:protein_coding transcript_biotype:protein_coding LYPSNPPILLAKSLGLIPTVHPRARIHHRTIPRPNFTPPPPHAKTSLLAPPPRTDFASPPRSLLLLPACSAAASSPSPELLPARTAAASSPEPLPTPTSSAAAAKSGFFPTVAARSDLGPIRRLRGRPLPSLPPEYIHVSLLAVVHRLRQCNGLYLPSTTVFWTKKQKYQQFSKNWNYTKQ >ONIVA07G05180.1 pep chromosome:AWHD00000000:7:3959250:3960377:-1 gene:ONIVA07G05180 transcript:ONIVA07G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQILASSLWTCCSTSWCGLRVICQAWRSLTSDPLFIKAHAAHHRQPLLLATFRDDEAHVHIMDLVGNVLKTIGIPVGHKVLCTHLDLVCVATNWNSCRVLNPATGAVYDLPESPAEEHMYHAHLCKPYTSFAFGRIASTGEYKVLRMFNLELPQLCEVFTVKGGTGQGHAHWRGKQSRQFFVEMQKANSGVVVNGVVYFLMDALYDAMIISGLGAGIHPDFIFSFDLETEEWREDIQGPISSSFVFDGDFDPQEYFSIWHQLCLAELKGYLVLVYHQRFCSTMDLWFLTDYETRAWVKEYSIQTESFIPVLEYDVKPLLVLDDGRILIWLGSTGLLLIYDPRTSSFAEVKMRHLAEVGMYTGSLLSLQNGDMV >ONIVA07G05170.1 pep chromosome:AWHD00000000:7:3956339:3958333:1 gene:ONIVA07G05170 transcript:ONIVA07G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGDGLPPGLKFEPKDDELVARFLLARIQGKPLPLHGVILDADPLCAPPWRLLADHGRGDDAFFFADARAKNGKGSRQKRTVEGGGYWQGQRMCVDGERLVVPDGGVEIAWRKYVLSYFADGEKGSSGWVMHEYAITTPADLASSTMRLYRIRFSGHGKKRKREPESQSAHHDDGRARCAPQIAMPETALLEDSAPPPQPVLPPAAVVNSVSDGAVPPPAPVVNCDSDVTDEDELQSFVPEFSARNLFVSLPQGSHEAEADVVGGALPAQSMSSFADVGGPENMDDQSCSGVVFANLSDLIVLPPVEASGAAPAPSWASSLDNQNDEAPVFFEFPESMDDIVGCFDFATMDDPSCTSAISEEPFLPPAAMVNHDDGYASDNADQGCSGAVPLPSAVVDLPNETDGADQSCSGVVDDSSMVFANIHPLDSPAEGGHEAEAGAGGGRAAPAPSWVSSLDNQNDEAPMFFELPESLDDMVSCFDFAAMDGQSCTSAVSETALIEELVLPPAAMVNHHDDSVSDIADHGCSDAVPPPSAVVDLPDDSDGADQSCSGMVDDSLPGYYEAELKVPLEYAARNPVDSPSKGGHDHEAEVDASGGAGSMMSSPDKEKEHSSSGVMDVEATGFGVPDSMDGLSCIDFAETMDDLSCIDFTIDDELFYLWS >ONIVA07G05160.1 pep chromosome:AWHD00000000:7:3953308:3954489:-1 gene:ONIVA07G05160 transcript:ONIVA07G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRNRTTKEKAHVDNDALQRFCGAWRDMQCSRRGGDAFVVGAVYHIAEDLTDITLMDAYSGEVVRRMDGLSRPGLKVCAGGDMICVVSPGDGLLRVVDVATGDLTDLSMGCSAATGRNVSSGYTLGKVPATGEHKLLHVYAAANGSQSSEVLTITTGGGGGGCQWRATRSHPPMRIEHGISRSSATVGGVVHFLSPATTSAPRDRGVECDTIAAFDLATEQWRPALMDGPLPADQRHRGQRPNLGLAALDGRLVAVHHDYPGRTINLWSLTPNDTTWTKLHSLPIKNVLRGWEEEPTSHPAAAAEAKRGGRSKKRKKKKEEEVRWLCREKVAQPLAMVGDGRMAVWARGCEGAVRLHDPRTGACEEVAQVEKGGCVLGFYSGALAQGEEF >ONIVA07G05150.1 pep chromosome:AWHD00000000:7:3945870:3949221:-1 gene:ONIVA07G05150 transcript:ONIVA07G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase-related [Source:Projected from Arabidopsis thaliana (AT5G18070) TAIR;Acc:AT5G18070] MAELAAGGDQRAALLAAATLFPPPPDGTRFSYGTAGFRAEGAAMGPAVCRAGVVAALRSAKLGGAAVGVVITASHNPVRDNGVKIVDADGGMLSQDWEPFADALANAPNPDALLQIVLQFAKDEDIKLGGSHSAQVLLARDTRPTGEYLLDVAVKGVNAVIGAVAVDMGILTTPQLHWMVRSKNKGLKSSETDYFSQVIDSFRCLLELVPKDKEADVINNRLIVDGANGIGGLKLEEIKAKISGLDIHVRNSGKGEGILNESCGADFVQKEKVVPLGFGPEDVGFRCASFDGDADRLVYFRIVSSSDTRIDLVDGDKILSLFVLFIREQLDIINGKDNKGNEVLPTRFGVIQTAYANGASTDFLKNIGLEVVFTPTGVKYLHKEALKYDIGIYFEANGHGTVLFSDHFVSQLESLTSEFSSKAAGSSQHQAAMRLLATSQLINQAVGDALSGMLLVEAVLQYKGWSFQNWCDLYTDLPSRQLKVKVQDRNSIVTTDAERRVCQPNGLQELIDGEISNYSHGRCFVRPSGTEDVVRVYAEASSEEAADSLAKRVAQHVERILG >ONIVA07G05140.1 pep chromosome:AWHD00000000:7:3937559:3939429:-1 gene:ONIVA07G05140 transcript:ONIVA07G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLLLLPPSPPLQGDPLLSRRRTGGEVDEVMASRSQCAKVSGEAGTTASPSAAGGGGGGGAALPADVLFEVLLRLGPKDVCRLRGVCRSWRALTTDATFVAAHAARHRGPLLAGGVLDFDAFPSVDVLLMDLSGSVVKRIRHAATHLVLPTTNLDLLCVTEVYTCRATLLNPVTGAAVHLPEPLSTPHSLRGRSLSDFDGSFKYGRDSNGDYKVLRVLTDCHNKQFPEQLFEILSLDESSRYHMRWRAKKALPMCVRRDAIGGVVINGVVYFLLDGRPNGVKNAHRESYEMDHMALFDLCSERWISYLEGPMATHPEMNNIDEILPEPLEMSVYQNLLLSELSGALVVAQYTDYRSAEIKSYVDLWYLMDSEKQIWEKKYRIVLEMDTWDTEHIFPHAHPSLILDDGRILIYITVHLANFEGQYTRRVMRLYDPETDTLGSDLVDVKNIHSIGFFSGSLLSIQNGQGL >ONIVA07G05130.1 pep chromosome:AWHD00000000:7:3924547:3927997:-1 gene:ONIVA07G05130 transcript:ONIVA07G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSSASAAAGASCRRRAIEVLAAVLVLYAVLVFVLESPLVSTSLSGGGGGGGGGGGGARYLRLAGGGVRAAPARPAKEPRLAASASVSGPSARGRRLSGMVSDLDLGLLNSSRAGPLRRPIAGAVETGARVFAELEDLDTAAFESPSAEGEAEAAKCPQSVMRSADEFHGRGRVVELPCGLTLGSHITVVATPRPAHAEGDPKIAVLKEGEQPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTPLRCEGWKSNSDEETGWGPLQFHFDYVSSVDGFVKCENWILNADERSKESTTTWLNRLIGQKKEMNFDWPYPFVEGRLFVLTISAGLEGYHVNVDGRHVTSFPYRPGFVLEDATGLSLSGDLDVQSVFAGSLPTTHPSFSPQSYLDMSTVWQSSPLPNEPVDIFIGILSSGNHFAERMGVRKTWMSAVRNSPNVVARFFVALHGRKEVNVELKKEAEFFGDIVFVPFLDNYDLVVLKTLAICEYGVHVVSARYVMKCDDDTFVRLDSIITEVNKVQSGRSFYIGNINFHHRPLRHGKWAVTYEEWPEEVYPPYANGPGYVISSDIAGAIVSEFRDQKLRLFKMEDVSMGLWVEQFNRTRPVEFVHSTKFCQFGCVDDYYTAHYQSPRLMLCLWQKLLDGKPQCCNMR >ONIVA07G05120.1 pep chromosome:AWHD00000000:7:3918084:3919763:-1 gene:ONIVA07G05120 transcript:ONIVA07G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKVDMDTKRVVSTAQGQKLADEYGMKFFETSAKTNQNVEQVFFTIARDIKQRLTETVAAAAEPPTIQISRQEPDQAAASSRWSACCNT >ONIVA07G05110.1 pep chromosome:AWHD00000000:7:3901691:3905556:-1 gene:ONIVA07G05110 transcript:ONIVA07G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRKRLGRAALLLAAAAYLAFLLLFELPSLDLFPSSDAAAGAAMPTHRPRRRELEASSSSSAFASPVLRRPATAVSPAPASAAAAAAGALPIFSSLLLLPRPNATATPFDGTAAEAFAAARPHLDHLRTAAAAAAEEASSSSTAPTCPTSISVHADGLPGDGVRTVELPCGLAVGSHVTVVARPRAARPEYDPKIAERKSGQEPLMVSQFMVELVGTKAVDGEAPPRILHFNPRIRGDYSGKPVIEMNSCYRMQWGQSQRCEGYASRPADETVDGQLKCEKWIRDDDKKSEESKMKWWVKRLIGRPKDVHISWPYPFAEGKLFVLTLTAGLEGYHVNVDGRHVTSFPYRTGYTLEDATSLSLNGDIDIESIFASSLPNSHPSFAPERYLEMSEQWRAPPLPTEPVELFIGILSAASHFAERMAVRKSWMMYTRKSTNIVARFFVALNGKKEVNAELKREAEFFQDIVIVPFMDSYDLVVLKTIAIAEYGVRVIPAKYIMKCDDDTFVRIDSVLDQVKKVRSDKSVYVGSMNYFHRPLRSGKWAVTYEEWPEEAYPNYANGPGYVISADIARYIVSEFDNQTLRLFKMEDVNMGMWVEKFNNTRRPVEYRHDVRFYQSGCFDGYFTAHYQSPQHMICLWRKLQSGSSRCCNVR >ONIVA07G05100.1 pep chromosome:AWHD00000000:7:3895481:3896290:1 gene:ONIVA07G05100 transcript:ONIVA07G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRKPTVGLVSSPDAVVEVGFCWREEREIEMEMEMEENEGLINVLSVTKEACIFVVATQEMNQMAAQFVNWRRRVRIEIKQLSGQNSHVQWA >ONIVA07G05090.1 pep chromosome:AWHD00000000:7:3887201:3890907:-1 gene:ONIVA07G05090 transcript:ONIVA07G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVMMAMRKRMRGALLALALLLTATAVVPLLLLGEAGDDGVGAVAAAPPFNASRVRAVSWRPRVFVYKGFLSDDECDHLVKLGKRKMQRSMVADNKSGKSVMSEVRTSSGMFLDKRQDPVVSRIEKRIAAWTFLPEENAENIQILRYEHGQKYEPHFDYFHDKVNQALGGHRYATVLMYLSTVEKGGETVFPNAEGWENQPKDDTFSECAQKGLAVKPVKGDAVLFFSLHIGGVPDPLSLHGSCPVIEGEKWSAPKWIRIRSYEHPPVSKVTEGCSDNSARCAKWAEAGECEKNPVCQVSEAGIGDNIGHPIWRPHFGVIVGGDKRETAVSGE >ONIVA07G05080.1 pep chromosome:AWHD00000000:7:3885215:3887175:-1 gene:ONIVA07G05080 transcript:ONIVA07G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINGGLVHSQRSLEDFNAGDAFSSMKRRVPNGPDPIHNRGTGESGRSPGRASVALNSQSCTLNVA >ONIVA07G05070.1 pep chromosome:AWHD00000000:7:3880843:3881488:-1 gene:ONIVA07G05070 transcript:ONIVA07G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAVVLLLLFGLAAVAVTAARIMPDDDCGDTANAAGAAGVGEAKTAFGGSDGRGGLFGGYTGPLGGGAAGFGPFGGFGAGGGPFGGFGGGVGLGGGGGGFRPGKIHVADYLGPPVSQWKG >ONIVA07G05060.1 pep chromosome:AWHD00000000:7:3879765:3880450:1 gene:ONIVA07G05060 transcript:ONIVA07G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPQRRGKSSSHPCCIAIYSTSFPHRANQGRELQLSLSTYLSQSTGTLSCPPELWSALCAPPQRHHCRSHLSGPSRAKLSHQHLRLILLSVSSQGPRKMVHRRGRSHPFFVGADLNPSPSSALVKRTEGSESTGHRSSGDARTNRSLPAAPRRTGSVVACPLSIWPNTASPWSRHVVDCPGRW >ONIVA07G05050.1 pep chromosome:AWHD00000000:7:3874301:3879483:1 gene:ONIVA07G05050 transcript:ONIVA07G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXU1] MQGGGAAASAAAAATQQHRELLERYELVRVRGRGSFAQVWEARHRRTGLSVAVKILNLAGLLASGIPIRKVEREIAVMRLLNHPHIVRFHEAIAGGDGGGHVYIVMELATQGQLYDYVTQLGRLREDDARRIFQQIISGAEYCHHNMVVHRDLKLENILMDSEMNVKIVDFGFSKFFRHNKVLSASCGSREYAAPELLAGRKYVGPPVDVWSCGVILYILFCGRLPFDSADVSELHRIIKRGEFSIPPYVPDDARDLISSMLIVRPDKRLTITEVRTHRWLQHSIPRYLAMPPLNARTQITRASIDAETVDKVVGHGFERRYLVESLENRVENEATVAYNLILNKKFDAPTRYVWTIDVYQEAGQSNTTGAAEATGSSAAGEPPVAVAGEDDGRNNGWALGGVEFHECPREAMRAIAAALRETGVVYAHDDDDRGRYGKLLCARFAGAAGVRRIIRSYLAATDDAPSSSSSAASAGGGSGRGEAGHGGGVPVDDAVLESLSAAVFFEIQLYKSEGEGNYLMDLKRLSGPQLQYLNICSELSSKLRAIN >ONIVA07G05040.1 pep chromosome:AWHD00000000:7:3867407:3871477:1 gene:ONIVA07G05040 transcript:ONIVA07G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKEDFTKRYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >ONIVA07G05030.1 pep chromosome:AWHD00000000:7:3853330:3855991:-1 gene:ONIVA07G05030 transcript:ONIVA07G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVPSLAAELWRPHHHRHHLEASSVVTDQGSGSRGGGGSGRRRPRRDAGPEDDDSSKVVSTSAASGGGQDSVAPEAKRLKPMKSSDKNDSLRTEAGTDSGNSSKAADKNATPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKASVLDEIINYIQSLQHQVEFLSMKLEAVNSHMNNGIVAFPSKDFGAQPYNTAAGLTFDPQTTREFAQGSTSEWLHMQIGNAYERVT >ONIVA07G05020.1 pep chromosome:AWHD00000000:7:3842910:3848830:-1 gene:ONIVA07G05020 transcript:ONIVA07G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSSSSPPASPSHPNPSPPPHADPRSAPPMPSSADADAPSSPPPPQQEAAAEGEEEEEKKQQREEEEEAPAPAPAPAPEPAPRKARLPRACNSKPKPPPPPPPERPRRRAAAGGGAGGAEESPQCRVVTPLVSEPEAPAEMPRWRLRCMWELASVLNFLHVYRPLLNISGEFTAEDLEEALITPNSTLDDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLRDWWHWVAEGDIPIVASHGTEIEAYKVLEPATRLIILKAICDIRVEQEDIRNFIDSSLKHGYDLSTFRKERIGGDAYGISYWYEDDPILGHRLYREIRRVEQLKKEPGKRSRGKGGSIILPVVSYQWETVASNFDEFDDVAEKLFSSRNRTEANLGKKLKIEYLPDIEKIHKKKERLLKKQQREALLIDSFLAPDGFTTGRSLRDRKPVTYTFDEYDRSISEAIKITKKGENSAEPAAPANRRILTPRPEASSNGKVNGLSPTTNEYDGNSSKSDDYRDSDGEEESETLDRSNRRRRRSQRYTRDFVEAVSDIDPNFDSDDEIMGEAVYDEEYLRTRKQQKTSSASEEDEEFRLEEDAEDDDEEEEEYSLSTSEDLEEPQQRKKLQTRGRRGAKLRSVDEIQTGLRRSKRSSRQRINYQQYDYSDTDTEGGKEGKSDASDPDAGFDAENDTELSTSSQELEEDEDDGPEEQKDNSDDNKMDEDHVMVENKEEQEEQPQPPPLPPQQPVEKMEAPSREIETVGRTFLDLNELAPGGGFDDGPSLTMKDEDMDNS >ONIVA07G05010.1 pep chromosome:AWHD00000000:7:3839969:3840169:1 gene:ONIVA07G05010 transcript:ONIVA07G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSIVVVFCFIWGWRDPVKFKLTWSHRLPRHDRLPHDLRLPHRPPHGAVAGVPGHHHRSLHSGPS >ONIVA07G05000.1 pep chromosome:AWHD00000000:7:3836026:3836633:-1 gene:ONIVA07G05000 transcript:ONIVA07G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMQWITTVVRSCPLCVLLSRYGDKELLHRRDVKYSLTKALVAFYPFAGQLGVDGAGHIQIDYTGHVPPRLRCGGVVLSLASIARASAEALLPPTETPHLYRDSDVLRPQAAHHMCHVYYARSLGPLRYMPQAAPLAYAVITLSKQQLATSRCKQIIIMLNKQQEQG >ONIVA07G04990.1 pep chromosome:AWHD00000000:7:3828318:3834290:1 gene:ONIVA07G04990 transcript:ONIVA07G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEFNTPLHEAVKQRRSAVALRLLEAEPNCGHTPNVDMQTPLHIAAREGLTDVVEKILDIPWVPEKFVATANDRGTALHQAVLGGHTRVVEILLMRTAPDLIDITDSAGSTALHYAAQKNDARMVSMLLDHKPELASRPNGRQQSALHVAAVNGSIAAAMEILQHSPDAAESKDMNGRNAVHVAVSNVDMLRGLLKVIGPAEVINQGDRDGNTPLHLAAKMAHVQSTLTLLKDPRVNPCLLNRDGHTARSLVEERLAVGEMDAYVVYLWEKLKKQEESRCKNLQHLPPVATYQSLRRRSHRSAGSGNGDYFELGVGTYTLVATLIATVTFAATFTMPGGYNQTSGLAIHADRAAFDIFLVSNTVAMCSSITVVFCFIWAWRDPVKFNLEHLRWVHMLTVIACLAMIVSLMTSIYLTVLPTKRWPAYLVITIGACTPVVVILILGKEAFYIPFVQKTLLPPTG >ONIVA07G04980.1 pep chromosome:AWHD00000000:7:3817264:3817578:-1 gene:ONIVA07G04980 transcript:ONIVA07G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPGKPGEDRGVATGREPELSCCRPCSPLEQRSALASWRPREVGGRGSPAAFAQSPGRRRGEWTTRGEAGAASRGQRWGRGVGGGQAAPEATGAAQRAGAVAR >ONIVA07G04970.1 pep chromosome:AWHD00000000:7:3816186:3819333:1 gene:ONIVA07G04970 transcript:ONIVA07G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLHKAAGQGSTVSLAVLLGEELGSKILNSKTPQGNTALHIAAGLGHAAFVEAAVGEHGDLLIAKNNEGDTPLHLAARAGRMAVVDMLIAFISMSGPCWPEGTGLHRPLPHRHLRVSDIGINFASTTSSRPAHRRTDHSFKHPATTTPCRRSSSTTLYAIKFRVAAACVLATPARAFVPSCPQVWQIQRDISSFTVRLHRLFGVIFLNNSRDCVPVIVSSTSSHTIGPRRPPVRPRPLYNAPCASRLGYLDIIDSPTSAALTTALAMSTMAQRVIIRVKHSCRFLLQSKYPHYSRLDCGGC >ONIVA07G04960.1 pep chromosome:AWHD00000000:7:3806680:3810247:1 gene:ONIVA07G04960 transcript:ONIVA07G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLHKAAVQGNTARLAALLGEEQGGGKILNSTTPQGNTALHIAAGLGRVAFAEAAAAEHGDLLVARNDQGDTPLHLAARAGKMAVADMLITFITMAGPCWPEEEPLMMMNKTRNTPLHEAVKQRRSAVALRLLEAEPNCGHTPNVDMQTPLHIAAREGLADVVDKILDQPWVPEKFVTADNVSGTALHQAVLGGHTRVVEILLMKTAPGLIDLTDAVGNTALHFAAQKNDKRMVRMLLDHKPDLAHRCNERQQSALHVAAYYGSTAAAAELLRHSPDAAEMLDREGRNAVHVAVSSGKVDALRCLLGRVRPAEVVNRGDNSGDTPLHLAAKMARIKSALMLLRDPRVDPCLLNRDGHSARSLVEERVAGGEMDAYVVYLWEKLKKYESRRCKNQQLPPVATYQSLRSRRPGSGSNDEYFELSVGTYTLVATLIATVTFAATFTMPGGYNQNTGLAIHADRAPFKIFVVSNTVAMCSAIVVVFCFIWAWRDPVKFKLDQLTWGHRLTVVACLAMIVSLMTSVYLTVLPTERWLAYLLLSNCG >ONIVA07G04950.1 pep chromosome:AWHD00000000:7:3801525:3802521:-1 gene:ONIVA07G04950 transcript:ONIVA07G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSAAEVLPPGLASGRKGMWHLSDVGAVEVRGLARVEAAPPRVLEAEGENLRRKLGVSGEGVVLGDAIVSPACSAIASCRVCNRKIGQSSCQGLLQLNFRTHQNMNKFLNSGTILNSELVESCFWGHLI >ONIVA07G04940.1 pep chromosome:AWHD00000000:7:3789277:3803386:1 gene:ONIVA07G04940 transcript:ONIVA07G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAFRKEQRKKELKRNKKERKKVREVGILKKDPEAILEQIEKLEKMKADGALDKARKHKKRQLEDTYNLIVKKRKEYEEKMKEKGEQPIMFSHLGPPKRRPAAEEDDRAKNPKPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSAGASSSMPGTEEAGPSTLPPPPPPPPLPASSEPVDPSAASLPPLPPPPPPPPKPANIAGAPGLPLPPPPPPPPGPPPREIVPGQTLLPPPPPPRPLQPSPLAGTNEFANKQTIGEGASLTDSAQAKGALPPPPPGLIRNSSEMQNANEVPGLKEDDKVTRILPLPPPQPSHLPPLPPRPPTMPSMQPDMLAPGVPRFPPPPPPPDTRPPFMAPGVNARPLPPPPPGLPPAQMQMAPFGVPPGPPPMLPPPFYPGPPIQTGDFAAFGPRPNVPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKVQQSATTSSSALKPSVAPIRSEPRPSSSVSKPQSIDDSYMAFLEDMKELGALDDCMFNELPWQIFKFKPPVLSTISASEHLHTFSFPESTMAPSASSTGAVLLFAIAAVLLLAVRDGHCAQLCMDSTFPRTVNGSLTFCGYNGTACCNSTDDAAVQRQFAAMNISGTPCGELVKSILCARCNPYAGELFTVTTSPRTVPRLCNSTGVASRLSGGKAAAAAATDYCTTVWDTCKAVRIPGSPFQPPRGGAAAPTLTDVWQSSGDFCTALGGAPGGGGAPCFDGESAAFDASRVAPPASGMCLERLGNGSYLNMAPHPDGSNRVFLNNQAGKVFVATVPAQGSGKPLQVDAATPFLDITDEVHFDNEFGLLGLAFHPEFAKNGRFFVSYSCDKTQSASCSGRCACNSDVGCDPSKLTADNGAQPCQFQTVIADKADGYLYLMMGDGGSVGDPWNFAQNKKSLLGKIIRIDVNALPTGNSTAGWGNYGIPKDNPFSTDSKFAPEVFALGFKNPWRCSFDSGKPSDLYCADVGQSSYEEVDLVIKGGNYGWRVLEGTTAYLPLASPGGNTSAADIDAIPPVMGYAHSAVNNNVGSASITGGYVYRSGTDPCLAGRYLYADLYAQSAWAGLESPPGSGAYDVTPLPFACSGRSPIPCDAAAARSTLPSLGYIFSFGEDNAGDVYLLTSKGVYRVVDPAECGYACPIKSSAPGTSPPPGSSPSGGAAAAVVPAAAATMAALLLMGALLAL >ONIVA07G04940.2 pep chromosome:AWHD00000000:7:3789277:3803303:1 gene:ONIVA07G04940 transcript:ONIVA07G04940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAFRKEQRKKELKRNKKERKKVREVGILKKDPEAILEQIEKLEKMKADGALDKARKHKKRQLEDTYNLIVKKRKEYEEKMKEKGEQPIMFSHLGPPKRRPAAEEDDRAKNPKPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSAGASSSMPGTEEAGPSTLPPPPPPPPLPASSEPVDPSAASLPPLPPPPPPPPKPANIAGAPGLPLPPPPPPPPGPPPREIVPGQTLLPPPPPPRPLQPSPLAGTNEFANKQTIGEGASLTDSAQAKGALPPPPPGLIRNSSEMQNANEVPGLKEDDKVTRILPLPPPQPSHLPPLPPRPPTMPSMQPDMLAPGVPRFPPPPPPPDTRPPFMAPGVNARPLPPPPPGLPPAQMQMAPFGVPPGPPPMLPPPFYPGPPIQTGDFAAFGPRPNVPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKVQQSATTSSSALKPSVAPIRSEPRPSSSVSKPQSIDDSYMAFLEDMKELGALDDCMFNELPWQIFKFKPPVLSTISASEHLHTFSFPESTMAPSASSTGAVLLFAIAAVLLLAVRDGHCAQLCMDSTFPRTVNGSLTFCGYNGTACCNSTDDAAVQRQFAAMNISGTPCGELVKSILCARCNPYAGELFTVTTSPRTVPRLCNSTGVASRLSGGKAAAAAATDYCTTVWDTCKAVRIPGSPFQPPRGGAAAPTLTDVWQSSGDFCTALGGAPGGGGAPCFDGESAAFDASRVAPPASGMCLERLGNGSYLNMAPHPDGSNRVFLNNQAGKVFVATVPAQGSGKPLQVDAATPFLDITDEVHFDNEFGLLGLAFHPEFAKNGRFFVSYSCDKTQSASCSGRCACNSDVGCDPSKLTADNGAQPCQFQTVIADKADGYLYLMMGDGGSVGDPWNFAQNKKSLLGKIIRIDVNALPTGNSTAGWGNYGIPKDNPFSTDSKFAPEVFALGFKNPWRCSFDSGKPSDLYCADVGQSSYEEVDLVIKGGNYGWRVLEGTTAYLPLASPGGNTSAADIDAIPPVMGYAHSAVNNNVGSASITGGYVYRSGTDPCLAGRYLYADLYAQSAWAGLESPPGSGAYDVTPLPFACSGRSPIPCDAAAARSTLPSLGYIFSFGEDNAGDVYLLTSKGVYRVVDPAECGYACPIKSSAPGTSPPPGSSPSGGAAAAVVPAAAATMAALLLMGALLAL >ONIVA07G04930.1 pep chromosome:AWHD00000000:7:3785148:3786650:-1 gene:ONIVA07G04930 transcript:ONIVA07G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARRMRRAAAAAGVVERFAGMGSALAGVMFVWSMLSPLLPRQLFEHFVGRFLRRHARRLAGLVDPYLTVTISEHCGERMKLGDVYEQAKAYLSHRCARRARSLRAERAARDGGGDRFLLTMGDGEEVYDVFQGATVWWNSVSSGGGRRYESPWFGGGGVVYDDDRRAYRLLFHRRHRDLVVDSYLPHVCREGRAIMLRNRRRKLFTNAGGDRYRKSAWSYVAFEHPSTFDTLAMDPAKKKDIMDDLDAFRDGKDYYARIGKAWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTSVATNTDLRRLFIETKGKSIIVIEDIDCSVDLTGKRKKRSPHAAAAAAAEPVDAAKDESASKVTLSGLLNVIDGLWSACGGERIVVFTTNHVGKLDPALIRRGRMDKHIEMSYCCFETFKILAKNYLAIDAHHLFDDVRSLLQDARIKITPADVAEHLMRKCATAAADEAAACLASLVKALEKKAKGKETVEEEETVVDE >ONIVA07G04920.1 pep chromosome:AWHD00000000:7:3779419:3781047:1 gene:ONIVA07G04920 transcript:ONIVA07G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAETAAAAAATATAGGCRVVRWRRWTFASLGALLSNLGPVWFLVAPLLAAYAPRRLLLTYFNLVLRRRARRLLAAVDPYVTVDIPDPGAADAHQQYYHHRSRLGGRRAGDNAYEEVKAYLSAACSSEARELRAEAAAEGRGLVVSMRDGQDVADEFRGATMWWSSVDEEQQGGGARRRSQRLTFHQLHRRLVVDEYLPHVRRRGRELLFHNRRRRLYTNNKSLSYSSVYHKAWSYVNFDHPTTFETLAMEPAKKAAIMDDLDAFRRSGEFYRRAGKPWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTMVSDNNDLRKLLIETTSKSIVVIEDIDCSLDLTGDRATRRPGEIRGGGSMITLSGLLNFIDGLWSASGGERVVVFTTNHVEKLDPALIRRGRMDMHIEMSYCRAAAFRTLAKNYLDVDAHHLFDAVDDILDKEDITPADVAECLMAAKRSSNSDVTSSLEFLVDELNKRAMENAKAVAEAKARAEAEAEAKAMADDDSEEDDDDSEEDDDDYSDDYTDDDDYDDD >ONIVA07G04910.1 pep chromosome:AWHD00000000:7:3774314:3776038:1 gene:ONIVA07G04910 transcript:ONIVA07G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAVTTTTGARWRSWAFANMGTLLAHFGSLSFFLGPLLAAYAPRRLLLTYFNLFLRRRARRLLNAVDPYITVDISECPAAARYYSRYDPVDARDTTYDEAKAYLSATCSSEARELHAEGAEEGDGLVISMRDGQDVADEFGGATMWWSSVAAEQQAAPPPPQGAAERRCLRLTFHMRHRRLVVDEYLPHVRREGREVLFSSRRRRLYTNNKMSEYASYSDEKAWSYVDFDHPTTFETLAMEPAKKKAIMDDLDAFRRSREFYRRTGKPWKRGYLLHGPPGTGKSTMVAAMANYLDYDIYDVELTVVGNNNNLRKLLIETTSKSIIVIEDIDCSLDITGDRAARRPRPPPSYRDGHDRRSSDVTLSGLLNFIDGLWSACGGERIVVFTTNHLDKLDPALIRRGRMDMHIEMSYCGFEAFKTLAKNYLDVDAHHLFDAVEELLRDVNLTPADVAECLMTARRSGSDDTSCLEICVDELKKRAEERAKEEAEAKAREEAEAKAMAEFEEKAKEKALAKAKAVVDAAAAAAAAAATTATAKPNATKEEEEEEEEEEEEEEDSQEESSADTGQFTE >ONIVA07G04900.1 pep chromosome:AWHD00000000:7:3762949:3766162:1 gene:ONIVA07G04900 transcript:ONIVA07G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHNFASFQEQEVELLTYVGYKLHEHPCKDHFQWVTSPSAQLEDIRFGATRITQHF >ONIVA07G04890.1 pep chromosome:AWHD00000000:7:3755991:3756197:1 gene:ONIVA07G04890 transcript:ONIVA07G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHHAAVAVVDDDEAKPRLRLRLRRLPAAVPPPPPQPPLSPTPTTTSWTTNSSSISMSPNLPPPLM >ONIVA07G04880.1 pep chromosome:AWHD00000000:7:3754451:3759517:-1 gene:ONIVA07G04880 transcript:ONIVA07G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLRSTMQYNASVAGAGDAAAFRRVEMATPLIAGLTVAAAALAGRYSIQAWNAYKARPVVPRMRKFYEGGFQPTMTRREAGLILGVRENAHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDILLGKTKGGGRSKV >ONIVA07G04880.2 pep chromosome:AWHD00000000:7:3754947:3757375:-1 gene:ONIVA07G04880 transcript:ONIVA07G04880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQHDKATPLIAGLTVAAAALAGRYSIQAWNAYKARPVVPRMRKFYEGGFQPTMTRREAGLILGVRENAHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDILLGKTKGGGSAF >ONIVA07G04870.1 pep chromosome:AWHD00000000:7:3752421:3752762:1 gene:ONIVA07G04870 transcript:ONIVA07G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKDAGVVDDGGNGVRLQDRVAMAEFCWIVLGFFRCTAEGALVRVVAVFYVVVLLPMLCLEVFPRAPPPVSLLNAAMGVLLMYRLYLVLRFEYFEEKKKQEEEDQAAAAAAS >ONIVA07G04860.1 pep chromosome:AWHD00000000:7:3746182:3747729:1 gene:ONIVA07G04860 transcript:ONIVA07G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPAASAWSSVNSGIVLSLAAVLWTVVWNSLQSLQLHHLVGRHLARHARRLAAVVDPYLTVTVAEHDGGRMKRSDAYREVQAYLHRATCDASAGVRHLRAEPAKNPDAFVLSMADREEVADVFRGGVTVWWLAYSTPPREDDAGSGFYWGSRAARADRRFYRLSFLERDRDVVLGEYLPHVRREGRAAMVRNRQRKLFTNLAGDTWGDDGGWCESVWSHVVFEHPKTFDTLAMDPARKKDIMDDLDAFRNGKEYYARVGRAWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDIELTSVRTNTDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKNKKKKDAAAAKNDTDGDKKESPPSEEEEKDKEGSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCGFEAFKFLAKVYLGIDAHHLFDAVRALLRDVDMTPADVAENLTPKAAGDNADTCLAELVKELEKAKADKAQAKGKAAAAAAAEEEVDGDDDE >ONIVA07G04850.1 pep chromosome:AWHD00000000:7:3726545:3740174:1 gene:ONIVA07G04850 transcript:ONIVA07G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MSLSSTSTSSLLLLLSPRGNPRRLLPLLLPLRLSSSSSAAAAAAAMPPRRDRHHGKQPQQQWKPKATDPAAAAPAVVAAASSPASSTSSSADFAGGVERMTISPSPQGGASQVWVPRGYATSASSSSSSSSAAAAEQRIDAEKLSRVFKAAPNFEVDNNTFIQSQIRATFYPKFENEKSDQETRTRMIEMVSHGLATLEVTLKHSGSLFMYAGHHGGAYAKNSFGNIYTAVGVFVLGRLFREAWGKEAPRMQEEFNVFLEKNCISISMELVTAVLGDHGQRPKDDYAVITAVTELGHGKPKFYSTPEVIEFCRKWRLPTNHVWLFSTRKSASSFFAAYDALCEEGTATSVCKALDEIADVAVPGSKDHVKVQGEILEGLVARIVSRESSVQIEEVLRNYPLPPLDGVGSDLGPSLREICAANRSDEKQQIKALLENVGPSMCPDHSDWFGCSGLDDHHSPSANRSVVTKFLQAHPTDYTTKKLQEMIRVMKQRNFPAAFKCYWNYHKIDSLSNDSLYYKMVIHVLSDSVFRRYQQEMRRNQGLWPLYRGFFVDVNLFKVNNMKSSIPSEDIDTSLKNINGALDSNSSAKDGLADEDSNLMVKLKFLTYKADEELGNISKAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPENQALIGAAGDLVQTENFLAILEAKRDEEGDLQAERGTAPPSPTSTSLDVVPKAEGLIVFFPGIPGCAKSALCKEILTTPGGLGDNRPLHSLMGDLIKGRYWQKVADERKKKPFRITLADKNAPNEEVWRQIEDMCRTTKAAAVPVIPDSEGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKASPNAGYVLLMFYNLYDGKSRREFESELYERFGSLVKMPLLKPDRVPLPDEVKAILDEGISLFRLHQSRHGRAEPSKGAYAKEWAQWEKRLRQVLFANTDYLNSIQVPFDFAVKEVLEQLKSVAKGDLKTPDTTKRKFGNIVFAAVTLPPADILGALPKLAEDTDANKFLNNTKLADNLTKAHVTLAHKRVHGVAAVSSYGVYQNHQVPVIFNAFLFSDKMAALEVELGTVNGEKIASRNDWPHATLWTAPGVAPKEANTLPQLVTEGKAKRVAIDPPITISGVLDFY >ONIVA07G04850.2 pep chromosome:AWHD00000000:7:3726545:3740174:1 gene:ONIVA07G04850 transcript:ONIVA07G04850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MSLSSTSTSSLLLLLSPRGNPRRLLPLLLPLRLSSSSSAAAAAAAMPPRRDRHHGKQPQQQWKPKATDPAAAAPAVVAAASSPASSTSSSADFAGGVERMTISPSPQGGASQVWVPRGYATSASSSSSSSSAAAAEQRIDAEKLSRVFKAAPNFEVDNNTFIQSQIRATFYPKFENEKSDQETRTRMIEMVSHGLATLEVTLKHSGSLFMYAGHHGGAYAKNSFGNIYTAVGVFVLGRLFREAWGKEAPRMQEEFNVFLEKNCISISMELVTAVLGDHGQRPKDDYAVITAVTELGHGKPKFYSTPEVIEFCRKWRLPTNHVWLFSTRKSASSFFAAYDALCEEGTATSVCKALDEIADVAVPGSKDHVKVQGEILEGLVARIVSRESSVQIEEVLRNYPLPPLDGVGSDLGPSLREICAANRSDEKQQIKALLENVGPSMCPDHSDWFGCSGLDDHHSPSANRSVVTKFLQAHPTDYTTKKLQEMIRVMKQRNFPAAFKCYWNYHKIDSLSNDSLYYKMVIHVLSDSVFRRYQQEMRLTYVSLLGFFVDVNLFKVNNMKSSIPSEDIDTSLKNINGALDSNSSAKDGLADEDSNLMVKLKFLTYKADEELGNISKAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPENQALIGAAGDLVQTENFLAILEAKRDEEGDLQAERGTAPPSPTSTSLDVVPKAEGLIVFFPGIPGCAKSALCKEILTTPGGLGDNRPLHSLMGDLIKGRYWQKVADERKKKPFRITLADKNAPNEEVWRQIEDMCRTTKAAAVPVIPDSEGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKASPNAGYVLLMFYNLYDGKSRREFESELYERFGSLVKMPLLKPDRVPLPDEVKAILDEGISLFRLHQSRHGRAEPSKGAYAKEWAQWEKRLRQVLFANTDYLNSIQVPFDFAVKEVLEQLKSVAKGDLKTPDTTKRKFGNIVFAAVTLPPADILGALPKLAEDTDANKFLNNTKLADNLTKAHVTLAHKRVHGVAAVSSYGVYQNHQVPVIFNAFLFSDKMAALEVELGTVNGEKIASRNDWPHATLWTAPGVAPKEANTLPQLVTEGKAKRVAIDPPITISGVLDFY >ONIVA07G04840.1 pep chromosome:AWHD00000000:7:3724264:3725702:1 gene:ONIVA07G04840 transcript:ONIVA07G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRYAYPYPSQGYYNQGPYQGPPVMAPPHAQYQYQYAQPPPPPRQPGFLEGWYASPPSAAAACSTSAAATPPSYSSPEDDDGDEEEEDGRLS >ONIVA07G04830.1 pep chromosome:AWHD00000000:7:3720469:3723351:1 gene:ONIVA07G04830 transcript:ONIVA07G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRHVGDLEAAAYGGGRFGFTGGLEFTGLTYTVTKKQRGAGGEWEKKEVDLLHEVTGYAPKGCVTAVMGPSGAGKSTLLDALAGRIAARLGGRVALDGVEVSPGLVKRCSAYVMQDDRLFPMLTVRETLMFAADLRLGASVPAADKRRRVDAIIDQLGLAASGNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVVEKVRDIACAGSTVVLTIHQPSSRILQLLDHLVILARGQLMYSGAPREVAAHLGRMGRRVPKGESSIEHLLDVIQEYDQSEFGVAALAEFCLTGLKPPKLAADGISTVSSIPPTPLLAGEEDFDHSLRSQHSRSPWSAAAAAAATQFTPSRRPKKDHPEIVMGTPTPLSMSAYTLSEGDYRTPPPPRHAAAVTTLGGHRGKFANTYGGEVWVLMRRNFTNIWRTPELFLSRLMVLVAMGVLMATMFTKPRDDDQGVTERLSFFVFTVCVLFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGVVTYLPFLLLQSAAYAAIVWFALRLHGQFLYFLVMLYASLLSTNSFVVFISSVVPNFILGYAAVIAFTALFFLFCGYFLDSHSIPVGWKWMNTISTMKYPYEGLLMNEFQGGRVFSSHPPPALPLTGDVILEHLKISTAEDRKWWMVLYLMGWAVFYRVLFYLVLRFASKNKRK >ONIVA07G04820.1 pep chromosome:AWHD00000000:7:3717230:3719394:1 gene:ONIVA07G04820 transcript:ONIVA07G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFERARTVRLRGHHDKYLYAEEDESRVSQDRSASSPNARWSVEPVPHAPGVLRLRSHYGRYLSASNEPFLLGVTGRKVLQALPHRLDSSVEWVPVRDGAHARLRTRYGNYLRANGGLPPWRNSVTHDVPHRHAGWILWTVEVVEVLPESLVPAPIASDDDPAAPHYKTPSRGPSPVPTPALAPASPPRHRPASPPSYLARPPPPPPGYIEPPQGYIEPPPPEPTLARIESTESFSLPLHKVDGRAIHYHIGDDNGDIGDDQEGHSFTFNGTSLEELLERLQEETGLNDVIICSRSPINGKLMPLRLQLPPNNAAMHIVLVRESSKGRVISGS >ONIVA07G04810.1 pep chromosome:AWHD00000000:7:3712628:3714280:1 gene:ONIVA07G04810 transcript:ONIVA07G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G59720) TAIR;Acc:AT1G59720] MLSLAASSLPSTRTHRPDAGSHSSSSSVRLLRSLARSRRADLAHRALLLFRSLQSTPSPPPPHVSLPAVLSAAAFLSALPEGRQLHALAAKLGLAPSHTVVANSLLHLYSSCGLPGAALDLFRRIPDRSLVSWNTAVDALVGNGDHLAALDLFREMQRDTELAPDAYTVQSVLGACAGAGALSLGVYAHALLLRELGGDGDGEAVSRDMLINNSLVDLYGKCGALELAQQVFDRMPARDLASWNVMILTLANHGRVCESVELFDRMTQVEKMAPNAITFVAVLSACNHGGLVEEGRRYFAMMVDQYRIKPRIEHYGCMVDLLARAGFIEEALDIVAGMNCRPDAIIWRSLLDACCKKNAGLELTEAMAKLALEVPDDAVSGVYVLLSRVYASAQRWNDVGMVRRLMVEEGVKKEPGFSSIEMDGLVHQFVAGDTSHPQSEAIYEKLDEIQLKLTSAGYKPDLSEAPLVASIDNAKGAALRLHSERLAISFGLLNATPGAPIRILKNLRVCKDCHTISKLISKLYGLEIIVRDRIRFHHFKDGSCSCKDYW >ONIVA07G04800.1 pep chromosome:AWHD00000000:7:3706428:3706973:-1 gene:ONIVA07G04800 transcript:ONIVA07G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQALQILRGIEGDMSGFRFCFAHMFCISISGISSTTNIDSGSDKYSCIVVRSTSARPGNAGSFTSQSLAVTSKQYTSCSLLLQSCYAAAS >ONIVA07G04800.2 pep chromosome:AWHD00000000:7:3706490:3706973:-1 gene:ONIVA07G04800 transcript:ONIVA07G04800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLPPPICPEHANADVMFWLLRSISGISSTTNIDSGSDKYSCIVVRSTSVYHDSPWQLGPVMQEALHHNRLQ >ONIVA07G04790.1 pep chromosome:AWHD00000000:7:3694684:3702405:1 gene:ONIVA07G04790 transcript:ONIVA07G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXR1] MAEKGDNLEAVLNESVDLENIPLEEVFEHLRCNREGLTSANAEQRLNLFGPNRLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRNGRWSEEEAAILVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAIGMVVEIIVMYPIQHRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFQSGVDQDTVILMAARASRTENQDAIDATIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGEGKMHRVSKGAPEQILNLAHNKTEIERRVRAVIDKFAERGLRSLGVAYQQVPDGRKESPGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQDKDESIVALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVDDSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLSEIFATGVVLGSYLAMMTVIFFWVAYKTDFFPRVFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFFVAQLIATLIAVYANWGFASIKGIGWGWAGVIWLYNIVFYLPLDIIKFLIRYALSGRAWDLVLEQRIAFTRKKDFGTQENQLKWATAQRTIHGLQPAATAAVFRDMTSYNDLNQLAEEARRRAEIARLRELTTLKGRMESVVKQKGLDLETIQQSYTV >ONIVA07G04780.1 pep chromosome:AWHD00000000:7:3690225:3690680:-1 gene:ONIVA07G04780 transcript:ONIVA07G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTAARQHGGGWQHGTGDGRARVRSPRPSVTSSPTSSLSTVAAVVVDHLRAGELGSRRRRCCPRRGRRRAAPPPPRSGEEGRSSLLPMEEASSLELRRCWPQALSLVLPTPISSLHMTILGSSCAPSATSPRSVLVGGGGLARDRPRR >ONIVA07G04770.1 pep chromosome:AWHD00000000:7:3669993:3673576:1 gene:ONIVA07G04770 transcript:ONIVA07G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 2 [Source:Projected from Arabidopsis thaliana (AT4G39120) TAIR;Acc:AT4G39120] MLPTTSTVPPTGHLHRPTHLRAGLLHGSVATAAVVRACGVTTGHRRRMGSVRASPRAGGWEVAMADKEGVGVERLVEVAQRAADAAGEVLRKYFRQRVEIIDKEDQSPVTIADREAEEAMVSVILKSFPSHAVFGEENGWRCVEKSADYVWVLDPIDGTKSFITGKPLFGTLISLLYKGKPVIGIIDQPILRERWVGVDGMKTTLNGQEISVRPCNVLAQAYLYTTSPHLFAGEAEDSFIRVRDKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWKGNKLHWPVSAESRPESFNVVASGDARVHKQALDALQWH >ONIVA07G04770.2 pep chromosome:AWHD00000000:7:3670532:3673576:1 gene:ONIVA07G04770 transcript:ONIVA07G04770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 2 [Source:Projected from Arabidopsis thaliana (AT4G39120) TAIR;Acc:AT4G39120] MLPTTSTVPPTGHLHRPTHLRAGLLHGSVATAAVVRACGVTTGHRRRMGSVRASPRAGGWEVAMADKEGVGVERLVEVAQRAADAAGEVLRKYFRQRVEIIDKEDQSPVTIADREAEEAMVSVILKSFPSHAVFGEENGWRCVEKSADYVWVLDPIDGTKSFITGKPLFGTLISLLYKGKPVIGIIDQPILRERWVGVDGMKTTLNGQEISVRPCNVLAQAYLYTTSPHLFAGEAEDSFIRVRDKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWKGNKLHWPVSAESRPESFNVVASGDARVHKQALDALQWH >ONIVA07G04760.1 pep chromosome:AWHD00000000:7:3662897:3669773:-1 gene:ONIVA07G04760 transcript:ONIVA07G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASWTAEDDVLLKNAVEAGASLESLAKGAVCFSCKFTLQELQDRWSSLLYDSETSGQASALIVKYETELSTSNPTKAHKLFYVRRKHLSLRKRKIESVKNQYYAMRKRICHDPCLAADFGYVITPCSCPVGSDCVCDGLFNLLEDNHLIHNVNQAPDVVNGYGHIGESYADGQDVHAKDNGHYISHRRHDKAAGTVASDGSTNCESANGCSDVGKLYGYNFMPKNIQSSERNIASPKDLSDVQDCVQPQQPILCEESANGMTGLKALLNTDQDCIKQNQFSGNSNEILQEPGSLKAMSEHWCSQAPSVPTRKKFQGVNAPDMLTDVHHKEQEILAFSDDKKKETTNIDTFSCKVNVENGMSGSGLDDATEGEVMHSCLMDASQGEDFELLNSENILDSSLDPNLEGLGDRHANVILKDISKEHLLDIPHVSSACGNNTDPIHEKHDVADISGVDMIYTTEVPFPCAGIVCILNTEDPEIPCNDDIFTPGPVASTSTCDQNSQHNMHLVSAKPIPPLNAADLNHTDLVSDVQPLLLTMKLEPYTLEQKETLVGLNESCTVRSKSPVMPVDASNANACTSTFHSAAEFVKKSTCGLVQHECFDNLGSVALDECIGVLDEMNSKDPDESGISCDATTQNSISAHALPDVEFLNPITTASSPEGGGSDSEDGIPNYFDIEALILDQDLIPWDQESDFIQPEVSRFQSLESRKDLIRLERGARSNTNRSIMSHGAFAVLYGQHLKYYIKDPEVTLGRETSEEHVDIDLGKEGKANTISRQQAIIKMDKGGSFHITNIGKAPIFVNSKEVPCNECTHLISDALLQIRHMKFIFHINQDAVRQHIVRSRRGTSQGKYAVFNWDEKP >ONIVA07G04750.1 pep chromosome:AWHD00000000:7:3660634:3661848:-1 gene:ONIVA07G04750 transcript:ONIVA07G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAFSTSKPKPAAGEECGESAVVAVVIDFSASWCGPCKMMEPVFKEMAGRFTDVAFLKVDVDELAEVARTWRVEAMPTFVLARGGEEVGRIVGADKDELEKTINTLRSSSSSTATTT >ONIVA07G04740.1 pep chromosome:AWHD00000000:7:3658153:3660065:-1 gene:ONIVA07G04740 transcript:ONIVA07G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPSVSGSGGGGDLEAHLDGDVGNGNARSDSAAAAAAPELRYRGWKAMPFVIGNETFEKLGSIGTAANLMVYLTTVFHMSSLDAAVALNVFAGTTNLATVVGAFASDLYLGRYATVAAGCVSTFIGMVILTMTAGVPALHPPPCGEGRCLGATRGQLAVLGLAFAFIVAGAGGIRPCSLPFGADQFDPRTESGRRGINSFFNWYYFTLTIAVCASSTAIVYVQSSVSWWVGLAIPAALMLASCALFFAGAGLYVRVRPEGSPFAGVARVAVAAFRKRSAAAPSDADESLFRTRHASGVVSRLPYTDQFRFLDKAAVVVDAKSEVGGDGHPKNPWRLCSLQQVEEAKCILRVVPVWLTCIVYYVAFAQTNTYVILQAAQSDRHLGGGAGSFEVPPGSFTVFPMLALAVWIPLYDRLVVPWARRLTGREGGITPLQRMGVGMALSVLAMLVAAMAEKRRRDLAAGSPSNTGRVSRQSAFWLVPQLAALGLSEAFNQVSQTEFYYREFPESMRSVAGSVLFSGLALSSYLSGVLVAAVERATRGAGAGDDGGWLAEDLNKGRLDWFYLLIAAIGAANFLAFVACAKWYRYKGSDDDDDDDHEHEQVNVADRISAAAA >ONIVA07G04730.1 pep chromosome:AWHD00000000:7:3650456:3651450:-1 gene:ONIVA07G04730 transcript:ONIVA07G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMCRFSPRWQSVRVCFAHRFPTLTTSPTLTLKPNGRCVGIGDALRFFAVANSHCSHYLASFAPRTADNLELRTSRTPANPNKRFVKCPRLEHVKWACKFFMWETQYEQLLKDMQAGNRQAGRLVLNHDGGKPWM >ONIVA07G04720.1 pep chromosome:AWHD00000000:7:3645536:3646033:1 gene:ONIVA07G04720 transcript:ONIVA07G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEKSADGIFHSFIEVDLVNWVSKGFRGPRQFIGTSPISSRRATRKAARNAVQRLEKCGLVKISDYSRRDLKLWKKRVMRVTTVCKEVVEGRDELERDFFFLQQNRVLVENCELQRKIVELQENVDCWKADKEEKDNLIIENYELKAELRALKRQLSEAKNKNE >ONIVA07G04710.1 pep chromosome:AWHD00000000:7:3628053:3632488:1 gene:ONIVA07G04710 transcript:ONIVA07G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSKKTQEFFPKGGNGGYAEQLELLLKQLRFPNKPIHHAEQVIKGFRKDCTMKIYIQAREEKCQGHVFKSRHLRANKEAALQDVSREAFMRLCKIYSIEVASTPFFLHPFRECGDRRCHIRKFRGFEEQSPIHFSMWMWAADEAYEEALEELDMLRSKIAGWEERYNHLAKEHTTRGQLLEAIKLRLQWATSQDYLQGYKPPSESVDAIVWPLVEGKHDNTSSGRRNEVIRTLSYFPFMLNFHPSCDCFFNMLGNKLVVIIGATGTGKTRLSIEIAKAIGGEVVNADKMQIYDGLDITTNKVSLQDRCFIPHHLIASIPRNAGDFPVSFFRSAAKTTINCIARRGHTPIVVGGSNSLIHGLLVDNFDSSIVDPFGQLEVSYRPTPRSQCCFLWVHVNEVILNEYLKHRVDDMVDAGLVEEIEEYFDTLSVNGHVPYVRLGKAIGVPELSEYFTGRVSCSDALSMMKTNTQILARSQVTKIHRMVDVWGWHVHALDCTETILAHLTGSNKYMEDLVWKRDVSDPGLAAIQDFL >ONIVA07G04700.1 pep chromosome:AWHD00000000:7:3618927:3622638:1 gene:ONIVA07G04700 transcript:ONIVA07G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQASSSPSMFRAIPTNTNASCRRKVRASAAAAAANGGGDGKVMMRKEAASGAWKIDYSGEKPATPLLDTVNYPVHMKNLSTPELEQLAAELRAEIVHTVSKTGGHLSSSLGVVELAVALHHVFDTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRQTSGLAGFPKRDESAHDAFGAGHSSTSISAALGMAVARDLLGKKNHVISVIGDGAMTAGQAYEAMNNSGYLDSNMIVVLNDNKQVSLPTATLDGPATPVGALSKALTKLQSSTKLRRLREAAKTVTKQIGGQAHEVAAKVDEYARGMVSASGSTLFEELGLYYIGPVDGHSVDDLVAIFNKVKSMPAPGPVLVHIVTEKGKGYPPAEAAADRMHGVVKFDPTTGRQFKSKCSTLSYTQYFAEALIREAEADDKVVGIHAAMGGGTGLNYFHKRFPERCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQRLPVRFAMDRAGLVGADGPTHCGAFDVAYMACLPNMVVMAPADEAELMHMVATAAAIDDRPSCFRFPRGNGIGAVLPPNHKGTPLEVGKGRVLVGGNRVALLGYGTMVQACMKAAEALKEHGIYVTVADARFCKPLDTGLIRELAAEHEVLVTVEEGSIGGFGSHVAHYLSLSGLLDGPLKLRSMFLPDRYIDHGAPVDQLEEAGLTPRHIAATVLSLLGRPMEALQLS >ONIVA07G04690.1 pep chromosome:AWHD00000000:7:3587227:3587630:1 gene:ONIVA07G04690 transcript:ONIVA07G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLVDVSVEVGDVGEGCRFSPPSPQTPTDLPSLRGMEAVQLLGSLKVLRHQERELGWGEGGGFNISGIAVEGYKSDYTFIEGAKVDLFL >ONIVA07G04680.1 pep chromosome:AWHD00000000:7:3583472:3585790:-1 gene:ONIVA07G04680 transcript:ONIVA07G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLLLAAAVLASAAAALADPPPPPSPTPWPERFHAVLFTNLTQTSGGGKLQMTDLYYDWPGGRNLNLVAGQLSGDPVYDVEWTNGTSYYFDSASCRTLLFPVGILPPDWLAAGAAYLGREAVDGFDCHVWTKVDFIWYYEDVVTHRPVRWNFYTGMQQHVMSFEVGGALEDSKWQAPAYCFNDQEAATNSDTANDNEDGEVDAMSSLLKFFRAQQTAVAAEETEWIEQVINGDILISEK >ONIVA07G04680.2 pep chromosome:AWHD00000000:7:3583472:3585790:-1 gene:ONIVA07G04680 transcript:ONIVA07G04680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLLLAAAVLASAAAALADPPPPPSPTPWPERFHAVLFTNLTQTSGGGKLQMTDLYYDWPGGRNLNLVAGQLSGDPVYDVEWTNGTSYYFDSASCRTLLFPVGILPPDWLAAGAAYLGREAVDGFDCHVWTKVDFIWYYEDVVTHRPVRWNFYTGMQQHVMSFEVGGALEDSKWQAPAYCFNDQEAATNSDTANDNEDGEVDAMSSLLKFFRAQQTAVAAKRLFTHDNRIQEETEWIEQVINGDILISEK >ONIVA07G04670.1 pep chromosome:AWHD00000000:7:3582270:3585808:1 gene:ONIVA07G04670 transcript:ONIVA07G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRLLPLLLLLLAAAAAALDPPTPTPWPEQFHAVVFTNLTGSGGRLQLIDLYYDWPRGRNLNLIRGQLSGDPTYDVEWTNGTSYFFNATSCRTKLFPVGLLPPDWLAAGAVYLGRETVAGFDCHLWTKVDFVWYYEDVVTHRPVRWNFFNGMQQHVMSFEVGGVLEDSKWQAPARCFSDQHTVIADPGAATVTDDRVEGEDGKLDVMNAFFRFVGAPAAAAAASV >ONIVA07G04660.1 pep chromosome:AWHD00000000:7:3578549:3580111:-1 gene:ONIVA07G04660 transcript:ONIVA07G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTEAAGSARMYPGSKSDPCGEPYKYTLQCLSSNDWKVGNCRSLMDTLAKCRANNEKIYLLDDICPILHQRFNNRLFINSDNIAGQQFEMYELQSKCSSKIHSYYIPSKRDEKQMSFY >ONIVA07G04650.1 pep chromosome:AWHD00000000:7:3572671:3574351:-1 gene:ONIVA07G04650 transcript:ONIVA07G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWWRRRSYAAAAAAADDDDTAGEELRSYVVAVEVAADNRAESPPVPARVTAELIKADAAGIPNYSKGDKDDPCCDTYSLVMKCLENTKNDFKKCKTLIDKYEECSNPPKEPRLCPAHELAFEKCLQKNVGEIKVCQFWMDMMSKCLRRNKQWV >ONIVA07G04640.1 pep chromosome:AWHD00000000:7:3567808:3569706:-1 gene:ONIVA07G04640 transcript:ONIVA07G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDDVLAAVLRRLPPRGIAASRCVCKEWRSLVDGRRLLRADLLPLSLAGILLNYDSTWFTQFLSRPTAAAAVSCRLDYTVPPPPAYIYVKDHCNGLLLLLREDGSSCPRPITIIIPYLQPWAVGCNENEYLVFDPTRSPNYELFMVPKVPYKLREEEECEECEWPPSTLILPVFSSKTGSWEERAFDREGDAAGTLPAMVGSTPFCDHQCGYWRGALYVCFSDCFVMRPQILVWFLNERYCGQTVWVLKHDMDISHILPNLNYDEQQRDGPWVLQHYNYRPFNFNYDDDDDDEDDVELEPIVEEKFEKFEWDSDNDNVLEPGSMRENCYIYFLGFHPYKDIVFLGDEFDRVLAYNWSSSKLQDLGKVFTEFYIRLTTYMHYDKLVQSSFPYTPCWLGELPEKLKL >ONIVA07G04640.2 pep chromosome:AWHD00000000:7:3568854:3569706:-1 gene:ONIVA07G04640 transcript:ONIVA07G04640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDDVLAAVLRRLPPRGIAASRCVCKEWRSLVDGRRLLRADLLPLSLAGILLNYDSTWFTQFLSRPTAAAAVSCRLDYTVPPPPAYIYVKDHCNGLLLLLREDGSSCPRPITIIIPYLQPWAVGCNENEYLVFDPTRSPNYELFMVPKVPYKLREEEECEECEWPPSTLILPVFSSKTGSWEERAFDREGDAAGTLPAMVGSTPFCDHQCGYWRGALYVCFSDCFVMRYQLESTP >ONIVA07G04640.3 pep chromosome:AWHD00000000:7:3567524:3568433:-1 gene:ONIVA07G04640 transcript:ONIVA07G04640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEDPGDTQFYLGKSNNGIYCASLPSFLRPQILVWFLNERYCGQTVWVLKHDMDISHILPNLNYDEQQRDGPWVLQHYNYRPFNFNYDDDDDDEDDVELEPIVEEKFEKFEWDSDNDNVLEPGSMRENCYIYFLGFHPYKDIVFLGDEFDRVLAYNWSSSKLQDLGKTLLNLEGL >ONIVA07G04630.1 pep chromosome:AWHD00000000:7:3565872:3566216:1 gene:ONIVA07G04630 transcript:ONIVA07G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNQGRFAPQVANCSAGLRGSRRRDSTGGKKGKAHASFGQRLVSSRRAPGDRTTQGTSSPRRLPELRSPEEFLELGRKAPEATTRLWSIDGQRESEEPAGGKHASEHSNELSR >ONIVA07G04620.1 pep chromosome:AWHD00000000:7:3564865:3565826:1 gene:ONIVA07G04620 transcript:ONIVA07G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGSGCGSPRRPEVALPDRPPESFRWQPTRVDEARLLRVLAAGPEEPRPGAAISLIVVTSGSDGGALHDLVGVVPGKAAAITGTGSSPRGGRLRIVSKRRSPAVARLARRMVEVTAGRRSAWRHHNVAGCLGVAAACTTAAWRAPVCVTSAGARQSMRHSTVRVERSPEAWGHDGHHSLVSARPSVHGVDKDPRGATVGGVSSDRRR >ONIVA07G04610.1 pep chromosome:AWHD00000000:7:3562643:3564362:-1 gene:ONIVA07G04610 transcript:ONIVA07G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLMLHVHSLTSGDDNTAGAYEGLISSTTMLTPVSTAISDAEKLLGKPVLKEKQEQMEQIGAWERTIRVAARFNLRLLALPSLREAEEAEVAKAGANVSTGAAADGISSTSAGQNRGSAVFVATSEGSGESAWTIALPGILILVQGGSLAGPEAKETSTHTTVIAPSASGAEPELHLGAAAAPEKPEAAIHPAAAPETSSGRAGLVADPSSTRDTHAGVDAVMVAGAAAVERRGRDTADLHTKWLRVQDLQEQAAATLAEAEAARDPSRLAVARADSARRQAEHDLTLVRAELARECDRAGRLSNELAATKAALASHKEEVQASQGRFEQAQLILEELNVHAIYAAQALVRAFSSIGVQGPSPPPEDSSVAKKLRWVEKAGKFVAKASAGYCSPRAPE >ONIVA07G04600.1 pep chromosome:AWHD00000000:7:3557814:3558939:1 gene:ONIVA07G04600 transcript:ONIVA07G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAWQRCVVYGLRRLDDVPGNSDKMACDVAGAGDALRAPSSDAPAGILLEQLADEFEEDGNVDESERTVGEAATGKATTSNVSVTSHRQVKTSWDRGCGRRGKDSEVDVSPATDVSDERERRDLRPARSLFSMIPSELHWPISFPIWNDIEGSFSSYSYMRAICIWCGILLSEQFLVSKHMCVSMVSMEASIGNQLPANDVIIAL >ONIVA07G04590.1 pep chromosome:AWHD00000000:7:3553429:3554738:-1 gene:ONIVA07G04590 transcript:ONIVA07G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXN7] MDPMGGERGRSGNCEAGAGRESVGEWVQVIHVRAATAVGQADGEDRNREATCAAPIQINKGHGESTLAIRPDNVYLIGFRTQAASWFAFKNSYNQISRATALGFDDSYTSLTGKGGYTNLKDIVVGKKSAQEAVATLAKYKKDGSVPEEEIKKGCL >ONIVA07G04580.1 pep chromosome:AWHD00000000:7:3543725:3556672:1 gene:ONIVA07G04580 transcript:ONIVA07G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 6B2 [Source:Projected from Arabidopsis thaliana (AT2G14170) TAIR;Acc:AT2G14170] MLRAALLRSGSGLRRPPMAAPLSTAAAASWLSDSASSPPRVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTADEFRAAVDAARTAFPGWRNTPVTTRQRIMLKYQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEDIKAVSFVGSNIAGMHIYSRASAKGKRVQSNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSEPWEDELVKRASSLVVNSGMASDADLGPVISKQAKERICKLIQSGADNGARVLLDGRDIVVPNFENGNFVGPTLLADVKSEMECYKEEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTVTQQWKESPAQRVSLSMPTSQK >ONIVA07G04580.2 pep chromosome:AWHD00000000:7:3543725:3550736:1 gene:ONIVA07G04580 transcript:ONIVA07G04580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 6B2 [Source:Projected from Arabidopsis thaliana (AT2G14170) TAIR;Acc:AT2G14170] MLRAALLRSGSGLRRPPMAAPLSTAAAASWLSDSASSPPRVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTADEFRAAVDAARTAFPGWRNTPVTTRQRIMLKYQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEDIKAVSFVGSNIAGMHIYSRASAKGKRVQSNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSEPWEDELVKRASSLVVNSGMASDADLGPVISKQAKERICKLIQSGADNGARVLLDGRDIVVPNFENGNFVGPTLLADVKSEMECYKEEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTVTQQWKESPAQRVSLSMPTSQK >ONIVA07G04570.1 pep chromosome:AWHD00000000:7:3538780:3542757:1 gene:ONIVA07G04570 transcript:ONIVA07G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSFSSLMLPCSHGHGGGRATASTCAAAAAACLALVALVILVVSMDPRAQASSWFFLSSSSSSSSSSTLVRPAASSHAASLRKPSSWGGGNGGGEHLLVTSSSFGSGGGARGSWSRNSTSKEVLFQGGGGGGGDEMTSTAAAPTPALIIGSSSGDGVSPSRVAVTAAAAEPTPALAPAPAPEWGVGDAASGDDIIQVMPQAQRRRDVKLERLELGLAKARATIREAIQNKDNKPPLTDKDYVPVGPVYRNAYAFHRSYLEMEKVFKVFVYEEGEPPVFHDGPCRSIYSTEGRFIYAMEMENRMRTRDPNQAHVFFLPFSVVKMVKMIYEPNSHDMDPLRRTISDYINVVSTKYPHWNRSLGADHFMLSCHDWGPYVSSANGHLFSNSIRVLCNANTSEGFDPSRDVSLPEINLRSDVVARQVGGPSASHRPILAFFAGGDHGPVRPLLLQHWGKGQDADIQVSEYLPRRHGMSYTDMMRRSRFCLCPSGYEVASPRVVEAIYLECVPVVIGDDYALPFADVLNWAAFSVRVAVGDIPRLKEILAAVSPRQYIRMQRRVRAVRRHFMVSDGAPWRFDVFHMILHSIWLRRLNVRVIARED >ONIVA07G04560.1 pep chromosome:AWHD00000000:7:3530689:3536206:1 gene:ONIVA07G04560 transcript:ONIVA07G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXN1] MESKRAEGIKNLLLKILFPLTFPLAGSFIFDLITDRANRDSDIDLSDSPTELDPSYGSSSIREEEEEEMDSIHRAPRKLVQTENPCSTGRIVSSEFSHTEEIMVAQASDSSSEVSVNRVQDDQRMMMEDLDSLKRMVSALEEQAASIESQFHDYCDMKEQESTYQKMQIMCLGMKLEQLESQNQRLEAAAAEIRASAEEFATMRARFDAMQSKSKKIWKKNKQDLDAIDERVLALDAREAEMATRCQGFEQFMEEMKQLTLQLQKEKGTNNENVEVIVERSMRKLGSSGRDVLDGLEALRDRWAADMEEMIYLGWITAWLQHDLLVLDGGEVGTPAAIIGDETPAQPRHKGEKVVVAVAPSNEVELCKAASASSSSSSEMRGAAEPSCMMGFVAGGCRSIGRPRLLRRLRGWAGGKGGSSRRQCKIEFPTSPM >ONIVA07G04560.2 pep chromosome:AWHD00000000:7:3530626:3536206:1 gene:ONIVA07G04560 transcript:ONIVA07G04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXN1] MKAKIWLQNSIKWIPPPSPFFCSVAETEDSDFLKGLRKIQRPRTQNPLDPTAKRKKKKKQKIKPNQTKTMELSLSARLALPLSPAAGTARPRRPAAFACRCCSGGASHDGTTRRRWLASLLAATAAVGIGVAGGDADAVSTSRRALRSAKIPESEFTTLPNGLKFCYRVTGRQTILCYFPFSECHVTAEALNRYYDITVGSGLKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDIGNSERGNVLKGLDLGVEGMKVGGQRLIIVPPELAYGKKGVQEIPPNATIEPNRIGSIIRIKFNSRGRGRRNGFNSSCAAKTSANRESLQHSLKRMVSALEEQAASIESQFHDYCDMKEQESTYQKMQIMCLGMKLEQLESQNQRLEAAAAEIRASAEEFATMRARFDAMQSKSKKIWKKNKQDLDAIDERVLALDAREAEMATRCQGFEQFMEEMKQLTLQLQKEKGTNNENVEVIVERSMRKLGSSGRDVLDGLEALRDRWAADMEEMIYLGWITAWLQHDLLVLDGGEVGTPAAIIGDETPAQPRHKGEKVVVAVAPSNEVELCKAASASSSSSSEMRGAAEPSCMMGFVAGGCRSIGRPRLLRRLRGWAGGKGGSSRRQCKIEFPTSPM >ONIVA07G04560.3 pep chromosome:AWHD00000000:7:3530626:3534080:1 gene:ONIVA07G04560 transcript:ONIVA07G04560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXN1] MKAKIWLQNSIKWIPPPSPFFCSVAETEDSDFLKGLRKIQRPRTQNPLDPTAKRKKKKKQKIKPNQTKTMELSLSARLALPLSPAAGTARPRRPAAFACRCCSGGASHDGTTRRRWLASLLAATAAVGIGVAGGDADAVSTSRRALRSAKIPESEFTTLPNGLKFCYRVTGRQTILCYFPFSECHVTAEALNRYYDITVGSGLKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDIGNSERGNVLKGLDLGVEGMKVGGQRLIIVPPELAYGKKGVQEIPPNATIEEAPFNY >ONIVA07G04560.4 pep chromosome:AWHD00000000:7:3530626:3534080:1 gene:ONIVA07G04560 transcript:ONIVA07G04560.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXN1] MKAKIWLQNSIKWIPPPSPFFCSVAETEDSDFLKGLRKIQRPRTQNPLDPTAKRKKKKKQKIKPNQTKTMELSLSARLALPLSPAAGTARPRRPAAFACRCCSGGASHDGTTRRRWLASLLAATAAVGIGVAGGDADAVSTSRRALRSAKIPESEFTTLPNGLKYYDITVGSGLKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDIGNSERGNVLKGLDLGVEGMKVGGQRLIIVPPELAYGKKGVQEIPPNATIEEAPFNY >ONIVA07G04550.1 pep chromosome:AWHD00000000:7:3527511:3527825:1 gene:ONIVA07G04550 transcript:ONIVA07G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRVRLICSAVSLALYTAFLAFYLLCATGRSFRGVVLGPGAGGVPPHVELAWKLANWVAVLLCCVVYAYLVSSIVVSCRRSGKPAAAPAGLPPPPVQMDIC >ONIVA07G04540.1 pep chromosome:AWHD00000000:7:3511679:3522046:-1 gene:ONIVA07G04540 transcript:ONIVA07G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGDGIVGGGRGPLGGRDGRGRGPAGGRGGGRGGGHPQQQQQQQPGYGRGDGGGRGPAPAAGGVVGRGTGGGGGGGRGDGGRGRGRGGGGGDGVRPAMAAAPAASTPGPVAVAARSTPPPTPAVQIPAVASSSSAQPAAAAQPPPAAAAVSALARDVGRQLAVVAGGGRPAPPAAPPAPIPVSSKGVAPPSRPGFGTVGERIVVRANHFLVRVSDNDMIYLYDVSLSPPPKTRRINRVVMSELARLHRESHLGGISFAYDGSKALYTAGKLPFDSMDFKIKLGKELREIEYKVTIRRAGQADLHHLHEFIAGRQRDSQQQTIQALDVVLRESPSLNYVIVSRSFYSTMFGRQDIGDGLECWKGYYQSLRPTQMGLSLNIDISSTPFFKPISVVEYVKNCLGTPTNANGPDPRRPLSDIDRLKVKKALRGVRVETTHQGKSSKYKITTITSEPLSQLNFSMDGTTQTVIQYFSQRYKYRLQYTSWPCLQSGNPSNPIYLPMEVCTIVEGQRYSKKLNDKQVTGLLRATCQPPQKRGQKIIEMVQHNNYPADKVVSDFRINISNQMATMPARVLPAPTLRYHDSGKEKTCNPRVGQWNMINKKMVGGAVVQKWTCVNFSRMHIDAVHRLCGELVYTCNAIGMVFNEMPEIEVGSAAPNNIEAALSNIHTRAPQLQLLIVILPDVNGYYGRIKRVCETELGIVSQCLKPGRKLLSLDRQFLENVSLKINVKAGGRNSVLQRPLVPGGLENTTIIFGADVTHPASGEDSSASIAAVVASMDWPEITKYKALVSAQPPRQEIIQDLFTMTEVAQNADAPAQKAEGSKKNFICGGMFRELLMSFYSKNAKRKPQRIIFYRDGVSDGQFLHVLLYEMDAIKKAIASLDPAYRPLVTFVVVQKRHHTRLFPEVHGRQDLTDRSGNVRPGTVVDTNICHPSEFDFYLCSHAGIQGTSRPTHYHILHDENRFSADQLQMLTYNLCYTYARCTRSVSVAYYAHLAAFRARYYDEPPAMDGASSVGSGGQPPAVRRLPQIKENVKDVMI >ONIVA07G04540.2 pep chromosome:AWHD00000000:7:3512785:3522046:-1 gene:ONIVA07G04540 transcript:ONIVA07G04540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGDGIVGGGRGPLGGRDGRGRGPAGGRGGGRGGGHPQQQQQQQPGYGRGDGGGRGPAPAAGGVVGRGTGGGGGGGRGDGGRGRGRGGGGGDGVRPAMAAAPAASTPGPVAVAARSTPPPTPAVQIPAVASSSSAQPAAAAQPPPAAAAVSALARDVGRQLAVVAGGGRPAPPAAPPAPIPVSSKGVAPPSRPGFGTVGERIVVRANHFLVRVSDNDMIYLYDVSLSPPPKTRRINRVVMSELARLHRESHLGGISFAYDGSKALYTAGKLPFDSMDFKIKLGKELREIEYKVTIRRAGQADLHHLHEFIAGRQRDSQQQTIQALDVVLRESPSLNYVIVSRSFYSTMFGRQDIGDGLECWKGYYQSLRPTQMGLSLNIDISSTPFFKPISVVEYVKNCLGTPTNANGPDPRRPLSDIDRLKVKKALRGVRVETTHQGKSSKYKITTITSEPLSQLNFSMDGTTQTVIQYFSQRYKYRLQYTSWPCLQSGNPSNPIYLPMEVCTIVEGQRYSKKLNDKQVTGLLRATCQPPQKRGQKIIEMVQHNNYPADKVVSDFRINISNQMATMPARVLPAPTLRYHDSGKEKTCNPRVGQWNMINKKMVGGAVVQKWTCVNFSRMHIDAVHRLCGELVYTCNAIGMVFNEMPEIEVGSAAPNNIEAALSNIHTRAPQLQLLIVILPDVNGYYGRIKRVCETELGIVSQCLKPGRKLLSLDRQFLENVSLKINVKAGGRNSVLQRPLVPGGLENTTIIFGADVTHPASGEDSSASIAAVVASMDWPEITKYKALVSAQPPRQEIIQDLFTMTEVAQNADAPAQKAEGSKKNFICGGMFRELLMSFYSKNAKRKPQRIIFYRDGVSDGQFLHVLLYEMDAIKKAIASLDPAYRPLVTFVVVQKRHHTRLFPEVHGRQDLTDRSGNVRPGTVVDTNICHPSEFDFYLCSHAGIQGTSRPTHYHILHDENRFSADQLQMLTYNLCYTYARCTRSVSVAYYAHLAAFRARYYDEPPAMDGASSVGSGGQPPAVRRLPQIKENVKDVMFYC >ONIVA07G04530.1 pep chromosome:AWHD00000000:7:3505961:3507941:1 gene:ONIVA07G04530 transcript:ONIVA07G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDAGTGSSGGGSPAAPSPPPPWQNPLRVILTAAFARQVAVGRWFTVFASLLILTASGATYIFGIYSPALKASLGYDQHTLNTVSFFKDLGANLGVLSGLINEVTPPWVVLAIGAAMNLSGYLMVYLAVAGRTAAPPVWLVCLYVFVGANSQSFANTGALVTCVKNFPESRGVVLGILKGFVGLSGAVYTQLYLAFYGDDAKSLILLIAWLPAAVSVVFVHTVRIMPYPRRRGGQETSVDPFFCFLYISIGLAAYLLVMIVVQRQFAFSRTAYSCAAAALLIVLFLPLCVVIKQEFKIHRERLELAAAAPPPHTITVLEMSKETERSPRPSSPAPAETSWVKGMFRPPARGEDYTILQALVSVDMAVLFVATICGVGGTLTAIDIIALGVPRSLYAXERGHGGAIGQSLGYPARSTNTFVSLISIWNYAGRVAAGFASEAFVERWRLPRPLVLTGILLLACAGHLLIALGVPRALYAASVIIGFCFGAQWPLVFAIISEVFGLKYYSTLYNFGGMASPVGSYILNVLVAGRLYDAEAGRQPGASLAAGAGRDKVCLGVDCFKKSFLIITAATVFGALVSLVLVWRTWRFYKGDIYARFRDGDGAVAGEGGDGRLPVDQRRRPPPPEEEESTAVNGRKE >ONIVA07G04520.1 pep chromosome:AWHD00000000:7:3490538:3495748:1 gene:ONIVA07G04520 transcript:ONIVA07G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGEGKVACAAWIRRREEKEKATRVFAAYGRAGSPPAVEVLGFDSKECSLSEPLARAELGEEPGDVPRGITVHPSGDELVCATAKGCRLFKLIFEEFTVRLISRDAPPLESVGPQKCLAFSTDGSKFAIGGEDGHLRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGVPLVNLTRSADEKIECCRFSRDGMKPFLFCTVAKGNKVVTVVWNISDWSRIGYKRLLGKPISTLSVSMDGKYLALGSHDGDFCAVDVKKMDVSHWSKKVHLGSPVSSIEFCPTERAVISTSHQWGAELTKLNVPADWKEWQVWLILLSLFLVSAILFYMFYERSDSFWNFPMGQHQPAKPWSVMKESPPVPEDQNPW >ONIVA07G04520.2 pep chromosome:AWHD00000000:7:3490538:3494997:1 gene:ONIVA07G04520 transcript:ONIVA07G04520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGEGKVACAAWIRRREEKEKATRVFAAYGRAGSPPAVEVLGFDSKECSLSEPLARAELGEEPGDVPRGITVHPSGDELVCATAKGCRLFKLIFEEFTVRLISRDAPPLESVGPQKCLAFSTDGSKFAIGGEDGHLRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGVPLVNLTRSADEKIECCRFSRDGMKPFLFCTVAKGNKVVTVVWNISDWSRIGYKRLLGKPISTLSVSMDGKYLALGSHDGDFCAVDVKKMDVSHWSKKVHLGSPIHFD >ONIVA07G04520.3 pep chromosome:AWHD00000000:7:3490538:3494042:1 gene:ONIVA07G04520 transcript:ONIVA07G04520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGEGKVACAAWIRRREEKEKATRVFAAYGRAGSPPAVEVLGFDSKECSLSEPLARAELGEEPGDVPRGITVHPSGDELVCATAKGCRLFKLIFEEFTVRLISRDAPPLESVGPQKCLAFSTDGSKFAIGGEDGHLRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGVPLVNLTRSADEKIECCRFSRDGMKPFLFCTVAKGNKVVTVVWNISDWSRIGYKRLLGKPISTLSVSMDGKYLALGSHDGDFCAVDVKKMDVSHWSKKVHLGSPVSSIEFCPTERRRYGVAIALGRAEGLRRPSALRA >ONIVA07G04520.4 pep chromosome:AWHD00000000:7:3494467:3495748:1 gene:ONIVA07G04520 transcript:ONIVA07G04520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAVISTSHQWGAELTKLNVPADWKEWQVWLILLSLFLVSAILFYMFYERSDSFWNFPMGQHQPAKPWSVMKESPPVPEDQNPW >ONIVA07G04510.1 pep chromosome:AWHD00000000:7:3485865:3486129:-1 gene:ONIVA07G04510 transcript:ONIVA07G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCHGPWVKAWAIPNNFTRVIFVLLFGASAILVFTGAMPFIFIQYAEVVSRVTLIVGCF >ONIVA07G04500.1 pep chromosome:AWHD00000000:7:3474771:3478378:-1 gene:ONIVA07G04500 transcript:ONIVA07G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10420) TAIR;Acc:AT3G10420] MLRALNTPTPPLRLRPACRVASQCGGRPSTRRRGAGGGPRRAVPQPPVRRPSGDRACTPWRGGVGAAAPAPVTPVAAAGARDELEAFLEVVPARMRRGLARHPEVRELVEVVMDLGRRPLARFPSGDWVISEQAVTADDLHQAVSKVGDFSEDNRSGINHSLHRISAIRNRKAHIIGLTCRVGRAISGSAEMIRDLVVGGGSILVIGPPGVGKTTLISLRCFQQYREIARILADEGKKRVIIVDTSNEIGGDGDVPHSGIGRSRRMQVPKVSMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQVLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEMISKTECRVHHKLEATVDAILAGKPPKFEARKMDYKATESGRSLVIPEREYETEPLPSYQEHHFAKAMPSEDNFDDDFSTRRTESKSVPSNDNFNDDFGSTRKTKGKTSVPGKSPVHVYTYQISEADILQVATVMGFDDELDVTDDIGAANVILASSSEMKQNHWIRNVAKYHKLPIFVVKTNTMAQIVKAVRMIVGRDKLNAPTRKQPKVVVGEIEIEDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDSNSRLQILPVKITKKSSSKGSAGSMPKQGSSDLIVSDNGGGSSFSRLPFLPK >ONIVA07G04490.1 pep chromosome:AWHD00000000:7:3470982:3473628:-1 gene:ONIVA07G04490 transcript:ONIVA07G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEVGRIFVGGLSWDTTERTLERAFSEYGKVIETQVVLERDTGRSRGFGFVTFSEPRAVDAAIRGMHNGELDGRTISVNKAQPRMNTDDGYGYGGGGGGGEVDIVVEVMQFPLQMMTASNVAVRDIGLVNVLIPVEVGVVELEGDRYGGSDRYASSGFTRERSYERDGGRSGGSYYRDEPRGSGGYDRGGMRMGSGDRYGTGGPARFAGSYRDRPAPYDRPSRAAARTYDDRY >ONIVA07G04490.2 pep chromosome:AWHD00000000:7:3470982:3473628:-1 gene:ONIVA07G04490 transcript:ONIVA07G04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEVGRIFVGGLSWDTTERTLERAFSEYGKVIETQVVLERDTGRSRGFGFVTFSEPRAVDAAIRGMHNGELDGRTISVNKAQPRMNTDDGYGYGGGGGGGGTYSSGARGGYRGGGDAVPSANDDCFKCGRAGHWARECPYSSGGGGGRTGRYSPPSRYGSGTGGGRGDRFGGSDRFANRYVDDRYDGGRYVDDRYGGGGRDRYATDRYPPTADRFTGDRYGGSDRYASSGFTRERSYERDGGRSGGSYYRDEPRGSGGYDRGGMRMGSGDRYGTGGPARFAGSYRDRPAPYDRPSRAAARTYDDRY >ONIVA07G04480.1 pep chromosome:AWHD00000000:7:3464084:3473772:1 gene:ONIVA07G04480 transcript:ONIVA07G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked oxidases family protein [Source:Projected from Arabidopsis thaliana (AT4G36400) TAIR;Acc:AT4G36400] MARRAAAGLLRRHLGPLAAGETLQARGMYPKQYGAANHAFSRFYSIQGQQRSLYGFRTNVETDDTQQSARMNFEVQKRSFSSAAAHVQRNPAYSVLNSDDVSYFKSILGDSGVVQDEDRVSVANMDWMGKYKGSSQLLLLPKSTAEVSKILSYCNSRRLAVVPQGGNTGLVGGSVPVYDEVNGILTCEAGCVLENLSSYVENKGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGSVLGLEVVLADGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGIVTKIAILTPAKLPSTNVAFLSCNDYISCQKLLLAARRSLGEILSAFEFMDRHCINLAMKYLEGVHNPLPVSPYNFYVLIETTGSDESYDKAKLEAFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRSRVGDMGQVLGYGHLGDGNLHLNILSTKYSDKMLAQIEPFVYEWTSKQRGSISAEHGLGLMKADKIHYSKSSEAVSATDDFHQEVARPKFNPEPLQGSPTVRPVAGNGYYELQKTNQ >ONIVA07G04480.2 pep chromosome:AWHD00000000:7:3464084:3473772:1 gene:ONIVA07G04480 transcript:ONIVA07G04480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked oxidases family protein [Source:Projected from Arabidopsis thaliana (AT4G36400) TAIR;Acc:AT4G36400] MARRAAAGLLRRHLGPLAAGETLQARGMYPKQYGAANHAFSRFYSIQGQQRSLYGFRTNVETDDTQQSARMNFEVQKRSFSSAAAHVQRNPAYSVLNSDDVSYFKSILGDSGVVQDEDRVSVANMDWMGKYKGSSQLLLLPKSTAEVSKILSYCNSRRLAVVPQGGNTGLVGGSVPVYDEVNGILTCEAGCVLENLSSYVENKGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGSVLGLEVVLADGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGIVTKIAILTPAKLPSTNVAFLSCNDYISCQKLLLAARRSLGEILSAFEFMDRHCINLAMKYLEGVHNPLPVSPYNFYVLIETTGSDESYDKAKLEAFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRSRVGDMGQVLGYGHLGDGNLHLNILSTKYSDKMLAQIEPFVYEWTSKQRGSISAEHGLGLMKADKIHYSKSSEAVQLMTSIKKLLDPNSILNPYKVLPQSVLLLRATEDKSVNTILERIRW >ONIVA07G04470.1 pep chromosome:AWHD00000000:7:3461538:3462050:-1 gene:ONIVA07G04470 transcript:ONIVA07G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWLGGGAGATLRVQVSQVLYPVTGEVLHQVYNTYGAVVVQGVEAFVWFRSCCDAEMTLSVTNGRNIYDGCCLLDVQHVHPFNGNGVDMTPTKCLMLGPSSITTRPAVESSLAAPKHVFPATMNSSTPSTTAAAIATPSPSIKTNKAEGDMAQVEMKPEDTF >ONIVA07G04460.1 pep chromosome:AWHD00000000:7:3459716:3461501:-1 gene:ONIVA07G04460 transcript:ONIVA07G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTCRNIKLESITSVGITRVVTAKSTNTKSVPNTLEVSDEANSISLVDTNEFYMVTTTKCLTKGNEQMINDDDDDMATEDLVELTKVNSKFTLLQTGSSPPVSPWRAAIPWYKAEMTLGYRPLPWHDPWLSQDSGSVVMTKLLHPQQPPSQAEAKAEVGVLQLFGCTLISERTCCIELRPWPPPYFLLNEVIKEVLEIYHQVNMNGISSYVWENIQGLLVLDDQVFQSSTQWQSAMYKEMNCLELLIGLELLRGQIHSCWREMAQLKIPWTPPLNACVVTLLAHARESFPCKCKIKGSYTIVGLWKHEFWQPTVKTSDWYTKSCTYSWVSLNSKLINLNEVIPVDMLQLPTSDEEFVIWPRPIGWFATSNQFANLGLGYSSYHLVRVTTKIVSLKKSWLRKIVEEHSKPGPQGQTLERQDNKLWESLLLSDPDTLCSLQLIWDSGGIKGIGLGTS >ONIVA07G04450.1 pep chromosome:AWHD00000000:7:3453837:3455871:1 gene:ONIVA07G04450 transcript:ONIVA07G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSMASSSSPAISGSSPKMPNTTGINPSRRAGGRSAAVDAAEPRPGGAAPLALATRLRRLQRRMALRRHQLLRLLREPDQAERSRGRASSSSSASRPQARAAATATSSGNKALDIEAAAAGDHQVARDDDDDDADAKRVSKSVQTVSLFAASASLLLFANLTAAGKAPTTTPPPPRLPAGGALYSVNLALICLGLLTSLALSIFSILAPAARKLAVTKVQKRGMVMAVAFVLVSFLLRISMMLPAASLEWVFLLIFLVFACAEAAYLSLVYMRHVVDEPKAHVRV >ONIVA07G04450.2 pep chromosome:AWHD00000000:7:3453837:3455871:1 gene:ONIVA07G04450 transcript:ONIVA07G04450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSMASSSSPAISGSSPKMPNTTGINPSRRAGGRSAAVDAAEPRPGGAAPLALATRLRRLQRRMARAAATATSSGNKALDIEAAAAGDHQVARDDDDDDADAKRVSKSVQTVSLFAASASLLLFANLTAAGKAPTTTPPPPRLPAGGALYSVNLALICLGLLTSLALSIFSILAPAARKLAVTKVQKRGMVMAVAFVLVSFLLRISMMLPAASLEWVFLLIFLVFACAEAAYLSLVYMRHVVDEPKAHVRV >ONIVA07G04440.1 pep chromosome:AWHD00000000:7:3443376:3444108:1 gene:ONIVA07G04440 transcript:ONIVA07G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPVGKRGALGPVRPGGHIIGGRRWSERYLLAQHPAAAWDGR >ONIVA07G04430.1 pep chromosome:AWHD00000000:7:3439744:3442464:1 gene:ONIVA07G04430 transcript:ONIVA07G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALVIAVVQKISSALAEEGSKILASKLKKQAPDLLEVTNKMRLLQSDFSMLQAFIAQVAVDRSNDMVLEAWMEQVRLAAHEAEDIVDEYTYLVGQTEGTGSFLKKAFNQAIEVKKWRKLSAQAKLVEDRLQKISEAKNRFDISFASSGRENTASYPSRHHHLSEYSYLNDDDDLVGNAEEMKRLIEWLCDAKKDRSVISICGMGGLGKTTLASSIYKKEEIKRTFICRAWITVSQNHGVKNLLKKILVQLMSKTENIMDGADTMDCVSLVEQLRRYLKGRRYLIVLDDVWSREAWPLLDNAFVKNNNGSRVVITTRIETVASLADANYELKLTLLPKQEAWTLFCQKAFSRLDDRSCPHNLKTVAERIVEKCQGLPLALVAIGSLLSYKEMDEHEWELFYNQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGLFPEDYQIERKRLIRLWIAEGFVHHRGPETTLTDVAACYLKELASRSLLQVVNRNEYGRPKRFQMHDLVREISLTISKKEKFATTWDCPNSDGVTAGSRRVSLQKDGNLVQAAKCSSQLRSMLMFTEEISLSWFTDFYQSFRLLRVLCLRNCNVHKVPDSVSQLFNLHYLDLGYTKLKEIPSSIGKLSNLQTLYLNGSVLELPSETTMLTKLHHLLIDVGRFGKSASSKISCLEHLQTLRSIEANSYIVKNLGCLTRMRSVGIMKVLESHNTDLWTSISKMTSLNSLSVLAEDRDRYALDLGNLKPLSHLEKLMISGRLHKGAIPPVFASFTKLRSLSLCFSGLHEDPLASFAAMFQNLGHLNLYRCFDGAKLTFRAGWFPNLKHLYLSSMNELREVEVEDGAMRSLWRLELWSLKSLTSVPQGFVHLRSLQQLCIGSLMPEEFHRRLEGIDRWIVRHIPYIGDP >ONIVA07G04420.1 pep chromosome:AWHD00000000:7:3426428:3434540:1 gene:ONIVA07G04420 transcript:ONIVA07G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRPPKRILESYTIKGSDKVIKPGDCVLMRASDTSKPPYVARVEAIEAAGSRGTNVRVRVRWYYRPEESMGGRRPFHGAKEVFLSDHYDVQSADTIEGKCNVHSFRSYTKLDSVNAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDDLMIQCEECSDWFHPSCIGMTIKDAKKLEHFFCQSCTAENGKMAENSHEATAQSEEKVESKRRRR >ONIVA07G04410.1 pep chromosome:AWHD00000000:7:3413076:3415589:-1 gene:ONIVA07G04410 transcript:ONIVA07G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXK8] MPPPLYVLLLLSGLLLSSLHTPPCSVAIADGDTLMVGQALSVGEKLVSRNGKFALGFFQPQPTAGISKSINTTTNTLPGWYLGIWFNKIQVFTTAWVANRENPITGPELKQAQLKISRDGNLAIVLNNNNTSSESIIWSSTHTIVNRTTGSSSTNTSALLMNNGNLLLMASSNVVLWQSFDYPADVGLPGAKLGRNKITGLNRRFVAKKSLIDMGLGSYILEMDTNTVLRLRRRKPPVVVHWSWSSGQLAYTLVPLLNELLDMDPRTKGLLKPAYVHNNEEEYFTYTSLDESVSVFVSIDFTGQVKLNVWSQPKMSWQTIYAEPSDPCSLHDVCGPFTVCNGNSVPFCGCMESFSPKSPQDWDAGDPIGGCIRDTPLDCASGKQNNTSSTDMFHPIAPVTLPLYPQSMEDASTQSDCEEACLHDCACTAYTYNGNRCSIWHGELRSVNQNDGIDNHSENVLYLRLTARDSQSLRKNNKRRPRVVAIVSIVVSFGLLMLMLLLTIWINKSKWCGVPLYGSQVNDGGIIAFRYTGLVRATKCFSEKLGGGGFGSVFKGMLGDQTAIAVKRLDGARQGEKQFRAEVSSIGMIQHINLVKLIGFCCEGDKRLLVYERMLNGSLDAHLFQSNATVLNWSTRYQIAIGVARGLCYLHQSCRECIIHCDIKPENILLNESFVPKIADFGMAAIVGRDFSRVLTTFRGTVGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNSPEVSASNSYHGAYFPVRAINKLHVGDVRSLMDPRLHDDFSLEEAERVCKVACWCIQEIESDRPTMGEVVRAIEGLHELDMPPMPRLLAAIIEHSDVASI >ONIVA07G04400.1 pep chromosome:AWHD00000000:7:3405129:3409938:1 gene:ONIVA07G04400 transcript:ONIVA07G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHRRCCTRSCFASPPSPSAASAPSAARGAPSPPTRSSPPRTPPATPLHAALLLAIGVQSFPRFCVDLVDLSGDIVKQLIPKPQSQVGRGMVVSATSDDLVFLDGKEHSIHLLDPTTGSMSALPTTESMSALPHYGDVSTDCSMLLAWFAFGQVASPTGEQIAVSRRGVGGQQRRKVENPPVQLDCFCTDGVVFKGAAYFNFDILQCSPSVLEAGCLPSFDLAMEQWTHWDYHFYVVDLWFLTDSEKGTWSKEYRINVDPSFYGIGDCVKVHPLLVTDEGNVVLWLQMPSEGIVQIYNPVTNTFWDITQTSIYTGVDGWIRDWFLYSDMIYFTLQHLVILRVVEMNEYGRKAKEIPEAQMHDLVREIALTISKKEKIATIWDCPNSDGITNGSQKCQLFYLHYLNFGYTKLKDIPRLIGKLSNLQMLYLNGSVLELPSETTMLTKLHHLLVDVGRFGMSASSNISQLQHLQSLRSIEANSYMVKNIGCLTGMRSLVIMKVLESHNTDLWASIRHL >ONIVA07G04390.1 pep chromosome:AWHD00000000:7:3394584:3396138:-1 gene:ONIVA07G04390 transcript:ONIVA07G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXK6] MAAEEGVVIACHNKDEFDAQMTKAKEAGKVVIIDFTASWCGPCRFIAPVFAEYAKKFPGAVFLKVDVDELKEVAEKYNVEAMPTFLFIKDGAEADKVVGARKDDLQNTIVKHVGATAASASA >ONIVA07G04380.1 pep chromosome:AWHD00000000:7:3387896:3388138:-1 gene:ONIVA07G04380 transcript:ONIVA07G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVELLDMGVRIAARFHSHCPQTARMYYHPPPSSSSSPSSSAAAGSADGGGGGCAGFAAKRMPRAAADTAEIILYAVV >ONIVA07G04370.1 pep chromosome:AWHD00000000:7:3377634:3380251:1 gene:ONIVA07G04370 transcript:ONIVA07G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREAKKEAFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLETHKETCRQLDELKNSKNGSGNNTC >ONIVA07G04360.1 pep chromosome:AWHD00000000:7:3365310:3373383:1 gene:ONIVA07G04360 transcript:ONIVA07G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAELCDALAAAGFDGDGPLDLDSLEWPFLQGDDARRLLAWVSSRLRPANVLSATDLALYEQLELEGKLLEGEDLDFAFDSISAFSETGENQEDTFLTEESLEHIRDSKLTLRAEVSDLERQLASLEWQLDMLTAQATTITQGKKSRVSAKTNPNIQISRLDEKLAKRSLEMNSLLGKLAATTQELSYYHSEADIGIYLSYSDFQSYIIQNLACIKELNRWFSKKFEKGPLQFVAKEDMSRGDYEGSHHLSVELKRINSIFARSKRQYIEAQAEYAKEEAILSTLRTQLASQQSLVHQDVHSLRRRNSEFAEELRDLSLQVKRCLSEIITSLCADLAQLEGANILQGDHNLKVLRQECYISQQKRFINYLVNQLAAHQFLKIACQIEGRAKMSSAYSLLKAAAMELQGYFSVVDGRLDRYHLIGQAASVMLEEGSIDDRDTFLHAVRDILSTHSGAQAMTPSYVSAYGLVEQISDLQNELEYLHHELENVLPRERKRCIDELCRMIQTLEQILSVPFTYVQPTLTPWPVAQSLEELEIIDQQVSACVNEVTMARDKKAKMLQQPSRNVQQERRVFVDFFCHPGRLENQVREMSSHVRALQE >ONIVA07G04350.1 pep chromosome:AWHD00000000:7:3361298:3361540:1 gene:ONIVA07G04350 transcript:ONIVA07G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTAVVAAIVAVILLVFLVSGGMAARPMVNMEKTTAVPVVRKSGVVVKSWTMESSSLPSGCTNGNGAGGYCRPPAPAGH >ONIVA07G04340.1 pep chromosome:AWHD00000000:7:3352184:3357293:1 gene:ONIVA07G04340 transcript:ONIVA07G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIAIFVVLESFDSPPSFFFVMALHAHTCFVNILAYVEFFCLSLVIVACMSLIIHMIGPSGKGVVEMSTDDESDCVVICPPNGKAGHTEVMSGRHDEDSSRGQETPSTIDSHMNGNVQDGVPADQDVLKLVDQQKSSLPSSPINHGIAEQEESNHTVPQPFAPATEREDSGEGDCTPVPHPTSNGEKLSDKSSTSLASMAKKKYIMFSSFLLGFPELHSSRTVTSARTGKIKKTTVPVAPTFICGNRLEKRGEFYTKLEEKRKALEEEKLEAEARKKEEQEEALKQLRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPHTPEGKNGSAACCRLHRHSIGNSKEVNSRTQCSPKSAPKTGVAAKPRATKGVMKNVGKPEPWYRDHVVFRVVNLSRFCKGVTESVLSYGIVFKHQLVIVDIVAKSVKNVCIFEFGIAKILGKSTEKY >ONIVA07G04340.2 pep chromosome:AWHD00000000:7:3352752:3357293:1 gene:ONIVA07G04340 transcript:ONIVA07G04340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDDESDCVVICPPNGKAGHTEVMSGRHDEDSSRGQETPSTIDSHMNGNVQDGVPADQDVLKLVDQQKSSLPSSPINHGIAEQEESNHTVPQPFAPATEREDSGEGDCTPVPHPTSNGEKLSDKSSTSLASMAKKKYIMFSSFLLGFPELHSSRTVTSARTGKIKKTTVPVAPTFICGNRLEKRGEFYTKLEEKRKALEEEKLEAEARKKEEQEEALKQLRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPHTPEGKNGSAACCRLHRHSIGNSKEVNSRTQCSPKSAPKTGVAAKPRATKGVMKNVGKPEPWYRDHVVFRVVNLSRFCKGVTESVLSYGIVFKHQLVIVDIVAKSVKNVCIFEFGIAKILGKSTEKY >ONIVA07G04330.1 pep chromosome:AWHD00000000:7:3333001:3333528:-1 gene:ONIVA07G04330 transcript:ONIVA07G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVLTSPCLRPPPLLRRAFPSPATRLLPPQTLALRPLPLPRGLRSSPPPPRAAAEAAASAVGGLLAPLSTLEVGLRSVNLAPLRAPVAAAMSAVVRWLGVYREVLLVGVLFSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLIAFMAIDIIIMILRPQPRM >ONIVA07G04320.1 pep chromosome:AWHD00000000:7:3323353:3327439:-1 gene:ONIVA07G04320 transcript:ONIVA07G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWYEEAVGLLRRPAVAEMAVDVLLCAVPIWAAVMIGLVIGWAWRPRWTGLLYLGFRSRLRLLYVPPGLGARRLWLACTALSAFSVAPRLLSTAFGSRGKHQRKDAALADDDDAADASGDAGDCVDGRTFFEGGHHVVTEKDLEHLVQLLDNKESGDTTWQHLMERTTSNMTYKAWRREPEVGPIMYCSRTIFEDATPELVRDFFWDDEFRLKWDPMLAYFKILEEFPQNGTMIIHWIKKFPFFCSDREYIFGRRIWESGKTYYCVTKGVPYPALPKKEKPRRVELYFSSWRIRAVQSPKQDGQQSACEVTLVHYEDMGIPKDVAKVGVRHGMWVSLSHMCIVTIT >ONIVA07G04320.2 pep chromosome:AWHD00000000:7:3323587:3327439:-1 gene:ONIVA07G04320 transcript:ONIVA07G04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWYEEAVGLLRRPAVAEMAVDVLLCAVPIWAAVMIGLVIGWAWRPRWTGLLYLGFRSRLRLLYVPPGLGARRLWLACTALSAFSVAPRLLSTAFGSRGKHQRKDAALADDDDAADASGDAGDCVDGRTFFEGGHHVVTEKDLEHLVQLLDNKESGDTTWQHLMERTTSNMTYKAWRREPEVGPIMYCSRTIFEDATPELVRDFFWDDEFRLKWDPMLAYFKILEEFPQNGTMIIHWIKKFPFFCSDREYIFGRRIWESGKTYYCVTKGVPYPALPKKEKPRRVELYFSSWRIRAVQSPKQDGQQSACEVTLVHYEDMGIPKDVAKVGVRHGMWGAVKKFQSGFRAYQQMRDTENTLSRSAIMARVTTKTSIASSSCPLDQEPSNAAKTIDESENSRAVQPGFDWKWVVFGGAVAAVCVLNTGLVGKALLIGAASRRQAKK >ONIVA07G04310.1 pep chromosome:AWHD00000000:7:3319450:3321651:-1 gene:ONIVA07G04310 transcript:ONIVA07G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQQQSNGHGHGVLLLAEAGYAEVDPTGRYGRFNEILGKGSSKIVYRGFDEWRGVEVAWNQVRLRDVVRGGGELERFYGEVHLLAALRHRGIVRLHAYWVDAPRRALNFVTELFVSGTLRQYRERHRRVSAAAVRRWCAQILDGLAYLHAHSPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAFRRGGGHARCVGTPEFMAPEVYDESYDELADVYSFGMCVLEMVTLDYPYSECSNPIQIYKRVISGIKPAALYRVSDPVVRQFIERCLAPAARRPAARELLDDPFLLLLEDDGFFSGDGGDGHGGVGVGYYNLMYNYLHQPACIDDHHACSNGGLSPSNSVGDNDVDAAVQPGDDDGDNWLRDIHMLFDEDDDDAAAADADERVGGVDITIKGRRTDDGGVYLGLRIADKNGTGRGRIICFRFDTEADTAMTVAAEMVAELDITDHEVTRIAQLIDGKVAALVPGWRPGPATDDDDDDDLVGGGDDPDAPGGAAAACCKNCRPAASSSSSCGSLVDFMSSAAAAERHGCRRCAELHGRFEEITFQADDDEEEQHLQGSSSDTGGSNHEQHAMGKDKEVMNINGIAQDGTVQGSEQP >ONIVA07G04300.1 pep chromosome:AWHD00000000:7:3309946:3315791:-1 gene:ONIVA07G04300 transcript:ONIVA07G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDSADECLFLSRNWEAMCTQAGGSKRRGKDAEAEWEAYSGCGESGGRGQEVVFSATRSEEVRARSVVPGESNVQGNVVCGSSSGATVKLAAQTHFIEHIFSVLDFLSVLYCGQTNKKYNKST >ONIVA07G04300.2 pep chromosome:AWHD00000000:7:3309946:3315791:-1 gene:ONIVA07G04300 transcript:ONIVA07G04300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDSADECLFLSRNWEAMCTQAGGSKRRGKDAEAEWEAYSGCGESGGRGQEVVFSATRSEEVRARSVVPGESKSVEALSMCKAMLFVYARNKSETKGSSSGATVKLAAQTHFIEHIFSVLDFLSVLYCGQTNKKYNKST >ONIVA07G04300.3 pep chromosome:AWHD00000000:7:3309946:3315847:-1 gene:ONIVA07G04300 transcript:ONIVA07G04300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAMLFVYARNKSETKGSSSGATVKLAAQTHFIEHIFSVLDFLSVLYCGQTNKKYNKST >ONIVA07G04290.1 pep chromosome:AWHD00000000:7:3305085:3319219:1 gene:ONIVA07G04290 transcript:ONIVA07G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 homolog [Source:Projected from Arabidopsis thaliana (AT1G16970) TAIR;Acc:AT1G16970] MDLDPEGLFRDDSDEDDDNVQEREANKEMVVYLIDASPKMFTPATKADEKEETHFHTIVNCITHALKTQIIGRSYDEVAICFFNTKEKKNLQELAGVYVYNVTEREPLDRPDARLIKEFSCIEDSFMSNIGSRYGITSGSRENTLYNALWVAQALLRKGSVKTVSKRIVIFTNEDDPFGGLTGAVKTDMIRTTIQRARDAQDLGLSIELLPLSRPDEEFNISLFYADLIGLEGDEIVDYLPSSGEKLEDMTNQLKKRMMKKRKVKTLAFAITNDVCIEVNTYALIRPTTPGAITWLDSISNLPLKAERSFICNDTGALLQDPQKRFQVYNDKIVKFSTRELSDVKRVSSHHLRLLGFKPLDYLKDYHNLRPSTFIYPSDEQIFGSTRVFVALHSSMRRLGRFALAFYGNPTRPQLVALIAQEEVTSAGGQIEPPGIHMIYLPYSDDVRYPEEVHLTSDDAPRATDEQIKKASNLLRRIDLKNFSVCQFSNPALQRHYGILEALALGEDEMPDVKDETLPDEEGLARPVVVKAVEEFKASVYGENYDQEEAEAAAAKAGASKKRKALTDAAAEKSAAHNWAELADTGKLKDMTVVDLKSYLSAHGLPVSGKKEALKGMSNVSSIVQQYRLCCSCNVSAR >ONIVA07G04290.2 pep chromosome:AWHD00000000:7:3305085:3318740:1 gene:ONIVA07G04290 transcript:ONIVA07G04290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 homolog [Source:Projected from Arabidopsis thaliana (AT1G16970) TAIR;Acc:AT1G16970] MDLDPEGLFRDDSDEDDDNVQEREANKEMVVYLIDASPKMFTPATKADEKEETHFHTIVNCITHALKTQIIGRSYDEVAICFFNTKEKKNLQELAGVYVYNVTEREPLDRPDARLIKEFSCIEDSFMSNIGSRYGITSGSRENTLYNALWVAQALLRKGSVKTVSKRIVIFTNEDDPFGGLTGAVKTDMIRTTIQRARDAQDLGLSIELLPLSRPDEEFNISLFYADLIGLEGDEIVDYLPSSGEKLEDMTNQLKKRMMKKRKVKTLAFAITNDVCIEVNTYALIRPTTPGAITWLDSISNLPLKAERSFICNDTGALLQDPQKRFQVYNDKIVKFSTRELSDVKRVSSHHLRLLGFKPLDYLKDYHNLRPSTFIYPSDEQIFGSTRVFVALHSSMRRLGRFALAFYGNPTRPQLVALIAQEEVTSAGGQIEPPGIHMIYLPYSDDVRYPEEVHLTSDDAPRATDEQIKKASNLLRRIDLKNFSVCQFSNPALQRHYGILEALALGEDEMPDVKDETLPDEEGLARPVVVKAVEEFKASVYGENYDQEEAEAAAAKAGASKKRKALTDAAAEKSAAHNWAELADTGKLKDMTVVDLKSYLSAHGLPVSGKKEALVSRILTHLGK >ONIVA07G04290.3 pep chromosome:AWHD00000000:7:3305085:3319219:1 gene:ONIVA07G04290 transcript:ONIVA07G04290.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 homolog [Source:Projected from Arabidopsis thaliana (AT1G16970) TAIR;Acc:AT1G16970] MDLDPEGLFRDDSDEDDDNVQEREANKEMVVYLIDASPKMFTPATKADEKEETHFHTIVNCITHALKTQIIGRSYDEVAICFFNTKEKKNLQELAGVYVYNVTEREPLDRPDARLIKEFSCIEDSFMSNIGSRYGITSGSRENTLYNALWVAQALLRKGSVKTVSKRIVIFTNEDDPFGGLTGAVKTDMIRTTIQRARDAQDLGLSIELLPLSRPDEEFNISLFYADLIGLEGDEIVDYLPSSGEKLEDMTNQLKKRMMKKRKVKTLAFAITNDVCIEVNTYALIRPTTPGAITWLDSISNLPLKAERSFICNDTGALLQDPQKRFQVYNDKIVKFSTRELSDVKRVSSHHLRLLGFKPLDYLKDYHNLRPSTFIYPSDEEEVTSAGGQIEPPGIHMIYLPYSDDVRYPEEVHLTSDDAPRATDEQIKKASNLLRRIDLKNFSVCQFSNPALQRHYGILEALALGEDEMPDVKDETLPDEEGLARPVVVKAVEEFKASVYGENYDQEEAEAAAAKAGASKKRKALTDAAAEKSAAHNWAELADTGKLKDMTVVDLKSYLSAHGLPVSGKKEALKGMSNVSSIVQQYRLCCSCNVSAR >ONIVA07G04290.4 pep chromosome:AWHD00000000:7:3305085:3310764:1 gene:ONIVA07G04290 transcript:ONIVA07G04290.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 homolog [Source:Projected from Arabidopsis thaliana (AT1G16970) TAIR;Acc:AT1G16970] MDLDPEGLFRDDSDEDDDNVQEREANKEMVVYLIDASPKMFTPATKADEKEETHFHTIVNCITHALKTQIIGRSYDEVAICFFNTKEKKNLQELAGVYVYNVTEREPLDRPDARLIKEFSCIEDSFMSNIGSRYGITSGSRENTLYNALWVAQALLRKGSVKTVSKRIVIFTNEDDPFGGLTGAVKTDMIRTTIQRARDAQDLGLSIELLPLSRPDEEFNISLFYADLIGLEGDEIVDYLPSSGEKLEDMTNQLKKRMMKKRKVKTLAFAITNDVCIEVNTYALIRPTTPGAITWLDSISNLPLKAERSFICNDTGALLQDPQKRFQVYNDKIVKFSTRELSDVKRVSSHHLRLLGFKPLDYLKDYHNLRPSTFIYPSDEQIFGSTRVFVALHSSMRRLGRLAFKCPYKP >ONIVA07G04290.5 pep chromosome:AWHD00000000:7:3312110:3318740:1 gene:ONIVA07G04290 transcript:ONIVA07G04290.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 homolog [Source:Projected from Arabidopsis thaliana (AT1G16970) TAIR;Acc:AT1G16970] MRAVVTATFALAFYGNPTRPQLVALIAQEEVTSAGGQIEPPGIHMIYLPYSDDVRYPEEVHLTSDDAPRATDEQIKKASNLLRRIDLKNFSVCQFSNPALQRHYGILEALALGEDEMPDVKDETLPDEEGLARPVVVKAVEEFKASVYGENYDQEEAEAAAAKAGASKKRKALTDAAAEKSAAHNWAELADTGKLKDMTVVDLKSYLSAHGLPVSGKKEALVSRILTHLGK >ONIVA07G04280.1 pep chromosome:AWHD00000000:7:3303189:3304708:1 gene:ONIVA07G04280 transcript:ONIVA07G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVVPEVPVTEVEAAAAEEAVEETTAAEEKAAKPAKEKKKAGRPPKKKEAKPAKEKKVKEAKAKKPRVAAAHPPYAEMIMEAIVALKERTGSSSQAIGKHIHANHGANLPPNFRKLLSGNLKKLTAAGKLAKVKNSFKLPSTRPAAPAPADAKPKAAPATKPKVKTTKAAKPAAKAKAPATTKAAKPATKTKIKVAAAPAAKPKASPKAKAKTATSPVKPRGRPAKSAKTSAKDSPAKKAAPVAAKKKAAATKKKASVAAAPAARKGAARKSMK >ONIVA07G04270.1 pep chromosome:AWHD00000000:7:3297472:3297843:1 gene:ONIVA07G04270 transcript:ONIVA07G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRNSNDRFFIVNLQPPLAFMRHFAASPTAVQQELNGWVLAARRMEDLAWAQITFQFVPYIKNLEIKTTVTPRCASETFWDSNRMQTHH >ONIVA07G04260.1 pep chromosome:AWHD00000000:7:3294077:3296116:1 gene:ONIVA07G04260 transcript:ONIVA07G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERFDKQCCGLGVRDWLGAQTVGVQNWLGHADRECGTESVSRGGCAHACRARDRTDGCSVAQNGGTGPKWYRSLKAWNRASPVGLRFHVSDVRERVKTSLKATYILYL >ONIVA07G04250.1 pep chromosome:AWHD00000000:7:3291763:3301435:-1 gene:ONIVA07G04250 transcript:ONIVA07G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREEESEKEMKRQRGFGSANLTSQDGIFQHAHNQKRPLFQRDHAAIPPPCPSGNVRLPEAPLPPSLRIALTLSLCRRKRYCTMNITLLRGSETRTEKSEGGGESHRLTEFKGMNPRTRLPKETKQQGRSRSTGYCGIAATTASLSLF >ONIVA07G04240.1 pep chromosome:AWHD00000000:7:3290778:3292843:1 gene:ONIVA07G04240 transcript:ONIVA07G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRSLGRSATTAGLFGRRCRLLSEAASDHQRPHEEGICFGDDAAGKGLRNRGGRIIRLSIITYASFKLGQNSARNAYKRTKAELPCLEDVDSTTA >ONIVA07G04230.1 pep chromosome:AWHD00000000:7:3280334:3282143:-1 gene:ONIVA07G04230 transcript:ONIVA07G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVETEVAAGAQPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >ONIVA07G04220.1 pep chromosome:AWHD00000000:7:3277220:3278599:1 gene:ONIVA07G04220 transcript:ONIVA07G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAKASDLYREETDRDDDDDDDVGLAVILPDAWELPRRRVLRNVFRRLPRNPDFDGATFLSKPWLALAVDGHNVVAEPQRLMPGLLLPEEMALDPASRAFLSLADGRFHDIAFPHARGARCVGSSRGWLVMLQEGPEGVVGAAATATVHVIHPLLPHLEFRLPDEFSLFEIHAAADLEEHVVRLPLSKEARLRAGLPLAERLQRVYKPDEKNYPYITMVALSCSPAGSDDDDCVALCVYRCGRCLAIARPGDASWARVEVGWEYMEPTEYNRKFVSVVHLNGSFYAACYDGTVLRVTIPPAGSSSSSASTPPRVEKFADRPYRSKWSMWRSRWWLADDGAGSLVFIGTERCLNPSDDERYLSVFRWDAELRFWRRPKSFGGRALFLSAGTAFFADARILPWCAGDCIYFTDDESVVTGENVTVRCYDMRSRKLYFVEDAGAKVALAPPVWVMPFHE >ONIVA07G04210.1 pep chromosome:AWHD00000000:7:3266674:3274910:1 gene:ONIVA07G04210 transcript:ONIVA07G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTQTQLDEIAKNLTSMAAQISDLARKMSTLEPLVPIAPKLSGLPERVTQVQASAFEYSEQVRSLNLAIQRVEAPIPASPVRCRTRLLRADEVQDHVLLQPSNTPSVVILLPISSPVTLWFGTWEQSLLKDEVQS >ONIVA07G04200.1 pep chromosome:AWHD00000000:7:3211383:3277061:-1 gene:ONIVA07G04200 transcript:ONIVA07G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIEFRSQEQAKGLSPRREETEQSRAGQRKGSSISKEPYPISQVTDPIQSLVHDPISQTDEEVDAVATLQIFSLFGERGGGGAAEARSVEENEVSSNLGATPVKIRIATVLRLQIATKSRNTGATQIDEFRAKEVDEHQDDGARNLENTDEMLEGHKGTNQDIIQYEMGWLSDEKNVFVVNTN >ONIVA07G04190.1 pep chromosome:AWHD00000000:7:3207477:3209527:-1 gene:ONIVA07G04190 transcript:ONIVA07G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSTPAGSTAGALLLPDPAAVRSGEAGSGHGGGRERRAREGLGTATAAGGIGAAAVDPPPPLPHATARHHRRRLSFSSSPPLLCRRPRVKQFGGRRRRGLLANLASSLARSAAELSSSLVTTE >ONIVA07G04180.1 pep chromosome:AWHD00000000:7:3192515:3194765:-1 gene:ONIVA07G04180 transcript:ONIVA07G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSRGQEKSLVAGAHVSVQKASVQKKVIELAEHACVQQLENVHHVSMKREASSAELVTSQNPPKSSGCNGSQLRHSHSLSNWQKKQLEKLSAEKLKKRGKKQDARVCFFFFAAAAAAGSLPGQSPVRVVHPSTSALRAFLMGCTTHTHASRLLLPLICRRLLRFSPVVALSRQTLGFQIHSQLLLLRHAGNGFMRRILLFDGLTDIDVSTMLFSCPPFQLTMHQYSSRKLHYWYDLWPIQLLFFACDQLM >ONIVA07G04170.1 pep chromosome:AWHD00000000:7:3177528:3179289:1 gene:ONIVA07G04170 transcript:ONIVA07G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSCRGREQRPRQSCFQNPECHLRLRARQQRPPESAVQSTLSSSIYFSDHFVLTPPPFQITPTSIQNSTWLPIPTSPARARYRLHLFPLQPRWRRRRGRAMRAAPLPHPCWPRFVDGLRGVERARYPRLPRRDHWPPPRPGGRLRRPRVHIRLRHPRPQQQRRRLRVVRPVSSGGDLLRPPPRLGAHRRHRTAATSSTSSGGSGSGVSVCYDAGARTWGSDTDDKFLRAVSDAGAAGYARHVDGLRCTQVRPSPHRWIIGLLLPGRARRAVPVTSAGAASRQNFPMQSHGCPPWRSSNKRSLGNHLSRPDRRRRPCLPASGSR >ONIVA07G04160.1 pep chromosome:AWHD00000000:7:3170482:3175615:-1 gene:ONIVA07G04160 transcript:ONIVA07G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSSPTSPAPLASPYSSSTLLRPYATGPPASPLCPHAVGLSTPPTATPRRFISGCRCDTPSLSSGRLIHPQSPRLLVAAPPLHRRPTASRLIVSSSVVAMRMRVQQGLGRVTGPRRAGAG >ONIVA07G04150.1 pep chromosome:AWHD00000000:7:3165656:3168745:1 gene:ONIVA07G04150 transcript:ONIVA07G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHVRSLPSSRPRRKRTVAAVMPKRAASRRRGGPTTGARVGNKSSSAAPGSGYGGAVDGVLPPEMLHEVLLRLPAKPICRLRAVCHSWRSFTSDPLFAAAHAARHPHTALLLAVGVETSPTPRINLVDLSGNVVKHIPCGCTGKGRVMISQSSDDHVLLDGCDDHIIRILHPTTSSALDLPRRRTRGGDRVWLAFGRTSHTGEHKLLRIVESRDYSHVSEVITTSDTKPQWRKADNPPDYLDWSFTNGVVYRGAAYFLLSYFNRVAASSLIRTGCMPSFDLETEQWSMTLQGPTKTILHDANGTVNYTGLAGHLMLAQLKGTLSAAHWKDHVSIVDLWFLTDFDKRTWSKEYRINVDFVFFGTGVDVKVHPLVVTDEGEVVFWLQAGTKAIVQIYNPVTKISLDITETSIYAGVGVYTGSPLCLEARGHEGGGSAMEVVVREEEQLRGGSRGGG >ONIVA07G04140.1 pep chromosome:AWHD00000000:7:3158069:3159031:1 gene:ONIVA07G04140 transcript:ONIVA07G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRCFRTSSSASPTSSPSSRSMPPRTRGALRLPLSKEARLRAGLPLEETDAEMLQRVYKPDKENCPYITMVTLSCSPAGSNDDDCVALCVYRRGRCLAIARPGDALWTRVEVGWEYMVSTASTMVHLNGGFYAACYDGTVLCVSIPPAGSSNSASSSSPRGGEVCGQAVQGILILVEIAVVDRRRRLVFVGTESCLSPWDDDRYLCAFRWDHELRSFGGRALFLSAGTAFFADARILPWCAGDCIYPIDDESVLAGKNVPMRCYNMRSWKYRWPSGRHGPGTAVLRPESIGPTRPDQHQKHRPRHGLI >ONIVA07G04130.1 pep chromosome:AWHD00000000:7:3157725:3158064:1 gene:ONIVA07G04130 transcript:ONIVA07G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKASDLRPTATTTTDSPPSSTTPGSSPRPVLRNVFRRLPRNTDFDGATFLSKPWLALAVDGHNVVADGRFHDIAFPHDRGACCVGSSRGWLVRGRGSRRRRGHRHHP >ONIVA07G04120.1 pep chromosome:AWHD00000000:7:3154355:3156033:1 gene:ONIVA07G04120 transcript:ONIVA07G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSHIDRLDHLPPPKASASAAAAKASPSSSAQAQQFSITREMWRACAAPKSGRLPAVGSFVYYFPDGHAHQCPSRPPEPLPGRVFLCKVTAVRLDATRNELFATMSLIPVARDQAIQPQAPADPGPSSPQVQTTLVSFVKPLTCTDAVKNRYRFIVPKREAAMGVLPQLQLNEHVPLYIKDMHGKEWVINYTWKEYTHMLSSGWIKFANANRLVTGDNVVFMRSMDSGERYMGLRRTLKPEPVSVDEVIEAVWRAARLEPFEVAYLSRQDGDEFVVPCGIEDRLPNVGPQGKVIAIENYATSIWRMIQVEWPSCAGMNRYVNFWQIREVLGESSFEASTCIVRSQDYSPAPQRNLVNALQLPDGTKQLQQNKKSVSSSSTFRLFGKKMTPGVPPRRDTSGLSGQVSLFSHYLPHDEDDGQVPTMRQRLETLFPDNI >ONIVA07G04110.1 pep chromosome:AWHD00000000:7:3148565:3150337:1 gene:ONIVA07G04110 transcript:ONIVA07G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPLADDGDGIVDRAMWLACAAPNSGRLPAVGSVVFYFVDGHAAQFCQFPAPLLEQLAVPGPRVFLCTVAAVRLRADALTNEAYAEITLDPVADHDVPRLAPAPAPAPAAAAGGQQLRYFVKTLMISDFDFRIRFSAPMADAKGVFPPLVDAKAVQPLLVKDLHGSPMTFDYGRKGKRVTLAKVWKKFRDDMDFVDGDSVIFMRRRDDDDDDGELYVGVRCQRTLERPLRNTMRRYRPPTPPQAAVQEAVLAAAGHAAAGERFTVAYRSRQDGDEFVVPREAVEEGLRARLTSLAEVEFVWAVEDGAPPIVGPRGKVTAIATGQLWRNLEIVWDGNSEMDMSANFWQVRPVEEVDISPSTPPPKRLKNCEIDDTASTSVSVDNGDEQVPTMRQRLEALIPDNI >ONIVA07G04100.1 pep chromosome:AWHD00000000:7:3145344:3147990:-1 gene:ONIVA07G04100 transcript:ONIVA07G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXG9] MAQPPDAAAAAAVPPPVVIDRDVWHACAVPYSGVLPGVGTLVYYIPHGHIEQCAEDPALLLSRLPDPIHPVPCTVADLVLDVDAESGEAYATISLLPGSHDDTTARRQVPAHGEPGFRFFEKQLSPADVTSNALVLPAGAEHVLPPLDIAAYQTARLFDVRDLRGKRFEFVHIWDKKRCRYMLGDLGVNDNDGWRGFVKAKRLATRDTVVFMRRGGGDGDGDGELLVGVRRAPRARGGHHPRPGVEDNKVVSEVWLAMQGVTPFEVTYYPREGTFEFVVSRDEYIGFSFSPFYPFVPGTTVHLRMNPLQIAQSISGTVRTFDHLRPWRMLEVDWDQAASPISYKIHRQVNSWQVLRQPQPAATTSAVRIRDAIVATPQVQIMAPPRPPPPTTTTGMVPSDDSYAMISLFPGDCYVTHRPLPAARDPVGGQREFCFFDKKLSPSDAAANGGGSGALFVIPKPSAAEHVLPRIPDLRVTNLQGGRWEFGHTWSDADTDRRSSSHTLAAGWSAFVKAKRLCVGDTVIFMRRRPGGEPLAGVRRKPHGGMPVGIPDKHVADAWLDASSAQPFRVTYCPWQGTAEFVVRREEVEGSPPLAPGTRVRLLMNPDDARRRSQPPVYGTVRDVHSRSEWRMLEVDWDRDSPLAPTMNRRVNSWQVQPVQLALPPQGSDEEAAAATTSTAHAGDATTSAPSLALQLQTMASSSSSSAPIIPSRGSAFRIVNPRDGSQG >ONIVA07G04090.1 pep chromosome:AWHD00000000:7:3143228:3143533:-1 gene:ONIVA07G04090 transcript:ONIVA07G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPSTLRRKRWQQGMGKMPKGGLLTVVERAVVPFLPNGPRAKGHDHVEPTTTLPPNFESARVVPLMEGNSIRGKAMWEAETREDGPP >ONIVA07G04080.1 pep chromosome:AWHD00000000:7:3141143:3142540:1 gene:ONIVA07G04080 transcript:ONIVA07G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPADLYVEVEESARDDDVDVDGRSRVPVPVPSPSGWELPPCPVLRNVFRRLPRNPDFDGATFLSKPWLELPCDGPMVIAEPQRLMPALLLPEGMALDPASRAFLSLADGRRHDIAFPHARGARCVGSTRGWLVMVRKGPEGVAGAAGTATIHVVHPLLPHLEFRLPDEFSLFEIQVTAPEERFLLRLTPSEKARIRAGLPVEETGAELLQRVFKTAEGLRPPEPYITDVTLSCSPASSDDDCVALCVYRHGRCLAIARPGDASWTRVEVGWEYMEPHEYRREFLSVVHHKGSFYAACYDGMVLRVSIPPPGSASPPRVDKFADAPRRESIRWARWWLAVDTASSSAGGGALVLVATERRWWKQKMYMCAFRWDDELRFWRRPKDLGGRAVFVGRGTAFVADARHLPWCAGNCIYFTRDERVRTGDDVPVRCCDVRRQKLYSVHNAGPKVAMAPPVWVMPFHE >ONIVA07G04070.1 pep chromosome:AWHD00000000:7:3130015:3136835:1 gene:ONIVA07G04070 transcript:ONIVA07G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXG6] MHVTLFKGNKLTIVWPSKVLDLCINKRDRGKKRCRAKPQKKDEDTTDKGKLDEGPLDATKEMNGVGKGDSRAACKRPRRAAACSDFKEKSVRLSDKSSVVATNGNKMEEEEMDAVKLTKLGPEVQRPCRKLIDFILHDADGKLQPFEMSEIDDFFITALIMPMDDDLEKDRQKGVRCEGFGRIEDWAISGYDEGTAVVWVSTEVADYECVKPAGNYKSYYDHFYEKAQVCVEVYRKLARSVGGNPNLGLEELLASVVRSINAIKGYSGTLSKDFVISNGEFVYNQLIGLDETANTDDEKFATLPVLLALRDGCKSRVEVSKLQPNISNGSLKINDAECKEVSEDDDEKLARLLQQEEEWKMMKQRGKRGTTSQKNVYIKISEAEIANDYPLPAYYKPSSQEMDEYIFDSEDSFYSDVPVRILNNWALYNADSRLIPLELIPMKAGAENDIVVFGSGFMREDDGSCCSTAESAKLSSSSSSNHQDAGVSIYLSPIKEWVIEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLSVSIITLLKEQSRASKLSFADVIKKVAEFDKGSPAFVSSNVALVERYIVVHGQIILQQFSDFPDETIRRSAFATGLLMKMEQRRHTKLVMKKKVQVMRGENLNPSATMGPASRRKVMRATTTRLINRIWSDYYAHHFPEDSKDADANEAKEIDDELEENEDEDAEEEAQIEEENVSKTPPSTRSRKLVSQTCKEIRWEGEAIGKTPSGEALYKCAYVRELRINVGRTVALEDDSGELVMCFVEYMFQKLNGAKMVHGRLLQKGSETVLGNAANERDLFLTNECLEFELEDIKELMSVNLQSLPWGHKYRKENAEADRIERAKAEDRKKKGLPMEYLCKSLYWPEKGAFFSLPHDKLGLGNGFCSSCQQKEPDCDELQILSKNSFIYRNITYNVNDYLYIRPEFFSQEEDRATFKGGRNVGLKPYVVCHLLDVHEPAGSRKIHPASTKISVRRFYRPDDISSAKAYVSDIREIFLLTLASILFLDQVYYSENIVKVPVDMIEGKCEVKKKIDISNSDVPVMVEHEFFCEHFYDPATGALKQLPPNVKLMSVQQKATGALKKNKGKQICESDQVDSDKCTKVSKENRLATLDIFAGCGGLSEGLQQAGVSFTKWAIEYEEPAGEAFTKNHPEAAVFVDNCNVILKAIMDKCGDADDCISTSEAAEQAAKFSQDNIMNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTVASLLEMGYQVRFGILEAGTFGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKINLPDGKYYAAAKSTAGGAPFRAITVRDTIGDLPKVENGASKLLLEYGGEPISWFQKKIRGNTIALNDHVSKEMNELNLIRCQRIPKRPGCDWHDLPDEKRNVNRYERVQVKLSSGQLVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDNYQFAGNIQSKHRQIGNAVPPPLAFALGRKLKEAVDAKRQ >ONIVA07G04060.1 pep chromosome:AWHD00000000:7:3122349:3122795:1 gene:ONIVA07G04060 transcript:ONIVA07G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAARCSRGGRRRRRPWWGGRGTGCEPRVVAVEEDAAQREREEVRAQEAAGVDDARGATPCRRSGTLREATRREAAEDGREHVVRQRRRHIHRLSTSSSLDYRGKLGNSFSPMQLIWAQPLKPREVVVVRCPVVSLPVNGPAH >ONIVA07G04040.1 pep chromosome:AWHD00000000:7:3101625:3103206:-1 gene:ONIVA07G04040 transcript:ONIVA07G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXG4] MASSSSLRSTSCLASAAETDADNLCLRLGPPGSSITTTTTTGGADPAAKRSLGAKRSLESTDSMASGTGTSAAGDEHDDDTAAPAKAQVVGWPPVRAYRRNTFHQAAAAATKKGGDEKQKQQQQGGGLYVKVSMDGAPYLRKVDLKMCKGYRELREALDLLFTKCFSATASDGCSDGQFAIAYEDKDGDLMLVGDVPWEMFISSCKKLRIMKGSEASKIYALKTNQVLNLQAIGSNSEEDKALAWLPAHTANQADADARMNQHKLRGRITSKK >ONIVA07G04030.1 pep chromosome:AWHD00000000:7:3095750:3100584:-1 gene:ONIVA07G04030 transcript:ONIVA07G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISWTVMESVKNITDSDGIGVYHLYSCTTNSALDVPRMELFRNTMNTKIYYSDQKWQSFTGIMSPGVLCLDQSGLQFPVPPEAEHAAFLGLGPAASPLAIGTDDAGERRRGGGGPPYLRVAERAAARTKESGAREARGGRTSALRRLGGRRRRRLARAMRLRKWFVMDKNSYMLDLYKHQTHNISDKPTYKPITVNLFPTTGVEFALVIGLPWQKSYIEKHNSSSLREMHLFWVQGASSSLRELHLFWFKRYKPAAIMWGCVPQAHKEDGSSPGSQAGHDARRSTGKLALCIHDFTFLTPALYRGLEASNSTILDIDDQQPRFTYADWLGFAALY >ONIVA07G04020.1 pep chromosome:AWHD00000000:7:3085175:3089577:1 gene:ONIVA07G04020 transcript:ONIVA07G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQPPTGGAEDDFFDHFFSIPSAAAAGAGGVGGLGSGDHHPFPLALSLDAEGAGAARRLLDGGHDGGRTDRDPVQLAGLFAPVFGAAAGVQPPHLRAPPPPQVFHAQPKPGEGAMAAPQPQQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQDLVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPISVKGEASDSGSKQQIWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGHSVKPEPNTPS >ONIVA07G04010.1 pep chromosome:AWHD00000000:7:3078135:3081010:-1 gene:ONIVA07G04010 transcript:ONIVA07G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKASTASAAAASTASASASSLSVAAAAPGRRGGAAGRVSFRGVPAPMVAIRAEAAAVGEDERVISGTFAKLKEQGKTAFIPFITAGDPDLATTAKALKILDACGSDLIELGVPYSDPLADGPVIQASATRALSKGTTFEDVISMVKEVIPELSCPVALFTYYNPILKRGIANFMTVVKEAGVHGLVVPDVPLEETNILRSEAAKNNLELVLLTTPTTPTERMEKITKASEGFIYLVSTVGVTGARANVSGKVQSLLQDIKQVTDKAVAVGFGISTPEHVKQIAGWGADGVIIGSAMVRQLGEAASPEEGLKKLEELAKSLKAALP >ONIVA07G04000.1 pep chromosome:AWHD00000000:7:3069920:3073918:-1 gene:ONIVA07G04000 transcript:ONIVA07G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVFAVDEIPDPLWAPPPPVQPAAAAGVDDVGAVSGGGLLERCPSGWNLERFLEELDGVPAPAASPDGAAIYPSPMPAAAAEAAARGSRGYGDREAVGVMPMPAAALPAAPASAAMDPVEYNAMLKRKLDEDLATVAMWRASGAIHSESPLGNKTSLSIVGSILSSQKCIEGNGILVQTKLSPGPNGGSGPYVNQNTDAHAKQATSGSSREPSPSEDDDMEGDAEAMGNMILDEEDKVKKRKESNRESARRSRSRKAARLKDLEEQVSLLRVENSSLLRRLADANQKYSAAAIDNRVLMADIEALRAKVRMAEESVKMVTGARQLHQAIPDMQSPLNVNSDASVPIQNNNPMNYFSNANNAGVNSFMHQVSPAFQFVDSVEKIDPTDPVQLQQQQMASLQHLQNGACGGGASSNGYTAWGSSLMDASELVNMELQ >ONIVA07G03990.1 pep chromosome:AWHD00000000:7:3066552:3067640:-1 gene:ONIVA07G03990 transcript:ONIVA07G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTSKGVLEIAKFGVYVSVPVALTYLVATDSKTLKKLMGLREYVVYPPEGPRPPPPEELRERAREIARKRQQQQ >ONIVA07G03980.1 pep chromosome:AWHD00000000:7:3060076:3067696:1 gene:ONIVA07G03980 transcript:ONIVA07G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRSRASAVAVLWMLAAVVAAAALDPDQDELERAFPIVEPDHGHTKLRLAKEGLEAIKRIETPIAAVAVIGPYRSGKSFLLNQLLSLTCNKGIWVWGTPIELDVNGSKVSVLYLDTEGFESIGKSNVYDDRIFALATVLSSILIYNLPETIREADISRLSFAVEIAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQQMVDEALQRVPNNNGDKYIDEVNRIRDSLAFMGDNSTAFSLPQPHLQRTKLCDMDDQELDPLYIERRDELKQIVTSMIKPKLLQGRTLNGKEFVSFLRQILEALNKGEIPSTGSLVEVFNKAILERCLKLYNERMERVGLPVSVDKLQLIHNLAEDEARKLFDKQHFGKHHTTRSILKLDEEMRKVFGNFGFANEYQSSKLCEAKFSECEDKMEHLQSLKLPSMAKFNAGFLRCNQSFEMECVGPAKESYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIARFVIKFFLLEVAAWVIFIFLETYTRLFWSSELLYYNPIWHMIVSSWETIVYNPMGDPNCCCAIIFSCLLALPRCQETNRKIIASFVQRFLRKLQSPKNRLIQG >ONIVA07G03980.2 pep chromosome:AWHD00000000:7:3060076:3067696:1 gene:ONIVA07G03980 transcript:ONIVA07G03980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRSRASAVAVLWMLAAVVAAAALDPDQDELERAFPIVEPDHGHTKLRLAKEGLEAIKRIETPIAAVAVIGPYRSGKSFLLNQLLSLTCNKGIWVWGTPIELDVNGSKVSVLYLDTEGFESIGKSNVYDDRIFALATVLSSILIYNLPETIREADISRLSFAVEIAEEFYGRGKMLLLSQQNYCGLSRGISSKENLSSKWLMKLSNGCLTTMVNRIRDSLAFMGDNSTAFSLPQPHLQRTKLCDMDDQELDPLYIERRDELKQIVTSMIKPKLLQGRTLNGKEFVSFLRQILEALNKGEIPSTGSLVEVFNKAILERCLKLYNERMERVGLPVSVDKLQLIHNLAEDEARKLFDKQHFGKHHTTRSILKLDEEMRKVFGNFGFANEYQSSKLCEAKFSECEDKMEHLQSLKLPSMAKFNAGFLRCNQSFEMECVGPAKESYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIARFVIKFFLLEVAAWVIFIFLETYTRLFWSSELLYYNPIWHMIVSSWETIVYNPMGDPNCCCAIIFSCLLALPRCQETNRKIIASFVQRFLRKLQSPKNRLIQG >ONIVA07G03980.3 pep chromosome:AWHD00000000:7:3066014:3067806:1 gene:ONIVA07G03980 transcript:ONIVA07G03980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLALCTRCKKLLLQSKKGIFRLSSRTALSLTDPPSKANANVSATGTETYTPNLAISRTPFDVPIDDMAGAGREEEEEIPSLSLSLSLSPPHSEHLSPSLDESAAAVAAAAARGFAERRIDW >ONIVA07G03970.1 pep chromosome:AWHD00000000:7:3052663:3055070:1 gene:ONIVA07G03970 transcript:ONIVA07G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGVHARQVALPASPPLLRQAAAAAAVAPAHAGIALQLLRRVRATLRRQDPTKPQRHRRRRRQRQRRQGRGEEDYDGGVPIAVAPGGAGGGEQEKVTAAAAAAPRGEGGDRARRRALTAAKNSLYYTS >ONIVA07G03960.1 pep chromosome:AWHD00000000:7:3051976:3052236:1 gene:ONIVA07G03960 transcript:ONIVA07G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWTLTSCPLCRVTLSARTLAALTAACAQRCSRNRRGRRRQCKAVGAASTRSGAIPSAPCRGRQSQCPRQRLLLGLFLSPCLRLG >ONIVA07G03950.1 pep chromosome:AWHD00000000:7:3029497:3036699:1 gene:ONIVA07G03950 transcript:ONIVA07G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAAATIRYAAEVEDTRLARALCVALAAAATLTVGCLFATTIVLRSLFPNDVAIAITDHRKVKPKPKTKTTMEVRYKMDGNGDIECVVIIKYERTSSCPRIGLARLSGLFDRRARSARPVGGLWSLRSAGEEAGTAAESHRERNAMGTNRSTPTSSPSPSRIRRADATDAEDATAAGRPYIKCNNWGDVRIVKCDRALDDWLRDDFPNHQLCKVLGPDRALFLAAPLRLVVLAESLDGEDNQLMVNSYHHQGVQAAAGGAVRADGVLLRMHEILPPRRRPPATSTPIAAAVEWPGSGS >ONIVA07G03940.1 pep chromosome:AWHD00000000:7:3028308:3045296:-1 gene:ONIVA07G03940 transcript:ONIVA07G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPMLHHHTSSANMWDPCTGVPRRPAFGHFKGLKNFNIDSLSDWDDIRTAPGPFDRRRDGRGGGGRPATRWQNLMHPEQNAIGTNSSARRRLHALVVVAVHHQLVILAVERLRENHSGSGRPAAVASSASVASARRMRLGDGDEVGVERFVPMAFRSRCDSAAVPASSPADLRDHSPPTGRALRARRSNSPERRARPMRGQELDRSQAHHKQ >ONIVA07G03930.1 pep chromosome:AWHD00000000:7:3022957:3025594:-1 gene:ONIVA07G03930 transcript:ONIVA07G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRWLRIAILLVPFLHITAIALFAASSKRHHNAIDLKTTYVLLCLTAALDILAEFIRQLLFKLMSMANVPTLCVCETVPQYNNLIRSALHRMAQPAGVLLKCAAHVGYKDGFFVCQRRNLYHMLAGLIFSNLVEVNARGLDLTSYRSFAPGSRNWVLNENLRKACGEEVQDSLRGSFDRSVILWHIATDRRMKTDNRIDDIHRKFLEFTQAISDYMAHLLNLRPDMLMTGSRQHLFTQAMEEVELILKDIESQQQPHSLQMLKQDMLAEKIIDKARAKVNPSIDDKHAPKYPLVHDACKLAEELFDKMDRITRGYLHAKRLGEGGEFLSFVWLILSLKGAKTLADKLQMPEPEPKSEPSFPDQGEPTATVASTNDDGEDLSFLFAGHNKVVLVFMAPWTEPWKLMKPAVERMASGLKSEEAEVGMLNVDRFKILGRLLRVEALPTFVLVKKRRAVARVVGVNREDLQSSINKHLTPSSSR >ONIVA07G03920.1 pep chromosome:AWHD00000000:7:3013880:3017203:1 gene:ONIVA07G03920 transcript:ONIVA07G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSICKPDDGQSLLANVEASDDHAAFDAMATSSRRPASLRPLRHPNVTSLAPLPPLHRCVPRQTEVIFPPLDSPDSSELKKVMSISLPATPTGFAAPVAGVSDSSGIDLRRQAMASNMTQRLQQRSPTSQSNNGRLTDETTAFQSPPPTPGGGRSSMSRDKRYDSFKTWSGRLERQISHLAGIGPDIPSPAGQVVDAAMDGHHHSHTVSTPEVGRFFAALEGPELDQLRSEEELVLPVDRTWPFLLRFPVSAFGICLGMGSQAILWKRIAESPPTTRYLHVAADVNLVLWWLSVALTCAVSAVYACKVVFFFEAVRREYLHPVRVNFFFAPLIACLFLAIGVPRSVAASTAALPAWLWYALMAPMLCLELKIYGQWMSSGQRRLSMVANPSNHLSVVATPSVASVAWAAIAGEFALGARLAYFVAMFLYASLAARAVSLFGGVRFSLAWWAYTFPMTSAAAATIRYAAEVDTRLARALCVALAAAATLTVGCLFATTVVHAVVLRSLFPNDVAIAITDHRKVKPKPKPKTTMEVHYKMDGNGDIECGAPAMTPSPCMPMATAA >ONIVA07G03910.1 pep chromosome:AWHD00000000:7:2995840:3003846:1 gene:ONIVA07G03910 transcript:ONIVA07G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXE6] MATQTRPLVSVKALEGDMATDNSSSLALAEVFRAPLRPDVVRFVHRLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKTWRKWHRRVNVHLRRVAVASALAATSVPSLVLARGHRIETVPELPLVISDSAESIEKTSQAIKILKQVGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFDAPSLKKKGFILPRPKMANADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNVAAVLKLNPYFGTARKMATLAEAARIKARKEKLDSKRTKLSPEEAAKVKAAGKAWYKTMISDSDYAEFDNFSKWLGVTHFGQNSNKFVNRRVHFTQRQTRDGPECTAKPSSLGQSRTWPHSSCHVTLHVIKSILPPTSPRNLRPNPTPQLNTKPRKNLRLHRRRRRHGRHSHRRRGPHPPPPRRPEEALRWAPTPAHHPPPPRPPPRPENGFRSTRRTKLVCTVGPATCGADELEALAVGGMNVARVNMCHGDREWHRGVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGDLGGAAAAKAEDGEIWTFSVRSFEAPPPERTIHVNYEGFAEDVRVGDELLVDGGMARFEVVEKLGPDVKCRCTDPGLLLPRANLTFWRDGSIVRERNAMLPTISSKDWLDIDFGISEGVDFIAVSFVKSAEVINHLKSYIAARSRGSDIAVIAKIESIDSLKNLEEIIRASDGAMVARGDMGAQIPLEQVPSVQQKIVKLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIEKWWREEKRHEELELKDVSSSFSDKISEEICISAAKMANKLEVDAVFVYTNTGHMASLLSRCRPDCPIFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNGIS >ONIVA07G03910.2 pep chromosome:AWHD00000000:7:2999099:3003846:1 gene:ONIVA07G03910 transcript:ONIVA07G03910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXE6] MAATATAAAAHTLLHLAAPRKPSAGPPLPPTTLRLPGRRLARLTASCSSGSGNNSAADFPNPNGILVAPPSAAASAAAASSHIDVDVATEADLRENGFRSTRRTKLVCTVGPATCGADELEALAVGGMNVARVNMCHGDREWHRGVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGDLGGAAAAKAEDGEIWTFSVRSFEAPPPERTIHVNYEGFAEDVRVGDELLVDGGMARFEVVEKLGPDVKCRCTDPGLLLPRANLTFWRDGSIVRERNAMLPTISSKDWLDIDFGISEGVDFIAVSFVKSAEVINHLKSYIAARSRGSDIAVIAKIESIDSLKNLEEIIRASDGAMVARGDMGAQIPLEQVPSVQQKIVKLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIEKWWREEKRHEELELKDVSSSFSDKISEEICISAAKMANKLEVDAVFVYTNTGHMASLLSRCRPDCPIFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNGIS >ONIVA07G03910.3 pep chromosome:AWHD00000000:7:2995883:2998771:1 gene:ONIVA07G03910 transcript:ONIVA07G03910.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXE6] MATQTRPLVSVKALEGDMATDNSSSLALAETSQAIKILKQVGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFDAPSLKKKGFILPRPKMANADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNVAAVLKLNPYFGTARKMATLAEAARIKARKEKLDSKRTKLSPEEAAKVKAAGKAWYKTMISDSDYAEFDNFSKWLGVTQ >ONIVA07G03910.4 pep chromosome:AWHD00000000:7:2995840:2998771:1 gene:ONIVA07G03910 transcript:ONIVA07G03910.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXE6] MATQTRPLVSVKALEGDMATDNSSSLALAEVFRAPLRPDVVRFVHRLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKTWRKWHRRVNVHLRRVAVASALAATSVPSLVLARGHRIETVPELPLVISDSAESIEKTSQAIKILKQVGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFDAPSLKKKGFILPRPKMANADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNVAAVLKLNPYFGTARKMATLAEAARIKARKEKLDSKRTKLSPEEAAKVKAAGKAWYKTMISDSDYAEFDNFSKWLGVTQ >ONIVA07G03900.1 pep chromosome:AWHD00000000:7:2986442:2991829:-1 gene:ONIVA07G03900 transcript:ONIVA07G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTRPCKRRLFTPAPRHAATPPPPPPPPPTLPIPPASTPPTPPQPSASTEPSTAPPPAVDDAAARSSSSSSPAAAAAARKVRKVVKKVIVKKVVPKGTFAARKAAAAAVAAAVAVGGAAASSEAGGEAPTDDPPSDQDGGVGNEQKLDESKPATDCNAVAVVEESVCKEEEEEEEEEVALVVGKGVEKEEEAGMSERRKKMTMEVFVGGLHRDAKEDDVRAVFAKAGEITEVRMIMNPLAGKNKGYCFVRYRHAAQAKKAIAEFGNAKICGKLCRAAVPVGNDRIFLGNINKKWKKEDVIKQLKKIGIENIDSVTLKSDSNNPVCNRGFAFLELETSRDARMAYKKLSQKNAFGKSLNIRVAWAEPLNDPDEKDAQVKSIFVDGIPTSWDHAQLKEIFKKHGKIESVVLSRDMPSAKRRDFAFINYITREAAISCLESFDKEEFSKNGSKVNIKVSLAKPAQQSKQTKEDHKSSISGEGKMKTSKIRYPVQDYTHIYSGEKRPFSTLGDPYYPLRGHSCRRHEGSTYTTVASSYGVLPPATAESSLPHYHDSNRYPPHLASYYGLTGTPISSQVRQSSSRQPAQSYRSRHDKKWIHGIPVFQNRPSYVAGGVAFVLN >ONIVA07G03890.1 pep chromosome:AWHD00000000:7:2977654:2987096:1 gene:ONIVA07G03890 transcript:ONIVA07G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEFDIQEAAASASASASASASASASATAAAAPLLEKKITYWEGCPGCAVERRKAENPGVPYLQFFHIWIIILVSCLPITFIYPFLYFMIRDLHVAKTIEDIGFYGGFVGASYMLGRALTSTIWGMVADRIGRKPAYTTEVCRPEHQAIGLSLDSTSWAMGLIIGSVIGGYLAQETLHDLKVHKKDDGPIESPVAHFAKSEELLEQHITPTINKNLFKNWPLMSSIILYCIICFDDMAYTEIFSLWSESDKKYGGLSFSPEDVGQVLAISGVSVMLYQTFIYPNIEKILGPINTSRVAAVLSMVLLFTYSPMTHLPRPWVQIVLNIVSVTIVTCTYILQNDSVTQDQRGTANGLATTLMSFFKAFAPAGAGIVFSWAQKRQHAFFFPGDQMVFFLLFIVEFVGLVWTFKPFLVMPEKLSLNYSSN >ONIVA07G03890.2 pep chromosome:AWHD00000000:7:2977654:2987096:1 gene:ONIVA07G03890 transcript:ONIVA07G03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEFDIQEAAASASASASASASASASATAAAAPLLEKKITYWEGCPGCAVERRKAENPGVPYLQFFHIWIIILVSCLPITFIYPFLYFMIRDLHVAKTIEDIGFYGGFVGASYMLGRALTSTIWGMVADRIGRKPAYTTEVCRPEHQAIGLSLDSTSWAMGLIIGSVIGGYLAQETLHDLKVHKKDDGPIESPVAHFAKSEELLEQHITPTINKNLFKNWPLMSSIILYCIICFDDMAYTEIFSLWSESDKKYGGLSFSPEDVGQVLAISGVSVMLYQTFIYPNIEKILGPINTSRVAAVTIVTCTYILQNDSVTLSLNGTIRPKIKEERQMAFAPAGAGIVFSWAQKRQHAFFFPGDQMVFFLLFIVEFVGLVWTFKPFLVMPEKLSLNYSSN >ONIVA07G03890.3 pep chromosome:AWHD00000000:7:2977654:2987096:1 gene:ONIVA07G03890 transcript:ONIVA07G03890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEFDIQEAAASASASASASASASASATAAAAPLLEKKITYWEGCPGCAVERRKAENPGVPYLQFFHIWIIILVSCLPITFIYPFLYFMIRDLHVAKTIEDIGFYGGFVGASYMLGRALTSTIWGMVADRIGRKPAYTTEVCRPEHQAIGLSLDSTSWAMGLIIGSVIGGYLAQETLHDLKVHKKDDGPIESPVAHFAKSEELLEQHITPTINKNLFKNWPLMSSIILYCIICFDDMAYTEIFSLWSESDKKYGGLSFSPEDVGQVLAISGVSVMLYQTFIYPNIEKILGPINTSRVAAVLSMVLLFTYSPMTHLPRPWVQIVLNIVSVLKNIVPQWNHKTQDQRGTANGLATTLMSFFKAFAPAGAGIVFSWAQKRQHAFFFPGDQMVFFLLFIVEFVGLVWTFKPFLVMPEKLSLNYSSN >ONIVA07G03890.4 pep chromosome:AWHD00000000:7:2977654:2987096:1 gene:ONIVA07G03890 transcript:ONIVA07G03890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEFDIQEAAASASASASASASASASATAAAAPLLEKKITYWEGCPGCAVERRKAENPGVPYLQFFHIWIIILVSYRIGRKPAYTTEVCRPEHQAIGLSLDSTSWAMGLIIGSVIGGYLAQETLHDLKVHKKDDGPIESPVAHFAKSEELLEQHITPTINKNLFKNWPLMSSIILYCIICFDDMAYTEIFSLWSESDKKYGGLSFSPEDVGQVLAISGVSVMLYQTFIYPNIEKILGPINTSRVAAVLSMVLLFTYSPMTHLPRPWVQIVLNIVSVTIVTCTYILQNDSVTQDQRGTANGLATTLMSFFKAFAPAGAGIVFSWAQKRQHAFFFPGDQMVFFLLFIVEFVGLVWTFKPFLVMPEKLSLNYSSN >ONIVA07G03890.5 pep chromosome:AWHD00000000:7:2977654:2987096:1 gene:ONIVA07G03890 transcript:ONIVA07G03890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEFDIQEAAASASASASASASASASATAAAAPLLEKKITYWEGCPGCAVERRKAENPGVPYLQFFHIWIIILVSYRIGRKPAYTTEVCRPEHQAIGLSLDSTSWAMGLIIGSVIGGYLAQETLHDLKVHKKDDGPIESPVAHFAKSEELLEQHITPTINKNLFKNWPLMSSIILYCIICFDDMAYTEIFSLWSESDKKYGGLSFSPEDVGQVLAISGVSVMLYQTFIYPNIEKILGPINTSRVAAVLSMVLLFTYSPMTHLPRPWVQIVLNIVSVLKNIVPQWNHKTQDQRGTANGLATTLMSFFKAFAPAGAGIVFSWAQKRQHAFFFPGDQMVFFLLFIVEFVGLVWTFKPFLVMPEKLSLNYSSN >ONIVA07G03880.1 pep chromosome:AWHD00000000:7:2967257:2967496:1 gene:ONIVA07G03880 transcript:ONIVA07G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGFAAMERKEETDLCTTGVSRQEPQPPDDGSAVRRRVQEVADRVEEGHGRKDRIEWTTERLVVDEEVIRSSGTRKTL >ONIVA07G03870.1 pep chromosome:AWHD00000000:7:2964315:2966318:-1 gene:ONIVA07G03870 transcript:ONIVA07G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFMKLYHQREIQLLVLLSFTLQMFLFFTGSLRRRNTNVFLRVSIWTAYLGADFTAVYALGYLSRHDDIQRQMSGGTQPLAFFWASFLLIHLGGQDSITAFSMADNNLWLRHLLNLVVQVVLAAYVFWKSPARHSAELLVSGVLVFIAGVTKYGERTLSLKYGRFKSLESSTGDHYKKRFPELNDSDHGYSKVVLDALCSMLNVHNVFAARNPFVNGPESNSPVVIARDTVQGTKKMLKVVELELAIMYDDLYTKALVLRTRIGMILRCTSHACSLLAFALFLTCDKRRYNGVDVAITYSLFIGGFFLDLSAVFIFIMSPWTWAWLKAQKCDRLASLSWFLFSSDIGWPEKRPRWSNSIGQYNLLNWVSGGDQPRSYNQKVVALVRRLSSLVGVGKEDLFWLSKTLDTEHVEADEKTMEFVVKGISSLSDEVSEQQQWQHLGPLLKKIRVCFVADMGCAIVVMHIFTQVYLNAVEAAAAGDEGGGDADDMVEVCRKLSSYMMYLFVNHPSMLPLNASSEATVVEFAKSREELSRTQQGSKMTLDELYNIIQQNKDGVSRQGTMEEMYKMILEEDVQPSWGAVEEMAAMWLRFLIFAAGRSNGKVHAAELASGGELITFAWLLMAREGLGESERRRVRLTSTISSISSADSAGAAADLKEAYAFFFN >ONIVA07G03860.1 pep chromosome:AWHD00000000:7:2957251:2959146:1 gene:ONIVA07G03860 transcript:ONIVA07G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVELYYEREIQLFVLVSFALQVFLFFTGSLRRRSTNIFLSVSIWTAYLGADWVAVYALGNLSGVQESIISRRSQLPLSFFWAPFFLIHLGGQDTITAFAMEDNDLWLRHFLNLVVQVVLAVYVFWKSARRQSAELIVSGVFVFIVGVIKYGERTWSLKCGNSKSLESSPGHHYKQRFPELRDSDCDYRNMVSNALCSMFNVLNVFAARNLFGYSFPSVGPDDTQVDAKKMFKLVELELAMMYDDLYTKALVLRTRTGIILRCISHACSFVAFALFLASDKDRYIGVDIAITYSLFIGGFFLDFCAMFIVITSPWTWVWLKAAQKRDWLANLSWFLFSSDIGWPERRPLWSSSIGQYSLLSWDSGSDQPTRSCNQKVMALVRRSARLVGVGKKKLFWMSKLLDTKFLEVDEKTMEFVVEGINRIRDEFSDVASRAWPKLGPFLETIRDHFTADFGAAIVVIHSFTEEYLMNAAAAAAEEEEEEEEEEEEEEANDMMEVCRKLSNYMMYLLVNHPSMLPLNVSSEATLAEAAQLMKVVRGRALEEMVDPCDETLREMVDMWTRLLIYTAGKSRGPMHAAELACGGELITFVWLLMVKAGLGDSEAKRILIANSACADTNTKEAYAFYFAS >ONIVA07G03850.1 pep chromosome:AWHD00000000:7:2949441:2949856:1 gene:ONIVA07G03850 transcript:ONIVA07G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMANATLPPLPATVAVSTLPLKRSSQRGVGVEQLAGHGIRRRREGVGGGAVEGNHDDGRPNPAKTGGGGSGRGGSSGGCGIRDDDDDLDGDGIHDHNLLAATMAGGGGRPWPGWI >ONIVA07G03840.1 pep chromosome:AWHD00000000:7:2943916:2944240:1 gene:ONIVA07G03840 transcript:ONIVA07G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPILPPPLLWLASICNIGLLRLGLCLAAQGARPQPVARPHRCGKPGSSRGRRVFVDSMRIAT >ONIVA07G03830.1 pep chromosome:AWHD00000000:7:2936147:2938190:1 gene:ONIVA07G03830 transcript:ONIVA07G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVQKNWRTLMAPLLHVNFSSSDHISTQADPVYYFAAYGSIPSDDAIKYYGLEATMDIYGFNLEHGQQTGGFIWIYNTDEASAVNKVIAGWNVEPESYNDSQTHFSTWFIEGSNVCPDMRCPGFESVFSSEIIPGMVISPVSTTSGKKQYITVRVSKDQNSGDWQIYYGFNGDAKLTGHYPRSLFTSLSDKPVTILFGGYALRKDQKPSPPMGSGNAPFKNAASFSSIKFFDAGGNAHPIDFRLGFISNCYTISVIENDGFFYGGPGNIC >ONIVA07G03820.1 pep chromosome:AWHD00000000:7:2933262:2933910:1 gene:ONIVA07G03820 transcript:ONIVA07G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALGRDTAIYGSGHRGGYGFHRHYRDGWRGDHHGGHGQEHIISHIGFLPLPPPPHYPLLEFLPPPYFGAYHEPTIGYTPHSEYYGSMVSHAHPGFASPD >ONIVA07G03810.1 pep chromosome:AWHD00000000:7:2928198:2929819:-1 gene:ONIVA07G03810 transcript:ONIVA07G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKKDDVRTHRPVGMRKGRWVLGDITEVLDRNSWRLGKIAKVLKDDYFVIRVTGCMQMREFHISCLRFPHAYHGKQSAVIDKVREQSEKQTQHVDQTFHHSKMVMEEDHHSNEANDHITKRHKAINLCPSSSARNVKKKLELTRMPPDDSIPGASKKRRVDAHEVHRQTRKPQPLKVSAKNDIHRDLFCRPSSERYNDLAKNNLTKRKPDSIVRPPSQMPLQVREENECSVASCSVNFSEHSMNTDTQSVGVRNSFPDDAMSSCPSMLRQESDNVHGCDFKMDVHELELQAYQSTVRAFYALGPLTWEQESLLTNLRLSLNITNEEHLLQLRHLLSS >ONIVA07G03800.1 pep chromosome:AWHD00000000:7:2921918:2924503:-1 gene:ONIVA07G03800 transcript:ONIVA07G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPPVAGDTFAGAPPPPSQEEDAPPYGSVVLGGTFDRLHDGHRRLLKASADLARDRIVVGVCTGPMLAKKEYAELIEPVEKRMKAVEDYIKSVKPELVVQVEPIEDPYGPSIIDDKLDAIIVSKETLNGGFAVNRKREEKGLPLLKVEVVDLLSGGAEGEKLSSSALRKLEAEKANQQEGAASKELMRYNSLLDFCSSVVHGPLHIDQVCSIYFG >ONIVA07G03790.1 pep chromosome:AWHD00000000:7:2918874:2920869:-1 gene:ONIVA07G03790 transcript:ONIVA07G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63410) TAIR;Acc:AT3G63410] MVSSSTFRAPGGLGFLGPSKIGLIPLRNRSGVRSRVKYIAPKCAVSSARPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPAELYHHGLKVVDVGGGTGFTTLGIVKHVDNENVTLLDQSPHQLEKARQKAALNGVNIIEGDAEDLPYPTDTFDRYVSAGSIEYWPDPQRGIREAYRVLKLGGVACLIGPVHPTFWLSRFFADMWMLFPKEEEYIEWFQKAGFQDVKIKRIGPKWYRGVRRHGLIMGCSVTGVKRSSGDSPLQLGPKAEDVEKPVNPFTFIFRFVMGTICASYYVLVPIYMWMKDQIVPKDQPI >ONIVA07G03780.1 pep chromosome:AWHD00000000:7:2912262:2919966:1 gene:ONIVA07G03780 transcript:ONIVA07G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G63400) TAIR;Acc:AT3G63400] MREYHHSPTLALAAAAARSPPHPLAAPRRRRTPLALGQPSPRAPHPLLRRRACRHHRREASVVVEDAMPKAKKNPHVFFDIAIGGRAAERITFELFADVVPKTTENFRALCTGERGLGVSTQKPLYFKGTNMHRILKGFMAQGGDFSRGDGRGGESIYGAKFKDENFKLKHDQPGVLSMANAGPDSNGSQFFITFVPTPHLDGKHVVFGKVVTGMPLLKKLEAVGSDTGKPTCEVKIVDCGEVSDSQNQLKGEKEKKLRRTEDSSAAEKRVKTQKPPTHDKQKKKRKHYSSDSYSSDYSDTQSSDSGSESESYSSSSLDTSSSSDHRHKRRKSSKKDKHRSAKGKSKHKKTKRKSRGTKRKSKRSYRSSSDDSDSSKTGGSSSDSESEGRRTTRTKHSSKKDPDNTKTISLEKDSTLEDADKGKQTATLDNISNEGSKPSNTDGNGAGIRDDPGARARSSPIRADASLTKVDGNNGADTAEAGISRAEPVPTNGKDLAMGSTDNGQPQRVRKGRGFTQQYAFARRYRTPSPERSPVRSRYNDGRNDRWNHFNRYGRNGPYGARSPVRRYRGSPRASSPSRYPRRDRSRSRSHSPLRYRERGGYRRPSPRHSRSRSPAEHQRRDVRNRLRSGRDGGGPDHRNSSPPVNRGRSRSRSKSRDPSKSRSPDAPAKKGSSKYNRRRSSSSRSSSPAGSKGLVSY >ONIVA07G03770.1 pep chromosome:AWHD00000000:7:2893053:2900409:1 gene:ONIVA07G03770 transcript:ONIVA07G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20740) TAIR;Acc:AT4G20740] MASPPPERAPAAEARRRRTTVYHGHRRPSPHRPTVRGGVFSYLRATTAASSQPRPPSSSSSSAPFRLADWDPSSSSSSSTPSPSATAAARRLSPLARFLLDALRRHQRWGPPVVAELTKLRRVAPELVAEVLSARPPPPPPLALPFFLWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLMRAHGKPVSHSQLNLLVRLHTGARRPLRALHALRRFRHEFSVQPEVHACNRVLGALAAAGHVDDTLKLFDEMSHCSVRPMPVTFAIMVRALARAGMTDKLLEMIGRMRAEVCRPDVFVYTALVKTMVRWGHMEGCIRVWEEMRRDHVDPDTMAYTTMIAGLCNAGMVEKAEELFGEMRRKGLLVDRMVYASLIDGYVSTGRVSDGCRVLKEMVDAGYRADLGIYNTLIGGLCEIEREDKAHKMFQIVVQEDLIPSSETVSPLLARYADKGEMVKFFGLVDKLVELSLPIVEILVDFLKLFACKSGNELKAVEVFNAFRRRGHYSVGIYNILIENLLKIKERKKALVLFEEMQSSDDCKPDSCFFFTTTPGELASLDEVKKPIQPTGRTSEIHLVQPGRLMA >ONIVA07G03760.1 pep chromosome:AWHD00000000:7:2888117:2892958:-1 gene:ONIVA07G03760 transcript:ONIVA07G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HXC7] MGRTRWARKEKRTLDCAAPSLLFFFFLCFPLDAGGSLAVAAHQPPPRSPPRRRSLVAPHVRRCATGRPPSLRWGRGREAERQEAAAAAAMRIEEVQSTTKKQRIATHTHIKGLGLDANGAAIGLAAGFVGQGAAREAAGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVSVGDVIYIEANSGAVKRVGRCDAFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITEKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIDIDEESLAFLGEIGQQTSLRHAIQLLSPASVVAKANGREKISKADLEEVSALYLDAKSSARLLQEQQERYIT >ONIVA07G03750.1 pep chromosome:AWHD00000000:7:2882668:2883417:1 gene:ONIVA07G03750 transcript:ONIVA07G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSSFAAVAAAAGGAPWRAAVRFPPRRRVALVVRAQAEPEVEPTKEETATSSSPTPSPAAAAPRAKPAASTGLWDVLAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLEQAGSGDGLAWFAATAAVLSAASLVPLLRGESAEARSGGVMSADAELWNGRFAMLGLVALAFTEFLTGSP >ONIVA07G03740.1 pep chromosome:AWHD00000000:7:2879962:2881269:1 gene:ONIVA07G03740 transcript:ONIVA07G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCRRRRPRRGWLPASPSCCRARRQGPGRAGGGADEGGGGDVVVTDSDSEPRGGGAQGEAGGEHGAVGRVGVQRAGAGADQRAAGDGGVRVGARRGGVARRRAPGAGRQRGRARVVRRHRRRALRGIAGAAAPRRERGGEERRRDERRR >ONIVA07G03730.1 pep chromosome:AWHD00000000:7:2875528:2878859:1 gene:ONIVA07G03730 transcript:ONIVA07G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPMLNAVKEEESHGDGGGLEVVAGEDGAAAVAAGVAPRPMEGLHDAGPPPFLTKTYDMVDDAGTDAAVSWSATSNSFVVWDPHAFATVLLPRFFKHNNFSSFVRQLNTYGFRKVDPDRWEFANENFLRGQRHLLKNIKRRKPPSHTASNQQSLGPYLEVGHFGYDAEIDRLKRDKQLLMAEVVKLRQEQQNTKANLKAMEDRLQGTEQRQQQMMAFLARVMKNPEFLKQLMSQNEMRKELQDAISKKRRRRIDQGPEVDDVGTSSSIEQESPALFDPQESVEFLIDGIPSDLENSAMDAGGLVEPQDFDVGASEQQQIGPQGELNDNFWEELLNEGLVGEENDNPVVEDDMNVLSEKMGYLNSNGPTAGE >ONIVA07G03720.1 pep chromosome:AWHD00000000:7:2854471:2860006:1 gene:ONIVA07G03720 transcript:ONIVA07G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFLSESPCDEQHIHGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLDYVEVLSRIHEELEQCVPSERPGLYLIQSQVFRGLGEAKLRQRSLHSAWRCATTVHEKIVFGAWLRYEKRGEDIISDVLASCRKCCKEFGPLDVASEMPKGDFEILGSCDIGTSSKVSPVVTFQIRDGKVTCNRCKIASLSIPFWSMLNGPFTESQLDLVDLSENGISLEGMRAVSEFSCTYSLEDLPLETLLEILVFANTFCCDRLKDACDRKLASFVSSRQDAVELMALAFEENAPVLAASCLQVFLQELPDCLNDEHVVSLFLSATEQQQCIMVGHASFLLYCLLSEVAMNIDPRTEATVCLSEKLVQLAVTPTQKQIAFHQLGCIRLLRKEYNEAEHQFSVAFSAGHVYSIAGLARIAGTRGRKGLAYEKLSSVITSSVPLGWMYMERSLYSEGDKKLGDLDKATELDPTLTYPYMYRAASLMRKKDARLALEEINRLLGFKLALECLELRICLYLALEDYKSAICDIHAILTLSPEYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIYRMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHAATEHERLVYEGWLLYDTGHCEEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSATVISLLEDALKCPSDRLRKGQALNNLGGVYVDCEKLDAAADCYTSALKIRHTRAHQGLARVHFLRNNRDAAYEEMTKLIEKAKNNASAYEKRSEYCEREQTMTDLQIVTQLDPLRVYPYRYRAAVLMDSHKEKEAIAELTRAIAFKADLHLLHLRAAFHEHIGDVPSALRDCRAALSLDPNHQEMLELQKRVNSQEP >ONIVA07G03710.1 pep chromosome:AWHD00000000:7:2845071:2846495:-1 gene:ONIVA07G03710 transcript:ONIVA07G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKIHANKQAEVAMTKCIKTDIRNEQTAQRNTADNCVKTSSSNTAEAPGHASVTIPSNVGENTMADNNGVRQELSDKESDTRTKLLERGAVTATSAAAGMLSHFLKGVFNHHQEVVDDMI >ONIVA07G03700.1 pep chromosome:AWHD00000000:7:2835206:2838599:-1 gene:ONIVA07G03700 transcript:ONIVA07G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKIIHATKQAEVAVTKFIESDIRNEQTSQRNIAGNSVKTSSSNTIEAPGHVVVTNSSDAGQDTMVNNNVAGNYVLLPLSNRTEAPRHVIVTISPDAGQNTVVDDNGVRQGLTDEESDTKTKLLERGVLTAASATAAMLSPFLRGVFNHHQEGYYIIPVLFLVLGVSPDEAALSILHSLKLSEESFKRVLEGSGGEDFLETLAGTPLPPHAVSAPADRPSAKAVKVALHVLCRLCPWSRNRVKAVDVGAVSALVRLLLNEGCGGDRRACELAVMAIDHICGCAEGHLALVAHPTGLAAVARAATRLSTAGTESAVRALHAVATHTATSAVLQEMLAVGVGARLFFLVQVGASGKRMRAR >ONIVA07G03690.1 pep chromosome:AWHD00000000:7:2825708:2826199:-1 gene:ONIVA07G03690 transcript:ONIVA07G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAQVALTKSIQPDTSNEEMTSGNVAGNNVLLPLSNRTEAPTPRHVIVTISLDAGQNTMVDDNGVRQGLTDEESDTKTKLLERGVLTAASATAAMLSHFLKGVFNHHQEGYYIILVVFLMTGLILATTATWLARRHSAKVVVLLALVPQVLVAGVITSTFS >ONIVA07G03680.1 pep chromosome:AWHD00000000:7:2822329:2824722:-1 gene:ONIVA07G03680 transcript:ONIVA07G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYEKGGDVEAGTSGGARELYPGMTEPPEMRWALIRKIYVILSMQLLLTAAVAAVVVKVRAISHFFVSSHAGLGLYIFLIILPFIVLCPLYYYHQKHPVNLILLGLFTVAISFAVGMTCAFTSGKVILESAILTTVVVFSLTAYTFWAAKRGRDFSFLGPFLFASLIVLLVFAFIQILFPLGRISQMIYGGIASLIFSGYIVYDTDNIIKRYTYDQYVWAAVSLYLDVINLFLSLMTLFRAAD >ONIVA07G03670.1 pep chromosome:AWHD00000000:7:2820420:2821792:-1 gene:ONIVA07G03670 transcript:ONIVA07G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHNHHDVEAPAAGGSSFPPPPPAVGVAGVACTYMIERPELRWAFIRKVYAIVATQLVVTVAIAAAVYSVPAIRRFFLARTPASLAAFVLVIVAPLIVMLPTIFLRKKHPINLILLALFTICMSCAIGLGCLSSKAGIAIIEAASLTFGVVFGLTLYTFWAAKRGHDFSFLRPFLVAAFLVLVLYGLIQMLVPTGKVATTVYGCVAALVFSGFIIYDTDNLIKRHAYDEYVTAAISLYLDTVNIFMAIFTALDASDS >ONIVA07G03660.1 pep chromosome:AWHD00000000:7:2810178:2821038:1 gene:ONIVA07G03660 transcript:ONIVA07G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48120) TAIR;Acc:AT5G48120] MAKVAVGQWVPHVEAFVDVSRPSAQHSASVDALSALVNKDKLTLFDLVSKMEMYLTTTDHIVRSRGILLLGEILCRISFKQLDVNAISTLSDFFISRLSDWQALRGALVGCLALLHRKRTVGSIIIADVKRLLETFLQNVQVQSLAAADRKLCFQILNYILDHYPEAVKTMGDELLYGMCEAIDEEKDPECLKLSFNLVEAVMKLFPDPSGLAAQYASEVFEILSKYYPIYFTHGVGDDLDATRDDLSKALMHAFCSTPYFEPFAIPLLLDKLSSSLPLAKLDSLKYLDNCIRCYGADRMGRHVTTIWFKLKEVIFSLSIDQILSTSGAKDMEKNKNEILSEALTCLKTAIIQMGPSDEDRLINLILLDEDIVSSIHSVASEEASGLTSLQNPSQLHALGSVISILAESSAYFCTRVLQAHFARLVDSLEISAGRESQHLNNCSGPSSGAINYGALYLSVQMLSSCREVALTYKEEFSPIKSAKESWWLILEKKLDSLIHILQSLLTIDSQFVQSADRQEYVSCAVKGLIILATFPEPRLPLSASAYEDVLLTLTSVIMSKYENMHLWRLSLKALTTIGSSIVEFHASQKENIYNKVVDKISSLDEPCRTSIPLNLRLEACFEVGTSGSNCMLRVNGRVKCSEYVVNLLECYCGRVLPWLFNFGGVNELALNFAMRLWNEIRDLATSDRIGSQDLLSSLMMGMKLVIGICTEEQQSLIVQKAYDTISSMLSLPVKSMTRHLMAVDEAVPLYSVRDTFLMCMLSSVIVGLRPQTPVPDMLMMINLFTVFLLKGQIPAAHALASIFNKNLHNSEFSHENKLDKVIDTILERCFSTISVRSNMKTSLSCAGRSDDANCSEILSGSIESKDDIISGLAWLGKGLLMRGDEKVKDVSLFLLKCLCSDQSLAGISSHQEEHGISDSSYASLATSAADAFHVMMSDSEVCLNKKFHARIKPLYKQRFFSILMPIFLSKIKESTVMTTKLVLYRAFGHIISNAPVPAVITEAHRILLVMVDSIAKLSQDVKDKDLVYSILLVLSGMLMDEKGKECIVENIHIIVSVLTQLVSYPHMMVVRETALQCLVAMSSLPHSKIYRMRPQILQAAIKALDDKKRRVRQEAVRCRQTWQSFA >ONIVA07G03650.1 pep chromosome:AWHD00000000:7:2805933:2808932:-1 gene:ONIVA07G03650 transcript:ONIVA07G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPEGFGRFPGHRALAGHARFLDLSAAAAAALIRVPLPFLRDHCVLDSPYGLLLLQRDGDTAIRLLHSFTGDIAEFPPPRFPRPPAPPPGLRPHRRSDIRKICAAVDVADEGIVTVMLAVEKIGRMAFAAAGDDDWVISAWKENQLDNALSFQGGSCMWVNCRDGLIHVSVIDPPRRWRREGEGIGGAAAVELDSELMLVGYNGSSLSRILVLRLADLAMGMIVPVANIGDHVLFIGARSLCVSPGWLPSIGCNSIVCFHAGENYLAQYHLGTGSWSPASDGQLMLSPPSRPCSLIHHIFTCCYRQFCYISSVLYGDLVSGSAKVQTCSRNKGLIFCSESEPEWWAMRKYRYGT >ONIVA07G03650.2 pep chromosome:AWHD00000000:7:2805933:2808932:-1 gene:ONIVA07G03650 transcript:ONIVA07G03650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPEGFGRFPGHRALAGHARFLDLSAAAAAALIRVPLPFLRDHCVLDSPYGLLLLQRDGDTAIRLLHSFTGDIAEFPPPRFPRPPAPPPGLRPHRRSDIRKICAAVDVADEGIVTVMLAVEKIGRMAFAAAGDDDWVISAWKENQLDNALSFQGGSCMWVNCRDGLIHVSVIDPPRRWRREGEGIGGAAAVELDSELMLVGYNGSSLSRILVLRLADLAMGMIVPVANIGDHVLFIGARSLCVSPGWLPSIGCNSIVCFHAGENYLAQYHLGTGSWSPASDGQLMLSPPSRPCSLIHHIFTCCYRQFWNKGLIFCSESEPEWWAMRKYRYGT >ONIVA07G03640.1 pep chromosome:AWHD00000000:7:2798501:2804705:-1 gene:ONIVA07G03640 transcript:ONIVA07G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPPRRKRHPQAQGFHPRLSQTAPPQNPRHVAGTFVEGHRCRACKTSSSDSTSTANPAARPETTSHPGTQLQETNGAADDQRTGCRNNSARHPYRTTELPGIDWKQLSLRREEHLRRGERPPHRQRAPEEQLLKKGRTGSGGLEGREMEAPSPSPAKAAVGRQPGQDHAAAGTRARSARAPLDNRTSRRRPGSVVADETAPELLRPRAPASTKTPSESPPTPLSLITISISIFIFFLPPPTPHLLHLHSTSHASSTSQEPPPPPPMAAATVSVRFHPAASAARCGGGSRRSRRLSGVIRAQSAPASAAAAALTQDDLKRLAAVRAVEQVESGMVLGLGTGSTAAFAVAEIGALLASGKLSGIVGVPTSKRTFEQAQSLGIPLSTLDDHPRIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFIVVVDETKLVTGLGGSGLAMPVEVVQFCWKYNQVRLQDLFNDEGCEAKLRLDEGGKPYVTDNSNYIVDLYFKTPIKDALAAGKEISALEGVVEHGLFLDMATSVIIAGTDGVSVKTNAFNLCEQ >ONIVA07G03630.1 pep chromosome:AWHD00000000:7:2797646:2797975:1 gene:ONIVA07G03630 transcript:ONIVA07G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGIPAPTPPDKKRGVGALPPVLSIHHRVTDRSRTGCLAHVYQWAAHGLPHRIKCDGGGWGAAHKYAWRTALPPIRAPTRPPHGNQARASGVAQPLEPEGYDKVPRTP >ONIVA07G03620.1 pep chromosome:AWHD00000000:7:2788023:2790751:-1 gene:ONIVA07G03620 transcript:ONIVA07G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPSEYRCQLLRARSVETSCRRVAVAYCALRRNPNHRRRRHSLLTPIREIFFGSTSVAFCVGPTVVSQTSQEHVTSSQPLRVNAPITQEGSTSTTQPIPRCTPPRQAKQRAALRAATLRATVSRGKRNLLDTVIDP >ONIVA07G03610.1 pep chromosome:AWHD00000000:7:2781454:2786368:1 gene:ONIVA07G03610 transcript:ONIVA07G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKVEKKRYVLKKIRLARQTDRCRRSAHQEMELIAKVRNPYIVEYKDSWVEKGCYVCIVIGYCEGGDMSEAIKKANSNYFSEERLCMWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCLYEMTALKPAFKAFDMQTLINKISKSVLAPLPTIYSGAFRGLIKSMLRKSPDHRPSAAELLKHPHLQPFVLELQLKSSPARNLFPDTNKASCSDDENNWKAKYSKSHSFKVDRIVKVDKVAANNGHPSSTGTAKDYQELLKQPMDELLGQLTEKVVDEVIHGNHSRVTKSPAPTPRRASSTPRIRLEPSKTFHARAAETPPSKCSLERASQPTRRASTPVNMLQTPEKRQGADILTRLKSPDVSVNSPRIDRIAEFPIPSFDDEQLHPTTKLKLYPPSITDQSITKDKCTFQVLRSDSSKNHTGDSSDPSILGTDSNPLITSSSDWMKQRRFDTTSYRQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPGKASPRETAIWLSKSFKGTGL >ONIVA07G03600.1 pep chromosome:AWHD00000000:7:2761049:2762176:-1 gene:ONIVA07G03600 transcript:ONIVA07G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATTTTTLPAAAALLLLLLSAAAQLCSACPPAASQTAENNPRLQRAYVALQALRRRVTDDPKNLTGGWCGPDVCRYFGVYCAAAPDDPCAATVAGIDLNHGDLAATLPDELGLLTDLAVLHLNSNRFSGALPDTLPKLSLLHELDVSNNRLAGGFPDHILCLPNVKYVDLRFNNFCGEVPPAIFDKKIDALFLNDNHFDFELPANLGNSPASVIVLANIKLRGCIPSSVGRMAATLNELVVLNSGVRSCIPPEIGHLGELTVLDVSNNQLQGTLPESMAWMRSLEQLDVARNELAGHIPEGICALPRLRNFTYSYNYFCGEPERCLRLRRVDDRQNCIAGRPDQRPADQCLAFLHRPPVHCDAHGCFAPPGHY >ONIVA07G03590.1 pep chromosome:AWHD00000000:7:2753215:2759095:1 gene:ONIVA07G03590 transcript:ONIVA07G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRAAAAARAEDSPLFSFIDSLSPIEPLKSAYSASSIQAYQSLNITSISSIFTSPHDNAQKESKLSKSSFAEFSESEVCADESDKNKPSKSSNAVRLFACTSTLTQATHKITSSVSEGTVGPPEGSNDLPQPGQFDSGSPDHNTTPCHGVRSDLKQGKCRKLQAFQTAKTNTSEKRKCLFSTEVQLMDGCQPEKLNDEILGCDWDDLISATSGELIAYDEDHKGVQLAVSNAESCGFLLSKLTGDGDISDRTHPSSSTQTYYRELLMDEDQTENAQLVPDGEKNISTEEIQDNLYEANGSIPTGYKVETQQQRGMRRRCLVFEAAGYSNRIVQKESVMDLSVSTCKGKSPVQNHSNPGKTPSPRVLRGIGLHLNALALTSKDKMICQDPMSSLVPSSATQQEAHGKMLSAGENFIHPGGELLELQMDDDCSAGVFLGNDHDSSQSNSPQKKRRKSDNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRMSDAGQDITGEDPNNTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCEGCKNTFGKRDAAVSTEAEEMKQGGEEAENCGKEKENDLQKANAQSEDHPFLELVPITPPFDVSSSLLKPPNFSSAKPPRPTKARSNSSRSSSKAPGAVHSQKFSKIANSGLNEEMPDILRDDASPGNCVKTSSPNGKRVSPPHNALSVSPSRKGGRKLILKSIPSFPSLIGDASSGSSMNSSESAFNTASPLALDVRLYTSLLT >ONIVA07G03580.1 pep chromosome:AWHD00000000:7:2728649:2732178:-1 gene:ONIVA07G03580 transcript:ONIVA07G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAGPLSGSGPVDVDPISLWEAAAPWVPLPEFMDEINCFVHSAAICAAPSSSPPRWIRGDQAEKKKQR >ONIVA07G03570.1 pep chromosome:AWHD00000000:7:2722988:2728120:-1 gene:ONIVA07G03570 transcript:ONIVA07G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESAKKSKLSWSKSLVRKWFNIRTKAQDFHADSETTTQGRDGGGGAGGRASFSASSASTSSAKKSRTDRSSSKRSADRVRRGRNDFDLARLTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNIPAKKWVSLIRRTLNKNPGASGSGVYHTPSPVLNPVVELEADFEASARRQENYSFFHRRSFHNLSRSLRMDADYMFPQPKLDRRFSVCDPVSLGGRPSDFDGNLRWLGSPDEENIDEELSNAAQCSPLPYSCNTTAPTEANDEQPNGSRYCLVASKQMVGIFLTVWVRNEIRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGANDVKSPEAILEHDRIIWLGDLNYRIALSYCSARALVEMHNWKQLLEKDQLRIQQRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGMHPKEKRRTPAWCDRILWYGNGLNQLCYVRGESRFSDHRPVYSIFMAEVEIIRVLAKNTNMQGSRVSSLELWLCALL >ONIVA07G03570.2 pep chromosome:AWHD00000000:7:2722615:2728120:-1 gene:ONIVA07G03570 transcript:ONIVA07G03570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREESAKKSKLSWSKSLVRKWFNIRTKAQDFHADSETTTQGRDGGGGAGGRASFSASSASTSSAKKSRTDRSSSKRSADRVRRGRNDFDLARLTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNIPAKKWVSLIRRTLNKNPGASGSGVYHTPSPVLNPVVELEADFEASARRQENYSFFHRRSFHNLSRSLRMDADYMFPQPKLDRRFSVCDPVSLGGRPSDFDGNLRWLGSPDEENIDEELSNAAQCSPLPYSCNTTAPTEANDEQPNGSRYCLVASKQMVGIFLTVWVRNEIRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGANDVKSPEAILEHDRIIWLGDLNYRIALSYCSARALVEMHNWKQLLEKDQLRIQQRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGMHPKEKRRTPAWCDRILWYGNGLNQLCYVRGESRFSDHRPVYSIFMAEVEIIRVLAKNTNMQGSRVSSLGDQIILHGRSNFQVATCSISSGLED >ONIVA07G03560.1 pep chromosome:AWHD00000000:7:2718348:2718548:-1 gene:ONIVA07G03560 transcript:ONIVA07G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGLNVTGEFFQRRDERHPMVGNQLRHTTPRLGIAIVAFGIYLVNVATYNRLYRPSGDHHHH >ONIVA07G03550.1 pep chromosome:AWHD00000000:7:2713220:2717671:-1 gene:ONIVA07G03550 transcript:ONIVA07G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKTKKEFGLLRVPAGLAVRVLARHGITAPGSLATLYAGARALDGAFFLVSPGPDRRLQPPTPPLRLLRPRAAARCRSPSAATLSRSNGVQNFVDSSSD >ONIVA07G03540.1 pep chromosome:AWHD00000000:7:2705833:2712845:1 gene:ONIVA07G03540 transcript:ONIVA07G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAWIGAAAAVVVVALMAGGAAAQPPSSTSGCTQTLLSMSPCLNYLTGNETAPSASCCGKLGEVVKSQPECLCVALNADTAALGLSINRTRALGLPDACKVQTPPVSNCKSGAAAPPAGQTPTTPAGTGSKATPATPVGSGVAPLRVSPVGILAGIVVAAVYAVSAV >ONIVA07G03530.1 pep chromosome:AWHD00000000:7:2701734:2703224:1 gene:ONIVA07G03530 transcript:ONIVA07G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNKAVAVAAAAMVAVVAMVAAPASGQAVAASCTASLITSFTPCFNFITGSSGGNGTAAGGGAPTAECCQSVAAMINTSASCACLVLTGNVPLGIPINRTLAVTLPKACNSMSVPLQCKDTSAQIPAAGVPVAVSPAMPPLPPSPPESTAGAGSPTATATPPATSQTQTRPQVVPSSARRVATNAGFPAFLLLLAAMLF >ONIVA07G03520.1 pep chromosome:AWHD00000000:7:2695950:2701343:1 gene:ONIVA07G03520 transcript:ONIVA07G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTKCAVPLVSGAAGGGGSAELTRQLSSTQASPRFSFSSGVLPSLGSRGGGERHARLRRFIVSPYDRRYELWNNYLILLVVYSAWVTPFEFGFVPEPAGALAAADNAVNAFFAVDIVLTFFVAYTDPKTFLLQDDPRKIALRYITTWFVLDVVATIPTELARRILPPDLRSYGFFGILRLWRLHRVTLFAVHCSACFYYLLADRYPDPTNTWISAYMPNFHKASIWSRYVASMYWSITTLSTVGYGDMHAENTGEMVFTTTYMLFNLGLTAYIIGNMTNLVVHGTSRTRKFRDMIQAATSFAQRHQLPARLQEQMVSHLSLKFRTNSEGLHQQETFEALPKAIKSSISHHLFFGLVQNVYLFEGVSNDLIFQLVSEMNAEYFAPREDIILQNEAPADFYIIVSGSMLAGMAKSGDVVGEIGVLCYRPQLFTARTRSLCQLLRLDRAAFLRIIQSNIADGTIVMNNLIQYLREKKEIASIVAVAKEIDDMLARGQMDFPITLCFAASKGDSFLLHQLLKRGLDPNESDHYGRTALHIAASNGNEQCVRLLLENGADSNSRDPEGRVPLWEALCRRHQTVVQLLVDAGADLSGGDAAPYARVAVEQNDAALLGEIVRHGGDVSGACSGDGTTALHRAVLDGNVQMARLLLEHGADADAEDVNGLTPRAVAEQGGHADMQLAFASATRHEPRKARPPPPASAIVPVPLRDGVDSSPSSSSRRGRTSSTSAASARSTPQRMANFRNSLFGVISSSHAFHHEGGYRGGGGGGGAAAERERSSSSPPLVRVAISCPESRGGKDQSSKLVFMPETLRGLLELGAARFGVSPTRVVTSGGADVDDARLVRDGDHLLLVTDKWVPPENRSRNQ >ONIVA07G03510.1 pep chromosome:AWHD00000000:7:2681360:2683057:-1 gene:ONIVA07G03510 transcript:ONIVA07G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRCDLQAPSPLSSELANGGGGLLSPRSSSSSSSSLQGFSPRSIFSVDDQAKNHPCASPRNPLSGGGQVTGLAGVLVDGEGERRCYGRTGRVLLGMMRLRVQLPQERVLAGGGGGEMPPPPSSPIEFGVKNRDAQLALLSPVQRSPLSSAAARTAQGEAELAEDYTCVIARGPNPKMTHIFDDLVVESSAAGGDACHLFMQHRDEKAFCSSQCRYHEVHFDKRIDEASDVSFKLKN >ONIVA07G03500.1 pep chromosome:AWHD00000000:7:2680292:2680507:1 gene:ONIVA07G03500 transcript:ONIVA07G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAEAEAGTGGGGGRDGTATKKKAAACDVAALRKCLEENKGDRSKCQDHIDAFRSSCSTNPPPPRRS >ONIVA07G03480.1 pep chromosome:AWHD00000000:7:2673615:2674372:-1 gene:ONIVA07G03480 transcript:ONIVA07G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPKDAALPSRTMQRHRGSDWTTPASVVQQVNSGIGDLPEMHGESVIITLEQPLFCDAAREASIVITTTITATTGARGTPVCDFLAAIVSCRSMVPPSFPPSSSTTNNTMPVKSRTD >ONIVA07G03470.1 pep chromosome:AWHD00000000:7:2673148:2674906:1 gene:ONIVA07G03470 transcript:ONIVA07G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMFISTMARQLCGQEEHIPALSRHSIPSIPARVAAAYNDLILHQHPHKPFSPDGPKAFLLFFFLRDIHQSVLDLTGIVLFVVEEEGGKEGGTIDRQLTMAARKSQTGVPRAPVVAVIVVVMTMLASRAASQNNGCSSVMMTLSPCLDYISGKSPIPEFTCCTTLAGVVQSDPRCLCMVLDGSAASFGISINHTRALELPGVCKVQAPPISQCTAVPTPPPAPDTPTLADEPAETNEDEPSPPPAGSAGSNKTSSATNSKKAASLMASVLIPTCALFYVF >ONIVA07G03460.1 pep chromosome:AWHD00000000:7:2671081:2672177:-1 gene:ONIVA07G03460 transcript:ONIVA07G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNNGVAVMFAAVVVVAGALVAGAAAQSGCTSEMVSLAPCLDYMQGNASRPTASCCAALSSVVKSRPECLCAVLGGGASSLGVTVNTTRALELPAACGVKTPPPSECSKVGAPIPSPAPGGAAAPNAPPAAGTGSKTTPTTGASSAGESVGKAASVAMVIVSAAFAMLYA >ONIVA07G03450.1 pep chromosome:AWHD00000000:7:2659667:2664246:-1 gene:ONIVA07G03450 transcript:ONIVA07G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVPAARGGRRKLLGIATDDGRQLHCGWPRKAVESGKTSCGGIWVSLRAGGGGGACRSTYIVSGSFAAWSASVRTTGGAAALCVECDTHARHPTAAINTISTVDPLLSADLPSQVPPAPKSPPPPLPPAPEAEPTTRWHAVQSAVLPSSSSLYGERSSASATSSDDDAASPLKLSGGADPRQIGRRARLVCTGMG >ONIVA07G03440.1 pep chromosome:AWHD00000000:7:2653535:2654455:1 gene:ONIVA07G03440 transcript:ONIVA07G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATECGVNKSRRFDPGMSRRTRRSTSLIVCYQDQHAPSLVQQLRQDDKLKTLFQRQGMELQPPYPYEDQELQSEGDEQETPNRYHDEQEEKPHHYLDEEQEKKPFQDQDGERKTPKQYLDEDQKTVQQCQYEDKKVPNQCKDEENTPGQYQDEEQKIAKQCKEEEEEEEENALEKYQDEEHKSLKAQHQCQDTERKSPGQCKTAKTKLITPPCANDVPRFSLQDLIQEKQLLIGEAKATSKLGNGKKAIADRKLPPPPAASSATLAMVIKRPDGGKKSMGVIRRCVQALNQMVKAKHGSKKNKPPF >ONIVA07G03430.1 pep chromosome:AWHD00000000:7:2651874:2659599:-1 gene:ONIVA07G03430 transcript:ONIVA07G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGRAAKGVVLRTALRPPPPLDAVITRVVQLRVAETKHLEHLRTSAPRHEWIHFDPKMAKMDAKIAEMNPMNEDEVDWATIANHPGVGFAAHGDGGARPTPAPPVASPLEFAPASPPASGDSGGGTLAAAAALPHPFLYLAAA >ONIVA07G03420.1 pep chromosome:AWHD00000000:7:2644103:2645145:1 gene:ONIVA07G03420 transcript:ONIVA07G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARGVALAVVLAAAAAILAASPVAAQGGGSGSCMTEIISLASCLGYMSGNSSAPKPSCCTALSSVVTSKPACLCAVLGGGASSLGVTINNTRALELPAACNVKTPPASQCSTVGVPMPSPATPATPAAPAVPSETPAGTGGSKATPTTATTTTGQSASGGSVGKAASMATVVVSVAFALIHV >ONIVA07G03410.1 pep chromosome:AWHD00000000:7:2640299:2641690:1 gene:ONIVA07G03410 transcript:ONIVA07G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALWRRSPTRLRQSSVVEDGMRCGVAPWLRWCSVEDGSTRRDTEAAAVAQGGEERSAIWTEIRGSFTREVFLFTVAIGIFLALAALTKVITNGWPMVCRTLLAPFSVCVIILLAAGAIAATTMSNHPTLHFLSKVAARVSLIFGIMILEVPFIQMAAGLSAHVFAPIFMMATLVVLGYIWWRSEPDVVRALYSPFCSCFLRSHRQVQVTPQAADYNV >ONIVA07G03400.1 pep chromosome:AWHD00000000:7:2639968:2642882:-1 gene:ONIVA07G03400 transcript:ONIVA07G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRAAHLAIYTPTPLGQPTRSPALPVVPARLRHRADQAYALQGDAGATGDPCYQGRRKSHLRAAAATSTPADLRVVEPALPSEKGRYRAVNSRRRRCIQFNHMLRRSLLAAAAPAAAIRVAGAIPGSQPIGHWSPNCLLEESCILEMTPSVSLAGETASTSSSTTTLVNTSQASPKVFRIPHPGGSSSLIRSTIDKLLGCNAVFLSQISTFHASCWTVMKAQRKCNVGWFDMVVAAIAPAARRMITQTEKGAKSVLQTIGQPLVMTLVSAASARKMPIATVNRKTSRIALRSSPPCATAAASVSRRVLPSSTLHHRNHGATPQRIPSSTTLLCLNLVGLLRHKAVAISKQAAHHTRGSGGLSPSRADPAVSPPPMRADPSAAMTGGTDPVASPPRADPAASPPCSSDDDGGGFRRDGDGDFGTTTSAASATWGFSEGVKGLPIGQVRDNVVGPTCQ >ONIVA07G03390.1 pep chromosome:AWHD00000000:7:2638828:2639113:-1 gene:ONIVA07G03390 transcript:ONIVA07G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYPLFSPLLISLTLSSLRAAGEGAEATPVPHGLSRPSSHAASAAGEGAERDRRGAEQGAAVGGGAVRGRQGGAWRVRQPPGKELGGGGGGGG >ONIVA07G03380.1 pep chromosome:AWHD00000000:7:2638079:2638664:-1 gene:ONIVA07G03380 transcript:ONIVA07G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSWPWLSLTAPPTGEELSARTVVGGGAVRGRWGGACRGREPPGEELGSGGGGGGGGWAREAVLLVLLGLRHTRRFAPSVPVLPRPTGLLTYTRLPAADAVAAPEDASAPAVANWAEMARPATTTTPSRPTSRSAQRAAARDRAPPALPTGLLPSCRLAYAALAGGLRSFPRRPERRESERDEKMGK >ONIVA07G03370.1 pep chromosome:AWHD00000000:7:2635337:2637776:-1 gene:ONIVA07G03370 transcript:ONIVA07G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALPAARSNSPIVKEPTLQQVKQMAEEMLPQKSMAQLLETREMNGNGRRSACTSMVGNRRKISIWDDWGGGDLHVCERSPATGSGTGREQRLREGRAGGIGRDSCACAGIAGCFVQLV >ONIVA07G03360.1 pep chromosome:AWHD00000000:7:2631398:2631913:1 gene:ONIVA07G03360 transcript:ONIVA07G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPGGGETGAARLVPCWLHQHEEVARGCGLGRGGDIPMVAGGRVSIVAVDGDLGLIAEWTMRLATILLVRMVVWVWMGNRWRKPRRAVGRLDNGGVLWHRSPPWRRCYGVDPSPSLIVLRVKTLFRIPDERWRRPRRVLLGGTALEKPSRTRMSLLVYALALKLSSPRF >ONIVA07G03350.1 pep chromosome:AWHD00000000:7:2625925:2630949:-1 gene:ONIVA07G03350 transcript:ONIVA07G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAAAVFAAARAAGRLLGRRRGGGQEGKGGAVVGFAAHVTPGAERPSGWSNTDSLSPPASSLGVLTTDTETPVVTETTVVPELQKKPVRDLELARVCHHSHEALKLLRSNVGEAAADTLDGVPVMWAWMRGFKL >ONIVA07G03340.1 pep chromosome:AWHD00000000:7:2617151:2628794:1 gene:ONIVA07G03340 transcript:ONIVA07G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIACKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRSYFIFLYTRSVRRRADRRSPHLNPRRFRTSGALLLTSAVRTSHASSATWAMEVPSGEGSFSREVFLFTTSGGIFFVLAALTKVITNGWPPVARTLFALFTVCVVIFLAAGSVATTTMSDRPKLHFLAKVAARVSLIIAIMILEIPFIQMATGLSALVFAPILMIATLIILGYIWWWSEPDINHRYDVVSRGASPSIDNLIAKCKEDAALWAERLSHADRAVVESWKLILSSSPHSNGIGRRFSNIACKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >ONIVA07G03340.2 pep chromosome:AWHD00000000:7:2617151:2628794:1 gene:ONIVA07G03340 transcript:ONIVA07G03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIACKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >ONIVA07G03330.1 pep chromosome:AWHD00000000:7:2604637:2613378:1 gene:ONIVA07G03330 transcript:ONIVA07G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVASGSGRKRQLVLESSDSEADEFFVSTRRKEDDDDDAGNAGGGSGGGGDQGGEKVVTVSPEKVSGAKSTDEGGGSDKSKGSEVGKSVLQPDVKRIRTEAAHGGGSGSGGSVSKDGTGGKMLRPGFPKWRFEKPEVRAGRVLDEKGGVETKVSSSQKVKDHASSSVYERRRPEPLKPEKSTPSKTNQEVIRVQGKSGVLKIRPKNNKVASETGDGKNLPKNAKVDGDTGDGKVLPKKTTVEENGDGKILTKSGVLKLLPKNNKVAKETSDGNPRSKNTKVVGETSDAKILMKNKANRESGDDKAPKNCTVNLETSAGKILSRNTKEDLKTSDVCRQDKEKSDAIDVSQKQGADGEKRITEKLVSPILLRKSDPSVVGISLGQKMKQQNSKAQLKISSLGQRQPSLNLKDEKNKKKRLLDHKMSPENLSKKAKPNVIDQDTSRPSLEKHGIKKERKGPRYTMKQKLRGQIKDILLNNGWKIDLRRRKNKDYEDSVYVSPQGNGYWSITKAYAVFQEQSKSGKHTGKSSKHKAGVADAACNAISENDLAMLQRNVVKRRTKKELGASKKKYEDSSSRNSKDNNAGRSSGNKHQSSGVRGCALLVRGSTHSMEGNVDGYVPYRWKRTVLSWMIDMGVVSEDAKVKYMNKKGTRARLEGRITRDGIHCGCCSKILTVAKFELHAGSKEQQPYENIFLEDGGATLSQCLVDAWKKQSQSEKKGFYKVDPGDDPDDDTCGICGDGGDLLCCDNCPSTFHLACLGIKMPSGDWHCRSCICRFCGSTQEITTSSAELLSCLQCSRKYHQVCAPGTMKDSVKAESNSSTDCFCSPGCRKIYKHLRKLLGVKNAIEAGFSWSLVRCFPDKLAAPPKGKAHLIHCNSKTAVAFSVMDECFLPRIDERSGINIIHNVIYNCGSDFNRLNFSKFYTFILERGDEVISAAAVRIHGTDLAEMPFIGTRGIYRRQGMCHRLLNAIESALSSLNVRRLVIPAIPELQNTWTTVFGFKPVEPSKRQKIKSLNILIIHGTGLLEKRLLATGTINQENTTVNDKMDAQTHVEATGSRTPVHSSCELPVGGDPDIKHHDDSHPLVGNSKGLTLNLPCVPEEKTTELTSPVLDVVQCMPESENTQEMKNGETDATLTSEDIIAEQKYEDKSNSSLTDSSAIPMTVDPGSCSSNETVKGEHHTSSEPSVEAILVRDKPEPSISCNVTNQEDKNSSMVPVDTTVHLATIVGNHDIQNSVEVKGMEHNTTKDQTFVSAVANNVATTEDPSDSVADCEVPIVRSIQQKDEVIADKNACATIDQTAVDDVANNFVATTENDTDSTAELGVSMERCIQQKVEVIKDKSDSPLRTSISKVMLEKSDQMKSTESDSVKMKDMAIEVKVTVENFSEAGKPASALVMSNDINGEVMAKPNLTCGDDQLHGGDGTYKNSMEDDLASREPVNA >ONIVA07G03320.1 pep chromosome:AWHD00000000:7:2590794:2594726:-1 gene:ONIVA07G03320 transcript:ONIVA07G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HX81] MASPAAEGDAVSAGFAELERQQQLLASCTRLYKQLEEHFASLERGLAARSDSLRHKRRAAEARASAAMDSLRRREASIDGSVSRALDHLDDLASASSVPSDAAAAAEGVAESLRAMCARMDSAGFFGFVVARRKEVDALRAEMPPALKCCVDPAKFVMDAVADVFPVDRREAKNPTDLAWACVLILEAAVPALADPDPEIGAARLLVPRAARERARGMAREWKEAAERKGGVEWTKPPDAHAFLQHVATFAVAEREDRGIYRRIVVSFSWRRQMPRLALTLGLEEEMADIIEELIAKGQQLDAVNFAYEAGLQEKFPPVPLLKSYLADSKKTSCTVSDNSSTSSGQSGSNANKKEQSVLRAVIKCIEDRKLEAEFPLEDLQRQLEELEKAKTEKKKATSSASSGGSSGPATKRIRASNGGPMPPAKAGRLTNNACVSSTPAATTFVRSPSHTSYAMASPYPYDRPVGHGLYCNQSPPAIREPYVYPAKEVTNFVPGLPYSSPPISYPHAYGGYNNGMGAYNNGMAPAFHQAYYRAAGTVWFGRGKN >ONIVA07G03310.1 pep chromosome:AWHD00000000:7:2588312:2588827:1 gene:ONIVA07G03310 transcript:ONIVA07G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPAPRKRTIAVQRRCGVAAAAAGMAGGKKLRRLPHIFAKVLELPFAADADVSVEEDAAALRFVAAADGFTPSGGASAHAVEIHPGVTKVVVRDLSAGLDGDDGAVFELDRWRFRLPPCTLPAMATATYADGELVVTVPKGAAPDDDGDGAAAAVLGGSGVVESVLLLV >ONIVA07G03300.1 pep chromosome:AWHD00000000:7:2577998:2584957:1 gene:ONIVA07G03300 transcript:ONIVA07G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSVGGDSYDEEAAAAAVPRRPPLELDGRGAASDHRSGFIPRYQVGSSKVDTSERYFDEWQKKLPNTDEIRKSKPRSRYFTISGVELSPDNMAVATVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPLYGFISAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLSSGERAMSHSGSGFIETSKQHIRQLWTSVKQPNIFLPTLFIFLWQATPKSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKAVPLRKIFLATTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVSGGLVGAGLTQFFGVTKDSFKNLALLIVICNLSALLPLPLLGLLPEESGFCQHHLYLIFLHQFIS >ONIVA07G03300.2 pep chromosome:AWHD00000000:7:2573554:2584957:1 gene:ONIVA07G03300 transcript:ONIVA07G03300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHCESYCAPPLCNLPCLPKSKDDSTTDDAAAASSPTPATVVAAAFAEDKPPPLQKIEAAVTANKDHDDDGDGGGDEGSKEVVVTVVPKSSLKKTNCEDSKNVVKGNVKWMDLLGKDLTQVKEFEPSESGDSDDEDGNTCICVIQYQVGSSKVDTSERYFDEWQKKLPNTDEIRKSKPRSRYFTISGVELSPDNMAVATVYFVQGVLGLARLAVSFYLKDDLHLDPAEVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLSSGERAMSHSGSGFIETSKQHIRQLWTSVKQPNIFLPTLFIFLWQATPKSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKAVPLRKIFLATTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVSGGLVGAGLTQFFGVTKDSFKNLALLIVICNLSALLPLPLLGLLPEESGFCQHHLYLIFLHQFIS >ONIVA07G03300.3 pep chromosome:AWHD00000000:7:2573554:2577827:1 gene:ONIVA07G03300 transcript:ONIVA07G03300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHCESYCAPPLCNLPCLPKSKDDSTTDDAAAASSPTPATVVAAAFAEDKPPPLQKIEAAVTANKDHDDDGDGGGDEGSKEVVVTVVPKSSLKKTNCEDSKNVVKGNVKWMDLLGKDLTQVKEFEPSESGDSDDEDGNTCICVIQREIWHVGPTAQ >ONIVA07G03290.1 pep chromosome:AWHD00000000:7:2566685:2567964:-1 gene:ONIVA07G03290 transcript:ONIVA07G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFPFMVAYNLEDPLHCPLQKIPPAPPHTVVDVAAADDGNYVERRRVPPHHLPHRPMTPACKNFVTVLFVVSTVVVLGVIARMVVVDSTSWGEALLMLPVMLLVVAIIVVIQATVYLSIIRDFSAAAAEGHDGGGDSQMLLDQMEQV >ONIVA07G03280.1 pep chromosome:AWHD00000000:7:2562092:2564710:-1 gene:ONIVA07G03280 transcript:ONIVA07G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G03800) TAIR;Acc:AT5G03800] MAISTSSAAPPPRLLPPQPPPTSRPLPPPPPPPPPAHGPSPPPPRTRLHTRALAAAASADPRAAHAVAVKSGSAASSGARAWNAVMCGYLRAGALADARGVFERMPARDAASYSALISGHARLGSPAAAGVELLGRMRLAGMAPTEYTFVGLLTACARRGNPRLGSQVHALAVKGNSPCGGGGGSLLVDNALLGMYVKGGRFDDALKVFDGMERRDVSSWNTVLSGLVELGRYDEAFELFGDMRDSGVGADRFSLSALLAAAAEGFGLHEGAAVHALSLKSGLEMDLSVGNALVGFYAEHGHSIEDVVDVFERMPAKDVISWTGLLNGYMEFGLVDMAMDVFDRMPVRNFVTYNAVLTGFNHNKEGVRVTFARKSGLRGLGLFKQMLEDGLEISDVTVTGVLNACAIAAERKMSEQVQAFAIKCGCGSTPWIDAALIDMCIKCGRSGDAHLLFEKWRHEESFHIAWNSLLAASFRDGEYEKALSTFLKMFRSNDVQFIDEFILTTVLGACGALGFAEFGKQMHCFAAKSGLLSAQGVGNAIISMYGKCGALETAVNVFKRMPCRDLVSWNALITSHLLHRQGDEILDLWSQMERLPIKPDSVTFLLVISSCSYTSSNSADKCRELFLSMSSIYGIEPAVEHYAAFVHVLGCWGHFEEAEQLIGKMPFKPSALVWRSCLDSCNRQPNMTMRRLAMRHLLALEPQDPSTYVLASNLYSESARWQCSESTRLKMREKGMRKIPARSWTFHGNSIHSFFARDRSHPQSKDIYAGLDVLILECMKAGYEPDTTFVLHDVEEYQKRHFLMYHSVKLAAMYGLLMSGHGETIRVVKNVRMCGDCHSFLEYTSAATGKEILVRDSAGFHIFRGGKCSCRG >ONIVA07G03270.1 pep chromosome:AWHD00000000:7:2558507:2560943:-1 gene:ONIVA07G03270 transcript:ONIVA07G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like [Source:Projected from Arabidopsis thaliana (AT3G52090) TAIR;Acc:AT3G52090] MNAPDRYERFVVPEGTKKVSYERDTKIVNAGSFTIEREDHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKILVRVHTANQSTPTQAYTQAINDLDKELENLKQAFEDEKIRYEERPKQGY >ONIVA07G03260.1 pep chromosome:AWHD00000000:7:2555874:2556792:-1 gene:ONIVA07G03260 transcript:ONIVA07G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAEWDHLLCSLLEEGRSGVAREPPPTTITAAEVVAAVAQPKHGRVDTENRWKPTHALACMRVAVWFFNTVSLVLFGIVVVKVVPHCKTMEEVFACILAILTVLGILIMGYCMIKNTKEDIKAMEGSP >ONIVA07G03250.1 pep chromosome:AWHD00000000:7:2548222:2551982:1 gene:ONIVA07G03250 transcript:ONIVA07G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKEAGDAEADERRRLRSLAFSNGLLQRGEPAAPRSALAPSTAVSRLQGRDIVRRGGQRKSRFLFSFPGLLAPAAAASGGRVGELADLGTKNPLLYLDFPQGRMKLLGTHVYPKNKIAAMLVPFLWGVIGNYLCCCFIWQIVFSEAWWIGTKEENPQELKLDFPKEFQNDGAVADSDFKGGAGASCDEAVTINKPPKETTTGSLSPKIESDIDSSEDSDLKDEDNTQSTSQAPSVRQSARTAGKALKYTEISSGDDSSDNDDEIDVPEDMDEKVKSPAVKNESQSEDIKPADSSAQPISAKKEPLVQATLSSMFKKAEEKKRCTRSPKGSPATKGPAAKKQRASPEEKHPTGKKSAGRSQKKRKTQVEDDEIEVLSSSSQDNNVDDDSDEDWAE >ONIVA07G03250.2 pep chromosome:AWHD00000000:7:2548222:2551982:1 gene:ONIVA07G03250 transcript:ONIVA07G03250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKEAGDAEADERRRLRSLAFSNGLLQRGEPAAPRSALAPSTAVSRLQGRDIVRRGGQRKSRFLFSFPGLLAPAAAASGGRVGELADLGTKNPLLYLDFPQGRMKLLGTHVYPKNKYLTLQMSRSTKGVVCEDVFESLIVFSEAWWIGTKEENPQELKLDFPKEFQNDGAVADSDFKGGAGASCDEAVTINKPPKETTTGSLSPKIESDIDSSEDSDLKDEDNTQSTSQAPSVRQSARTAGKALKYTEISSGDDSSDNDDEIDVPEDMDEKVKSPAVKNESQSEDIKPADSSAQPISAKKEPLVQATLSSMFKKAEEKKRCTRSPKGSPATKGPAAKKQRASPEEKHPTGKKSAGRSQKKRKTQVEDDEIEVLSSSSQDNNVDDDSDEDWAE >ONIVA07G03250.3 pep chromosome:AWHD00000000:7:2548222:2551982:1 gene:ONIVA07G03250 transcript:ONIVA07G03250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKEAGDAEADERRRLRSLAFSNGLLQRGEPAAPRSALAPSTAVSRLQGRDIVRRGGQRKSRFLFSFPGLLAPAAAASGGRVGELADLGTKNPLLYLDFPQGRMKLLGTHIVFSEAWWIGTKEENPQELKLDFPKEFQNDGAVADSDFKGGAGASCDEAVTINKPPKETTTGSLSPKIESDIDSSEDSDLKDEDNTQSTSQAPSVRQSARTAGKALKYTEISSGDDSSDNDDEIDVPEDMDEKVKSPAVKNESQSEDIKPADSSAQPISAKKEPLVQATLSSMFKKAEEKKRCTRSPKGSPATKGPAAKKQRASPEEKHPTGKKSAGRSQKKRKTQVEDDEIEVLSSSSQDNNVDDDSDEDWAE >ONIVA07G03250.4 pep chromosome:AWHD00000000:7:2548222:2551982:1 gene:ONIVA07G03250 transcript:ONIVA07G03250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKEAGDAEADERRRLRSLAFSNGLLQRGEPAAPRSALAPSTAVSRLQGRDIVRRGGQRKSRFLFSFPGLLAPAAAASGGRVGELADLGTKNPLLYLDFPQGRMKLLGTHVYPKNKYLTLQMSRSTKGVVCEDVFESLIVFSEAWWIGTKEENPQELKLDFPKEFQNDGAVADSDFKGGAGASCDEAVTINKPPKETTTGSLSPKIESDIDSSEDSDLKDEDNTQSTSQAPSVRQSARTAGKALKYTEISSGDDSSDNDDEIDVPEDMDEKVKSPAVKNESQSEDIKPADSSAQPISAKKEPLVQATLSSMFKKAEEKKDLLLRSSEQVQRKNIQQGRRVVEDDEIEVLSSSSQDNNVDDDSDEDWAE >ONIVA07G03240.1 pep chromosome:AWHD00000000:7:2537400:2537783:-1 gene:ONIVA07G03240 transcript:ONIVA07G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASSIEDGRRWLPSTTGDPDNAGDKADKEGETREHNVVFLSAPTPLSAATRKSRVCCGGPRSRDLAAGRPPSSGAEGVRCLVPSPLDRPDLEAGHPPPREPGGLLLGALVSSVWWTSLPGKTLLR >ONIVA07G03230.1 pep chromosome:AWHD00000000:7:2531285:2532283:1 gene:ONIVA07G03230 transcript:ONIVA07G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFQGVDFAALRVWQCDSYLHADEDGRSVYHRRLGGCGGGVATTLCGPFDELVVGEPPTRYVLLRGAYGRYLGTLDPGDRERGASWRSAPSCGGPPAALASSGRYLRGNKNFLARRRSVSVDDNVDKETTCCGGRWCPSTGWSYRSWPMYCNLTSSCSLYREIRFVTAEDAAAADAGQFAGRSVQLLREKLAGIVGYDEFMLCVCTGLHGRLTPLLINLPRSQETLHIVLIRTNTTGNNQTNLICMLLLNTLNIVSKCPA >ONIVA07G03220.1 pep chromosome:AWHD00000000:7:2524191:2530637:1 gene:ONIVA07G03220 transcript:ONIVA07G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKPDDQKPAEQAAKPALPAPQRAGKRVPPPAIRGRKGAAGRRGGAAPRGRRKAVEVVDLEAGQGRGDSPKPVVGQAVVGEAKNVKAPEVVANKGLRMDGESAEKLVAADDESSLPVPERIQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTNRTGPDAYEVALKLEHRNSKGCNYGAPYEWQVYHNLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTMSPHMGACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLYLIDLGLASKWRESSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLSCFCPPPFKQFLEVVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRNHEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFLSQVHELSPVFLHKDWIMDQWEKNFYITAIAGSANGSSLVVMSKGTPYSQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICFGRTVC >ONIVA07G03220.2 pep chromosome:AWHD00000000:7:2524069:2530637:1 gene:ONIVA07G03220 transcript:ONIVA07G03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKPDDQKPAEQAAKPALPAPQRAGKRVPPPAIRGRKGAAGRRGGAAPRGRRKAVEVVDLEAGQGRGDSPKPVVGQAVVGEAKNVKAPEVVANKGLRMDGESAEKLVAADDESSLPVPERIQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTNRTGPDAYEVALKLEHRNSKGCNYGAPYEWQVYHNLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTMSPHMGACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLYLIDLGLASKWRESSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLSCFCPPPFKQFLEVVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRNHEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFLSQVHELSPVFLHKDWIMDQWEKNFYITAIAGSANGSSLVVMSKGTPYSQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICFGRTVC >ONIVA07G03220.3 pep chromosome:AWHD00000000:7:2524191:2530637:1 gene:ONIVA07G03220 transcript:ONIVA07G03220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKPDDQKPAEQAAKPALPAPQRAGKRVPPPAIRGRKGAAGRRGGAAPRGRRKAVEVVDLEAGQGRGDSPKPVVGQAVVGEAKNVKAPEVVANKGLRMDGESAEKLVAADDESSLPVPERIQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTNRTGPDAYEVALKLEHRNSKGCNYGAPYEWQVYQYVLNTVIFHLYQIILCSLSLLIITFPTSNLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTMSPHMGACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLYLIDLGLASKWRESSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLSCFCPPPFKQFLEVVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRNHEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFLSQVHELSPVFLHKDWIMDQWEKNFYITAIAGSANGSSLVVMSKGTPYSQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICFGRTVC >ONIVA07G03210.1 pep chromosome:AWHD00000000:7:2514863:2518589:-1 gene:ONIVA07G03210 transcript:ONIVA07G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP:galactose-1-phosphate uridylyltransferases;ribose-5-phosphate adenylyltransferases [Source:Projected from Arabidopsis thaliana (AT5G18200) TAIR;Acc:AT5G18200] MAAEASRTSEARRDAVFGRWVVFSPARSRRPTDLKSHAPANPSPGAGAAAGAPKPSCPFCQGRESECAPEIFRVPAPPDASPWRIRVIENLYPALRRDAEPPAPEEAGEGEATPGERAVVGFGFHDVVIETPRHDVRLWDLDAAGVGDVLLAYARRVRQLMEHPAVKYVQVFKNHGASAGASMAHSHSQMLGTPFVPPSVTTRLNCMKEVFERLGKCSLCEFQSKDILVSETHNFSAIVPFAASYPFEIWIIPRQHSSYFHEIDKDTALDLGSLLRTMLAKLSKQLNDPPFNFMIHSAPFGVSSSCLPYTHWFLQIVPQLSLIGGFEIGSGCYINPVFPEDAAKILWELDC >ONIVA07G03200.1 pep chromosome:AWHD00000000:7:2512173:2516381:1 gene:ONIVA07G03200 transcript:ONIVA07G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein containing PDZ domain, a K-box domain, and a TPR region [Source:Projected from Arabidopsis thaliana (AT1G55480) TAIR;Acc:AT1G55480] MALAHQLVANRPLLPTPAPRVPRASISNARPQPLLGRDCRLTLLRAERRTLAVARASSSSSSSSQTEPKSEGGEAAAAEGEEQPYEEYEVEILKPYGLKFAKGRDGGTYIEAILPGAAADQTGKFEVGDKVLATSAVFGEEIWPAAGYGQTMYCIRQRVGPLYMKMEKRFGKWDGAAELSEKEIIRAERNSGVISNRVREIQLQNYQRKMEQKMQREEDLRMGLRLYKDGKYEEALEKFESVLGSKPEINESSIASYNVACCYSKLDRIQAGISALEDALKAGYEDFKRIRTDPDLENLRKTEEFNVLLNKYDESFINENAINAIKSLFGFNKK >ONIVA07G03190.1 pep chromosome:AWHD00000000:7:2506568:2510084:1 gene:ONIVA07G03190 transcript:ONIVA07G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEGRRGWIPWARRAMGEDAEMGSLRRAAAAGEDDEMGVPESETAAKGDGAASYGYIPVPQDADGDKCVVLVPTANAADWSVAEVKGGGGGGGGGEDATATTKMIQMRPVGLGIARTRPGGDRLDGIEDGIEDDIDEVKDPGEDQAVHQPMLPVLVRGSAPEKSDLDHPGDLTAVLLIFGTMWCILAMGFLLHLHAKESKALQRFTSIIPRVFLSCFSYLVMYHISFLIPNKKAAHIFWYVKVTVLLSLFHAGILIWVVIHKILKKHSNISMHQDTPINDFKYVPRNDLFDIDEYGHYIPSRPRSELQTTSSRFRGKRSQSKSTSSREKQRNL >ONIVA07G03190.2 pep chromosome:AWHD00000000:7:2506568:2508684:1 gene:ONIVA07G03190 transcript:ONIVA07G03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEGRRGWIPWARRAMGEDAEMGSLRRAAAAGEDDEMGVPESETAAKGDGAASYGYIPVPQDADGDKCVVLVPTANAADWSVAEVKGGGGGGGGGEDATATTKMIQMRPVGLGIARTRPGGDRLDGIEDGIEDDIDEVKDPGEDQAVHQPMLPVLVRGSAPEKSDLDHPGDLTAVLLIFGTMWCILAMGFLLHLHAKESKALQRFTSIIPRVFLSCFSYLVMYHISFLIPNKKAAHIFWYVKVTVLLSLFHAGILIWVVIHKILKKHSNISMHQDTPINDFKYVPRNDLFDIDEYGHYIPSRPRSELQTTSSRFRGKRSQSKSTSSREKQRNL >ONIVA07G03180.1 pep chromosome:AWHD00000000:7:2497412:2506732:-1 gene:ONIVA07G03180 transcript:ONIVA07G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQPRTHIPISSSPLSAYCFLRAPFRSLHFFLVEPTSRTPSTSLSLAAKYTITLDAIPLFEKPALAVLRHSQQHLAATVALPLHLMRQVSDNGERRRQSETEKCTPVYGSSSSATGRGGAKTNDSSHTWHSRWRSKFAAARLARTLSYVSRTSFPGPHLGGAVAVAQIACLLGKVVGAHIYRGAGWQTDGRMRGSSGDPVAKERVAARGDLQCKSD >ONIVA07G03170.1 pep chromosome:AWHD00000000:7:2496471:2501214:1 gene:ONIVA07G03170 transcript:ONIVA07G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRRRKREEEEEEEEAEEWERRKRGRRKRRKRRRRRGGEEDPVDVLGEEVMGRVMELLDARSVARCTAVSRAWRGVAADDRLWAPKCAELMAGKAHIPRLTMIPTASKLSTYSMAIADGKRTRITKEDLCDHDWEFRFTIAAPEYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECTYTIITSFAGNGCIRDHYVRINRWPPMKVSRKEDWSWELSNHLYRYNSIPDTDKKGCTGPLFPVW >ONIVA07G03160.1 pep chromosome:AWHD00000000:7:2484196:2486475:-1 gene:ONIVA07G03160 transcript:ONIVA07G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVLSDVEASMMEQGKAAVAAMTKTTTQPSQHVRAMPGDPTVDERERFEAMDVIFKLVLTVYRLGGAVALIVVAFLNADERSNAKPLFQFRGTKLLERKRGAPPSGPSIDDHERAWQSHRALKLQHEQLEDDGTVTAEGGCRRAVHTGEEDDDISAVEAEVLL >ONIVA07G03150.1 pep chromosome:AWHD00000000:7:2483676:2484172:-1 gene:ONIVA07G03150 transcript:ONIVA07G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLARRRCSPRPRATINDCSKLATLTEQASPTFAHRALCGWPQRIGWSQPMMEVVCYFSFARRLYDMNENDNGWLLLCNVELISIPHRYISFRDSITDCRSLPGCPLHPLS >ONIVA07G03140.1 pep chromosome:AWHD00000000:7:2476530:2482395:-1 gene:ONIVA07G03140 transcript:ONIVA07G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAKSVAVADGNPKTATETPRVEDYKDAAMYYGTYPAYLYGAYGGWGEYSTYLSHDGAETPTAGAYGDMYYGYSPYGYSTSGHDSQMYGSQHYQYQPTYNKQQNTTGKPSNNGKTENPAALPQGDVSANGVDSLKGQKKTNLLPKASQNTPGSNGSYGRPSGRFGNYQNQTNRTTYPCYSSQIFNGKQQKLPTGNRSLTTSNSKSKGQSRNQNTYPHLMGLQTPTSPLGPPSIYSASGMYGYNGSSYGSGLWYGSHLYGSGLYGGWNALSDGKYNPRGRGNGSYGYIHGNQDGFNELRRGPRSGLFNNQQGVGATVAPVKGQELSASDSSLSVMKDQYNRADFVETYSDAKFFIIKSYSEDDVHKSIKYNVWASTSNGNKKLDAAYQEAKEKSSDSSVFLLFSVNASGQFVGLAEMVGRVDFNKTLEHWQQDKWTGCFPVKWHIVKDVPNSLLKHIILENNENKPVTNCRDTHEVKLEPGLQVLKIFKDHVCKTSLLDDFDFYDNREKMMQERKAKHQQLKKVVDEKLLNAVDTENSLLTVKSKLQETAEVEIDVLNKEPHGKAGQVDGKENGVLAVSVNGVTPEDVQLTNEKLASLSQLWNLNKRQQQQIKVLMA >ONIVA07G03130.1 pep chromosome:AWHD00000000:7:2475455:2480757:1 gene:ONIVA07G03130 transcript:ONIVA07G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease [Source:Projected from Arabidopsis thaliana (AT2G23840) TAIR;Acc:AT2G23840] MAGKVAAPLAFRRDVVVRGTLGGGRRSGVSGLWSNGGGGGGRLVAPPSAPWPARARGKNRSGGGGRSATKDDERAGKDEAAEAVVFVDGEDDEAAIDGDDLSGFRGLVLDLSYRPVNVVCWKRAICLEFMAKADVLEYYDQTVSSPSGSFYIPAVLRVPELLQVVKRRRVKHSLSRKNILYRDGFTCQYCSSVDNLTIDHVIPTARGGKWEWENLVTACSRCNSRKGQKTVEQANMKLLKVPKAPKEFDILAVPLTKAAFRTLKRSQGLPEEWLQYLARPSP >ONIVA07G03120.1 pep chromosome:AWHD00000000:7:2469985:2473646:-1 gene:ONIVA07G03120 transcript:ONIVA07G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLREIRRAAAAGIGRRRCFSGDAAAAAAAAAAAGVAEGKVGGGAGKEVNLFTAINQALHIALDTDPRSYVFGEDVGFGGVFRCTTGLADRFGRNRVFNTPLCEQGIAGFAVGLAAMGNRAIAEIQFADYIFPAFDQACLRYRSGNEFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHVPGLKVIIPRNPREAKGLLLASIRDPNPVVFFEPKWLYRLAVEEVPEEDYMLPLSEAEVIRKGSDITLIGWGAQLAVLEEACEDAAKDGISCELIDLRTLIPWDKETVEASVSKTGKLLVSHEAPITGGFGAEIAASITERCFQRLEAPVARVCGLDTPFPLVYETFYMPTKNKVVDAIKATVNY >ONIVA07G03120.2 pep chromosome:AWHD00000000:7:2469985:2473646:-1 gene:ONIVA07G03120 transcript:ONIVA07G03120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLREIRRAAAAGIGRRRCFSGDAAAAAAAAAAAGVAEGKVGGGAGKEVNLFTAINQALHIALDTDPRSYVFGEDVGFGGVFRCTTGLADRFGRNRVFNTPLCEQGIAGFAVGLAAMIVNEAAKFRYRSGNEFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHVPGLKVIIPRNPREAKGLLLASIRDPNPVVFFEPKWLYRLAVEEVPEEDYMLPLSEAEVIRKGSDITLIGWGAQLAVLEEACEDAAKDGISCELIDLRTLIPWDKETVEASVSKTGKLLVSHEAPITGGFGAEIAASITERCFQRLEAPVARVCGLDTPFPLVYETFYMPTKNKVVDAIKATVNY >ONIVA07G03110.1 pep chromosome:AWHD00000000:7:2468387:2468596:1 gene:ONIVA07G03110 transcript:ONIVA07G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMHRIAPAPRVFTEKVRSKSAAAVSSRQGGALLVDHAGVRYGEGDVSLDGHGAASEHAGLRSPGRAV >ONIVA07G03100.1 pep chromosome:AWHD00000000:7:2464402:2465196:-1 gene:ONIVA07G03100 transcript:ONIVA07G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVAAAASSQPASQPLAGRVAIVTGASRGIGRGIAAHLSALGASLVLGYASSSAEADALAAELPRAVAVKADVSDEAGVRALFDAAESAFGAGAHILVANAGLLDDRYPHLSNTPTADFDRTIAVNLRGAFLCLREAANRLPRGGRIVAITSSVVASLPPGYSAYTASKAAVEAMVRTMAKELKGTGITANCVAPGPVATDMFFAGKDEAWVKRTVDANPTGRLGDPGDIAAMVGFLCTDAAEWTNGQVIRVNGGYVS >ONIVA07G03090.1 pep chromosome:AWHD00000000:7:2463017:2463802:1 gene:ONIVA07G03090 transcript:ONIVA07G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSAPAQAQAAALPLSGRVAIVTGASRGIGRAIAIHLASLGASVVVGYASSSGPAEALAAELPSAVAVKADVSDEAGTRSLFDAAEAAFGGGAAHILVACAGLAVSTYPRLADTSAADFDAAFSVNARGAFLCLREAANRLRRGGGGRIVAVSSTLAATLLPGYAAYAASKAAVEAMVRVMAKEVGASRVTVNCVAPGPVATELFFAGKSEEAVERFKAGNPMGRLGEVGDIAPVVGFLCTDAAEWVNGQVIRVNGGIA >ONIVA07G03080.1 pep chromosome:AWHD00000000:7:2457922:2459007:-1 gene:ONIVA07G03080 transcript:ONIVA07G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAPDVGSYYGEQWAPLAMSSLFSYSPPGTAKYAAALASPSQQVSTTMPELETEGELKTLPAPMTIETTAAAAAAARSPEIVKVRSVWAHNLDEEANLIESLFPSFRLAAVDTEFPGTVHRPSAPAYTLTRKQKYALLKKNVDELHLVQLGLTLFDAGGRLPDLGTGGAARYVWEFNFREFDLRRHAHAPESIALLRSKGVDFDRTPRGGVDAAAFGPRLRRWLRAGLGRAGLVTFSGAYDLAYMLKMLYGGGGGGGYRLPGDAATFEFVVRAVIGRTLYDVGKMARHCPGDMRGGLERVAGKLGVRRAVGEAHQAGSDSLLTSQMFMRMRERYFDDQDALTAVAGINFGYLNFTSCEYT >ONIVA07G03070.1 pep chromosome:AWHD00000000:7:2453182:2455775:1 gene:ONIVA07G03070 transcript:ONIVA07G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVFDAAILSKQEAIPAQFVWPADEAPAADDGVVEEIAIPVVDLAAFLAGGGIGRDVAEACERHGFFQVVNHGVDPALLAEAYRCCDAFYARPLAEKQRARRRPGENHGYASSFTGRFDCKLPWKETMSFNCSAAPGNARMVADYFVDALGEEYRHMGEVYQEYCDVMTRLALDVTEVLAVALGLGRGELRGFFADGDPVMRLNHYPPCRQPHLTLGTGPHRDPTSLTLLHQDDVGGLQVLPDDAAAAAGGWRAVRPRADAFVVNIGDTFAALTNGRHASCLHRAVVNGRVARRSLTFFLNPRLDRVVSPPPALVDAAHPRAFPDFTWREFLEFTQRHYRSDTNTMDAFVAWIKQRNGYESLDKY >ONIVA07G03060.1 pep chromosome:AWHD00000000:7:2450726:2452461:1 gene:ONIVA07G03060 transcript:ONIVA07G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLSSSGAAAVVASQGQLPDCFVFPADRRPPASTAAVSLPVIDLSGPRDAVRRAVLDAGKELGFFQVRKQQNPQAYRYTHSFSLNRLTWRCRACKVVNHGVPPETMREMAAVCEEFFRLPAEDKAAFYSDAEENPNRLFSSTIYEVGDQRYWRDCLRLACGFPVADDTNTHWPDKPHHLRDVTEKFFVATRGLGIELLRLLCEGMGLRPDYFERDLTAGDVIINVNHYPPCPDPSLTLGLPPHCDRNLITLLLQGDVFGLQVSYNGDWINVDPVPDAFVVNFGHLLEELVGDGGQPQYRTVTFREFMRIYKTVGARRDSVEKAFKI >ONIVA07G03050.1 pep chromosome:AWHD00000000:7:2449812:2450270:-1 gene:ONIVA07G03050 transcript:ONIVA07G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGNEMQARNGGGAAMCAAGCGFFGSAATDGLCSKCYKQQQPQPRHLIGTAAGDSDKTSLKVVADLSTLVIKDNSGVGGEGTTVMAPPATVTKAKNRCEACRKKVGLLGFPCRCGGMFCGAHACAFDYKAAGREAIARHNPLVVAPKINKI >ONIVA07G03040.1 pep chromosome:AWHD00000000:7:2442253:2443440:1 gene:ONIVA07G03040 transcript:ONIVA07G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFPCDRMEDINECLHPKEYGCYGNCMNTPGGYTCVCPPGTSGNPTEMNGCHSKDKFTFVVKVVTAYRKLIRTKQKFFEQNGGVILQQQMHSGGGARGFRIFSMEELKKVTNIFVAGHVLGRGGHGVVYKGVLEDKTVVAIKKSKMMKEAQTKEFARETSLVAALKLKCPCWSTNSSQITPSTTTSMGRTPKADIPLDIRLQIAAESAEALSYMHSSASPPTLHGDVKMANILLDDKLSAKVSDFGASKLAPTDEIEIATWVHGTCEYLDPEYLMTRQLTDKSDVYSFGVIVLELLTRKKALYLDGPEEDRSLVSCFTTAVKVGRH >ONIVA07G03030.1 pep chromosome:AWHD00000000:7:2439484:2440181:1 gene:ONIVA07G03030 transcript:ONIVA07G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISAVRLLQAAAFAVLLVCLAPATAASVRRLPTTSCPDRCGNISIPYPFGIGADCARDEGFQLDCELDSPPRLVTSSQFEKPQELVSLSLADGEARVLLNPLSKCYREEEVVILGDTSTSTTYRYSPEKNRLVALGCPNLGYIVDGSDNYRLHVRVPPPATAVVVAGQCSAAVAGAAGERCCQSVIPPTLNFYVPCMFNFANGKAAADDELRGGTMPCR >ONIVA07G03020.1 pep chromosome:AWHD00000000:7:2427799:2436982:-1 gene:ONIVA07G03020 transcript:ONIVA07G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLGCDIRRPEHPMQGKARESSGVERLSRRFIGKNGGSNGGEQDAGGGRRWRGDVRRRLRVLRQRGHRRALLQVLQGAAAAAATSHLIGTATGNGDKVVDKVVADLSALVIKDNSGVGGEGTTVMAPPATATKAKNRCEACRKNVGLLGFPCRCGGMFCGAHRHAGAHACAFDYKAAGREVIARQNPLPRRRPPQKKREQRERKRDRERERERENPPVRKSPVPFSPRSLPVAMAQESWKNESEETVHTPEAPILCVNNCGFFGSSMTNNMCSKCYRDFVKVTTMAAPVVEKKAFMPASSSKTPLEPAKPDEVPAAAVEDKQAAQEPPKPPSNRCLSCRKKVGLTGFQCRCGGTFCSTHRYTEAHDCTFDYKKAGRDQIAKQNPVVIAEKINKI >ONIVA07G03010.1 pep chromosome:AWHD00000000:7:2420253:2423800:1 gene:ONIVA07G03010 transcript:ONIVA07G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVVVIVAVAMAAWWAVAAVEGLGINWGTQATHPLPPKAVVQLLKDNGIAKVKLFDTDFAAMSALAGSGVEVMVAIPNKDLATMASDYGNAKDWVKKNVKRFDFDGGVTIKYVAVGNEPFLKAYNGSFINITLPALQNVQNALNDAGIGDRIKATVPLNADVYESTVPSAGRFRPEIAGLMTDIVKFLAKNNAPFTVNIYPFLSLYLDEHFPINFAFFDGGSTPVNDGGIMYTNVFDANFDTLVAALKAVGHGDMPIIVGEVGWPTDGDKNARVDLAQRFYAGLLKRLAANVGTPARPNQYIEMYLFGLVDEDMKSVAPGSFERHWGVLRYDGQPKFAMDLAGQGRNTMLVPAKGIEYLPKTWCVINTNAKDVFKLGDNINFACTYADCTPLGFGSSCNGMDTNGNASYAFNAYFQAQSQKEEACNFQGLAVPTETDPTTAQCNFTIQIKSSAAAAAAPVAAGVVVAALAQLLLLW >ONIVA07G03000.1 pep chromosome:AWHD00000000:7:2411700:2412407:1 gene:ONIVA07G03000 transcript:ONIVA07G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGGDGGGELKLLGTWASPFVQRVRLALNLKGLAYEFIEEEIGGGKSELLLASNPVHKKVPVLLHRSNPICESQVIVQYLDDAFPGGAAGGDLLPSDPHARAVARFWAAYIDAEFFAPWNRSFYTASEEEKTAEMGRAAAALATIERAFAELSRGKGFFSGEDRPGFVDVVLGGFVGSMRAYGTAVGVEVLDAGRTPLLVAWAERVAALDAARGVIPDVERVVELSRYARKK >ONIVA07G02990.1 pep chromosome:AWHD00000000:7:2394959:2405857:-1 gene:ONIVA07G02990 transcript:ONIVA07G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03710) UniProtKB/Swiss-Prot;Acc:Q8GZQ3] MLATPGALHHLLLLPPPPHTHLAFHHAVGGVPAALLPLPRPRRVAASASTSRCGGARRRAAGARVRASVGEEAPPVVTEEASTSGGPTKFSTKIPVGDRHILVETGHIGRQASASVMVTDGETVLVCRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDSLAITAAGVAICSCSYLPQSFLCRALSEVPNKQTIAGVRIGMINDQFVVNPTTEQMDDSELDLVMAGTDSAILMIEGYCDFLTEEKLLQAVETGQKCGKKKMFDAIDLPPPELYRHVEDISGDELVKALQIKEKILRRKALSALEEKVITILSEQGYVAKDESSGVSENLADVIEEEDEDEVIVDGEVDEGEVHIKPVSRKPPRQLFSEVDVKLVFKEVSSKFLRRRIVEGGKRSDGRSPCELRPINSQCGLLPRAHGSALFTRGETQALAVVTLGDYQMAQRIDNLVDTEESKSFYLQYTFPPSSVGEVGRIGAPNRREIGHGMLAERALEPILPPEEDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTLEFGGDGKPLILSDITGAEDASGDMDFKVAGNENGISAFQMDIKVVGITLPIMEHALLQARDGRKHILNEMSKCSPPPAKVLSPYAPLIHVMKVKPNKVNLIIGSGGKTIKSIIEETGVDAIDTGDDGTVKITARDLSSLEKSKAIIANLTMVPKVGEIYRNCEIKTIAPYGAFVEIAPGREGLCHISELSSSWLAKAEDAFKVGDRIDVKLIEINDKGQLRLSSRALLPDANQESSSKQQAGGSTREKAPQKDNLVKMTTRRPRRKKQAEPSTAENNATASPKDLASQGSEMGTE >ONIVA07G02980.1 pep chromosome:AWHD00000000:7:2382936:2384033:1 gene:ONIVA07G02980 transcript:ONIVA07G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTLKSVFAWPSSSPSPAEGAPCSLSQAFFLLCMRATIILGACYTVFLFWVAFAYPRSRLEYLQLSPLFVVAVTAPTLGVVMIRNG >ONIVA07G02980.2 pep chromosome:AWHD00000000:7:2382678:2384033:1 gene:ONIVA07G02980 transcript:ONIVA07G02980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGMLPTLKSVFAWPSSSPSPAEGAPCSLSQAFFLLCMRATIILGACYTVFLFWVAFAYPRSRLEYLQLSPLFVVAVTAPTLGVVMIRNG >ONIVA07G02970.1 pep chromosome:AWHD00000000:7:2367355:2379287:-1 gene:ONIVA07G02970 transcript:ONIVA07G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMMLRKLLLNTFVYPMPVGIWDEQCQLVYKTIMAVLHAHYGHVHLVTTQERVVISSAHRQEEEMPAGTSEARNLWPSVVSRTRYDLATAHQGNEYYTLSLEVVQVAALLSLMGRSTLPSILICYCKPLPIYFLREKLVTPDDRVVVAQTLQTILASILPLCAASQPLFKDGPEYAKVVTFGFLGTIVLVAYAGVFAKAANSKAALRLVVSAAIAAMTFAVASQLSGIGYIYNSRPAPAAVNDGAGAGHLSSRRKKQWKPIVFDWVVHILLSRVTTHSVVYYSKQRQMKHQGNDGVPAAARVEEDDGCGRGGKEQRQWLLWTTAAAAADRRNERGGRAAVKEHDDGGKDGRRRPRAGWNTGIELQRRRKRATATARWRRTQVTSAEDEGSGDAGHNGRGRRMQLMATSVMADKSDSRGGHGSGGASESGETGTGDGSGRGGRGWPPRAWMARRTWATAAEDEDGGEHERGRTQTRMERWQPWRDDCRRRRWPRKTAMAAAREDEDDGIHDREWGAGRRQPRPRARVRATAAASEDSDDGSHDHGQGYERGRQRRRPLKIATAATTTAGKGVGRRRPRKTVNTKGCAEPLRHGRR >ONIVA07G02970.2 pep chromosome:AWHD00000000:7:2369411:2379287:-1 gene:ONIVA07G02970 transcript:ONIVA07G02970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMMLRKLLLNTFVYPMPVGIWDEQCQLVYKTIMAVLHAHYGHVHLVTTQERVVISSAHRQEEEMPAGTSEARNLWPSVVSRTRYDLATAHQGNEYYTLSLEVVQVAALLSLMGRSTLPSILICYCKPLPIYFLREKLVTPDDRVVVAQTLQTILASILPLCAASQPLFKDGPEYAKVVTFGFLGTIVLVAYAGVFAKAANSKAALRLVVSAAIAAMTFAVASQLSGIGYIVTASLTGAIMAAFIMLAMERAPAT >ONIVA07G02970.3 pep chromosome:AWHD00000000:7:2367355:2368604:-1 gene:ONIVA07G02970 transcript:ONIVA07G02970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQGNDGVPAAARVEEDDGCGRGGKEQRQWLLWTTAAAAADRRNERGGRAAVKEHDDGGKDGRRRPRAGWNTGIELQRRRKRATATARWRRTQVTSAEDEGSGDAGHNGRGRRMQLMATSVMADKSDSRGGHGSGGASESGETGTGDGSGRGGRGWPPRAWMARRTWATAAEDEDGGEHERGRTQTRMERWQPWRDDCRRRRWPRKTAMAAAREDEDDGIHDREWGAGRRQPRPRARVRATAAASEDSDDGSHDHGQGYERGRQRRRPLKIATAATTTAGKGVGRRRPRKTVNTKGCAEPLRHGRR >ONIVA07G02960.1 pep chromosome:AWHD00000000:7:2364989:2367146:1 gene:ONIVA07G02960 transcript:ONIVA07G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGVRRRRSPMEIAAFFTAATAAAEAVVAITRNWVFYFCMRTIVFAGGLLALFIGYVMAAYSKTWLDSLSLLPLLFAAVILPVYAAVAIQDARRLERERVVWIVRKALEIEGL >ONIVA07G02950.1 pep chromosome:AWHD00000000:7:2359959:2363122:-1 gene:ONIVA07G02950 transcript:ONIVA07G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAGDASPPPAAAGVGATAAQSRDMAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFSVGGYQWAVYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSILVPDSDIGYHFGTLLDNHEGVDVVLNVGGERFHAHKLVLAARSTVFRSKFFDDEDGEKNEPGENDDVQEIVIDDMEPKVFKAMLHFIYRDTLVDDNELGGSSSEGSIFDTLAAKLLAAADKYDLARLRLLCESYLCKAISVATVASTLALADRHHAMELKAVCLKFAAENLSAVIRTEGFDYLKDNCPSLQSEILRTLAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRT >ONIVA07G02940.1 pep chromosome:AWHD00000000:7:2348000:2362897:1 gene:ONIVA07G02940 transcript:ONIVA07G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbon-nitrogen hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G55090) TAIR;Acc:AT1G55090] MRLLRVATCNLNQWAMDFDTNLRNVKESIARAKAAGAAVRVGPELELTGYGCEDHFLEQDTAAHAWECLKDILSGGYTDGILCSIGMPVIFKSVRYNCQVFCLNSKIVMIRPKISLANDGNYREFRWFSAWTFKDALVDFQLPLDISEVTSQDTVPFGYGFIQFLDVSLAAETCEELFTANAPRIDLALNGVEVFVNASGSHHQLRKLSLRIDSMRNATLACGGVYMYANQQGCDGGRLYYDGCCCIAVNGDVVAQGSQFSLKDVEVLDALVDLDAVSSYRASVSSFREQASHRTKVPFVKVPYKLCKPFQSGMVPTGPVEVMYHHPEEEIAFGPSCWLWDYLRRSRASGFLLPLSGGADSSSVAAIVGCMCQLVVKDIENGDEQVKADAMRIGQYKDGEFPKDSRELAKRLFYTVYMGTENSSEGTRSRAKMLAEEIGSFHLDVPIDSIVSALLSLFERLTGKRPRYKVDGGSNTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAVHLHYSSLAEVEAAPPTAELEPIRADYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHRWCGTLSPSEVADKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDELVQDMDKDGKWVNSTEGELRRRKGVRSAEGGGMGVVAVGSANPSAGS >ONIVA07G02940.2 pep chromosome:AWHD00000000:7:2348000:2362404:1 gene:ONIVA07G02940 transcript:ONIVA07G02940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbon-nitrogen hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G55090) TAIR;Acc:AT1G55090] MRLLRVATCNLNQWAMDFDTNLRNVKESIARAKAAGAAVRVGPELELTGYGCEDHFLEQDTAAHAWECLKDILSGGYTDGILCSIGMPVIFKSVRYNCQVFCLNSKIVMIRPKISLANDGNYREFRWFSAWTFKDALVDFQLPLDISEVTSQDTVPFGYGFIQFLDVSLAAETCEELFTANAPRIDLALNGVEVFVNASGSHHQLRKLSLRIDSMRNATLACGGVYMYANQQGCDGGRLYYDGCCCIAVNGDVVAQGSQFSLKDVEVLDALVDLDAVSSYRASVSSFREQASHRTKVPFVKVPYKLCKPFQSGMVPTGPVEVMYHHPEEEIAFGPSCWLWDYLRRSRASGFLLPLSGGADSSSVAAIVGCMCQLVVKDIENGDEQVKADAMRIGQYKDGEFPKDSRELAKRLFYTVYMGTENSSEGTRSRAKMLAEEIGSFHLDVPIDSIVSALLSLFERLTGKRPRYKVDGGSNTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAVHLHYSSLAEVEAAPPTAELEPIRADYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHRWCGTLSPSEVADKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDELVQDMDKDGKWVNSTEGELRRRKGVRSAEGGGMGVVAVGSANPSAGS >ONIVA07G02930.1 pep chromosome:AWHD00000000:7:2343081:2347277:1 gene:ONIVA07G02930 transcript:ONIVA07G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:UniProtKB/TrEMBL;Acc:A0A0E0HX28] MAEHDLTARMAGHLDCHLVLPLLEFLQERHLYPEEEILEAKIRLLRGTNMVDYAMDIHKSRYGTDDVPEDMVKRRAEVVSRLTSLGEAIDNIHQNQQIGPDQIETLYQCAKFQFDCGIYSFAAQYLHQYRALCTNIERSLSALWGKLAAEILMQNWDVALEELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSIFIFYNHENGRNGIIDLFFQERYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLFVNYDFDGAQQKLIECEEVILNDPFLGKRIEEGNSITVPLRDEFLENARLFIFETYCRIHRSIDIGLLSQKLNMRYDEGELWIMNLVRNSKLDAKIDSVSGTLIMTTNHVNIHEQFIESLKNLNMRTSMLAKNIVEPAQAMQQATR >ONIVA07G02930.2 pep chromosome:AWHD00000000:7:2343216:2347277:1 gene:ONIVA07G02930 transcript:ONIVA07G02930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:UniProtKB/TrEMBL;Acc:A0A0E0HX28] MAEHDLTARMAGHLDCHLVLPLLEFLQERHLYPEEEILEAKIRLLRGTNMVDYAMDIHKSRYGTDDIGPDQIETLYQCAKFQFDCGIYSFAAQYLHQYRALCTNIERSLSALWGKLAAEILMQNWDVALEELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSIFIFYNHENGRNGIIDLFFQERYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLFVNYDFDGAQQKLIECEEVILNDPFLGKRIEEGNSITVPLRDEFLENARLFIFETYCRIHRSIDIGLLSQKLNMRYDEGELWIMNLVRNSKLDAKIDSVSGTLIMTTNHVNIHEQFIESLKNLNMRTSMLAKNIVEPAQAMQQATR >ONIVA07G02920.1 pep chromosome:AWHD00000000:7:2336732:2340815:-1 gene:ONIVA07G02920 transcript:ONIVA07G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >ONIVA07G02910.1 pep chromosome:AWHD00000000:7:2330606:2336017:1 gene:ONIVA07G02910 transcript:ONIVA07G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNLVVLFLVSVVMAMVPGSTQLQASQTWSLLKIQQMLGYPAVLGHWHNYTDFCYGGDYKTTSAFVECYGDSVTQLHIIGGGGGSPAPPPLPKTFSIDSFFTTLSRLPDLRVLTLTGLGLWGPLPGKVSRLAALEIVNVSGNYLYGELPLALSRLGNLQTFIADDNMLSGELPAWLGRLPVLAVLSLRNNSLEGTLPGSVSDMASLRSLSLASNNLSGNLPDMSGAKNLQVIDLANNSLGPEFPRLGRKVASVVLAGNRFSDGLPPELASFYLLERLDVSRNRFVGPFMPALLSLPSIEYLSVAGNRFTGMLSGNMSCGDNLKFVDVSSNLLTGSLPTCLAAGAVGKAADSDSDSSKTVLFSANCLATGDDTQHPSPFCKNQAIAVGIVPDQARRKPSGARSGLVAGVVAAAIAAAVLAGVAVFLAVRKASMRRAQARPPRRLVEHASSAYPSKLFADARYISQTVKLGALGIPAYRSFSLVELEAATNDFEVSNMMGQDSHGQMYRGRLSNGTPVTIRSLKVKRSQTSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTVTQLYLVFEYVQNGNLRGRISQGTEGRKLTWVQRISTAIGVAKGIQFLHGGIIPGLFANNLKITNILLDQNLVAKIGSYNIPILSETMKSEGGSGNKYPSDSVPNGDKLDIFDFGVILLEVISGRPITSIYEVEIMKEQLQSALTAEGTAKRRSFVDPAVSKGCSDESVKTVMEICLRCLAKEAVQRPSVEDVLWNLQFAAQVQDDWRGDSRSSEESPLSPSQIPRDLEDDQ >ONIVA07G02900.1 pep chromosome:AWHD00000000:7:2322818:2336728:-1 gene:ONIVA07G02900 transcript:ONIVA07G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGNGWYPPCQKDAISNREKPIWTQAFGRTTATPAHHATVNDRQVNSWAVRSLAGPESDAARALPGLVESMEAKGISGSWSVEKGGGEWVKSEDSEDIINL >ONIVA07G02890.1 pep chromosome:AWHD00000000:7:2321912:2324580:1 gene:ONIVA07G02890 transcript:ONIVA07G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKGSKMLQFVNYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPSKSSKTTGEREERRTLGLLLLRGEEVVSMTVEGPPPPDESRAKAAGAGAAMAGPGVGRAAGRGVPAGQMLQAQPGLAGPVRGVGGPAPGMMQPQISRPPMPNLSAPPVAYPQVVRPPPGQMPPPMRPPQMPIPFQRPPGVPPAFPGGPPPPPGPFMRGPPPMGPPQVRPGMPGGPPPGMRPGMPPPPFRPGMPPPPPGCSCYTNYGRFTGATVASLFYLILGLQVVFLRYQLREEILILLLSVEHSCFYARGLYVDVKS >ONIVA07G02880.1 pep chromosome:AWHD00000000:7:2320598:2321132:-1 gene:ONIVA07G02880 transcript:ONIVA07G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRNDVHVNMTNATMTDALQLLPSVDGIHKIDTTALCLPTPSRLTMKSALKAASAVGEEEQQQHGSPLPRGRRVSVKSLEAIQMDFEEGEDEMKRDREERNLGVALRSTSRRARATPTPIPTPCDH >ONIVA07G02870.1 pep chromosome:AWHD00000000:7:2318149:2318958:1 gene:ONIVA07G02870 transcript:ONIVA07G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGVPELYLLSAEAHAWFRRIVFEVNSIYTILWSHRPQCMRHSPRCSPLPTSTYRRYPTTATLIPAGHRPQHTSPVIQRLASSSCACTDTHGVSGARNYAQASQRTPSPNSWKSSTNLDVWLTCDHDAGVVSCHATMWQCNLRGRRVRSPSYFASSRSSPCRSRRLSVDMWDPHGPHADSATTSPKLGSNSALGPNVTWFYKITALLYLVFQFRDNFETRRQGTSSEPFPFISLISLSFKNSKDARVLR >ONIVA07G02860.1 pep chromosome:AWHD00000000:7:2317333:2318085:1 gene:ONIVA07G02860 transcript:ONIVA07G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGERRCGGSRRTPGATSPRRMGIGASGGEETKHEAEVGWDGLGCSPVSECGGSRRTPAPPLLAGCQREARRRESRAAGEETGDGLGRRNGGRAALCCRILICTRLECFHLPKKPHKSTGNLLPPHSTLLSSQSKPSGHPPMIGEKGVGRLVGEKLDGRGHRLTWLPTVGSAHIIDLRARGVRCGSQLQLQCRLHLHARGLGLLPCHAD >ONIVA07G02850.1 pep chromosome:AWHD00000000:7:2309768:2315025:-1 gene:ONIVA07G02850 transcript:ONIVA07G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAMLQSAAESAIQSIGLGYDIAHDIRLKYCKQRSSPDPLLIELDHDEVQDIVLPGGLTVAGVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNTMFEFTGCWQKDAANTKSLAFDGWCITLYTVALSKAQIVLRDHVKQAVPSTWEPAALARFIRKFGTHVVVGIKMGGKDIIYLKQQHSSTLQAVDVQKRLKEMSDRRFLDANGQSDFSFKDSYGKDKIDTREHRLRFVDSSPLNSYSSKEDLVMMPKRRGGRDKDILSHSEWLNTVQAEPDVISMSFIPITSLLNGVPGCGFLNHAINLYLRYKPQIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSTVSLPVNLIGPKLYVCTNMVDVGKRPVTGIRLFLEGKRSNKLAIHLQHLCSLPQILQLEDDPYNDQTPEAYDRKYYEPIGSWKRFSHVCTAPVESDDSSIVTGAQLEVVSHGFKKILFLRLHFSKVCNATSVRNPEWEGSPNLAQKSGLISTLISTHFSTAAQKPAPRPADVNINSAVYPGGPPVPVQTPKLLKFVDPTEMMRGPQDLPGYWVVSGAKLQLERGKISLRVKYSLLTAMLPDDDEFAFDEDCEFETWKQK >ONIVA07G02850.2 pep chromosome:AWHD00000000:7:2309944:2315025:-1 gene:ONIVA07G02850 transcript:ONIVA07G02850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAMLQSAAESAIQSIGLGYDIAHDIRLKYCKQRSSPDPLLIELDHDEVQDIVLPGGLTVAGVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNTMFEFTGCWQKDAANTKSLAFDGWCITLYTVALSKAQIVLRDHVKQAVPSTWEPAALARFIRKFGTHVVVGIKMGGKDIIYLKQQHSSTLQAVDVQKRLKEMSDRRFLDANGQSDFSFKDSYGKDKIDTREHRLRFVDSSPLNSYSSKEDLVMMPKRRGGRDKDILSHSEWLNTVQAEPDVISMSFIPITSLLNGVPGCGFLNHAINLYLRYKPQIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSTVSLPVNLIGPKLYVCTNMVDVGKRPVTGIRLFLEGKRSNKLAIHLQHLCSLPQILQLEDDPYNDQTPEAYDRKYYEPIGSWKRFSHVCTAPVESDDSSIVTGAQLEVVSHGFKKILFLRLHFSKVCNATSVRNPEWEGSPNLAQKSGLISTLISTHFSTAAQKPAPRPADVNINSAVYPGGPPVPVQTPKLLKFVDPTEMMRGPQDLPGYWVVSGAKLQLERGKISLRVKYSLLTAMLPDDDEFAFDEEF >ONIVA07G02840.1 pep chromosome:AWHD00000000:7:2303678:2306913:1 gene:ONIVA07G02840 transcript:ONIVA07G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFQEIIISLFEECIMLDRALEEMQKKESKIVDKLSFKEQMACVLLKVGRFEEAEKTYRSMLFMNPDNYKCFIAIQKCLGLYSENGQYSTDDVDRLCTFYSSLKKEYGWSSVVKVNYLKLFLFFLCSFMLNCWVLRAHNSILIYVVFIRSILQH >ONIVA07G02830.1 pep chromosome:AWHD00000000:7:2301928:2303489:-1 gene:ONIVA07G02830 transcript:ONIVA07G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFASRNFNTLPTTGLSSVHHTNNRVINTYACVRGANTNALFSTTAKVDRLAATSDFTPRRPILDKDDGIV >ONIVA07G02820.1 pep chromosome:AWHD00000000:7:2296623:2297713:1 gene:ONIVA07G02820 transcript:ONIVA07G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVLLLAIVSAVALLPAMVSATDYTVGDGHGWTLEYPSTNWADGKSFQIGDKLVFTYTKGKHTVTEVDGAAFHACNRQGNTLMTWNSGNDTVALDKAGKRWFFCNVDNHCELGMKLVVDVADPNAPAPASPPPPSSSSSAGRLNYRVRGGAVAGAVAAAALVWF >ONIVA07G02810.1 pep chromosome:AWHD00000000:7:2293893:2295134:1 gene:ONIVA07G02810 transcript:ONIVA07G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGHPPEFIIHVIEDLAPPPPPPPARAAAPPRILPAAAAFQPRLRPSSEANKTIRTVLFIFKVYHIYTVESSASPLIALASSTTIYSTVHPNPGQSIADQRLDVQVMCGFILAVGVLWLLVSYFSLIIHDEEQGLDPLFVD >ONIVA07G02800.1 pep chromosome:AWHD00000000:7:2285459:2291223:-1 gene:ONIVA07G02800 transcript:ONIVA07G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCSSVDESAAAIATLAESAAAGARETVADEFARAAGSGAGGRGDVAGSAAAGVASGAGGRDDAAGDEVVIAGVLSLADGQKAAAAGAISGVESMQSYMRGTRFFCFGERVCSDQMAALKMAATCGSAAGMRAVAMVAARARHAARIAANPDVENPLHDIPEAKYAPFLAPFIGGALPGSCAAYHLVKHSPEWVMDVVFGSISLGFFAACTGTVSGLLGTSSATFQYSRFAAITTFTAVWFLFSFAMTSYDFRVFKNMAQDSLWIYMWCAWGNFRGGLAIFRVVRTSCNNRFICGDYSLP >ONIVA07G02790.1 pep chromosome:AWHD00000000:7:2280778:2283820:1 gene:ONIVA07G02790 transcript:ONIVA07G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPMPVSHSPRGGVVIRGGAFSWGHMKTECMLILIWVMFDSALTVKIILGMLLTVMGMVLFYFFLL >ONIVA07G02790.2 pep chromosome:AWHD00000000:7:2280778:2283820:1 gene:ONIVA07G02790 transcript:ONIVA07G02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPMPVSHSPRGGVVIRGGAFSWGHMKTECMLILIWVMFDSALTVKIILGMLLTLFYFFLL >ONIVA07G02780.1 pep chromosome:AWHD00000000:7:2273300:2275161:1 gene:ONIVA07G02780 transcript:ONIVA07G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNAESVHNPPEIIIHVVDDLAPPPPNAIAVPPRILPPATAFRRRPPPPSEAVRAARGILFIFKCKYGQMAYSILKNGVSCFAAIAMASSVTIYFMVHPIKGDSIADERSGVRLMCGFILAVAVIWLLLSYFSCDDKCVILDDEEQQAGNPVAETRGHVQWPGGQPFYVFT >ONIVA07G02760.1 pep chromosome:AWHD00000000:7:2249501:2252173:1 gene:ONIVA07G02760 transcript:ONIVA07G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDHPPEFIIHVVDDLAPPPPPPPPRAQPALVPPRILPLPPPAFHGAAVQRRRPRPPPRDEATNNRTLLFVFQVCMFTMMVINLSIAIYLTVHPSPEESIADERSGVRMTCVFIISAVHGVVERAVEKCRFERRDEAVPDAAARAELGRGPGARNGWERAIDEDELLDALDGEDLERAHEQALVLVHEAGGIGVGHADGKVFGVDKDERGGAPNLAPAGGERGSACGVLDGEAGDDPAESTSGRRLRRSTPSSGKSAERRAGDRHIGDGEVDVGEDGADGLVHILRDLAALPELGHGPLLHLPAPVAVVVVVAAAANVGGERGCTYPPEPPTRRTRPAPRPRIAALPPGPFRKKEREGYILGPCWSHLSQRDNLVFNHCFSFLFL >ONIVA07G02750.1 pep chromosome:AWHD00000000:7:2236113:2241381:-1 gene:ONIVA07G02750 transcript:ONIVA07G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein / regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G03790) TAIR;Acc:AT3G03790] MEASISPPGSSKQAGLRRPSPGNSLKDLCLVSKQGSIAEVESALALLKKSGGSIDGRNVFGLCALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCVASVLLQFGASLALEDTKGCTPVDLLSGPVSQANGDSPDSVAMEVFSWGSGTNYQLGTGNAHIQKLPCKVDALHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATEAGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKARIISVAAANKHSAAVADTGEVLTWGSNKEGQLGYGTSNSASNCIPRMVEYLKGKAFKCVSAAKYHTVALGTDGEVFTWGHRLVTPRRAVISRCLKKGGNTNLKFHRMERLQVISVAAGVMHTTVLTADGAIFYWVSSDPDLRCRQIFSMCGRNVVNISAGKYWTALATAGGDVFMWDAKKHKDDLPMFTRVHGVKRATSVCVGETHMLVLSSIYHPEYPPKPKIQCKKAMLEWNGGMEELDEDIMFNDVQPDSGISGSDGVIKKGAPSLKSLCEKVAIEHILEPKNSIQLLEVAESLEAKELKKHCEDIAIRNLDYIFTVAAPSVMNASPETLANLERLLDEKSSEPWSHRRLPTVTATYPAVIDSDEEGDEAGGFLRLRDSQKSASKSYGISSYGNFLEKDSNAGQAASKQIRALRKKLQQIEMLEAKQLDGHQLDNQQLAKLESRAALEGELAELGIPTDLRTPVCVTEEKTNKKSSVSKKQKRKNKQAAHSDTPLVKREDRDQIYVKDLQEVLPVHISAEKEASVADSIKPSEHVTFINTKAISCPLENKASQPTSSKKKNRKGGLSLFLSGALDDTPKPSPPTPVVTVTPKHEGPAWGGAKVTKGSASLRDIQREQRKTNEPITAKAKDRFEDSPDSAGRMRLSSFIPDARSTPITVTPARVVPASEGDKSTLSWSSSATSPNVSRPSLRDIQMQQEKRQTGISHSPKTRTSGFAIPSQGTSPEVGGIKDNVPNRWFKPEADAPSSIRSIQIEEQAMKDFKRFYSNVRIVKPQVQ >ONIVA07G02740.1 pep chromosome:AWHD00000000:7:2229587:2232700:-1 gene:ONIVA07G02740 transcript:ONIVA07G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G17980) TAIR;Acc:AT5G17980] MAAAETVRKLVVEVVEARNLLPKDGTGTSSPYARVDFDGQRRKTHTVPRELNPAWNEALEFNFAGVAGDVVVGGEPLEVAVLHDVRVGPSRRSNFLGRVRLDARQFVRKGEEALIYFPLEKKGFFNWVRGEIGLRVYYLDEPVAPPPPPPEPPAADPAPAEAAPDAPPADADAAPEAPEKAEEAPPAASGGDDGATEKPPETDAAAAAATSAPEEEAPVMASEAVAASAEAAPEEEQILTPPPPPTPTPTPMPRQVPVPARPPPPPPEAPVERSKHDLVDKMPYLFVRVVRARGLPAGAHPHVRVAAGGRHASTREARRGAFFEWDQTFAFVRDPGATDSPGPTLEVSVWDLPPDADVSDADDRHFLGGLCFDTADVHARDPPDGPLATQWYRLEGGRRLAGADLMVATWAGTQADEAFADAWKADSPASSVAAAAASRAKVYVSPKLWLLRLTIIEAQDTLTAPPPRDAGIAVRGTLGFQSLKTRTAPVARNGGPSWNEDLLFVAAEPHADGDDCLVISLEVRHGKDAFPVGSASISLATIERRVDDRKVASKWIDLLPSDEAMKKVGKKAAMHMHGGRLHVRVCLDGGYHVADEQPYASSDFRPSARQLWRPPIGVVELGIVGCKGLLPMRTADGKGCTDAYAVAKYGPKWARTRTISDSFDPAWNEQYTWPVYDPCTVLTVGVFDDPPPPSPSQLPDGAKDAAAFSRPMGKVRIRLSTLESGRVYRGVYPLIMMLPTGAKRMGDVELAIRFAASASALDVLHMYGRPALPPMHHLRPIPAASRDALRLSAARISAAHLARSEPPLRREAATWMLDAAEPRGFSMRKLRANWTRAVAALSWVSDAARWAEDTRSWRNPTATALAHAVLVLLAWHPDLVVPTLTLHVAAVGVWKYRRRPRAPAPHPCVRASMAEAADREELDEEFDAIPSSRPPEVVRARYDRARMVGARLQAMVGDVATQAERLQALVSWRDPRATGVFVALCVFVAMALYVVPIKVVAVVAGFYYLRHPMFRDRMPAPAINFFRRLPSMSERIM >ONIVA07G02730.1 pep chromosome:AWHD00000000:7:2224551:2230279:1 gene:ONIVA07G02730 transcript:ONIVA07G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G52520) TAIR;Acc:AT5G52520] MASLLRLPSLLKPSAAAARPSALLRRRCRAGTAASVSASRSQAAAATTGAAAPAPPETRGGGDREGQVTPRSVDFNAWYTDVIAAAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEQAAIPVIPGRKSRVETFAGANRTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFMDENSQIEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPNIAPIQVVIVPIWKKGDEKSAVMEAVSSVQNTLKEAGIRVKVDDSELRTPGWKFNFYEMKGVPIRLEIGPRDVTNKSVVISRRDIPGKQGKEFGVSMDPSILVDHIKGRLVEIQASLLQKAIAFRDSNIVDVSSYGELKEAIAEGKWARGPWSASDADELKVKEETSATIRCFPFEQPEGAKKCFMTGNPAEEVAIFAKSY >ONIVA07G02720.1 pep chromosome:AWHD00000000:7:2215598:2222274:-1 gene:ONIVA07G02720 transcript:ONIVA07G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPPREEVVVFAVNSERFELRRDGGDPGESLLEFLRSRTRFTGAKLGCGEGKATPQPTALPPPRLLCFRGCGACVVVVSAYDAEADEVAHAAVSSCLTLARGLHHRAVTTTEGLGSSRRGLHALHERLAGFHASQCGFCTPGVCMSLAGALAAAEGNGKKAASVAEGFSRLTAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNCFWNKGDATASVSKLPPYKERSIAAFPEFLKDEIRSSLGIDHSISSASMVGSVSSWYQPKNVEEYYKLIGSLSSSSDKSRTKVVVGNTSSGVYRDAELYDRYIDLRAIPELNSVSKDVKGVGIGAAMSISQVIEILRGEGNSYKDVVFCKIADHMEKVASQFVRNMASLGGNLIMAQRDEFASDIATVLLAAGSSVCIQVSSERMNVTLERFLDMAPCDCKTLLLRIYIPHCTPSGISSSSESVNKTGDKPASSVLFETYRASPRPIGNAVSYLNSAFLAKLSSDETSGNCILEKLCLAFGAYGTQHAVRATNVESLLVGKPITASLLLEACTVLKKTIVPGEGTRHAAYRSSLAVAFLFSFLYPITKGTFKPVEAVHLNGHIISDNNGNMNRGPDTHVDVSPKEINNVKSDLHGNDRILESSKQVIEISEDYLPVGLPAKKVGAELQASGEAIYVDDIPSPKDCLHGAFVYSTKPLAHVKSIELNPSLEQLKTVAIVTAKDIPKGGSNVGANTIFGPEPLFGDPLTQWAGEPLGIVVAETQKTANIAASRALVDYSMENLDAPILSIEEAVRRSSYFEILPFLLPQKIGDFSKGMEEADQKIYSTEVNLHSQYYFYMETQTALAIPEEDNCMVVYSSSQCPEVAQETIAKCLGLPCHNVRVITRRVGGGFGGKAVRSLPVATACALSAFKLQRPVRIYLDRKTDMIMTGGRHPMKIRYSVGFKSDGNITALHIELLVNAGITQDVSPVIPHNFIEALKKYNWGAFSYDARICKTNIATRSAMRGPGEVQGSYVAEAIIEHVAAVLSTDVNLVRQRNLHTVESLSLYHSECMEDALGYTLPSICNQLITSANYQHQLEMIRSFNKSNRWKKRGLSVVPIVHKFASRPTPGKVSILNDGSVAVEVGGIELGQGLWTKVKQMAAFGLGQLWTDRKQELLERVRIIQADTLSVIQGGWTTGSTTSESSCEAVHRACNILVDRLKPLKEQLQEKQGTVSWDELISQAKMVGVDLSAKELYVPGASGSYLNYGAAASEVEIDLLTGATTILRSDLIYDCGRSLNPAVDLGQVEGAFVQGIGYFMNEEYVTNSDGLLVSDGTWTYKIPTVDTIPKQFNVKLLNSGFHKKRVLSSKASGEPPLLLAASVHCATREAIRAAREEYHCSRSGSSPPFFDLEVPAIMPTVKELCGLDNVEKYLESICSK >ONIVA07G02710.1 pep chromosome:AWHD00000000:7:2212554:2216767:1 gene:ONIVA07G02710 transcript:ONIVA07G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLDGRTARRDGSVEEVDMRGGGAETTAHIFILPPLNPYKQFPRLQASQPARLARLTHSASHVSAVSHAARTSPSTRLSSLLFLLHFTSSSFFSKQSHLASPRLAPAALKSPISPTRIHSSTPTSCSSHRHPLEPRRRVIRRPRRPPRSPPTAAVTRQAQVIFQMGKDKQCETVDAVGMVPMEEEKKSKEEIHLKIKSKDKSSGDEDEKKEIEIEVKAKIVDKEEVKLDSDDGAKSAVKSKDSKKDKENKKSDKKDDEHDDEDEEGKKKEKEMKEKKKDKSDKKEEGKKKKDGDEEEGKKKEKKKDKDGDEKEGKKEKKKDKDGDEEEEGKKKEKKKKDKGDKEKTNDPAKLKAKLEKIDTKIQDLQAKKEDILRQLKEQLKEELEGGKSKNAIEEKPAQTLEKGIEHNKPIEEKPAETVEGSRECKNNEKEETHVAAA >ONIVA07G02690.1 pep chromosome:AWHD00000000:7:2197468:2208236:1 gene:ONIVA07G02690 transcript:ONIVA07G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEARPAPPDPNDARQRFLLELEFIQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIMYPHCLFFLELLQNANFRNAMAHPASKEVAHRQQYFFWKNYRNNRLKHILPRPPPEPTPTPAPAPAAVPPSASVPSTVVPPVAAPPSALLPMSAAGASAMSPMQFAGTPGTNIPKNDMRNVMGGQGGRKRKLDVAGTSPVVSKEGVGFSNDELQSSGHSNAID >ONIVA07G02680.1 pep chromosome:AWHD00000000:7:2191613:2195021:1 gene:ONIVA07G02680 transcript:ONIVA07G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALG6, ALG8 glycosyltransferase family [Source:Projected from Arabidopsis thaliana (AT5G38460) TAIR;Acc:AT5G38460] MAKTKKPRSSAPDPPAHLPWHHPPAPPVSTALLISLAALLLRVLVSVGPYSGQGVAPKFGDYEAQRHWMELTLHLPSSDWYRNTSANDLAYWGLDYPPLSAYQSRLHGLLLNASLPDAVALRSSRGFESPESKLLMRWTVLSSDLMVFFPAALWFVWVYFKCGVGGTGEERMAGWTWLLASCLINPCLVLIDHGHFQYNCISLGLTLGAIAGVLSGNELVAAALFSLSINHKQMSLYFAPAFFGHLLGKCIKRKYPIVEVMKLGFVVLGTFAFVWWPFLHSYEAAMQVISRLAPFERGIYEDYVANFWCSTSVLIKWKRLFAIKPLKLMSLFATILAFLPSLVQQIRSPSNLGFLYSLLNSSISFYLFSYQVHEKSILLPLLPASLLALQEPHLYGWLMYFGLFSMYPLICRDHLLLQYIAVLGLFVLIYYSPGGSSKKGMSIPSGAKAVLSLALLCSLLLQVLYLQIEPPKRYPFLFDALMMFICFSQFVILTLYTNYKQWMLNSHSRSVGRKKDL >ONIVA07G02670.1 pep chromosome:AWHD00000000:7:2184714:2188365:-1 gene:ONIVA07G02670 transcript:ONIVA07G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFELPRGGSSRDGDIEMGMQADPSDNLKGFLKKVDAIESLIAKLTNLLHKLQTANEESKAVTKARDMKAIKQRMEKDIDEVGKIARMAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQVLREAIRQEYRDVVERRVFTVTGSRPDEETVDNLIETGRSEQIFQEAIQQQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFMDMAVLVDAQGDMINNIETHVSNATNHIQQGVSALQNAKKLQKNSRKWMCYAIILLLIIVVIIVVAVIQPWKKGA >ONIVA07G02660.1 pep chromosome:AWHD00000000:7:2183065:2185098:1 gene:ONIVA07G02660 transcript:ONIVA07G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMIGPAPSPAAAAAAAVSPSCYASPAASSVRRRGVVGVVRCAPDSGRGGDGGGGGGKGKLRVGSPIVIVEAPVMLKTAASVPSLRHNAGQVKAGDVGRVMARKPKDVWAVRLAIGTYLLDGKYFKTLDVDDDDTASPDE >ONIVA07G02650.1 pep chromosome:AWHD00000000:7:2174506:2183887:-1 gene:ONIVA07G02650 transcript:ONIVA07G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 15 [Source:Projected from Arabidopsis thaliana (AT3G18520) TAIR;Acc:AT3G18520] MEGASRDGPTRAEHEAHEPLFTVIPWPRRAPPKIQSREASAAAAGIALQIVLHALMASDMRSLNSQKGQSCGVSDQACHSKSKSGNDGKPSHAKANGVSSLSGSHNDEKILKENSGACNLNSDHANPLSVDGTKVSTARSELIDSSGHDGCLHVKNESCMACDDLLQESDKEQPGGTLEDLFSFNDEEDDDSDWEPSARLALSRWFCLNCTVPNMEGFTHCQNCDELKGSVVVGYDAFKAHLAQAALLSADAVLPSVSTAVGFDERMLLHSEIEIKPNPHPERPDRLRAIAASLAAAGIFPSKCVMVPPREITKEELLRVHTSDHIDSVEQTKNMLYSYFTSDTYANGHSACAAKLAAGICADLANLIVSGRVRNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAQRAGAKKVLIVDWDVHHGNGTQEIFDGDNSVLYISLHRHEDGSFYPGTGAANEVGVMDGQGFSVNIPWSRGGVGDNDYIFAFKHVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPAGYSRMVSMLTACSQGKLLVILEGGYNLRSISSSATEVVKVLLGDSPVYDIDATEPSEEGIQTVLQVLSIQQQFWPVLVPSFASVLALQRSVFSRYSTEVNKMKRKHAGGAGPFWWKWGSKRLLYEVLFEGRCLRKTKDTGKEKLNDEAEP >ONIVA07G02650.2 pep chromosome:AWHD00000000:7:2174506:2184308:-1 gene:ONIVA07G02650 transcript:ONIVA07G02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 15 [Source:Projected from Arabidopsis thaliana (AT3G18520) TAIR;Acc:AT3G18520] MASRTAQTSFGFRAITLLDASRDGPTRAEHEAHEPLFTVIPWPRRAPPKIQSREASAAAAGIALQIVLHALMASDMRSLNSQKGQSCGVSDQACHSKSKSGNDGKPSHAKANGVSSLSGSHNDEKILKENSGACNLNSDHANPLSVDGTKVSTARSELIDSSGHDGCLHVKNESCMACDDLLQESDKEQPGGTLEDLFSFNDEEDDDSDWEPSARLALSRWFCLNCTVPNMEGFTHCQNCDELKGSVVVGYDAFKAHLAQAALLSADAVLPSVSTAVGFDERMLLHSEIEIKPNPHPERPDRLRAIAASLAAAGIFPSKCVMVPPREITKEELLRVHTSDHIDSVEQTKNMLYSYFTSDTYANGHSACAAKLAAGICADLANLIVSGRVRNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAQRAGAKKVLIVDWDVHHGNGTQEIFDGDNSVLYISLHRHEDGSFYPGTGAANEVGVMDGQGFSVNIPWSRGGVGDNDYIFAFKHVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPAGYSRMVSMLTACSQGKLLVILEGGYNLRSISSSATEVVKVLLGDSPVYDIDATEPSEEGIQTVLQVLSTEVNKMKRKHAGGAGPFWWKWGSKRLLYEVLFEGRCLRKTKDTGKEKLNDEAEP >ONIVA07G02640.1 pep chromosome:AWHD00000000:7:2166713:2171574:-1 gene:ONIVA07G02640 transcript:ONIVA07G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLRGGGSKRGMPAAPTVTPKAVIHQKYGAKACYSVEEVREAVDGGCPGLALPQQTRSVYRCSLDIPGLTVVTPGTFVRKKDAEQAAAQIALDKLGIQPTANAPSTPEEAWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPMSAIAACDVKVIGLCKLIDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNFWIWSQKPYSPEAVDLALQHWSGITDPIEVDGIFVPCMMEDEPKTIRLTLSHNEHYMGDIVSKLSASDSSHAVVSRTVGKASSEIRLYFSAPNVQFVSEISHNVVSSLGDGYMESLINKRASFISGQTIYGDAILANVGYTRRDSELHTEDVTLSNYYRILLGKSPDGNYKISRDSILVAELPSVYSRSSWKGLSPRDLLCSFCRLHRLAEPYFAVNRCASDGKNDKENPDMFKCDVKIYSKKQELLLEYSTADTWSKESDAIHNSSLKVLIWFCSYFKQPNKHVLKLSHSKSTDGFTICPDNFLHEFAMFLSIYGNRGGDDSSACSTVGSLSMDTSKQKLENNAVLAHIDGPDSGVFPSHGSLTCISYTASLVVKDKTNRYTLESNNEFEFEIGTGAVKNQIESCVSQLSVNQSACFIAELPPKDLILAAAKEFSHDLSKISRDNCFLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRYINELHATTLVDFGCGSGSLLDSLLEHPTTLEKVVGVDISRKGLTRAAKSLHQKLSKKSLMQTSVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEDQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEEEPEENAGPCKFRNHDHKFEWTRSQFQHWATGLAEKHNYSVEFSGVGGSGDEPGFASQIAVFRRMASGQDEVCQEGELHQPYELLWEWPNASLPSH >ONIVA07G02640.2 pep chromosome:AWHD00000000:7:2166713:2171574:-1 gene:ONIVA07G02640 transcript:ONIVA07G02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLRGGGSKRGMPAAPTVTPKAVIHQKYGAKACYSVEEVREAVDGGCPGLALPQQTRSVYRCSLDIPGLTVVTPGTFVRKKDAEQAAAQIALDKLGIQPTANAPSTPEEAWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPMSAIAACDVKVIGLCKLIDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNFWIWSQKPYSPEAVDLALQHWSGITDPIEVDGIFVPCMMEDEPKTIRLTLSHNEHYMGDIVSKLSASDSSHAVVSRTVGKASSEIRLYFSAPNVQFVSEISHNVVSSLGDGYMESLINKRASFISGQTIYGDAILANVGYTRRDSELHTEDVTLSNYYRILLGKSPDGNYKISRDSILVAELPSVYSRSSWKGLSPRDLLCSFCRLHRLAEPYFAVNRVSASCKVLGSPVSSEEMDVLKNAENQCASDGKNDKENPDMFKCDVKIYSKKQELLLEYSTADTWSKESDAIHNSSLKVLIWFCSYFKQPNKHVLKLSHSKSTDGFTICPDNFLHEFAMFLSIYGNRGGDDSSACSTVGSLSMDTSKQKLENNAVLAHIDGPDSGVFPSHGSLTCISYTASLVVKDKTNRYTLESNNEFEFEIGTGAVKNQIESCVSQLSVNQSACFIAELPPKDLILAAAKEFSHDLSKISRDNCFLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRYINELHATTLVDFGCGSGSLLDSLLEHPTTLEKVVGVDISRKGLTRAAKSLHQKLSKKSLMQTSVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEDQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEEEPEENAGPCKFRNHDHKFEWTRSQFQHWATGLAEKHNYSVEFSGVGGSGDEPGFASQIAVFRRMASGQDEVCQEGELHQPYELLWEWPNASLPSH >ONIVA07G02630.1 pep chromosome:AWHD00000000:7:2163308:2165495:-1 gene:ONIVA07G02630 transcript:ONIVA07G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGAPPPAAAAAAAAVWRAPTFEARRAADARELLAALLPPSPTVRQVQQAHARLAVLGLAASRAMPHLLAVLPRLLPDKPRHRGDDGGGDGDHYAYPLALFRRANSTSAFASNNLLRVLPHPLPLTLFSRFRRRNPHSFTFLLASISNHLNAAGPSASACSFLGSHVHALAVKAGAAGDLFVRNALVHFYGVSGDVGAMRRVFDELPRVRDVLTWNEVLAGYVRTGMMTVAREVFDEMPVRDEISWSTLVGGYVKEEELEVALGVFRNMVEQGVRPNQAAVVTALSAAARLGLLEHGKFVHNVVQRSGMPVCMNVGAALVDMYAKCGCVAVAREVFDGMRRRDVFAWNAMICGLAAHGLGRDAVELFERFISEGLSPTNVTFVGVLNGCSRSGLVAEGRRYFKLIVEKYRIEPEMEHYGCMVDLLGRAGLVPEAIELIEGMHIAPDPVLWGTILSSCKTHGLVDLGVSVGNKLIELDPTHSGYYVLLSGIYAKANKWDEVREVRKLMSSRGTSKSAGWSLMEAHGKVHKFLVGDTYHKDSVQIYDTLDMINKRLTEAGYVPDVSSVLHDIGEEEKVHAVKVHSERLAIAYGFIVLEAGSPIRIVKNLRVCGDCHEFIAGNADELTGVKQVQRRIREAGCEVLRVDHAGGMEEGHAKHGRADWG >ONIVA07G02620.1 pep chromosome:AWHD00000000:7:2148754:2161689:1 gene:ONIVA07G02620 transcript:ONIVA07G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRGGRGFMACDHKRQFLKGGVGGPHITAGDRDSSPRLPRRRVRAAMTTSPPPPPPAEQQQQQEEEEVLVPRQELPNGTQPMEVVPSEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEYLSMYLDVADSAVLPYGWTRYAQFSLSVVNQMHNKFTIRKETQHQFSARESDWGFTSFMPLGDLYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPIQLDLDRDDGKYLSPDADRNVRNLYTLHRFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTTQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEETHTVGQLKEAANKAHNAELKLFLEVELGLDLKPLPLPDKTREDILLFFKLYDPEKEQLRYVGRLFVKASGKPQDILPKLRKMAGFSQDEEIELYELEDGDIVCFQKSPKPDTADQYRYPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKAFTYDEVVEKVAQKLGVDDPTKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHGTKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKIFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVASRFQRNMYGAWEQYLGLEHPDTAPRKTHNANQNRHSFERPVKIYN >ONIVA07G02620.2 pep chromosome:AWHD00000000:7:2148754:2161689:1 gene:ONIVA07G02620 transcript:ONIVA07G02620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRGGRGFMACDHKRQFLKGGVGGPHITAGDRDSSPRLPRRRVRAAMTTSPPPPPPAEQQQQQEEEEVLVPRQELPNGTQPMEVVPSEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEYLSMYLDVADSAVLPYGWTRYAQFSLSVVNQMHNKFTIRKETQHQFSARESDWGFTSFMPLGDLYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQVDSACQAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKKGILLWQVIVLSHHGHYVQTCPFDLIGVHSNADLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPIQLDLDRDDGKYLSPDADRNVRNLYTLHRFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTTQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEETHTVGQLKEAANKAHNAELKLFLEVELGLDLKPLPLPDKTREDILLFFKLYDPEKEQLRYVGRLFVKASGKPQDILPKLRKMAGFSQDEEIELYELEDGDIVCFQKSPKPDTADQYRYPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKAFTYDEVVEKVAQKLGVDDPTKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHGTKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKIFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVASRFQRNMYGAWEQYLGLEHPDTAPRKTHNANQNRHSFERPVKIYN >ONIVA07G02610.1 pep chromosome:AWHD00000000:7:2125047:2139151:1 gene:ONIVA07G02610 transcript:ONIVA07G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding;valine-tRNA ligases;aminoacyl-tRNA ligases;nucleotide binding;ATP binding;aminoacyl-tRNA ligases [Source:Projected from Arabidopsis thaliana (AT5G16715) TAIR;Acc:AT5G16715] MALAGASSSACLRRLNPLLFSAHRRPAWTPRRAARRFCAAAVASERDVFTSPEVAKSFDFTNEERIYKWWESQGFFKPNFDRGGDPFIIPMPPPNVTGSLHMGHAMFVTLEDIMVRYFRMKGRPALWLPGTDHAGIATQLVVEKMLAAEGIKRTDLTREEFTKRVWEWKEKYGSTITNQIKRLGASCDWSRERFTLDEQLSRAVIEAFVRLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGNLYFIKYRVAGGSRDDFMTIATTRPETLFGDVAIAVNPEDERYAKYVGKLAIVPLTFGRHVPIIADRYVDPEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEAREKLWSDLVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMDPLAEKALHAVEKGQLTILPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVARSAEEALAKAQEKYGKSVEIYQDPDVLDTWFSRSINTFRHKSLQLSCSALWPFSTLGWPDLSSEDFKHFYPATVLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSEQVNFQGRKMSKTLGNVIDPLDTIKEYGTDALRFTLSMGTAGQDLNLSTERLTSNKAFTNKLWNAGKFLLQNLPDRSDATAWDVLLANKFDTEASLQKLPLPESWVVTGLHELIDRVSTSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSGDDSASSMAQSVLLYVFENILKLLHPFMPFVTEELWQALPYRKQAIIVAHWPATDLPKNSLSIKRFQNLQSLIRGIRNVRAEYSVEPAKRISASVVAAADVLDYISKEKQVLALLSKLDVQSTHFSELPPGDANQSVHIVADEGLEAYLPLADMVDVYEEVKRLSKRLSKMQSEYDSLLARLNSGSFVEKAPEEIVRGVREKASEAEEKISLTKNRLAFLQSTVSS >ONIVA07G02600.1 pep chromosome:AWHD00000000:7:2123348:2123999:-1 gene:ONIVA07G02600 transcript:ONIVA07G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFVFPKLASHLSSKSTRSLFPRTAEASRNFNTFPSAHPKLKINCPTTRLPSVDHTNHLIKPLGCARDANTTALYSTTVKDRLTPIVRE >ONIVA07G02590.1 pep chromosome:AWHD00000000:7:2110294:2112949:-1 gene:ONIVA07G02590 transcript:ONIVA07G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKRIASKEDQHGQMMKRKKWRLQLSNLPEDILCTIVSKLPLREAARTSILSSQWNRTWCSHTNLNLSYRSIMSRRYIERDIRPEGRKLNAEEFIRRVDAILQQHNGGGVEKIEVIGLLENENAYHINGWVNFAIKSKTKQLVLDFRSFHWPIDEPYNFAFQIFDAANMENLQSLKLGSISLKPPADFKGFQNLKRLKLLDVGITDEDLQLLLSNCNCLEFLGIYCCKLITSLRTTHLSTQLKHLYVYECPCLKEIELNSGLTTLEYIGPLIPLAPPGIYVLTNLRIKSWDISDSLQYIFTELPSTLPRLEMLTLQCRELEVADTSLLYIPNLLVDFHLTEDYFARQTNQIYLSKTSEIGIASK >ONIVA07G02580.1 pep chromosome:AWHD00000000:7:2101503:2107972:-1 gene:ONIVA07G02580 transcript:ONIVA07G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked oxidases family protein [Source:Projected from Arabidopsis thaliana (AT5G06580) TAIR;Acc:AT5G06580] MATAAAALLRLSRSRRPLLPLSSLRLPPPAPYHHHSHSQTPPSSSSSSSHARLPAFLSFLAAAAAAAAGGTTESTELVVRGERKRVPNEFIDELASFLGENLTVDYEERHYHGTPQNSFHKAVNVPDVVVFPRSQDEVRKIVMACNKYKVPIVPYGGATSIEGHTLAPHGGVCINMSLMKKIKSLHVEDMDVVVEPGVGWIELNEAWGHYWRNVCYSLFWFTSCEAVLPNGDVVKTGSRARKSAAGYDLARLIIGSEGTLGVITEVTVRLQKLPSHSVVAMCNFQTIKDAADVAIATMLSGIQVSRVELLDEVQIRAINMANGKNLPEVPTLMFEFIGTEAYALEQTLLVQKIATEHHGSDFVFVEEPDAKEELWKIRKEALWAGFAMKPDHEAMITDVCVPLSRLAECISVSKEKLDASPLTCLVIAHAGDGNFHTIILFDPSQEDQRREAERLNHFMYLEKELGIESLRTMKRIKAALDPNNIMNPGKLIPPHVCI >ONIVA07G02570.1 pep chromosome:AWHD00000000:7:2098391:2099383:-1 gene:ONIVA07G02570 transcript:ONIVA07G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERVVVDECRGVLFVYSDGAVERRAAPGFATPVRDDGSVEWKDAVFDAARGLGVRLYRPRERGGGRLPVFFYYHGGGFCIGSRTWPNCQNYCLRLAAELGAVVVAPDYRLAPEHRLPAAFEDAENALLWLASQARPGGDTWVAEAADFGRVFVSGDSAGGTIAHHLAVRFGSASGRAELAPARVAGYVQLMPFFGGVERTPSEAACPDDAFLNRDLNDRYWRLSLPAGGATADHPFSNPFGPASPDLAAAEFAPTLVVVGGRDLLRDRALDYAARLAAMGKPVEALEFEGQQHGFFTIDPWSAASGDLMHAVKLFVDTDGGGGARLDG >ONIVA07G02560.1 pep chromosome:AWHD00000000:7:2089556:2090599:-1 gene:ONIVA07G02560 transcript:ONIVA07G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMPAVVSAAGAAAPCSNVVEDLVGFLRVLSDGTILRSPGPVFCPSTFPGEHPSVEWKEAVYDKPKNLHVRMYKPSPASGGVGAGGGGKLPVLVYFHGGGFCLGSCTWANVHSFCLRLAADAGAVVLSAGYRLAPEHRLPAAVDDAAGFLHWLRERAVDGDGWWLAEAADFGRVFVTGDSAGGTIAHHLAVRAGSAAAAAPDDPVAIRGYVLLMPFFGGVSRTPSEAGCPAEVFLNLDLFDRFWRLSLPPGATRDHPMANPFGPDSPAMDGVELPPVLVVAGGLDMLRDRAVDYAERLSAMGKPVELAEFAGEHHGFFTLGPGSDAAGELIAAVARFVDVAAPPPK >ONIVA07G02550.1 pep chromosome:AWHD00000000:7:2083337:2089490:-1 gene:ONIVA07G02550 transcript:ONIVA07G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRDGIKAGMWPRHYMVVRDNKTVHASNGADSTSPYTHKTHRYPLSIHFDLFIMNNKLKGNLAREEDDVA >ONIVA07G02540.1 pep chromosome:AWHD00000000:7:2077580:2077917:1 gene:ONIVA07G02540 transcript:ONIVA07G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETNIVLSAMHKRQSNIFSLCHVAQFVWRCVFFAFNIPHPVTPKTFSVIFMSFYWLHFWSKMLPQE >ONIVA07G02530.1 pep chromosome:AWHD00000000:7:2075909:2076940:1 gene:ONIVA07G02530 transcript:ONIVA07G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVTFKRRALVAGGDDCQSWSYDLKGRIFFLCWCRTCWSALCADGGCLGSPDGAASYSGGGGLGSLGAGALCGGSGLEISGDVAPSDNLGSPSVAALCGAVASCDSLGALRTTAPCAGDTLSCCFSATVKTLCGCGILFLQSEGYFFVGSLLLFICCGPLQFYNPAAILGRLQRQKFYRNHLISHVMWTTCSTESCFLLLQNNSIHVGSIIRVEQSLLLRSNERLHGTNLLSPVIPTPKSTAQQQTSDLCRFRGDSCSSLPVCQAVCMSMEAKGFNRRGFAAELCRSDSLLLFCFIRKFASLEWLLSTLLEMVSWLKLYQCAMYWGISPKFCLVE >ONIVA07G02520.1 pep chromosome:AWHD00000000:7:2070938:2083087:-1 gene:ONIVA07G02520 transcript:ONIVA07G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPAPPHVVEDCLGIVQLLSDGTVTRSGDYSSISLMRDVPIDLPVQWKDVVYDAGRGLRLRMYAPANHGGEEGKLPVLVYFHGGGFCIASFELPNFHAGALRLAGELPAVVLSADYRLAPEHRLPAAYEDAVAVFSWLRGQAAAAAADPWLAASADFERVFVCGDSCGGNIAHHLTVGCGSGDIALDAARLSGCVMLWPYFGGEERMPSEAPPPPPEGDASPSAMAITLFDQMWRLALPAGATRDHPAANPFGPESPPLDGVAFPPVLIVDPELDVLSDRVADYAARLEAMGKRVELVKFEGQGHGFFVLDPMSEASGELVRVVRRFPSKRSRAQPAMASLSDPNAPPPHVVEDCRGALQLLSDGTVVRAAAAPPPFHVRLDINDGRVEWKDAVYDATHGLGVRMYRPAATEGAEEKLPVVVYFHGGGFCIGSCTWPNFHAGCLRLAAELPAVVLSFDYRLAPEHRLPAAHEDAAAALIWLRDQLLSDPWLADAADARKVFVSGESAGGNFAHHLAVRFGAAGLDLVRVAGYVLLMPAFISERPTPSELAAPATAFLTRDMCDRYCRLALPAGADKDHPLVNPFGPASRSLEAADVGRVLVVAADGDLLRDKNVEYAERMKAMGKDVELVVFAGEEHAFFGVKPMSAATGELVEVIRRFIAGAAAHHKRLAFKGMPSTTK >ONIVA07G02510.1 pep chromosome:AWHD00000000:7:2069484:2070467:-1 gene:ONIVA07G02510 transcript:ONIVA07G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAEPYVVEDCRGAVQLMSDGTVRRSAKPAFHVDLPDDADAAVEWKDVTYYAEHDLNARLYRPRHLGAANDARVPVVAYFHGGGFCIGSGRWPNFHAWCLRLAAELPAVVLSFDYRLAPEHRLPAAQEDGATAMAWVRDSAARDPWLADAADFSRVFVAGDSAGGNITHHMAVRFGKAGLGPQVRLRGHVLLMPAMAGETRTRAELECRPGAFLTAEMSDRYARLILPGGATRDYPVLNPAGPEAPGLEAVAMAPSLVVAAEHDILRDRNEHYARRMREEWGKEVAFVEFAGEQHGFFEVDPWSERADELVRLIRSFVVEHMDSE >ONIVA07G02500.1 pep chromosome:AWHD00000000:7:2061965:2068685:-1 gene:ONIVA07G02500 transcript:ONIVA07G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAEEHEDDVVVVVVALGNDGEATRPPRALPTTAGAAGGQIRPLRRRIWHPRPATARGGGCQGGRRWERWAAG >ONIVA07G02490.1 pep chromosome:AWHD00000000:7:2061295:2066540:1 gene:ONIVA07G02490 transcript:ONIVA07G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase RIO1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HWY1] MATAAAAAAAVYLPEVDSRFADADDDEEEEATVRPVEVAESKDQEEVEEEEDEEEWSDSDVADALDWLDAAEGPDGSGRPAAAFTAAGGAAAARRPNAHGGVLSRPFQPISNRTQKLASHIRATPLEEWEGRMNVGMSNSVTTAIRDSIRETAIGKTRNTEKADRATVEQLYRMDNNLDCIIQENRSSAIDCFGRKFLRCIFFMACCVHKAIDPRTRMVLFKMLNRGVFNTINGCISTGKEANVYHASKADGQELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVGAAGIRCPKPLLLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRESYFELITTMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALEFLKEDCLHVTDFFKKRGVAVMSVTELFNFVIDQNIADEDVDHYLEKIQQKMLENGDMVANDDEITPTVLVQTLDYVKQCEADIVNMSLMQRPSFANEPTADKLYNQPLLGFVRNKNEPTKNQQVQSEEPLDLQNKCSSEHSESCTSSDEDGSWHETLKVGPEERKAARKENKKKVKAEKREARKDKIPKAEKKKRKKMAKAKCKR >ONIVA07G02480.1 pep chromosome:AWHD00000000:7:2056646:2059379:-1 gene:ONIVA07G02480 transcript:ONIVA07G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIATASWPSTSASSWPRSVRRRVPEAEEERELGQRVEDMEEAVERLRAEKEAAEAEERDLRAELDAERAAAETAASEAMLMIERLQREKAAALLEARHFRRLADGRADRDGELQDELASLSALAASYLSLLHAHGIDPDDDDGSNQQEQLQPPVEHLDAEADRESRSVVARAPPPSEKVFAYAAATAPAADCGAEVTENLYPRVEALEVDWSAMRREVAALRAERAQAVLAREVARRLCREAAVARERGAVAVAAERPRFSVLAVCKEKEMSYCQVYVQLVDKSVPLHRRRRPQM >ONIVA07G02470.1 pep chromosome:AWHD00000000:7:2053939:2055629:-1 gene:ONIVA07G02470 transcript:ONIVA07G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G16010) TAIR;Acc:AT5G16010] MWWWPAFLYPPPAPAFVAAASVAQFALLANAGLGELRGEHMAYSKFWQVVAGKKKNGGGGGGGALLPSRQGMLVAYVPAFVAAAASFAVPGAVVGVRAQVLSAALTVHFLKRILEVLFIHQYSGSMPLNTAATISSSYLVITATMIYAQHLAAGLPDPPVDLLYPGVAVFAVGIAGNFYHHYLLSQLRNAAGGSGGGERQYRIPTGGLFGLAACPHYLFEIVGFFGFAMIAQTAHALAVASGTAAYLAGRSCATRRWYESKFEDFPDSIKALVPYIL >ONIVA07G02460.1 pep chromosome:AWHD00000000:7:2050937:2051470:1 gene:ONIVA07G02460 transcript:ONIVA07G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPSKAKRPGGGSIQGPRPQPLIVSPAAAEASRPTKKPRVVAGGGDMGPVIVYELTPRVVHAQPEEFRAIVQKLTGKPSTATATAPSDPTATLPDLVAGGRAAAAADPLVLALGQQRQPAPPAIDDNDDDDDDHSAHPFLLPSPAAASLLSPSSLFFSPTTMQALQELGVLF >ONIVA07G02450.1 pep chromosome:AWHD00000000:7:2045973:2046242:1 gene:ONIVA07G02450 transcript:ONIVA07G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMEVYDGKREPIEALQIPSDSTILRSSILHHMVFSEHNLITQHHPEISQFSSSPVIDPPS >ONIVA07G02440.1 pep chromosome:AWHD00000000:7:2044405:2045959:1 gene:ONIVA07G02440 transcript:ONIVA07G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITVKMSAKVKRIRFADSQTGCEGTTPTNLGSEVLLTLSLLGLLVSTNPGVEHCKLVASLFSVGFLTMDPVVKGPNLTRCSAALASEACRALSKTHHEKLEEIGLDAVACMKLESLEKPDLIRWLMDRTGPNSMCILIDDDRKIQITPRTVHLVMGNPLGGKDIVIPPNKVVRNTHDRITEELGIQRNAQLSSKMLIEVIKNREDDPTAICFFVMVIMSKLLLPTTDFYIPKSDVWVFRRSGPGCFHRLVEGSVPSTKSQSQMLATKSGVVNGILCGMLGGKHILSLFTGQHFHFLSIRPLLFRTCFFISILSMN >ONIVA07G02430.1 pep chromosome:AWHD00000000:7:2034727:2039980:1 gene:ONIVA07G02430 transcript:ONIVA07G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSSPPPPSKAKRRGCIHGARPQPLIVSSAPAEASRPSKKPRVSGGGGDTGPVIVYELTPRVVHVEQEEFMAVVQKLTGGKQQPAAASTLTTLPAADQVAGGDHAAAAAAAADPLVLTLGQQRQPAPAPAIDGDHPAAPPHSPPADAFLLSPSGIIRGPRPQPLIVSPPPPPPPPPASRPPKKPRVVASGGGGGGDAGPVIVYELTPRVVHVEPEEFMAVVQKLTGNRKLSTATAAAAAPVDSTVRSADDQMTAGGGAEITDTAAATVADDQLALAFGQQQHWPAPPPEIDDDNSANLPSPGSFFLSPTTMQALQELASNLF >ONIVA07G02420.1 pep chromosome:AWHD00000000:7:2026597:2031070:-1 gene:ONIVA07G02420 transcript:ONIVA07G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSSAADRHGFFHSVSLAVLWRPGGRAEPSQPPDCPPRESSHSSVTSSTAPERVTIADSDLSSSTPNKGGNKPKVRRVQSAGLLADSVLKRDSERLKDLYTLGKKLGQGQFGTTYQCVEKATGKVLACKSIAKRKLVSEEDVEDVRREIQIMHHLAGHPSVVSIVGAYEDAVAVHLVMELCAGGELFDRIVQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNHKEDSPLKTIDFGLSIFFKPGENYSDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSDPWPAISDSAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKTGLRRVGANLKDSEITTLMEAADIDNSGSIDYGEFIAATMHLNKVEREDNLFAAFSYFDKDSSGYITQDELQKACEEFGIGDAHLEDIIKDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQGQLSFGLREALKLGCSAETVKL >ONIVA07G02420.2 pep chromosome:AWHD00000000:7:2026896:2031070:-1 gene:ONIVA07G02420 transcript:ONIVA07G02420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSSAADRHGFFHSVSLAVLWRPGGRAEPSQPPDCPPRESSHSSVTSSTAPERVTIADSDLSSSTPNKGGNKPKVRRVQSAGLLADSVLKRDSERLKDLYTLGKKLGQGQFGTTYQCVEKATGKVLACKSIAKRKLVSEEDVEDVRREIQIMHHLAGHPSVVSIVGAYEDAVAVHLVMELCAGGELFDRIVQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNHKEDSPLKTIDFGLSIFFKPGENYSDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSDPWPAISDSAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKTGLRRVGANLKDSEITTLMEAADIDNSGSIDYGEFIAATMHLNKVEREDNLFAAFSYFDKDSSGYITQDELQKACEEFGIGDAHLEDIIKDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQGQLSFGLREALKLGITTPECRMECYLVKDED >ONIVA07G02410.1 pep chromosome:AWHD00000000:7:2022841:2025390:1 gene:ONIVA07G02410 transcript:ONIVA07G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPEESLDCAAENRQGRRSGLQLNDLPIDVLGLIISRLPIGDAIRTGLISRQWKDLWRDHTMLTFSRATFPSCRMLNQQNFIRRVDSILQQHSGVGVERMEIKFLLRNARRDIDRWVKFAVASKTKELILDLSDLTRFFMLPVMVFHPYLDREGFYEFPCQLLDANNGGSHLQCLQLTSMYLKPAADFTGFLNLKRLNLIGVNITDEGVQNLLCNPNVLEFLEISFCRMLTKIHAPHFLNRLKHLQVDCCPVLEKIEMNCDLATLDFTGSSMTPLIFATTSSLTNDSSVICRLFECSNFMLLNIRKTDILDYAYLLEIAPFMEKLELHVQITGFFGQKDQVELALHILCSSTVLKNMVINPEIAIVPHDAYRPPKRGAHNFVDGRDAAMEFVCKADHRNVVEVV >ONIVA07G02410.2 pep chromosome:AWHD00000000:7:2022841:2025390:1 gene:ONIVA07G02410 transcript:ONIVA07G02410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPEESLDCAAENRQGRRSGLQLNDLPIDVLGLIISRLPIGDAIRTGLISRQWKDLWRDHTMLTFSRATFPSCRMLNQQNFIRRVDSILQQHSGVGVERMEIKFLLRNARRDIDRWVKFAVASKTKELILDLSDLTRFFMLPVMVFHPYLDREGFYEFPCQLLDANNGGSHLQCLQLTSMYLKPAADFTGFLNLKRLNLIGVNITDEGVQNLLCNPNVLEFLEISFCRMLTKIHAPHFLNRLKHLQVDCCPVLEKIEMNCDLATLDFTGSSMTPLIFATTSSLTNVRLKTMPFCTGLDYIVTGFISNLPVVRMLEFHVVEYKKAISPQRLPKLIYLRHLKLETIVFGYGRKTDILDYAYLLEIAPFMEKLELHVQITGFFGQKDQVELALHILCSSTVLKNMVINPEIAIVPHDAYRPPKRGAHNFVDGRDAAMEFVCKADHRNVVEVV >ONIVA07G02410.3 pep chromosome:AWHD00000000:7:2022841:2025390:1 gene:ONIVA07G02410 transcript:ONIVA07G02410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPEESLDCAAENRQGRRSGLQLNDLPIDVLGLIISRLPIGDAIRTGLISRQWKDLWRDHTMLTFSRATFPSCRMLNQQNFIRRVDSILQQHSGVGVERMEIKFLLRNARRDIDRWVKFAVASKTKELILDLSDLTRFFMLPVMVFHPYLDREGFYEFPCQLLDANNGGSHLQCLQLTSMYLKPAADFTGFLNLKRLNLIGVNITDEGVQNLLCNPNVLEFLEISFCRMLTKIHAPHFLNRLKHLQVDCCPVLEKIEMNCDLATLDFTGSSMTPLIFATTSSLTNKAISPQRLPKLIYLRHLKLETIVFGYGRKTDILDYAYLLEIAPFMEKLELHVQITGFFGQKDQVELALHILCSSTVLKNMVINPEIAIVPHDAYRPPKRGAHNFVDGRDAAMEFVCKADHRNVVEVV >ONIVA07G02400.1 pep chromosome:AWHD00000000:7:2019104:2022442:-1 gene:ONIVA07G02400 transcript:ONIVA07G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTYLPPRRGRWRDGEGGGGARDGTIQREDNRRRRRGLGGMGRRRVGGVRRRRAEEEGWGAGECDAKLRIGERGSAMRIREMRSGATGGSWEKISSADACERIL >ONIVA07G02390.1 pep chromosome:AWHD00000000:7:2013741:2018648:-1 gene:ONIVA07G02390 transcript:ONIVA07G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMMRLAQEQMSKMSPADLARMQQQLLSNPNLVKLASESMKNMRADDFRRAAQQMNQTRPDEMLDMAEKLANANPEEVAAMKVQAEQQMSYVISGAKMLKQQGNELHRCEQYSEAAAKYKLAKDNLKSIPSQSAHSLQLVCTLNLMACYLKTRNFEECINEGSEVLTYDSSNVKAYYRRGQAYKELGNLEAAVGDLSKAHELSPDDETIAAVLRDAEEKLAVEGKGAKHPKGVVIEEVVDDASEPSSSQRSSSPGYTVSQPPEEGNSRPSGSSSIDANGLSKLGMQGMSPELVKTASDMIGTMKPEELQKMFEAASSLHGTSSSPPNLGPNMPEMSPEMFKMASDMIGNMSPDELQNMLNFASNMGGPSASPLRPENKLQSSSRATTSSTSQRSVDNSQPSSSQNVMENPHEILSNQRMGESSSPGAPSTADMQETMRNAMKDPAMRKMYASMMKNISPEMMSSMSEQFGMKMTKEDAAKAQEALSSLSPEALDRMMKWMDRAQQGVEAAKKTKNWLLGRKGLVLAIVMLILAFILRQLGFIGG >ONIVA07G02380.1 pep chromosome:AWHD00000000:7:2008351:2009595:-1 gene:ONIVA07G02380 transcript:ONIVA07G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRLLPSTSGSRQPSMAGLDGGGAPPAACDPNNLPAAIVLVFPVMVVALLCWRAARTRRNKDECRRLAQRVALLRDLRQLMAPAPPLAKAAAVSAEVRAVLAGQVDAWVKEAESVVLGCTSSRWPCRFVRCDRHGEQLSVVRMNLDEAYDRILPVVAQIDTAHRLHHLLQLQVIVQDGHKSATTTASCPPPA >ONIVA07G02370.1 pep chromosome:AWHD00000000:7:2004379:2007587:-1 gene:ONIVA07G02370 transcript:ONIVA07G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGRQYCQRPPRPKDQLELLLHILRNSTVLKTMRIEPKQTWSNMEKGDEDKAASIPATVRERDRGSEAQTGGVQPSQTQTSGAQQEQQSDTNPSPRVSGVTTPFPNHEGTMKA >ONIVA07G02360.1 pep chromosome:AWHD00000000:7:2001720:2006183:1 gene:ONIVA07G02360 transcript:ONIVA07G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSALLLLLLAAAATAAPPEQPALSATPSSGAAANNSSGGGGGAASNGVNSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKGNVTIFAPRNEALERDLDPEFRRFLLEPRNLRSLQRLLLFHVLPARLHASDSSSPDFPSSHPTLSGERVDLSASPMRVGAAAVTRPDAVVRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVPPGAPPVLPIWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPNKVTAREADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDAVLFPPKDTATGGEGSGSGSSGAAPARKAPAVTAHSKSKLRRGKLLEGACQVMGFLGRRSRFASCQ >ONIVA07G02350.1 pep chromosome:AWHD00000000:7:1995744:1998975:1 gene:ONIVA07G02350 transcript:ONIVA07G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKSEKFKQLNGVMVERKRPRIHLGDLHTDILNRIISLLPLKEAARTSVLSNHWKNIWCSRESLVFRFYTVLSMHHHIKRCWTSDGQRLNKELFIERVDSVLKQRSGLGVQTVAILYELENEDADHIDRWLNFVIASKTKQLILDLDPYYPKVAPYNFPFKLFNATNSLQLQALKLISVSLKLPANFMGFRNLQKLKLDCTDISDDDMQTLVSNCNALNFLGILYCGMLTRLQTSQPLNQLKHLQVENCTMLQDIQLNFGLTKLEHEGPLIPLAPPGPLLMTNVMMKLSDIDSALEYIFTKLPSTLPRLETLTVNCSELKRATLPEKTVKFMYLKHLRLELTFCVRPREADMFDFACILKAAPLLEILELHMWMPYDNQHYCEDHGVLRSLPNHAHSNLKLAYVTGFYGMKDQLELLRHILINSVMLNAMKIDPRPVVAVPHGTVMLCTEGLNCLNGYRVAMEYLSKADHRNVLDVHEIVLEDVQKREIYAIMKDRWIQEPKAKLFLIISSATLFKNTVKLAWEKLVDIVNYAN >ONIVA07G02350.2 pep chromosome:AWHD00000000:7:1995744:1998975:1 gene:ONIVA07G02350 transcript:ONIVA07G02350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKSEKFKQLNGVMVERKRPRIHLGDLHTDILNRIISLLPLKEAARTSVLSNHWKNIWCSRESLVFRFYTVLSMHHHIKRCWTSDGQRLNKELFIERVDSVLKQRSGLGVQTVAILYELENEDADHIDRWLNFVIASKTKQLILDLDPYYPKVAPYNFPFKLFNATNSLQLQALKLISVSLKLPANFMGFRNLQKLKLDCTDISDDDMQTLVSNCNALNFLGILYCGMLTRLQTSQPLNQLKHLQVENCTMLQDIQLNFGLTKLEHEGPLIPLAPPGPLLMTNVMMKLSDIDSALEYIFTKLPSTLPRLETLTVNCSELKRATLPEKTVKFMYLKHLRLELTFCVRPREADMFDFACILKAAPLLEILELHMWMPYDNQHYCEDHGVLRSLPNHAHSNLKLAYVTGFYGMKDQLELLRHILINSVMLNAMKIDPRPVVAVPHGTVMLCTEGLNCLNGYRVAMEYLSKADHRNVLDVHEIVLEDVQKREIYAIMKDRWIQEPKAKLFLIISSATLFKNTVKLAWEKLVDIVNYAN >ONIVA07G02350.3 pep chromosome:AWHD00000000:7:1995700:1998975:1 gene:ONIVA07G02350 transcript:ONIVA07G02350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKSEKFKQLNGVMVERKRPRIHLGDLHTDILNRIISLLPLKEAARTSVLSNHWKNIWCSRESLVFRFYTVLSMHHHIKRCWTSDGQRLNKELFIERVDSVLKQRSGLGVQTVAILYELENEDADHIDRWLNFVIASKTKQLILDLDPYYPKVAPYNFPFKLFNATNSLQLQALKLISVSLKLPANFMGFRNLQKLKLDCTDISDDDMQTLVSNCNALNFLGILYCGMLTRLQTSQPLNQLKHLQVENCTMLQDIQLNFGLTKLEHEGPLIPLAPPGPLLMTNVMMKLSDIDSALEYIFTKLPSTLPRLETLTVNCSELKRATLPEKTVKFMYLKHLRLELTFCVRPREADMFDFACILKAAPLLEILELHMWMPYDNQHYCEDHGVLRSLPNHAHSNLKLAYVTGFYGMKDQLELLRHILINSVMLNAMKIDPRPVVAVPHGTVMLCTEGLNCLNGYRVAMEYLSKADHRNVLDVHEIVLEDVQKREIYAIMKDRWIQEPKAKLFLIISSATLFKNTVKLAWEKLVDIVNYAN >ONIVA07G02350.4 pep chromosome:AWHD00000000:7:1995700:1998975:1 gene:ONIVA07G02350 transcript:ONIVA07G02350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKSEKFKQLNGVMVERKRPRIHLGDLHTDILNRIISLLPLKEAARTSVLSNHWKNIWCSRESLVFRFYTVLSMHHHIKRCWTSDGQRLNKELFIERVDSVLKQRSGLGVQTVAILYELENEDADHIDRWLNFVIASKTKQLILDLDPYYPKVAPYNFPFKLFNATNSLQLQALKLISVSLKLPANFMGFRNLQKLKLDCTDISDDDMQTLVSNCNALNFLGILYCGMLTRLQTSQPLNQLKHLQVENCTMLQDIQLNFGLTKLEHEGPLIPLAPPGPLLMTNVMMKLSDIDSALEYIFTKLPSTLPRLETLTVNCSELKRATLPEKTVKFMYLKHLRLELTFCVRPREADMFDFACILKAAPLLEILELHMWMPYDNQHYCEDHGVLRSLPNHAHSNLKLAYVTGFYGMKDQLELLRHILINSVMLNAMKIDPRPVVAVPHGTVMLCTEGLNCLNGYRVAMEYLSKADHRNVLDVHEIVLEDVQKREIYAIMKDRWIQEPKAKLFLIISSATLFKNTVKLAWEKLVDIVNYAN >ONIVA07G02340.1 pep chromosome:AWHD00000000:7:1990853:2001671:-1 gene:ONIVA07G02340 transcript:ONIVA07G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWEREREVRRLCITVGRGGYRLAGGAGGRVCRCAALCTNLCSNIGELEIGVSVIGETSKNTMIFTDFMNINNIAVVYFAEIFYGNSIPIQSVIQWLSAS >ONIVA07G02330.1 pep chromosome:AWHD00000000:7:1988491:1990662:-1 gene:ONIVA07G02330 transcript:ONIVA07G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT5G57040) TAIR;Acc:AT5G57040] MAARCLSSLALLSPSPSSSGKVSAMASPPVPSSAAPRRRPGTRLSVATGGEQLVTAQEASQEPAYGVVSIHHVGILCENLERSMAFYKDLLGLKVNPARPTDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCMAIKDVLKLKEIFDKAGIKYTLSKSGRPAIFARDPDGNALEFTQV >ONIVA07G02320.1 pep chromosome:AWHD00000000:7:1984591:1990379:1 gene:ONIVA07G02320 transcript:ONIVA07G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKPAVVVAMKGHPGSGKSTVARAIATALCCPLLDKDDVRDCTLPLERVDGLAVGMLNELSYAVLWRMAERQVQLGLSVVVDSPLSRRAHLDALTRLPGARVIVVECQPGNEEEWRRRLEKRGAAVPEDGGDGWHKPKTWTELERLRDGYQGCTDYEFGDVPRIVVDTTDPTANSEAISVRVVEFIGSILACDH >ONIVA07G02320.2 pep chromosome:AWHD00000000:7:1984591:1990912:1 gene:ONIVA07G02320 transcript:ONIVA07G02320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKPAVVVAMKGHPGSGKSTVARAIATALCCPLLDKDDVRDCTLPLERVDGLAVGMLNELSYAVLWRMAERQVQLGLSVVVDSPLSRRAHLDALTRLPGARVIVVECQPGNEEEWRRRLEKRGAAVPEDGGDGWHKPKTWTELERLRDGYQGCTDYEFGDVPRIVVDTTDPTANSEAISVRVVEFIGSILACDH >ONIVA07G02310.1 pep chromosome:AWHD00000000:7:1971264:1979278:1 gene:ONIVA07G02310 transcript:ONIVA07G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQFTSEHVCYVNCNYCNTILVVNVPNNCSYNIVTVRCGHCTMVLSMDLAPFHQARTVQDHQVQNRGFQGNNFGSYDIASRNQRTSTAMYPMPTSQQQPLRKDSVSHLHTTDSSRRRYRGLKPAILRLATGRHSVLLQRTGLIFPGSILASALPTAAAAAAAATNRGGAACRPATDRRRARRRAAAAGRECARRRDDDDILLSRVPLSLRRVIN >ONIVA07G02300.1 pep chromosome:AWHD00000000:7:1956867:1964924:1 gene:ONIVA07G02300 transcript:ONIVA07G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATAQASASSSTASTRGSPAASSSSHSAVCLVPFRWWARVREEAPPEGGVRYAATAAASPSSYYGLRLLHSFLHPDLVLRLERGGCRGTGAGAGGRSYALVPADELSRVLARQNSSLALHNKHSFAEDSAGAYPLVLRISVRETSILTVKISKKDNPVENYKRAYKIFNIDSQPVHVWDFSGQTNLILMNEWNRSNHDCCHSELENILEVQVYAMSDSLTSKIGGTSKEYTEQSSADVNDMDVDLSYGSFGRSSSHGLIGLENLGNTCFMNSSIQCLAHTSKLVDYFLGDYDRDINRTNPLGLNGELALAFGELLRRLWNTERKPVSPHHFKAKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVRCKPYEEAKDASGRPDKEVADEYWSNHLARNDSVIVDTYHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTAKRTMTVTVFSTDGSIEPISYDVTVPQFGSLNDLVQALSSACSLGDDEILLITEVYNNRILRYLEEPSDSVSLLRDGDKLAAFRLPRKYEKSPVVVFTHQYFDERSSVDNITPQMKEFEAPLLAVLPERANGLTLKNIYLKLLEPLRFSKSTSSLNDSGRCNSGCAAVMMDATPDSDSKFQSAPSENAPESSQSETIECQMTEGPSESNIGDTTDSDREAHMEEFEFYLINGRGEFQQTRIQTDEVDLQTTPNRLLINVHWQQNAVGQYDTSMLKSLPEIHKLELIPKGNEDSVALHGCLEAFLKEEPLGPEDMYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPISDLDLSSYIIDKSELSDCHYRLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDECVRPITEDSIKTPAAYENIYMIISDSDLSPELCCLTNNRRLLLSSVLFGLIALDKYSDISQQHLFCLDISQDQTRLDGHQSFMCNKVSWTNCVLNDNSIPQGRTD >ONIVA07G02300.2 pep chromosome:AWHD00000000:7:1956867:1969804:1 gene:ONIVA07G02300 transcript:ONIVA07G02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATAQASASSSTASTRGSPAASSSSHSAVCLVPFRWWARVREEAPPEGGVRYAATAAASPSSYYGLRLLHSFLHPDLVLRLERGGCRGTGAGAGGRSYALVPADELSRVLARQNSSLALHNKHSFAEDSAGAYPLVLRISVRETSILTVKISKKDNPVENYKRAYKIFNIDSQPVHVWDFSGQTNLILMNEWNRSNHDCCHSELENILEVQVYAMSDSLTSKIGGTSKEYTEQSSADVNDMDVDLSYGSFGRSSSHGLIGLENLGNTCFMNSSIQCLAHTSKLVDYFLGDYDRDINRTNPLGLNGELALAFGELLRRLWNTERKPVSPHHFKAKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVRCKPYEEAKDASGRPDKEVADEYWSNHLARNDSVIVDTYHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTAKRTMTVTVFSTDGSIEPISYDVTVPQFGSLNDLVQALSSACSLGDDEILLITEVYNNRILRYLEEPSDSVSLLRDGDKLAAFRLPRKYEKSPVVVFTHQYFDERSSVDNITPQMKEFEAPLLAVLPERANGLTLKNIYLKLLEPLRFSKSTSSLNDSGRCNSGCAAVMMDATPDSDSKFQSAPSENAPESSQSETIECQMTEGPSESNIGDTTDSDREAHMEEFEFYLINGRGEFQQTRIQTDEVDLQTTPNRLLINVHWQQNAVGQYDTSMLKSLPEIHKLELIPKGNEDSVALHGCLEAFLKEEPLGPEDMWYCPCCKKHQQAMKKLDLWRLPEHEEGKGWYKFDDECVRPITEDSIKTPAAYENIYMIISDSDLSPELCCLTNNRRLLLSSVLFGLIALDKYSDISQQHLFCLDISQDQTRLDGHQSFMCNKVSWTNCVLNDNSIPQGEVDGGRDHP >ONIVA07G02290.1 pep chromosome:AWHD00000000:7:1952980:1953750:1 gene:ONIVA07G02290 transcript:ONIVA07G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKTLKARILCALKSSLPAAASPPPSPTKPGRAAAAVVVVAGDAFSDDASFFDAHETPTKNGAEPIDDWELVDEEGRVGVAAAAAEEEEEQLREFPARCPPGGEGAVVLYTTTLRGIRKTFEDCNGVRALLENLDVAFQERDVSMDRGLRDELWSVTGEKAVPPRLFVRGRDVGGAAQVLALHEDGRLLALLSPGSNKNRSAAAAAAKCDACGGLRFVVCGECDGSRKVFDGERGRGVRCRGCNENGLVMCALCL >ONIVA07G02280.1 pep chromosome:AWHD00000000:7:1948178:1951900:1 gene:ONIVA07G02280 transcript:ONIVA07G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQSLLLLLALLAAAAAAASAVTDVEYCNKGKKYPVKVSGVEIVPDPVARGEPATFKISASTDKTIGKGKLVIDVKYFFFYVHSETRELCDVTSCPASGDFLVAHQQTLPSYTPPGSYTITMKMLGDNDEELSCISFGFSIGFAASEATI >ONIVA07G02270.1 pep chromosome:AWHD00000000:7:1940157:1941865:-1 gene:ONIVA07G02270 transcript:ONIVA07G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22050) TAIR;Acc:AT5G22050] MGCLSRHQLCLGGNRGLPFLRKFKHKEIEAATNGFSAILEAGPGGRAVYRARFADGLVATVRRAGGDGDQDREAFYRELQLLARLNHRHIVRLHGYSDGHSRFLVFDQMENKSLKECLHDPLRTPLNWRTRLQVAIEVAAALEYLYYFCDPPVFHVSVNSSNVMMDANFVAKLSDISVIGYDPKRTVESNAASFEDEIQQRRRDLVFQYGVLILELVTGQSPGGEGELVQWVQEPGFACTMYKMVDADLGNIYDSKELRNLVIIARLCTRPGNDAMVSIPLILRYLQGKVANLGCESENICE >ONIVA07G02260.1 pep chromosome:AWHD00000000:7:1938676:1939218:1 gene:ONIVA07G02260 transcript:ONIVA07G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSPSPGGGDGGGGGSIFGSGGIGGFGYGVGASVGVLLVVSTVALAIYFCSRTSMPVAAAAGRPPSPPRPRDDGDVEAGTGIDDATLEAFPEVVYGEARKARGGAAATQTCCPVCLENYGDGDVLRALPDCGHLFHRECVDPWLRQRPTCPVCRTSPLPSPMPTPLAEVTPLALVRPS >ONIVA07G02250.1 pep chromosome:AWHD00000000:7:1933600:1935064:-1 gene:ONIVA07G02250 transcript:ONIVA07G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFSSFLNESASSENLFGHPNVERCPFLRNINGATTYSFSSALPVAARGGNGPIFEDGPGFDSAFKLFHGRDGIVPLSGKSYLPDENNSESIDANPEPALLFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLNQSNKKPSNPNQNSMKQKGGNSSSHEAMSNEWMENGQCPLARSYRAMSGILPLVAKALQPPAAVHAAVPFIGMLRKSVNMPKTAMAFTIAASIIGQTIGSRAERIRLKALAAKGDADSTTVADMYPNKSGNCSDTEGKAWDPLAMKMAGRTSGGVAAPTPSMCF >ONIVA07G02240.1 pep chromosome:AWHD00000000:7:1931324:1931707:1 gene:ONIVA07G02240 transcript:ONIVA07G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGITLLCKRVFPTAPPAPPPPTPPPQRRRDDDDDGGIVVAVGVDEATLQALPLVLYGEARTAQTCCAVCLESYGGGDVLRALPECGHLFHRDCIFTWLRRRPTCPVCRAPPSPAPPADVLGLQLSV >ONIVA07G02230.1 pep chromosome:AWHD00000000:7:1928942:1929391:1 gene:ONIVA07G02230 transcript:ONIVA07G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTRGRRFCKIQNLTNRRRGQRRRRLVWREEAWPATGDRLGVVRRGHRWTRLAWRGDAQPAARRPAQHDEAWPAVTEAGTVRGGVAAGRYGADYGARRLAGGGHRCSGPICRQRLDSGRASGRQHGFAGGERRVKTQPGLGRAGNDDA >ONIVA07G02220.1 pep chromosome:AWHD00000000:7:1916273:1916886:1 gene:ONIVA07G02220 transcript:ONIVA07G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGRTTSVAGDEEAVVRAHQAVTGGVRRRRALRGGGPGGSHRRHRAGARVPPPRRRELCRGVLHAAAGGVLPPRRVPRRLQGPPPAVQGGDTHNDLKLRDSLPSFAMLVFLPDDRDGRSIGPARQDHLLAGSEFVDDYLPPECVPEFDDDLGFSLYDGYYPPPPKLVDFVADHLFAFFIVEERSQSIVFAGHVLDPSEED >ONIVA07G02210.1 pep chromosome:AWHD00000000:7:1916008:1916232:1 gene:ONIVA07G02210 transcript:ONIVA07G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSYRLYFVRTDGGGRSPPVHTFGFDKDHDVAANEAAIQDGFAQCIGGLLSVADELGEKLPAVHRRPESPRLG >ONIVA07G02200.1 pep chromosome:AWHD00000000:7:1908138:1909390:1 gene:ONIVA07G02200 transcript:ONIVA07G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPWPGSTTWRRCRREVADDAPLPNPSLVPLMKDEIYISPEDEVELRVQDEYAQQDYYKFNVQVLQLQKKVLHVMREKMEAELETLLQDTLTGSSRLGAGFTAIYGCRGPLRLRQFKVAASCSNFELPLLLLPKYSQVLHFVDHVLCFLELCGFCRWCYVI >ONIVA07G02190.1 pep chromosome:AWHD00000000:7:1906293:1907463:-1 gene:ONIVA07G02190 transcript:ONIVA07G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMIKTLADAEAAVARIGEGDAKELLVFVMESSTSLVDAAAIKAKLLNDLDGYTFLNPSMAERKKEAEKTVRRCIKSMKERFMVDVFKQVMALDEKIEKLAKKAAAPVRLVERTPAERVGDLVYTLHLDDAPLPNPSLVPLMKDEIYISPEDEVELRVQDEYAQQDYYKFNVQVLQLQKKTLLLLTDEARPDAASASLRFWDIDTVKLQWCFCLSSHPHIGFE >ONIVA07G02180.1 pep chromosome:AWHD00000000:7:1899735:1900711:1 gene:ONIVA07G02180 transcript:ONIVA07G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQKLTRLSPPLSLNTTQTSTDSIRVCIIGCNLDNDDGGTGNMTRFLNLDGPVPWDNWNLCSWATGERKIRHMQLYIHCETKTKVCKKDMLCQTLLLYIIR >ONIVA07G02170.1 pep chromosome:AWHD00000000:7:1886195:1888377:-1 gene:ONIVA07G02170 transcript:ONIVA07G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLASTSHDARLRMKMFIERVSQVFAQHSGLSVQKIAIQGKLDNEHADHINRWLSFVSATKTKDLTFDFKSRYPREGPYDFPFKFFGAMDSSYLLSMRLSAVSLNPPVDFKAFLNLKRLKLEHTNITDENMQILISNCNALEFLGIVDCGKLTRLSTSHLWNQLKHLHVESCHLLKEIELNLGLMKLGYKGTLIPLSPPGPLLLTNVCMKLQHARSSLGYIFTNLPSTLLHLETLSLQCSELERAILPENHIKFMYLKHLRLQLRHPVTEKKIDLLDFACLLEAAPLLQKFELHPHAHLRLVHISGFIGMKDQLELSLHILRNSAMIRAMKVDPKPLFALPCISMLSPLEGFQYLDGYEVAIEYLCREDHNNVVDVSEIRREEVETLSVCELVYPDCVRLTRKANSSS >ONIVA07G02160.1 pep chromosome:AWHD00000000:7:1883663:1884742:-1 gene:ONIVA07G02160 transcript:ONIVA07G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLVLTVLLFASLTGLVVLAPRSSSPPATATPSPPVVGDGVGGGGEDGDLALFRRATLDGGEGAAAMAVAEPKVAFLFLTNSELTFAPLWERFFEGHGERLNVYVHADPAARLMMPPTRSFKGRFVAAGPTKRADATLIAAARRLLAAALVDDAANAYFALLSQHCIPVHSFRHLHATLFPPPAAAAAAARRQRRLPSYIEVLDGEPQMASRYAARGEGAMLPEVPFDRFRVGSQFFTLARRHAALVVGERRLWDKFRQPCLDQNACYPEEHYFPTLLDMADPAGVARYTLTHVNWAGSVHGHPHTYTAAEVSAELVADLRRPKKNTTHDYMFARKFSPDCLAPLMDIADAILFND >ONIVA07G02150.1 pep chromosome:AWHD00000000:7:1860080:1863117:-1 gene:ONIVA07G02150 transcript:ONIVA07G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTRILQNHHQQILAAGRQLQQRHHFPAMPPERHHHPPPPAPGSPAMKFPIISGDSDLGKDLKFHESSAPTIAAYSPLQEYQGHFELALGHSMVCTNFCNSEQSYGVYSPYGAQTMAGRMLLPPAIATDVGPIYVNAKQFNGIIRRRLARAKAEREHRVSRSRKPYLHESRHRHAMRRARGIGGRFLNTKNASSAAAAAPVSSGGGDHGASNKSSSASEATRVYDDDDDDMGAGGGGDGGDFHHAMGHLRSPAFFPSLAAMMDGGGGGGEGKWATATPHHGCRVDLLKV >ONIVA07G02140.1 pep chromosome:AWHD00000000:7:1857107:1857685:1 gene:ONIVA07G02140 transcript:ONIVA07G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASDPAIAAPHLEAEEPAVVTVAAAAAEEAVVVAADVEKEGEGEDEEEEEEEGECGFCLFMKGGGCKEAFVAWEECVEAAGKEEGSDMVERCFEVTANLKRCMDAHADYYAPVLRAEQAVNDHADAAIAADKAKEGGEKKLDAVAQEAASAADEKKQQVEEKSSSSSSSPTTTIDERKEKEVVTEKADS >ONIVA07G02130.1 pep chromosome:AWHD00000000:7:1855082:1856711:-1 gene:ONIVA07G02130 transcript:ONIVA07G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast RNA-binding protein 33 [Source:Projected from Arabidopsis thaliana (AT3G52380) TAIR;Acc:AT3G52380] MAAVAFRSLLHPAAAALTERVPPPPAHLRLQGLHRHRVGVLNLFVASGHRRRILLPLAAAGGEFSSEEEEYANEEEEEGEEYVEEEEEDGEEEEAAAVAAPRGYYPPRSRPALGQEPGRLFVGNLPYTMTSGEISQTFSEAGRVDNVQIIYDKVTDRSRGFAFVTMATAEEAATAIQMFNGALLGGRTARVNYPEVPRGGERAVGSAAATRGNRRDDGTFKIYAGNLGWGVRADALRAAFEGQPGLLDARVIFERDSGRSRGFGFVSFRTAEDAQAALEALDGVELEGRPLRLSMAEQNPTAGSPSTVQSQEEETASESSDAETEQSITSEPSEAETEESNLQTAASY >ONIVA07G02120.1 pep chromosome:AWHD00000000:7:1848578:1849331:-1 gene:ONIVA07G02120 transcript:ONIVA07G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGIRILSKKFTPLLCRSSVARTGMALTKTNHMSTPFVDSLNGAKRPFSSSSIIEDRHLFRQSWYPWPPVPNIEEEFTRQWRIRFLANFLFLVYSSGFIAHKRRNLTHKMKLGATSFQALGAPACPPVLPRDREELVI >ONIVA07G02110.1 pep chromosome:AWHD00000000:7:1843797:1854684:1 gene:ONIVA07G02110 transcript:ONIVA07G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASAQFGLSPHLDGGGGGGDGVHRRQAHRRVLYSLSSLSSLSLATLMLLPGLTRPSSTPPLIFFSVSGATATSRHRRQLHRGIYHGKQCHAADIPAVLARAWASGVDRIIVRCFAAGHSPFRLLSCLVSTFLWLGFGVLNLLLSLVWSGRKVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRVHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLHRFPGGVTHSFTGTSEDRDKLLSFEKMFIERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEACLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESGASIQNS >ONIVA07G02110.2 pep chromosome:AWHD00000000:7:1843797:1854684:1 gene:ONIVA07G02110 transcript:ONIVA07G02110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASALLSLLPLLPLARDSHASTWTDPAFFYSSSHLLLRLRRHRDEQTSPSTSPVPTSSSPHDSYLVFLGSLSGSHAFLLASCSLLLRCERTEDGMFRGIYHGKQCHAADIPAVLARAWASGVDRIIVRCFAAGHSPFRLLSCLVSTFLWLGFGVLNLLLSLVWSGRKVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRVHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLHRFPGGVTHSFTGTSEDRDKLLSFEKMFIERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEACLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESGASIQNS >ONIVA07G02110.3 pep chromosome:AWHD00000000:7:1843797:1854684:1 gene:ONIVA07G02110 transcript:ONIVA07G02110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIYHGKQCHAADIPAVLARAWASGVDRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRVHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLHRFPGGVTHSFTGTSEDRDKLLSFEKMFIERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEACLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESGASIQNS >ONIVA07G02110.4 pep chromosome:AWHD00000000:7:1843797:1854684:1 gene:ONIVA07G02110 transcript:ONIVA07G02110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASALLSLLPLLPLARDSHASTWTDPAFFYSSSHLLLRLRRHRDEQTSPSTSPVPTSSSPHDSYLVFLGSLSGSHAFLLASCSLLLRCERTEDGMFRGIYHGKQCHAADIPAVLARAWASGVDRIIVRCFAAGHSPFRLLSCLVSTFLWLGFGVLNLLLSLVWSGRKVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRVHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLHRFPGGVTHSFTGTSEDRDKLLSFEKMFIERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEACLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESGASIQNS >ONIVA07G02110.5 pep chromosome:AWHD00000000:7:1843797:1854684:1 gene:ONIVA07G02110 transcript:ONIVA07G02110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASALLSLLPLLPLARDSHASTWTDPAFFYSSSHLLLRLRRHRDEQTSPSTSPVPTSSSPHDSYLVFLGSLSGSHAFLLASCSLLLRCERTEDGMFRGIYHGKQCHAADIPAVLARAWASGVDRIIVRCFAAGHSPFRLLSCLVSTFLWLGFGVLNLLLSLVWSGRKVTGGSLKESREALEIAETDGELSCLDAGCFDYVLLGAFKVFVVMCLRSCDVLVRCREAVLHGGRAPDEMRGRGGLYSIPVSLLTPEIGVCLSRLDYEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRVHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLHRFPGGVTHSFTGTSEDRDKLLSFEKMFIERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEACLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESGASIQNS >ONIVA07G02110.6 pep chromosome:AWHD00000000:7:1843797:1854684:1 gene:ONIVA07G02110 transcript:ONIVA07G02110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIYHGKQCHAADIPAVLARAWASGVDRIIVTGGSLKESREALEIAETDGELSCLDAGCFDYVLLGAFKVFVVMCLRSWRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKTSENLEVLQGIPAERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEACLVRQVLEVVAGCKGIADIEGLSKTLYHNTCRLFFPQDLDASADAQLESGASIQNS >ONIVA07G02100.1 pep chromosome:AWHD00000000:7:1834209:1834906:1 gene:ONIVA07G02100 transcript:ONIVA07G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAARGVRRHGSPVIIAWAILFFSIASLSLSEAQLQVGYYNYSCPRADAAILRDPGNGPGLVRLFFHDCFVIEMAENAL >ONIVA07G02090.1 pep chromosome:AWHD00000000:7:1834185:1837867:-1 gene:ONIVA07G02090 transcript:ONIVA07G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAATAAAIAPHLVPVIRGATPFSSAVAAAAAAASTPARSSSALTQTLSFPIQATRSAATSTPPWASSALAQPRYFSTRATRGEGQTKIKIGGWEEAYWKLNLRIDHLNAVMRWKKRDNLLKFDNDEAVVEEEADEAGAIAGVAEDGGVRPGARIVVVSDLQLRLRQGQRRNGEEQDRPRYDHRRAVTTNTACCAAHLSLLYRV >ONIVA07G02080.1 pep chromosome:AWHD00000000:7:1824979:1834031:1 gene:ONIVA07G02080 transcript:ONIVA07G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HWS7] MKLTVAIVCAMATALLAAAPSSAEAGDGELKVGYYDNKCSGVEDIVRSHGCDGSVLLNASDENPRPETAAPVSIGLEGFDILEEIKADLERRCPGVVSCADILIFAARDASSILSNGRVRFDVPAGRLDGVVSSADEAQAELPDPTFTIRQLIDNFARKNFTVEELVVLSGAHSVGDGHCSSFTARLAAPPDQITPSYRNLLNYRCSRGGGADPAVVNNARDEDLATVARFMPAFVGKLRPVSALDNTYYRNNLDKVVNFNSDWQLLTQDEARGHVREYADNAALWDRDFAASLLKLSKLPMPVGSKGEIRNKMFSRGMKLILMVAFQAMSLISISTASLQYNFYGSSCPNAEQTISNIVYGLIDADPSMAPALLRLHFHDCFVMGCDASILLDPTKTNGSPEKTAIPLRGYDAVNKIKAAVEAVCPGKVSCADILAFAARDSVAKSGGFVYPVPAGRRDGNVSSAFSVFSSIPSPFFDAGELVQSFAAKGLTVDDLVALSGAHSIGTAHCSGFKNRLYPTNALAGRVLFTSDAALLTGRNDTAEKVRENAGDLTAWMARFAASMVKMGGIEVLTGARGEVRRFCNNHPTGINDDRDEADATHTTAGDEARATSARRAGGFACMSRVASSQWRSSGARLQFTEVPSTFQVNSAPLSICH >ONIVA07G02070.1 pep chromosome:AWHD00000000:7:1808435:1811935:1 gene:ONIVA07G02070 transcript:ONIVA07G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFTCAWIPKESSRGVVCLCHGYAVECSVTMRGTAERLARAGYAVYGIDYEGHGHSDGLQGYVPDLDALDMLCFLLHMSSLPPACLTVSYMLYGLFLLVSDHTASSSLLMTMLASALIANKLLVLHSYTNG >ONIVA07G02060.1 pep chromosome:AWHD00000000:7:1776346:1778539:1 gene:ONIVA07G02060 transcript:ONIVA07G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADQPKMKHQLLGASGGASRSSRAAAAAAPPAKTRPAVARSPVRASGNSKDEKKSESESEALRREVDRLRRRNEELEQQLALAHRTVAQLWQQQQQVAAAKNSQAPSIPPKPAPAPPPPLPPTSATVIPQGVPVPPPPPPPPNTNGNSKSSRRNQQGPSKATALVNMYNSSNTNIAASGIVGELQNRSTHLLAIKADLQAKAGLINHLIAKLQQITFADVDQVLTFVDWLDQQLSTLLAREKSRCTPGHLNSLLTQISKYPPNDDPTLTSCEAILTKTSALQHKLEKSMSRLVNLRSLAMPSYKELRIPTDWMLDSGIASKMRLASLKLAKVYVKRALKELDRETGGEALLAQTVHFAYRVHQFAGGLDCEAMCLFEDLTKRPHKASSPLSFLKMN >ONIVA07G02050.1 pep chromosome:AWHD00000000:7:1771050:1773832:1 gene:ONIVA07G02050 transcript:ONIVA07G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTWGLFFFLPLLLLLLSCSCILSHALPCCSGKQTAHDDLNPEQATNPTMPITVPSTNPAPIIITVPSTNPTITIPSLNPLPTPITAPSMVNPSTSPAPAAYPLPTPSTSPPSASLTNPTSNPMTPAISMPPPALTTTPPTAPGLSGQQLWCVAKADSADIALQNALDYACGIGGADCLAIQPSGTCYYPNTLGAHASYAFNSYYQRSTATSSCDFVRIDLLSFQCHYVGVVKIMLRKLCFGIVNVVTIKLRVWVQSCIDNSYGIIHYYSYYAIWFRSISAEPGWLRLCQ >ONIVA07G02050.2 pep chromosome:AWHD00000000:7:1771050:1773832:1 gene:ONIVA07G02050 transcript:ONIVA07G02050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTWGLFFFLPLLLLLLSCSCILSHALPCCSGKQTAHDDLNPEQATNPTMPITVPSTNPAPIIITVPSTNPTITIPSLNPLPTPITAPSMVNPSTSPAPAAYPLPTPSTSPPSASLTNPTSNPMTPAISMPPPALTTTPPTAPGLSGQQLWCVAKADSADIALQNALDYACGIGGADCLAIQPSGTCYYPNTLEEHCNLQLRFWWDGNSCQCQSQLRKLCFGIVNVVTIKLRVWVQSCIDNSYGIIHYYSYYAIWFRSISAEPGWLRLCQ >ONIVA07G02040.1 pep chromosome:AWHD00000000:7:1749281:1763369:1 gene:ONIVA07G02040 transcript:ONIVA07G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGVRQPTVEVRWRNVRVEADCQVVSGKPLPTLLNTVLSTARGLSRRHARIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLDKNLKVTGEVEYNGTNLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSPRFQGVGTRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKSLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQVAHISESTILVSLLQPAPETYDLFNDIILMAEGKIAYHGSKSCIMNFFESCGFKCPERKRAADFLQEVLSKKDQQQYWSHTEETYNFVTVDHFCEKFKASQVGQNLVEELANSFDKSEGHNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKTVQLGLLAVITGTVFLRTHMGVDRAHADYYMGSLFYALLLLLVNGFPELAMATSRLLQRDYYFYPAWAYAIPAFILKIPVSLVESITWTSISYYLIGYTPEASRFFRQLLILFLVHTGALSLFRCVASYCQTMVAGSVGGTMSFLVILLFGGFIIPRPSMPNWLKWGFWISPLSYAEIGLTVNEFLAPRWLKTTTSGVTLGRRVIMDQGLDFSSYFYWISVGALVGFILLLNVGYAIGLTIMKPPGTSRAIISRDKFATFDRRSKDMSKDMDNRMPKLQVGNALAPNKTGTMVLPFTPLTISFQDVNYYVDTPVLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEESVAYSAWLRLPTEVDSKTRREFVNEVIQTIELDDIRDALVGLPGVSGLSTEQRKRLTIVVELVSNPSVIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDELLLMKRGGDLIYAGPLGFHSCNVIHYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVEFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPTRFPQRFGEQLKACIWKQCLSYWRSPSYNLVRIVFITISCIVFGALFWQQGDINHINDQQGLFTILGCLYGTTLFTGINNCQSVMPFVSIERSVVYRERFAGMYSPWAYSLAQVAMEIPYVLVQILLIMFIAYPMIGYAWTAAKFFWFMYTIACTLLYFHYAGPDDPSLKPGSVVVFLYLGMMIVALTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHEKEISVFGETKSVAAFIKDYFGFRRDLLPLAAIILAMFPTLFAILFGLSISKLNFQRR >ONIVA07G02040.2 pep chromosome:AWHD00000000:7:1749281:1763369:1 gene:ONIVA07G02040 transcript:ONIVA07G02040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGVRQPTVEVRWRNVRVEADCQVVSGKPLPTLLNTVLSTARGLSRRHARIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLDKNLKVTGEVEYNGTNLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSPRFQGVGTRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKSLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQVAHISESTILVSLLQPAPETYDLFNDIILMAEGKIAYHGSKSCIMNFFESCGFKCPERKRAADFLQEVLSKKDQQQYWSHTEETYNFVTVDHFCEKFKASQVGQNLVEELANSFDKSEGHNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKTVQLGLLAVITGTVFLRTHMGVDRAHADYYMGSLFYALLLLLVNGFPELAMATSRLLQRDYYFYPAWAYAIPAFILKIPVSLVESITWTSISYYLIGYTPEASRFFRQLLILFLVHTGALSLFRCVASYCQTMVAGSVGGTMSFLVILLFGGFIIPRPSMPNWLKWGFWISPLSYAEIGLTVNEFLAPRWLKFHSLKHYSDTIWTSAPGTSRAIISRDKFATFDRRSKDMSKDMDNRMPKLQVGNALAPNKTGTMVLPFTPLTISFQDVNYYVDTPVLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEESVAYSAWLRLPTEVDSKTRREFVNEVIQTIELDDIRDALVGLPGVSGLSTEQRKRLTIVVELVSNPSVIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDELLLMKRGGDLIYAGPLGFHSCNVIHYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVEFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPTRFPQRFGEQLKACIWKQCLSYWRSPSYNLVRIVFITISCIVFGALFWQQGDINHINDQQGLFTILGCLYGTTLFTGINNCQSVMPFVSIERSVVYRERFAGMYSPWAYSLAQVAMEIPYVLVQILLIMFIAYPMIGYAWTAAKFFWFMYTIACTLLYFHYAGPDDPSLKPGSVVVFLYLGMMIVALTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHEKEISVFGETKSVAAFIKDYFGFRRDLLPLAAIILAMFPTLFAILFGLSISKLNFQRR >ONIVA07G02030.1 pep chromosome:AWHD00000000:7:1742400:1749515:-1 gene:ONIVA07G02030 transcript:ONIVA07G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILACRRLNPRAVESTVLRSVGSGLPETTWQSASTRTLRHRTSTVGCRTPTLSILRRCFRSSLRLSRWMSATSDSLATAAASVTNDGQGHRTRHLLPLWCSLSQDIRVSLHLVPPLASSYAELHTNNNVAMGPSVPIADGIFLQLLRQCGSSLP >ONIVA07G02020.1 pep chromosome:AWHD00000000:7:1740199:1744380:1 gene:ONIVA07G02020 transcript:ONIVA07G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGLGSSSFLFWALFCSSFLLLLVLSSSSGVYTSIHRIVQSKAKPARKQPANPSYREIRIKEEDERDSFELEIEMKPKPFKEEFTLEERAKESAAMIASYPDRIPVLFLLLCFDASSLLSIFTANSSCLLLPQHTEKHTHNTRLFPSPVTADCYGGM >ONIVA07G02020.2 pep chromosome:AWHD00000000:7:1740199:1744380:1 gene:ONIVA07G02020 transcript:ONIVA07G02020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGLGSSSFLFWALFCSSFLLLLVLSSSSGVYTSIHRIVQSKAKPARKQPANPSYREIRIKEEDERDSFELEIEMKPKPFKEEFTLEERAKESAAMIASYPDRIPVLFLLLCFDASSLLSIFTANSSCLLLPQHTEKHTHNTRLFPSPVTADCYGGM >ONIVA07G02020.3 pep chromosome:AWHD00000000:7:1740199:1744380:1 gene:ONIVA07G02020 transcript:ONIVA07G02020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGLGSSSFLFWALFCSSFLLLLVLSSSSGVYTSIHRIVQSKAKPARKQPANPSYREIRIKEEDERDSFELEIEMKPKPFKEEFTLEERAKESAAMIASYPDRIPVILLINFRGVIFQKWKSARTALFVFVNNTLPQTAQLMGSVYESYKDEGDGFLYLCYSSEKTFGTNNARRACMC >ONIVA07G02020.4 pep chromosome:AWHD00000000:7:1740199:1742432:1 gene:ONIVA07G02020 transcript:ONIVA07G02020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGLGSSSFLFWALFCSSFLLLLVLSSSSGVYTSIHRIVQSKAKPARKQPANPSYREIRIKEEDERDSFELEIEMKPKPFKEEFTLEERAKESAAMIASYPDRIPAVLTASGSGIEVAIGDEVDQEAVAGPEEAMMAHRATASVVREVQELVREEKWPLFTALSLVLCCFGIVVAIAQGSLLRGEADGSRIPSSPSPSSVGLHLPRFHLASRREGLGEGGATLSE >ONIVA07G02010.1 pep chromosome:AWHD00000000:7:1736118:1737755:1 gene:ONIVA07G02010 transcript:ONIVA07G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLKRWCSSRWDDDDEQACARLHELIEMPLRGMEMACTHMLQQPCWPNTNTISSICTPTWLQHATDHVRLALLTKRIPSCSNTGTGSMEMAKLAPVSVGALEQQQQLAPFLFFLCLDLLLQGSHPAPQRPPKLLLSVSAHSDAAASQVKVIPAATTKDDDEEQPEQTRKKKHQCPRQTTRSTMRRRLVAAAKCSFSLGLAVLLGLLFSSDHGFWSGLVVATTMATGREWTWALAIARAHGTALGSVYGALACLVIDRMELRFLALLPWLILTAGFLKRSRAYGPAGAGGVAAAVSGIIIVGRRYDEPPMAFTVARLVETFIGLACIIVADLVFQPAARPSTKATAQLDRCLAALKGCFSRGRQTTTKVKVKAVQEQVALLERCVAEAAGEPHFPWSPPFPASCYHKVAGSLGRMAQLLYLYTQAHPTPIPAADEDATQRFHCLVSASLERSADLLLRLSRISSSSRDEEDLEAGIRVSSGSDTCCCDDEDAPEMLVRSFLSQQQQQDQGVALALASIGFCMGEMAKEALQLEAYMLDLILLAH >ONIVA07G02000.1 pep chromosome:AWHD00000000:7:1734684:1734939:1 gene:ONIVA07G02000 transcript:ONIVA07G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSAATTGGAVHKPIIMPPESERQINNLPDVLQPRRRWRSSLATGFRSALACTIVGVASIYAPLVIRRHLTFPAFSYSPSS >ONIVA07G01990.1 pep chromosome:AWHD00000000:7:1727680:1729722:1 gene:ONIVA07G01990 transcript:ONIVA07G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGDGGGYDYSEDWVVNSRGMRLFTCAWIPKESSRGVVCLCHGYAVECSVTMRGTAERLARAGYAVYGIDYEGHGHSDGLQGYVPDLDALVRDCDSFFSTATASFPRRRFLLGESMGGAVALLLHRLRPDFWTGAILVAPMCKIAEEMRPHPMVVSVLKVMTSIIPTWRVVPTNDVIDLAYRMQGKRDEIRGNPLCYKGRPRLKTAYELLRVSILIESTILPHVSLPFLILHGAADRVTDPSVSDLLYRSASTTDKTFHLYTGMWHALTSGELPHNIDAVFRDIIDWLHHRTSPTSASHDSSTSSEAERKAKHDDTTHDCGKQTS >ONIVA07G01980.1 pep chromosome:AWHD00000000:7:1714085:1715584:1 gene:ONIVA07G01980 transcript:ONIVA07G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRLIGDMQRHRRTLSTTVVEETVAAAATLVSKWYPDDHHSSLFLHDHASSPEADHFLRAAADLHRAMLFFASDPTNAHNGHGLVQAHHLLDTAMRRLQLELPRLLAPPPAGSRDRLRALADTMMSAGYGKECISTFKEQRRAALAATLRRQHTVVQVPFHKLTWEQVDDNIQSWLAAARIAFSSVFPAEKELCDTVFAGDASVGDAVFEDVANNQAANLLAVAEAAVARARRAPERLFRVLDVHDALTEILPEIMSVFGDRSEVAKRACSALFKAGEAARGALANLEVAIEKEPSKATVAGGGVHPLTRYVMNYLVFLADYEGALDRINQQQGSPERSWSIGWLVQVLMRKIEAKAGSYREAALRHLFMANNTHYVARKLAIIPSLGDDDGEAQDAARRHVEAYVRAAWGKVLKAIAAADGVEVEEAVMQAVAKQEKWVAADEEMGQVLRAAATAAVVPKYRVLYRRHGATLRLTPGDVNAIIAALFGGIIATPSSC >ONIVA07G01970.1 pep chromosome:AWHD00000000:7:1712132:1713100:1 gene:ONIVA07G01970 transcript:ONIVA07G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNNKIIHKFTNHLKKSQIIIDLPDVAPHHCCLQFPLPHAPPPAASPPAPWLRWSMVRRVPHGSTKKRWYLSSVKGPPCISLARNLGATNQDKCDFCHRGTDQFSSSVDAKEAGGGGGKGKGGVEGEGDACVVLARVEGGGGNGYMRIISKEATLRVITSMMPE >ONIVA07G01960.1 pep chromosome:AWHD00000000:7:1689381:1705795:-1 gene:ONIVA07G01960 transcript:ONIVA07G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTTDVFFHPWREPSSDKYELLSYKGEPQSLDINPEPSANKDELLYLPINALTKASSHVPYDGDESLFVEQQEQLSHGNEILFPVETQEGPVQTAAVSSFDLKGIEKHVESLHDAMELDGTESSKMNLIADFTAPLSSDDNLVCYAIPCSMELSDTSVVNKCPHQSTGFPDYAKACTECDSGLTSCERVDKTTQDHDILSGSSIYSKNKDLYSYSDISVFSETHKDFAEPLELPPCGKDDEAPPDLAAQLHCNSCKDVMMPPQVISDEVEPVDRGATILVEHTPCGPETALTAFLYDKGSIDATSKTDELAKQNSNSLEGDVAKIHEQLLNYSYASGEVEISLTRSEKRTKKLRSQHPIYVPFLGFLKSVSFKKKASKGWSSSSSSSSLLRRVEEEEAVGRYSAEERRERIDKYRSKRNQRNFDKKITYACRKTLADSRPRVKGRARNSSDDAAAAAAAQVEVSPATNNNVPEWWPAVQEALARQEQEAAGLHLCDTADDDLLAAYLGAPPMPKRRRPGTKCEAYQKYACRKRLADERARVKGRFVSSSGGNDNNAPAHELPPSLVNLSDGAAAAAIIPTRSVPEWWPEMQASLARDEMCGGAGMNLHLCDANEMEQVAAYVGVSSMDLCAYLHCSWPPV >ONIVA07G01960.2 pep chromosome:AWHD00000000:7:1689381:1705795:-1 gene:ONIVA07G01960 transcript:ONIVA07G01960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTTDVFFHPWREPSSDKYELLSYKGEPQSLDINPEPSANKDELLYLPINALTKASSHVPYDGDESLFVEQQEQLSHGNEILFPVETQEGPVQTAAVSSFDLKGIEKHVESLHDAMELDGTESSKMNLIADFTAPLSSDDNLVCYAIPCSMELSDTSVVNKCPHQSTGFPDYAKACTECDSGLTSCERVDKTTQDHDILSGSSIYSKNKDLYSYSDISVFSETHKDFAEPLELPPCGKDDEAPPDLAAQLHCNSCKDVMMPPQVISDEVEPVDRGATILVEHTPCGPETALTAFLYDKGSIDATSKTDELAKQNSNSLEGDVAKIHEQLLNYSYASGEVEISLTRSEKRTKKLRSQHPIYVPFLGFLKSVSFKKKASKGWSSSSSSSSLLRRVEEEEAVGRYSAEERRERIDKYRSKRNQRNFDKKITYACRKTLADSRPRVKGRARNSSDDAAAAAAAQVEVSPATNNNVPEWWPAVQEALARQEQEAAGLHLCDTADDDLLAAYLGYACRKRLADERARVKGRFVSSSGGNDNNAPAHELPPSLVNLSDGAAAAAIIPTRSVPEWWPEMQASLARDEMCGGAGMNLHLCDANEMEQVAAYVGVSSMDLCAYLHCSWPPV >ONIVA07G01960.3 pep chromosome:AWHD00000000:7:1689381:1705795:-1 gene:ONIVA07G01960 transcript:ONIVA07G01960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTHVPYDGDESLFVEQQEQLSHGNEILFPVETQEGPVQVSRGESLMTCVLSTLPASCEQHSVATNLDNPGNNETVMAHDVQTAAVSSFDLKGIEKHVESLHDAMELDGTESSKMNLIADFTAPLSSDDNLVCYAIPCSMELSDTSVVNKCPHQSTGFPDYAKACTECDSGLTSCERVDKTTQDHDILSGSSIYSKNKDLYSYSDISVFSETHKDFAEPLELPPCGKDDEAPPDLAAQLHCNSCKDVMMPPQVISDEVEPVDRGATILVEHTPCGPETALTAFLYDKGSIDATSKTDELAKQNSNSLEGDVAKIHEQLLNYSYASGEVEISLTRSEKRTKKLRSQHPIYVPFLGFLKSVSFKKKASKGWSSSSSSSSLLRRVEEEEAVGRYSAEERRERIDKYRSKRNQRNFDKKITYACRKTLADSRPRVKGRARNSSDDAAAAAAAQVEVSPATNNNVPEWWPAVQEALARQEQEAAGLHLCDTADDDLLAAYLGAPPMPKRRRPGTKCEAYQKYACRKRLADERARVKGRFVSSSGGNDNNAPAHELPPSLVNLSDGAAAAAIIPTRSVPEWWPEMQASLARDEMCGGAGMNLHLCDANEMEQVAAYVGVSSMDLCAYLHCSWPPV >ONIVA07G01950.1 pep chromosome:AWHD00000000:7:1686804:1687512:-1 gene:ONIVA07G01950 transcript:ONIVA07G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVGVGASAPRGRRIEVMAVKSSSSKSTKVRWNFRIWMALVVVVVVELSGGGPRRPARVRVCVGGDGVCAVPGTSVAVIEVATVATLPSALRRPSSPFAVPGLAVASFPSVASVLAVAPAGVGMCVAFGGCPLLSHLQPQSKTEVYWQPLKSPIVVGWLVYVLALYFDS >ONIVA07G01940.1 pep chromosome:AWHD00000000:7:1675613:1676931:-1 gene:ONIVA07G01940 transcript:ONIVA07G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSGSLTTDSGGADCRRGRCPPNRLRRRTRLPFRCWYLTSHHIYPATTASAEKKRDDVLKPADGVDEGEVAPGADGTRRDAVLRSIACNLRESEAKEDGEATAASRNSVAPIAHAVLQAAVIKLHLCSSTGEIYLVCDPTSTMRGKYCAVHVNAFVPKICIGA >ONIVA07G01930.1 pep chromosome:AWHD00000000:7:1659053:1671048:1 gene:ONIVA07G01930 transcript:ONIVA07G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKIKKKQQQHQEEEEVEEEEEEEKAVSVRALSSSLRAAATRSLSSLSSSLRWDHRGDDEEEAELTWAAIERLPTFDRMRTSVLSSEEVDVRRLGAVERRVLVERLVADIQRDNLRLLRKQRRRMEKVGVRQPTVEVRWRNVQVEADCQVVSGKPLPTLLNTVLSLQQVLTTALGLTLLLGPPGCGKTTLLLALAGKLNKNLKVTGQVEYNGVNLNTFVPDKTSAYISQYDLHIPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDLDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADIIVGDVMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQLCHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGSKSCILSFFESCGFKCPQRKGAADFLQEVLSKKDQQQYWNRSEETYKFVTVDHFCEKFKASQDGQNFAEELSVPYDKSKGHKNALSFNIYSLSKWDLLKACFAREILLMRRNAFIYITKAVQLGILAIITGTVFLRTHMGVDRAHADYYMGSLFYALLLLLVNGFPELAMAVSRLPVFYKQRGYYFYPAWAYAIPAFILKIPVSLVESIAWTSISYYLIGYTPEASRFFRQLFILFLVHTGALSLFRCVASYFQTMVASTITVTDVTLGRKILMDRGLDFSSYFYWISVGALFGFVFLFNVGFAIGLTIKKPIGTSRAIISRDKLAPPHGSGKDMSKYMDNKMPKLQAGNALAPNKTGRMVLPFTPLTISFQNVNYYVDTPAEMREQGYMDRKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGYIDGDIRVGGYPKIQQTFARISGYCEQTDVHSPQVTVGESVAYSAWLRLPTEIDSKTRKEFVNEVLRTIELDEIRDSLVGLPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIEIFEAFNELMLMKRGGELIYAGPLGHHSCNIIQYFQAIPGVPKIKDNYNPSTWMLEVTSTSMEARLGVDFAQIYRESSMFQDKDTLVKCLSKPPVGTSDLHFQTRFPQKFGEQLKACLWKQCLSYWRSPSYNMVRILFTTISCIIFGALFWQKGDIKNINDQQGIFTIMGCMYGATVFAGINNCQLVMPFVSIERSVVYRERFAGMYSLWAYSLAQVAMEIPYVMVQIVLFMFIAYPMIGYAWTPFKFFWFVYTMVCTLLYFLYIGMMIVSLTPNIQVASILASMFYTVQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEHEKEIIVFGETKSIATFINDYFSFRRDLLPLAAIMLAMFPAMFAILFSLSISKLNFQRR >ONIVA07G01930.2 pep chromosome:AWHD00000000:7:1659053:1671048:1 gene:ONIVA07G01930 transcript:ONIVA07G01930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKIKKKQQQHQEEEEVEEEEEEEKAVSVRALSSSLRAAATRSLSSLSSSLRWDHRGDDEEEAELTWAAIERLPTFDRMRTSVLSSEEVDVRRLGAVERRVLVERLVADIQRDNLRLLRKQRRRMEKVGVRQPTVEVRWRNVQVEADCQVVSGKPLPTLLNTVLSLQQVLTTALGLTLLLGPPGCGKTTLLLALAGKLNKNLKVTGQVEYNGVNLNTFVPDKTSAYISQYDLHIPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDLDIDTYMKIMGLDICADIIVGDVMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQLCHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGSKSCILSFFESCGFKCPQRKGAADFLQEVLSKKDQQQYWNRSEETYKFVTVDHFCEKFKASQDGQNFAEELSVPYDKSKGHKNALSFNIYSLSKWDLLKACFAREILLMRRNAFIYITKAVQLGILAIITGTVFLRTHMGVDRAHADYYMGSLFYALLLLLVNGFPELAMAVSRLPVFYKQRGYYFYPAWAYAIPAFILKIPVSLVESIAWTSISYYLIGYTPEASRFFRQLFILFLVHTGALSLFRCVASYFQTMVASTITVTDVTLGRKILMDRGLDFSSYFYWISVGALFGFVFLFNVGFAIGLTIKKPIGTSRAIISRDKLAPPHGSGKDMSKYMDNKMPKLQAGNALAPNKTGRMVLPFTPLTISFQNVNYYVDTPAEMREQGYMDRKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGYIDGDIRVGGYPKIQQTFARISGYCEQTDVHSPQVTVGESVAYSAWLRLPTEIDSKTRKEFVNEVLRTIELDEIRDSLVGLPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIEIFEAFNELMLMKRGGELIYAGPLGHHSCNIIQYFQAIPGVPKIKDNYNPSTWMLEVTSTSMEARLGVDFAQIYRESSMFQDKDTLVKCLSKPPVGTSDLHFQTRFPQKFGEQLKACLWKQCLSYWRSPSYNMVRILFTTISCIIFGALFWQKGDIKNINDQQGIFTIMGCMYGATVFAGINNCQLVMPFVSIERSVVYRERFAGMYSLWAYSLAQVAMEIPYVMVQIVLFMFIAYPMIGYAWTPFKFFWFVYTMVCTLLYFLYIGMMIVSLTPNIQVASILASMFYTVQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEHEKEIIVFGETKSIATFINDYFSFRRDLLPLAAIMLAMFPAMFAILFSLSISKLNFQRR >ONIVA07G01930.3 pep chromosome:AWHD00000000:7:1659053:1671048:1 gene:ONIVA07G01930 transcript:ONIVA07G01930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKIKKKQQQHQEEEEVEEEEEEEKAVSVRALSSSLRAAATRSLSSLSSSLRWDHRGDDEEEAELTWAAIERLPTFDRMRTSVLSSEEVDVRRLGAVERRVLVERLVADIQRDNLRLLRKQRRRMEKVGVRQPTVEVRWRNVQVEADCQVVSGKPLPTLLNTVLSLQQVLTTALGLTLLLGPPGCGKTTLLLALAGKLNKNLKVTGQVEYNGVNLNTFVPDKTSAYISQYDLHIPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDLDIDTYMKIMGLDICADIIVGDVMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQLCHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGSKSCILSFFESCGFKCPQRKGAADFLQEVLSKKDQQQYWNRSEETYKFVTVDHFCEKFKASQDGQNFAEELSVPYDKSKGHKNALSFNIYSLSKWDLLKACFAREILLMRRNAFIYITKAVQLGILAIITGTVFLRTHMGVDRAHADYYMGSLFYALLLLLVNGFPELAMAVSRLPVFYKQRGYYFYPAWAYAIPAFILKIPVSLVESIAWTSISYYLIGYTPEASRFFRQLFILFLVHTGALSLFRCVASYFQTMVASTITVTDVTLGRKILMDRGLDFSSYFYWISVGALFGFVFLFNVGFAIGLTIKKPIGTSRAIISRDKLAPPHGSGKDMSKYMDNKMPKLQAGNALAPNKTDTPAEMREQGYMDRKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGYIDGDIRVGGYPKIQQTFARISGYCEQTDVHSPQVTVGESVAYSAWLRLPTEIDSKTRKEFVNEVLRTIELDEIRDSLVGLPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIEIFEAFNELMLMKRGGELIYAGPLGHHSCNIIQYFQAIPGVPKIKDNYNPSTWMLEVTSTSMEARLGVDFAQIYRESSMFQDKDTLVKCLSKPPVGTSDLHFQTRFPQKFGEQLKACLWKQCLSYWRSPSYNMVRILFTTISCIIFGALFWQKGDIKNINDQQGIFTIMGCMYGATVFAGINNCQLVMPFVSIERSVVYRERFAGMYSLWAYSLAQVAMEIPYVMVQIVLFMFIAYPMIGYAWTPFKFFWFVYTMVCTLLYFLYIGMMIVSLTPNIQVASILASMFYTVQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEHEKEIIVFGETKSIATFINDYFSFRRDLLPLAAIMLAMFPAMFAILFSLSISKLNFQRR >ONIVA07G01930.4 pep chromosome:AWHD00000000:7:1659053:1671048:1 gene:ONIVA07G01930 transcript:ONIVA07G01930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKIKKKQQQHQEEEEVEEEEEEEKAVSVRALSSSLRAAATRSLSSLSSSLRWDHRGDDEEEAELTWAAIERLPTFDRMRTSVLSSEEVDVRRLGAVERRVLVERLVADIQRDNLRLLRKQRRRMEKVGVRQPTVEVRWRNVQVEADCQVVSGKPLPTLLNTVLSLQQVLTTALGLTLLLGPPGCGKTTLLLALAGKLNKNLKVTGQVEYNGVNLNTFVPDKTSAYISQYDLHIPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDLDIDTYMKIMGLDICADIIVGDVMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQLCHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGSKSCILSFFESCGFKCPQRKGAADFLQEVLSKKDQQQYWNRSEETYKFVTVDHFCEKFKASQDGQNFAEELSVPYDKSKGHKNALSFNIYSLSKWDLLKACFAREILLMRRNAFIYITKAVQLGILAIITGTVFLRTHMGVDRAHADYYMGSLFYALLLLLVNGFPELAMAVSRLPVFYKQRGYYFYPAWAYAIPAFILKIPVSLVESIAWTSISYYLIGYTPEASRFFRQLFILFLVHTGALSLFRCVASYFQTMVASTITVTDVTLGRKILMDRGLDFSSYFYWISVGALFGFVFLFNVGFAIGLTIKKPIGTSRAIISRDKLAPPHGSGKDMSKYMDNKMPKLQAGNALAPNKTGYMDRKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGYIDGDIRVGGYPKIQQTFARISGYCEQTDVHSPQVTVGESVAYSAWLRLPTEIDSKTRKEFVNEVLRTIELDEIRDSLVGLPGVSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIEIFEAFNELMLMKRGGELIYAGPLGHHSCNIIQYFQAIPGVPKIKDNYNPSTWMLEVTSTSMEARLGVDFAQIYRESSMFQDKDTLVKCLSKPPVGTSDLHFQTRFPQKFGEQLKACLWKQCLSYWRSPSYNMVRILFTTISCIIFGALFWQKGDIKNINDQQGIFTIMGCMYGATVFAGINNCQLVMPFVSIERSVVYRERFAGMYSLWAYSLAQVAMEIPYVMVQIVLFMFIAYPMIGYAWTPFKFFWFVYTMVCTLLYFLYIGMMIVSLTPNIQVASILASMFYTVQNLMSGFIVPAPQIPRWWIWLYYASPLSWTLNVFFTTQFGDEHEKEIIVFGETKSIATFINDYFSFRRDLLPLAAIMLAMFPAMFAILFSLSISKLNFQRR >ONIVA07G01920.1 pep chromosome:AWHD00000000:7:1655952:1668936:-1 gene:ONIVA07G01920 transcript:ONIVA07G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARANRRNQAEYATDSPTVTCGEWTSVCSQYPDILAKANFGGRASLVFSKSKSECEQEGGFAAAGGAKKESQPAHHDPVLAKLIHNRHEELEGRGNW >ONIVA07G01910.1 pep chromosome:AWHD00000000:7:1655403:1657952:1 gene:ONIVA07G01910 transcript:ONIVA07G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HWP8] MKPKPFKEEFTLEERAKESASMIASYPARIPVIVERYLVPCDMPVGQFIFILRSRLHLSPGIALFVFVNNTLPQTAQLMGSVYESYKDEDDGFLYMCYSSEKTFG >ONIVA07G01910.2 pep chromosome:AWHD00000000:7:1655055:1657953:1 gene:ONIVA07G01910 transcript:ONIVA07G01910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HWP8] MKPKPFKEEFTLEERAKESASMIASYPARIPVIVERYLVPCDMPVGQFIFILRSRLHLSPGIALFVFVNNTLPQTAQLMGSVYESYKDEDDGFLYMCYSSEKTFG >ONIVA07G01910.3 pep chromosome:AWHD00000000:7:1655055:1657953:1 gene:ONIVA07G01910 transcript:ONIVA07G01910.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HWP8] MKPKPFKEEFTLEERAKESASMIASYPARIPVIVERFSRSSLPEMEKRN >ONIVA07G01910.4 pep chromosome:AWHD00000000:7:1655055:1657953:1 gene:ONIVA07G01910 transcript:ONIVA07G01910.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HWP8] MKPKPFKEEFTLEERAKESASMIASYPARIPVCDVM >ONIVA07G01900.1 pep chromosome:AWHD00000000:7:1654272:1655387:-1 gene:ONIVA07G01900 transcript:ONIVA07G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSLLDMSLDDLITNKYKRRSRPGPAPSARRSHYRAATRSAAAPYHAITFQAPPTAYVHPTPAANVETGTKLYISNLDYAVSNEDIKELFSEVGDVKRYSINYDKSGRSKGTAEVVFSRKSDALAAVKRYNNVQLDGKPMKLELIGINIEPPPPAMFGFAAPAGYFDFPPKRLVASIYSIVFLSP >ONIVA07G01890.1 pep chromosome:AWHD00000000:7:1618345:1619572:1 gene:ONIVA07G01890 transcript:ONIVA07G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPSISPAPAAYPLPTPSTSPPSAPLTNPTSNPMTPVVSMPPPSLTTTPPTAPAVSGQQLWCVAKGGSTETTLQNALDYACGIGGADCSAIQPSGTCYYPNTLDAHASYAFNSYYQRSPAPSSCDFGGTAILVNVNPSSGSCVLASSMSSTASSSVAGGYNPALTTPTTSSTSPIITPTTLSGSDSSVLNPDGSGSGSGSEFGSDIPDMSNCWHSISPNCWSLAALFSMLALA >ONIVA07G01880.1 pep chromosome:AWHD00000000:7:1602310:1602966:1 gene:ONIVA07G01880 transcript:ONIVA07G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERQGQGGDDDLNGVSDREEQEATVSRSSAGPKTMCGGHRERRSGTSDDKYSLPKEVLISVLVKSSLAPPCTLWSSGTQLLLVCTLDVHCLRACG >ONIVA07G01870.1 pep chromosome:AWHD00000000:7:1581927:1583576:-1 gene:ONIVA07G01870 transcript:ONIVA07G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HWP3] MASTAAVMERERLTAEMAFPPAVVAAGGGASCKPGAEPRSIVIKIRRRLPDFARSVNLKYVKLGLLSAGFPMPPSPSWVVMFALAAAAAYGLFDVAALYAVDLVGCAAWLCAAALLVAVWYARRPRPVYLVEFACYKPDGDEHEISKDGFLEMTESTGFFNGEAVAFQTKITRRSGLGDRTYLPPGIQARPPRLSMAEARAEAEAVMFGCLDKLFAATGVDPSRDVGILIVNCSLFNPTPSLASMVVNRYRMREDVKSFNLGGMGCSAGLIAVDLARDLLQANAGALAVVVSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSSRGGDARRAKYRLLHTVRTHKGAADGCFGSVYQREDERGRVGVSLARELMAVAGDALKTNITTLGPLVLPLAEQLKFLRSLVLRRVLRRGARRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLGLGDGDMEASKCALHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVPPVSSGAGDGEEERRRVSCNPWVDSVESYPPKAYI >ONIVA07G01860.1 pep chromosome:AWHD00000000:7:1580898:1581693:-1 gene:ONIVA07G01860 transcript:ONIVA07G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFVSEEPGIRVIVIVAIIRLFEKDQLTLRNRTSASAEFGQINLLIIVLDRSITLEFGFHQNHVYTVSENLQTGEVRRGCSTIFYC >ONIVA07G01850.1 pep chromosome:AWHD00000000:7:1573167:1575256:1 gene:ONIVA07G01850 transcript:ONIVA07G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVWKGFNRSNVIGKVFCGAVWKGFCRRNSGNYTFIGSIMIGKDVIAEILIRKLFWTHLFKVPVIWVGR >ONIVA07G01850.2 pep chromosome:AWHD00000000:7:1573153:1575256:1 gene:ONIVA07G01850 transcript:ONIVA07G01850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVWKGFNRSNVIGKVFCGAVWKGFCRRNSGNYTFIGSIMIGKDVIAEILIRKLFWTHLFKVPVIWVGR >ONIVA07G01840.1 pep chromosome:AWHD00000000:7:1548137:1548358:-1 gene:ONIVA07G01840 transcript:ONIVA07G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGAHALVLFLFMFAAVLSPAARRDAAEAMHAAANTRRHRSSGRPDGKTIDQGIGYMLMALALVLTYVLH >ONIVA07G01830.1 pep chromosome:AWHD00000000:7:1544919:1545157:1 gene:ONIVA07G01830 transcript:ONIVA07G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNRKELSKNVAISEEHIAISTYPIPWSIVLPSSRPENQRRRVLAAACIASAASLRAAGERTAANMNKSSTNA >ONIVA07G01820.1 pep chromosome:AWHD00000000:7:1543446:1544429:-1 gene:ONIVA07G01820 transcript:ONIVA07G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPPASRKRAAAPDDEPTATGSTTPAAKRPRRYALASVDDYEQLDVVGEGASGVVIMARHRRTGNKVALKHLPHGARDFDAVRVEAACQHACRGHPNIVQIKDVVADANTGDVFLVMEFVGGSLRDELPRARPEKQVRFMMRQLVDAAKKMHASHVCDFGSATFVNPAGKPYEECLVGTLPYTSPEQLAGNHCYGPGVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLDDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAAEALEHRWFAEEPKKANFAGFAPLFG >ONIVA07G01810.1 pep chromosome:AWHD00000000:7:1535092:1536090:1 gene:ONIVA07G01810 transcript:ONIVA07G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATIASKHPAADGGGDACSGASRCSTLTNIYNYDSLGTLGAGACSVVRKARDRRTGDTVAIKCFHPPGGDLDDGQQQQQHDAVALAGRERDCLAACRGSPSVVQLLDVAADPWNSGDVYLVMEFVGTRTLRDLTVGRPFSEAETRALMRQLLAGAAAIHGAGLIHRDVKPANILVGPGCVLKYCDFGDATPFMPPYEEFLVGTLRFTSPEEVAGDRFYGQGVDMWALGCVMAELLTGRFVFTSSETCEDHVLDLLDLRECDVGAEDSPAFGGLPGLSPAGREVLAGLLAFDHRERMTAEAALEHRWFTAAADSPAVLRRLADLAAGKNNM >ONIVA07G01800.1 pep chromosome:AWHD00000000:7:1526246:1526698:1 gene:ONIVA07G01800 transcript:ONIVA07G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANLPPRTTKSDHVDPPKPSLTPTPTTPPQRENNEETYELPAEFRVTSPPPPSPPYPFPISPSMEEDGMIFAEDLGYMSTPCPSPPSDVDDMNPPERHLINYPNRNHPAYDDDDDFELLNEDWLFFRDHQTPLKDVHSPGTRFKRHKRD >ONIVA07G01790.1 pep chromosome:AWHD00000000:7:1518471:1524890:1 gene:ONIVA07G01790 transcript:ONIVA07G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTYIHTSLNIVSLRATRETGLSPTLRIAANLQALVGSKSSQLPSEHGAKSLSALRLAFRSPPPLLQPTPRCPPPHGGARSRMEVIGKMPAKVKRIRFADSQTECEVVLPLTMGGGATSSAIAPDEPAQKKGKRKRRSKPVAGATTGDEAAGSKGPNLTRCSAALASNACRALSNTHHEKLEEIRLDAVTCMTLASLEKPDLICWLMDRTDPDTMCISLDDDRKIQITPRIVQLVMGTPRIVEELGLARNVRLTPKMLIEAIKQRKDDPRAVRFFVMVLMSTLLIPTTDFYIPKDYVWLAADLDRVAAIDWSKLEVLARKSGLVHRIVHCIFGVLYLDNILLPREVVMERMFTPRIQMFTKDIVDQLVNEDQNAGGDGTPPFGNLPLRPISTTCYANKQNVKGKGPMSAVIRASSLTFPNMSAIISTHLARLPEEKRICLMDALGEYDKQAKESATEIEHQFSMVVDKQNLLCQRLIDALQSDSSASPRPYAGQSSGCQQISNATVVQECMTPQMHPIVAQQHHKQMPNKQQQQHRLDEQHQRQDHQPKQNHPHDELQHRKQRPEQQQQDQVQQQQEQPHDQHLQQVQMHDQQQPLHHEERQEQHDQIAGSPKSAGDGMANVDHVDTTPQARHGVTAQSPTASIENPLLGLTRQLTEESAIPVEHEGADGPSKKADEMDVSADPMDVHRIDEPNLQQHTPTVTKETAPEDTAIIVTTDAGVAHGFYSVPAGNARLHLPWPDQGTHTVPSIANPPQDVGAAGVHHTDTSPATHSSVRSDDSHLDSLNEALSITPTPSLVLPPDDEMTDTQVYDKIEEICLREGAPSLSELMSDTNADIEGVKSTPWSQPKRFISRPARFVSPVVVGPSHHTSNIDASVQLRDFIINNQDRINSVKLIEIGTTVAYGYDVVRSFSNGVLTEGMFVDAFSSKLFKDDLRMRPDTFGKRIFLPTSISIITPVLHNSHWSLYAINIPHKRIDIMDSNNYPMIGTQFSDHHQHLSKRIVKRLSDALQTALPKKFCRFGGFRKTPMPCAKMAICSNDCAFYIMRFMEAYDGNREPIETMIIPGDSTIIRSSILHQLIFSEHNIAVPHHPDIVPFRGPSSD >ONIVA07G01780.1 pep chromosome:AWHD00000000:7:1514239:1514601:-1 gene:ONIVA07G01780 transcript:ONIVA07G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQETQTTNTHFVVPTKTPTADATPQQDEHESDLPEEFRVTSPPPLSPPPYPLSPSMEDDGIIYAEDLGYMSTPCPSPPSDVDDLNPPEDPNNRIILHPAFVYDDGDLDIIQEDIYNF >ONIVA07G01770.1 pep chromosome:AWHD00000000:7:1500291:1517970:1 gene:ONIVA07G01770 transcript:ONIVA07G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGKMPARCEVVLPQTLPSGGASSSRAVGEAAQSKPKRRRRATSAGEGPSVDEPYETKGPNLTRCSAAIVAKACRALSPVHHEKLEEIGLDAVACMSLESLEQPDLIRWLMDRTDPDTMCISIDDDRKIQITPRTVRLVLGTPLGGNDIVLPSHKVVRTVHESITDELGMHKKAKLSAKQLIEVIKSQKDDPRAVRYFIMVLMSKLLVRTTDFYVPKGDVWVASDLDRVAAIDWSKAVFRALSDSIRCWRQNLASSIASCVVFLAVLYLDNILPPRDIGLDLTFTPRIQMFTKDIVDKLVAADQEAGGDGTPPFGNLPLRPLESTCYANKPAGRAKGPMVEDIRAPAYTFPNMSTIIGPLLAGLPPDQRLGLLESLAEYDRQAKESAMEIERQFSSIIITGATVHIVRSTDTLITLTHPCILEIVPYIPPVRLEVADQPHPASDLSPDLTQRSPIPTDSAPIISDEVSAQHSAPDTAVEPPAIEAGGVIGNVSGASTASQMEDAPRAVDQEQHRTELLAETNEDTDDVNSTPWSQPKRFIQKPARFVSPVVVGPSIMPSDVSLSVQLRDFLLNNSGRMDSVKLMEIDSYVAYGNDVVNSFSTGNQTEGLFIDAFSSILFKDDRRNRPDIFGKWIFFSTSVSIMVPVLHHDHWSLYAINIGHRRVDIMDSNNYNLIGTLESDHHHALSKRIVKRLSDALHEVAPKSFCRFGGFRKNMMKCPKMQICSNDCAFYIMWFMEAYDGNRESIETLSIPTDSSLVRSSILHQLMFSEYNQAAPLHPDIEEFRLPRPTRRTRRSTREGPSLRRCLDDEWAAYAADYAAYTDDDLTNADDDIGSCLRTIITTTEIVDVRRGRRAWGRHVPQVLRVDNAIIFHGWRQWVRGWRQWRRACHPKLFGEITLMLILLRRGIGGGIRASMWNELIM >ONIVA07G01760.1 pep chromosome:AWHD00000000:7:1490789:1494457:-1 gene:ONIVA07G01760 transcript:ONIVA07G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIPWSIVLPSGRPELCRRRAFAACIASAAASLRAAGERTAANMNKKSTKACAPEDAMCIVYRLNLNQID >ONIVA07G01750.1 pep chromosome:AWHD00000000:7:1481952:1483987:-1 gene:ONIVA07G01750 transcript:ONIVA07G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIPWSIALPSGRPELRRRRAFAACIASVAASLRAAGERTAANMNKKSTKACAPEDAILVRIAQGGRLGAAGEMV >ONIVA07G01740.1 pep chromosome:AWHD00000000:7:1478977:1492949:1 gene:ONIVA07G01740 transcript:ONIVA07G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPVPAPPASRKRAAAPDHEPTASRSSTPAAAAGAKRPRRYALASVDDYEQLDVVGEGASGVVIMARHRRTGNKVALKHLPHGARDFDAVRVEAACQHACTGHPNIVQIKDVVADPKSGDVFLVMEFVGGSLRDELPRARPEKQVRFMMRQLVGAAKKMHASHVIHRDIKPENILNSFGDLKVCDFGSATFVNPAGKPYEECLVGTLPYTSPEQLAGNHCYGPGVDMWPLGCIMGELLTGAPLFGGDMTEKELLADLSANLDDQLNELFYDVLPELSPAAREVLFGLLAFDPEKRMTAAEALEHRWFAEEPKKANFAGFAPLFGAHALVLFLFMFAAVLSPAARREAATEAMHAANARRRRSSGRPDGKAIDQGIGYILMALALVLTYRAAAPDDEPTATGSTTPAAKRPRRYALASVDDYEQLDVVGEGASGVVIMARHRRTGNKVALKHLPHGARDFDAVRVEAACQHACTGHPNIVQIKDVVADPKSGDVFLVMEFVEGSLRDELPRARPEKQVRFMMRQLIGAAKKMHASHVIHRDIKPENILNSFGDLKVCDFGSATFVNPAGKPYEECLVGTLPYTSPEQLAGNHCYGPGVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLDDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAAEALDHRWFAEEPKKANFAGFAPLFDVRIFKNQDAANGKQ >ONIVA07G01730.1 pep chromosome:AWHD00000000:7:1477628:1478593:-1 gene:ONIVA07G01730 transcript:ONIVA07G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKRPAPDGGDAFLAAASPCCKKPRPLFTSIFNYEYLHKLGAGSYGVVYKARDRRTGETVAVKWVRPRRGLDHGQPANLAAFASERDCLAACRGYPSVVQLASNPSNWDVFIVMEFVGANSLRDFIAGCPFSEGDTGALMRRLLAGVRAMHRAGMAHRDIKPGNILVGPGFALKICDFGMATTAPPPYEPYMVGTLHYNSPEQLTESGLNGKYDAKVVDMWAAGCVMAELLTGGRAFTSETAKEHLLELVELRDYDIGSRNSLAFGGLRWLSPAGREVLAGLLAFDGDKRMTAEAALEHRWFTEEADSPAVLSCLAAIAS >ONIVA07G01720.1 pep chromosome:AWHD00000000:7:1467862:1468515:-1 gene:ONIVA07G01720 transcript:ONIVA07G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPRLLRLPSSRGIGPEKLLLERSSFRLTFSYLTGKSSVKLVVSKIKNCKLASRAQTRWNCATEMVASEVNMKKPRTAMLDNRHNARGTGPRSMLSNRLNFCSWLSSAISGGIIPERLLF >ONIVA07G01710.1 pep chromosome:AWHD00000000:7:1466735:1471036:1 gene:ONIVA07G01710 transcript:ONIVA07G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAPRFLLFLLQLLAASVVARPPPPERADSAAVLRSFLASLPPPSRRVLRPSWRRGGGGGAPHCAFLGVTCDAAGAVAALNLSGAGLAGELAASAPRLCALPALAALDLSRNGFTGSVPAALAACSRVATLVLSFNSLSGAVPPEILSSRRLRKVDLNSNALTGEIPTTGLAAGSSVLEYLDLCVNSLSGAIPPELAAALPELTYLDLSSNNLSGPMPEFPPRCGLVYLSLYSNQLAGELPRSLTNCGNLTVLYLSYNKIGGEVPDFFASMANLQTLYLDDNAFVGELPASIGELVNLEELVVSENAFTGTIPEAIGRCRSLTMLYLNGNRFTGSIPKFIGDLTRLQLFSIADNGITGEIPPEIGKCRGLVEIALQNNSLSGMIPPDIAELNQLQKLSLFDNILRGPVPLALWRLSNMAVLQLNNNSFSGEIHSDITQMRNLTNITLYNNNFTGELPQELGLNTTPGLLHIDLTRNHFRGAIPPGLCTGGQLAVLDLGYNQFDGGFPSEIAKCQSLYRVNLNNNQINGSLPADFGTNWGLSYIDMSSNLLEGIIPSALGSWSNLTKLDLSSNSFSGPIPRELGNLSNLGTLRMSSNRLTGPIPHELGNCKKLALLDLGNNFLSGSIPAEITTLGSLQNLLLAGNNLTGTIPDSFTATQALLELQLGDNSLEGAIPHSLGSLQYISKALNISNNQLSGQIPSSLGNLQDLEVLDLSNNSLSGIIPSQLINMISLSVVNLSFNKLSGELPAGWAKLAAQSPESFLGNPQLCVHSSDAPCLKSQSAKNRTWKTRIVVGLVISSFSVMVASLFAIRYILKRSQRLSTNRVSVRNMDSTEELPEELTYEDILRGTDNWSEKYVIGRGRHGTVYRTECKLGKQWAVKTVDLSQCKLPIEMKILNTVKHRNIVRMAGYCIRGSVGLILYEYMPEGTLFELLHRRKPHAALDWTVRHQIAFGVAQGLSYLHHDCVPMIVHRDVKSSNILMDTELVPKLTDFGMGKIVEDDDLDATVSVVVGTLGYIAPEHGYYTRLTEKSDIYSYGVVLLELLCRKMPVDPAFGDSVDIVTWMRSNLTQADRRVIMECLDEEIMYWPEDEQAKALDLLDLAMYCTQLACQSRPSMREVVNNLMRMDK >ONIVA07G01700.1 pep chromosome:AWHD00000000:7:1453314:1456998:1 gene:ONIVA07G01700 transcript:ONIVA07G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLLLLLALLLLLLLFPLATSAQPPPPSNTSASTSAAAVLLSFLDSLPPASQRLLLPSWRQSRSSSSSGNATAPPPHCAFLGVTCSDTGAVAALNLSGVGLTGALSASAPRLCALPASALPVLDLSGNGFTGAVPAALAACAGVATLLLGGNNLSGGVPPELLSSRQLVEVDLNGNALTGEIPAPAGSPVVLEYLDLSGNSLSGAVPPELAALPDLRYLDLSINRLTGPMPEFPVHCRLKFLGLYRNQIAGELPKSLGNCGNLTVLFLSYNNLTGEVPDFFASMPNLQKLYLDDNHFAGELPASIGELVSLEKLVVTANRFTGTIPETIGNCRCLIMLYLNSNNFTGSIPAFIGNLSRLEMFSMAENGITGSIPPEIGKCRQLVDLQLHKNSLTGTIPPEIGELSRLQKLYLYNNLLHGPVPQALWRLVDMVELFLNDNRLSGEVHEDITQMSNLREITLYNNNFTGELPQALGMNTTSGLLRVDFTRNRFRGAIPPGLCTRGQLAVLDLGNNQFDGGFSSGIAKCESLYRVNLNNNKLSGSLPADLSTNRGVTHLDISGNLLKGRIPGALGLWHNLTRLDVSGNKFSGPIPHELGALSILDTLLMSSNRLTGAIPHELGNCKRLAHLDLGNNLLNGSIPAEITTLSGLQNLLLGGNKLAGPIPDSFTATQSLLELQLGSNNLEGGIPQSVGNLQYISQGLNISNNRLSGPIPHSLGNLQKLEVLDLSNNSLSGPIPSQLSNMISLSVVNISFNELSGQLPDGWDKIATRLPQGFLGNPQLCVPSGNAPCTKYQSVKNKRRNTQIIVALLVSTLALMIASLVIIHFIVKRSQRLSANRVSMRNLDSTEELPEDLTYEDILRATDNWSEKYVIGRGRHGTVYRTELAVGKQWAVKTVDLSQCKFPIEMKILNTVKHRNIVRMAGYCIRSNIGLILYEYMPEGTLFELLHERTPQVSLDWNVRHQIALGVAESLSYLHHDCVPMIIHRDVKSSNILMDAELVPKLTDFGMGKIIDDDDADATVSVVVGTLGYIAPEHGYSTRLSEKSDVYSYGVVLLELLCRKMPVDPAFGDGVDIVTWMRSNLNQADHSNIMRFLDEEIIYWPEHEKAKVLDLLDLAMTCTQVSCQLRPSMREVVSILMRIERSNHVQFFEEAP >ONIVA07G01690.1 pep chromosome:AWHD00000000:7:1427409:1432544:-1 gene:ONIVA07G01690 transcript:ONIVA07G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HWM4] MAAEEILELSNGRITAKIAAWGATITSLIVPDAHGNLADVVLGFDTLEPYMEYVGTGKGGQKGISPYFGCIVGRVANRIKEGKFTLNGVEYSLAINNGPNSLHGGLKGFDKVVWDVVERKSECPSITFQYHSKDGEEGYPGDVTVRATYSLPDSTTLRLDMEAVAENKATPINLAQHTYWNLAGHNSGDTLDHSIQIWGKHITPVDENTIPTGEIMPVKGTPFDFTTEHKIGARINDVPGGYDHNYVLDSGEEKNGLKHAAKLKDSSNSRTLDLWTDAPGMQFYTANYVDGVTGKGGAVYGKHSGVCLETQGFPNAINQPNFPSVVVQPEVRTEQNRIFSQYQLSRAHPTVSILGEMMMTPARLVSPTVGLIPTTEL >ONIVA07G01680.1 pep chromosome:AWHD00000000:7:1422503:1431389:1 gene:ONIVA07G01680 transcript:ONIVA07G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEETLHHHHHRRRLPSTAPSPSPSPLDDDDLLQEILLRLPPDASTLPTASAVCKRWRRLAFEPGFRRRFVARHEPPFLGFFFPYDFDPVFSFNAGFRSTTAQHHLPAHRFLPEREIGLRWEIVNCCKGLALFRITFRGGCKCKEFMVVDPISGDRRLLPFPLVDGKFLSATVVPAAADRRSFRVVAVFAERNTFTSVFASVYSSDAGVWSDYVSRLCLPWEVWVLRPSVLAGNAVHWFLDGYNVLMFDLESQKLGFSELPLDAKDDEDFPHRCRCQIIPAGDGRLGLAVIVGSTMQLWEREIGDGSDATWLLRRTLQLNFLPLEAEGRKLIVGVAEENSSILLWTRVGLFMVHLKLGRSTEKSPSTTTTPTQASELQTPPLLTQQSSDAMLPSAAPARCLLLLLLLAVAVAGAAFDLEEATVDSIRRAFADGELTSRGLVELYLRRAAALDPSLHAVVELDPDGALAAADRADDARRLFASAGGGALPPPLLNGIPVLVKDNIAAAGGGGALNATCGSLALVGSRPAGDAGVVERLRRAGAVVLGTASLSEWCNFRAPGIPAGWSPRAGQGKNPYVPSATPCASSSGSAIAAAANMVAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGTVSDAVHVLEAIVGYDPRDAEATRMALQYIPEDGYRQFLNIDGLRGKRLGILRKDFFRFPSGSVQQKMTMTTSTVQSYAYSSLKMNEIKFLSFLLIPGCYKTESKMGAILVDNLEIPNMNIINDAVQSGERALMLAEFKLSLNSYLSELASSPVRSLSDIIDFNNKHPVEERMAEFGQSYLLQSEATDGTGPTEKKAIAKLNELCESGLEKIMRVNQLDAIVSPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPRLIEIAYSFEQATKVRRPPTLQHSII >ONIVA07G01680.2 pep chromosome:AWHD00000000:7:1423792:1431389:1 gene:ONIVA07G01680 transcript:ONIVA07G01680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCHDNWTLLNFDAHMSVVNGRQAAASTSLRKKNLSCAKTPPLLTQQSSDAMLPSAAPARCLLLLLLLAVAVAGAAFDLEEATVDSIRRAFADGELTSRGLVELYLRRAAALDPSLHAVVELDPDGALAAADRADDARRLFASAGGGALPPPLLNGIPVLVKDNIAAAGGGGALNATCGSLALVGSRPAGDAGVVERLRRAGAVVLGTASLSEWCNFRAPGIPAGWSPRAGQGKNPYVPSATPCASSSGSAIAAAANMVAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGTVSDAVHVLEAIVGYDPRDAEATRMALQYIPEDGYRQFLNIDGLRGKRLGILRKDFFRFPSGSVQQKMTMTTSTVQSYAYSSLKMNEIKMGAILVDNLEIPNMNIINDAVQSGERALMLAEFKLSLNSYLSELASSPVRSLSDIIDFNNKHPVEERMAEFGQSYLLQSEATDGTGPTEKKAIAKLNELCESGLEKIMRVNQLDAIVSPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPRLIEIAYSFEQATKVRRPPTLQHSII >ONIVA07G01680.3 pep chromosome:AWHD00000000:7:1423792:1431389:1 gene:ONIVA07G01680 transcript:ONIVA07G01680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCHDNWTLLNFDAHMSVVNGRQAAASTSLRKKNLSCAKTPPLLTQQSSDAMLPSAAPARCLLLLLLLAVAVAGAAFDLEEATVDSIRRAFADGELTSRGLVELYLRRAAALDPSLHAVVELDPDGALAAADRADDARRLFASAGGGALPPPLLNGIPVLVKDNIAAAGGGGALNATCGSLALVGSRPAGDAGVVERLRRAGAVVLGTASLSEWCNFRAPGIPAGWSPRAGQGKNPYVPSATPCASSSGSAIAAAANMVAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGTVSDAVHVLEAIVGYDPRDAEATRMALQYIPEDGYRQFLNIDGLRGKRLGILRKDFFRFPSGSVQQKVFDEHFNTISKMGAILVDNLEIPNMNIINDAVQSGERALMLAEFKLSLNSYLSELASSPVRSLSDIIDFNNKHPVEERMAEFGQSYLLQSEATDGTGPTEKKAIAKLNELCESGLEKIMRVNQLDAIVSPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPRLIEIAYSFEQATKVRRPPTLQHSII >ONIVA07G01680.4 pep chromosome:AWHD00000000:7:1423792:1431389:1 gene:ONIVA07G01680 transcript:ONIVA07G01680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCHDNWTLLNFDAHMSVVNGRQAAASTSLRKKNLSCAKTPPLLTQQSSDAMLPSAAPARCLLLLLLLAVAVAGAAFDLEEATVDSIRRAFADGELTSRGLVELYLRRAAALDPSLHAVVELDPDGALAAADRADDARRLFASAGGGALPPPLLNGIPVLVKDNIAAAGGGGALNATCGSLALVGSRPAGDAGVVERLRRAGAVVLGTASLSEWCNFRAPGIPAGWSPRAGQGKNPYVPSATPCASSSGSAIAAAANMVAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGTVSDAVHVLEAIVGYDPRDAEATRMALQYIPEDGYRQFLNIDGLRGKRLGILRKDFFRFPSGSVQQKMGAILVDNLEIPNMNIINDAVQSGERALMLAEFKLSLNSYLSELASSPVRSLSDIIDFNNKHPVEERMAEFGQSYLLQSEATDGTGPTEKKAIAKLNELCESGLEKIMRVNQLDAIVSPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPRLIEIAYSFEQATKVRRPPTLQHSII >ONIVA07G01680.5 pep chromosome:AWHD00000000:7:1426607:1431389:1 gene:ONIVA07G01680 transcript:ONIVA07G01680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSAAPARCLLLLLLLAVAVAGAAFDLEEATVDSIRRAFADGELTSRGLVELYLRRAAALDPSLHAVVELDPDGALAAADRADDARRLFASAGGGALPPPLLNGIPVLVKDNIAAAGGGGALNATCGSLALVGSRPAGDAGVVERLRRAGAVVLGTASLSEWCNFRAPGIPAGWSPRAGQGKNPYVPSATPCASSSGSAIAAAANMVAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGTVSDAVHVLEAIVGYDPRDAEATRMALQYIPEDGYRQFLNIDGLRGKRLGILRKDFFRFPSGSVQQKMTMTTSTVQSYAYSSLKMNEIKFLSFLLIPGCYKTESKMGAILVDNLEIPNMNIINDAVQSGERALMLAEFKLSLNSYLSELASSPVRSLSDIIDFNNKHPVEERMAEFGQSYLLQSEATDGTGPTEKKAIAKLNELCESGLEKIMRVNQLDAIVSPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPRLIEIAYSFEQATKVRRPPTLQHSII >ONIVA07G01680.6 pep chromosome:AWHD00000000:7:1422503:1426485:1 gene:ONIVA07G01680 transcript:ONIVA07G01680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEETLHHHHHRRRLPSTAPSPSPSPLDDDDLLQEILLRLPPDASTLPTASAVCKRWRRLAFEPGFRRRFVARHEPPFLGFFFPYDFDPVFSFNAGFRSTTAQHHLPAHRFLPEREIGLRWEIVNCCKGLALFRITFRGGCKCKEFMVVDPISGDRRLLPFPLVDGKFLSATVVPAAADRRSFRVVAVFAERNTFTSVFASVYSSDAGVWSDYVSRLCLPWEVWVLRPSVLAGNAVHWFLDGYNVLMFDLESQKLGFSELPLDAKDDEDFPHRCRCQIIPAGDGRLGLAVIVGSTMQLWEREIGDGSDATWLLRRTLQLNFLPLEAEGRKLIVGVAEENSSILLWTRVGLFMVHLKLGRSTEKSPSTTTTPTQASELQT >ONIVA07G01670.1 pep chromosome:AWHD00000000:7:1418997:1419350:-1 gene:ONIVA07G01670 transcript:ONIVA07G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEMAVVVLRCFDGTTVAAPAGVVTGRSGLVAEAVAGGAGGGGGGGGRVVVDVPGNVSGVDVAAVVAYMEARAAAADGDAFDGEFIGGLTHDARIDLIHAAHHLADKALFNLLA >ONIVA07G01660.1 pep chromosome:AWHD00000000:7:1417350:1418474:1 gene:ONIVA07G01660 transcript:ONIVA07G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPCIAIAVLLSSSLAAAVTGPPGTIERVVKQQILASIPPGGHGAGDVHPPVLFLASPSGKYAAYFVRSHTVPGAGGLGADFCYVEVVVNKGGEGDAAVAAGGGMSVWESECRPVSTVNTCTLLFSWHGLEVFDGSQEVWHGETNTDGTNFLQRLELVDDGDMRIRDKDGELAWRASDEPRHAQHCGAPGSPGLATAFPPFAEPIGAHSSDLPFGMFPGGNGRAAELPQAADAAAGALGGVGAVAPLPGAVGGAGAVAPLPGAAGGDAAAAPLPGDMGGDAALAPLPGALGGVDPAFSPLPGDLPDPAAAAAAGGAAAGVGGVGAGALGAGAVGAFGSQPLVDNSPYDSGAWKVDGHLVAIVVALGVVLGAI >ONIVA07G01650.1 pep chromosome:AWHD00000000:7:1407944:1410730:-1 gene:ONIVA07G01650 transcript:ONIVA07G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPDLRHRRGGSYSTMAVLPDGSGAAAALAGRDGMIWLQITTMPKINGGCGGAGAARATPFLDLRERVHHGAVGSLGIKSVAFHPEFVANGRFYGMTKTADPKELRTIFRMALPPPQELQISGLNNGDQLFFNDGYMYIATGHGVINTAAGNVDFSWDRNTWQASFHPNRRFQMYCGLVVNATAEVRLIDTRHGSYSIVHRGSRTPSDGRQLSEIISGFNYRGSNPSLKGRYGSDLWVATETLEGSDQYTSMRITMVGCSSTSPMACDPSGTAIVGLINFIVEGNNGDALFLTTKGIYRVVHPTLCHNPTGEPIIAGAAGDGINNRSPTQWTPITWMKVLPTCMGFLASLFISGALSTGWPVLEQMAAMDN >ONIVA07G01640.1 pep chromosome:AWHD00000000:7:1399101:1401965:1 gene:ONIVA07G01640 transcript:ONIVA07G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPPAAIFSPVSTLPFSLSPPLPKLGEDAGAARGARRDPEATAMSRRSPPAAIFSPFSDGVI >ONIVA07G01630.1 pep chromosome:AWHD00000000:7:1394326:1397822:1 gene:ONIVA07G01630 transcript:ONIVA07G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYGVLCLLVVVCFSHTLVLHSQGSSNSTIDEVALFSFKSMLLNPSDSLASWNTSSHFCRSWHGVVCSRGHPKRVVRLQLSSLNLLGEISPFLGNLSFLRMLHLGDNHLTGQIPPELGHLSKLRVLNLSANSLIGSIPVTLGGCAQLTFLNLRNNRLHGEIPAEIGSLEKLTVLQLRNNNLSGQIPLSLGGLTVLGALYLTSNDLSGEIPSALGNLSNLWGLGLSDNMLSGAIPSSLGLLSRLSSLTIGFNNLSANASSISVINLGHNFLHGVVPPEVGSLRNLERVVLAESSLEAKTVDDWKFMTALANCSRLQILDLGGNKFSGVLPDSVSNLSRSLIYLSVGQNRISGSIPRDIGNLINLQALVLSENSFTGSLPSSLSRLKNLRGLAVYNNNLSGSLSLTIGNFTQLIYLLLHMNAFSGTIPSTLGNLTELLQLHLGYNNFTGLIPKEIFSIVTLSEFLDVSHNNLEGSIPQEIGSLKNLVEFHAESNILSGEIPSTLGDCELLQNIYLQNNFLNGSIPSPLSHLKGLTTLDISNNNLSGTLPGSIADFRALKYLNLSFNKFVGEVPTVGIFSNDSSFSIQGNDKLCGGIQTLHLPPCSLQLSKKKHKIPVIPITAATVATLLLLSSTYMLVACHKKRKAKIQSTSSMEGHPLISYSQLVRATNGFSTNNLLGSGAFGSVYKGELDGQSSENTNIVAVKVLKLQNHGALKSFISECEALRNLRHRNLVKIITACSSIDPTGNDFKAIVFEFMPNGNLESWLHGDTHELTEERCLNLMARVTILLDVAYALDYLHCHGPSPVIHCDIKSSNVLLDADMVAHVGDFGLARIPDEQSSVLQSSTNSLGFRGTIGYAAPEYGAGNTMSMHGDIYSYGILVLETITGKRPIGSEFREGLSLREYVQLGLQDRVMDVVDMRLSLDLTDGLQTANDSSYKRKIECIVLLLKLGMSCSHELPSSRLPTGDIIKELLAIKESLSMEYRVR >ONIVA07G01620.1 pep chromosome:AWHD00000000:7:1379319:1380199:-1 gene:ONIVA07G01620 transcript:ONIVA07G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLARIASSWVAQSTRRDIDVGIEMPSDEYFAPGIDGQIWYLRTVNSRPAHR >ONIVA07G01610.1 pep chromosome:AWHD00000000:7:1365288:1372864:1 gene:ONIVA07G01610 transcript:ONIVA07G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTRTGESREAALIQPPLATAAAPLRPCRRAPPSDPAAAAARIIPSSAKSTKNERGLSRNFCLFLDGKTQHQKNNDYALTSILALSGIVIAVLTSLGGMMVALGHGESSPAACLERVNIHEYFGMASRFADGLGRHLLFGDDSNIWLDTIPKHGNTLDDGKAAGRRPFLNASDIARQLGADDGLQIKGIEVHPEFARNGRFLISYIYSDRRSSKWWLVVAELSAQDSKKMDTIFTTELPQDQEVQLSGSNQGGQIFFKHTNNTSYIYIVIGHGVLKSYAGYVDLSSDESSLLGKVIRVEIPETSPKTHQIVAKGIADPKGCNINPDDRRCIFCSLVVDGTAQVRLINIESVRETYTLIFNGSLPEITGGFKYDRASTDPSLERKYIFFFNSSMYTATEIPEGSGHYIYARITKVGCSKSSPKAACDPKSFTNSPGFVHFIGEDSNGDALLFTPMGIYRLVHPALCHYPTVVDDDDDGATATTASVGKHCCSPPAPAHWSTGKKELVYGGAPSLFFAVMGAIWYCVYYIVLPALSPGTDGGGGGGGGGQQAPTVAVNNNFSCFNIRNFIRASQGARAQTIELQEAAAAAAAE >ONIVA07G01610.2 pep chromosome:AWHD00000000:7:1365288:1372864:1 gene:ONIVA07G01610 transcript:ONIVA07G01610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTRTGESREAALIQPPLATAAAPLRPCRRAPPSDPAAAAARIIPSSAKSTKFLDGKTQHQKNNDYALTSILALSGIVIAVLTSLGGMMVALGHGESSPAACLERVNIHEYFGMASRFADGLGRHLLFGDDSNIWLDTIPKHGNTLDDGKAAGRRPFLNASDIARQLGADDGLQIKGIEVHPEFARNGRFLISYIYSDRRSSKWWLVVAELSAQDSKKMDTIFTTELPQDQEVQLSGSNQGGQIFFKHTNNTSYIYIVIGHGVLKSYAGYVDLSSDESSLLGKVIRVEIPETSPKTHQIVAKGIADPKGCNINPDDRRCIFCSLVVDGTAQVRLINIESVRETYTLIFNGSLPEITGGFKYDRASTDPSLERKYIFFFNSSMYTATEIPEGSGHYIYARITKVGCSKSSPKAACDPKSFTNSPGFVHFIGEDSNGDALLFTPMGIYRLVHPALCHYPTVVDDDDDGATATTASVGKHCCSPPAPAHWSTGKKELVYGGAPSLFFAVMGAIWYCVYYIVLPALSPGTDGGGGGGGGGQQAPTVAVNNNFSCFNIRNFIRASQGARAQTIELQEAAAAAAAE >ONIVA07G01600.1 pep chromosome:AWHD00000000:7:1357071:1360128:-1 gene:ONIVA07G01600 transcript:ONIVA07G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLYGEGRPTACSSTASDIQCFLHDQYLSNLNEDRQIVIPVESEMVPAIRFESEMV >ONIVA07G01600.2 pep chromosome:AWHD00000000:7:1357071:1360128:-1 gene:ONIVA07G01600 transcript:ONIVA07G01600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAGSPSGNPTTTLISVTLPRRVPPLLCSDDRRLSSPGRRRGSGGTGRPRSGRRAPGGAAATAARRRRPPCPPHLRRLRPLTTGSLPHHRISPLDTVADGDLAVGSCHRPS >ONIVA07G01600.3 pep chromosome:AWHD00000000:7:1357071:1360128:-1 gene:ONIVA07G01600 transcript:ONIVA07G01600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAGSPSGNPTTTLISVTLPRRVPPLLCSDDRRLSSPGRRRGSGGTGRPRSGRRAPGGAAATAARRRRPPCPPHLRRLRPLTTGSLPHHRISPLDTVADGGEPPRPPSPRSPYLAVGSCHRPS >ONIVA07G01600.4 pep chromosome:AWHD00000000:7:1357071:1360128:-1 gene:ONIVA07G01600 transcript:ONIVA07G01600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAGSPSGNPTTTLISVTLPRRVPPLLCSDDRRLSSPGRRRGSGGTGRPRSGRRAPGGAAATAARRRRPPCPPHLRRLRPLTTGSLPHHRISPLDTVADGGEPPRPPSPRSPYLAVGSCHRPS >ONIVA07G01600.5 pep chromosome:AWHD00000000:7:1357071:1360128:-1 gene:ONIVA07G01600 transcript:ONIVA07G01600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAGSPSGNPTTTLISVTLPRRVPPLLCSDDRRLSSPGRRRGSGGTGRPRSGRRAPGGAAATAARRRRPPCPPHLRRLRPLTTGSLPHHRISPLDTVADGDLAVGSCHRPS >ONIVA07G01590.1 pep chromosome:AWHD00000000:7:1355928:1356842:-1 gene:ONIVA07G01590 transcript:ONIVA07G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATWQPAVTFNFVTKWKFVGRQAASGGAGGQRRQRLRLSTPGRQGVEVEAAAGGVPGCRGGGGARLGDRWRRRIEKCATRRCGKRVTAIGRRRTRRILPETGGIMHLVPNLRRFGLGVAELERLNYGGDPLHDLNAAMAALESSASAAAAVRASSVSATDCSLHHWPPAARESSIFAADCPLPLAAHRPGKLRHRLVHLRLRCSRLARGCARERENRERHWV >ONIVA07G01580.1 pep chromosome:AWHD00000000:7:1353431:1354097:1 gene:ONIVA07G01580 transcript:ONIVA07G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELRSPTLNLYIACPQLTPAASTFPAAASNYCQLDELLTEEEKDLQIKVRQFMENEVAPIISKFWEKAEFPFHLIPKMSTLGIAGGTIKVNR >ONIVA07G01570.1 pep chromosome:AWHD00000000:7:1330521:1331773:-1 gene:ONIVA07G01570 transcript:ONIVA07G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYNKKTYGYDHIVCMHSAATSTPPWASSALAQPRYFSTRATRVMMRRLEQRIRKQERAAGSMHGWRIAHLKANHRLDLLKSDMRGDQLKVTAHMYRDLFVIFAGAHTVMSLVAGAINFKEALAELFAPQSEKMPAEESKEAPPPEKMHHPREALQVLGSWLL >ONIVA07G01560.1 pep chromosome:AWHD00000000:7:1322152:1329351:1 gene:ONIVA07G01560 transcript:ONIVA07G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQLRSSESPASGGGGVTGGGAPHLFHALGPALLISIGYIDLGKWVAAVEAGSRFGLDLVLLALLFNFMAILCQYLAACIGTVTGRSLAEICHQEYSRPTCIFLGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATVVPNLLPYAISHLGKKMAGTLNACIAGFALLCYVLGLLVSQPQIPLTTNVIFPKLSGESAYSLMALLGANVMAHNFYIHSSVVQGQKRSAFAVGALFHDHLFSVLFIFTGIFLVNHVLMNSAAAESTNTLLLTFQDVVELMNQIFVNPMAPTIFLVVLLFSSHIISLTSAIGSQVISQHLFGINLPLSGHHLILKAFAIVPALYCAKVAGAEGIYQLLIICQIIQAMLLPSSVVPLFRVASSRLIMGAHRVSLHLEILTFLAFLLMLFSNIIFMAEMLFGDSGWLNTLKGNTGSPVVFPSTVLITVACVSVAFSLYMAVTPLKSGSHEAELQQEWSVPSQKELLNTTQDREETCAGNVTYEEDQRSDVVPSPRIQPVDCLKSALDYIDSSDTAIESDHDSQHSTAHTSTAPESCHSPSFIPEESKSVVAVDWPEPLEPISNAIVAEESTVESVDSKSTGERDIEVEPALLMDNDKEAPNILESDNKPLGGNNPSCASDDGPPSLTFSRGKGSDAGNGSGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASSKRFDILLGLDVRTPSSTVRADSQANEIPKSPMVRDNLQGSAFLGSSRDLMSTKNEMSNLDLTYGLQMGNNIGSSAWSQGMQLPSTQLQSSSNSLLDQGARLNSNFSTPSYADNNQFYQPATIHGYQLASYLKQMNANRNPYSSMPLDPQRLPKSSASAVPTYVNSVMHARNQNLLASLGATPSQIAATSRIGTMMAERSYYDPSTLDGNENAGSSAYSKKYHSSPDISALIAASRSALLNESKLGGGTIGSQSYLSRLASERSQYTNSVARPAAPLAFDELSPPKLPGDIFSMQQSPNPSARSLWAKQPFEQLFGVSSAELTKSEFNPAGRSGGMTKDDFSYKESEAKLLQSLRFCISKLLKLEGSGWLFKQNGGSDEDLIDQVAAVEKLLQQGTSDNQLLLGDTQQPPCDKADIQYMRVLPNCGDDCIWRASLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGILDPAFSKPRSALSACACLHRDIRVLNSLRHSSLVATNSIPRQIRGSFTTASVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGQQ >ONIVA07G01560.2 pep chromosome:AWHD00000000:7:1322614:1329351:1 gene:ONIVA07G01560 transcript:ONIVA07G01560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQLRSSESPASGGGGVTGGGAPHLFHALGPALLISIGYIDLGKWVAAVEAGSRFGLDLVLLALLFNFMAILCQYLAACIGTVTGRSLAEICHQEYSRPTCIFLGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATVVPNLLPYAISHLGKKMAGTLNACIAGFALLCYVLGLLVSQPQIPLTTNVIFPKLSGESAYSLMALLGANVMAHNFYIHSSVVQGQKRSAFAVGALFHDHLFSVLFIFTGIFLVNHVLMNSAAAESTNTLLLTFQDVVELMNQIFVNPMAPTIFLVVLLFSSHIISLTSAIGSQVISQHLFGINLPLSGHHLILKAFAIVPALYCAKVAGAEGIYQLLIICQIIQAMLLPSSVVPLFRVASSRLIMGAHRVSLHLEILTFLAFLLMLFSNIIFMAEMLFGDSGWLNTLKGNTGSPVVFPSTVLITVACVSVAFSLYMAVTPLKSGSHEAELQQEWSVPSQKELLNTTQDREETCAGNVTYEEDQRSDVVPSPRIQPVDCLKSALDYIDSSDTAIESDHDSQHSTAHTSTAPESCHSPSFIPEESKSVVAVDWPEPLEPISNAIVAEESTVESVDSKSTGERDIEVEPALLMDNDKEAPNILESDNKPLGGNNPSCASDDGPPSLTFSRGKGSDAGNGSGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASSKRFDILLGLDVRTPSSTVRADSQANEIPKSPMVRDNLQGSAFLGSSRDLMSTKNEMSNLDLTYGLQMGNNIGSSAWSQGMQLPSTQLQSSSNSLLDQGARLNSNFSTPSYADNNQFYQPATIHGYQLASYLKQMNANRNPYSSMPLDPQRLPKSSASAVPTYVNSVMHARNQNLLASLGATPSQIAATSRIGTMMAERSYYDPSTLDGNENAGSSAYSKKYHSSPDISALIAASRSALLNESKLGGGTIGSQSYLSRLASERSQYTNSVARPAAPLAFDELSPPKLPGDIFSMQQSPNPSARSLWAKQPFEQLFGVSSAELTKSEFNPAGRSGGMTKDDFSYKESEAKLLQSLRFCISKLLKLEGSGWLFKQNGGSDEDLIDQVAAVEKLLQQGTSDNQLLLGDTQQPPCDKADIQYMRVLPNCGDDCIWRASLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGILDPAFSKPRSALSACACLHRDIRVLNSLRHSSLVATNSIPRQIRGSFTTASVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGQQ >ONIVA07G01550.1 pep chromosome:AWHD00000000:7:1319747:1330351:-1 gene:ONIVA07G01550 transcript:ONIVA07G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAQERARRRPRGWGRRRELQDAVRNSCSPAVAGIGSTAGRRAVGDQNGFGTSSELHPADAPDTEADNEGGAPDAVVSAVRQYAHELRDAESKRLKKLRLRLLISPGSLGGESSSNAKGAAGLATEFTSDPLNFPRRQTLRCPQNSSSMAASCLLAARPKPDNLERLPLPLPASEPFPLLKVHQQSAGVIRSVEEIPRGRRPMDGADALSHYPLSNAHVLTS >ONIVA07G01540.1 pep chromosome:AWHD00000000:7:1295571:1296002:1 gene:ONIVA07G01540 transcript:ONIVA07G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMAWEATRWLLVEARRGGGGLATTREVARRVMEPRPRPLDDDNDSTTVVSSRQQWRPRGDKSIVGGLGGGGSVADELGGVGSVVGFVGGGADPVGCARGGHPRTARRRPGSGATAAATRPLLPILPMLARGGRERRCPHHA >ONIVA07G01530.1 pep chromosome:AWHD00000000:7:1289287:1291364:-1 gene:ONIVA07G01530 transcript:ONIVA07G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family UPF0090 [Source:Projected from Arabidopsis thaliana (AT1G69210) TAIR;Acc:AT1G69210] MAHARAQAIRALLARCSTECPRRAAASSCIRRASLPYCSPGSCPRSLLPAVRAAGADWTRSLASRAQGGAGAGEAGAEEGEAQEWMAEWEEEEEEEEEEDVEPEIGDGGDGGGVALRGVEWGKRALAAAEEVLGEHFGDDVAMFAFKVSPKGYVYVRLDKLTNRYGCPGIEEIESFNKLYKQKLDELIEQGEIPLDLAIEARAERLLKVPKDLDRFKDMAMRVQYLVEGDDVIPKQILQKDGIFLLESVDIQAEHCIWKLADVKENRAAAGKGRPLNRKKRDWRLQTSFQAVKKATLYLD >ONIVA07G01520.1 pep chromosome:AWHD00000000:7:1287352:1289593:1 gene:ONIVA07G01520 transcript:ONIVA07G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPAAAPPRRVVICGGGVVGACTAYFLSTHAASPTVPTLVEKSSPACAASGKAGGFLALDWCDKTPALSALARASFALHRRLAATLDGGSAYGFRPVHTLSICLPTDPDPAAAASPLLPAWVDPAASAAPPRELGTTDTTAQVHPGFFTKAVLAASGAEVVIGEAERVVVRDGRVAGVVVRGRGEVDADAVVLALGPWSGRFEMVREVFDVSGLKAHSIVLRPREPENITPHALFLSYQPEPGAKMLDPEVYPRPTGEVYICGMTKDEEVPDDPETITGEPDSIAMLHKIAGRVSGQLKREEGAEVVAEQACYLPCTDDGLPVIGEMPGVKGCYVATGHSCWGILNAPATGAALAELILDGDAKIVDLAPFSPARFLKKKSKRGV >ONIVA07G01510.1 pep chromosome:AWHD00000000:7:1284666:1284881:1 gene:ONIVA07G01510 transcript:ONIVA07G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENIQKKRERRKKLTIEGREEARVAGAFERSSPAASPAPAPDPPRIALASAAVAIAIAAAPSVHQSGSRD >ONIVA07G01500.1 pep chromosome:AWHD00000000:7:1282744:1285776:-1 gene:ONIVA07G01500 transcript:ONIVA07G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEKKRWNLPFPSPPQLVRTGGATSAAAAAANRVSNRVRRGGGDGDGDGGASQGDARRIRRRCGTGGRRTPLEGSRYPGFLPPLYGRSRGCSNGGGRCAKVADLAEMNGRINMVEFELKREVQLMKFAVEKQRAMARVEMMEAEANRMQFRFRLMCGLVWGGSLVYIASHRY >ONIVA07G01500.2 pep chromosome:AWHD00000000:7:1282744:1285776:-1 gene:ONIVA07G01500 transcript:ONIVA07G01500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEKKRWNPSKSPSLLRRSWFEPEAQRRRRRRRRIGRGGGDGDGDGGASQGDARRIRRRCGTGGRRTPLEGSRYPGFLPPLYGRSRGCSNGGGRCAKVADLAEMNGRINMVEFELKREVQLMKFAVEKQRAMARVEMMEAEANRMQFRFRLMCGLVWGGSLVYIASHRY >ONIVA07G01490.1 pep chromosome:AWHD00000000:7:1272592:1273947:-1 gene:ONIVA07G01490 transcript:ONIVA07G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDDMIAATSFFFSNPPRYFANDMMIGGGRAALQLFLVPMDSNFGASRLTSLTGSAGDLPLGVLSDITNLSAAELRRKCARERYALLSVDEKEARNKKAREKRRQKKEKCQGGNQSGAAVKGNYKPTQLILGHLFY >ONIVA07G01480.1 pep chromosome:AWHD00000000:7:1268417:1271016:-1 gene:ONIVA07G01480 transcript:ONIVA07G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASPPFCIHAAPDLRRGRRISRLRKRNRMRATISSLASALPVCIHAAAGLHPCRPRSVPQEEKKPPARRNALPVASPPRDHRSPIPRSRSPPLSPPPPSGRHSALHGSPVSALRRQQQRQRGLLAIQEIIVKETSLRTILFCQVSEGLSYYCTTSSATIAKP >ONIVA07G01470.1 pep chromosome:AWHD00000000:7:1262993:1263538:-1 gene:ONIVA07G01470 transcript:ONIVA07G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVSLSLAASNCDEDGAAAPTTVRVDGKQVRLFPCLFCERTFVKSQALGGHQNAHRKERVAAGRNPYVYYAEGAPSCFSSAAGSEVVTARLAAAAAWSMPISSHGCSVVGPIERWSGVGVGARSFTEHAQLMAAVGGAGRDENTVDMLNWTRASHAAAAATVDDSSIGAGDEQMDLELRL >ONIVA07G01460.1 pep chromosome:AWHD00000000:7:1257772:1258224:-1 gene:ONIVA07G01460 transcript:ONIVA07G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSASSSAPPPPCRPSSSSSSSATVDLSLSLAAPAATAPTTAVVNGKGGVRRLFPCLFCNKTFVKSQALGGHQNAHRKERVAGGGGWNPYVYDVGGGAAVPTVASHGVTAVDGWRRWSDAGGERATKKRSSSMGVAGDGEELVLELRL >ONIVA07G01450.1 pep chromosome:AWHD00000000:7:1242259:1242738:-1 gene:ONIVA07G01450 transcript:ONIVA07G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSASALVPAAVVEDVSLSLPAAAAPTPRGDGKEVRRLFQCLFCDKTFAKFQALGGHQNAHRKERVAAAGGVVNPYVDYAAAGAPSSSPPSAAARSIVPISSHGCSEWGAQLLPATFVDDDKVDMLNWTRASHAAAAAVDDSSMGAGDEQLLDLELHL >ONIVA07G01440.1 pep chromosome:AWHD00000000:7:1235870:1241616:-1 gene:ONIVA07G01440 transcript:ONIVA07G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRKTSDSTCILYIMLYYSYMFGDGNPKVGGERVPLVRVGPALCDTAGGSADKPYEVPCSGRQGWELGQGEKSLYKE >ONIVA07G01430.1 pep chromosome:AWHD00000000:7:1235277:1235780:-1 gene:ONIVA07G01430 transcript:ONIVA07G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSASWPAPTPPPVELSLSLPAARNRDEAAPTAIVDGKQVRLFPCLFCERTFRKSQALGGHQNAHRKERVAGGSWNPNVYGDGGGSAASSMPIASHGVTAAASTAADGRWCGGAASDDDDTNGGAHAFPRLRLGGGRRRRFRFDRKGLFRRGACPRARPLDHLSS >ONIVA07G01420.1 pep chromosome:AWHD00000000:7:1223276:1225306:-1 gene:ONIVA07G01420 transcript:ONIVA07G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G30090) TAIR;Acc:AT1G30090] MRRVRVSSHQSPVHKLGDSQMKLTPKFRLATTSALPSSMPASDLEQASWETPLIPGLPDDAALNCLLRLPVETHEACRLVCRRWHHLLADKARFFMQRKVMGFRSPLLFTLAFHRCTGKIQWKVLDLNYLTWHTIPAMPCRDRACPRGFGCVAIPSDGTLLVCGGLVSDMDCPLHLVLKYDVYKNRWTVMTRMLAARSFFAGGVIDGRVYVAGGYSTDQFELNSAEVLDPVKGVWQPVASMGMNMASSDSAVISGRLYVTEGCAWPFFSSPRGQVYDPKIDRWEVMPVGMREGWTGLSVVIDKHLFVISEYERMKVKVYDPETDSWDSVKGPPMPERIMKPFSVSCLENKIVVVGRGLHVAIGHVKKQPGSHPDSRSSSYLIQWQDVDVPREFGDLTPSNSQILYA >ONIVA07G01410.1 pep chromosome:AWHD00000000:7:1218049:1221563:-1 gene:ONIVA07G01410 transcript:ONIVA07G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLVASLATTLLTSTFLSLLLLLRLLLTRRPPLAGGGDGGAAVRLYEGRVRHSRRRPAAHAFEYPVRYALVDLDRLPLPGHLSPDDARRVASTSGPVHLLTIPKSVGYEQNPLSIYYCYDSAEQGEDEKLKMCIAEVTNTPWGERVMFTFQPGSDLVAKPLHVSPFMDMLGNWSIRAESPGDSLYVVILVQHPTLGNYFTAALHAKLVEKTSSSLRLATFFWLMPHKVAAGIYWEAVRLWLKNVKFLDHPRYLNLNYRDEAQKRDLEIRSSCSFLQKQKLNDQRTGRADETAEITDHHDHNGEESVVKRWCVWTDAQWPWS >ONIVA07G01400.1 pep chromosome:AWHD00000000:7:1212444:1213162:1 gene:ONIVA07G01400 transcript:ONIVA07G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPGGALPGPWRGPLPSLRSARREACGGGGAAPLNPAAAQRQVRAVGARLLSSLLSTSHPLFSSLILVVEVVCGIQDATVVEERQGVGLGNGNDDVDGVKGGAFVVATTTVMTKRSRDEDDDLLLSDTAWSSPPSLPLPLRSARRGDGGGRPPAAQPSPPSSSPIRPEGGGYRQ >ONIVA07G01390.1 pep chromosome:AWHD00000000:7:1205066:1205482:-1 gene:ONIVA07G01390 transcript:ONIVA07G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRRHGGGARLFPCLFCDRTFLKSQALGGHQNAHRKDRVACGGSCNPYLYGGGGHDPYYAWGGGGGGVPGYSGGNNPPAATTPIAGAPHGGGAAVFAAAARGSIGCRWMGSDDGASGKENVAGGGEKQKLDLELRL >ONIVA07G01380.1 pep chromosome:AWHD00000000:7:1182945:1184997:-1 gene:ONIVA07G01380 transcript:ONIVA07G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRRDDGGDVEVELSLRLRTGDDSTSADPAPATAAAEARRNLTIFYNGRMCAVNVTELQARTIISMASQGNFGKQQQQQIQGRDDHHYHQGESSSGGGVSTAAARHCDVAGSSSSHSGSGSGSATPPRPALVSPRAGLQAAAAAAPTMNQPPAASGLSMKRSLQRFLEKRKTRAAAPLYARR >ONIVA07G01370.1 pep chromosome:AWHD00000000:7:1175058:1182434:1 gene:ONIVA07G01370 transcript:ONIVA07G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLQENREAFARILFRPRILIDVSKIDMATTVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASSAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRRVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLELGKMDQASDSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAAAGEAGVRNVLQMLRDEFELTMALSGCTSLADITRNHVITEADKLGVMPSRL >ONIVA07G01370.2 pep chromosome:AWHD00000000:7:1175058:1182434:1 gene:ONIVA07G01370 transcript:ONIVA07G01370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLQENREAFARILFRPRILIDVSKIDMATTVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASSAGTIMVYKDRRVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLELGKMDQASDSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAAAGEAGVRNVLQMLRDEFELTMALSGCTSLADITRNHVITEADKLGVMPSRL >ONIVA07G01360.1 pep chromosome:AWHD00000000:7:1172947:1174054:1 gene:ONIVA07G01360 transcript:ONIVA07G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARITLYVLQVGFGFVEEDLRIRERSDLMLRMNPVHRSVPILIHCGRPICGSINILQYIDEVWAKRVGTRLLPPDPLKRASARFWADFVDHESKGEEKEMAKAELLDQLRRLEGVLGDRSFFSGDEFGFLDIVLIPFSSMFHGYKQHMWVKRCKERESVRQVLPDEGEMYELHKKWYGIE >ONIVA07G01350.1 pep chromosome:AWHD00000000:7:1169393:1171175:1 gene:ONIVA07G01350 transcript:ONIVA07G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAGWFWVRQGDLRIRERSELVRRMTIAAAPGPLSRQSCPPFDSNPDPPRSSDMWLLQHIVEYVNGIGVRWMVSGYSLLTRLRGLMLGPGPTLLTKR >ONIVA07G01340.1 pep chromosome:AWHD00000000:7:1158221:1161717:-1 gene:ONIVA07G01340 transcript:ONIVA07G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G17230) TAIR;Acc:AT1G17230] MAASVARVLLAAAVFFAAVAAAAAASSSAAAVAALMEFKTKLDDVDGRLSSWGAAGGSGGGDPCGWPGIACSAAMEVTAVTLHGLNLHGELSAAVCALPRLAVLNVSKNALAGALPPGLAACRALEVLDLSTNSLHGGIPPSLCSLPSLRQLFLSENFLSGEIPAAIGNLTALEELEIYSNNLTGGIPTTIAALQRLRIIRAGLNDLSGPIPVEISACASLAVLGLAQNNLAGELPGELSRLKNLTTLILWQNALSGEIPPELGDIPSLEMLALNDNAFTGGVPRELGALPSLAKLYIYRNQLDGTIPRELGDLQSAVEIDLSENKLTGVIPGELGRIPTLRLLYLFENRLQGSIPPELGELTVIRRIDLSINNLTGTIPMEFQNLTDLEYLQLFDNQIHGVIPPMLGAGSNLSVLDLSDNRLTGSIPPHLCKFQKLIFLSLGSNRLIGNIPPGVKACRTLTQLQLGGNMLTGSLPVELSLLQNLSSLDMNRNRFSGPIPPEIGKFRSIERLILSENYFVGQIPPGIGNLTKLVAFNISSNQLTGPIPRELARCTKLQRLDLSKNSLTGVIPQELGTLVNLEQLKLSDNSLNGTIPSSFGGLSRLTELQMGGNRLSGQLPVELGQLTALQIALNVSYNMLSGEIPTQLGNLHMLEFLYLNNNELEGEVPSSFGELSSLLECNLSYNNLAGPLPSTTLFQHMDSSNFLGNNGLCGIKGKSCSGLSGSAYASREAAVQKKRLLREKIISISSIVIAFVSLVLIAVVCWSLKSKIPDLVSNEERKTGFSGPHYFLKERITFQELMKVTDSFSESAVIGRGACGTVYKAIMPDGRRVAVKKLKCQGEGSNVDRSFRAEITTLGNVRHRNIVKLYGFCSNQDCNLILYEYMANGSLGELLHGSKDVCLLDWDTRYRIALGAAEGLRYLHSDCKPKVIHRDIKSNNILLDEMMEAHVGDFGLAKLIDISNSRTMSAIAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELVTGQSPIQPLEQGGDLVNLVRRMTNSSTTNSEIFDSRLNLNSRRVLEEISLVLKIALFCTSESPLDRPSMREVISMLMDARASAYDSFSSPASEAPIEDDSSLKH >ONIVA07G01330.1 pep chromosome:AWHD00000000:7:1151493:1156936:1 gene:ONIVA07G01330 transcript:ONIVA07G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGSNNKRGRVRGPNDDDDDAGEPDAKRHHHQLLLPWPQQQQQQQHPASRIYRVSRASGGKDRHSKVYTAKGIRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAAAAAIDKLPSLDTASFPTHPASSAAVAAAAAPPLPHAEREQQQQLTKSGCSSTSETSKGSVLSLSRSESRVKARERARERSSAAAAAASKDAGDDAATPTAPTAAPASSQAASFTELLTGMAAANASPADHKQQQAWQPMTVAAATADYIGFAAAAAPHTQPRKSAAGHHSAMPHTFASPAPHLANITPIAMAPAQHFTLTPAAAEHHAEMTHYSFDHFMPVHAAAAAAAAASTPAGGDYNLNFSMSSGLVGVHSRGTLQSNSQSHLSSHHHHHHQQQQQQQQLQRLSAPLDAPNIPFLFSPAAAPTAADTQFAAALQLWDGK >ONIVA07G01320.1 pep chromosome:AWHD00000000:7:1128608:1130414:-1 gene:ONIVA07G01320 transcript:ONIVA07G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYLACKLRAPAALRRPRSLSANASQNTRNGKLKQVATHDSGIHFDSRDIEEAIRYNREFHRRCIMASIVVGVGLGGLSFVWYARSYRKALMEHVIGFEVISPYAPS >ONIVA07G01310.1 pep chromosome:AWHD00000000:7:1119344:1120779:-1 gene:ONIVA07G01310 transcript:ONIVA07G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYLAGKLRAPAPAAAALRRPRSLSANASQGGRSNATTENVARNMDGDLGWVREEIAKLEKLRLEIEETTRYNRLHKRCLIGFVFAGFGLGGLACAWYTRSYRKALKEHFDNPIVWMPPYSTSSPE >ONIVA07G01300.1 pep chromosome:AWHD00000000:7:1114600:1118493:1 gene:ONIVA07G01300 transcript:ONIVA07G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLASTVLAGRRRAASVVIAAGPRYGGGGGGVHVHPWAAFPSPTLPPKPRAFSSSGSLGRRDGGAPRGGSFLGWTKTVDLDLSRILTNMEMLVKTTGSHKALLEEYAQTLEKLTIAVEKNERSKGSLATTSLFLMASVVTISFGVYYFGVFTGRVDVNGFLKGFIIDILDDPTISVKMHDYVDDLAGSAVESINPIKRFVHWLSGQPRPAHYQKLNEEILNYYTDWSSILAFAVNSIAKSEGGKISEKYKGVISYKMVENEYKTNFKGKIQERIWPILKSG >ONIVA07G01290.1 pep chromosome:AWHD00000000:7:1108847:1110946:-1 gene:ONIVA07G01290 transcript:ONIVA07G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYLAGKLRAPAPAAAALRRPRSLSANASQSQVGSPLDSSQIVRFDRPRSGKCTNGSTTELASSMKVVKNVDETIRQLHEEVAKMEAASKEIAEIIRYNRFHRRCIMGSVVLGVGLAGVSCVWYTRSYRKALREYYVVGLEMENKYSPLIWVRTTNVVSYTLQLQL >ONIVA07G01290.2 pep chromosome:AWHD00000000:7:1108847:1110946:-1 gene:ONIVA07G01290 transcript:ONIVA07G01290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYLAGKLRAPAPAAAALRRPRSLSANASQSQGKCTNGSTTELASSMKVVKNVDETIRQLHEEVAKMEAASKEIAEIIRYNRFHRRCIMGSVVLGVGLAGVSCVWYTRSYRKALREYYVVGLEMENKYSPLIWVRTTNVVSYTLQLQL >ONIVA07G01280.1 pep chromosome:AWHD00000000:7:1104750:1106886:1 gene:ONIVA07G01280 transcript:ONIVA07G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRVATALLAGRRRAAADIVFAGVRVHHHQGAARLLQPGNLAAARLWPPPTAFSYGGRVGSLGLGARRGMSGSSGGGGGRSSGGGIPGGDGKRRLEEWTRSVDSQLEEILTELQQLSAAQMNAVKVSTSSFQSLKRSMERTGKVTTAVISLSVTASVYLVLFALYCVGVKMAYIVDADEFAKKLAHSVLDDEEFKAKLDQTADRLGAIAVSAPFRKAQEWFFGKDSSPQDDLDLEASDTWEDWAVSFSFFALSLIRGMPVYENELWMRLYQLGVRRNKENEELLNILVAVGLISKKKKGKLTTYALVEEQYRECIDAGFRPKIVPILKKGMFRVLNFGFFSLNLAVSKSGGCQRHKLLVGGLLIRRQML >ONIVA07G01270.1 pep chromosome:AWHD00000000:7:1091848:1094637:1 gene:ONIVA07G01270 transcript:ONIVA07G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFLRPVVAALRRPAVAASRSLSANATQGVGRTGTTGITSSLKAGKNVDEEIRLLLVEVAKLEVASRRMEETIRNSRFHRR >ONIVA07G01260.1 pep chromosome:AWHD00000000:7:1087480:1089985:1 gene:ONIVA07G01260 transcript:ONIVA07G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: MAGE protein (InterPro:IPR002190); Has 1274 Blast hits to 1260 proteins in 85 species: Archae - 0; Bacteria - 0; Metazoa - 1104; Fungi - 45; Plants - 49; Viruses - 0; Other Eukaryotes - 76 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G34770) TAIR;Acc:AT1G34770] MATVSDDYAQIDISTEEKDKLVAEVMRHVLFKTHQTAGCPIKREELTQIVTKNYRQRVLPALVIKEAGDRLAATFGYEMRELQRTRAPSTRSGRPSQQQVNVDAKSYVLVSKLDPEVYSKYVEHKEAAHVSGFAFVVISIVHLSGGKISEEDLWHQLRRLGLNESDENHPVLGNNKQALELLVQQRYLLKEKLSGPEGHSMMYELAERALDESISGKLKDYISQVVSTSTAAEVD >ONIVA07G01250.1 pep chromosome:AWHD00000000:7:1083053:1086831:1 gene:ONIVA07G01250 transcript:ONIVA07G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G30220) TAIR;Acc:AT1G30220] MEGGVHEFDGSTFRECFSLSWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFPSVDKNTWLQEMIVSMAVAGAIIGAAIGGWANDRYGRRTSILVADALFFAGAAVMASATGPAQLVVGRVFVGLGVGTASMTSPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAAIPAVVQFFLMLFLPESPRWLYRKGREEEAEAILRKIYSAEEVEREKEELKESVEAEARERSSSEKTSLVALLMTTATVRRGLVAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNQTALALSLVTAGLNAAGSLVSIYFIDRTGRRKLLVISLAGVILSLALLSAVFHEATSHSPPVGAAETAHFHGGALTCPDYSSRSSSSFWDCTRCLKAAAASAGCGFCAAGGGDKLRAGACLAAAAAASNATARDACRGEGREWYTRGCPSRYGWLAMAGLALYIAAFSPGMGTVPWIVNSEVYPLRHRGVCGGAAATANWVSNLAVAQSFLSLTDAIGAAWTFLIFGGLSVAALAFVLVCVPETKGLPIEEVEKMLEGRELRLRFWAKRRHHHGGDGDGGGEKTGGV >ONIVA07G01240.1 pep chromosome:AWHD00000000:7:1074159:1074734:1 gene:ONIVA07G01240 transcript:ONIVA07G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERVFAELATIHCQKSLPCRHSFDPPRTTPILHLYIIHLLLPPLIAIVCLCYIAIVPFEEEEERMRMQVVEAAAVEEAAAAAMMSVYERVARMASGNAVVVFSASGCCMCHVVKRLLLGLGVGPAVYELDQLAAAADIQAALSQLLPPGQPPVPVVFVGGRLLGGVEKVMACHINGTLVPLLKQAGALWL >ONIVA07G01230.1 pep chromosome:AWHD00000000:7:1059232:1060341:-1 gene:ONIVA07G01230 transcript:ONIVA07G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEKKKKEKFRLGGFEMEGLIPLVYKAIVEYRNKASSRQVTLRSFFFVTVVDDQLHGGDSGRWCYAPSPPASAAHQLVSPLLRSAPRRHRAEITWKNACEVCMVQISKGSSSTSHLQEQSKLQNLHDPRTRFN >ONIVA07G01220.1 pep chromosome:AWHD00000000:7:1044476:1044886:1 gene:ONIVA07G01220 transcript:ONIVA07G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRALSCYLAGIHRLNIANAARGAMTPPSPESPCRTSRRPSLAVLSSSSCRGAAGARVILAWPVATSTSQRLLMRAVVILLTRTVVESGRAILHLVEVYWVNPEKAISVPSCRGMLRIIKTARNVIKLPSSSSL >ONIVA07G01210.1 pep chromosome:AWHD00000000:7:1003420:1018467:-1 gene:ONIVA07G01210 transcript:ONIVA07G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSRQEAIARRRYNLVSRGDGAAVTMGRRCGMEEKGMGVPGRTAAMVGKEERGRERIGDAGG >ONIVA07G01190.1 pep chromosome:AWHD00000000:7:989515:995242:-1 gene:ONIVA07G01190 transcript:ONIVA07G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFVNLWDHSRRSKPPPPLRRFSSAGGETPDKRRFAGGGAIGGDVEASPAAPTSSASPSLWRIRRGKKLGECGSGEGDEPALGFRCEGKPPITPAAGTRGSRVDPRPDLPRHHLLRNQQGKGKLKYLFEQTETREKATWKF >ONIVA07G01190.2 pep chromosome:AWHD00000000:7:989794:995242:-1 gene:ONIVA07G01190 transcript:ONIVA07G01190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFVNLWDHSRRSKPPPPLRRFSSAGGETPDKRRFAGGGAIGGDVEASPAAPTSSASPSLWRIRRGKKLGECGSGEGDEPALGFRCEGKPPITPAAGTRGSRVDPRPDLPRHHLLRIMVAGIEMRHQRRWQSWIGLFKALHIEKIGKAEIVSA >ONIVA07G01180.1 pep chromosome:AWHD00000000:7:987168:989044:-1 gene:ONIVA07G01180 transcript:ONIVA07G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLLLRALTLAGRKAKAACLPRPRALVMLAAGAHGATRATTTVPLPCLPLRALSSRAGEVDPRPRPRPEEIIIGGGADMVSPTAAASGGGEAMVGVGMSAPWLIGAAGASASASATIKLGSDPVAPATATEDSALLRARHLLSKAEQHHLAAALVCLVKNLPLPAIQDPEFVVLEADDSMVELIRDLIVAGGGHPEHGETTGGFVSLAPCVFDDARDKKTLPPSSGITNVSSLATANGIKIMIPVQSASKGSRRRLSSMQTTRCLSSTPNVSIPDDTSTSSANGDKRRANRIRLVDVSVRVTELEQLVRSLEKRLEDVEAKWDANLRIAELRADIAEKRADQLEKLLEKTVEGMERMVNNKMEQTITWVLQKNFQQEELAHSRHSSLLLHCTQLAQEMAATKDELHSVRRNYRDDILTSKFTVSITAWRIVLVLLGGFGGVTAFYVPYVIKDIKLETCEEVAKRISEMLQGIADAIKELREEVEANKVPWWRRLFKK >ONIVA07G01170.1 pep chromosome:AWHD00000000:7:986051:986694:-1 gene:ONIVA07G01170 transcript:ONIVA07G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDVHRRRCPARRSATATPLASSPPPPLPLAAGGTCCFLRPCSLISSRANRSSFSALVSPASLRPWNITASTFLCCSIASATHTAFSAVSPPHATPPRRRATPIPCTNNFTQIKTNSDRLSQSHVEYSEQDETRCMRTKIVLAN >ONIVA07G01160.1 pep chromosome:AWHD00000000:7:984970:987327:1 gene:ONIVA07G01160 transcript:ONIVA07G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0HWF7] MEAGKDDAADKLTYEIFSILESKFLFGYGGGGGGGGETKSLQCAPPVSRGNRVCVLSVDGGARPEDGLLAAAALVRLEAAVQRRAGSKAARLADFFDVAAGSGAGGVLAAMLFARGPCGRPMYSADDALGFLLRRVRRRGWSSRAGGLLRRPAGAFHKLAPWLVNQVFGELTLRDTVRPVLVPCYDLATRAPFLFSRADAAQSPAYDFRLRDACAATCAPSDGGAAVEASSVDGVTRITAVGSGVALGNPTAAAITHVLNNRREFPAAAGVDNLLVISIGTGEAAGSSSRHRARTPVIARIAAEGASDMVDQAVAMAFGQHRTSNYVRIQGMGVARRRGGVACGGETAEKAVWVAEAMLQQRNVEAVMFQGRRLAGETNAEKLERFARELIKEHGRRKQHVPPAASGSGGGGLDCHVSKKQP >ONIVA07G01150.1 pep chromosome:AWHD00000000:7:969578:982624:-1 gene:ONIVA07G01150 transcript:ONIVA07G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISAETAAAEEEARGWRGERGGEASGGGITMLQRIGARMATACLAMVVAALVEARRLRVARNNGQVDRPDAATTCLTMVVVALVEARRLRMARDAAALASSAAHPPPPRCRRSSPPPHPAAARLLRRTPPPLVSSAAHCRRSPPPPHPAAAPCRPPTSMRIPIKTREKNIVPNEPTIDQGLMKIRQGIDEIGALMMHGSEIHVVAQRSGELDDPNDLDGEGATVDA >ONIVA07G01140.1 pep chromosome:AWHD00000000:7:968797:969399:-1 gene:ONIVA07G01140 transcript:ONIVA07G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDVRRRGGDGDGNQQHMRWRLLEISSGRMVATGGAKLWIFNNCDTRGVQQRGSAGAKRGGKEKDGDTEGEILKINQNFDFLPYFQNAH >ONIVA07G01130.1 pep chromosome:AWHD00000000:7:965563:967507:-1 gene:ONIVA07G01130 transcript:ONIVA07G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLAGRHTSVLSVTNRSELLRQGQGATTREAASLAAIQDDTRAVAAVNAVLFSKDVAVAKETGWRRDAKITKDQGLKELLDSHGGGPGDSSAMNGIAYKHINDDADKAKMADGAGLQDATDVDRFGTGAGGAGSQDVEGAGDQDKAKNVAAVVVDGTSDVDKAKDVAGVVVEGDADKADDRAPGARRRRRTKPRDERLLQRILLYARNISGKLDDLCSRPRTGAADMDKIKVMMNQLRWSIPFTTGLGLFVGVVVTVVLAIKFGIPFVIDKFAQELGMVLQSIDAEDVKMVIDTFTNLILENVWAFLVGKIPYFGRSK >ONIVA07G01120.1 pep chromosome:AWHD00000000:7:959268:964443:-1 gene:ONIVA07G01120 transcript:ONIVA07G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLSRALCAASSSPAAPRGRSLLAALLSLSASPLDPCRGPAAPEPPRRRAFHGSPSPLGFRSTPASWSSPEAGAVVGGDDGLEVARLGISPWIVERLAARGITRLFPIQRAVLDPAMQGKDMIGRARTGTGKTLAFGIPIMDRILRHNEKNGSGRNPLAIILAPTRELARQVEKEFKESAPLDSLCVYGGVPISHQMRALNYGVDVVVGTPGRIIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMENLPQNRQSMLFSATMPSWIRKITSKYLKDPIIIDLVGDEDQKLPEGISLYSIASEHYGKPSILGPLIKEHANGGKCIVFTQTKREADRLAYAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTARAGKKGSAILIYTNDQARAVRIIEQDIGCKFTELPKIAVADEASDMFNVVRDNRSRLAGSPRTGGSSFGRGGYGGFGEGRSRGFGDFDGFGSSPDRGGRSRDAGSRYGSGFGDFRRPSNAFGRSSSKQPDGFGFGDFGEGNFSRNGNRRSRSFDDSGSTRYSRRPNGFGTSDFGRSGGFDDSN >ONIVA07G01120.2 pep chromosome:AWHD00000000:7:959268:964443:-1 gene:ONIVA07G01120 transcript:ONIVA07G01120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLSRALCAASSSPAAPRGRSLLAALLSLSASPLDPCRGPAAPEPPRRRAFHGSPSPLGFRSTPASWSSPEAGAVVGGDDGLEVARLGISPWIVERLAARGITRLFPIQRAVLDPAMQGKDMIGRARTGTGKTLAFGIPIMDRILRHNEKNGSGRNPLAIILAPTRELARQVEKEFKESAPLDSLCVYGGVPISHQMRALNYGVDVVVGTPGRIIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMENLPQNRQSMLFSATMPSWIRKITSKYLKDPIIIDLVGDEDQKLPEGISLYSIASEHYGKPSILGPLIKVSTLLNFAPLTTLFSTLPQEHANGGKCIVFTQTKREADRLAYAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTARAGKKGSAILIYTNDQARAVRIIEQDIGCKFTELPKIAVADEASDMFNVVRDNRSRLAGSPRTGGSSFGRGGYGGFGEGRSRGFGDFDGFGSSPDRGGRSRDAGSRYGSGFGDFRRPSNAFGRSSSKQPDGFGFGDFGEGNFSRNGNRRSRSFDDSGSTRYSRRPNGFGTSDFGRSGGFDDSN >ONIVA07G01110.1 pep chromosome:AWHD00000000:7:954851:958280:-1 gene:ONIVA07G01110 transcript:ONIVA07G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHCSTRQVASSSSNPSNPRDQPTHMPDSPQIHHPPPPPPPPPTDPAASTTPNAPRNSQVAAPVQVKPRMIIKGMLGRYERWNPVHPTVGTFWGIGLGLGCGVGWGPGFGPEVIGYVGAGCGVGFSVGVTLAGVGVGLPQHGLIRNQYHSGFASNIPFESARFYTFTIIRGLVWDAISYASQVAAVRKESRQRLLNFHENPQISGGVNLPKLGKGVSSSIQSTMEWLTNGALLNGFVRHVGRAGQLQGSPADQHDGDQGWSQTGREREGVAGLGSVSP >ONIVA07G01100.1 pep chromosome:AWHD00000000:7:952062:954560:-1 gene:ONIVA07G01100 transcript:ONIVA07G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHLPSARAPANLPAGRPATTTTVNRKLETILQRLLHWLRIFRVTIDRHEPVNAWVEMFQVQDIKRQDSSQARA >ONIVA07G01070.1 pep chromosome:AWHD00000000:7:940285:944197:1 gene:ONIVA07G01070 transcript:ONIVA07G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDVPKQAGQKKKIRRFVNPSSPPPYKNATDTSPSFFLRFNFPDEIEPNYNYPSISSTRHLTLLPRHSHFLSTSKRSKKNEVSVTCRLSKNCGAMDAGATARSSSSSAMMMNQKKPLLSDGELVELLWQDGGVVAHAQTRHRSSDVLARSGVTGEEETASAWFADGGGAGGGGDDALGVGMGRDIYSQLWHSFANVDGHAAGALALATPTPTPRAAARSDDVSSRLDEAGLSICGSNAVVAPALPADDDDDIDAAAPREEEEEEEGPGAARAAGASSSGGSGSGSGSYPLFKRGREELVDSLSEVADETRPSKRPAAKRRTRAAEVHNLSERRRRDRINEKLRALQELVPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGIVPMMFPGTHQLMPPMGMGLNTACMPGAQAQGLNQMQRTTYYMNNSLPNQMPQIPSPAMNAPSVPDDMQNDNRIRGPRNPFLHCNDTLTATAQVPGLFTYGSQIAEQNEIQELLSGAVIPSSSDGTIK >ONIVA07G01070.2 pep chromosome:AWHD00000000:7:940329:944197:1 gene:ONIVA07G01070 transcript:ONIVA07G01070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTRLPHSFSALISPTKSSPTTTIHPFLPLDISPCFLATLTSSPRARGARRTSVTCRLSKNCGAMDAGATARSSSSSAMMMNQKKPLLSDGELVELLWQDGGVVAHAQTRHRSSDVLARSGVTGEEETASAWFADGGGAGGGGDDALGVGMGRDIYSQLWHSFANVDGHAAGALALATPTPTPRAAARSDDVSSRLDEAGLSICGSNAVVAPALPADDDDDIDAAAPREEEEEEEGPGAARAAGASSSGGSGSGSGSYPLFKRGREELVDSLSEVADETRPSKRPAAKRRTRAAEVHNLSERRRRDRINEKLRALQELVPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGIVPMMFPGTHQLMPPMGMGLNTACMPGAQAQGLNQMQRTTYYMNNSLPNQMPQIPSPAMNAPSVPDDMQNDNRIRGPRNPFLHCNDTLTATAQVPGLFTYGSQIAEQNEIQELLSGAVIPSSSDGTIK >ONIVA07G01060.1 pep chromosome:AWHD00000000:7:930962:935122:1 gene:ONIVA07G01060 transcript:ONIVA07G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGTLQVAGGCLAPLLPSRRAAAAAAAVRPPRASGASASAAAAVEEDGKVRLGGSDVAVTKLGIGAWSWGDTTYWNEFQWDEKRLRDAYERLKKRGVPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGVLTGKYTPNNPPTGPRGRIYTPEFLTKLQPLINRIKEIGGSYEKTPTQCTNFDDIVVVLNWLICQGNVVPIPGAKNAEQAREFAGALGWSLTDQEVEELRSMAREIKPVIGFPVEKL >ONIVA07G01050.1 pep chromosome:AWHD00000000:7:923887:930713:1 gene:ONIVA07G01050 transcript:ONIVA07G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDGELTPNREKPYVAGGGGDGDGRDGEDRLSALPNDILVHILLRVGTGGAARTSVLSRRWRHLWYLLPELDFGPEADGHSIRAALAADESPSLRRLLVRAADVGPRRIAEWLPVAARRLAGDLFLVNSTKDSELIEQAQSQPVANISAPKLEILGWSTTNLDQSSVNFGKMSYLKGLFIAYFYVYGEEDRNHDCLRLLQHFQFDAIPRLSILLTYFPTINGDIYLMEDKTVLPDIVFLNLIFFSSGYCIGPSLFHVLRMTTGVRRLKLELHDHYKREFCGSDYVCDLPPNWTSEELVLNSLREVQITNLRGTENEFAVVEWLFSWAAVLKQMTINFHNSITVSTARELCEMLLSFSRPEISMKFYINQGSRKVLYVPED >ONIVA07G01050.2 pep chromosome:AWHD00000000:7:924276:930713:1 gene:ONIVA07G01050 transcript:ONIVA07G01050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMALQVMAGGGCCCQRPVLGAGRRRLAVARAVASDAAAAKVSEEEGKVRLGGSEVAVSKLGIGAWSWGDTTYWNDSEWDDRRLQEAKAAFDTSIDNGMTFFDTAEVYGTALMGAVNSESLLGGFIKERQEKEQIDVAVATKFAALPWRFGRGSVLSALKKSLDRLGLSSVELYQLHWPGLWGNEGYLDGLADAYEQGLVKAVGVSNYNEKRLRDAYARMKKRGVPLAANQVNYSLIYRTPELNGVKAACDELGITLIAYSPIAQGVLSGKYTPEKPPTGPRANTYTPEFLTKLQPLMNRIKEIGESYGKNPTQVSLNWLTCQGNVVPIPGAKNAGQAQEFAGALGWSLTGDEVEELRSLAREIKGIKMPIEES >ONIVA07G01040.1 pep chromosome:AWHD00000000:7:920632:922059:-1 gene:ONIVA07G01040 transcript:ONIVA07G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPTPPPSPPVRVVSTRTVKPSPPRPRERIPLTTWDVSLLAADYIQKGLLFRPPPATLHLVEHLAAALADALHVYYPVAGRFATDKHPGGGCSVSIDCDGQGAQIVHAVADGVSTADILPPDVDVPSGIVRSFFPLDEAVNYDGHELPLFVVQVTELVDGGVFLGFVYNHALSDGTAFWDFLNAWAEIARAKLENAVATSRAPLLERWSPDGGAAAPVVLPYDDLEGLIARTTTTTPPLLRERMLHFSAESLAALKERARRELLAAGDAAGAAAVTRFQALSSLLWRCVTRARRLAPGREVVFRASVNSRGRLRPPLPPEYFGNSILPASTEAVPASELLARGHGWAAAAVGRAVAAHTDERIRARSAVAPSVSAFRLFDASGVFVSSSPRFDMYGCDFGWGKAVAARSSKGNKYDGKVSLFPGRDGGGGGGIDAEVELAPEHMAALEEDGEFWAAVTPDHLLLVNDNNDKA >ONIVA07G01030.1 pep chromosome:AWHD00000000:7:910329:918020:-1 gene:ONIVA07G01030 transcript:ONIVA07G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGTVGMAGIGGNVTFGTAGIGGNVTAGTMVGIVGFGMAGTAGMAAAGAGAGASVVSARRRAAWPVLPLPARSTAATAMAIASKFELEAIEILLALPTELATLSTANIFRGGAKNGIGTDGIGGSVTLGTAGIDGIGGSVTFGTAGIGGNTAFGTAGTAGIGGKLAAGTAGTAGISGKVTAGTVGTGTAAGGVASARWRAAWQLLLLPASKTAMASTVARRPEVEAMAERSQWLASLAIRPYAEGGGAKNGIGTDGIGGSVTLGTAGIDGIGGSVTFGTAGMGGNAAFGTAGMAGIGGKLAAGTAGMAGIGGNVTAGTVGTGTAAGGVASARWRAAWQLRLLPASKTAMASTVARRPEVEAMAERSNGIGTDGIGGSVTLGTAGIDGIGGSVTFGTAGMGGSTAFGTAGTAGIGGNVAAGTAGTAGIGGSATAGTVGTAGTAGIGGSATLGTAGMGGNAAFGTVGTAGIGGSVAAGMAGMAGIGGKVTAGTAGGVASARRRAAWQLLLPVSTTAMASTVAKRTELEAMARLAVASSLLGNS >ONIVA07G01020.1 pep chromosome:AWHD00000000:7:904030:906383:1 gene:ONIVA07G01020 transcript:ONIVA07G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein [Source:Projected from Arabidopsis thaliana (AT3G10260) TAIR;Acc:AT3G10260] MPEHSENAAANIVDSIVDAIADNLPKQKSVRFEDGSISDKAKRLFGGQKSVHHVLGGGKSADVLLWRNKKISSSVLAVATAVWVFFEWLDYHFLTIACFVLVLGMVVQFAWSTFAGMLNGSPSKVPRVELPDELFANIGSAIGTQVNKFLGTLQDVSCGRDLKNFLLVIAGFFAAAIIGSWCNLLTVIYIGFVCAHTLPVLYEKNQEKVDEFLYNTLGLLQNQYQKLDKGVLGKVPKGIIKLKKSD >ONIVA07G01010.1 pep chromosome:AWHD00000000:7:896092:900560:-1 gene:ONIVA07G01010 transcript:ONIVA07G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGIIVARWVVGKALNPVLDGLVEAWAASQKLGPNVDALKMELLYARAMLNNVRGREIHNTDLNELLQKLRDLAYNADDVLDYFRIQDELDDTSEATAEHAKGCVSDLFLNAHHTAKAAGKLLGFSSSCSSCATNNGPGDSITAACCGSPHNTIHAIGKRLCFSTSLVDDCDHDYGCVHDERDHVKGKSTPKLKFDRVGLSKKMKIIVEQLQPVCAKVTAILNLELMGSHLSIESSTAKSRPITTPTSIEPTLYGRDAVMKRIIDSITQGTCCEEYLTVLPIIGPGGIGKTTLIQHIYNSQQVQNHFQIMVLTCVSQSFSVDKLIEEIKEKLPSVEGEKKGSAEELIVQRLKSKRFLFILDDIWKCESDDWKRLLVPLRKGQTKGNIIIVTTRFLVVTETVKTSDNKIQLEGIDDEAFWELFLAYVFGPEKSKNDKDLLCIGKDIVKKLKGSPLAAKTVGKLLSNHLDRVHWMRVLDSKEWELQAGDHDIMPALKLSYDYLPFHLQQCFSYCALFPEDYKFNNKELIRFWIGLDILHSESQNKAFEDIALSNIDSLCVSLHSSNVKSVEIRPSIRHLSIITDGANDTDGITDENFKSKLIKLKKRLKFENVQTLMIFGEVDKSFIGCFHDLFKEASALRVLYLPKMPFAVGSILDEFTTLVHLRYLRLGTTIGNNFHLPINLSRFYHLRILDLEKWDNCFHLPGDISNLAKLHNFLVPGYPIHSNISNVGKLQFLQELKGFQVNRKDVGFELKQLGYLMELRELRIDNLEKVHTKEEAAEAKLLSKTRLRKLELNWKQGRTSTNAFNEDQILEKLQPHSSLQELSIHGHGGSSCPKWLGTELSVKFLETFRLKNIVWNILPPLGEVFLVGGPGEESIGQKTSQNFRSLKRLELVKLPNLRKWVAKEIFPTFFSVLEVLIVRKCNELAELPFSYHTYCTSEEDVKATCFPRLRELEIHNCPKIVSLPPIPYTQTLCSVNIIDVGTGLESLVYSSKSSKLEIKGNKDLKVLDDNVLASRNLHKLQNLTIEGFPPLEERHLQMLTSLKRFSLFSSSIAFNPTVERSDVEWRLSIENLMIQDWNGGGKELTQLLFHLPKLSLLSLGGCRKKTLLSVALTQQQTSAQVESTQVTASNHRQQQKAEDLDLLEEEEVTQLDVDGEDEDDDRLLLTNSLEQLWIVNYKELILVSHPLPIGHHNKEEEGTGGGWGLQALCSLRQLGITGCPLLLSAYEAPACLFPSSLQYLQITGPMEGVQMLDLSNLTSLTKLFIEDCGEYLRKGLLPLLAQGQLSNLIVYKTYGLFAGVLDSILRGAQEEQEQLHLLEHSSKLRVLETDDLAGILVKPICRLLSSSLTNLTLQGNSEVERFTNEQEEALQLLTFLQDLKFIHYDKLRCLPAGLHRLTNLKRLMIMNCPSIQSLPKDGLPGSLKYFIVRDNEKLVKQCKKLKKTNPEIELIL >ONIVA07G01000.1 pep chromosome:AWHD00000000:7:882978:887191:-1 gene:ONIVA07G01000 transcript:ONIVA07G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELQVSSAHSAVDSLLGRLIRILEDEARLLGGVRGDVQFIKDEMESINGFLLHVLHLDRPDHQLQGWTRQVKDLARDCDNCVDLKSRACDVGERRRRYGVVVPRTRNNAAAAAAARRGGQRGLAKRQLSEAGGGDHHADASSAGNTTKQDHRRRALLEEETPANLFAGETDTLVGWLLAAADEHRRPKVISIIRPDDEELVAAAIDPVKRALDDPRILELFQIRQWHSGQSHPGWVYQDMMTQILPMIQHMHRALGGMVSDYDKRNKRRYTRLKKKKKRIVVSNFSRKNLGSKGNKFPVGTTFDEVKEFIGGTASDKEEEEEGFLQAAAMEVHEGFAMDVAKIISETMASEMGFHQGMTFQEMMENFLKDKSYLIVLDDVPDESLWRGIESAFPGNTAHSAILLTTRSPAVAYSCSPHDRVFPPLDHLIDFFHAKAVSLVENYPSNGNLDEVIRSILSKCASNSTDMCIRAFLHVLYANPNRNREELQGLCDSLHDSHGLMLDENMQQILMFWYNDLPVHYKSCLTYLSLFIQDDGSSSNSTMMIRRTSLVRRWAAESIITGRNGQTALDEAERCFGVLLAKRFVLERDIGASGKIKSCAVNGLISKFITKVAREDNFVDADLQPDFAHRVSIWNRSQLQQVLAELQASPRPSSSSCWNMRKHYDQPLDDLTIFLKSLPAFSRLGLLKVLDLEGCDGLKDHHLENICKLFQLRYLNLRRSKLTKLPKKIQNLQQLETLDIRETTVSSFATKSLVLPMLKHLLSGYTQQQNEQTEKFSTVRMPRGIGSMTNLQVLCHVVVSGIEDELMDIGKLLQLRKLGVVFHGDQNSFKHLVQAIEKLHKSLISLSIRVEVPDGCENFPDMNMAEPTAFSYPKLLESLNICGIRCGLPRWIKELSRLAKLTLCDTHLGEQDMAVVGNLKALRYLRLRCRSYVQSKLTLGEKQFQHLKVLLIHGEDITDISFSKNPKLEKIVWSFREMKSISGIERLPSLRSLELHGDCNPDKVEIALKDHPNHPDLEHHGNRQGQGDAAGSLDADAASTCASVSAPNHPDVKHPDNRQGHGDDSAASTSASASASAPKHIQSIT >ONIVA07G00990.1 pep chromosome:AWHD00000000:7:877294:886230:1 gene:ONIVA07G00990 transcript:ONIVA07G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGGRAGAAGGTGGGGRASSPAMARATTIYCGLDASPILIRPNQCGPMDFSSPLVNFQKGPFVYIAHDALLRKQPQKRSNIPLPRHNVLFLLLLILATGRRGCGGSGGGGEAINRRRRSATDYITTMNQASLADSFLADLDELSDNEAYPEEENAEAVGMDEDGGEDMLDLESLNYDDLDSVSKLQKTQCYNDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEIDLTLVDLEGLLPSAIIMVVSVTASTTNGNPLSEENLAKTIEACERALTLDAAKKKVLDFVESRMGRIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQVLGAKKKNLAGFSSATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARINSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSAAQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQSHGNLLGCGTQSTYFSETGTFSKIKRN >ONIVA07G00990.2 pep chromosome:AWHD00000000:7:877294:886230:1 gene:ONIVA07G00990 transcript:ONIVA07G00990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGGRAGAAGGTGGGGRASSPAMARATTIYCGLDASPILIRPNQCGPMDFSSPLVNFQKGPFVYIAHDALLRKQPQKRSNIPLPRHNVLFLLLLILATGRRGCGGSGGGGEAINRRRRSATDYITTMNQASLADSFLADLDELSDNEAYPEEENAEAVGMDEDGGEDMLDLESLNYDDLDSVSKLQKTQCYNDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEIDLTLVDLEGLLPSAIIMVVSVTASTTNGNPLSEENLAKTIEACERALTLDAAKKKVLDFVESRMGRIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQVLGAKKKNLAGFSSATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARINSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSAAQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQSHGNLLGCGTQSTYFSETGTFSKIKRN >ONIVA07G00990.3 pep chromosome:AWHD00000000:7:877294:886230:1 gene:ONIVA07G00990 transcript:ONIVA07G00990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGGRAGAAGGTGGGGRASSPAMARATTIYCGLDASPILIRPNQCGPMDFSSPLVNFQKGPFVYIAHDALLRKQPQKRSNIPLPRHNVLFLLLLILATGRRGCGGSGGGGEAINRRRRSATDYITTMNQASLADSFLADLDELSDNEAYPEEENAEAVGMDEDGGEDMLDLESLNYDDLDSVSKLQKTQCYNDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEIDLTLVDLEGLLPSAIIMVVSVTASTTNGNPLSEENLAKTIEACERALTLDAAKKKVLDFVESRMGRIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQVLGAKKKNLAGFSSATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARINSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSAAQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQERPTD >ONIVA07G00980.1 pep chromosome:AWHD00000000:7:876353:877385:-1 gene:ONIVA07G00980 transcript:ONIVA07G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPRLLDPGRSNGNKRIP >ONIVA07G00980.2 pep chromosome:AWHD00000000:7:873873:877385:-1 gene:ONIVA07G00980 transcript:ONIVA07G00980.2 gene_biotype:protein_coding transcript_biotype:protein_coding IVVALAIAGELARPPPPVPPAAPALPPAAGIFALLRSRLPVSLVRSGVRWREDGERFLAGPNVASGGGNESFLFQF >ONIVA07G00970.1 pep chromosome:AWHD00000000:7:870485:871592:-1 gene:ONIVA07G00970 transcript:ONIVA07G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCFLHQSTARVAARVASPSPATRTHLLVCRAQKQDDADVSRRAALALLAGATAAVGVKVAPAAAAYGEAANVFGKPKTNTEFIAYSGEGFKLLIPSKWNPSKEREFPGQVLRYEDNFDANSNVSVIINPTTKKTITEFGSPEEFLAQVDFLLGKQAYSGKTDSEGGFESDAVATANILESSAPVVGGKQYYSVTVLTRTADGDEGGKHQLITATVNDGKLYICKAQAGDKRWFKGARKFVESAASSFSVA >ONIVA07G00960.1 pep chromosome:AWHD00000000:7:868532:869320:1 gene:ONIVA07G00960 transcript:ONIVA07G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTVLDCQVFGIHKMVLLSETAAAPPPPPPLAEQQTAPPAVTLRLLVQRACCYHDSDDDADGVFDHDMDTMEDVICRVPLRELMADDRDDDGASVAERAFREMVAGIEHPTLLPEVEPEVSKAAARVRARCEGRPEEEIAGLELRLHVLLVVHVFGGAGDDDEIGSDMDLSDVCGETEDEDDGVLISDEDDDEYGVYGGGGCAMAREGGPSDGALLLSGFVARSDGAELDDDDQLEVTPRDVRRLVRMALDGEDVERDEA >ONIVA07G00950.1 pep chromosome:AWHD00000000:7:861912:867885:-1 gene:ONIVA07G00950 transcript:ONIVA07G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSLAWIPNILLPTVIHYSSTNMSANPNQSFDDFNKQASSNFNSFLAIVVVLSVVSIAGSVAIVYLVYRCVKKNGLPAVNINTNPTAAAAMYAVVPDSQIRDATVERFLKEIAGEKPIRFTAQQLAGFTNNYSARLGAGGFGTVYKGMLPNGLTVAVKRLHVGGHGDGWSTSQEQFMAEVGSVGRIHHINLVRLFGFCFDADVRALVYEYMDNGALDAYLFDRSRAVPVATRRAIAVGVARGLRYLHEECQHKIVHYDIKPGNVLLDGGLTPKVADFGLARLASRGDTHVSVSGMRGTPGYAAPEMWMQAGVTEKCDVYSFGVHLFEIVRRRRNLDDGGAPGSQQQWFPMLAWSKHEAGHLAEAIEGCDAMDKQERETVERMCKVAFWCVQQQPEARPPMSAVVRMLEGEVDIDAPPVNPFQHLVASPAAALRFARPCGARHKAVTLHMLSSKHQDYITCFMASPAVYVIATIIFVVAFAALLVKVYRGAESCGAAVAAEMKATAHYAVVPDAAMRSATVERFLWEMAHEKPIRFTPRQLAGFTRGYSAPAASGRCTAARSPTASPWPSRRRSEEQFMAEVGTIGRTHHINLVRLFGFCYDAAVRALVYEYMGNGALDAYLFDRSRDVGVPARRAIAIGVARGLRYLHEECEHKIVHYDIKPGNVLLDGGMTPKVADFGLARLVNRGDTHVSVSGMRGTPGYAAPETLMQSGVTEKCDVYSFGMLLLEIVGRRRNFDEAAPESQQWWPMEAWARYERGELMMVDDAAAAINHPSGEICSGSDGEAVVTVAEADDELRCKEAVVRMYQVAFWCVQQRPEERPPMGAVVKMLEGEMDVAPPVNPFLHLMAAPAPVPNPWATTTTTASSGNAVSENVVVSHGSDGIVSL >ONIVA07G00940.1 pep chromosome:AWHD00000000:7:857762:859012:-1 gene:ONIVA07G00940 transcript:ONIVA07G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASDLGRDVVVVPPAGIMDDDDESTWSPWPDLQPELAGMVFCRLLSHGDRLRFRAVCRRWRLAAWQQHPLPPALPWLNLDGRITYQSLPDGEVHRIPVPDELQAGGTVVCRGSFDGWLLYDGSEQLECFLMNPISKARIDLPYHWHCDDDDDAILPDYGEEEEGQRTMCFGENAVRKIAVCSPDPVAAVIAGSGVFFYRPGMHSTWLFASGGPCFARDIAYYNGKLYSISSDGELFVHEFSDSISADIVIGIAPQAYSCQGYSWRSTFYLVISCATGRLMMVRWRWHLPIFYNVRRWGVDELRKEIKLDVFEADLEKRRWLEVKELGDQALFLGTSCSRAILSSDYGSCIFFSSLNITRLCSDGIINGIGDCAYCVYDMKNDTFRFDNPVSIKREGLSYGSDGRWRADWFFPCE >ONIVA07G00930.1 pep chromosome:AWHD00000000:7:852588:856519:-1 gene:ONIVA07G00930 transcript:ONIVA07G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLRMSLSLFLNIYISSLIFLIVFHVIFFGPWYPGLVSRMAEEKSDMLIIIQDCASVRRRLNNKK >ONIVA07G00930.2 pep chromosome:AWHD00000000:7:852588:856519:-1 gene:ONIVA07G00930 transcript:ONIVA07G00930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLRMSLSLFLNIYISSLIFLIVFHVIFFGPWYPGLVSRMAEEKSDMLIIIQDCASVRRRLNNKK >ONIVA07G00920.1 pep chromosome:AWHD00000000:7:850193:851708:1 gene:ONIVA07G00920 transcript:ONIVA07G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPNGPRRLPTPFEPRPIDGLIGGDTADSDSGGTAIVGNMMTTGPGAALVLAAAGTTTTSTSLAESNGIGGRLVQDQEEAGSSYIVPFPNGHGVLDHDGLTGKATPYEPPPSWIPWIESPSLFGGWRFGSDAVAGGGDKDIVDLSPVGNAHDELPSDGLNLGSAGDAIINTTASRSRCGLVDVLNEDMVTEILLRLPPEDPALFARLQLVCKQWHAILGDPCFIRLLRKFHDPPPMLGYFINEDEPGKPMEIARFVHMTTTFRASPDIYDLASAVDSRHGLVLFYVRVCSDEEERFVVWDPMVEEEEEEEEQWIDGFPFPVETQYWTAAVMCGLLECHNDHLHCHGGPFLVVAACTRTMDSYTSLRMYSSYTDGWSDEILHKEKDQIDTKACVLVGRKLFLPTRV >ONIVA07G00910.1 pep chromosome:AWHD00000000:7:849445:849785:1 gene:ONIVA07G00910 transcript:ONIVA07G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLSLLFLTAMKSMIALLPCSIAGAVCSIILNFDRDTWVAHRKMADASVGPTGRREECGLERIDERRGESLTNRSTLFS >ONIVA07G00900.1 pep chromosome:AWHD00000000:7:775487:788594:-1 gene:ONIVA07G00900 transcript:ONIVA07G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWTGRLPIKPLMNKTMARWKESRRRDKALEKQNKMLEARRGSCRYRKRRQASAQDVGGPTHATSAISGPTHLSGKRSAMERLDWYRFCLRKAEEDLRHKDDECRVVADALKKAKAQSKSLIGENKSLHTNLKGVNKKSVDQELRLAAAEEKIRSLEARLASSEATAEGSTEGEEERISLRKMTHGNSRPLHRRGSSSCASLDASGGLGERRTVLPADGGPSVGGGGVRSTKPAPSSSNGEERVVFTSTGTSDSCGLAGDDGDDMTEKPTTYDNDGDGGSGEQMLRARRKYECRPEQTCVIGESTVISRRIEDLALTGKILGSCQPRAFGAPCATRWCLTRSLMRLGLPVSPLITGVLEQFGLELS >ONIVA07G00890.1 pep chromosome:AWHD00000000:7:772208:773519:-1 gene:ONIVA07G00890 transcript:ONIVA07G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLTEEYIVLQIIPLRDGWEHSLTSGDENTPGAYLGPVSSTAPGGAATIAPPPGGGPMAGSAPLVPNAPDAGTVRVRAEALESIHLGRLLGVGNEDAPVDWGPLVGDRAGALAGALTFAELSDLLRVLGWQLPLNAEGMMLQAFMRSGSGYKSCKRTPRLLCQRLKTLRIPLGLPSLARTSLGKNAECELTVSRVELARERDAVKCLSEELSVAKAALTAHDMELQASRAQYEQARLILAELNNRAISTIQALMRAFSSIEVHGLSLPRDDSAVAKLRWVEKAGKLAEKASTGYGMWCSWATTHMLSRLLRGKGCSHIGPSARVAPHSSFHDRLRLNSSKRDADDFTGRVWPALGHDAAVTAMTSAVQGKKKDAPKV >ONIVA07G00880.1 pep chromosome:AWHD00000000:7:743497:745554:1 gene:ONIVA07G00880 transcript:ONIVA07G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTISSLLLLLRLSILAMNSTAATTNNGQFIYTGFAGAKLTLDGVATVTSAGLLQLTNGTGALKAHAFHPDPLRFRDLPVAGGGGSGNGNDVRSFSVSFVFAILSIYPNLSSHGMAFFVSPTNNLSAAAPRSYLCLFSNKTDGDVANHIFALDTIQNTDFIDINNNHIGVDINSIRSVGSYPTGYYDDGDNGNNLKNLTLNSHEPMRIWIDYDQETTRIDVTVAPLEISKPKRPLGSVIYNLSTVLTDSAYVGFSSSSGDIDSQYYVLGWSFAMNGAAPAIDISKLPKLPREGPKSSSKVMEITLPIATAMFVLVIGVIVLHLLRRRPRYAELREDWEVEFGPHRFSYKDLFDATKGFKNKYLLGSGGFGSVYRGVLKSSNMEVAVKRISHESRQGIKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELLLFYEYMPNGSLDKYLHGQEDKNTLDWAHRFHIIKGIASGVLYLHEEWDQVIVHLDIKASNVLLDSDMNGRLGGFGLAKLYDHGIDPQTTHVVGTMGYLAPELVRTGKASPLTDVFAFGAFLLEVTCGRRPVEHNRQDNRVMLVDRVLEHWHKGLLTKAIDERLQGEFDTDEACLVLKLELLCSHPVPQARPSMRQAMQYLDGDMKMPKLIPANLSFDMQAMMSNEGFDSYMMPYPSSSMVSHGTFMSGLSGGR >ONIVA07G00870.1 pep chromosome:AWHD00000000:7:728119:729051:1 gene:ONIVA07G00870 transcript:ONIVA07G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLLVLPCPFLRRPAAPTAIHGRLPAPRQPPPQCPDPQRPLPRLELGSSFSDLLLPTTLTSASTPYSTMAVGSALELDCVVLDSVLLCSARRFTASALKSSKSGRLTKWITCRIKEKKWGIIVFAMLY >ONIVA07G00860.1 pep chromosome:AWHD00000000:7:715552:730005:-1 gene:ONIVA07G00860 transcript:ONIVA07G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYATLNQLKFYAIDKVGVGYMQSEYRNVSFPAPVEAEVSVDQGTEVEVAVERGGDHGWPSVQQGGARRGTAVRGGGARPSSRRRSSSSSHAAPAAGRTPRRPHTAWPRRRELAVAAAAEEEKASCVVVEEEEEGDGGNGGVDAGGGGVDGGGGGTKPSNHSFLSLSTFYGLVTAGGSSWRKEERRRQLGDEEWRRGGSSDGLATTRSACGGSSRTRRIGVEEEWRWRFGDEEERRGGGVVDPVAPSVDGEVREASRRWIRPLLYAPCARIQLQRHGSGAVAGGAWILNW >ONIVA07G00850.1 pep chromosome:AWHD00000000:7:706776:709505:-1 gene:ONIVA07G00850 transcript:ONIVA07G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMRNCTDDEVSLLTKHVKPEIWRVNSLLAVNVMLVGVVVGIGAYAPRYRHHPLARFLFLGAAALFMPIVSSVVSATNNVASFVIGDDSHTEITLRGTCSMFRHTLCILLWTVFVQIAAINTTTVVAADARELGRSIAPSAFLLIHAIWTCYLVIYFLGTGYGRAKDFSLKTLLAKSHDPVAIFLLVPLCGLIFVKLVFKLGAFFMARQSFALGRNPRLVLGYMQSHAVDHVLAASSDDDDHAMPPPPLLIMGEDTVNVQKGPNSYTTSVNNNPINNLQQRAGLVTLDKVWQLMDEDDTNYSVTRRTQGQAGRLLKLKLKDACLSFALFKLLRCRFARYTADELKFTWVDNFFWQGLLLSTHDGGSSSSSSRVFKVIADELSFIHDFYYSSIPILYSNTWLPILTFSISLFSLGYSLFVALFMTLAIASSDLSDSQLFCELPCKFQRDWTVWRSWTSEYYLGFGSVILFDLVPVLLLCVLLVLSEARDIASLFCSNWTKVVVICRYLRSKRRSWVGCLLLRCSCCKLVNNPWKDNINLCRSILLHHPRTKWSTPILYHHLLRRLLIPCLPLPEKTKSVKVPDQVKSAIIDKLKSSKGSLSLTKGTASLRHQSVLQGSDSLLWACSCGAEGSSTTDVLLVWHIATTILELLPDDDGWSKDLYKAVKEDAGRALAAGRAPLSTPEPEEEYEKLVRLLSAGCRHKVLRNGAQLAEQLLALVQTQTQNQQEEEDKVWEVLAEFWLEMILYVAPSDNLDGHAKAIARGGELITLLWALLNHAGIVTRPGTETASSSAV >ONIVA07G00840.1 pep chromosome:AWHD00000000:7:687099:687569:-1 gene:ONIVA07G00840 transcript:ONIVA07G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFFFALLAIAACSASAQFDAVTQVYRQYQLQPHLMLQQQMLSPCGEFVRQQCSTVATPFLQSHVFQLRNCQVMQQQCCQQLRMIAQQSHCQAISSVQAIVQQLRLQQFAGVYFDQSQAQAQAMLALNMPSICGIYPSYNTAPCSIPTVGGIWY >ONIVA07G00830.1 pep chromosome:AWHD00000000:7:661945:662415:-1 gene:ONIVA07G00830 transcript:ONIVA07G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFFFALLAIAACSASAQLDAVTQVYRQYQLQPHLMLQQQMLSPCGEFVRQQCSTVATPFFQSPVFQLRNCQVMEQQCCQQLRMIAQQSHCQAISSVQAIVQQLQLQQFAGVYFDQTQAQAQAMLALNLPSICGIYPSYNTAPCSIPTVGGIWY >ONIVA07G00820.1 pep chromosome:AWHD00000000:7:657693:658512:1 gene:ONIVA07G00820 transcript:ONIVA07G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPHNHSHVNKTTKATTTLQKPKKEYEEKKILIIIKKKEDKQEPYKASRLHYPSVLPAAGRRARPQPWRPPPPLPRSRSSSTTPTTAASPPSSSSPASPPASAASSSATTSASPAASRRWTPSWSASSRRCTAGCTAAAAASASATTAASTASCSPPSRRRS >ONIVA07G00810.1 pep chromosome:AWHD00000000:7:652461:654491:-1 gene:ONIVA07G00810 transcript:ONIVA07G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYTLPIILFLTISISLLAISASGDHDQFIYTGFTSSNLTLDGAAKITATGLLGLTNDTFRIKGHASHPAPLRFRKSPNGTVQSFSVSFVFGILSSFGDIRGHGFAFFIAPSNDFSTAFPIQFLGLLNDINNGSSTNHLFAIELDTIRNDEFGDIDNNHVGIDINSLNSVRSSYAGFYNDNNGALTNVSLIGDKPMQVWVEYDGNAAQIDVTLAPLGIGRPKRPLLSVVYNLSTVLTDQAYLGFSSSTGLSTGHHYVLGWSFGLNIPSPIIDPIKLPKLPNLSPRPQSKLLEIVLPIASAIFVLAIGVAIVLLVRRHLRYKEVREDWEVEYGPHRFAYKDLFDATKGFKNKNLVGTGGFGRVYKGVLPNSRLEVAIKRVSYESKQGIKEFVAEVVSIGHLQHRNVVKLLGYCRRKGELLLVYDYMANGSLDKYLYRQEGKPTLNWGQRFQIIKDIASGLLYLHEEWDKVVIHRDVKASNVLLDKQLNGRLGDFGLARLYDHGTDPQTTHVVGTIGYLAPELVHRGKATTLTDVFSFGIFILEVTCGQKPIKEDSQGRQLILVDWVLQNWHKGSLLDTVDIKIQGNYDIGEACLVLKLGLMCSHPFPNVRPNVRQVMQYLDGDVPLPELKPEHFSFDMLALIQKQNEGYDPSAMSLYPSPMMTSFGSTSSFSLEGR >ONIVA07G00800.1 pep chromosome:AWHD00000000:7:639731:649959:-1 gene:ONIVA07G00800 transcript:ONIVA07G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSFLLPLIALALNLAIAMSEDQFVYSGFSGRNLTLDGAATVTDDGVLELTNRTVHIKGHAFYPTPWQFRKTPNGTVQSFSINFVFGMIPVYSNEKCTDGMTFVISPTSDMSSAQDSQYLGLLNKTSDGKASNHIFAVELDSSQNTEFHDIDDNHVGIDINNLTSVQSQPAGFYSDNKTIFNNLSLCSYKLMQVWVDYDEETTQIKVAMAPIEVGKPLRPLLSEIHNLSLVLEEPSYIGFSASTGPINTLYCVLGLSLGINRPAPAIDISKLPKLPRVSPKPRSKLLEIILPIATAAFILIVGTTIFLLVRRRMRYAELHEDWEAEFGPHRFSYKDLFHATDGFKNRNLLGLGGFGKVYKGVLPTSKLHVAVKRVSHDSKQGMKEFIAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLYYEDSKPTLDWAQRFQIIKGVASGLFYLHDRWEKIVIHRDVKASNVLLDGEMNGRLGDFGLAKLYDHGADPQTTHVVGTMGYLAPELARTGKATPLTDVYAFGIFILEVTCGQRPIDNYADDNSQMLIDCVVEHWHKGSLTNMLDKRLLGDYDADEVCLVLKLGLLCAHPFCKSRPSMRQVMQYLDGDKPLPELMPTNLSYSMLAVMQNEGFEQYTSLPSIASSSDITSSISTHAAMNHLSYLLQFFFLFISNLSALATGEDQFIYSGFNGANLTLDGVASVTPDGLLKLTNGTLRLQGHAFHPTPFSFKKKPNGTVNSFAVSYIFAIYCLRPVICGHGIAFVVSVSKNFSTAMASQYLGLINDHNNGDPTNHFFAIELDTNQNDEFNDVNNNHVGIDINSLTSLNSSSVGYYTDSNGNFNNITLTSYKMMQVWLEYNGDNRQINVTLAPIKMAKPVKPLLSTYYDLSTVLTDMAYVGFSSSTGSFVARHYVLGWSFGINKPAPAIDISKLPKLPYEGEKTHSKVLEITLPIATATFVLAMIALIILLIRRRLRYAEIREDWEVEFGPHRFSYKNLFCATEGFKNKNLLGIGGFGRVYKGLLPTSKLEIAVKRISHDSNQGMKEFVAEIVSIGHLQHRNLVQLHGYCRRKSELILVYDYMSNGSLDKHLYGQENNSTLTWAQRFQIIKDIASGLLYLHEEWEKVILHRDIKPSNILLDDNMNGRLGDFGLARLYDHGTDPQTTHVVGTIGYLAPELARTSKATPLTDVFAFGMFVLEVTCGRKPIDHTAQDNQLMLVDWVLHCWHQGFLNDAVDIKLQGVYNIDEACLALKLGLLCAHPFINKRPSMRHVTQILNREMELPELTPTHMSFNMLSLMQNQGFDPETMTNQFLISNSTLSDLSEVRQTSHTKFFFLFLLVSFGINCASFTNTSDDQLLYLGFTGANLITDDTTVVTSNGLLELTNGTINRKGHAFYPSPLHFRKSHNNKVHSFAVSFVFAIRSSYPRMSLHGLAFVVSPSINFSNALAIQYLGLLNSKNRGSKSNHILAIEFDTILNIEFEDIDDNHVGIDINDLHSIKSHSAGYYDDRNSSFQNMSLISGDAMQAWVDYNGKDKKISVTMAPIKMAKPKRPLILISYDLSTVLKEPSYIGFSASTGLVDSRHYILGWSFGMNKPAPMINVNKLPKLPRQGPNPQPKLLAITLPIASATFVILFCGVFITIVRRRLRYVELKEDWEIEFGPHRFSYKDLFHATHGFDNKNLLGAGGFGKVYKGVLPSSKLEVAVKRVSHESRQGMKEFVAEVVSIGRIRHRNIVQLLGYCRRKGELLLVYDYMPNGSLDAYLYNNELKPTLSWDQRFWIIKGIASGLFYLHDKWEKVVIHRDIKASNVLLDTEMNGRLGDFGLARLYDHGTDLQTTHVVGTMGYLAPELVCTGKASPLTDVFAFGAFLLEVTCGQRPVNHNSQDSPGVLVDWVLEHWQKGLLTNTVDARLQGDYNIDEACFVLKLGLLCSHPFTNMRPNMQQVMQYLDGDVPLPELTQMDMSFSIISMMQDEGFNPYTLSSYPPPGTSVGTISNISGGR >ONIVA07G00800.2 pep chromosome:AWHD00000000:7:639731:643892:-1 gene:ONIVA07G00800 transcript:ONIVA07G00800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYLNAIARAKQGGFGKVYKGVLPSSKLEVAVKRVSHESRQGMKEFVAEVVSIGRIRHRNIVQLLGYCRRKGELLLVYDYMPNGSLDAYLYNNELKPTLSWDQRFWIIKGIASGLFYLHDKWEKVVIHRDIKASNVLLDTEMNGRLGDFGLARLYDHGTDLQTTHVVGTMGYLAPELVCTGKASPLTDVFAFGAFLLEVTCGQRPVNHNSQDSPGVLVDWVLEHWQKGLLTNTVDARLQGDYNIDEACFVLKLGLLCSHPFTNMRPNMQQVMQYLDGDVPLPELTQMDMSFSIISMMQDEGFNPYTLSSYPPPGTSVGTISNISGGR >ONIVA07G00800.3 pep chromosome:AWHD00000000:7:643982:649959:-1 gene:ONIVA07G00800 transcript:ONIVA07G00800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSFLLPLIALALNLAIAMSEDQFVYSGFSGRNLTLDGAATVTDDGVLELTNRTVHIKGHAFYPTPWQFRKTPNGTVQSFSINFVFGMIPVYSNEKCTDGMTFVISPTSDMSSAQDSQYLGLLNKTSDGKASNHIFAVELDSSQNTEFHDIDDNHVGIDINNLTSVQSQPAGFYSDNKTIFNNLSLCSYKLMQVWVDYDEETTQIKVAMAPIEVGKPLRPLLSEIHNLSLVLEEPSYIGFSASTGPINTLYCVLGLSLGINRPAPAIDISKLPKLPRVSPKPRSKLLEIILPIATAAFILIVGTTIFLLVRRRMRYAELHEDWEAEFGPHRFSYKDLFHATDGFKNRNLLGLGGFGKVYKGVLPTSKLHVAVKRVSHDSKQGMKEFIAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLYYEDSKPTLDWAQRFQIIKGVASGLFYLHDRWEKIVIHRDVKASNVLLDGEMNGRLGDFGLAKLYDHGADPQTTHVVGTMGYLAPELARTGKATPLTDVYAFGIFILEVTCGQRPIDNYADDNSQMLIDCVVEHWHKGSLTNMLDKRLLGDYDADEVCLVLKLGLLCAHPFCKSRPSMRQVMQYLDGDKPLPELMPTNLSYSMLAVMQNEGFEQYTSLPSIASSSDITSSISTHAAMNHLSYLLQFFFLFISNLSALATGEDQFIYSGFNGANLTLDGVASVTPDGLLKLTNGTLRLQGHAFHPTPFSFKKKPNGTVNSFAVSYIFAIYCLRPVICGHGIAFVVSVSKNFSTAMASQYLGLINDHNNGDPTNHFFAIELDTNQNDEFNDVNNNHVGIDINSLTSLNSSSVGYYTDSNGNFNNITLTSYKMMQVWLEYNGDNRQINVTLAPIKMAKPVKPLLSTYYDLSTVLTDMAYVGFSSSTGSFVARHYVLGWSFGINKPAPAIDISKLPKLPYEGEKTHSKVLEITLPIATATFVLAMIALIILLIRRRLRYAEIREDWEVEFGPHRFSYKNLFCATEGFKNKNLLGIGGFGRVYKGLLPTSKLEIAVKRISHDSNQGMKEFVAEIVSIGHLQHRNLVQLHGYCRRKSELILVYDYMSNGSLDKHLYGQENNSTLTWAQRFQIIKDIASGLLYLHEEWEKVILHRDIKPSNILLDDNMNGRLGDFGLARLYDHGTDPQTTHVVGTIGYLAPELARTSKATPLTDVFAFGMFVLEVTCGRKPIDHTAQDNQLMLVDWVLHCWHQGFLNDAVDIKLQGVYNIDEACLALKLGLLCAHPFINKRPSMRHVTQILNREMELPELTPTHMSFNMLSLMQNQGFDPETMTNQFLISNSTLSDLSEVRSHTWSPYDI >ONIVA07G00790.1 pep chromosome:AWHD00000000:7:635179:637461:-1 gene:ONIVA07G00790 transcript:ONIVA07G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSEIVRCLTEQTKEQLGSLHVSLAVSLSDKDRKGIEDYAEDSCSLASYMIRVKPGLLPVQPSPKHLCTFWHLNSLVLHKLHMENQPVLFSAVFILYVSFLGPFCASSGEESFVYSGFASTGAANLTLDGSAMVTTTGLLQLTDSMPNIQGHAFYPTPLRFKKQSNGIVQSFSVAFVFGIISPYSDASTDGMAFVVAPNKGFPDAKAAQFLGLLNISSDNSTSNHMFAVEIDTAQNTELDDIDDYHVGIDINSLHSKKSQHIGFYNDQHGGLLKNLTLTGSNCKPVQVWVDYDGETTQINVTLAPIKVTKPTRPLLSVPFNLSTVLTDQAYIGFSAATGPLTSHYYVLGWSFAMNAPAPPIEISRLPRLPCPGDNRLQKILQILLPIVAVALIFIVVMILVRRQQRYAELREDWEVEFGPHRFSYKDLFNATEGFKSKHILGVGGFGKVYKGVLRTSKHEVAVKKVSHGSNQGMKEFISEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGEDNKPVLNWAQRMQIIKDVASGLFYLHEKWDKVVIHRDIKASNVLLDSEMNARLGDFGLARLYEHGTNPQTTHLVGTMGFIAPELARTGKASPLTDVFAFGTFLLEVTCGRWPISNSAHHGRKMLVDWVLQHWHQGSLPETVDPKLHGIYNVDEACLVLTLGLMCSHPIPGARPIMRQVMQYLDGDAPLPEFTPATLNSSLLAIMHNEGVDPYVAQYPWSGNSLGTMTPDILSGR >ONIVA07G00780.1 pep chromosome:AWHD00000000:7:627024:636994:1 gene:ONIVA07G00780 transcript:ONIVA07G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSFGSTVSGSEPWCQCWRTQSTNIFRPWCALLLIGHRPQVTSRRNVPKANTSVRGDAFPVRASSGAMNPMVPTRSLYENRCGPNSTSQSSLNSAYLCCLLTSIMTTMKINATATIGNRICKIFCNLLSPGQGSLGNLEISIGGAGAFIAKLHPSTFGHFDGSQSDIDLCGLSIVVHPHLDRLAVAAREGQVLQEPTMLVIVEADVLGFLGVEAVDVDADVSSISSNSVFWAVSISTANMWLLVLLSLLMLRRPKNCAALASGKPLFGATTKAMPSVLASEYGEMMPNTKATEKDWTMPFDCFLNRSGVG >ONIVA07G00780.2 pep chromosome:AWHD00000000:7:627020:646408:1 gene:ONIVA07G00780 transcript:ONIVA07G00780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSAAAAAGGTVAAWGFFGSVGVNSGSGMSPLRYSMTWFILGLDLANGCEHKSPSFSTRHASFMLQFPCSLLSIVSTIDPFCQCSSTQSTNINRSPCAFCLTGLCPQVISRRNVPNANTSVRGDGLPVRTNSGARFSHFDGSQGYINLCGSCIILNPHLHHVTANKRQVLEHTIFVIIEASIPGLHRVETINVDANMVVTDVLELTVLDGVKLNCEYMVACVAVVLRVGETLVCGDKEGHAICTFVRNSREDAEDEEDWNSSYLDKCKQILWSHLPDKMSGVIVPKLFPDHGYCATYGSTPSLCIIASKLEFRVAGVNSGSGASPSRYCMTCRMIGLAPGIGCEHISPSACLIDVVDAVQLRVHCLWQRTLVPVLENPVNQHLSAVVRTVADRPPATGDLKKERAEGEHVGERGCLSCACQLWGYESHGSNQEHVACFDVTVDDNLVPLLMEYPTLLEFSDTVDVDSNMVVIDIVELIILVRVELDSEEMVCWIAVVVVIYKAKGLLKDPLKSEQE >ONIVA07G00780.3 pep chromosome:AWHD00000000:7:627024:645039:1 gene:ONIVA07G00780 transcript:ONIVA07G00780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSFGSTVSGSEPWCQCWRTQSTNIFRPWCALLLIGHRPQVTSRRNVPKANTSVRGDAFPVRASSGAMNPMVPTSVVNRLSPTGDFKNKHAKGKYIGKGSCFASSC >ONIVA07G00780.4 pep chromosome:AWHD00000000:7:627021:645039:1 gene:ONIVA07G00780 transcript:ONIVA07G00780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSFGSTVSGSEPWCQCWRTQSTNIFRPWCALLLIGHRPQVTSRRNVPKANTSVRGDAFPVRASSGAMNPMVPTSVVNRLSPTGDFKNKHAKGKYIGKGSCFASSC >ONIVA07G00780.5 pep chromosome:AWHD00000000:7:627020:638761:1 gene:ONIVA07G00780 transcript:ONIVA07G00780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSAAAAAGGTVAAWGFFGSVGVNSGSGMSPLRYSMTWFILGLDLANGCEHKSPSFSTRHASFMLQFPCSLLSIVSTIDPFCQCSSTQSTNINRSPCAFCLTGLCPQVISRRNVPNANTSVRGDGLPVRTNSGARFSHFDGSQGYINLCGSCIILNPHLHHVTANKRQVLEHTIFVIIEASIPGLHRVETINVDANMVVTDVLELTVLDGVKLNCEYMVACVAVVLRVGETLVCGDKEGHAICTFVRNSREDAEDEEDWNSSYLDKCKQILWSHLPDKMSGVIVPKLFPDHGYCATYGSTPSLCIIASKLEFRVAGVNSGSGASPSRYCMTCRMIGLAPGIGCEHISPSVNTRHASSTL >ONIVA07G00770.1 pep chromosome:AWHD00000000:7:616437:624519:-1 gene:ONIVA07G00770 transcript:ONIVA07G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPEGEQHVLVDWVLEHWHKGSITEIVDTKLHGNYNVDEAQYKASDEVPNWRHGNARTGANTPQLSYTCTDAEPRIRLIRHVIPFINGKHERHVQQPFSTKMNYSRNNWQKQVHRTAPFMKIALSFLLHILLFHGFNLVLAVSASDDQFVFSGFSGANVTLDGTATVTAGGLLELTNGTTQLKGHAFFPAPLSFRGSLNGTVQSFSASFVFAILTSYPNLSCHGIAFVVAPSNNLSTALAAQYMGLTNIDNNGNASNHIFAAEIDTMQNVEFQDINNNHVGVDINGLHSVESHYAGYYDKNGSFHNMNLISGDVMQAWVDYDGDIAQINITIGPIDMSKPGRSLISTTYNLSDVLMEPSFIGFSSATGPINSRHYILGWSFGMNKPAPNIDIAKLPKLPRLAPKPQSKVLVILLPIAIAAFILSVGIAMVFLVRRRQRYAELREDWEDEFGPHRFAYKDLLHATDGFSDKHILGAGGFGRVYKGILPKSKLEVAVKRVSHESRQGMKEFVAEVASIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDRYLHYEGNKPVLDWVQKFQIIKDVASGLLYLHEKWDKVVIHWDIKASNVLLDKEMNARLGDFGLARLYDHGTDAHTTHMVGTIGYLAPELIHTGKASTLTDVFAFGTFLLEVICGQRPIKEDAHGNQILLVDWVLEHWHNESLLDTVDPRLQGDYNVEEACLVLKLGLLCSHPSTNARPCMQQVVDYLEGDTPVPELASTHQNFNELASMRKKGFDPYIMSYNPSSTVSFGTAIISSMAKQATMLLHMKLISIYLLVLCVVGANELLVVAASGNDGGGGRFVYSGFAGANLTLDGTATVTPAGLLELTNGTLQLKGHAFHPTPLRFGFGSGGGGGGGDGVVVRSFSASFVFGILSAYPDMSAHGIVFLVSPTTDFSAALASQYLGLVNVTSNGDARNRIFAVELDTLQQDEFRDINDNHVGVDINGLVSLQSTSAGYYAADINGGGFRNLTLISHEAMRVWVDYDAGDARIDVTLAPLAVAKPVRPLISAAYNLSSVITDTAYVGFSSATGSFNSRHYVLGWSFAVDGGPAPAIDVAKLPKLPREGPKARSKFLEIFLPIASAAVVLAMGILVILLVRRRKRYTELREDWEVEFGPHRFPYKDLHHATQGFESKCLLGVGGFGRVYKGVLPNSNVEIAVKRVSHDSSQGVKEFVAEVVSLGRLQHCNLVRLLGYCRRKGELMLVYEYMSNGSLDKYLHGQDNKPTLSWARRFQIIKDIASGLLYLHEECDKVVIHRDIKASNVLLDNEMNARLGDFGLARLYDHGEDPQSTHVVGTIGYLAPELGRTSKATPLTDVFAFGTFILEVTCGRRPIYHDSHGTQVMLVDWVLDHWHKQSLVDTVDLKLHGEFDVGEACLVLKLGLLCSHPFINARPDMRRVMQYLKREVALPELMPTSMSFHMLALMQNDRFDSYVQSYPSSNSKGNISTATSSLLEEG >ONIVA07G00770.2 pep chromosome:AWHD00000000:7:624529:631324:-1 gene:ONIVA07G00770 transcript:ONIVA07G00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVAFMPGKMYKRLILCQLIFLGLDLASFTIAEEQFIYSGFSQANLSLDGTATITPEGLLQLTNGTFNLKGHALYPAPLQFRRHPTGDVQSFSLTFIFSILSAIPDKGADGMAFFISTNKSFSNALPAQYLGILNDQNNGNTSNHIFAVELDTIQNSEFQDISDNHVGININSLHSVQSRDAGFYDDKNGVFKNLTLVSRDVMQVWVEYDAGSTQIDVTLAPIKVAKPTRPLVSAIYNLSTVLPGTAYIGFSSATGVINSRYYVLGWSFSMGGTASGIDIRKLPKLPHVGPRPRSKVLKIIMPATIAASIFVAGALLVLLVRRKLAYTELREDWETEFGPNRFSYKDLFLATEGFKNKNLLGTGGFGKVYKGILPTNKLEVAVKRLSHESRQGTKEFITEIVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYSEDKLSLDWNKRFHIIKGVASCLLYLHEECESVVIHRDIKASNVLLDSELNGRLGDFGLAKSYDHGSDPQTTRVVGTMGYLAPELVRTGKPSPLTDVFAFGTFLLEITCGQRPVKQNAQGDRFMLVDWVLEHWQKGSMVETIDKRLQGNCNINEACLVLKLGLLCSQPFARSRPSMNHVMLYLNGDMPLPEFTPTDTSLNMLALMENRGLDPSAIMLLHVKLICLLLLAGASLAACTAGDGNDDDHQFVYSGFTGSNLTLDGAAVITRTGLLELTNGTLRQKAHAIHPAPFRLRGGSSSSSTATATATATATATRSFSASFVFAILCPDADACGHGIVFFVAPANHSFSGAFPSQYIGLFNGSSDGDAGNHLVGVELDTDQNNEFRDIDGNHIGVDINSLTSINSTSAGYYDDNDGGNSGDHGFHNLTLASHGEAMQVWVDYNGTAKQITVAMAPLKMAKPSKPLLSSTYDLSTVFVADEPYMVGFSSATGSFNSRHYVLGWSFAMDGPAPAIDIDKLPKLPRFAPKHKPKMVEIIPPLATATFIVALGTVSVLLTRRRMRYTELREDWEVEFGPHRFSYKDLFRATDGFKSMNLVGVGGFGRVYKGVLQSSKLEIAVKRVSHDSKQGMKEFIAEVVSIGRLQHRNLVQLLGYCRRKGELLLVYEYMANGSLDKHLYSEGDKRVLDWDQRLQIIKGIASGLLYLHEEWEKVIVHRDIKTSNVLLDSEMNSRLGDFGLARLYDRGADPLTTHVVGTIGYLSPELGRSSKATPLTDIFAFGIFILEVTCG >ONIVA07G00770.3 pep chromosome:AWHD00000000:7:631329:635002:-1 gene:ONIVA07G00770 transcript:ONIVA07G00770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIDGVWHCKPHCMIHNMQVEEKPSMKIMLFGLLAVLAGCSDAVDQFVYSGFTGSNLTLDGGARVTPSGLLELTNGMVRLKGHAFHPTPLHLHESPNGTVQSFSISFVFAILCDYPDSCGHGLAFFIAPSKNFASAFWTQYLGLFNNKNNGDPNNHIFAIELDTVQNDDLQDISNNHIGININSLYSMKSRDAGFYDDKSGDFKNLTLISQKAMQVWVNYDREITQINVTMAPLNVEKPVKPLLSTTYNLSTVLTDSAYIGFSSSTGTVSGKHYVLGWSFGMNSPAPSIDIAKLPRLGQKARSKVLQTILPIVAVVFLLSAGTTIFLCMRRNLRYAELREDWEVEYGPRRFCYKDLFDATEGFKNKHLLGTGGFGSVYKGVLPISRLDIAVKRVSHDSTQGMKEFIAEIVSIGCLQHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGKEGKPTLDWTQRFQIIKGVASGLLYLHEESEKVIIHRDIKASNVLLDNDTNARIGDFGLARLYDHGTDPETTRVVGTIGYLAPELARGGKATPLTDVFAFGMFILEVTCGQKPVIQNTEDDQLVLIDWVLEHWHKGSLADTVDIKLQGEYNIDEACLALNIGVLCSHPLISVRPNMRQVVQYLNKDIPLPESMPTHLSFYVMALIQNKGFSPCTITDPSSATSFGTISSTSLSEGR >ONIVA07G00770.4 pep chromosome:AWHD00000000:7:624529:629753:-1 gene:ONIVA07G00770 transcript:ONIVA07G00770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKMYKRLILCQLIFLGLDLASFTIAEEQFIYSGFSQANLSLDGTATITPEGLLQLTNGTFNLKGHALYPAPLQFRRHPTGDVQSFSLTFIFSILSAIPDKGADGMAFFISTNKSFSNALPAQYLGILNDQNNGNTSNHIFAVELDTIQNSEFQDISDNHVGININSLHSVQSRDAGFYDDKNGVFKNLTLVSRDVMQVWVEYDAGSTQIDVTLAPIKVAKPTRPLVSAIYNLSTVLPGTAYIGFSSATGVINSRYYVLGWSFSMGGTASGIDIRKLPKLPHVGPRPRSKVLKIIMPATIAASIFVAGALLVLLVRRKLAYTELREDWETEFGPNRFSYKDLFLATEGFKNKNLLGTGGFGKVYKGILPTNKLEVAVKRLSHESRQGTKEFITEIVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYSEDKLSLDWNKRFHIIKGVASCLLYLHEECESVVIHRDIKASNVLLDSELNGRLGDFGLAKSYDHGSDPQTTRVVGTMGYLAPELVRTGKPSPLTDVFAFGTFLLEITCGQRPVKQNAQGDRFMLVDWVLEHWQKGSMVETIDKRLQGNCNINEACLVLKLGLLCSQPFARSRPSMNHVMLYLNGDMPLPEFTPTDTSLNMLALMENRGLDPSAIMLLHVKLICLLLLAGASLAACTAGDGNDDDHQFVYSGFTGSNLTLDGAAVITRTGLLELTNGTLRQKAHAIHPAPFRLRGGSSSSSTATATATATATATRSFSASFVFAILCPDADACGHGIVFFVAPANHSFSGAFPSQYIGLFNGSSDGDAGNHLVGVELDTDQNNEFRDIDGNHIGVDINSLTSINSTSAGYYDDNDGGNSGDHGFHNLTLASHGEAMQVWVDYNGTAKQITVAMAPLKMAKPSKPLLSSTYDLSTVFVADEPYMVGFSSATGSFNSRHYVLGWSFAMDGPAPAIDIDKLPKLPRFAPKHKPKMVEIIPPLATATFIVALGTVSVLLTRRRMRYTELREDWEVEFGPHRFSYKDLFRATDGFKSMNLVGVGGFGRVYKGVLQSSKLEIAVKRVSHDSKQGMKEFIAEVVSIGRLQHRNLVQLLGYCRRKGELLLVYEYMANGSLDKHLYSEGDKRVLDWDQRLQIIKGIASGLLYLHEEWEKVIVHRDIKTSNVLLDSEMNSRLGDFGLARLYDRGADPLTTHVVGTIGYLSPELGRSSKATPLTDIFAFGIFILEVTCG >ONIVA07G00760.1 pep chromosome:AWHD00000000:7:598964:614200:-1 gene:ONIVA07G00760 transcript:ONIVA07G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMLLLLLLLSLILNLASPTTAASGDGVGDQFIYSGFHGSNLTVDGAASITPDGLLQLTDGAAYLKGHAFHPSPVRLRRDVSTSTTTTTVRSFSVTFVFGIVSVYPDFSAHGMAFVVSPTTNLSSSLPAKYLGLTNVQNDGNASNHMLAVELDTIQSVEFRDINANHVGVDINGLQSVRAYNAGYYDDVSGEFRSLKLISRQAMQVWVDYHGGEKKQLDVTMAPLRMARPVKPLLSVTHDLSTVLADVVYLGFSAATGRVNSRHCVLGWSLGINGPAPAIDIDKLPKLPRAGPKPRSRVLEIVLPIVTATIVLVVGGAIVMVVRRRSRYAELREDWEVEFGPHRFSYKELFRATDGFADKHLLGLGGFGKVYRGVLPKSKLEVAVKKVSHESRQGMKEFVAEIVSIGRIRHRNLVQLLGYCRRKGELLLVYAYIPNGSLDKYLHSEEDKPILSIASGLLYLHERWEKVVVHRDIKASNILLDKDMNGQLGDFGLARLYDHGTDSQTTHVVGTMGYLAPELIRTGKASPLTDVFAFGVFLLEVTCGQKPIKEKNPQGSHIALVDWVLEHWRDGSLMDTVDGRLHGEYDAGEAALVLKLGLLCSHPFAAARPGMGQVTCCLAGEAPLPELTPADMGFDVLAMMQDKGFDTSVVSDHYHVYQTTMSFLPLILFFFLGLNLSSITNSDDNHQFVYNGFTSANNLSLDGVAMVTPDGLVELTNDGIRVKGHAFYPSPLHFRETPNGTVQSFSVSFVFGIVPTFSDLNSGHGITFVIAPSKNFSDAIAAQYFGLFNSETNGNDRGHIFAIELDTVKNTEFGDMNDNHVGIDINNLTSLQSYPAGYYEESGRFKNLTLASMEAIQVWVDYDREATRINVTMAPLAMAKPVRPLLSATYNLSGLLMERSYIGFSSSTGATSARHYLLGWSFSMNGGTALAIDIAKLPKLPRVGPKSDPSNLLQIILPVATAAFLVAVGATVFLLVRRRMRYTELREDWEIDFGPHRFAYKDLFHATEGFQNKNLLGTGGAGRVYKGMLLGSKQEIAVKKIPQNSKESMKQFVAEIVSIGCLDHRNLVHLLGYSRRKGELILVYEYMSNGSLEKYLYGQDGRCTLDWGQRFHIIKGIASGLLYLHEEWEKVVIHRDVKPSNILLDNKMNAKIGDFGLSRLHDHGANPQTTHVVGTIGYLAPEIALTGKVTPLADVFSFGILALEITCGQKPMKQNAQGIQQTLVGWVLECWKKGSVVDAVDANLQADYDNAEAGLVLKLGLLCSHPSEHSRPNMRQVTQYLNGDMPLPETISNPGFGLFHLMQERESPTLAHNVTFSALTITIAN >ONIVA07G00750.1 pep chromosome:AWHD00000000:7:596908:609064:1 gene:ONIVA07G00750 transcript:ONIVA07G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTDDKDRHGKTYESKPWPCMRVTMLNFSSDGANSGSGASPSSGLCPQVTSRRNTPKANMSVAGDALPVNTSSGARSQGDTNLLGITIIIKPNLHCLMDDKSKVPEDATPVFIETSIVCIQGLHAVDIDPYMVVIHVLELLVLEGVELDGEQIVASIAIVLMDVSIAILILALNLLQHYHSQLGGFCPHVISRANMPKENTSASGVTLPVNAISGAKFGHGKRSHGHVDPSSFSVIINPHLYSLHGCKGQVFEPSTLFIVSSRVGLQRGEIIDIDPNMIIIHVPKLCVLNGVELNCKDVASIIAIGLTIEEPKAR >ONIVA07G00750.2 pep chromosome:AWHD00000000:7:609061:612503:1 gene:ONIVA07G00750 transcript:ONIVA07G00750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDGRRRRRSQSEGATPTDRISALPDELLHGILLRVGCARDAARTAALSRRWRRVWATMPELHLRRSAWTSSPTSAVSLVDGALAGYSAPTLRLLDIDVPGARLAAATHVAPWLRFAAERVAGELSIRLRSGRYGDGAGEEEVLDLPVCGAATTIRLRLVSHLHLRPPPGGAFAALATATIQSCRVDGGELGRLVSSPQCPRLEELYLINVALVAAAASDVAISSASLRRLRFGVRDTRHLDVDAPELRFLSGSNAGEARVTAGKVEEVAHTGDMDRYEYTQLGRHLRRLEIDLTSPMAAFLGRLDTVGELSLHLAFQSELSDWSQQFEKLVEEMSKLPECEALEICPAFNHSHGFLPIAMHLLRRFAGIRKLSVNLWWVKPPCPPELVSYCPCRTLTDDLFTDNNIIMLCHLEEIEIDEFRGRDEQVEFVNQLLRCNVPLLERVVFNVPSCCFPESEEIIREKIHGKLRGDKIKPSYLPPERLEMVPKLVIRSGYDTTDVSNPLSCIMASTSKPMSAGVSSGSGASPARQQVTCPIPGLAAANGCEHRRPSFSTSAASPASYSPWSLPSTVSMSEPSRQCSSTQSTSAMWLP >ONIVA07G00740.1 pep chromosome:AWHD00000000:7:596865:598886:-1 gene:ONIVA07G00740 transcript:ONIVA07G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTFLLFLCLVSFVTSSEHQFVFSGFTGSNLVVDGAATITEDGLLELTNGANNIEGHAFYPTPLRFRKSPNDMVQSFSVSFVFSILQKYANRSNDGMAFFIAPSKNFSDASLPAQYLGLLNNQNNGNRSNDLFAVELDTFQNKEFQDMDDNHVGINVNSMKSLDAHYAGFYEDRSGIFRNLTLVIHEAMQVWFDYDGDAKKISVTLAPARLAKPKRPLLSVTYDLSTVVADSAYIGFSAATGGVVNTKHCVLGWSFRMNGPAQAIDISRLPKLPNLGSKKSHSSRILVIISPVATAVLIFLVGVLLVLCVRRRLKYTEIQEDWEVEFGPHRFSYKVLYDATEGFKDKNLLGVGGFGKVYKGVLPVSKQVVAVKCVSHESSQGMKEFVAEIVSIGQLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDNYLYCDLTEPTLDWAQRFNIVKGVTSGLLYLHEKWGKIVIHRDIKASNVLLDKDMNARLGDFGLSRLYDHGTDPQTTHLVGTMGYLAPELVFTGKASPATDIFAFGVFLLEVTCGQRPLNNNQQDNQPPMLVDWVLEHWQKGLLPETVDKRLQGNYNVDEACLVLKLGLLCSHPIAMERPTMSQVQRYLDGDAPLPELAPSELKFNMVTLMQGQGFDSYVLPCLSLSSVVSIETSPEVDDNTASV >ONIVA07G00730.1 pep chromosome:AWHD00000000:7:587604:593834:1 gene:ONIVA07G00730 transcript:ONIVA07G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSFGNLGGGGAGGSGKAAASSFLQLPLSTAAAATAYYGTPLALHQAAAAAGPSQYHGHGHPHHGGGHHHSKHGGAGGGEISAAEAESIKAKIMAHPQYSALLAAYLDCQKVGAPPEVLERLTATAAKLDARPPGRHDARDPELDQFMEAYCNMLAKYREELTRPIDEAMEFLKRVESQLDTIAGGGHGGSGGGAGSARLLLADGKSECVGSSEDDMDPSGRENEPPEIDPRAEDKELKFQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGPFMADGMYRLGS >ONIVA07G00720.1 pep chromosome:AWHD00000000:7:562097:564626:-1 gene:ONIVA07G00720 transcript:ONIVA07G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASAAPFLGPDSPVHDTSQTAYVSPLALLKILVHAAWESPVAAMGVILGEEVDGFSVRVVDAFPLPRCAASPRPSTPATSRACSPCSTKPTVQMVRCKLTCSLGENVQPVSSAKGLYLSWISFHISSKVLWGGTAQTLASMAVPPIMMLFFTSYVAMFIVSHYCQYVNRASVKQFCKAIDYLNLNLQAFEQLNPRAILVAVDPVRSATGNFTMNAFRSVTSYHETSSNVGALNREYYSVAEDEKPFFELDIFAQGLASVFYSILISHRKNDLEINILKSMDKMGSKGSSSEDCRSLCQFPVMSESEKKNVEEMLIDLLTKYQNEEEMQESDVPENPPDAENHLEELKNLMSACILQIFGMMLAWSSF >ONIVA07G00710.1 pep chromosome:AWHD00000000:7:556905:560873:1 gene:ONIVA07G00710 transcript:ONIVA07G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLLVAAVLAAAAMAAAAQNTAQDYVDAHNAARSDVGVGPVSWDDTVAAYAESYAAQRQGDCALEHSDSGGKYGENLFWGSAGGDWTAASAVSSWVAEKQWYDHGSNSCSAPANASCGHYTQVVWSNSTAIGCARVQPRRLHHLQLLAAGQHRRPISLLMAASLAVATPEDYLNPHNVARINVGVPAVVWNDTVSAFAEDYAADLYAGGCHLQPSSTQDYGENLYFNSDQSSTTAEADAVASWVSEGLCYHHDTNSCSAPAGESCGHYTQVVWYNSTDIGCATVVCETGENTGVVVACNYWPPGNIPGQSPY >ONIVA07G00690.1 pep chromosome:AWHD00000000:7:551445:551951:1 gene:ONIVA07G00690 transcript:ONIVA07G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWSRLSCCLLVLAAAAMAATAQNSAQDFVDPHNAARADVGVGPVSWDDTVAAYAESYAAQRQGDCKLEHSDSGGKYGENIFWGSAGGDWTAASAVSSWVSEKQWYDHGSNSCSAPEGSSCGHYTQVVWRDSTAIGCARVVCDGDLGVFITCNYSPPGNFVGQSPY >ONIVA07G00680.1 pep chromosome:AWHD00000000:7:506186:537206:1 gene:ONIVA07G00680 transcript:ONIVA07G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVAKMVVAMAAFAMIFMATTTAAQQQQFSAREKAEFVNLHNKARAAVGVGKVAWSDALAAKALEHARYCQKQHILGPYGENLRWSGFGDSTAATPAFAMSYWVGERPYYDYRSNSCVGGEKGAACFAVLVTAIVLGMMAATSTATVQDFLDPHNAARSDVGVAAVTWDDKVAAFAMWYAAQRQGDCKLQHSDYSGGKYGENIFWGSAGADWSPEDAVAAWVKEKQWYDHGRNSCSAPAGNSCGHYTQVVWRNSTAIGCARVVCETGENRVVWYDHGSNSCSAPAGNSCGHYTQVVWRNTTAIGCYRVVCDNSLGVFITCNYSPPGNLALMAWSSARSPAAWLLLAAVLALAAAPCTAQSSPQDFVSPHNAARANVSVAAAAWNDTVAAYAQGYAAQRQGDCKLVHSDSGGRYGENLFWGSAGGNWTAASAVSAWVSEKQWYNHTSNSCSAPAGSSCGHYTQVVWSNSTAIGCARVVCDSNLGVFITCNYSPPGNFLLLLLCGQDAAIAAAAQRYILFCLLDLLFLSLLYPLRIYLRVQLINLPLTACAALVVAVHLPINHLLCLHMETPKISGAVAAAIAAVVVAAAMATTPAMAQNSPQDFVDLHNAARSVEGVGEVVWDDAVAAYAENYAAERAGDCALIHSGSWEKAGYGENLFGGTGGEWTAADAVNMWVGEKDLYDYDSNSCLGSWDSCLHYTQVMWSRTTAIGCARVECDGGGVFITCNYNPAGNFQGERPFERGLTLSA >ONIVA07G00680.2 pep chromosome:AWHD00000000:7:506186:537486:1 gene:ONIVA07G00680 transcript:ONIVA07G00680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVAKMVVAMAAFAMIFMATTTAAQQQQFSAREKAEFVNLHNKARAAVGVGKVAWSDALAAKALEHARYCQKQHILGPYGENLRWSGFGDSTAATPAFAMSYWVGERPYYDYRSNSCVGGECGHYKQVMWSRTTAIGCARVECDGGGVFITCNYNPAGNFQGERPFERGLTLSA >ONIVA07G00670.1 pep chromosome:AWHD00000000:7:505414:507923:-1 gene:ONIVA07G00670 transcript:ONIVA07G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQAGDPNWRTIAIDGSRRSSPRGDAGAPTRAGRRRALPIPSASPPPEWPTRKPAGRKDGGGGASLEVASQLGHPGTRGGGSQIRGGGTEEVAAAATGRTRRRWQWQTGRRWR >ONIVA07G00660.1 pep chromosome:AWHD00000000:7:499775:500299:1 gene:ONIVA07G00660 transcript:ONIVA07G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEMRSVAKMVVAMAALAVIMATTTTAAQQQFSKREKEVFVQLHNKARAAVGVGKVAWSDVLAAKALEHASYCRKQHIPGKYGENLWWSSVGGSTGTPAEAMSYWVGERPYYDYRSNSCVGGHQCGHYTQVVWSRTAYVGCARVTCNRNDINLGTIIACNYYPAGNIYNQRPY >ONIVA07G00650.1 pep chromosome:AWHD00000000:7:488704:497526:1 gene:ONIVA07G00650 transcript:ONIVA07G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSAEAKMVVAMAAFAMIAMATTTTAQEFSANEKAVFVQLHNNARAAVGVGPVAWNDALAAQALQHARYCQTQHIKGPYGENLWWSYGAGTTGTPADAMSYWVGEKPYYDYSSNSCVGGECGHYTQVVWRRTAYVGCARVACNTNNGIGTIIACNYYPASAKMMVEVMAAFAMIIMATTTTAQQFSEDEKAAFVNLHNSARAAVGVGPVAWNDALAAQALQHARYCQTQHIPGPYGENLWWSYGAGTTGTPADAMSYWLAEKAKYYYDSNYCSAGELGCTHYTQVVWRRTAYVGCARVACNTNGIGTIIACNYFPRGNMKNERPY >ONIVA07G00640.1 pep chromosome:AWHD00000000:7:478204:484817:1 gene:ONIVA07G00640 transcript:ONIVA07G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLDRTTYTANQINCELAMERGASFAVVMAAMAMVLAMTSTAAQAQTTAADIVNIHNAARSAVGVAALSWDDNLAAYAQGYANQRAGDCALRHSDRNNYQYGENLSWNPSVQAWTAASSVDQWVAEKGSYDYASNSCVGGAMCGHYTQVVWRDTTAVGCAAVACNANRGVFFICTYFPAGNVQNQRPIN >ONIVA07G00640.2 pep chromosome:AWHD00000000:7:484817:488585:1 gene:ONIVA07G00640 transcript:ONIVA07G00640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFALVLLAAATLAMAASTAAAQSSPQDFLDAHNDARRGEGAGLAGVGWNTTLQAFAENHVAGLAAAGCSLAHSPPGSGYGENLFWGGAGKAWAAADAVGDWMKEKAFYVYSSNTCTKGKLLDCGHYTQVVWRSTTSIGCARAVCSSGAVIISCNYFPPGNYPDQRPYYMAQKAFALVLLAAATLAMAASTAAAQSSPQDFLDAHNAARRGEGAGLPDVAYAFAESYVAQLTAATTCSLAHSNSEDLGYGENLYGPAAAGSSAATAAAAVSKWMEEKADYVYSSNTCTRGALLECGHYTQVVWRSTTSIGCASAACSNGGGVIISCNYSPPGNWPDQRPY >ONIVA07G00630.1 pep chromosome:AWHD00000000:7:477661:478179:1 gene:ONIVA07G00630 transcript:ONIVA07G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVGFVLVLLAAATLAMEAGTAAAQISPQDFLDAHNAARRGEGVDLPDVAWNATLEAFAESVVASAAAGGACDLRHTSGSGYGENLYWGPAGKAWSAADAVGLWMEEKASYVYSSNTCTKGALLDCGHYTQIVWRSTTSIGCGRAVCNNGDVLISCNYFPPGNVPNERPY >ONIVA07G00620.1 pep chromosome:AWHD00000000:7:473009:475347:-1 gene:ONIVA07G00620 transcript:ONIVA07G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRNGEHQPTRWPPGAGVEERDNASSSPASILLFALIGATATTAAFGQLRRTMSWFYTQLSRSEPYVYWEDIPRRPNRRGEAWGQYYQRMREKSEDQRERVERIRHMQDMFKKERSKCRDYRTRDGHNPSYYQNSRREEWYWDAESFYANQRTNFRSMPREAMGYTMSQHYSVLGLDRSRLEPFSDAEIKNAFRRKAMEYHPDQNQHNKEFAEAKFKEVMDSYEAIKLERQNGSL >ONIVA07G00610.1 pep chromosome:AWHD00000000:7:463358:467002:-1 gene:ONIVA07G00610 transcript:ONIVA07G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGFWAASRPALAAAAAAGTPVVVKMDNPNWSISEIDADGGEFLAGGRRRGRGKNAKQITWVLLLKAHRAAGCLAWLASAAVALGAAARRRVAAGRTDDADAETPAPRSRLYAFIRASLLLSVFLLAVELAAHANGRGRVLAASVDSFHSSWVRFRAAYVAPPLQLLADACVVLFLVQSADRLVHADRLVQCLGCLYIHLNRIKPKPISSPAAAAAALPDLEDPDAGDYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNILVQVLDDSDDPITQSLIKEEVEKWRQNGARIVYRHRVLREGYKAGNLKSAMSCSYVKDYEYVAIFDADFQPYPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSFLNILPAPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLIALAPKELKQQKILDLTAIKEQSMLKQSSPRNEAKKKYNRIYKKELALSLLLLTAAARSLLLKQGIHFYFLMFQGLSFLLVGLDLIVFSCIWLN >ONIVA07G00600.1 pep chromosome:AWHD00000000:7:457330:463134:1 gene:ONIVA07G00600 transcript:ONIVA07G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSNNGNGGTNAAASGWLGFSLSPHMASSTMDEHHHVHHHQQQQQQQQQHHQQQQHGLFFPSVTTAAAAAAYGLAGDVVAATNGYYSQLASMPLKSDGSLCIMEALRRTDQDHHGPKLEDFLGAAQPAMALSLDNTSSFYYGGGGAAAAGHGQHGYLQACDLYGGPAAPSLVTAADEEAAAAAAAMASWVAARGAATAYATGAADANAAENVLPSATAAQHLHHPLALSMSSGSLSSCITAGEYGMAAVAAADGGRKRGGAGGGGQKQPVHHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMASNTLLPADLARRNAATTTSKDDHSAAAAGAIVSVHSAADIAVADTLWKATTAPRQQQQHHDDVVLSGADQAAFSVLHDLVAVDAAAAHQQQQQQQHMSMSAASSLVTSLSNSREGSPDRGGGLSMLFAKPSPAVAASAQQQASTKLMAAPLPLGSWVSSPPASARPPAVSIAHMPLFAAWTDA >ONIVA07G00590.1 pep chromosome:AWHD00000000:7:440283:445396:1 gene:ONIVA07G00590 transcript:ONIVA07G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAAAGGGFVGERRKLFVGGIPTSAQEAELRGHFGQYGAVRSVIVMRDKETGHGRGFGFVEFEEEEDAARALGDGEHPRHLICGRVVDVKRARARPQRNHDDQSSQHQHFGQGQDQGHQPAPVSGTEDGGDGMNYASKKVFIGGLRDNITEEEFKTYFESFGTVTDVVVIYDSMTNRSRGFGFVTFDSEEAVRKVIEHSFHDLKGTRVEAKIAIPKDASYYRNGRGRGSRNFGGRGHAGFDGPSYQPYNDRYGFYNSYNMPQPVPPHPYYPGVYYGMGGGYPYANAYSNMGAPANIPPGMMTRRPVYGAYPPMFPGYGVLYRGYVGAAPSIQHDSNGGSDSKKDQTSVDVQEVDSAASVATKLEFMKLGSQ >ONIVA07G00580.1 pep chromosome:AWHD00000000:7:433423:438225:1 gene:ONIVA07G00580 transcript:ONIVA07G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit C [Source:UniProtKB/TrEMBL;Acc:A0A0E0HW83] MASRFWGQGDSDSEEEEQEIESEAGSESEDEGGDAGGRSNQNRYLRTTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQESFEKLNKQLEKVVRVNESTTVPNMYVKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLIQECREHPERFEDDDVEDKDDDDETDDDASDADIEDPEKMVMSESEEEGDDDEEDDQDGGAWEKKISKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGQIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLERVGNFKAEAKVALRRVELVYYKPQEVYDAMRKLAEQDEDSREDDDADADEERQVVDDNRGPPPFVVIPEVVPRKPTFPESGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDKFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDRRKSMNRTFRRLLEISERQTFVGPPENVRDHVMAATRALRKGDYQKAFDVINLLEIWKLLRNKEHVLEMLKLKIKEEALRTYLLSYSSCYESLSLDQLTTMFDLSEQQAHSIVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMSDKLSVLVESNERAYEAKTGGTLEGAPPRRRGGDGQDSSNLGKWQENFVSSQGRQGGGRSGYSGRVGGPGRGGGGYQRDRGSQGSRGGYGGGSRFQDGGRSRNQSGSMARGGDGGARMVSLNRSGRG >ONIVA07G00570.1 pep chromosome:AWHD00000000:7:426799:427362:1 gene:ONIVA07G00570 transcript:ONIVA07G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFGLPDMDVGFGFFGVDAVAFGYDGVASDAAAGLSPVVGAGDGSGGGGDVLLYCDGGGGGEDGEEERRRRLRRKISNRESARRSRARRRQRVEELERAADELRAERRALASRLDATARRALAVRGANARHHAEAGVLRRRLGEAQRNAAVLIGLSRLLRSTANGAHGGAAPAQLSNGGVASLMT >ONIVA07G00560.1 pep chromosome:AWHD00000000:7:420964:422749:-1 gene:ONIVA07G00560 transcript:ONIVA07G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQKEGDRRLVENGIKVNRSLAIRLVIDELELVVGYTIKLALIEMGQCRMDSAPTAAANRRVHEIAYRFRDADKADGEEIDSSMLCGLEFLFSNNWRPIRFTETVAYAPNYACSFSHLLQQVTKHALSERWLIGAAALTMYTRLCTLVLSCISSVPKQTDSDIS >ONIVA07G00550.1 pep chromosome:AWHD00000000:7:414547:414908:-1 gene:ONIVA07G00550 transcript:ONIVA07G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTVAVAAALAVLLIFAASSATVAMAGRPTPTTSLDEEAAQAAAQSEIGGGCKEGEGEEECLARRTLTAHTDYIYTQQHHN >ONIVA07G00540.1 pep chromosome:AWHD00000000:7:408190:409320:1 gene:ONIVA07G00540 transcript:ONIVA07G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLAFSRRRRRWLAWAGAAAGAYLVYHHPAVAARRRRIARVASALASLADAVADVASDLAAFLRSDSDSIPPTVRQLSKLASSPEASASASALSGALTTGVLRGYATAAASSSSGDEAAFSDRLLDRILSPSGERLASAVAGSFGSQLVLAYYSAPSDPSSGSSSPSWVDVVTTGSCRRAIRSWVEVFTATAVGVFIDKTIHINTYDQLFAAATNPSYGARLQQLLVALCNASMETLVKTSHSVLSNPNPNANSNQNGSNNGSGSGSGNGGDGEGWVETVSTVLAVPSNRKLVLDLTGRATFEAVRSFLDFVMWRLHEGARAGGDAAIGAGLCALRHMSERSMVIAAICIALCLHLLNGAWLMTRPEPASVDQL >ONIVA07G00530.1 pep chromosome:AWHD00000000:7:404450:404851:-1 gene:ONIVA07G00530 transcript:ONIVA07G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPKTLLLLVLALSVILASATAFHHHHHHHDGGAEAGGGGGGGGGFFEVPWFGPPGGGGWGAWGAGYGGAGGHEMARPSTVCMEKGACYKKRLTCPDKCFKSFSFKDKHGGGGGGGGGCSFDCNKCEATC >ONIVA07G00520.1 pep chromosome:AWHD00000000:7:397515:399214:-1 gene:ONIVA07G00520 transcript:ONIVA07G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGSGGGGGKLVSWLWRAPRRALCRARDFYVRSITGCAGHLPPDAAFGYGYPTFAAPTTPTMSRNSSFASSRYSAGGGGDDDMRELVRAASQRLAAERAAAAAEPATVPRSQSVAMARIDEDRPCEFAGVGLVFPRSQSCAVGAGRVGGRRGRVPNSGYCEMKEELATRCAHRDQGIAR >ONIVA07G00510.1 pep chromosome:AWHD00000000:7:387607:396975:-1 gene:ONIVA07G00510 transcript:ONIVA07G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G18860) TAIR;Acc:AT3G18860] MIPSCQSRLVGSPPPFAVADLSLRLASSAARRPYDGPAASMAQYHLSAQLRGHEDDVRGICICGDAGIATSSRDRTVRFWTQHPEKKHEYVLSKTLVGHSSFVGPLAWIPPSDRFPEGGLVSGGMDTLVLLWDLHKGEVVETMKGHTSQVTGLAVDNNGDIISSSMDCTVRRWRNGSAVEAQVIPQLSFGKEGHVYIHSQDMQVWALTGQPLLEMIGHTSLVYSVDAHSSGVIVSGSEDRSAKIWKDGICVQSIEHPGCIWGAKFLENGDIVTACSDGIVRIWTTDNNRFCSDEELAAFTDLISQYTLSRKTVGGLKLSDLPGIEALQVPGNSDGQTLIVREGDNGVAYSWNSKELKWDKIGEVVDGPGDAAAAPGQFHDGVRYDFVFNVDIGDGEPMRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGANAYVPGQSASSTVNVPKPTFKHIPKNGMLTFETAQFEGILKKLSEFNATLSSNLEQKELSLSEIELSRLAAIAKVLKETSFYHTSKLADADMTLLLKMLKSWPTQMMFPVIDFLRMFVLHPDGATLLLKAIESGNDVLAETFHKVVTPPVQPPNVLTTLKAVTNLFDKPCLHQWLRIHGMEIIDSVSSCKTTFSKNAHLAYSTLLLNYAVLSIESKDEQSQAQILSAALEIAEDDTQDADSKYRALVAIGSLMLNGLVKSIALDLDVKSVANTARASKDSKIAEVGADIELLTR >ONIVA07G00500.1 pep chromosome:AWHD00000000:7:376639:380421:-1 gene:ONIVA07G00500 transcript:ONIVA07G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGVGCAIVPIIRLLLKTSMEEFRFGRLDGQPAKIRNVPIAVTPEGFWCCPSQAILQKSMKNQNQHARPKGGASPSVSKASSVQRTPTISLEKRTHSTPTRSRTNSDEQVLPPADDAVPDQPKVSPVPDKRHNKQHKISVGFGQLHTSDLKVMLYGREGVAVKMIVHKNILAENSTFFADKLSRQSPVSCIEVSDCEDVEIFVETVGLMYCKDVKQRLIKQAVARVLRILKVAESLGFPTCIMSCLNYLEAVPWVGDEEENVLSSIRQLHCENYGVSPLLKRVASDLTNPPSDTLAHIIELVLKSSDDRGRREMKSLVLKLLKENNIWTNGSSDSCVVTFYSSCRNCLESLSNLFRQASEPEFSEQSSDSKEVIFRQITLEADNLLWLAEILADRNAADELTSIWASQGELAKLHCRIPVMHRHLISCVTARLFVAVGKGEALPSKETRQLLLDVWLQPLMDDYNWLQHGCRWFDRKVIEEGIGQTILTLPLEDQQSILLTWLGRFLKVGDSCPNLQRAFEVWWRRTFVRPYTDQQASSSSQSRWS >ONIVA07G00490.1 pep chromosome:AWHD00000000:7:365025:369197:-1 gene:ONIVA07G00490 transcript:ONIVA07G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILEKKGRKSLPKAMKPSNTTAKHNRSKSDLEDKNAKDALCSSLKACNQPKLILQLESHLKDQQVVRGALEKALGPDPDHPAPVNLSLESPMLKVQPANELIREVATLELEIKHLEQYLLTLYRKAFDQQQQQAATVACTDAARLSVSSRCSQLLEETPKAKAAAAAAPGRRGGDAIHYSCPPAPVSKRWNNGGGAADDCSPSTCPRKTTDSSDQYRGLRSQSALSFRGVCSSRISPSEDSLARALRSCHSQPFSFLEEGEAAPSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHRASSSPASSFSSTSVVSPQYLGDMWSPNCRKEATLDSRLINPFRVEGQKEFSGPYNTMVEVPSISRDRRRLREVEDLLQTYKLILYRLETIDLRRMTNDEKIAFWINIHNALLMHAYLKYGIPQNHLKKTSLLVKAECKIAGRTINAAVIQGLVLGCSTHCPGQWLRTLLHPRIKSKASKAGGEWQAFAIHQSEPLLRFALCSGSHSDPAVRVLSPKRLSQQLEAAREEYIRATVGVRKEQRVTLPKLVESYARDARLSPERLVDAVQRCLPESLRAAVQRCRQSRPASKVVEWAPYRHSFRYLLARDLAFPHLT >ONIVA07G00480.1 pep chromosome:AWHD00000000:7:363831:364998:-1 gene:ONIVA07G00480 transcript:ONIVA07G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLELCQAESESQSSPSRAYQIERPASTRGPPAGGCVMQSFGFGYALGLLTTTATRRRRRRAAATARVASALS >ONIVA07G00470.1 pep chromosome:AWHD00000000:7:361926:363781:-1 gene:ONIVA07G00470 transcript:ONIVA07G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid division2 [Source:Projected from Arabidopsis thaliana (AT2G16070) TAIR;Acc:AT2G16070] MEGEEIGLVLARASDLRSRISACVAAAGAREPPEGEGEGGEAVKRLCDGEEEEEEEEVESLVGISNALESLERQLASLQLKEYKGQDCEVIHEAASFAGEKIEHDDGLMLPPYSNHVTNSFVLDDLYPPSYLAKLKCMHNGLGSGGTNQDVTKTNRLENRNGSMPNGNSQGGIRSFVGWLAKTAVMVVGAVSIMKAAGYEPVIGRHSIKLDMAGLFSKEATSGKDQATVQCPPGKVMVLEDGRAHCVVKERVEIPFDTNLASPNASYGLG >ONIVA07G00460.1 pep chromosome:AWHD00000000:7:357003:357614:1 gene:ONIVA07G00460 transcript:ONIVA07G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSSGVAAAVAEAGMAASTAMVLLPTGELREYPRPATAARVLEDVAAAEGEEEDVGRRFFLCDADKMGFEGPVAAVAAAAELRPGQIYFVLPGEVRRRGMRREEVAALAVKASAALAAASSSSTTSGCGGGRRRRGSVAPLVFAPPEEEYEYDASDYCKSNASAAAAGKRRPVAARRGGGKGRQFATDLTAIPELDMITE >ONIVA07G00450.1 pep chromosome:AWHD00000000:7:345971:347768:-1 gene:ONIVA07G00450 transcript:ONIVA07G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHCVGGGAAGVDDLTDDLVAEILLRLRPSEPACLVRASAVCKPWRRLLTDQAFLRRYRDFHGAPPLLGFLHNVAGGGEDRYVPFTASPVSPPDIACPCWVALDCRHGRALLDEFPFSADFTVWHPMAGRRRRLPRPDLPYFMSYAAAVLCSAVGCNHLDCRGGGPFLVVVVGIDEPEQQNSRPWATVYSSDSDSWSPTTSDYLNLTLTPNCDVDRKPAALVGDALHFALAEGSGIIKYNMGECSLSRIHPPVVYKGGIVVMAMGGNLLGLGGIEGSILSMWSSDVSLDGGVRWEKNRVIKLESLLPSIDCVEAVACELAQPAPIGFVDGADIVFVRTDAGIFMIELKSMCVRKVCERGYFKAVFPYTSFCTPGVHLQQNRVQFSRKRLLELREGDVATGMQSNGKSHKGRQP >ONIVA07G00440.1 pep chromosome:AWHD00000000:7:342165:345158:1 gene:ONIVA07G00440 transcript:ONIVA07G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELTDDVVEEILLRLPPDDPSCSARASAVCKPWRRLLSDPVFLRRHRAFHRRRAPPLLGFIHHVSDEPARRVPSFAQFVPTTAFRPAELEHKNCWPLDCRHGRALFQSSNVELTIWDPMTGDVRRQREPYGTLCTFATAAVLCAVPGCDHHDCHGGPFVLVFVGNDEDDDGEEIASASSYSSETGTWTAASTVHHDDSLELESKPSVLAGDAVHFLTYFGKAILRYDLTKLELSVILPPVAYGDGDALLMTAEDGELGLALFDGEASIHLWARVAGAGWVRRNVIDLYAVLPFFDPVHSLSLVGFAEGTDIIFLHTIHGDYRMELKSLQISKLWEKDRCFNIFPYMSFFVPGRDRTNCHRQQLQQ >ONIVA07G00440.2 pep chromosome:AWHD00000000:7:342165:345158:1 gene:ONIVA07G00440 transcript:ONIVA07G00440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELTDDVVEEILLRLPPDDPSCSARASAVCKPWRRLLSDPVFLRRHRAFHRRRAPPLLGFIHHVSDEPARRVPSFAQFVPTTAFRPAELEHKNCWPLDCRHGRALFQSSNVELTIWDPMTGDVRRQREPYGTLCTFATAAVLCAVPGCDHHDCHGGPFVLVFVGNDEDDDGEEIASASSYSSETGTWTAASTVHHDDSLELESKPSVLAGDAVHFLTYFGKAILRYDLTKLELSVILPPVAYGDGDALLMTAEDGELGLALFDGEASIHLWARVAGAGWVRRNVIDLYAVLPFFDPVHSLSLVGFAEGTDIIFLHTIHGDYRMELKSLQISKLWEKDRCFNIFPYMSFFVPGRDRTNCHRQQLQQ >ONIVA07G00430.1 pep chromosome:AWHD00000000:7:338475:340307:1 gene:ONIVA07G00430 transcript:ONIVA07G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPELMDDVVEEILLRLPLDDPSCAARASAVCKHWRHLLADAGFLRRYRAFHRRRAPPRLGFIYDAGSPLARVLAGDGAVYFLTWHGRNILRYDLRKLDLTVIASPEIDDDDFENHLLMTTEDGGMGLARLVSGHSLQLWSWKPVSAAAAWVQLRVIDLDLVIPGDAMRPRLLGFAEGTDMVFVDTTYDGAQVVQQIELSTLKVTKVLDECYASCVLPYMSFFLPGRQKGKLPPSAITL >ONIVA07G00420.1 pep chromosome:AWHD00000000:7:335631:337052:-1 gene:ONIVA07G00420 transcript:ONIVA07G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLQRLLLRAQIIVEEAEDRLITNQGMLLQLNILRKEMFRGYYALDRFRCRGHEEDDAKVHQVSNSFAQSKFNPAKRVRFFRISGNSLQEQLQQVVGSIEVTLEDMSVFVMFLNSCPHLCRQPYSMHLFLDKCLFGRQMEMEHIMNFLLKVDSPGSENLGVLPIIGRRKAGKSTLIEHACNDERVRNHFSQIVCFSDDDLKDADMVTLRHCGSIKNGNQCTGGERILIVIELIRDIDEAVWRRLYSASKSYVPNGSKIIIASQSDKIARFGTTQTLRVELFTEEAYWYFFKVRTFGSMDAQEHPKMALMAMEMARELQGCFMGASIYSGLLKANFNARFWNMALASIREYKQTNLLVYGTYFENPWQASEPAYVRTVNKISSEYLVILDEYQTCSVHNMVLCRTNFARSEAEVPVLSMQDFLFGSVRPQGKFKVLAWKSHLPPYYNHMFNCEVQRKHHVVARKKRSLELCS >ONIVA07G00410.1 pep chromosome:AWHD00000000:7:306301:308493:-1 gene:ONIVA07G00410 transcript:ONIVA07G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGNGMLPQQKRKKYTTTTGENPRTHYTTQREFLSQTTKLEITLLHMETFLSAILGDLASRSISFLINKSSKPTALTVEERLQRLLLRARIILEEADERLITNQSMLQQLNILRKEMYRGYYTLDRFRCHVHEADHTKDHEVSNYVIPSKFNPAKRIRFCRVSGKSLQEQLQQVFGSLEVTIEDMGEVVMFLNSCPRLCRQPYSMHLLLDKCLLGRQMEMEHIMNFLLKEDIPGDENTGVLPIIGPWRVGKSTLIEHACADERVRNRFFQIVHFSDDDLEDANMVTLRDCGVIKHQNRGTGEERLLIIIELIKDIDEAAWSRLYSASKRCVAKGSKIIVASRSDKIARFGTTQALRVTYFTQEAYWYFFKVRTFGSIDAEEHPKLASIAMDMAREMNGCFMGSSMYSVLLKANFNVRFWSMALAGIREFKQKNLLRYGANIDCPWHPVEPTYIRMINNVSSEYLVVLGDYQTCSVQDMVDCHTNFPQSEAAVPMVSLQDFLFGSVRPQGKFKVLASRSHLPPHYKYILNCEVWTSHHLITREERPQKLCT >ONIVA07G00400.1 pep chromosome:AWHD00000000:7:301751:304234:-1 gene:ONIVA07G00400 transcript:ONIVA07G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRKPAATAALRPDLETSAAAAASDKRLTPTFCQLLSIQTTYLHMETFLSAILSDLTSRSISFLVNKCSKPTTPTVEERLQQLLLRARVIVEEADERFITNQAMLQKLNILRKEMYRGYYTLICFRCHNNEEDNVKDREVSYYFTPSKLNPAKRVRFCTGSGQTLRDQLQQVLGSLQVTLEDMREFLVFFNSCPRLCRQPYSMHLLLDRCLFGRQMETEHIMNFLLKEDIPSAENLGVLPIIGPGKVGKSTLIEHACEDERVRNRFSQIVCFNDDDVGHANMVALRDCGVIKHKNHSIGGDRMLIIIELMGDIDEGVWGRLYSASKISVAVGSKIIVTSRSDKIVSFGTTQVLRVNFFTQEAYWYFFKVRTFGSLDAEEHPKLASLAMDMAREMNQCFMGSCIYSVLLKANFNARFWSMALARIREFKLKNNLIYNAYLVGGPWEAVKPAYVRTVNKISSEYLVVLHDYQTFSVPNMVHCYTNSAQSEGEVPEVSMQDFLFGSVKPQGKFKVLAWRSHLPPHYNYIFNCEVRRPHHMVTSKKRSHKLCT >ONIVA07G00390.1 pep chromosome:AWHD00000000:7:290664:294678:1 gene:ONIVA07G00390 transcript:ONIVA07G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37210) TAIR;Acc:AT4G37210] MASSSENVEAPRDEQRDPPPSPPNPSEEAGAGEEMEAEGEGEEEVKTLERAEELFERGSKAIEEGDFVDAVDCLSRALEIRVAHHGELAPECVSTYFKYGCALLYKAQDEADPLGNVPKSSSNKESMKSTTNKDDSGSSKTPGSNTEDAPSTDKADAEEGCYCTIINDGHTPCAFLPGFCGQEEENGDSDKDDDEMVGDEDDSDLDQAWKMLDIARAIVEKSPDDTLEKAKIFSALAEVSMEREDIDNSLGDYFKALAILEKLFEPDHRRIIDLYPSCLVNIILPNYLPATCILLPYSNPRNFRICLVYELVSKISDAIPYCAKAISLCKSRIQSLKNDKDALLAGKDDNASAADGGSEKSAPEGEIEQLSGILSELEKKLEDLEQAMSTPSSVMDEIMKRIASKAGGEQNATDTMPAAASFNSSSQMAGSSNGFDSSTLSTAATTGSTGSTVTDLGVVGRGIKRANIKPISAEPPPKRAAADSLSVKGDSSNNNSDVHTPAQEGDDSVSK >ONIVA07G00380.1 pep chromosome:AWHD00000000:7:289490:289735:1 gene:ONIVA07G00380 transcript:ONIVA07G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWSSELAGRIRSCRKILEAIRSAPSPSPPSDGSVSDKGLDCGGGGDGAMPPPASSAAGGGGGGLGAETALSILADCFGH >ONIVA07G00370.1 pep chromosome:AWHD00000000:7:284801:286041:-1 gene:ONIVA07G00370 transcript:ONIVA07G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDISDLVAQAIRLGKEEFLTCCSFSSSSWTCCLHLRSVSSHSSVARFSFFCQRSNGSLVICHLLDVSSASSNLICAISSLSISSASASPLNRTAVTKIKLVARGEETEPYLDLARRGRREEAAAFFPLRIAGSPHKHACMVAAHSGSNSGIGSRKETPLRHRLAPPIH >ONIVA07G00360.1 pep chromosome:AWHD00000000:7:273221:275659:-1 gene:ONIVA07G00360 transcript:ONIVA07G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSVLVAWAIRLGKEEFLTCCSTSSSSWICCLHFRSVSSHSSVARFSFFCQRNNGPLVICHLLDVSSANSNLICAISSLSRKELEGTQMHQRVPSCQQTITGLNEREIEPYLELVPHGRRGLLLHPRIAGGRARIVYVAPRGDSSGRGSSKGTPCPDLLAPPAPVHAQPASSRIVRPAAEAMAAGHGGGRVSPAYANGDGGGFVRPMGGRPWSRAFSAVVGDGSGGAASGRKGARRHARPAGRRRCTGQRGACRGGRGAWVRARTAEAEGPRMAAEAAEPPVASRSSTASSPTATHTLPHGGRSYAPTSSSCSPSSLTASSTWSTAKQTDDVGLARLVDFADVKRIDDRMYLWTDKLDPLLLQGIDGEHEQRGGAVPRSRHVGPVEPAEPRIERRWPAGAGGGAVERRGMRTGIETA >ONIVA07G00350.1 pep chromosome:AWHD00000000:7:272559:280347:1 gene:ONIVA07G00350 transcript:ONIVA07G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASKRNVATHGRHRGRQPWPPPPAGRSAMRQAARGPAPAAQGGPGMAFPCSILYHYCLHVAPHIRYERVRRRCGDGGGALGGRGALVRAGYSLVHLCSLKFLPTNSTQKAPVFPQGFTDLYFDRCIDISCSVLIREGLLKMEEIVGSAIVQETVNKIISGLIDRCERKSSAQDHLERLEMAQIKLEFALETSNKWQITSGPLLRWQKKLKRATEECDDTLRKCRQHIQEEDEVEQQVRNSSFPRRIAHATKTLVSSIFHSNSDELGRSSVQRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGHLISGETLEYKSIQGNKQHWFWVRPNNSAERGIEAKLFFACNDCSAPEDNFYLDIILQLSESTNIVGTTIKCLQLFTPYFESTAETVRKELIQLPTQDFSRVSHSHSYGWENIHSIATEWFRPNPLCCKHHGQKVCGSGNLHKVELTDISLEPIIEVSLLCQVSPPGFREQGTIVEGKSSIKEFPHLNVILVYTPHGSSEDLFPAVDSTVIEVINGNEQHCLHTNVPLEQMEEIMLPRAVDCFRQNAKATAYQMLWKSKHGGAFLQAVKATMNMRSTRRTIRGARKAKMLRRHDRRTHNHRHEVADFLSLWAVHAPVRLQGSLLDWIRKEKKVADAKEDAKKEQQGWGNQQSIMMSEVVASAVVGDAVSRVSTFFVDKHKRKLSEEDGLERLEMAHIRMEAALEMSGKWPPVTDASLLRWRKKLKCASEECSQVMNRCKRRAMEDDEMEQQIRRCSFPRRIAHETRSFFSSFSGDKNVDSLITTSTIQRFERFADGAGPLLAGKALQFENPPGISGYYLAARPLRFAERGVVACVFLQYKNHERPEENFLLGILIRVTASTNVVGIMARCSEKLTSNFKPVTEAVRQELAQFHQRGFYCFPFVASTDPEYSRIHQSETHRARPNPACCEEEHEHHGRRRSSDMVEPLGAFPEPVIKLVVQRHVSATRHKRTSSSSSYSCSCGHANMGCSGPTLLQVTAVFAPHASPEELPSGAKSVAVVAIDGREEQAVRTNVGWREMEELLPNAVDRLCHEAAAHEVFWRSGHGVAYLCVEKMGTEMARCRPTQWRV >ONIVA07G00350.2 pep chromosome:AWHD00000000:7:279848:288339:1 gene:ONIVA07G00350 transcript:ONIVA07G00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQFHENSSSTTKASAAAADERSRRGHAGARWSGAWRCLPRSAAAAASLPLCGGGHLCVLVRREPGEAERRRPASSSRRPRRGALRSRSGRLISQLKMGEIVSSAVVHETVNKIISGLVDKYERKSSAEEQMERLEMAQIKLEIALETSNKWQITSGPLLRWQKKLKRAAEECDDTLRKCRQRVEEEEEVEQQVRNSSFPKRIAHATKSMISSIFHGNIDEPTVSSVRRFEWFAEGANDFLRSVEFGGTPHRYSFFDPLIGHLLAGETLEYKSVQGNKQHLFWIRPNNISERRVEAKLIFIYNDCSAPEDNFFLGMMLQLSESTNIVGTTIRCLQLFSPYFSSSTTEAVRKELTQLPTQDFSWVPRSRSVHWDSIHRVATEWFRPNPLCCKHGHKVCSSGYMDKIEFCDVSLEPVIEVYLESQIFQYSCNKQRADVQGKISSPRRPSYLKLGVFLLPHVSSTDLLPATESFAVEVINGEEQLYCHKNVTLEKLNRIMLPKAIDSFNQNAEVTAHQLLWKSKHEAAFFHVWNTRMNMSSILSTARKSTLLQQHDHLELESRADSLTTMATTTVHATDAMAGRGLSAARRGLLCHRRVRSEAAPTSGSAAQGGAVMAFPSSIRCRCCFHCEPPPYTRACAATRRCEEGRRPPLPRGGHGALDQGRQLLRIDH >ONIVA07G00340.1 pep chromosome:AWHD00000000:7:267914:268384:1 gene:ONIVA07G00340 transcript:ONIVA07G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCIQIQCGWGRTRLRRQLGTTSAPGKTTAPAVAGDDLVSGVGSGGGSGRGRPRCPVARRREDAHGARRRRGFAGAEEKLGGAGIRGAGGEEERGGGGLWQRGRSEEAGAELSRWGGARGRRGFVRHSHRVISELVELLIVRTVKRIADDEIRSDG >ONIVA07G00330.1 pep chromosome:AWHD00000000:7:263904:267863:-1 gene:ONIVA07G00330 transcript:ONIVA07G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATRWTAPVHNILSTQSTTHKISKEHWPDINDFFLTNVDYQFDGWALALPSSSPPAGAPPQATSHHAVFVAAPELSAANESACTLPSLLPHSPRRGD >ONIVA07G00320.1 pep chromosome:AWHD00000000:7:256373:265663:1 gene:ONIVA07G00320 transcript:ONIVA07G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVVSAVISEAVSRVSTFFINKHKRKLNEEDGMERLEMARIRMEAALEISSRWPPVTDASLLRWRKKLKRTSDECSQVMERCKRHAMEDDEMEQEVRQCAFPKRIAHATRSFLSSFTGQKKVDSLITTSTIQKFERFADGASEFLRFMEFGSIGRRINYMLVDPLTGHLLAGKALRYENSLGNQYYLAAWPMSFAERGLEAGVLLWYQNHERPEENFIFGILLRLAASTNVTGIVARCLELLPPNFKPVAEAAKQELTQVHHRALYCFPFVDSTDPEYSRRTHHSETHHARPNSSCCQGHNHHGRYPEPVIKLVVRRYISAWQKPSSSSSSSSSSGHGDRRTPLLQLTAVIGPHAWLEELPPRAWSVAVEAIDGREEQAVHRNVGLCEVEELLLPNAIDRLCRHEAAYDGSSSSSSTHEVLWQSGHGVAYLCLKKMGREMAGCRRTHWPCHCGSDEENSSLASLSARLARVIDKNILMSEVVASAVVSEAVSRISTFFIDKHKWKLSEEDGMEKLEIAHIRMEAALEISSRWPRVTDASLLRWRKKLKRASDECSQVMDRCKRRAMEDDEMEQEVRQCAFPKRIAHATKSFISSFTGQKKVDSLITTSTIQRFERFANGAGEFLRFMEFGSIGRINYMPVDPLTGHLRAGKALQYENSHGNQYYLAARPMRFAERGQEAGVLLRYQNHERPEENFILGIMLRLAASTNVTAIVASCLELLPPNFKSVAEAAKQELTQLHQRGFYCFPFVDSTDPEYWSIHHAETHRARPNSACCDCEEHEHHGRSRSSDMAEPSGAFPEPVIKLAVQRYVSTRQIQKQSSSSSSSGFSGNSGPPLLQLTAVFAPHASPEQLLSGAESVTVVAIDGREEQPVHTNVGLHELEELLLPNAVNHLCHEAAASAHEVFWRSGHGVAYLCVENVVTEMEGCRPTHWPRSALVRQRRRRGGWWLVAAPRREGWKRGVRAPNHRLMRESYM >ONIVA07G00310.1 pep chromosome:AWHD00000000:7:251953:253488:1 gene:ONIVA07G00310 transcript:ONIVA07G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMVGSAIVHETVNKIVSGLIDRCEQKSSAQDHLERLEMAQIKLDFALETSNKWQITSGPLLRWQKKLKRATEECDDTIRMCRQRVQEEQEAEQVARNSFFPRRIAHATKSLISSIFHGNIDEPSRSVVRRFEWFADGANDFLRSVEYGGTPRHYLFFDPLIGHLLAGETLEYIVQGNRQLLFWIQPNNIAERGVQAMLLFVYSDGTASEGNFILGMLLQLSESTNIVGTIIKGLQLFTPHFKSTTENVRKQLTLLPTQDFSWVPQAHSNHWYNIHSIAIEWFRPNPLCCKHHGAKVCGSGNTDKIGLQNVSLEPIIEVSLKCEVSLRGFGECGTIVEGKPSIKEVPHLKVNIIYMPHGSSGDLFPTVESSVVEMINANEQHCLHTNIALQQMEQIMLPKAVDCFHQNAKARVYQMLWKSKHGGAYLEVVKATMNMTSTRRTIRGAKKAKLLRGMDQRTQRRTVVTCDFICDFLNLWAAHAPVQLQGSILDWIQKEKETELASPLLRLKF >ONIVA07G00300.1 pep chromosome:AWHD00000000:7:232797:235779:1 gene:ONIVA07G00300 transcript:ONIVA07G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASVSPRRPWRTSSSDEDSISIQKHPSLLIRERLLKMEEMVGSAIVHETVNKIVSGLIDRCEQKSSAQDHLERLEMAQIKLDFALETSNKWQITSGPLLCWQKKLKRAAEECDDTIRMCKQRVQEEQEAKQVARDSFFPRRIAHATKSLISSIFYGNNDEPTSRSTVRRFEWFADGANDFLRSVEFGGSPRRYLFFDPLIGHLLAGEMLEYKLAQGNKKHLFSIRPNNIAERVEAMVCFAYDDGAAPEDNFFLSMILQLSESTNIVGTIIKCLQLFTPYFESTAETVRKELIQLPTQDFSRVSHSHSYSWENIHSTATKWFRPNPLCCKHHGQKVRGSGNLHKIELTDIFLEPVIEVSLLCQVSPPGFREQGTVKGKSSLKKIPHLNVILVYTPHGSSEDLFPAVDSTVIEVINGNEQHCLHTNVSLEQMEEIMLPRAVDCFRQNAKATAYQMLWKSKHGGAFLQAVKATVNMPCTRRTIRGARKANMLRQHDHRTQSVTNEVADFLSLWAAVAPVQFQGSLLDWIRKEKKIPAPLLHLTL >ONIVA07G00290.1 pep chromosome:AWHD00000000:7:222621:245536:-1 gene:ONIVA07G00290 transcript:ONIVA07G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMPCTLVLLLLSHGAGGINGGTAAHRDDGGGGGTDDDMASLLDFKRAITNDPSGAMSSWSWNTTAAAADHFCGWKGVTCDGERRRVAALDLAGHTLSGRISASLGNMSRLASLNLSSNLLSGPLPPQLGSLRELVVLDLGGNSLQGGIPEALTNCTKLRTLDISRNHLVGDITPNIALLSNLRNLRLYSNNLTGIIPPGIGNITSLNTVILQGNMLEGSIPDELGRLSNMSYLLLGGNRLSGRIPDVLFNLSYVQEIALPYNRLHGPLPSDLGNFIPNIQQLILGANMLEGRIPDSLGNASQLQWLHLGYNQRLTGRIPPSLGKLMKLEKLGLDMSNLEARDSWGWEFLDALSNCTRLNMVSLHRNLLQGVLPDSIGNLSSSMDNLVLSNNMLSGLVPSSIGNLHRLTKLGLDFNSFTGSIDGWIGSMVNLQALYLDSNNFTGNIPASIGNISKMSELFLSDNQFHGLIPSSLGKLRQLSKLDLRYNNLEGNIPKEVFTVPTIVQCGLSHNNLQGLIPSLSSLQQLSYLDLSSNKFTGEIPPTLGKCQQLETINMAQNLLSGSIPTSLGNLSILTMLNLSHNNLTGSIPIALSKLQFLTQLDLSYNHLEGKVPTDGVFRNATAILLEGNRQLCGGVLELHMPSCPTVYKSKTGRRHFLVKVLVPTLGIVCLILLACLAIFRKKMFRKQLPLLPSSDQFAIVSFKDLAQATENFAETNLIGRGSYGSVYKGNLADANMVVAVKVFHLDMQGADRSFMTECKALRSIRHRNLLPVLTSCSTIDNIGNDFKALVYKFMPNGNLDTWLHPTCGTKPSNQLSLSQRIKIAVDIADALQYLHHDCENPIIHCDLKPSNVLLDHDMTAHLGDFGIAHFYLKSMSPAVGDSSSISSIGLKGTIGYIAPEYAGGGFLSTSGDVYSFGVVLLELLTGKRPTDSMFCNGLSIVSFVERNYPVVIAHIIDTYLRENCKELAPAMLDEEKAVYQLLLDMLGVALSCTRQNPSERMNMREAATKLQVIKISGADIMRGHSSFVFSTTLCIRVYMNGIRCKATRCRLGNASLGKCQKGPGGIKGGASTQDGDVNGTDLASLLDFKRAITNDPFGAMSSWNTNTHLCRWKGVTCDQRAHRVVALDLVGQTLTGQISHSLGNMSYLTSLSLPDNLLSGRVPPQLGNLRKLVFLDLSGNSLQGIIPEALINCTRLRTLDVSRNHLVGDITPNIALLSNLRNLRLHSNNLTGIIPPEIGNITSLNTVILQGNMLEGSIPEELGKLSNMSYLLLGGNRLSGRIPEVLFNLSHIQEIALPLNMLHGPLPSDLGNFIPNLQQLYLGGNMLGGHIPDSLGNATELQWLDLSYNQGFTGRIPPSLGKLRKIEKLGLDMNNLEARDSWGWEFLDALSNCTRLKMLSLHQNLLQGVLPNSVGNLSSSMDNLVLSNNMLSGLVPSSIGNLHRLTKFGLDFNSFTGPIEGWIGSMVNLQALYLDSNNFTGNIPAAIGNTSQMSELFLSNNQFHGFIPSSLGKLRQLSKLDLSYNNLEGNIPKEVFTVPTIVQCGLSHNNLQGLIPSLSSLQQLSYLDLSSNNLTGEIPPTLGTCQQLETINMGQNFLSGSIPTSLGNLSILTLFNLSHNNLTGSIPIALSKLQFLTQLDLSDNHLEGQVPTDGVFRNATAISLEGNRQLCGGVLELHMPSCPTVYKSKTGRRHFLVKVLVPTLGILCLIFLAYLAIFRKKMFRKQLPLLPSSDQFAIVSFKDLAQATENFAESNLIGRGSYGSVYKGTLTQENMVVAVKVFHLDMQGADRSFMTECKALRSIRHRNLLPVLTSCSTIDNVGNDFKALVYKFMPNGNLDTWLHPTSGTNASNQLSLSQRIKIAVDIADALQYLHHDCENPIIHCDLKPSNVLLDHDMTAHLGDFGIAHFYLKSKSPAVGDSSSISSIGLKGTIGYIAPEYAGGGFLSTSGDVYSFGVVLLELLTGKRPTDPLFCNGLSIVSFVERNYPDVIDHIIDTYLRKDLKELAPAMLDEEKAAYQLLLDMLGVALSCTRQNPSERMNMREAATKLQVINISYISGM >ONIVA07G00290.2 pep chromosome:AWHD00000000:7:222623:245536:-1 gene:ONIVA07G00290 transcript:ONIVA07G00290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMPCTLVLLLLSHGAGGINGGTAAHRDDGGGGGTDDDMASLLDFKRAITNDPSGAMSSWSWNTTAAAADHFCGWKGVTCDGERRRVAALDLAGHTLSGRISASLGNMSRLASLNLSSNLLSGPLPPQLGSLRELVVLDLGGNSLQGGIPEALTNCTKLRTLDISRNHLVGDITPNIALLSNLRNLRLYSNNLTGIIPPGIGNITSLNTVILQGNMLEGSIPDELGRLSNMSYLLLGGNRLSGRIPDVLFNLSYVQEIALPYNRLHGPLPSDLGNFIPNIQQLILGANMLEGRIPDSLGNASQLQWLHLGYNQRLTGRIPPSLGKLMKLEKLGLDMSNLEARDSWGWEFLDALSNCTRLNMVSLHRNLLQGVLPDSIGNLSSSMDNLVLSNNMLSGLVPSSIGNLHRLTKLGLDFNSFTGSIDGWIGSMVNLQALYLDSNNFTGNIPASIGNISKMSELFLSDNQFHGLIPSSLGKLRQLSKLDLRYNNLEGNIPKEVFTVPTIVQCGLSHNNLQGLIPSLSSLQQLSYLDLSSNKFTGEIPPTLGKCQQLETINMAQNLLSGSIPTSLGNLSILTMLNLSHNNLTGSIPIALSKLQFLTQLDLSYNHLEGKVPTDGVFRNATAILLEGNRQLCGGVLELHMPSCPTVYKSKTGRRHFLVKVLVPTLGIVCLILLACLAIFRKKMFRKQLPLLPSSDQFAIVSFKDLAQATENFAETNLIGRGSYGSVYKGNLADANMVVAVKVFHLDMQGADRSFMTECKALRSIRHRNLLPVLTSCSTIDNIGNDFKALVYKFMPNGNLDTWLHPTCGTKPSNQLSLSQRIKIAVDIADALQYLHHDCENPIIHCDLKPSNVLLDHDMTAHLGDFGIAHFYLKSMSPAVGDSSSISSIGLKGTIGYIAPEYAGGGFLSTSGDVYSFGVVLLELLTGKRPTDPLFCNGLSIVSFVERNYPDVIDHIIDTYLRKDLKELAPAMLDEEKAAYQLLLDMLGVALSCTRQNPSERMNMREAATKLQVINISYISGM >ONIVA07G00280.1 pep chromosome:AWHD00000000:7:213582:221606:1 gene:ONIVA07G00280 transcript:ONIVA07G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNKTEQCSKEETKSFFVSVGGSVWRWACQANIRISGEIPEGGNMGEVVSSAVVHETVNKIISGLIDKYEQNSSAEEQMERLEMAHIKLETALETSSKWQITGGPLQRWQKKLKRAAEECDDTLRKCSASKKKNRLNNKFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGRLLAGETLEYKLVQGNKQHLFWIRPNNTAERGVEAKLIFVYNDESVPVNNFFLGMMLQLSECTNIVGTAIKCLQLFAPHFKSTTETVRKELSQLPTQDFSWVPRSRSYHWDSIHSTVTEWFRPNPMCCKHRSQKCQVSLPGLKDQVTVVESKPSLKEFPHLKVHLVYTPHGSSEDLFPAVESSVIEMVNGVDQHCLHTNIALEQMEGIMLPRAVDCFRQNAGTTVYQMVWKSKHGGAYLQAVKVSKNMLRQRTIRGAKKAKLLRRHDHWTQSRIDAISDFFNLWAAHAPVQLQGSILDWTQKEKEAQGSDLGSYLQGGNPTDY >ONIVA07G00280.2 pep chromosome:AWHD00000000:7:213582:221758:1 gene:ONIVA07G00280 transcript:ONIVA07G00280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNKTEQCSKEETKSFFVSVGGSVWRWACQANIRISGEIPEGGNMGEVVSSAVVHETVNKIISGLIDKYEQNSSAEEQMERLEMAHIKLETALETSSKWQITGGPLQRWQKKLKRAAEECDDTLRKCRQRVQEEEQAEQQVRNSSLPTRVAHATKSLISSIFHGNIDEPIRSAVRRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGRLLAGETLEYKLVQGNKQHLFWIRPNNTAERGVEAKLIFVYNDESVPVNNFFLGMMLQLSECTNIVGTAIKCLQLFAPHFKSTTETVRKELSQLPTQDFSWVPRSRSYHWDSIHSTVTEWFRPNPMCCKHRSQKVCSSGNMEKTYLPDISLESVIDVSLQCQVSLPGLKDQVTVVESKPSLKEFPHLKVHLVYTPHGSSEDLFPAVESSVIEMVNGVDQHCLHTNIALEQMEGIMLPRAVDCFRQNAGTTVYQMVWKSKHGGAYLQAVKVSKNMLRQRTIRGAKKAKLLRRHDHWTQSRIDAISDFFNLWAAHAPVQLQGSILDWTQKEKEAQGSDLGSYLQVAPYVVARAATRRGKKKRAAVCSRLAAAASCACALARGRESYRLLKMGEVVSSALVHETVNKIISGMIDKYERKSSAQEHMDRLEMAQIKLDLALETSKKWQITSEPLLRWQKKLKRVAEECDDTIRMCRQRVQEEQEAKQVARDSFFPRRIAHATKSLISSIFYGNIDEPTRSTVRRFEWFADGANDFLRSVESGGTPRRYLFFDPLIGHLLAGEMLEYKLVQGNKQHLFWIRPNNIAERIEELTLLPTQDFSWIPHSRLYHWDNLHSIATEWFRPNPVCCKHHDQKVCGSGNMNMIELPDFSLESVIQVNLQCHVALPGFRERGTIVEGKSSLKEYLRGPHLNVLLAYTPHGSSESLFPSVEGSVIEVINANEQHCLHTNIALQQMEEIMLPRAVDYFHQNAKATVYQMLWKPKHGVAYLHAVKATVNILSIRRTIRGARKSKLLRQQDHKMHHRTDGISDFLSLWVAHAPVQLQGSILDWIRIFFI >ONIVA07G00280.3 pep chromosome:AWHD00000000:7:208763:221606:1 gene:ONIVA07G00280 transcript:ONIVA07G00280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTVVQEVLGGAVSYLSSNREKVSERHNLEKLEMAHAQLEHALERSSKLPITDVSLLRQRRMFKRAYEECSEVVEKCKVRILEVAEEQGVTHSYFPKQFVQAVKAMKSYVLSFLGMNKQYLLSCSHVRRFEWFAKKAGKFARDVETGRTLWHYNFFSSLIRPLLEGKHLKYDMPLQGSKTLGITIVPVSLEGRGVEALIQLNKEDSRMPLKNFCLLLILRLSESTDIVRIIINCLQLLGPHFMRLAKDAIGQLAALQHSHPQDLEPLLALQAVDYHRSFLAFRATVARPDPFCCREKRLDPCADNTISPNLPYDIPEQVIYVRCSSYISAVEYNYLYNSSNIVRDWSILKMVINISPHFSHPEANRGSYSSRGATDKCMYASLHEMQEMAVLVPKVMDCFVCQPDLGFYSLSFHSVHGYGYLCLMKPRIRSCKTNSSCRSKARRRRAAAAPPPPPRAWRHGEVGGGAWRGKLAAPRRDGDEEAQAQAHPPTTAAASAREQCSKEETKSFFVSVGGSVWRWACQANIRISGEIPEGGNMGEVVSSAVVHETVNKIISGLIDKYEQNSSAEEQMERLEMAHIKLETALETSSKWQITGGPLQRWQKKLKRAAEECDDTLRKCRQRVQEEEQAEQQVRNSSLPTRVAHATKSLISSIFHGNIDEPIRSAVRRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGRLLAGETLEYKLVQGNKQHLFWIRPNNTAERGVEAKLIFVYNDESVPVNNFFLGMMLQLSECTNIVGTAIKCLQLFAPHFKSTTETVRKELSQLPTQDFSWVPRSRSYHWDSIHSTVTEWFRPNPMCCKHRSQKVCSSGNMEKTYLPDISLESVIDVSLQCQVSLPGLKDQVTVVESKPSLKEFPHLKVHLVYTPHGSSEDLFPAVESSVIEMVNGVDQHCLHTNIALEQMEGIMLPRAVDCFRQNAGTTVYQMVWKSKHGGAYLQAVKVSKNMLRQRTIRGAKKAKLLRRHDHWTQSRIDAISDFFNLWAAHAPVQLQGSILDWTQKEKEAQGSDLGSYLQGGNPTDY >ONIVA07G00280.4 pep chromosome:AWHD00000000:7:213582:221606:1 gene:ONIVA07G00280 transcript:ONIVA07G00280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNKTEQCSKEETKSFFVSVGGSVWRWACQANIRISGEIPEGGNMGEVVSSAVVHETVNKIISGLIDKYEQNSSAEEQMERLEMAHIKLETALETSSKWQITGGPLQRWQKKLKRAAEECDDTLRKCRQRVQEEEQAEQQVRNSSLPTRVAHATKSLISSIFHGNIDEPIRSAVRRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGRLLAGETLEYKLVQGNKQHLFWIRPNNTAERGVEAKLIFVYNDESVPVNNFFLGMMLQLSECTNIVGTAIKCLQLFAPHFKSTTETVRKELSQLPTQDFSWVPRSRSYHWDSIHSTVTEWFRPNPMCCKHRSQKVCSSGNMEKTYLPDISLESVIDVSLQCQVSLPGLKDQVTVVESKPSLKEFPHLKVHLVYTPHGSSEDLFPAVESSVIEMVNGVDQHCLHTNIALEQMEGIMLPRAVDCFRQNAGTTVYQMVWKSKHGGAYLQAVKVSKNMLRQRTIRGAKKAKLLRRHDHWTQSRIDAISDFFNLWAAHAPVQLQGSILDWTQKEKEAQGSDLGSYLQGGNPTDY >ONIVA07G00280.5 pep chromosome:AWHD00000000:7:206833:213540:1 gene:ONIVA07G00280 transcript:ONIVA07G00280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAAVAPSTTTGYGSGEARRSSGDRRSRGGKGKSLRGKEMVASTVVQEVLGGAVSYLSSNREKVSERHNLEKLEMAHAQLEHALERSSKLPITDVSLLRQRRMFKRAYEECSEVVEKCKVRILEVAEEQGVTHSYFPKQFVQAVKAMKSYVLSFLGMNKQYLLSCSHVRRFEWFAKKAGKFARDVETGRTLWHYNFFSSLIRPLLEGKHLKYDMPLQGSKTLGITIVPVSLEGRGVEALIQLNKEDSRMPLKNFCLLLILRLSESTDIVRIIINCLQLLGPHFMRLAKDAIGQLAALQHSHPQDLEPLLALQAVDYHRSFLAFRATVARPDPFCCREKRLDPCADNTISPNLPYDIPEQVIYVRCSSYISAVEYNYLYNSSNIVRDWSILKMVINISPHFSHPEANRGSYSSRGATDKCMYASLHEMQEMAVLVPKVMDCFVCQPDLGFYSLSFHSVHGYGYLCLMKPRIRSCKTNSSCRSKARRRRAAAAPPPPPRAWRHGEVGGGAWRGKLAAPRRDGDEEAQAQAHPPTTAAASARDQESLICPFITLGIVICDRVLMAEIVSSVVVHEAVNQIIHGLVNWNERKSSTEENMERLEMAHIRLEAALETSCKWRITDSSLLCWQKKLKRAAQECDDTLRKCRERILEQEEAEQEVRNSSIPRRIAHATKSLVSSLFYSNIDGSCRSAVRRFEWFADGANEFLRFVEFGGTPHQYLFFYPLIRQLLAGKTLEYKLVSENKYRLFVIRPFCISENRIEARLIFASKNASALEDDFFLCMLLQVSESVDILGIVIKCLQLFNPHFMSTAESVRNELTQLPSQDFTWVPYAESCHKKHWDNIHSITTQWFRPNPLCCKQHGQNHSCESSNLEMPSVQGVSLGPVIEVSLQCHVPVPEFREQGTIVKGKPSLKKCPHMKVDLVYTPHGSSQDLLPEIKSSVIEVINGDKQHCLHINIALELMEEIMLPRAVDCFHENAEAKLYQMLWKSKHGGAYLQVMKATMNTRSTQRTIRGSRKAKLLQQQGHKTQHRTNAISDFLNLWSAHAPVQLQGSILDWIQKEKEAQLAPPLLRLKF >ONIVA07G00280.6 pep chromosome:AWHD00000000:7:208763:213540:1 gene:ONIVA07G00280 transcript:ONIVA07G00280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTVVQEVLGGAVSYLSSNREKVSERHNLEKLEMAHAQLEHALERSSKLPITDVSLLRQRRMFKRAYEECSEVVEKCKVRILEVAEEQGVTHSYFPKQFVQAVKAMKSYVLSFLGMNKQYLLSCSHVRRFEWFAKKAGKFARDVETGRTLWHYNFFSSLIRPLLEGKHLKYDMPLQGSKTLGITIVPVSLEGRGVEALIQLNKEDSRMPLKNFCLLLILRLSESTDIVRIIINCLQLLGPHFMRLAKDAIGQLAALQHSHPQDLEPLLALQAVDYHRSFLAFRATVARPDPFCCREKRLDPCADNTISPNLPYDIPEQVIYVRCSSYISAVEYNYLYNSSNIVRDWSILKMVINISPHFSHPEANRGSYSSRGATDKCMYASLHEMQEMAVLVPKVMDCFVCQPDLGFYSLSFHSVHGYGYLCLMKPRIRSCKTNSSCRSKARRRRAAAAPPPPPRAWRHGEVGGGAWRGKLAAPRRDGDEEAQAQAHPPTTAAASARDQESLICPFITLGIVICDRVLMAEIVSSVVVHEAVNQIIHGLVNWNERKSSTEENMERLEMAHIRLEAALETSCKWRITDSSLLCWQKKLKRAAQECDDTLRKCRERILEQEEAEQEVRNSSIPRRIAHATKSLVSSLFYSNIDGSCRSAVRRFEWFADGANEFLRFVEFGGTPHQYLFFYPLIRQLLAGKTLEYKLVSENKYRLFVIRPFCISENRIEARLIFASKNASALEDDFFLCMLLQVSESVDILGIVIKCLQLFNPHFMSTAESVRNELTQLPSQDFTWVPYAESCHKKHWDNIHSITTQWFRPNPLCCKQHGQNHSCESSNLEMPSVQGVSLGPVIEVSLQCHVPVPEFREQGTIVKGKPSLKKCPHMKVDLVYTPHGSSQDLLPEIKSSVIEVINGDKQHCLHINIALELMEEIMLPRAVDCFHENAEAKLYQMLWKSKHGGAYLQVMKATMNTRSTQRTIRGSRKAKLLQQQGHKTQHRTNAISDFLNLWSAHAPVQLQGSILDWIQKEKEAQLAPPLLRLKF >ONIVA07G00270.1 pep chromosome:AWHD00000000:7:200816:206600:-1 gene:ONIVA07G00270 transcript:ONIVA07G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRERRQLARLCDLVAGALLPHLEPKPPATRLTREDERRILLALSRVSKAIRGWEEEEEEEEEEDDDEGCEFVQEIISCSAEVHSCSLPANQHFDDVFSCLANIISILVGFFGFCSSYVKHSAGNILIVISDSLMKFEVVWIQFVELVWIAIHTVSTCAHSALPSLIDSISSFRKDSICYCSVMESLSHDIIISSTNITSFVEVLQLRCLDINGHMVASLFRVLHTILKFLKHTDNELKEDFICISAHHILMVDWDLYYQLNVGEPLNLVKDSTFSLSDDLKQLGFLSSSLLQLLCSLLEQSDLEDNNGQDIYAKLVGVIPKLVAILPEHQDAPKSLSQYLKHKFLMIMMRLKPYIQKDCSYIVCCLKLLRQHFQDLLHEPIMQHIAKPENCLEGSPFLLSTVGLGETHDKSTRHLQRQAMYLFLSFCICLAHNGNDSALQCSCKRDDQMLGHKVLDCSDHCDCFALSEISDWFQRCFLDKIFGFKSSTDNALCFLQLYMEEDDMLFNVLLQLLDAPLISSKIDSMENRWASELIGGKLFSSIFDPVHLHYDHLVLVDYLISKDVGVHCAQYLLRCLRLVTQCWHSFTDDSIYEAKIEKLNFKRQKTFNDANSSSGSSIEGPKLGSACHKKSKNKHKLFLNAKACLLSLKRTLEDLHRKGLFPYNPKPLLKSRIWLTNPYVGFAVARIDLVSQVLRAIDNARSEKAREQSHCSKTSIAC >ONIVA07G00270.2 pep chromosome:AWHD00000000:7:200881:206600:-1 gene:ONIVA07G00270 transcript:ONIVA07G00270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRERRQLARLCDLVAGALLPHLEPKPPATRLTREDERRILLALSRVSKAIRGWEEEEEEEEEEDDDEGCEFVQEIISCSAEVHSCSLPANQHFDDVFSCLANIISILVGFFGFCSSYVKHSAGNILIVISDSLMKFEVVWIQFVELVWIAIHTVSTCAHSALPSLIDSISSFRKDSICYCSVMESLSHDIIISSTNITSFVEVLQLRCLDINGHMVASLFRVLHTILKFLKHTDNELKEDFICISAHHILMVDWDLYYQLNVGEPLNLVKDSTFSLSDDLKQLGFLSSSLLQLLCSLLEQSDLEDNNGQDIYAKLVGVIPKLVAILPEHQDAPKSLSQYLKHKFLMIMMRLKPYIQKDCSYIVCCLKLLRQHFQDLLHEPIMQHIAKPENCLEGSPFLLSTVGLGETHDKSTRHLQRQAMYLFLSFCICLAHNGNDSALQCSCKRDDQMLGHKVLDCSDHCDCFALSEISDWFQRCFLDKIFGFKSSTDNALCFLQLYMEEDDMLFNVLLQLLDAPLISSKIDSMENRWASELIGGKLFSSIFDPVHLHYDHLVLVDYLISKDVGVHCAQYLLRCLRLVTQCWHSFTDDSIYEAKIEKLNFKRQKTFNDANSSSGSSIEGPKLGSACHKKSKNKHKLFLNAKACLLSLKRTLEDLHRKGLFPYNPKPLLKRPGLTWSVRYFVR >ONIVA07G00270.3 pep chromosome:AWHD00000000:7:201782:206600:-1 gene:ONIVA07G00270 transcript:ONIVA07G00270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRERRQLARLCDLVAGALLPHLEPKPPATRLTREDERRILLALSRVSKAIRGWEEEEEEEEEEDDDEGCEFVQEIISCSAEVHSCSLPANQHFDDVFSCLANIISILVGFFGFCSSYVKHSAGNILIVISDSLMKFEVVWIQFVELVWIAIHTVSTCAHSALPSLIDSISSFRKDSICYCSVMESLSHDIIISSTNITSFVEVLQLRCLDINGHMVASLFRVLHTILKFLKHTDNELKEDFICISAHHILMVDWDLYYQLNVGEPLNLVKDSTFSLSDDLKQLGFLSSSLLQLLCSLLEQSDLEDNNGQDIYAKLVGVIPKLVAILPEHQDAPKSLSQYLKHKFLMIMMRLKPYIQKDCSYIVCCLKLLRQHFQDLLHEPIMQHIAKPENCLEGSPFLLSTVGLGETHDKSTRHLQRQAMYLFLSFCICLAHNGNDSALQCSCKRDDQMLGHKVLDCSDHCDCFALSEISDWFQRCFLDKIFGFKSSTDNALCFLQLYMEEDDMLFNVLLQLLDAPLISSKIDSMENRWASELIGGKLFSSIFDPVHLHYDHLVLVDYLISKDVGVHCAQYLLRCLRLVTQCWHSFTDDSIYEAKIEKLNFKRQKTFNDANSSSGSSIEGPKLGSACHKKSKNKHKLFLNAKACLLSLKRTLEDLHRKGLFPYNPKPLLKSLARFEELCEQD >ONIVA07G00260.1 pep chromosome:AWHD00000000:7:194416:200954:1 gene:ONIVA07G00260 transcript:ONIVA07G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMCTDVKNNLKGDLLRRGIGDVDLDDAEPEQQLLGLHAGDLLQLPLLDERRDLAGVGDGLLDLLEAKLLDVLQWQEALGEAELLQQLDGLLPADAVSTDDLRAGTVALNL >ONIVA07G00260.2 pep chromosome:AWHD00000000:7:194416:200954:1 gene:ONIVA07G00260 transcript:ONIVA07G00260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMCTLVLSDLLRRGIGDVDLDDAEPEQQLLGLHAGDLLQLPLLDERRDLAGVGDGLLDLLEAKLLDVLQWQEALGEAELLQQLDGLLPADAVSTDDLRAGTVALNL >ONIVA07G00260.3 pep chromosome:AWHD00000000:7:194416:200954:1 gene:ONIVA07G00260 transcript:ONIVA07G00260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMCTLVLSDLLRRGIGDVDLDDAEPEQQLLGLHAGDLLQLPLLDERRDLAGVGDAKLLDVLQWQEALGEAELLQQLDGLLPADAVSTDDLRAGTVALNL >ONIVA07G00250.1 pep chromosome:AWHD00000000:7:195899:200628:-1 gene:ONIVA07G00250 transcript:ONIVA07G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVESHRAGAEIVSGDGVSRKKSIELLEELGLPKGLLPLEDIEEFGYNKETGFMWLVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKITGVKTKELLLWLSVVEVYVADASPEKVTFKTGTGLSDTFDATAFALGERTRAASSRMASAPELIDDIVAEILLRIPPDEPAHLVHASLVCKPWRRILTDPAFLRRYRAFHRTPPVLGFLHNVDGNKAISSVPRFVPTTAASPFSPPAIDPPNWWWALDCRHGRVLSHLFNPMELMVWDPITGDQHRFPLPPHPHAYCTGAVLCAASDCHHLDCHQGPFLLVFVGTGRHDHSWACVYSSETGEWSSQASIVLDSYVEMLPSVLAENTLYFYCEYGTKILGYDIGKHELSEIDPPLGHDGGILIESEYGGLGFATVEACGLVLWSQYVCYDGIEEWEQSRIIELDMLIPNFFYSGGLVGFAEGTDIIFMLTDVDLFAIELKSGQVKKVGESRPYYAVIPYMSFYTSDLARKRLAQPAGMHTNKPAMRNSMPLEGGIIPPDAVTCGRYFEANKCNKKK >ONIVA07G00250.2 pep chromosome:AWHD00000000:7:194321:200628:-1 gene:ONIVA07G00250 transcript:ONIVA07G00250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVESHRAGAEIVSGDGVSRKKSIELLEELGLPKGLLPLEDIEEFGYNKETGFMWLVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKITGVKTKELLLWLSVVEVYVADASPEKVTFKTGTGLSDTFDATAFALGEVRGAKQTKTKDPSPLYSN >ONIVA07G00240.1 pep chromosome:AWHD00000000:7:191280:194121:-1 gene:ONIVA07G00240 transcript:ONIVA07G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPELVDDTTYEILLHIPPDDPASLVRASLVCKRWRQLLTDPAFLRRYRAFHRTPPMLGFIHNVDHSSNSSYIPRFVATTSPSPFYPDFPPPSIEFPTYWWALDCRHGRLLLQLFNPIDLMVWDPTTGDHRIFPQPPYLDFYCTGAVLCATRGCRHVDCHGGPYLVVFVGTGEDDHSWACVYSSETGEWSSQASIAFDSYVEMLPGLLVQDTLYFRCERGKRILGYDIGRHELSEIDPPPLGHDVGILMESGYGGLGFATVEDCSILLWSRYVGDDGIEEWKKSWVIGLDFLNPVGNPSLSWELAGFAEGVHTIFISSEIGVFTIELKSGQVKKLCEEGYYTVVPYMSFYTSGRRNQLKTEVHYQLHGQKRLVFN >ONIVA07G00240.2 pep chromosome:AWHD00000000:7:192574:194121:-1 gene:ONIVA07G00240 transcript:ONIVA07G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPELVDDTTYEILLHIPPDDPASLVRASLVCKRWRQLLTDPAFLRRYRAFHRTPPMLGFIHNVDHSSNSSYIPRFVATTSPSPFYPDFPPPSIEFPTYWWALDCRHGRLLLQLFNPIDLMVWDPTTGDHRIFPQPPYLDFYCTGAVLCATRGCRHVDCHGGPYLVVFVGTGEDDHSWACVYSSETGEWSSQASIAFDSYVEMLPGLLVQDTLYFRCERGKRILGYDIGRHELSEIDPPPLGHDVGILMESGYGGLGFATVEDCSILLWSRYVGDDGIEEWKKSWVIGLDFLNPVGNPSLSWELAGFAEGVHTIFISSEIGVFTIELKSGQVKKLCEEGYYTVVPYMSFYTSDIAIWRPEEPAED >ONIVA07G00230.1 pep chromosome:AWHD00000000:7:186589:191157:-1 gene:ONIVA07G00230 transcript:ONIVA07G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEIINGDAICRNKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFMWLVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELLLWLSVVEVYVTEASPEKVTFKTGTGLSDTFDAAAFALGENDARLQPPPASSCPRRVHRLLSTQTLDRSLAPAMASLPDLIDDLTTEILLRIPPDEPASLVRASLVCKPWCRIITDPAFLRRYRAFHRTPPMLGFLHNVDGDKAISSVPRFVPTTTAASSPFSPPAIGSPHWWWALDCRHGRVLINLFNPMELMVWDPITGDHHRFPVPPHPHAYCTGAVLCAARDCRHLDCHQGPFLVVFVGSGEHGYHYSWACLYSSETGEWSSKVSIVFDSYVEMLPSLLVEDMLFFICENGIRILGYDIGRHELWEIEPPLWDDYQGGTLMTAEDGGLGFATMETRGLVLWSWYVDDDDGIADWEQLRVIKLEMLIPVDNPSVSLDLVGFIEGTQTIFVSSDVGVFAIELKSGQVKKILLGGGRRTQLEYRLYSDPSRPFRYASDRQSKMNKQTSLENSI >ONIVA07G00230.2 pep chromosome:AWHD00000000:7:187732:191157:-1 gene:ONIVA07G00230 transcript:ONIVA07G00230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEIINGDAICRNKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFMWLVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELLLWLSVVEVYVTEASPEKVTFKTGTGLSDTFDAAAFALGENDARLQPPPASSCPRRVHRLLSTQTLDRSLAPAMASLPDLIDDLTTEILLRIPPDEPASLVRASLVCKPWCRIITDPAFLRRYRAFHRTPPMLGFLHNVDGDKAISSVPRFVPTTTAASSPFSPPAIGSPHWWWALDCRHGRVLINLFNPMELMVWDPITGDHHRFPVPPHPHAYCTGAVLCAARDCRHLDCHQGPFLVVFVGSGEHGYHYSWACLYSSETGEWSSKVSIVFDSYVEMLPSLLVEDMLFFICENGIRILGYDIGRHELWEIEPPLWDDYQGGTLMTAEDGGLGFATMETRGLVLWSWYVDDDDGIADWEQLRVIKLEMLIPVDNPSVSLDLVGFIEGTQTIFVSSDVGVFAIELKSGQVKKILLGGGRRTQLEYRLYSDPSRPFRYASDRQSK >ONIVA07G00220.1 pep chromosome:AWHD00000000:7:186060:186488:-1 gene:ONIVA07G00220 transcript:ONIVA07G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEIVNGDAICRKRSIELLEELGLPKGLLPLEDIEEFGYNRGTGFMWLVQKKKKIEHTFKKIKQTVSYANEVTAFTEKGKLKKITGVKTKELLLWLSVVEVYITDASPDKVTFKTGTGLSDTFDAAAFALGE >ONIVA07G00210.1 pep chromosome:AWHD00000000:7:181851:182279:-1 gene:ONIVA07G00210 transcript:ONIVA07G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRAGAEIVNGDDICRKKSIELLGELGLPMGLLPLEDIEEFGYNRETGFIWMVQRKKKIEHVFKKIKQNVSYAGEVTAFVEKGKLKKITGVKTKELMLWLSIVEVYAAEASPEKVTFKSGAGICKTFDAAAFALGE >ONIVA07G00200.1 pep chromosome:AWHD00000000:7:168081:168512:1 gene:ONIVA07G00200 transcript:ONIVA07G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIQSHRAGAEIVNGDAICRKKSIELLGELGLPKGLLPLEDIEEFGYNRDTGFMWMVQRKKKIEHTFKKIKQTVSYAGEITAFVEKGKLKKIAGVKTKELMLWLSVVEVYVAEASPEKVTFKTGTGLSDTFDATAFALGE >ONIVA07G00190.1 pep chromosome:AWHD00000000:7:164764:165195:1 gene:ONIVA07G00190 transcript:ONIVA07G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIESHRAGAEIVTGDGVCRKKSIELLEELGLPKGLLPLEDIEEFGYNKETGFMWLVQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELLLWLSVVEVYVAEASPEKVTFKTGTGLSDTFDATAFALGE >ONIVA07G00180.1 pep chromosome:AWHD00000000:7:161526:162586:-1 gene:ONIVA07G00180 transcript:ONIVA07G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCAGSAQPGGGKGGTMCNRRKETLALSEKIGYALEQSENLVTKSVPGPRQFLVISEATEGVKWAGQKRFFSMERNANGFKLMESARLAVKKIKALGNARIEIDPRNEFYVVAVATAISLWLMSRQYRSLGDPTFPGGSMKKLQAWKRVSKLNSGKSNNADE >ONIVA07G00170.1 pep chromosome:AWHD00000000:7:155269:158324:1 gene:ONIVA07G00170 transcript:ONIVA07G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 17 [Source:Projected from Arabidopsis thaliana (AT5G66920) TAIR;Acc:AT5G66920] MAPPPAAAAALAACILAVAATLAGADDPYRFFTWNVTYGSINPLGSTPQQGILINGQFPGPRIDCVTNDNIIVNVFNNLDEPFLLTWNGIKQRKNSWQDGVLGTNCPIPPGANYTYKFQAKDQIGTFVYFPSVAMHRAAGGFGALNVYQRPAIPVPYPPPAGDFTLLVGDWYKAGHKQLRQALDAGGGGALPPPDALLINGMPSAAAFVGDQGRTYLFRVSNVGVKTSVNVRIQGHSLRLVEVEGTHPVQNVYDSLDVHVGQSVAFLVTLDKAAQDYAVVASARFSPGAAPLMATGTLHYSSAVSRAPGPLPAPPPEQAEWSMNQARSFRWNLTASAARPNPQGSFHYGTIATSRTLVLANSAPVLAGQRRYAVNGVSFVVPDTPLKLVDNYNIANVIGWDSVPARPDGAAPRSGTPVVRLNLHEFIEVVFQNTENELQSWHLDGYDFWVVGYGNGQWTENQRTTYNLVDAQARHTVQVYPNGWSAILVSLDNQGMWNLRSANWDRQYLGQQLYMRVWTPQQSFSNEYSIPTNAILCGRAAGLGH >ONIVA07G00160.1 pep chromosome:AWHD00000000:7:146165:149160:1 gene:ONIVA07G00160 transcript:ONIVA07G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGVGAADLSLDLKMFAAKSFGRVRGKDTTTTAMGDCIRRLEEEMGKIEVFRRELPLCVRLLADVIDVMKEEVEKKGGDRKEDEEDAAGDKSNWMSTAQLWTGNSGGPDAAAADPEKQDKVRISSEAKSNGGAFVGSGAPAFARPKQSLMRKEDMAYDVRMPDLSLLSPPASAAAADESRRQVVGFAQAAARAAAMAASGPALSLQPQPQPAAAQQQQQARKTRRCWSPDLHRKFVAALQQLGGPQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRPRVPSSTIVNQPIVLMQGLCYIPQEQSSSQSGSPEGPLHFSGSGMAGGGSSAATVSCEEEDGRSESYGWK >ONIVA07G00150.1 pep chromosome:AWHD00000000:7:124270:126859:1 gene:ONIVA07G00150 transcript:ONIVA07G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRSALGKISRRLSGSSAVICQAPPLPSLHPAAQLMTTAFSSPAAAAAARVRGLQHPPFICKGTERMQWAGQKRFFSVEAKAKDAKLMESARSSVKRLMAWMNEQANPRNTAIVLTIINVVYLGIFIRECLRSDEHAKDCTADDNGDRNSSYRIVKYGCHDPYACPWYRALVAQYAVMLVLVLFTM >ONIVA07G00140.1 pep chromosome:AWHD00000000:7:116335:121878:-1 gene:ONIVA07G00140 transcript:ONIVA07G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLRARASWSPQASVMPTWWKRSKSAFQRSSAVSSAPASPARASTSSCAAPGRRSAAGRCADDAGDLLLARRRQLTRQRKLRHVDDIGVGLESLGLVVANSSPPPRGRASTSAAVGHPVSIPIARSASSAEFRAVHQPPPRAATAASPVLLPLPLPSPKPVESDTSEPDVGGERATRVTSQIVQNFPDNNNNLPDNSSKRTTTSSHHRKVFREKFQDKSSTETANFRLNIPAKSAPSSGFSSPVCSPRRFSNAEYTTPTAQGPQAWSAPSVRSVDSMATSSPRISPEIYTGVTEQSTFSNSLRSPILMSKNSSAPPSPLHPKLFPENNMSRIEGNGNVSFHPLPRPPGAINSMQTSIVNQSAPKVEMPSVAGQWQKGRLLGSGTFGCVYEATNRQTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSDTFEDRFYIYLEYVHPGSINKYVKQHYGAMTESVVRNFTRHILRGLTDIKGANLLVDVSGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLNKDVGYDLAVDIWSLGCTIIEMFNGKPPWSDLEGPAAMFRVLHKDPPIPDNLSHEGKDFLQFCFKRNPAERPTASELLEHPFIRNSSHYNKHGSIHSFAGIKSNDNNNGNGSRDKAASKSDSCVKGKITVGEPTNARPSESSAFRLTPLSIQEVAPNFSSRPLGLTSNPSPSANLVNTVYFPIANSQRSPLPRPNEKEALF >ONIVA07G00130.1 pep chromosome:AWHD00000000:7:107164:116543:1 gene:ONIVA07G00130 transcript:ONIVA07G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDGEEGAAKRTKLSAAAAAAAAGEDRLSALPDDLLVQVLLRIGGTTAAARTSVLSRRWRSLWCLLPELDFVPEADGGSIRAALAAHEPPSLRHLLVAAQDAAPHGMAEWLPVAARRLAGDLLLFNMAPKRDAKDDDDEEGKDGSPFLELPCFGSATKLSLDLGFLPLAVPVSGVFARLTDLSLDSVRFHGPCEFGDAASSRRFPSLKNLNIRNTQGLSNFIIHSDSLLQLDLRSVRGLKQLNVVAPALQVLSVFFCFADTQARSQPVADIAAPQLETLQWEDAFDPSSVEFGEMASLRCLGTYFFLVYGLEDFKNNRDCLRLLKRFRRDAISRLTLTLAFLPKDLRDFEYLMENMTMLPDIVSLNLNVLVNGHAIGPSLFHVLRMCTSVRRLKLVTHISLDLEAQAVCSSDCVCDLPPNWKTEELLLKFLHEVEINNFRGTGHEIALVKWLFSWAVVLKDMTINFYHSVPENTAKELIEIFRILGPRTRNPPKSMENGDGEEGTAKRAKLSAGDGGGGGGEDRLSALPDDLLVQILLRVGTSAAARTSVLSRRWRSLWYLLPELDFVSTADARAIRAALAYHGAPPLRLLLVSAVGATAGSVAEWLPLAARRLSGYLGLLNVVPKTKRDEGEGAAAGEVLELPCFASAANLALDLGFIAVAMPRSGVFSRLTLLSLDNVRFHRPCDLGDAVSSPRSPFLKRLTIQNAHGLSNLSIHSESLLQIRLGGLKGLKQLNVVAPALGALYVISCFSDPLAMSQPVADISAPQLETLHWEDAFDPSSVRFGNMANVKCLGTHFYLAFGQEDFGHNGDCLRLLQRFQFDALDRLSLTLAYMSELNDLENEYLMEEMTMLPDIMFLGLTVLASGHAIGPSVFHVLRMSTSIRRLELATDIYSSNPQMGLAEFELSHGYHTLLETQLGFRDDTDWQFVINFAIPEDLVMHPEGARAACSSSCTCDLPPNWKTEELKLAFLHEVEINNFRGTEHQIALVKQLFGWAAMLKDMTINFCHSITESMARKVCQMLLSFSRPEILMNFYIYQQTTSGFVCSRGQMHRTKLVA >ONIVA07G00130.2 pep chromosome:AWHD00000000:7:107164:116543:1 gene:ONIVA07G00130 transcript:ONIVA07G00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDGEEGAAKRTKLSAAAAAAAAGEDRLSALPDDLLVQVLLRIGGTTAAARTSVLSRRWRSLWCLLPELDFVPEADGGSIRAALAAHEPPSLRHLLVAAQDAAPHGMAEWLPVAARRLAGDLLLFNMAPKRDAKDDDDEEGKDGSPFLELPCFGSATKLSLDLGFLPLAVPVSGVFARLTDLSLDSVRFHGPCEFGDAASSRRFPSLKNLNIRNTQGLSNFIIHSDSLLQLDLRSVRGLKQLNVVAPALQVLSVFFCFADTQARSQPVADIAAPQLETLQWEDAFDPSSVEFGEMASLRCLGTYFFLVYGLEDFKNNRDCLRLLKRFRRDAISRLTLTLAFLPKDLRDFEYLMENMTMLPDIVSLNLNVLVNGHAIGPSLFHVLRMCTSVRRLKLVTHISLDLEAQAVCSSDCVCDLPPNWKTEELLLKFLHEVEINNFRGTGHEIALVKWLFSWAVVLKDMTINFYHSVPENTAKELIEIFRILGPRTRNPPKSMENGDGEEGTAKRAKLSAGDGGGGGGEDRLSALPDDLLVQILLRVGTSAAARTSVLSRRWRSLWYLLPELDFVSTADARAIRAALAYHGAPPLRLLLVSAVGATAGSVAEWLPLAARRLSGYLGLLNVVPKTKRDEGEGAAAGEVLELPCFASAANLALDLGFIAVAMPRSGVFSRLTLLSLDNVRFHRPCDLGDAVSSPRSPFLKRLTIQNAHGLSNLSIHSESLLQIRLGGLKGLKQLNVVAPALGALYVISCFSDPLAMSQPVADISAPQLETLHWEDAFDPSSVRFGNMANVKCLGTHFYLAFGQEDFGHNGDCLRLLQRFQFDALDRLSLTLAYMSELNDLENEYLMEEMTMLPDIMFLGLTVLASGHAIGPSVFHVLRMSTSIRRLELATDIYSSNPQARAACSSSCTCDLPPNWKTEELKLAFLHEVEINNFRGTEHQIALVKQLFGWAAMLKDMTINFCHSITESMARKVCQMLLSFSRPEILMNFYIYQQTTSGFVCSRGQMHRTKLVA >ONIVA07G00120.1 pep chromosome:AWHD00000000:7:87644:89593:-1 gene:ONIVA07G00120 transcript:ONIVA07G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINAVIIPHIPTSVMRARVSRRRLARRSITKQCFFRPVCQCGDNPVDVLFGQRTARSGLWKPQAWEVQRRRIWQRQVQFGYSCTPCNCISSTILLAAAPPLPYPCRTKNAIKLISMDKKPARNLTGFLATTAGAVLQKALSEHKKNALTKVKSSGNTREHSMVLRDEWEDEIATLRLQFEQKDIEEENEGKNNLKPQKGGANKEQIQAGDGRN >ONIVA07G00110.1 pep chromosome:AWHD00000000:7:75981:79485:1 gene:ONIVA07G00110 transcript:ONIVA07G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: plasma membrane, vacuole; EXPRESSED IN: cultured cell; CONTAINS InterPro DOMAIN/s: Golgi apparatus membrane protein TVP15 (InterPro:IPR013714); Has 59 Bla /.../s to 59 proteins in 18 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 50; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G33625) TAIR;Acc:AT4G33625] MAKGAASASGGGGAAPEAQQQLSGGGDTPRRRRPTRSRSDPLLIVCRCFNVVTAATAALCVAVNVLSAVQSFRTGLDIFGGIFRCYAVVISLFVGVVETEWGFIMKFCKILEYWPARGMLQIFVAVMTKAYPNVERGDLILLEDIASYLLLACGLIYIISGVLCIGVLKRSRQQKATSREQAVKDLEELEKRREELEALLLAQRSETV >ONIVA07G00100.1 pep chromosome:AWHD00000000:7:73875:74303:1 gene:ONIVA07G00100 transcript:ONIVA07G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRAGAEIVNGDDICRNMSIELLGELGLPMGLLPLEDIEEFGYNRETGFIWMVQRKKKIEHVFKKIKQNVSYAGEVTAFVEKGKLKKITGVKTKELMLWLSIVEVYAAEASPEKVTFKSGAGICKTFDAAAFALGE >ONIVA07G00090.1 pep chromosome:AWHD00000000:7:70492:70920:1 gene:ONIVA07G00090 transcript:ONIVA07G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAETVNGDAICRKKSIELLGELGLPKGLLPLEDIEEFGYNRDTGFMWLVQRKKKIDHTFKKIKQTVSYAGEVTAFVEKGKLKKITGVKTKELILWLSVVEVYVTEASPEKVTFKTGTGLSDTFDAAAFALGE >ONIVA07G00080.1 pep chromosome:AWHD00000000:7:47369:64485:-1 gene:ONIVA07G00080 transcript:ONIVA07G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQPSRLTGELCLLFIQMETFLSAILSDLATRSLSFLINKCSKPTSPTMEEKLQRLLLRVQIILEEAEDRLIANQAMQQQLNILRKEMYRGCYSKLPLLSNEEKIQRLERMLLRLAAAIEEADGRRILNHGMLRHINMLRQDMHKGYYALDTFRIQKHQEEDMNDDDGNEVSYNTLSLSKFNSAKRARIPIGTRRHGDRGELKQVIDNLEIAMADMVEFFLLLNNYPSIHRQPYNSYLFMDKCMFGRQMEMEHIINFLLRPEPPNTLSVDNIGVLPIIGLAKVGKSTLVKHVCGDERVCNHFSRILFLTEGDFREEKSLLTLRDSGEIRHMHTSSSVSSGGERLLVIIELAEDVADDKWTRMCASLRSCISAGSKIIITSRLEKIAKLGTTQPLRLKFLSREAYWYFFKVLAFGSSDTKEHPELASVSMMMFNVYFDHELYKNFTGPFMDLNIMASLIQATFYEGNWLSLHAHTRGYFLLRRGLGNDFELKTKCVPIPKKNAVVQQYCVISDYCRVGVAHEEDQEVPKIDMQDVLSGRVAPDGRFDILPDSAVIDVVRHEGRRKRASPVVVQQRKSTLIQWWCRPDLETSVATVARVLPTIGSMDGDASSPPMSALDPESAMEERLQRLLLRVRIIVEEADERIITNQVMLQQLNILRKEMYRGDNDLEDANIETLKNRGVIKHQNHATGGKRILIIIELIRDIDEGAWRRLYSASKNYVANGSKIIVASRSDKMASFGTTRALRVNFLTQEAYWYFFKLRTFGSTDAGEHPKMASIAMDIAMELNGCFMGSSIYTVLLRANFNAQYWSMALAIIRKFRKLNLLLYGACFFDGPWQRVKPAYVRRVNKICSEYLVILDDYQICSVENNMVHCHINSAHIEDEVPMDFLFGRVPLGKFKVLAFRSHLPPHNDYVLNCKLPVLVDPLSDLHNFRLHTSLMETFLSVILSDLATKSISFLINKCSKPTASNMEERLQRLLLRAQIIVEEAEDRLITNQGMLLQLNILRKEMFRGYYALDRFRCRGHEEDDAKVHQVSNSFAQSKFNPAKRVRFFRISGHSLQEQLQQVVGSIEVTLEDMSVFVMFLNSCPHLCRQPYSMHLFLDKCLFGRQMEMEHIMNFLLKVDSPGSENLGVLPIIGRRKAGKSTLIEHACNDERVRNHFSQIVCFSDDDLKDADMVTLRHCGSIKNGNQCTGGERILIVIELIRDIDEAVWRRLYSASKSYVPNGSKIIIASQSDKIARFGTTQALRVELFTEEAYWYFFKVRTFGSMDAQEHPKMALMAMEMARELQGCFMGASIYSGLLKANFNARFWNMALASIREYKQMNLLVYGTYFENPWQASEPAYVRTVNKISSEYLVILDEYQTCSVHNMVLCRTNFARSEAEVPVLSMQDFLFGSVRPQGKFKVLAWKSHLPPYYNHMFNCEVQRKHHVVARKKRSLELCS >ONIVA07G00080.2 pep chromosome:AWHD00000000:7:47369:64485:-1 gene:ONIVA07G00080 transcript:ONIVA07G00080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQPSRLTGELCLLFIQMETFLSAILSDLATRSLSFLINKCSKPTSPTMEEKLQRLLLRVQIILEEAEDRLIANQAMQQQLNILRKEMYRGCYSKLPLLSNEEKIQRLERMLLRLAAAIEEADGRRILNHGMLRHINMLRQDMHKGYYALDTFRIQKHQEEDMNDDDGNEVSYNTLSLSKFNSAKRARIPIGTRRHGDRGELKQVIDNLEIAMADMVEFFLLLNNYPSIHRQPYNSYLFMDKCMFGRQMEMEHIINFLLRPEPPNTLSVDNIGVLPIIGLAKVGKSTLVKHVCGDERVCNHFSRILFLTEGDFREEKSLLTLRDSGEIRHMHTSSSVSSGGERLLVIIELAEDVADDKWTRMCASLRSCISAGSKIIITSRLEKIAKLGTTQPLRLKFLSREAYWYFFKVLAFGSSDTKEHPELASVSMMMFNVYFDHELYKNFTGPFMDLNIMASLIQATFYEGNWLSLHAHTRGYFLLRRGLGNDFELKTKCVPIPKKNAVVQQYCVISDYCRVGVAHEEDQEVPKIDMQDVLSGRVAPDGRFDILPDSAVIDVVRHEGRRKRASPVVVQQRKSTLIQWWCRPDLETSVATVARVLPTIGSMDGDASSPPMSALDPESAMEERLQRLLLRVRIIVEEADERIITNQVMLQQLNILRKEMYRGYFTLDIFRCHGYKGDSTKDHQVSNSFALSKFNPAKRVRLSRVSGQSVQKQLQQVLGSLEVAIEDTSEFVMFLNNCPRLCRQPYSMHLLLDNCLFGRQLEMERIMNFLLKEDATSAENLGVLPIIGQVNVGKSALIEHACDNESVRNHFSQIVCFSDNDLEDANIETLKNRGVIKHQNHATGGKRILIIIELIRDIDEGAWRRLYSASKNYVANGSKIIVASRSDKMASFGTTRALRVNFLTQEAYWYFFKLRTFGSTDAGEHPKMASIAMDIAMELNGCFMGSSIYTVLLRANFNAQYWSMALAIIRKFRKLNLLLYGACFFDGPWQRVKPAYVRRVNKICSEYLVILDDYQICSVENNMVHCHINSAHIEDEVPMVSVQDFLFGRVPLGKFKVLAFRSHLPPHNDYVLNCKLPVLVDPLSDLHNFRLHTSLMETFLSVILSDLATKSISFLINKCSKPTASNMEERLQRLLLRAQIIVEEAEDRLITNQGMLLQLNILRKEMFRGYYALDRFRCRGHEEDDAKVHQVSNSFAQSKFNPAKRVRFFRISGHSLQEQLQQVVGSIEVTLEDMSVFVMFLNSCPHLCRQPYSMHLFLDKCLFGRQMEMEHIMNFLLKVDSPGSENLGVLPIIGRRKAGKSTLIEHACNDERVRNHFSQIVCFSDDDLKDADMVTLRHCGSIKNGNQCTGGERILIVIELIRDIDEAVWRRLYSASKSYVPNGSKIIIASQSDKIARFGTTQALRVELFTEEAYWYFFKVRTFGSMDAQEHPKMALMAMEMARELQGCFMGASIYSGLLKANFNARFWNMALASIREYKQMNLLVYGTYFENPWQASEPAYVRTVNKISSEYLVILDEYQTCSVHNMVLCRTNFARSEAEVPVLSMQDFLFGSVRPQGKFKVLAWKSHLPPYYNHMFNCEVQRKHHVVARKKRSLELCS >ONIVA07G00080.3 pep chromosome:AWHD00000000:7:52883:64485:-1 gene:ONIVA07G00080 transcript:ONIVA07G00080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQPSRLTGELCLLFIQMETFLSAILSDLATRSLSFLINKCSKPTSPTMEEKLQRLLLRVQIILEEAEDRLIANQAMQQQLNILRKEMYRGCYSKLPLLSNEEKIQRLERMLLRLAAAIEEADGRRILNHGMLRHINMLRQDMHKGYYALDTFRIQKHQEEDMNDDDGNEVSYNTLSLSKFNSAKRARIPIGTRRHGDRGELKQVIDNLEIAMADMVEFFLLLNNYPSIHRQPYNSYLFMDKCMFGRQMEMEHIINFLLRPEPPNTLSVDNIGVLPIIGLAKVGKSTLVKHVCGDERVCNHFSRILFLTEGDFREEKSLLTLRDSGEIRHMHTSSSVSSGGERLLVIIELAEDVADDKWTRMCASLRSCISAGSKIIITSRLEKIAKLGTTQPLRLKFLSREAYWYFFKVLAFGSSDTKEHPELASVSMMMFNVYFDHELYKNFTGPFMDLNIMASLIQATFYEGNWLSLHAHTRGYFLLRRGLGNDFELKTKCVPIPKKNAVVQQYCVISDYCRVGVAHEEDQEVPKIDMQDVLSGRVAPDGRFDIVLWRSHLPPYYSYIYSLIDVVRHEGRRKRASPVVVQQRKSTLIQWWCRPDLETSVATVARFLSGLSPCRPVE >ONIVA07G00080.4 pep chromosome:AWHD00000000:7:47369:64485:-1 gene:ONIVA07G00080 transcript:ONIVA07G00080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQPSRLTVIDVVRHEGRRKRASPVVVQQRKSTLIQWWCRPDLETSVATVARLHMETFLSAILSDLATRSISFLINTCMKQTESAMEERLQRLLLRVRIIVEEADERIITNQVMLQQLNILRKEMYRGYFTLDIFRCHGYKGDSTKDHQVSNSFALSKFNPAKRVRLSRVSGQSVQKQLQQVLGSLEVAIEDTSEFVMFLNNCPRLCRQPYSMHLLLDNCLFGRQLEMERIMNFLLKEDATSAENLGVLPIIGQVNVGKSALIEHACDNESVRNHFSQIVCFSDNDLEDANIETLKNRGVIKHQNHATGGKRILIIIELIRDIDEGAWRRLYSASKNYVANGSKIIVASRSDKMASFGTTRALRVNFLTQEAYWYFFKLRTFGSTDAGEHPKMASIAMDIAMELNGCFMGSSIYTVLLRANFNAQYWSMALAIIRKFRKLNLLLYGACFFDGPWQRVKPAYVRRVNKICSEYLVILDDYQICSVENNMVHCHINSAHIEDEVPMDFLFGRVPLGKFKVLAFRSHLPPHNDYVLNCKLPVLVDPLSDLHNFRLHTSLMETFLSVILSDLATKSISFLINKCSKPTASNMEERLQRLLLRAQIIVEEAEDRLITNQGMLLQLNILRKEMFRGYYALDRFRCRGHEEDDAKVHQVSNSFAQSKFNPAKRVRFFRISGHSLQEQLQQVVGSIEVTLEDMSVFVMFLNSCPHLCRQPYSMHLFLDKCLFGRQMEMEHIMNFLLKVDSPGSENLGVLPIIGRRKAGKSTLIEHACNDERVRNHFSQIVCFSDDDLKDADMVTLRHCGSIKNGNQCTGGERILIVIELIRDIDEAVWRRLYSASKSYVPNGSKIIIASQSDKIARFGTTQALRVELFTEEAYWYFFKVRTFGSMDAQEHPKMALMAMEMARELQGCFMGASIYSGLLKANFNARFWNMALASIREYKQMNLLVYGTYFENPWQASEPAYVRTVNKISSEYLVILDEYQTCSVHNMVLCRTNFARSEAEVPVLSMQDFLFGSVRPQGKFKVLAWKSHLPPYYNHMFNCEVQRKHHVVARKKRSLELCS >ONIVA07G00080.5 pep chromosome:AWHD00000000:7:52821:64485:-1 gene:ONIVA07G00080 transcript:ONIVA07G00080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQPSRLTGELCLLFIQMETFLSAILSDLATRSLSFLINKCSKPTSPTMEEKLQRLLLRVQIILEEAEDRLIANQAMQQQLNILRKEMYRGCYSKLPLLSNEEKIQRLERMLLRLAAAIEEADGRRILNHGMLRHINMLRQDMHKGYYALDTFRIQKHQEEDMNDDDGNELPDSAVIDVVRHEGRRKRASPVVVQQRKSTLIQWWCRPDLETSVATVARVLPTIGSMDGDASSPPMSALDPDYIVTYGDIFVCNFE >ONIVA07G00080.6 pep chromosome:AWHD00000000:7:52821:64464:-1 gene:ONIVA07G00080 transcript:ONIVA07G00080.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDCLLFKVHLAGELLVGGGRNQVLGGRRWSSKGSRIWRFRQQRRRCTDGDASTTITSDRSLSFLINKCSKPTSPTMEEKLQRLLLRVQIILEEAEDRLIANQAMQQQLNILRKEMYRGCYSKLPLLSNEEKIQRLERMLLRLAAAIEEADGRRILNHGMLRHINMLRQDMHKGYYALDTFRIQKHQEEDMNDDDGNEVSYNTLSLSKFNSAKRARIPIGTRRHGDRGELKQVIDNLEIAMADMVEFFLLLNNYPSIHRQPYNSYLFMDKCMFGRQMEMEHIINFLLRPEPPNTLSVDNIGVLPIIGLAKVGKSTLVKHVCGDERVCNHFSRILFLTEGDFREEKSLLTLRDSGEIRHMHTSSSVSSGGERLLVIIELAEDVADDKWTRMCASLRSCISAGSKIIITSRLEKIAKLGTTQPLRLKFLSREAYWYFFKVLAFGSSDTKEHPELASVSMMMFNVYFDHELYKNFTGPFMDLNIMASLIQATFYEGNWLSLHAHTRGYFLLRRGLGNDFELKTKCVPIPKKNAVVQQYCVISDYCRVGVAHEEDQEVPKIDMQDVLSGRVAPDGRFDILPDSAVIDVVRHEGRRKRASPVVVQQRKSTLIQWWCRPDLETSVATVARVLPTIGSMDGDASSPPMSALDPDYIVTYGDIFVCNFE >ONIVA07G00080.7 pep chromosome:AWHD00000000:7:47369:52759:-1 gene:ONIVA07G00080 transcript:ONIVA07G00080.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLQRLLLRVRIIVEEADERIITNQVMLQQLNILRKEMYRGYFTLDIFRCHGYKGDSTKDHQVSNSFALSKFNPAKRVRLSRVSGQSVQKQLQQVLGSLEVAIEDTSEFVMFLNNCPRLCRQPYSMHLLLDNCLFGRQLEMERIMNFLLKEDATSAENLGVLPIIGQVNVGKSALIEHACDNESVRNHFSQIVCFSDNDLEDANIETLKNRGVIKHQNHATGGKRILIIIELIRDIDEGAWRRLYSASKNYVANGSKIIVASRSDKMASFGTTRALRVNFLTQEAYWYFFKLRTFGSTDAGEHPKMASIAMDIAMELNGCFMGSSIYTVLLRANFNAQYWSMALAIIRKFRKLNLLLYGACFFDGPWQRVKPAYVRRVNKICSEYLVILDDYQICSVENNMVHCHINSAHIEDEVPMDFLFGRVPLGKFKVLAFRSHLPPHNDYVLNCKLPVLVDPLSDLHNFRLHTSLMETFLSVILSDLATKSISFLINKCSKPTASNMEERLQRLLLRAQIIVEEAEDRLITNQGMLLQLNILRKEMFRGYYALDRFRCRGHEEDDAKVHQVSNSFAQSKFNPAKRVRFFRISGHSLQEQLQQVVGSIEVTLEDMSVFVMFLNSCPHLCRQPYSMHLFLDKCLFGRQMEMEHIMNFLLKVDSPGSENLGVLPIIGRRKAGKSTLIEHACNDERVRNHFSQIVCFSDDDLKDADMVTLRHCGSIKNGNQCTGGERILIVIELIRDIDEAVWRRLYSASKSYVPNGSKIIIASQSDKIARFGTTQALRVELFTEEAYWYFFKVRTFGSMDAQEHPKMALMAMEMARELQGCFMGASIYSGLLKANFNARFWNMALASIREYKQMNLLVYGTYFENPWQASEPAYVRTVNKISSEYLVILDEYQTCSVHNMVLCRTNFARSEAEVPVLSMQDFLFGSVRPQGKFKVLAWKSHLPPYYNHMFNCEVQRKHHVVARKKRSLELCS >ONIVA07G00080.8 pep chromosome:AWHD00000000:7:47369:52852:-1 gene:ONIVA07G00080 transcript:ONIVA07G00080.8 gene_biotype:protein_coding transcript_biotype:protein_coding METFLSAILSDLATRSISFLINTCMKQTESAMEERLQRLLLRVRIIVEEADERIITNQVMLQQLNILRKEMYRGYFTLDIFRCHGYKGDSTKDHQVSNSFALSKFNPAKRVRLSRVSGQSVQKQLQQVLGSLEVAIEDTSEFVMFLNNCPRLCRQPYSMHLLLDNCLFGRQLEMERIMNFLLKEDATSAENLGVLPIIGQVNVGKSALIEHACDNESVRNHFSQIVCFSDNDLEDANIETLKNRGVIKHQNHATGGKRILIIIELIRDIDEGAWRRLYSASKNYVANGSKIIVASRSDKMASFGTTRALRVNFLTQEAYWYFFKLRTFGSTDAGEHPKMASIAMDIAMELNGCFMGSSIYTVLLRANFNAQYWSMALAIIRKFRKLNLLLYGACFFDGPWQRVKPAYVRRVNKICSEYLVILDDYQICSVENNMVHCHINSAHIEDEVPMVSVQDFLFGRVPLGKFKVLAFRSHLPPHNDYVLNCKLPVLVDPLSDLHNFRLHTSLMETFLSVILSDLATKSISFLINKCSKPTASNMEERLQRLLLRAQIIVEEAEDRLITNQGMLLQLNILRKEMFRGYYALDRFRCRGHEEDDAKVHQVSNSFAQSKFNPAKRVRFFRISGHSLQEQLQQVVGSIEVTLEDMSVFVMFLNSCPHLCRQPYSMHLFLDKCLFGRQMEMEHIMNFLLKVDSPGSENLGVLPIIGRRKAGKSTLIEHACNDERVRNHFSQIVCFSDDDLKDADMVTLRHCGSIKNGNQCTGGERILIVIELIRDIDEAVWRRLYSASKSYVPNGSKIIIASQSDKIARFGTTQALRVELFTEEAYWYFFKVRTFGSMDAQEHPKMALMAMEMARELQGCFMGASIYSGLLKANFNARFWNMALASIREYKQMNLLVYGTYFENPWQASEPAYVRTVNKISSEYLVILDEYQTCSVHNMVLCRTNFARSEAEVPVLSMQDFLFGSVRPQGKFKVLAWKSHLPPYYNHMFNCEVQRKHHVVARKKRSLELCS >ONIVA07G00070.1 pep chromosome:AWHD00000000:7:42959:44354:1 gene:ONIVA07G00070 transcript:ONIVA07G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATVIAATTPELAFVVFNLQPHPPFFGGRRLHFHDCFVRGCDASVLLSSTHGVGGGNNMAERDAPPNRSLRDFVSVQRVKSRLEAACPSTVSCADLLALMARDAVLLASGPYWPVPLGRRDGRVSL >ONIVA07G00050.1 pep chromosome:AWHD00000000:7:32368:33393:1 gene:ONIVA07G00050 transcript:ONIVA07G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHDYDSNSNPPLMSTYKHLFVEQHRLDMDMGAIDVDECELPVIDLAGLMEAEQVCRADMVRAASEWGFFQVTNHGVPQALLRELHDAQLAVFRRPFQEKVTERLLGFSPESYRWGTPTAKCLEQLSWSEAYHIPMTTPRPSTSIRARAVIEEVSRAMYELAQKLAEILMRGLPGAGEGETMVTTREETCFLRLNRYPPCAMAMGGFGLCPHTDSDLLTIVHQQQDTVGGLQLLKGGRWVAVKPSPSTLIVNVGDLLQAWSNDVYKSVEHRVMANATLERFSMAFFLCPSYHTLIIPSSSHVHDDDAHYRSFTFGEYRKQIMEDVRSTGRKIGLHRFRTR >ONIVA07G00040.1 pep chromosome:AWHD00000000:7:19705:22607:-1 gene:ONIVA07G00040 transcript:ONIVA07G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: DDRGK domain (InterPro:IPR019153); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G27120) TAIR;Acc:AT4G27120] MDGGGGMLGAVVCLLLVFAIFPLLLWRRRSDAAHRLPPQPLQDERVLRGGPAPGPAARRMRRRPLSTSADASTSRDRDVDDADSDLEEEIQDVPRGSKKKEKKRQDREAQRQAEEAARDLRRTKQDRYAEMRRKKDEEREAQERLMEEEARARKAKEEEAAALEFEKWKGAFSVDAEGTTESDTQDDGQGLLHNFVEYIKNQKCVPLEDLAAEFRMRTQDCINRIITLEGMDRLSGVMDDRGKFIYISTEEMKAVADYIRKQGRVSISHLASNSNQFIDLEPKPQYNEESNLDENAAAGTEL >ONIVA07G00030.1 pep chromosome:AWHD00000000:7:13589:20865:1 gene:ONIVA07G00030 transcript:ONIVA07G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HW06] MAGDQLLRRLLFLLWVVALAVPGLAARPANVSIGALFTFDSVIGRAAKVAIELAVADVNRDDGVLNGTYLSVVEQDTKCSGFIGIIQGLQVMEKKVVAVVGPQSSGIGHVVSHVADELRIPLVSFAATDPTLGSSQYPYFLRATHSDFFQMAAVADIISHYAWREATLIYVDNDYGRAALDALGDHLQSMRSKVSYRAPLPPAADRAAITDLLLRVSMMESRVIVVHANPDSGLDIFAAAQSLGMMSSGYVWIATEWLAALLDSDSSPPRKTTALALLQGVVTLRQYTPDSDAKRSLMSRFAARLQAHNTTGGINAYVLFAYDAVWMAARAIDQLLVDGSNVSFSDDARLRAENKTGSALRLGALKVFDQGEQLLSKMKTLNFTGVTGQVRFGDDRNLADPAYEVLNVGGTGVRRVGYWSNRTRLSVTAPEQEQNGKKKKQQGEELYSVIWPGETASTPRGWVFPNNGKALRIGVPYRTTYKQFVSKDAGGPDGASGYCIDVFKAAVALLAYPVPVSYVVVGDGVKNPSYGELVQRVAEGELDAAVGDISIVTNRTRVVDFTQPYVESGLVIVTAVRERASSAWAFLKPFTGEMWAVTGGFFLFVGAVVWVLEHRSNTDFRGSPRKQLVTVFWFSFSTMFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDGLIASSDPIGFQVGSFAKSYLMQELGVPESRLRELAITDYASSLQTGVVAAIVDELPYVELFLSTNCQFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILTLSENGDLQRIHDKWLSPGQCASQGTDVGADRLNLSSFWGLFLICGVACFIALLIFFFRTLRQYFRYHGHADIDDDDDSENKATPFPVDGGERMSSRRPARLASIRDLMTFVDMKEAEVKRRKKMMNEDSSRCGRRLDMDSHSHRSMPTSANANAAPPSSSFSSV >ONIVA07G00020.1 pep chromosome:AWHD00000000:7:5407:6044:-1 gene:ONIVA07G00020 transcript:ONIVA07G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYHSEASSASSRRTSASPIPHRMGPMEYQPTVLCRCRAKAARWISWSRDNPGRRYYKWPTSSFIRDLLNDLRDAVWSLRREKDELFVAVREGQAMARDVDTARRELAALKKHVVETDAKLVLLKEQNRRLEKDRCVLFFCFTGNLWSVCHGLGDELSSISECYYDGRY >ONIVA07G00010.1 pep chromosome:AWHD00000000:7:4450:4866:1 gene:ONIVA07G00010 transcript:ONIVA07G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKIPSNQKALQVVRVNATTRVATHQGGSATVNLQAIVPGSQGSTTQEPGNGKGKKPTPSPLLLIPPWESAKL >ONIVA06G31540.1 pep chromosome:AWHD00000000:6:29402907:29411371:-1 gene:ONIVA06G31540 transcript:ONIVA06G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIKVDVLRGRNKAELQAQLKDLKAELSVLRVARVTGGAPNKLSNIKVVRTSIARMLTKQRTALREAYKKKKKSLLPLDLRPKKTCAIRRRLTKHQGMLLFLIGDDPQKRPYSKASQALFSDIEHGCLPQVVLGDVPCKFRNGTIVCEVTQQHCHSKSACGDEDLSVLLGGGCRASSVLGPVSEDDDQFTEGFDRLPHVILEGGYTTNQASVPDGEISRNFSCIKIGGLFLRDTFSPPPCTLTQPSMQSVPQEPPPVSDFAHSFPITSKANVMSSTARSKTVVSEKKPLIKFALNVPRVAQTEALPGFTKLLAAKQDKWILLNCLSSMGCTNRSFY >ONIVA06G31540.2 pep chromosome:AWHD00000000:6:29402907:29411371:-1 gene:ONIVA06G31540 transcript:ONIVA06G31540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIKVDVLRGRNKAELQAQLKDLKAELSVLRVARVTGGAPNKLSNIKVVRTSIARMLTKQRTALREAYKKKKKSLLPLDLRPKKTCAIRRRLTKHQGMLLFLIGDDPQKRPYSKASQALFSDIEHGCLPQVVLGDVPCKFRNGTIVCEVTQQHCHSKSACGDEDLIGPVSEDDDQFTEGFDRLPHVILEGGYTTNQASVPDGEISRNFSCIKIGGLFLRDTFSPPPCTLTQPSMQSVPQEPPPVSDFAHSFPITSKANVMSSTARSKTVVSEKKPLIKFALNVPRVAQTEALPGFTKLLAAKQDKWILLNCLSSMGCTNRSFY >ONIVA06G31540.3 pep chromosome:AWHD00000000:6:29402907:29411371:-1 gene:ONIVA06G31540 transcript:ONIVA06G31540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIKVDVLRGRNKAELQAQLKDLKAELSVLRVARVTGGAPNKLSNIKVVRTSIARMLTKQRTALREAYKKKKKSLLPLDLRPKKTCAIRRRLTKHQGMLLFLIGDDPQKRPYSKASQALFSDIEHGCLPQVVLGDVPCKFRNGTIVCEVTQQHCHSKSACGDEDLSVLLGGGCRASSLVQFQRMMINLQKASVPDGEISRNFSCIKIGGLFLRDTFSPPPCTLTQPSMQSVPQEPPPVSDFAHSFPITSKANVMSSTARSKTVVSEKKPLIKFALNVPRVAQTEALPGFTKLLAAKQDKWILLNCLSSMGCTNRSFY >ONIVA06G31530.1 pep chromosome:AWHD00000000:6:29397035:29403565:1 gene:ONIVA06G31530 transcript:ONIVA06G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRRRYGLLAVVVTLIVLASLQIQFHHLKEDRLTGDRTFATTTTDPVHWRTGAEGLPRGIVHSNSDMYLRPLWDSGANPKNKNDNHNALLAMAVGISQMQNVDIMARKFLNQNYTVMLFHYDGNVDGWHNLEWSDKAIHILARNQTKWWFAKRFLHPDVVAIYGFIFLWDEDLGVDNFDPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMMKVHRRIYDNRASMNCSDGSKGPPCTGWVEGMAPVFSRAAWRCVWHLIQNDLIHGWGLDMKLGYCAQGDRAEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKERWNRAVREDEEWTDPFDT >ONIVA06G31530.2 pep chromosome:AWHD00000000:6:29397035:29403565:1 gene:ONIVA06G31530 transcript:ONIVA06G31530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRRRYGLLAVVVTLIVLASLQIQFHHLKEDRLTGDRTFATTTTDPVHWRTGAEGLPRGIVHSNSDMYLRPLWDSGANPKNKNDNHNALLAMAVGISQMQNVDIMARKFLNQNYTVMLFHYDGNVDGWHNLEWSDKAIHILARNQTKWWFAKRFLHPDVVAIYGFIFLWDEDLGVDNFDPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMMKVHRRIYDNRASMNCSDGSKGPPCTGWVEGMAPVFSRAAWRCVWHLIQNDLIHGWGLDMKLGYCAQGDRAEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKERWNRAVREDEEWTDPFDT >ONIVA06G31530.3 pep chromosome:AWHD00000000:6:29397035:29404462:1 gene:ONIVA06G31530 transcript:ONIVA06G31530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRRRYGLLAVVVTLIVLASLQIQFHHLKEDRLTGDRTFATTTTDPVHWRTGAEGLPRGIVHSNSDMYLRPLWDSGANPKNKNDNHNALLAMAVGISQMQNVDIMARKFLNQNYTVMLFHYDGNVDGWHNLEWSDKAIHILARNQTKWWFAKRFLHPDVVAIYGFIFLWDEDLGVDNFDPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMMKVHRRIYDNRASMNCSDGSKGPPCTGWVEGMAPVFSRAAWRCVWHLIQNDLIHGWGLDMKLGYCAQGDRAEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKERWNRAVREDEEWTDPFDT >ONIVA06G31520.1 pep chromosome:AWHD00000000:6:29387861:29390392:-1 gene:ONIVA06G31520 transcript:ONIVA06G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFDSPTASPAASPFDDDSFLRFDAAAPAPAPADAFPPSPEPYAFRPDAPSPFGMPEANGSLHDDPFAAPDNDNGPVLPPPNQMGADEGFLLREWRRYFLFSSLTIEQMLTPILNSQNAILLEEKEKKEKEMRNQIILDAEEFKKAFVEKRKLNVETSKDQNREREKLYLANQEKFHAGADKQYWKAISELIPHEIANIEKRGAKKDKDKEKKPGIVVIQGPKPGKPTDMSRMRQILLKLKHTPPPHMKPPPPPAAATGKDGAAGKDGAKVAAAASKDASANGSVPEMEKAAAAAAPAAAATEPIAAA >ONIVA06G31520.2 pep chromosome:AWHD00000000:6:29387861:29390392:-1 gene:ONIVA06G31520 transcript:ONIVA06G31520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFDSPTASPAASPFDDDSFLRFDAAAPAPAPADAFPPSPEPYAFRPDAPSPFGMPEANGSLHDDPFAAPDNDNGPVLPPPNQMGADEGFLLREWRRQNAILLEEKEKKEKEMRNQIILDAEEFKKAFVEKRKLNVETSKDQNREREKLYLANQEKFHAGADKQYWKAISELIPHEIANIEKRGAKKDKDKEKKPGIVVIQGPKPGKPTDMSRMRQILLKLKHTPPPHMKPPPPPAAATGKDGAAGKDGAKVAAAASKDASANGSVPEMEKAAAAAAPAAAATEPIAAA >ONIVA06G31510.1 pep chromosome:AWHD00000000:6:29383452:29385911:-1 gene:ONIVA06G31510 transcript:ONIVA06G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTATIIAAASSPQPQPLFLLLRLRSRARPGARLRAAAARCSSYNGWADLAAEPDLPFPLQPSHALLPLLLLPIAALSLPRLPPLPLLAAAFSAGFATRHLAPSPSPTHSRRLAALLADLDAQLRALSSSSSSTADPSLLLDVANQLRDAARLAAQGTMLGDAVKEAAGYFAAFSSPRKKPKTAAAAALSLETPSPQDDGNGGLLASATNATTKRTQGKPLDSPSASGGTRTGLGDMLPFDMDIHPLDDAGFSAHSKQDDDADDGVERLVSKHRYGRHTDSFQQGRLATESMESPLLERTLEIRDRSYKLKIESCRGNKSQSNEAQQRPSHHTTTSDNIDDESNAVDSDGDEFSHNVIEAAEILRKARECMMARDDEETADALLYKSARLLSTAVALRPSSLVAVGQLGNTYLLHGELKLKVSRELRTLLANTGALLNGRDRVSRSRKLDRRILSRENISSALVDVCEECESLLVEAGRSYRMALSIDSGDVKALYNWGLALTFRAQLLADIGPEAAIDADRVYLAAIDKFDAMLSKSNTYAPEALYRWGIALQQRSYLRSGNNKEKMRLLEQAKSMFEDVLYVEADNKTVREALSSCIAELNYHGRWL >ONIVA06G31500.1 pep chromosome:AWHD00000000:6:29373771:29387441:1 gene:ONIVA06G31500 transcript:ONIVA06G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLFVGKERVQTSSAGGGDQEGGGTMRQMPYGSARGRIRATYARGSPNSSSVGRNTGASGTEDPTPLPLAAAEIPIAGVRVPAVGRGEAPRREEEEDGVGPGTSFSTMAPLHFVALEQHARDRSRRNPRYQEPQGVGSSRLHFFCYDIGCIALQLGDNVYDATVGPRLDYTSPEEFYDVHGEHGGAQPTNNSTISTSGVAATGTHNFFSTVAASTCDVPEEEQRLGSWLVVFPPPGIPPFLPLWLCNHLDAAYEEIQHASGLSTRKSDEVEVANGEEDEGKQAIIPTPPSISYIAYTTILYYRSTTIEICACQYSLQCSKA >ONIVA06G31490.1 pep chromosome:AWHD00000000:6:29361183:29374081:-1 gene:ONIVA06G31490 transcript:ONIVA06G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAAARGSGVGSSVPEAPVFRPTEEEFGDPLAYVARIRPLAEPYGICRIVPPPSWSPPPALDVCTLSFPTKRQPIHRLLARLAPADPDTFLLDYRRFLHRHRGRKKPKPKLPALSDGRPLDLCRLFHAVKRFGGYDGACAGKRWADVLRLVDDKAPRHASSVSEHVIAQLYYEHLYQYEHFTNRLPSQSHDDQPPVSASSANISIRRQKKRLRKTSSTMGHCGGSTSAKTAASAPKQKRRKVDATATVVNEAMDQVCEQCNSGLHGEVMLLCDRCDKGWHLYCLSPPLESVPPGNWYCSECMNSDRNCFGFVHRRKTCQVETFRKFEERVRKRWFGHKNPSRVQVEKQFWEIVEGKVGELEVMYGSDLDTSIYGSGFPRLCDPVPSSVDPVMWHKYCSSPWNLNNFPNLPGSVLQTVRDNIAGVMVPWLYIGMLGEPKCWYGVPGAEANAFEKVMRNALPDLFDAQPDLLFHLVTMLSPSILQANGVPVYSVIQEPGNFVITFPRSFHGGFNFGLNCAEAVNFAPADWLPHGGIGAELYRLYRKAPVLSHEELLYVVAKNGVDNESLPYLQGEIERLFVKEKKCREELWITGIVKSNLMPPKNNPNFIGSEEHWKHLCECSPEKHRLLYRHTLAELGDLVCEVSKANLPRENVKQNSLLHSDVFLPTRKDKDQYMSYTQLAEDWLSKADHILHMPFLDTAYATALEDAEQFLWGDHNMDSVRNMSAKLIEGRKWASSVRKCLSQIDGFLHCKENCSEKVNYVEIKELAAVRCKPCYEPSLAQLQVYVDKGEIMINEINNALSSRSKVDYLETLYSRALEFPVELTETSALSCEISSAKSWLKKACDCLEQNKRGIVDIDFLNELKSEMVCLRVLVPEINLVSELWKEAEAWRIRCRSYLQDPPSLKELESFLLVVDGANFSIPELNILMQRYSGACSWVNHANNIVGKLLERNDYDNIVEELTGILKDGESLGEFSVVEEELKKSFCRKQASEALATRTSMEVVKEVLKEASILTIEEEQPFVDLSHNLKAAITWEEKASFILEHSAALPEFENHILCSENIHVILPSELDMKAEVATAKLWMDKCQAYLRPRSDKPASGGFLNVDDLKDLIGQPASMKVILDTSAINSVLNNVIEWEHNSLSLIHSSRSLLDSNVIDSTIDPLKRKLEELQDKINAEIEKGLSLGFEFKVVHELKDSFFTLGWILNALSFCGVTPLLQDAEKLIQQAVNLPASLSDCSLAELLEIAVPYPMMIAKLEDAINKHNSWAEQCNAFFMFPDHQSWDGLLSLRDSGQSVAFDCTEMDKVVAEIKKIEEWLTHCHCTLFPDGNNSDSLLSTLLKIRGSMDNACMLYSDCNQKGLCAICSCDVGDHITPRCMICQARYHSSCVEPLPASTQVTREWTCPFCFHLESGDPLQNRLQEKISKGNRPALPALIGLRSFAKGFYSGIEELDLLEEIAEKAHKFKSYLMQILHDADSYHGEDLSVMHRSLLIALKATSAAGLYDHQISCRIESMLSRYSWKKRIHILLCGGKKIPIQQVLMLDNEGSSLEICGEDFFKLEINKIKETSLQWLAKAEKTTLDSGKLALDLVYGHIIEGESLTVHVEKELKLLRDRSVLYCICRKPYDNRAMIACDQCDEWYHFDCIKLHGPPPKTFYCPACRPNNGGEYISLPCLAHEDDRSTTEAGPHTPPASCEAAGRVGAIQCNTKLTARGEKAKGFSIEQLEDVVGIYAPV >ONIVA06G31480.1 pep chromosome:AWHD00000000:6:29357888:29363140:1 gene:ONIVA06G31480 transcript:ONIVA06G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARRSAGGGLHCCLAFLFKFLSFLQAFAAVSALLYAAWILSRWARHHHLHLQDLLPDLWFVCALMAAGLFYCLLLLAGCVAAEINSPCFLCFYTILAVVMMLLEAALAADLFFNKHWIQDLPYDRTGELDNLLSFIQTNLDLCKWAALAIVATQALSLLLATILRSMLSTPSADYDSDEDFVVIRRPLLVAQGAPAYLPTTADTRGFHPDLWSSRMRHKYGLNSSNYTYNTLDPNAPPPQ >ONIVA06G31470.1 pep chromosome:AWHD00000000:6:29355629:29356225:-1 gene:ONIVA06G31470 transcript:ONIVA06G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAAAPFPGKCFLLLQQVPKPSAALAAAHPLLLGRRRLAALPETAAACVAAAGLVGVAASLLVGRAAEGARREEEEEEEEECSECGGTGLCPRCKGEGFVFKQLPEEAASRARKAAKNMATRYTSGLPTKWTYCNRCSSTRSCTTCGGSGAIPKASSPTTTTL >ONIVA06G31460.1 pep chromosome:AWHD00000000:6:29346443:29351372:-1 gene:ONIVA06G31460 transcript:ONIVA06G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLLSFLLLAAPAAAIDILRRSLAAQTKGDLASITAGNPLVANAMNDRLKNLTDAFAQQMGKEFHYCIKDTDDEWNIAFNFSTDPTFLSNCMQATDGDVPQRVCTAAEMKFYFESFLDSNGRKNYVRPNKNCNLTSWMDGCEAGWACSAGPDQNINLQDAVNFPSRTLDCRGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGICDPYNYQPPPGKPNHTCGGADRWADVVSTDDVFCPAGFYCPSTIKKLSCSSGKGSTSQTKCFHKGSCKPNSVNQDITIFGALLVGALSLVLLIIYNFSGQLLTNREKKQAKSREAAARHAKETAMARERWKSAKDVAKKHAVGLQSSLSRTFSRKKTLRTHEPSKGAVETDVEPSKGSGEKKSNLTDMMRSLEENPEKGEGFNVEIGEKKKTKGRHAHTQSQIFKYAYGQIEKEKAMEQQNKNLTFSGVISMATDEDIRTRPRIEIAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMVLINGKMEPIRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIEALGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSSSSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGLTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPNANVAVNAKDLPLRWMLHNGYEVPRDMLQSGSDAESSFRGGGDLTPGGDTGQSIAGEVWGNVKDIVGQKKDEYDYNKSSQNLSNRCTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFMSKDTIDHFNTIIKPIVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTFFANLCYTKWALEGFVIANAQRYSGVWLITRCGSLLKSGYDINDRFLCILVLAANGVLFRGVAFFCMVIFQKH >ONIVA06G31460.2 pep chromosome:AWHD00000000:6:29346443:29351372:-1 gene:ONIVA06G31460 transcript:ONIVA06G31460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLLSFLLLAAPAAAIDILRRSLAAQTKGDLASITAGNPLVANAMNDRLKNLTDAFAQQMGKEFHYCIKDTDDEWNIAFNFSTDPTFLSNCMQATDGDVPQRVCTAAEMKFYFESFLDSNGRKNYVRPNKNCNLTSWMDGCEAGWACSAGPDQNINLQDAVNFPSRTLDCRGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGICDPYNYQPPPGKPNHTCGGADRWADVVSTDDVFCPAGFYCPSTIKKLSCKCFHKGSCKPNSVNQDITIFGALLVGALSLVLLIIYNFSGQLLTNREKKQAKSREAAARHAKETAMARERWKSAKDVAKKHAVGLQSSLSRTFSRKKTLRTHEPSKGAVETDVEPSKGSGEKKSNLTDMMRSLEENPEKGEGFNVEIGEKKKTKGRHAHTQSQIFKYAYGQIEKEKAMEQQNKNLTFSGVISMATDEDIRTRPRIEIAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMVLINGKMEPIRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIEALGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSSSSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGLTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPNANVAVNAKDLPLRWMLHNGYEVPRDMLQSGSDAESSFRGGGDLTPGGDTGQSIAGEVWGNVKDIVGQKKDEYDYNKSSQNLSNRCTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFMSKDTIDHFNTIIKPIVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTFFANLCYTKWALEGFVIANAQRYSGVWLITRCGSLLKSGYDINDRFLCILVLAANGVLFRGVAFFCMVIFQKH >ONIVA06G31450.1 pep chromosome:AWHD00000000:6:29338632:29345923:-1 gene:ONIVA06G31450 transcript:ONIVA06G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAAAEDKFVLRSGVRTGLKREFAFAIASQAALSSTLGRTRSSSSASATPNSKRPRRPPRTPESDPPVLALRAHTPDPDPHTSPRRITRSMLLNPSAPLLHHHPKLQLQPRRFTRSLLSSRTSNSSSSSPSASTSTSKMELKMSKKISFTRIPRNLKDLLATGLLEGHPVKYIMRKGKRAVLRGVIKRVGILCSCSSCKGRTVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRACSDATLDMLQSAIQNAIGPAPKKRTFRCQTCKSSFATLRTGKFALLCDSCLESKGSQNSTRTSKIGRNPTSSARRSKNESPGSKYCNSSARGSKNAFPGVKTTSTGRITRKDKGLHKLAFMSGVLPEGTDVGYYVGGKRLLDGYIKEFGIYCHCCNTVVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSVSLSKGRNMSNRQSDDLCSICSDGGELLLCDSCPRAFHRECVGFTTIPRGTWCCRYCENRQQRESSLAYNHNAIAAGRIDGIDPMEQIFTRSIRIATTPVTGFGGCALCRLHDFSKKKFSARTVLLCDQCGREFHIGCLKEHNMADLTALPEGAWYCTADCVRISETLKDLLSRGAEPISSVDVEIIKRKYEQKALNKDGDLDVRWRVLKDKSSADSKLVLSKAVAIFHESFDPIIQIATGRDLIPAMVYGNTVVSAGLFRVMGSEIAELPLVATSRDSQGLGYFQALFGCIERLLASLKVKHFVLPAADEAESIWTQRFGFVKITQDELREYLKGGRTTVFQGTSTLHKLVPKLDG >ONIVA06G31450.2 pep chromosome:AWHD00000000:6:29338632:29345923:-1 gene:ONIVA06G31450 transcript:ONIVA06G31450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAAAEDKFVLRSGVRTGLKREFAFAIASQAALSSTLGRTRSSSSASATPNSKRPRRPPRTPESDPPVLALRAHTPDPDPHTSPRRITRSMLLNPSAPLLHHHPKLQLQPRRFTRSLLSSRTSNSSSSSPSASTSTSKMELKMSKKISFTRIPRNLKDLLATGLLEGHPVNEQCFVESLNVSAYCALVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRACSDATLDMLQSAIQNAIGPAPKKRTFRCQTCKSSFATLRTGKFALLCDSCLESKGSQNSTRTSKIGRNPTSSARRSKNESPGSKYCNSSARGSKNAFPGVKTTSTGRITRKDKGLHKLAFMSGVLPEGTDVGYYVGGKRLLDGYIKEFGIYCHCCNTVVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSVSLSKGRNMSNRQSDDLCSICSDGGELLLCDSCPRAFHRECVGFTTIPRGTWCCRYCENRQQRESSLAYNHNAIAAGRIDGIDPMEQIFTRSIRIATTPVTGFGGCALCRLHDFSKKKFSARTVLLCDQCGREFHIGCLKEHNMADLTALPEGAWYCTADCVRISETLKDLLSRGAEPISSVDVEIIKRKYEQKALNKDGDLDVRWRVLKDKSSADSKLVLSKAVAIFHESFDPIIQIATGRDLIPAMVYGNTVVSAGLFRVMGSEIAELPLVATSRDSQGLGYFQALFGCIERLLASLKVKHFVLPAADEAESIWTQRFGFVKITQDELREYLKGGRTTVFQGTSTLHKLVPKLDG >ONIVA06G31450.3 pep chromosome:AWHD00000000:6:29338632:29345923:-1 gene:ONIVA06G31450 transcript:ONIVA06G31450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAAAEDKFVLRSGVRTGLKREFAFAIASQAALSSTLGRTRSSSSASATPNSKRPRRPPRTPESDPPVLALRAHTPDPDPHTSPRRITRSMLLNPSAPLLHHHPKLQLQPRRFTRSLLSSRTSNSSSSSPSASTSTSKMELKMSKKISFTRIPRNLKDLLATGLLEGHPVKYIMRKGKRAVLRGVIKRVGILCSCSSCKGRTVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRACSDATLDMLQSAIQNAIGPAPKKRTFRCQTCKSSFATLRTGKFALLCDSCLESKGSQNSTRTSKIGRNPTSSARRSKNESPGSKYCNSSARGSKNAFPGVKTTSTGRITRKDKGLHKLAFMSGVLPEGTDVGYYVGGKRLLDGYIKEFGIYCHCCNTVVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSVSLSKGRNMSNRQSDDLCSICSDGGELLLCDSCPRAFHRECVGFTTIPRGTWCCRYCENRQQRESSLAYNHNAIAAGRIDGIDPMEQIFTRSIRIATTPVTGFGGCALCRLHDFSKKKFSARTVLLCDQALPEGAWYCTADCVRISETLKDLLSRGAEPISSVDVEIIKRKYEQKALNKDGDLDVRWRVLKDKSSADSKLVLSKAVAIFHESFDPIIQIATGRDLIPAMVYGNTVVSAGLFRVMGSEIAELPLVATSRDSQGLGYFQALFGCIERLLASLKVKHFVLPAADEAESIWTQRFGFVKITQDELREYLKGGRTTVFQGTSTLHKLVPKLDG >ONIVA06G31440.1 pep chromosome:AWHD00000000:6:29333945:29334685:1 gene:ONIVA06G31440 transcript:ONIVA06G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTKTSLVALLFLLTAQLITAARAPIFVTGIGGGGGGYGSGGGEGNGGYGHGYGYGSGYGFGNGGVGGGGYGGGGGYGSGGGEGNGGYGQGYGYGSGNGGGGGGGYGGGGGGSYGSDGMGSGYGGGYGSGYDYGGQGGGGGHGGGGGGGSGYGNGGYGSGFGEGYGSGGGVNGGGGSGGGGGGGGGASGYMYGACYGKGYGYGGGPGGGGGGAGGGGGGGSYNGGTGGYGEGHGSGYGGGGGHGH >ONIVA06G31430.1 pep chromosome:AWHD00000000:6:29327601:29333402:1 gene:ONIVA06G31430 transcript:ONIVA06G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAVFALLMASALAGAAAGGDIVHHDDEAPKIPGCSNDFILVKVQSWVNGKEDDEYVGVGARFGPQIVSKEKHANRTRLMLADPIDCCTSPKEKVSGDILLVQRGKCKFTKKAKFAEAAGASGIIIINHVHELYKMVCEKNETDLDINIPAVLLPRDAGFALHTVLTSGNSDRPVVDTAEVFLWLMAVGTVLCASYWSAWSAREALCEQEKLLKWHDRYQCGISHNVCSGRVMLLNNALQDDVFLGLQTCLVALLSRWFRAASESFFKVPFFGAVSYLTLAVSPFCIVFAVLWAVHRHFTYAWIGQDILGIALIITVIQIVRVPNLKVGSVLLSCAFFYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWAAKKSLQTGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGALISLGWKRGELWNLWSKGEPERVCPHHMHMQPQPKTPPLVQ >ONIVA06G31430.2 pep chromosome:AWHD00000000:6:29327601:29333402:1 gene:ONIVA06G31430 transcript:ONIVA06G31430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAVFALLMASALAGAAAGGDIVHHDDEAPKIPGCSNDFILVKVQSWVNGKEDDEYVGVGARFGPQIVSKEKHANRTRLMLADPIDCCTSPKEKVSGDILLVQRGKCKFTKKAKFAEAAGASGIIIINHVHELYKMVCEKNETDLDINIPAVLLPRDAGFALHTVLTSGNSDRPVVDTAEVFLWLMAVGTVLCASYWSAWSAREALCEQEKLLKWHDRYQCGISHNVCSGRVMLLNNALQDDVFLVCGATGGPANMPSGSIIKGIALIITVIQIVRVPNLKVGSVLLSCAFFYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWAAKKSLQTGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGALISLGWKRGELWNLWSKGEPERVCPHHMHMQPQPKTPPLVQ >ONIVA06G31420.1 pep chromosome:AWHD00000000:6:29324506:29327280:1 gene:ONIVA06G31420 transcript:ONIVA06G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: mRNA splicing factor, Cwf18 (InterPro:IPR013169); Has 292 Blast hits to 292 proteins in 153 species: Archae - 0; Bacteria - 0; Metazoa - 118; Fungi - 83; Plants - 38; Viruses - 11; Other Eukaryotes - 42 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G05070) TAIR;Acc:AT3G05070] MEDAAARRERLRALRAAKDLLSTPDPAAAGQGQHHQNGNRETAPEQAEHPTLPAPLDAPEEASKENINPTDESEEVQNDGDMPAMKFRNYLPHDEQLRGGKVAPVSLPKFEDPISAETTEPKQVENPFGNIAPKNPNWDLKRDVQKRMDKLEKRTQKALAEIALEQQKEKEALGEGSDAVQD >ONIVA06G31420.2 pep chromosome:AWHD00000000:6:29325081:29327280:1 gene:ONIVA06G31420 transcript:ONIVA06G31420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: mRNA splicing factor, Cwf18 (InterPro:IPR013169); Has 292 Blast hits to 292 proteins in 153 species: Archae - 0; Bacteria - 0; Metazoa - 118; Fungi - 83; Plants - 38; Viruses - 11; Other Eukaryotes - 42 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G05070) TAIR;Acc:AT3G05070] MPAMKFRNYLPHDEQLRGGKVAPVSLPKFEDPISAETTEPKQVENPFGNIAPKNPNWDLKRDVQKRMDKLEKRTQKALAEIALEQQKEKEALGEGSDAVQD >ONIVA06G31410.1 pep chromosome:AWHD00000000:6:29313633:29322345:-1 gene:ONIVA06G31410 transcript:ONIVA06G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT1G50380) TAIR;Acc:AT1G50380] MHLGRIAIQLHASAPLLFSRRATATALVLPHSRRAAFAMAATPPVARKVPRELAQHGDVRVDNYYWLRDDSRSHPDVLAHLRAENLYTATIMSDVKNLEDEIYAEIRARIKEDDIGAPLRKGQYYYYNRTLTGKEYVQHCRRLVPTDAPVTVYDVMPTGPNAPHEHIILDENVKAEGHDYYSIGAFKVSPNGKLVAYAQDTKGDEIYTVYVIDAESGKFVGQPLEGITSDIEWAGDDHLVYITMDAILRPDKSFQLFENHIAVYERQNGLPKVTAYRLPATGEPIGQLQGGREIDFVDPAYDVEPEQSQFGSSVVRFHYSSMRTPPSVFDYDMDSGVSVLKKIDTVLGGFDASNYVTERKWAAASDGTQIPMTILYRKDRVNLDGSDPMLLYGYGSYEICIDPSFGRSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLNKRNTFTDFIACAEHLIENKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEYYYYMKSYSPVDNVKAQGYPNILVTAGLNDPRVMYSEPAKYVAKLRELKTDDNLLLFKCELGAGHFSKSGRFEKLREDAFTYAFILKALGMAPKAAL >ONIVA06G31400.1 pep chromosome:AWHD00000000:6:29309561:29314005:1 gene:ONIVA06G31400 transcript:ONIVA06G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVX9] MRDVGTPADRDHSSSGSASTSAQAKKPASTNAEEPSSPTRGGQQQDSRRLRTLSDPELDLLITLKDLAMVCTENASLAVLGHDYDLPTLRALGIVLLETLKERLKETSIDPSVFDRLALLSDSDAYFPSIASDSESEGVRSKTPMGANGKRKQTQAGWLSEEGRKKRTPASQR >ONIVA06G31400.2 pep chromosome:AWHD00000000:6:29309561:29314005:1 gene:ONIVA06G31400 transcript:ONIVA06G31400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVX9] MRDVGTPADRDHSSSGSASTSAQAKKPASTNAEEPSSPTRGGQQQDSRRLRTLSDPELDLLITLKDLAMVCTENASLAVLGHDYDLPTLRALGIVLLETLKERLKETSIDPSVFDRLALLSDSDAYFPSIASDSESEGVRSKTPMGANGKRKQTQAGWLSEEGRKKRTPASQR >ONIVA06G31400.3 pep chromosome:AWHD00000000:6:29309522:29314005:1 gene:ONIVA06G31400 transcript:ONIVA06G31400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVX9] MRDVGTPADRDHSSSGSASTSAQAKKPASTNAEEPSSPTRGGQQQDSRRLRTLSDPELDLLITLKDLAMVCTENASLAVLGHDYDLPTLRALGIVLLETLKERLKETSIDPSVFDRLALLSDSDAYFPSIASDSESEGVRSKTPMGANGKRKQTQAGWLSEEGRKKRTPASQR >ONIVA06G31390.1 pep chromosome:AWHD00000000:6:29304098:29307636:1 gene:ONIVA06G31390 transcript:ONIVA06G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19970) TAIR;Acc:AT3G19970] MMASLHRPLSAMAVAAFAAVSSLELPDRLSHHKLPDTTVDAEAVVSIPASRPDVSAAPSASAMSRLHFLPRNLQTSHPAKAPPASLPVIHTVYHYAKFAKYYSEEEAVTTAMPSSSSPDVLYRWHLPDPKVCGDSHGKSQTVVVLLGWLGSRQKHLKRYADWYTSRGYHAVTFTLPMSDIVSYNVGGKAEKNVEMLSEHLADWVSEEDGKKIVFHTFSNTGWLCYGVILENLQRQDPSAMDKIKGCVVDSAPVAVPDSQVWASGFSAAIMKKHSVAAKGVKPNDARPDVLVVESNKDHPKPAVSEAILLSALEKLFDVVLNYPAINRKLSGVMELLSSKQPKCPQLYIYSSADRVIPAKSVESFVESQRRAGHEVRACDFVSSPHVDHYRSNPELYTSQLTEFMEDCVLARCQAKEEEEVTN >ONIVA06G31390.2 pep chromosome:AWHD00000000:6:29303834:29307636:1 gene:ONIVA06G31390 transcript:ONIVA06G31390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19970) TAIR;Acc:AT3G19970] MMASLHRPLSAMAVAAFAAVSSLELPDRLSHHKLPDTTVDAEAVVSIPASRPDVSAAPSASAMSRLHFLPRNLQTSHPAKAPPASLPVIHTVYHYAKFAKYYSEEEAVTTAMPSSSSPDVLYRWHLPDPKVCGDSHGKSQTVVVLLGWLGSRQKHLKRYADWYTSRGYHAVTFTLPMSDIVSYNVGGKAEKNVEMLSEHLADWVSEEDGKKIVFHTFSNTGWLCYGVILENLQRQDPSAMDKIKGCVVDSAPVAVPDSQVWASGFSAAIMKKHSVAAKGVKPNDARPDVLVVESNKDHPKPAVSEAILLSALEKLFDVVLNYPAINRKLSGVMELLSSKQPKCPQLYIYSSADRVIPAKSVESFVESQRRAGHEVRACDFVSSPHVDHYRSNPELYTSQLTEFMEDCVLARCQAKEEEEVTN >ONIVA06G31390.3 pep chromosome:AWHD00000000:6:29304239:29307636:1 gene:ONIVA06G31390 transcript:ONIVA06G31390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19970) TAIR;Acc:AT3G19970] MMASLHRPLSAMAVAAFAAVSSLELPDRLSHHKLPDTTVDAEAVVSIPASRPDVSAAPSASAMSRLHFLPRNLQTSHPAKAPPASLPVIHTVYHYAKFAKYYSEEEAVTTAMPSSSSPDVLYRWHLPDPKVCGDSHGKSQTVVVLLGWLGSRQKHLKRYADWYTSRGYHAVTFTLPMSDIVSYNVGGKAEKNVEMLSEHLADWVSEEDGKKIVFHTFSNTGWLCYGVILENLQRQDPSAMDKIKGCVVDSAPVAVPDSQVWASGFSAAIMKKHSVAAKGVKPNDARPDVLVVESNKDHPKPAVSEAILLSALEKLFDVVLNYPAINRKLSGVMELLSSKQPKCPQLYIYSSADRVIPAKSVESFVESQRRAGHEVRACDFVSSPHVDHYRSNPELYTSQLTEFMEDCVLARCQAKEEEEVTN >ONIVA06G31380.1 pep chromosome:AWHD00000000:6:29299245:29299769:-1 gene:ONIVA06G31380 transcript:ONIVA06G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSARSAAAKHAYRMFAPSRGAAARCPGSPGADEFDESDVWGSYGAAGVESSPTELGARGRAIPSARAGRKAPLDRAAGSLPVNIPDWQKILGVEYRDHQAAAAEWELQGDGDDDYEYGKVAGVGGVVIPPHELAWRGRAASLSVHEGIGRTLKGRDLSRVRDAVWKKTGFED >ONIVA06G31370.1 pep chromosome:AWHD00000000:6:29286186:29290311:-1 gene:ONIVA06G31370 transcript:ONIVA06G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal RNA processing 4 [Source:Projected from Arabidopsis thaliana (AT1G03360) TAIR;Acc:AT1G03360] MRDLQLSLNQTQRVRLEAALDELQTVAPAAAVTVADTIPVNDEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRTIFEENDVICAEVRGFQHDGSLHLQARSQKYGKLERGQLLVVPAYLVKRRKQHFHHLEQYDVDLILGCNGFIWTAEASVSSNIEINNMLGAEFYVQTAEREVKRRADLLRKKSGAR >ONIVA06G31370.2 pep chromosome:AWHD00000000:6:29286186:29290311:-1 gene:ONIVA06G31370 transcript:ONIVA06G31370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal RNA processing 4 [Source:Projected from Arabidopsis thaliana (AT1G03360) TAIR;Acc:AT1G03360] MRDLQLSLNQTQRVRLEAALDELQTVAPAAAVTVADTIPVNDEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRTIFEENDVICAEVRGFQHDGSLHLQARSQKYGKLERGQLLVVPAYLVKRRKQHFHHLEQYDVDLILGCNGFIWVGEHVVVGENANMMENKLNLSAEVENFTPLETRKHICRLANAVRVLSALGFTLTVELIIETAEASVSSNIEINNMLGAEFYVQTAEREVKRRADLLRKKSGAR >ONIVA06G31360.1 pep chromosome:AWHD00000000:6:29273380:29284699:-1 gene:ONIVA06G31360 transcript:ONIVA06G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20660) TAIR;Acc:AT5G20660] MACRLYTVTNKTVLYSPRIPPPCTGHSPASQSRIRRRPIPSLVNSLTGHSLPVFPPGRLVRGPEPRPILSLRPTASTHPPPRRPAARAAPPLPPHILHLRALSSGVVVVVVVAASSLPLGLRLSSSENPSVLSFTSIHAECLEGEALLSQPMRNPKLMKQSAQEDTFFIAGIVDGLPSWILFANLPLPLDAEQAGKRGFSEASALEHVKYLAALGPHPVGSDSIDLAVQYVYAVADKIKKTAHWDVDVQLELFHTDIGANRMAGGLFNGKTMLYSNLKHVILRVVPKYLPEAEDNLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHRWRNSVRFAIDLEAMGISGKSTLFQGTDHWALESFASVAKYPSAQIASQDVFQSGAIKSATDFQIYQEVGGLPGLDFAYTDRTSVYHTKNDKMKHLKPGSLQHIGENMLAFLLHAAASPKFMKDAIQAKQEGAEKTKAVFFDILGKYMVVYPQRLATMFHNSIIFQSLLIWGTSLLMGGRPGLVSFGISCLGIVLMLISSVTLSVVVAIALPHICSFPVTFVAHPWLVVGLFGSPALLGAFIGQHIGFIILKRHLKHVYSITKPGLAHNMLEHIVNLEAERWIFKSGFVQWLIVLILGTYLKVGSSYIALIWLVSPAFAYGLMEATLSPARSPKQLKVITLVLALAAPVVSSAGLVIRMVDVIIGSIVRIDRNPGGLPDWLGNVVVSVAIAIVICFTFVYLLSYVHISGAKRTLGFLLCIFFGLALALVSSGILPAFTEDIARSVNVVHVVDTTTVNSGNTEPSSYVTLFSNTPGKLTKELVDLRDEEFSCGRNRAIDFVTFTMKYGCLSYEGTNTGWSKSEVPVLSLKSDSVTNDARQTIISVDTKSSTRWSLAINKQEIDDFTVHVDSENLVPLGNKSEIDGWHTIQFAGGKDSPTKFQLTLFWASNSKDAFPKQVESEDHSFLLKLRTDVNRVTPKVGRVLEKLPGWCAPFGKSTSPYTLAFLTALPVNI >ONIVA06G31350.1 pep chromosome:AWHD00000000:6:29262713:29265682:1 gene:ONIVA06G31350 transcript:ONIVA06G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVW9] MLTFMELAGPTEGDGGGSVDSQLWAACAGSMSSVPPVGAAVYYFPQGHAEQASAAVDLSSARVPPLVPCRVVAVRFMADAESDEVFAKIRLVPLRPGDAVVDVGEAAAAEARREEENSRPRPTSFAKTLTQSDANNGGGFSVPRFCAETIFPELDYSSEPPVQSVCAKDVHGVEWTFRHIYRGTPRRHLLTTGWSPFVNKKQLTAGDSIVFMRDEGGNIHVGLRRAKRGFCSIGGDDESLSSIPGWDQYRGLMRRNATATATGGRTPPKGKVPPENVLTAATRATTGQPFEVLYYPRASTPEFCVRAAAVRTAMAVQWCPGMRFKMAFETEDSSRISWFMGTVAGVQASDPVRWPQSPWRLLQVTWDEPELLQNVKRVCPWLVELVSSMPNLHLPSFSPPRKKPRNPPYAELPLEGQIFTGPVFPPNPMAHDHHHHHGFPFLPFPDSSAQPAGIQGARHAQFASPFPEFHIGNLQPNLMLYAGIRLPPADRAAPAPRPRIIISTDLTIGSPRKPDDAACSPSSGGKKIDDTKPRGFLLFGQAILTEEQIKNGNSDGRPASPNWDAEKAPNTSEGSDSGVTQGSPTKNTTPSWSLPYFGGNNISRASEYELNPGQCKVFVESETVGRSLDLSALSSFEELYACLSDMFSIGSDELRSHLVYRSPAGEVKHAGDEPFCAFVKSARKLRILTDAGSDNLGD >ONIVA06G31340.1 pep chromosome:AWHD00000000:6:29241519:29245980:1 gene:ONIVA06G31340 transcript:ONIVA06G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVW8] MMDTDHTEIIKEGEAVVEAMALLQSRFRRICVFCGSSQGKKKSYQDAAVKLGKELVARNIDLVYGGGSVGLMGLVSQAVYNGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEEFISPSARHIIVLAPTPKELLEKLEAYSPRHDKVVPKMQWEMEKMSYCKSCEIPGLKEGNKATIQAQRGSML >ONIVA06G31330.1 pep chromosome:AWHD00000000:6:29225793:29228657:-1 gene:ONIVA06G31330 transcript:ONIVA06G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIGRKIHIYNVTVGLYMLDWWERYLFNILMLCLLWYILRSFRSVNLSHCDYGAKLPAAATSLAIRDGEPHRCRWEVAPLAIEGLARPSSPGSSAPSGFV >ONIVA06G31320.1 pep chromosome:AWHD00000000:6:29211612:29213847:-1 gene:ONIVA06G31320 transcript:ONIVA06G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVW6] MECENGHVAAAANGSSLCVAKPRADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTIEGASLTIAQVAAVASAGAARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGNGDDGHVLPAAATRAAMLVRINTLLQGYSGIRFEILETIATLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVAVTPDGRKVDAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANVLGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDVLKLMSSTFLIALCQAIDLRHLEENVRSAVKGCVTTVARKTLSTSATGDLHKARFCEKDLLQAIDREAVFAYADDPCSANYPLMQKMRAVLIEHALANGEAERNVDTSVFAKVATFEEDLRVALPREVEAARAAVENGTAAKANRITECRSYPLYRFVREELGTEYLTGEKTRSPGEEVNKVFVAMNQGKHIDALLECLKEWNGEPLPIC >ONIVA06G31310.1 pep chromosome:AWHD00000000:6:29161843:29163213:-1 gene:ONIVA06G31310 transcript:ONIVA06G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDQQRCHISRAPDHIRSSNPEHYKPLAFPVGPYHARSGVSAPEKAQRIKEQCVDEVVQLSGRSRHDLLEQMRSVLDRAREYYADEIGMDDEALAQMLLLDGCFVLVSLRGTERLKQLTPESTESLLSENSDDRCSDCSDQQGDEENQIAAANNGGGGGVDSWHHFNVARDLFLAENQIPFFVVQKIYELLVKNRPYAERGVVGAVEAYVREVMAVYADGAGAQAQPPAADHVHHLLHLSHMYLRPRTRRQSSRWSGGGATVGRLRRATQLRELMVRLKMLEIGGKAAPAGSILDVAFHGGVLEIPRLEIDGGTWRQMANLILLEQGSPHVGLYVTAYCAFMSQLAGTAEDVALLCESGVIEHQLGGDGDVADGLRRLCDGIIFDADDDAYNYLRPVYRAVEEHCRSRTLRLLCWVRGHANCPNPWLLLGIVAIITLLCFIVQQLQHATLRKNAT >ONIVA06G31300.1 pep chromosome:AWHD00000000:6:29154940:29161359:1 gene:ONIVA06G31300 transcript:ONIVA06G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILVENGTLTPGFIQFIVALTTLLMVIRFGLDALRHRSRGNVTQIVLATLDTVTHTMITYSLGIMQHRSATTSYYQLWAVLLVTLRYSVKIGRPAGIAMKQTPLFDLMSSFWAGHILRSHSVSMLLKVPGWLLWSINSARIIHGFISSADASNVHRENMRLLTDYMRHEHTTTVQVQRPDPSSMKGYRYLVLGEGKKLKKRELAEQGVDGVETTTEAINRILLALLTDENNIDQELVTLERIWSHQGRCSHDGCQCNLPPGCCDILDQKTKDLCLSFALYKLLRRRFFNLPIHEARLQKTRRLVVYGILGEGDSANYKRAFRVSEAEPWWMRCWVGNLARALMRMLLCSPPLFRRWHGKVGQFNLLFSRHSSIHLSQQVKEAVVDSLRNSVRQNLVLNNYLEQAISKNSLRIRLVRPSDNQEQEQAPQNSQADGGHRLFADEAGNANTTILRKGAELGMGLITAARSADSEALWKFLSDFWAGFVVHLAESTKASQHKMYLTAGGELSTHLWALLSHAGYLGATPHGDQTSDTVLQQLQPYNPDKVDGVCDKSNR >ONIVA06G31290.1 pep chromosome:AWHD00000000:6:29146465:29146890:-1 gene:ONIVA06G31290 transcript:ONIVA06G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKAALDNLTLLPPRLLESGCMREVEVEHDVGGGAGRLVGDGVVGVVEGDDGWSAPLVTAIESSISPLPRLLGRKESRWRSSSVTPTVALLLSNICCSLLIATTIYYADLHRRVSSFTTGLHHRLHITKGSLVLLLLPLR >ONIVA06G31280.1 pep chromosome:AWHD00000000:6:29131096:29142474:-1 gene:ONIVA06G31280 transcript:ONIVA06G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQREYPAAAPSSPDDGGGSPRSPTLSYATANGSTLPEEISIEVEAASSDSEEAGDELISNCQGPPQQRPHDPEDTRSGDNVRRRCRLYKVPEQICEADKREHEPSYVSIGPYHYRSEGLQARSNLWKEQCVSVVKSRLQYLNQDADLLLDKMKGIEDEVRMYYDDIRSSPFPDKGQAFCEMMMTDGCFLLITLALLSDENSSITVSSNWDNLFWWHDILLYANQLPFVVVRAIYQLIYPGMNGDVPLLEIGRYIKYGLDRYTKRKVSDPGNADHVLHMCHELLKPTDTDREADDHKLGRWRRATEYSELLVQFKERDLDSEGGNGDFQCISDVRVRARGRVVEIPKLQLNPESWMLLRNLMLLEQMNDHLGGHVTAYCNFISQVASTSADVSLLVRRGIIVHTEANHEMAAKKLSMLCDQIIYDQSKDYLKSDWHELDAHCRSWSWLLWAKLFLHKDWKNPLVTLGALAAIAILVCAIVQTWYTVKAYKDQNKHPGQS >ONIVA06G31270.1 pep chromosome:AWHD00000000:6:29127449:29127954:-1 gene:ONIVA06G31270 transcript:ONIVA06G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGYEDARTRWESSTLTVAGVAAAGEDLGDAVVYLLPGAVKVPSRRRDVGVVHDGEAAEEERHDSNGGASPAGIEWRHGGGGNGDGVDCFAVYFVEARKRGMAT >ONIVA06G31260.1 pep chromosome:AWHD00000000:6:29120343:29120543:1 gene:ONIVA06G31260 transcript:ONIVA06G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSTANMEADMNDKSEARDCVPRLTTPLTVTNPASFTPVNVRTKGSGDWSYVRLSIVQKCVPVP >ONIVA06G31250.1 pep chromosome:AWHD00000000:6:29099830:29103749:-1 gene:ONIVA06G31250 transcript:ONIVA06G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRNDHQAAAASSSRPAGGGSARSSTPPSYATNGATPAAGAMDIEAPNSEVAAGGRRPAADHWRSAMDALICNCLRPPPQPSPADLAILKLMADDWPMEKLKLADQQGTPCYEEGVGVQIWFHTHVTNDTSDNEIQVTPVKVTICSEGGDNYRCRLFGVPEHISRTDRAEHEPSYISIGPYHCRSKGLHVRSNQWKRDCKKHVIDRLESPKGEASLLEAMKEIEGEVRKYYDEIISSHVLHESGITFREMMVNDGCFLLITLQGLQVPGTDGIVWDNQLWWHDIFLYGNQLPFVVLRKIYQQLNLPADIENGQEDCPLGRISKVIKSGLTSYTNRTVSNPGNADHILHLCHELLKPTSSAEMPPPPASDNQQVRVWRRATEYSELLVEFKKREFGSEPGDAQCISDVRIVGRVVEMPKLELQPETWRLLRNLMLLEETNKQLGGHVTAYCTFISQLASTPADVGLLTKKGILVHLENSDEMAAKKLSVLCEQIDYATEDYLIKSVWYKLDSHCSSRWWLWRAKLRRYRDWNNPLVWLGVLAAFVLFLCAILQAAYSMLAYYKQGSQSRST >ONIVA06G31240.1 pep chromosome:AWHD00000000:6:29077123:29079639:-1 gene:ONIVA06G31240 transcript:ONIVA06G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPREIVQWFFLFLQTESAMLMRIEFLVVVIAVLYQLMSFLDLWRRRSRSSTMKYVLLILDAIADSTFLYTIGLMQNAPFKKDLFPVWALVLSNLRFSGCFISAYGIPDQENRRISEMSNVMALLGVAFLNSTRNSQFRHPIWALCAMLVRSFYLIYAYNIAVRSPLHGKSSMYVVMSSQVDHHRRPDESSEVNLNTMEGYRYPVCGDQNQKLKVKAPRYDFDLNIIDDDETTEPTRHSRRQRLFFGYFCRGKQWYKRAPTTLDRIWKRNEDLKNMTCEKDANLIKDMCLSFSLYRLLRCKFDDLSVDSNIAKKTKRLLWKIMEEDDDPKRTFRIIESELAFLNDYFYTRYPVLFFRGFPVMGSLHPVLTIAFTFWLGRDIHKVYRPRVGEIAHVVHGVNVDLIITWVFMGVVVVKELWKMLTYLLSDWTKVMVLCEYVAENMMWVPKLIRDKLVGLVCTPRFKIVQRWHRKIGQYDFLRAYVYNPWKRDIFFYMSLGIFPRGKKGVRLGKSVDLPVEVNHAILNSLHSLRFSKDSLEVNNDHVLPSVAKFLSRINQSATDQIGLQLLEEINETLRKQPTCTHTILVWHIATSLCEIDLAQHYNTRLTESEVLHSLKLAKSCFSTQQPYMIKVQRLECALRANYTVANSISRYCTYLLASVPDSIYRRLMREAVEPQDNNDGDEDDQTEDDGCKWSELPGVSCFLSCIKGIYRCFFPEKKGTGDGGQPSAIGDGDNGSRNGESGNAHTAINVGQEERNDESGGSGGGEGAVDAARHEKIITMGARLGRLLIDATKHDDVARWELLAG >ONIVA06G31230.1 pep chromosome:AWHD00000000:6:29069854:29072755:1 gene:ONIVA06G31230 transcript:ONIVA06G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKPAGDAEADERRRLRSLAFSNGLLQRGEPAAPRSALAPSTAVSRLQGRDIVRRGGQRKSRFLFSFPGLLAPAAAASGGRVGELILAPKILCSTSTSHRSGEDEAVGDACVPQEQVSDTADDVFESLIVFSEAWWIGTKEEENPQELKLDFPKEFQNDEAVADSDFKGGAGASCDEAVSINKPPKEPPQDPFPLRLNLTLILPRIQTLRTRITHKALVKHLQLKALKYTEISSGDDSSDNDDEIDVPEDMDEKMKSPAVKNESQSEDIKPADSSAQPISAKKEPLVQATLSSMFKKAEEKKGPAAKKQRASPEEKHPTGKKSAGRSQKRRKTQVEDDEIEVLSSSSQVVPSSQLILTAYDRITTWTMIAMRTGLSDVQLKLKGM >ONIVA06G31220.1 pep chromosome:AWHD00000000:6:29060247:29062299:1 gene:ONIVA06G31220 transcript:ONIVA06G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVV6] MAGRQQLVVVGMVVVMGMMQWTGGAAARHHHHHHKKSYEEVFDRQEADRVQRLPGQPAELGFRQFAGYVTVNETHGRALFYWFFEAASDVATKPLVLWLNGGPGCSSLGYGALEELGPLLVNNNDTLTINPESWNKEANLLFVESPAGGHDLYIAGESYAGHYVPQLATKILHFNKKKKEHDDDDRIINLKGIMIGNAAIDSSSDDRGLVEYAWDHAVISDEIYAAIKGNCTFPDDGNETDKCNTAWNGFFTAMGDIDIYSLYTPSCTAALNGTTTITNGTRSRFADKVLRLRRGLPYNTYNPCVDYRVIDYLNRGDVQAALHANVSGGIPYSWAPCSDALTNWTDAPPSTLPDIAALVRAGLRVWVFSGDTDDRVPVTSTRYALRKLKLKTVRPWKQWFTSDQVGGYTVLYDGLTFVTIRGAGHMVPMITPVQARQLFAHFLAGDDMPANPILAPTPP >ONIVA06G31210.1 pep chromosome:AWHD00000000:6:29056590:29058470:1 gene:ONIVA06G31210 transcript:ONIVA06G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHPLSDDFDASPVLSPPPPPSSCCPPAHDDHYLEHQVSRMDTLPGLAIKYGISDIKRANSLMTDSQMFAHKILLIPLPGRPMPSSVRLNGSGQKMKRAWAPNNQQNRDVTDSLDSSKYNSSKQQMSLAMSTLQSYYGLTPQNGAMTDAGTEMSLYSKGSLERINSETLVTSSRLPDTHNTDRSRNSEDTSNGFSATNGASGAKINGTAKAKQDGSIRRRQKVEADQVSNTTDTQDDVFTDPIKMTKSLLPRPISSIRQNMDTSNPESSLKSNGSFLSGFRSVRKSPSTPNFADAENGISMWSSSAWTFNHESFTRPLLDGLPKPTAPRRTKAALD >ONIVA06G31200.1 pep chromosome:AWHD00000000:6:29051175:29053286:1 gene:ONIVA06G31200 transcript:ONIVA06G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isopentenyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT5G20040) TAIR;Acc:AT5G20040] MRRMMMLLLPTAAVSGGELPPSNKVVFILGGQSNMAGRAGVISQCGMQYGCRRPAVWKRSWSPAAAAATKNKVIVISGPTGAGKTRLALDLAKRLSGEIISADSVQVYRGLDIGSAKPSSSDRAAVPHHLIDILHASDDYSAGDFFHDARAATDHLLARARVPIVAGGTGLYLRWYIYGKPSVPQSSMDVTSAVWSELSRFRDTGRWEEAVDLVANAGDPKARDLSVNNWSRLRRSLEIIRSSGSPPSAFSLPYNAYNLNHHRRLSLTNQADQPTELELDYDFLCIFLACPRVELYRSIDLRCEEMLADTGGLLSEASWLLDIGLSPGMNSATCAIGYRQAMEYLLQCRHNGGSSSPQEFLEFLTKFQTASRNFSKRQMTWFRNEKIYQWVDASQPFDAIAQFICDAYHDRAARLVPDSLEMKRESCRHESRDLKTYRSENRVFRGDDDCCHVLDWITRTQRK >ONIVA06G31190.1 pep chromosome:AWHD00000000:6:29041901:29044736:-1 gene:ONIVA06G31190 transcript:ONIVA06G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II stability/assembly factor, chloroplast (HCF136) [Source:Projected from Arabidopsis thaliana (AT5G23120) TAIR;Acc:AT5G23120] MATTASLHLHLHLLLSSSRRRCRLLVPRAHTDSISTGRRRFIADTATASAAAAVGPLVLPRTPLARADQPPSLSEWERVLLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKNGGNTWFPRSIPSAEDEDFNYRFNSVSFMGKEGWIIGKPAILLHTSDAGDSWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGSGITEDFEEASVQSRGFGILDVGYRSKDEAWAAGGSGVLLKTTNGGKTWVRDKAADNIAANLYSVKFLGDNKGYVLGNDGVLLRYVG >ONIVA06G31190.2 pep chromosome:AWHD00000000:6:29041901:29044736:-1 gene:ONIVA06G31190 transcript:ONIVA06G31190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II stability/assembly factor, chloroplast (HCF136) [Source:Projected from Arabidopsis thaliana (AT5G23120) TAIR;Acc:AT5G23120] MATTASLHLHLHLLLSSSRRRCRLLVPRAHTDSISTGRRRFIADTATASAAAAVGPLVLPRTPLARADQPPSLSEWERVLLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKNGGNTWFPRSIPSAEDEDFNYRFNSVSFMGKEGWIIGKPAILLHTSDAGDSWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGSGVRTLFSSSLNDAHAISYLHPPNQITEDFEEASVQSRGFGILDVGYRSKDEAWAAGGSGVLLKTTNGGKTWVRDKAADNIAANLYSVKFLGDNKGYVLGNDGVLLRYVG >ONIVA06G31180.1 pep chromosome:AWHD00000000:6:29035347:29040978:-1 gene:ONIVA06G31180 transcript:ONIVA06G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYALEVTDRRPQSVPHTRCSTLVDHNTNISFSKGHLIHKPENRNITFATISIGHNSDQKLVPFLPNILQDINKRSCFASGAAGTEIIQFPQPIILYWLDCPNEKNMHDQVDHSKPFSSGSPPPGSTLRQRQHRRKIKKSLTALPPSNVLVNNSSRSVTAPVRSDSDILHDDGKPPRKSPKKKGNNKKGKHYRRAVCKGLNLPSETHCEENIDAASPVEVLTDLLADKLSETSSSASSLVKEAHFGEENGGDSGCTGSSNRTVGEIFSCKDVHYLNDESNNYERSLCARVSNSNDATAYSLFKKLERDNSVNCSVNDEVRDSCHPIGAHLSITHAEDSNDSFGCSSCCSKNVADSSNHTERVKCSSEACSSKTSLPVIPGRSRTRLKEISSYSLTATNGVIGTNKNKHSGKYSSTSVWQKVEKLNVENTSRAGCMVDSAIQNKDVLENINKVAQHNLTRSMKINQRRKACNQHSPDERIEMEHAKENDALNSCQAFSRYKYKKQTSVLYQQTSLSSDQGTSQSSGNYHAPKNGIVMVPKNHLQQKDGLPMMQLVYDKDSSVDTGVGSNGSADGDGSSQSGFEKAALASCNMGSYLVPQDTCEECTSTMQADPHYSSTENKAISTNSNSRNLCADPSPAEMDERCYVKLTMENTPQECPKLYSATGKQCKLYSAAAHVSQKWVAVGKKNILHFDGSETSAVDSSVLTNCIPISANIGIETNVSSVLASANNEVNKLAAEISDKPNSSGHLDLRCQPHTDTGTDFNKMREAVCDAYRAQQRVEDVQVIIGRPLADFEQFISSASPVLYCSTCPADRNFCSPEWVRDGLCFHQSTDITLSRIWQWYEEPCCYGLEVKAQDFRRSKGLWNSPHQFTTYFVPYLSAVQLFGQAKRASTGRVDKEAAGMDVTSKTSPCPSSLPILTKLLPQQLRETTSSSDLYTKGDQQFGNGELIFEFFESEQPFWRRQLFDKVKELISGVKPSSCQISGDPKNLELSLCDLHPASWYCVAWYPIYRIPDGKFQAAFLTYHSLGHWIVQGASEQADDSRVVLPVTGLESYNDKGEWWFEVGRCSGEEDAAESREEESVWREASEVVKERVRTLKEAATVMSRAKVVMSNRSNRHPDHDFFLSRQLYQEPRKNH >ONIVA06G31170.1 pep chromosome:AWHD00000000:6:29034254:29035592:1 gene:ONIVA06G31170 transcript:ONIVA06G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSKSKTNNGFFVPSPATAMAPCFLLLLIFFFLHVDAAAAAASSSHPQLVKRARSLLQAPKIDCQGTCSGRCANNWKKEMCNKMCNVCCNRCNCVPPGSGQDTRHLCPCYDTMVNPHNGKLKCP >ONIVA06G31160.1 pep chromosome:AWHD00000000:6:29020644:29029146:1 gene:ONIVA06G31160 transcript:ONIVA06G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRPRLPGFGEDCEPRGGGRGGGGGGGRGRGSYYPQAQRYHPQGHGGRGGAGYYHGAAPQPRGAMVVQQWRPATAAAEHLGHQQPYNSSVRPQHYYGPSAIAPELLQAMDAPHEPPANVSSPEAASPEASSPRSLALEVTEQLQDLSVQYQLSESQEEIVQHVPVSTKSFKFPHRPGSGSIGTRCLVKANHFFAQLPDKDLHQYDVSITPELTSRIRSRAVMEELVRLHKMSYLGGRLPAYDGRKSLYTAGPLPFTSKEFRISLLEEDDGSGSERRQKTYNVVIKFAARADLHRLEQFLAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLGEGLETWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVIQLLNTDIRSRPLSDAERVKIKKALRGVKVGVTHRGNMRRKYRISGLTSQATRELTFPVDQGGTVKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQNQIRALLEETCQRPHDRERDIIQMVNHNSYHEDPYAKEFGIKISERLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGRVRSWICVNFARNDFALEPVLPSMYARPDQVERALKARFHDAMNILGPQHKELDLLIGLLPDNNGSLYGDLKRICEIDLGLVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIDDLYNITHDPHRGPICGGMVRELLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSMASGRGGGSSTSRSTRAAGGGAVRPLPALKDSVKNVMFYC >ONIVA06G31160.2 pep chromosome:AWHD00000000:6:29020644:29029146:1 gene:ONIVA06G31160 transcript:ONIVA06G31160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRPRLPGFGEDCEPRGGGRGGGGGGGRGRGSYYPQAQRYHPQGHGGRGGAGYYHGAAPQPRGAMVVQQWRPATAAAEHLGHQQPYNSSVRPQHYYGPSAIAPELLQAMDAPHEPPANVSSPEAASPEASSPRSLALEVTEQLQDLSVQYQLSESQEEIVQHVPVSTKSFKFPHRPGSGSIGTRCLVKANHFFAQLPDKDLHQYDVSITPELTSRIRSRAVMEELVRLHKMSYLGGRLPAYDGRKSLYTAGPLPFTSKEFRISLLEEDDGSGSERRQKTYNVVIKFAARADLHRLEQFLAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLGEGLETWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVIQLLNTDIRSRPLSDAERVKIKKALRGVKVGVTHRGNMRRKYRISGLTSQATRELTFPVDQGGTVKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQNQIRALLEETCQRPHDRERDIIQMVNHNSYHEDPYAKEFGIKISERLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGRVRSWICVNFARNDFALEPVLPSMYARPDQVERALKARFHDAMNILGPQHKELDLLIGLLPDNNGSLYGDLKRICEIDLGLVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIDDLYNITHDPHRGPICGGMVRELLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSMASGRGGGSSTSRSTRAAGGGAVRPLPALKDSVKNVMFYC >ONIVA06G31150.1 pep chromosome:AWHD00000000:6:29007954:29010971:-1 gene:ONIVA06G31150 transcript:ONIVA06G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITLLRSASLPGLSDALARDAAAVQHVCSSCLPSNNKEKKRRWILCSLKYACLGVDPAPGEIARTSPVYSSLTVTPAGEAVISSEQKVYDVVLKQAALLKRHLRPQPHTIPIVPKDLDLPRNGLKQAYHRCGEICEEYAKTFYLGTMLMTEDRRRAIWAIYVWCRRTDELVDGPNASHITPSALDRWEKRLDDLFTGRPYDMLDAALSDTISKFPIDIQPFRDMIEGMRSDLRKTRYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFNGVVTNKWRSFMKRQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKAKKLLALPVAYGRSLLMPYSLRNSQK >ONIVA06G31140.1 pep chromosome:AWHD00000000:6:29005388:29006062:1 gene:ONIVA06G31140 transcript:ONIVA06G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSSSTSTTSPASSRPSSSRPPAAPAQCSSISPRISSSRCSCRPGTCTCACRDTSPGYRSHRPPTCSTKSSVSSATPRNPSSTSVGGCSASGDELRCFVELTGIPLTTTLMGIGNFPSDGPLSLRMLGMHGTVYANYAVDNTDLLLAFGVRFDDRTNRQNRGIREEGKLTTAGGGDGGERRRHPLLSAREPQPPASDGRERGGERERDREKRYLTGGPYPFF >ONIVA06G31130.1 pep chromosome:AWHD00000000:6:28989341:29004298:-1 gene:ONIVA06G31130 transcript:ONIVA06G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSNGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSRLNGAMIIIAWNGGTPSDIFDVGVFKQVLSIFITAAVLKLGQAILDIVFGWKARRSMSFAVKLRYVLKLISSSAWVVILPVTYAYTWDSPTGLARIIKSWLGNGQNQPSLYILAVVIYLAPNMLAAMLFLFPFLRRILESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTVSFYIEIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMGSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTILEILLALRFFVYQYGLVYHLNITKHTRSVLTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >ONIVA06G31130.2 pep chromosome:AWHD00000000:6:28989341:29004298:-1 gene:ONIVA06G31130 transcript:ONIVA06G31130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSNGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSRLNGIIGMDYISSPVLQCNAKKKKYLLGVLILWVPISRLVANAGEQIRRRILESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTVSFYIEIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMGSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTILEILLALRFFVYQYGLVYHLNITKHTRSVLTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >ONIVA06G31130.3 pep chromosome:AWHD00000000:6:28989341:29004298:-1 gene:ONIVA06G31130 transcript:ONIVA06G31130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSNGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSRLNGENRSAGNVHWMGKINFVEIRSFWHIFRSFDRIRILESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMGSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTILEILLALRFFVYQYGLVYHLNITKHTRSVLTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >ONIVA06G31130.4 pep chromosome:AWHD00000000:6:28989341:29004298:-1 gene:ONIVA06G31130 transcript:ONIVA06G31130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSNGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSRLNGIIGMDYISSPVLQCNAKKKKYLLGVLILWVPISRLVANAGEQIRRRILESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMGSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDDGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTILEILLALRFFVYQYGLVYHLNITKHTRSVLTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >ONIVA06G31120.1 pep chromosome:AWHD00000000:6:28982140:28984558:-1 gene:ONIVA06G31120 transcript:ONIVA06G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLEEKDSSDLAINKGPSLDLVKSPLMMNDASATVTAMQPNEGMEEFPVKVRKPYTITKQREKWTEEEHDKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGSNNAIEIPPPRPKRKPLHPYPRKCANSGSDANPATAQLKLAPGSSSSGSDQENGSPISVLSAMQSDAFGSSVSNPSTRCTSPASSDDGNNIPTFTSGEDNNVPCEPTVIDPSQSHKEIDQDRKDVNNMSEEDSSEEEVQETSLKLFGRTVVIPDPRKRSSSDPKHESEEQISQPSNEEMLQASSSVGEIPAAYCAPNGWFMSYNSFPFQFGESAADARIPPLHVWWPYYGFAPISHPRGLSTVMQQTEGSDESDGGKSHSSESSSDSGENVQMTAPQSSRIVESLGAIYVRDSGSSFELKPSANSAFVRVKPSNSGDEEVIRGFVPYKRCKFQ >ONIVA06G31110.1 pep chromosome:AWHD00000000:6:28969496:28977663:1 gene:ONIVA06G31110 transcript:ONIVA06G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT1G21630) TAIR;Acc:AT1G21630] MEAAFDAYFRAADLDRDGRISGQEAVAFFKASALPQPVLAQIWTYADKNRTGFLGREDFYNALRLVTVAQSGRELTPDIVRSALYGPAAAKIPAPRINVSTPLPNATSVTSPLQPTQAPRPAQQSPAIQGSQGPLSTSLNPQVLQPGNVVRPPQASIANTPAQAIAPRAPAGSVPNHTVPATTGLSTDWFNGKKSASPLGVTSQTPTRGVSPQVNLATAGIPTQSSTPIAGYGSHTPASTTSVKANSADLNLLSSPPAANDSKALVPLGNGLSSASTFGVDPFAATPQAKQDSSSPPVVSNSLPSANALGPSAGPHHPPKPLQTGPMQGVASLPSQPAPKQNQFNSMPSAPAPMGSFPGGQIPSNTNQSQAPWPKITQADVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPRELLRKVWDLSDQDKDGMLSFREFCTAVYLMERHREQRPLPDVLPDGIWAEGISLPSTGQFAENPTGPAPHPSAGFTSRAMPGQHHGMPPSSMKPPPRRPLSLDADDAVRTEKQKPKIPVLEEHLTGQLSKEEQSALDAKFKEASDADKKVQELEKEILDSREKTEFYRTKMQELILYKSRCDNRFNEVLERMSADKREVQSLAAKYDERCKKVGDVASKLSMDEATFREIQEKKLEIYNAIVKLQKGDGNDEKLQERANQIQSDLEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQETAAVWDEEWDKFGDDGFSTIKELTVEMEPPVVQKDQPTVEDSKVSTNGPSAPTSTEKEDSRGDKSAAASEQTVEPDATPSDSKTVAAKSPPVSPVKNTKDGHSDERDKKQSGTNDTSSRAVESVSNNGGADSPVHGEKRDDSHYWGPSFDNGDDNDSLWNFNRKDGENGDSDLLFGPQGLPPIRTGGSSTAGSVYGKEQKPFFDSVPGTPVEKPFFDSVPGTPLQKSVFDYSVPSTPMQKSVFDYSVPSTPLQKSLFDSVPSTPMQKSVFDSVPSTPMQNSLFDSFPSTPMQRSLFDSGPSRAESPTASSIYGKEQRGFFDSSVPSTPMYNSSFSPRYSEAGDDSSFDTFSQMDSFGMNDSNSFGQRDSFSRFDSFRSNADQGSNDTFGRFDSFRSNADQGGGNSFTRYDSMNSSSDHDRTDAFARFDSMKSTDYNSRGYSFDEDDPFGTGPFKSSDTSSPTKHGTDRWSAF >ONIVA06G31100.1 pep chromosome:AWHD00000000:6:28964394:28967612:1 gene:ONIVA06G31100 transcript:ONIVA06G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDLNTHLPPRKRLLAGLRMAAPPCADAPPPALLTADLAARLRHMMASSSSPDDIVQAARSAASTAAQAAAAARATAAEKAAVAAKARAAARAAMEFLDSISRPASSRNGLQLKLKSRKKHVQVKLLYKPNGRLEEGRGGPPTTGDASSKPRRRGRETDEEVARKLHRAMNSSPRISFTGPKRPRTIAAENGGVLDACNGSSPHPPTFEVSTITNGCSLGQSSELPVPFSEREGLDDDNKDSSGHDTTKSRAIVGNGVGAGNLSAGRKVKIKRKELLLNQHNSKDTQEAKEIKPSIDSIRYDESKQNGAEKRLNQLVDAKDPGDGLAPMKISSVWRFKKFKTSHCSSDSKVLHNVCPSTSAAETSASVKADYSAEVQCW >ONIVA06G31090.1 pep chromosome:AWHD00000000:6:28959923:28961344:-1 gene:ONIVA06G31090 transcript:ONIVA06G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRWMSAARRTRVWRADATHGSGGAEADDAQRVDAEASPSLVRPTQAGEPRSGQKNTLTKKKKKGKK >ONIVA06G31090.2 pep chromosome:AWHD00000000:6:28959923:28961344:-1 gene:ONIVA06G31090 transcript:ONIVA06G31090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRWMSAARRTRVWRADATHGSGGAEADDAQRVDAEASPSLVRPTQAGEPRSGFAKFRKKKKKGKK >ONIVA06G31090.3 pep chromosome:AWHD00000000:6:28958972:28961344:-1 gene:ONIVA06G31090 transcript:ONIVA06G31090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRWMSAARRTRVWRADATHGSGGAEADDAQRVDAEASPSLVRPTQAGEPRSVQIAEEMAGTAAFNLQRGHRARRQLGEILLKQMQQRK >ONIVA06G31080.1 pep chromosome:AWHD00000000:6:28953943:28961357:1 gene:ONIVA06G31080 transcript:ONIVA06G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEEPNPHKDDMSQGKFRLIHTWKKWKKTSEWLMETLLVVVVGPEAPRNSLDSPLYDHTTITMTSTMRQKPPKGSTPQDRTTAKNVIHKDIFPSQPSVIARLMGIDTIPVSAKRDEVMIHAEEVSNLKLPSKLEMITVTSPRSATFRQSKCSLISYGSSSVDYTYRHCLKKMRPRRSRSRQHHPQEELLEKIREDFQAWQTSKALENARTVVTASGCPTITSSRHRMEEGRYIQILAQENLHKEKMAKYGYGSCTISMAEKDTLKNATDNSSDTEITSAKAAAESNISPGDKVIKVLRVSHCATMPDKFRDLEDEHNNSISTSAKPRSQKRIVLLKPSTCDIVASDQESLFSSSKVKREGNMEEFLEEVKERLKKELKLKSKSEVVRRSWGTTDPKQIARDIAKQIRETVRRQDLGKRLYSRSESFRAFRSDRKRNAAARNASPEHVSPKSVTSRTSGTNQGSNDCSPPIIRRSRGRIRSLTDMPLSVSVSESVPASGFDDQSYTGECKFADADVVSPRALVRSFSAPASGISRGRLFAEEDNNVDSGRHGNSDAVSEGAAVAASKYSSSFSLRGTVSNLRNSLRSRANKLFGKKTHWSLKPSLGEFHPHKMAISMLPPSPPEILSPFIVAQASTVLHLSKSNLYFRPDLERIRITFFCTMDSLPLLHLFQENFTELPPSPVSPLEVKGSSSRHFFSDLNCNLPELSPKSWSEYDTTPRASNESSSCKNRTNATETEESYTEMAYIKQVLIAAGLYEDGSSYSSPSMMNNAWVDSMARRPICDYVFDEVEETYNTEEDAADHRMLFDLANEALEITMMGSTKTGSSLWRWVVDSTGVSPGRKLLVDVWQQVQSVRNPPVQQETQTVESMVAREAWTSPWIEVLHEDSYVLGRKLERAIFDQLIADIVQELFISQNAAD >ONIVA06G31070.1 pep chromosome:AWHD00000000:6:28949128:28951775:1 gene:ONIVA06G31070 transcript:ONIVA06G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGPGGGPAPRVIAGRGVAGAKRRRSVRKFKLGGGGRGPWRISGIADMPLTWLGSSSSYPLSSQPRFLLFSSLVVWVCPCLPSPSSSPFPSSLPPSHKRERERESARLSPGEDSAMKGAKSKGAAKPDAKLAVKSKGAEKPAAKGRKGKAGKDPNKPKRAPSAFFVFMGFSFDLVSLREEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLTEADKAPYVAKANKLKAEYNKAIAAYNKGESTAKKAPAKEEEEDDEEESDKSKSEVNDEDDDEGSEEDEDDDE >ONIVA06G31070.2 pep chromosome:AWHD00000000:6:28949288:28951775:1 gene:ONIVA06G31070 transcript:ONIVA06G31070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKGAAKPDAKLAVKSKGAEKPAAKGRKGKAGKDPNKPKRAPSAFFVFMGFSFDLVSLREEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLTEADKAPYVAKANKLKAEYNKAIAAYNKGESTAKKAPAKEEEEDDEEESDKSKSEVNDEDDDEGSEEDEDDDE >ONIVA06G31060.1 pep chromosome:AWHD00000000:6:28943998:28945620:1 gene:ONIVA06G31060 transcript:ONIVA06G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF23) [Source:Projected from Arabidopsis thaliana (AT4G37420) TAIR;Acc:AT4G37420] MQARRRHARQCRLVVAGLIIVTTLLFFTGDAPRVFIDAPTQNQLPRRLPLSLAAVREAATWPADAVLLPDWEVLLLLHPNATAIAHNATCAFQGGASSPARALGRLPSSGRHAYTCAMPEPARRHQPFHAPRIVAMDAVHASPHDDDELVMMVKWSGRLVYDSVVVDGGDVLVFAKGVNPRQGVNRPASDVRCVYYRGRGGSADDVVASLPAATSAQQVFRCPPPPPAALLRVTLALAGEEEPIPSVATYSLPPASAAATHKRRHKICACTMVRDVGKFVREWVAYHAAVGVGRFILYDNGSEDDLDEQVRRLTAEGMDVTTLAWPWPKTQEAGFSHSAAVHRDACEWMAFIDVDEFIFSPNWATAASPSSSMLRSIVAVKPDVGQVSLGCVDFGPSGRTTHPPEGVTQGYTCRRRAVERHKSLLRLEAAERSLVNSVHHFELREGKRGEWNRRARVNHYKFQAWDEFRLKFRRRVSAYVADWTHRVNLQSKDRTPGLGFDPVQPAGWAAKFCEVNDTLLRDVTRRWFAAAGESQLQAAR >ONIVA06G31050.1 pep chromosome:AWHD00000000:6:28912599:28938395:1 gene:ONIVA06G31050 transcript:ONIVA06G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGVIILSLYQTSSEYKVQEVYMMLYIVVIFKGVFICQFNKLWVVHSILMALSAAVLGFFIGISFPVQITPKLEYCAFLIPCGTNTNSSSSASNISILNKYMSLWAAPFSVRNSTTANFSSNATISGPKEKSKIEAEAVQVSRKKAAEERLPPGIVVRESDLHLRRLWGNPRSDVASGKQYLLTMSVGYTEKANVNATIHKLSDKFDIVLFHYDGRTSEWEEFEWSKKVVHVSARKQAKWWFAKRFLHPSIVAAYEYVFVWDEDLGVDNFTAEEYISIVRKHALDISQPGLDGTKGRRQYPVTVRRPSGDMHNSGRFVEVMAPVFSRDAWACNDLVHGWGLDFNFWRCVHEPEKHIGVVDAQFVVHRGVPTLVSQGNGEQDGSSAKLSKSRSKMKAASSIFTALSAAVFGFFIGISFPVEITPKLQYCAFLPCDGTNTNSSSSSDSNNNMLNFWAPSVRNSTSAPSNATISGNGTTTAAAAVAKKPQGAERLPPGIVVRDSDLHLHRLWGHPTSDVASGKQYLVTLTVGYTEKDNINATVHKLSDKFDIVLFHYDGRTTEWEEFEWSKKVVHVSAKKQTKWWFAKRFLHPSIVAPYEYIFLWDEDLGVDNFTAEEYVKVAKKNGLEISQPGLDSTRGKKTYEVTVRRNDGGEMHKFVEVMAPVFSREAWTCNDLVHGWGLDFNFWRCVDNPEEQIGIVDAQYVSHHGVPTLIAQGNGEQQGSSEKVRARQWAEMRTFHDRISNAEKKLGDSSQALEEYHP >ONIVA06G31050.2 pep chromosome:AWHD00000000:6:28912599:28926548:1 gene:ONIVA06G31050 transcript:ONIVA06G31050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGVIILSLYQTSSEYKVQEVYMMLYIVVIFKGVFICQFNKLWVVHSILMALSAAVLGFFIGISFPVQITPKLEYCAFLIPCGTNTNSSSSASNISILNKYMSLWAAPFSVRNSTTANFSSNATISGPKEKSKIEAEAVQVSRKKAAEERLPPGIVVRESDLHLRRLWGNPRSDVASGKQYLLTMSVGYTEKANVNATIHKLSDKFDIVLFHYDGRTSEWEEFEWSKKVVHVSARKQAKWWFAKRFLHPSIVAAYEYVFVWDEDLGVDNFTAEEYISIVRKHALDISQPGLDGTKGRRQYPVTVRRPSGDMHNSGRFVEVMAPVFSRDAWACNDLVHGWGLDFNFWRCVHEPEKHIGVVDAQFVVHRGVPTLVSQGNGEQDGSSAKLSKSRSKMKAASSIFTALSAAVFGFFIGISFPVEITPKLQYCAFLPCDGTNTNSSSSSDSNNNMLNFWAPSVRNSTSAPSNATISGNGTTTAAAAVAKKPQGAERLPPGIVVRDSDLHLHRLWGHPTSDVASGKQYLVTLTVGYTEKDNINATVHKLSDKFDIVLFHYDGRTTEWEEFEWSKKVVHVSAKKQTKWWFAKRFMHPSIVAPYEYIFLWDEDLGVDNFSAEEYISIARKHGLGISQPGLDATKGKRSRYTATARRPAGDMHTSGRFVEVMAPVFSRDAWACNDLVHGWGLDHNFWRCVDEPEEHIGVVDAQFVVHRGVPTLISQGNGEQEGSSAKVRSRQFDEMRTFYRRIADAEKAQADATAAAADHHR >ONIVA06G31050.3 pep chromosome:AWHD00000000:6:28926081:28938395:1 gene:ONIVA06G31050 transcript:ONIVA06G31050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLTRFSYKEAVRMRANPIVTALSAAVFGFFIGISFPVQITPQLQCGLLPCSSGDGANYSFSGSSMIGILWSPFRNTTILSNGTSENPALTKPKGAEKLPPGLVVTESDLHMRRLWGSPREDVATGKYLLALAVGYSEKANVNATVLKFSDKFDVVLFHYDGRTTEWEDLEWSKQAVHVSAKKQTKWWFAKRFLHPSIVAPYEYIFLWDEDLGVDNFTAEEYVKVAKKNGLEISQPGLDSTRGKKTYEVTVRRNDGGEMHKFVEVMAPVFSREAWTCNDLVHGWGLDFNFWRCVDNPEEQIGIVDAQYVSHHGVPTLIAQGNGEQQGSSEKVRARQWAEMRTFHDRISNAEKKLGDSSQALEEYHP >ONIVA06G31040.1 pep chromosome:AWHD00000000:6:28901919:28909718:-1 gene:ONIVA06G31040 transcript:ONIVA06G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASAIDSFFFTKRANNENDDDDAAPGMSASKRTTSSTTTGKLSTLSNSTFIPSTISGVSTDDAYPDGQILESPNLRIFTFAELKNATKNFRTDTVLGEGGFGKVYKGWVDERTMNSSKSSTGVVVAVKKLNPESVQGTEQWESEVNFLGRISHPNLVKLLGYCKDNDELLLVYEFMAKGSLENHLFRRGAVYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSNFNAKLSDFGLAKHGPDGGLSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLSGLRALDPSRPSGKLNLVDWAKPLLADRRKLSQLMDSRLEGQYHSRGALQAAQLTLKCLSGDPKSRPSMKEVVEALEKIELIKSKSREPRNSSSLFYTSDEIQCRSFKNNEIQCSAMKKGMDDADKTIAYTDQDGRIKLFKVTMTEFLSSSIWKNPLQPKDTQPLAQTVFRRKRKEDRTQELLQVDREAELNMRNVATDRSRNFSNKVRASYNIWRPGFHHTNTDSTLRLMKDQIIMAKVYATIAHSQKQPDLYVLLMTCIKQSQEGIGDAHMDYKLDLSALERAKAMGHALSSARDVLYNSGEVSRRLRVMLQSTELNIDSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYHFRDGVVKEYFRDAALKEEEDKAKREDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDRLNFAAMTMWFISNPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDLKPAKKVSIASTPNFSHPKISENFDPHACGWAFGMNMFDLKEWKKQNITGIYHYWQDLNEDRKLWKLDTLPPGLITFYNLTYPLNRTWHVLGLGYDPSVDLVEIENAAVVHYNGNYKPWLDLAISKYKPYWSKYVDLDNSHIQRCYMSEQ >ONIVA06G31030.1 pep chromosome:AWHD00000000:6:28897438:28902489:1 gene:ONIVA06G31030 transcript:ONIVA06G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVS7] MDPYKHRPSSGSNSTFWTTNSGAPVWNNNSALTVGERGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPSPKTNMQENWRIVDFFSHHPESLHMFSFLFDDVGIPLNYRHMEGFGVNTYTLINKDGKPHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLTDSIAAGNYPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAYHNNHHDGSMNFMHRDEEVNYFPSRFDAARHAEKVPIPPRVLTGCREKCVIDKENNFKQAGERYRSFDPARQDRFLQRWVDALSDPRITHELRGIWISYWSQCDASLGQKLASRLNLKPNM >ONIVA06G31020.1 pep chromosome:AWHD00000000:6:28889325:28889879:-1 gene:ONIVA06G31020 transcript:ONIVA06G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVFVHHLGGGSGGDDPTHPWLSLKSSHEMDDAVASWREKLADMAAADERTGRYPCPLCDRHFPTEKAVHGHMRSHPGRGWRGMEPPREPSPGDLALAADGKRYRYVCDRCKAPFETRQALGGHRASHSTKKGCSWHAKQLAMAKPPKNDFDLNHLSLEAIQAAAQEEQAAQEGNKDEEPKN >ONIVA06G31010.1 pep chromosome:AWHD00000000:6:28877523:28879500:1 gene:ONIVA06G31010 transcript:ONIVA06G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVS5] MLRMGAAVAEAEPSGRQLSDGDLLEELLSTANAARAFHEFRQSQRKECFNLLRWLQLLLPLVQELRESAPALSDDAYRRLALLGRAFQAARRLLRCCHDGSKIYLTLESEAVMGRFRGVYEKMNMALEGMPYAELGVSDEVKEQVELISAQLKKRSKKRTETQDMELAMDLMMILQSKEQDANNADRPILDRLAKRLQLQSLADLRAETMAIKKLINDHQSDSTNQIVDLLHRLKAIAGVDEKNILGDVFIPKYLEKCPSLMIPNDFLCPISLEIMTDPTYERRSIQKWLDAGQRTCPKTQQPLGHLSLAPNYALKNLIMQWCDKNKVEIHSGDPPPEPPEDPKVVIPTLVKDLSSPNLDVQRKAVKKIRTLSKENPENRLLVTDNAGIPALIGLLPYPDKKMQENTVTSLLNLSIDEANKLLIARGGAIPLIIDVLRNGSVEGQENSAAALFSLSMVDENKVAIGTLGGIPPLVDLLQNGTVRGKKDASTAIFNLMLNNGNKLRAIEAGILPTLLKLLDDKKAAMVDEALSIFLLLASNPTCRGEVGTEHFVEKLVQIIKEGTPKNKECAVSVLLELGSSNNALMAHALGFDLHDHLADIAKNGTSRAQRKANSLIQLARKCS >ONIVA06G31000.1 pep chromosome:AWHD00000000:6:28873986:28874432:1 gene:ONIVA06G31000 transcript:ONIVA06G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGAKHKEFEKEGWSMTRKAARSSGISKQQWRLAWPPLNGGYGILRERWKDHGQRLRRLLDLAKPATTHRVVVGIAISLGYFNTNQCDGGAIYLDE >ONIVA06G30990.1 pep chromosome:AWHD00000000:6:28868823:28871335:-1 gene:ONIVA06G30990 transcript:ONIVA06G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMRSENFNQGVSMEGVKHAPEMANTNRRALRDIKNIIGAPHQHMAVSKRGLLDKPAAKNQSGHRPMTRKFAATLANQPSSAPLAPIGSERQKRTADSAFHGPADMECTKITSDDLPLPMMSEMDEVMGSELKEIEMEDIEEAAPDIDSCDANNSLAVVEYVDEIYSFYRRSEVHYKLELLDETLFLTVNIIDRFLARENVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRTDILEMERMIVNTLQFDMSVPTPYCFMRRFLKAAQSDKKLELMSFFIIELSLVEYEMLKFQPSMLAAAAIYTAQCTINGFKSWNKCCELHTKYSEEQLMECSKMMVELHQKAGHGKLTGVHRKYSTFRYGCAAKSEPAVFLLKSVAL >ONIVA06G30980.1 pep chromosome:AWHD00000000:6:28863547:28866845:-1 gene:ONIVA06G30980 transcript:ONIVA06G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSSVCRVQFQAVEYYRAFGGRT >ONIVA06G30970.1 pep chromosome:AWHD00000000:6:28860745:28863378:-1 gene:ONIVA06G30970 transcript:ONIVA06G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEQLYSVFRSYAPPIWASITAGIFVITSLSLSLFLLFNHLSAYKNPEEQKFLVGVILMVPCYAVESYISLVNPSISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKREGSSGSDVPLLDHETGQRYVNHPFPMNYMLKPWPLGEWFYLVIKFGLVQYVIIKTICAILAVILESFGVYCEGEFKWNCGQSWALYCLVQFYAAIKDELAHIKPLAKFLTFKSIVFLTWWQGVVIALLYNWGLLRGPIAQELQFKSSIQDFIICIEMGVASIAHLYVFPAKPYEMMGDRFIGGVSVLGDYASVDCPLDPDEVKDSERPTKTRLPQPGDRVRCSTGIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKLHRISQNIKKHEKEKKKTNDDSCINSQQSLSRVISGIDDPLLNGSLSDNSGQKKSRKHRRKSGYGSAESGGESSDQGLGGYEIRGHRWITRE >ONIVA06G30960.1 pep chromosome:AWHD00000000:6:28852051:28859303:-1 gene:ONIVA06G30960 transcript:ONIVA06G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSSSAPAPLLPSLADRPSPGIAGGGGNVRLSVVSSPRRSWPGKTEALSPFFYAGGNFLTCGVCLPSRGIAATAPIFASSLVPPLVADGATIQYLHRPLLVVRKPPQVNLTIVHNSKVKTNFSVPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFILMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKVVPKYINYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTEYIDVEATSGETISGGWKGSEKDDCGKKGMKFVFRSSDEDCK >ONIVA06G30960.2 pep chromosome:AWHD00000000:6:28851472:28859303:-1 gene:ONIVA06G30960 transcript:ONIVA06G30960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSSSAPAPLLPSLADRPSPGIAGGGGNVRLSVVSSPRRSWPGKVKTNFSVPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFILMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKVVPKYINYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTEYIDVEATSGETISGGWKGSEKDDCGKKGMKSSQKEKWYHHSEH >ONIVA06G30960.3 pep chromosome:AWHD00000000:6:28851472:28859303:-1 gene:ONIVA06G30960 transcript:ONIVA06G30960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSSSAPAPLLPSLADRPSPGIAGGGGNVRLSVVSSPRRSWPGKVKTNFSVPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFILMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTEYIDVEATSGETISGGWKGSEKDDCGKKGMKSSQKEKWYHHSEH >ONIVA06G30960.4 pep chromosome:AWHD00000000:6:28852051:28858031:-1 gene:ONIVA06G30960 transcript:ONIVA06G30960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHYMKPPQVNLTIVHNSKVKTNFSVPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFILMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKVVPKYINYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTEYIDVEATSGETISGGWKGSEKDDCGKKGMKFVFRSSDEDCK >ONIVA06G30960.5 pep chromosome:AWHD00000000:6:28852051:28858031:-1 gene:ONIVA06G30960 transcript:ONIVA06G30960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHYMKPPQVNLTIVHNSKVKTNFSVPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFILMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTEYIDVEATSGETISGGWKGSEKDDCGKKGMKFVFRSSDEDCK >ONIVA06G30960.6 pep chromosome:AWHD00000000:6:28852051:28858031:-1 gene:ONIVA06G30960 transcript:ONIVA06G30960.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHYMVKTNFSVPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVSTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFILMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKVVPKYINYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTEYIDVEATSGETISGGWKGSEKDDCGKKGMKFVFRSSDEDCK >ONIVA06G30950.1 pep chromosome:AWHD00000000:6:28847252:28848130:-1 gene:ONIVA06G30950 transcript:ONIVA06G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRSRSTAAGGEVEVEQLPGFRFHPTEEELLEFYLKQVVQGKKLKFDIIPTVHLYRHDPRELPGLARIGEREWYFFVPRDRKQATGGGGGGRPSRTTERGFWKATGSDRAIRCAADPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDAAAIPDTMQLQMQHDDMVLCKVYRKAVSLKELEQRVAMEELARSTTSSGTHNTGSPLQQDSSSISISSSSDAMKKEVVGVDEASAAAHELVRPATLSLPQLEVARPQSGLEWMQEPFLTQLRSPWMETWSPYYASVLNF >ONIVA06G30940.1 pep chromosome:AWHD00000000:6:28843575:28844546:1 gene:ONIVA06G30940 transcript:ONIVA06G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAVVVVATAFAVVAVRGEQCGSQAGGALCPNCLCCSQYGWCGSTSAYCGSGCQSQCSGSCGGGGPTPPSGGGGSGVASIVSRSLFDQMLLHRNDQACAAKGFYTYDAFVAAANAFPSFATTGDAATRKREVAAFLAQTSHETTGGWATAPDGPYSWGYCFKEENNGNVGSDYCVQSSQWPCAAGKKYYGRGPIQISYNYNYGPAGQAIGSNLLSNPDLVASDATVSFKTAFWFWMTPQSPKPSCHAVMTGQWTPNGNDQAAGRVPGYGVVTNIINGGVECGHGADSRVADRIGFYKRYCDMLGVSYGANLDCYNQRPFNS >ONIVA06G30930.1 pep chromosome:AWHD00000000:6:28839704:28840666:1 gene:ONIVA06G30930 transcript:ONIVA06G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALALAVVAMAVVAVRGEQCGSQAGGALCPNCLCCSQYGWCGSTSDYCGAGCQSQCSGGCGGGPTPPSSGGGSGVASIISPSLFDQMLLHRNDQACAAKGFYTYDAFVAAANAYPDFATTGDADTCKREVAAFLAQTSHETTGGWPTAPDGPYSWGYCFKEENNGNAPTYCEPKPEWPCAAGKKYYGRGPIQITYNYNYGPAGQAIGSNLLNNPDLVASDATVSFKTAFWFWMTPQSPKPSCHAVITGQWTPSADDQAAGSVPGYGEITNIINGGVECGHGADDKVADRIGFYKRYCDMLGVSYGDNLDCYNQRPYPPS >ONIVA06G30920.1 pep chromosome:AWHD00000000:6:28839690:28844528:-1 gene:ONIVA06G30920 transcript:ONIVA06G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVVAVQVGAVADAQHVAVALVEPNPVGDSAVRAVAALHAAVDDVGHHAVARHAPRRLVVAVGRPLPCHHRVARRLGRLRRHPEPERRLEGDGGVRRHQVRVAQQVGADGLPRRAVVVVVGDLDGSPAVVLLAGGARPLRLGLAVCGGVAVVLLLEAVAPGVGAVGRRGPAAGGLVGRLRQEGGDLALAGVGVAGGGEVRVGVGGGDEGVVGVEALSGARLVVAVEQHLVEERGRYDGGDAAAATAGGRGRAAAAAAGALALAAGAAVIRGGAAPAVLAAAEAVGAERAAGLAAALLAAHGHHRHGHHRESESSHGGR >ONIVA06G30910.1 pep chromosome:AWHD00000000:6:28825993:28829453:-1 gene:ONIVA06G30910 transcript:ONIVA06G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCSISSASHLLITASLPKPSLRPPRLPHPKPLPAALLALAAAAPTLPALADVPAPPPSPTQDVQVLEAPSPAANPFSNALLTAPKPTSSAAADLPEGAQWRYSEFLSAVKKGKVERVRFSKDGGLLQLTAIDGRRATVVVPNDPDLIDILATNGVDISVAEGDAAGPGGFLAFVGNLLFPFLAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFVDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVEKAYSRATQIITTHIDILHKLAQLLMEKETVDGEEFMSLFIDGQAELFVA >ONIVA06G30900.1 pep chromosome:AWHD00000000:6:28819788:28828171:1 gene:ONIVA06G30900 transcript:ONIVA06G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLESHVSPGQFAKSTGSSCAYIIMAPSFGRSISFPLSPARSFKPRSAAAACHVRSISLPCRSHPLLSHLQSHIAAVRSWLLQDHGDASASASVSAGLAHIHALHAALADLLLLPDPQDALRRSTAAADRLLDAFLLLADAHQGFHEALLDLTHHVADARAALRRSDAARLASALRSQRRAEKEIARLASTVSAAAAATKYSSRLGLGATAEETEMTAALMDAATASAAASAAVFTAAASMSSAAASSCSCKKTPAFAAFAKKASPETAQVALDRFEELEQCIDESESSCHKDIRNCSRMDATTMSVPPPSPASRTTTMRRPFAAGHVRSASVPCHSHPLLTHVDDQLLALRSWTSNPGQNPLSLAHVRALLCVLDELLLHLPLAASTDRLLHGFLLLADAFGTFLSALLALRQHAAELHAAVRRRDHPKIASAARAQRQLDKDLAHLASAVARDASRCARATTTVPSCDSHHGTGATELEVARTVAEAINDTAVASASVFMEVASLADAAAAAAAAPATKKRLPPLMHSSSRSKNKQASYEEKREAMALEKLKQLEQCIGELESESEKNNIY >ONIVA06G30890.1 pep chromosome:AWHD00000000:6:28806837:28811352:1 gene:ONIVA06G30890 transcript:ONIVA06G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFARSISFPLSPSRSSSKHSSPPATPGYHARSISLPCRSHPILAHLHTHIRAVRSWAHDPTSVASGLAHLDALHAALGELLDLPEAQAALSAANDRLLDAFLRLADAHGSFQETVVALKQDVAEALAAIRRRDGARLASAVRSQRKAGKELARLAAAARDGARPSRLGLGGSAAEVEVTGLLMESAAVTAAASATLFNTVASMSASASAAACSCRKTAALVCLIKKTSASSEEEKETMALVERLEELEECIDELDNGSDKVPARSSSKPRTRTTGHLRSISLPCRSHPLLSNLQATIAAVRSWLFDPATPATGLAHLHALHAALADLLLLPDTRAASSLLDAFLLLADAHGAFQESLLHLRHHAADVQAALRRRDAARLSSAVRSQRQAHKDLARLAASVRGAATKWPAQLPSSATVAEVEVSGVLADAMAAIASASAAVFSAVETMSTMATAAAASTCSSSSSSSSKTPLLISLVRKKNSKSAAAVPDEEKEMAASERMEELEECMAAMESGNDRVFRTILHTRVALLNTHATLIN >ONIVA06G30880.1 pep chromosome:AWHD00000000:6:28799511:28802165:1 gene:ONIVA06G30880 transcript:ONIVA06G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSWVCVILGMVMMTILMVMDTSMRVHRRLIKPTAS >ONIVA06G30870.1 pep chromosome:AWHD00000000:6:28797550:28798721:1 gene:ONIVA06G30870 transcript:ONIVA06G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGDVHFCHRATAVVALLLLHLVVVANAAAHSCDWCTPRHSTVSILPTPTHAAHLTGKSSPQLPTLPMESIYLRMHSGACGFGAAPMELNVAAVTADLFRHGHACGACYQLRCRDRRLCGEDGVKVVVADMAKQPEQEGEMNRTAGGSLQFRITEDAFAAMAKQGVSAHELTRQRTLEVDFRRIPCEYRESRRLAVRVEEASRNPTHLAIRFLYQGGQTDIAAVEIAQANATPPSSSYYSSWRYMTRRDGAPGVWTTSRAPAGPLRLRVVVTAGSGGKWLRSDGEVLPADWRPGEVYDTGLRVTDVAVRSCSLSCAIQDMDSDDGEEEELR >ONIVA06G30860.1 pep chromosome:AWHD00000000:6:28788530:28797541:1 gene:ONIVA06G30860 transcript:ONIVA06G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWTYGPICILFISNHAGGELCVNKEMFCSREALRWPARKKRRLRHVQSIASITSLSVVNLPMPLLTSSSSGGGGFGSGWEYI >ONIVA06G30850.1 pep chromosome:AWHD00000000:6:28786823:28787188:1 gene:ONIVA06G30850 transcript:ONIVA06G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYSTLSVSVYTYREGGGEFGDEGGKAATSAGVAERFCCCWAVAKTNMSLLTTPRRKKERARWAATARVPTLDTMAALVASMLRSCVDSGMHFPANSISAHN >ONIVA06G30840.1 pep chromosome:AWHD00000000:6:28786291:28787853:-1 gene:ONIVA06G30840 transcript:ONIVA06G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMMSSATVRPWLMMMMISIVTLLFQVQQLAGAQLQRQVAAVFVLGDSTLDVGNNNYLPSKDVFRANKPYNGIDYPASKPTGRFSNGYNVADFIAMKLGFKKSPPAYLSLLQGPAAAANLTLAIKALTGGVSFASGGAGVLDSTYAGKCIPLSTQLRSMEATRAAMVSKVGTRAVAAHLARSFFLLGVVNNDMFVFATAQQQQNRSATPAEVAAFYTTLITKFSAALTELYEMGARKFGIINVGLVGCVPLVRAQSPTGACSDDLNGLAAGFNDALASLLSDLAARLPGFAYSIADAHAAGQLAFADPAASGYTSVDAACCGSGRLGAEEDCQVGSTLCADRDKWAFWDRVHPSQRATMLSAAAYYDGPAQLTKPINFKQLARTTA >ONIVA06G30830.1 pep chromosome:AWHD00000000:6:28779752:28781434:-1 gene:ONIVA06G30830 transcript:ONIVA06G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMKKKSVGLGRLSLMISMVQVLGAVGGGGVHPSKMRLVPAVYVLGDSTLDVGNNNHLPGKDVPRANKPYYGIDFPGSKPTGRFSNGFNAADYVAKNLGFDKSPPAYLVLKARNYLVPAALVMGVNYASAGAGILDSTNTGRSIPLSKQVVYLNSTRAEMVAKAGSGAVSDLLAKSFFLFGVGSNDMFAFAAAQQKLNRSATPSEVEAFYTSLISNYSAAITELYGMGARKFGIINVGPVGCVPSVRVANATGGCNDGMNQLAAGFDAALRGHMSGLAARLPGLAYSIADSYALTQLTFADPGAAGYANADSACCGGGRLGAEGPCQRGAALCGDRDRFVFWDSVHPSQQANKLGAKAYFHGPPQFTSPINFNQLANYNS >ONIVA06G30820.1 pep chromosome:AWHD00000000:6:28774985:28776933:-1 gene:ONIVA06G30820 transcript:ONIVA06G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARVSLPSVLLTLRHGATTQGFAAARPAAVVAKRLLGSVRCGGAAEALGADMAVPRSVPVRVAHELQQAGHRYLDVSLIIVLDLCRTEGEFAGGHPVGAVNIPYMYKTGSGLTKNTHFLEKVSTTFGKEDEIIVGCQSGKRSLMAASELCSAGFTAVTDIAGGFSAWKENELPTNK >ONIVA06G30810.1 pep chromosome:AWHD00000000:6:28767232:28777263:1 gene:ONIVA06G30810 transcript:ONIVA06G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGQVSPVPATATAPRKVRREHMRLGVYHDVLQRLRDAGAPEALAPDFAEKLWTHFHRFNASYAMDVNVERAEDVLMHMKLLEKATHPENQPAFSVRIVQVPLDIDASEADSQSNITEDDNCPTPRTPAEHPAPIFGSTTALKALVRQASSKNLLDDNQDIDAILRPMHEITFASDDKPKGLTQLSSLLGNLNLDIKEVHALSTNDGYFLDIFIVIGWDHKETQLLEEALEKEIHNYEPQMPSKSSCWPPELSGKQSLINSQVNHVQIPKDNTDEWEINFDVLDIQEKVASGTYRGTYFGEDVAIKVLKSDRLNENMQEEFNEEVFIMRFVIRTLFDFLGHAPNPQPYVLSQLIKVADFGVARVKAESGIMTAETGTYRWMAPEVIEHKPYDSKADVFSFGVVLWELLTGKIPHEFLTPLQAAIGVVQEGLRPVIPKATDPKLALLLESCWQQNAVNRPDFVQILQKLDEIAGEHGIDLTHPHKEKEKGGFFTFGKVH >ONIVA06G30810.2 pep chromosome:AWHD00000000:6:28767232:28777263:1 gene:ONIVA06G30810 transcript:ONIVA06G30810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGQVSPVPATATAPRKVRREHMRLGVYHDVLQRLRDAGAPEALAPDFAEKLWTHFHRFNASYAMDVNVERAEDVLMHMKLLEKATHPENQPAFSVRIVQVPLDIDASEADSQSNITEDDNCPTPRTPAEHPAPIFGSTTALKALVRQASSKNLLDDNQDIDAILRPMHEITFASDDKPKGLTQLSSLLGNLNLDIKEVHALSTNDGYFLDIFIVIGWDHKETQLLEEALEKEIHNYEPQMPSKSSCWPPELSGKQSLINSQVNHVQIPKDNTDEWEINFDVLDIQEKVASGTYRGTYFGEDVAIKVLKSDRLNENMQEEFNEEVFIMSVYDYLHKRKGSFKLPSLLKAALIKVADFGVARVKAESGIMTAETGTYRWMAPEVIEHKPYDSKADVFSFGVVLWELLTGKIPHEFLTPLQAAIGVVQEGLRPVIPKATDPKLALLLESCWQQNAVNRPDFVQILQKLDEIAGEHGIDLTHPHKEKEKGGFFTFGKVH >ONIVA06G30810.3 pep chromosome:AWHD00000000:6:28767232:28777263:1 gene:ONIVA06G30810 transcript:ONIVA06G30810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGQVSPVPATATAPRKVRREHMRLGVYHDVLQRLRDAGAPEALAPDFAEKLWTHFHRFNASYAMDVNVERAEDVLMHMKLLEKATHPENQPAFSVRIVQVPLDIDASEADSQSNITEDDNCPTPRTPAEHPAPIFGSTTALKALVRQASSKNLLDDNQDIDAILRPMHEITFASDDKPKGLTQLSSLLGNLNLDIKEVHALSTNDGYFLDIFIVIGWDHKETQLLEEALEKEIHNYEPQMPSKSSCWPPELSGKQSLINSQVNHVQIPKDNTDEWEINFDVLDIQEKVASGTYRGTYFGEDVAIKVLKSDRLNENMQEEFNEEVFIMRLLIKVADFGVARVKAESGIMTAETGTYRWMAPEVIEHKPYDSKADVFSFGVVLWELLTGKIPHEFLTPLQAAIGVVQEGLRPVIPKATDPKLALLLESCWQQNAVNRPDFVQILQKLDEIAGEHGIDLTHPHKEKEKGGFFTFGKVH >ONIVA06G30800.1 pep chromosome:AWHD00000000:6:28749707:28751589:1 gene:ONIVA06G30800 transcript:ONIVA06G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEQAFLEELFSLRRDAWEYNAMGDFFSPACAAMDGFQERHQSTTTVSVLPTFTASYEQPPPAPAAGFDCLSEVYGNAAAAFGPNAGGEYGGGGDMGFLDVVEPKASMVVDGGGLGVCKVEPGLQAEGGFSAAAAAPASKKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIRQLQEEIEEQQQQETPGVLSVFRELNPNEMLARNTPKVAASIHCWIYNEEDDGINGVCEVQFDVERKEGGDTRVEIYCAAKPGLLLSTVSTLETLGLDIQQCVVSCFNDFGMHASCSEMQRERMSADMIKQELFKNAGYGGGCL >ONIVA06G30790.1 pep chromosome:AWHD00000000:6:28749832:28765833:-1 gene:ONIVA06G30790 transcript:ONIVA06G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANFSSHIQELRELIAASSTTTSTSAPASVHFEVKLREVLPNLLRDYVVPSSPTADGREATAVLKLLSYTAGKFPGVFFHGRAADVIRVIGRVLPFFAEPNFRSRHEIIFDTVWSLLSLLRTGDREAYRQFFLDVMVAVQDVLYVVASMHGDRPSGVLTERYLVKCLCGSFSDILDSPGIFSDLPDSCQPKNGPGVLVDLTGETRWRPFATMLIKLVNKCLADGTLYVEGLVNMPFVSAACSIICYGDESLHKVCFDFARIVATVITVEILPVENIIRSIMCILSQDVNGLSDIRDADYDFSMGACLHALHSSCPGYIVAITASDIVNVFQRAVHTSRSSELQVAMCNAYKRIVELCSPRVWKPEILLKLLCLPKPCAKLIECIRLVVDKSGQSFLSSDDRDDGSSLLAKSEGLDLPKVGQKRIALDEENSFPKRLKMTEPRFSSGSFMVDELSAGVGQELEKDHGCDFRVQLYSLINCLSPDNHMAYPLEPAIAIQVLSLLCLSLSVYPKTNLFSRISKQVLSWIPWICKQTTKICMFSFDVSLYFEAVQTVMLLQSFLPGHTKLFEDEPLLIGNGCTDFEYPRYADLINLLKLVSDDGYLTSQTCSEKLKCLAVQIIAKIGSRQNAECDLQVLELAIQSETGELQNEALMSLPIIVLYSGPRMLGAMFRKLETIGTLGCKKLWKSIAISLGFLSCLNGTTDCTDKVGNHCKLFLAKHCEQPILTLNLLRGFWCPQCDVRTVHIEDQVPIVDIALSEDKNIDFKINMFKAHSLFFKFLYAETSEECIVSIVEVLPRILKHSSRDVLLDMKFQWVQCVDFLLLHEMKAVRDAFSSVVSCFLETNAMDILFSDGTGMSGGTSRVKFMDKIKSAFTEAEDPQILLTLLESTAAIVKASDIHGEVFFCSFVLLIGQLGNHDYIVRVTALRLLQRCCTYCFKGGLELFLSKYFHVRDNLYDYLSSRLLTHPVVISEFAESVLGVKTEELIRRMVPSIIPKLIVSHQNNDQAVVTLNELASHLNRTDSKEIFSAALPTLLDEIICFPGESDQIETDRRMAKISPTIQNIARILIGNDNLPEFLKNDFVRLLNSIDKKMLHSSDVNLQKQALQRIRKLVEMMGPYLSTHAPKIMVLLIFAIDKETLQMDGLDVLHFFIKRLAEVSCTSIKYVMSQVVAAFIPSLERCRERPLVHLGKIVEILEELVVKNIILLKQHIRELPLLPSLPSLSGVNKVIQEARGLMTLQDHLKDAVNGLNHESLNVRYMVACELNKLFNDRREDITSLIIGEDIADLDIISSLIMSLLKGCAEESRTVVGQRLKLVCADCLGALGAVDPAKFKVMSCERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLSGCQSLPNESSSCKMSKRGQKLWGRFSSYVKEIIAPCLTSRFHLPSVNDATLAGPIYRPTMSFRRWIYYWIRKLTSHATGSRSGIFGACRGIVRHDMPTAIYLLPYLVLNVVCYGTPEARQSITEEILSVLNAAASESSGAIVHGITGGQSEVCIQAVFTLLDNLGQWVDDLKQEIALSQSNYAMAGRQGGKLRDESNSMYDQDQLLVQCSNVAELLAAIPKVTLAKASFRCQAHARALMYFESHVREKSGSSNPAADCSGAFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSTLQDQLIINEKAGNWAEVLTLCEQSLQMEPDSVHRHCDVLNCLLNMCHLQAMIAHVDGLVYRIPQSKKTWCMQGVQAAWRLGRWDLMDEYLAEADKGLVCRSSENNASFDMGLAKIFNAMMKKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLRELEDFNSLLGDESFLEKPFAADDPKFLKLTKDWENRLRCTQPSLWAREPLLAFRRMVYNLSHMNAQAGNCWLQYARLCRLAGHYETAHRAILEADASGAPNAHMEKAKYLWNIRKSDSAIAELQQTLLNMPADVLGPTVLSSLSSLSLALPNAPLSVTQASKENPDVSKTLLLYTRWIHYTGQKQSNDIKSLYSRVADLRPKWEKGFFCIAKFYDDLLVDARRRQEDKKIASGVGPVPPSSTGSLTTATEEKPWWDMLPVVLIQYARGLHRGHKNLFQALPRLLTLWFEFGSIYIQDGSSFNKPMKEVHIRLLGIMRGCLKDLPPYQWLTVLSQLISRICHQNIEVVKLVKCIVTSILREYPQQALWMMAAVSKSTVAARRDAAAEILQSAKKGSRRVSEHPTIAGIADDAEILNSLQKPKKVVFIGSDGISRPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRQILQDIYITCGKFDRMKTNPQIKKIYDQLQGKMPEEMLKAKILPMFPPVFHKWFLTTFSEPAAWIRARAAYAHTTAVWSMVGHIVGLGDRHGENILLDSTTGDCIHVDFSCLFDKGLLLEKPEVVPFRFTQNMVDGLGITGYEGVFVKVCEITLSVLRTHKEALMTVLETFIHDPLVEWTKSHKSSGVEVRNPHAQRAISNITERLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHSNLGKMYEAVKVGRTLTVVVDW >ONIVA06G30790.2 pep chromosome:AWHD00000000:6:28749832:28765833:-1 gene:ONIVA06G30790 transcript:ONIVA06G30790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANFSSHIQELRELIAASSTTTSTSAPASVHFEVKLREVLPNLLRDYVVPSSPTADGREATAVLKLLSYTAGKFPGVFFHGRAADVIRVIGRVLPFFAEPNFRSRHEIIFDTVWSLLSLLRTGDREAYRQFFLDVMVAVQDVLYVVASMHGDRPSGVLTERYLVKCLCGSFSDILDSPGIFSDLPDSCQPKNGPGVLVDLTGETRWRPFATMLIKLVNKCLADGTLYVEGLVNMPFVSAACSIICYGDESLHKVCFDFARIVATVITVEILPVENIIRSIMCILSQDVNGLSDIRDADYDFSMGACLHALHSSCPGYIVAITASDIVNVFQRAVHTSRSSELQVAMCNAYKRIVELCSPRVWKPEILLKLLCLPKPCAKLIECIRLVVDKSGQSFLSSDDRDDGSSLLAKSEGLDLPKVGQKRIALDEENSFPKRLKMTEPRFSSGSFMVDELSAGVGQELEKDHGCDFRVQLYSLINCLSPDNHMAYPLEPAIAIQVLSLLCLSLSVYPKTNLFSRISKQVLSWIPWICKQTTKICMFSFDVSLYFEAVQTVMLLQSFLPGHTKLFEDEPLLIGNGCTDFEYPRYADLINLLKLVSDDGYLTSQTCSEKLKCLAVQIIAKIGSRQNAECDLQVLELAIQSETGELQNEALMSLPIIVLYSGPRMLGAMFRKLETIGTLGCKKLWKSIAISLGFLSCLNGTTDCTDKVGNHCKLFLAKHCEQPILTLNLLRGFWCPQCDVRTVHIEDQVPIVDIALSEDKNIDFKINMFKAHSLFFKFLYAETSEECIVSIVEVLPRILKHSSRDVLLDMKFQWVQCVDFLLLHEMKAVRDAFSSVVSCFLETNAMDILFSDGTGMSGGTSRVKFMDKIKSAFTEAEDPQILLTLLESTAAIVKASDIHGEVFFCSFVLLIGQLGNHDYIVRVTALRLLQRCCTYCFKGGLELFLSKYFHVRDNLYDYLSSRLLTHPVVISEFAESVLGVKTEELIRRMVPSIIPKLIVSHQNNDQAVVTLNELASHLNRTDSKEIFSAALPTLLDEIICFPGESDQIETDRRMAKISPTIQNIARILIGNDNLPEFLKNDFVRLLNSIDKKMLHSSDVNLQKQALQRIRKLVEMMGPYLSTHAPKIMVLLIFAIDKETLQMDGLDVLHFFIKRLAEVSCTSIKYVMSQVVAAFIPSLERCRERPLVHLGKIVEILEELVVKNIILLKQHIRELPLLPSLPSLSGVNKVIQEARGLMTLQDHLKDAVNGLNHESLNVRYMVACELNKLFNDRREDITSLIIGEDIADLDIISSLIMSLLKGCAEESRTVVGQRLKLVCADCLGALGAVDPAKFKVMSCERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLSGCQSLPNESSSCKMSKRGQKLWGRFSSYVKEIIAPCLTSRFHLPSVNDATLAGPIYRPTMSFRRWIYYWIRKLTSHATGSRSGIFGACRGIVRHDMPTAIYLLPYLVLNVVCYGTPEARQSITEEILSVLNAAASESSGAIVHGITGGQSEVCIQAVFTLLDNLGQWVDDLKQEIALSQSNYAMAGRQGGKLRDESNSMYDQDQLLVQCSNVAELLAAIPKVTLAKASFRCQAHARALMYFESHVREKSGSSNPAADCSGAFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSTLQDQLIINEKAGNWAEVLTLCEQSLQMEPDSVHRHCDVLNCLLNMCHLQAMIAHVDGLVYRIPQSKKTWCMQGVQAAWRLGRWDLMDEYLAEADKGLVCRSSENNASFDMGLAKIFNAMMKKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLRELEDFNSLLGDESFLEKPFAADDPKFLKLTKDWENRLRCTQPSLWAREPLLAFRRMVYNLSHMNAQAGNCWLQYARLCRLAGHYETAHRAILEADASGAPNAHMEKAKYLWNIRKSDSAIAELQQTLLNMPADVLGPTVLSSLSSLSLALPNAPLSVTQASKENPDVSKTLLLYTRWIHYTGQKQSNDIKSLYSRVADLRPKWEKGFFCIAKFYDDLLVDARRRQEDKKIASGVGPVPPSSTGSLTTATEEKPWWDMLPVVLIQYARGLHRGHKNLFQALPRLLTLWFEFGSIYIQDGSSFNKPMKEVHIRLLGIMRGCLKDLPPYQWLTVLSQLISRICHQNIEVVKLVKCIVTSILREYPQQALWMMAAVSKSTVAARRDAAAEILQSAKKGSRRGSDSNALFMQFPSLIDHLIKLCFHPGQPKARAINISTEFSSLKRMMPLGIILPIQQALTVTLPSYDTNMTDQSTFRPFSVSEHPTIAGIADDAEILNSLQKPKKVVFIGSDGISRPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRQILQDIYITCGKFDRMKTNPQIKKIYDQLQGKMPEEMLKAKILPMFPPVFHKWFLTTFSEPAAWIRARAAYAHTTAVWSMVGHIVGLGDRHGENILLDSTTGDCIHVDFSCLFDKGLLLEKPEVVPFRFTQNMVDGLGITGYEGVFVKVCEITLSVLRTHKEALMTVLETFIHDPLVEWTKSHKSSGVEVRNPHAQRAISNITERLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHSNLGKMYEAVKVGRTLTVVVDW >ONIVA06G30790.3 pep chromosome:AWHD00000000:6:28746667:28765833:-1 gene:ONIVA06G30790 transcript:ONIVA06G30790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANFSSHIQELRELIAASSTTTSTSAPASVHFEVKLREVLPNLLRDYVVPSSPTADGREATAVLKLLSYTAGKFPGVFFHGRAADVIRVIGRVLPFFAEPNFRSRHEIIFDTVWSLLSLLRTGDREAYRQFFLDVMVAVQDVLYVVASMHGDRPSGVLTERYLVKCLCGSFSDILDSPGIFSDLPDSCQPKNGPGVLVDLTGETRWRPFATMLIKLVNKCLADGTLYVEGLVNMPFVSAACSIICYGDESLHKVCFDFARIVATVITVEILPVENIIRSIMCILSQDVNGLSDIRDADYDFSMGACLHALHSSCPGYIVAITASDIVNVFQRAVHTSRSSELQVAMCNAYKRIVELCSPRVWKPEILLKLLCLPKPCAKLIECIRLVVDKSGQSFLSSDDRDDGSSLLAKSEGLDLPKVGQKRIALDEENSFPKRLKMTEPRFSSGSFMVDELSAGVGQELEKDHGCDFRVQLYSLINCLSPDNHMAYPLEPAIAIQVLSLLCLSLSVYPKTNLFSRISKQVLSWIPWICKQTTKICMFSFDVSLYFEAVQTVMLLQSFLPGHTKLFEDEPLLIGNGCTDFEYPRYADLINLLKLVSDDGYLTSQTCSEKLKCLAVQIIAKIGSRQNAECDLQVLELAIQSETGELQNEALMSLPIIVLYSGPRMLGAMFRKLETIGTLGCKKLWKSIAISLGFLSCLNGTTDCTDKVGNHCKLFLAKHCEQPILTLNLLRGFWCPQCDVRTVHIEDQVPIVDIALSEDKNIDFKINMFKAHSLFFKFLYAETSEECIVSIVEVLPRILKHSSRDVLLDMKFQWVQCVDFLLLHEMKAVRDAFSSVVSCFLETNAMDILFSDGTGMSGGTSRVKFMDKIKSAFTEAEDPQILLTLLESTAAIVKASDIHGEVFFCSFVLLIGQLGNHDYIVRVTALRLLQRCCTYCFKGGLELFLSKYFHVRDNLYDYLSSRLLTHPVVISEFAESVLGVKTEELIRRMVPSIIPKLIVSHQNNDQAVVTLNELASHLNRTDSKEIFSAALPTLLDEIICFPGESDQIETDRRMAKISPTIQNIARILIGNDNLPEFLKNDFVRLLNSIDKKMLHSSDVNLQKQALQRIRKLVEMMGPYLSTHAPKIMVLLIFAIDKETLQMDGLDVLHFFIKRLAEVSCTSIKYVMSQVVAAFIPSLERCRERPLVHLGKIVEILEELVVKNIILLKQHIRELPLLPSLPSLSGVNKVIQEARGLMTLQDHLKDAVNGLNHESLNVRYMVACELNKLFNDRREDITSLIIGEDIADLDIISSLIMSLLKGCAEESRTVVGQRLKLVCADCLGALGAVDPAKFKVMSCERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLSGCQSLPNESSSCKMSKRGQKLWGRFSSYVKEIIAPCLTSRFHLPSVNDATLAGPIYRPTMSFRRWIYYWIRKLTSHATGSRSGIFGACRGIVRHDMPTAIYLLPYLVLNVVCYGTPEARQSITEEILSVLNAAASESSGAIVHGITGGQSEVCIQAVFTLLDNLGQWVDDLKQEIALSQSNYAMAGRQGGKLRDESNSMYDQDQLLVQCSNVAELLAAIPKVTLAKASFRCQAHARALMYFESHVREKSGSSNPAADCSGAFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSTLQDQLIINEKAGNWAEVLTLCEQSLQMEPDSVHRHCDVLNCLLNMCHLQAMIAHVDGLVYRIPQSKKTWCMQGVQAAWRLGRWDLMDEYLAEADKGLVCRSSENNASFDMGLAKIFNAMMKKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLRELEDFNSLLGDESFLEKPFAADDPKFLKLTKDWENRLRCTQPSLWAREPLLAFRRMVYNLSHMNAQAGNCWLQYARLCRLAGHYETAHRAILEADASGAPNAHMEKAKYLWNIRKSDSAIAELQQTLLNMPADVLGPTVLSSLSSLSLALPNAPLSVTQASKENPDVSKTLLLYTRWIHYTGQKQSNDIKSLYSRVADLRPKWEKGFFCIAKFYDDLLVDARRRQEDKKIASGVGPVPPSSTGSLTTATEEKPWWDMLPVVLIQYARGLHRGHKNLFQALPRLLTLWFEFGSIYIQDGSSFNKPMKEVHIRLLGIMRGCLKDLPPYQWLTVLSQLISRICHQNIEVVKLVKCIVTSILREYPQQALWMMAAVSKSTVAARRDAAAEILQSAKKGSRRGSDSNALFMQFPSLIDHLIKLCFHPGQPKARAINISTEFSSLKRMMPLGIILPIQQALTVTLPSYDTNMTDQSTFRPFSVSEHPTIAGIADDAEILNSLQKPKKVVFIGSDGISRPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRQILQDIYITCGKFDRMKTNPQIKKIYDQLQGKMPEEMLKAKILPMFPPVFHKWFLTTFSEPAAWIRARAAYAHTTAVWSMVGHIVGLGDRHGENILLDSTTGDCIHVDFSCLFDKGLLLEKPEVVPFRFTQNMVDGLGITGYEGVFVKVCEITLSVLRTHKEALMTVLETFIHDPLVEWTKSHKSSGVEVRNPHAQRAISNITERLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHSNLGKMYVWWMAWQETPEGGLGSVCLQTEK >ONIVA06G30790.4 pep chromosome:AWHD00000000:6:28759069:28765833:-1 gene:ONIVA06G30790 transcript:ONIVA06G30790.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANFSSHIQELRELIAASSTTTSTSAPASVHFEVKLREVLPNLLRDYVVPSSPTADGREATAVLKLLSYTAGKFPGVFFHGRAADVIRVIGRVLPFFAEPNFRSRHEIIFDTVWSLLSLLRTGDREAYRQFFLDVMVAVQDVLYVVASMHGDRPSGVLTERYLVKCLCGSFSDILDSPGIFSDLPDSCQPKNGPGVLVDLTGETRWRPFATMLIKLVNKCLADGTLYVEGLVNMPFVSAACSIICYGDESLHKVCFDFARIVATVITVEILPVENIIRSIMCILSQDVNGLSDIRDADYDFSMGACLHALHSSCPGYIVAITASDIVNVFQRAVHTSRSSELQVAMCNAYKRIVELCSPRVWKPEILLKLLCLPKPCAKLIECIRLVVDKSGQSFLSSDDRDDGSSLLAKSEGLDLPKVGQKRIALDEENSFPKRLKMTEPRFSSGSFMVDELSAGVGQELEKDHGCDFRVQLYSLINCLSPDNHMAYPLEPAIAIQVLSLLCLSLSVYPKTNLFSRISKQVLSWIPWICKQTTKICMFSFDVSLYFEAVQTVMLLQSFLPGHTKLFEDEPLLIGNGCTDFEYPRYADLINLLKLVSDDGYLTSQTCSEKLKCLAVQIIAKIGSRQNAECDLQVLELAIQSETGELQNEALMSLPIIVLYSGPRMLGAMFRKLETIGTLGCKKLWKSIAISLGFLSCLNGTTDCTDKVGNHCKLFLAKHCEQPILTLNLLRGFWCPQCDVRTVHIEDQVPIVDIALSEDKNIDFKINMFKAHSLFFKFLYAETSEECIVSIVEVLPRILKHSSRDVLLDMKFQWVQCVDFLLLHEMKAVRDAFSSVVSCFLETNAMDILFSDGTGMSGGTSRVKFMDKIKSAFTEAEDPQILLTLLESTAAIVKASDIHGEVFFCSFVLLIGQLGNHDYIVRVTALRLLQRCCTYCFKGGLELFLSKYFHVRDNLYDYLSSRLLTHPVVISEFAESVLGVKTEELIRRMVPSIIPKLIVSHQNNDQAVVTLNELASHLNRTDSKEIFSAALPTLLDEIICFPGESDQIETDRRMAKISPTIQNIARILIGNDNLPEFLKNDFVRLLNSIDKKMLHSSDVNLQKQALQRIRKLVEMMGPYLSTHAPKIMVLLIFAIDKETLQMDGLDVLHFFIKRLAEE >ONIVA06G30790.5 pep chromosome:AWHD00000000:6:28749832:28759039:-1 gene:ONIVA06G30790 transcript:ONIVA06G30790.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MTLQDHLKDAVNGLNHESLNVRYMVACELNKLFNDRREDITSLIIGEDIADLDIISSLIMSLLKGCAEESRTVVGQRLKLVCADCLGALGAVDPAKFKVMSCERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLSGCQSLPNESSSCKMSKRGQKLWGRFSSYVKEIIAPCLTSRFHLPSVNDATLAGPIYRPTMSFRRWIYYWIRKLTSHATGSRSGIFGACRGIVRHDMPTAIYLLPYLVLNVVCYGTPEARQSITEEILSVLNAAASESSGAIVHGITGGQSEVCIQAVFTLLDNLGQWVDDLKQEIALSQSNYAMAGRQGGKLRDESNSMYDQDQLLVQCSNVAELLAAIPKVTLAKASFRCQAHARALMYFESHVREKSGSSNPAADCSGAFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSTLQDQLIINEKAGNWAEVLTLCEQSLQMEPDSVHRHCDVLNCLLNMCHLQAMIAHVDGLVYRIPQSKKTWCMQGVQAAWRLGRWDLMDEYLAEADKGLVCRSSENNASFDMGLAKIFNAMMKKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLRELEDFNSLLGDESFLEKPFAADDPKFLKLTKDWENRLRCTQPSLWAREPLLAFRRMVYNLSHMNAQAGNCWLQYARLCRLAGHYETAHRAILEADASGAPNAHMEKAKYLWNIRKSDSAIAELQQTLLNMPADVLGPTVLSSLSSLSLALPNAPLSVTQASKENPDVSKTLLLYTRWIHYTGQKQSNDIKSLYSRVADLRPKWEKGFFCIAKFYDDLLVDARRRQEDKKIASGVGPVPPSSTGSLTTATEEKPWWDMLPVVLIQYARGLHRGHKNLFQALPRLLTLWFEFGSIYIQDGSSFNKPMKEVHIRLLGIMRGCLKDLPPYQWLTVLSQLISRICHQNIEVVKLVKCIVTSILREYPQQALWMMAAVSKSTVAARRDAAAEILQSAKKGSRRGSDSNALFMQFPSLIDHLIKLCFHPGQPKARAINISTEFSSLKRMMPLGIILPIQQALTVTLPSYDTNMTDQSTFRPFSVSEHPTIAGIADDAEILNSLQKPKKVVFIGSDGISRPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRQILQDIYITCGKFDRMKTNPQIKKIYDQLQGKMPEEMLKAKILPMFPPVFHKWFLTTFSEPAAWIRARAAYAHTTAVWSMVGHIVGLGDRHGENILLDSTTGDCIHVDFSCLFDKGLLLEKPEVVPFRFTQNMVDGLGITGYEGVFVKVCEITLSVLRTHKEALMTVLETFIHDPLVEWTKSHKSSGVEVRNPHAQRAISNITERLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHSNLGKMYEAVKVGRTLTVVVDW >ONIVA06G30780.1 pep chromosome:AWHD00000000:6:28729694:28734973:1 gene:ONIVA06G30780 transcript:ONIVA06G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPASSSLLGAVCISPLIWLISVLTPLLFAGGDPRAQEVTTSSISRFGAWYSGSPSPYRGNPKSRSRSRSPAARSQSRSPVPDPRSQARSRSRSREREPDAVNHGNTLYVTGLSSRVTERELKDYFSKEGRVTSCHVVLEPHTRVSRGFAFVTMDTVEDAERCIKYLNQSVMEGRNITVEKSRRGRPRTPTPGSYLGHRYDRREPRGRYRSRGGGYGRDEYYGNSYRRSPPPMYPSYRDTRDYPPYRDTRDYSPHRDARDYYDGRGGRGYSPHRSPPYGGGRARRERSRSLPYSPYRMPERGYGRRAGGGGYDR >ONIVA06G30770.1 pep chromosome:AWHD00000000:6:28727554:28729422:-1 gene:ONIVA06G30770 transcript:ONIVA06G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRLPPPPWPTCEEGKRRGRRKKASRRRRKKEEEGEAMPREGTRMAGLWEREVGCLPPKLFANSVMASQDFVRSLGVQKRLRKHRGCVNTISFNEDGSLLLSGSDDRAAVLWNWQEGTPTFAFHTGHSDNVFHALFMPFSGDRSIITCAADGQVRHSQIQEGGRVITNELVDTEVAVHKLAIEPGNPHTFFSCGDNGSVFLFDLREKYVAELFKCAEVDHFGGDTIELYAIAIDPRKPSCFAVAGSDEYVRIYDSRKIDVNGNSSFGRPIEYFCPPHMMGENKDGISGLAFSQTSELLASYSYDNIYLFSREHGLHFNNIEVGKRLLMDEIEGDCHINTAPLPFCRDKLPAPQIFKGHRNKHTMKGVNFLGPNCDYVTTGSDCGRVFIWRKKDGELMRVMKGDKQIVNCVEQHPYGIVIANCGIDKDIKIWAPGGSENPDEV >ONIVA06G30760.1 pep chromosome:AWHD00000000:6:28724193:28726321:-1 gene:ONIVA06G30760 transcript:ONIVA06G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein [Source:Projected from Arabidopsis thaliana (AT5G27280) TAIR;Acc:AT5G27280] MESVAIATTSRSLLPLPPFSSNRRCRRRASFLPVAATNRTFLRASISSAFAGAHVSRRHHDDDDEEVAKAHEPTSLASYGLSISPLSKDAAMGLVVSAATGRGWTTGSGMEGPPKAAGGGDRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDRGEDGDNIFPIL >ONIVA06G30760.2 pep chromosome:AWHD00000000:6:28724193:28726321:-1 gene:ONIVA06G30760 transcript:ONIVA06G30760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein [Source:Projected from Arabidopsis thaliana (AT5G27280) TAIR;Acc:AT5G27280] MESVAIATTSRSLLPLPPFSSNRRCRRRASFLPVAATNRRHHDDDDEEVAKAHEPTSLASYGLSISPLSKDAAMGLVVSAATGRGWTTGSGMEGPPKAAGGGDRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDRGEDGDNIFPIL >ONIVA06G30750.1 pep chromosome:AWHD00000000:6:28720759:28723476:-1 gene:ONIVA06G30750 transcript:ONIVA06G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT4G38500) TAIR;Acc:AT4G38500] MARRSKGGGAEGDGMRMQVVWRKGAVRLVLVSAIAWALLVILALAFHLWSCNSDVPFLSGCPIPVADDPDSITIPKRTPNTIVHCGFMKNSGADMDDVDVKYIQKCKFVVASGIFDGYDIPHQPSNISIRSQKLFCFLMVVDEVSLDFIEKNTTVKYLWRGKYTFAVAVHKHHKSIYEEGDAIKRRKRYARPLVDLQMKMYYHEGMEPWNPKKRMPSDVPEGAVLIREHTTMSDLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESKGGLGLWTPYPADLSSVELPSVKRTSPAG >ONIVA06G30750.2 pep chromosome:AWHD00000000:6:28720759:28723476:-1 gene:ONIVA06G30750 transcript:ONIVA06G30750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT4G38500) TAIR;Acc:AT4G38500] MARRSKGGGAEGDGMRMQVVWRKGAVRLVLVSAIAWALLVILALAFHLWSCNSDVPFLSGCPIPVADDPDSITIPKRTPNTIVHCGFMKNSGADMDDVDVKYIQKCKFVVASGIFDGYDIPHQPSNISIRSQKLFCFLMVVDEVSLDFIEKNTTVKFDKAGGKWVGIWRLITLHRLPFDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAVHKHHKSIYEEGDAIKRRKRYARPLVDLQMKMYYHEGMEPWNPKKRMPSDVPEGAVLIREHTTMSDLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESKGGLGLWTPYPADLSSVELPSVKRTSPAG >ONIVA06G30750.3 pep chromosome:AWHD00000000:6:28720759:28723476:-1 gene:ONIVA06G30750 transcript:ONIVA06G30750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT4G38500) TAIR;Acc:AT4G38500] MARRSKGGGAEGDGMRMQVVWRKGAVRLVLVSAIAWALLVILALAFHLWSCNSDVPFLSGCPIPVADDPDSITIPKRTPNTIVKRLSYITVDKQDKDPSPLFGGRQSWKQREDSFKLNATMKATTFLYCVHCGFMKNSGADMDDVDVKYIQKCKFVVASGIFDGYDIPHQPSNISIRSQKLFCFLMVVDEVSLDFIEKNTTVKFDKAGGKWVGIWRLITLHRLPFDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAVHKHHKSIYEEGDAIKRRKRYARPLVDLQMKMYYHEGMEPWNPKKRMPSDVPEGAVLIREHTTMSDLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESKGGLGLWTPYPADLSSVELPSVKRTSPAG >ONIVA06G30740.1 pep chromosome:AWHD00000000:6:28712247:28718087:1 gene:ONIVA06G30740 transcript:ONIVA06G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGEYSPYYQPYPSPTSAPLATYPSASAPPYTPYPATDYAAPAAYPTYPPPPADPPQYAPPPAAPQPQPYYPYEPPPHNPAPSPYPSLDRAGSYGYGSGSQELYPPKPAGGGWSDDGVYAYSGGGGDAPEPYGARGTAPRSNSPLFDDYGRSIGSTKERGGGGGGGGGSSASPKVVRAVPKVETSEDTSGGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDFEARRIRLKSNSYTTNTILDTVTAASVQFKEMGGSSISRSRAIADAAKPPEQQNDRRKNFLDWRNLMKPMNEEKDHWVPDEAVTKCTACTADFSAFNRRHHCRNCGDIFCDKCTQGRTPLTTDADAQPVRAEVSQRLNNAREAANRPIVHSHEDLAKKLKDAMDINKKSSSASSRSTDGSSRRMREVACPTCTVHLQVQVPTSGSETIECGVCQQPFLVSAR >ONIVA06G30740.2 pep chromosome:AWHD00000000:6:28718026:28720703:1 gene:ONIVA06G30740 transcript:ONIVA06G30740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKNPFRKESRKLKPLAVAILDWRLRQQETRQREEKCGRNLMGMGMEAAWACAVDRATGAADSTKRFFLSFRRPPPPPPGPNPIDILKRLQRQAFYDIMQLREKQEKIERVLTLFKASKSGPFAEESTRVKGIITVAGSLSSKNKKDSGPDSSETNSGISSQFVFQTNVRKKDSLLAELVTDHRSLPSENDSIGSPFVLSKVMYLANINDSLSASAVPVGARCDDFSTDPSLQEEHWLASFRSSLRPPLLIKRHNYAAGLILRSKNFAVSLAELISAAGKPNNPGEASRFFTGFGQMSCQMQNEMKLTMSAALHGPGLISRKSKPTAGGCVDFDLKIDEDSRVGAWIEVKKANPRLVRWALTLSETPEDDLGWGLSLRRGTEGSPERLQLEGFLNVHLGKKATLQPGLMFNIDGRRCAPALVFQSSWFL >ONIVA06G30730.1 pep chromosome:AWHD00000000:6:28704584:28707804:-1 gene:ONIVA06G30730 transcript:ONIVA06G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYHHQEYYQMAAAAAVAWPREPDSPQLSIMSGCSSLFSISTLRDDDGGGVRLAGAALPATPVSLAGIAGGASTPGGDEVDMEVRQQSGGSGDDRRTIRMMRNRESALRSRARKRAYVEELEKEGSGMREDIVCRCMAD >ONIVA06G30720.1 pep chromosome:AWHD00000000:6:28703455:28703888:1 gene:ONIVA06G30720 transcript:ONIVA06G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAENAASGSVRGYTSAGCARSITQLREPYVPPDHQPRPSCSEAQRAPSAGSISGAGILVCDVLDEMSPKKLRDMSPRSGQA >ONIVA06G30710.1 pep chromosome:AWHD00000000:6:28700329:28702957:1 gene:ONIVA06G30710 transcript:ONIVA06G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGAAGEKTASSLLLGVRGYTSTLKNASTASCRLSAGHPIEVTLWEASPPALSHFSVHCPDLPSFNGNVLRVPKAIAAAVDDADGQLLLLLRVPIDQLGAPHDNDYLVYHPDPPSPKLDLLPNPPPPTLGDHQLAILSCGDDRYVVAALHVWSEFTSTLRLYRSSCSSGSWTSEEVSVEEPVRDRLCPIPDSAKRQLYHVTTKTITLGGAKGTVGWVDLWRGILLCDVLDEMSPRKLRDMPLPWPAKGNWRMYLNGDVSFCRDIAISQHKDSIKYLEMEIVSPRTVTTTIPTSTSADPTSYLEWVRRSREPQPTRRRSVFHPGSWRITTWSMPIPVTSWDDWRRDCTAESREVHLDTNPSHHYELLHSLMLSNSGDEHREEAQGQGATSSLSLGRLRLCYPALSCIDDDVVYLLGNAAGRGAKTGGMMVAVDVRNKELRGVAKLDPEKNTLYSMRCYLATGISKRLNTTTDTRVGRPEEDAEAAE >ONIVA06G30700.1 pep chromosome:AWHD00000000:6:28687560:28695055:1 gene:ONIVA06G30700 transcript:ONIVA06G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSWNLTEATSAVHDITVNGYSATKSGGENDFPSRRLTVGGYEWEIRYYPKVFVTHGDYRIAFRLVFLGPAGARGVNASFSCRLMDHRSTWTEARWRDASGNQHDCRAETVSRKFHLARESSDWVKLIKQDDLERSPAILAYEYAATNQPSRSTPTQPGEQNTIERKGQTCNKMERSSSKPVSALVLLVVCIVSCFEYDGSSSNGAAVTGPMAAGGNCSLVVAAVVLAIATFAARAVHKFNVNGYSATKAMAKHEHVSSKRLTVAGYAWEIHYTPGHDAHWHYWVAFKLVFLGIGEQAQRAGDDNDAGAIKACCLSHAFGSANESSPWVLLVKRRELEASGFITGDSFAVRCTITVLSKNTINSAEPSPDLHLQLGELLRSGRFADVEFIVSGVSIAVHRCVLAARSPSLAAAVLKGGTRKKDGSVRVEVKDDMRAGVFRALLHFIYTDTLMELDWREDGSDPLLPRTMVMSLNEAAGRYGLERLKQICENMLGFDDACSADCAVM >ONIVA06G30690.1 pep chromosome:AWHD00000000:6:28676244:28687101:1 gene:ONIVA06G30690 transcript:ONIVA06G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) TAIR;Acc:AT4G00060] MASASASASPRTARQLADALTAHLSLYHAATPPPPSSSSPRAAILRWLASLSPPCRAAAATSLLTPAAAAALLSMLRRLRARGHSSFFVLHPPSPSSAAVAEEGAAAPTVLSRLSRGLLARAAEASRGQGLVFDHLLLFPSSPASSSRLDAITVAEELLGDLDGFVAAMDEISGGRFLCGDGEVDLVAMVGEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGGGGRKAVRVGKGVKEKAGLAANAFWREKGYVDWWMRLEPRVRARIMGAFFGKGTTALANEIIEGTYIASSEKFSFCLGEPESFVAETYYESTRQSFFRRNRPCCLDVPRTLSCKKNPIFVKELQRLMLVQEIVYLKSNISNRSGDAIFFTKLMSAGTVADHILMKLRGILMVVSTESINLELIGDEAPKAAKKKDVEKISSGSRKGKKKSSSLKKLITSSKQMKDIGCSSSDSHDPKVLPDQQGPSVVRTTIEHASEDNLCKEIAPTPKVEQTVGLGDCKNQCNSKKRNKRKGKTKLHNLMKTENPGSGTLKTDAPHIATEAPNKPVEATHVSPHLPSYVHQSKSCIPKAVNCCDSSIALNGTDVKGIGNTKLEDTLHSPRVSSLLTTECSQSVKTSDGFSMNEQVISQNGQNESTLQPSSCLPSRSDTVDRNSVVATEKILPPVIPTNMFHSAISDNGGVMKTGGEYYVYNRNTLGGTSYEWPSVAPHHFVSPEMQQRPATTDRLHLDVGYRWPAQFEQPFLPPNHQMRNPPVEAGCNQVLSSLAVPLSFDWPPVFRGYGKLNQNNALGYDPLYTPQMQSSAWSGFHAPLIQRGSICNEKDRKYFGDSDPRNKSDVGDDTESYWFSEEESDGRGLSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSQVVDDIPVGIPCTYNANGVSSPPSTSVCSQNESPDPLPQSVGHSMTANGMNSESLQSPSSMQDSPEDKTISVSKSVSCGSEVIKGDTLPYAMLRPIVVPISRRPSRSEFKGGHDHRSPCVPSNRRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFTEDKILNRAQFCLDGPEVVWPSWGNKGSPAGTLGQSIEDTVLQDHLVKISQLSRDQHPDVALPLQPPDMLNCSSSKASLSLMHNALHEEIDQFCKQVSAENLVRKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVISLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLANQDWVRTDSLKTVENTAIPVIMLVAQVPCDTNLSSEYSSVLDSSHEQLSINVLGDQGSPSRSDNSSSEGSSMPMCSRINKDDGNVVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAAVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFTVLENELLQFSAECYTPVSSFNLLKKIMPSIDFDEL >ONIVA06G30690.2 pep chromosome:AWHD00000000:6:28676244:28687101:1 gene:ONIVA06G30690 transcript:ONIVA06G30690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) TAIR;Acc:AT4G00060] MASASASASPRTARQLADALTAHLSLYHAATPPPPSSSSPRAAILRWLASLSPPCRAAAATSLLTPAAAAALLSMLRRLRARGHSSFFVLHPPSPSSAAVAEEGAAAPTVLSRLSRGLLARAAEASRGQGLVFDHLLLFPSSPASSSRLDAITVAEELLGDLDGFVAAMDEISGGRFLCGDGEVDLVAMVGEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGGGGRKAVRVGKGVKEKAGLAANAFWREKGYVDWWMRLEPRVRARIMGAFFGKGTTALEIVYLKSNISNRSGDAIFFTKLMSAGTVADHILMKLRGILMVVSTESINLELIGDEAPKAAKKKDVEKISSGSRKGKKKSSSLKKLITSSKQMKDIGCSSSDSHDPKVLPDQQGPSVVRTTIEHASEDNLCKEIAPTPKVEQTVGLGDCKNQCNSKKRNKRKGKTKLHNLMKTENPGSGTLKTDAPHIATEAPNKPVEATHVSPHLPSYVHQSKSCIPKAVNCCDSSIALNGTDVKGIGNTKLEDTLHSPRVSSLLTTECSQSVKTSDGFSMNEQVISQNGQNESTLQPSSCLPSRSDTVDRNSVVATEKILPPVIPTNMFHSAISDNGGVMKTGGEYYVYNRNTLGGTSYEWPSVAPHHFVSPEMQQRPATTDRLHLDVGYRWPAQFEQPFLPPNHQMRNPPVEAGCNQVLSSLAVPLSFDWPPVFRGYGKLNQNNALGYDPLYTPQMQSSAWSGFHAPLIQRGSICNEKDRKYFGDSDPRNKSDVGDDTESYWFSEEESDGRGLSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSQVVDDIPVGIPCTYNANGVSSPPSTSVCSQNESPDPLPQSVGHSMTANGMNSESLQSPSSMQDSPEDKTISVSKSVSCGSEVIKGDTLPYAMLRPIVVPISRRPSRSEFKGGHDHRSPCVPSNRRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFTEDKILNRAQFCLDGPEVVWPSWGNKGSPAGTLGQSIEDTVLQDHLVKISQLSRDQHPDVALPLQPPDMLNCSSSKASLSLMHNALHEEIDQFCKQVSAENLVRKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVISLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLANQDWVRTDSLKTVENTAIPVIMLVAQVPCDTNLSSEYSSVLDSSHEQLSINVLGDQGSPSRSDNSSSEGSSMPMCSRINKDDGNVVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAAVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFTVLENELLQFSAECYTPVSSFNLLKKIMPSIDFDEL >ONIVA06G30690.3 pep chromosome:AWHD00000000:6:28676244:28687101:1 gene:ONIVA06G30690 transcript:ONIVA06G30690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) TAIR;Acc:AT4G00060] MASASASASPRTARQLADALTAHLSLYHAATPPPPSSSSPRAAILRWLASLSPPCRAAAATSLLTPAAAAALLSMLRRLRARGHSSFFVLHPPSPSSAAVAEEGAAAPTVLSRLSRGLLARAAEASRGQGLVFDHLLLFPSSPASSSRLDAITVAEELLGDLDGFVAAMDEISGGRFLCGDGEVDLVAMVGEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGGGGRKAVRVGKGVKEKAGLAANAFWREKGYVDWWMRLEPRVRARIMGAFFGKGTTALANEIIEGTYIASSEKFSFCLGEPESFVAETYYESTRQSFFRRNRPCCLDVPRTLSCKKNPIFVKELQRLMLVQEIVYLKSNISNRSGDAIFFTKLMSAGTVADHILMKLRGILMVVSTESINLELIGDEAPKAAKKKDVEKISSGSRKGKKKSSSLKKLITSSKQMKDIGCSSSDSHDPKVLPDQQGPSVVRTTIEHASEDNLCKEIAPTPKVEQTVGLGDCKNQCNSKKRNKRKGKTKLHNLMKTENPGSGTLKTDAPHIATEAPNKPVEATHVSPHLPSYVHQSKSCIPKAVNCCDSSIALNGTDVKGIGNTKLEDTLHSPRVSSLLTTECSQSVKTSDGFSMNEQVISQNGQNESTLQPSSCLPSRSDTVDRNSVVATEKILPPVIPTNMFHSAISDNGGVMKTGGEYYVYNRNTLGGTSYEWPSVAPHHFVSPEMQQRPATTDRLHLDVGYRWPAQFEQPFLPPNHQMRNPPVEAGCNQVLSSLAVPLSFDWPPVFRGYGKLNQNNALGYDPLYTPQMQSSAWSGFHAPLIQRGSICNEKDRKYFGDSDPRNKSDVGDDTESYWFSEEESDGRGLSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSQVVDDIPVGIPCTYNANGVSSPPSTSVCSQNESPDPLPQSVGHSMTANGMNSESLQSPSSMQDSPEDKTISVSKSVSCGSEVIKGDTLPYAMLRPIVVPISRRPSRSEFKGGHDHRSPCVPSNRRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFTEDKILNRAQFCLDGPEVVWPSWGNKGSPAGTLGQSIEDTVLQDHLVKISQLSRDQHPDVALPLQPPDMLNCSSSKASLSLMHNALHEEIDQFCKQVSAENLVRKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVISLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLANQDWVRTDSLKTVENTAIPVIMLVAQVPCDTNLSSEYSSVLDSSHEQLSINVLGDQGSPSRSDNSSSEGSSMPMCSRINKDDGNVVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAAVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFTVLENELLQFSAECYTPVSSFNLLKKIMPISNFRMQK >ONIVA06G30680.1 pep chromosome:AWHD00000000:6:28664487:28674688:1 gene:ONIVA06G30680 transcript:ONIVA06G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDASEFGAEGFDPKRWINAALDARHPSEPLDRFLADAEERLRSAADDAAAALERDSADALRRVPLACRDALRLRDDAVSLRSHLASVLQSLSQAEGSSAESITALARIDTVKQRMEAAYATLQVAEFANVRKQLEVLEERLDDMVQPRLVDALSNRKRAKRVEMENLDKSTAANGDENAEVPITGRAALDIAAIRLTDLPDKSKKLFTSKDPRFHALPLTSQRVAAFSDTVNELVYDVLISKVRQRLNEVARLPIWSSVEEPGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGGEAGNEEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGAQQLAADIEYLSNVLSALSMPIPPFLATFHTCISTPRDQVRDLIKSDGGSQLDLPTAHLMAPTSMSLAAKTPLPFSTLPSSGVAQRPVSVTASLEHKTNDARRKFLKLALGNLGVGLPTLLGAKRALAEEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDTDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSDIGPWSLMDSGAQSGDVIMRMMARNSMSEKLAEDIDTAVKRLSDEAYEIALSQIRSNREAMDKIVEVLLEKETLSGDEFRAILSEFTEIPVENRREVHKD >ONIVA06G30670.1 pep chromosome:AWHD00000000:6:28657707:28663000:1 gene:ONIVA06G30670 transcript:ONIVA06G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSATPNPIDASVVSAAAAVAGGGGGGDGGGGGGGKEKEKQVVAAPLQPPMAVPAPAAAVGEEARKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNVSPAAISQPPPLGEQGCVMSMDTSPVIRNTNASAVVPSWDNSIAQPLSASRTQGTGAVATNNCSSSIESPSTTWPTSEAVEQENMLRPLRAMPDFAQVYSFLGSIFDPDTSGHLQTLKAMDPIDVETVLLLMRNLSMNLTSPNFAAHLSLLSSCNSGGDPIKSEGMENLGSPQSCHLPFM >ONIVA06G30660.1 pep chromosome:AWHD00000000:6:28651736:28655239:-1 gene:ONIVA06G30660 transcript:ONIVA06G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGLSLSFALISSSPDSKCELLNSRPSCRAARRGESGLLIRRSYLRPCQCPFGDRMSEQQDSTSKSSSSSISSSTQESEEEVSITIGSLLAQAKNNSGHSLGRRLSQLGSIPHTPRVNGKIPNLDNATLDHERLSERLGNYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHLKEFRKQYESYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIVPRDVTPTRELWLSFWCEVHYNSLYATDDLLTRKTKKKHWLF >ONIVA06G30660.2 pep chromosome:AWHD00000000:6:28651736:28653570:-1 gene:ONIVA06G30660 transcript:ONIVA06G30660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIVPRDVTPTRELWLSFWCEVHYNSLYATDDLLTRKTKKKHWLF >ONIVA06G30650.1 pep chromosome:AWHD00000000:6:28649629:28651255:-1 gene:ONIVA06G30650 transcript:ONIVA06G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDKPTFRGVWLRGEWDGLVPREEYSSQIRDKSIRQNRPDELVPLGRSTRRLLGDRRRSSAPAPAASSVTAGAPPRPPATTSATAGTPPRLPALTPVAAASEMLGAIPPLIMMLDESGCSSCGDVDAAGSRDLPIQPRRRRWASGLGAPAVVAVLLLPLCLIRLFHAWMQVAQATVVDEYEQSMMMT >ONIVA06G30640.1 pep chromosome:AWHD00000000:6:28642266:28648752:-1 gene:ONIVA06G30640 transcript:ONIVA06G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MEVSKEEFLRQFGGDYGYPGAPKGVDEMRAAEFKRLEGMAYLDHAGATLYSEAQMADVLKDLASNVYGNPHSQSDSSMAASDLVTAARHQVLKYFNASPREYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYALSKGATVLAVDVEEGADLAKDNGSYSLYKISRRTNQRRSKDVLSHNCQNGSLSDISGNNWNIFAFPSECNFSGQKFSLSLVKLIKEGKIPLQQQGKWMVLIDAAKGCATEPPNLTVYPADFVVCSFYKIFGYPTGLGALIVKNEAANLLNKTYFSGGTVAASIADIDFVQKRKNIEQVLEDGTISFLNIASLRHGFKIIEMLTTSAIERHTTSLATYVRNKMLDLKHSNEINVCTIYGQQYSKVEGLKMGPTITFNLKREDGSWFGYREVEKLASLFGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGKPTGAVRISFGYMSTFEDAEKFLKFLQSSFVSLPVQFNNGYMLNLNSLNLIDNSSQKAVSDIHLKSITIYPVKSCQGFSVKSWPLTTGGLMYDREWLLQGSGGEILTQKKVPELGSIRTLIDLELGKLFIESPTRRDKLQLSLLESLADLSEEVDVFGQRYEVQSYDDRVNTWFSEAIGRKGDCKQKLPVDAMRFRPNLVISGSSPYSEDNWKKLRIGEACFTSMGGCNRCQMINLHQDSGQVLKSKEPLATLASYRRKKGKILFGILLNYEDIMEGENETIAGRWLQVGQQVYPSTE >ONIVA06G30640.2 pep chromosome:AWHD00000000:6:28642266:28648752:-1 gene:ONIVA06G30640 transcript:ONIVA06G30640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MEVSKEEFLRQFGGDYGYPGAPKGVDEMRAAEFKRLEGMAYLDHAGATLYSEAQMADVLKDLASNVYGNPHSQSDSSMAASDLVTAARHQVLKYFNASPREYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYALSKGATVLAVDVEEGADLAKDNGSYSLYKISRRTNQRRSKDVLSHNCQNGSLSDISGNNWNIFAFPSECNFSGQKFSLSLVKLIKEGKIPLQQQGKWMVLIDAAKGCATEPPNLTVYPADFVVCSFYKIFGYPTGLGALIVKNEAANLLNKTYFSGGTVAASIADIDFVQKRKNIEQVLEDGTISFLNIASLRHGFKIIEMLTTSAIERHTTSLATYVRNKMLDLKHSNEINVCTIYGQQYSKVEGLKMGPTITFNLKREDGSWFGYREVEKLASLFGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGKPTGAVRISFGYMSTFEDAEKFLKFLQSSFVSLPVQFNNGYMLNLNSLNLIDNSSQKAVSDIHLKSITIYPVKSCQGFSVKSWPLTTGGLMYDREWLLQGSGGEILTQKKVPELGSIRTLIDLELGKLFIESPTRRDKLQLSLLESLADLSEEVDVFGQRYEVQSYDDRVNTWFSEAIGRPCTLVRCSSSKYRSCTYTGLRDRPCRDTQSKLNFVNEGQLLLISEESISDLNSRLNSGKGDCKQKLPVDAMRFRPNLVISGSSPYSEDNWKKLRIGEACFTSMGGCNRCQMINLHQDSGQVLKSKEPLATLASYRRKKGKILFGILLNYEDIMEGENETIAGRWLQVGQQVYPSTE >ONIVA06G30630.1 pep chromosome:AWHD00000000:6:28638199:28642575:1 gene:ONIVA06G30630 transcript:ONIVA06G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCAAAIARAVVEFLDAVLVDFFLSFFGPHPRDRDRDDSPGSGRRDSLAHKDRLGELLLDDDELGLGGRGGSHEDLADDCGSDEELRSEANFLKLCGTLSETPAELHNISYQINMESYIEHDKIPTNVLAVEATPAFESKSSGGFEYGEDHILTPQLNTEDTEHLPLVKSVYQSAIRGNSPFQNIKSINDGSSDSPFHTPLVLRDDMQTPRTVYTSHKGSSGKRVRTHKQFAYPIFRPTENKLQKMQLSDSAKMTQQISSDSVVKGESLNSSHFPLEVSKYQLDRQRLLDAGERSKSNSDENIEVCSLSRWLKSSPAGNTNQMYDENNLIEEGHAFMTSEDNVDVDNHTPRLSKAWDCHCIPNTSRKYGEDQHVSWHSTPFEERLIKVLSDEEVPPTRKLVPGRLLYLEERV >ONIVA06G30620.1 pep chromosome:AWHD00000000:6:28624018:28626497:-1 gene:ONIVA06G30620 transcript:ONIVA06G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFERRGVRQYNRSEVPRMRWTEELHRQFVEAVECLGGQDEATPKRILQLMGVKGVSISHIKSHLQMYRSGSSNSNHPVSLQKLTSATVNNISKREFVNSEDRCIYASGDRNTASSDKNTYTILRCGRSSMPSIEEIFRNWEQTRGRLLPWNSNVITTEQATTRASRQTTNYSKPLKQLTDCDLTLSIRQLWDDAAGSDADGSSTISEEVAAPSRDEAFVSSADDHFAAAAAKKESNMLTTDLNLDLTISSSWLS >ONIVA06G30610.1 pep chromosome:AWHD00000000:6:28621326:28623242:1 gene:ONIVA06G30610 transcript:ONIVA06G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWRSTSMSPTLVTMRTDISGGVPLPPAAGARRIADEMTHYHEFININMNVGNARMTYIVKRRKYSGEEN >ONIVA06G30600.1 pep chromosome:AWHD00000000:6:28613293:28621384:-1 gene:ONIVA06G30600 transcript:ONIVA06G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDMCPLTTKNFLKLCKMKYYNGCLFHKIEKDFLAQTGDSTGTGAGGDSVYKFLYGDQARFFDDEIHPELRHSKMGTIAMASAGENCNVSQFYITLRDGVDYLDDKHTVFGMVAEGFDTITKINETYVDDKGRPFKDIRIRHTYVLDDPFDDPPQLSKLIPENSPVGKPQDEIAEERLEDNWVPPDETVAPEELEDTIRSKEAHTNAVILQSLGDIPDAEIKPQDNVLFVRELNKDEDLYTIFSRFGSVTSAEIIRDYKTGDSLCFAFIEFEKKEACERAFFMMDNCLIDDRRIRVDFSQSVSKQWRQFRQSKSNANKDGCFKCGALDLIARDCDQRAEQKNKGPNYILKDENTQRSGNKRRSYDLVFEDGENYNGQQDLRSADRRKIHKIDDRRSGLPPRGDRDRISRERTHIDENDKEGNRDRGNQKHEDYNRYCKPGERSSSRHDDRGYSKHESRSKYRDGDDDYRRQSGGSRYGRDKCDGERRYRGDDGHGRSNRHTRRK >ONIVA06G30590.1 pep chromosome:AWHD00000000:6:28605988:28611527:-1 gene:ONIVA06G30590 transcript:ONIVA06G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDMCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGAGGDSVYKFLYGDQARFFDDEIRPELRHSKKGTIAMASAGENCNASQFYITLRDDVDYLDDKHTVFGMVAEGFDTLTKISETYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLSELIPENSPVGKPQDEIAEERLEDSWVPMDETVAPEELEEMIRSKEAHTNAVILESVGDIPDAEIKPPDNVLFVCKLNPDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACERAFFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNANKDGCFKCGAPDHIAKDCDQGTEQKNKGPSYVLKDENTQRGGNNRRSYDLVFDEDGENYTDQQDPGSTGRRKIQRTDDRKSGLPPRGDHDRISRERTHSDENGREGGKEGDRDRGIRKHEDYHRYNKSGERSSSRYDDRGYSKHESRGKYRDGNDDYRRQPGGGSRYGRDKYEGERRYREDDGHGRSDRHKRDESDNRKRSPDTGKHRREDGGHRETSKHRERRQRDDR >ONIVA06G30580.1 pep chromosome:AWHD00000000:6:28600740:28603352:-1 gene:ONIVA06G30580 transcript:ONIVA06G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDVYNFPYLKKMEVSAAIVRVSNYQDEATKAGLNDIQAGWVIDPTTYGDSKTHLFVSWTVLIWMHDIQADYYNKTGCFNLDCDGFVPVNGAPVTPGDTLEQANNQTKISFKIFKDKNDGDWWLYFGYDINNLNRVGFWPKNIFNRMVYHATRIRWAGYAQSYKGSSSPPMGNGQFPGKMSASFQNVMYVDTDGQPYPPPVWPAGLEVYASNTKCYQASIFEDNMFYYVGPGMTACETSWTIQWSSMWGACVCDVKTLAKALPCLWPVRRRRCPRAPLTFLEASSWRSFIFPTNLQVKTLFRFRTSGGDVTHRVLLGGVALEKLLCIDDRGWSFSVLKPSYLGVRRGLRLLRSASFLWWATRSSVASADEVGAAR >ONIVA06G30570.1 pep chromosome:AWHD00000000:6:28600633:28601142:1 gene:ONIVA06G30570 transcript:ONIVA06G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQATKEPLPSHGQQQLLGRDCNLSSLPSIATRHQRAAPTSSAEATEERVAHHKNEADLRRRRPRRTPRYEGFRTEKDHPRSSIHRSFSKATPPRRTRCVTSPPLVRNRNKVFTWRFVGNMKERHDDASKKVNGARGHRRRRTGQRHGKAFANVFTSHTQAPHIDDH >ONIVA06G30560.1 pep chromosome:AWHD00000000:6:28591552:28592158:1 gene:ONIVA06G30560 transcript:ONIVA06G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEVEDLLFAPRVDDGGGDDEGMAAPDYAIPPLSPTTSSIVHRCARIAGVEVEQLLRRFEPEKGDQPLVYARSVMEYCSYIALCVETKRHDYLSDSEFHSLTYDMIIAWEAPDDETDAAL >ONIVA06G30550.1 pep chromosome:AWHD00000000:6:28576584:28583534:-1 gene:ONIVA06G30550 transcript:ONIVA06G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) TAIR;Acc:AT2G30070] MDVEGGGGGGGGGGAPPRGRNSWGWQKGTLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLIPLLKYIILVLGADDNGEGGTFALYSLLCRNSKMGLLNNMRANHGSLSAYNKEEPCKESRNSMLIKAFFEKHYSLRVVLLLFVLMGTSMVIGDGVLTPTMSVLAAVSGLRIKFPELHENYTVLLACVILIGLFALQHYGTRRVGFLFAPILISWLTCIGGIGIYNIIKWNPSVIRALSPYYIYNFFRKAGKDGWSSLGGIVLCLTGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPDRVFWPVLFIATLATAVGSQAIISATFSIISQCRALGCFPRIKVVHTSSHVHGQIYIPEVNWVLMSLCLAVTIGFRDTEMIGNAYGLAVILVMCATTCLMFLVITTVWNRWVVWAAAFTVVFGSVELLYLSACLAKVPHGGWLPLLLSLTTLLVMSTWHYGTAMKQQHEVQNKVCLDHFLGLSSGIGLVRVPGVGFVYSSTTNGVPPMFAHFVTNFPAFHRVLIFVSLQTLAVPKVSPEERFLVGRIGSPANRLFRCIVRYGYKEGRWDHFNFENQLLMKVVEFLRHQDGSGGGGGDRMSAAASCEDEAMSVIPATSSSGGSNQHAFDAGTTTSSCEIDATAGGGGRRKVRFDNDGGGGGEEEEEAAEVKELMEEKEAGVSYMIGHTCVFAHESSSAVKKFAVNVVYGFLRRNSRRPAVVLGIPHTSLIEVGMAYRV >ONIVA06G30540.1 pep chromosome:AWHD00000000:6:28572567:28572989:1 gene:ONIVA06G30540 transcript:ONIVA06G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRRLSRVAAADACAAAAYQPLRADRTAKLSASSSSSLAGARRLGCGARVPEGHVPVCVGEEGGPVERYAVRTDLLGQPAFAALLRRAAQEYGYGHPGALRIPCPVADFHQLLLRLSAAAAGDGDDDDEDGGGLVYY >ONIVA06G30530.1 pep chromosome:AWHD00000000:6:28554210:28557835:1 gene:ONIVA06G30530 transcript:ONIVA06G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEMGKLLHRPWKWSLNSPLLLLLIVPVMIHVQLKLRRRRKNAAAGTRLPPGPWRLPVIGSLHHLAMNPKAVHRALADLARRCGGGGGGVMYLRLGELPVVVASSRDAAREVLRTHDAAFATRAMSVTVRDSIGDTVGILFSPGGAAIMGECGFRWREEFLETLGEAQRKASRFGVADLFPSSRLLRAVGSTAVRDVRALNAKLFELVDRAIEQHREAAATTAAGGDHDDGGDDDARDDNECLLNTLMRIQKEGGGTLSMSTVKAVILDMFAGGSETTSTILEWAMSELVKNPQVMQKAQAEIRLALQGRSRITEDDLINLSYPKNIIKETLRLHPVAPLLMPKECQESCKILGYDIPKGSIMLVNVWAIGRDHRYWDDAEVFLPERFEEITVDFGGTNYEFIPFGGGRRICPGITFAHATLELALTALLYHFDWHLPPSVTPDGLDMEEEFGMNVRRKRDLHLHPVIHVGVEKGIMS >ONIVA06G30520.1 pep chromosome:AWHD00000000:6:28539768:28540262:-1 gene:ONIVA06G30520 transcript:ONIVA06G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELMRRMSFSDRVGGGGGGGGDGGGGGAAAAVKRGLMRRLSFSDRVVGDNGGGGGGGATPPRGGLLRRLSFSDRAGGGGGGDGVPRGCVPVMVGDNGGDGDGGGGGGERFVVRVEALRHPAFAALLEKAAQEFGYKQEGILRVPCDVSHFQQVLHAATAAAKS >ONIVA06G30510.1 pep chromosome:AWHD00000000:6:28527481:28535686:-1 gene:ONIVA06G30510 transcript:ONIVA06G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTLNLRDPIQTPSSPPSSFFFFFPKPLSPPLSPPLLRAPHAPRGETLDLVMPPRPSLQSLLLMAASAAAGGDSGLLLAARRRLPAAALAAGGHRIRLLHAFSAASRRGRHEVACCVRTEPAPRPASPVAVRSRSVHSTENYKKGCEQRLGQLIERLKKEGISPKQWRLGTYQRMMCPKCNGGSTEEPSLSVMIRMDGKNAAWQCFRANCGWKGFVEPDGVPKLSQAKNNTECETDQDGEANLAVNKVYRKICEEDLHLEPLCDELVTYFSERMISPETLRRNSVMQRNWSNKIVIAFTYRRDGVLVGCKYREVSKKFSQEANTEKILYGLDDIKRARDIIIVEGEIDKLSMEEAGYRNCVSVPDGAPPKVSSKLPDKDQASRIILATDADPPGQALAEELARRLGKERCWRVNWPKKNENEICKDANEVLMFLGPQALRKVIEDAELYPIRGLFSFKDFFPEIDNYYLGIRGDELGVPTGWKSMDELYKVVPGELTVVTGVPNSGKSEWIDALLCNINDQVREHARKLLEKRIKKPFFDARYGGSAERMSLDEFEEGKQWLNETFHLIRCEDDCLPSVNWVLELAKAAVLRYGVRGLVIDPYNELDHQRPSNQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVIHRNRDPNSGPLDVVQVCVRKVRNKVIGQIGDAFLSYERVSGEFRDADKDTAKKAAVAAANANDWSWSAGHSSKGQSCGLWLAMASGTAHCLPLSKPKLSD >ONIVA06G30510.2 pep chromosome:AWHD00000000:6:28528450:28535686:-1 gene:ONIVA06G30510 transcript:ONIVA06G30510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTLNLRDPIQTPSSPPSSFFFFFPKPLSPPLSPPLLRAPHAPRGETLDLVMPPRPSLQSLLLMAASAAAGGDSGLLLAARRRLPAAALAAGGHRIRLLHAFSAASRRGRHEVACCVRTEPAPRPASPVAVRSRSVHSTENYKKGCEQRLGQLIERLKKEGISPKQWRLGTYQRMMCPKCNGGSTEEPSLSVMIRMDGKNAAWQCFRANCGWKGFVEPDGVPKLSQAKNNTECETDQDGEANLAVNKVYRKICEEDLHLEPLCDELVTYFSERMISPETLRRNSVMQRNWSNKIVIAFTYRRDGVLVGCKYREVSKKFSQEANTEKILYGLDDIKRARDIIIVEGEIDKLSMEEAGYRNCVSVPDGAPPKVSSKLPDKDQASRIILATDADPPGQALAEELARRLGKERCWRVNWPKKNENEICKDANEVLMFLGPQALRKVIEDAELYPIRGLFSFKDFFPEIDNYYLGIRGDELGVPTGWKSMDELYKVVPGELTVVTGVPNSGKSEWIDALLCNINDQVREHARKLLEKRIKKPFFDARYGGSAERMSLDEFEEGKQWLNETFHLIRCEDDCLPSVNWVLELAKAAVLRYGVRGLVIDPYNELDHQRPSNQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVIHRNRDPNSGPLDVVQVCVRKVRNKVIGQIGDAFLSYERVSGEFRDADKDTAKKAAVAAANVAKAPQRKG >ONIVA06G30500.1 pep chromosome:AWHD00000000:6:28525183:28527043:-1 gene:ONIVA06G30500 transcript:ONIVA06G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT1G30690) TAIR;Acc:AT1G30690] MAVEVVSEGAAAAAAEVATPETKEVTAKAAADEAVTLAAVVSKNASFREESNFLDDLKDGERKALAELRAKVEEAIVDGKLFDDGKVEAKKKAAAAEEEKAVEEAAGEKKDGEEKKEEEEPVTEEKKEEEQGEEEEEPKKEEADEGEKEEKPAEEEAAAVVDKDIALWGVPLLPSKGDDATDVVLLKFLRARDFKAGAAFDMLRKTLHWRREWKGFAAGTDDDDDGEALPAELADACYLDGADREGHPVCYNALGVFADDAVYKKALGTEEGKARFLRWRVRAMESHVAKLDLRPGGVASLLQVTDLKNSPGPAKKDLRVAMKQVLDLFQDNYPELVARNILINVPFWYYAFSTLFYPFMTQRTKSKFVIARPSKVTETLLKYIPIEAIPVKYGGLKRDDDTEFSAEDSEVTELVVKASSTETIEIEATEGDTTLTWDLTVLGWEVNYKEEFVPSEEGSYTVIVKKGKKMGSSEAAVRNSFRAGEPGKVVLTVENLTHRKKKVLFRHKAKSACAKKC >ONIVA06G30490.1 pep chromosome:AWHD00000000:6:28522032:28525914:1 gene:ONIVA06G30490 transcript:ONIVA06G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVK3] MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYSGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPIGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEDYEEEEEDEEVAA >ONIVA06G30480.1 pep chromosome:AWHD00000000:6:28520063:28520353:-1 gene:ONIVA06G30480 transcript:ONIVA06G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVFVVAVLALSVAVAVAGRVLEEGEAYYGLEAAAAAPGLQPAASPPYASSPGGGGGGGGHSWRGGAGAILDAIWVVFRWANDAVAGGGGRTNVR >ONIVA06G30470.1 pep chromosome:AWHD00000000:6:28517438:28517821:1 gene:ONIVA06G30470 transcript:ONIVA06G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGNATAAVIIVLAVAAALVASSLAPAADAFRTYFPEDEQLLRNKGSGATVVVMTAPVLSGSPVVTPAGAPSGAELIAFAGRDDVDAEDGAGDGDDVSPGPAPSSAGVISLDSERAAADDVLLP >ONIVA06G30450.1 pep chromosome:AWHD00000000:6:28491418:28493147:1 gene:ONIVA06G30450 transcript:ONIVA06G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEGHFFIPHPHFMHGHFLVPGGDTNTNTNTNTGNGGNTNTNTNTGGGGGNGDEMAVAMAAVAEAHAAGCMLPLSVFN >ONIVA06G30430.1 pep chromosome:AWHD00000000:6:28482882:28483277:1 gene:ONIVA06G30430 transcript:ONIVA06G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGRLARIQPPERPGELGERGRRSRHPGRARKGGGHERGRQSPGRAGRRGMRVWRPALVRQREEGRWRREEATSSETMATTTMQTRDDDDANPMTMTVRAAITTSAGGGGQWEEAAAGGRRRELGDACR >ONIVA06G30420.1 pep chromosome:AWHD00000000:6:28472850:28475746:1 gene:ONIVA06G30420 transcript:ONIVA06G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNIDIVHRLCGGQLVDLNRVNAGPDHNRGVPANGAEQFNWSGLSLMLGAAQIMVLAWQVIHVPWPLLLLALMTWFIGCLRLYGFQIAFPVYYHWHGDNNNQGAAAPASAGAGRDGMVNNAVENTIPDGGSLAWSGYAMIVLSVLALWSGFVSEPVAVFLAFVLLLLGCGFLHVAMLAPSKPKML >ONIVA06G30410.1 pep chromosome:AWHD00000000:6:28465392:28469545:1 gene:ONIVA06G30410 transcript:ONIVA06G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDIMPANLNDLTDSKAASHSAFETCKPRAKEHSRARLPPHANSSPPRPDLRRIVERWTDKIASKGGLGAKAAATHRVVKTGRAEAGVVYSNILLPVSIASSLPSIPFPLRAASSPRLADQPLVPPAFRPLKMVKVDTSSFVILLYCASPMVAVAWQAEPLQWSLLLLLGIWLIGCFKLFGLLHIMFPAYHGDNHCTGAATPISPGCVELVNRAEVAWSGYILMAAPVLAVWAGFISGPEISFLAFLLLLLGCRFVYLAMLAPSKFKMSLQHFHGPHQKKKKKEAS >ONIVA06G30400.1 pep chromosome:AWHD00000000:6:28454026:28464902:1 gene:ONIVA06G30400 transcript:ONIVA06G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSKPADDQQHGSSTGKHTTAAATTDRWAVTMAVWHGPMCSRTLNTYQDNTTNTLHDIESEEEEEEERMVKDDALPDEGTLQLCGYILISTSVAAMWAGFVDRQPVSAFMALVPKEREKKAINDLKCAKPNKQNLRLPGQAKHMQFSEVARPTKY >ONIVA06G30390.1 pep chromosome:AWHD00000000:6:28441468:28446035:1 gene:ONIVA06G30390 transcript:ONIVA06G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVDYFLTYVLPLLTAWSRRSRSAPENTTTTSTPTSQVEAINADEPFLAQRSTSAAASLLQDEDLEAGPVVAIVPAVREASEQAAGQSPSTGTNRVSFRYSRLATDQRSSPKLKEHLNLSFFLIITPAGVLFNLFVARTATEPISKSPSLIVASVSAFTSLVSGFWFMIDTMRQMRGRRNPNNPPCSWYNLFLCLSVMSLVFTVLSLIASFLPKTYYYFPVVLLPTMPILGIAFHDVCWSDEHGHLLPVGNNNGADADHHKADRKRSVQLVISMTTFSLMGSLGTSIGYKKNYSNRADHSFVKVSIYFMIGAAITGLVTLLINGLNKQGKEDWKRVAAGNAIMLALLVPSVLIVAETFLGGALLAGTSSPLVIAVLIWPFMEFHVPCDDSSNGEEKELKPLYTLALTATSVSFGAIMAVFAGFLGGGEGKGKTLEVAIFAVASCFLSGVSLCALTFRTPKKASVGVAARLLACFTFVLIVLAAFTLVCYVG >ONIVA06G30380.1 pep chromosome:AWHD00000000:6:28435142:28437575:1 gene:ONIVA06G30380 transcript:ONIVA06G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFTSNKDRAKQIEHAIDSEENEKKKKKQILTKNIEVKKLKSTRHNLPGSGILQSQKSGDTQSDGYEMRSKIVSEEMRSKKTVKGSDGLQSEKSGDMQSERYESDKILSREIRSKKTLKEKESLCHNGLKIFLK >ONIVA06G30380.2 pep chromosome:AWHD00000000:6:28435142:28440104:1 gene:ONIVA06G30380 transcript:ONIVA06G30380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINFTSNKDRAKQIEHAIDSEENEKKKKKQILTKNIEVKKLKSTRHNLPGSGILQSQKSGDTQSDGYEMRSKIVSEEMRSKKTVKGSVTIRSQGLMGYSLKNQGICNRRDMKVTKFCPEK >ONIVA06G30370.1 pep chromosome:AWHD00000000:6:28430034:28434465:-1 gene:ONIVA06G30370 transcript:ONIVA06G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKAKPSRGGGAAEDRLSALPDAVLHHVMSFLRAWEVARTCVLSRRWRHLWASAPCVDLRRLARRRPPSAAGAARQVRLPVAVRAGAPVDILRVMSSPDGEGEEDYTTSDVQTWIRAAIKRRARVIQLTDHPMDEAFFNLGFVPIISCHLKHLKLSGSLLWNTTLMQLSSQCPALEILELKKCSLHGHEISSTSLKSLTMVKCRIMADVTIAAPNLSKGSNPNVDPESTPARRWQLATGEPIQSVHILVSFVVHHRRAVNRGSQSFRRSSTSSKQIQSAQATSSIKQSNPQASSGCTNKHSDPVLRHHVSRSQAVLVADLVVASRRGSSLSPHSHWPVAALSSSPPAPSSSHVSCPAFRPPPARVTICIVAAQVKVLYKDFDEDAIDGINSDDGEGCTSDSDYDDSDANTCEYSEIADDYDDEKQRQEHCEGHNPIDDYDDENQHEEHGEEHNQIGHDEVLGGHNVLHILSNAEILELLADGGEGFPPDHSNVKLEGGCREEAAPVSSEVQSICADHAGSTACDASFEYIGTKYIWLPECENDLI >ONIVA06G30350.1 pep chromosome:AWHD00000000:6:28413724:28414302:1 gene:ONIVA06G30350 transcript:ONIVA06G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNQSGQAQDGMADQRFRSWLTEQLNMQQQNAGCSSNPAPAVMQMPALPSHTDYGGIYPNPTEYDGYNWRMCGQKLVQGGCHQKFYYECSQANCGAEKSVTRSADGQIKKTVCKGSHNHPRSSERVFGDGSATLDAIPVGEILQAAGVIRPSVAMPRNEEEDGLQSGSSDSEDDDASEARAAGDDNAIRCY >ONIVA06G30340.1 pep chromosome:AWHD00000000:6:28406552:28407594:-1 gene:ONIVA06G30340 transcript:ONIVA06G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFSSNLTDAARAVHMFKINGYSATRAMARTDSLPSRRLPVGGYHWELRYTASLIADSNHWVALKLVLLAAPTATTSAAVDGHVSHAFKRAGESSAILRRSALEASGAIVDDAFTVECTITVITEIPDNVAAAAPAAPANVLPPFSGRGLSLSHHLGELLRRGTGADVTLVVSGKCFPAHRAILASRSPVFMASLFGDMKEKSSRSVEIRDIEPQVFGAMLGFIYTDSVPELDQQDGVVVAQHLLAAADMCRLDGLKIMCEEKLIAGATVETAATTLALAEQHGCPRLKARCVEVVAANLDAVMATEGYKHLMASSPLVMNDLLRAVRGRKS >ONIVA06G30300.1 pep chromosome:AWHD00000000:6:28376124:28379491:-1 gene:ONIVA06G30300 transcript:ONIVA06G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICRRGGAAAASRHLLDGMSRRTTTARWVPRDRPEPAGRSGTGAPGRASHALGQMPRRRTTTTTARWVPRQGQKGEPGGSGHAAAPVDRLSALPDTLLHHVMSFLKAWEVVRTCVLSRRWRDLWASAPCVDIRLRGSGRDGAPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAETYDNDDVNIWICSAIKRNVRVIHLNGHRKDELVLEHTAFVSHHLKILKLSHIKLDGKILKQLSSQCTSLEDLELNNCPVNGGEILSVSLKKLTMVKCSITMDLSICAPNLELLCCITPYYHVPLFENLSSLVAATIMLDDSFLRRDEFLYEIAHECRGGRYGYCHDSKRRGSYYETCKLADSFSGKYLLRSLSSARSLELLAHSGEVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQESPNLEMLFLKLELSYSNKEAINIGFELKERSFACKNLEVVNIRCSKDDERVHMLAEIFVANGLPIEKIYVRRTGSTYSKGLMQTKFDYKHTAYSSKAVIELT >ONIVA06G30300.2 pep chromosome:AWHD00000000:6:28376124:28379491:-1 gene:ONIVA06G30300 transcript:ONIVA06G30300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPICRRGGAAAASRHLLDGMSRRTTTARWVPRDRPEPAGRSGTGAPGRASHALGQMPRRRTTTTTARWVPRQGQKGEPGGSGHAAAPVDRLSALPDTLLHHVMSFLKAWEVVRTCVLSRRWRDLWASAPCVDIRLRGSGRDGAPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAETYDNDDVNIWICSAIKRNVRVIHLNGHRKDELVLEHTAFVSHHLKILKLSHIKLDGKILKQLSSQCTSLEDLELNNCPVNGGEILSVSLKKLTMVKCSITMDLSICAPNLELLCCITPYYHVPLFENLSSLVAATIMLDDSFLRRDEFLYEIAHECRGGRYGYCHDSKRRGSYYETCKLADSFSGKYLLRSLSSARSLELLAHSGESIKYFACVYTAKVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQESPNLEMLFLKLELSYSNKEAINIGFELKERSFACKNLEVVNIRCSKDDERVHMLAEIFVANGLPIEKIYVRRTGSTYSKGLMQTKFDYKHTAYSSKAVIELT >ONIVA06G30290.1 pep chromosome:AWHD00000000:6:28339291:28340411:1 gene:ONIVA06G30290 transcript:ONIVA06G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGSSSSLQSQLCLGVREETGGGEGQNGGGGEGGGGGHIHQPNDEGAPVVQEHGHRRPGHDVAAGRRAGHRPRCRGARLQHTGLATECSRHLSKQYLGVRVLVLFLILTTYKNTHTHTGNCCYLYANF >ONIVA06G30280.1 pep chromosome:AWHD00000000:6:28327361:28333432:1 gene:ONIVA06G30280 transcript:ONIVA06G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARTDKPENFCGKFLAQPEIPKLKSVFSLQLYALTTLAPAHDFWLLRYTSILGDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMASCKIQSLCCLRQVAYKDTRSVITGWGRKLAALHALSQKAHHVGLLNSRCFLVLAMNLQFKSVKNNFACSLFRGFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLLQFLEVIKLGNTRNYQDTLVHRDLFLLQDTSSSNCMLDLASMLEAATPWSRISGINSSGCAAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLVPPGVAAATQHTPASRCCPDGFARAIGLWLRSCL >ONIVA06G30280.2 pep chromosome:AWHD00000000:6:28327361:28333432:1 gene:ONIVA06G30280 transcript:ONIVA06G30280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQARTDKPENFCGKFLAQPEIPKLKSVFSLQLYALTTLAPAHDFWLLRYTSILGDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMASCKIQSLCCLRQVAYKDTRSVITGWGRKLAALHALSQKAHHVGLLNSRCFLVLAMNLQFKSVKNNFACSLFRGFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLLQFLEVIKLGNTRNYQDTLVHRDLFLLQDTSSSNCMLDLASMLEAATPWSRISGINSSGCAAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLVPPGVAAATQHTPASRCCPDGFARAIGLWLRSCL >ONIVA06G30280.3 pep chromosome:AWHD00000000:6:28327361:28333432:1 gene:ONIVA06G30280 transcript:ONIVA06G30280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQARTDKPENFCGKFLAQPEIPKLKSVFSLQLYALTTLAPAHDFWLLRYTSILGDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMASCKIQSLCCLRQVAYKDTRSVITGWGRKLAALHALSQKAHHVGLLNSRCFLVLAMNLQFKSVKNNFACSLFRGFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLLQFLEVIKLGNTRNYQDTLVHRDLFLLQDTSSSNCMLDLASMLEAATPWSRISGINSSGCAAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLVPPGVAAATQHTPASRCCPDGFARAIGLWLRSCL >ONIVA06G30270.1 pep chromosome:AWHD00000000:6:28322822:28324984:-1 gene:ONIVA06G30270 transcript:ONIVA06G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGAAGEKTASSLLLGVRGYTSTLKNASTASCRLSAGHPIEVTLWEASPPALSHFSVHCPDLPSFNGNVLRVPKAIAAAVDDADGQLLLLLRVPIDQLGAPHDNDYLVYHPDPPSPKLDLLPNPPPPTLGDHQLAILSCGDDRYVVAALHVWSEFTSTLRLYRSSCSSGSWTSEEVSVEEPVRDRLCPIPDSAKRQLYHVTTKTITLGGAKGTVGWVDLWRGILLCDVLDEMSPRKLRDMPLPWPAKGNWRMYLNGDVSFCRDIAISQHKDSIKYLEMEIVSPRTVTTTIPTSTSADPTSYLEWVRRSREPQPTRRRSVFHPGSWRITTWSMPIPVTSWDDWRRDCTAESREVHLDTNPSHHYELLHSLMLSNSGDEHREEAQGQGATSSLSLGRLRLCYPALSCIDDDVVYLLGNAAGRGAKTGGMMVAVDVRNKELRGVAKLDPEKNTLYSMRCYLATGISKRLNTTTDTRVGRPEEDAEAAE >ONIVA06G30260.1 pep chromosome:AWHD00000000:6:28321528:28321961:-1 gene:ONIVA06G30260 transcript:ONIVA06G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAENAASGSVRGYTSAGCARSITQLREPYVPPDHQPRPSCSEAQRAPSAGSISGAGILVCDVLDEMSPKKLRDMSPRSGQA >ONIVA06G30250.1 pep chromosome:AWHD00000000:6:28316636:28320832:1 gene:ONIVA06G30250 transcript:ONIVA06G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVTEAAGRRVQEQVQFEGGLQVGFINPKHPERERERERERARDKLSNNNQKQGALTAAVGDRIKDPAATSKKVQVQGAAMANYHHQEYYQMAAAAAVAWPREPDSPQLSIMSGCSSLFSISTLRDDDGGGVRLAGAALPATPVSLAGIAGGASTPGGDEVDMEVRQQSGGSGDDRRTIRMMRNRESALRSRARKRAYVEELEKEVRRLVDDNLNLKKQCMAD >ONIVA06G30250.2 pep chromosome:AWHD00000000:6:28317063:28320832:1 gene:ONIVA06G30250 transcript:ONIVA06G30250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANYHHQEYYQMAAAAAVAWPREPDSPQLSIMSGCSSLFSISTLRDDDGGGVRLAGAALPATPVSLAGIAGGASTPGGDEVDMEVRQQSGGSGDDRRTIRMMRNRESALRSRARKRAYVEELEKEVRRLVDDNLNLKKQCMAD >ONIVA06G30250.3 pep chromosome:AWHD00000000:6:28317063:28320832:1 gene:ONIVA06G30250 transcript:ONIVA06G30250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANYHHQEYYQMAAAAAVAWPREPDSPQLSIMSGCSSLFSISTLRDDDGGGVRLAGAALPATPVSLAGIAGGASTPGGDEVDMEVRQQSGGSGDDRRTIRMMRNRESALRSRARKRAYVEELEKEVRRLVDDNLNLKKQCMAD >ONIVA06G30240.1 pep chromosome:AWHD00000000:6:28291227:28300132:1 gene:ONIVA06G30240 transcript:ONIVA06G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRRDPPPGRQRQVPPRGDPPPGRQRVPPRLLTNPFRDIGQRLSTGQAFKPIGQVSVSRMLAGPQLFGRLASQLFEVDPSLAFSTAGDSAEEGDEEDEEGEEEETEEECEDKGEKKTKAMGLEDKDKKDEGGESTLPPPQVPRVPRDFCETTLISFLGQRYKIILQSKNGPWQLSNPYQETHLATIMTIVYLDYLVDRVFSQVFSKMKDDSYKTAQAAVEQTETAQAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRYELIHTFLKDNPGQVTEFGLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFWNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSRMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFMSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDSMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRIQKNLENAVCTFIRDLNLPGFHCENPVICICDMPKPDRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTKLLTMITRSHKGGRSWNGHWDLDHVMVSDSFDVKINLPCNYQAACKTEAHDFLRVATDVLPEYEVGGKRPGLFRHLDKSLRNYIDHLRYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTDQVKRLLQSILDSVTLPEDWRV >ONIVA06G30240.2 pep chromosome:AWHD00000000:6:28291227:28300132:1 gene:ONIVA06G30240 transcript:ONIVA06G30240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRRDPPPGRQRQVPPRGDPPPGRQRVPPRLLTNPFRDIGQRLSTGQAFKPIGQVSVSRMLAGPQLFGRLASQLFEVDPSLAFSTAGDSAEEGDEEDEEGEEEETEEECEDKGEKKTKAMGGESTLPPPQVPRVPRDFCETTLISFLGQRYKIILQSKNGPWQLSNPYQETHLATIMTIVYLDYLVDRVFSQVFSKMKDDSYKTAQAAVEQTETAQAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRYELIHTFLKDNPGQVTEFGLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFWNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSRMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFMSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDSMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRIQKNLENAVCTFIRDLNLPGFHCENPVICICDMPKPDRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTKLLTMITRSHKGGRSWNGHWDLDHVMVSDSFDVKINLPCNYQAACKTEAHDFLRVATDVLPEYEVGGKRPGLFRHLDKSLRNYIDHLRYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTDQVKRLLQSILDSVTLPEDWRV >ONIVA06G30240.3 pep chromosome:AWHD00000000:6:28291227:28300132:1 gene:ONIVA06G30240 transcript:ONIVA06G30240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRRDPPPGRQRQVPPRGDPPPGRQRVPPRLLTNPFRDIGQRLSTGQAFKPIGQVSVSRMLAGPQLFGRLASQLFEVDPSLAFSTAGDSAEEGDEEDEEGEEEETEEECEDKGGESTLPPPQVPRVPRDFCETTLISFLGQRYKIILQSKNGPWQLSNPYQETHLATIMTIVYLDYLVDRVFSQVFSKMKDDSYKTAQAAVEQTETAQAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRYELIHTFLKDNPGQVTEFGLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFWNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSRMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFMSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDSMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRIQKNLENAVCTFIRDLNLPGFHCENPVICICDMPKPDRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTKLLTMITRSHKGGRSWNGHWDLDHVMVSDSFDVKINLPCNYQAACKTEAHDFLRVATDVLPEYEVGGKRPGLFRHLDKSLRNYIDHLRYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTDQVKRLLQSILDSVTLPEDWRV >ONIVA06G30240.4 pep chromosome:AWHD00000000:6:28291227:28300132:1 gene:ONIVA06G30240 transcript:ONIVA06G30240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRRDPPPGRQRQVPPRGDPPPGRQRVPPRLLTNPFRDIGQRLSTGQAFKPIGQVSVSRMLAGPQLFGRLASQLFEVDPSLAFSTAGDSAEEGDEEDEEGEEEETEEECEDKGEKKTKAMGLEDKDKKDEGGESTLPPPQVPRVPRDFCETTLISFLGQRYKIILQSKNGPWQLSNPYQETHLATIMTIVYLDYLVDRVFSQVFSKMKDDSYKTAQAAVEQTETAQAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRYELIHTFLKDNPGQVTEFGLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFWNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSRMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFMSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDSMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRIQKNLENAVCTFIRDLNLPGFHCENPVICICDMPKPDRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTKLLTMITRSHKGGRSWNGHWDLDHVMVSDSFDVKINLPCNYQAACKTEAHDFLRVATDVLPEYEVGGKRPGLFRHLDKSLRNYIDHLRYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTDQVKRLLQSILDSVTLPEDWRV >ONIVA06G30240.5 pep chromosome:AWHD00000000:6:28291227:28300132:1 gene:ONIVA06G30240 transcript:ONIVA06G30240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRRDPPPGRQRQVPPRGDPPPGRQRVPPRLLTNPFRDIGQRLSTGQAFKPIGQVSVSRMLAGPQLFGRLASQLFEVDPSLAFSTAGDSAEEGDEEDEEGEEEETEEECEDKGGESTLPPPQVPRVPRDFCETTLISFLGQRYKIILQSKNGPWQLSNPYQETHLATIMTIVYLDYLVDRVFSQVFSKMKDDSYKTAQAAVEQTETAQAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRYELIHTFLKDNPGQVTEFGLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFWNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSRMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFMSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDSMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRIQKNLENAVCTFIRDLNLPGFHCENPVICICDMPKPDRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTKLLTMITRSHKGGRSWNGHWDLDHVMVSDSFDVKINLPCNYQAACKTEAHDFLRVATDVLPEYEVGGKRPGLFRHLDKSLRNYIDHLRYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTDQVKRLLQSILDSVTLPEDWRV >ONIVA06G30240.6 pep chromosome:AWHD00000000:6:28291227:28300132:1 gene:ONIVA06G30240 transcript:ONIVA06G30240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRRDPPPGRQRQVPPRGDPPPGRQRVPPRLLTNPFRDIGQRLSTGQAFKPIGQVSVSRMLAGPQLFGRLASQLFEVDPSLAFSTAGDSAEEGDEEDEEGEEEETEEECEDKGEKKTKAMGGESTLPPPQVPRVPRDFCETTLISFLGQRYKIILQSKNGPWQLSNPYQETHLATIMTIVYLDYLVDRVFSQVFSKMKAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRYELIHTFLKDNPGQVTEFGLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFWNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSRMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFMSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDSMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRIQKNLENAVCTFIRDLNLPGFHCENPVICICDMPKPDRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTKLLTMITRSHKGGRSWNGHWDLDHVMVSDSFDVKINLPCNYQAACKTEAHDFLRVATDVLPEYEVGGKRPGLFRHLDKSLRNYIDHLRYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTDQVKRLLQSILDSVTLPEDWRV >ONIVA06G30240.7 pep chromosome:AWHD00000000:6:28291227:28300132:1 gene:ONIVA06G30240 transcript:ONIVA06G30240.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRRDPPPGRQRQVPPRGDPPPGRQRVPPRLLTNPFRDIGQRLSTGQAFKPIGQVSVSRMLAGPQLFGRLASQLFEVDPSLAFSTAGDSAEEGDEEDEEGEEEETEEECEDKGGESTLPPPQVPRVPRDFCETTLISFLGQRYKIILQSKNGPWQLSNPYQETHLATIMTIVYLDYLVDRVFSQVFSKMKDDSYKTAQAAVEQTETAQAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRYELIHTFLKDNPGQYHGRFFVLESDVGFWNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSRMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFMSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDSMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRIQKNLENAVCTFIRDLNLPGFHCENPVICICDMPKPDRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTKLLTMITRSHKGGRSWNGHWDLDHVMVSDSFDVKINLPCNYQAACKTEAHDFLRVATDVLPEYEVGGKRPGLFRHLDKSLRNYIDHLRYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTDQVKRLLQSILDSVTLPEDWRV >ONIVA06G30230.1 pep chromosome:AWHD00000000:6:28284292:28288320:1 gene:ONIVA06G30230 transcript:ONIVA06G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEGGTVLVGVRGYDSGHREKEGGSTATSRTSNGRPIEMTFWNEAPPALSHFSAHGSDLPPTAHGDLLLAPKVIAAADGLLLLRVPVNPVPGGKSLFRQDDYFVYHHHQPARLDLLPRPCQQYCLRDDDFAIVSICGDKQQYVVAALEMINLPSQFALHRYKSSSGGGDGDEIAGNWTCEEVFVEEAVRDRVCPIPDSAERPVYHITTKTIALGGAKGTVGWVDLWRGILLCDLLDEMSPPKLRDMPLPWPAKGNWTRYLSDSESFYRDITVSQHKDFIKYVEMEITMPRVVTKTIISSGDRTMPADDPPDSFLEWVRRSREPQPQPTTRQRSSVRRPGQWRLTTWTMPIPVTSWEDWRPDCTANLHDFHVVDNTAHHGLLDKLMLSTSDDEEAKGSSLSLGCLAMSYPALSIDDDDVVYLLCNSANRDCDMGGVMIALDVRKKEIQGAAKLDGKKNTLFSMRCYLATAISKHPTPTADTRVGQPKE >ONIVA06G30230.2 pep chromosome:AWHD00000000:6:28284292:28287209:1 gene:ONIVA06G30230 transcript:ONIVA06G30230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGEGGTVLVGVRGYDSGHREKEGGSTATSRTSNGRPIEMTFWNEAPPALSHFSAHGSDLPPTAHGDLLLAPKVIAAADGLLLLRVPVNPVPGGKSLFRQDDYFVYHHHQPARLDLLPRPCQQYCLRDDDFAIVSICGDKQQYVVAALEMINLPSQFALHRYKSSSGGGDGDEIAGNWTCEEVFVEEAVRDRVCPIPDSAERPVYHITTKTIALGGAKGTVGWVDLWRGILLCDLLDEMSPPKLRDMPLPWPAKGNWTRYLSDSESFYRDITVSQHKDFIKYVEMEITMPRVVTKTIISSGDRTMPADDPPDSFLEWVRRSREPQPQPTTRQRSSVRRPGQWRLTTWTMPIPVTSWEDWRPDCTANLHDFHVVDNTAHHGLLDKLMLSTSDDEEAKGSSLSLGCLAMSYPALSIDDDDVVYLLCNSANRDCDMGGVMIALDVRKKEIQGAAKLDGKKNTLFSMRCYLATAISKHPTPTADTRVGQPKE >ONIVA06G30220.1 pep chromosome:AWHD00000000:6:28282904:28284063:1 gene:ONIVA06G30220 transcript:ONIVA06G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPLQLVALLLLSLLLRSATAAEYTVGDGPWDTGTNYATWSDKHAFLAGDILVFQYVRSQHNVLQVTEATYRSCDTGGGGVAGVIKSYDTGYDRVQLTEPNATYWFICDFPGHCLGGMRLAVKVAAAAAGGGGGGGSPPPSGVPLHPPAAGGAGRSQWPAWGLTLAVLLVVFHYCIIIF >ONIVA06G30210.1 pep chromosome:AWHD00000000:6:28276697:28278919:-1 gene:ONIVA06G30210 transcript:ONIVA06G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSPSLAKSIFSLGTQGAMIERSNSTPSATPARPPLAVDEEYNQAFRSKSFLDLWSHAHHHLTHTFSSFKLSTSTPCAGRGGAREDDFLHAGGDGGAADDSEQSCSYTVLDDFVLEPSPESLARGARLQQRRRRRPRRHRVETLLIEYFDVTEEACEACSALLAAIGAARRHHLTLRRLLLRLDGGDDDDAKDALARHVRLDNPLSPGSLSEFHDVHARCSPLASRLAAAQRRLRRLARALRIARGTAAAALVGACAAAIVAAVVLAAHALVGIGVAAAAFGATPAGAGRWWGRRAAEKVSSRHYARAGATLDAAARGAYIVGRDLDTVSRMVRRAHDELEHGRDVARIAMRGHGERPLLQEVAREEEECEEDLRAQLAELEEHVCLCLITINRTRRLVAHEMARGLPPPSPATMTTTSEERLTSS >ONIVA06G30200.1 pep chromosome:AWHD00000000:6:28275007:28277110:1 gene:ONIVA06G30200 transcript:ONIVA06G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGHVFAVVAFVSYALLAAASTRVEAFAASGWSKGTATFYGGSDASGTMGGACGYGNLYTQGYGTRTAALSTALFDDGASCGQCYALTCDARADPRWCRAGASVTVTATNFCPPNYALPSDDGGWCNPPRPHFDMAQPAWERIGVYRGGIVPVAFRRVPCRRRGGVRFTVAGRDYFELVLVTNVAAAGSVRSMEVRGSRRGAGWMAMSRNWGANWQSLAYLDGQGLSFRVTATDGQTIVFAGVVPPSWRFGQTFASTQQFM >ONIVA06G30190.1 pep chromosome:AWHD00000000:6:28271153:28274821:1 gene:ONIVA06G30190 transcript:ONIVA06G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAALSGMASGDRKKGARAAGNGGGHLSSAGYGRAVGGYGRAPAELLRRTTTRAGLEGILALNLMTAEACMVGAEAAAESRNNLARIKAR >ONIVA06G30180.1 pep chromosome:AWHD00000000:6:28264333:28267459:1 gene:ONIVA06G30180 transcript:ONIVA06G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSSYLALALAVAAVLAMAAVEVSGLGFDLHHRYSPIVQRWAEERGHAGVSWPAGAEVIGSPEYYSALSRHDHALFARRGLAQGDGLVTFADGNITLRLDGSLHYAEVAVGTPNTTFLVALDTGSDLFWVPCDCKQCAPLGNLTAVDGGGGPELRQYSPSKSSTSKTVTCASNLCDQPNACATATSSCPYAVRYAMANTSSSGELVEDVLYLTREKGAAAAAAGAAVRTPVVFGCGQVQTGSFLDGAAADGLMGLGMEKVSVPSILASTGVVKSNSFSMCFSKDGLGRINFGDTGSADQSETPFIVKSTHSYYNISITSMSVGDKNLPLGFYAIADSGTSFTYLNDPAYTAYTTNFNAQISERRANFSGSTRSGPFPFEYCYSLSPDQTTVELPIVSLTTNGGAVFPVTSPVYPIAAQMTNGEIRIIGYCLAVIKSDLPIDIIGQNFMTGLKVVFNREKSVLGWQKFDCYKDEKMTDDGSSVGSPSPSPGPTTHVFPQPQESDSPAGRTPIPGAAPVPRSSSAAAGGRAGFRLLSSMALLLLAAAAAAVF >ONIVA06G30170.1 pep chromosome:AWHD00000000:6:28252161:28254776:-1 gene:ONIVA06G30170 transcript:ONIVA06G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTLMNLLRACWRPSSNQHARAGSDVAGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQCQIESGPLSFLDSGPYGTFVGVYDGHGGPETACYINDHLFHHLKRFASEQNSMSADVLKKAYEATEDGFFSVVTKQWPVKPQIAAVGSCCLVGVICGGILYVANVGDSRVVLGRHVKATGEVLAVQLSAEHNVSIESVRKELQSMHPEDRHIVVLKHNVWRVKGLIQVCRSIGDAYLKRSEFNREPLYAKFRLREPFHKPILSSEPSISVQPLQPHDQFLIFASDGLWEHLTNQEAVDIVHSSPRNGSARRLIKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSSLVSRASTYRGPSVSLRGGGVNLRSNTLAPYASQM >ONIVA06G30170.2 pep chromosome:AWHD00000000:6:28254829:28256305:-1 gene:ONIVA06G30170 transcript:ONIVA06G30170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNASASRESAAGDPPTSQRRLTPAAGSFVPGGAGRREREREGFPLGSASIRSERRRIRVGSEAASSVATPKSRDSIEATLPNSLKSPIRNPPIGRVRHAKRIKENIGVSTSEAAFS >ONIVA06G30170.3 pep chromosome:AWHD00000000:6:28254783:28256305:-1 gene:ONIVA06G30170 transcript:ONIVA06G30170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNASASRESAAGDPPTSQRRLTPAAGSFVPGGAGRREREREGFPLGSASIRSERRRIRVGSEAASSVATPKSRDSIEATLPNSLKSPIRNPPIGRVRHAKRKTSAYQQVKLHFLRAQMKRPRRRLWWDD >ONIVA06G30170.4 pep chromosome:AWHD00000000:6:28254827:28256302:-1 gene:ONIVA06G30170 transcript:ONIVA06G30170.4 gene_biotype:protein_coding transcript_biotype:protein_coding HPTPARAANPPPVIRRRVSAASRRPPVRSSPAVLVGERERERDFPLAPRRYDPRGGGFGSDRRRVGKKNPIRLPYPTLLLRLRASSVATPKSRDSIEATLPNSLKSPIRNPPIGRVRHAKRIKENIGVSTSEAAFS >ONIVA06G30160.1 pep chromosome:AWHD00000000:6:28247665:28255713:1 gene:ONIVA06G30160 transcript:ONIVA06G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAFFHAAPRSGSNVSLASLARTAGGRRMMHRVFRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRVWRSRRSGISSICYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFRESPDLFEIEGTNGMPRASIDKLPEVRITEEYRRNAIGDLSGCSVCLQDFQTGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL >ONIVA06G30150.1 pep chromosome:AWHD00000000:6:28236502:28240355:-1 gene:ONIVA06G30150 transcript:ONIVA06G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G76050) TAIR;Acc:AT1G76050] MATTAAASPPAIATALSALLRRQRRRSSRCVGASHARCLAADANAEAVAPSRRGGHGGTRLEEAVPAGEGRSRIDAWISARLGGGGVSRARIQASIRAGLVVVNGRPVSKVSHMVKGGDIVSCTVSELQPLRAEPEDIPLDIVYEDDHLLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLARNSIDDECPDSSDDDIDVFDIDQFTTGEVSSEVREALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGAPNPNSGRIEVPIARDPNNRIRMIATPGSGHRYARHAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGGTKSMALSLLRPRTPSRYHCDLSNMISKIDRPCLHAALLGFKHPHSGKILEFSCPPPDDFTEVLNELHQVTLASNGNSGGGVARICD >ONIVA06G30150.2 pep chromosome:AWHD00000000:6:28236502:28240355:-1 gene:ONIVA06G30150 transcript:ONIVA06G30150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G76050) TAIR;Acc:AT1G76050] MATTAAASPPAIATALSALLRRQRRRSSRCVGASHARCLAADANAEAVAPSRRGGHGGTRLEEAVPAGEGRSRIDAWISARLGGGGVSRARIQASIRAGLVVVNGRPVSKVSHMVKGGDIVSCTVSELQPLRAEPEDIPLDIVYEDDHLLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLARNSIDDECPDSSDDDIDVFDIDQFTTGEVSSEVREALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGAPNPNSGRIEVPIARDPNNRIRMIATPGSGHRYARHAASRWPSNLKRFYRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGGTKSMALSLLRPRTPSRYHCDLSNMISKIDRPCLHAALLGFKHPHSGKILEFSCPPPDDFTEVLNELHQVTLASNGNSGGGVARICD >ONIVA06G30140.1 pep chromosome:AWHD00000000:6:28234450:28237811:1 gene:ONIVA06G30140 transcript:ONIVA06G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDDDQDEVQESMEDQTAAAAGLDSRVKASLVLGTESFAISSESGILSEQLAAMKEKSMEILKGYITKHNAPANVPDEPIEGLSDDEGDAPAKNPPKKPKKQK >ONIVA06G30130.1 pep chromosome:AWHD00000000:6:28225186:28229372:-1 gene:ONIVA06G30130 transcript:ONIVA06G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G75820) TAIR;Acc:AT1G75820] MPPTLLFLLLLLPPSLASPDRDIYALAKLKAALVPSPSATAPPPLADWDPAATSPAHCTFSGVTCDGRSRVVAINLTALPLHFGYLPPEIALLDSLANLTIAACCLPGHVPLELPTLPSLRHLNLSNNNLSGHFPVPDSGDGASPYFPSLELIDAYNNNLSGLLPPFSASHARLRYLHLGGNYFTGAIPDSYGDLAALEYLGLNGNTLSGHVPVSLSRLTRLREMYIGYYNQYDGGVPPEFGDLGALVRLDMSSCNLTGPVPPELGRLQRLDTLFLQWNRLSGEIPPQLGDLSSLASLDLSVNDLAGEIPPSLANLSNLKLLNLFRNHLRGSIPDFVAGFAQLEVLQLWDNNLTGNIPAGLGKNGRLKTLDLATNHLTGPIPADLCAGRRLEMLVLMENGLFGPIPDSLGDCKTLTRVRLAKNFLTGPVPAGLFNLPQANMVELTDNLLTGELPDVIGGDKIGMLLLGNNGIGGRIPPAIGNLPALQTLSLESNNFSGALPPEIGNLKNLSRLNVSGNALTGAIPDELIRCASLAAVDLSRNGFSGEIPESITSLKILCTLNVSRNRLTGELPPEMSNMTSLTTLDVSYNSLSGPVPMQGQFLVFNESSFVGNPGLCGGPVADACPPSMAGGGGGAGSQLRLRWDSKKMLVALVAAFAAVAVAFLGARKGCSAWRSAARRRSGAWKMTAFQKLEFSAEDVVECVKEDNIIGKGGAGIVYHGVTRGAELAIKRLVGRGGGEHDRGFSAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAAEAACGLCYLHHDCAPRIIHRDVKSNNILLDSAFEAHVADFGLAKFLGGATSECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVHWVRKVTAELPDNSDTAAVLAVADRRLTPEPVALMVNLYKVAMACVEEASTARPTMREVVHMLSNPNSAQPNSGDLLVTF >ONIVA06G30120.1 pep chromosome:AWHD00000000:6:28220417:28223252:-1 gene:ONIVA06G30120 transcript:ONIVA06G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPPPQTAWVPPGSVATYAAGSPYTSATSPASRRPSTVPGGVYSPPWRAPMASYCYYGNTSNPNPNPNPSPAPSAPPLYPTLSMADLAPVQIGPSSPSSPMSPASPATPVDAYANAPPPSEDVLLRIPGAQLHLIDRHRSYPLAAGDLSLLRIRSGDTSLAAIALLHPIQWPLARDVASVKLDPCHYSFSLTVPPSADDPNPGPLHYGLTLSHPDPRLDGILATYTSFSVQSVVGGEALASKVRDEVQAAAYWTAVAPNVEEYGGKVANAIATGAGHLAKGILWCSELTVDRLRWGNEVLKRRMQPGDADAEVSPEMLRRIKRVKMVTKMSEKVATGILSGVVKVTGYFTNSIANSKAGKKFFNLLPGEIVLASLDGFGKICDAVEVAGTNVLSTSSTVTTGLVSHKYGEKAAAATNEGMDAAGHAIGTAWAVFKIRQALNPKSVLKPTSLAKSTIKAAAADYRAKQKK >ONIVA06G30110.1 pep chromosome:AWHD00000000:6:28217817:28219118:-1 gene:ONIVA06G30110 transcript:ONIVA06G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLPRFFSATHPTHTHTSIRSPPHNTGNPPPFARRRRRRLPPPRTGPTNDGSLPSEAVGHLLPAAPPSGRPAPSWGSIRPRFLSPLPVAMDDGDLDFSNPDTFLCPAVGGADPDGSCSMDSYFDDILKDTEHHACTHTHTCNPPVHDLSHTHTCVHVHTKIVSAPSDTPSDAAETAESPTENNASKKRPSGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQNHATLEAEVSRLRCLLVDIRGRIEGEIGAFPYQRPVKNIDLVSSVDQGSYLGGAQVMNSCDFRCADQMYCSPGMQVRTMGEDGAVSGQVLGQGACDIASIQCQGAKSGSAKLPVCGAMGTMPVGCMPNSEKK >ONIVA06G30100.1 pep chromosome:AWHD00000000:6:28207678:28213342:-1 gene:ONIVA06G30100 transcript:ONIVA06G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein htpG family protein [Source:Projected from Arabidopsis thaliana (AT4G24190) TAIR;Acc:AT4G24190] MRKSRLLAKKLQVNADDSTDELVDPPKVEEKIGGVPHGLSTDSEVVQREAESISRKTLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYVEEDKLKDLVKKYSEFINFPIYLWATKEVDVEVPADEDESSESSEEEESSPESTEEEETEESEEKKPKTKTVKETTTEWELLNDVKAIWLRSPKEVTEEEYTKFYHSLAKDFGDDKPLSWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSAMEEKKGQYAKFWNEFGKSVKLGIIEDATNRNRLAKLLRFESTKSEGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALDTESVDSVKISNRLSDTPCVVVTSKYGWSANMEKIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRDKVAQDSESESLKQTAKLVYQTALMESGFNLPDPKDFASSIYRSVQKSLDLSPDAAVEEEEEVEEAEVEEKESSNIKEEAEPSSYDKDEL >ONIVA06G30100.2 pep chromosome:AWHD00000000:6:28207676:28212582:-1 gene:ONIVA06G30100 transcript:ONIVA06G30100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein htpG family protein [Source:Projected from Arabidopsis thaliana (AT4G24190) TAIR;Acc:AT4G24190] MDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYVEEDKLKDLVKKYSEFINFPIYLWATKEVDVEVPADEDESSESSEEEESSPESTEEEETEESEEKKPKTKTVKETTTEWELLNDVKAIWLRSPKEVTEEEYTKFYHSLAKDFGDDKPLSWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSAMEEKKGQYAKFWNEFGKSVKLGIIEDATNRNRLAKLLRFESTKSEGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALDTESVDSVKISNRLSDTPCVVVTSKYGWSANMEKIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRDKVAQDSESESLKQTAKLVYQTALMESGFNLPDPKDFASSIYRSVQKSLDLSPDAAVEEEEEVEEAEVEEKESSNIKEEAEPSSYDKDEL >ONIVA06G30090.1 pep chromosome:AWHD00000000:6:28201905:28203786:-1 gene:ONIVA06G30090 transcript:ONIVA06G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDAARASLVSRNWRMLWTCHPNLCFDGTKQEPTDEGTLKINRWYFSKTVNHVVRRHKGIGLNKFSINCDLNKDEFKHIDGKDVNLQSRHRNRWPTRKHLLEDVYHFSLDALDAKHDPALESLFLAVVSIEVHPNISGFTMLKRLALQYVKLVGDLPDLLSRCSLLEDLDISVCTGVGDLVIPCQLDKLQHLRIWGTEVQMIEFHVSCLTRFGYRGEAISIMLHGCPKSVKATIVFLELNQLDHVFTVLPSALPVKELSLDLHMYDYDLGQVHTLTRPRNMFMHLRHLKCEVYVLTSAPNTCKGVVQLAHYLEFTPLLEVLEWHMYYYKKYKCRVRKTKVAREDYRLSRHDHLKTVYMSGFRCYRPQEELVYFILENAVALEFMSIEPHTILADDDHCDFSDIAEYKKIRKWARRTSACFGKQVQVKKKKLAQYFTM >ONIVA06G30080.1 pep chromosome:AWHD00000000:6:28199253:28202315:1 gene:ONIVA06G30080 transcript:ONIVA06G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLHFLSLLLFLSLLQGAQAATFTISNRCGYTVWPGILSNAGVAPPSTTGFALSPGQTLAVSVAAAWSGRIWGRTLCGQDSSGKFTCATGDCGSGAVECSGRGAAPPATLAEFTLAGGSGGGGGDDFYDVSLVDGYNLPMLVAPSTPPPASGGAASNNGSSCQVTGCVMDLNKSCPAELQVVAASAARRAVAACKSACEAFGTAEYCCSGAHGSPATCAATAYSRFFKGACPRAYSYAYDDATSTFTCAAAGGGYDVVFCPGMSSLKSGGNPEAVGLPPTYSTMAFTGNAESLTMSRNSLVILLMIISSVISTLSW >ONIVA06G30070.1 pep chromosome:AWHD00000000:6:28197032:28197433:1 gene:ONIVA06G30070 transcript:ONIVA06G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASTAAPWGCVAGARRGGGGGGRCGVRASAALAVAAPAARTHYEVLGVGAGASRGEIKAAYRRLAREVHPDAGAAGDEDFIRLHAAYATLADPDERARYDRAMAGPAASAFRRAPASSFRRRTWETDQCW >ONIVA06G30060.1 pep chromosome:AWHD00000000:6:28187860:28194136:1 gene:ONIVA06G30060 transcript:ONIVA06G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT1G30755) TAIR;Acc:AT1G30755] MGCVCSRRFRDDAAPRSVQPLAAAYEARRGRYGPGDFDSGELAIPPPKLLTSHKVPETGTLLGRASIAAVEVLDTLGSSMTNLNHGSGFLSGGTNRGNRVCILAFEVANTIAKASNLWRSCSDTSIKELKEEILHSDGVQILVSSNSSELLYIAYVDKRDELDIFSREVIRFGNLCKDPTWHNLGRYFNKLTTDFAPQDNSKEHMETTIQQLINLAQNTSELYHELHALDRFEQDFQRKFHEEESVPAARRESVMILHSELKRQRKLVKTLKKKSLWSRTLETIVEKLVDIVVFLHKQIRDSFSEAGADLFNSEQAQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPVTVKSALRSRLQSVNAQEERTVAQIKAEMQKTLRWILPIAENTIRAHQGFGWVGEWANLGCEMNKKSGSQLSITRVQTLHYADKAKTEQYMLDLVVLLHHLVVQVKNRGYGSKSSKHDQSRSRKGMDLQPESKLNTSPVNNATYSSPLSESEHETLDHLSFKRTGYGRSKSCEPPPNRGKKAHRTWDSCRSHGSSPAREFGRNSASELDKTMDLDVIDGLDRLTSYHPTSPTFC >ONIVA06G30060.2 pep chromosome:AWHD00000000:6:28187860:28194136:1 gene:ONIVA06G30060 transcript:ONIVA06G30060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT1G30755) TAIR;Acc:AT1G30755] MGCVCSRRFRDDAAPRSVQPLAAAYEARRGRYGPGDFDSGELAIPPPKLLTSHKVPETGTLLGRASIAAVEVLDTLGSSMTNLNHGSGFLSGGTNRGNRVCILAFEVANTIAKASNLWRSCSDTSIKELKEEILHSDGVQILVSSNSSELLYIAYVDKRDELDIFSREVIRFGNLCKDPTWHNLGRYFNKLTTDFAPQDNSKEHMETTIQQLINLAQNTSELYHELHALDRFEQDFQRKFHEEESVPAARRESVMILHSELKRQRKLVKTLKKKSLWSRTLETIVEKLVDIVVFLHKQIRDSFSEAEQAQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPVTVKSALRSRLQSVNAQEERTVAQIKAEMQKTLRWILPIAENTIRAHQGFGWVGEWANLGCEMNKKSGSQLSITRVQTLHYADKAKTEQYMLDLVVLLHHLVVQVKNRGYGSKSSKHDQSRSRKGMDLQPESKLNTSPVNNATYSSPLSESEHETLDHLSFKRTGYGRSKSCEPPPNRGKKAHRTWDSCRSHGSSPAREFGRNSASELDKTMDLDVIDGLDRLTSYHPTSPTFC >ONIVA06G30050.1 pep chromosome:AWHD00000000:6:28169190:28172515:-1 gene:ONIVA06G30050 transcript:ONIVA06G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVE6] MGVSDNTVGLSLAVASSAFIGASFILKKIGLIRAGKGGVRAGGGGYTYLLEPLWWAGMMTMLLGEIANFVAYTFAPAVLVTPLGALSIIVSSLLAHFVLKERLEKLGVLGCVSCIVGSVIVVIHAPQEHMPNSVEEIWNLAIQPGFLTYAVATLVVVAALVLFFEPRYGQTNIMIYLGICSSMGSLTVVSIKAIGVAIKLTLDGMNQVAYPHTWLFVIIAIICVVSQINYLNKALDTFDLAVVSPIYYVMFTTLTIVASGIMFKDWAGQSFSSIASEFCGLITILTGTIMLHTAKEEETGSSAALPWPLDRGSISWCISLGSDNLLKNVNEDYFAALQSSPAPV >ONIVA06G30040.1 pep chromosome:AWHD00000000:6:28162731:28166958:-1 gene:ONIVA06G30040 transcript:ONIVA06G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Brain/reproductive organ-expressed protein (InterPro:IPR010358); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryo /.../9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G42470) TAIR;Acc:AT5G42470] MSPPADTAALASTSGAPLAPLVAAQLNFVLSQANLPIRVGQIWSGCRDGRYADRFTLAIPFCLDYVYWDFLYNALSPKVAPDVVFGPDDEGFQPLVDFDETGSGEKSCLANWDCRDTSALLSLIKELREFYIEYQKKRAAEVDDARLKFEISTVLSKEGIEVCTVSSNGRPDEVKFAVPLLDLDLAKLVPGCPWKLPQKIHLQAVFPISRSYSSVPSAPRLKLVSTPDLKSFFSVDDVKLPPWLDGMCMAEYLPNLEENLKIQVVEASASIGSRRRFIEALAPTFGRPLEADPVHFVIPLQFPKHQPVLTLESSQHFNAQGLPIMSAPVNDYPWSPRWDPTEMVERI >ONIVA06G30030.1 pep chromosome:AWHD00000000:6:28153129:28160564:1 gene:ONIVA06G30030 transcript:ONIVA06G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAADRSGAAEGESEWREELRQQQSQVDALRERLVEVKVGMKCSEEDSRRELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRHQDGVGYVDRNGVPLADWSKGGESASCEGLDDETSADSSRVAQHGDANEGDVDVEDILKSIHVVTDVMETLVKRVIVAESEAANEKEKVRMGLEEIRRKTIQVESMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKHDFESLRTYVGTLVNVRETLLSSEKQFETMEKLFDRLVARTNQLESEKAQKEAEVQKVVEENVRLRAMIDKKEAQLQAMSEQCKFMALSRPN >ONIVA06G30030.2 pep chromosome:AWHD00000000:6:28153129:28156393:1 gene:ONIVA06G30030 transcript:ONIVA06G30030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAADRSGAAEGESEWREELRQQQSQVDALRERLVEVKVGMKCSEEDSRRELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRHQDGVGYVDRNGVPLADWSKGGESASCEGLDDETSADSSRVAQHGDANEGDVDVEDILKSIHVVTDVMETLVKRVIVAESEAANEKEKVRMGLEEIRRKTIQVESMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKHDFESLRTYVGTLVNVRETLLSSEKQFETMEKLFDRLVARTNQLESEKAQKEAEVQKVVEENV >ONIVA06G30020.1 pep chromosome:AWHD00000000:6:28150089:28152169:-1 gene:ONIVA06G30020 transcript:ONIVA06G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVE1] MANCVRCCCWLLVLMLMALAITAAVVFVRYKNGEGVFPFPGVPGAVDHKYADALAVALQFFQFLIDEAGKLVNNTIHWRGDSALDDGKEAGIDLSKGMYDAGDHMKFGFPMAFTATMLSWSVLEYGDAMRAADQRDSAIDALNWIMDYLVNAHPSDNVLYIQVGDPKADHKCWERPEKMKEKRPLTKITPKSPGSDVAAETAAAMAAASLVYKTINKTYSSSLLDHGERLFAFADKHRGSYTRTFPELSAFYNSTTYQDELLWAASWLYHATGNHSYLAYATGKNKDFADLGNPRYFSWDDKRAGTEVLLSRVSFFASQGSDVAQDDVLGMYKQTADAVMCILLPDSETAAFRTEGGLLYVAEWNSLQHPVASAFLAAVYSDYMQSSGKTELSCSGQGFSPADLRKFAKSQADYLLGSNPMKISYLVGYGDRYPEKVHHRGASIPEDVDTGCDGHKWLETSKPNPNVATGALVGGPYKNDSFVDERDNVMQNEATTYNSALVAGLLSALVSTSSLARSLS >ONIVA06G30020.2 pep chromosome:AWHD00000000:6:28150089:28152169:-1 gene:ONIVA06G30020 transcript:ONIVA06G30020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVE1] MANCVRCCCWLLVLMLMALAITAAVVFVRYKNGEGVFPFPGVPGAVDHKYADALAVALQFFQVQKSGKLVNNTIHWRGDSALDDGKEAGIDLSKGMYDAGDHMKFGFPMAFTATMLSWSVLEYGDAMRAADQRDSAIDALNWIMDYLVNAHPSDNVLYIQVGDPKADHKCWERPEKMKEKRPLTKITPKSPGSDVAAETAAAMAAASLVYKTINKTYSSSLLDHGERLFAFADKHRGSYTRTFPELSAFYNSTTYQDELLWAASWLYHATGNHSYLAYATGKNKDFADLGNPRYFSWDDKRAGTEVLLSRVSFFASQGSDVAQDDVLGMYKQTADAVMCILLPDSETAAFRTEGGLLYVAEWNSLQHPVASAFLAAVYSDYMQSSGKTELSCSGQGFSPADLRKFAKSQADYLLGSNPMKISYLVGYGDRYPEKVHHRGASIPEDVDTGCDGHKWLETSKPNPNVATGALVGGPYKNDSFVDERDNVMQNEATTYNSALVAGLLSALVSTSSLARSLS >ONIVA06G30010.1 pep chromosome:AWHD00000000:6:28148617:28149198:1 gene:ONIVA06G30010 transcript:ONIVA06G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLLPLLPHRPSQPLLLLLRHRRRPSIPRASSGDPSPTAADAPTDAQSATPPSSGAKPTGVKNRLRARNQARRVQEVTPPAPLGITMKSKSSSSSRPAASKSSASASASAATRREKQTRRKEWEEMSMAEKAGELYVGEKGLLFWLNKFAYASIFIMVGAWILFRFVGPSIGLYQLDAPPLAPTDVFAGSP >ONIVA06G30000.1 pep chromosome:AWHD00000000:6:28143815:28148513:-1 gene:ONIVA06G30000 transcript:ONIVA06G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel plant snare 11 [Source:Projected from Arabidopsis thaliana (AT2G35190) TAIR;Acc:AT2G35190] MANSTVRINPLNCVNILQLCCLLASPTYRLGDLIDADHLTSTVGCRSPPPDHHRDPSIPAIPQHWSVPVDPAAVGGGEMDLESVNPELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVVKDMAGSTDPETARMLHDRKQSMIKELNSYVALKKQYASENKRVDLFDGPSVEDGFGEENVLLASNMTNQQLMDQGNQLMDETDQAIARSKQTVQETINTEQMSRIVNELDSIHFSIKKASQMVKEIGRQVATDRCIMALLFLIVAGVIAIIVVKIVNPQNKTIRDIPGLAPPVSRRLLSIVEDI >ONIVA06G30000.2 pep chromosome:AWHD00000000:6:28143815:28146734:-1 gene:ONIVA06G30000 transcript:ONIVA06G30000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel plant snare 11 [Source:Projected from Arabidopsis thaliana (AT2G35190) TAIR;Acc:AT2G35190] MDLESVNPELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVVKDMAGSTDPETARMLHDRKQSMIKELNSYVALKKQYASENKRVDLFDGPSVEDGFGEENVLLASNMTNQQLMDQGNQLMDETDQAIARSKQTVQETINTEQMSRIVNELDSIHFSIKKASQMVKEIGRQVATDRCIMALLFLIVAGVIAIIVVKIVNPQNKTIRDIPGLAPPVSRRLLSIVEDI >ONIVA06G29990.1 pep chromosome:AWHD00000000:6:28138824:28143009:1 gene:ONIVA06G29990 transcript:ONIVA06G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGPSSPSPSSACADHPTPAPEEDEEGGRGWVVVPASEVPGADAPKVIDWEDLQQELARVWSLSAALATARERKALLAARLQSALEARKASVQQDNELAEIRERVQARADFMWDLKMHTKKMTEDVDDRREELRIKIRTLSTTSNTLSTARNKLKEADKLLSGENGLHVRLKTVERMLRTRQQYMTAQVAHLYPVRPLIERSPANKPSFLNSSILKTRDAESMAPNGSQNGQAPLAILGLQLSKLTMKKTGYFSDKTEIQNSATALGYVAHAVSLIASYLDVPLRYPLRLGGSRSYVLDRAPSVESSSLASAISSAPLSTTMRTMEFPLFFESQETTRSAYAIFLLNKDIEQLLNHIGAESLGPRHVLANLKQLTTIVQSQQYISN >ONIVA06G29980.1 pep chromosome:AWHD00000000:6:28131716:28134866:-1 gene:ONIVA06G29980 transcript:ONIVA06G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICRYGAMNQVRRRGVCVGIAANERRGSEARRGDDMGCFAFKSKAKNQRAAASGARSPAPTSDGQKSKASSASTPTRSIQELSDERGAQRLRVFDLDELSSATNGFSRALKIGEGGFGSVYRAFFRSAAGGGGGRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVRLVGYCAVDSETSKHRLLVYEFMPNKSLDDHLFNRAHPPLSWRLRLQIMIGAARGLDYLHEGLQEVQVIYRDFKAANVLLDADFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTTKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLGWVRRHPPESQSFRSIMDPRLGGRYPAAAARQVARLADRCLVKNPKERPAMREVVEELERVLQMEPPTTTAADKDGDRRLPPAKR >ONIVA06G29980.2 pep chromosome:AWHD00000000:6:28131716:28134271:-1 gene:ONIVA06G29980 transcript:ONIVA06G29980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFAFKSKAKNQRAAASGARSPAPTSDGQKSKASSASTPTRSIQELSDERGAQRLRVFDLDELSSATNGFSRALKIGEGGFGSVYRAFFRSAAGGGGGRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVRLVGYCAVDSETSKHRLLVYEFMPNKSLDDHLFNRAHPPLSWRLRLQIMIGAARGLDYLHEGLQEVQVIYRDFKAANVLLDADFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTTKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLGWVRRHPPESQSFRSIMDPRLGGRYPAAAARQVARLADRCLVKNPKERPAMREVVEELERVLQMEPPTTTAADKDGDRRLPPAKR >ONIVA06G29970.1 pep chromosome:AWHD00000000:6:28124681:28127490:1 gene:ONIVA06G29970 transcript:ONIVA06G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAGGGSPPAMEEEERYVEVASRFYRVKPGAGGGRRLHFLESCFLCKSSIAGDRDIFMYRGDAAFCSDDCRQEQMDMDEALQAVARRHRLRSSAAPASAEAAATAPARSPMMHRRPTIANFAARTPVAATS >ONIVA06G29960.1 pep chromosome:AWHD00000000:6:28123160:28123678:-1 gene:ONIVA06G29960 transcript:ONIVA06G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSLHLHGALPLPASRALHHLNPASSAAAAASAKQHQQPRARLAVTTARPSSRTRARAAAASAPPVPPVVHQQHRLSSSRAATGYAAALADASLRAGTLASAARHARALLVSDAAAAVDVAEDSRVVALVRMLVGKGKAAMVADVMAEFVAICDRLLLLPARPHAATSY >ONIVA06G29950.1 pep chromosome:AWHD00000000:6:28120720:28121397:-1 gene:ONIVA06G29950 transcript:ONIVA06G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDYVFKVVVIGDSAVGKTQLLGRFTKDEFFIDSKSTIGIEFQTRTVEIGGKRVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDVTSRRSFHHAARWLHDLRAHADNSIVVMLIGNKADLSHARAVAADEAAAFAEDQGLFFSEASALSGDNVEEAFLGLLREIHAIVSRRSLLEMDGINGDAAANANAALMLRGTKLSLSDELSIMETSAIKRVSRCSCS >ONIVA06G29940.1 pep chromosome:AWHD00000000:6:28116890:28120357:1 gene:ONIVA06G29940 transcript:ONIVA06G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSESSRFVQELVLYAASAALSCLVLFAGLRQLDPNRAASQKALQHKKEIAKRLGRPLVSTTPYEDVIACDVINPDHIDVEFDSIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVSAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILRVVLKGENVEPNINYDYIAGLCEGFTGSDILELCKQAAFYPIRELLNNEKDGRKADKPRPLRQSDLEKALSTSRKGKRAANGTSTGLQSPVWIRPSDSEDDQLARFRVCMDSFSVARLRWFLKLMAAGNALH >ONIVA06G29940.2 pep chromosome:AWHD00000000:6:28116890:28120711:1 gene:ONIVA06G29940 transcript:ONIVA06G29940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSESSRFVQELVLYAASAALSCLVLFAGLRQLDPNRAASQKALQHKKEIAKRLGRPLVSTTPYEDVIACDVINPDHIDVEFDSIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVSAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILRVVLKGENVEPNINYDYIAGLCEGFTGSDILELCKQAAFYPIRELLNNEKDGRKADKPRPLRQSDLEKALSTSRKGKRAANGTSTGLQSPVWIRPSDSEDDQVQSAIFEISKLMSRIVQNSQSEPQEPSSP >ONIVA06G29930.1 pep chromosome:AWHD00000000:6:28099729:28100139:-1 gene:ONIVA06G29930 transcript:ONIVA06G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHLLKRQQSSASAGGSSAGGGMPPKGCMAVRVVGPGGVGGGGGGAEGERFVVPVGYLKHPLFVGLLKEAEEEFGFEQKGAITIPCGVDHFRRVQGIIHHQKHHHGGSHGAGGLLSGHGSSGHHNNFHIAACFRA >ONIVA06G29920.1 pep chromosome:AWHD00000000:6:28086357:28093139:1 gene:ONIVA06G29920 transcript:ONIVA06G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKGASGRTADDEGGVVTEHQSPPPANGLPSTPPRQQAQAQAQQVGTPRRRGSKSGSTTPGHQTPGVAWPSPYPSGGASPLPAGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGKPKEGTIPEEGGVGAGGGGGGAADGAETERPLDKTFGFSKNFGAKYELGKEVGRGHFGHTCSAVVKKGEYKGQTVAVKIIAKAKMTTAISIEDVRREVKILRALSGHNNLVKFYDACEDGLNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDENAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPTVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDEQRQIPLDILIFRLIKQYLRATPLKRLALKALSKALREDELLYLKLQFKLLEPRDGFVSLDNFRTALTRYLTDAMKESRVLEFLHALEPLAYRRMDFEEFCAAAISPYQLEALERWEEIAGTAFQQFEQEGNRVISVEELAQELNLAPTHYSIVQDWIRKSDGKLNFLGFTKFLHGVTIRGSNTRRH >ONIVA06G29910.1 pep chromosome:AWHD00000000:6:28070504:28072508:-1 gene:ONIVA06G29910 transcript:ONIVA06G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein [Source:Projected from Arabidopsis thaliana (AT4G34700) TAIR;Acc:AT4G34700] MATSAGFLARRAAQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLREKFEANRDVDNPDVIDRLIDDAEAQYRNFQHPDPYIVPWAPGGSKFTRNPPPPKGIEIIYNYGKED >ONIVA06G29900.1 pep chromosome:AWHD00000000:6:28065439:28069633:-1 gene:ONIVA06G29900 transcript:ONIVA06G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHRSPAMVGGGGAGAVGPPSPATVPVRRRCEGTAMGAITLDLRPGNGVGPFTLGMPISDAFAQIEGQPTLYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYAKSLIGGPSTLATFVAVYGLFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDKAVVPALPAGSLYMEEVHAKLGEELLFTIGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYMKCNFVIYDAEAEGTDQPGSIPKSSITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVCLVLAMECSRSAQHG >ONIVA06G29900.2 pep chromosome:AWHD00000000:6:28065881:28069633:-1 gene:ONIVA06G29900 transcript:ONIVA06G29900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHRSPAMVGGGGAGAVGPPSPATVPVRRRCEGTAMGAITLDLRPGNGVGPFTLGMPISDAFAQIEGQPTLYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYAKSLIGGPSTLATFVAVYGLFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDKAVVPALPAGSLYMEEVHAKLGEELLFTIGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYMKCNFVIYDAEAEGTDQPGSIPKSSITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVVFN >ONIVA06G29890.1 pep chromosome:AWHD00000000:6:28061099:28063466:-1 gene:ONIVA06G29890 transcript:ONIVA06G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAGGGGGGAGEARGADEPPPPPVAAGGGGGAVKGKSCKGYLFYSSSLRSRDRGPVCAGITRAIPQVPDHMVGEIEMEAIQEGRSLSDFRYGCIGYSMYLDDKKSSEGKGDKHPQLPICVGIELLADRKTSTNQASSHHKKEAPQPRRYKPAQRGDDFLTKFQRNAGLVANGVARNLNKVGAYIKDTMDDIMYPYRKRPK >ONIVA06G29880.1 pep chromosome:AWHD00000000:6:28053538:28057816:1 gene:ONIVA06G29880 transcript:ONIVA06G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVC3] MATGRRLSMILLLLLLGLASGDKILFQGFNWESWRQSGGWYNLLMGKVDDIVAAGVTHVWLPPPSHSVSTQGYMPGRLYDLDASRYGTSMELKSLISALHGKGIQAIADVVINHRCADYKDSRGIYCIFEGGTPDGRLDWGPHMICRDDTQFSDGTGNLDTGADFAAAPDIDHLNGVVQRELTDWLLWLKSDEVGFDAWRLDFARGYSPEVAKVYIEGTTPVGLAVAELWDSMAYGGDGKPEYNQDAHRQALVDWVDRVGGTASAGMVFDFTTKGIMNTAVEGELWRLIDQQGKAPGVIGWWPAKAVTFVDNHDTGSTQQMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGLKEQIAALVAVRQRNGVTATSSLKIMLHDADAYVAEIDGKVVMKIGSRYDVSSLIPPGFHLAAHGNGYAVWEKSAAAAADHRTSSSASL >ONIVA06G29870.1 pep chromosome:AWHD00000000:6:28044938:28048508:-1 gene:ONIVA06G29870 transcript:ONIVA06G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVACRRGLLLQQQQQQLWQAHRWVGPARSISQLVKTNGRRAFLVDTLALVTCLHPPVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKAEMLQESNISKFKSQVQSSQRETEKLRGDIDKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNEETTELTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >ONIVA06G29860.1 pep chromosome:AWHD00000000:6:28037688:28039475:1 gene:ONIVA06G29860 transcript:ONIVA06G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQCDVCAAEPAAVLCCADEAALCSACDRRVHRANRLASKHRRLPLVHPSSSSSGDGGAAAAPLCDVCREKRGLVFCVEDRAILCADCDEPIHSANDLTAKHTRFLLVGAKLSPAALAEQPLPSSDCSSDDDAAAAATEEEYHSSAASTGAAVSAPLDASSNGAGGGGGVGGSSISDYLTTICPGWRVEDLLPDDDAFAAAAAAQAGKEKDERVPFLDADLFDVVAGRPEKKGGSWAPHVPHLPAWCLDEVPVVVAASAAPAATPVKAKQGHVRDNHWSDSDAFAVPEFSPPPPPAKRARPSSQFWCF >ONIVA06G29850.1 pep chromosome:AWHD00000000:6:28029043:28030852:-1 gene:ONIVA06G29850 transcript:ONIVA06G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67220) TAIR;Acc:AT5G67220] MRFAPILRNPRRRRLLRSVNPSLAAMSPPAAAHLATASDPDEDLCFTTESVAPAEEIAPPLPAPPPPVSAEERVERAWAHWRRLGSPKMVVAPMVDNSELPFRMLCRRYGATGAYTPMLHSRIFSENEKHRAMEFTTCKEDRPLFVQFCANDPDILLQAAKIVEPYCDYVDINFGCPQRIARRGYYVSCKIRIFPRLEDTLAYAKMLEEAGASLVAVHGRTRDEKDGKKFRADWDAIKAVKDALRIPVLANGNIRHLDDVKDCLEHTGADGVLSAETLLENPALFAGFRTKEWKENGDEDEASGLDQADLVIEYLKLCEQYPVPWRMVRSHVHKMLGDWFRVHPQVREELNAQSKLTFEWLHDMVKRLKDLGGGIPLYRNNNALQTTSNGLAASNA >ONIVA06G29850.2 pep chromosome:AWHD00000000:6:28029043:28030852:-1 gene:ONIVA06G29850 transcript:ONIVA06G29850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67220) TAIR;Acc:AT5G67220] MRFAPILRNPRRRRLLRSVNPSLAAMSPPAAAHLATASDPDEDLCFTTESVAPAEEIAPPLPAPPPPVSAEERVERAWAHWRRLGSPKMVVAPMVDNSELPFRMLCRRYGATGAYTPMLHSRIFSENEKHRAMEFTTCKEDRPLFVQFCANDPDILLQAAKIVEPYCDYVDINFGCPQRIARRGYYGAFLMDNLPLIKSLVQNLSANLHVPVSCKIRIFPRLEDTLAYAKMLEEAGASLVAVHGRTRDEKDGKKFRADWDAIKAVKDALRIPVLANGNIRHLDDVKDCLEHTGADGVLSAETLLENPALFAGFRTKEWKENGDEDEASGLDQADLVIEYLKLCEQYPVPWRMVRSHVHKMLGDWFRVHPQVREELNAQSKLTFEWLHDMVKRLKDLGGGIPLYRNNNALQTTSNGLAASNA >ONIVA06G29840.1 pep chromosome:AWHD00000000:6:28024584:28030084:1 gene:ONIVA06G29840 transcript:ONIVA06G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51070) TAIR;Acc:AT3G51070] MSRRDDDASPLSPRFSSTPCNATRRRVEAPRPPARRGPTCHPHTRTRPRLAGITPRSRELCAWRATSPTNTKPKPAPSRTLLVLVRSMAGLGRSSRGASGKRGAASSSSSSSVSSSSAAASACVYYATTAVLVTLCVAGAYFLTSASSASLAGSVVDGDGGGGGGGGGGTVTTTYRHTTRSSFAYEVSRPERKAPPAPPRDVERVDDAAAARGRIADEEGAEEEHGDGDDDPRGKPDLDDHGADEEETKSAVAAMDDAQRREEDGSVSSSEANAEEEEAAATTGARRVRVGEDEEEAAREESQELHLQMPLGESRPRAAAAVEEKSLDGGVEEESNAGQRQREEEQIDHGVDGGASLRREAQEEGQIGEGYVMADHGEGEEMLLEQQQQQPEEERGGDAEAARTSESDAGGEVDPEDKPTVSERTEEMVDTLPGEEDRAEVSATGVDEQNAWATQADHSHQDKDRRDEAAGVDDNIADATAGGGGGGEEPEWRLCNVKAGPDYIPCLDNDKAIKKLRPENYRRYEHRERHCPDEGPTCLVPLPAGYRRPIEWPKSRDRVWYSNVPHTKLVEVKGHQNWVKVSGQYLTFPGGGTQFIHGALHYIDFLQQSARGIAWGKRTRVVLDVGCGVASFGGYLFDRDVVAMSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKVFDLVHCARCRVPWHADGGALLLELNRVLRPGGFFVWSATPVYQKLTEDVQIWKAMTALTKSMCWELVAIKKDRLNGIGAAFYRKPTSNECYETRRRQQPPMCSDDDDADVAWYIRLNACMHRVPVAPSDRGAAWPAEWPRRLRAPPHWLNASRAGVYGKPAPEDFAVDYDHWRRVVDRSYLNGLGIDWSRVRNVMDMRATYGGFAAAMRDHKIWVMNVVNVDAADTLPIIFERGLIGMYHDWCESFSTYPRTYDLLHADRLFSKIKERCAVLPVVVEVDRIVRPGGSIVVRDDSGAVGEVERLLRSLHWDVRLTFSKNGEALLYAEKSDWRPELLADPL >ONIVA06G29840.2 pep chromosome:AWHD00000000:6:28024584:28027392:1 gene:ONIVA06G29840 transcript:ONIVA06G29840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51070) TAIR;Acc:AT3G51070] MSRRDDDASPLSPRFSSTPCNATRRRVEAPRPPARRGPTCHPHTRTRPRLAGITPRSRELCAWRATSPTNTKPKPAPSRTLLVLVRSMAGLGRSSRGASGKRGAASSSSSSSVSSSSAAASACVYYATTAVLVTLCVAGAYFLTSASSASLAGSVVDGDGGGGGGGGGGTVTTTYRHTTRSSFAYEVSRPERKAPPAPPRDVERVDDAAAARGRIADEEGAEEEHGDGDDDPRGKPDLDDHGADEEETKSAVAAMDDAQRREEDGSVSSSEANAEEEEAAATTGARRVRVGEDEEEAAREESQELHLQMPLGESRPRAAAAVEEKSLDGGVEEESNAGQRQREEEQIDHGVDGGASLRREAQEEGQIGEGYVMADHGEGEEMLLEQQQQQPEEERGGDAEAARTSESDAGGEVDPEDKPTVSERTEEMVDTLPGEEDRAEVSATGVDEQNAWATQADHSHQDKDRRDEAAGVDDNIADATAGGGGGGEEPEWRLCNVKAGPDYIPCLDNDKAIKKLRPENYRRYEHRERHCPDEGPTCLVPLPAGYRRPIEWPKSRDRVWYSNVPHTKLVEVKGHQNWVKVSGQYLTFPGGGTQFIHGALHYIDFLQQSARGIAWGKRTRVVLDVGCGVASFGGYLFDRDVVAMSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKVFDLVHCARCRVPWHADGGALLLELNRVLRPGGFFVWSATPVYQKLTEDVQIWKAMTALTKSMCWELVAIKKDRLNGIGAAFYRKPTSNECYETRRRQQPPMCSDDDDADVAWYIRLNACMHRVPVAPSDRGAAWPAEWPRRLRAPPHWLNASRAGVYGKPAPEDFAVDYDHWRRVVDRSYLNGLGIDWSRVRNVMDMRATYGG >ONIVA06G29840.3 pep chromosome:AWHD00000000:6:28027636:28030084:1 gene:ONIVA06G29840 transcript:ONIVA06G29840.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51070) TAIR;Acc:AT3G51070] MRDHKIWVMNVVNVDAADTLPIIFERGLIGMYHDWCESFSTYPRTYDLLHADRLFSKIKERCAVLPVVVEVDRIVRPGGSIVVRDDSGAVGEVERLLRSLHWDVRLTFSKNGEALLYAEKSDWRPELLADPL >ONIVA06G29830.1 pep chromosome:AWHD00000000:6:28021845:28022153:-1 gene:ONIVA06G29830 transcript:ONIVA06G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNISKRFTLASVTRPYGRRVCGASTGLEEIKRSSSRSIAASTALSSSLWPLGDSGDMSGKDVKDECIGGTWSGWCEKKINHNLYRVSEGLVPGGTRHRRY >ONIVA06G29820.1 pep chromosome:AWHD00000000:6:28015588:28019431:-1 gene:ONIVA06G29820 transcript:ONIVA06G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT3G54340) TAIR;Acc:AT3G54340] MGRGKIEIKRIENATNRQVTYSKRRTGIMKKARELTVLCDAQVAIIMFSSTGKYHEFCSPSTDIKGIFDRYQQAIGTSLWIEQQRMGEDLDGLEFDELRGLEQNVDAALKEYHVISTQTETYKKKVKHSYEAYKTLQQELGLCEEPAWFVDNTGGGWDGGAGAGAAADMFAFRVVPSQPNLHGMAYGGNHDLRLG >ONIVA06G29810.1 pep chromosome:AWHD00000000:6:28002500:28004880:1 gene:ONIVA06G29810 transcript:ONIVA06G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLRGGGGGGGGGGGIGGGGGGGRGGERSGGGGGGIGFQLWHPQQQAAAAAAAVPHTSQFFSSGVATGVVLGFSSHDGGGGGGHMGGPGGGAGGGRAGTSCQDCGNNAKKDCSHLRCRTCCRSRGFSCATHVKSTWVPAAKRRERQQQLAALFRGAAANNSAAAAAAAAASKRPRELVRTLGRLPSANTAMVATTTSSGEGDGRFPPELSVEAVFRCVRIGAVDEADAELAYQTAVSIGGHTFKGILRDHGPADEAAGQLPPSSAEYHQLTGQGREESSPAGSSEGVGGGHGAATAATSAAVLMDPYPTPIGAFAAGTQFFPHNPRT >ONIVA06G29800.1 pep chromosome:AWHD00000000:6:27984113:27988844:1 gene:ONIVA06G29800 transcript:ONIVA06G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVB2] MAGARAMAFVALCALAAFPVAVTGAQVDPLYSSKQVLDWSSQANIKLQNFSLTEEDGLQLLVRPEEVTHRKLRERTRIKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLHQDLLSRLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESVAMKEYYFKADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTSTSIFQIQILLRTSILMLVAGRME >ONIVA06G29800.2 pep chromosome:AWHD00000000:6:27984157:27988844:1 gene:ONIVA06G29800 transcript:ONIVA06G29800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVB2] MAGARAMAFVALCALAAFPVAVTGAQVDPLYSSKQVLDWSSQANIKLQNFSLTEEDGLQLLVRPEEVTHRKLRERTRIKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLHQDLLSRLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESVAMKEYYFKADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIERSEIDNAAVIHYNGNMKPWLEIAMSKYRPYWTKYINYEHTYVRGCKISQ >ONIVA06G29800.3 pep chromosome:AWHD00000000:6:27984113:27988844:1 gene:ONIVA06G29800 transcript:ONIVA06G29800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVB2] MAGARAMAFVALCALAAFPVAVTGAQVDPLYSSKQVLDWSSQANIKLQNFSLTEEDGLQLLVRPEEVTHRKLRERTRIKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLHQDLLSRLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESVAMKEYYFKADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNIAQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIERSEIDNAAVIHYNGNMKPWLEIAMSKYRPYWTKYINYEHTYVRGCKISQ >ONIVA06G29800.4 pep chromosome:AWHD00000000:6:27984157:27988844:1 gene:ONIVA06G29800 transcript:ONIVA06G29800.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HVB2] MAGARAMAFVALCALAAFPVAVTGAQVDPLYSSKQVLDWSSQANIKLQNFSLTEEDGLQLLVRPEEVTHRKLRERTRIKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLHQDLLSRLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESVAMKEYYFKADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNIAQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIERSEIDNAAVIHYNGNMKPWLEIAMSKYRPYWTKYINYEHTYVRGCKISQ >ONIVA06G29790.1 pep chromosome:AWHD00000000:6:27972087:27977500:-1 gene:ONIVA06G29790 transcript:ONIVA06G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDQPHLPPPAPPPGAAAADPPESTPAPPPPPPLEPPEVMHKTRAVDFLGRRTPIVYQNDNGPCPLLAICNVMLLKNVISLNPDAGEVSQQKLLSLVAERLIDSNSNVQGKDEEYVRNREQNISDAIDLLPRLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTDTATSIGSKSYNALASGLAEFKSGESTKENRHVQEEETVDFAAATTAALGVPSPSVSRGISFDENTLTDPVELHIRRGDREEEEELRRVLSLSKAENANAVDGSGSFDTSQSHSSSNMEETAHTESFQLEAVEAMDSTNKEERGNSHALSDGPMLQDSTNAAPNINEVGMEESQQVLTSNELEDDGKRNILPEHSDITIQPSESVLDCSSHESSAPNQAAPALGQVDKESCEEQAPLQIHASQDTPNHATKELNGEDGNSPEPIILNLQESEPIYQGEEHILSTGNLAYENQEPVYEGEVVLAEQADKTEKSSEDMQDGPAEHQWELIDNFLQNTASQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFFSQSDLVWQKLDEVNGDGVFLTSNFTPFKAENPRNDSWNEQQAMTSTADYLAQFDNTTLQHSSGNSDLELAIALQQQEFERQQPQRHQSSTQQQEPVPQQQQQTPNQSHGTGRPGLVVGPTTARRPPAPQPTTPKKEKCIVM >ONIVA06G29790.2 pep chromosome:AWHD00000000:6:27972087:27977500:-1 gene:ONIVA06G29790 transcript:ONIVA06G29790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDQPHLPPPAPPPGAAAADPPESTPAPPPPPPLEPPEVMHKTRAVDFLGRRTPIVYQNDNGPCPLLAICNVMLLKNVISLNPDAGEVSQQKLLSLVAERLIDSNSNVQGKDEEYVRNREQNISDAIDLLPRLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTDTATSIGSKSYNALASGLAEFKSGESTKENRHVQEEETVDFAAATTAALGVPSPSVSRGISFDENTLTDPVELHIRRGDREEEEELRRVLSLSKAENANAVDGSGSFDTSQSHSSSNMEETAHTESFQLEAVEAMDSTNKEERGNSHALSDGPMLQDSTNAAPNINEVGMEESQQVLTSNELEDDGKRNILPEHSDITIQPSESVLDCSSHESSAPNQAAPALGQVDKESCEEQAPLQIHGQASDTEKIHGQASDTEISSELTTAASQDTPNHATKELNGEDGNSPEPIILNLQESEPIYQGEEHILSTGNLAYENQEPVYEGEVVLAEQADKTEKSSEDMQDGPAEHQWELIDNFLQNTASQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFFSQSDLVWQKLDEVNGDGVFLTSNFTPFKAENPRNDSWNEQQAMTSTADYLAQFDNTTLQHSSGNSDLELAIALQQQEFERQQPQRHQSSTQQQEPVPQQQQQTPNQSHGTGRPGLVVGPTTARRPPAPQPTTPKKEKCIVM >ONIVA06G29780.1 pep chromosome:AWHD00000000:6:27966146:27974025:1 gene:ONIVA06G29780 transcript:ONIVA06G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcineurin B subunit-related [Source:Projected from Arabidopsis thaliana (AT2G45670) TAIR;Acc:AT2G45670] MASRNPSPASLSTPLLSDSISPTPTTNGHAGHHNHHDDDEESPTVCGGDGGGGGDPFAFLSEDRPAWWSPRGVSPADPFRNGTPGWCGAYELVRALVCAPVAAARLVLFGLSIAVGYAATWVALRGWVDVRERAAQEGAGPMPAWRRRLMWITRISARCILFSFGYHWIRRKGKPAPRELAPIVVSNHVSYIEPIYFFYELFPTIVSSDSHDSIPFVGTIIRAMQVIYVDRFSPASRKSAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVIVRYPHVHFDQSWGNISLGKLMFKMFTQFHNFMEVHTLIPFSLECKIFMSLVEYLPVVYPPEIKQENALHFAENTSYAMAHALNVIPTSYSYGDSMIMARAVEDGKVNCSNYMVEMAWVKETYGVSTSEAMALLEDFLCMSPDKDGRVNAQDFWAHFGLNCTPLCKKIFQYFDFEAKESITFRQFLIGCAHLRKQPSFQDACETAFERCRNPLTSHIGREQLADVLRSSMLELMTDNGMMKLFKTLDVDDDDGISKDDLMASLRKLPFMIALFAGRINGEVYIEIV >ONIVA06G29780.2 pep chromosome:AWHD00000000:6:27966146:27974025:1 gene:ONIVA06G29780 transcript:ONIVA06G29780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcineurin B subunit-related [Source:Projected from Arabidopsis thaliana (AT2G45670) TAIR;Acc:AT2G45670] MASRNPSPASLSTPLLSDSISPTPTTNGHAGHHNHHDDDEESPTVCGGDGGGGGDPFAFLSEDRPAWWSPRGVSPADPFRNGTPGWCGAYELVRALVCAPVAAARLVLFGLSIAVGYAATWVALRGWVDVRERAAQEGAGPMPAWRRRLMWITRISARCILFSFGYHWIRRKGKPAPRELAPIVVSNHVSYIEPIYFFYELFPTIVSSDSHDSIPFVGTIIRAMQVIYVDRFSPASRKSAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVIVRYPHVHFDQSWGNISLGKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAENTSYAMAHALNVIPTSYSYGDSMIMARAVEDGKVNCSNYMVEMAWVKETYGVSTSEAMALLEDFLCMSPDKDGRVNAQDFWAHFGLNCTPLCKKIFQYFDFEAKESITFRQFLIGCAHLRKQPSFQDACETAFERCRNPLTSHIGREQLADVLRSSMLELMTDNGMMKLFKTLDVDDDDGISKDDLMASLRKLPFMIALFAGRINGEVYIEIV >ONIVA06G29780.3 pep chromosome:AWHD00000000:6:27966114:27974025:1 gene:ONIVA06G29780 transcript:ONIVA06G29780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcineurin B subunit-related [Source:Projected from Arabidopsis thaliana (AT2G45670) TAIR;Acc:AT2G45670] MASRNPSPASLSTPLLSDSISPTPTTNGHAGHHNHHDDDEESPTVCGGDGGGGGDPFAFLSEDRPAWWSPRGVSPADPFRNGTPGWCGAYELVRALVCAPVAAARLVLFGLSIAVGYAATWVALRGWVDVRERAAQEGAGPMPAWRRRLMWITRISARCILFSFGYHWIRRKGKPAPRELAPIVVSNHVSYIEPIYFFYELFPTIVSSDSHDSIPFVGTIIRAMQVIYVDRFSPASRKSAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVIVRYPHVHFDQSWGNISLGKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAENTSYAMAHALNVIPTSYSYGDSMIMARAVEDGKVNCSNYMVEMAWVKETYGVSTSEAMALLEDFLCMSPDKDGRVNAQDFWAHFGLNCTPLCKKIFQYFDFEAKESITFRQFLIGCAHLRKQPSFQDACETAFERCRNPLTSHIGREQLADVLRSSMLELMTDNGMMKLFKTLDVDDDDGISKDDLMASLRKLPFMIALFAGRINGEVYIEIV >ONIVA06G29770.1 pep chromosome:AWHD00000000:6:27960070:27960801:1 gene:ONIVA06G29770 transcript:ONIVA06G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSPPRPLAFPTLDSLAAFLGSRLPASALASWGTAPGTKTLLNLFLELSQGECVLISAAAAAAPPSQQQQQQQHPVVRAVHVASVRIRNGRGALLMETGQLLSDGTLRSRGGLRPLSEKMRPGETPEAAAVRAVREELGERVRVRILGGEEARVEERDSASYPGLHARYVLHAVDAEVVEGVPEDGEFDTEEGGEHEDEVVVDGAAAAITVKRHYWKWVDDNDNDEEDVAGAEEGARQSAH >ONIVA06G29760.1 pep chromosome:AWHD00000000:6:27959018:27959281:-1 gene:ONIVA06G29760 transcript:ONIVA06G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADATAVGGAAGGRQEGAARGRAVGRSGARAPPPAAAHEAHEAAERRRRLGIVLVGGERGGPTLVDRESRAAAGALKRYPAAAS >ONIVA06G29750.1 pep chromosome:AWHD00000000:6:27956177:27958351:1 gene:ONIVA06G29750 transcript:ONIVA06G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAFVAVVVVVVAALFVGAASSSAAAQAAVDTGAAAGVPSCASKLVPCGGYLNATAAPPPASCCGPLREAAANETACLCAILTNKAALQAFGVAPEQGLLLAKRCGVTTDASACAKSASSSATAAAAAAGAGTAGSTAASSASTGNAASTAAKPTASGGATHRLSLISASSLVGFSFIWWTIMAQ >ONIVA06G29740.1 pep chromosome:AWHD00000000:6:27943713:27944282:-1 gene:ONIVA06G29740 transcript:ONIVA06G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAAFAAAATANGGAAPAASDFIRKSCRATQYPAVCVQSLASYGGAGAPPPRSPRELARAALSVSVDKARSASTYVGHICGRGGAGGAGPVRDCLENMADSVGHLRDAAQELGGNMGRAGSPGFKWHLSNVQTWCSAALTDENTCLDGLSRGVDAATRSAIRGKIVEVAQVTSNALALVNRVAPAN >ONIVA06G29730.1 pep chromosome:AWHD00000000:6:27930060:27931373:-1 gene:ONIVA06G29730 transcript:ONIVA06G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAKRPYCKPPPPTTTTTAITSSSGQNHKRLRPSLPAAAAMDGGMEEEAVAPPPPTTMTTTTPPQPLLPGLPDHLAQLCLSPLPPRLLHAVCRPWRRLMYTPSFPPFLSLYALLDDADADAGVSFAAYDPLAGRWDALPSPPMPSPPPMLWHPSFLARRLPLQSVAAAGRLVLVSGSTQSLHPALSRPLVFDPAAPTPRWQLGPRIPLSPRRWCAAGAARGRVFVAGGVGAGYDPAVARSGATWDPAASPPPAAAWEPIPPLRDGRFSRDAAEAVCSGGKVCMVNLRTPGAKEGAVFDLRAGRWEDMPPGMLAGWKGPAAASPPDDGETIYVVDEERGALTAYDWGADRWRTVAESDRLKGAAEMTVAGGKACVVAPGGGKVLIVDVTPPPPPPPAARRESWAAPPPPPRMWEVAAPGGKRVVSLHVLPRMTRPE >ONIVA06G29720.1 pep chromosome:AWHD00000000:6:27925050:27927669:1 gene:ONIVA06G29720 transcript:ONIVA06G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCIP-interacting family protein [Source:Projected from Arabidopsis thaliana (AT2G16860) TAIR;Acc:AT2G16860] MASSSSAASGKSRPECINSSNPFHECSDYCLRKIAEAKERIEDEQRPPVDRTVHPDCINASNPYHVCSEYCFKRIADAKSGLERAEQEPPSADAGKSDAAQAEGGGGDDDAEQEDAGSDDGYPQMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRAESRGVSKQKWLEDRKKKIGKLLDSNGLDMTKSYMLDTQESAEAKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNIEVDMEAYNKAKEADPEFYRDASSLQYGKVSKVPEENIDRMVNELKERDEKRKAFSRRRKFHEDKDIDSINDRNEHFNKKVERAFGKYTLEIKNNLERGTALPD >ONIVA06G29710.1 pep chromosome:AWHD00000000:6:27919868:27921523:1 gene:ONIVA06G29710 transcript:ONIVA06G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSPPPPGKSRPDCVNSSNPYHECSDYCLRQIVKSREDNHRWSRVHPHCINASNPYHACSNFCFRRIIHAKPSPAGLERPVQEPPASEAVPAQADDDDDAQTDDDDDEDAAADDDDGYLKMTVTENQKLVFELRVRPSTILRFVVAAVCALLVIHLLGVSLVVVAHALI >ONIVA06G29700.1 pep chromosome:AWHD00000000:6:27914923:27919769:1 gene:ONIVA06G29700 transcript:ONIVA06G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQAVNPACPNAANPFHRCADYCPVPAPAAATAKPPPPPPSSRPAQNGTAAPQNGTAAAQNGIAEQNGSTHSDGELQAKPRRRDRAGGSGGLPFYVFLREGADGDGKKVDPRCPNAPNPFHVCTEHCAAKMAEVSRSSEGGKSPMSLFSRHSRRSSSSSEDGSVRLGSSKKVDPKCPNAGNPFHECTEHCAAKMKEVGQQNKTVKKSSSRKKGGKEISVVQNWKVDPRCPNASNPFHICAQYCFDHLNETGQKDTSKPDSRKGKAVVKAEQTGEINPDCVNASNPYHKCGEHCKRKGDRLAIQGFLSR >ONIVA06G29700.2 pep chromosome:AWHD00000000:6:27914923:27919769:1 gene:ONIVA06G29700 transcript:ONIVA06G29700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQAVNPACPNAANPFHRCADYCPVPAPAAATAKPPPPPPSSRPAQNGTAAPQNGTAAAQNGIAEQNGSTHSDGELQAKPRRRDRAGGSGGLPFYVFLREGADGDGKKVDPRCPNAPNPFHVCTEHCAAKMAEVSRSSEGGKSPMSLFSRHSRRSSSSSEDGSVRLGSSKKVDPKCPNAGNPFHECTEHCAAKMKEVGQQNKTVKKSSSRKKGGKEISVVQNWKVDPRCPNASNPFHICAQYCFDHLNETGQKDTSKPDSRKGKAVVKAEQTGEINPDCVNASNPYHKCGEHCKRKGDRLAIQGFLSR >ONIVA06G29700.3 pep chromosome:AWHD00000000:6:27914923:27918866:1 gene:ONIVA06G29700 transcript:ONIVA06G29700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQAVNPACPNAANPFHRCADYCPVPAPAAATAKPPPPPPSSRPAQNGTAAPQNGTAAAQNGIAEQNGSTHSDGELQAKPRRRDRAGGSGGLPFYVFLREGADGDGKKVDPRCPNAPNPFHVCTEHCAAKMAEVSRSSEGGKSPMSLFSRHSRRSSSSSEDGSVRLGSSKKVDPKCPNAGNPFHECTEHCAAKMKEVGQQNKTVKKSSSRKKGGKEISVVQNWKVDPRCPNASNPFHICAQYCFDHLNETGQKDTSKPDSRKGKAVVKAEQTGEINPDCVNASNPYHKCGEHCKRKGDRRQKDENEVATQNLREESRRQK >ONIVA06G29690.1 pep chromosome:AWHD00000000:6:27911647:27912237:-1 gene:ONIVA06G29690 transcript:ONIVA06G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSVGEGERGDRRDIAGGEKAQGMSHKEVATAAVAGGGKAAGAEGSSSAGDSGGAPPPQAPCRGRRLPTTILRSAPSSSSMPPPRATLDLPRPVRPRTFTTSSVASVLDSSRCAGLGSPPMAAPPLSPPLSPLPSARSAASPLASYSARRRYHNPGVQNHGGCPRGAAAGERGSGQWERGQRDGHSGMCALVRR >ONIVA06G29680.1 pep chromosome:AWHD00000000:6:27911295:27912000:1 gene:ONIVA06G29680 transcript:ONIVA06G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSVANWGEAAGRALSPHQRAHATVAISLPPFPLPATTLARRGPARTSTMVLYSWVVVAAACGVASEGRGGRPRRRERRKRRAEGRSSHRWRAKAGASGGVQDGSDGGSGEGPWADRARQIQGGAWGRHRR >ONIVA06G29670.1 pep chromosome:AWHD00000000:6:27909538:27910413:-1 gene:ONIVA06G29670 transcript:ONIVA06G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGGGGKLSAVDAILAEAADLVALEQIAKLNTAHLAADGDSALPSSLESRFRKLKSLPAAPLPPPPPAKSLGRSATAPPHHTDPPPSETPDPAPPAPPAPAAQEHRPEDAAKEAQEKENSSPPPSQAHPPPAVTVPTAAAADDNEEDLEKLFRPGRGRPTLRERNRGRDDGSPSPPRQACCFGFSPKKTLQRTPTGSGRKSRRAGVAAAADDDVLGIGDAGEWGDENRRIVTELKQQQRKLKKALEEQVKVSRETAKMAQWVKQASARMTHTAAIDDLLSDCDDEDELK >ONIVA06G29660.1 pep chromosome:AWHD00000000:6:27905028:27909524:1 gene:ONIVA06G29660 transcript:ONIVA06G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYYLVRLHLPPPLQLPPTLPLPRGRHDRRVGGAVACRATAGPVGSQERPWESYDRGIQHHAGSDLASSLRLLADMQAAGLRPSGAAYARLIRALARAGRTLEAEALLLEMRRLGLRPDAAHYNALLEGLLSTAHLRLADRLLLQMADDGVARNRRTYMLLLNAYARAGRLEDSWWVLGEMKRRGIRLDTAGYSTLVRLYRDNGMWKKATDLIMEMQELGVELDVKIYNGLIDTFGKYGQLADARKVFDKMCAEGVKPDITTWNSLIRWHCRVGNTKRALRFFAAMQEEGMYPDPKIFVTIISRLGEQGKWDEIKKLFHGMRNRGLKESGAVYAVLVDIYGQYGHFRDAHDCVAALKAENLQLSPSIFCVLANAYAQQGLCEQTVNVLQLMEAEGIEPNLVMLNLLINAFGTAGRHLEALAVLQHIKDSGMSPDVVSEVYKEMEGAGCTPDRKAREMLNDASISVPKKTESNIISKEKIKQKQQ >ONIVA06G29660.2 pep chromosome:AWHD00000000:6:27905028:27909799:1 gene:ONIVA06G29660 transcript:ONIVA06G29660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYYLVRLHLPPPLQLPPTLPLPRGRHDRRVGGAVACRATAGPVGSQERPWESYDRGIQHHAGSDLASSLRLLADMQAAGLRPSGAAYARLIRALARAGRTLEAEALLLEMRRLGLRPDAAHYNALLEGLLSTAHLRLADRLLLQMADDGVARNRRTYMLLLNAYARAGRLEDSWWVLGEMKRRGIRLDTAGYSTLVRLYRDNGMWKKATDLIMEMQELGVELDVKIYNGLIDTFGKYGQLADARKVFDKMCAEGVKPDITTWNSLIRWHCRVGNTKRALRFFAAMQEEGMYPDPKIFVTIISRLGEQGKWDEIKKLFHGMRNRGLKESGAVYAVLVDIYGQYGHFRDAHDCVAALKAENLQLSPSIFCVLANAYAQQGLCEQTVNVLQLMEAEGIEPNLVMLNLLINAFGTAGRHLEALAVLQHIKDSGMSPDVVSEVYKEMEGAGCTPDRKAREMLNDASISVPKKTESNIISKEKDTITEFIMASGKAV >ONIVA06G29650.1 pep chromosome:AWHD00000000:6:27897088:27898440:-1 gene:ONIVA06G29650 transcript:ONIVA06G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLPAFTVRRGEPVLVTPAAPTPREVKALSDIDDGEGMRFYSSGIHLYRNNPAKKGQDPAMVIREALARALVPYYPLAGRLREEAGRKLVVECAGQGVMFAEADADLTADDFGDVQSPPFPCFERFILESTTVAGVEPVVGRPLLYIQVTRLRCGGFIFGQRFCHCVVDAPGGMQFEKAVCELARGAAAPSVSPSWGREMFMARDPPRPSYPHLEYREPAGGADRLLATPPEDMVRVPFFFGPREIAGLRQHAPASVRGACSRFELVAACIWRSRTAALGYAPGEEVRLSFIVNARGRADVPLPEGFYGNAFAYSVAATTAGELCGGDLGYALGLVKKAKSAVTYEYLQSVADLMVVAGRPLFALSRTYIVSDVSHAGFKSVDFGWGEAVYGGPAKGGEGPLLGVTNYFSRSKNGKGEQSVVVPICLPKDAMDKFQLEVQALTAALS >ONIVA06G29640.1 pep chromosome:AWHD00000000:6:27893202:27893437:-1 gene:ONIVA06G29640 transcript:ONIVA06G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGRSLSTLSWMYIVSDMSHAGFKSINFGWGEAIYGGLAKGRSLASKNGKGEQSIVVPKLLYLRAKHTV >ONIVA06G29630.1 pep chromosome:AWHD00000000:6:27883907:27884659:-1 gene:ONIVA06G29630 transcript:ONIVA06G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHHQPPTPPRRHRRPHELSMAAEERHHGTQTAMASDDDRHHDRGGWIRPEEKHRSSGVAWALVILCTLLAVGVIVAGATVFAVYLIYKPRMPYLVVSDAQLVRLDYDQGGTIDYLEALVTVMARNTNSRADASFARVDLALRFHGADVARLRAAPFVVASASAAPLRYDVVSKGRALDAGGMRAMDASLKSGVVPLDLLGRARTRWKVGIFASLKFWTRISCRLHFFYPGNGTVMASDRNTCTSRSP >ONIVA06G29620.1 pep chromosome:AWHD00000000:6:27881436:27882587:-1 gene:ONIVA06G29620 transcript:ONIVA06G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0114) [Source:Projected from Arabidopsis thaliana (AT4G19390) TAIR;Acc:AT4G19390] MKPRPTGFGAAAAAAAAEVLVGGGCGGWAWRPRPRPATVASTAAMSVRGPGTTQAAAAASAVHSERHRGGVHGLQLPPLRLQFTADLEARIEKVIYACRFMTFLAIAGSLIGSVPCFLKGCVYVMDAFIEYYLHGGGKVTLMLVEAIDMFLVGTVMFVFGTGLYELFISNMDIAKSSSYGSNLFGLFRLPERPEWLEIQSVNDLKTKLGHVIVMVLLVGIFEKSKRVTITSCTDLFCFAASIFLSSACLYLLSRLSSK >ONIVA06G29620.2 pep chromosome:AWHD00000000:6:27881436:27882587:-1 gene:ONIVA06G29620 transcript:ONIVA06G29620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0114) [Source:Projected from Arabidopsis thaliana (AT4G19390) TAIR;Acc:AT4G19390] MKPRPTGFGAAAAAAAAEVLVGGGCGGWAWRPRPRPATVASTAAMSVRGPGTTQAAAAASAVHSERHRGGVHGLQLPPLRLQFTADLEARIEKVIYACRFMTFLAIAGSLIGSVPCFLKGCVYVMDAFIEYYLHGGGKERPEWLEIQSVNDLKTKLGHVIVMVLLVGIFEKSKRVTITSCTDLFCFAASIFLSSACLYLLSRLSSK >ONIVA06G29610.1 pep chromosome:AWHD00000000:6:27866312:27868869:-1 gene:ONIVA06G29610 transcript:ONIVA06G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPCEAQSLLSLFGRFLLPLERIPFPFRSTPTPALLHSRGRELRGVGGMEGHRHGEADDEEEELGLRLGLASAGASAAAAAAAGEPPSVGMEFPTSEAAREFYCAYADRAGFAVRTDKSRRSRRDDSVIMRRFVCTREGFHPTRHDDLTESEAAAGKRRRKRLIIREGCMAMCEVTKKEPPLRWVVTKFVAHHVHPVSLPLCPRPPPAGESDGLAGEHAAALDEPTQAATEPSDEPTGAPAAARWDYVIHKYNLEENTWLQSLYDTRQQWAWVYQKGSFFPELLKSQRSERLNNCTEALNSTKASSTSI >ONIVA06G29600.1 pep chromosome:AWHD00000000:6:27863144:27868190:1 gene:ONIVA06G29600 transcript:ONIVA06G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G45360) TAIR;Acc:AT5G45360] MTSWSGATRILQPTAPISLPLGGGAAISSATTTSRSRRWRRSMADGQEDAAGLDDDLVLEDELRGREEGEDGGGGGGEEEEEDDVDGLASFLESEILSGSSGDDPTGREEGDEKEKQLGDDAKNNKRKQESESDGDSGSGSGEEQNKRVRRAKGKEKDVASVAPQIDSGMFCNIPPELFLQIFKFLSSEDLISCALVCRFMNVVASDETLWRRLYCMRWGLSCNTKLRECAWKKLYIQQDREDMVEFVRNTPTEFKEYYIQMQASKRSQAPLPSEVNDDKVILDKTIADQVSSWKSSRGLTDDAVKGHSCSGNTCSYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDADDDTDLQQAGMTDEAEPFMGSGRFARAYQLGYSCADEKELEYALRFC >ONIVA06G29590.1 pep chromosome:AWHD00000000:6:27863076:27863276:-1 gene:ONIVA06G29590 transcript:ONIVA06G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRHRLDLDVVVADEIAAPPLTLMGEILVRLVGGYVSLQTTTSWAESHRRPSYLILSATLRDHFD >ONIVA06G29580.1 pep chromosome:AWHD00000000:6:27861561:27862715:1 gene:ONIVA06G29580 transcript:ONIVA06G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCSSSSSSVRLRLNLAPSRRRIAAPANLQVLRRVGVAAASIRLRAARATGGDARQPFDHVPRGVEEVGEMEEDEERRRRRGLKIAVVGFGNYGQFLTRTLVRQGHTVLAHSRSDYSAVAAELGATYFTDAHDLVECHPDVVLLVTSILSAEAVLRSLPVHRLRRDTLFADVLSVKEFPRNLLLGTLPEEFDIICTHPMFGPESAGDGWGGLPFVFDKVRVGDCPARRARAEAFLDIFAREGCRMVEMSCAEHDAHAAETQFLTHTVGRTLAMLELQTTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNNNSTELLNRLEWAMDSVKKRLFDGLHDVLRRQLFEGSPPLDSVSAAAAGSPPDDAPIDGDLDSDNEEEQSE >ONIVA06G29570.1 pep chromosome:AWHD00000000:6:27856627:27861254:-1 gene:ONIVA06G29570 transcript:ONIVA06G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT4G19190) TAIR;Acc:AT4G19190] MEEEVTGSRGGLGGGKKMAAGEVELKEKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQIHANRARRQEEVQREFAQEQEFFRQTALFSKKDKEKMEIMKAVSFMYVRPPGYNAESAKAAEIEDEKRRSGEGDATQSAAAASTSSMPDKELDKTHAGPDKKNRPKDVFGRPLPTEQEFEVLKNAPRLETGAPARVKPFGVEVRNVRCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTAIMAQTDSSEPLKWELRQKPGMSPPRGGYNPDDPNQQIVAEDIFDEYGGFLGGCDIPALLSNFSASKSKKRSKSKSKHRQAEPAAHEESSHSEAEKSNRTSRSKRKKEYYSDSSFSGAEVEARKSKQKSKHKKKHLLESLSDSKVEVGRDTRRHQKREHRKKKRNITESESSSDSEVDIDTRKHPKREHRKKKRDVTESYSSSDSEVEVDRDTRRHPKREHRKEKRNMTENGHSSRSREKHHYSDTSTSDNEKHSMGHKDEQYYSDSSSSRSNRHSRRSREKRDRHSKRSRDKREYTESRPYESNKHSRTLKVNWHYSDSSASDYSNSERHYSHRHLD >ONIVA06G29570.2 pep chromosome:AWHD00000000:6:27857209:27861254:-1 gene:ONIVA06G29570 transcript:ONIVA06G29570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT4G19190) TAIR;Acc:AT4G19190] MEEEVTGSRGGLGGGKKMAAGEVELKEKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQIHANRARRQEEVQREFAQEQEFFRQTALFSKKDKEKMEIMKAVSFMYVRPPGYNAESAKAAEIEDEKRRSGEGDATQSAAAASTSSMPDKELDKTHAGPDKKNRPKDVFGRPLPTEQEFEVLKNAPRLETGAPARVKPFGVEVRNVRCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTAIMAQTDSSEPLKWELRQKPGMSPPRGGYNPDDPNQQIVAEDIFDEYGGFLGGCDIPALLSNFSASKSKKRSKSKSKHRQAEPAAHEESSHSEAEKSNRTSRSKRKKEYYSDSSFSGAEVEARKSKQKSKHKKKHLLESLSDSKVEVGRDTRRHQKREHRKKKRNITESESSSDSEVDIDTRKHPKREHRKKKRDVTESYSSSDSEVEVDRDTRRHPKREHRKEKRNMTENGHSSRSREKHHYSDTSTSDNEKHSMGHKDEQYYSDSSSSRSNRHSRRSREKRYYSDSSSPEHNRHSRRSKEKRAYTDLSTHDRDRHSKRSRDKREYTESRPYESNKHSRTLKVNWHYSDSSASDYSNSERHYSHRHRRRK >ONIVA06G29560.1 pep chromosome:AWHD00000000:6:27854925:27855995:-1 gene:ONIVA06G29560 transcript:ONIVA06G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTSTHRLHQPPPPPPPPASHQFRSAAAFRRPPSPAAAPLRARPQRGGGTTIRAIDAAQPFDYESRAAGLLEERQRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRSDYSSLAASVGASYFQDPHDLCECHPDVVLLATSILSAEAVLRSLPVHRLRRNTLFVDVLSVKEFPRNLLLGSLPPDFDVICTHPMFGPESARDGWDGLPFVFDKVRVGDCPARRARAEAFLNIFEREGCRMVEMTCAEHDAHAAETQFLTHTVGRMLAMLELRSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWAMDSVKKKLFDGLHDVLRKQLFEGSPHAPNNTPVRK >ONIVA06G29550.1 pep chromosome:AWHD00000000:6:27846704:27848758:-1 gene:ONIVA06G29550 transcript:ONIVA06G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV76] MGRERGGGEGMTMLQRWSSSVWSVSGSGRLLWADKAWRAHAAMAFTQLAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPAAFFRERRTRRPLTPHLLASFALLGFTGIFGNQLLFLLGLSFTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGIVKVLGTAVCVSGAVLMVFYRGPSLIGMGGGGGGGSNGVGENVLAGTWSSNSYTPQWLTSAMLQYGLETWNLGVICLIGNCFLMGAYLVIQAPVLIKYPASLSLTAYSYSFATVFMVLTGVVTTNGLHEWALTTTEIIAILYAGIVASCLNYAIMTWSNKILGPSLVALYNPLQPACSTILSTIFLGTPIYLGSIIGGVFIIAGLYLVTWARYNEAQRVLAIGYLDPLLVEDEDPPTPKTQESSFTGSIDP >ONIVA06G29540.1 pep chromosome:AWHD00000000:6:27843913:27844254:1 gene:ONIVA06G29540 transcript:ONIVA06G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQKDRLGQDKGGHAKLECPLCKTTAPDIKSMQIHHEARHPKLPFEPDKLNNLHGGGAGAAAGGEAAASSSKPKPGVRGSLKK >ONIVA06G29530.1 pep chromosome:AWHD00000000:6:27840605:27843274:1 gene:ONIVA06G29530 transcript:ONIVA06G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV73] MAGSGWRRIPAARRPPMSRPASVCLWIVLVAATLALAQAKKSKADLTEVTHKVYFDVEIDGKPAGRVVMGLFGKTVPKTAENFRALCTGEKGTGKSGKALHFKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGTKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKIEAEGQQSGSPKSKVVIADSGELPIDLLTVERLICV >ONIVA06G29530.2 pep chromosome:AWHD00000000:6:27840605:27843608:1 gene:ONIVA06G29530 transcript:ONIVA06G29530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV73] MAGSGWRRIPAARRPPMSRPASVCLWIVLVAATLALAQAKKSKADLTEVTHKVYFDVEIDGKPAGRVVMGLFGKTVPKTAENFRALCTGEKGTGKSGKALHFKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGTKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKIEAEGQQSGSPKSKVVIADSGELPM >ONIVA06G29520.1 pep chromosome:AWHD00000000:6:27837902:27840191:1 gene:ONIVA06G29520 transcript:ONIVA06G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G55920) TAIR;Acc:AT3G55920] MAARETSRHALLCLWLALVAATLSLAQAVESEAELTKVTTKVFFDITINGKPAGRIVMGLFGNTVPKTAENFRAICTGEKGLGKSGKPLSYKGTPFHRIIPGFMIQGGDTVIGNGTGCDSIYGGMFPDENFKINHSAPGLLSMANYAKDTNGSQFFITTVKLTRLDGKHVVFGKVLSGMDVVYKIEAEGSQSGTPRSKVLISDSGELK >ONIVA06G29510.1 pep chromosome:AWHD00000000:6:27834904:27837833:1 gene:ONIVA06G29510 transcript:ONIVA06G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPVGGGSASGSVERWRAEASRAFQHYLDRAAPHTAGRWAGTLVAAAVYALRVYYVQGFYVVTYGLGIYLLNLLIGFLSPMVDPELEALDAGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFCVAFLMTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIVHMIKYKYVPFSIGKQKYGGKKGPGASTSKD >ONIVA06G29500.1 pep chromosome:AWHD00000000:6:27827889:27834516:1 gene:ONIVA06G29500 transcript:ONIVA06G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type 2 DNA topoisomerase 6 subunit B-like [Source:Projected from Arabidopsis thaliana (AT1G60460) UniProtKB/Swiss-Prot;Acc:Q5Q0E6] MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLATIGTEVCLCLPTEADVDDLILWLVGFVRKIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRDRLKIGTGTAKYVDKRKAKGKLVEVVIMIAPTSSDLSCWMTNCSSTQVLHFVEFIPCPISQSSLSALMSIDWQSYGFKFKGGFIDDDGNAELQWDNMAFSHVDIAIHTYHEGYPAFVFDGVASTAVDEWKSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCIGEKMNRIIEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ONIVA06G29500.2 pep chromosome:AWHD00000000:6:27827889:27834516:1 gene:ONIVA06G29500 transcript:ONIVA06G29500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type 2 DNA topoisomerase 6 subunit B-like [Source:Projected from Arabidopsis thaliana (AT1G60460) UniProtKB/Swiss-Prot;Acc:Q5Q0E6] MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLATIGTEVCLCLPTEADVDDLILWLVGFVRKIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRDRLKIGTGTAKYVDKRKAKGKLVEVVIMIAPTSSDLSCWMTNCSSTQVLHFVEFIPCPISQSSLSALMSIDWQSYGFKFKGGFIDDDGNAELQWDNMAFSHSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCIGEKMNRIIEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ONIVA06G29500.3 pep chromosome:AWHD00000000:6:27827889:27834516:1 gene:ONIVA06G29500 transcript:ONIVA06G29500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type 2 DNA topoisomerase 6 subunit B-like [Source:Projected from Arabidopsis thaliana (AT1G60460) UniProtKB/Swiss-Prot;Acc:Q5Q0E6] MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLATIGTEVCLCLPTEADVDDLILWLVGFVRKIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRDRLKIGTGTAKYVDKRKAKGKLVEVVIMIAPTSSDLSCWMTNCSSTQVLHFVEFIPCPISQSSLSALMSIDWQSYATAVDEWKSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCIGEKMNRIIEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ONIVA06G29500.4 pep chromosome:AWHD00000000:6:27827889:27834516:1 gene:ONIVA06G29500 transcript:ONIVA06G29500.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type 2 DNA topoisomerase 6 subunit B-like [Source:Projected from Arabidopsis thaliana (AT1G60460) UniProtKB/Swiss-Prot;Acc:Q5Q0E6] MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLATIGTEVCLCLPTEADVDDLILWLVGFVRKIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRVHTMSNLTILPQCADEHRLAKLRFQSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCIGEKMNRIIEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ONIVA06G29500.5 pep chromosome:AWHD00000000:6:27827889:27834516:1 gene:ONIVA06G29500 transcript:ONIVA06G29500.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type 2 DNA topoisomerase 6 subunit B-like [Source:Projected from Arabidopsis thaliana (AT1G60460) UniProtKB/Swiss-Prot;Acc:Q5Q0E6] MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLATIGTEVCLCLPTEADVDDLILWLVGFVRKIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRVHTMSNLTILPQCADEHRLAKLRHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCIGEKMNRIIEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ONIVA06G29500.6 pep chromosome:AWHD00000000:6:27827889:27834516:1 gene:ONIVA06G29500 transcript:ONIVA06G29500.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type 2 DNA topoisomerase 6 subunit B-like [Source:Projected from Arabidopsis thaliana (AT1G60460) UniProtKB/Swiss-Prot;Acc:Q5Q0E6] MNRVHTMSNLTILPQCADEHRLAKLRFQSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCIGEKMNRIIEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >ONIVA06G29490.1 pep chromosome:AWHD00000000:6:27823182:27827468:-1 gene:ONIVA06G29490 transcript:ONIVA06G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVELADRAAARPSETGEAPPSSPAAAAAASAAAEDAPLLPGGGGGVRRRVVVSERFRQRSGSFRREVRRAAEETYLLTRLTLILLRYLGIGYRWIRQFLALCCYTFLLMPGFIQVVYYYFFSSQVCRSVVYGEQPRNRLDLYIPTDRTALKPVVAFVTGGAWIIGYKGWGALLGRRLAERGILVACIDYRNFPQGTIGDMVEDASQGIAFVCNNIASYGGDPERIYLVGQSAGAHIAACTLLHQAIKESGEGDASTWSIAQLKAYFGISGGYNLLNLVDHFHKRGLYRSIFLSIMEGEESLQKFSPLVMVKDPAARSAVSLLPRIFLFHGTSDYSIPSAESEAFFDALQQNGAKADLFLYDGKTHTDLFLQDPLRGGRDKLLEEIVTVIHNDNPDTSAQHLAVPVARRLVPEFMLMLAGRVSPF >ONIVA06G29480.1 pep chromosome:AWHD00000000:6:27816685:27823651:1 gene:ONIVA06G29480 transcript:ONIVA06G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV63] MGGGGTLVDGFRRLFHRRTASGSNQSSNAGEEAASSDLEVADDPDLVALRSIRIRVPKRKMPLPVESHKKNTVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIRANDDLTPEHYQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDIITDLLGTPSSETLSRIRNEKARRYLSTMRKKHAVPFSQKFRNTDPLALRLLERLLAFDPKDRPSAEEALADPYFASLANVEREPSRHPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYMKGGEQISFLYPSGVDRFKRQFAHLEENYSKGERGSPLQRKHASLPRERVGVSKDGYNQQNTNDQERSADSVARTTVSPPMSQDAQQHGSAGQNGVTSTDLSSRSYLKSASISASKCVAVKDNKEPEDDYISEEMEGSVDGLSEQVSRMHS >ONIVA06G29470.1 pep chromosome:AWHD00000000:6:27805530:27812267:-1 gene:ONIVA06G29470 transcript:ONIVA06G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVEAARWMVGKALSPLSGGLVEAWLACSELGTNVGAVKLELLYAQVMLDNARGRETRSPALKQLLLQLRGLAYDAEDVLDELDYFRIQDELDGTDEAADEHTRGCLHGLLLNTRHTARNIKKRYLSACCSGGGDEKAVAGSDLSLAGEHDADDDCTDEDDNDTGSTDIDHSSTATHMPRKEKQWGSQREDTMKTPKLKFDRVDLSTRTKHISEQLKLVCAKVSTILNLELPESNRTIRSSIAMHRPVTTSATIEPEFYGRKGEKDRIIKDITHGDCCVKDLTVIPITGPGGIGKTALTQQIYKAVKNLFDVNVWVCISLNFNAYRLKQEIADSIPKVENEQLGDLDDLIERRLKSKKILLVLDDMWNCSNEDDWKRLLAPLRNAQTKGNVILVTTRFPAVAEIVQKTYRPIQLEGLEFEELWELFQAYVFGDEKSINHHAILQQTGEMIAKKLKGSPLAAKTVGRLLRNHLDFNHWTSVLESKEWELQTGDNDIMPALKLSYDYLPFHLQQCFIYCALFPEDYKFDSDELIHLWIGLDILQSHQDQNKRTEDIALSCLNHLVDFGFFKKNVNEDGSPYYSMHDLLHELALKVSSCECLAVSSSNVRFVQIPPSIRHLSIVIDDMDVNDRVTFESIKTDFSTLSKRLDVEKLHSFMLFGRYHGSFISPLGDLLSNAKSLRVILLSTPSYAVENMLHNFSNLVHLRYLRIIRGYFPEIRLPNTISRFYHLRILDVRKCNGHFGLPRDIDNLVRLRHFLVPDDNLHSDVANVGKLKCLQELRRFKVKRQSEPFALRQLGQLELNGTLGIYNLENAEAADEAKLLNKSHLHKLILHWSTKDCSQDEHILESLKPHNNLQELQIEGHGGATCPSWLGFNLSIKGLQSLSLHGLDWNKFPPIGELWLVNQHSEKSLSCIEGQSFWNLKRLELVGIPRLEKWTGNDASRVFSQLEVFIVRDCPELIELPYSKMDSTQFPTLKELEIVKCPTLSSLPPVPWTNSPCRALIEEVRSDFQHLKYSTNNQSELCLLVKGKDDNLDSAFWRLLVFSNLTELKELTLTKCPPLPLEHLQSLSSLRMLCMQDLSNVLLQDKAENTVRYQFPVEQLRIFNCSCSGKELTLLSSHFPKLSMFVIRGCENIRGLGVAKQGMTAMSASSLPSAGSKLEDECLGQEQQEPGEEDEKAAADGGLLLLPQQLQYLTIGEMSELALVFDTAGGLRGVGEGLQGLHSIKNLNIWNCPNFLSSYSSSSHHSPFPSSLQELFLSYMSGMNTLSPLSNLNSLAKLAIWDFGDLRADGLGSLIAHGQLKELDVRRSPNFFVGSDLSLLLQLKTDDITWLLVAPVCNILASSLTELTIGWNDEVEHFTKGQNAALLLLSSLQDLRFWCYSKLRFLPAGLHRLTRLKRLEIALCPAIRLLPKGGLPRSLKVLKVLDVSESKNEELKRQCPVLSVLIIRNCPELTELPFSHSTCPRSEQEMNLTQLRTLKELEIVNCPKLSSFPPIPWRGSPCRALIEGVGSDFQQLDYSKNKQYELCMLVKGKDEHLDGAFWRRLVFGNLTDLKELDLKKCPPLPLEYLLMLSCLRRLTISDSSNVMRCNKIRGLGVLGKQMTTTLASSSSPCGNKLEDARFGLEQQQPRGEDEKVSAEAGLLLLPHQLQELNISEIPELILQIHSLVDGMEGGLRGIGGGLQGLHSLRAFSIWGCPNFLSSYYSTSLSCFPFPSSLQKMYLSDVRGMETLAPLSNLSSLTRLTIWKCMDLSGEGLSSLLDHGQLTDLRIQMTPKFFVRSDPSRLQQLQTDDIARVLAPPICSLISSSLTKLTIGFNHEVERITKEQSEALLLLSSLQDLEFYSCWKLQSLPTGLHRLVGLKILEIDWCPAICSLPKGGLPSSLQELDVCRCENEELKRQCRKLRGTIPIIKDREY >ONIVA06G29460.1 pep chromosome:AWHD00000000:6:27795492:27799987:-1 gene:ONIVA06G29460 transcript:ONIVA06G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVNAARWVVGKALSPLSGGFVEAWAATTELGPNVDAIKMELLYAQGMLHNTRSRETSNPALQQLLLKLRGLAYDAEDVLDELDYFRIQDELDGTYEAAEEHAKGCLYGLVLNTRHTVRNIKKKACSCGDNGEASRHTNDGEALAGSSCIHKLFSNARERSQFLCCAYPCKASHIEHTMKTPKLKFDRVDLSTRMKHIVEQLKPVCAKVSTILNLELLESNRNIGLMSLNAALSRMPGQAPFLPSSVAMSRPVTTSEFIDPKFHGRMSEINKIIGITRGDYCGKDLTIIPIVGSGGIGKTTLTQHIYKEVQNHFDVKVWVCVSLNFNVYRLKEEIAKLMPELKDEKSGGPDDLIEQRLKSKRFLLVLDDMWNCGNEDEWRRLLAPLRKAQSTGNIILVTTRFLAVAEMVKTIYHSIQLEGLESEVFWELFQACVFGDEKSIGNHADLLVTGKKIAEKLKGSPLAAKTVGRLLRNHLDLEHWTSVLESREWELQTGENDIMPALKLSYDYLPFHLQHCFTYCALFPEDYRFESDEMIHLWIGLDILQSRNQNKKVEEIGLSYLNDLVNYGFFRKDMNKNGSPYYTMHDLLHELALKVSSYEYLAISSSSVRSVQIPPSVRHLSIVIDDTDVNHRVTFENVKKDFSTLHKRLDVEELQSLMLFGQYHGSFVIPFGNLLSKAKALRVILMFNASYDMENMLRNFSKLVHLRYLRIVKGYFQELSLSNIISRFYHLRILDELNISEIPELILQFDSLVDGMAGGLRSIGGGLQGLHFLRTLTIRGCPNFLSSYYSSSSSSCFPFPSSLQYLHLDGVGGMETLAPLSNLSSLKCMDLRGEDLSSLLAHGQLTNLNIFETPKFFVGCGSDSLRLQCLQTDDITKVLAAPICSLLASSLTSLTISWNDEVERFTKEQSAALLLLSSLQDLEFWYCSELQSLPTGLHRLTSLKRLKIWSCPAIRSLPKGGLPSSLEVLDVRSSNNEELKRQCRNLRGTIPIIKDRRYY >ONIVA06G29450.1 pep chromosome:AWHD00000000:6:27791151:27808214:1 gene:ONIVA06G29450 transcript:ONIVA06G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRRSDVRRGPCICGMPQARRSETATSFGCGRSMGLRGREAGGANGKARERAAWRGVTRPHQLQAIEQERTLQSAKQPGPSQITMRVILPPCWEESQLRVTPKSEVLERGEEQKGRVLPLGEVLHLVVPANG >ONIVA06G29440.1 pep chromosome:AWHD00000000:6:27789607:27791025:-1 gene:ONIVA06G29440 transcript:ONIVA06G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKLVGAAVRRPGWADLPRDLLESVLGRLPVPDRLRFPGVCTAWQSADAASATARFRAAQPPWLMLPFNPTARRQSPSGGGGGDGRFLEARFLSLSDGRAYAIPQPAPPVSERLCVGSSDGWLVTADAASELHLLNPLTGAQVQLPSVTTLPFVDASRDADGRVASYDLRCCFGDGDNDGDEVLVPPESFAPDRLRYELYEKAILVAPPRRQTTPPGSWGGYAVLLICQPLYRLAIARAGDKKWTLLDMPSRCWVDAVRAASAPAADGHQAVYTLDSVGRVEAWDMDVTAAGTTPPPPREIAPPCCCSGRACSMSIPCSKYLVELSPGHLLQVHRLRDKAHARSKWEPRQERVEYTTVKAELFEWNAAGGGHGEWARVDGAGAGILAGRALFLGKSASLCVPADCCPEVKGNCVYFTDDGPWSHERCHEVVPDVGVLDLADGSYKVPRGAVRDLLWKWPPPVWVFPSCTN >ONIVA06G29430.1 pep chromosome:AWHD00000000:6:27782852:27784343:-1 gene:ONIVA06G29430 transcript:ONIVA06G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHHVARGRAEPRRMGNAAMVITMLLSLCVLTYIKARYCSTPFPKAAEEMEVVEIDEDYDSTRYKMTGPIGEEDFDPTRPTCYVTSKRSERCAAVGDIRVDGNHSKIYINPLDKEWRTKPYARLHDAVAMDDVREFTLVPFGGANHSAVPPLCTRNHSVPAFLFSSGGFAGNLYHDYTDVLVPLFTSTNHFGGEVQFLLSGIKDWWLDKFTPLFRQLSRYDVIDVDNDQEVHCFPRIFIGATFHRAMGIDPARSPGGVTVADFKRLLRRTFRLERAVASRTGAPRRDKPRLLIISRKSSRRFLNERAMAHAAALARFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLIQVVPFGGLEWLTRVTFKDPAKDMDVNYMEYNVSFDESSLRELYPRDHFYIQHPYDVHKKGWDAIKTVYLDKQNVELNLTKLTNTLERARDFLPEP >ONIVA06G29420.1 pep chromosome:AWHD00000000:6:27775212:27783986:1 gene:ONIVA06G29420 transcript:ONIVA06G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV52] MGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCSYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEAEKARARVQGSDGRITLA >ONIVA06G29420.2 pep chromosome:AWHD00000000:6:27775216:27783986:1 gene:ONIVA06G29420 transcript:ONIVA06G29420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV52] MGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCSYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEAEKARARVQGSDGRITLA >ONIVA06G29420.3 pep chromosome:AWHD00000000:6:27775212:27783235:1 gene:ONIVA06G29420 transcript:ONIVA06G29420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV52] MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCSYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEWRSQDLEMGGAIYETWLKRPGLEFRLNDPTVKGEEATEIWLSELKTAKILEEHYWWWQK >ONIVA06G29420.4 pep chromosome:AWHD00000000:6:27775212:27783235:1 gene:ONIVA06G29420 transcript:ONIVA06G29420.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV52] MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCSYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEWRSQDLEMGGAIYETWLKRPGLEFRLNDPTVKGEEATEIWLSELKTAKILEEHYWWWQK >ONIVA06G29420.5 pep chromosome:AWHD00000000:6:27775212:27783235:1 gene:ONIVA06G29420 transcript:ONIVA06G29420.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV52] MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCSYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEELNDPTVKGEEATEIWLSELKTAKILEEHYWWWQK >ONIVA06G29420.6 pep chromosome:AWHD00000000:6:27775212:27785077:1 gene:ONIVA06G29420 transcript:ONIVA06G29420.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV52] MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCSYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEELNDPTVKGEEATEIWLSELKTAKILEEHYWWWQK >ONIVA06G29420.7 pep chromosome:AWHD00000000:6:27775212:27785077:1 gene:ONIVA06G29420 transcript:ONIVA06G29420.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV52] MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCSYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEVVRIKDCQDLGRTLLVVAKVNCSSLPTNK >ONIVA06G29420.8 pep chromosome:AWHD00000000:6:27775212:27785077:1 gene:ONIVA06G29420 transcript:ONIVA06G29420.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV52] MVSGLWQEVARPFQRVGELGEVELHILLVQVHRLDGVPAFLVHIVWMLDVEMVSRVELSQRALVEGDIVLHVVDIHVLGWILEGDTGEPFEPPEWHHLDEHGAAGEEHHVGEAGAMDAHHHVGGVDEAREVGHVGVVVRLGDAHVEPGERGGVRHGALVEEAARALAGDDEEARLVAPRRARARRDGALQAEGAAEEALEVGDGDAARGARRVDAHGAVERGADEDPREAVHLLVVVDVDDVVPGELPEQRRELVEPPILDPAEQELHLAAEVVGAGEERDEHVGVVMVQVAGEAAAGEEERRDGVVARAERRHGAVVGAAEGDERELPHVVHGDGVVEARVRLGPPLLVERVDVDLGVVAVDADVAHGGAPLRPLARDVARRPGRVEVLLPYRPRHLVPRAVVILVDLHHLHLLRRLGCNHNYSQLITP >ONIVA06G29410.1 pep chromosome:AWHD00000000:6:27770679:27772537:-1 gene:ONIVA06G29410 transcript:ONIVA06G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVRSKKSKGSFCHPPLLLLIVAIQFLVIYSPTLDQYMVMLTTGKPGFPSMLIDGRRSFKQVDEFIPEPHLRCDFRDNRSDVCEMEGAIRILGRTSEVFLVAPSLASISGGGGGVNATGVDANATRWKIQPYTRKGESRVMPGITEVTVRLVTADEAPPCDEWHDVPAIVYSNGGYCGNYYHDFNDNIIPLFITSRHLAGEVQLLVTQKQRWWFGKYREIVEGLTKYEPVDLDAEQRVRCYRRATVGLHSHKDLSIDPRRAPNNYSMVDFKRFLMWRYALPREHAIRMEEEDNSKKPRLLVINRRSRRRFVNLDEIVAAAEGVGFEVAAAELDAHIPAAASAVNSYDAMVAVHGSGLTNLVFLPMNAVVIQVVPLGRMEGLAMDEYGVPPRDMNMRYLQYNITAEESTLSEVYPRAHPVFLDPLPIHKQSWSLVKDIYLGQQDVRLDVRRFRPVLLKALHLLR >ONIVA06G29400.1 pep chromosome:AWHD00000000:6:27769485:27769832:-1 gene:ONIVA06G29400 transcript:ONIVA06G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGGGGGGGKGGGGGGGGGKGGGGGSGGGGRSGGGGGGGGKGGGEGGSGKQGGGYSGGHAGGGGGAGKSGGYHGGGGGDSMKAPGGDGSYISRSGFESNPQGYFQGLHGDGK >ONIVA06G29390.1 pep chromosome:AWHD00000000:6:27762023:27767718:-1 gene:ONIVA06G29390 transcript:ONIVA06G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHHPMLLFLGLAVLALQPLIAAASRRPPPSPLCPKKCGNVDIEYPFGIGPNCSLSDDFSLECVHDTPQLRLGTDRQQVCKDENDPTGAPLKNSSWTGLDVTELPYRFSYEDNQFVTVGCNVLVLLSGEEASVDPILNVCMSTCFGNGSNIRNGYCSGAGCCEMAIPVGLKSYRLEFSGPLLFNNSWSPDNYTWCSHAVLMEAKSFSFERDYATTDKFFRNKNGTVPVVLNFAAGSEKCKEARMKDTYACVSDHSACVGTADGYVCNCTSGYKGNPYLPGGCTEGEHKSNKSGVLICEPDLKRSLPDLKFKRSYRLPVSAIIAIGVTGGIAIIVMSILSSYLVHQRRALADIKRSYFKRHGGLLLYEELNARKSNAFTIYTEEQLEHATNGFDESNVLGRGGHGTVYKGWVAAASDDLVVAIKRCKLMDERNKKEFGKEMLILSQVNHKNIVKLLGCCLEVDVPMLVYEYVPNGTLYQLIHGGSAGAISFASRLRIAHESAESLSYLHSFASPPILHGDVKTSNILLDESFMAKVSDFGASILAPTDEAQMMTMVQTCQLTEKSDVYSFGVVLLELLTGKKPLCLDGPDEGRSLSARFLAAMRENRADLILDEQVKSEASGELLEEITLLALECLQMCGGDRPTMKEVAERLGGLRKLHQHPWTQDVVELDEVRCLLSDSPESISFEVDATSSSGY >ONIVA06G29380.1 pep chromosome:AWHD00000000:6:27740439:27753285:1 gene:ONIVA06G29380 transcript:ONIVA06G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEYAVALLDPKVAEEEEVYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTLAMASAQEFVLFLGLYMIAFGVGGLRPCLMSFGADQFDAGDPSERNSKGSYFNWYLFTMNCASVISTTAMVWLQDHYGWALGLAIPAMVLAVGLSFLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPVDVALLYEVPEDDCSMERVKRIKHTDDLQFFDKAAVVTASDEEAAGDPWRLCSLTQVEELKILVRMLPLWASIAFFYTGTAQVNSMSVEQGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRAFVPAARRLTGREKGIPDLLRIGAGLTMAVLAMAAAALVETKRARAARMGMEKTSIVWQVPQYAVMGVGEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCAMSLSSSSRPAPAMASTDTEQQEHAVALLQPEVEEAYTTDGSLGVDGNPALKHRTGGWMACRPILGTEFCYCLAYYGITFNLVTYLTAELHQSNVAAANNVSTWQATCFLTPLAGAVAADSYWGRYRTMVGMLMAALSALLPLLIKDTSSMASAQEIILFLGLYMIAFGVGGLRPCLMSFGADQFDDGDTSERISKGSYFNWYIFTMNCASVISTTAMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVRKFNVAPPADMALLYDLPEDASSMKGVQRIEHTADLRFFDKAAVVTASDEEAEGAAPRNPWRLCVVTQVEELKILVRMLPLWACVAFYYTATAQANSTFVEQGMAMDTRVGSFHVPPASLATFQIITTIVLIPLYDRAFVPAARRLTGREKGISDLLRIGGGLAMAALAMAAAALVETRRDRAAHAGMEPMSILWQAPQYVLVGVGELLATVGQLDFFYSQAPPAMKTVCTALGFISVAAGEYLSSLVVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVVFTSCAMKYKSRKAC >ONIVA06G29380.2 pep chromosome:AWHD00000000:6:27740439:27754263:1 gene:ONIVA06G29380 transcript:ONIVA06G29380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEYAVALLDPKVAEEEEVYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTLAMASAQEFVLFLGLYMIAFGVGGLRPCLMSFGADQFDAGDPSERNSKGSYFNWYLFTMNCASVISTTAMVWLQDHYGWALGLAIPAMVLAVGLSFLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPVDVALLYEVPEDDCSMERVKRIKHTDDLQFFDKAAVVTASDEEAAGDPWRLCSLTQVEELKILVRMLPLWASIAFFYTGTAQVNSMSVEQGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRAFVPAARRLTGREKGIPDLLRIGAGLTMAVLAMAAAALVETKRARAARMGMEKTSIVWQVPQYAVMGVGEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCAMSLSSSSRPAPAMASTDTEQQEHAVALLQPEVEEAYTTDGSLGVDGNPALKHRTGGWMACRPILGTEFCYCLAYYGITFNLVTYLTAELHQSNVAAANNVSTWQATCFLTPLAGAVAADSYWGRYRTMVGMLMAALSALLPLLIKDTSSMASAQEIILFLGLYMIAFGVGGLRPCLMSFGADQFDDGDTSERISKGSYFNWYIFTMNCASVISTTAMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVRKFNVAPPADMALLYDLPEDASSMKGVQRIEHTADLRFFDKAAVVTASDEEAEGAAPRNPWRLCVVTQVEELKILVRMLPLWACVAFYYTATAQANSTFVEQGMAMDTRVGSFHVPPASLATFQIITTIVLIPLYDRAFVPAARRLTGREKGISDLLRIGGGLAMAALAMAAAALVETRRDRAAHAGMEPMSILWQAPQYVLVGVGELLATVGQLDFFYSQAPPAMKTVCTALGFISVAAGEYLSSLVVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVVFTSCAMKYKSRKAC >ONIVA06G29380.3 pep chromosome:AWHD00000000:6:27740439:27754263:1 gene:ONIVA06G29380 transcript:ONIVA06G29380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACRPILGTEFCYCLAYYGITFNLVTYLTAELHQSNVAAANNVSTWQATCFLTPLAGAVAADSYWGRYRTMVVSCCIGVAGMLMAALSALLPLLIKDTSSMASAQEIILFLGLYMIAFGVGGLRPCLMSFGADQFDDGDTSERISKGSYFNWYIFTMNCASVISTTAMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVRKFNVAPPADMALLYDLPEDASSMKGVQRIEHTADLRFFDKAAVVTASDEEAEGAAPRNPWRLCVVTQVEELKILVRMLPLWACVAFYYTATAQANSTFVEQGMAMDTRVGSFHVPPASLATFQIITTIVLIPLYDRAFVPAARRLTGREKGISDLLRIGGGLAMAALAMAAAALVETRRDRAAHAGMEPMSILWQAPQYVLVGVGELLATVGQLDFFYSQAPPAMKTVCTALGFISVAAGEYLSSLVVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVVFTSCAMKYKSRKAC >ONIVA06G29380.4 pep chromosome:AWHD00000000:6:27750099:27753285:1 gene:ONIVA06G29380 transcript:ONIVA06G29380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDTEQQEHAVALLQPEVEEAYTTDGSLGVDGNPALKHRTGGWMACRPILGTEFCYCLAYYGITFNLVTYLTAELHQSNVAAANNVSTWQATCFLTPLAGAVAADSYWGRYRTMVGMLMAALSALLPLLIKDTSSMASAQEIILFLGLYMIAFGVGGLRPCLMSFGADQFDDGDTSERISKGSYFNWYIFTMNCASVISTTAMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVRKFNVAPPADMALLYDLPEDASSMKGVQRIEHTADLRFFDKAAVVTASDEEAEGAAPRNPWRLCVVTQVEELKILVRMLPLWACVAFYYTATAQANSTFVEQGMAMDTRVGSFHVPPASLATFQIITTIVLIPLYDRAFVPAARRLTGREKGISDLLRIGGGLAMAALAMAAAALVETRRDRAAHAGMEPMSILWQAPQYVLVGVGELLATVGQLDFFYSQAPPAMKTVCTALGFISVAAGEYLSSLVVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVVFTSCAMKYKSRKAC >ONIVA06G29370.1 pep chromosome:AWHD00000000:6:27736334:27738851:1 gene:ONIVA06G29370 transcript:ONIVA06G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKHRTGGWRACRSILGTEFCYCLAYYGIMYNLVTYLTTVLHQSNVAAAKNVSTWQATCFLTPLAGAVVADSYWGRYRTMVVGCCVAVAGMLMASLSALLPQLIESSSTLSMEIILFLGLYMIAFGVGGLRPCLISFGADQFDAGDPSELISKGSYFNWYIFTMNCGSVISTSGMVWVQDHYGWALGLAIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVCKFNVAPPDDMSLLYELPDDASSMKVVERIEHTTDLRFFDKAAVVTASDEEAAGAAPRNPWRLCVVTQVEELKIFVRMLPLWACITFFYTGTAQVNSTFVEQGMAMDARVGSLRVPPASLLTFQMLTTITLIPLYDRAFVPAVRRLTGRERGISELVRIGGGLAMVVLAMAAAALVETKRVRAWQTAMEKTSIMWQVPQFVLVGVGELLTSIGQLDFFYSQAPPAMKTVCAALALGAIAAGDYLSSIIVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLAAFMSCAMKYKTRKAC >ONIVA06G29360.1 pep chromosome:AWHD00000000:6:27702461:27705869:1 gene:ONIVA06G29360 transcript:ONIVA06G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFARSEPQCLFVAEPSSTVVQSAAPIAGRAIQSATPYAPSDAISRRVFFPGIYAHHNQVTKEAITYIKDSLSLSLSLSLCVYIYIHIYI >ONIVA06G29350.1 pep chromosome:AWHD00000000:6:27702013:27702462:-1 gene:ONIVA06G29350 transcript:ONIVA06G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCDGPRRRSVMVKMSAAGDAVSQQGLCLNRASVGEDGVDGTTTDREGRRRSMRRRSLQRQLRVATGTRRRLALRDWWRPGGDRWGGGAATDGEGRGNDTLALGADEAAMNLVLRWRDGAGGDGGAAERRCATVREGMRRRRRGDCRG >ONIVA06G29340.1 pep chromosome:AWHD00000000:6:27690029:27693338:1 gene:ONIVA06G29340 transcript:ONIVA06G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAAPLLQPEVEEAYTSDGSLDIDGNPALKHRTGGWRACRSILGAEFCYCLANNGIMYNLVTYLTTQLHQSNVAAAKNVSIWKATCFLTPLAGAVVADSYWGRYRTMVVACCVGVAVSLLRSPHFNQSLHPAMNSAQGMLMASLSALLPQLIESSSTLSMPSAQEFVLFLGLYMIAFGPCLMSFGADQFDAGDTSERASKASLFNWYVFTMNCAAVISATGLVWVQGHYGWALGLGIPAMVLAVGLSCLVAASRTYRFQTTRGSPLTRVCQVAVAAVRKFNVAAPGDMALLYELPDDASSMKGVERIEHTTDLEFFDKAAVVTASDEEAPRNPWRLCVVTQVEELKILVRMLPVWACIAFFYTGTAQTKSTFVEQGMPMDAHVGALRVPPASLAAFQMLTTIVLIPLYDRVFVPAARKHTGREKGISDLLRIGGGLATVGLAMAAAATVETKRASAARTTASILWQAPQFVLVGAGELLATIGQLDFFYSQAPPAMKTVCTALGLLAVAAGDYLSSVIVTAVSWATASGGRPGWIPDDLNEGHLDRFFWMMAGLGCLDLLAFTCCAKRYNKSRKAC >ONIVA06G29340.2 pep chromosome:AWHD00000000:6:27690029:27693338:1 gene:ONIVA06G29340 transcript:ONIVA06G29340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAAPLLQPEVEEAYTSDGSLDIDGNPALKHRTGGWRACRSILGAEFCYCLANNGIMYNLVTYLTTQLHQSNVAAAKNVSIWKATCFLTPLAGAVVADSYWGRYRTMVVACCVGVAGMLMASLSALLPQLIESSSTLSMPSAQEFVLFLGLYMIAFGPCLMSFGADQFDAGDTSERASKASLFNWYVFTMNCAAVISATGLVWVQGHYGWALGLGIPAMVLAVGLSCLVAASRTYRFQTTRGSPLTRVCQVAVAAVRKFNVAAPGDMALLYELPDDASSMKGVERIEHTTDLEFFDKAAVVTASDEEAPRNPWRLCVVTQVEELKILVRMLPVWACIAFFYTGTAQTKSTFVEQGMPMDAHVGALRVPPASLAAFQMLTTIVLIPLYDRVFVPAARKHTGREKGISDLLRIGGGLATVGLAMAAAATVETKRASAARTTASILWQAPQFVLVGAGELLATIGQLDFFYSQAPPAMKTVCTALGLLAVAAGDYLSSVIVTAVSWATASGGRPGWIPDDLNEGHLDRFFWMMAGLGCLDLLAFTCCAKRYNKSRKAC >ONIVA06G29330.1 pep chromosome:AWHD00000000:6:27684600:27685449:-1 gene:ONIVA06G29330 transcript:ONIVA06G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPSARACRPSMCEENDMDPLALTKSVLLMFPNISEEFIDELLQANEFDICLTVDMLHELNSQNMLHGDAIMGFPTFPDVKKFHGNLGLPDGDLSESNSSLDQSLQKGMSLTTSGAKSASAMIPDNISLHDKLGVQKDDKPAIASTTN >ONIVA06G29320.1 pep chromosome:AWHD00000000:6:27683656:27683952:1 gene:ONIVA06G29320 transcript:ONIVA06G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINRKSGAAAAYAVALCAALVLAAGAADAAGCNPSALSPCMSAIMLGAAPSPGCCVQLRAQQPCLCQYARDPSYRSYVTSPSAQRAVKACNVKANC >ONIVA06G29310.1 pep chromosome:AWHD00000000:6:27674196:27682663:-1 gene:ONIVA06G29310 transcript:ONIVA06G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPWPTPRTVRQAAELHAHLTTSGRLLHPPSAHHLLNSLVNCLPPSDPLHLRYALHLFDRMPASTFLFDTALRACFRAGTSSGDPDIPFVLFRRMRRAAIRPDGFTFHFLFKCSSSSRPRALLCTMLHAACLRTMLPSAAPFVANSLIHMYTELGLAGDVRRAFDEIPVKDAVSWTMVISGLAKMGMLSDARLLLAQAPVRDVISWTSLIAAYSRADRAKEAVDCFKNMLSEGIAPDDVTVIGVLSACSQLKDLELGCSLHLLVKEKGMSMSENLVVALIDMYAKCGDFGHAREVFDAVGRGRRPQSWNAIIDGYCKHGHVDVARSLFDQMEVRDIITFNSMITGYIHSGQLREALLLFMNMRRHDLRVDNFTVVSLLSACASLGALPQGRALHACIELRLVETDIYIGTALLDMYMKCGRVNEATIVFQRMGKRDVHAWTAMIAGLAFNGMGKAGLEYFYQMRCDGFQPNPVSYIAVLTACSHSCLLNEGCLYFDEMRILYNIHPQIEHYGCMIDLLGRSGLLDEAMDLVKTMPMQPNSVIWASILSACRVHKRIDLAQCAAEHLLKIEPDEDAVYVQLYNICIDSRKWEDASKIRMLMEERQVKKTAGYSSVTVAGQVHKFVVSDKSHPRILEIIAMLEEISHRLKSAGYSPITSQVTVDVDEEEKEQTLLAHSEKLAIAFGLVSLAPNLPVHIIKNLRPTIFRPLGADDGKQKQPDRRVVFLLPPSRRDAPVLVRPIPSSPAPFSLRSSVTMAVRDVASLNRMITGFIRDGLADRARAVYRWMVASGIRETPHTFSTILGVCSTYEALQLHGRVLALGLCCNPFVGSALVNHYMHVESPHAALSLFRELPLQNTAMCNVVLRGLGNLKLTEELICCFLDMRRQYLELNGLSYCYAMKGCYQNGEWLEQGRQLHGVVLKAGWIPSNIFLSNSLVDLYSAIGDSVDTVKALNDILSEDVISWNSILSMYADRGHMKEAVYYLKQMLWHGKMPSIRSFVSLLALSGKTGDWQLGVQIHGIVHKLGFSCSSVHVQTTLIDMYGKCCCFDHSLAIFNEIPSIALECCNSLITSSLRCNMFDAALEILHCMIVEGVTPDDVTFSATMKAISLSASPSLTSCQMLHSCLVKLGFEMDMAVCSSLITAYACAGQLSSSHLIFEGLLDPNVICFTAIISACARYGDGARAMEQFDQMVSSGLKPDNVTFLCAIAGCDQAGMFEEGRLVIELMRASRELDPDERHFACMVNLLSRDGFVKEAMEMMEQSPLRHYTKAWSSLLQSCKAHGENVLGKRAANMLIDVGRKDPATTLQVSNFFNDIGDRETALRIKEMTNVKEVKKSGHSLIEDGRGKVQEGIRAGGVEKAPATCQERTSTRTLVDSCWVLLLNSLVLGLFAEDTVPLKFDLRAYSDSAILGLQCMQAHKVQNLIHCCLQLYMDKKEVVDALSREAKIEPSVTQHVWQKLEENNREFFKAYYLRLMLKNQITAFNKLLEDQLRIINKEYHPGPSSMPLPNGSNSNLLKQNPCFLSESTPMPAMPDDVMCNGNSSGIVDRTQSSDQLIYAGKDIQGLHSSMDASNLLPVQNANSVLFGVENGTTIKTESGYSSNGNFGFCGNAFLESCQSIGDASGGSFSSSELNGQPLDDSILDIESSSFGFLSQLPRNFFSDLPEDFSQSTEILDNYGKSPFLPSEQNNFSDSTGGEHTG >ONIVA06G29300.1 pep chromosome:AWHD00000000:6:27666758:27670818:-1 gene:ONIVA06G29300 transcript:ONIVA06G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLFLGLAVLTLLVATAAAALPPPGCPRTCGGVAVEYPFGIGPNCSLSDGFSLDCVRDTPQLRLGPVKQQQTVRVLGVDLLHGKIRTTNAIASQCLDARTGKLVNTSWEGLNAAALPYRFSDEDNRFFAVGCSGVVLLQGTAAGADDRVVIGCISTCFGNASIRTGSCSNIGCCETAIPRGLNSYLLAMERMPGGSPVNRCFYATLMEAASFSFEAADAAADGFYRKSSNGTVPVVLSFVVGSETCKEAQTSDTYACLSDHSVCVDGAPGYVCNCSQGYTGNPYLPNGCVDIDECGPGKHGCPDGMICTNFPGGYNCSCPEGEYKSNKNGVLICESDQKRSSLSVSVIIVIGVSGGVVIAVIAILITYLMRQRRALADVKRKYFERHGGLLLYDELSTRPGNTFTIYTEEQLEQATNGFDDGNILGRGGHATVYMGIVPAGGDGLVVAIKRCKVMDETNKKEFGKEMLILSQVNHKNIVKLLGCCLEVDVPMLVYEFVPNGTLYHLIHGGGGGGGDGGVISFATRLRIAHESAESLAYLHSFASPPILHGDVKSSNILLDESFMAKVSDFGASILAPTDEAQMVTMVQGTCGYLDPEYMRTCQLTEKSDVYSFGVVLLELLTGKKPLCLDGPEEERSLSARFVAAMGERKVGEMLDEQVKREASGESLEEITRLAFECLQMCGADRPAMKEVAERLGGLRKLHQHPWTQDAVELEEARCLLHGSPEYQLSARYTTGSR >ONIVA06G29290.1 pep chromosome:AWHD00000000:6:27664630:27666484:1 gene:ONIVA06G29290 transcript:ONIVA06G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast thylakoid lumen protein [Source:Projected from Arabidopsis thaliana (AT4G02530) TAIR;Acc:AT4G02530] MVVAIATEAWALAGCGAAAKSAAAAAQEAPVQLQQHSLSAARAKKPISFRAVAAAAVSSQCHQERRAVVVGRRSGMASCLLAAVAASLSGAGEARAAVLEADDDIELLERVKEDRKKRLQKQGVISSSGTETGYLQDLIYKLSKVGQAIDKNDLPAASSVLGPNSDAQWVQNINVAFTKFSSSPEEKNMVDSFNSSLASLITSVNKSDVDSSKSAFVSSATTLEKWIASAGLSGQLKGF >ONIVA06G29280.1 pep chromosome:AWHD00000000:6:27661761:27664515:1 gene:ONIVA06G29280 transcript:ONIVA06G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G55830) TAIR;Acc:AT3G55830] MMPKLLLQAAAAVADRRWYGSGGRRGYLPLRHPPHVAPGRFTACLLAVAAVTTTFALALTLHRPDLSSAAAYAASPRGGGGAGYAVVINTWKRYDLLRRSVAHYSGCGGVDAVHVVWSEPEEPTEELRGSVLNCSDGGGAGVRFVINAEDSLNNRFRPIQGLTTDAIFSVDDDLIVPCSTLRFAFAVWQSAPSAMVGFVPRMHWLADPGSNAKEYRYGSWWSVWWTGTYSMVLSKASFFHRQYLDLYTNRMLPSIRDYVNENRNCEDIAMSFLVANVTGSPPIWVQGRIFEIGSSGISSLKGHDLQRSKCLNTFSAMYGHMPLVATRVKAVDSRTSWFW >ONIVA06G29280.2 pep chromosome:AWHD00000000:6:27661761:27664054:1 gene:ONIVA06G29280 transcript:ONIVA06G29280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G55830) TAIR;Acc:AT3G55830] MMPKLLLQAAAAVADRRWYGSGGRRGYLPLRHPPHVAPGRFTACLLAVAAVTTTFALALTLHRPDLSSAAAYAASPRGGGGAGYAVVINTWKRYDLLRRSVAHYSGCGGVDAVHVVWSEPEEPTEELRGSVLNCSDGGGAGVRFVINAEDSLNNRFRPIQGLTTDAIFSVDDDLIVPCSTLRFAFAVWQSAPSAMVGFVPRMHWLADPGSNAKEYRYGSWWSVWWTGTYSMVLSKASFFHRQYLDLYTNRMLPSIRDYVNENRNCEDIAMSFLVANVTGSPPIWVQGGHTEVPFRDVTAGRIFEIGSSGISSLKGHDLQRSKCLNTFSAMYGHMPLVATRVKAVDSRTSWFW >ONIVA06G29270.1 pep chromosome:AWHD00000000:6:27657703:27660826:1 gene:ONIVA06G29270 transcript:ONIVA06G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01230) TAIR;Acc:AT5G01230] MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDQEFNIFHGVKRVVDLCAAPGSWSQVLSRNLYVPAKQSPDCKEGDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQLKLFFSQVTFAKPKSSRNSSIEAFAVCENYSPPEGFKEKDLYHLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLTSTEGGSYQSLDPVQPPIAPPYKTALEMKKVASHGIGADISKLSLDS >ONIVA06G29260.1 pep chromosome:AWHD00000000:6:27643707:27656496:-1 gene:ONIVA06G29260 transcript:ONIVA06G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGQGAHLSGQRIGHHPTAQMNPGDGDGNGRQQVASGHASADPELMNLRIRMTNRLIWELLSREPKLQTRPRKLVSDLAKRFEAVIYKKNPNKAAYYSILNGEIFPHLQHALSTHMAQHQQGQQMLQQLTSSSSYGTTIPIPDVVQNASGNTRALYEMDNTSGPMSNGHHHFSANFPLHSTTKGASLEMSAVSMQEGKITHMIPTPGSSNQQSLPGNFHYSTGTGYLNGKSNVMAQMQEQQAPFASKINCCPVQRDLGGYAGSGVHSDILNNSSPYGVSEAHMIDGMGLHRSNVQVINRTVVPETFINPSPYGISPNKPLQRHVNPSTRSTPTPADIAASTSFNGTGSSALSTTSYLDMTTVNSLPKSRMDSGLIMSQPTIQSFQTEYYIQTEGLDLQEKISLEQLHQQVNQLHLIQPHSQYAQNQCSLKLQQQNSLHHLVMSRGNVLTQCHLGSDHAEKLLDKRNQLHSELVSSQINEHVGLTNLQGHYEQTQYHDNYKKGQMSASSQNLGIPAPHDLLPPQQQFDDGSYRLSCFLKETYTKPLQPHCKSKPMKEVIMTSLLSGKIQDGFCQKKMARDREHHPIISGWHSAGCAATSFGSEEVMENTKQYHAQARWLLFLFHAKSCTSPPGSSKSSYCDRVRELVVHLTDCQIKDCPYRHCRESKMVSDHYKNCINEHCHVCCKAKEMLRRSSELAHKQNPAEPILITQHNMNQRSADRVHGDRMDIDQAVETFDDQPPAAKRPKLQLVSPDASENVPVCQKNPGFMLQEAHPRQLDQNKKMVPDQEVDVGLDIRHPQVTLVSCHGSDEKIGAAQNTVIPGALNKIHCHVQQETVVADKESVTAVDVKKKTGSVDVTISKTGKPKVKGVSLMELFTPEQIHEHINGLRQWIGQSKAKAEKNQVIGYSESESLCQLCKVENLTFEPQPIYCSPCGARIKRNASYYTGSTAMGRLFFCISCYNASLGNTIEVELIKLSKADLEKKRNSDEPEEGWVQCDKCECWQHQICALFNARRNDVEEAEYTCFKCYIEEFKRGLRMPLPESVVRGAKDLPRTLLSDHIEERLFKRLREERQERANKLKTSLDEVPGADGLVVRVVSSVDKKLEVKPRFFKILQEDNYPAEFPYKSKAILLFQKIEGVEVCLFGMYVQEYGAECKFPNQRRVYLSYLDSVKYFRPDIETVSGQALRTYVYHEILIGYLEYCKQRGFTSCYIWACPPVKGEDYILYCHPEIQKTPKYLSMLQKAIKENIVVELTNLYDQFFVTAKECKIKVSAARLPYFDGDYWPGAAEDIINQLQLEGDGKLLKKGRVNKIITKRALKAAGHTDLSGHASKEAMLMQKLGEIICPIKDDLIMVHLQYSCSHCCTFMVSGRRWVCNERKSFYICDRCYNAEQRLEEKERHPSNSKCLHILHPVEIVGVSEDTKDRDIILENEIFDTRQAFLSFCQGYHYQYDTLRRAKHSTMMMLYHLHNPTGPAFVATCNVCNCDIENGQVWYCKDCPDFDMCASCYQKHGGANHHHKLTNHPSCAECNVQNKGAWQKHVQQVRVWLELALHASSCHVRNCQYRNCRKLKGLFHHGAQCKIRLTKGCKQCARMWYIIRLHSQSCRQSDCAVPRCRDFKSFERKQNQLSESRRMASVNERVRQRVAEVTRHE >ONIVA06G29260.2 pep chromosome:AWHD00000000:6:27643709:27650030:-1 gene:ONIVA06G29260 transcript:ONIVA06G29260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSSELAHKQNPAEPILITQHNMNQRSADRVHGDRMDIDQAVETFDDQPPAAKRPKLQLVSPDASENVPVCQKNPGFMLQEAHPRQLDQNKKMVPDQEVDVGLDIRHPQVTLVSCHGSDEKIGAAQNTVIPGALNKIHCHVQQETVVADKESVTAVDVKKKTGSVDVTISKTGKPKVKGVSLMELFTPEQIHEHINGLRQWIGQSKAKAEKNQVIGYSESESLCQLCKVENLTFEPQPIYCSPCGARIKRNASYYTGSTAMGRLFFCISCYNASLGNTIEVELIKLSKADLEKKRNSDEPEEGWVQCDKCECWQHQICALFNARRNDVEEAEYTCFKCYIEEFKRGLRMPLPESVVRGAKDLPRTLLSDHIEERLFKRLREERQERANKLKTSLDEVPGADGLVVRVVSSVDKKLEVKPRFFKILQEDNYPAEFPYKSKAILLFQKIEGVEVCLFGMYVQEYGAECKFPNQRRVYLSYLDSVKYFRPDIETVSGQALRTYVYHEILIGYLEYCKQRGFTSCYIWACPPVKGEDYILYCHPEIQKTPKYLSMLQKAIKENIVVELTNLYDQFFVTAKECKIKVSAARLPYFDGDYWPGAAEDIINQLQLEGDGKLLKKGRVNKIITKRALKAAGHTDLSGHASKEAMLMQKLGEIICPIKDDLIMVHLQYSCSHCCTFMVSGRRWVCNERKSFYICDRCYNAEQRLEEKERHPSNSKCLHILHPVEIVGVSEDTKDRDIILENEIFDTRQAFLSFCQGYHYQYDTLRRAKHSTMMMLYHLHNPTGPAFVATCNVCNCDIENGQVWYCKDCPDFDMCASCYQKHGGANHHHKLTNHPSCAECNVQNKGAWQKHVQQVRVWLELALHASSCHVRNCQYRNCRKLKGLFHHGAQCKIRLTKGCKQCARMWYIIRLHSQSCRQSDCAVPRCRDFKSFERKQNQLSESRRMASVNERVRQRVAEVTRHE >ONIVA06G29260.3 pep chromosome:AWHD00000000:6:27650157:27656496:-1 gene:ONIVA06G29260 transcript:ONIVA06G29260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGQGAHLSGQRIGHHPTAQMNPGDGDGNGRQQVASGHASADPELMNLRIRMTNRLIWELLSREPKLQTRPRKLVSDLAKRFEAVIYKKNPNKAAYYSILNGEIFPHLQHALSTHMAQHQQGQQMLQQLTSSSSYGTTIPIPDVVQNASGNTRALYEMDNTSGPMSNGHHHFSANFPLHSTTKGASLEMSAVSMQEGKITHMIPTPGSSNQQSLPGNFHYSTGTGYLNGKSNVMAQMQEQQAPFASKINCCPVQRDLGGYAGSGVHSDILNNSSPYGVSEAHMIDGMGLHRSNVQVINRTVVPETFINPSPYGISPNKPLQRHVNPSTRSTPTPADIAASTSFNGTGSSALSTTSYLDMTTVNSLPKSRMDSGLIMSQPTIQSFQTEYYIQTEGLDLQEKISLEQLHQQVNQLHLIQPHSQYAQNQCSLKLQQQNSLHHLVMSRGNVLTQCHLGSDHAEKLLDKRNQLHSELVSSQINEHVGLTNLQGHYEQTQYHDNYKKGQMSASSQNLGIPAPHDLLPPQQQFDDGSYRLSCFLKETYTKPLQPHCKSKPMKEVIMTSLLSGKIQDVLAALRLHLDLKKSWKTLNNTMPRHVGYYFCSMQNHVHLLQEVVNLHIVIVYGS >ONIVA06G29250.1 pep chromosome:AWHD00000000:6:27638214:27642181:-1 gene:ONIVA06G29250 transcript:ONIVA06G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIVAARAPPGGGGAAAVIAYHHCASPSRALPLAAGPSAGGGVVVGRRYHHHGGACCFAAKPTQVAAEVDQGGAAEPGVASANAAVEAKPRKKARSRRGRKGKRSSSSETRTTAVLEEEEEVEVKEKKTEEDGEEGKRKEKAAGLDLDEVMAVSPVGLGRRSRQIFDEVWRKFSRLGQMSSASSTALAEEEQAVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDAEVIDMLPRSVDIVVGDVGDPSTVKSAVSGCSKIIYCATARSTITGDLNRVDNQGVRNVSKAFQDYYNELAQLRAGKSSKSKLLIAKFKSPKSLNGWEVDQGSYFPNTFASRFDEGIDASFDFSEAGQAVLSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLFSVGGNGRSYVVILETGPLADTSQSKKYFARMTTKVGFCRVRVPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQSATDPRNFELILEYIKALPTGQETDFILVSCSGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVAEQGNELYELVAHLPDKANNYLTPALSVLEKNT >ONIVA06G29240.1 pep chromosome:AWHD00000000:6:27633268:27638074:-1 gene:ONIVA06G29240 transcript:ONIVA06G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-aminolevulinic acid dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV24] MINRLTSGNNFDGCNDIFFRSYFFSFERVGVAIGRTQATRIPPLRKLSPLSAAVAASSPPHLLLHSLQAMASTVSFSPANVQMLQGRSCHGHAAFGGCSAVPRTGPRMRSVAVRVSSEQEAAPAVRAPSGRTIEECEADAVAGRFPAPPPLVRPKAPEGTPQIRPLDLTKRPRRNRRSPALRAAFQETTISPANLVLPLFIHEGEDDAPIGAMPGCYRLGWRHGLLDEVYKSRDVGVNSFVLFPKVPDALKSQSGDEAYNDNGLVPRTIRLLKDKFPDIVVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALLETAADEAEGADILLVKPGLPYLDVIRLLRDNSALPIAAYQVSGEYSMIKAGGALNMIDEEKVMMESLMCLRRAGADIILTYFARQAANVLCGMRSN >ONIVA06G29240.2 pep chromosome:AWHD00000000:6:27633268:27636893:-1 gene:ONIVA06G29240 transcript:ONIVA06G29240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-aminolevulinic acid dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV24] MASTVSFSPANVQMLQGRSCHGHAAFGGCSAVPRTGPRMRSVAVRVSSEQEAAPAVRAPSGRTIEECEADAVAGRFPAPPPLVRPKAPEGTPQIRPLDLTKRPRRNRRSPALRAAFQETTISPANLVLPLFIHEGEDDAPIGAMPGCYRLGWRHGLLDEVYKSRDVGVNSFVLFPKVPDALKSQSGDEAYNDNGLVPRTIRLLKDKFPDIVVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALLETAADEAEGADILLVKPGLPYLDVIRLLRDNSALPIAAYQVSGEYSMIKAGGALNMIDEEKVMMESLMCLRRAGADIILTYFARQAANVLCGMRSN >ONIVA06G29230.1 pep chromosome:AWHD00000000:6:27630047:27631663:1 gene:ONIVA06G29230 transcript:ONIVA06G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAALLALLLALAAPAPAASQPAAVDPRPSWAFPNARLRAAYVALQAWRRTAIFSDPANFTANWSGPDVCGYNGVFCAAHPTDGRVRVVAGLDLNHADIAGYIPASLPEGLPDLALLHLNSNRFCGVLPDTFSHLRLLHELDISNNRFVGGFPEVVLSLPSLRYLDLRFNDFEGAIPPKLFDRPLDAIFLNSNRLTRPIPPNLGSSPASVVVLAHNRLGGCIPPSIGRMAETLNEIVLIDDELTGCVPPQVGLLRKVTVFDVSGNHLQGPLPGSVAGLAAVEQLDVAGNLFEGPVPATICSLQSLKNFTYEDNFFSSRPGCPAATADGRWNCIPGAPAQRPPAQCAAAAAHPFDCSKAQCQATPPTTRRPGGRTPPAPHRSPLPHHMPPRRTPPTPPPPSSPTPSHLPPPPPTYSESPKSSIPPSTSPPSSHGASPPSSSSSPPTEHPGYVLPPLTPPPPPTTPPGHHAPVPGTPSSPPSSSWSPPQGGGGKLPFPPVHGVAYSSPPPPPSGDKLPFPPVYGVAYSSPPPPSKPYN >ONIVA06G29220.1 pep chromosome:AWHD00000000:6:27614328:27626492:1 gene:ONIVA06G29220 transcript:ONIVA06G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G75340) TAIR;Acc:AT1G75340] MSRRQEICRNFQRGSCKYGAQCRYLHASPHQQQQQQQQQAKPNPFGFGTGSRQQQQPSFGSQFQQQQQQQQKPNPFGFGVQGANAQSRNAPGPAKPFQNKWVRDPSAPTKQAEAVQPPQAQAAHTSCEDPQSCRQQISEDFKNEAPIWKLTCYAHLRNGPCDIKGDISFEELRAKAYEEGKQGHSLQSIVEGERNLQNAKLMEFTNLLNSARPSQTPSFPTMSSFPEVKNNSSFGASQTNGPPVFSSFSQIGAATNIGPGPGTTTPGMPASSPFGHPSSAPLAAPTFGSSQMKFGVSSVFGNQGSGQPFGSFQAPRFPSSKSPASSVQHRDIDRQSQELLNGMVTPPSVMFEESVGNNKNENQDDSIWLKEKWAIGEIPLDEPPQRHSRKPSGSFGSSCSSINNFLKSSAGTTKMKMKMNNLVKEKWAIGELQGQQRNSTTNRRRIHE >ONIVA06G29220.2 pep chromosome:AWHD00000000:6:27614328:27626106:1 gene:ONIVA06G29220 transcript:ONIVA06G29220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G75340) TAIR;Acc:AT1G75340] MSRRQEICRNFQRGSCKYGAQCRYLHASPHQQQQQQQQQAKPNPFGFGTGSRQQQQPSFGSQFQQQQQQQQKPNPFGFGVQGANAQSRNAPGPAKPFQNKWVRDPSAPTKQAEAVQPPQAQAAHTSCEDPQSCRQQISEDFKNEAPIWKLTCYAHLRNGPCDIKGDISFEELRAKAYEEGKQGHSLQSIVEGERNLQNAKLMEFTNLLNSARPSQTPSFPTMSSFPEVKNNSSFGASQTNGPPVFSSFSQIGAATNIGPGPGTTTPGMPASSPFGHPSSAPLAAPTFGSSQMKFGVSSVFGNQGSGQPFGSFQAPRFPSSKSPASSVQHRDIDRQSQELLNGMVTPPSVMFEESVGNNKNENQDDSIWLKEKWAIGEIPLDEPPQRHSRKPSGSFGSSCSSINNFLKSSAGTTKMKMKMNNLVKEKWAIGEFVCHLSEGIDSGSYVAAPGSTKELYNKQKKNSRVKGDMEH >ONIVA06G29220.3 pep chromosome:AWHD00000000:6:27614328:27629861:1 gene:ONIVA06G29220 transcript:ONIVA06G29220.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G75340) TAIR;Acc:AT1G75340] MSRRQEICRNFQRGSCKYGAQCRYLHASPHQQQQQQQQQAKPNPFGFGTGSRQQQQPSFGSQFQQQQQQQQKPNPFGFGVQGANAQSRNAPGPAKPFQNKWVRDPSAPTKQAEAVQPPQAQAAHTSCEDPQSCRQQISEDFKNEAPIWKLTCYAHLRNGPCDIKGDISFEELRAKAYEEGKQGHSLQSIVEGERNLQNAKLMEFTNLLNSARPSQTPSFPTMSSFPEVKNNSSFGASQTNGPPVFSSFSQIGAATNIGPGPGTTTPGMPASSPFGHPSSAPLAAPTFGSSQMKFGVSSVFGNQGSGQPFGSFQAPRFPSSKSPASSVQHRDIDRQSQELLNGMVTPPSVMFEESVGNNKNENQDDSIWLKEKWAIGESPQHETTPHTHTKMAINCRATRCRASASLSSSLHLQPTRPTTAPPGPAQTGPARPGPAR >ONIVA06G29210.1 pep chromosome:AWHD00000000:6:27613006:27613895:-1 gene:ONIVA06G29210 transcript:ONIVA06G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGLARAAAPAVVALALAAILSTPPPQPETFSNIPQTLSGGDGKQQVRIKRPKSAKALQCTSKCVATCIRGGEGPLNASGSFQGTIPQPAVLSARMSAISSRMEKMGNEESFDKEKQQQFKPRQGMDSRMVTD >ONIVA06G29210.2 pep chromosome:AWHD00000000:6:27613006:27613895:-1 gene:ONIVA06G29210 transcript:ONIVA06G29210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGLARAAAPAVVALALAAILSTPPPQPETFSNIPQTLSGGDGKQQVRIKRPKSAKALQCTSKCVATCIRGGEGPLNVRKKYVHSPIIRAQLLLNLQASGSFQGTIPQPAVLSARMSAISSRMEKMGNEESFDKEKQQQFKPRQGMDSRMVTD >ONIVA06G29200.1 pep chromosome:AWHD00000000:6:27609309:27612726:1 gene:ONIVA06G29200 transcript:ONIVA06G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSLSAKARRGFSSSSSSASAAASSCRSQLEQDVKKLQKALQEETTLHAILENALHRAALTLADMSYLPTNAQELLSNISILEGTISKLEDDMVSLHFQLIQERNERRLVEYRLKQAPPPPQLQLQQPRSVCSCHSTKSESDDTANEKSCRVDKVYPFAVLHDSAMKLQRQLSSKCFGNPNQLSEDIVRCMKNIFISLSDSCRESSRTPSTEKQQSGPSPSGNYSISAFWSLSEPTSISSWVQSPQVDLNYNNNLLASETVFDPYKAREKLSWADIGSYGAAAEVSWMSVGKKQLEYAAESLRKFRLLIEQLAEVNPIHLGDDAKLAFWINLYNALMMHAYLAYGVPRSDIKLFSLMQKAAYTIGGHSFSAAFIEYVILKMKPPNHRPQMKIKVPEEQKKFCIGSPEPLLTFALSCGLYSSPAVKIYTAGNVREELQDAQRDFIRASVGVSRKGKLLVPKMLHCFARGFVDDNSFPIWISHFLPQQQATFVEHCVSQRRQSLLGTRTFGIIPFDSRFRYLFLPDMGFSN >ONIVA06G29180.1 pep chromosome:AWHD00000000:6:27587690:27591556:1 gene:ONIVA06G29180 transcript:ONIVA06G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAAAEKATSYSYWVREATGDAAPLPAPRKIDAADLAAKPAPTTLGSVWNKAGTWEEKNLNSWANGRIKDLLGSLDPLEFSTGKASVYEVSKCSGDAFLVTVRNKKRVGYTYELGLKFKGKQPLVLEFTSSHELSPAENWHSWEYSSGEWLIKEENKKVKGYLDIPEFSFGELEDLEVQISFTDIKDLSSDNKAQISKDLKSFLAPIREKLRKFEEELKDR >ONIVA06G29170.1 pep chromosome:AWHD00000000:6:27585917:27586867:-1 gene:ONIVA06G29170 transcript:ONIVA06G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANMLSAMMAAVGGDRAAAPGGDKPQPDTSEQINVSPLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVTVADVFAMPQSGTGVSVEAVDHAFQSEMLEMLRQTGRPEMVVGWYHSHPGFGCWLSGTDMATQQSFEQLHPRAVAVVIDPVQSVKGKVVMDAFRLVDHMAMVLGGAAGGEARQTTSNVGAVARPSAVALVHGLGRHYYSLAISYRMKEGEERMLACLSRSGWSDGFALRRFGAHDAGNEAAVRGMRDLAVGYGAQVREEDETPPERLAVVRAGKVDAKGKLEEKAMDAMSANIVQTLGMMLDTVTF >ONIVA06G29160.1 pep chromosome:AWHD00000000:6:27578205:27584631:-1 gene:ONIVA06G29160 transcript:ONIVA06G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWLLAGRSTSRGGSHPLGLTLLLLLPPPLVTVQSQALRLTAAVEILNSAMASFGMNWNQKSPVFWDWENPAPFGPNTMENPKSIPHPEPRGVVVAAANHGSTNSSGGTFTSSSELANGSSKSSLSASFDSSSKLGNSLEFRFASVKGHGKNMCKDGEAGRVEDSGTSPAVAVSHGEPVIGLKLGKRTYFENVCGGQNVKSSSAASGVTCPSTVVKKVKVSQQSTQSSYCQVEGCKVDLSSAREYHRKHKVCEAHSKAPKVIVSGLERRFCQQCSRFHGLAEFDQKKKSCRRRLSDHNARRRKPQQEAISFGSSRLATMFYDARQQTDIYFGQSPFGQVRSNAISSCDNLGGFKFTEAKLPWMKPMKTIGLEDLNFSTLQMPGNVVSHTVHHHDFDGLIPFKGNTTKVLNQGVDPACAVVSSNSNGAPDLRRALSLLSSDSWGPADVQAGSQVHPGGVMPPLAVAAATVTAPTNPVSVMHALHPSTGGGGFWQDGDDPPPLDHASQAQAFMHPGNGSSSGYGHLH >ONIVA06G29160.2 pep chromosome:AWHD00000000:6:27578205:27584631:-1 gene:ONIVA06G29160 transcript:ONIVA06G29160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWLLAGRSTSTAVEILNSAMASFGMNWNQKSPVFWDWENPAPFGPNTMENPKSIPHPEPRGVVVAAANHGSTNSSGGTFTSSSELANGSSKSSLSASFDSSSKLGNSLEFRFASVKGHGKNMCKDGEAGRVEDSGTSPAVAVSHGEPVIGLKLGKRTYFENVCGGQNVKSSSAASGVTCPSTVVKKVKVSQQSTQSSYCQVEGCKVDLSSAREYHRKHKVCEAHSKAPKVIVSGLERRFCQQCSRFHGLAEFDQKKKSCRRRLSDHNARRRKPQQEAISFGSSRLATMFYDARQQTDIYFGQSPFGQVRSNAISSCDNLGGFKFTEAKLPWMKPMKTIGLEDLNFSTLQMPGNVVSHTVHHHDFDGLIPFKGNTTKVLNQGVDPACAVVSSNSNGAPDLRRALSLLSSDSWGPADVQAGSQVHPGGVMPPLAVAAATVTAPTNPVSVMHALHPSTGGGGFWQDGDDPPPLDHASQAQAFMHPGNGSSSGYGHLH >ONIVA06G29160.3 pep chromosome:AWHD00000000:6:27578205:27582294:-1 gene:ONIVA06G29160 transcript:ONIVA06G29160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVFIQVEYNGDNDSLSAKGAAVEILNSAMASFGMNWNQKSPVFWDWENPAPFGPNTMENPKSIPHPEPRGVVVAAANHGSTNSSGGTFTSSSELANGSSKSSLSASFDSSSKLGNSLEFRFASVKGHGKNMCKDGEAGRVEDSGTSPAVAVSHGEPVIGLKLGKRTYFENVCGGQNVKSSSAASGVTCPSTVVKKVKVSQQSTQSSYCQVEGCKVDLSSAREYHRKHKVCEAHSKAPKVIVSGLERRFCQQCSRFHGLAEFDQKKKSCRRRLSDHNARRRKPQQEAISFGSSRLATMFYDARQQTDIYFGQSPFGQVRSNAISSCDNLGGFKFTEAKLPWMKPMKTIGLEDLNFSTLQMPGNVVSHTVHHHDFDGLIPFKGNTTKVLNQGVDPACAVVSSNSNGAPDLRRALSLLSSDSWGPADVQAGSQVHPGGVMPPLAVAAATVTAPTNPVSVMHALHPSTGGGGFWQDGDDPPPLDHASQAQAFMHPGNGSSSGYGHLH >ONIVA06G29160.4 pep chromosome:AWHD00000000:6:27578205:27581426:-1 gene:ONIVA06G29160 transcript:ONIVA06G29160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGMNWNQKSPVFWDWENPAPFGPNTMENPKSIPHPEPRGVVVAAANHGSTNSSGGTFTSSSELANGSSKSSLSASFDSSSKLGNSLEFRFASVKGHGKNMCKDGEAGRVEDSGTSPAVAVSHGEPVIGLKLGKRTYFENVCGGQNVKSSSAASGVTCPSTVVKKVKVSQQSTQSSYCQVEGCKVDLSSAREYHRKHKVCEAHSKAPKVIVSGLERRFCQQCSRFHGLAEFDQKKKSCRRRLSDHNARRRKPQQEAISFGSSRLATMFYDARQQTDIYFGQSPFGQVRSNAISSCDNLGGFKFTEAKLPWMKPMKTIGLEDLNFSTLQMPGNVVSHTVHHHDFDGLIPFKGNTTKVLNQGVDPACAVVSSNSNGAPDLRRALSLLSSDSWGPADVQAGSQVHPGGVMPPLAVAAATVTAPTNPVSVMHALHPSTGGGGFWQDGDDPPPLDHASQAQAFMHPGNGSSSGYGHLH >ONIVA06G29150.1 pep chromosome:AWHD00000000:6:27570923:27577698:1 gene:ONIVA06G29150 transcript:ONIVA06G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGGYRQKLIQVWNDWQVSVLVLLSLTLQLLFLSTAGARRRAQPSWGKKTYFWALYIGSRFITTYSLGILSRASTGDANADIQAFWASLLLFHLGGPDDFTALSLEDNKLWDRRCLELFIQVSTTLYVFSRYVLDPGFRRFIVPFALIFSAGVVKYVEQVVALHHATMEALIKSVLGKPDAGPDYADTINRLDGIMRSGALPSLDIKNERVDRPNSDPEANVQVKDYSEDEQQVAIKTIRSAHSLFSRFSVLFADGIFSFEDRQESQAMFLRKDARWAFKIVEIELGFAYDRLYTKASVSRGARLAVRVCSLSLTLAAGLWAALAILRASQYRQRHRCVTYALLAGAALNDAAILAAHAFSVWSLVHGDWLSWCSVMLVKRRRWSASMAQSNLVTFCLRKLPSNNDSDPAPLSSSFLLRRLLLGGGRGGVQQQDASPAPALSTTLASMDEFQKLFERRSLLDQVRSGSFWSKYKHTKYVPVSEKLKDFIYAQLEEKVRRLSEYDKRMERERERERKRVRAPSPSPSPSPSASATTPTQTGTSTTTTTSSMYSILTDCRGDQVMKDEEISNLSWSLEKKEFDESLLIWHIATDLRFREEAQAAGAAASAASAATVDQRETRKHMEIARELSNYLYYIMVVVHPLMLSSSTTMAIKRCRDTCAEARRLFLKDHVMAAAGKGKGDRRRAVGEDNAHRVLLDVDTPLHAAVVKGDKCKSVLWDGCFLARELRQSMADPGRRWRVVCKVWVEMLGYAAVHCGGYQHAERLKDGGELITFVCLLMTHLGMGKHYRTEVGDAYAHLSPYSAAA >ONIVA06G29140.1 pep chromosome:AWHD00000000:6:27554105:27559432:-1 gene:ONIVA06G29140 transcript:ONIVA06G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKPSPSAFVDNHMGVAYIRLGSMEAALLPSSTLLPKLVELSWYLLLKEDIRVIRSGGGHKITLAKQDLQELQNCINKASFFLAGTGRINAQSWQTSRLHTWISDALKAASCLEDQIAMYAMRGVYVGHLYAMKSAIGMLSTDKLVKIIRDRKNEMPTEVQNYHQEVMERLPAILPFTPHSHCEEQDTVPIGFMEKIEELKNILLRDAAPVIFVSGEQLSGKTTLMNQVYKDIDVRNHFKIRSKIDMSKTRCLSDLLRAVLKHEERETNSMYPDAIDELKIIEEIQRTFRTVGNRYLMVMDDVDDTSSLHVLRHVLGGWEGKIVCLTRNTRIQYEELHAKVEIRPLELAYQQQLLVHVAFRNADDTPAPVAVDRNNDGQQEGGGEYDQLEKALKGKTETDNIDGDDLTPMVETLKGILRKCRGNPWNIRAVGALLGANRVDKWKEIEENQVDDLVIGDKKRDPLIPAEYAQLPADIRLGFLYCLAFPERSEIPENSLIPARKLVRLWTAEGFPPNDSPLQSQEQEAENLLQRLIDYKLLVVKKTGLDGEVLKCKVNEHMRSLALEMCEAQKICRFARDPAHPAPRTRPSSSLFSRKTALLHRYRVLAVHGDGDGNEAVQEMSSAMSKDIRLRSLLYFRTERKEPPKLELSFGRTYKLLRTLDLQGTRLTRLHSSITCLVCLRYLGLRGTQLEYLPETLQSLRRLMCLDIRDTGITEVNDVSEFKEMRHLYLANSFRDQSVLIKEGLLSLLHLQTLSGATHEVPSERKKAGMVPFEQELLYLKLLRKLSVKKASISCSKGISDAINKMDLLQSLTITCAAGEKRGFNLSYLNVNKNLRKLKLGGRMQKFDRLQPKLQSITYLYLWDSKLPVEGKDPLQLLQGLQQLLLLSLYNVYEGEKLTCTNGYHKLKKLSIIAMGKLNECTFGTKNMANLEVLVFAKCARLSSPPPKLDELNFLREVHLAQMPQGFYGGMKPATQKLVHFPEFQHHFHSSTRAVASVSVL >ONIVA06G29140.2 pep chromosome:AWHD00000000:6:27554268:27559432:-1 gene:ONIVA06G29140 transcript:ONIVA06G29140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKPSPSAFVDNHMMTNPTIKLGADLRRIKHFVAASRIYGVAYIRLGSMEAALLPSSTLLPKLVELSWYLLLKEDIRVIRSGGGHKITLAKQDLQELQNCINKASFFLAGTGRINAQSWQTSRLHTWISDALKAASCLEDQIAMYAMRGVYVGHLYAMKSAIGMLSTDKLVKIIRDRKNEMPTEVQNYHQEVMERLPAILPFTPHSHCEEQDTVPIGFMEKIEELKNILLRDAAPVIFVSGEQLSGKTTLMNQVYKDIDVRNHFKIRSKIDMSKTRCLSDLLRAVLKHEERETNSMYPDAIDELKIIEEIQRTFRTVGNRYLMVMDDVDDTSSLHVLRHVLGGWEGKIVCLTRNTRIQYEELHAKVEIRPLELAYQQQLLVHVAFRNADDTPAPVAVDRNNDGQQEGGGEYDQLEKALKGKTETDNIDGDDLTPMVETLKGILRKCRGNPWNIRAVGALLGANRVDKWKEIEENQVDDLVIGDKKRDPLIPAEYAQLPADIRLGFLYCLAFPERSEIPENSLIPARKLVRLWTAEGFPPNDSPLQSQEQEAENLLQRLIDYKLLVVKKTGLDGEVLKCKVNEHMRSLALEMCEAQKICRFARDPAHPAPRTRPSSSLFSRKTALLHRYRVLAVHGDGDGNEAVQEMSSAMSKDIRLRSLLYFRTERKEPPKLELSFGRTYKLLRTLDLQGTRLTRLHSSITCLVCLRYLGLRGTQLEYLPETLQSLRRLMCLDIRDTGITEVNDVSEFKEMRHLYLANSFRDQSVLIKEGLLSLLHLQTLSGATHEVPSERKKAGMVPFEQELLYLKLLRKLSVKKASISCSKGISDAINKMDLLQSLTITCAAGEKRGFNLSYLNVNKNLRKLKLGGRMQKFDRLQPKLQSITYLYLWDSKLPVEGKDPLQLLQGLQQLLLLSLYNVYEGEKLTCTNGYHKLKKLSIIAMGKLNECTFGTKNMANLEVLVFAKCARLSSPPPKLDELNFLREVHLAQMPQGFYGGMKPATQKLVHFPEFQHHFHSSTRAVVQGGS >ONIVA06G29130.1 pep chromosome:AWHD00000000:6:27549578:27552270:-1 gene:ONIVA06G29130 transcript:ONIVA06G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWFIASCGDIRAVAARSSRRRGGDAIAPKSAPPSPSPPPRLRKTVSETAAVMLAVPKDVEEFRTMPAYGTNLEQFTYDQLRAATADFSPEQIVGEGGFGVVYKGLIHGAVVAVKQLNPFGHQGDREWLTEVSYLGQYNHPNLVELIGYCCEDDHRLLVYEYMANGSLENHLFRRSCNLSWTTRMKIALDVARGLAFLHGGDRPIIYRDFKTSNILLDTDMKAKLSDFGLAKEGPRGGKTHVSTRVMGTYGYAAPEYVATGHLTAMSDVYGFGVVLLEMLVGRRALEPPAAGCSKCNLVDWARPILIRPKKLERIVDRRMALPAPAADCGGGVDAAVERVARLAYDCLSQNPKVRPTMGRVVHVLEAVLADHHHHAGAAAAAARPAAAAAAAATATAGRLYV >ONIVA06G29120.1 pep chromosome:AWHD00000000:6:27544564:27548048:1 gene:ONIVA06G29120 transcript:ONIVA06G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTQQTWARPKLSNAGQPIKGAIYGPEGPPNMGQSQRGTNRTAHEGTNKAHPIKRPAHPLRLHPTAEATTRYSDPPAPAPPVADFIGPRRRSPAAAPSSRWGKGELEAEAEAERELRTTTMGTSAQEPNLWKQIDDAEHYLVSGLFEQAVSTALSVSSQVHSAAMENSCDHDELLEMLELAGMVLVQALKELKRTSEMFIQLKTIYGSVASIPLKIFITGATMHMAGGSGSDLRPIFDEYLAKWRYTDDQVYVLDEGKNSSSNGLVVTSVMSPEQYFEVAELYTVTFLSVVSHETATAISWTEKAELTEQDRQDLLRKLHALQSAANKKSTNQGAKLSESAERNLSTSQNGSISPAHEDATKSSAPTHNGNIQGLRKALPKSIQPSFQRVTNQFDPLFWWFHSFRIKFGKVHVVLPSGKVMFLFSLLFSTLYILRRKGAALKRTAFQQISSLRRGFLDALQLAFSTQINPLAAVQQVPQAPRASW >ONIVA06G29110.1 pep chromosome:AWHD00000000:6:27540181:27541914:-1 gene:ONIVA06G29110 transcript:ONIVA06G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAAAAVDGVGVGVGAHSVFVYGSLMQDEVVRTIIKRVPPSSPALLPNYHRFNIKGRIYPAILPVQSKKVAGKVITGVTDAELQILDEFEDVEYVRTRVEISLTDTSETMLADTYVWADAEDPNLYGEWDFEEWKRLHMKDFLAMTHGFMDGLEQPESKSRVETYQSFMQEIQQPGTTTTTTQVEI >ONIVA06G29100.1 pep chromosome:AWHD00000000:6:27537089:27537496:-1 gene:ONIVA06G29100 transcript:ONIVA06G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSVMEPWYFVEASFVVGKVFGSLTAAVAYPEVTSAVLGSEVVKAMTAVVAVVFVTVAAEAVDQLAETADSPDLTTVQASYSIAAVAGAKAVAAAVVAIFVVVAVAAVVAAAAAVAAAGAEARAGW >ONIVA06G29090.1 pep chromosome:AWHD00000000:6:27531473:27541285:1 gene:ONIVA06G29090 transcript:ONIVA06G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HV03] MMKQAQQQPPPPPASSAATTTTAMAAAAAAAVVGSGCEGEKTKAPAINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQADPETDEVYAQMTLQPVTSYGKEALQLSELALKQARPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKAVYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGAKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQSSSFANTAMQSEYLRSLSNPNMQNLGAADLSRQLCLQNQLLQQNNIQFNTPKLSQQMQPVNELAKAGIPLNQLGVSTKPQEQIHDASNLQRQQPSMNHMLPLSQAQTNLGQAQVLVQNQMQQQHASSTQGQQPATSQPLLLPQQQQQQQQQQQQQQQQQQQKLLQQQQQQLLLQQQQQLSKMPAQLSSLANQQFQLTDQQLQLQLLQKLQQQQQSLLSQPAVTLAQLPLIQEQQKLLLDMQQQLSNSQTLSQQQMMPQQSTKVPSQNTPLPLPVQQEPQQKLLQKQAMLADTSEAAVPPTTSVNVISTTGSPLMTTGATHSVLTEEIPSCSTSPSTANGNHLLQPILGRNKHCSMINTEKVPQSAAPMSVPSSLEAVTATPRMMKDSPKLNHNVKQSVVASKLANAGTGSQNYVNNPPPTDYLETASSATSVWLSQNDGLLHQNFPMSNFNQPQMFKDAPPDAEIHAANTSNNALFGINGDGPLGFPIGLGTDDFLSNGIDAAKYENHISTEIDNSYRIPKDAQQEISSSMVSQSFGASDMAFNSIDSTINDGGFLNRSSWPPAAPLKRMRTFTKVYKRGAVGRSIDMSQFSGYDELKHALARMFSIEGQLEERQRIGWKLVYKDHEDDILLLGDDPWEEFVGCVKCIRILSPQEVQQMSLEGCDLGNNIPPNQACSSSDGGNAWRARCDQNSGNPSNGSYEQFE >ONIVA06G29080.1 pep chromosome:AWHD00000000:6:27500962:27509516:1 gene:ONIVA06G29080 transcript:ONIVA06G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGDAAHAPPPPHRRLVFAFYLTGHGFGHATRAIEFSSVRFPSQVVRHLIAAGHEVHVATAVPEFVFTAELPRSPSSQGLLHIRRAILDCGAVQTDALTVDPLASLLKYHETAVVPRESILRTEAEWLTSINADLVISDVVPVACRVAADVGIPSVCIGNFSWDYIYAEYIVASGDHHRSIVWQIAEDYSHCDILLRLPGYCPMPAFRDVTDVPLVVRGLRKSRSEVRKELGIAENAKVVVFNFGGQVCGASDSQEVPPNFIKLAKDAYTPDAMAASDCMLVHIFYILLCTAYSHYPIGKIGYGTASEALAYKLPFIFVRRDYFNEEPFLRNLLEHYQSSIEMTRRDFLHGHWKPYLLRALTLQPCYDGPTNGGEVAARILEDTAVGKKCISDKFNGARRLQDAIVLGYQLQRAPGRDVAIPDWYSLSETEVGACPISENIKTKESTESCFEDFEILHGDLQGLADTMSFLKSLSEFNQSELKSNGKQPQERIAASVLFDWEEEMYIARAPGRLDVMGGIGDYSGSLVLQLPLREACHVAVQRNHPSNQKLWENTQARRLENGGMEPVVQIVSFGSELSNRSPTFDMKLSDLMDVDKPISYEKAREFFCRNPSQKWAAYVAGTILVLMTELDVKFTDSMSILVSSDVPEGKGVSSSASMEVATMAAIAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQVSSVQYSLQVLLQPAEVKELVAIPNHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLASESSVSDAPVQSNDYKQNAIELLKSEASLEYLCNIPPHRYCADNDILQLHLNFRYEAIYAKDIPEVITGDAFLKKYGDHDDTVTAIDPKRSYNVKAPTRHPIYENFRVETFKALLEAANTDEQLSALGELMYQCHYSYNACGLGSDGTDLLVNLVQEMQHRNMSKGESPSLFGAKITGGGSGGSVCVMGKNCLKSSEEIIEIQQRYKAATGYLPILFDGSSPGAAKFGYLKIRRRPSSPIAAK >ONIVA06G29080.2 pep chromosome:AWHD00000000:6:27500962:27509588:1 gene:ONIVA06G29080 transcript:ONIVA06G29080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGDAAHAPPPPHRRLVFAFYLTGHGFGHATRAIEFSSVRFPSQVVRHLIAAGHEVHVATAVPEFVFTAELPRSPSSQGLLHIRRAILDCGAVQTDALTVDPLASLLKYHETAVVPRESILRTEAEWLTSINADLVISDVVPVACRVAADVGIPSVCIGNFSWDYIYAEYIVASGDHHRSIVWQIAEDYSHCDILLRLPGYCPMPAFRDVTDVPLVVRGLRKSRSEVRKELGIAENAKVVVFNFGGQVCGASDSQEVPPNFIKLAKDAYTPDAMAASDCMLVHIFYILLCTAYSHYPIGKIGYGTASEALAYKLPFIFVRRDYFNEEPFLRNLLEHYQSSIEMTRRDFLHGHWKPYLLRALTLQPCYDGPTNGGEVAARILEDTAVGKKCISDKFNGARRLQDAIVLGYQLQRAPGRDVAIPDWYSLSETEVGACPISENIKTKESTESCFEDFEILHGDLQGLADTMSFLKSLSEFNQSELKSNGKQPQERIAASVLFDWEEEMYIARAPGRLDVMGGIGDYSGSLVLQLPLREACHVAVQRNHPSNQKLWENTQARRLENGGMEPVVQIVSFGSELSNRSPTFDMKLSDLMDVDKPISYEKAREFFCRNPSQKWAAYVAGTILVLMTELDVKFTDSMSILVSSDVPEGKGVSSSASMEVATMAAIAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQVSSVQYSLQVLLQPAEVKELVAIPNHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLASESSVSDAPVQSNDYKQNAIELLKSEASLEYLCNIPPHRYCADNDILQLHLNFRYEAIYAKDIPEVITGDAFLKKYGDHDDTVTAIDPKRSYNVKAPTRHPIYENFRVETFKALLEAANTDEQLSALGELMYQCHYSYNACGLGSDGTDLLVNLVQEMQHRNMSKGESPSLFGAKITGGGSGGSVCVMGKNCLKSSEEIIEIQQRYKAATGYLPILFDGSSPGAAKFGYLKIRRRPSSPIAAK >ONIVA06G29070.1 pep chromosome:AWHD00000000:6:27496233:27497246:-1 gene:ONIVA06G29070 transcript:ONIVA06G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNGTEKNNCSKELMVPLALFAVELNSKKRLLFDVSSRKIHGVTSTVFPDAFCEFENGGWLLMAQHKPLSFQDQIVFLVHPSTGTHLDLPVLRSPNEGFFVFYVGSHGMPLVVAFIEIMSAVPTAHIACPGDVYWSIYKHISDPEMSEAMHKVQSALIVDVVLLGKQAVCVDFHGQILSFSITDMIWRTVSSCPDWSKQDSHFLVASNEQVVAISHPCKTGSAFKFFKLDLQAMEWSLLDDRELDNTSWFLCKGQSYRVKEEGKRRVYLFGPNKCAGSIVNGAEVATFTGSLGPSTLKSITNIYAYDLVDETVETVIPASIVTEVHRWILPSTFAT >ONIVA06G29060.1 pep chromosome:AWHD00000000:6:27491699:27495538:1 gene:ONIVA06G29060 transcript:ONIVA06G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENSSPAFTDFLPRALSPIPFVDKGDIMMNIDQAEDSCSNISGASRSSQSHTDQTEDSKGNELCTRVKAVTDVSCGSELQDTCEEEGIILWPGLKMSFPQAPLVRIQDNELDYAYVDVSETSIGNSFFITQFNLPLSLPVYVVLCLSFPVPSRNACKQLAANPEAPLFRLPPLGSYEQEMCGPVLLICNVHSPGMSCFSPYTKSTFYRENMEIDIDDVLYSNGSWFLLREANIISLWNVNTEKRWFVGEAEEIIHQGYFIGNPQFDVTIALAQQHPPKLGEEAITAIWLRSVKVGGWSKGSVRCDYEHSGLNSLTIHQGMLFWLTDSGSLCCARQTSEGLELMIWNGSVRIYGMNFSLVKHFDDLYIVNGGGFFPNEVAKSYQIVVGDELLVDEKRLKGKDVFTVSRQGGFVLPSSEADNGKLFTGNMIPDVDCSMYSWKNNQNEIIKPCHFLRHATCSSVWAMLRTKRRT >ONIVA06G29050.1 pep chromosome:AWHD00000000:6:27485341:27486318:-1 gene:ONIVA06G29050 transcript:ONIVA06G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLSARPKRNQSASVSSSSSSSSQFASLLPREASPNRRARATPPILIAPSALPPAPRPRRNPHSLRLASLLRDLEELASTN >ONIVA06G29040.1 pep chromosome:AWHD00000000:6:27484234:27485334:-1 gene:ONIVA06G29040 transcript:ONIVA06G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDASPTSTTSCSKQSKLPLALLTLECNSNKCFLFDPSTKQTRGATDMAAFFPDAATLAFENRGWLLMVQNYRHSPRREKTLQTVFLSTPATAGGWTCRCSAPSPVASSSSTSTPMGSHWWWPASRRPPIIPPSCPGDVYWTTYKNTNTSPPQQARHGRRRAAFTFIVDAALRGKQVVCADYRGRISVFDMTETAWRTPVPSPGWNWQEDHFLVTASGEGGGEEEEEEEVILVSCRRHDDQFCEFKFFKLDIAMAPSPLDAGDLDGFSWFLCRGRSSRLREEKGGRKVYTFCPDRLWGESRTIDLGNGKKRKMAPFNPRGLIEKSITNVYAHNLVDGVVEELLPASIVTEARHWVHSAVFSEPFA >ONIVA06G29030.1 pep chromosome:AWHD00000000:6:27480008:27485622:1 gene:ONIVA06G29030 transcript:ONIVA06G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKRQHVMRTFQGHNPNTMNVHSRKSQPSKKKPREFYDTVEVHIIDDDSDGDANIHKDYSMEDTSKHLVMYNPEITYDKQGEVEVTEPIDNYTSLNQRYMKPRHGYNTVLPSIGAYTVQCAHCFKWRIIPTKEKYEEIRENICQDVFVCERAREWNRVISCVDPEDISQDGSRVWAIDKASISQTPPGWDREVRIRGEGCSKFADVYYTSPTGKKLRSLVEVGRYLAENPHYIRQGVNLTQFSFAIPKPLQEDYVRKHTYAATPELPELLETAQVDPLCWAAPPTRRELLGELGASTSRSVDVSQSEVSYPVDLHQPEESAPLPPRTKKKTMKRGRVSATGPQSTPPGSSKDQSGGCVSDVEFVSL >ONIVA06G29030.2 pep chromosome:AWHD00000000:6:27479368:27485622:1 gene:ONIVA06G29030 transcript:ONIVA06G29030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFQGHNPNTMNVHSRKSQPSKKKPREFYDTVEVHIIDDDSDGDANIHKDYSMEDTSKHLVMYNPEITYDKQGEVEVTEPIDNYTSLNQRYMKPRHGYNTVLPSIGAYTVQCAHCFKWRIIPTKEKYEEIRENICQDVFVCERAREWNRVISCVDPEDISQDGSRVWAIDKASISQTPPGWDREVRIRGEGCSKFADVYYTSPTGKKLRSLVEVGRYLAENPHYIRQGVNLTQFSFAIPKPLQEDYVRKHTYAATPELPELLETAQVDPLCWAAPPTRRELLGELGASTSRSVDVSQSEVSYPVDLHQPEESAPLPPRTKKKTMKRGRVSATGPQSTPPGSSKDQSGGCVSDVEFVSL >ONIVA06G29020.1 pep chromosome:AWHD00000000:6:27466972:27467394:-1 gene:ONIVA06G29020 transcript:ONIVA06G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMGYLLAPKKGGRRRRGKDQPSAAAHHGENDDGLRETLLEQQQQPASSSSPTAGGGGVPKGYFAVYVGEEARRFVVPTGYLREPAFRDLMERAADEFGFAQAGGLRVPCGEDDFEDLLRRLRRKNGGAAAAKAKKAIS >ONIVA06G29010.1 pep chromosome:AWHD00000000:6:27464467:27464871:1 gene:ONIVA06G29010 transcript:ONIVA06G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHQGGGVGRASNKIRDIVRLQQLLKRWKKLATMAPGGRSGVPKGSFAVYVGEEMRRFVIPTEYLGHWAFERLLRDAEEEFGFRHQGALRIPCDVAAFEATLRLVAAGNGNAKAKDDAAAMCSCSSDTEILCR >ONIVA06G29000.1 pep chromosome:AWHD00000000:6:27445151:27447413:-1 gene:ONIVA06G29000 transcript:ONIVA06G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIYQEFIHTKCQSFRSIGRYVLHSIVLIYRFVSLHVHPFWIQLSYFLLISILGSVLLMFLKPSSPEFKPGYIDMLFLSTSAMTVSGLSTIEMEVLSSSQIVVLTLLMLVGGEVFVSFLGLMLRLKHKHNPEFSGDRVSSVPIELDTIEPTRTVMSSEELQIEAAVPDVPSSTIKDLKRSKRLRWFLGFVVFSYFVVIHVVGFLLVLWYISRVSSAKAPLKKKGINIALFSFSVTVSSFANGGLVPTNENMAIFSKNPGLLLLFIGQILAGNTLYPLFLRILIWFLGKVTKLKDLKLMIKNSDELQYDYLLPKLPTAFLASTVIGLMASLVTLFGAVDWNSSVFDGLSSYQKIINALFMAVNARHSGENSIDCSLIAPAVLVLFIILMYLPPSTTFALSNGDEKTANKKEKRKLGLVVRNLAFSQLACNAVFVIVALITERSRLRNDPLNFSALNMIFEVISPSLIDDDDDDGEMTMISSDVELMKLEIDWHDFDELKDVQ >ONIVA06G28990.1 pep chromosome:AWHD00000000:6:27442552:27444269:-1 gene:ONIVA06G28990 transcript:ONIVA06G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWASSHRWLAGAPTEARRSDGWGSHTIAYGNVGLTTGYSCSRLQKLHPGSICQDKPFSLSGWWSDEGKLLLVSVMLYGRLKAFTKGVKWIHAIANLPN >ONIVA06G28980.1 pep chromosome:AWHD00000000:6:27405133:27411192:-1 gene:ONIVA06G28980 transcript:ONIVA06G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSSQIVVITLLMLLGGEVFVSFLGLMLRLNHKHNPEFSGDKVSSVPIELDTINSASTVISCEELQLEAAIPEVPSSTIKDLKRSKRLRWFLGFVVFSYFVVIHVAGFLLVLWYISRVSSAKAPLKKKGINIALFSFSVTVSSFANVGLVPTNENMAIFSKNPGLLLLFIGQILAGNTLYPLFLRLLIWFLGKVTKLRELKLMIKNPEELQYDYLLPKLPTAFLASTVIGLMASLVTLFGAVDWNSSVFDGLSSYQKIINALFMAVNARHSGENSIDCSLIAPSVLVLFIILMYLPPSTTFALSNGDEKTANKKAKRKLGLVVQNLAFSQLACISVFVIVAFITERSRLRNDPLNFSALNMIFEIISAYGNVGLSTGYSCSRLQKLHPGSICQDKPYSLSGWWSDEGKLLLVFVMLYGRLKAFTKGTGEYWRLWPSNHKYNPRYVDMFFLSTSAVTVTGLATIQMEDLSSSQIAVLTLLMFLGSEMFLSFLGLVLESSKQNKHDPENRRVSSVTVCEQSHLEEAIPQTPSMNSTDIKRSCHKYLVFVVLAYMIIILVTGSLLVFMYIAHVSSARDVLTRKSINKALFSISVTVSSFTNGGLLPTNESMVVFSSNNGLLLLLIGQILAGSTLFPMFLRLVIWALRGLRLAKAEEPDFMMNNSSSVGFSHLLPNLQTIFLAAVEVAFVGMTVILFCCLNWDSAVFAGLTSLQKITNALFMAVNARQAGENSIDCSLVAPAALVLFMVMMYTPSLTKLFSACQDHKQIGPESDDRTSKRKPFLKTMAFSPLAFNTTVIMLVCITERRSISTDPLNFSTFNIIFEVISAYGNIGLSTGYSCSRQLQHQDGIACHEKPYSFSGWWSEPGKLILVLAMLYGRLNSKDSTSARTR >ONIVA06G28970.1 pep chromosome:AWHD00000000:6:27400416:27408890:1 gene:ONIVA06G28970 transcript:ONIVA06G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSSAAGRSEGLPLLFLPIDAVAAAAAALLPMPPLLILGIVIYLFVLVAVVELEEGELAAWWRWRRRERREQRVADGLLLWLPPLRLPTSPPPPAAITARCPFSPPGTALLPAAHQSSRPREERGEMKIGEMGDAKKRKRKTKAAPSAAAARSSSETRAIAERSLEGLVTFLTSYFRYLPTWDALRYLRLANTDLLVAVRLIELNRGCYNTKDERFQISSYAARAALTCAASSARQPNVDGFIAASFSLASHLEFVTQAVPGGLTISLRAVLLDKIHAKYIKAISRLPMQDVRARYHLAFVNGGYCYGPFSCVTNIIINTLWYDSAFPAVEKLEVDMICTSTFVRVESRSLRGLIKQLLTCIPEISEHDAMIYLLKNNLKVCKAVEMAGVAYKAAADALFHPELEAYVQFSTQSLPKVQAAVKSLLCASTPLSSNDVLQLATLLPSSNCISAKSLETIVDLSTDALDMFIAFKEKCVTQQGFFRRKIEAALRKKGYLYDLQVICVANERVGSQMNFLDFKCPYSHVNFLASPKVGSGLKLFFAEFSNDDDDVSFCCTVSRKSKHGTRIMHPAHPIENYCGGDMDFTEMAHGTHELTNARIISGGKWAGNRVGMCGDDYIYFDPTRDAKFAQCMNRTASRANISWSDILRVNTS >ONIVA06G28960.1 pep chromosome:AWHD00000000:6:27397693:27398969:-1 gene:ONIVA06G28960 transcript:ONIVA06G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRSSGDEWTAKQLKGELEASAATPYELQRRLVAAASAADSAAGVQSSFSMVSPSSAVFQVIIGAVGGGAAIGGGAAAGAASGGAAAEAPKAEEKKEEEKEESEDDLGFSLFD >ONIVA06G28950.1 pep chromosome:AWHD00000000:6:27386659:27394005:-1 gene:ONIVA06G28950 transcript:ONIVA06G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:Projected from Arabidopsis thaliana (AT5G24810) TAIR;Acc:AT5G24810] MALFIYFDYKAVQKRVKWVGAGKKDAIWTKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEVRGTIEKELGKPMNDLFANFVLDPLATASIAQVHRATLVDGREVVVKIQHDGIKDIILEDLKNAKSLVEWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTKAVSSNLSRKTNCESGAVSSAVDVLIPEVIQSTDKVLILQYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATVFFRQSTTANEAKENIKTLNDQRERNVKALQEKMKMNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSMTRGPTANSQWIYDSPVNSEVESKLRNLLLELGSNKILGIQVCAYKDGKVIIDTAAGTLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVDKGCIHVIQVHHLLNHTSGLHNALGDVMKSDPLLVCDWEEMLHQITKCTPETEPGSEQMYHYLSFGWLCGGIIEHASGKKLQEVLEEAIVHPLHINGELYIGIPPGVESRLAALTVDMEELEKLSGFRAGPDVPQELLSNVAQMATGLPVLFNTLNIRRAILPAANGHCSARALARYYAALGAGGAIPPPHSGGGSKPPLGSHVHTPKFPTMPSKKKKKGGSKNDVGVADKDGYTQLRTSDGSDEGSTVSAVVAGNGSGSGSSMFVDGGAKMLDAFMGVGDFSGMIHPNGKFGLGFRRYGYGAGAGEKVATTTFGHSGMGGSTGFCDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVLNLAPPE >ONIVA06G28950.2 pep chromosome:AWHD00000000:6:27386659:27394005:-1 gene:ONIVA06G28950 transcript:ONIVA06G28950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:Projected from Arabidopsis thaliana (AT5G24810) TAIR;Acc:AT5G24810] MALFIYFDYKAVQKRVKWVGAGKKDAIWTKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEVRGTIEKELGKPMNDLFANFVLDPLATASIAQVHRATLVDGREVVVKIQHDGIKDIILEDLKNAKSLVEWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTKAVSSNLSRKTNCESGAVSSAVDVLIPEVIQSTDKVLILQYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATVFFRQSTTANEAKENIKTLNDQRERNVKALQEKMKMNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSMTRGPTANSQWIYDSPVNSEVESKLRNLLLELGSNKILGIQVCAYKDGKVIIDTAAGTLGKYDPRPVQPDSLFPVFSVTKGITAGMVHHLLNHTSGLHNALGDVMKSDPLLVCDWEEMLHQITKCTPETEPGSEQMYHYLSFGWLCGGIIEHASGKKLQEVLEEAIVHPLHINGELYIGIPPGVESRLAALTVDMEELEKLSGFRAGPDVPQELLSNVAQMATGLPVLFNTLNIRRAILPAANGHCSARALARYYAALGAGGAIPPPHSGGGSKPPLGSHVHTPKFPTMPSKKKKKGGSKNDVGVADKDGYTQLRTSDGSDEGSTVSAVVAGNGSGSGSSMFVDGGAKMLDAFMGVGDFSGMIHPNGKFGLGFRRYGYGAGAGEKVATTTFGHSGMGGSTGFCDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVLNLAPPE >ONIVA06G28950.3 pep chromosome:AWHD00000000:6:27386659:27393072:-1 gene:ONIVA06G28950 transcript:ONIVA06G28950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:Projected from Arabidopsis thaliana (AT5G24810) TAIR;Acc:AT5G24810] MGWGNTLTRRLKVFSMALFIYFDYKAVQKRVKWVGAGKKDAIWTKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEVRGTIEKELGKPMNDLFANFVLDPLATASIAQVHRATLVDGREVVVKIQHDGIKDIILEDLKNAKSLVEWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTKAVSSNLSRKTNCESGAVSSAVDVLIPEVIQSTDKVLILQYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATVFFRQSTTANEAKENIKTLNDQRERNVKALQEKMKMNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSMTRGPTANSQWIYDSPVNSEVESKLRNLLLELGSNKILGIQVCAYKDGKVIIDTAAGTLGKYDPRPVQPDSLFPVFSVTKGITAGMVHHLLNHTSGLHNALGDVMKSDPLLVCDWEEMLHQITKCTPETEPGSEQMYHYLSFGWLCGGIIEHASGKKLQEVLEEAIVHPLHINGELYIGIPPGVESRLAALTVDMEELEKLSGFRAGPDVPQELLSNVAQMATGLPVLFNTLNIRRAILPAANGHCSARALARYYAALGAGGAIPPPHSGGGSKPPLGSHVHTPKFPTMPSKKKKKGGSKNDVGVADKDGYTQLRTSDGSDEGSTVSAVVAGNGSGSGSSMFVDGGAKMLDAFMGVGDFSGMIHPNGKFGLGFRRYGYGAGAGEKVATTTFGHSGMGGSTGFCDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVLNLAPPE >ONIVA06G28950.4 pep chromosome:AWHD00000000:6:27386659:27394005:-1 gene:ONIVA06G28950 transcript:ONIVA06G28950.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:Projected from Arabidopsis thaliana (AT5G24810) TAIR;Acc:AT5G24810] MALFIYFDYKAVQKRVKWVGAGKKDAIWTKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEVRGTIEKELGKPMNDLFANFVLDPLATASIAQVHRATLVDGREVVVKIQHDGIKDIILEDLKNAKSLVEWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTKAVSSNLSRKTNCESGAVSSAVDVLIPEVIQSTDKVLILQYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATVFFRQSTTANEAKENIKTLNDQRERNVKALQEKMKMNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSMTRGPTANSQWIYDSPVNSEVESKLRNLLLELGSNKILGIQVCAYKDGKVIIDTAAGGAIPPPHSGGGSKPPLGSHVHTPKFPTMPSKKKKKGGSKNDVGVADKDGYTQLRTSDGSDEGSTVSAVVAGNGSGSGSSMFVDGGAKMLDAFMGVGDFSGMIHPNGKFGLGFRRYGYGAGAGEKVATTTFGHSGMGGSTGFCDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVLNLAPPE >ONIVA06G28940.1 pep chromosome:AWHD00000000:6:27379555:27385403:-1 gene:ONIVA06G28940 transcript:ONIVA06G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT5G52560) TAIR;Acc:AT5G52560] MASDGDGAAAVAALGISGGGGDDWAPPLRRNLPLLAPHEVKLAKLLLSEGQSHLFEHWPEPGVDDDKKRNFFDQVCRLNSSYPGGLASYIQNARKLLADSKAGKNPYDGFSPSVPSGEVLTFGDDNFVSLEEAGVKEARHAAFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILALQEASCKLVEGECNTKIPFVIMTSDDTNALTVKLLESNSYFGMEPSQVHILKQEKVACLADNDARLALDPNDKYKIQTKPHGHGDVHALLYSSGLLEQWKSTGRKWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILEIGPYMEELQKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPSAKVGFTVMDAWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKAGAQIADPVIDTFNGQEVEVWPRITWIPRWGLIFKDVKAKVHSNSSVSQRSALVINGKNITIQGLSLDGTLIVNAKDEAKFNVTGHIENKGWTIQHVDHKDTSEKEEIRIRGFKFNKVEQLELNY >ONIVA06G28930.1 pep chromosome:AWHD00000000:6:27370620:27377750:-1 gene:ONIVA06G28930 transcript:ONIVA06G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLNQGETEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYAVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLLWLCLGKLEIEKLLAPTMCMVWVPSGYLTVDLPAGRSVAN >ONIVA06G28930.2 pep chromosome:AWHD00000000:6:27372726:27377750:-1 gene:ONIVA06G28930 transcript:ONIVA06G28930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLNQGETEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYAVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLLLDH >ONIVA06G28930.3 pep chromosome:AWHD00000000:6:27374549:27377750:-1 gene:ONIVA06G28930 transcript:ONIVA06G28930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLNQGETEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYAVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >ONIVA06G28920.1 pep chromosome:AWHD00000000:6:27344399:27351252:-1 gene:ONIVA06G28920 transcript:ONIVA06G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGRCQKSYFDVLGICCPSEVPLVEKLLQPLEGVQKVTVIVPSRTVIVVHDVDAISQSQIVKALNQARLEASVRAYGNGSEKITNKWPSPYVLLCGLLLVVSLFEHFWHPLKWFALVAAAAGLPPIVLRSIAAIRRLTLDVNILMLIAVAGAIALKDYSEAGFIVFLFTTAEWLETRASHKATAGMSALMSMAPQKAILAETGEVVAARDVKVNTVIAVKAGEVIPIDGVVVDGRSEVDESTLTGESFPVSKQPDSQVWAGTLNIDGYIAVRTTAMADNSAVAKMARLVEEAQNSRSSTQRLIDTCAKYYTPAVVVMAGSVAAIPAIAKAHNLEHWFQLALVLLVSACPCALVLSTPIATFCALLRAARTGLLIKGGDVLESLASIKVAAFDKTGTITRGEFSVEEFQPVGERVSLQQLLYWVSSVESRSSHPMASVLVDYAQSKSVEPKSENVSEFQIYPGEGIYGEIDGAGIYIGNKRILSRASCETVPDMKDMKGVTIGYVACNNELIGVFTLSDACRTGSAEAIKELRSLGIKSVMLTGDSSAAATYAQNQLGNILAEVHAELLPEDKVRIVGELKEKDGPTLMVGDGMNDAPALAKADVGVSMGVSGSAVAMETSHVTLMSNDIRRIPKAVRLARRTHRTIIVNIIFSVITKLAIVGLAFAGHPLIWAAVLADVGTCLLVIMYSMLLLREKDSRKAKKCAASHHGSPKKCCSSSHHGSHAKKNHGVSHHCSDGPCKSMVSCKESSVAKNACHDHHHEHNHHEEPAHKHSSNQHGCHDHSHGHSNCKEPSNQLITNKHACHDGHNHCADTSNLHDTKKHDCHGHEHSTCKEELNALPPTNDHACHGHEHSHCEEPVALHSTGEHACHKHEHEHIHCDEPIGSHCADKHACHDHEQVHEHHCCDEQQTPHTADLHPCHDHDHDNLEVEEVKDCHAEPPHHHNHCCHEPHDQVKNDTHPVQEHSISIEESSDHHEHHHNEEHKAEDCGHHPKPKDCAPPPTDCISRNCCSNTSKGKDICSSLHRDHHTSQASRCCRSYVKCSRPSRSCCSHSIVKLPEIVVE >ONIVA06G28910.1 pep chromosome:AWHD00000000:6:27337099:27341714:-1 gene:ONIVA06G28910 transcript:ONIVA06G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAITPTSVGIGAYLYTPQHYTPCYLVSSNSCSSRPPPEPARVYTDDEIAARVVIRDIILAQPVQSKNPKIAFMFLTPSSLPFEKLWEKFFMGHEDRYTIYVHASRERPVHASPIFNGRDIRSEKVVWGTISMIDAERRLLANALQDPDNQHFVLLSESFDDPGPHGAGRYSDHMLPEIVKRDWRKGAQWFTVKRQHAVLILSDFLYYAKFKRYCKPGNEWHNCYSDEHYLPTLFNMVDPTGIANWSVTHVDWSEGKWHPKAYRAVDTSFELLKNISSIDESIHVTSNAKHQVMRRPCLWNGMKRPCYLFARKFYPEALDNLMNIFSNFTII >ONIVA06G28900.1 pep chromosome:AWHD00000000:6:27321172:27321753:-1 gene:ONIVA06G28900 transcript:ONIVA06G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAFSSAGALRPFEGVRVIHINGYVEDFDAPVTVGQVTGKPAAGEGQGQGRYVLCSSAHLLQPGRGPFRADDPLEAGTVYFLLPQSIFQSESSAVDLACLMNRLTSLARKGAAAASSPVEALFTAGIHHPPQPSSSCSSSKPAAAAASSGSPERCYLAAAARPAAWKPRLDRIDESFGRASMRSSSARSTEA >ONIVA06G28890.1 pep chromosome:AWHD00000000:6:27317697:27318143:-1 gene:ONIVA06G28890 transcript:ONIVA06G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKNNGAMVLCFATLFAMSVVFMSCDATGRHNAGVLDDAGVLCFTWLNCTNASCQKECKAGKWDAKKSSCGASDVCCCRAAKLLVLDEQAVR >ONIVA06G28880.1 pep chromosome:AWHD00000000:6:27308733:27317862:1 gene:ONIVA06G28880 transcript:ONIVA06G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALELACLLLIIVPQVVLGTHDVYIVTMEGEPVVSYRGGVEGFPATAIDLDEDMDITSEAVTSYSLHLRRYHDKLLDSLLVEGTYEKLYSYHHLINGFAVHMSPLQAEFLRKAPGVKHVERDMKIQKLTTHTPQFLGLPTGVWPTGGGFDRAGEDVVIGFVDSGIYPQHPSFSAHKTDPFGPVPHYKGKCEMDPVTRRSFCNGKIVGAQHFAKAAIAAGAFNPDVDFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLVLGNGKLLPGLGVSPATHENKSFSLISAADALLGSSATKYSALDCQRPELLNKRKIQGKILLCGYSFNYISGTASIKKVSETARSLGAAGFIVAVENSYPGTKFDPDLIDYYNSSTIRDWAGRATTFQATAAIADGLAPTLYNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYAGEGFAMVSGTSMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTSNTLDKGSHPLRAQQYSTSEIMTLTRATPFDYGSGAVNPKAALDPGLVLDATHQDYISFLCSIPDVEHSEVSNITGSTCSSSSKVQQRPYDLNIPSITISQLRGTQTVKRTVTSVAAEAETYTIMTRMSPEIALEVSPPALTVLPGASREITATLTARSVTGTYSFGEITMKGDRGHLVRIPVVAMGFK >ONIVA06G28880.2 pep chromosome:AWHD00000000:6:27308945:27317862:1 gene:ONIVA06G28880 transcript:ONIVA06G28880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSGDLLRVPFFGRFCGVAIRILLKMKALELACLLLIIVPQVVLGTHDVYIVTMEGEPVVSYRGGVEGFPATAIDLDEDMDITSEAVTSYSLHLRRYHDKLLDSLLVEGTYEKLYSYHHLINGFAVHMSPLQAEFLRKAPGVKHVERDMKIQKLTTHTPQFLGLPTGVWPTGGGFDRAGEDVVIGFVDSGIYPQHPSFSAHKTDPFGPVPHYKGKCEMDPVTRRSFCNGKIVGAQHFAKAAIAAGAFNPDVDFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLVLGNGKLLPGLGVSPATHENKSFSLISAADALLGSSATKYSALDCQRPELLNKRKIQGKILLCGYSFNYISGTASIKKVSETARSLGAAGFIVAVENSYPGTKFDPDLIDYYNSSTIRDWAGRATTFQATAAIADGLAPTLYNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANYAGEGFAMVSGTSMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTSNTLDKGSHPLRAQQYSTSEIMTLTRATPFDYGSGAVNPKAALDPGLVLDATHQDYISFLCSIPDVEHSEVSNITGSTCSSSSKVQQRPYDLNIPSITISQLRGTQTVKRTVTSVAAEAETYTIMTRMSPEIALEVSPPALTVLPGASREITATLTARSVTGTYSFGEITMKGDRGHLVRIPVVAMGFK >ONIVA06G28870.1 pep chromosome:AWHD00000000:6:27297785:27305677:-1 gene:ONIVA06G28870 transcript:ONIVA06G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGDASSASPSDPVPPPPQQPQPQPQPAKGKGKKKDEKKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMPTDSLKELVEQIVSFHMKVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLYDKSLELKPIFTATDDFQLKKQFAFIIARHGLSIEIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTTPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISECFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLTDSQVPLEILVFSAISLGLVFVGSCNEEVAQTIICVLMERSEPELAEPIMRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILTLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPEYHED >ONIVA06G28870.2 pep chromosome:AWHD00000000:6:27297785:27305677:-1 gene:ONIVA06G28870 transcript:ONIVA06G28870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGDASSASPSDPVPPPPQQPQPQPQPAKGKGKKKDEKKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLYDKSLELKPIFTATDDFQLKKQFAFIIARHGLSIEIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTTPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISECFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLTDSQVPLEILVFSAISLGLVFVGSCNEEVAQTIICVLMERSEPELAEPIMRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILTLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPEYHED >ONIVA06G28870.3 pep chromosome:AWHD00000000:6:27297785:27305677:-1 gene:ONIVA06G28870 transcript:ONIVA06G28870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGDASSASPSDPVPPPPQQPQPQPQPAKGKGKKKDEKKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLYDKGLSIEIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTTPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISECFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLTDSQVPLEILVFSAISLGLVFVGSCNEEVAQTIICVLMERSEPELAEPIMRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILTLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPEYHED >ONIVA06G28860.1 pep chromosome:AWHD00000000:6:27294026:27297712:1 gene:ONIVA06G28860 transcript:ONIVA06G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSVQKSWRKACGAIKDSTTVGLARAHSKDLDVAVVKATNHVERPPKERHLSKIIAAASGASPLADVSYCVHALARRLSKTHNWVVALKTLVVIHRALREGDAAFREELLSYRRGRGGHCLQMSSFKDDSTPLAWDCSAWVRTYALFLEERLECFRVLRYDIEAERLRTAEGAPKGQSRTRSLGKDELLEQLPALQQLLYRLVGCQVLKESFKIYCAVNDGIINLVEMFFDMTKIDAIKAFDIYRRTGHLPPSSFLGTMEEYIREAPRTAPVANKTVEYRQLEFIPNKEEEPPQPLPEVLEEPVKEEILPEPPEEPHHPAAEVDDEPEPTTTADLLGLNEVNPAAAQLEENNALALAIVSPGSNTSAGAGSGFGGMLGSSGWELALVTEPTNSSSNQLLTESKLAGGFDKLLLDSLYDDASRRQQGAQMDHPRDPFAMSTSVAPPTNVQMSMMAQQQQYFQQEQQQQMVLGMPQQFSGWPQYAGVSQANPFGDTYSGGTLHGSSSLI >ONIVA06G28850.1 pep chromosome:AWHD00000000:6:27287557:27293181:1 gene:ONIVA06G28850 transcript:ONIVA06G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:para-aminobenzoate (PABA) synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G28880) TAIR;Acc:AT2G28880] MAALRLPTPPPPRAPAPWLHSSHRRRVAAPRGAGGGGGGGGAAPPPPVRTLLIDNYDSYTYNIFQELSVVNGGEPPPRLPSRETMACDCHCFCSTFPWPVFFFPVPPVVVRNDEWTWRDVYRWVYKERAFDNIVISPGPGSPACPSDIGIGLRILCECGDIPILGVCLGHQALGFVHGAKIVHAPEAIHGRLSELEHNGCYLFNHIPSGINSGFKVVRYHSLVIEPDSLSEDLISIAWTASPKMLSFLESDKPDITSSTLWGSLDNLFVTNQSECSSTGGKMPSINDASELDGYRVLMGVRHSTRPHYGVQFHPESVATHYGRQIFQNFKKITTDFGLQTPLLQERKISSPDLKNFVANDLLHSARLKLWDSVGPCALPKRSSGDKCLRLQWKKIDNFLNRIGGSENIFSVLFGHHSAEDTFWLDSSSVDQNRARFSFMGGKGGPLWKQMTFHLASQRANCGGNLTIRDAYGCTVRNFLKDGFLDFLDKEMQSIQYIEKDYEGLPFDFHGGFVGYIGYGLKVECDASSNSAKSSTPDACFFFADNLVVVDHNNGDVYILSLHDEYSSGNGDGDYQNSIHSLWLANTEKKLLRMDAMAPRLSINGNSSINGNSFTISSSVNKQRFVIEKSKDEYIRDVQSCLDYIRDGESYELCLTTQMKRRTDYMDALKLYLKLRKQNPAPYAAWLNFSSENLSICCSSPESEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKTVHTMVSTIRGTKMSDLSPVDCVKAAFPGGSMTGAPKVRSMEILDSLETSPRGIYSGSVGFFSYNKTFDLNIVIRTVVLHNGEASIGAGGAIVALSDPEAEYNEMLLKAKAPTKVVEECSQQIYNPDRSDSMQTTVS >ONIVA06G28840.1 pep chromosome:AWHD00000000:6:27282345:27285412:1 gene:ONIVA06G28840 transcript:ONIVA06G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAGGDRAASGGKGCDLGGAPMASSCIPTGLRLDLEMVKAAAPPGGSSAAAHSSASSTLSEASNSSSSSVASLSLKRARTPRKRPNQTYNEAAALLASMYPSVFPVIKGAGTAPPRLLGLATALADDPSSSDLLPPFPVLGNGSAAHLLRDTPPPPTPRCPAPIKSCSSPAPVSSVFREFRDAAPSPGTPDADADVTDDDYLGELDFEDEDGFDADSFLAVDDGVAEGIDSIMGKLSMEKNSAAASRADAVLSSAVIHPYIRSLMVLGLGFRQGRLNAGQALKRHDDESDWWMCPAIPVKEIAAPPAPSVAMPVPVPMPLQASDKKKKKSKKKSLKEIERDNAIAVGECKFGDEGTLGFDHGDAGISALKDPKIGLGLNLNTEEVLKAWCNRGSVFAGCDALESPRSSSDLHAKLADIDLFLDNNTSGVIREGSMLKLRHKQKQCTPLLSNKTRYQSRKGKYVSQASLLQQASEKER >ONIVA06G28830.1 pep chromosome:AWHD00000000:6:27276864:27277539:-1 gene:ONIVA06G28830 transcript:ONIVA06G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tautomerase/MIF superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57170) TAIR;Acc:AT5G57170] MPQLSLTTNVPVDAVVAADIIKDCSKALARIIGKPESYVMVSINGSVPMSFAASEEPAAYGELMSIGGIGPGVNGKLSAALAEILETKLSVSRSRFYVKFDDVKGFNLGFNGSTF >ONIVA06G28820.1 pep chromosome:AWHD00000000:6:27272485:27277633:1 gene:ONIVA06G28820 transcript:ONIVA06G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HUW4] MAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRATNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADIEFIDNPKARKYIKTLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMLHYHPEVVAGVNM >ONIVA06G28820.2 pep chromosome:AWHD00000000:6:27272952:27277633:1 gene:ONIVA06G28820 transcript:ONIVA06G28820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HUW4] MAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRATNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADIEFIDNPKARKYIKTLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMLHYHPEVVAGVNM >ONIVA06G28820.3 pep chromosome:AWHD00000000:6:27272952:27277633:1 gene:ONIVA06G28820 transcript:ONIVA06G28820.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HUW4] MAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRATNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADIEFIDNPKARKYIKTLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMLHYHPEVVAGVNM >ONIVA06G28810.1 pep chromosome:AWHD00000000:6:27263894:27266147:-1 gene:ONIVA06G28810 transcript:ONIVA06G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) TAIR;Acc:AT5G57140] MGSSSSTSLVSLLLPCLLSLLLIRLSTHLNPDPTAAAPRFKRTPPFPLRFRHDGAFKILQVADMHFGNGAATRCRDVAPEVGGARCSDLNTTRFLRRVIEAERPDLIAFTGDNIFGGSASDAAESLLKAISPAIEYKVPWAAILGNHDQESTMTREELMVFMSLMDYSVSQVNPPGSLVHGFGNYHVSIHGPFGSEFVNTSLLNLYFLDSGDREVVNGVKTYGWIKESQLAWLRATSQELQQNLHAPAFAFFHIPIPEVRGLWYTGFKGQYQEGVACSTVNSGVLGTLTSMGDVKAVFLGHDHLNDFCGDLNGIWFCYGGGFGYHAYGRPHWPRRARVIHTELKKGQKSLVEVESIHTWKLLDDEKLTKIDEQVLWRHSSI >ONIVA06G28800.1 pep chromosome:AWHD00000000:6:27261306:27263002:1 gene:ONIVA06G28800 transcript:ONIVA06G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPWPRPAATREMKDIVVSKSGPPVLVTPSSEPTPAAATIRLTSADKSRLGLSFTAFLVFERRRRRRRVHRPAETVRRALSRALVHYYPLAGHVVAAGDDDNVVLSCTGEGGGVPFVAATASCTLEDVDDGDGDLPLADLAIWYGGESCWMSDPLLMMQVTEFECGGFVVGVTWNHGVADTYGLAQFLRAHVDFAYCDIMIPWSFVNRVKAEFVSRNGGGGGRRRCSVFDVVTAAIWQCRTRAIHGRRCRSDAPAVLLFAVNARPHIGAKDGYYGNCITRQVVASTADAVAYGDIVDVVKLVNDAKERIPEELLRNKLRGKQGVDGGGGEGLFVGPMHRLYVSSWAGLGLDGIDFGGGKPARVIPRMEVTVMPSCLPCLPCSRSNGSDGVNAVAWCVTDEHVDVFRAELARLQ >ONIVA06G28800.2 pep chromosome:AWHD00000000:6:27261481:27263002:1 gene:ONIVA06G28800 transcript:ONIVA06G28800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIVVSKSGPPVLVTPSSEPTPAAATIRLTSADKSRLGLSFTAFLVFERRRRRRRVHRPAETVRRALSRALVHYYPLAGHVVAAGDDDNVVLSCTGEGGGVPFVAATASCTLEDVDDGDGDLPLADLAIWYGGESCWMSDPLLMMQVTEFECGGFVVGVTWNHGVADTYGLAQFLRAHVDFAYCDIMIPWSFVNRVKAEFVSRNGGGGGRRRCSVFDVVTAAIWQCRTRAIHGRRCRSDAPAVLLFAVNARPHIGAKDGYYGNCITRQVVASTADAVAYGDIVDVVKLVNDAKERIPEELLRNKLRGKQGVDGGGGEGLFVGPMHRLYVSSWAGLGLDGIDFGGGKPARVIPRMEVTVMPSCLPCLPCSRSNGSDGVNAVAWCVTDEHVDVFRAELARLQ >ONIVA06G28790.1 pep chromosome:AWHD00000000:6:27258345:27259299:1 gene:ONIVA06G28790 transcript:ONIVA06G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSEREMERARNTQEDEICNAKSEREMKHGEDECNEYTDEGNNVDEEEDEAATDVARKRGM >ONIVA06G28780.1 pep chromosome:AWHD00000000:6:27236886:27254506:-1 gene:ONIVA06G28780 transcript:ONIVA06G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHDGSKPYQPRRGPERPPPPAPADDAAAHVAPTVDHLAAVAAEAEAMARFEEEHRALGAEEEDEEEEDELEEEEEEMEEDEDAQHHEGVGGEVAVPMDAEAAAQLDPHGGMLAASGAVQPMASNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNPGLGSGASSSAPYSKRLNFPHRVASLMRFREKRKERNFDKKIRYSVRKEVALRMQRNRGQFTSSKPKGDEATSELTASDGSPNWGSVEGRPPSAAECHHCGINAKATPMMRRGPDGPRTLCNACGLMWANKGMLRDLSKAPPTPIQVVASVNDGNGSAAAPTTEQEIPAPATVNGHESSTYGYDHERGGGRGGYDDDRYHGRYQNRAAGEDSSPRAKFRFDLRNWADSGFGASNDGPGITQREGLMTYKQFIQVLEDDISPAEAEKRYQEYRTEYITTQKRAYFDLNKNDDRLKDKYHPTNLSSVIDRRNDSCKATAKDFFHDLQNGTLDLGPGITAAAASGSDGNSDDDGDSDKRRKHGRGSSKETDPLSGAPVAHPVSSESRRVQVDIEQALALVHKLDTEKGIVGNILSSGDHDKSDVDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTLLTYLWRIHGVDYYGMSETNEAKGSRHVRADNKMSNTTNINAADWEKKVDTFWQERLRGQDPMVILAAKDKIDAAAVEVLEPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPELVLELTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPDKSRQRPGMDNRLRYDRANRREYDRAERDGSRYGRGDRSPSLDGADDQMFDAFRGRGPNAPFVPELPAPPILMPIPGAGPLGPFVPAPPEIAMHMLREQGPPPPFEPNGPPHANPGVLGPMMGGPAPIITMPPSFRQDPRRLRRKVAFSLGEGALVKLGTEVVEAASVLTYFEHSMKQIESEFTIMRAFISQVRDVAHDVEDIVDEYAYLAAQAIDTGTFFKRKFQQTKNVAAWQNISRQISQVETRIQRLSAMRNRYGISIDEKSSSNMSQYPRQLSVSDSAYLTDDTEIVGNASVTRRLTQWVLEERQDRSVMSTFGMGGVGKTTIASSIFKNQQFMMAFACNAWITLSQSYEIEDLLRQISKQLMDQQVYLASNVEAMNRIKLIEELQIYLKRRKYLIVLDDVWDKDVWLFLNYAFVRNNLGGRVLITTRKKDVAFLADHNCVVELEALPHTEAWHLFCKKAFRRLEDKMCPENLRPWAEKIVTKCQGLPLAIVAIGSLLSYREFEEQEWKLFYNQLGWQLANNPELNWIANILNLSLNDLPSYLRSCFLYCSLFPEDCRIKRKMHVELWIAEGLVEERGDGTTMEEVARCYLTELTQRSLLAVIERNACGRARTFRMHDLVREMASIIAKKERLAFSYDNVGITQVAHEYRRLWIQKDAQSLRYLGGSKLRSFVLLDIGEPSSWIYDALSRFRLLRVLCLRFANIEQVPGVVTELYNLHYLDLSHTKVKHIPASFKNLINLQFLDIRSSYVEELPLEITLLTNLRHLYAYVIHDLQERSLDCISATKIPGNICHLKNLQALQTVSANKDLVSQLGNLTRMRSLCVMKALSNLKVFWLAGKLEGGVLPPMFAKLEKITELKLDWSGLKKDHIESFSYMLNLVVLVLTGAYDGERLNFHAKWFPKLNLLQLADMEHLNRIEIEDGSMMCLRELDLVGLRNLNEVPKGIRYIRTLHEMIITDMPKEFIVKIQGSDRYIVQHVPNIHIFESSDFQAVNNFIRLPHLTKRQFIVDFPHRPNGTVPYVSRAKCTIRLNYTWKF >ONIVA06G28770.1 pep chromosome:AWHD00000000:6:27231926:27236850:1 gene:ONIVA06G28770 transcript:ONIVA06G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQSAAAVSSAARASRPRPTRAAPRRIAASASSVAPPEPAARRLVAAFDPAVPLASAVTPPSGWYTDPDFLRLELDRVFLRGWQAVGHIWQVKNPNDYFTGSRLGNVEFVICRDANGELHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGVLLKATRISGIKNFNKNDFGLIPIKVATWGPFVLAKFDSGFSQETADNTVGDEWLGSASDLLSRNGIDTSLPHICRREYIIECNWKVFCDNYLDGGYHVPYAHGTLASGLQLQSYETHTYERVSVQRCESVQAEQNDFDRLGTKAIYAFVYPNFMINRYGPWMDTNLVVPLDATRCKVIFDYFLDKSLMDDQNFIESSLKDSEQVQMEDIALCEGVQRGLESPAYSVGRYAPSVEMAMHHFHCLLHANLSGDW >ONIVA06G28760.1 pep chromosome:AWHD00000000:6:27226837:27227582:1 gene:ONIVA06G28760 transcript:ONIVA06G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAAAAAAVVVAPPSSPYGMCGGGGGGGGARKRKDVVQQLEDLAGGVVGDDGGGGVVHGLFVLETVEEKAEEEEEERSSIGADSEDEQDDGEEEVESKASSAAVAAETCRRKTKTKCGGGGGGALACLDALDDALPIKQALRGLSNFFSGKSRSFANLQDVAAGGATTASLAKPENPFNKRRRILRCSSIRRVSSTSLTALPPFLPPHHHPPPPDDGGGGGGNG >ONIVA06G28750.1 pep chromosome:AWHD00000000:6:27224376:27226766:-1 gene:ONIVA06G28750 transcript:ONIVA06G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTDRSRRRRRWTDRQTEGGKVIAAAVYIATTARGGGRRRRRVERGRRVGGSEGDGGTRDTQASCMHGNTDKR >ONIVA06G28740.1 pep chromosome:AWHD00000000:6:27217595:27218947:-1 gene:ONIVA06G28740 transcript:ONIVA06G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKAQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGGGKDKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKGASKESTSSKSGAGKKKAASGSDEDHSNSPTRSHDGDNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKKHEALHKEGASNGSAKHVVEEAKSSPYDDLVKEMKDNLSKGATAVQLKGLMTSSALPPQDAMNALFDALFGGLSKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDILDEEVITQWYNESVAAGKESQVVKNAKPFVEWLQSADSESEEE >ONIVA06G28730.1 pep chromosome:AWHD00000000:6:27216463:27216785:-1 gene:ONIVA06G28730 transcript:ONIVA06G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNRTWNARFWVFTLMFFLLPCMELQETPEKYLSLPVLPTSQQGAHANISVPDFQVPNISDMDFPPGTVASNPGPVSRGITGTYRSPELQK >ONIVA06G28720.1 pep chromosome:AWHD00000000:6:27213816:27215837:1 gene:ONIVA06G28720 transcript:ONIVA06G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEEEGRGMASLLAARRALRAGVERSRALSHALARAGPRLGEIRARLPPMEAAVRPIRAPRDALEGAGECVDRAVGPAAAVLKVFDAVHGLEPPLLAASAAADDLPGYLAVLSRLEEALHFLSDNCGIASQWLADIVEYLGDRSLADPRFVSDLAEALSHLKTPSANLDGGLLAAALDILEAEFRRLLTEHSAPLAMKEPNNSSDPGSITPPRIPASAVHKLSLILDRLAANGRLGTCTAAYADARGDTVSASLRALGLDYLHDPAEDAQVLTPNVEHWGRHLEFAVRHLLEAERKLCVAVFERRPEAASSCFAEIASRAGILDFLKFGRAICDARKDPIKLLRLLDVFDSLSKLRMDFNRLFGGKACVEIQTRTRELVKRVVDGSVEIFEELLVQVELQRNMPPPADGGVPRIVSFVAKYCNQLLGDPYRSVLTQVLVIHRSWRKETFNDKMLVDAVLNIVKALEANFEAWSKAYEDVTLSYLFMMNTHWHFFKHLKGTKMGEILGDEWLREHEQYKDYYSAVFLRESWGTLAPLLSREGIILFSKGRATARDLVKQRLKSFNANFDEMYQKQSAWIISDRDLQQKTCHLVVQAIVPVYRSFMQNYGPLVDQQDASANKYVKFTAEGLDKMLSTLFLPKPRRAGSFQIRHSNGKITSAMTGLYRSSSTLK >ONIVA06G28710.1 pep chromosome:AWHD00000000:6:27197154:27201280:-1 gene:ONIVA06G28710 transcript:ONIVA06G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRREKAEEKQQGWREEAVSGASLRQVDLERGANGWASPPGDLFHLRARGYFSGGGGGGGGGGGRRGKAPSAAEWLLRPAGVDWLRSHSRLDHVLARDDIPVAAAFRRARLRKDPSAHFLLAVNLQVPGRPDAYSAVFYFAAEAAIPAESLLGRFVHGDDAYRNARFKIVNRIVKGPWLVRATVGNYAACLLGRALTCRYHGGDGYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAAKYVETAPDDVVPEAGRAAAGFRVHSAKVANDSRHQERAAGKVGRSMSCQERESGGGGK >ONIVA06G28700.1 pep chromosome:AWHD00000000:6:27193865:27194074:1 gene:ONIVA06G28700 transcript:ONIVA06G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAYAGLATLLEQARILLDMADADAAAAAAKRKKRGARDHHHGREAQLASKLRKLNDGKPSTSMAFVL >ONIVA06G28690.1 pep chromosome:AWHD00000000:6:27193409:27193708:1 gene:ONIVA06G28690 transcript:ONIVA06G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSCRCSSVVFVGNVSYRATEAELRDACEEIGPVESLRLAVDEDAGNRRRGFAFVEYLDDETARSACRNLDRRALRGRALRVDLADLGCGGGGGETD >ONIVA06G28680.1 pep chromosome:AWHD00000000:6:27192684:27192956:-1 gene:ONIVA06G28680 transcript:ONIVA06G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTAKEGAKPSSTATTCRPARAPVGSPSRHVEVTSHFLVSHDEVFVRAQQQQEEEEENHKTKTNHGRGRGAHGVAPRDARRARASGPPL >ONIVA06G28670.1 pep chromosome:AWHD00000000:6:27190118:27192599:-1 gene:ONIVA06G28670 transcript:ONIVA06G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVLLLGSLVVLALLSLFPCCSCLSQGAEEEEDDGEVRLMGLAGEAAGSPGSGGGFSANGKFSYGYASSPGKRSSMEDFYDTRIDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKLFSDTKSAIAETYTSTDSELLKAETSHNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGDAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPILDSEQAAKKLLQEASQRGSADNITCLVVRFLEQENHLPERPTNDQAS >ONIVA06G28660.1 pep chromosome:AWHD00000000:6:27180051:27181128:-1 gene:ONIVA06G28660 transcript:ONIVA06G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDLGSWLGLGIGGGGYAYGGDDCRRSPSSPSPVQMLFSQHVKEEITRGYDHGRDEEQASGSKIMKGERGARLRVMRSIRNSGGDGSRSPVLSLGDDGGDGGSGGGGGGGTRKKLQLTKEQSTLLEDSFRVHNILSHAQKHELARQLKLKPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCESLTEENKQLKHELMELRRLASAAAGSQLYVQFPRAAAAAMVNVCPSCEKVTVMGGGGGETGKSSSSYSS >ONIVA06G28650.1 pep chromosome:AWHD00000000:6:27174253:27175393:1 gene:ONIVA06G28650 transcript:ONIVA06G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTSYSLSILSHSFLSRTAGTSAGTGGWRVRSARRGERGSTDGADIGAEAEAAWTAAELCGGGGAEAAESVVAEAEARFVVRACSGVRFGTAAGRKARASACMSKKRREGGGGGNGSCDPPVTDALSMDSGLREVSLSVVFSVWCLLLLRSQCHGSINVMSPWRLIDIFFVDFYDDVEDGMRENYCKDKAENGRVPDGVAAHRLEPSGAEYNYAAASKGVLAHNREAKGAANFLGATLLRRLYSSAATQLRRHAPPPPPLLRRHTAPPSAPTSAPSAPPHSPHLADRTRRPPVPAFVLVVRERKE >ONIVA06G28640.1 pep chromosome:AWHD00000000:6:27167681:27171926:1 gene:ONIVA06G28640 transcript:ONIVA06G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPAPATRREVVLCIGYDDDDLALVDVTYPKRDVDNMQWFREITLLYRGHRHSAPFVLSLIVLCDHAPPNN >ONIVA06G28630.1 pep chromosome:AWHD00000000:6:27166706:27166909:1 gene:ONIVA06G28630 transcript:ONIVA06G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGRRHHRQTMLLHVAAALLDAAAMDEVAAAVHGAALKWAQVQQERWWREDPPPLILGSDVGSWI >ONIVA06G28620.1 pep chromosome:AWHD00000000:6:27164232:27165749:-1 gene:ONIVA06G28620 transcript:ONIVA06G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLDWRSVGSLLATIMVFRTAMRDFLPPEAEIFLRRLLTRLAAAFRPHVGTILIDEADGASGGANDLYDASQLYLGARCLATAPTVRLHKPRQAPRPVASLPDAHTTHDVFRGVLVKWTARPVERGASAGGGGGGGGVFNPYNPYGRGGGGGGEPRRLELQFPRQHRELIHGHYIQHVIDEATKMRLRSRERRLYTNRAAAPGDDHHRLWTSHAFSHPSTFDTLAVDPALRDDIRADLLRFAARREHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVVVVEDIDCSLDLSDRKNKASDDENAAQLSIISPAAAAAMAAMGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDPALLRPGRMDRKIELGYCSPPALRVLAKNYLGVGDEGCEDAADDPDTVSGLMADAEGLLAAGVLITPADIAEVFMGCDGAGATAALRKLADELRRRRDAPAVPVTEEAAMTTE >ONIVA06G28610.1 pep chromosome:AWHD00000000:6:27157835:27159346:1 gene:ONIVA06G28610 transcript:ONIVA06G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLKLTWASLGSLFATAVLVRTAVRDFLPPEAHGLLRALLSRAAAALVPPCDAIIVHETDANGVPNELYEAAQLYLGARCLAMAPAMHLHKTHGAAAAVASLPDSHATLDAFRGVRVLWTSQLDGNASSSFGGSSSSSRGFVHHPFPIGGRQRCLRLEFRRRDRDVVRDAYIPFVLEEAAALRAKMRERKLYTNNSGFYGGGGGGMDDHQMLWKAHKFSHPSTFDSLAIDPALRDDIRADLLRFVRSREHYARAGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDIYDLELTTVTSNYDLRRLLASTRPKSVIVVEDVDCSLGLFDRTRAPAPPSSQDDDADADEQRNRAMLQHALTLLPPAVEAAMQRETISLSGVLNFVDGLWSSCVGERLVVFTTNHMDRLDPALLRPGRMDRKVELGYCKAPALRVLAKNYLGDDDADDHDEIMGEAGRLLEEVQVTPADVAEVFMGCDGDDGAHDALQKFVDELNARKEKMNGPK >ONIVA06G28600.1 pep chromosome:AWHD00000000:6:27147425:27152686:-1 gene:ONIVA06G28600 transcript:ONIVA06G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAGVPFLPIGAAAAPPSPPLAADSSEGLHRRREQAASEVLTLNNFSEELKKRNVCINIVQAGDHFLDGWPLARIMADTLASHIKHLQHLLFMASSSQCWIQHLSILSMRSHLPGPLDKFLRLGQDLDWPLPCEQLKQHHSKTDQSGKAKIRNTSSEFSVEKDIAGFDVTVNNLPRADGSSPDMLLLSNRSSSNLLLLTLRATIFFITSHCFDENCPVNELLEIFSTWRGEPPLGDSNSGREPSRRLKLTSRTMILLENNNSIGRLRCNSPVRLPRDGEMRPWRPLEANETSVTVPSLLQLMPSHLQQSMPFTHDVLRLPLWPGKRPSRKPMRELSSCSVQELVGEANESRRITRSRKKATDNLVVVVVVLLLHGKLGSCMEEAAFCFCIVGLSSLCSFEEDDQREKASTMRERNICSKIRAFLQGTSSASGSCKNQ >ONIVA06G28600.2 pep chromosome:AWHD00000000:6:27147425:27152686:-1 gene:ONIVA06G28600 transcript:ONIVA06G28600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAGVPFLPIGAAAAPPSPPLAADSSEGLHRRREQAASEGKDQSGKAKIRNTSSEFSVEKDIAGFDVTVNNLPRADGSSPDMLLLSNRSSSNLLLLTLRATIFFITSHCFDENCPVNELLEIFSTWRGEPPLGDSNSGREPSRRLKLTSRTMILLENNNSIGRLRCNSPVRLPRDGEMRPWRPLEANETSVTVPSLLQLMPSHLQQSMPFTHDVLRLPLWPGKRPSRKPMRELSSCSVQELVGEANESRRITRSRKKATDNLVVVVVVLLLHGKLGSCMEEAAFCFCIVGLSSLCSFEEDDQREKASTMRERNICSKIRAFLQGTSSASGSCKNQ >ONIVA06G28600.3 pep chromosome:AWHD00000000:6:27147425:27152686:-1 gene:ONIVA06G28600 transcript:ONIVA06G28600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAGVPFLPIGAAAAPPSPPLAADSSEGLHRRREQAASEGSLSLNREKASTMRERNICSKIRAFLQGTSSASGSCKNQ >ONIVA06G28590.1 pep chromosome:AWHD00000000:6:27146977:27152091:1 gene:ONIVA06G28590 transcript:ONIVA06G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPNLPCSSSTTTTTTKLSVAFFRLLVILLLSFASPTSSCTEQEESSLIGFLEGLLPGHNGSLSTSWVKGIDCCKWEGINCSSDGTVTDVSLASKGLQGRISPSLGNLTGLLHLNLSHNLLNGYLPMELLFSRSIIVLDVSFNRLDGSLPELESPSGGSPLQVLNISSNSFTGQFSSKQWEVMKNIVALNVSNNSFTGQIPPSICINSPSFAILDLCYNQFSGSISSGLGNCSKLREFKAGYNNFSGALPEELFSATSLEHLSLPNNDLQGVLDGSHIVKLVKLTVLDLGSTGLSGNIPDSIGQLSTLEELRLDNNNMSGELPSALGNCTNLRYLSLRNNKFVGDLSKVNFTWLNLRIADFSINNFTGTVPESIFSCSNLIALRLAFNKFHGQLSPRMGTLKSLSFFSISDNHFTNITNALQILRSCKNLTSLLIGTNFKGETIPQDETVDGFENLRVLTIDSCGAMGQIPPWISKLKKLEVLDLSNNMLIGEIPFWIRDMPVLFYLDITNNSLTGDIPVALMNLPMLQSGKNAAQLDPNFLELPVYWTPSRQYRLLNAFPNALNLEIPQQICNLTNLQLLDLSSNQLTGELPAALTDLHFLSKFNVSNNELEGPVPTGRQFDTFLNSSYSGNPKLCGPMLSNLCDSVPTHASSMKRRNKKAIIALALGVFFGGIAILFLLGRFLISIRRTSSVHQNKSSNNGDIEAASLSSVSEHLHDMIKGTILVMVPQGKGGSNNLKFKDILKATNNFDQQNIIGCGGNGLVYKAELPNGSKLAIKKLNGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDEWLHNRDNGRPLLDWPTRLKIAQGASRGLSYIHNICKPHIVHRDIKSSNILLDREFRACVADFGLARLILPYDTHVTTELIGTLGYIPPEYSQAWVATLRGDIYSFGVVLLELLTGKRPVQVLSKSKELVQWTREMRSHGKDTEVLDPALRGRGHEEQMLKVLDVACKCISHNPCKRPTIQEVVSCLDNVDADLQVQM >ONIVA06G28580.1 pep chromosome:AWHD00000000:6:27142811:27143323:-1 gene:ONIVA06G28580 transcript:ONIVA06G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAGGRPSQPQPQAQQLSVDFEALSYISSLVEAFQAFDSDNDGLVTAPELRGLLASLGLDKPEHEVRDMLARADADRDGKLSVEELLDVMNAGQLGLGALGALLQSAVPALESAAGPDGVLGADELARLLSVMGTASVEDCMEIIACMDGDGDGAISVEEFRLMAQLL >ONIVA06G28570.1 pep chromosome:AWHD00000000:6:27141496:27142320:1 gene:ONIVA06G28570 transcript:ONIVA06G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGILRRWKRFFPAFASIHAAIEAAEPGISRKEFRDATDKVVAMLCSATDDEAVAEELRVVLDEMMVEALLTLELVPAMPKMLASTDLAQDVGALRNHPSERVRGLATGIVRAWRASVKDELLKAAAAMEKLSQALEPDEADDHHAKILQPSPPKKTANSSQPSFPKKQSAPVAGGSHVTMAKMEPPREKLPAAVGSFRRESAASCGTDEKAMNAAKRKLREGYQEAEDAKRQRTIKVIEAPKQQQRKRHPIVQERNRSRVASHTSSLRRRF >ONIVA06G28560.1 pep chromosome:AWHD00000000:6:27127921:27133124:-1 gene:ONIVA06G28560 transcript:ONIVA06G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-leucine resistant (ILR)-like gene 6 [Source:Projected from Arabidopsis thaliana (AT1G44350) TAIR;Acc:AT1G44350] MEHGGHELAVVVLVLLLLVSATSCTFLEEDVILGTVEEAKVARLGGGGGGGSKGANASTRRADNTCAGIGVGGGGGGGGGGGGGGRGRFYLGWKEEIAGMAGRPETAAWLRAVRRRIHERPELAYEEVETSRLVRDELDAMGVGFRHPVARTGVVANIGTGRPPVVALRADMDALPIQEAVEWEHKSKNPGKMHACGHDAHVAMLLGAAKILKAREHHLRGTVRLLFQPAEESGAGAKRMIEGGALEDVEAIFAVHVSHQHPTSVIGSRTGPLLAGCGFFKAVIHGGRRSGDAVLAAASTIISLQSIVSREADPLDSQVVSVAMVNGSDHPAATARAAAAEEEEEFVLGGTFRAFSNASFYQVRRRIEEVITAQARVHGCEAAVDFFENQSFYPPTVNDARMYAHVKAVAGELLGAGSYRDVPPMMGAEDFSFYSQVVPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAFHAAIAERYLANHSPSSSSSSDSDDPDVELEAS >ONIVA06G28550.1 pep chromosome:AWHD00000000:6:27121470:27122225:1 gene:ONIVA06G28550 transcript:ONIVA06G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVRVAAGLVVALLLAGDASAATLALYNRCAETVWPGIQPSAGKELLARGGFQLAPNRATSIRLPAGWSGRVWGRQGCSFDAAGRGRCATGDCGGALYCNGAGGAPPATLAEITLASTPAAQDFYDVSLVDGYNIPIAMTPSHGSGANCVPAGCISDLNRVCPAGLAVRGGGGDNRVVGCRSACAAYGAPQYCCTGQFGSPQQCKPTAYSRLFKTACPKAYSYAYDDPTSILTCSAGASYIVTFCPHRR >ONIVA06G28540.1 pep chromosome:AWHD00000000:6:27107798:27108367:1 gene:ONIVA06G28540 transcript:ONIVA06G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKQPQPQPSPEIRYRGVRKRPSGRYAAEIRDPAKKTPIWLGTFDSAEVAARAYDDAARSLRGPTARTNFPLAAPSAPPPRPPPPAAAAAATSSHSSTVESWSGGAPRAAASALARSAAPMEATQEEDCHSYCGSSSSVLCEDGSDDAAASRTPLPFDLNMPPPEEELDMAAVADQMGIRYDTLLRL >ONIVA06G28530.1 pep chromosome:AWHD00000000:6:27092879:27105589:-1 gene:ONIVA06G28530 transcript:ONIVA06G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT5G44750) TAIR;Acc:AT5G44750] MSASSSGGRGAPPPATAASPGQKRPRGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCSVPYQISQHNSSSRKQTKLSSFFSGRHYQGELNDQSNSHELQSSSVQEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDMDGKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMDCFFVSVVIRNKPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGTTKCSASISGNMLIARLATRSAKPNGQCFISSEKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFFVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDKLKKHSTEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVVKNLPPEIIYEMNDMYKGELHGFLGITSGDKARESNTKSLVFPAVDQNLVPVLDTKLHGDGKHKDSIHFKKEADIKGPSGEQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNALPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRLSSGSELFLELHDSILPLLQDSVRQHYGPGIWLATITFHHLQDL >ONIVA06G28530.2 pep chromosome:AWHD00000000:6:27096021:27105589:-1 gene:ONIVA06G28530 transcript:ONIVA06G28530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT5G44750) TAIR;Acc:AT5G44750] MSASSSGGRGAPPPATAASPGQKRPRGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCSVPYQISQHNSSSRKQTKLSSFFSGRHYQGELNDQSNSHELQSSSVQEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDMDGKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMDCFFVSVVIRNKPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGTTKCSASISGNMLIARLATRSAKPNGQCFISSEKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFFVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDKLKKHSTEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVVKNLPPEIIYEMNDMYKGELHGFLGITSGDKARESNTKSLVFPAVDQNLVPVLDTKLHGDGKHKDSIHFKKEADIKGPSGEQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNALPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRLSSGSELFLELHDSILPLLQDSVRQHYGGILHLYFYGSTM >ONIVA06G28530.3 pep chromosome:AWHD00000000:6:27096803:27105589:-1 gene:ONIVA06G28530 transcript:ONIVA06G28530.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT5G44750) TAIR;Acc:AT5G44750] MSASSSGGRGAPPPATAASPGQKRPRGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCSVPYQISQHNSSSRKQTKLSSFFSGRHYQGELNDQSNSHELQSSSVQEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDMDGKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMDCFFVSVVIRNKPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGTTKCSASISGNMLIARLATRSAKPNGQCFISSEKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFFVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDKLKKHSTEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVVKNLPPEIIYEMNDMYKGELHGFLGITSGDKARESNTKSLVFPAVDQNLVPVLDTKLHGDGKHKDSIHFKKEADIKGPSGEQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNALPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRLSSGSELFLELHDSILPLLQDSVRQHYGGILHL >ONIVA06G28530.4 pep chromosome:AWHD00000000:6:27096803:27105589:-1 gene:ONIVA06G28530 transcript:ONIVA06G28530.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT5G44750) TAIR;Acc:AT5G44750] MSASSSGGRGAPPPATAASPGQKRPRGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCSVPYQISQHNSSSRKQTKLSSFFSGRHYQGELNDQSNSHELQSSSVQEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDMDGKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMDCFFVSVVIRNKPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGTTKCSASISGNMLIARLATRSAKPNGQCFISSEKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGATDNPVTLQRIAKQLFSSFFVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDKLKKHSTEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVVKNLPPEIIYEMNDMYKGELHGFLGITSGDKARESNTKSLVFPAVDQNLVPVLDTKLHGDGKHKDSIHFKKEADIKGPSGEQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNALPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRLSSGSELFLELHDSILPLLQDSVRQHYGGILHL >ONIVA06G28530.5 pep chromosome:AWHD00000000:6:27096781:27105589:-1 gene:ONIVA06G28530 transcript:ONIVA06G28530.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT5G44750) TAIR;Acc:AT5G44750] MSASSSGGRGAPPPATAASPGQKRPRGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCSVPYQISQHNSSSRKQTKLSSFFSGRHYQGELNDQSNSHELQSSSVQEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDMDGKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMDCFFVSVVIRNKPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGTTKCSASISGNMLIARLATRSAKPNGQCFISSEKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFFVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDKLKKHSTEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVVKNLPPEIIYEMNDMYKGELHGFLGITSGDKARESNTKSLVFPAVDQNLVPVLDTKLHGDGKHKDSIHFKKEADIKGPSGEQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNALPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRILSGSIMVGYYIYSLLFKYN >ONIVA06G28520.1 pep chromosome:AWHD00000000:6:27091436:27096934:1 gene:ONIVA06G28520 transcript:ONIVA06G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPPLAPFATSSAAAAPSTSTPDVVAELGRILSTRRWNKGRAYKRLAPSVTAAHVADLFRAESTAPEPATALAFFEWLARRDGFRHTADSHAALLHLLSRRRAPAQYERLVVSMLNCSDTAEDMRVSADAIQAIRRTGSARLALSPKCYNFALRSLARFDMTEYMGRVYSQLVQDGLLPDTVTYNTMIKSYCKEGDLTTAHRCFRLLLEGGLEPETFTCNALVLGYCRTGELRKACWLFLMMPLMGCQRNEYSYTILIQGLCEAKCVREALVLFLMMKRDGCSPNVRAFTFLISGLCKSGRVGDARLLFDAMPQNGVVPSVMTYNAMIVGYSKLGRMNDALKIKELMEKNGCHPDDWTYNTLIYGLCDQKTEEAEELLNNAVKEGFTPTVVTFTNLINGYCMAEKFDDALRMKNKMMSSKCKLDLQVFGKLINSLIKKDRLKEAKELLNEISANGLVPNVITYTSIIDGYCKSGKVDIALEVLKMMERDGCQPNAWTYNSLMYGLVKDKKLHKAMALLTKMQKDGIIPNVITYTTLLQGQCDEHDFDNAFRLFEMMEQNGLKPDEHAYAVLTDALCKAGRAEEAYSFIVRKGVALTKVYYTTLIDGFSKAGNTDFAATLIERMIDEGCTPDSYTYSVLLHALCKQKRLNEALPILDQMSLRGIKCTIFAYTILIDEMLREGKHDHAKRMYNEMTSSGHKPSATTYTVFINSYCKEGRLEDAEDLILKMEREGVAPDVVTYNILIDGCGHMGYIDRAFSTLKRMVGASCEPNYWTYCLLLKHLLKGNLAYVRSVDTSGMWNLIELDITWQLLERMVKHGLNPTVTTYSSLIAGFCKAGRLEEACLLLDHMCGKGLSPNEDIYTLLIKCCCDTKFFEKALSFVSIMSECGFQPQLESYRLLVVGLCNEGDFEKVKSLFCDLLELGYNHDEVAWKILNDGLLKAGYVDICFQMLSIMEKRYCCISSQTYALVTNKMHEVSSSLVSEVREEARYFNFASCHIGTGHIVEP >ONIVA06G28510.1 pep chromosome:AWHD00000000:6:27078982:27087199:-1 gene:ONIVA06G28510 transcript:ONIVA06G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heavy metal atpase 1 [Source:Projected from Arabidopsis thaliana (AT4G37270) TAIR;Acc:AT4G37270] MQLLTAASASASSAAASPPSAHLLRLSRPPPFPHLRRRCAPHLPSKPFNLAARSPLLLARRSLPFAPRAHGDHHHGHHHHHHGHGHHGHGHNHHHGPEVHGSGGGAAVMRVAKAIGWADVADALREHLQLCCISLGLLLIAAACPHIPVLNSVRRLQDALIAVAFPLVGVSAALDALVNIADRKINIHVLMALAAFASIFMGNSLEGGLLLAMFNLAHIAEEHFTSKSMIDVRELKENHPEFALLLETCGGQSAQFANLCYTKVPVHDLEVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEHYSRVVVVLSLVVALLGPLLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLMCKAIEPIHGHSDVTNDFSDQACCTPNCESEALAVAAAMEKGTTHPIGRAVLDHSVGKDLPLVAVESFECLPGRGVVATLSGVKAGNNEDELSKASIGSVEYISSLYRSSGESEQIKEAVKASAFGPEFVQAALTVDKKVTLFHFEDEPRSGVCEVISTLRDKAKLRIMMLTGDHESSALRVAKAVCIDEVHCCLKPEDKLNKVKAVSREGGGGLIMVGDGINDAPALAAATVGIVLAQRASATAVAVADVLLLQDNICGVPFCIAKARQTTSLVKQSVALALSCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNSPTWSWVDDIRQLINSLRKYISSKLQSTSSNYVADAVPL >ONIVA06G28500.1 pep chromosome:AWHD00000000:6:27070615:27088987:1 gene:ONIVA06G28500 transcript:ONIVA06G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGLALHRPRQRSLTSRKPLWRRFLSPTGALPHPPPALPFLLVGVPPPPPSSQDPVGRRLPTARQVSGSMAARLISGVAATRRRGSSPAQRRVDGSPVAAAAQDLAPELGTSTAARRRGGGSARSSGASGSTFPLLSSSSCVLLTTYKNEEICPLKRGIDHQLEQQLLYQLIN >ONIVA06G28490.1 pep chromosome:AWHD00000000:6:27069974:27070441:1 gene:ONIVA06G28490 transcript:ONIVA06G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEEEQEQDAEMEELVVDYYADEPMEEDGKNSNNKWKYREDPGFMEAYLKVMQLVEEDDRIEDEESAAAAEAAKPGRKRAHARKAGELDDVETTKRYKCNYWADDDPAYRGKRRLENTGQLLARTAALMNRAERETAAMMARWELEDSQLINT >ONIVA06G28480.1 pep chromosome:AWHD00000000:6:27063533:27068617:-1 gene:ONIVA06G28480 transcript:ONIVA06G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGLLPPQSQSPPAMESSSLWGVLGQASNVAQLVGVDALGLVSMVAQAALAARCHRDACRRLGQHVELVGGLLRELELAELMRREATRRPLEQLQGALRQCYALVTACQEDRGYLHRLLLGARMADELRAAQHEIDMYIRLIPLIALVDSSSNRRVKAMEGAATAVKYSSNRHIRFPARVSGFTEIHVQGDTKFCKAGEQPLGTVYLQEQKILDIEELVELCTRTEESCPGFSKFDFSQILHATDNFSENSNIGRGGFATVYKLARVQHNNLVKLLGWCIHGKERILVYEFADRGSLHHYIFDKMRKSLLDWPQRLNIIKGVAEGLVYLHKLSMLWIVHRDLKPDNILLDYNMNPKISDFGSSSSLSSEVAEEHTRRVVGTSGFKAPEYASRGVYSVKTDVFSFGILVLVIISGRKNSILYKQRDAIGDLVRDAWHMWRDGRLHELVDPSLGHGYELDQIMRCTQVALLCTQEDPTVRPTMPDVAAMLSSGSVILLDDPKKPSDLSNEVEKGGDETSTCMDQSSQTIDITITSSAPVSTRVRIVIGQEVI >ONIVA06G28480.2 pep chromosome:AWHD00000000:6:27063533:27068392:-1 gene:ONIVA06G28480 transcript:ONIVA06G28480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLWGVLGQASNVAQLVGVDALGLVSMVAQAALAARCHRDACRRLGQHVELVGGLLRELELAELMRREATRRPLEQLQGALRQCYALVTACQEDRGYLHRLLLGARMADELRAAQHEIDMYIRLIPLIALVDSSSNRRVKAMEGAATAVKYSSNRHIRFPARVSGFTEIHVQGDTKFCKAGEQPLGTVYLQEQKILDIEELVELCTRTEESCPGFSKFDFSQILHATDNFSENSNIGRGGFATVYKGQLPNGLVVAIKKLDECAVNFDFNNELQLARVQHNNLVKLLGWCIHGKERILVYEFADRGSLHHYIFDKMRKSLLDWPQRLNIIKGVAEGLVYLHKLSMLWIVHRDLKPDNILLDYNMNPKISDFGSSSSLSSEVAEEHTRRVVGTSGFKAPEYASRGVYSVKTDVFSFGILVLVIISGRKNSILYKQRDAIGDLVRDAWHMWRDGRLHELVDPSLGHGYELDQIMRCTQVALLCTQEDPTVRPTMPDVAAMLSSGSVILLDDPKKPSDLSNEVEKGGDETSTCMDQSSQTIDITITSSAPVSTRVRIVIGQEVI >ONIVA06G28480.3 pep chromosome:AWHD00000000:6:27063533:27068392:-1 gene:ONIVA06G28480 transcript:ONIVA06G28480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLWGVLGQASNVAQLVGVDALGLVSMVAQAALAARCHRDACRRLGQHVELVGGLLRELELAELMRREATRRPLEQLQGALRQCYALVTACQEDRGYLHRLLLGARMADELRAAQHEIDMYIRLIPLIALVDSSSNRRVKAMEGAATAVKYSSNRHIRFPARVSGFTEIHVQGDTKFCKAGEQPLGTVYLQEQKILDIEELVELCTRTEESCPGFSKFDFSQILHATDNFSENSNIGRGGFATVYKLARVQHNNLVKLLGWCIHGKERILVYEFADRGSLHHYIFDKMRKSLLDWPQRLNIIKGVAEGLVYLHKLSMLWIVHRDLKPDNILLDYNMNPKISDFGSSSSLSSEVAEEHTRRVVGTSGFKAPEYASRGVYSVKTDVFSFGILVLVIISGRKNSILYKQRDAIGDLVRDAWHMWRDGRLHELVDPSLGHGYELDQIMRCTQVALLCTQEDPTVRPTMPDVAAMLSSGSVILLDDPKKPSDLSNEVEKGGDETSTCMDQSSQTIDITITSSAPVSTRVRIVIGQEVI >ONIVA06G28470.1 pep chromosome:AWHD00000000:6:27059302:27060486:1 gene:ONIVA06G28470 transcript:ONIVA06G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRHDRRKGNVSNSKPLPPPKKAVEKREDVISKLPDDILVHILTMCPYSDAVRTAAVSRRWQHLHTQLPGVLLSMSVLGLLNSSLGEPSEQRVQSMERTLRRRLHDGNHHTIELLRILYRKDVPFECKYVTKFIALANAPRLELHVQCAKGLLDEDAGEWSLELPPATTQLKLRPYWYAVRPPRLHGPAVNSLRQLILNGMVVLRQEFLDNVFLPSLEELHIVKCTLPASIEITSGGMPRLKRLRVSNVAVMSDTTKAGIAVLADELTTLHVTCSCQTEPMSSDPGWFISPSRFRAVFTRYSCFRLRAPKLRVFDWHCCYADEVRVDSVGCLSDVAVQLAAGRLPRLGHQESTCLTMEDCDKQMKGILRELMPGLRPRKWNYIERKCVKRD >ONIVA06G28460.1 pep chromosome:AWHD00000000:6:27052875:27054446:-1 gene:ONIVA06G28460 transcript:ONIVA06G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRQNKRKGKVPKPQAEPNKVTEKQEDLISKLPDDILLHILSMCPCHDAVRTAAVSRRWQHLHTRLPNVRFRMSVLGNLASLGESSEPRVQSMERTLRRRCHDDGGLHDTIERRSTLDVPFECRYTNEFVALANASRLELHVQCKRGLPDEDAGEWSLELPPATIELELGLYWYAVRPPRVRGPGVTSLRWLALDGLTVLRPSDFLSTVVFPSLEELHIVDCTLPASIDITSDTMPRLKRLRITDVTVMSATTKAGIAVLADELREIRVSCRCPTEPMASSNPAAYHLLPRFRALFTRYSCFCVRAPKLRRCCFPDEVCVESVGRLTDVAVELAAGRLPRLSDEESKSLSVEDCDKLMKGILRGLMSGLQPRSWKTDLVFVILL >ONIVA06G28450.1 pep chromosome:AWHD00000000:6:27049704:27052750:-1 gene:ONIVA06G28450 transcript:ONIVA06G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIAFLLAAAALLLGLVSVSEAIQGTATFYTTYNPSACYGNQDNGRMIAAASDGLWAGGKICGTMFTVRCVGATNAVPNPCRGGAITVKIVDRCPGCTATLDLSREAFAAIANPVAGKVLIDYQQVGILLAAALLLGLVSASHAIEGTATFYTVYTPSACYGFQDQGTMIAAASDGLWDGGRACGRMYTVRCVRGTNAVPNPCNGGTVTVKIVDRCPSPGCTSTLDLSREAFAAIGNLDAGRIVIDYNQV >ONIVA06G28440.1 pep chromosome:AWHD00000000:6:27042348:27050846:1 gene:ONIVA06G28440 transcript:ONIVA06G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELRHDDMEVAEEDISDSQAFRFVEHVLQSVRMDPFQVDLRDKEEYDSLLSIVDSSKKRSYDDEALLVTTLKALSEAVSKIDIMYHHALLNNAAVADQFLRECLQMLVNNFTPPGSLTAFIGQPRWLARKKEIYSQLHESLRMISDTVPLAPRMLKDIIDRSMPKLFDNKAKMVSFVECMLGLDNDRMGDLIGALLLAKVVDLLTELDVNITWEDILQDEHNKGNACAEKLDGLMVVFCEHLKSCKEHGRLPQEFDILKTIFRASVLRVHKSKFAQFIMFYACSLDPEICGLEFALFLSDIFIKKEEDSISRLVDWCVDYCDLQNNIGITTKPINHQIFYASCQAVMYILCFRLRSIMDYPNLKAQLFNMPFGYILTHPLEPLKVCLPSIVDEFLRQAKAARLFNASVHSEFEDALESDLSKTFGGMNRLDMFFPFDPYLLKESDRYMRPNFEYWSMVKTTYNNYNSDVDDELVDLDAPEMNVGSLDDHVEIDLNSDDDLEYSMNKMSITPNRSFFHQIMANSDTGLTMPARIRPSVSPPS >ONIVA06G28430.1 pep chromosome:AWHD00000000:6:27037585:27041872:1 gene:ONIVA06G28430 transcript:ONIVA06G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0HUR6] MMKSLLPQSQLRRSAAAASAARSSGGGTGSGGADGAGSDGGAGGRAPATSTFWFLLHALCCLVSLFLGFRFSRLLFFLLFSTTALYSSTSSSSSSAVLRATTTTTTTTTTTTTTTNTFTLSFQANPNPPPSNLSNHTALDAAGAAGHTQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMERVQEEQRRWYGVKEPRHVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGTTNATASILARSGLTIVHIPFPDRMPHDWADRHATENRMRLHALRVIRERKMDGVIVFADDSNVHSLELFDEVQKVQWMGAVSVGILAHTGTADQPRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFAGKTATVVGEAAPVLPRGLEWAGFVLNSRMLWKEAEGKPDWVKDLDAVGENGEEIENPLILLNDPSSVEPLGNCGKKILLWWLRVEARADSKFPQGWVIEPPLDIVVPAKRTPWPETTAELSAELVDSKQDQEGRRLSRTDRSSRSRSTTKRKEN >ONIVA06G28420.1 pep chromosome:AWHD00000000:6:27031695:27037175:1 gene:ONIVA06G28420 transcript:ONIVA06G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQHPASGYSKEHQKTYQEWFAFADSDGDGRITGPDAIKFFAMSKLPRADLKQVWAIADSKRQGYLGFSEFVTAMQLVSLAQAGDEITQDSLKRDDLGSLNPPTMDGLDALLVKSKHHAKRVDPDIDGFPQAQSPAMSQWFSSKSSKKIPLNAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGPDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAHIIGHLKKEMPAMMGKAKAQQRLIDNLETEFAKVQREQHLPAGDFPYVEHFRDVLGGYSIDKFEKIKPKMVQAVDDMLGYDIPELLKNFRNPYE >ONIVA06G28410.1 pep chromosome:AWHD00000000:6:27025045:27029829:-1 gene:ONIVA06G28410 transcript:ONIVA06G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT3G11830) TAIR;Acc:AT3G11830] MASMMQPQIILLKEGTDTSQGRAQVVSNINACTAVADTVRTTLGPRGMDKLIHDDKGGTTISNDGATIMRLLDIIHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPYIEDGVHPHSLIRSYRTAGHLAIEKVKDLATSIEGKSLEEKKELLAKCAATTLSSKLIGGEKEFFASMVVDAVLAISNDDRLNLLGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLSPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVAEEDLQRVAAATGGTVQTSVNNVIDEVLGSCEVFEERQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEGANFGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAASAMAGRGGGAMRGRGGRGMRRR >ONIVA06G28400.1 pep chromosome:AWHD00000000:6:27021960:27024487:1 gene:ONIVA06G28400 transcript:ONIVA06G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSGPKLLVVHPSSNKPLGGAASPAMAALGSRRRMWVVLFLAGFACVSLGTMLCAARDHPPPPVAARRLAAAEAQAATLAVSARGGGAGGGGGGLPGYVFDALVQYASAGGNSTASMPGGDVRAIAAVVKRRAPCNLLVFGLGGETPLWRALNHGGRTVFLDENQYYVSHLEGRHPGLEAYDVVYTTTVREFPDLLDAARAARSAECRPVQNLLYSDCRLAINDLPNQLYDVAWDIILVDGPRGYTAASPGRMSAIFTAGVMARSRAEKGAETDVLVHDYEREVERACSREFLCEENRVEETSTRSLAHFVVPGGRDLRRETFCAGGGGSGAST >ONIVA06G28390.1 pep chromosome:AWHD00000000:6:27012892:27017733:1 gene:ONIVA06G28390 transcript:ONIVA06G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G42240) TAIR;Acc:AT2G42240] MQFSPPTPNSVGRTSGGILQTASAFPSPPRHRLLLLRRRRPRARALLSSPPRISPYASYRHHAYPPHPPPPPAYVGAYYDHAAEPLPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYVSSHLRTSGKSSQAYAFAVFADQPSALAAMSATNGRIFDLENNCTLHVDLAKSNSRSKRSRTDDVPSYSSEKKARNPRGFPDSGAGSNIHMSGMGNSSHSLNGYPSAQSYTNFEPAAFSKDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKDMDSSTEAINRLQGVILYSSTGEGMRLEYAKSRMGLRKQDKRQ >ONIVA06G28390.2 pep chromosome:AWHD00000000:6:27012892:27017733:1 gene:ONIVA06G28390 transcript:ONIVA06G28390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G42240) TAIR;Acc:AT2G42240] MQFSPPTPNSVGRTSGGILQTASAFPSPPRHRLLLLRRRRPRARALLSSPPRMAASYYNNPPPPHSSYAAPPPPPPPGTSPYASYRHHAYPPHPPPPPAYVGAYYDHAAEPLPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYVSSHLRTSGKSSQAYAFAVFADQPSALAAMSATNGRIFDLENNCTLHVDLAKSNSRSKRSRTDDVPSYSSEKKARNPRGFPDSGAGSNIHMSGMGNSSHSLNGYPSAQSYTNFEPAAFSKDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKDMDSSTEAINRLQGVILYSSTGEGMRLEYAKSRMGLRKQDKRQ >ONIVA06G28390.3 pep chromosome:AWHD00000000:6:27012892:27017733:1 gene:ONIVA06G28390 transcript:ONIVA06G28390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G42240) TAIR;Acc:AT2G42240] MQFSPPTPNSVGRTSGGILQTASAFPSPPRHRLLLLRRRRPRARALLSSPPRISPYASYRHHAYPPHPPPPPAYVGAYYDHAAEPLPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYVSSHLRTSGKSSQAYAFAVFADQPSALAAMSATNGRIFDLENNCTLHVDLAKSNSRSKRSRTDDVPYTNFEPAAFSKDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKDMDSSTEAINRLQGVILYSSTGEGMRLEYAKSRMGLRKQDKRQ >ONIVA06G28390.4 pep chromosome:AWHD00000000:6:27012892:27017733:1 gene:ONIVA06G28390 transcript:ONIVA06G28390.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G42240) TAIR;Acc:AT2G42240] MQFSPPTPNSVGRTSGGILQTASAFPSPPRHRLLLLRRRRPRARALLSSPPRMAASYYNNPPPPHSSYAAPPPPPPPGTSPYASYRHHAYPPHPPPPPAYVGAYYDHAAEPLPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYVSSHLRTSGKSSQAYAFAVFADQPSALAAMSATNGRIFDLENNCTLHVDLAKSNSRSKRSRTDDVPYTNFEPAAFSKDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKDMDSSTEAINRLQGVILYSSTGEGMRLEYAKSRMGLRKQDKRQ >ONIVA06G28390.5 pep chromosome:AWHD00000000:6:27012892:27017733:1 gene:ONIVA06G28390 transcript:ONIVA06G28390.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G42240) TAIR;Acc:AT2G42240] MQFSPPTPNSVGRTSGGILQTASAFPSPPRHRLLLLRRRRPRARALLSSPPRMAASYYNNPPPPHSSYAAPPPPPPPGTSPYASYRHHAYPPHPPPPPAYVGAYYDHAAEPLPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYVSSHLRTSGKSSQAYAFAVFADQPSALAAMSATNGRIFDLENNCTLHMMFRLIPLRKKLEIRGDFLIQDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKDMDSSTEAINRLQGVILYSSTGEGMRLEYAKSRMGLRKQDKRQ >ONIVA06G28380.1 pep chromosome:AWHD00000000:6:27009299:27010540:-1 gene:ONIVA06G28380 transcript:ONIVA06G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGCSAKCPVRLLTCNACVLESVYGFWILDPLNDLDHHFFGFKNVITIMILAAMISITHARIALDDCMQLGYIGEFTLYLSLKPLFIFIHYSLTFSLVLVICISEFMNKRSDI >ONIVA06G28380.2 pep chromosome:AWHD00000000:6:27008822:27010540:-1 gene:ONIVA06G28380 transcript:ONIVA06G28380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYSWKKIHFSMQNVITIMILAAMISITHARIALDDCMQLGYIVIKQENPWRFWFI >ONIVA06G28380.3 pep chromosome:AWHD00000000:6:27009299:27010540:-1 gene:ONIVA06G28380 transcript:ONIVA06G28380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGCSAKCPVRLLTCNACVLESVYGFWILDPLNDLDHQGMGLYSWKKIHFSMQNVITIMILAAMISITHARIALDDCMQLGYIGEFTLYLSLKPLFIFIHYSLTFSLVLVICISEFMNKRSDI >ONIVA06G28380.4 pep chromosome:AWHD00000000:6:27010012:27010540:-1 gene:ONIVA06G28380 transcript:ONIVA06G28380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAGGGAAEYNERGVLSEMSRPAPHLQCMCSGECLWILDLRPPQRLGSSVK >ONIVA06G28370.1 pep chromosome:AWHD00000000:6:27004078:27007955:-1 gene:ONIVA06G28370 transcript:ONIVA06G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14430) TAIR;Acc:AT5G14430] MTKPPQSRGGGGGGALGRRGFAALLAAAVIALALLCLFYGAAFAPTLRSRRLPLQRRFEAVPADLALSSLPVCDARYSELIPCLDRGLHNQLRLRLNLSLMEHYERHCPPAHRRLNCLIPPPAGYRVPIRWPRSRDEVWKANIPHTHLASEKSDQRWMVVNGDKINFPGGGTHFHTGADKYIVHLAQMLNFPNGKLNNEGNIRNVLDVGCGVASFGAYLLPLDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTRRLPYPSHSFELAHCSRCRIDWLQRDGILLLEVDRVLRPGGYFVYSSPEAYAMDPINRNIWRKMSDLARRMCWQIASKEDQTVIWIKPLTNECYMKREPGTLPNMCDRDDDPDAAWNVPMKACVTPYSERVHKFKGSNLLPWPQRLTAPPPRLEELGISSNNFSDDNEIWHFRVIQYWKLMKSEIQKDSFRNVMDMNANLGGFAASLRKKDVWVMNVVPSTESGKLKIIYDRGLLGTIHNWCESFSTYPRTYDLVHAWLLFSEIEKQGCSVEDLLIEMDRIMRPQGYAIIRDKVAVINHIKKLLPAVRWDDWSSDVKPKKDALWSGDERVLIVRKKLWNQTL >ONIVA06G28360.1 pep chromosome:AWHD00000000:6:26998786:27002903:-1 gene:ONIVA06G28360 transcript:ONIVA06G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHATAAAAATRASSSPAKRDAESSASSSPFVASSSSPRGGGGGDGKDGAPRSSAPLHHQKYHFPSPLRSLLALEDPRSPTASASYRILVAVVACLFVAALVSAPSVWSRINAPYLCRKDGIRLHCPRVNERESLWENPHAAAASWKPCAERRNLVPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDYFINYLKGDVRIVRDIPEWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKDKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPEIEEMAEKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMADYRQKQWPRRFKNGSHLWSLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVYGGSNRMAPLRNMFPNLVTKEDLSSKEEIEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPESTFPHYDLWENPLTPCMCRA >ONIVA06G28360.2 pep chromosome:AWHD00000000:6:26998786:27002903:-1 gene:ONIVA06G28360 transcript:ONIVA06G28360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHATAAAAATRASSSPAKRDAESSASSSPFVASSSSPRGGGGGDGKDGAPRSSAPLHHQKYHFPSPLRSLLALEDPRSPTASASYRILVAVVACLFVAALVSAPSVWSRINAPYLCRKDGIRLHCPRVNERESLWENPHAAAASWKPCAERRNLVPENETSGYIFIHAEGGLNQQRIAVRMFFPHIISLLKLYCICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDYFINYLKGDVRIVRDIPEWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKDKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPEIEEMAEKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMADYRQKQWPRRFKNGSHLWSLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVYGGSNRMAPLRNMFPNLVTKEDLSSKEEIEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPESTFPHYDLWENPLTPCMCRA >ONIVA06G28350.1 pep chromosome:AWHD00000000:6:26993114:26997387:1 gene:ONIVA06G28350 transcript:ONIVA06G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENACEAGLPLSAAAAAAAAAHVEQPAMENACEAGLPLSVAVSVPPVMVDGETVPKEVEECEDEEEEEYAGDAPPPWKVMGSDDGGETEAGEEEEIAEADGEEEAADVEEVEYEFYDSDGSEDEGDGEEVDPAVASAAQFVPEGQFLGPAQFAAYGCAAGFMRVAAVEADPADGQEILVLYRYTLLKRAWSDPAGVELSMWAKVSKIHRLRFIVPASGDPASSLPFAGLSLSPLIYHDDYVEELETLWSKLAAQVRVPPVATRVQVIVNVGILRAGDNTPERREYMRAELEAKKEMPWPGKLLGMELHVPEPVVAAAASCKRDSSEEVFDDAPAPPAKRRKVFDAGEECPVCLDELEDGVVAWPGCSVAHVFHGQCLETTLKGSQMCPICRRDLGLKTLQEMAAPSCPPRDDNTTSSPRDDDAASPRAPFPSLSLHTFFLLAMPPPSLPFPSLAYQFVLVLWPNLANPNIEEEAPAISVVDYELTDDDESGDEADEPTKARDGEAPAARGELPLVPAPFVPEGEFLGPARFATAGCAAGFMRVAVVEGDGGGGGQEIVVLYRYTRYSGTWSGRKGVEVSRRTKLNRLWFVVSPAAGMASSLAWAGSSLAPLIYPYFFRRELLELWSSLIMAAPASIVPPGATRVEVLVDVGILRPFDKRPDRMEYMRRELEAEAAAAWSWPGHHVGLDLNLPEPVLCDRGATAGEVLSEEEGDGAPPPAKKRRRAVAGVAGEECPVCFFQLETDLVAWPGCSVRHVFHGECLEFTLERSDKCPICRKDLVIKNLQGNCFKHSGGHIKWL >ONIVA06G28340.1 pep chromosome:AWHD00000000:6:26991415:26992905:1 gene:ONIVA06G28340 transcript:ONIVA06G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVIQAKLVGMSYPEFSGCLVLLGDLGAGKTSIVESTIGAAFFSQVLIMDEATVKLDIWDTAGQERYHSLAPMYYRGAAAAVVVYDISSTDSYIRARKWVDELQRQGNPHLVMALVGNKVDLEEKRQVGTQEAMEYAERNGLFFIETSAKTSQNLIGWSKCDLTALPGWFSTTAGAAATTAAAGHGGSVAPADAPPPTHEIRHP >ONIVA06G28330.1 pep chromosome:AWHD00000000:6:26984367:26987866:-1 gene:ONIVA06G28330 transcript:ONIVA06G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSLLNSKFYNKCKHAFKCIRTRLALIRRKKQAMIRFMKKDIADLLTNGLDTHAFGRMDGLIIEMNHASCYDMIEQFCEYIGKQLNSLQKQGDCPQETREAVSTLIFAAARFPDLPELCDLRHIFTERYGNFLEPFVSLEFVQKLDNKVFTNEEKIQAMQSVSEELLVDFDIKAFKIKLWATPETKHDLPAKDSKKQVELAVPLSSKKKGDDAAPSGRKSEAATLGHKKKLEASLKQQKDVHPVADGIDRLRENTRRQHADKSDGKGHVEKPVSDSEMKRRNIQKEVQKANKKDGRPCEKELMEAVELDLNGLPKKEFGSLKVPEAESKKTFALNVKPKKDNDLEKENESNLGHHHRSHIPCAADHADSGLRTLGLDKQGLQSVNPLNGNTKNRMPPYSKLDGSTGKRCSEKEENTGCLNARPHHLADKGNPVQDRQPVPERAAYVRPPYIKPKLNMETVNDDPAERAASDYSKRAIPEQTDHLSDKDPLRPVSVRSKYAKPPAPAAVYDEAPANEKVSSRTPSSHRRHTSRQNAVNDGSARRDGSRQPHGGKGMDDVNGENVQRTPSSRPRHSGRRNGALYTEDYDGFMQRHKSEEDEAAIDFGNLLPRTGNGHRRHKSRNTDARSGVDEEERMMDKLLRHYSKKGLDAEINPAPTNKAEEQSEQKGSMHPPGRAISLPGESVCRDEDVKFPARSTSLQPDCPKTVHVHPKMPDFDELAARVSALRKA >ONIVA06G28320.1 pep chromosome:AWHD00000000:6:26982729:26983441:1 gene:ONIVA06G28320 transcript:ONIVA06G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSIHERLIRELEICQHQERALTAIANWQLKIIVSRHLHHHLAHVAAAVVAAARGARRGERGEAAGVGGGDGAVPRRRAPEEPGAGAPRQGRHARRG >ONIVA06G28310.1 pep chromosome:AWHD00000000:6:26979864:26981707:-1 gene:ONIVA06G28310 transcript:ONIVA06G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGGKAKPLKAPKAEKKEYDESDLAYLQKKKDEEKALKELKAKAGQKGALGGSGLKKSGKK >ONIVA06G28300.1 pep chromosome:AWHD00000000:6:26966861:26967142:-1 gene:ONIVA06G28300 transcript:ONIVA06G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3511) [Source:Projected from Arabidopsis thaliana (AT4G09890) TAIR;Acc:AT4G09890] MEKCRSVPHEHSAAYYGCGGGYDYEDVSGGGGGAAAKSYSFNGPSARDDPEAKRRRRVAAYNVFATQGRLKSTVRSSFKWIKSKFSDIRYGGL >ONIVA06G28290.1 pep chromosome:AWHD00000000:6:26958384:26965425:1 gene:ONIVA06G28290 transcript:ONIVA06G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zincin-like metalloproteases family protein [Source:Projected from Arabidopsis thaliana (AT5G51540) TAIR;Acc:AT5G51540] MNQLSASAMLSRAASLRRAAAAAFSTAAARPETGLYGFDVLRTAKGFRRFVDDAIERSDELVAYIGQLPPSAEIVRAMDEISNTVCSVIDSAELCRNTHPDKEFMDEADKASMRIYEHLQYLNTNTTLYNAILKAESEGVMLTEEARRAATTLRVDFEKGGIHLPKDKLEHVNHLNLEIAQLGRKFSENVMNKPGFVDIYPASRIPRNMQRHFKSIHSIKPWGDEEQRNQMDTTKQKGLRIVTDSGTLSTALRWVPDEEVYLVGNSEPRENITVLGKLINARDELAKTMGCKSYGDFAIRPNMAASVDVVMSFLKDLSGAVRHKAGEEFKRIQDFKRNVCNEKSAKLEPWDEDYFIGMMKSAVHNLDVSVVAAYFPLSQCLKGLNVLVESLFGATFHQIPMGDGESWHPDVMKLSLHHPDEGVLTLLSEGGDDCKTQITSFHSSKIMARLNHWDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFEFYAWDYRVLRKFAVDETTGDPIPEKLVKALNASRNMFPATELQRQIFYSIMDLTLFGEQGSKPMDTISTVADLRRKHTSWKCVEGTHWHTRFTHLINYGAGYYSYLYARCFATTIWQEVCQGDPLSRSTGSALRDKFLKHGGAKDPSALLKDFVGDSIIINSGGGIIPDISSLCKEVGL >ONIVA06G28290.2 pep chromosome:AWHD00000000:6:26958384:26965425:1 gene:ONIVA06G28290 transcript:ONIVA06G28290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zincin-like metalloproteases family protein [Source:Projected from Arabidopsis thaliana (AT5G51540) TAIR;Acc:AT5G51540] MNQLSASAMLSRAASLRRAAAAAFSTAAARPETGLYGFDVLRTAKGFRRFVDDAIERSDELVAYIGQLPPSAEIVRAMDEISNTVCSVIDSAELCRNTHPDKEFMDEADKASMRIYEHLQYLNTNTTLYNAILKAESEGVMLTEEARRAATTLRVDFEKGGIHLPKGWPTRVILVLLVFHLSVAKSIISSMADKLEHVNHLNLEIAQLGRKFSENVMNKPGFVDIYPASRIPRNMQRHFKSIHSIKPWGDEEQRNQMDTTKQKGLRIVTDSGTLSTALRWVPDEEVYLVGNSEPRENITVLGKLINARDELAKTMGCKSYGDFAIRPNMAASVDVVMSFLKDLSGAVRHKAGEEFKRIQDFKRNVCNEKSAKLEPWDEDYFIGMMKSAVHNLDVSVVAAYFPLSQCLKGLNVLVESLFGATFHQIPMGDGESWHPDVMKLSLHHPDEGVLTLLSEGGDDCKTQITSFHSSKIMARLNHWDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFEFYAWDYRVLRKFAVDETTGDPIPEKLVKALNASRNMFPATELQRQIFYSIMDLTLFGEQGSKPMDTISTVADLRRKHTSWKCVEGTHWHTRFTHLINYGAGYYSYLYARCFATTIWQEVCQGDPLSRSTGSALRDKFLKHGGAKDPSALLKDFVGDSIIINSGGGIIPDISSLCKEVGL >ONIVA06G28280.1 pep chromosome:AWHD00000000:6:26954672:26957776:1 gene:ONIVA06G28280 transcript:ONIVA06G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62790) TAIR;Acc:AT1G62790] MATRMAAAVAAMVAAVAISLAAGGAAQSSPSTPSCASKLVPCAQYMNGTDTPPAACCDPLKEAVKNELKCLCDLYASPQIFKAFNINISDALRLSTRCGISQTTSMCPGNSPTNSPPASPSGGKNAGHRTMSVGLPGLMSLFLALWSVLA >ONIVA06G28270.1 pep chromosome:AWHD00000000:6:26931062:26935304:1 gene:ONIVA06G28270 transcript:ONIVA06G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HUN9] MERGRLHAFVYLYFPNKREMITFVDSAAKERERESDKCLDPQLWHACAGGMVQMPPVSSKVYYFPQGHAEHAQGHGPVEFPGGRVPALVLCRVAGVRFMADPDTDEVFAKIRLVPVRANEQGYAGDADDGIGAAAAAAAQEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGVGGPEFLPPPPPPPPPTPAAGGNYGGFSMFLRGDDDGNKMAAAARGKVRARVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWFAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLCVPLYPELPIDGQFPTPMFHGNPLARGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHGLHQLDHGMQPRIAAGLIIGHPAARDDISCLLTIGSPQNNKKSDAKKAPAQLMLFGKPILTEQQISLGDAASVAVKKSSSDGNAENTVNKSNSDVSSPRSNQNGTTDNLSCGGVPLCQDNKVLDVALETGHCKVFMQSEDVGRTLDLSVVGSYEELYRRLADMFSIEKAELMSHVFYRDAAGALKHTGDEPFSEFTKTARRLNILTDTSGDNLAR >ONIVA06G28270.2 pep chromosome:AWHD00000000:6:26931086:26935304:1 gene:ONIVA06G28270 transcript:ONIVA06G28270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HUN9] MITFVDSAAKERERESDKCLDPQLWHACAGGMVQMPPVSSKVYYFPQGHAEHAQGHGPVEFPGGRVPALVLCRVAGVRFMADPDTDEVFAKIRLVPVRANEQGYAGDADDGIGAAAAAAAQEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGVGGPEFLPPPPPPPPPTPAAGGNYGGFSMFLRGDDDGNKMAAAARGKVRARVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWFAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLCVPLYPELPIDGQFPTPMFHGNPLARGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHGLHQLDHGMQPRIAAGLIIGHPAARDDISCLLTIGSPQNNKKSDAKKAPAQLMLFGKPILTEQQISLGDAASVAVKKSSSDGNAENTVNKSNSDVSSPRSNQNGTTDNLSCGGVPLCQDNKVLDVALETGHCKVFMQSEDVGRTLDLSVVGSYEELYRRLADMFSIEKAELMSHVFYRDAAGALKHTGDEPFSEFTKTARRLNILTDTSGDNLAR >ONIVA06G28270.3 pep chromosome:AWHD00000000:6:26931364:26935304:1 gene:ONIVA06G28270 transcript:ONIVA06G28270.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HUN9] MITFVDSAAKERERESDKCLDPQLWHACAGGMVQMPPVSSKVYYFPQGHAEHAQGHGPVEFPGGRVPALVLCRVAGVRFMADPDTDEVFAKIRLVPVRANEQGYAGDADDGIGAAAAAAAQEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGVGGPEFLPPPPPPPPPTPAAGGNYGGFSMFLRGDDDGNKMAAAARGKVRARVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWFAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLCVPLYPELPIDGQFPTPMFHGNPLARGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHGLHQLDHGMQPRIAAGLIIGHPAARDDISCLLTIGSPQNNKKSDAKKAPAQLMLFGKPILTEQQISLGDAASVAVKKSSSDGNAENTVNKSNSDVSSPRSNQNGTTDNLSCGGVPLCQDNKVLDVALETGHCKVFMQSEDVGRTLDLSVVGSYEELYRRLADMFSIEKAELMSHVFYRDAAGALKHTGDEPFSEFTKTARRLNILTDTSGDNLAR >ONIVA06G28260.1 pep chromosome:AWHD00000000:6:26903617:26909759:1 gene:ONIVA06G28260 transcript:ONIVA06G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT5G04220) TAIR;Acc:AT5G04220] MGLVGGLLGFGVGLPLGIAVAYLVYLRLFAPRRRLQDPIIRPLRDLDSETLQTTIPDIPLWMKCPDYERVDWINKFIFDMWPFLDKAICNTIRSVIRPTFDQYVGQYGIKSIEFGHLTLGALPPTFQGIKVYEMREKELVIEPVIRWASIANVIVKVKVHSFQVSAQLLDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPHIDFGFKLLGGDVMAIPGLHRFVREKISKQIANLYHWPKLIQIPILDEASGATKKPVGILHVKVIRAMNLLKMDLLGKSDPYVKLRLSGEKLPSKKTSIKMSNLNPEWNEHFRFIVKDPETQILELRMFDWEKVKMHDKLGMQVVPLRLLTPYESKLFTLDLLKSMDPNDPHNKKNRGKLVVELTFDPFRDDSNSTILMSDGEGNVSVKRDVPPSGGLLLVSVENAKDVEGKRHTNPYAVVHFRGERKETKIIKKTRDPRWNEEFQFMVDEAPVDDKIHIEVVSKRRGLRLPFRNKESLGHVDINLVDVVNNGRINEKYHLINSRNGMVHVEMKWSTV >ONIVA06G28250.1 pep chromosome:AWHD00000000:6:26901342:26903250:-1 gene:ONIVA06G28250 transcript:ONIVA06G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRQREEEPATASQSGDDDSNSDEWKDFLNLDGGEEAAEVDDDCNLAPPEKDAEAAKASQSGDDDSNTDQWKGYLNLEDGEEAAEVDDDRNLDQPPEKDAEAAKVDGDRITALQEKARARILDFLPIKSMYGLYWRDVAVDVELPTDGDALSKLEERAGQQEPKRRLRYFFLLVVERKNVQREYFNSCLEYAGKCSPEVIHISDRGGAGRKFKMHLTSKQLVRLSLIGVALGHFQGKFCEGVSFPTLEEIHIKNSTINKMDDLKNLVGACPILRVLDLRGCKTITQIDVDTAGEHLMSLTVMDCERVRRLTAGKHLRSFRYSGNILTSLSLPDNDSLADLFIGFPRSQSTTPGPENSFKRLPDLSNLTFLTLCSTSLRAVTVAGNTIQTNLRSLRELQLLMFKLEPINLSDVRRFLNTCGYYPQLTKLFVQLPERDCTYTENTSSENVEGEQQDGFEKLDVVKMTNFKYDWNEIRLLQFLFKKAKLLQKLILVRPIPVPVDRPFRLQVPANVQLTDCADDSTVKSFHSELLTSKTN >ONIVA06G28240.1 pep chromosome:AWHD00000000:6:26897115:26899160:1 gene:ONIVA06G28240 transcript:ONIVA06G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRWAALLLGVAVVLAVAAAGARAQDYNNGGGGDGEDEEEEEKPSFKAQEACNGAFLTYTFTEREKEYPRTKNATAQAFAFKATATVLNTMTEDLKAWQMFVGFQHKEILVTVGGAVLLDGTDLPANVSGGVTFAGYPMANLLNSIETAGDLTQIQAQIDITGTQFGVKPPTAPMPRTIKLSNPGFRCPKPTHKQSVMYVCCVKDPKFKAKKVNTTTRYLPRQKADLTIAYDVLQAFGNNYMVQVTIDNWSPIGRLDNWNLTWEWKRGEFIYKMRGAYTLNKEGPACVYSPAAGYYKDFDFTPAYSCEKRPIVVDLPPEREKDKDVGNIPFCCKNGTLLPPTMDESKSRAVFQMQVFKLPPDLNRTALYPPQNWKIIGKLNPQYACRQPVRVSPVVFPDQTGLMSSTPAVASWQVACNITRPKRRAAKCCVSFSAYYDDSVVPCNTCACGCGGGGGNDTATCDADARATPLPPEALLIPFDNRTAKARAWAKIKHRRVPNPMPCGDNCGLSVNWHIMNNYKSGWAARITIFNWQDYTFKDWFAAVTMRDHYSGYENVYSFNGTKMGAPFNNSIFMQGLPGLTYLEPITDGRTPEDPRVPGKQQSVISFSRKDAPNVNIAKGEGFPKRLYFDGEECALPDTIPKPSSAHRRAAAAASLGQIVMAVVLVMVVAVVDSLCL >ONIVA06G28230.1 pep chromosome:AWHD00000000:6:26893118:26895295:-1 gene:ONIVA06G28230 transcript:ONIVA06G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPLEALPDDGLPAAATAEEEDVMVVDRVEALPDDGLPAAVAPVEAAAPVGEDPMVVIPDDAVLRIISFLPLESAIRTTVLSKEWLRLWKEDWGQKSRRYEIRARCAPSVEGLLKILEEERRLERLSVVVHTIMKSSHLREIIERSADRRVEQLHVELTNPNVVDKVRFHLPILSRMIALQHLSLRQIVVSKMRFTPQQRFNELSEICFHFVSIESYMLRNVITRCPNLRVLDLRSCFDLDAVAISPGGPKLSSLTIAGCKHLNKVNVVGVTSLRSVFYSGRFLSSFYLPRTSRRSSFTDLYICYDGPIIPMMFERTTQLKWLGGFRRLKELQLLMFDIKTINVFDIYAFLQSFHFPNLTKLFLQLPRIREDVQEAVREDVLQSMSEGVPEYALDNLKVVRMMNFNRTRIEMHLVRFFLRKARNINSLQLVSLFHNAIPLGLAVQQGDIIQGALASGVIQESNSGAGTTQPCHSEVFIDF >ONIVA06G28220.1 pep chromosome:AWHD00000000:6:26885583:26886228:1 gene:ONIVA06G28220 transcript:ONIVA06G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPGFQLESGASIQPGDFIPGVSRPNGARQYMALKVFKLVYYGFNSDPELIGRLPKSIFSGLAYKAIALWFSGMAINNATFQPTPALPPVGSGYMAGHGSNMAASMSNIQLIDEQGQALSMAKHFIGFSSNPKLYSYTAIVDDQFFYGGPGRTTSSDATSSTTAIYSLLALFISLLL >ONIVA06G28210.1 pep chromosome:AWHD00000000:6:26875710:26879933:-1 gene:ONIVA06G28210 transcript:ONIVA06G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:External alternative NAD(P)H-ubiquinone oxidoreductase B1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G28220) UniProtKB/Swiss-Prot;Acc:Q1JPL4] MAETEERRMGFFFFASRAAARFLGEARIIHPGVSTAALLVAAASGGGLVAYADSAGENASSETSQEAPRKKKVVVLGTGWAGTSFLKDLDCSKYEVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRKMLEKKRKDVAFYEAECFKIDASKKAVHCRSAVGTNFDGNGDFMVDYDYLVVALGATVNTFNTPGVMENCYFLKEVEDAQKIRRNVIDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAEMHDFLVEDLVKLYPAIQDFVKITIIQSGEHILNMFDQRIATFAEMKFQRDGIEVNTGFRVVKVSDDLITMKSKSLGEVSVPYGMAVWSAGIGTRPVIMDFMQQIGQTNRRVLATNEWLRVHECDNIYAIGDCASITQRKIMDDISTVFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKSMHMLDIRDLIKDAIGDSHKESMVVNIEEFKKALSHVDSQVKSIPATAQVAAQQGHYLAECFNKMDQCKEHPEGPLRMTGTGSGRHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRMLVVSDWTRRFIFGRDSSRI >ONIVA06G28210.2 pep chromosome:AWHD00000000:6:26875634:26879673:-1 gene:ONIVA06G28210 transcript:ONIVA06G28210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:External alternative NAD(P)H-ubiquinone oxidoreductase B1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G28220) UniProtKB/Swiss-Prot;Acc:Q1JPL4] MGFFFFASRAAARFLGEARIIHPGVSTAALLVAAASGGGLVAYADSAGENASSETSQEAPRKKKVVVLGTGWAGTSFLKDLDCSKYEVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRKMLEKKRKDVAFYEAECFKIDASKKAVHCRSAVGTNFDGNGDFMVDYDYLVVALGATVNTFNTPGVMENCYFLKEVEDAQKIRRNVIDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAEMHDFLVEDLVKLYPAIQDFVKITIIQSGEHILNMFDQRIATFAEMKFQRDGIEVNTGFRVVKVSDDLITMKSKSLGEVSVPYGMAVWSAGIGTRPVIMDFMQQIGQTNRRVLATNEWLRVHECDNIYAIGDCASITQRKIMDDISTVFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKSMHMLDIRDLIKDAIGDSHKESMVVNIEEFKKALSHVDSQVKSIPATAQVAAQQGHYLAECFNKMDQCKEHPEGPLRMTGTGSGRHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSQTSELAHTDAGGIRLDSEVHIWEGFKPNLALPNAASFLVEITLLNNFVEFGCV >ONIVA06G28200.1 pep chromosome:AWHD00000000:6:26869858:26871657:-1 gene:ONIVA06G28200 transcript:ONIVA06G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAEEGAVDGSCHGEAAAEALEVLRSMAMASSSLTCSIPQFPAKWQSIKDKLRQLCSGLDSLCGSVGFGVDVGGDEERHGVLVQLVASASATVRSIQAMASQCGDGTYKGGRLRLRSDLDNLSSKLEAHMKQLREMASSGMPSPSQAIVAVRPSADAGAGEKMFYMRDLFSRVRIGGSVQRSQSLATIGELLAEDEVCVKIVAVDIDDGVALLTGFLESSDARLQEEAAGAVAMVASFDSYRGMLVKAGVIAPLVQLLDDAAATAAVAAGGGATAVAKERAAQALRELTENSDNVWAVCAHGGLTTLLHACGDAGSGGKLVASSFAVLRNLSRVEEVKVFMAEQGVVTELVKLSQKKEEARKLGAVELLHAMALDDADVREEAVSMGVIQSLLQLIYPDLPYSYKAREVALAAIWFFCFSSVNSIDDLISSDVLGWLLFYLNNGDYAVLECTLKILRHLSEVSEEYNRMMGRAGYLSALSSLLGAKSCRVREMAAQVLSSLLLLHPNRVIFIQDGDNLNRLLQLLDPAEGKLVAKDLILSAILSLADTNSGRKKIISSEHFSSLKELADTGDFDAKKVVKKLGTNRFQTIFSKIWSV >ONIVA06G28190.1 pep chromosome:AWHD00000000:6:26866704:26867999:1 gene:ONIVA06G28190 transcript:ONIVA06G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPLIPLVHVWNNAAFDDSSCSRSAWLPQSPAVAAVRKGDKENHRPEVVDVAAGYDVEAEIGHIEAEILRLSSRLHHLRVSKQPEPNRDDAPMGEMVAKVRPRPRGLSLGPLDVISIVNREKHPLRTKQPPATRGRGLSLGPMEIAAANPRVPAAAQHQQQQRAGTARILKPIKEPPVQRRRGVSLGPLEIHHGVGSKAPAAARAKPFTTKLNAIREETRPSKQFAVPAKPWPSSNTRQTLDSRQGTAASRAKARSPSPRPRRQSNGKATDTRGGNKVVDELKPKGASSSQSGSAAAAATAKRMAGSSKMRVIPSRYSLTPGASLGSSGAQERRRKQSLPGSSGDANQNEEIRAKVIEPSNDPLSPQTISKVAEMLPKIRTMPPPDESPRDSGCAKRVAELVGKRSFFTAAAEDGRALDVEAPEAVAEA >ONIVA06G28180.1 pep chromosome:AWHD00000000:6:26864407:26864691:1 gene:ONIVA06G28180 transcript:ONIVA06G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGRECKRESAFRAASLLRERDAAKGEQQQRQPSVDGRGRQATEAEAEAEAVTMSRARARARAWEAEVRRRHAAETEIMKTEKIMHLLLWGPN >ONIVA06G28170.1 pep chromosome:AWHD00000000:6:26861610:26861921:-1 gene:ONIVA06G28170 transcript:ONIVA06G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSKKASSFVVTASMSAVEALKDQAGLCRWDYALRSLYQRAAAAKQVTGRAVPVSLSSQTGGAAASSSPAAACGRAARSKRSEEEKMQKAYHLVCWGPN >ONIVA06G28160.1 pep chromosome:AWHD00000000:6:26859243:26859452:-1 gene:ONIVA06G28160 transcript:ONIVA06G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTGAGEYPPPPDLFLLSAAAAQAKLEQRSGAPWRRRRRRRGEGFALRVLLDLIMGYGPMGYMWIGP >ONIVA06G28150.1 pep chromosome:AWHD00000000:6:26856006:26858498:-1 gene:ONIVA06G28150 transcript:ONIVA06G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HUM6] MASTVQVQLLVTAILCVSRRCLASSDTISASSAVSGGRTVVSRGGSFEVGFFRINASSSRNGSCYYVGIWYKKAVSPCTPVWIANRAAPVADRATSRLAIAADGNLVLINEADELVWSTNVSSTAAAGAGSNGTVAVILDTGNLVLRRKNDVDEVVLWQSMDHPTDTWLPGARLGLNKVTGEAQVLTAWKNSGDPAPGVFSLGIDPAGTSQYFIVWNRTVPYWASGEWNGDIFAGIPEMTSHYMYNFEFVSDANGSYFTYSLQDPAIISRLVVGVSGQITQLTWAPSADEWILIWTEPHRLCDVHAVCGAFAVCDEKSEPLCSCLAGFRAASPGDWDLGDHTKGCRRNTQLQCASTSTAAVTGDKDDDDFLLIPGVSPPKNPSFVRASGDRDCRSACLMDCSCNAYTYGDRCAMWHGDLLNLQRQTDEDAGAGNLYLRLSAMDVPSKSSKKTIALACAGAAAALVVALFAMAFVLVRMVRRRRSMRFAQALERGNLVAFRYSDVRRATKNFSEKLGGGSFGSVYKGKLPGAGGADAAVAVKKLEGLRSDMGDKQFRNEVRTIGTIHHVNLVRLRGFCSGRGGERLLVYDYMPNGSLDKALFGKSAAAAAVLSWGARYQIAVGAARGLLYLHLGCRDCIIHCDIKPENILLDGALVAKVADFGLAKLVGRDFSRVLTTVRGTIGYLAPEWISGVPVTAKADVYSFGMVLLEIVSGRRNARCSPGSGAGGARSEYFPLVAARKVLDGEVAGLLDERLDGEADMVELDRACRVACWCVQDEEANRPTMEQVVQALEGVIAVDVPPVPVSLKVFADDASYSYFSDECSQLKSSS >ONIVA06G28140.1 pep chromosome:AWHD00000000:6:26849454:26849831:1 gene:ONIVA06G28140 transcript:ONIVA06G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGQRQQQQMMQEGFEDYLPVMAERLGEEGLMQELASGFRLLMDPASGLITFDSLRRNAPLLGLGGMSDDDLRGMLAEGDFDGDGALSEMEFCVLMVRLSPDLMDEPRRWLDDAVAQASQFLFH >ONIVA06G28130.1 pep chromosome:AWHD00000000:6:26841114:26847291:1 gene:ONIVA06G28130 transcript:ONIVA06G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) TAIR;Acc:AT5G54570] MISLQLLPTPHQLNKLVLPTEGKAMSLLTLVHILVSFSACVEAISRADFPPGFIFGTASSAYQYEGAVNEGQRGPTIWDTLTKRPGRVIDFSNADVAVDHYHRYKEDVELMNDIGMDAYRFSISWSRIFPNGTGEPNEEGLSYYNSLIDALLDKGIEPYVTLFHWDLPQALEDRYGGWLNSEIIEDFVQYAFTCFKEFGDRVKHWITFNEPYNFAIDGYDLGIQAPGRCSILSHVFCREGKSSTEPYIVAHNILLAHAGAFRAYEQHFKNEQGGLIGIALNSRWYEPFSNADEDTEAAARAMDFELGWFLDPLMFGHYPPSMQKLAGDRLPQFSTHASKLVSGSLDFVGINHYTTLYARNDRLRIRKLVMDDASTDSAVIPTAYRHGKKIGETAASSWLHIVPWGMFKLMKHVKEKYGNPPVVITENGMDDANHPFSRLEDVLQDDKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYKNNLTRIPKASVQWFSQVLAQKTAII >ONIVA06G28130.2 pep chromosome:AWHD00000000:6:26841481:26847291:1 gene:ONIVA06G28130 transcript:ONIVA06G28130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) TAIR;Acc:AT5G54570] MGMGSFSACVEAISRADFPPGFIFGTASSAYQYEGAVNEGQRGPTIWDTLTKRPGRVIDFSNADVAVDHYHRYKEDVELMNDIGMDAYRFSISWSRIFPNGTGEPNEEGLSYYNSLIDALLDKGIEPYVTLFHWDLPQALEDRYGGWLNSEIIEDFVQYAFTCFKEFGDRVKHWITFNEPYNFAIDGYDLGIQAPGRCSILSHVFCREGKSSTEPYIVAHNILLAHAGAFRAYEQHFKNEQGGLIGIALNSRWYEPFSNADEDTEAAARAMDFELGWFLDPLMFGHYPPSMQKLAGDRLPQFSTHASKLVSGSLDFVGINHYTTLYARNDRLRIRKLVMDDASTDSAVIPTAYRHGKKIGETAASSWLHIVPWGMFKLMKHVKEKYGNPPVVITENGMDDANHPFSRLEDVLQDDKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYKNNLTRIPKASVQWFSQVLAQKTAII >ONIVA06G28130.3 pep chromosome:AWHD00000000:6:26841481:26847291:1 gene:ONIVA06G28130 transcript:ONIVA06G28130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) TAIR;Acc:AT5G54570] MGMGSFSACVEAISRADFPPGFIFGTASSAYQYEGAVNEGQRGPTIWDTLTKRPGRVIDFSNADVAVDHYHRYKEDVELMNDIGMDAYRFSISWSRIFPNGTGEPNEEGLSYYNSLIDALLDKGIEPYVTLFHWDLPQALEDRYGGWLNSEIIEDFVQYAFTCFKEFGDRVKHWITFNEPYNFAIDGYDLGIQAPGRCSILSHVFCREGKSSTEPYIVAHNILLAHAGAFRAYEQHFKNEQGGLIGIALNSRWYEPFSNADEDTEAAARAMDFELGWFLDPLMFGHYPPSMQKLAAYRHGKKIGETAASSWLHIVPWGMFKLMKHVKEKYGNPPVVITENGMDDANHPFSRLEDVLQDDKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYKNNLTRIPKASVQWFSQVLAQKTAII >ONIVA06G28120.1 pep chromosome:AWHD00000000:6:26838446:26840315:-1 gene:ONIVA06G28120 transcript:ONIVA06G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation protein SH3-like family protein [Source:Projected from Arabidopsis thaliana (AT5G54600) TAIR;Acc:AT5G54600] MAGVAALQGAMASLSISAPGAASTSSFWGHPLSTFAAAPAGVKFMIKTCPIQMRLKRWERKKCKPNSLPVLHKMHVRIGDTVQVIAGREKGKVGEVTRLFKHNSTVIVKDLNLKSKHKKGTEDEPGEIVMIEGPIHSSNVMLYSKEKNVASRVGHKFLEDGTKVRYLVKTGEIIDSAENWVKVFKEGSSE >ONIVA06G28110.1 pep chromosome:AWHD00000000:6:26835938:26837614:-1 gene:ONIVA06G28110 transcript:ONIVA06G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27250) TAIR;Acc:AT4G27250] MGSIGGGGSPEERAAAGGPVCVTGSTGYVGSWLVRALLRRGYRVHATARDPDKAWRVFSAVEEGKDQLRVFRADMAGEGSFDAAATGCVAFFHVAASMDIHVPPQNGNDNNIEEHVRTRVLEPATRGTINVLQSCVRAGTVRRVVFTSSISTMTAATTTAATGRRKAVVDESCLRAAADVWNTKPIGWVYILSKLMTEEAAFGFARENGINLASLVLPTVAGPFLTPNLYSLLASVHSRFGCVPLAHIQDVCDAHVFLMETEQADGRYLCAGGSYPMAQIAQILSLHYPPFKPAERQAAGQVDLVLFWLSKDFHGSNPSVVSSKRLRDLGFRFEYDVEEIIKNSVVQCVDHGFLQDPDSSNC >ONIVA06G28110.2 pep chromosome:AWHD00000000:6:26835938:26837614:-1 gene:ONIVA06G28110 transcript:ONIVA06G28110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27250) TAIR;Acc:AT4G27250] MGSIGGGGSPEERAAAGGPVCVTGSTGYVGSWLVRALLRRGYRVHATARDPDKAWRVFSAVEEGKDQLRVFRADMAGEGSFDAAATGCVAFFHVAASMDIHVPPQNGNDNNIEEHVRTRVLEPATRGTINVLQSCVRAGTVRRVVFTSSISTMTAATTTAATGRRKAVVDESCLRAAADVWNTKPIGWVYILSKLMTEEAAFGFARENGINLASLVLPTVAGPFLTPNLYSLLASVHSRFGCVPLAHIQDVCDAHVFLMETEQADGRYLCAGGSYPMAQIAQILLSKDFHGSNPSVVSSKRLRDLGFRFEYDVEEIIKNSVVQCVDHGFLQDPDSSNC >ONIVA06G28100.1 pep chromosome:AWHD00000000:6:26833578:26836774:1 gene:ONIVA06G28100 transcript:ONIVA06G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDISSYRLTVSGAQSLQYSAIGLSGEEGESPEKGEMPTVWAALKKSLNCKSGDSCGVIEREESQGGVTAGKKSSSTSAAALRRSGCSRSIANLRDVFHSQYGGSRRQEAAVAAAAAGEGDGGCGSPRSIGSNDVLNPVTHDVLLAARPDAKCELRISTPGRGAWAGAGGGVPFPHSPLLLRCSTTPVSTRKSPSAMSPLRRADDDDDNAEAPSPAPARASCEVGVRCHRCGDRFANHDALESHHHSRHAVTELVEGDSSRKVVEIICKAGWAKTENALGRIERVVKVHNAERSVARFEEFREAVKGKAARLSKKHPRCLADGNELLRFHATTLACSLGAGDSSTLCTSGSCSVCRIIRHGFSATREIKDGVGVFTTSTSKRALECIAGDGDGDEAANAGVRKALLVCRVVAGRIHRPLENLQEVAAQPGFDSVAGKVGTYASIEELYLLNPRALLPCFVVICKP >ONIVA06G28090.1 pep chromosome:AWHD00000000:6:26829709:26831758:-1 gene:ONIVA06G28090 transcript:ONIVA06G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT4G21320) TAIR;Acc:AT4G21320] MAMRRWREDAVALSLRGCGFGDGDDDRPEKPRRYGVTEMRSPFYAFRPAHHALQEILDSLGPFVDGLKFTGGSHSLMGKELVREITDLAHKHDIYVSTGDWAEHLLRQGPSFFKQYVEECKALGFDTIELNAGSLKLPEEALLRLVRLIKTSGLQAKPLFSVKFDSSDIPPSGDRAFGAYIVPVKQNSERVEDVDLLIRRAERCLEAGADMIMIDADGICQRADSLRADIVAKIVGRLGLEKTMFEAANPNTSEWFVRRYGPRVNLFVDHSDVMNLERLRGFNMRGACNSPLFGIGSPFFLM >ONIVA06G28080.1 pep chromosome:AWHD00000000:6:26823971:26828978:-1 gene:ONIVA06G28080 transcript:ONIVA06G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMMGPPRRGPAYKTKLCALWRQGECNRASCSFAHGDAELRGQPPRSPFPPRGGPGRRDHRPDDFRGRHLRPHRRNSPERDARDHLFRDHRPRSRDRGSSHSRSPIRKRHRKKLEGGKTNSSESLNSSDNEDRKKDDRFNKADDKHDNEAQLQRIQLDMEALHEEKSSLEVILNGKINEASKLSSRIADLESQLNDEKEACERSQARFERFADLLASDTLKPCSKDQGSSAAKEDPYNAYEMSPSDQRQNHETTRKRSVALSTSEEGRNGKKRRESYDNRDPMSEKYRPEDALEPFQSSKGTEAKELLSVKKNLGDGDNNEKGNVVSSANVFTDRYEGGDDDDVLVD >ONIVA06G28080.2 pep chromosome:AWHD00000000:6:26823971:26828978:-1 gene:ONIVA06G28080 transcript:ONIVA06G28080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREMMGPPRRGPAYKTKLCALWRQGECNRASCSFAHGDAELRGQPPRSPFPPRGGPGRRDHRPDDFRGRHLRPHRRNSPERDARDHLFRDHRPRSRDRGSSHSRSPIRKRYGARGRHRKKLEGGKTNSSESLNSSDNEDRKKDDRFNKADDKHDNEAQLQRIQLDMEALHEEKSSLEVILNGKINEASKLSSRIADLESQLNDEKEACERSQARFERFADLLASDTLKPCSKDQGSSAAKEDPYNAYEMSPSDQRQNHETTRKRSVALSTSEEGRNGKKRRESYDNRDPMSEKYRPEDALEPFQSSKGTEAKELLSVKKNLGDGDNNEKGNVVSSANVFTDRYEGGDDDDVLVD >ONIVA06G28070.1 pep chromosome:AWHD00000000:6:26818929:26822126:-1 gene:ONIVA06G28070 transcript:ONIVA06G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAQDYAAAAMAYAQAQQQQPQYGFHPQAPPPPPQYPHHPPPYAAPLPQYAPYARGMPPPQAQQLYSHLPPHQQPPHFAAHAMPSPSPPPPHPAPPPSDPELQKRIDKVVEYIAKNGPEFEVVIRDKQHDNPDYAFIFGGEGHAYYRYKLWVSPRPPVAPYPPGSMHMMPPPLGPMMRGPPMHQPGYPPFYDQHQHFGAHGHGEYDAAPQQSFKGLSGPLPVDVAAELHDVLTNLNGTKESIKGAKTWFMQRSPFAPALAEALKDRVFALEDSERQLHIIFLVNDILFESLQRRTNSRDLDNEALAFKFVLGSMLARIYNNPQSKDDNQIRLEKILQFWGSKEVYDQETIANLERDMKGGVAYPLPPRHVSPDPSTFSGSVHQPSKWSSDPQEEMATHPLSVPPQPVPSAQFPLNQLPAGVYPPVGQTAFPGSLPVQTATVLPQTAATPAITNDPNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTIIPPSTIPESEILERVSKFFKEIGEVNPSEGPMKQSKPDDYDNYERDIPARKGGACIPPPPNLLVNLETGMRADGSVDSKPGSTGRLGLGASADPNEIGQYDDVYSSYRKQRSSTYHSSISARSLAPK >ONIVA06G28060.1 pep chromosome:AWHD00000000:6:26789442:26796590:1 gene:ONIVA06G28060 transcript:ONIVA06G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 70 (Hsp 70) family protein [Source:Projected from Arabidopsis thaliana (AT1G11660) TAIR;Acc:AT1G11660] MSVVGFDVGNDTLVAAAARQRGIDVLLNAESNRESPAAVAFSHNARLLGPHAAGAASSHAPFSSIKRLLLLAGRPTLLPRRGGDLSRLPFPVEASSADGGGGVLVHVDHIGRRIALSPTQLLAMLLGYLRQLAEADLEAPVSDCVISVPCYFTQAQRQAYLDAAAVAGLRPLRLMHDLAATALGYGLYRSDLGGPGGPTYVAFVDVGHCDTQVAVVAFDVSGMKVLSHGFDADLGGRDFDEVLFEHFAEEFRDKYKIDVTGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVRGMIRREEFEKLCAGLLERVVEPCKKAMEGSRIGFDRLHSVELVGSGSRVPAIARILAGFFRREPSRTLNASECVARGCALQCAMLSPTFRVREYEVQDAIPSSIGFCTSEGPISTLPSNALFQRGHPLPSVKVVTLHKNSKFKLDAFYVDENELPPGTSTKIGAFQIGPFQAHTEKSKVKVRIRLNLHGLVSVESAALIDDDQSDAHSADSMEVDSNGEMGQQVDKSRSERLIQLPIVQSIYGAMSNQELLEAQEQESQLAYQDKLMERTKERKNALESYVYDTRNKLSERYRSFATDSEREEISLSLQQTEDWLYEEGDDETEAVYNSKLEELKRLVDPIENRCKDEEVRGQATRDLLKFILDHKTAAKSLPTPEQEAVDSECTKAEQWLRERSQLQESLPKNVDPALWSHEIKKKEHELDMFYRNIVRYKGSPARADSSG >ONIVA06G28050.1 pep chromosome:AWHD00000000:6:26782555:26783037:1 gene:ONIVA06G28050 transcript:ONIVA06G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHASPSPMDCHHHRRQLLLRSPSRRSSPPGAPVHADERLHHHRFLRPGALARLRDSKVIARSLRSAAAAAAVAVLASPPANTLPPSSPPPTAAAAAGDGAGVPHFLGAVRGPRYPLRKKLAAARTVVFLPPPPTTAADAAEVFMDAFAVAAPSEMLAAH >ONIVA06G28040.1 pep chromosome:AWHD00000000:6:26782437:26787290:-1 gene:ONIVA06G28040 transcript:ONIVA06G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSVVAELAASLRDVEVTPRRKPANSPTNKARPRKLVSLCLGILGQHLEDIITDISEFSTFFPPHIKLAILSIARRRRLLNDEVLISLADSSWEILDISGSDVSDIGLATVANISNNLWAIDISRCERITAAAVSEVICHCPSLEILRCGGCPGSESTARRSVYLLKPKLNTLEEDSWEELDTVEIGGGAESLRWLVWPKIDDNSKEIISMECPRITVNPQPSPFDLRGHKVPAEALASVPLDHSIIADIDPKTWAVAAAPRRPTVPTNPNAPPEIPIAEKFRLAYVEREARLAPKRAKRERQQRRRAEREYLMNDINAKSVALAAQPEESVCQCAASISDGAATANASMNTSAASAAVVGGGGRNTTVLAAASFFRSGYLGPLTAPRKCGTPAPSPAAAAAVGGGEDGGSVFAGGDASTATAAAAAADRSERAMTLESRSRASAPGRRKRWWWRRSSAWTGAPGGEDLRDGERSSSWRRWWWQSMGEGDACAMADSSAGRFGEFGRGGGGGGARRRLEFIAAAWRESEAGR >ONIVA06G28040.2 pep chromosome:AWHD00000000:6:26782437:26787290:-1 gene:ONIVA06G28040 transcript:ONIVA06G28040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSVVAELAASLRDVEVTPRRKPANSPTNKARPRKLVSLCLGILGQHLEDIITDISEFSTFFPPHIKLAILSIARRRRLLNDEVLISLADSSWEILDISGSDVSDIGLATVANISNNLWAIDISRCERITAAAVSEVICHCPSLEILRCGYELQQNTSIRYENELKSHDCRGCPGSESTARRSVYLLKPKLNTLEEDSWEELDTVEIGGGAESLRWLVWPKIDDNSKEIISMECPRITVNPQPSPFDLRGHKVPAEALASVPLDHSIIADIDPKTWAVAAAPRRPTVPTNPNAPPEIPIAEKFRLAYVEREARLAPKRAKRERQQRRRAEREYLMNDINAKSVALAAQPEESVCQCAASISDGAATANASMNTSAASAAVVGGGGRNTTVLAAASFFRSGYLGPLTAPRKCGTPAPSPAAAAAVGGGEDGGSVFAGGDASTATAAAAAADRSERAMTLESRSRASAPGRRKRWWWRRSSAWTGAPGGEDLRDGERSSSWRRWWWQSMGEGDACAMADSSAGRFGEFGRGGGGGGARRRLEFIAAAWRESEAGR >ONIVA06G28030.1 pep chromosome:AWHD00000000:6:26777803:26781685:1 gene:ONIVA06G28030 transcript:ONIVA06G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HUK9] MSWRRGDGGVARRWVLLLCTGSFFLGLLFTDRMWTLPEVTEVARPNGRREKEDELTAGDCNSAKVNVKRDYREILQTQDTHHAVWTLDKTIAKLETELSAARTLQESFLNGSPVSEGHKGLDSTGRQKYLMVIGINTAFSSRQRRDSIRNTWMPQGIKRRKLEEEKGIVIRFVIGHSAISGGIVERAIKAEERKHGDFMRIDHVEGYLELSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSNHVKKPRVYIGCMKSGPVLSDKDVRYYEPEHWKFGDQYFRHATGQLYAISKDLATYISINKRVLHKYINEDVSLGAWLIGLDVEHIDERRLCCGTPPDCEWKAQAGNTCAVSFDWKCSGICDSVENMQWVHNRCGESEKSLWISSF >ONIVA06G28020.1 pep chromosome:AWHD00000000:6:26772656:26774834:-1 gene:ONIVA06G28020 transcript:ONIVA06G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGGNGGGEGGGGGGNGGGGGGLKKGPWTQAEDKLLVDHVRRHGEGNWNAVRRETGLQRCGKSCRLRWANHLRPDLRKGPFSPDEERLILRLHGLLGNKWARISSYLHGRTDNEIKNYWNTRLKRRVRAGLTPYPPEIEREIAHLRAQNLNLSAEGGGGGMLPPPLLLDPSNPFALQQAPVVAPSPSASQANSPPLINQNYPLLNQMQGLQLLHLANHQQAPPPQQQQQQQPAFHHHHDGGGGGGFVSSGLPPLPNRPRELPSNQFETATSGGGGGGGCDALLESLLLGDDHVLPRPNPAMLRVGSMPELMYREPSSSHVLHGGGGGGGASDSDVTSQYPPGEEDPHYGGRWDFIFEDVKPKRKAASAVEEISGMFGIAPGSIPGEWFNPGGVGSAAAAAGGSSAPSPGPSSVVTTDDDFTLEMQQIMSSLPLLQPEEHHNWNA >ONIVA06G28010.1 pep chromosome:AWHD00000000:6:26768209:26769611:-1 gene:ONIVA06G28010 transcript:ONIVA06G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWKHLAAAGDGEVETVVELLARPGDGASGAGRGRRGVGHIEAKNRAVDGLLEALNKDEKSVLSVLGRANVAALVQLLTAPATKIYISFICHEFSEAELALKAEVLNSCGNASFQLAGSATGNMVFSSSKN >ONIVA06G28000.1 pep chromosome:AWHD00000000:6:26759308:26764201:1 gene:ONIVA06G28000 transcript:ONIVA06G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLSPAPPPLSRAATAYPSFKKKLALLRRRSAPRSGRPPPTSPTKPMASSKEAYRVELRAAARQLGERGLYSAAKWAAELLVGIDPDATPAPSSAMDTPSSSGSGGHLLHLHRSGGSSFRRRLRPGAARRRLVPRPSPTTTTRSMSGLIGTCSPRPNSTAASTAAPRTSCAARPAARPCSFAAMPCTRLETLYSNIEMNGQYKVLTYSSNTIALMQQGGLRIQAGEKWKEEETVELEGSLGKSNAVNQELVALERELATHRRTGAIDSFCLYLYGIVLRDKGSEALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNLKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLRAVDINPRDYRAWYGLGQIYEMMGMPFYAVYYFRKSSYLQPNDAQLWNAMAQCYESDQLQMIEEAIKCYERSANNNDTEGIALHQLAKLHGMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRSQSVLPLMDIDHFAMRTCRYF >ONIVA06G28000.2 pep chromosome:AWHD00000000:6:26759308:26763411:1 gene:ONIVA06G28000 transcript:ONIVA06G28000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLSPAPPPLSRAATAYPSFKKKLALLRRRSAPRSGRPPPTSPTKPMASSKEAYRVELRAAARQLGERGLYSAAKWAAELLVGIDPDATPAPSSAMDTPSSSGSGGHLLHLHRSGGSSFRRRLRPGAARRRLAGEKWKEEETVELEGSLGKSNAVNQELVALERELATHRRTGAIDSFCLYLYGIVLRDKGSEALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNLKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHENQFCTFKEH >ONIVA06G28000.3 pep chromosome:AWHD00000000:6:26759695:26764201:1 gene:ONIVA06G28000 transcript:ONIVA06G28000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYAVYYFRKSSYLQPNDAQLWNAMAQCYESDQLQMIEEAIKCYERSANNNDTEGIALHQLAKLHGMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRSQSVLPLMDIDHFAMRTCRYF >ONIVA06G28000.4 pep chromosome:AWHD00000000:6:26759871:26764201:1 gene:ONIVA06G28000 transcript:ONIVA06G28000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHENQFCTFKEH >ONIVA06G27990.1 pep chromosome:AWHD00000000:6:26687340:26690623:-1 gene:ONIVA06G27990 transcript:ONIVA06G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNPVSSSTTSSSLLWDWDATASAEPPPPPGKRGGRDSSSASASAKRGRSAAAAGDAAAVAAEAPRCQVEGCGLELGGYKEYYRKHRVCEPHTKCLRVVVAGQDRRFCQQCSRFHAPSEFDQEKRSCRRRLSDHNARRRKPQTDVFAFGSGTLPRSLFDDRRQISFAWDNNAPLNHANTTSSSSWTSDLQLSQVMDISKRSRKAGADSANIRLSNALPTLCHDTNELLPIKGADASETASKLDGALDVQRALSLLSASSRGLTDPGHQTSSIIQFTNSNQNSTLPSVPSEGNSNVPFWVDGQHQAVEPQVFQFTMDTGNTVFPDLERIKPSYESSMFGLNQIH >ONIVA06G27980.1 pep chromosome:AWHD00000000:6:26683632:26686485:1 gene:ONIVA06G27980 transcript:ONIVA06G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGSRFQNMLGGAGIGGGIGCRGRQENEMNGFHNMPYYHKVGESSHMSVDNADNLNMMNFVGGSVAMSVDNSSVGSNESRTVMLKHPGLRDMPAPSYSVHNSVIHPNRAMAPTLNEDALARVLMDPSHPTEILSNYEEWTIDLGKLDMGAPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQLMEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLGLAVKQALDVARGMAYVHALRFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNRGSRPAIPQDCVDSLSKIMTCCWDANPEVRPSFAEIVVMLENAEIEVMRNVRRARFRCCIAEPMTTD >ONIVA06G27980.2 pep chromosome:AWHD00000000:6:26684335:26686485:1 gene:ONIVA06G27980 transcript:ONIVA06G27980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGSRFQNMLGGAGIGGGIGCRGRQENEMNGFHNMPYYHKVGESSHMSVDNADNLNMMNFVGGSVAMSVDNSSVGSNESRTVMLKHPGLRDMPAPSYSVHNSVIHPNRAMAPTLNEDALARVLMDPSHPTEILSNYEEWTIDLGKLDMGAPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQLMEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLGLAVKQALDVARGMAYVHALRFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNRGSRPAIPQDCVDSLSKIMTCCWDANPEVRPSFAEIVVMLENAEIEVMRNVRRARFRCCIAEPMTTD >ONIVA06G27960.1 pep chromosome:AWHD00000000:6:26661606:26666288:-1 gene:ONIVA06G27960 transcript:ONIVA06G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G07180) TAIR;Acc:AT2G07180] MGGCFSLEEHRLQGKTEAGGPDGLRKCKSDSKATASVLAPPKDVEDLQIEGYGNVNIFTYNELRAATKNFRPDQILGEGGFGVVYKGVIDENVRAGFPSTQVAVKELNPEGFQGDKEWLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKSRPSREHNLVEWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVAGLAYRCLSQNPKGRPTMSQVVETFEAVQNMPECQDILLQNCMTGAVTLYEVAKDPTESVEPEKIKQEQPAAKTVTVAPAPVNGKPVPQSRRTRPGNGRSKSEPSLECKLYIPSPDSDGQQPGLEALSSPSRDGSIKDPPDEDLYKI >ONIVA06G27950.1 pep chromosome:AWHD00000000:6:26656708:26665786:1 gene:ONIVA06G27950 transcript:ONIVA06G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWAVDEMEAKRRAPQEEVTIYQSNDANIFGNIIRLTGAMLIGEPYGRNNARLRPAEFEESWPAPCLPTTDVLDSPSVPATASQEP >ONIVA06G27940.1 pep chromosome:AWHD00000000:6:26651946:26655556:-1 gene:ONIVA06G27940 transcript:ONIVA06G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G35400) TAIR;Acc:AT5G35400] MATAAAAAAKPAPALSFSTAAAEGDEHAHYNHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLADLFGKDENGYSLDTNGEDYTAPSENQTSTKSSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKHLGQFVDEKKAKQLEARSLPLEGCAVVAGRTDKGVTALQQVCSFYTWRKDVKSSDIKDAINKAAPDKLRPLHVSQVSREFHPNFAAKWRRYLYIFPLDEDVKLISGEEQSAKTVEGPECNIKPQSFDVGKVDKIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRAAVAKLHTADENCEEGTTRAICIELVANRFLRKMVRVLVATAIREAAAGAEEDALLNLMEATCRRATAPPAPPDGLCLVDVGYEDFNRQRCFIVD >ONIVA06G27930.1 pep chromosome:AWHD00000000:6:26645639:26649280:-1 gene:ONIVA06G27930 transcript:ONIVA06G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAVRLLLLQAAAALALAAAAAAGGPEAATLLAFRGALRGPHGAPPEPLSQWATANPGPCAGVGTGVSLWYGVTCHQRTGQVRGLRLEYLGLQGPAPDMAPLAALRGLRALSIANNNLTGPFPDVSMLPALKMLYMSRNKLDGGIPPAAFAHMRGLRKLFLSDNAFTGPIPTSITSPKLLVLQLSKNRFDGPLPDFNQKELRLVDVSDNNLSGPIPPGLRRFDAKSFQGNKNLCGPPVGAPCPEVPILASPSPSPLSSSWWSPRSLKILMIIALVVVVVGALLAFAGALTAMLARRREATTETQGGGVGGAAANADAARMKATPNPAVTVAHGGGGGGGEQQPHVTVSAVPAKRGGRRDDHGRLVFIQEGRERFELEDLLRASAEVLGSGNFGASYKATLVEGQSMVVKRFKEMNGVGRQDFNEHMRRLGRLVHPNLLPVVAYLYKKDEKLFVTEYMVNGSLAHLLHGGSSMAALDWPRRLKIIKGVTRGLAHLYDELPMLTVPHGHLKSSNVLLDAAFEPILSDYALVPVMTPRHAAQVMVAYKSPECGETGRPSKKSDVWSLGILILEVLTGKFPANYHRQGRTGTDLAGWVHSVVREEWTGEVFDQEMRGARGGEGEMVKLLKVGLGCCESDVDKRWDLRDALARIEELRERDAGAGADDSSAASSVASGGGGGGGEASRSHSS >ONIVA06G27910.1 pep chromosome:AWHD00000000:6:26641763:26642629:1 gene:ONIVA06G27910 transcript:ONIVA06G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGAWLAAQSTAPSIAAVVAMGVSGEFGMARSKSERQAEVVGVEGVLPFVQQKGHHACGTRSRGRGSEDGLTVDKGP >ONIVA06G27900.1 pep chromosome:AWHD00000000:6:26641241:26641830:-1 gene:ONIVA06G27900 transcript:ONIVA06G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAMEGAVLCAANHAPLTPITFLDRATLVYPDRPTIVASSSGLTRNRCLVGCLCVQVAVFTQNIPVMCVLHFGIPMAGAVNCMLNSRLDASRRSSPPAAPPAPALAQPPPCW >ONIVA06G27880.1 pep chromosome:AWHD00000000:6:26636275:26639261:-1 gene:ONIVA06G27880 transcript:ONIVA06G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLEAKPALRGAKLSFISYEVTFKVGEASSGKSLELVTNVAISKVEIKEKGSKDWVALKESSTNTWTIKSEAPLKGPFSIRFLAKNGGYRVVDDGGKDWVALKELSTNTCTIKSEAPLKGPFSVRFLVKNGGYRVVDNVGEGSSDTSLELVTNVTISEVEVKEKGGKYWVGLKESSTNTWTLKSEALLKGPFSVCFLVKNGSYHVIDNVIPESFTAGTEYKNGINL >ONIVA06G27860.1 pep chromosome:AWHD00000000:6:26627102:26633730:1 gene:ONIVA06G27860 transcript:ONIVA06G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTTRARELTVRRAVEVDGIVKLRPLYASRRGVPGRALRPWVWFMPGFTTNGSTSGIENRERPHGRRKRG >ONIVA06G27840.1 pep chromosome:AWHD00000000:6:26613516:26616980:-1 gene:ONIVA06G27840 transcript:ONIVA06G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLMLVTAVAALLVIGSSGTEVTFKIGESSTTSTLELITNVAISEVEIKEKGGSDWRGLKESSANTWKIKSDAPLKGPLSVRFLVKNGGYRVVDDVIPETFKAGSVYKSGIQLSCLATHKYMPTYATSITKIIDPMASLSSFLLATAVAALLVIGSYATELTFKVGQGSSTTSLNLITNVAISEVEVKEKGAGDWTGLKESSANIWTLKTGAKLKGPFSVRFLVKNGGYRVVDDVIPEAFKVGSVYKSGIQLK >ONIVA06G27830.1 pep chromosome:AWHD00000000:6:26611843:26612166:-1 gene:ONIVA06G27830 transcript:ONIVA06G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRLHARPASPSAAAASSAREINAGPVSPATSPPTPPPHPAWPGSNNQRTRLAGPPAHPRPPTGSLADSDDDIAFAAFTVWAPHVGATGGHARLRWIRVSLASLSH >ONIVA06G27820.1 pep chromosome:AWHD00000000:6:26610288:26611747:-1 gene:ONIVA06G27820 transcript:ONIVA06G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKCPSELQLEAFIREEAGAGDRKPGVLSPGDGARKSGVFSPGDGEMSVLDQSTLDGSGGGHQLWWPESVRTPPRAAAAFSATADERTPASISDDPKPTTSANHAPESDSDSDCDSLLEAERSPRLRGTKSTETKRIRRMVSNRESARRSRRRKQAQLSELESQVEQLKGENSSLFKQLTESSQQFNTAVTDNRILKSDVEALRVKVKMAEDMVARAAMSCGLGQLGLAPLLSSRKMCQALDMLSLPRNDACGFKGLNLGRQVQNSPVQSAASLESLDNRISSEVTSCSADVWP >ONIVA06G27810.1 pep chromosome:AWHD00000000:6:26603719:26603943:-1 gene:ONIVA06G27810 transcript:ONIVA06G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTSWLPLERPWSRRVFARGPVRRTRRRSAPPTTTSARHLAWGCLGYDAAAARLVGEEEEGDAARLPLRGLRD >ONIVA06G27800.1 pep chromosome:AWHD00000000:6:26603660:26604729:1 gene:ONIVA06G27800 transcript:ONIVA06G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRRGRRAPPPRTTYGATREDATRPRALESFRASESQIGTPVECQSAASSAAAAATSIHVLVDC >ONIVA06G27790.1 pep chromosome:AWHD00000000:6:26596302:26602658:-1 gene:ONIVA06G27790 transcript:ONIVA06G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDRVTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGNDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWDFEPKKLGVGDAITGGDLYATVFENTLMKHHVALPPGSMGKISYIAPAGQYSLQTWPVRSPRPVSSKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDQDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAANADGQKITYSVIKHRMGDLFYRLVSQKFEDPAEGEDVLVAKFQKLYDDLTTGFRNLEDEAR >ONIVA06G27780.1 pep chromosome:AWHD00000000:6:26590002:26593605:-1 gene:ONIVA06G27780 transcript:ONIVA06G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPSLRHRAVAKKPKWIIILVSLVCFVLIGAYVFPPRRYSQCYLFGSGACATFKDWLPSVTRRERTDEEIISSVVLRDILAMPMPVSKNPKIALMFLTPGTLPFEKLWEKFLQGQEGRYSIYVHASREKPVHTSSLFVGRDIHSDAVVWGKISMVDAEKRLLANALADVDNQFFVLLSDSCVPLHTFDYVYNYLMGTNISFIDCFRDPGPHGNGRYSPEMLPEIEEKDFRKGAQWFAITRRHALLILADSLYYKKFKLYCKMVDPGGIANWSVTHVDWSEGKWHPRSYRAADVTYDLLKNITAVDENFHVTSDDKKLMTQKPCLWNGSKRPCYLFARKFYPETLDNLLKLFTSYTSV >ONIVA06G27770.1 pep chromosome:AWHD00000000:6:26585274:26588507:-1 gene:ONIVA06G27770 transcript:ONIVA06G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 3 [Source:Projected from Arabidopsis thaliana (AT5G24850) TAIR;Acc:AT5G24850] MLHFLSSSSPLNPQFLLLPRQSARLRVLLSIPVSAMSSSSSSSSRGALAAAAVPSLSADEAGAAADEAFLRYTSPSMRRSGGGGVAIVWFRNDLRVLDNEAVVRAWAASDAVLPVYCVDPRISAGSTHYFGFPKTGALRAQFLIECLEDLKRNLTKQGLDLLIRHGKPEDILPSIAKAVTAHTVYAHQETCSEELLVEHLVRKGLEQVVIPQGGASNQKKPRNPKLQLIWGATLYHVDDLPFSVNNLPDVYTQFRKAVESKSSVRNCSKLPPSLGPPPGSGLDEIGGWGTSEKGMHFVGGESAALGRVHEYFWKKDQLKVYKKTRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRIANDSTYWVLFELIWRDYFRFISAKYGNSIFHLGGPRNVESKWSQDQALFESWRDGRTGYPLIDANMKELLATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPELRSIAKERRNFPGASYIKQVVPLKFDGGHQKRDQQFNRQRRPVHMYRRQK >ONIVA06G27770.2 pep chromosome:AWHD00000000:6:26585274:26588507:-1 gene:ONIVA06G27770 transcript:ONIVA06G27770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 3 [Source:Projected from Arabidopsis thaliana (AT5G24850) TAIR;Acc:AT5G24850] MLHFLSSSSPLNPQFLLLPRQSARLRVLLSIPVSAMSSSSSSSSRGALAAAAVPSLSADEAGAAADEAFLRYTSPSMRRSGGGGVAIVWFRNDLRVLDNEAVVRAWAASDAVLPVYCVDPRISAGSTHYFGFPKTGALRAQFLIECLEDLKRNLTKQGLDLLIRHGKPEDILPSIAKAVTAHTVYAHQETCSEELLVEHLVRKGLEQVVIPQGGASNQKKPRNPKLQLIWGATLYHVDDLPFSVNNLPDVYTQFRKAVESKSSVRNCSKLPPSLGPPPGSGLDEIGGWGTVPTLESLGLSMTKAEKGMHFVGGESAALGRVHEYFWKKDQLKVYKKTRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRIANDSTYWVLFELIWRDYFRFISAKYGNSIFHLGGPRNVESKWSQDQALFESWRDGRTGYPLIDANMKELLATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPELRSIAKERRNFPGASYIKQVVPLKFDGGHQKRDQQFNRQRRPVHMYRRQK >ONIVA06G27770.3 pep chromosome:AWHD00000000:6:26585274:26588507:-1 gene:ONIVA06G27770 transcript:ONIVA06G27770.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 3 [Source:Projected from Arabidopsis thaliana (AT5G24850) TAIR;Acc:AT5G24850] MLHFLSSSSPLNPQFLLLPRQSARLRVLLSIPVSAMSSSSSSSSRGALAAAAVPSLSADEAGAAADEAFLRYTSPSMRRSGGGGVAIVWFRNDLRVLDNEAVVRAWAASDAVLPVYCVDPRISAGSTHYFGFPKTGALRAQFLIECLEDLKRNLTKQGLDLLIRHGKPEDILPSIAKAVTAHTVYAHQETCSEELLVEHLVRKGLEQVVIPQGGASNQKKPRNPKLQLIWGATLYHVDDLPFSVNNLPDVYTQFRKAVESKSSVRNCSKLPPSLGPPPGSGLDEIGGWGTVPTLESLGLSMTKAEKGMHFVGGESAALGRVHEYFWKKDQLKVKRYEKQRIANDSTYWVLFELIWRDYFRFISAKYGNSIFHLGGPRNVESKWSQDQALFESWRDGRTGYPLIDANMKELLATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPELRSIAKERRNFPGASYIKQVVPLKFDGGHQKRDQQFNRQRRPVHMYRRQK >ONIVA06G27760.1 pep chromosome:AWHD00000000:6:26581301:26586460:1 gene:ONIVA06G27760 transcript:ONIVA06G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIHTTARTRGLLPAVLFPLSFSFSLAGRCVFALILAAASPRPPSQLAQSLSRPPHKYSPCLSSPLSPRERADERTSERGARRAGGGGAVRLGVSSPLLPTLPFLRPPLDSRGSGFIEEMRELEKLRTETMMKSCQSTTSRAGAIRCPVPRKSGRSYKEYDLTQDLSDFIMSKASPPYFTGSPPVRASNPLVHDTQFCAWKLQNVDQSLSIPIPTKGCNVY >ONIVA06G27750.1 pep chromosome:AWHD00000000:6:26579266:26580251:1 gene:ONIVA06G27750 transcript:ONIVA06G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPEQIISWVGWVDPRARGGPSEERIGIEEDTGGAFLGGIGIGREEGKALGEERRKASRLSVTCVCRCRWVGTRRPVSSGKWLCEL >ONIVA06G27740.1 pep chromosome:AWHD00000000:6:26575377:26579402:-1 gene:ONIVA06G27740 transcript:ONIVA06G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPECPARERFSALRGARWRADLGVLPDCASVSTEEFRRAAADSRRRYANLRRRLLIDPHLSKDEENAPDLVVENPLSQNPESTWGQYFRNAELEKMLNQDLSRLYPELGNFFQTTICQSMLGRILLVWSLRYPELGYKQGMHELLAPLLYVLHADVHYFKQVRELHDELFSDDFDGQTFPDRIKLNRSDRTNTIEGSAAKIRSLDDLDSDTRDLFLINDAYGAEGELGIILSEKFMEHDAYCMFESLMHGSMNGAQGVVAITDFYSLSPAPESSTGLTPVREASSAIYHLLASVDSPLHSHLMELGVEPQYFALRWLRVLFGREFSLDNLLFIWDEIFSSPNHSYCADIKNQSDYQFKILCSHRGALILSMAVSMMLHLRSSLLGSEHATSCLVRLLNFPGDTDLKSLIDKAKLLQPFALEANLPSSPLRGKSPLNPPNYWEETWKILQMSEEKRSGGSINRMKVRGLFRRSSPNTESNVSRTKDANFEDSNSTSGTQSTADEHHRSGIVPVNLVNGTLHTPIRERTSHVEQCTAENMITTSNNVLEAGQPEVHRSSSVDVRDALGVACGNLSRDSSTSLSCGTEYDHETHHADEPCASHDDKVVSEPDPLPVHNDKIDEVTIAAIQTCALVDYQQSQQNKPCSVNGKSEVKYQQNFAVHEVGRKETFELGSSSDVADKELLGTLRLLGESMVENIEVIDLLFQPNLHSTSLDKSEEIVLGSIEQAKAKAALEGLKKISELLRRI >ONIVA06G27730.1 pep chromosome:AWHD00000000:6:26571303:26572269:-1 gene:ONIVA06G27730 transcript:ONIVA06G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSRALSRAGFLARRGGIGILAAARCGYHTRRRLPLTAAEVVEGGGAASAAGGASTSEEAGSSSSAMARRMEEAIDGAMARMSEPEWAPFRPGTSYYAPPRPAGAARGLLALVSHAAARMGPVPRALSADEARAVDAASRGFPCTTYFIDGHFPDEVERSDVIPAEDE >ONIVA06G27720.1 pep chromosome:AWHD00000000:6:26566708:26577609:1 gene:ONIVA06G27720 transcript:ONIVA06G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERYLRKILFATSANRPRSDVGYSICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKEDFLNYSYRGTILQIPQFKDDSSPLAWDCSVWVRTYASYLDERVECFRILKYDVEADRLVKLPQASGKAHSRTRTLPCGDLLDHLPALQRLLLRLISCQPEGAACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMQKYDAIKALEIYKRAGYQAEKLSAFYEHCKRLELARTFQFPTLRQPPPSFLITMEEYIREAPRVSIASKSLESEEQNSPSDNEDEAPQETEKPVDEEEQEPSEPEEEPQPTAESVEETEPVPLATTGDLLNFDEEVNPLIANIEESNALALAIVTPGNENKASASQDLFALDKSGWELALVTAPSTHTSRPVDNQLAGGFDKLLLDSLYEDEARRQQIASATYNGSVAGNPFDPNDPFAMSNNFAPPSNVQLAMMQQQHQYYQEQQQQYYQIQQQQQMVTLPPQTYHQQQQTQYSALPSHDGLSNPFGDPFGGLVAMANPPKQNNSNLV >ONIVA06G27720.2 pep chromosome:AWHD00000000:6:26566708:26577609:1 gene:ONIVA06G27720 transcript:ONIVA06G27720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERYLRKILFATSANRPRSDVGYSICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKEDFLNYSYRGTILQIPQFKDDSSPLDERVECFRILKYDVEADRLVKLPQASGKPEGAACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMQKYDAIKALEIYKRAGYQAEKLSAFYEHCKRLELARTFQFPTLRQPPPSFLITMEEYIREAPRVSIASKSLESEEQNSPSDNEDEAPQETEKPVDEEEQEPSEPEEEPQPTAESVEETEPVPLATTGDLLNFDEEVNPLIANIEESNALALAIVTPGNENKASASQDLFALDKSGWELALVTAPSTHTSRPVDNQLAGGFDKLLLDSLYEDEARRQQIASATYNGSVAGNPFDPNDPFAMSNNFAPPSNVQLAMMQQQHQYYQEQQQQYYQIQQQQQMVTLPPQTYHQQQQTQYSALPSHDGLSNPFGDPFGGLVAMANPPKQNNSNLV >ONIVA06G27720.3 pep chromosome:AWHD00000000:6:26572582:26576627:1 gene:ONIVA06G27720 transcript:ONIVA06G27720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDEVEIEDMEWNAELGAYTYPCPCGDLFQITLADLRLGEEIARCPSCSLFLTVVYNEEDFADAKEPPHKPAPRPVACWGNFF >ONIVA06G27710.1 pep chromosome:AWHD00000000:6:26563167:26564812:1 gene:ONIVA06G27710 transcript:ONIVA06G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAERCALCGAAAAVHCEADAAFLCAACDAKVHGANFLASRHHRRRVAAGAVVVVEVEEEEGYESGASAASSTSCVSTADSDVAASAAARRGRRRRPRAAARPRAEVVLEGWGKRMGLAAGAARRRAAAAGRALRACGGDVAAARVPLRVAMAAALWWEVAAHRVSGVSGAGHADALRRLEACAHVPARLLTAVASSMARARARRRAAADNEEGWDECSCSEAPNALGGPHSFRTTEAPAFSFIASVRWKKRLLIVLAEYKYATSNQFPTLN >ONIVA06G27700.1 pep chromosome:AWHD00000000:6:26549333:26550155:-1 gene:ONIVA06G27700 transcript:ONIVA06G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQPHTGKEDLHHLQGLAKVSLPYPKCLQILSVKEGLRRPTQSVPLHAPTYGTNPWQGQSMDYGGSFRPEIMLGFSPYTTSYGDMSSFDGGSSSVPNELRTSQTDDAPHVT >ONIVA06G27690.1 pep chromosome:AWHD00000000:6:26536687:26543596:1 gene:ONIVA06G27690 transcript:ONIVA06G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTVRRGGGVGLRALVVVAVAAAALVVARADTAAADGENICIGYASNSRMDTFNCHTDEQLNIMKGVGYDHRKGIITMNAANLGGQLGGLGNFTSIVTINLSNNKIGGTIPEDLPVTLQHMSLNDNQLNGQLPDAFGSLTGLVNLDISSNNLTGVLPPSMKNLSSLTTLNIENNLFSGPVPPKLQSIPNFKKDGNPFNTSIAPSASPPSTPGGPAPTPTPTRPAPSPSPTGPPTPSPTNPNLEPSPPPPSSSAPPPSRTPSNSSNGPTTRDNISSSKKQNSSTLKIVGFVFLGVVLFIVTVLLVIFCLSKYQERRSRYDYNRSQLARVHHTVEHRINPSIVQPRDDAKKDQQSLAAVPKKPLESQREHIIDLEHPNNLTRTNSELFAVDPPPPPPPPFLPPFPVEKVTVNPVVPPEKRYISPPRINKPTSATSFSVASLQQYTSSFREENLIRKSRLGKVYLAEFPEGKFLEVMKIDNTNGRISVDEFLDLVQLVSDIRHPNIHELVGYCAEYGQRLLVYNHFSTKTLDDALHDREGVDSTLSWNARLQVALGSGKALEYLHESFQPPIVHQNFEPANVLLDNRFSVRVAECGLEKLLASSSVTQLADRMHSLLNYEPPESRESGIVTEQGDVYSFGVVMLEILTGRKPYDSSLPRAEQHLVRWANSQLHDIESLSRMVDPSIQGQCSEKALSRFADIISGCIREPQFRPPMSEVVQDLARMVNETGEESE >ONIVA06G27680.1 pep chromosome:AWHD00000000:6:26529851:26530159:-1 gene:ONIVA06G27680 transcript:ONIVA06G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGDPPTRSTQQHATSQRYDYRGGTAAPGDSLAAAAEAAAGQLGRTAGRMRKLRAGERIPGGGRPPARGREGGGGGNPRGVEEALFDLGGEGGEEEEEEG >ONIVA06G27670.1 pep chromosome:AWHD00000000:6:26529835:26533503:1 gene:ONIVA06G27670 transcript:ONIVA06G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 22 [Source:Projected from Arabidopsis thaliana (AT5G05080) TAIR;Acc:AT5G05080] MATNENLPPNVVRQLAKELKSLDESPPEGIKVIVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYEEYARHARLYTSIHALKPKNKTKSGTISESTTALNVDQSNNAVSKNTPLGPAALSTSTANKAFGSNLQDQNAAPSDPALGASAVPKKDGLHAVKAPVEKKKIDARKKSLKRL >ONIVA06G27670.2 pep chromosome:AWHD00000000:6:26531018:26533504:1 gene:ONIVA06G27670 transcript:ONIVA06G27670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 22 [Source:Projected from Arabidopsis thaliana (AT5G05080) TAIR;Acc:AT5G05080] MAFRLVLAIDHTVEIKFQVKLPNNYLSAVIASMATNENLPPNVVRQLAKELKSLDESPPEGIKVIVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYEEYARHARLYTSIHALKPKNKTKSGTISESTTALNVDQSNNAVSKNTPLGPAALSTSTANKAFGSNLQDQNAAPSDPALGASAVPKKDGLHAVKAPVEKKKIDARKKSLKRL >ONIVA06G27650.1 pep chromosome:AWHD00000000:6:26516626:26517645:1 gene:ONIVA06G27650 transcript:ONIVA06G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPHVRPSARRSPLLRPSSGASLRPLLLRPSSHAPLRPLLAARRSSAPSDLADRGRRRWPAIEDGGTVGRGGGTRQHGGSAVLVAAPSAELVAVKLFASSSLAGSGSAHAISEDVWAQRWPEIEGGMVGRGGGCSSKSDSSGAIPSVACRRPIVRWEKMRRGQGAGW >ONIVA06G27640.1 pep chromosome:AWHD00000000:6:26515993:26516199:-1 gene:ONIVA06G27640 transcript:ONIVA06G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCGKEEKLLGVQKAPGSCPYCGGGVAATDVEAKWVLCFLPLCLNNKRRFSCTACNRRLVSYPAIVHD >ONIVA06G27630.1 pep chromosome:AWHD00000000:6:26506845:26511117:1 gene:ONIVA06G27630 transcript:ONIVA06G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G57090) TAIR;Acc:AT5G57090] MITGRDIYDVLAAIVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISTNDPYSMNYRFLAADSLQKLVILAALAVWHNLLSRYRRNGGAAASLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGSLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGRDGRVHVVIRRSASASTTGGGGGAARSGVSRAYGASNAMTPRASNLTGVEIYSLQTSREPTPRASSFNQADFYAMFSGSKMASQMASPMAQHGGAGGRAQGLDEQVTNKFASGKAADPPSYPAPNPGMMPAPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFASAPPPAAVPVDGATPKGVSGSVTPAAKNGGGEVEIEDGLKSPAAGLAAKFPVSGSPYVAPRKKGGGADVPGLAEAAHPMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRWNIQMPSIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKTVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLIALPITILYYVLLGI >ONIVA06G27620.1 pep chromosome:AWHD00000000:6:26483915:26484400:-1 gene:ONIVA06G27620 transcript:ONIVA06G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELELSSELAALRPIRVTAAAAAAGSGAATARPDDAGVPEESGSATVRQGGGGGGGGGASVSAAAAAVVESSDGCVTPTSAGSALRPATVCPPAPRKPRPAGKRMTKRCCCGGGGGRPRRPFFPVPHDLAAVFVARAPAATTSPPCPPPAKKIRVHAVG >ONIVA06G27610.1 pep chromosome:AWHD00000000:6:26473767:26478574:1 gene:ONIVA06G27610 transcript:ONIVA06G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGRRFGMAAAALIALAALGSAASGTASKSSFVKSTVKAHDVVIFSKSYCPYCRRAKAVFKELELKKEPYVVELDQREDGWEIQDALSDMVGRRTVPQVFVHGKHLGGSDGSFPALDIVDLVRAGAVCQWWNTSSAYVRGLHHLLSGPCTPCLLYTTAAAAGADADADDPNVATLYSLTDHRSYTVTLPGPHVHRRWLGASHGWLATADDDAALHLVNPVTGQQISNLPPVTTVEPVRRLLDDGGAVVPGMYVVYPYDWTLRVEPLVNAPMTLTARELSEYLYLRVFLSSDPSSDIVGGGCVVVLLHRPDGQMSFARLGDTHWTWIRTPTGNELYVDVGFSADGRMLYGIRRDGAIHEFDLGGEPALERTTILPAQDGMMRHTNYLVDAPWLGGGDGGCWLMVCRRMGAANLQAYAAWLADRSLPYDGVWNTHSIKVYRVDPAAGTAAEINDIGGRHALFLGCNSSFGLAMADCPTGILPDHVYYTDNEEQYALDTPECARDIGVYSMGDGSFHRVKPPSPWLDWPLPAWIIPSFGCLGYSNRFLAN >ONIVA06G27590.1 pep chromosome:AWHD00000000:6:26440040:26440671:-1 gene:ONIVA06G27590 transcript:ONIVA06G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTAMSVDFRRRGVGDNAKGSDFHILRRHVGATGTGRMAAVEVQLPRSNDPRGGSYPWGFYSQVRPMTSRAMVLFLHVVWMAGVMIRTHGSEVDAIGKTTTSLLAVLTATREVQSGLILRSNVLLVSKERSK >ONIVA06G27580.1 pep chromosome:AWHD00000000:6:26428022:26428472:-1 gene:ONIVA06G27580 transcript:ONIVA06G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAMAIAVGLGRGQWLGEESEGGRAAGTSDGEEWRRRFGEEEDAQRGGAAVRRSAAVGHLTSFVSRVAAPRGGGSGDAAAVSSHDTSRAGEISSTRWRMRRGGKEQGPRRRRAGRSRGGDGVGREGGASVKKT >ONIVA06G27570.1 pep chromosome:AWHD00000000:6:26424907:26425776:-1 gene:ONIVA06G27570 transcript:ONIVA06G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLSLLLLLALVLPASGDDAAAAAAAPPPAPAGGASFNVTEILGRFPEFGLFSYLISKTHVDRDINSRNTVTVLVPDNSAVDWLLRRSARLPRAALVELLSVHVVLDYFDAAKIAALPPGKPTVSTTLFQTTGNARRRTGFLAITPTAKGGAVFASAAPGALVNATLKRVVAAVPYNISVLQISNFVVPPGVLTRPRPGPGPLPLPSPPLPRMKPMAIAPTPAPVPAPTKMVPIPPSLPLTDPADEDGDEAPAFAPAPSHGNAVKVMSWWSGLGVLVGTMACVFGYL >ONIVA06G27560.1 pep chromosome:AWHD00000000:6:26423899:26424289:1 gene:ONIVA06G27560 transcript:ONIVA06G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVVRSAARRAVATAQGYGRRSAATSSGGGKASSSEMRRIDYGSIWHVIAGNIGLGVTLFGLKGLHDMRMEEREEKWNREREERLEAARKEWIQQTQGQHVV >ONIVA06G27550.1 pep chromosome:AWHD00000000:6:26421440:26422922:1 gene:ONIVA06G27550 transcript:ONIVA06G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWFRVGRIAAAARVLWRGPTPASAPSEVRERLAVETVKLFSPGMNQQDRSFWSYLIGYNCGALIILGNANRELDQRAKALAEEREAL >ONIVA06G27550.2 pep chromosome:AWHD00000000:6:26421440:26422918:1 gene:ONIVA06G27550 transcript:ONIVA06G27550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWFRVGRIAAAARVLWRGPTPASAPSEVRERLAVETVKLFSPGMNQQDRSFWSYLIGYNCGALIILGNANRELDQRAKALAEEREAL >ONIVA06G27540.1 pep chromosome:AWHD00000000:6:26419805:26420777:1 gene:ONIVA06G27540 transcript:ONIVA06G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTTTELNITPANMLPSRNISAKALQLVRLSKNSQAPPSSVGVRLFSSSPAPARMLSVAASDALVEIKPGEISMVSGIPKEHLRRKVLLWTYSDLCSVDRFASPHRSVWESLEMSRFELGRNVLVRARPRRSAGSSLRNTLFASARPRNDVMVKKLGGISPMRLFCDKANMRSAGRRDKPSGMELSRRFWSNSSCTIFVRFASDGGMWPESELWLSRSTVRFGNASSHRGTPPTIEVVVVEVRDVEGGATAEHVRYLAGERVVA >ONIVA06G27530.1 pep chromosome:AWHD00000000:6:26410176:26416498:-1 gene:ONIVA06G27530 transcript:ONIVA06G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAAQEEETVVFRSKLPDIEIPSHLTLQAYCFEKLPEVAARPCLIDGQTGAVYSYGEVEELSRRAAAGLRRLGVGKGDVVMSLLRNCPEFAFTFLGAARLGAATTTANPFYTPHEIHRQASAAGARVIVTEACAVEKVRGFAADRGIPVVAVDGDFDGCVGFGEAMLDASIEPLDADEEVHPDDVVALPYSSGTTGLPKGVMLTHRSLVTSVAQQVDGENPNLYFRREDVVLCLLPLFHIYSLNSVLLAGLRAGSAIVIMRKFDLGALVDLTRRHGVTVAPFVPPIVVEIAKSPRVTADDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAELKIVDPDTGATLGRNQSGEICIRGEQIMKGYLNDPESTKNTIDKGGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPDIKDAAVVPMIDEIAGEVPVAFIVRIEGSAISENEIKQFVAKEVVFYKRLNKVFFADSIPKSPSGKILRKDLRAKLAAGIPTNDNTQSKS >ONIVA06G27520.1 pep chromosome:AWHD00000000:6:26408895:26416004:1 gene:ONIVA06G27520 transcript:ONIVA06G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGERRRLRRPLARRVRHGSAGSLIPRQLGTKNGRHGRARHVLPGAMGSASVAMTMSKVDLKAWINLRYNGSILDIRVCDEKSFKFRRSQPPLSMVFFVDSGSFR >ONIVA06G27520.2 pep chromosome:AWHD00000000:6:26408871:26411337:1 gene:ONIVA06G27520 transcript:ONIVA06G27520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLAR >ONIVA06G27510.1 pep chromosome:AWHD00000000:6:26403895:26407873:1 gene:ONIVA06G27510 transcript:ONIVA06G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HUF4] MSSYMEAAAAAKTEGLLRGACALLAAAAALLVGLNTQTETVLFIRKKATVKDVQALWVLAMAAAAAAGYHLLQLLRCFYLSRFADGKPCRHRRAIAWLCFLLDKGCAYLTFATTVAAAQACVVALYGTHALQWTKLCNIYTRFCEQVAGSLVCAMLAAVGTALLSVVSARNLFRLYPSMLSPAPSSFVG >ONIVA06G27500.1 pep chromosome:AWHD00000000:6:26387372:26391327:1 gene:ONIVA06G27500 transcript:ONIVA06G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (GMC) oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G73050) TAIR;Acc:AT1G73050] MAAIPTRLHLLLAALLVAPTLAAAQPQGFGGVVAPPPAYARYVVDAAETAAEEAYDYIVVGGGTAGCPLAATLAGPGGGRVLVLERGGAPAEFPPLATAGGFVRTLAMADPAPESDAPAQTFASEDGVPNVRARVLGGATSINAGFYSRAHPDWFRSHGEGGEAMNWDMKLVNSSYEWVERELAFQPVVRGWQAAVRAGLLEANVTPWNGFTMDHVSGTKVGATTFDSSGRRRSAADLLAFARPGRLRVAIRATVTRIIMSPIEPVARRGRSPQPAVAASGVVYQDRLLQQHHALLRPGGEVILSAGSLGSPQLLLLSGIGPANDLTSLGIPVFADVPDVGKHMFDNPRNGISIIPSIPIDHSLIQVVGIPSANGNESYLEAASYIVPLAPILRRGGPFSPSSPLYVTVVTIMEKVPGPLSEGSLWLTSSNPLESPSVRFNYLSRREDLARCVTGMRRVAKVLESTTMDVFRSAMGSLSQDSRRREFRIVGAALPVDWRTNDTALGDFCQQTVATLWHYHGGCVAGSVVDRDFRVFRVRALRVVDGSTFRETPGTNPQATIMMMGRYIGQKMIDERHSRRQVRTSTDSSSNA >ONIVA06G27490.1 pep chromosome:AWHD00000000:6:26386541:26386816:-1 gene:ONIVA06G27490 transcript:ONIVA06G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQAAAAAAAQLGMGKVATRLGTGTGTAAAPTWLGQVGMFSYIDTDGVVGATVIPAAPLSQAAFPTHSGVVPVPSRAGAGDPSPPRNSTK >ONIVA06G27480.1 pep chromosome:AWHD00000000:6:26360717:26361871:-1 gene:ONIVA06G27480 transcript:ONIVA06G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G24540) TAIR;Acc:AT2G24540] MSFSSSMSKQQVLEVAGDEVGGGGGEEVMELIPGLPEEVAEKCLLHLPFLYHRLFRTVSSTWNRFLTVSPAKFPSAAAASVSLSLPFLFAFAFDPASRRLQCQALDPFSRRWLLLPPVPGGAAAAGSFAVVGLPRRGEIYVIGGVEEGGDKAVRSVAVYSAARNGWEEAAGMGTARGYMAAGEVGGRLVVAGEDGEAEVFDPEEGRWAPAAARRGAAVARYDAAASGGKLYVTEGWAWPFERAPRGAVYDAASDSWSEMARGMREGWTGSCAVAGGRMYIVAEYGEWRLKRYDEPRDEWRMVAGSGVPPEVRRPHVVSGEVEEVGSGGRRRIYVVGAGLDVAIGTVSPSPAIHGGDDERVDWEVVKGPAEFAGLAPCNAQVLYA >ONIVA06G27460.1 pep chromosome:AWHD00000000:6:26347327:26350784:-1 gene:ONIVA06G27460 transcript:ONIVA06G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HUF0] MALAPPLCHLLAAPPPSTSAAADAAHDHAGALAPHRRASPLRRRGRLFLACRVPAAAPSARGVAAEAAAGRPTVLVTEKLGDAGLELLRRFANVDCAYELTAEELRAKVSLVDALVVRSATRVTREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAIALLAAMARNVAQADASLKAGKWQRNKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMDIISHDPYAPVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTAKLFDDETFANMKKGVRIINVARGGVVDEDALLRALDNGTVSQAALDVFTEEPPPKDSKLVHHEHVTVTPHLGASTSEAQEGVALEIAEAVLGALKGELAATAVNAPMVPAEVLSELSPYVVLAEKLGRLVVQLVAGGSGIKGVKIGYSSSRDPDDLDTRVLRAMVTKGIIEPISSAFVNIVNADYVAKQRGLRISEERILLDGSPEIPIDSIQVHLANVESKFAGALSDEGDIRVEGEVKDGKPHLTLVGPFSVDVSLEGNLILCRQVDQPGIIGKVGSILGKMNVNVNFMSVGRTAPGKQAIMAIGVDEEPEKEALKLIGDIPSVEEFVFIKL >ONIVA06G27450.1 pep chromosome:AWHD00000000:6:26335657:26336856:-1 gene:ONIVA06G27450 transcript:ONIVA06G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKYWGVGGRRCGACEASPAAVHCRGCGGVYLCTACDARPGHARAAHERVWVCEVCEVAPAAVTCKADAAVLCAACDADIHDANPLARRHARVPVAPIGSAAAAAVAAEAMLFGVAAAGAEAEAVEEKAAAEHHHHQQRQQHGALNLNVEAKDMKLDYLFSDLDPYLNVEFARFPHADSVVPNGAGAGAAIELDFTCGLGVGVGGAKQSYSSYTATDLAHSGSSSEVGVVPEAMCGGGGAIDLDFTRPKPQPYMPYTATPPPSHSVVSAQMSSSVVDVGVVPERAAAMGEGREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRADHDADDADADADDPAAVPSSYMLDFGYGVVPSF >ONIVA06G27440.1 pep chromosome:AWHD00000000:6:26325965:26328204:1 gene:ONIVA06G27440 transcript:ONIVA06G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASSCCGGSKKVEQGCVSASMSSNTWRIFSYKELHAATNGFSEENKLGEGGFGSVYWGKTSDGLQIAVKKLKATNTSKAEMEFAVEVEVLARVRHKNLLGLRGYCAGGAAGDQRMIVYDYMPNLSLLSHLHGQFAADVRLDWARRMAVAVGAAEGLVHLHHEATPHIIHRDIKASNVLLDSGFAPLVADFGVKGTLGYLAPEYAMWGKVSGACDVYSFGILLLELVSGRKPIERLPSGAKRTVTEWAEPLIARGRLADLVDPRLRGAFDAAQLARAVEAAALCVQAEPERRPDMRAVVRILRGDADAKPVRMKSIKYADHLMEMDKSSVYYGEDGGGDGEEDMDDEEVEEYSLMEDKSSVNFGVFGAMPVQTMHDPYVRRFGGGDGIKI >ONIVA06G27430.1 pep chromosome:AWHD00000000:6:26321774:26322328:1 gene:ONIVA06G27430 transcript:ONIVA06G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVAELASAPASYAHAANGTAAHSPWQSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDGGSGNGQASGSAAAGEGAEGEKGSAAGAARPALGFREHVVVIMAGDERPTFLAMPATSRPAVELGAAPVASSCGCSHSEEEKKVEEVSSPPPPSERDADDDAASRRHESSSNTRQQESSQ >ONIVA06G27420.1 pep chromosome:AWHD00000000:6:26300365:26306408:1 gene:ONIVA06G27420 transcript:ONIVA06G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) TAIR;Acc:AT5G10720] MGDEYLAEPEDEVAISMWPENIGDKHQKQFKMEKLGKDQDALEDANFQQKPSSVDLNRLMELANSEKGVSQMQYFVKHWEYKRANTARLLKEQIGLLCQQRKEIEQRKQQILEEQQFQDESYYAVKRQVPILDEVYKDEWKRPSKKNDDLSHNQELKIDAEYDSISYWKERAMQLEKTLEASLQRERSLEEKLEENIKNLQSHTPVEEFSGMLKRADYFLHLVLQSAPIVIAHQDADLRYRFIFNHFPTLADEDVIGKTDYEILSGEGIEEMNNVKKEVMASGKATKREFVFNTPLFGAKTFVTYIEPVFSKSGETIGVNYVAMDITDQVTRREKMADIRVREAVQKAKETELSKSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQYQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLEATTFRPREVVKHVLQTAAASLKKELILEGCIGDNVPLEVTGDVLRIRQILTNLISNAVKFTHEGKVGINLHVLDKQLPGCRIEGGQLHSKAHSAPAAAAEHFSASPRKCDNDTLGCSNHEDACQTGIPSNDNFGEHHEGDEVVWLRCDVYDTGIGIPEKSLPLLFKRYMQASDDHARKYGGTGLGLAICKQLVELMGGTLTVVSKENEGSTFSFVLPCKIPVKEDHSDDPDDMPSSGGDFTTSDIEGSFIFKPQARPYLLTSGVSVMNNTKLIGGNQFYDTPNILEDRKPFSNGFVLAEDHSTNSASTAHQSNGPSVSRTNKEQHDNAMVIELNRQAERVSSSRGDTTSVSGLIHEERGPCRVHEEKSLHKKSKCSPSSNKAKILLVEDNKVNIMVAKSMLEQLGHGIDIVNNGLEAIRAIQKRQYDIILMDVHMPEMDGLQATKFIRSFENTGCWDTSVKPEHDQIIAGSDNLSDCAHMKKQGKRVPIIAMTANSFSESAEECLAAGMDSYISKPVNFQNIKECLQQYLPPQ >ONIVA06G27410.1 pep chromosome:AWHD00000000:6:26279081:26285123:-1 gene:ONIVA06G27410 transcript:ONIVA06G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGLGGGGGGGGAGGLGLDLSAVIQAAVVGLVLFSAAVVAVRRAASRYFVVDAAGFAASSYDDHHHPHHHLVMTPRGEEQQRQQGGGAAQGAQAAASGGGEPCAACGYIATKKCSGCKRVRYCSQGCQSKHWQSGHKFKCKQMKKSSPADKLSGGGEEDRNKLSGFGPISLVPARRKLNKVIFPYDEFLKLCNWRDLDYLPCGLLNCGNSCFANVVLQCLSCTRPLAAYLLGMDHSRECYKRHEDWCFLCELQCHIQRASESMHPFAPKNILSHLPNIGGNLGFGRQEDADEFMRFAIDKMQSACLDEFGGEKAVDPSTQETTLIQHIFGGRLQSQVQCTACGMVSNRYENMMDLTVEIHGDADSLEECLDKFTAVEWLDGDNKYKCDGCSDYVKAQKRLTVYQAPNILTITLKRFQSGRFGKLNKRVTFPMKLDLTPYMSSTDGSDQYDLYAVVVHLDMLNASFFGHYICYIKNYRGRWYKIDDCKVMAVDEEEVHAQGAYMLLYSRRTARPRPLIAVEELMKQQQQLKVCPLNGQNHLIQEDVPLEGVPSLKPSEDLEVDFESNNKSLHTMDREPDLDFHVSFDRDKFTNNDIMHPPVSTVSHALDENTRGDSGFPLEESNTMGSVQFGNSTYETSLVHSPAEQCEEPASCIDSVDYMEIDTEAGVKVERWSRPALGDSVGVMGNGTLVPALENGLAGKPIPGFPDKPSRINSFFAEGCQTGDNGAGSSQELNGHCNGEPSCPEQGVLTNGGNTPSPSTQCYENKFATSTNGNYSIGNGDTLSSSNSLHAGKQNAGFTYNGFNPKPYKEPSGSNTYLNNTCNGKPSEDNHNKCAPNLPAKDCQGGMPFLHRGFLLRPCSRGNPGKCDDGLSISNGTSSSFVSSNSKSSNISSSRNGEGGTPFLSPSFRTNHCRESAAMDTSASSVHDLKTSYNISLEQKSIGAAVPSVLIKESWGEDGTTFGTVYQQRATSVDNVSSRHDENGHVILGANNSSYGGENGSNNGILDMNSSSSQRDAASSTMMASEKGIGPKTADQVNYISDALEHDGLRRRLTSNFPDQNGIDAQ >ONIVA06G27400.1 pep chromosome:AWHD00000000:6:26276331:26278038:-1 gene:ONIVA06G27400 transcript:ONIVA06G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVVDPLREFAKDSVRLVKRCHKPDRKGRERLASSRLVSSLPLARFPPRSDEFSKVALRTAIGFVVMGFVGFFVKLIFIPINNIIVGLDAKERLAWGYSGVLIKEKTEDY >ONIVA06G27390.1 pep chromosome:AWHD00000000:6:26272465:26273445:-1 gene:ONIVA06G27390 transcript:ONIVA06G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43770) TAIR;Acc:AT2G43770] MELANIQQHPNQALGPGGKQRTSSLEAPIMLLTGHQSAVYCMKFNPAGTVIASGSHDKDIFLWYVHGDCKNYMVLRGHKNAVLDLQWTTDGTQIISASPDKTVRVWDVETGKQVKKMAEHSSFVNSCCPARKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKYQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTEYLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKNLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPVIGSCGSDKQIYLGEL >ONIVA06G27380.1 pep chromosome:AWHD00000000:6:26270788:26271678:1 gene:ONIVA06G27380 transcript:ONIVA06G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRHAPPRPLDEPTVSMGEEEQRRSTVTAALLVLVACNLALALSGLSPPPPSAHDDDDAARVEPVGYLASVASSVLAVCVASSAARHGRRRGRLSVEAVLREARRTWTRPAVTALYVELLTTAMASLLLTLRAFLGAAAATGGGAGAELMAVSASAALVAWLGPVLFAHSDIACRMSLVVAAVEDGYQGRAAVDRAEALVTGRTARGIAVALAASLVEQAPSRWCGDGAPAFVVVPAVLAARLAACYACAAFYYQCRAHHDKNTSSVLNLGESSMVDETEADAMDSVLGCFRLT >ONIVA06G27370.1 pep chromosome:AWHD00000000:6:26268794:26269213:1 gene:ONIVA06G27370 transcript:ONIVA06G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGDSGAAAHDGRCQEAGADGVGVGSSGVATMDGREDAQSATAGGRQRTTMHGAGGDLDTGEQEYYGQKPSLSEPAMMTLAGVAFPLGRF >ONIVA06G27360.1 pep chromosome:AWHD00000000:6:26259372:26261714:1 gene:ONIVA06G27360 transcript:ONIVA06G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWIYRDRPPHQATQPRSAMVASWPCKRAVPKPELWDDAVIWEKQAVCSWKHTSVGSSISILSRAELVHKFKDMINVRLMGASPMDKFSGLWSPRLQQANLIMMLD >ONIVA06G27360.2 pep chromosome:AWHD00000000:6:26259372:26261874:1 gene:ONIVA06G27360 transcript:ONIVA06G27360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWIYRDRPPHQATQPRSAMVASWPCKRAVPKPELWDDAVIWEKQAVCSWKHTSVGSSISILSRAELVHKFKGNDAFSVIVIALGSQWVMVSKTPTSQLDHDACVLHS >ONIVA06G27350.1 pep chromosome:AWHD00000000:6:26249802:26250564:-1 gene:ONIVA06G27350 transcript:ONIVA06G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGASSTMSFEQAFLKNLLLSLQDCSTTKPLDAMSLHERKRAVKSSADFAMATARGGGARWPKAIVLQQQPASTTARARRCGRIVRRCCGRKTRSGAGGGGEMARRLQVRRRAMALRKVIPGGGDAMDEAALLREAMDYVVHLRAQVDVLRRVSEAVQLQRRYTSSTSLRDYSWSKCALKGEEDSSMNMKR >ONIVA06G27340.1 pep chromosome:AWHD00000000:6:26245715:26248431:1 gene:ONIVA06G27340 transcript:ONIVA06G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSNGLLGLLNAGVLVLAVVVLGGGIWLSNRAATTDCERFMERPVVALGVLLLALSLAGLAGALCGASCLLWLYLLALFLLILALFIFTVFAFVVTNRGAGWVVSGRGYREYRLGDYSTWLQRRVENSANWAKIRSCLQDGKVCEKLGARRETMDQFVGSNLSPIQSGCCKPPTGCNFAYVSETVWTKPSGFNSTDDPDCTTWSNDQTALCYDCQSCKAGVLANLKNDWKKIATVNIIFLIFLIIVYSVGCCAFRNNRRDNSYPAWK >ONIVA06G27330.1 pep chromosome:AWHD00000000:6:26237805:26245184:1 gene:ONIVA06G27330 transcript:ONIVA06G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIGRSGREKYRELSPPTRCLITKPRLVRYLPPFGLLLFDPTIFNMTTIFNLLKIITCPYQSKSKC >ONIVA06G27320.1 pep chromosome:AWHD00000000:6:26229825:26237623:1 gene:ONIVA06G27320 transcript:ONIVA06G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMASPLSSWPWAFLGSYKYLLYGPVVGKVVQEWREQGRLPLGTSWCLHLILLLALRSLTYQLWFSYGNMLFFTRRRRVVDDGVDFRQIDTEWDWDNMVIMQTLIAAVLVTSRVFPATSDLSAWDLRGWAIAVVLHVAVSEPAFYWAHRALHLGPLFSRYHSLHHSFQATQALTAGFVTPLESLILTLVAWAPLAGAFMAGHGSVSLVYGHILLFDYLRSMGYSNVEVISHKTFQDFPFLRYLIYTPSYLSLHHREKDSNFCLFMPLFDALGGTLNPKSWQLQKEVDLGKNHRVPDFVFLVHVVDVVSSMHVPFAFRACSSLPFATHLVLLPLWPIAFGFMLLQWFCSKTFTVSFYKLRGFLHQTWSVPRYGFQYFIPSAKKGINEMIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVRKHPDLRVRVVHGNTLTAAVILNEIPGDVAEVFLTGATSKLGRAIALYLCRKKIRVLMLTLSTERFMNIQREAPAEFQQYLVQVTKYQAAQNCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPEDVEGLGTCEYTMGRGVVHACHAGGVVHFLEGWDHHEVGAIDVDRIDAVWNAALRHGLTPA >ONIVA06G27310.1 pep chromosome:AWHD00000000:6:26207833:26210178:1 gene:ONIVA06G27310 transcript:ONIVA06G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRAAVFAVLTLLLSVAAIAMARPIRRSDLGLGLGANGGLGVGLGLDIGLGGSGSASSSGQGSGYGAWSGPNGGSYTASGHGLGLGSGYGYGSGSAYGAGNGGSASGCGSGSTSCSGSGSGSVGLGTSINVGVGVGANGGTNGGSDCNTGSGSNYGSSTGSSSGYGSGGVSYRSRGHGGSSSIGSGSGVGLGATSGVGAGSNVGPSGGCSTCGSGSRSGSGAGGGSYSGSNSGSSSSSGSGSNSNSIGGSGSSSGAGSGSSASSGYGGSSSGSMSGSGSSSSSGSTGMSYGSSGAGSFSGSSSGSNSNAGSMSGANSGAGSSSSAYGASGSGSNSMSDSGSSSGSVSASGSGSSNSGAGSGSSSSSWSGSNSGSGTMSGIDAPSSTGASSTAESNSGSATSVTGANSGSYSSAWSNSNSNSGSTMPSGIGVASNAGSSSGSWSNAGSNTGTLSGAGSSNWSSSTSGSTSSSGLGGGQGVGSRFGSGAQPTFGMGGGGGFGAGYGFGAGAGGWGKHH >ONIVA06G27300.1 pep chromosome:AWHD00000000:6:26203930:26207381:1 gene:ONIVA06G27300 transcript:ONIVA06G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVAIGVGRRRRRWPEVEERLTQPRRLLRQLSDVDSGRLRRLIRSGDLAPCFDAAEDDAGLDEDCPICFYFYPSLNRSKCCGKGICTAVLSAKPHPTQLSIEAIEPKGRRNWINKRNRTPVSLRRKYSLSLRLLMNLFNLSSCKTYF >ONIVA06G27300.2 pep chromosome:AWHD00000000:6:26203930:26207381:1 gene:ONIVA06G27300 transcript:ONIVA06G27300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVAIGVGRRRRRWPEVEERLTQPRRLLRQLSDVDSGRLRRLIRSGDLAPCFDAAEDDAGLDEDCPICFYFYPSLNRSKCCGKGICTAVLSAKPHPTQLSIEAIEPKGRRNWINK >ONIVA06G27300.3 pep chromosome:AWHD00000000:6:26203930:26206462:1 gene:ONIVA06G27300 transcript:ONIVA06G27300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVAIGVGRRRRRWPEVEERLTQPRRLLRQLSDVDSGRLRRLIRSGDLAPCFDAAEDDAGLDEDCPICFYFYPSLNRSKCCGKGICTVTLIKLIASYILHLTTPWGPYTRNAAVLSAKPHPTQLSIEAIEPKGRRNWINK >ONIVA06G27290.1 pep chromosome:AWHD00000000:6:26200625:26203217:1 gene:ONIVA06G27290 transcript:ONIVA06G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTTADPHASFLADKGGKVFVAGHRGLVGSAILRHLVSLGFTNVVVRTHAELDLTRQSDVEAFFAAELPRYVVLAAAKVGGIHANSTFPADFIVANLQIQTNVVDAALKCGSVRKLLFLGSSCIYPKFAPQPIPENSLLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGFDAISAMPTNLYGPQDNFHPENSHVLPALIRRFHEAKASNAAEVVVWGTGSPLREFLHVDDLADAVIFLMDHYSGLEHVNVGSGSEVTIKELAELVKEVVGFQGKLVWDSSKPDGTPRKLMDSSKIQEMGWKPKVPLKEGLVETYKWYVENVISAKK >ONIVA06G27280.1 pep chromosome:AWHD00000000:6:26197919:26198138:-1 gene:ONIVA06G27280 transcript:ONIVA06G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSAVMSPRDNHTYRNISIRFLQQQQWDVVGEQHDVADIRRRLLRGSLTTKVCAPPYLQVWWQKQDDGRW >ONIVA06G27270.1 pep chromosome:AWHD00000000:6:26191141:26192184:-1 gene:ONIVA06G27270 transcript:ONIVA06G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQQRSSSGSTAKAGDADGDGDAAAVSFLGDKSAKVFIAGHRGMVGSAVHRKLDALGFTNVVVRTRAELDLACQAAVEAFFAAELPRYVILAAAKVGGVHASSAAPAEYLTENLRITVNVVDAARRCGSVRKLLVLASSTIYPADAPQPTPESALLTGPPAAGSEWYAIPKIAGIKMCQAVRAEYGLNAIAAAPNNLYGPRHPFPPEHSHVIPALIRRFHRAKLEGAGEVAVWGSGAAAREFTHVDDLAEAVVVLMERYSGEEHVNVGSGEEVTVRELAEAVRGVVGYEGVVAWDAARPEGVARRVVDSGRMRKLGWEPRVALRDGIQDLYRFYLRHECGGQAHHA >ONIVA06G27260.1 pep chromosome:AWHD00000000:6:26185579:26188260:-1 gene:ONIVA06G27260 transcript:ONIVA06G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVTETAAAAAANTVARRRHRGGWCCAGGGAEEEEEEEVVALSSSSSSSSAPGKKVGAAAGGLPRLVRFEELPDYLKDNEFIRGHYRCEWSVRDALRSAFAWHNETLNVWTYVAAARHCCFLLIQFPSSCSMESSIGWLTHLGGFFLFLWLAVAGGTERPAAAAAGVNAAPGIMTFLVASSANNASWETNSTSLEGKDSPALLGGGEHALARWPRTVFLVGAMTCLAVSATAHLLACHSRRFSRLFWQLDYAGIAVMIVASFFPPVYYAFLGRAVAQVAYLSAITALGALVVAALLAPARSSPRLRHIRAGLFVSMGLSGVVPALHALWLNWGHPECYLALSLELVMGLVYAAGAGFYVARVPERWRPGAFDCVGHSHQIFHVLVLAGALTHYAATAILIDWREAAIAAGGGAAAFL >ONIVA06G27250.1 pep chromosome:AWHD00000000:6:26182534:26184456:1 gene:ONIVA06G27250 transcript:ONIVA06G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAGAACRRASYTLLGPPVERLHAAVSATAAAASTGDPFVDLLDSNYNKPKPTPPAKRLTENYSPTFASSGDPCLDFFFNVVPDTHASTVTSLLSAAWTAEPNTALRLACNLRGVRGTGKSDHEGFYATALWMHDHHPKTLALNAPSVAEFGYLKDLPELLHRIIHGGVSTRTPPPARTRSYYSSRRPRKVRRDPATRAARIAASQEKHRKISDQAAVERRKKRAEAAARAVEMYARDPKYRFLHDRTADLFADLIADDMRKLEDGKVNNLSLASKWCPSLYKCYDRSTLCESIGRRLFPKGSDAELPEDLPDEYYAYRVRERLRKMALVPLRRALHLPEVYISARRWGDVVYSRVASVAMKNYTDLFLEHDHERFNLFLANVKTGKAKIAAGALLPHDILASVDSHDKEGNEVANLQWKRMVDDLLELGKLNNCLAVCDVSGSMNGRPMDVCVALGLLLSELCDEPWHHRVITFSERPQLHHIKGETLYEKEEFIREMEWGFNTDLQAVFDQLLHVAVSGNLPPERMVKKVFVFSDMEFDQASSRAWETDYEAITRKFNEAGYGGAIPEVVFWNLRDSCSVPVTGNKKGVALVSGFSKNMLKLFLSGGYEKMTPRAVMERAISGREYEKLVVFD >ONIVA06G27240.1 pep chromosome:AWHD00000000:6:26171835:26181991:1 gene:ONIVA06G27240 transcript:ONIVA06G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G24120) TAIR;Acc:AT2G24120] MPLLLFPISPPCAWARAADEYRELEREMLDRRLAPALPYVKSLFLGWFEPLRDAIARDQEVQRRKRVKHVYAKYLLLLPADKVAVIVMHKMMGLLMSSKDGVASVRVVQAAHCIGEAVEREFKVQTFFQKTRKKSAGENDLALEKEQAKCRKRVKSLVRRRKLTEAQKIVQQEIELEEWGTESQVKLLYSHQLTKHQRVLQIFDQHLNTRLKKKHWVIECDPLVHEGFESTARHVEIPYLPMLVTPKKWKGYDTGGYLFLPSYIMRTHGVKDQKEAIKSVPRKQLRKVFEALDTLGSTKWRVNRRVHNAVETIWSRGGGIAGLVDKENIPLPERPETEDPDEIQKWKWSLKKAKKANRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHAHLSHLGSDLCRGVLEYAEGRPLGKSGLRWLKIHLANKYGGGIEKLSHEDKVAFVENQLPDIFDSATNPVDGNCWWMNAEDPFQCLAACMDLSDALKSSSPQCAVSHLPIHQDGSCNGLQHYAALGRDYMGAAAVNLVPGDKPADIYSEIAARVLDVVREDSMEDPATNPTASLARVLVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLITDDKLLYEVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASENHPVKWTSPVGLPVVQPYKKYKNYMIALQRQKAAFPPNFVHSLDSSHMMMTAIACKKAGLHFAGVHDSFWVHACDVDKMNQILREQFVELYSMPILENLLKEFQTSFPTLEFPPCPSQGDFDVREVLASTYFFN >ONIVA06G27240.2 pep chromosome:AWHD00000000:6:26171838:26181991:1 gene:ONIVA06G27240 transcript:ONIVA06G27240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G24120) TAIR;Acc:AT2G24120] MPLLLFPISPPCVPPPRPRLRRLSPPPPMAAVAPPSLSTPVTILPSVSVALPPLPPPATDDFHWLDLFAFLNSPADSYQIPVEEQEVEVEVEVEVGVERERERERERERARKAEHRRLRQRQVKAETEAWARAADEYRELEREMLDRRLAPALPYVKSLFLGWFEPLRDAIARDQEVQRRKRVKHVYAKYLLLLPADKVAVIVMHKMMGLLMSSKDGVASVRVVQAAHCIGEAVEREFKVQTFFQKTRKKSAGENDLALEKEQAKCRKRVKSLVRRRKLTEAQKIVQQEIELEEWGTESQVKLLYSHQLTKHQRVLQIFDQHLNTRLKKKHWVIECDPLVHEGFESTARHVEIPYLPMLVTPKKWKGYDTGGYLFLPSYIMRTHGVKDQKEAIKSVPRKQLRKVFEALDTLGSTKWRVNRRVHNAVETIWSRGGGIAGLVDKENIPLPERPETEDPDEIQKWKWSLKKAKKANRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHAHLSHLGSDLCRGVLEYAEGRPLGKSGLRWLKIHLANKYGGGIEKLSHEDKVAFVENQLPDIFDSATNPVDGNCWWMNAEDPFQCLAACMDLSDALKSSSPQCAVSHLPIHQDGSCNGLQHYAALGRDYMGAAAVNLVPGDKPADIYSEIAARVLDVVREDSMEDPATNPTASLARVLVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLITDDKLLYEVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASENHPVKWTSPVGLPVVQPYKKYKNYMIALQRQKAAFPPNFVHSLDSSHMMMTAIACKKAGLHFAGVHDSFWVHACDVDKMNQILREQFVELYSMPILENLLKEFQTSFPTLEFPPCPSQGDFDVREVLASTYFFN >ONIVA06G27240.3 pep chromosome:AWHD00000000:6:26171838:26181991:1 gene:ONIVA06G27240 transcript:ONIVA06G27240.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G24120) TAIR;Acc:AT2G24120] MPLLLFPISPPCVPPPRPRLRRLSPPPPMAAVAPPSLSTPVTILPSVSVALPPLPPPATDDFHWLDLFAFLNSPADSYQIPVEEQEVEVEVEVEVGVERERERERERERARKAEHRRLRQRQVKAETEAWARAADEYRELEREMLDRRLAPALPYVKSLFLGWFEPLRDAIARDQEVQRRKRVKHVYAKYLLLLPADKVAVIVMHKMMGLLMSSKDGVASVRVVQAAHCIGEAVEREFKVQTFFQKTRKKSAGENDLALEKEQAKCRKRVKSLVRRRKLTEAQKIVQQEIELEEWGTESQVKLLYSHQLTKHQRVLQIFDQHLNTRLKKKHWVIECDPLVHEGFESTARHVEIPYLPMLVTPKKWKGYDTGGYLFLPSYIMRTHGVKDQKEAIKSVPRKQLRKVFEALDTLGSTKWRVNRRVHNAVETIWSRGGGIAGLVDKENIPLPERPETEDPDEIQKWKWSLKKAKKANRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHAHLSHLGSDLCRGVLEYAEGRPLGKSGLRWLKIHLANKYGGGIEKLSHEDKVAFVENQLPDIFDSATNPVDGNCWWMNAEDPFQCLAACMDLSDALKSSSPQCAVSHLPIHQDGSCNGLQHYAALGRDYMGAAAVNLVPGDKPADIYSEIAARVLDVVREDSMEDPATNPTASLARVLVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLITDDKLLYEVSCYATRSARGIMAWLGDCAKMIASENHPVKWTSPVGLPVVQPYKKYKNYMIALQRQKAAFPPNFVHSLDSSHMMMTAIACKKAGLHFAGVHDSFWVHACDVDKMNQILREQFVELYSMPILENLLKEFQTSFPTLEFPPCPSQGDFDVREVLASTYFFN >ONIVA06G27230.1 pep chromosome:AWHD00000000:6:26168533:26169489:1 gene:ONIVA06G27230 transcript:ONIVA06G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGGCSTCLETIFSVVLPPLGVFFRYGFCSSEFVVSSALTALFYVPGIVYSVWVVILKTPPEPPGIDGERPYYILA >ONIVA06G27220.1 pep chromosome:AWHD00000000:6:26157310:26160400:-1 gene:ONIVA06G27220 transcript:ONIVA06G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKLSEDGENDKLKFGLSSMQGWRATMEDAHSALLDIDNDTSFFGVFDGHGGRVVAKFCAKYLHREVLRSEAYSAGDLGNAAHKAFFRMDEMMRGQRGWRELQALGDKINQISGMIEGLIWSPRGSDSNDQHDDWAFEEGPHSDFAGPTCGSTACVAIVRNNQLVVANAGDSRCVISRNGQAYNLSRDHKPELEAERERILKAGGYIQMGRVNGTINLSRAIGDIEFKQNKFLSPDKQMLTANPDINTVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHINTESSLSAVCERVLDRCLAPSTLGGEGCDNMTMILVQFKKPISQNKNVSPAEQSAADKQPTGDTHWSEIHVTEESSS >ONIVA06G27210.1 pep chromosome:AWHD00000000:6:26153665:26156411:-1 gene:ONIVA06G27210 transcript:ONIVA06G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRFRAGDRRHRPPSAASGPFSDTRRGYFVGAAQAMRGSATPRAGAVGHFRGGLRPPPPPPTPFGWDEAARLERIISEEVGRRLIEKEVERRLIEEDVRREVAFAHGLHVLPHDPFPRPPPPPPEMPVGMHPHPHEPPPRFQELGAWEGFRPRRQHAGVAAPLRFGQRMLLGGAERRWSPPPPPRPKPKHKLVLREIEPGESSEVPSETKHSEAKPLETKPLQTKVSGVKRKVDAIPATTRPGKLQKPAQDWSCALCQVSATSEGALNEHLEGKRHKAKLAHCGASNAIKDGKSSLKEKTANKDDAGPSDAPKKICIQVDGAMHEVVQKSNYLWCDRCKVRCDNNVTMADHLRGKKHSGLNKVWTSINAVRMNKKKEQSAATWEETVNENERTEIPVEAKDDSAGLSTEEDETCHYEIPVKNSKNEGTYLATEVDQSDSEIETPVEIMREGLNMATDATDENVRMEDPLEIKKENPDETNLAPKEEQH >ONIVA06G27200.1 pep chromosome:AWHD00000000:6:26151664:26154502:1 gene:ONIVA06G27200 transcript:ONIVA06G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLLLLWRKICLIGVFLLDFQWIFHTDIFIGGIGGHVQAFSHNFYRSFNFRVTLIYFSGQVCAFILTIFHWNFIMACFVFFGGQACAVILSFHWYFSTFIFIHRFFPCSSRLFLFLVHSDSIY >ONIVA06G27190.1 pep chromosome:AWHD00000000:6:26145099:26151204:-1 gene:ONIVA06G27190 transcript:ONIVA06G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGRRSGGGVRVCVTGGAGFIGSWLVKKLLGAGYTVHATLRSIGDEVKVGLLRRLVPGDAPPERLRLFEADLYDAATFAPAIAGCHTVKRVIYTSSMAATSPLKEDSTGFKDSIDESCWTPLAELLGHSHAGERRRPAVEVVTVPCSVVAGGTLQGQSTTSLDCVVSPVSRDEGSFRALRLLQRLMGSVPMVHVDDVCDALVFCMEQPSLTGRFLCSAAYPTLDEIVEHFAGKTETLPSIQAHTDKLGELGFKYKYGMEEILDESVECAVRLGCLDASKLKGRSGGVAGDGVRVCVTGGAGFIASWLVKKLLERGCIVHATLRSMGDEEKAGLLRRLVPGAAERLRLFEADLFDAATFAPAIAGCQFVFLIATPYGLEASNSKYKNTADAAVDAVREILRQCAESKTVKRVIHTASISTASPLIDVPGAAGYRDFIDESCWTPLDVDYPLRSAHFDKYVLSKMMSEKELLGYNDGEGRAFEVVTLPCGLVAGDTVLGRAPETLENAVSPVSRNEPSFAFLRLLQRLVGSVPLVHADDVCDALVFCMDQPSLAGRFLCSAAYPTIHDIVEHFAAKYPHLDVLKEYKYGMEEILDGSVGCAARLGYIDAAKLRPQEG >ONIVA06G27180.1 pep chromosome:AWHD00000000:6:26141328:26142364:-1 gene:ONIVA06G27180 transcript:ONIVA06G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGMLCVSSSPTASASVARGRRQRRRSVEVRCSSVAAAGPGGPVEDHYRTLQLPPGATKGEVKRAFRRLALTVRLAGSAPASRYHPDVSKESDSGVHFQRINVAYQMVMGNMREAEERLEYWRLKYGLDDEDLDKYRNHLNDEDDDEWFDV >ONIVA06G27170.1 pep chromosome:AWHD00000000:6:26131486:26135237:-1 gene:ONIVA06G27170 transcript:ONIVA06G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASSAAGDSAKQPLLHHQRGNPPHVASVSSPSLPSAPPGALAGGRRFPGGLDVPNLKKRGGGTRSWIRVEAATASVQTLEVDKATMMRRCELPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRAEGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLSEKKLRTEASFYGDQSMLGYNSVGDGTSFSAPVSPVSSPTESRKLEKAFSLCRSRHDSVKSSDNTATEHIQELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFETSVFSIQNAFQWVLIITGVIGAFIFCGFLWFFKYKRLMPL >ONIVA06G27160.1 pep chromosome:AWHD00000000:6:26130682:26130897:-1 gene:ONIVA06G27160 transcript:ONIVA06G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEKMRAWASMAEDPLKRASAASSLSTSPLRRYSPATLAAGGLLVGAVAYFMFKGKQGQGRQGDQPVRRP >ONIVA06G27150.1 pep chromosome:AWHD00000000:6:26127262:26132838:1 gene:ONIVA06G27150 transcript:ONIVA06G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0HUB2] MQKTRPGGPKPWAPLSDPLGIRSACHRGGKYERRAPHPGGPGPHLSASLRKPVKPKAKSLSTATTSPSPSSSTLLLLLVSSEVADDDDDEVSPMTTREVPLLLLLVVVMVLAGAGAARGFYLPGVAPRDFRKKDQLAVKVNQLSSIKTQLPYSYYSLPFCRPATIVDSAENLGEVLRGDRIENSLYVFEMREPRLCQIVCKTALTHQEAKDFREKIDDEYRINMILDNLPLVVPIRSLLDDHDAPTSYQLGVHVGIKGQYAGSNEEKHFIYNHLSFLVKYHKDENTDLARIVGFEVKPFSTKHEYDGEWKENETRLKTCDPHSRRLVVDSDSPQEVEAGKEIIFTYDVNFEESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMLAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPARAGTLCVFVGTGVQFLGMLLVTLLFAILGLLSPSNRGGLMTAMLLVWAFMGVLAGYAAARLYRGFRGSEWKAVAMRTALAFPGAAFAVFFVLNALIWGERSSGAVPFTTMTALVLLWFGISVPLVFVGSYLGFKRPAATEDYPVRTNKIPRPIPEQPWYMNPAMSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFAILVVTCAEIAVVLCYFQLCGEDYEWWWRSYLTAGSSALYLFLYAAFYFFTKLDITKVVSGVLYFGYMLIASAAFFVLTGTIGFYACFWFTRLIYSSVKID >ONIVA06G27150.2 pep chromosome:AWHD00000000:6:26127262:26132838:1 gene:ONIVA06G27150 transcript:ONIVA06G27150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0HUB2] MKSPASQFEMREPRLCQIVCKTALTHQEAKDFREKIDDEYRINMILDNLPLVVPIRSLLDDHDAPTSYQLGVHVGIKGQYAGSNEEKHFIYNHLSFLVKYHKDENTDLARIVGFEVKPFSTKHEYDGEWKENETRLKTCDPHSRRLVVDSDSPQEVEAGKEIIFTYDVNFEESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMLAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPARAGTLCVFVGTGVQFLGMLLVTLLFAILGLLSPSNRGGLMTAMLLVWAFMGVLAGYAAARLYRGFRGSEWKAVAMRTALAFPGAAFAVFFVLNALIWGERSSGAVPFTTMTALVLLWFGISVPLVFVGSYLGFKRPAATEDYPVRTNKIPRPIPEQPWYMNPAMSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFAILVVTCAEIAVVLCYFQLCGEDYEWWWRSYLTAGSSALYLFLYAAFYFFTKLDITKVVSGVLYFGYMLIASAAFFVLTGTIGFYACFWFTRLIYSSVKID >ONIVA06G27150.3 pep chromosome:AWHD00000000:6:26127470:26132838:1 gene:ONIVA06G27150 transcript:ONIVA06G27150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0HUB2] MKSPASQFEMREPRLCQIVCKTALTHQEAKDFREKIDDEYRINMILDNLPLVVPIRSLLDDHDAPTSYQLGVHVGIKGQYAGSNEEKHFIYNHLSFLVKYHKDENTDLARIVGFEVKPFSTKHEYDGEWKENETRLKTCDPHSRRLVVDSDSPQEVEAGKEIIFTYDVNFEESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMLAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPARAGTLCVFVGTGVQFLGMLLVTLLFAILGLLSPSNRGGLMTAMLLVWAFMGVLAGYAAARLYRGFRGSEWKAVAMRTALAFPGAAFAVFFVLNALIWGERSSGAVPFTTMTALVLLWFGISVPLVFVGSYLGFKRPAATEDYPVRTNKIPRPIPEQPWYMNPAMSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFAILVVTCAEIAVVLCYFQLCGEDYEWWWRSYLTAGSSALYLFLYAAFYFFTKLDITKVVSGVLYFGYMLIASAAFFVLTGTIGFYACFWFTRLIYSSVKID >ONIVA06G27140.1 pep chromosome:AWHD00000000:6:26096384:26097943:-1 gene:ONIVA06G27140 transcript:ONIVA06G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETTTMMKVLVRVREFDVEKDLPAVEELERRCQVGLSGDMAAVHDHADDGDGAAAKEKKKTKTKTKKKKAGMSLCVEQIGDPLARVRHAPEHVMLVAEYGEEEEKKKVVGVIKACVKTVSRGGKQEKPFVKVANLLGLRVSPSHRRLGIGTALVRRAEEWCVARGAEHATMATTESNAASLALFTGRFGYAPFRRPEFIGHPVHAHRLPVARGHRVFQLPPEVAAAAYARLLPPQDAEFLPADMPALLAHKLTLGTFVAVAADGASFAMLSVWDSTRSLSLRVSGAPALLRASLAALRALDRCAPWLHLPSIPDIFRPFGAYLLYGLRMSGPDGPELLRSLCHHAHNVARKNPACAVVAADISPDDPAAAAVPRWRRFCCDEDVWCIKNLNPDEHDADDWAAPPPPPGRHLFVDPREF >ONIVA06G27130.1 pep chromosome:AWHD00000000:6:26094450:26095168:-1 gene:ONIVA06G27130 transcript:ONIVA06G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAMDQADEVHKEKVCYYLDHIVQAKYGVFTVHRGALVRMVQAPGHGMDGLLKKKSGLTDTGFGGAYPILVPCKNLGVVVVMDITLTDRLSPAPRAATGAERCRAKSGLKRRLNRV >ONIVA06G27110.1 pep chromosome:AWHD00000000:6:26082741:26088318:1 gene:ONIVA06G27110 transcript:ONIVA06G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSGVGVLGRRPAGGEERRTGAAWREERSRDSRTAGRAQARQRELDGDNGHVGATAAGVVGAKRPSRGRGVADRGCACGGRCRRARHEQGGCKLDSGSGETGRAQARQRELDDDNGHVELHVAEDDELKLSPLSIGKLELSLPSPHNGRGAATATRALLAITDVLLASQSLLSSGHADPLVVPYPRHRHCASPPAAAHALACKK >ONIVA06G27100.1 pep chromosome:AWHD00000000:6:26076018:26080448:-1 gene:ONIVA06G27100 transcript:ONIVA06G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSARGRGRLLLLLLLAAAVAAGAPAAPAVAEVATCKAWLVQSIPTDMPHLRRVPGVLSTADVLQWLSGNATKSLDVLAQYWQFLAQPKNPKSGDYGYSESEMVRFGADKGQRVYKALEKAADRKIKIRIVQHSGFAPDFDKESADLAAGRPNVQNVTLLFGDWWGSGVVHAKVWISDKKDVYIGSANNDWKSLSQVKELGIYFADCPQIAKTVEIYFQNLWKLSTLNSTAYTKVAWDKQWQAFRKVPCWSHFLKPEERCRSPLPPSVDVPYVDGYPSLANPKMLDFSFETPGYKSSTKEHHLSYLSYAPPELSFDKFQADEQGWLDTIKSVKFGGVVRISTMDWLGQSQYATQTVFWPSLSSAISEVIFSKNATVRILVAYWTHFIPNTEKYLKSLLYSNILCTSSSYNHCMGKVEIKYYVVPGYNKTGPALAQGAATGNRYPDFTRVNHGKYAVSDVRANIGTSNLIWDYFYTTAGVSFGTYSPAIVSQLQDIFDADWFSPYTVPDRNAIQQEITCINNIRSFTALYRNFTSQMRSVNFQKTLYKCKFYYLLSIASSNQFESIEFIQSFLLSVKSVKPDILSEL >ONIVA06G27100.2 pep chromosome:AWHD00000000:6:26076396:26080448:-1 gene:ONIVA06G27100 transcript:ONIVA06G27100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSARGRGRLLLLLLLAAAVAAGAPAAPAVAEVATCKAWLVQSIPTDMPHLRRVPGVLSTADVLQWLSGNATKSLDVLAQYWQFLAQPKNPKSGDYGYSESEMVRFGADKGQRVYKALEKAADRKIKIRIVQHSGFAPDFDKESADLAAGRPNVQNVTLLFGDWWGSGVVHAKVWISDKKDVYIGSANNDWKSLSQVKELGIYFADCPQIAKTVEIYFQNLWKLSTLNSTAYTKVAWDKQWQAFRKVPCWSHFLKPEERCRSPLPPSVDVPYVDGYPSLANPKMLDFSFETPGYKSSTKEHHLSYLSYAPPELSFDKFQADEQGWLDTIKSVKFGGVVRISTMDWLGQSQYATQTVFWPSLSSAISEVIFSKNATVRILVAYWTHFIPNTEKYLKSLLYSNILCTSSSYNHCMGKVEIKYYVVPGYNKTGPALAQGAATGNRYPDFTRVNHGKYAVSDVRANIGTSNLIWDYFYTTAGVSFGTYSPAIVSQLQDIFDADWFSPYTVPVKPLEASA >ONIVA06G27090.1 pep chromosome:AWHD00000000:6:26070506:26073042:-1 gene:ONIVA06G27090 transcript:ONIVA06G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMAGAPRVRSLNVAETDADARPVLVPGGNKARSGPAAARKPSPKPLRKADTAARTPEKPAAAAAPPAKEEEGAKKNAGGGVGKGSSPLPSPRWAQPQPPPARKAAHDAPVHLNLSLNTSCSSDASVESLRGRDSSGGRLERSWSRVAPAVPRRGKTPVKAAAAEKVAADAEVVAPATPEAGKRRCAWVTPTSDPCYVIFHDEEWGVPVHDDRRLFELLVLSGALAELTWPEILKRRQLFREIFVDFDPVAISKINEKKLVAPGSVANSLLSEQKLRAVVENARQILKIVDEFGSFDRYCWGFLNHKPIVSKFRYPRQVPVKSPKADMISKDMVRRGFRGVGPTIIYSFMQAAGLTNDHLVSCFRFKECNEAPTLCTSDTSNANSEADLSADELRTKICSKEMAAKAELSRTIGCVLGYPQNEF >ONIVA06G27080.1 pep chromosome:AWHD00000000:6:26064299:26066944:1 gene:ONIVA06G27080 transcript:ONIVA06G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLTLLLLLCLASPPLAAAAAACGTNTSLVGYEADLWMSQHQLRGRVEVLDGCSFRVAALDLLAGSASARWWRAEGPDLDSLARGAPAAGDPLNRTFLSESLVFRLLPGVSWPLVPVLAAFDPLTSSLFGFVRLSNDSSADSEAPTMFDSCAQLSPRLRVRWTLHGTSDSIDIGLEAAVGSEYYIAFGWAAPGAPEPSMIGADVAVTGFTEDGLPFADDYYVTKYSECTVRADGAVEGVCPDTIYEQGNDTAAGAVNNTRLVYGHRRDGVSFVRFSRPLVSTDKKYDVPVNATANMTVIWAIGLLRPPDSLQPYYLPLGHGSPAGTAFGFATLNVSASGGCVGPLDAEDKEDQDRITAERNTPLVVTAGPSLHYPNPPNPDKVLYINKKEAPLLKVERGVPVTFSVEAGHDAPLYITSDAVGGNATSRNATEVVFAGGARAEGVPAAPAELVWLPDRNTPDVVYYQSLYDPKMGWKIQVVDGGLSDMYNNSVLLDDQQVTFFWTLSGDSINIAARGERKSGYLAVGFGSAMVNSYAYVGWIDGNGTGHVASYFIDGEDGAGVHETSENLTHTRCRSENGAIVFELTRPLSPSCSGRVECRNIVDPTTPLRVIWAMGSQWSSGQLTVSNMHSITSNRPVRVLLLAGTAEAEEELRPVLAVHGFMMFVAWGLLVPGGIMAARYLKHLKSGDLWFQAHTYLQSSAMAVMFLGLLFAIAELRGFSFKSTHAKIGTAAFVLACLQPINAYLRPHLLAENGEILPMKNRVIWEYLHIITGRSAVVVGAIALFTGLQHLGDRYGSKNIKGLTCGLILWVVGVTLVVVYLEFMAARRRRGGGADDLSGKWVLGNTDEDDSVDLLQSTKMESDSIEPMEVQLEPLKG >ONIVA06G27070.1 pep chromosome:AWHD00000000:6:26055919:26062523:1 gene:ONIVA06G27070 transcript:ONIVA06G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCATNSRTQVHRDRGVWCSLNSNRSFQARRVLKDLTASNHTIFAPPFYSAGTIEMSLSGWLEACALGENFKTFAFFP >ONIVA06G27070.2 pep chromosome:AWHD00000000:6:26056165:26062523:1 gene:ONIVA06G27070 transcript:ONIVA06G27070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCATNSRTQVHRDRGVWCSLNSNRSFQARRVLKDLTASNHTIFAPPFYSAGTIEMSLSGWLEACALGENFKTFAFFP >ONIVA06G27060.1 pep chromosome:AWHD00000000:6:26030499:26039610:1 gene:ONIVA06G27060 transcript:ONIVA06G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRISTSSVSDVALARSENDPARYYDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINERTTFDVSPGQPSLISDDTDVVALVGTKKDLAKQINLKELLEDSVEERMEKTLLESDKTEAESKDADAEDNNKRKSSEGGKQGGSVKKVKKDKIAGATGKTNKSETSIVSVAPRVKPELTLPVIPVEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGKTLCSPGLGYVKDWPTAENIPQGENGLSQGERTSASDYGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLGGHSSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKVLKTDDTSTNRLRMLKTLRTKSTPVYTLRFSRRNLLFAAGALSLGS >ONIVA06G27060.2 pep chromosome:AWHD00000000:6:26030499:26039610:1 gene:ONIVA06G27060 transcript:ONIVA06G27060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRISTSSVSDVALARSENDPARYYDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINERTTFDVSPGQPSLISDDTDVVALVGTKKDLAKQINLKELLEDSVEERMEKTLLESDKTEAESKDADAEDNNKRKSSEGGKQGGSVKKVKKDKIAGATGKTNKSETSIVSVAPRVKPELTLPVIPVEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGPQGENGLSQGERTSASDYGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLGGHSSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKVLKTDDTSTNRLRMLKTLRTKSTPVYTLRFSRRNLLFAAGALSLGS >ONIVA06G27060.3 pep chromosome:AWHD00000000:6:26030499:26039610:1 gene:ONIVA06G27060 transcript:ONIVA06G27060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRISTSSVSDVALARSENDPARYYDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINERTTFDVSPGQPSLISDDTDVVALVGTKKDLAKQINLKERKSSEGGKQGGSVKKVKKDKIAGATGKTNKSETSIVSVAPRVKPELTLPVIPVEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGKTLCSPGLGYVKDWPTAENIPQGENGLSQGERTSASDYGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLGGHSSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKVLKTDDTSTNRLRMLKTLRTKSTPVYTLRFSRRNLLFAAGALSLGS >ONIVA06G27050.1 pep chromosome:AWHD00000000:6:26008386:26010123:1 gene:ONIVA06G27050 transcript:ONIVA06G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAASRDASRGTWAVGFPEWPATTPPRRGDYYYLSVLDLDSLSPLAVSRRRRLLSEWPCVAWWKAVSERGDCTYGTTCLFVPQEVTGGSPQSISRIRVAARIQADGGAGPLARVGKGDGDAGPTDNGGGPACFSGLHYKAPLPRPSAGGHRCGAVATPSVTDEVAPSALLLGHIAPQQRKRVWKVVGPTDGKWSRLAKFG >ONIVA06G27040.1 pep chromosome:AWHD00000000:6:26003611:26004989:-1 gene:ONIVA06G27040 transcript:ONIVA06G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMLPPPSQSVSSRPPSWLYIPPRRRHGTFTSSCAFRLSPSSPSSPPPTVLDFQYIQFMDSWIEQTSLSLDLNVGLPSTARRSSAPAAPIKVLVEENFLSFKKDHEVEALEAELRRASEENKKLTEMLRAVVAKYTELQGQVNDMMSAAAAAAVNAGNHQSSTSEGGSVSPSRKRIRSVDSLDDAAHHRKPSPPFVAAAAAAAYASPDQMECTSAAAAAAAKRVVREDCKPKVSKRFVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSADDNTVLVATYEGEHNHAQPPHHDAGSKTAAAAKHSQHQPPPSAAAAVVRQQQEQAAAAGPSTEVAARKNLAEQMAATLTRDPGFKAALVTALSGRILELSPTKN >ONIVA06G27030.1 pep chromosome:AWHD00000000:6:25993707:25994205:-1 gene:ONIVA06G27030 transcript:ONIVA06G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSGWPKSSSHWPNLASQNSLANCGSHADSIPLPFSLSATVVVEMPLLQLKSSLPDAAAVGASAVTVIRRQPRSPSATVVSEVSPSGGGGGWGWGGDGGGEDQLSPAAS >ONIVA06G27020.1 pep chromosome:AWHD00000000:6:25990189:25991579:-1 gene:ONIVA06G27020 transcript:ONIVA06G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVVRRRRRIADYLNDGEELGIEGSPAVTPRSPALAAARSLLPRFRWARAASRIGRKGKAEKEGVVVVEEEIAVEKNGEPVAAAAAAAAAAATVASTSVFDNESHTRTPDLGVGLSLVFLLAKTSDEFNKMAKVRAEMETLLREIKEQVRQSSSGGVGDDDASKPRCNLESAASSCLTDTNENERASARMMEDQATSSSNHMEEEEVSCEKSAEEYECCFPRMDVLEEEFHAELDLLQVNYGSDVQLFLPEEHDAEQPDEITECREEFNDDVGREDEVVEDEDYDDEAEYNGVNAVELERRLHELLHQRNQERIEELELALKRAEKKLVEKEMEVSMWKDTAKLALRQDSSTMLW >ONIVA06G27010.1 pep chromosome:AWHD00000000:6:25900527:25905274:1 gene:ONIVA06G27010 transcript:ONIVA06G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACRSSSSPAPPLSLSLSICRNRRASSASARPRILSRISLSSAFAVPPPHPSPPRQDRAPPRAGVHRPAAGAAAAVPVAGGVAQADSLRDRPPRGPLRRLPGCPGREGVLPRRGRRLHHRHRQEATGARELKD >ONIVA06G27010.2 pep chromosome:AWHD00000000:6:25900527:25905276:1 gene:ONIVA06G27010 transcript:ONIVA06G27010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACRSSSSPAPPLSLSLSICRNRRASSASARPRILSRISLSSAFAVPPPHPSPPRQDRAPPRAGVHRPAAGAAAAVPVAGGVAQADSLRDRPPRGPLRRLPGCPGREGVLPRRGRRLHHRHRQEATGARELKD >ONIVA06G27010.3 pep chromosome:AWHD00000000:6:25900527:25903906:1 gene:ONIVA06G27010 transcript:ONIVA06G27010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGACRSSSSPAPPLSLSLSICRNRRASSASARPRILSRISLSSAFAVPPPHPSPPRQDRAPPRAGVHRPAAGAAAAVPVAGGVAQADSLRDRPPRGPLRRLPGCPGREGVLPRRGRRLHHRHRQEATGARELKD >ONIVA06G27000.1 pep chromosome:AWHD00000000:6:25887766:25889049:1 gene:ONIVA06G27000 transcript:ONIVA06G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPDGRIKRRRGPTKLANVENLPEGVKIIVKLDRFNAPCSQSSVVLGSYLGTLVRKPHLAPLNILKWNDKLYKRIYHPKLISEVQFAIDGRAKDWLLHQLDGKWRQYKSNLKKKYYKANLPMERVLQTVPQTVNESQWPTLVSYWYSEDSKKISDQNQENAQNIKHPHTLGRKSFARKRKELEHDGVEVDRATFFDECHKTKDGRYVNDATQDKMNEVYMKLAEKRVDGQELTEADFEQAMLEVFGKDHSGRVRGMGPTITPTNYYGGRFSNMSGRSEQGSSSSNVNGFISFMVSYLAEKYPEDNLMSRLPPSLC >ONIVA06G26990.1 pep chromosome:AWHD00000000:6:25864778:25865514:-1 gene:ONIVA06G26990 transcript:ONIVA06G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGACWRKGLVRDSPRQRLDGCGLAVAPCSADAGRDDGAGVPSESEGFGLRLWVWRLRVVWRVSWREGSIYRRGRSERQARGIVAKCSASLARAGGGTASALCGLGTAGFAGRGWRRVASRRCSGREREGEQESGSSGLGEPARDAAKAAAGPQAGAYQPCLSFASGNRGQRLLGRLGNDDLDLAR >ONIVA06G26980.1 pep chromosome:AWHD00000000:6:25857907:25863982:1 gene:ONIVA06G26980 transcript:ONIVA06G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDIVHSRGQEKGLATGARVGVQKASVQKNVIEPRGHARVQQLENLHHMSMKREASSAELVTSQNLLKSSGGNGNQPRHSQSLSNWQKKQLEKLSVENVKKRDSGSAV >ONIVA06G26970.1 pep chromosome:AWHD00000000:6:25816556:25844301:1 gene:ONIVA06G26970 transcript:ONIVA06G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLCERLPPVLLLVDVVFVCAVYAAHRCGTLVDFVCAVGVVVELLYKMVYHKVNKTKSEAQDQQTCGEVDPGGEEEKQSKGRVQDGANIKEKRITKQSAQVMAQESKLDLLLKTLEENEKRRQEAEARARADVAEIKAAVESRLPQMEKCITDINSALGALNHKVEQLEDSVAKGAGLEKLGRDLKVETSEQLGDQTPKFLHARYWILARRKLGDQKAPLFPTPHITIGAGGSSASYYESWEERAFAEDSAGHLGGCIWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRARLKLSGVVEDGTGGESHGMPPNQNYMIQPCPPQIGTLQHAYSPNPRSGSILAADTNPNSICDVVAYPARSLLQVAAARTALGKQVLNAPLVSSKSPSAGREHGKRETLFLGAVRLAQDHDTVSSNLDLRVGKNELKITTVLGCRSRRDFMYDNDRADDEKTVEASHKKRRIDLEVNPLILTSSTSNHQQQDGGDDQHHEKILKLYRSSSVEELDLELRLGEAPKEQ >ONIVA06G26960.1 pep chromosome:AWHD00000000:6:25807780:25812238:1 gene:ONIVA06G26960 transcript:ONIVA06G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMEEHLGNTTSTTFLHQPRNLWKRPRGTVLRIEALALVAIVLTFFVAVLGSCRRWSNHWIVQKGFLAANVLSLSLGTYSIGIMQSSSVKSEMYPIWTVCLFTLLGCTDPVTSYNGLDYKSPLLKMLFQLGLYCGYVLLMSISTISTDVGNIAIGMLSAITFVKGFHRSLALVLQSRMRDMEAKTVGLQEPRFLSRGRDYGEERENMIVDFPPDLENLVYGSERPALSNTVHMADIDLICQEKDELQLCSDVCVAFSLSHQLQRYILGLSEHVDNKVDLNEDIIDYKWALKVIGVELAFLYEVFFTGNAFLHFYEAKAASFWALASFIGICFVGVAVAIPRTMTSRRTTSLGSGATVVVDTTTADLFITLVILVSLALLQLMHLIWCWTSNWARLAFACECARNQKKGIGIQWSWWMRLKWFAITRTNWFDKYLWQDKLGQCSLAGKAGREWKFLGNSITSMGGHQMHGLQYIGHVLWDLWGSDANKGVAFRLDDDVRASITDFLGQIRSDMIDHHWLSELRENGVDIDELPYMIVEDKNSFVHVMFLNTASFGFIYAHSVMVWHVATCYCELAEQEKQDAMLNQSTEDTAAEAGCFEKAAAPCYRKKQAATGGGGGERAKNRRVANALSKYCTYLVVSAPELLPGPAAHAKRAYNVFAEEAKMAPREAMTGNYSFLIGTDLGIQLLGERPPRDGVGCCSDPWKALALVWVQMLVYAAPYGNVEAHMRHLAQGGEFITHIWALLYHLGIRKWQPPKVDKDTHKSLEDEGEGSEAEPRRDVEEETSSLP >ONIVA06G26960.2 pep chromosome:AWHD00000000:6:25807780:25809022:1 gene:ONIVA06G26960 transcript:ONIVA06G26960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRGQIRRASTHRRQDREASFPGDALAFPQLSSSSPSPPAGCRSIGFGEKSHRIESCTHSASAFVPATTHRWSTQEAAGMRPSMVVVDSTAAPTFAVDQSIDSVWPFNSNYYKPRSNNHTILLVFAASAEEGYSVGFYWRQEESSYNWEAQFCWKLEEQKQKHALDLFGCHFLAVAAAAAVASSHGSRRGRRN >ONIVA06G26950.1 pep chromosome:AWHD00000000:6:25781151:25781626:-1 gene:ONIVA06G26950 transcript:ONIVA06G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHSSSSPCPCQGTAPRCGKNEGGAEESTRWQEQQQLEEELVSVAEAAGEGRRGGEEEQKGVEFGRLFVTFALRLYQKFRIQKLPTGSFFSFLFSTTAFDFESISVFKSFSRIKVKVKIG >ONIVA06G26940.1 pep chromosome:AWHD00000000:6:25781086:25787405:1 gene:ONIVA06G26940 transcript:ONIVA06G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGMVGDSFGCSATGERLVSAARDGDIQEAMALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGATAIHFAALKGHTRCLRLLVADYVPSLPEFWSVMHAKCTDETNKEAFDAVALRRLINNKSDGGVTPLHLAALHGHAECVQLLLDLGASVSEVTINDGSTIDLIGSGSTPLHYAACGGSAVCCQLLVAAGANMRAQNTNGLTPLMVARSWHKSSVEGILTKRPEVPVRILPSSYLSLPLMSIVKIARECGWRKTSVSSVCHDPCAICLDTECTVSAEGCGHEFCTKCALYLCATASSSTSIRGVPGSIPCPLCRHTIVSFVRLTSTTPIKELPWTNKSLALCAAGASTGSKYAGPAAITSSKYAGSLHRRSEMRSLRSSSVDLGCSSFRTASSGKLSSIKLNCTGADETMPCLVNCFRPDVQRSSSYRERIRRYSQFS >ONIVA06G26940.2 pep chromosome:AWHD00000000:6:25782466:25787405:1 gene:ONIVA06G26940 transcript:ONIVA06G26940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGMVGDSFGCSATGERLVSAARDGDIQEAMALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGATAIHFAALKGHTRCLRLLVADYVPSLPEFWSVMHAKCTDETNKEAFDAVALRRLINNKSDGGVTPLHLAALHGHAECVQLLLDLGASVSEVTINDGSTIDLIGSGSTPLHYAACGGSAVCCQLLVAAGANMRAQNTNGLTPLMVARSWHKSSVEGILTKRPEVPVRILPSSYLSLPLMSIVKIARECGWRKTSVSSVCHDPCAICLDTECTVSAEGCGHEFCTKCALYLCATASSSTSIRGVPGSIPCPLCRHTIVSFVRLTSTTPIKELPWTNKSLALCAAGASTGSKYAGPAAITSSKYAGSLHRRSEMRSLRSSSVDLGCSSFRTASSGKLSSIKLNCTGADETMPCLVNCFRPDVQRSSSYRERIRRYSQFS >ONIVA06G26940.3 pep chromosome:AWHD00000000:6:25782984:25787405:1 gene:ONIVA06G26940 transcript:ONIVA06G26940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGMVGDSFGCSATGERLVSAARDGDIQEAMALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHKKDCFSGATAIHFAALKGHTRCLRLLVADYVPSLPEFWSVMHAKCTDETNKEAFDAVALRRLINNKSDGGVTPLHLAALHGHAECVQLLLDLGASVSEVTINDGSTIDLIGSGSTPLHYAACGGSAVCCQLLVAAGANMRAQNTNGLTPLMVARSWHKSSVEGILTKRPEVPVRILPSSYLSLPLMSIVKIARECGWRKTSVSSVCHDPCAICLDTECTVSAEGCGHEFCTKCALYLCATASSSTSIRGVPGSIPCPLCRHTIVSFVRLTSTTPIKELPWTNKSLALCAAGASTGSKYAGPAAITSSKYAGSLHRRSEMRSLRSSSVDLGCSSFRTASSGKLSSIKLNCTGADETMPCLVNCFRPDVQRSSSYRERIRRYSQFS >ONIVA06G26930.1 pep chromosome:AWHD00000000:6:25765609:25768659:1 gene:ONIVA06G26930 transcript:ONIVA06G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIDSFVGLCLNELQKAITEEAILILGVKEDLMELQQRMEQIKPFLNDAEQRGIEDSACGKWLGRLKDAMYDADDIIDLARSEGSKLLADHPQGSSGKPNSCTGFSPFSCFSNIRKRREIGVKINNLNKIIESTAKDKIFLTPEYSAQSTGKSSSASKVTISSHLVEPNLVGKEVMHACSKLVNLILANKDKKAYKVVIVGTGGVGKTTLAQKIYNDITLKGNFDKQAWVCVSKEYSKASVLRQILRIMEVRHDIDESIGELQSKLTSAIKEKSFFLVLDDVWQSDVWTNLLRTPLHAAATGTIIFTTRYDSIPQELGARYIHRVDLMSVDVGWELLWKSMNITDEKQVQNLKDIGIEIVRKCGRLPLAIMVIARVLASRDQSQNEWKKILNKSSWSMDKLPSEISGALYLSYEDLPQHLKQCFKYCVVYTEDSDIYHDDITKMWIAEGFIEEQEGQLLEDTAEEYYYELVHRNLLQPDYSNFLHNVCKMHDLIRQLACHLTRDECFVGDPESLGGNILCRLRCISVVTEKDMVVIPSIGKEQVKVRTFAIASWSLRVEDTIFKRFLHLRVLDLTGSQIQSIPSYIGNLIHLRLLDLESTSVTCLPESIGSLKNLRILNLPGCGGLQTLPLATTQLHNLRCLCLRQTPINQVPKGISRLKLLNDLEGFPIGSDNGNTSTQYGWPLEELVPLLHLRRITIIKLERAVHHSTDPILLGKKSLKILSLCCTKHKNRPYLEVDVNNIEKIFELLIPPHSLEDLVIEGFFGRRYPTWLCSTYLSSLKYLNIIHCKLWVHLPPLGQLPNLRYLRIVGATSVSKIGPEFVGRLAGNPTFSEAVAFPKLEWLIIDNLPNWEEWSIVEQDSLATTEGANDGAEAKRKREAMFPRLQLFPRLEKLDIARCPKLRALPEQLAQAGSLRILQLRKAGRLKIVENLHFLSDLLLITGCGCLERVSNLPVVERLNVRRCPRLTSVDRLGSLRQLSLGARMRKISLLWMPGLQQQCQQLHGEALDVIIR >ONIVA06G26920.1 pep chromosome:AWHD00000000:6:25736674:25739760:-1 gene:ONIVA06G26920 transcript:ONIVA06G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G28030) TAIR;Acc:AT4G28030] MASASRLLPPTPPPHSAASPPARHRHPTLAAPLSRRPINPPRLRCRAASAAAAAGAAATTTTGGGGGALVLEGSGAGAVAVREFVTLDELRAAVRLRIRTFYEYATDSYGAEDLRKSLADREYDALQDRISGKMINFQRVSCINGTVPLLPSLVSAEELCSTCKFVEDGEERVVVGSLDLNQCLWLPDELTGKRPGVNESSHTRAYLSNVCVAKELQRNGLGYALVDKSKKLAREWGITDLYVHVAINNEAAQKLYNKCGFVYESEEPAWKARFLGRPRRLLLWLDLKKDAL >ONIVA06G26910.1 pep chromosome:AWHD00000000:6:25728629:25729701:-1 gene:ONIVA06G26910 transcript:ONIVA06G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSFRAEESGEIYLRSSELDTGKIPASKPVPFRHNTNSNSVPNPGSKTGKSDDYRCEMGTLLSLYLQQECDKHRKEQEEGDSPILLQMTVSVSDIKGADKWSTKADLAGYVC >ONIVA06G26900.1 pep chromosome:AWHD00000000:6:25720468:25721046:-1 gene:ONIVA06G26900 transcript:ONIVA06G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPRKFKKAFMERLLLSLQVAGLTSKSMGLRERRDAVRLSSDVAMASARGRAAPWARALVARHAAERRNEPLLRRIMGGDVYERAVSSAGAAVARSRRIVRRSQRVACSSRRKRRSLAMAAASGGGGALAARRMVKGRLRLLRRLVPGGEALRGFSLLSETLDYVVCLKTQVELMHSLCKGSQQKLHLQLG >ONIVA06G26890.1 pep chromosome:AWHD00000000:6:25714196:25717061:1 gene:ONIVA06G26890 transcript:ONIVA06G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCSNAVFAAFNVVTLLLGAAVLAAGIYYGAPHRGGGGVTECERFLRAPALALGGAIVAVSLAGLAGACCRATPLLWAYLLLTGLLILAAACFGVFALVVTNAGAGRAVSGRGFREYHLGDYSTWLRRRVEDGGHWARIRSCLVDTGVCRRLKSNQTLDEFVNSNLSPLQSGCCKPPTACNFTYQNETYWIKPPTPSNYSDPDCNSWSNDQSELCYGCQSCKAGVLGNLRSSWKKIAFVNAAFVALLLVVYSLGCCALRNNRRHKYSLVGK >ONIVA06G26880.1 pep chromosome:AWHD00000000:6:25701940:25704726:1 gene:ONIVA06G26880 transcript:ONIVA06G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILFAVRKIGVALGNEATSQAVSYFRKFVTQLTELQGSMGRIRRELRLMHEFLCRMDVRNRNNQTYEIWVEEVRVLVHGIEDIVDEYLHLIGQKLDTGWSTYLKKGIKRPSVVVSLNRIASLVKEAEVNLVHLFQAKDRWVLLPSDSSDNSSYIVERSQHLATTSRSISDEDLVGVDDYRRDLEKWLEDDEPAHLVVALLGMGGLGKTALAANIYRRAKEKFECHAWVSISQTYSRQGVLRNLIGKLFKDIEDVPTDIATMDITSLEEKLHLFLVEKKYLIVLDDVWSTEAFTDLSNALVHNGTGSRLVITTRDSEVAGLASKNYVVELKTLPSDKAMELFCKKAFRSDTDDKCLAKLNDISVEIVSKCKGLPLAIVSVGSLLFVREKTMEEWKRINDQLSWEIINNPRLDHVRNVLLLSFIYLPSYLKSCFLYCSLFPEDYPLKRKKLVRLWVAEGFIVEKGESTLEEVAEGYLKELVHRNMLQLVQKNSFGRIRRFKMHDIVRDLAVDLCRRECFGITYEDGNHGRSLEENDERRLVIQKFHEDVGQSVLGVYRLRSIIVLDKSTPSSIILSSVLDNSRYMSVLELSGVPIETVPNAIGNLFNLHHLGLRGSKVKFLPESIEKLSNLLTLDLSGSDIRCLPRGIVKLKKLRHLFAEKLHDATWRNFRCCTGVRFHKGLGNLTSLRTLQGLEAQEESVRHLGELRQLRSLRVWNVKGAYSGRLCTSLVKLQFLSNLYIVASNENEALQLEGMNPPPPNLQRLFLGGRLADDVLQEESPLFHHAARRNLYELRLYWSQLEQDPLPSLSLLLNLTDLRLTNTYIGERLVFLSQWFPNLRILFLRDMPNLKWLVVQKDAMKKLQRLTLVNLKNMRDVPLGIQFLMPLKYLGFLEITKEFLELLQSSSIKDFRWQYSLRDSEASVDI >ONIVA06G26870.1 pep chromosome:AWHD00000000:6:25684596:25687841:-1 gene:ONIVA06G26870 transcript:ONIVA06G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWQLASYKDPLFPSCWKKRSKSPNQPAKVCRIASLIKQRSDKRMAETAILLAIKKISIAVAGEMLSLSRPIIAKKSELVVALPTNMELVKDELEIINAFLKKVKTRDCRDNVMETWITQVRRLAYDIEDIVDQFIYVVGEHQGKGFWGNLKKFVKKPESLFSLDRVATEVEKVKLKLKELSSRRDRWVQSTICRPDAEIPNYDDEQGVYQFRHSQVPDYDDNELVGVDEYRETLTKLLYSEHCSLRIVAVCGMGGLGKSCLVYNVFKRERSHFDCSSWISVSQSCKMDDIFRNMLNQLLGDSSEVNYDTSRMGIEVLKEELKRFLEDKSYIIALDDIWRAPVLFEIRDTLFNSGKGSRLIITTRIDEVAAIAEDACKIKLEPLSKYDAWILFCRKVFWKTENHACSPELQKWGEKIVNNCEGLPLAIVALGSLLSLRDKTEAVWKCFHSQIIWELQNNPDISHVEWILNFSYRHLPNHLQNCFLYCAMFPEDHLLRRKKLIRLWIAERFVEQRGSISLEEVAESYLIELVHRSMLQVVERNSFGRIRRFRMHDLVRELAIKMSEKESFSSLHDDTSGVVQVVSDSRRESLIRCKSEITSNLASSRLHTFLVFDTTMLQCSWSCFVPPKSKYLAVLDLSGLPIEAISNSIGELFNLKYLCLNDTNLKSLPKTITRLHNLETLSLERTQVTSFPEGFAKLQKLRHVLVWKLLYNEHSSFSNSLGMGTIEGLWNLKELLTLDEIRANRKFVSRLGYLAQLRSLYISDVRSNYCSELCSSLSKMQHLLRLHVKASNQDELLRLESLQLPPELQTLQLTGKLTGGVLKSPLLFSANVNSLVRLSLCWCDLTEDPIPYLSKLSNLTSLHLRRTYNGHQLRFHAALFPKLKGMTLQDMVEVREIYMDEGTLISLEYLKLDGLKQLVHVPDGIEFLSSLKEVYFWTLHAHFRGNLQESARMGRLNHISAMNLR >ONIVA06G26860.1 pep chromosome:AWHD00000000:6:25679062:25682597:1 gene:ONIVA06G26860 transcript:ONIVA06G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT1G68220) TAIR;Acc:AT1G68220] MAGFATSRPASLALAALLAFLNLFAFLLAVGAERRRSTGKVVPDEYDLRSYCLYDTDASTVYGVAAFFVLLLAQALVTAATRCLCLGPALSSRGCAVASFVLSWITFLTAEACLIGGSVRNAYHTKYLGYYMKHDLVTCATLRKGVFAAAAALMLINLLASLMYYWSYSRAATGGFIKHQNEVGMSMTDYGLDKGGSMP >ONIVA06G26850.1 pep chromosome:AWHD00000000:6:25667688:25668378:1 gene:ONIVA06G26850 transcript:ONIVA06G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVQANILRSHGGIRQTIKTAYMTIWLDDFDSIVNSIWMKQGIIATILRSKGGIHQTIKIAYMNLCFDEFNSSTNSIRKEHEIQV >ONIVA06G26840.1 pep chromosome:AWHD00000000:6:25662474:25664348:1 gene:ONIVA06G26840 transcript:ONIVA06G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:A0A0E0I0M3] MVLSNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >ONIVA06G26830.1 pep chromosome:AWHD00000000:6:25653434:25660825:1 gene:ONIVA06G26830 transcript:ONIVA06G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P4H isoform 1 [Source:Projected from Arabidopsis thaliana (AT2G43080) TAIR;Acc:AT2G43080] MGMARARARRLLPLLTFVTLGMILGSLLQLAFFRRIDDHSNVTHLETDQEAAFLRLGLVKPEVISWSPRIIVFHNFLSSEECDYLRAIARPRLQISTVVDVATGKGVKSNVRTSSGMFVSSEERKLPVIQSIEKRISVYSQIPEENGELIQVLRYEPSQYYRPHHDYFSDTFNIKRGGQRVATMLMYLTDGVEGGETHFPQAGDGECSCGGKMVKGLCVKPNKGDAVLFWSMGLDGETDSNSIHSGCPVLEGEKWSATKWMRQKEFV >ONIVA06G26820.1 pep chromosome:AWHD00000000:6:25652660:25653399:-1 gene:ONIVA06G26820 transcript:ONIVA06G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGEGGGMEDYAAWVAATIFCRNNSDYAPENPDGPPSPTRTLRCTYRRGGGEDRDSLCMLHQLDDCDDVGALGDEGWVWPRKAMGSVVEVVVPSMREHGVGAYLGYVRWRRMDAKINMAYL >ONIVA06G26810.1 pep chromosome:AWHD00000000:6:25646189:25650587:1 gene:ONIVA06G26810 transcript:ONIVA06G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HU70] MMLIAKKPLLLLLLLLVLLVLEPRSSAEANLVGVNGGETDERRLESLVDDELPFSQLGLGFPTTVVAGGSENDVEEVVQAWYMDDDDNAEEDQRLPHRRQPDDLLPLAKLLDLGLVAMRLDADNHEHDENLKIMREQRGYLHMDIVELTPEKMPNYEVMIKRFFEEHLHTDEEVRYCLDGSGYFDVRDENDKWVRVSVRKGALIVVPAGIYHRFTLDTNNYIKMGPGGLLFGFLQTMRLFSGGPDWTAYNRPHDHLPERKKYLEALHNRTPRFGQLHRIRSKME >ONIVA06G26800.1 pep chromosome:AWHD00000000:6:25629661:25633118:-1 gene:ONIVA06G26800 transcript:ONIVA06G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFADLVVYWVSISMILKFEIIFRLHNRIAFVLEFGVNVTTGMRASGLQDPVALVSIGGWSPNQGAPQLAIILNPSFLRRRWGLCPGWPYWVAAMGLSDLTLSTELEPMGLSSTEQGNRQVEPRGKSMGTAIDSEPTAIDLFNELHCSKLKENYHHYFNDDVLWWSQKLVSDPPSVMTAISSQQAGSDRKHAVIVIM >ONIVA06G26790.1 pep chromosome:AWHD00000000:6:25626174:25629484:1 gene:ONIVA06G26790 transcript:ONIVA06G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRSEEWMRQRAKRLKMNVRTLFWTSNDVVVRMNLVDAVQRLGIGHLFKDEIRRTLNDIHQSEFTSSSLHEVALRFRLLREHGLWVSPVTFNKFKGDDGRFMNGIADEPRGLLSLYNAAYLLVHDEPELEEAISFSRYHLKSMMQGNNLKHPLSDQVKRALNTPLPRTSKRTETLHYLSEYGQEEGHMSILLDLAKVEFNLLQGVHLKELKAISEWWRDLNEHVELSYLRDRVVESYTCSHMLFYEEGLAFTRITFTKIIVLIIMMDDTYDSHATIQECRKLNEAIQRWNESAVSVLPEYLKKFYHKLLNNFKEFENQVVVSEKYRVAHAKKEFQILSHYFLQEAEWSHNNYKPSFEEQLALSTKTSTVQLLCVSTTVGRGDAITNEAFMWAASSTTVTSCAKIMRFMNDIASFERGKNKGEIASTVECYMNEHNIISEVAFAKLDSLVEDEWRTINQARCEHHQLLPVVQRVVNLAICIMFFYDKRKDAYTFSTHLQEIVRNLFINPITM >ONIVA06G26780.1 pep chromosome:AWHD00000000:6:25621074:25621472:-1 gene:ONIVA06G26780 transcript:ONIVA06G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEAGLARAPLLIGGGRLGFPLFGGQSPAASLTPCGIGLEATALAAANVAAPAAVEDGDRDFEIQKNQR >ONIVA06G26770.1 pep chromosome:AWHD00000000:6:25618354:25621454:1 gene:ONIVA06G26770 transcript:ONIVA06G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHPSSHTGMAEEAAPNKIPWNTNPTPIYLAPQSTHPNKRLIIIAPHPHSHIPFHVSNILEKLVQIFRDQIRSRIYPPNPNAEAASDSTEGSQPWLLRIQGNGAAEAAPYRWGTDAETGWNLEVGQSKLSSSSKHTPMKIVLPPFHNLRFCVARPTLGRKPTRIPPRTVPILHRRRRRDIGSCQSSGLQTNAARRERRGWGLAPEKRKSEAAATDQERRPS >ONIVA06G26760.1 pep chromosome:AWHD00000000:6:25617851:25618289:1 gene:ONIVA06G26760 transcript:ONIVA06G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHPGSHERAKGGPKDPLNTSQFAVVRRTVHARPRANTVRRGENGRRRRCGVGGGGGGGGWHSAFPGFQRIHSFLMEDVQFSRHVSNKVETDVAYRH >ONIVA06G26750.1 pep chromosome:AWHD00000000:6:25611812:25612120:-1 gene:ONIVA06G26750 transcript:ONIVA06G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIDGSNLGPSVCGEGGDEDGCGGGGIGTPRSTGATPFLPAVTLRLGMLPPLGGGAAAAASSVVGGFGIRWVVGWGGVRCGDRAEPTLRSEPPPRRWMDDS >ONIVA06G26740.1 pep chromosome:AWHD00000000:6:25607097:25607633:-1 gene:ONIVA06G26740 transcript:ONIVA06G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGAVCSGASRERWWLTLISAFSEPFLDASHVVLHIGMEATALASADIEVPVAEGVGTVEAVSALAFCLRVVLVPRMVIPINDNQGRSIYIS >ONIVA06G26730.1 pep chromosome:AWHD00000000:6:25585417:25590480:-1 gene:ONIVA06G26730 transcript:ONIVA06G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G24280) TAIR;Acc:AT2G24280] MAAPASPLHPLAAIAAALLLLLVAAPSAAAAASPVFPGVPPLQLQALQQRRPASSAGGSRYVVAAAAAAAGGNGTAAAAKPFTAHYFPQELDHFTFTPNASAVFYQKYLVNDTFWRRSAAAGETPAGPIFVYTGNEGDIEWFATNTGFMFDIAPSFGALLHRFYGESKPFGNESNSSPEKLGYLTSTQALADFAVLITSLKHNLSAVSSPVVVFGGSYGGMLASWFRLKYPHVTIGAVASSAPILQTVKSVYSFRNWLWTAFVYTAMVDYPTPANFLMNLPAYPIKEMCKIIDGFPAGADIVDKAFAAASLYYNYTGDQACFQLEDGEDPHGLSGWGWQACTEMVMPMTISNESMFPPFTFTYEGKSDDCFQSYGVRPRPHWITTEYGGNRIDLVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEKGAHHLDFRSATKDDPDWVVEQRRQEVKIIQGWIDQYNEDLAQISK >ONIVA06G26720.1 pep chromosome:AWHD00000000:6:25579447:25583380:1 gene:ONIVA06G26720 transcript:ONIVA06G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQATATRKFPEGLRVLAVDDSPVCLMLLEALLRRCKYQPTMTRDAATALRMLRERPGDFDLVISDVHMLDMDGFKLLELIGLEMDLPVIMQSANGELETMMKGVTHGACDYLVKPVSLKDIQNIWQHVWRKRKLDIRNHNGGYNDGGELVGATRTKRKYTRKMRNDGDNYGENKENMDSTLKRQRVVWTPELHRDFVIAVHELGVDRAVPRKILRMMKVDYMTRENIASHLQKYRLYLKRISTQTGMDPDQFPEKWKYINELDALKNYCENGRYRLTPAIASSSSSNPFARMNSASALATNGFLPTHSVQLKNSQRNMAMGTVGHGGSPGNNPVFQPLQNSSNARKCFPSGPSGSSFANISNGLVLDTDDSGSSYAGMFCKSMWETSNGSPSCHSGNSCANKSNNGVSAPANQFQVQSKCGFSAPANQFPVQSNCGFSAPANQYQVQSNGGFSVPANQFPVQSNGEFLAPTNQFPVQYPEVNNQPLVQMNQSSTNHFSTIGNDYQFPDLANCSKYWQPTAPSMFPDLGHNDGTSFRPSQANIANINQLSSFAASSGQEPMFGDELHGQMSPIMSTISLSDFDDQMGSFNIGNDTSPAEMMHDNFSLGSDSNISSSTPTDSSFGSTFPDFHLDSPEMPAQMLNGGDEDGILLPVLDDTVDQQDLFDQLDENNGREKLGSGRCVRKGLFECFF >ONIVA06G26710.1 pep chromosome:AWHD00000000:6:25570169:25573604:1 gene:ONIVA06G26710 transcript:ONIVA06G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35 [Source:Projected from Arabidopsis thaliana (AT2G24090) TAIR;Acc:AT2G24090] MAMSLSLARVALPPLLQQQGGAPRKLHPGALAFPAKSFFGAPLAAVAVSPASAAAASPLRRRPSSASAVVAAGKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLSKKNTKRRKRLSKMIQVNKSDYNNVTGALPYLKVNRNAE >ONIVA06G26700.1 pep chromosome:AWHD00000000:6:25566142:25566707:-1 gene:ONIVA06G26700 transcript:ONIVA06G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESASSSSPTVHGKVAEALHIESAAVAQPDSFLSLLAYPTARRRRRADEVEGERGRANLDDELLLLFGS >ONIVA06G26690.1 pep chromosome:AWHD00000000:6:25561516:25563623:-1 gene:ONIVA06G26690 transcript:ONIVA06G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSQVKLSAILNATSLTRSIRRQKRQHSPVLQVRCYAIGGAQHESIATEFEEICKEVPQKLGAFYRFCRPHTIFGTIIGITSVSLLPMRSLDDFTMKALWGFLEALSSALCMNIYVVGLNQLYDIQIDKVNKPSLPLASGEFSVATGAVLVLTSLIMSIAIGIRSKSAPLLCALFISFFLGSAYSVDAPLLRWKRNAFLAASCILFVRAVLVQLAFFAHMQQHVLKRPLAPTKSVVFATLFMCCFSSVIALFKDIPDIDGDRHFGVESLSVRLGPERVYWLCINILLTAYGAAILAGASSTNLCQMIITVFGHGLLAFALWQRAQHCDVENKAWITSFYMFIWKLFYAEYFLIPFVQ >ONIVA06G26680.1 pep chromosome:AWHD00000000:6:25547536:25553173:-1 gene:ONIVA06G26680 transcript:ONIVA06G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAADAEACAVAAAADIICSLRGADLAGWTPPWGTAAAKGKEVVVEEEEEELAWPTVARGKRSRSSRRRSPSGSGSAATKGRWARGSPASPLDYSGGSGSGSAASTSGCEDGAFCSPPPPPPPVVTAAAATTPTAAPTPSPAKVGPAGRRQLILPTPPPRPAGQRPRKKMRLPEIQQLVRSLTVENDGLREEMVALQRACTALSKENCKLETRLEKSSKRNGTKSEGQQARPQPDEPAAKQESQNGFVLPDLNLPVEDMAADGSAP >ONIVA06G26670.1 pep chromosome:AWHD00000000:6:25537453:25540366:-1 gene:ONIVA06G26670 transcript:ONIVA06G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVRGASRLIPSLPPNCYNHKSSSSSSSSSSSSSSTSRAAAAAAAAVRVMAFHYQDHALAMDAAAAAAETGGHHHPGFVGAGGVVGVGGGGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAATAAAAAAAAGGAPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGSGATMSDDEDNQVDSESNMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRSNAGDGKAEQSW >ONIVA06G26670.2 pep chromosome:AWHD00000000:6:25537169:25540366:-1 gene:ONIVA06G26670 transcript:ONIVA06G26670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVRGASRLIPSLPPNCYNHKSSSSSSSSSSSSSSTSRAAAAAAAAVRVMAFHYQDHALAMDAAAAAAETGGHHHPGFVGAGGVVGVGGGGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAATAAAAAAAAGGAPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGSGATMSDDEDNQVDSESNMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKSWDVLAVSLQLGTTMYL >ONIVA06G26670.3 pep chromosome:AWHD00000000:6:25537560:25540366:-1 gene:ONIVA06G26670 transcript:ONIVA06G26670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVRGASRLIPSLPPNCYNHKSSSSSSSSSSSSSSTSRAAAAAAAAVRVMAFHYQDHALAMDAAAAAAETGGHHHPGFVGAGGVVGVGGGGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAATAAAAAAAAGGAPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGSGATMSDDEDNQVDSESNMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRYRVVDF >ONIVA06G26660.1 pep chromosome:AWHD00000000:6:25533535:25536654:1 gene:ONIVA06G26660 transcript:ONIVA06G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta subunit of Mt ATP synthase [Source:Projected from Arabidopsis thaliana (AT5G13450) TAIR;Acc:AT5G13450] MAARHLRSGLPLLRAHLSQEGSRGFASQVAKPTGKDIKVPEALYGGTGNYASALFLTAAKANLLDKVETEIRDVVEASKKSPLFSQFIKDLSVPKETRVKAITEIFAEAGFSDVTKNFLAVLADNGRLKHIDRIAERFVDLTMAHKGEVKVLVRTVIPLPEKEEKELKETLQDILGKNKTILIEQKIDYSIMGGLVIQFGQKVFDMSIKTRAKQMEMFLRQPLDI >ONIVA06G26650.1 pep chromosome:AWHD00000000:6:25524838:25530850:1 gene:ONIVA06G26650 transcript:ONIVA06G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDAGGGAGERMKLLCSLGGRILPRPGDGTLRYAGGDTRIVSVPRGVALGELFGRLAEAYGGATGASFAVKYQLPDEGLDALISVSSPEDLDNMIEEYDKLAGASPKLRVFIFPISDAAGGGGGEEAEGGGFDAGLRYLEAVNGIVRKDSVASLSSTQNSDGGPPPPAPTSGGGGGGGGGSPTALSPTSTCSNDAARSAFGVAAPPPLVDVFSNAAAPAPVPVKPQEIAAEVRAPPQANPQPEAARYRQPLSQLPPLPPVFMNDHREAMQGLNQAMPGNGGRLEDCNMCLKALPHAHSDPVVNDFGSDMHGGPGPETVPVYMSLRPEDVARIMMPERAVPVPMGAYGYTHMHPVPHEREMMYAQQVEGIPNTVLIDPSGLHQHVYVHQQQQVPPQQLPSTYGFNQIPVIPNEKDRVVSPGSAHSDISSSHQHIMQQPLPPQQQQQLPSGQGMAQYPVKQNSPNNQLTGEGSLSGNARHREDGLTRRDNVSPVAPAAVPTYMANVDRMMDSLRLSPSEASSASTEQRKPVMPPENGVPQNAIPEHSQGFPDTRAREVSQSNTNTFFDVSEPKVVLPTEPAPSPSIASSYLHNVQHTNVSHMPHMMSIGGPYSSYVVATVGPGGVPPSAYGVDLVYANSAVNPLSERKDVPHEVAPNANAQVPAAALVNHAPNVDQIQESGLQGQQFGNDDPWKVVTNTHALPPRPKRVASRENISPKDPHSHNSLLNCKGPDLNIPAEDVALHQQSDHKDAHTELGRFIKGDDMTSPDLVSVEDSLPTSNTKSSEPQPPVVNDGVGAVTDVSLFMSSLMLSKRIMQVNKSRPADWISGFPATDDLGRLQIIKNNDLEELQELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKPSEQDKMRNDFWNEASKLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNAKTLDKRKRLIIAMDTAFGMEYLHNKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPAVPDSCDPEWRSLMEQCWSTEPSERPTFTEIAGRLRSMAASHKVQP >ONIVA06G26640.1 pep chromosome:AWHD00000000:6:25513891:25517199:1 gene:ONIVA06G26640 transcript:ONIVA06G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPVSTQASICLGERRVLELKQSVSRMVRSGKRTSRRVTGAVVGGGGGDAVEEEQVGRRGGVEAAGEDLEKGGLWRRRWVRDATRWWWRGGDAPRGETGERSGGRRDATRVPGRARVPAKPVKKQKAARLQEGASHLGVRLAGFLGMWPMWPLSPSRFQLD >ONIVA06G26630.1 pep chromosome:AWHD00000000:6:25510932:25511240:1 gene:ONIVA06G26630 transcript:ONIVA06G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPPTSPSSLRHRLRTTVCCCFGSPGERRSGEKLRWRRRVAAGEFGYDPLSYALNFDDGDGDDDAADDAAAAFRYKNFSSRLPPSPVAAPARRSTAIAIS >ONIVA06G26620.1 pep chromosome:AWHD00000000:6:25502629:25505267:-1 gene:ONIVA06G26620 transcript:ONIVA06G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41040) TAIR;Acc:AT2G41040] MELAVRAAAAASCSSGASLQLPRARAPLRLLGHRRLPARRVAVEAAAIAVEPENKVPQSNNSEAEVFACPVCYEPLIRKGPSGINLPSIYRSGFKCSKCNKSFTSKDIFLDLTVTSGTKEYSELKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFQMAQDYFQSVAGGVLLDVSCGSGLFTRKFAKSGSYSAVIALDFSENMLCQCYEFIQQDDTLVNTNLALVRADISRLPFASSSIDAIHAGAAIHCWPSPSNAVAEISRVLRPGGVFVATTFLSSPRNNPFSVEALRPLRQIVGPVNTSYNYFTEGELEDLCKSCGLVNYSSKVQRSFIMFSGQKP >ONIVA06G26610.1 pep chromosome:AWHD00000000:6:25486560:25500962:-1 gene:ONIVA06G26610 transcript:ONIVA06G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGERREDENPTTSAADDDDDEDYDEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKPSAAPTDPSEQDYDAKAEDAVDYEDIDEEYDGPEVEAATEEDHLLSKKDYFSSNAVYASVNSKVSVFDEENYDEDEEPPNDNDLPSDNILQNCTSASAEQLDMAPSNDNLAVEKMSSSLSEPEESFESEAFQKEMVAEEQLESKTATSLPVLCIEDGSVILKFSEIFGAQEPVRKAKMDRHKRPVNKELQITNFTDIVEEDEEVFLRSTIQNLSALKHIKTNDNFVESDSDESTSDVALRLKDSCLSEQPMKDKDIPTAVQSPVFPDFYPLEHENWENDIVWGNSPTTAIQPCLTSCAISKESLDDHNEDQAEGYVSGCWDVQNKFHSSSVMADPFGHTEIPDSTSYRSPENSYSPLRKETAQENNSLDEPNNITQPVKIDTTRHLNKLSLLNKELLEGSWLDNIVWDPSEDVPKPKLIFDLKDDHMLFEILDEKNGDHLRSHARAMIVTRPMKTSAVENVDHNNQAIALSGRFNISNDKFYSNRKMSQQARSHAKKRATMGLKLVHSVPAQKLQTMKPKLSIKEIANFHRPKAKWYPHENKLTARFQGDECSHGPMTAIVMTLGGKGVKFLVNAEETPLSVKSKASKKLEFKPSEKIKLFCSGKELQDDISLAMQNVRPNSVLHVVRTEIHLWPKAQRLPGENKPLRPPGAFRKKSDLSVKDGHVFLMEYCEERPLLLANAGMAARLCTYYQKTSPSDQTATSLRSNSDGLGTMLAIDPADKSPFLGNIRSGSHQSCLETNMYRAPVFPHKVATTDYLLVRSPKGMLSLRRIDKLYAVGQQEPHMEVFSPGTKNMQNYILNRILVYVYREFRAREKPGIIPQIRADELPIQPPITEAIKGPKGHLFYIQRPDFRIPSEEELRRLLTPENVCCYESMQAGQYRLKHLGIEKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDKENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSTHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGVTMDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQIQSLSAMDGNENGSDTEANSDLDSFAGDLENLLDAEEFDDEDVGNTDIRSDKMDGMRGLKMRRCHTQAQINEEIQDDVAEAALVEKLLEESDSDMKRKKQPVETTNYSTPMYNQGNKMKQGKAGQMIKSSAYAGALTPKESTPREAKEVENFAEGSLPSKLRTKTGFDANDDIILVKRKNIPGKDGFKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPETSEMDVNSIRSHPPDIVSNAQIKTSNKRLVAKVSSEAFETEGPESIEKAKPVPVKFKCGAPEKSLDRNMSISASLVSDKRMMDATDSKSTGKVNKIKISNKIKYDDYPPDTPKPSVVIRPPAEVEKDLPRKKIIIKQPKVLGDQQRPTELRSGQEPRKTRKIVELSSFEKRDREDDNGFSGQPIQINSSHDRGWGLVGKRSKGIMESSESWRAFEEQRERQEQRLIEARIYDARREDELQKAKKKNKKKKKHEFRDDDLLDPRPYKNDRRVPERGRAAKRRTPADMTEYTPPAKRHRGGEVELSNILEKIVDHLRTMSCSFLFRKPVTKKEAPDYFDIIERPMDLGTIRDKVRKMEYKNREDFRHDVAQIALNAHTYNLNRHPHIPPLADELLELCDYLLEESADVLDDAEYAIED >ONIVA06G26610.2 pep chromosome:AWHD00000000:6:25486560:25500775:-1 gene:ONIVA06G26610 transcript:ONIVA06G26610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGERREDENPTTSAADDDDDEDYDEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKPSAAPTDPSEQDYDAKAEDAVDYEDIDEEYDGPEVEAATEEDHLLSKKDYFSSNAVYASVNSKVSVFDEENYDEDEEPPNDNDLPSDNILQNCTSASAEQLDMAPSNDNLAVEKMSSSLSEPEESFESEAFQKEMVAEEQLESKTATSLPVLCIEDGSVILKFSEIFGAQEPVRKAKMDRHKRPVNKELQITNFTDIVEEDEEVFLRSTIQNLSALKHIKTNDNFVESDSDESTSDVALRLKDSCLSEQPMKDKDIPTAVQSPVFPDFYPLEHENWENDIVWGNSPTTAIQPCLTSCAISKESLDDHNEDQAEGYVSGCWDVQNKFHSSSVMADPFGHTEIPDSTSYRSPENSYSPLRKETAQENNSLDEPNNITQPVKIDTTRHLNKLSLLNKELLEGSWLDNIVWDPSEDVPKPKLIFDLKDDHMLFEILDEKNGDHLRSHARAMIVTRPMKTSAVENVDHNNQAIALSGRFNISNDKFYSNRKMSQQARSHAKKRATMGLKLVHSVPAQKLQTMKPKLSIKEIANFHRPKAKWYPHENKLTARFQGDECSHGPMTAIVMTLGGKGVKFLVNAEETPLSVKSKASKKLEFKPSEKIKLFCSGKELQDDISLAMQNVRPNSVLHVVRTEIHLWPKAQRLPGENKPLRPPGAFRKKSDLSVKDGHVFLMEYCEERPLLLANAGMAARLCTYYQKTSPSDQTATSLRSNSDGLGTMLAIDPADKSPFLGNIRSGSHQSCLETNMYRAPVFPHKVATTDYLLVRSPKGMLSLRRIDKLYAVGQQEPHMEVFSPGTKNMQNYILNRILVYVYREFRAREKPGIIPQIRADELPIQPPITEAIKGPKGHLFYIQRPDFRIPSEEELRRLLTPENVCCYESMQAGQYRLKHLGIEKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDKENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSTHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGVTMDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQIQSLSAMDGNENGSDTEANSDLDSFAGDLENLLDAEEFDDEDVGNTDIRSDKMDGMRGLKMRRCHTQAQINEEIQDDVAEAALVEKLLEESDSDMKRKKQPVETTNYSTPMYNQGNKMKQGKAGQMIKSSAYAGALTPKESTPREAKEVENFAEGSLPSKLRTKTGFDANDDIILVKRKNIPGKDGFKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPETSEMDVNSIRSHPPDIVSNAQIKTSNKRLVAKVSSEAFETEGPESIEKAKPVPVKFKCGAPEKSLDRNMSISASLVSDKRMMDATDSKSTGKVNKIKISNKIKYDDYPPDTPKPSVVIRPPAEVEKDLPRKKIIIKQPKVLGDQQRPTELRSGQEPRKTRKIVELSSFEKRDREDDNGFSGQPIQINSSHDRGWGLVGKRSKGIMESSESWRAFEEQRERQEQRLIEARIYDARREDELQKAKKKNKKKKKHEFRDDDLLDPRPYKNDRRVPERGRAAKRRTPADMTEYTPPAKRHRGGEVELSNILEKIVDHLRTMSCSFLFRKPVTKKEAPDYFDIIERPMDLGTIRDKVRKMEYKNREDFRHDVAQIALNAHTYNLNRHPHIPPLADELLELCDYLLEESADVLDDAEYAIED >ONIVA06G26600.1 pep chromosome:AWHD00000000:6:25481996:25485227:-1 gene:ONIVA06G26600 transcript:ONIVA06G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSSPRRRALLLLFVFASSFFASAAAAVSRTNSSSAFVLAAAQTRRKDPLRGLRYYTGGWNISDKHYLASVGFSAAPVFVVAAVWFASLALAAFVACCCRCCCSGAGGGNGNGGYSYSRAIFAVSLALLLVFTAVAIIGCIVLYDGQGKFHGSTTATLRFVVNQSDGAVASLRGFTGFIEAAKAAAVEKATLPADLQGKVDDVVRRVDASADDLAARTTTNSRKIRTALETIRTILIVVAAVMLALAFLGLVFSLCGLKSLVYTLVIFGWILVTATFILSGTFLLLHNAVGDTCVAMDEWVLHPQGHTALDDILPCVDAAATSDALRRSKEVNYQIVSVLNNLLATVANANVPASSPPSPPASYRQSGPPVPLLCNPYNGDLSDRACAAGEVAAADAPRAWRGYVCRATGAAPSSEVCATTGRLTPTMYDQMVAAANASAGLTQYGPVLADLADCSYVRRAFQAVTAAHCPGLRRHSGRVYQALLAVSVAVAAAVAAWVAHSRERRRRSETRRFQVSPYRFPIEEKSLLKSPRRPYRRGDSGRMAR >ONIVA06G26590.1 pep chromosome:AWHD00000000:6:25472558:25473274:-1 gene:ONIVA06G26590 transcript:ONIVA06G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLAKTGLAPALAYEYGRLFNQNELERGDAAHFDHDLLKSMGIAVAKHRLEILKLAKKDSSSAADAGGGDSSSSSYAQLIARKAGRCIARCARRLARPRGVGGGRGSSVTVVPRICSGDDAVRVGAVQAAATRRRRSVKKMVLMITDGGATAARGGVGFAAGRFSGSQKASLMFHDCYEEEDDDYDHEEEARCGDGAEGGDEDAEEERCSDGGGGGGTDVEIKWDSMFQDLKPT >ONIVA06G26580.1 pep chromosome:AWHD00000000:6:25459321:25471655:1 gene:ONIVA06G26580 transcript:ONIVA06G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLPAKRRRSTHAARELPALNPSTSLVPSPSYPYPPAAAAAAAASPETLVPFAAAAAVAASPETLAPFAAGVAASGAEAMEDVCEGKDFSFPAEEERVLKLWSELDAFHEQLRRTKGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKQLGITNRQQVFDLGIGKYNETCRGIVTKYVAEWEAVVTRTGRWIDFKDDYKTMDINFMESVWWVFSQLWEKDLVYKGFKVMPYSTGCKTALSNFEAALDYRTVPDPAIMVSFPIIGDADNAALVAWTTTPWTLPSNLALCVNANLVYVKVKDKSTGAVYVVAESRLGQLPAKAKSSGKKQAPSKGGTAEVVQGGLDTEAYELLAKFPGSSLVGLKYKPLFDFFLELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEASGLVVAVDDDGCFIEKISEFSGRYVKEADRDIINSVKDKGRLVSKGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIRDQLLECNKQTYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWISQDGEEIVVMDSIEKLERLSGVKVNDLHRHHVDGITIPSSRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPVEVIDEYGADALRLYLINSPVVRAESLRFKRSGVFGVVKDVFLPWYNAYRFLVQNAKRLEIEGFSAFSPIDQASLLKSSNVLDHWINSATESLVSFVHQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRVSLSTLYHALVTTCVAMAPFTPFFTETLYQNLRKVSTKPEDSIHFCSFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVIVHPDNEFLEDITGKLKEYVMEEMNVKTVTPCNDPMLYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEQSGEISFFGHCLKLDDIKVIRQFKRPANVAENEIDAAGDGDVLVVLDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPTDLVDVYYKPMDDGKNTLVEIVQSQDQYIRDALGNPLIPKMAAPPDAVMICEESHNVQDMSFVIYIARVSPVVTDDLLVHAAGNREHFDALKVYLLSRSISRLKNEFQAGNGKITVDFIEGFPPIDLQLGKHVFLSTGDFYLATRS >ONIVA06G26580.2 pep chromosome:AWHD00000000:6:25459321:25471655:1 gene:ONIVA06G26580 transcript:ONIVA06G26580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLPAKRRRSTHAARELPALNPSTSLVPSPSYPYPPAAAAAAAASPETLVPFAAAAAVAASPETLAPFAAGVAASGAEAMEDVCEGKDFSFPAEEERVLKLWSELDAFHEQLRRTKGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKQLGITNRQQVFDLGIGKYNETCRGIVTKYVAEWEAVVTRTGRWIDFKDDYKTMDINFMESVWWVFSQLWEKDLVYKGFKVMPYSTGCKTALSNFEAALDYRTVPDPAIMVSFPIIGDADNAALVAWTTTPWTLPSNLALCVNANLVYVKVKDKSTGAVYVVAESRLGQLPAKAKSSGKKQAPSKGGTAEVVQGGLDTEAYELLAKFPGSSLVGLKYKPLFDFFLELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEASGLVVAVDDDGCFIEKISEFSGRYVKEADRDIINSVKDKGRLVSKGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIRDQLLECNKQTYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWISQDGEEIVVMDSIEKLERLSGVKVNDLHRHHVDGITIPSSRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPVEVIDEYGADALRLYLINSPVVRAESLRFKRSGVFGVVKDVFLPWYNAYRFLVQNAKRLEIEGFSAFSPIDQASLLKSSNVLDHWINSATESLVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRVSLSTLYHALVTTCVAMAPFTPFFTETLYQNLRKVSTKPEDSIHFCSFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVIVHPDNEFLEDITGKLKEYVMEEMNVKTVTPCNDPMLYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEQSGEISFFGHCLKLDDIKVIRQFKRPANVAENEIDAAGDGDVLVVLDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPTDLVDVYYKPMDDGKNTLVEIVQSQDQYIRDALGNPLIPKMAAPPDAVMICEESHNVQDMSFVIYIARVSPVVTDDLLVHAAGNREHFDALKVYLLSRSISRLKNEFQAGNGKITVDFIEGFPPIDLQLGKHVFLSTGDFYLATRS >ONIVA06G26570.1 pep chromosome:AWHD00000000:6:25457421:25459162:1 gene:ONIVA06G26570 transcript:ONIVA06G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFRSSSLSGKVAAVGTGGRTVARAHRRRSGRQRAPPSGCGCGCPRPWWLSAAAFLTGSTDEVVTTAPISHHLHLLSSLQAIPADRLHHAAPPLRCPLPPPAAPILSSSGELQVAVDLAMPMLRVAAAAAVMLSLAASSAPATWTHHRDAASHSSFVRLQRSLARFRPPTAAFLSALLEGRQLHALAAKLSLAPSHTIVDALVSNEDHLAALDLDPLSADEQGQFGLIKLNSSENGIENDENRENDKVAMIARFILAF >ONIVA06G26560.1 pep chromosome:AWHD00000000:6:25452711:25455892:-1 gene:ONIVA06G26560 transcript:ONIVA06G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYALFGEVVMDGIVRVEPMGGFDGTATAYYLQCKDCGSLGSVSLIPGKGKALTPDSKNMVMLIHCDGYIPIAFSPAPYWIATKVNGDQHELHFSKDGFEGYGDNDELILTSAKFTVERLKKAEKMSFKWHIKAKDRANHRLSQVEYKYKTEDCKIQEKHRNGHLIRPQEKDRNSRRDKNSKDSQKIIEAVESEEEAGRVLSVGSRKGARESGQSGLGQIGRRKAWPATA >ONIVA06G26550.1 pep chromosome:AWHD00000000:6:25448933:25450455:-1 gene:ONIVA06G26550 transcript:ONIVA06G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPYADAERAAAVSRRWQHLHTRLPNVRFSMSVQGLLAPLGESSKPRVQSMARTLRRRCCGGGDTVKTLHIGYRKDVPFECRYAEEFVALANATRLELGVQCARGLPDEDAGEWSLELPPATAELQLRLYWYAVRPPGLHGPGVASLRWLALNGLAVLRPESFLSGGGVVFPALEELHIVKCTLPAGGGIDITSAAMPRLRRLIVTDVAVMSAATKAGIAVLADELAELRVSCRCATEPMATSDPAAYHLKPRFRALFTRYSCVRVRAPRLRVFEWRCCFADEVRVESVGRLSDVAVELAAGRLPRLWDEESKSLSVEDCDKLMKGILRWLLPGLRPRSWDWMI >ONIVA06G26540.1 pep chromosome:AWHD00000000:6:25446079:25446717:1 gene:ONIVA06G26540 transcript:ONIVA06G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERVAAAAGGVHGLQRAPGAMGGGTSSLLSAAVQEMLMVQKSNKAIKKPPRATPRLPPVTGERRGGSGGSVDRGEATAPAPKRAARAWQPPAKRVARSMLRRGAPPSPEEKRKVLTCCCARLPPGLRCALHQCAPGQSWMRSHCGGGGAIAATSEAVAPRACGRGGWLFSEYARWRRSVWMPSRFYLERIDGQPRPPPGELDAGRRPPAS >ONIVA06G26530.1 pep chromosome:AWHD00000000:6:25443127:25445422:-1 gene:ONIVA06G26530 transcript:ONIVA06G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Mannose-6-phosphate receptor, binding (InterPro:IPR009011), Glucosidase II b /.../bunit-like (InterPro:IPR012913); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G35080) TAIR;Acc:AT5G35080] MGLAGGARVVLFVVAAAAAAALTAAADQIFTSSGAPFGRNSREPRYHVEFHPVDAPFNPENGQESVPMTSHVGKHYTCFLPVEETKTMKSIIPQNATNVIIESERRVKPKDPDELLEILKDQCFYRHEGWWSYEFCYYGKIRQVHVEGEKVIQEYVLGEYDADATDAYYENQTSDSADEDDNLIDTSKRYHVHLYTNGTVCDLTDMPRETEVRFVCSEPTVVISSIKEISSCKYVLTVQSPMLCKNPLFQQEKRTLSIHCNELLAEAEATVDDDSLPKEAQIIIPDPDGLHNYAAYAT >ONIVA06G26520.1 pep chromosome:AWHD00000000:6:25439469:25440929:-1 gene:ONIVA06G26520 transcript:ONIVA06G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G80510) TAIR;Acc:AT1G80510] MNTNYSSLPLTSIELQSNQRTSEVANGVGYNGHAKISKQDSFLVDGDAAAGGGGGENDDLPLIGDGPAGPPEGSGVPAAVFNLATSIIGAGIMALPATMKVLGVAVGLVSILVMGILSEVTIELLVRFAVYCRALSYGEVVHKALGRPASIVAQMCVIINNAGVLIVYLIIIGDVMSGSLKHIGVMDQLIGHGEWDNRRLLILVVLVIFLSPLCALEKIDSLSLSSAASVALAVVFVVVSCIIALVKVVEGKISMPRMGPDFSSRAAMLDLLVVIPIMTNAYICHFNVQPIYNELKEKTPHNMYKIGRITTVLCVVVYALTAVSGYLLFGEDTESDVLTNFDKDLGIRFSSILNFIVRIGYVIHLVLVFPVVHFSLRQTVDSLIFGELAPHSRKKMLTLTVVLLALIYLGSTMIPNIWVAFKFTGATTGLALGFIFPALIALRLDKEGKSLGKGERLLSIVMLGLAMVVSIIGVIGNVYSLRSKSA >ONIVA06G26510.1 pep chromosome:AWHD00000000:6:25430156:25441547:1 gene:ONIVA06G26510 transcript:ONIVA06G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G04940) TAIR;Acc:AT4G04940] MGIFEPFRAIGYITAGGVPFSVQRLGTETFVTVSVGKAFHVYNCAKLNLVLSGPQLPKKIRALASYKDYTFSAYGSDIAVFKRTDQVVTWSKHEEKINILYPFGEYILSADIKGNVIIGSEEGPLQLWNISTKKKLYEFKGWNSSVCCCVSSPALDVVAVGCSDGTIHVHNIRYDEELMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDASIVSLHFFANEPILMSSASDNSIKMWIFDTNDGDARLLRFRSGHSAPPRCIRFYGNGKCILSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLGLKEEEIKLKPVIAFDCAEIRSRDWCNVVTCHTDTPQAYVWRLQNFVIGEHILTPSSGSKSPIKACAISACGNFTFLGTEGGWIEKFNLQSGISRGSYIDTSLAIKRAHDGEVVGLACDATNGSLISAGYGGDIKVWDFKSCKLKSRLDIGKSVTKIAYHRANGSLLATVADDMVLILFDTVAMKMVRRFEGHTDRVTDLCFSEDGKWLISSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQALFSPSTNVDNYSSGKHVRNVRLPTVSSTEKSEEEPIHISEDSKESKVKPYVIMDHQIPNMITLSLLPKSQWQSLANLDIIKVRNKPIEPPKKPEKAPFFLPTVPSLSGEILFEPAANSKETDSSTEDTSHKKMADLSSHFSQLLQSCGDTKNYMELRVLQLIDDEPQNLEQRPELQSISLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETIRRHSMLQDKVKKLLDVQSLVWQKIDKVFQSARCMVTFLSNSQF >ONIVA06G26510.2 pep chromosome:AWHD00000000:6:25430156:25438791:1 gene:ONIVA06G26510 transcript:ONIVA06G26510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G04940) TAIR;Acc:AT4G04940] MGIFEPFRAIGYITAGGVPFSVQRLGTETFVTVSVGKAFHVYNCAKLNLVLSGPQLPKKIRALASYKDYTFSAYGSDIAVFKRTDQVVTWSKHEEKINILYPFGEYILSADIKGNVIIGSEEGPLQLWNISTKKKLYEFKGWNSSVCCCVSSPALDVVAVGCSDGTIHVHNIRYDEELMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDASIVSLHFFANEPILMSSASDNSIKMWIFDTNDGDARLLRFRSGHSAPPRCIRFYGNGKCILSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLGLKEEEIKLKPVIAFDCAEIRSRDWCNVVTCHTDTPQAYVWRLQNFVIGEHILTPSSGSKSPIKACAISACGNFTFLGTEGGWIEKFNLQSGISRGSYIDTSLAIKRAHDGEVVGLACDATNGSLISAGYGGDIKVWDFKSCKLKSRLDIGKSVTKIAYHRANGSLLATVADDMVLILFDTVAMKMVRRFEGHTDRVTDLCFSEDGKWLISSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQALFSPSTNVDNYSSGKHVRNVRLPTVSSTEKSEEEPIHISEDSKESKVKPYVIMDHQIPNMITLSLLPKSQWQSLANLDIIKVRNKPIEPPKKPEKAPFFLPTVPSLSGEILFEPAANSKETDSSTEDTSHKKMADLSSHFSQLLQSCGDTKNYSAFTDYLKGLSPSSLDMELRVLQLIDDEPQNLEQRPELQSISLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETIRRHSMLQDKVKKLLDVQSLVWQKIDKVFQSARCMVTFLSNSHKTIVTDF >ONIVA06G26500.1 pep chromosome:AWHD00000000:6:25423240:25429649:1 gene:ONIVA06G26500 transcript:ONIVA06G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HU33] MASEIEDDSLKNDVYTAAAYGDLEKLQRLVEGEGHPVTEPDGLGYHALQWAALNNRVAAAQYILEHGADVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDVADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKSHRQVAFFLDNAKRVYDRGCDGNTNFGKLSKLGLAPVLWCIIVGLLATYIHSVISGQYIMDMTAPFGLFAWSGVFLATAGLVMFYKCSRKDPGYIKANIRDSQNQRDDEPLLKLELDNPALLSGNWSQLCITCKKNKWEFFMFITLEVFAMIITGSAAIIRMVRDPASPASFIPWLSYSAFNHTGALSFFIMDLFLFFGVAVLAVVQASQIAKNITTNEMANSMRYSYLRGPGGRFRNPFDHGARKNCSEFLLNGYSEDIERLDHTSHTDEEMGMIQMTNAVSQNGEGPSHHGNGTGHSCAESHAHSKSHSQVSSSQCCDHSKKTDRTPLGLGLGLGRNSASRQYVRSLLPL >ONIVA06G26500.2 pep chromosome:AWHD00000000:6:25422766:25429225:1 gene:ONIVA06G26500 transcript:ONIVA06G26500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HU33] MASEIEVLEDTTTTMAASAAPVAPASPSPSPAAAADGEEGEGPAPAAEDDSLKNDVYTAAAYGDLEKLQRLVEGEGHPVTEPDGLGYHALQWAALNNRVAAAQYILEHGADVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDVADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKSHRQVAFFLDNAKRVYDRGCDGNTNFGKLSKLGLAPVLWCIIVGLLATYIHSVISGQYIMDMTAPFGLFAWSGVFLATAGLVMFYKCSRKDPGYIKANIRDSQNQRDDEPLLKLELDNPALLSGNWSQLCITCKKNKWEFFMFITLEVFAMIITGSAAIIRMVRDPASPASFIPWLSYSAFNHTGALSFFIMDLFLFFGVAVLAVVQASQIAKNITTNEMANSMRYSYLRGPGGRFRNPFDHGARKNCSEFLLNGYSEDIERLDHTSHTDEEMGMIQMTNAVSQNGEGPSHHGDEGSKVLMNIR >ONIVA06G26500.3 pep chromosome:AWHD00000000:6:25422766:25429649:1 gene:ONIVA06G26500 transcript:ONIVA06G26500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HU33] MASEIEVLEDTTTTMAASAAPVAPASPSPSPAAAADGEEGEGPAPAAEDDSLKNDVYTAAAYGDLEKLQRLVEGEGHPVTEPDGLGYHALQWAALNNRVAAAQYILEHGADVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDVADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKSHRQVAFFLDNAKRVYDRGCDGNTNFGKLSKLGLAPVLWCIIVGLLATYIHSVISGQYIMDMTAPFGLFAWSGVFLATAGLVMFYKCSRKDPGYIKANIRDSQNQRDDEPLLKLELDNPALLSGNWSQLCITCKKNKWEFFMFITLEVFAMIITGSAAIIRMVRDPASPASFIPWLSYSAFNHTGALSFFIMDLFLFFGVAVLAVVQASQIAKNITTNEMANSMRYSYLRGPGGRFRNPFDHGARKNCSEFLLNGYSEDIERLDHTSHTDEEMGMIQMTNAVSQNGEGPSHHGNGTGHSCAESHAHSKSHSQVSSSQCCDHSKKTDRTPLGLGLGLGRNSASRQYVRSLLPL >ONIVA06G26500.4 pep chromosome:AWHD00000000:6:25422766:25429649:1 gene:ONIVA06G26500 transcript:ONIVA06G26500.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HU33] MASEIEVLEDTTTTMAASAAPVAPASPSPSPAAAADGEEGEGPAPAAEDDSLKNDVYTAAAYGDLEKLQRLVEGEGHPVTEPDGLGYHALQWAALNNRVAAAQYILEHGADVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDVADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKSHRQVAFFLDNAKRVYDRGCDGNTNFGKLSKLGLAPVLWCIIVGLLATYIHSVISGQYIMDMTAPFGLFAWSGVFLATAGLVMFYKCSRKDPGYIKANIRDSQNQRDDEPLLKLELDNPALLSGMVRDPASPASFIPWLSYSAFNHTGALSFFIMDLFLFFGVAVLAVVQASQIAKNITTNEMANSMRYSYLRGPGGRFRNPFDHGARKNCSEFLLNGYSEDIERLDHTSHTDEEMGMIQMTNAVSQNGEGPSHHGNGTGHSCAESHAHSKSHSQVSSSQCCDHSKKTDRTPLGLGLGLGRNSASRQYVRSLLPL >ONIVA06G26490.1 pep chromosome:AWHD00000000:6:25406027:25419938:1 gene:ONIVA06G26490 transcript:ONIVA06G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGEIIASAVIKELSGKLGSPIWNTIMSQVTFRDDLEAIKSMLSSLQAKLNDAERKSQTDGSVRDLLKKLKAVAYDIEDRLAVYESSSNDGHDGSLRHEWSSFPEKLKSRYNLPREMKKMRRRLEGIKKEMDLTSFKVDGATEEQDSYISRHLEPRRYSSEDTVGRIAEKGRIMDLLLSDEEHSIIPIYGLGGLGKTTLAQMAFSDCTTQIAFEMLAWVYVSEKFDLNAISLSIKQQCNSHMLQYGDSGIHNVAVESILTEKQCLIVLDDLWEENNFKLDELEAMLRLCKKGSKVIVTTRSKKVADRMNKDLQIELGLLPNEDCWTLFRKKARVPTPVPPYVEVMRETIVEKCQGLPLAVKSLGYFLGRMRPTEWEQNLHSNIWAEKDDRFPDNGVIANLKLSYYSMPCSLRLCFAYLSVFPKGSHIQKSSLIQQWIALGFIQPPESIPTEQYAEYCLQELIEMSFLQNVNAATAMSARYTEPQNVLKMHDIVHDLASVIAADEVCIFHASDCSSSNTKNCCRYMYLLNLSEFSRDPILPNTARALHFKDCRKSPKNYSETKFLRILDFSACTINELPDSISHLSLLKYLNVSGLSGTLPKSLSKLHHLQALTLSTNIDLVELPSYICEFLKLQYLDLHGCSKLKKLPDGIHKHKELQHLNLSDCTSLESLPLFSSQSGGLQKLSFLNVSHCSQLVKLSFLEEKLEKQPDHYLPNMVHLNMSFCPKLQELPTGLFKHMRKLLFLNFSGCTSLEDLPEFVEHDAGCSMLEVLDLSGCAKLPALPESSTELRELRCLNLSGCSKLQNFLKLIPRWKFGTLEYLNISGVGAKSDSEAPGTSAEDQSSQDPIKELELGMLQEDIITQGLFRLKYLSIGGFTLYSEQGIARMVDLLTLPNFNVRLQDDGRCSNILILQQILDVTHRQLNIKCLENVVFSEEVKQLELDRMRQFHSLGFEWSLSGMVSFVKQRAVLGNLRPHRNLQSLSIKSYICTEFPDWINKINDTLPDLVKLVFSDINGCNYIPISQLPNLKELEINNMPRLNKIYGTLPNLVKLILSHIERCDHIPVLGNLPNLQELEIYNMPQLHDARIGPCNKLRRLTLVGLPNEATMVESSHGCDEEMTETGQEFNTLPGCSFRKNEVRRSEELSRGPSTEKGKVTSAALPELDYLQIGSCHDLKLHPTPPKSKEYFVKDSSLSLPVDKEDTSSFPSRPYDQYTEGCSHSGQGTAIPPHEHAMQKSIPRLKSKLHIEGSRDQLRQWTELLSTHLDELTITDPLFYNSKYLEEESTVSEHDSLESIYGLPESCIANLEKINLPPSHQYGLEECSNYDNIVDFVGRIDSQVPYINIGKVGTFSVKPIEEGGIFCSSNIPLLYKHYDGQSSSLVIKNLENLKGSLGEVQELAKYQQVRLVWSRSNFIEDSSMAEDKAVLQKLRPHHDLETIEIEGYRGDEFCYWMMNINSSLPNLVTVKLSNIANCQCLPPLGQLANLEVLHISDMPSVRKVDGHVYGTEKPFRKLRELELSTMKNLEEWSTTTLLLTGHNDHQLSRSEEVFPNLQVLLIANCPRMRFVPAFPRSRECTLEKSYSILLSFEQFIGSSNLALIALKINDSGSSSDIVKFLQGCVNLLYLTIDSCIDLITLPEPIKNCHCLRKLEITNCWNFSVLPEWLGELTFLQKLDIQASKLEYLPQSIQRLTALERLVLNKCNYKLRERCTSGEDKEKIKHIKTIDMNEVPLMYLTPSYIMLLQQVTSSQFIDLHIGGLECMIGLREMENLELQTKKELSSLSLEWSYAYADSSDKYASSERGMQNRAVFEKLQPHDSLEILCIKNYAGVDFPRWMSLLPNLVQLKIVGMQFEYLHLDQFQNLKELFLSRVQFAHLHLNGLQNLIELSLSGLKFGHLHIDQLQNLRELKVSGVEFQHLHLERLQNITELKLSEERFERLHIDQLQNLKELKFSSVEFGHLHLERLQSITKLELCKKQFEHLHLVQLENLRQLYLFTVKFECVVLHQLQNLEELHLSQIESQKSNKPVCIECSQPLRKLQKIVMTKIINKGLQISVQGGEGDKNLFPGLQHLEMELCENLRFQPSIPRSTHYIISGEIYFDKFNYRRILGSFLFPSFKQVMGTSIPGSTSRMEIKNTSGLSSERWKSIPHLELLNITELTIDKCVDSCPVPKCILGWKSLQKLEIRRCEDIELLPEWLGEMSCLTELIVETYWMEALHPCIRRLTNLQSLTLITCLNRFKERCKSGDDWINIKHIPHIQITDRNGRTEIISPSFADQGS >ONIVA06G26490.2 pep chromosome:AWHD00000000:6:25405728:25419938:1 gene:ONIVA06G26490 transcript:ONIVA06G26490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRRRLEGIKKEMDLTSFKVDGATEEQDSYISRHLEPRRYSSEDTVGRIAEKGRIMDLLLSDEEHSIIPIYGLGGLGKTTLAQMAFSDCTTQIAFEMLAWVYVSEKFDLNAISLSIKQQCNSHMLQYGDSGIHNVAVESILTEKQCLIVLDDLWEENNFKLDELEAMLRLCKKGSKVIVTTRSKKVADRMNKDLQIELGLLPNEDCWTLFRKKARVPTPVPPYVEVMRETIVEKCQGLPLAVKSLGYFLGRMRPTEWEQNLHSNIWAEKDDRFPDNGVIANLKLSYYSMPCSLRLCFAYLSVFPKGSHIQKSSLIQQWIALGFIQPPESIPTEQYAEYCLQELIEMSFLQNVNAATAMSARYTEPQNVLKMHDIVHDLASVIAADEVCIFHASDCSSSNTKNCCRYMYLLNLSEFSRDPILPNTARALHFKDCRKSPKNYSETKFLRILDFSACTINELPDSISHLSLLKYLNVSGLSGTLPKSLSKLHHLQALTLSTNIDLVELPSYICEFLKLQYLDLHGCSKLKKLPDGIHKHKELQHLNLSDCTSLESLPLFSSQSGGLQKLSFLNVSHCSQLVKLSFLEEKLEKQPDHYLPNMVHLNMSFCPKLQELPTGLFKHMRKLLFLNFSGCTSLEDLPEFVEHDAGCSMLEVLDLSGCAKLPALPESSTELRELRCLNLSGCSKLQNFLKLIPRWKFGTLEYLNISGVGAKSDSEAPGTSAEDQSSQDPIKELELGMLQEDIITQGLFRLKYLSIGGFTLYSEQGIARMVDLLTLPNFNVRLQDDGRCSNILILQQILDVTHRQLNIKCLENVVFSEEVKQLELDRMRQFHSLGFEWSLSGMVSFVKQRAVLGNLRPHRNLQSLSIKSYICTEFPDWINKINDTLPDLVKLVFSDINGCNYIPISQLPNLKELEINNMPRLNKIYGTLPNLVKLILSHIERCDHIPVLGNLPNLQELEIYNMPQLHDARIGPCNKLRRLTLVGLPNEATMVESSHGCDEEMTETGQEFNTLPGCSFRKNEVRRSEELSRGPSTEKGKVTSAALPELDYLQIGSCHDLKLHPTPPKSKEYFVKDSSLSLPVDKEDTSSFPSRPYDQYTEGCSHSGQGTAIPPHEHAMQKSIPRLKSKLHIEGSRDQLRQWTELLSTHLDELTITDPLFYNSKYLEEESTVSEHDSLESIYGLPESCIANLEKINLPPSHQYGLEECSNYDNIVDFVGRIDSQVPYINIGKVGTFSVKPIEEGGIFCSSNIPLLYKHYDGQSSSLVIKNLENLKGSLGEVQELAKYQQVRLVWSRSNFIEDSSMAEDKAVLQKLRPHHDLETIEIEGYRGDEFCYWMMNINSSLPNLVTVKLSNIANCQCLPPLGQLANLEVLHISDMPSVRKVDGHVYGTEKPFRKLRELELSTMKNLEEWSTTTLLLTGHNDHQLSRSEEVFPNLQVLLIANCPRMRFVPAFPRSRECTLEKSYSILLSFEQFIGSSNLALIALKINDSGSSSDIVKFLQGCVNLLYLTIDSCIDLITLPEPIKNCHCLRKLEITNCWNFSVLPEWLGELTFLQKLDIQASKLEYLPQSIQRLTALERLVLNKCNYKLRERCTSGEDKEKIKHIKTIDMNEVPLMYLTPSYIMLLQQVTSSQFIDLHIGGLECMIGLREMENLELQTKKELSSLSLEWSYAYADSSDKYASSERGMQNRAVFEKLQPHDSLEILCIKNYAGVDFPRWMSLLPNLVQLKIVGMQFEYLHLDQFQNLKELFLSRVQFAHLHLNGLQNLIELSLSGLKFGHLHIDQLQNLRELKVSGVEFQHLHLERLQNITELKLSEERFERLHIDQLQNLKELKFSSVEFGHLHLERLQSITKLELCKKQFEHLHLVQLENLRQLYLFTVKFECVVLHQLQNLEELHLSQIESQKSNKPVCIECSQPLRKLQKIVMTKIINKGLQISVQGGEGDKNLFPGLQHLEMELCENLRFQPSIPRSTHYIISGEIYFDKFNYRRILGSFLFPSFKQVMGTSIPGSTSRMEIKNTSGLSSERWKSIPHLELLNITELTIDKCVDSCPVPKCILGWKSLQKLEIRRCEDIELLPEWLGEMSCLTELIVETYWMEALHPCIRRLTNLQSLTLITCLNRFKERCKSGDDWINIKHIPHIQITDRNGRTEIISPSFADQGS >ONIVA06G26490.3 pep chromosome:AWHD00000000:6:25406027:25419938:1 gene:ONIVA06G26490 transcript:ONIVA06G26490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGEIIASAVIKELSGKLGSPIWNTIMSQVTFRDDLEAIKSMLSSLQAKLNDAERKSQTDGSVRDLLKKLKAVAYDIEDRLAVYESSSNDGHDGSLRHEWSSFPEKLKSRYNLPREMKKMRRRLEGIKKEMDLTSFKVDGATEEQDSYISRHLEPRRYSSEDTVGRIAEKGRIMDLLLSDEEHSIIPIYGLGGLGKTTLAQMAFSDCTTQIAFEMLAWVYVSEKFDLNAISLSIKQQCNSHMLQYGDSGIHNVAVESILTEKQCLIVLDDLWEENNFKLDELEAMLRLCKKGSKVIVTTRSKKVADRMNKDLQIELGLLPNEDCWTLFRKKARVPTPVPPYVEVMRETIVEKCQGLPLAVKSLGYFLGRMRPTEWEQNLHSNIWAEKDDRFPDNGVIANLKLSYYSMPCSLRLCFAYLSVFPKGSHIQKSSLIQQWIALGFIQPPESIPTEQYAEYCLQELIEMSFLQNVNAATAMSARYTEPQNVLKMHDIVHDLASVIAADEVCIFHASDCSSSNTKNCCRYMYLLNLSEFSRDPILPNTARALHFKDCRKSPKNYSETKFLRILDFSACTINELPDSISHLSLLKYLNVSGLSGTLPKSLSKLHHLQALTLSTNIDLVELPSYICEFLKLQYLDLHGCSKLKKLPDGIHKHKELQHLNLSDCTSLESLPLFSSQSGGLQKLSFLNVSHCSQLVKLSFLEEKLEKQPDHYLPNMVHLNMSFCPKLQELPTGLFKHMRKLLFLNFSGCTSLEDLPEFVEHDAGCSMLEVLDLSGCAKLPALPESSTELRELRCLNLSGCSKLQNFLKLIPRWKFGTLEYLNISGVGAKSDSEAPGTSAEDQSSQDPIKELELGMLQEDIITQGLFRLKYLSIGGFTLYSEQGIARMVDLLTLPNFNVRLQDDGRCSNILILQQILDVTHRQLNIKCLENVVFSEEVKQLELDRMRQFHSLGFEWSLSGMVSFVKQRAVLGNLRPHRNLQSLSIKSYICTEFPDWINKINDTLPDLVKLVFSDINGCNYIPISQLPNLKELEINNMPRLNKIYGTLPNLVKLILSHIERCDHIPVLGNLPNLQELEIYNMPQLHDARIGPCNKLRRLTLVGLPNEATMVESSHGCDEEMTETGQEFNTLPGCSFRKNEVRRSEELSRGPSTEKGKVKNWFDALLLSIGGARAKKTLASALSHISLVPEESQLSPKLSHGCLEVTSAALPELDYLQIGSCHDLKLHPTPPKSKEYFVKDSSLSLPVDKEDTSSFPSRPYDQYTEGCSHSGQGTAIPPHEHAMQKSIPRLKSKLHIEGSRDQLRQWTELLSTHLDELTITDPLFYNSKYLEEESTVSEHDSLESIYGLPESCIANLEKINLPPSHQYGLEECSNYDNIVDFVGRIDSQVPYINIGKVGTFSVKPIEEGGIFCSSNIPLLYKHYDGQSSSLVIKNLENLKGSLGEVQELAKYQQVRLVWSRSNFIEDSSMAEDKAVLQKLRPHHDLETIEIEGYRGDEFCYWMMNINSSLPNLVTVKLSNIANCQCLPPLGQLANLEVLHISDMPSVRKVDGHVYGTEKPFRKLRELELSTMKNLEEWSTTTLLLTGHNDHQLSRSEEVFPNLQVLLIANCPRMRFVPAFPRSRECTLEKSYSILLSFEQFIGSSNLALIALKINDSGSSSDIVKFLQGCVNLLYLTIDSCIDLITLPEPIKNCHCLRKLEITNCWNFSVLPEWLGELTFLQKLDIQASKLEYLPQSIQRLTALERLVLNKCNYKLRERCTSGEDKEKIKHIKTIDMNEVPLMYLTPSYIMLLQQVTSSQFIDLHIGGLECMIGLREMENLELQTKKELSSLSLEWSYAYADSSDKYASSERGMQNRAVFEKLQPHDSLEILCIKNYAGVDFPRWMSLLPNLVQLKIVGMQFEYLHLDQFQNLKELFLSRVQFAHLHLNGLQNLIELSLSGLKFGHLHIDQLQNLRELKVSGVEFQHLHLERLQNITELKLSEERFERLHIDQLQNLKELKFSSVEFGHLHLERLQSITKLELCKKQFEHLHLVQLENLRQLYLFTVKFECVVLHQLQNLEELHLSQIESQKSNKPVCIECSQPLRKLQKIVMTKIINKGLQISVQGGEGDKNLFPGLQHLEMELCENLRFQPSIPRSTHYIISGEIYFDKFNYRRILGSFLFPSFKQVMGTSIPGSTSRMEIKNTSGLSSERWKSIPHLELLNITELTIDKCVDSCPVPKCILGWKSLQKLEIRRCEDIELLPEWLGEMSCLTELIVETYWMEALHPCIRRLTNLQSLTLITCLNRFKERCKSGDDWINIKHIPHIQITDRNGRTEIISPSFADQGS >ONIVA06G26480.1 pep chromosome:AWHD00000000:6:25399251:25405055:1 gene:ONIVA06G26480 transcript:ONIVA06G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPDLATQVLVPAAAVVGIAFAVVQWVLVSKVKMTAERRGGEGSPGAAAGKDGGAASEYLIEEEEGLNEHNVVEKCSEIQHAISEGATSFLFTEYKYVGLFMGIFAVLIFLFLGSVEGFSTKSQPCHYSKDRMCKPALANAIFSTVAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLVVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLISSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTVVMTVGIALVSWLGLPYSFTIFNFGAQKTVYNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPSCPSHLEFPLSSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNSIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLSPLIVGIFFGVETLSGLLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWF >ONIVA06G26480.2 pep chromosome:AWHD00000000:6:25399251:25405146:1 gene:ONIVA06G26480 transcript:ONIVA06G26480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPDLATQVLVPAAAVVGIAFAVVQWVLVSKVKMTAERRGGEGSPGAAAGKDGGAASEYLIEEEEGLNEHNVVEKCSEIQHAISEGATSFLFTEYKYVGLFMGIFAVLIFLFLGSVEGFSTKSQPCHYSKDRMCKPALANAIFSTVAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLVVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLISSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTVVMTVGIALVSWLGLPYSFTIFNFGAQKTVYNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPSCPSHLEFPLSSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNSIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLSPLIVGIFFGVETLSGLLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWF >ONIVA06G26470.1 pep chromosome:AWHD00000000:6:25389031:25392906:1 gene:ONIVA06G26470 transcript:ONIVA06G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLLLVLFAVVAARVGAAAAYQPGSAEGHTIAGRIKIDAASAIAKGFGLPAKTSNTKVILNGGQRVTFARPDGYFAFHNVPAGTHLIEVSSLGYLFSPVRVDISARNPGHIQAALTENRRVLNELVLEPLREEQYYEKREPFSIMSLLKSPMGMMLGFMVIMVFVMPKMMENIDPEEIKQAQEQMRNSPVPSFSGLLARANS >ONIVA06G26460.1 pep chromosome:AWHD00000000:6:25374790:25379318:-1 gene:ONIVA06G26460 transcript:ONIVA06G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 26 [Source:Projected from Arabidopsis thaliana (AT5G34850) TAIR;Acc:AT5G34850] MGWRFALLLLHVLLCLVNGVSCGRTSSYVRTEYPSTDIPLESEWFAVPNGYNAPQQVHITQGDYNGKAVIVSWVTVAEPGTSEVLYGKNEHQYDQRAEGTVTNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKSEGQTVLFVGDLSYADRYQHNDGVRWDSWGRLVERSTAYQPWIWSAGNHEIEYRPDLGETSTFKPYLHRCHTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWTWLKYELKHVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNINYNITSGNRYPVPDKSAPVYITVGDGGNQEGLASRFSDPQPDYSAFREASYGHSILQLKNRTHAIYQWNRNDDGKHVPADNVVFHNQYWASNTRRRRLKKKHFHLDQIEDLISVF >ONIVA06G26460.2 pep chromosome:AWHD00000000:6:25374792:25379567:-1 gene:ONIVA06G26460 transcript:ONIVA06G26460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 26 [Source:Projected from Arabidopsis thaliana (AT5G34850) TAIR;Acc:AT5G34850] MILCTVSRLRPQPSVRRSPPLHVMGWRFALLLLHVLLCLVNGVSCGRTSSYVRTEYPSTDIPLESEWFAVPNGYNAPQQVHITQGDYNGKAVIVSWVTVAEPGTSEVLYGKNEHQYDQRAEGTVTNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKSEGQTVLFVGDLSYADRYQHNDGVRWDSWGRLVERSTAYQPWIWSAGNHEIEYRPDLGETSTFKPYLHRCHTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWTWLKYELKHVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNINYNITSGNRYPVPDKSAPVYITVGDGGNQEGLASRFSDPQPDYSAFREASYGHSILQLKNRTHAIYQWNRNDDGKHVPADNVVFHNQYWASNTRRRRLKKKHFHLDQIEDLISVF >ONIVA06G26450.1 pep chromosome:AWHD00000000:6:25362826:25370507:-1 gene:ONIVA06G26450 transcript:ONIVA06G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQEKNTRLENMTWRIWNLARKKKELEKEEANRLLKRRLETERPRVETTSDMSEDLFEGEKGEDAGDPSVAYGDSTTGNTPRISSVDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSCPGVYRIDLFTRQILAPNFDRSYGEPVEPLASTSFKNFKQERGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALSHIVKMSRAIGEEISCGHPAWPAVIHGHYASAGVAAALLSGALNVPMVFTGHFLGKDKLEELLKQGRQTREQINMTYKIMCRIEAEELALDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHMIHDFDMDGEEDGPSPASEDPSIWSEIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKRHKHSEVPDIYRLAVRTKGAFVNVPYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHAIADALYKLLSEKQLWSKCRENGLKNIHQFSWPEHCKNYLSRISTLGPRHPAFASNEDRIKAPIKGRKHVTVIAVDSVSKEDLIRIVRNSIEAARKENLSGSTGFVLSTSLTIGEIHSLLMSAGMLPTDFHAFICNSGSDLYYPSCTGDTPSNSRVTFALDRSYQSHIEYHWGGEGLRKYLVKWASSVVERRGRIEKQVIFEDPEHSSTYCLAFKVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSKALRYLSVRWGIELQNVVVLVGETGDSDYEELFGGLHKTVILKGEFNTSANRIHSVRRYPLQDVVALDSPNIIGIEGYGTDDMRSALKQLDIRAQ >ONIVA06G26450.2 pep chromosome:AWHD00000000:6:25362828:25370540:-1 gene:ONIVA06G26450 transcript:ONIVA06G26450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNDNWINSYLDAILDAGKGAAASASASAVGGGGGAGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQEKNTRLENMTWRIWNLARKKKELEKEEANRLLKRRLETERPRVETTSDMSEDLFEGEKGEDAGDPSVAYGDSTTGNTPRISSVDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSCPGVYRIDLFTRQILAPNFDRSYGEPVEPLASTSFKNFKQERGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALSHIVKMSRAIGEEISCGHPAWPAVIHGHYASAGVAAALLSGALNVPMVFTGHFLGKDKLEELLKQGRQTREQINMTYKIMCRIEAEELALDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHMIHDFDMDGEEDGPSPASEDPSIWSEIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKRHKHSEVPDIYRLAVRTKGAFVNVPYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHAIADALYKLLSEKQLWSKCRENGLKNIHQFSWPEHCKNYLSRISTLGPRHPAFASNEDRIKAPIKGRKHVTVIAVDSVSKEDLIRIVRNSIEAARKENLSGSTGFVLSTSLTIGEIHSLLMSAGMLPTDFHAFICNSGSDLYYPSCTGDTPSNSRVTFALDRSYQSHIEYHWGGEGLRKYLVKWASSVVERRGRIEKQVIFEDPEHSSTYCLAFKVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSKALRYLSVRWGIELQNVVVLVGETGDSDYEELFGGLHKTVILKGEFNTSANRIHSVRRYPLQDVVALDSPNIIGIEGYGTDDMRSALKQLDIRAQ >ONIVA06G26440.1 pep chromosome:AWHD00000000:6:25355600:25358362:-1 gene:ONIVA06G26440 transcript:ONIVA06G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heptahelical transmembrane protein1 [Source:Projected from Arabidopsis thaliana (AT5G20270) TAIR;Acc:AT5G20270] MATMESAYHDELAPAAAPAPAKGGGSKKKRKQQKREEKRKECRLVSYHELPDYMKENEFILDYYRSEWPILNALLSLFSWHNETINIWTHLLGFVLFFGLTVLHLGQYFPQVADLIGHLSWPISKVAENVSSNIGDVLSSTRYDTTPVSPRGAASFMQASPASSAGAMAAAWPVTAAAAATTRWPFFVFLAGAMFCLLSSAACHLLSCHSHRLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPRWQVVYLSAITAAGVATVYALMSPRLSAARYRAHRALLFVAMGLSGVVPAAHAVAVNWHEPRRNVTLAYEGAMAASYLAGTAFYLTRVPERWRPGMFDLCGHSHQIFHALVIAGALAHYAAAIVFIQARDEMGCPAP >ONIVA06G26430.1 pep chromosome:AWHD00000000:6:25348840:25351554:-1 gene:ONIVA06G26430 transcript:ONIVA06G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALEAHCVGRRIARCAVADDPKVVVAAAGGRVAFERAMVGRTIVAARRRGKNLWLRLDAPPFPSFQFGMAGAIYIKGVPVTKSVVSSTDDWPSKYSKFFVQLDDGLEFSFTDKRRFARVRLFEDPETVPPISELGPDALFEPMSSDSFADSLSRKKIGIKALLLDQSFISGIGNWIADEVLYQSRTHPLQIASSLSRESCEALYQSIQEVVKYAVEVDADCDCFPVEWLFHHRWGKKPGKVNGQKIEFITAGGRTTAYVPQLQKLTGMQSSKVVIANPEQVAENDDAKEIDTDPEDADNLNTKKRGATSRVARGKQKRDAIGALSRKTRKNGGGKEKPGSDYSEDDAETAEPNKVSTSSKGEKADPVRRSSRTASKPRQIIQRCPLGNWKV >ONIVA06G26420.1 pep chromosome:AWHD00000000:6:25345969:25346736:1 gene:ONIVA06G26420 transcript:ONIVA06G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G10940) TAIR;Acc:AT2G10940] MAGSTKLRPFLLTLLLLLSTTVAPILAEYDPECDCDKPKHPKPSHPSPSPGHPKGPKNPAPRPPKGPTYPSPVTRPPPLVAPPKVPVTHPPKGPVTRPPPVTYPTPPVTTPPVVVGPPVTYPTPPVTTPPVVVGPPVTYPTPPVTYPTPPVTYPTPPTTTPCPPPPPATQRCPVDSLKIGACVDLLGGLVHVGIGDPVVNKCCPLLEGLVELEAAVCLCTTIRLKLLNINIYLPLALQLLLTCGKNPPPGYTCSI >ONIVA06G26410.1 pep chromosome:AWHD00000000:6:25335100:25343523:1 gene:ONIVA06G26410 transcript:ONIVA06G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYLLLHLMRDPSGPAVRRPVILPPLEAGSIRCSVKPASRHACHSRNRGAPELSPKPRRPTTQLGTGGPICSTADPGPQPYRNVHTTLMPPLGLQARAAELGESKGRNPAIYAAMRIGRRGAALLPGPGTCPHTPSRTRAPTYVRCGASAGAYVLDVVHPVAATIVAGGSASPVIAASGSAHVEAGSRACRRIRAGESCRWPSARARHQMSAWDGRGEPPPASHSSPPPDPRGRAKGAAAFAVDRATCRPSHGHQRRI >ONIVA06G26400.1 pep chromosome:AWHD00000000:6:25334436:25335053:1 gene:ONIVA06G26400 transcript:ONIVA06G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPEKLMTQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNMDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGKSMANIVKSLDSALATGNLQKMSETMDNFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKEKEKAVDEDDLSRRLAELKARG >ONIVA06G26390.1 pep chromosome:AWHD00000000:6:25331897:25333109:-1 gene:ONIVA06G26390 transcript:ONIVA06G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLFFLPGFNGSGKSVLGRAFSRVTPACEIEALRKGGSMAAGSTGEGEANVKKTSPMPLRENMKRVVGRNARVAEEPVD >ONIVA06G26380.1 pep chromosome:AWHD00000000:6:25319609:25324173:-1 gene:ONIVA06G26380 transcript:ONIVA06G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0E0HU14] MTTVQAPRAASAGGGGACGQREEASSALREEASQRRERRRTGDDRIWWAPAREVVFNDQWRPLCRTFLLSKKPKLGLKSPLRELLLLRFSSSTSNLDACIRIRLRLRRELAAAPAMSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPQTFASLVSALLYEKRFGPYFCQPVIAGLGEDNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETISQALQSSVDRDCLSGWGGFVLLV >ONIVA06G26370.1 pep chromosome:AWHD00000000:6:25310112:25317410:-1 gene:ONIVA06G26370 transcript:ONIVA06G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSFSSARKKAPSPPKHRHDGTSGLPFGMDWSPPPKRWEGRNTIWPHNPQTGWSYCVMIPSWIAQTPEASATADNFLKSVVFYRIHVGIQSPEGISSSHGVLRRFSDFLKLSSDLKQEFPRKGIPPAPPKHAFSRINSSRVLLEERRNALEEWMQKLLSDIELSRSAPITKIGISALLKWVLQQKVVQILLRVLMNMVQVTAKTDMEDLIARLNQEVAVKEYLATKVKDLEVELEATKQKDKEILHQAVLTEREKITQLQWDKDELYRKYSEMESNLKIEQNEKTRVQSEKTTASSEKEMLLEELETKRKEVESLQQHIGEFETKSKADIKVLVKEVKSLRNSQKEMKKVLNQYHEEKTELERIVNREKQRSTRARFSREKILHECRLLRERLQECTAKFVADEQDTMTIDLSSLPDALDLVTTSDNRIRLLVAEAQLLSRDDEQGSSDDGDNSDGKSSVTMSSEDAYVTDEETTKMLSDLLIDNAQLRLRLNSLIRNAVNTAVKTEKEGSDGTVPKKTVLNWLLDR >ONIVA06G26370.2 pep chromosome:AWHD00000000:6:25310112:25317410:-1 gene:ONIVA06G26370 transcript:ONIVA06G26370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSFSSARKKAPSPPKHRHDGTSGLPFGMDWSPPPKRWEGRNTIWPHNPQTGWSYCVMIPSWIAQTPEASATADNFLKSVVFYRIHVGIQSPEGISSSHGVLRRFSDFLKLSSDLKQEFPRKGIPPAPPKHAFSRINSSRVLLEERRNALEEWMQKLLSDIELSRSAPVAAFLELEAAARSYYQDWNQRPSEVGSSAKSSADSSPRPDEHGSAFAHGNGPTGATGNGMLGESILDQPNERVSSMSNHRKKNHVFLEHGVRNGSIDTYKGVVSEEDHDSNPGHARKDSAESIGSDLSSLRGSELSVPGVSSSLWDGPVDLPSGIDGHSQTEQFTGLDMQLLYDMDAQIILPADQRPKLTRLLISMDRRQVTAKTDMEDLIARLNQEVAVKEYLATKVKDLEVELEATKQKDKEILHQAVLTEREKITQLQWDKDELYRKYSEMESNLKIEQNEKTRVQSEKTTASSEKEMLLEELETKRKEVESLQQHIGEFETKSKADIKVLVKEVKSLRNSQKEMKKVLNQYHEEKTELERIVNREKQRSTRARFSREKILHECRLLRERLQECTAKFVADEQDTMTIDLSSLPDALDLVTTSDNRIRLLVAEAQLLSRDDEQGSSDDGDNSDGKSSVTMSSEDAYVTDEETTKMLSDLLIDNAQLRLRLNSLIRNAVNTAVKTEKEGSDGTVPKKTVLNWLLDR >ONIVA06G26360.1 pep chromosome:AWHD00000000:6:25303492:25306334:-1 gene:ONIVA06G26360 transcript:ONIVA06G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSAIFADDLLPPSPPSPHSHHHPAKRSRRSPHRRREAHLHHLSSLFPSMDPQLLEGALDASGDDLDSAIKSLNNLRLESTEAILSATGCKSENGLPTAVYPSVEGIVNNGGVSTANEHPAAADSCQTGNSGSEWVELFVREMTNASDMGDARARASRALEVLEKSIVERTGADAAQNLQKENMMLKEQLTVVLRENAVLKRAVAIQHERQKEFDERSQEVQSLKQLVVQYQEQLRTLEINNYALTMHLKQAQQNNSIPGHFNPDVF >ONIVA06G26350.1 pep chromosome:AWHD00000000:6:25297520:25297855:-1 gene:ONIVA06G26350 transcript:ONIVA06G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNSRCDGLGMDDQWERQCHWQAAGVASSSASSGCGVFDVDEALEQRERWRFRRRCATSSGAAMATDLGPAAAATPTSSGLDLRQATAASPQSQHSVSIGDDFIDLIDL >ONIVA06G26340.1 pep chromosome:AWHD00000000:6:25280604:25285194:-1 gene:ONIVA06G26340 transcript:ONIVA06G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAAASSRKRGFAVADILDDPFPLPSHHLAKRGRCSSSAASAADLGVSLEFDPIEVLQLIFPHEDPQLLKSFFEASGNVLDAAIRGFKHRLQSHTDTEITEAASGDTGNEVVSPKVESDLSAMNIPSNGSEWAELVVKEMSSALDLVDAKNRAFRLLDLFEKSTAACISPVEMRKMREEHKILKLMLGGLLEQNGVLKRAFLKQHNRLNDYEKKMSQERSQIIDTYEKEIKALQFATTAKLKQRNMEQYLFLATLLILSLAFVKLRPRNNGENPPPGPWQLPVIGSLHHLAGALPHRALRDLAARHGELMLLRLGELPVVVASSPAAAREVMRTHDAAFATRPQTATLRALTRDGLGVAFAPQGEHWRCLRKLCVTELLGARRVRCLRRAREAEAAALVASLSTTTPEPVNVSSLVARYVTDAVVRAVVGDRISDRDAFLERLEEGVKVAAGFTLADVFPSSRLARALSGTARRAEAHSREMTRLMDGVIEEHRQRRAATGWRDEEDEDLLDVLLRIQKDGGLQIPLDMGTIRAIIIDLFSAGSETTGTTLQWAMAELMRNPAALRKAQAEVRGVLAGHSHVTEDALPDLHYLHLVIKETLRLHVAVPLLLPRECQEPRLRVLGYDVPERAMVLVNAWAICRDTAVWGPDAEEFRPERFDGGAVDFKGTDFEFVPFGAGRRMCPGVAFAVAIMELGLASLLFHFDWELAGGAAAGELDMAEGLGITARRKSDLWLHATVSVPVPNTETS >ONIVA06G26330.1 pep chromosome:AWHD00000000:6:25258260:25279865:1 gene:ONIVA06G26330 transcript:ONIVA06G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFKLHCLDTKSHGGGCTAPPPHLASAAVHPPFLLLRSSRRRRGACGRLPPSPWALPVIGHLHHLAGALPHRAMRDIARRHGPLVLLRFGELPVVVASSADAARNVMKTHDLAFATRPITHMMRLVFPEGSEGIIFSPYGETWRQLRKICTVELLSARRVNSFRSVREEEVNRLLRAVAAAAASATSPAKTVNLSELMSAYATDSSVRAMIGRRFKDRDKFLAMLERGIKLFVTPSLPDLYPSSRLAMVVSRMPRRMRRHREEVFAFLDAIIAEHQENRASGEDEEDLLDVLLRIQREGCMESTVSTESIRTTIGDLFNGGSETTATTLQWIMAELMRNPRVMQKAQDEVQRVFIGQHKVTEENLSNLSYMYLVIKETLRLHPPGPPLLPRECRTTCQVLGFDVPKGTIVLVNMWAINRDPKYWDQSKEFIPERFEHVDINFKGMNFEYMPFGAGRRMCPGMAFGLVNLELVLASLLYHFDQKLSDKIKVGDLDMTEERGATTRRLHDLLLVPLVHLLRYLFSVPMLFFIVPLLFLVCSPGRRRGRGSCRLPPSPWALPVVGHLHHLAGALQHRAMRDIARRHGPLVLLRLGRLPVVVASSADAAREVMRTSDVAFAARPVNRMIRVVFPEGSEGVIFAPYGETWRQLRKICTAELLSARRVHSFRSVREEEAGRMLRAVASAAAQTTVNLSELMSAYAADSSARAMIGRRLKDRDTFLAMVERGIKLFGEQSLPNLYPSSRLAVLLSTMPRRMKRHRERMTAYLDAIIEEHQESRASREDDEDLLDVLLRIQREGDLEVSRESIRSTIGDMFIGGSEPPAITLQWIMAELIRNPEVMQKVQDEVRQLLVGQHRVTEESLSKLGYMNLVIKETLRLHPPGPRLLLRVCRTTCQVLGFDVPKGTMVLVNMWAINRDPKYWSQAEEFIPERFENAGINFKGTNFEYMPFGAGRRMCPGMAFSLAMLELALASLLYHFDWKLPDGVEIDMKEQSGVTTRRVHDLMLVPIIRRSQGGSGSGPFSSGGYPLLLAARWRLQGDSGGGRKEAVVEVPFSLQRRWPLLLSNPLQGSPWSLLNLLVLIIVAAMICGELCRRRCRRRGDENGGATRLPPGPWRLPFVGSLHHLAVMRPRGVVVHRALAELARRHDAPVMYLRLGELPVVVASSPEAAREVLKTHDAAFATRAMSVTVRESIGDKVGILFSPYGKKWRQLRGICTLELLSVKRVRSFRPIREEQVARLVDAIAAAAASSTAEAAAVNISRQITGPMTDLALRAIMGECFRWREEFLETLAEALKKTTGLGVADMFPSSRLLRAVGSTVRDVKLLNAKLFELVECAIEQHREQIRAAHDNGGDDDDAHGHGDKECLLNTLMRIQKEGDDLDDTLTMATVKAVILDMFAGGSESTSTTLEWALSELVRNPHVMQKAQAEIRHALQGRTRVTEDDLINLKYPKNIIKETLRLHPVAPLLVPKECQESCKILGYDVPKGTIMFVNAWAIGRDPRYWNDAEVFMPERFEKVAVDFRGTNFEFIPFGAGRRMCPGITFANATIEMALTALLYHFDWHLPPGVTPDGLDMEEEFGMSVSRKRDLYLRPTFHMGLETI >ONIVA06G26320.1 pep chromosome:AWHD00000000:6:25242836:25245487:-1 gene:ONIVA06G26320 transcript:ONIVA06G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPVYLLFLAALIILPMANLIRSARHRRRAGIRRPPPGPWALPVIGHLHHLAGKLPHHHKLRDLAARHGPLMLLRFGELPVVVASSAGAAREITKTHDLAFATRPVTRTARLTLPEGGEGIIFAPYGDGWRQLRKICTLELLSARRVQSFRAVREEEVRRLLLAVASPSPEGTTATASVVNLSRMISSCVADSSVRAIIGSGRFKDRETFLRLMERGIKLFSGPSLPDLFPSSRLAMLVSRVPGRMRRQRKEMMEFIDTIIEEHQAAREASMELEKEDLDLFIGGSETAATALQWAMSELLNNPKVMQKTQDEIRQVLYGQERITEETISSLHYLHLVIKETLRLHPPAPLLLPRECREPCQILGFDVPKGAMVLINAWSIGRDPSNWHAPEKFMPERFEQNNIDFKGTSFKYIPFGAGRRICPGMTFGLANIELLLASLLYHFDWELPHGMQAGDLDMTETLAVTARRKADLLVVPVVRVPIVG >ONIVA06G26310.1 pep chromosome:AWHD00000000:6:25239438:25241422:-1 gene:ONIVA06G26310 transcript:ONIVA06G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSVLAYLLVVALLAIVPLVYFGWVARRRGEGGRLPPSPWGLPVIGHLHHLAGALPHHAMRDLARRHGPLMLLRLGELPVVVASSAEAAREVMRTRDIEFATRPMSRMTRLVFPAGTEGIIFAPYGDEWRELRKVCTVELLSARRVQSFRAVREEEVGRLLRAVAATSSSPSPAQAAVNLSALLSAYAADSAVRAIIGSRFKDRDKYLMLLERGLKLFARHTLPDLYPSSRLAMWLSRMPRRMMQHRREAYAFTDAIIREHQENRAAGAGDGDGDDKEDLLDVLLRIQREGDLQFPLSTERIKTTVGDMFAGGSETAGTALQWIMAELIRNPRVMHKVQDEVRQTLAGRDRVTEDAISNLNYMHLVIKEALRLHPPVPLLLPRECRNTCQVLGFDVPKGAMVLVNAWAISRDPQYWDEPEEFIPERFEDSNINFKGTNFEYTPFGAGRRMCPGIAFGLANVELMLASLLYHFDWQLPDGMDTADLDMTEEMVVSARRLHDLLLVPVVHVPLPVASS >ONIVA06G26300.1 pep chromosome:AWHD00000000:6:25231387:25233084:-1 gene:ONIVA06G26300 transcript:ONIVA06G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLVYVLVLVPLAVVPFVYFNRVARRRGGDVRLPPSPWGLPVIGHLHHLVGALPHVAMRDLARRHGPLMLLRLGELPVVVASSAEAAREVMKTRDLDFATRPMSRMARLVFPEGGEGIIFAPYGDRWRELRKICTVELLSARRVQSFRPVREEEAGRLLRAVAAASPGQAVNLSELLSAHAADSSVRAIMGDRFRDRDAFLAMLERGLKLFAKPALPDLYPSSRLAMLLSRMPRRMKQHHRDMVAFLDAIIQEHQENRSAAADDDNDLLDVLLRIQREGDLQFPLSSESIKATIGDMLVGGSETAATTLHWIMAELVRNPKVMQKAQDEVRRELIGHRKVTEDTLCRLNYMHMVIKEALRLHPPGSLLLPRECRRTCQVLGYDIPKGATVFVNVSAIGRDPKYWDEAEEFIPERFEHSDVDFKGTHFEYTPFGAGRRMCPGMAFGLANVELTLASLLYHFNWELPSGIHAENLDMTEEMRFTTRRLHDLVLIPVVHVPLPTI >ONIVA06G26290.1 pep chromosome:AWHD00000000:6:25225593:25227161:1 gene:ONIVA06G26290 transcript:ONIVA06G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASHGSVVVYVGLALVSLFVVLLARRRRSPPPAAHGDGGLRLPPGPWTLPIIGSLHHLVGQLPHRAMRDLARRHGPVMLLRIGEVPTLVVSSRDAAREVTKTHDTAFAMRPLSATLRVLTNGGRDLVFAPYGDYWRQVRKIAVTELLTARRVHSFRSIREEEVAALLRAVAVAAGTVEMRAALSALVSDITARTVFDNRCKDRGEFLVLLERTIEFAGGFNPADLGVVRRAEECRNSVYKILDGIIQEHQERTSAGGEDLVDVLLRIQKEGGLQFPLAMDDIKSIIFDIFSAGSETSATTLAWAMAELIRNPTAMHKVMAEVRRAFAAAGAVSEDALGELRYLQLVIRETLRLHPPLPLLLPRECREPCRVLGYDVPRGTQVLVNAWAIGRDERYWPGGSPEEFRPERFEDGEATAAVDFRGTDFEFLLGGECAQGWRSGSPTWSSLSPACFSTLIGRCPAWPTRPSST >ONIVA06G26280.1 pep chromosome:AWHD00000000:6:25140597:25145200:1 gene:ONIVA06G26280 transcript:ONIVA06G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFMSRGPPNGSVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQITGKAEGEGAVMVQEKPGSKMGIGCAQIQAARPAGVSGSSAGGGGRGRGRGSDDARGGSRAAAAAAVGGPPGLFGPNDWPCPMCGNINWAKRMKCNICNTTKPGHNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQQTENAPTLPGSGRAGWEAPLEEKARKGAEIRAETMTTMNGIAGTEIEAVMEGSGAEVETVRRKGGGTRAEITAMRGAGSEEPSVTMIAIDEYNGRFSHVACRFWFHDTSVLLLDSYAASASAVRWVCTLFVLA >ONIVA06G26280.2 pep chromosome:AWHD00000000:6:25140552:25145200:1 gene:ONIVA06G26280 transcript:ONIVA06G26280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFMSRGPPNGSVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKNKDMYDSSATASLNNSAELGGQDELDNGEGRGRGRGDGPGKAWQQDGDWLCPNTSCGNVNFAFRGVCNLCGAARPAGVSGSSAGGGGRGRGRGSDDARGGSRAAAAAAVGGPPGLFGPNDWPCPMCGNINWAKRMKCNICNTTKPGHNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQQTENAPTLPGSGRAGWEAPLEEKARKGAEIRAETMTTMNGIAGTEIEAVMEGSGAEVETVRRKGGGTRAEITAMRGAGSEEPSVTMIAIDEYNGRFSHVACRFWFHDTSVLLLDSYAASASAVRWVCTLFVLA >ONIVA06G26280.3 pep chromosome:AWHD00000000:6:25140597:25145200:1 gene:ONIVA06G26280 transcript:ONIVA06G26280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFMSRGPPNGSVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKNKDMYDSSATASLNNSAELGGQDELDNGEGRGRGRGDGPGKAWQQDGDWLCPNTSCGNVNFAFRGVCNLCGAARPAGVSGSSAGGGGRGRGRGSDDARGGSRAAAAAAVGGPPGLFGPNDWPCPMCGNINWAKRMKCNICNTTKPGHNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQQTENAPTLPGSGRAGWEAPLEEKARKGAEIRAETMTTMNGIAGTEIEAVMEGSGAEVETVRRKGGGTRAEITAMRGAGSEEPSVTMIAIDEYNGRFSHVACRFWFHDTSVLLLDSYAASASAVRWVCTLFVLA >ONIVA06G26270.1 pep chromosome:AWHD00000000:6:25130661:25132575:-1 gene:ONIVA06G26270 transcript:ONIVA06G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGCSNWQELGRRWRRRGGGGDSVAATCYRRRMLPMVLPAPAPDNGGGDAAAASWWCYRCLMVVLLPPLPGAAIAAAANAAWLQFTNPFTREKRTTWARSGWDDVGILFPCESPTFSAAVNSFTVLEQQRWLVGEWYGLNVRISKAVKGKATNGVQGLGSADAIRHRRGWIYLATIRPSQALLEQIKVVH >ONIVA06G26260.1 pep chromosome:AWHD00000000:6:25122945:25123398:-1 gene:ONIVA06G26260 transcript:ONIVA06G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVERCGRGVAAHTRATVWGGSVVTIGHKVSPEHVSAADGMDGDEVGQDVDILYRRRLASVDSALCFGVNPSRYRQFSWGRAPVDWENGAGGKRATLLLGERMGWRRGWMRCVACLRCRTGWGGASACLARHATSLA >ONIVA06G26250.1 pep chromosome:AWHD00000000:6:25114964:25116596:-1 gene:ONIVA06G26250 transcript:ONIVA06G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTMGPSNSSEDTKESMEHLKGNQDSFVVTTKNDHVDHSVLESSSMLNLGKQDEPDKVEILAKATKDVPEELETIETKSMNSVKNTSKNVGKRTRQDNGGSKIKKSSDHNLQGQGTDRSVKGRTMETTPGPTQYPRGRGPTRGNLPYGFV >ONIVA06G26240.1 pep chromosome:AWHD00000000:6:25111385:25111624:-1 gene:ONIVA06G26240 transcript:ONIVA06G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDRGRDNRARRLSHRVSFVETTKVHVFDYNDDMAIPKEEHVASSPSQGKPAEEEEEEFVNVDVDSSYPVSAIRSVVS >ONIVA06G26230.1 pep chromosome:AWHD00000000:6:25082858:25085564:-1 gene:ONIVA06G26230 transcript:ONIVA06G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paxneb protein-related [Source:Projected from Arabidopsis thaliana (AT3G11220) TAIR;Acc:AT3G11220] MAAAAGGQTVGRSSFSRAAAPHVASSSTAAGVKLGPNGAAFVSSGIPDLDRILGGGFLIGSVVMIMEDSDAPHHLLLLRSFMAQGVVHKQPLLFAGPMKEPRLFLGTLPAVASSKEDGRQRGMGAGTNSDGRTSDEALRIAWQYKKYFGEEKTSHAEHRDNKQEFSNDFDLRKPLERHLLNAQNIESLKKETLLVSSRTVVPHSCPNFREKMVEMRMLDGLLYNHYDWDMVSFIRSLKAMVRASNAVAVITFPNTVLSSSFCKRWQHLADTLLSIKAIPDEDKELAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTFSLKLRKRRSLVLERLNQAPVDGSGGPSHASGSCSSSSQGSQLDF >ONIVA06G26230.2 pep chromosome:AWHD00000000:6:25082858:25085564:-1 gene:ONIVA06G26230 transcript:ONIVA06G26230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paxneb protein-related [Source:Projected from Arabidopsis thaliana (AT3G11220) TAIR;Acc:AT3G11220] MAAAAGGQTVGRSSFSRAAAPHVASSSTAAGVKLGPNGAAFVSSGIPDLDRILGGGFLIGSVVMIMEDSDAPHHLLLLRSFMAQGVVHKQPLLFAGPMKEPRLFLGTLPAVASSKEDGRQRGMGAGTNSDGRTSDEALRIAWQYKKYFGEEKTSHAEHRDNKQEFSNDFDLRKPLERHLLNAQNIESLKKETLLVSSRTVVPHSCPNFREKMVEMRMLDGLLYNHYVHHNADTLRRKDWDMVSFIRSLKAMVRASNAVAVITFPNTVLSSSFCKRWQHLADTLLSIKAIPDEDKELAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTFSLKLRKRRSLVLERLNQAPVDGSGGPSHASGSCSSSSQGSQLDF >ONIVA06G26220.1 pep chromosome:AWHD00000000:6:25078507:25083230:1 gene:ONIVA06G26220 transcript:ONIVA06G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32250) TAIR;Acc:AT4G32250] MPSLPPPPLPLLPPAPASSTVLLHTSLSLSRSLALLSSLARAAGERSGSAGARTASSPPPTPPQPPTLPVPPPRARCRRRRAGLELGGDVAGLLAPLTELKEMAGQRSDSQSDESFEYMLLERDPDLYRTVFSGPSQISPWIDPSVLTLQHRIGRGPFGDVWIATHHQRTEDHDRYHEVAVKMLHPIREDQLQAFSVRFDEIFSKCQGLSNVCFLHGISTQNGRICIAMKFYEGSIGDKMARLKGGRIPLSDVLRYGADLARGIIDLHSRGILILNLKPCNFLLDEHDHAVLGDFGIPSLLFGLSLPNPDLIQRLGTPNYMAPEQWQPSIRGPISYETDSWGFACSILEMLSGIQPWRGKSPDEVYQLVVLKKEKPIFPYNLPPAIENVLSGCFEYDFRDRPQMTDILDAFESAKDVDYENTDQGNSENLRMVSPALPSRTNWSFFKDKLQVGDKVRSRKLKNTCSPTTMEVPDGTIVGMEDNGERDGYILVRIHGLHDPLKVRSSTVERVTYGFAAGDWVRLREDEKKRSQVGILHSIDRSGTVYVGLIGVDTLWKGEYSDLQMAEAYCVGQFVRLKANISSPQFEWQRKRGGGLATGRISQILPNGCLVIKFPGKFNLGEVCSCLADPSEVEVVSFDKCEGIVKKYEHLEDFHWAVRPLFIAVGFFTALKLGIFVGKGIARPRSRKVASVSDQSDHQQLQQQEVQNNANAAWLPPTVANMLFRDGPTLSG >ONIVA06G26220.2 pep chromosome:AWHD00000000:6:25079295:25083230:1 gene:ONIVA06G26220 transcript:ONIVA06G26220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32250) TAIR;Acc:AT4G32250] MLPECLMVKLCWQEMAGQRSDSQSDESFEYMLLERDPDLYRTVFSGPSQISPWIDPSVLTLQHRIGRGPFGDVWIATHHQRTEDHDRYHEVAVKMLHPIREDQLQAFSVRFDEIFSKCQGLSNVCFLHGISTQNGRICIAMKFYEGSIGDKMARLKGGRIPLSDVLRYGADLARGIIDLHSRGILILNLKPCNFLLDEHDHAVLGDFGIPSLLFGLSLPNPDLIQRLGTPNYMAPEQWQPSIRGPISYETDSWGFACSILEMLSGIQPWRGKSPDEVYQLVVLKKEKPIFPYNLPPAIENVLSGCFEYDFRDRPQMTDILDAFESAKDVDYENTDQGNSENLRMVSPALPSRTNWSFFKDKLQVGDKVRSRKLKNTCSPTTMEVPDGTIVGMEDNGERDGYILVRIHGLHDPLKVRSSTVERVTYGFAAGDWVRLREDEKKRSQVGILHSIDRSGTVYVGLIGVDTLWKGEYSDLQMAEAYCVGQFVRLKANISSPQFEWQRKRGGGLATGRISQILPNGCLVIKFPGKFNLGEVCSCLADPSEVEVVSFDKCEGIVKKYEHLEDFHWAVRPLFIAVGFFTALKLGIFVGKGIARPRSRKVASVSDQSDHQQLQQQEVQNNANAAWLPPTVANMLFRDGPTLSG >ONIVA06G26210.1 pep chromosome:AWHD00000000:6:25062090:25065382:1 gene:ONIVA06G26210 transcript:ONIVA06G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G79915) TAIR;Acc:AT1G79915] MEAGDGRGGGAEEEEEQVMSEVHLGCPPRFSGLYVSRFSFSSRPLGPSAGSDGGECSGVREQVAASSSSCGSPDAVTVDEDGDLVLDRRRRNRGRSDHVLTVQHGITSSLRSVGLQVWKAALLLTDFVLHKSFTSSEFNGVTAIEIGAGTGLVGLALARVAKKIFITDRGSDILDNCLANVQLNSSMLKFDEAKACVRELDWKMSWPPPVFKCDSSDPSSKYLWYTSEIEAAEKATTLFAADVIYSDDLTDLFFSIAKKLMSHGAEKVLYLTLEKRYNFSMDELDVVANGYKHFRSFFTVQDESGALDDNSCRPDFVGEQMDLAEVPQYIREYDRGKDLEMWKIMYNPNPE >ONIVA06G26210.2 pep chromosome:AWHD00000000:6:25062090:25065382:1 gene:ONIVA06G26210 transcript:ONIVA06G26210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G79915) TAIR;Acc:AT1G79915] MEAGDGRGGGAEEEEEQVMSEVHLGCPPRFSGLYVSRFSFSSRPLGPSAGSDGGECSGVREQVAASSSSCGSPDAVTVDEDGDLVLDRRRRNRGRSDHVLTVQHGITSSLRSVGLQVWKAALLLTDFVLHKSFTSSEFNGVTAIEIGAGTGLVGLALARVAKKIFITAKACVRELDWKMSWPPPVFKCDSSDPSSKYLWYTSEIEAAEKATTLFAADVIYSDDLTDLFFSIAKKLMSHGAEKVLYLTLEKRYNFSMDELDVVANGYKHFRSFFTVQDESGALDDNSCRPDFVGEQMDLAEVPQYIREYDRGKDLEMWKIMYNPNPE >ONIVA06G26200.1 pep chromosome:AWHD00000000:6:25056916:25057304:-1 gene:ONIVA06G26200 transcript:ONIVA06G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVVVCLTTVASPWVLATFKLKRRIGLIGIGVADPTKSY >ONIVA06G26190.1 pep chromosome:AWHD00000000:6:25050081:25053269:-1 gene:ONIVA06G26190 transcript:ONIVA06G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFYGVGSIALAMHEDDEEEGSGRVFGFAAGDLVRPAVVTQQLFPMTAAAAAVVPESTEQRHVAAAAEQWARPPSRKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDQAAIKFRGVEADINFTLDDYKEDIKKMNNFSKEEFVQVLRRQGVGFVRGSSRFRGVTLHKCGKWEARIGQLMGKKYVYLGLYDTEMEAAKAYDKAAIKCCGKEAVTNFDTQSYEDELNLQSWDSELDLELSLGCSGGERAAGEVLHSAPSNQRTSLTFMLPEEEEMTACHRQKSIWARPSLAPAMPDGGAVIRPDQHQHHPSSRNMLLMSQVISSSGGGGGSGRQGAAELHMRPRHGWSSGGNNWAPPYAARPRLPGAEDDDDDDSAAAASSGFPMGQVATASSSSRPSSSSCSSRRSSTAAATTTTGR >ONIVA06G26180.1 pep chromosome:AWHD00000000:6:25040772:25047248:-1 gene:ONIVA06G26180 transcript:ONIVA06G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34100) TAIR;Acc:AT4G34100] MADVAADRPPAAEQEEARPPSSTAAVAEEDEEEEEGDVCRICRNPGDDEHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYADNAPSRLPFQELIVGVGMKACHVLQFVLRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLPGPNNRVPAADGNVDELAEAQGLGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLFYLSWFFSSASSPMLARMMPFTETAISLANDTLKSALNAVKNLSADSHNEGVIGHVIEVVTQSLKINATGLTVMQASGKSSLIKGTAIGSSYLSDLTTLAVGYMFIFCLVFLYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGATIAQRVEFFTMSPLASSSIHWLVGIIYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVILVFLPVKLAMRVAPSIFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKALLHHWFAAVGWALGLTDFLLPRHEENGGQENWNGRAGRDRVHGGREMVAPQLEQRMIQHVADNLNGRGNANDSNEVAEESDVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAIPRLPITHGIKCNAAGTRYAIDYIRSRRLAFLVQQICKWCSIVVKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRTKFERVREDGFSRLRGLWVLHEIIMPIVTKLLTALCVPYVLARGVFPVLGYPLIVNSAVYRFAWLGCLIFSALFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSPHSSEPGTTTASDDDEHEQALIPRDQEGELGLRFRRHIMRGNQPRMAA >ONIVA06G26170.1 pep chromosome:AWHD00000000:6:25036673:25039661:-1 gene:ONIVA06G26170 transcript:ONIVA06G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT4G34150) TAIR;Acc:AT4G34150] MSIQGQILEVRVTGCRKLRDTEFFTRQDPYVCIEYATNKFRTRTCTDGGRNPTFDEKFHIPLIEGLRELTVTVWNSNTLTHDDFIGNGRVQLHKVLTRGYDDASWPLQTRHMRSAGEVTLIMHFDVSAMKNKPGKISAVSTTHSVLPVPVPAVPYAAPSPSYALPPAGYPAVPPYQSYPASHVPAPYPTSAYPHPPPPLLARDVEHAAYPPTSTTYPPQPYPPQPQGQTYPPQPQGETYQPQPQRETYPLQPQVQPYPPKPQGQPYPPQPQGQPYPPQPYGQTYPPPPEGQPTYPPAPYPSTYPPAPY >ONIVA06G26160.1 pep chromosome:AWHD00000000:6:25029296:25035559:-1 gene:ONIVA06G26160 transcript:ONIVA06G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLATVVLLLVAAARQAPLAAGDHSANPRLPTCAAAPDVAAPQEHGDGGGVVGGRRILDITHAVRAELPVLGSCDGVGALVRLKKSMANGSRSNLSELRMSVHTGTHVDAPGHMWQPHFDAGLDVDTLDLGLLNGPALLVDVPRHSNVTAEVMESLNIPRGVRRVLFRRMNTDKRLMWQKESDLSFVGFTEDGAQWLVGYTDIKLVGVDYLSVASYEHMIPAHVVFLKSKEIVIVEALKLDDVEPGMYMLHCLPLRLAGAEGSPVRSAFLQGQVLSLQVFLSCFSDMCSQLHKEMKTMQNRMVPRRTDMAKGSVKERHYSVACTVVLL >ONIVA06G26160.2 pep chromosome:AWHD00000000:6:25028182:25034034:-1 gene:ONIVA06G26160 transcript:ONIVA06G26160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSNPCPALLVDVPRHSNVTVLRKLRYAAEVMESLNIPRGVRRVLFRRMNTDKRLMWQKESDLSFVGFTEDGAQWLVGYTDIKLVGVDYLSVASYEHMIPAHVVFLKSKEIVIVEALKLDDVEPGMYMLHCLPLRLAGAEGSPVRSAFLQGQVLSLQVFLSCFSDMCSQLHKEMKTMQSIIFCQMKPAACMAERAWAHS >ONIVA06G26160.3 pep chromosome:AWHD00000000:6:25031163:25035559:-1 gene:ONIVA06G26160 transcript:ONIVA06G26160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLATVVLLLVAAARQAPLAAGDHSANPRLPTCAAAPDVAAPQEHGDGGGVVGGRRILDITHAVRAELPVLGSCDGVGALVRLKKSMANGSRSNLSELRMSVHTGTHVDAPGHMWQPHFDAGLDVDTLDLGLLNGPALLVDVPRHSNVTVLRKLRYAAEVMESLNIPRGVRRVLFRRMNTDKRLMWQKESDLSFVGFTEDGAQWLVGYTDIKLVGVDYLSVASYEHMIPAHVVFLKSKEIVIVEALKLDDVEPGMYMLHCLPLRLAGAEGSPVRSAFLQGQVLSLQVFLSCFSDMCSQLHKEMKTMQSCI >ONIVA06G26160.4 pep chromosome:AWHD00000000:6:25031163:25035559:-1 gene:ONIVA06G26160 transcript:ONIVA06G26160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLATVVLLLVAAARQAPLAAGDHSANPRLPTCAAAPDVAAPQEHGDGGGVVGGRRILDITHAVRAELPVLGSCDGVGALVRLKKSMANGSRSNLSELRMSVHTGTHVDAPGHMWQPHFDAGLDVDTLDLGLLNGPALLVDVPRHSNVTAEVMESLNIPRGVRRVLFRRMNTDKRLMWQKESDLSFVGFTEDGAQWLVGYTDIKLVGVDYLSVASYEHMIPAHVVFLKSKEIVIVEALKLDDVEPGMYMLHCLPLRLAGAEGSPVRSAFLQGQVLSLQVFLSCFSDMCSQLHKEMKTMQSCI >ONIVA06G26150.1 pep chromosome:AWHD00000000:6:25022384:25039146:1 gene:ONIVA06G26150 transcript:ONIVA06G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYIEPGAFYGRPYKKRLALLVTRERNTWDGKAINTTRLINSASGILRNGRAKNTYMKKNTVLKRPRRKRKPPTTAAVHDGGGMHFQWLRAAVLGASDDLVSTASLMLGIGAACPADERAVLLSGLAGLVAVAWPSASRFFRLPSAAKQRAHRAPGTVTGDGHRLVLLSPATASGTTSPLPLLLAHNSHMFTGERGILTPSKTKPMRQCHTNKNKAAKINQSLTIAETSKCIMSVTSPADLKIHYYQEVHKCNEHKLTIPTMTNSPSLFQSKTEQTPFP >ONIVA06G26140.1 pep chromosome:AWHD00000000:6:25019023:25022026:-1 gene:ONIVA06G26140 transcript:ONIVA06G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRWVVVFWVLVVMCRGACGLNADGVLLLSFKYAVTADPLGALAGWGYADESPCAWNGVVCNGFPQADAAAAWKANVTGVAAAEGGNSSAAVPVPSNGTAAAAAGLGVNASLAAAATVSRVISLVLPNAQLSGSLPPELGRVEHLRHLDLSGNSLNGSLPPTLLNATELRVLSLADNDISGVLPDGGSVPYSRSLQELNLSNNALAGRLPPALCRLPSLAVLGLANNYLAGELPIGGLAALEVVDLSANYFNGSLPSDFGGSRLRFLNISSNKLTGALPTELSAVVPANSTVDLSHNNFTGTVPQAGPFAVQPAAAYEGNPELCGPPLKKMCSIPSSLSNPPNATDSPPAFAAIPKNPTRPSPGAQAQAPRGQEKLRPAAILAIVAGDLAGVGLLFMLFLYIYHIRKKRRQRRHHHHQQQQDSPLQHKSNRAIGDVKTLDIAGAREEKASTSTGCCIGRKNDSSDESSDCSASSGAETSDDDDDGDLKKRSMSFIGRSTPQHHSKKHDHPHHQAAAAPPAPATLVTVDGDGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGADKLKDFEAQVRAVARFRHPNILRLRGFYWGADEKLLIHDYATNGSLANIAFSRRFGASSPLQLSLEARLRIARGVARGLAFIHEKKGVHGNVKPSNILLGADMEPWIGDFGLDRLLSGEAVHRSTGASARLFGSKRSMHSTSSLPDLSQMPGAGASPCGSSSAVTSAAAAPPPYQAPECLKNLRPNTKWDVYSFGMVLLELLSGRVYSEVELCQWHAGFVVEERSRLLRMADPTLRGEADGREDALLACFKLAFACCAMAPGKRPAMRDAVLVLDRIPCSSSSASTTTTTAAAAAIP >ONIVA06G26130.1 pep chromosome:AWHD00000000:6:25014265:25017300:1 gene:ONIVA06G26130 transcript:ONIVA06G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFRVFVYPDGDPGTFYQTPRKLTGKYASEGYFFQNIRESRFRTDDLEQAHLFFVPISPHKMRGKVPSSLLLVTYAWLILHIRSYDRSILFLDLYWWCPLCSSFRGHWGVGADHFFVTCHDVGVRAFEGLPFIIKNSIRVVCSLSYNAGYIPHKDVALPQILQPFALPAGGNDIENRVILARIWENDTELAISNNRINRAIGNLVYQKQFFWTKFCVCPGGSQVNSARISDSIHYGCVPVILSDYYDLPFSGILNWRKFAVVLKESDVYELKSILKSLSQKEFVSLHKSLVQVQKHFEWHSPPVPYDAFHMIMYELWLRHHVIKY >ONIVA06G26120.1 pep chromosome:AWHD00000000:6:25002926:25005639:1 gene:ONIVA06G26120 transcript:ONIVA06G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAPAWAPPIVSSASAVSARPRRGARAGAGAVRCELAVSAPSSAAGSLAPRWAQRTVVIPPQSRGCHLITPKIVNGIRDDLSEFKCGMAHLFLQHTSASLTINENYDSDVQFDTETFLSRIVPEGPSAPWRHTIEGPDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGIWLCEHRDYATPRQIVITLNGI >ONIVA06G26110.1 pep chromosome:AWHD00000000:6:24998900:25001117:-1 gene:ONIVA06G26110 transcript:ONIVA06G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLLSPAAAPRPPLPAPRRPSLPPRATSILTPAPRSPALRVVDAFAAGRWGGRAASRLPAAAAAAAAAAEASPPDPAPSPAEDEAERAMLAQVSKRLEKTAQYFKTLGTLGFWSQLVCTTVSAGILSFSAVATGNATSPFTFFATSIGIVAAFISVFWAFGYIRLSQRLKRTANKPAKAPPRADVVKNLKNGIVLNVLGMGAAILGMQATVGALVAKALTTSAVPYYQGISPGQSPVLSLDVFLVQASANTILSHFLGLSSTLELLRSVTLTKVEAASTPRSQPA >ONIVA06G26100.1 pep chromosome:AWHD00000000:6:24989030:24999019:1 gene:ONIVA06G26100 transcript:ONIVA06G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPFDHPLHRRHYSDHHHFPPGGSGGSGGAASAAARSRYEYGGGGGGGYESHSHHQYHLPDHHHHHHHPPPRVQHHHHHHHQQLPAPTPPPPPPPPLPQHRLEPPPPHYGFPPRGHPDAYSPPPYHDPSPHHHYHRHGGDDFLPADEIRRVGGGHHHHHHHPQLQQLLPWEEAEEERRRYGGATQQLRLSPSGPRKRQRGAVHDADVESTSSSGPPPRRQRQQPHPDYALDDSFVDRNNAHPGYMVHEGFSIHSDSKVSRKIQMPTQMALPGSPHGTSAGYARRAPQKVAPSRVSVWHRIEENPAMYEPSSPPPHMPKEVHVSPCKSNNVAPASKELASVISVDCRGKSADGNDGDSNTGTKKNPVKKNEKVLASVLVKPPMEPKEKEVAAKKMLKKPDKVQKNAVHSNIRSLVSTPCPGAGAKKVKKIVIKKIVRKINGKGNQNSTPVVSEKRDGIDANACEKEEGEITTSSFEKDVISAHDPIAVSDTAGFGNAVNDQKQKNTNFTNPSGRNAASANGSMEIPDPPNGSGSAHPGKEEVLSPKNPVDNSNASLVVEPIEVLEKSGTEHPRKEHDMSSIGSGVNDAFADANNHTQKEVGEMNVAVAINSVRVSDAREVPRCDDSSMEESKVPKDVDANNAVCMDGVASNCDTTEVCGNEDARRECGKKLIGINDEKAFLLNNSARSSSTSDTCMTAVEGAQKKEGIILTGSSEKSIGFLGDSVGTHRTTEFGASKDAPNEGDDMPSHPSEKDFMSLNSCGGLNYTEVSEKEDIQEKEDRVPMESIVACTSSGNEDIQVNEGRKPMELSEANAFSGSGDSQGKECRIPMGSSETNTSSVNHVNASNEKDFSLSEDTQKKESHRPIESCENTTFEIMHHEEAPSTEEVITGVSLGRKVAEGPTRSNERCSGARGNSATTLKFGLACATEDNQMEDLLNNRTALNETDDPLDAEDSPVFVPPSSRNVESTYASPLYDPMEDSTSDGILNIGLGRNTTSKAAELLDLHRDHISSENDSLIHSRGTSSVSGNREQSVPTALTLGSNIYFSSAETDDRPEERHELVVEGQQGLTVETTSKLDSPGKIEVLNGAGFISTGIQNWLSLPPSINSMEMSGQFLNNGFTVSKGRLGLDQSMDDATSVSQDHDIAQDMDQRGSEDAFFSQDHSIRLCGSNLPHSHLLAPKESSMNGEDQSGIVLTGLHPINSVNVLGHYGYQTDDIPVDNLNKLPSALESSDAMDADQVSSQVCVNPDHTNDSNTENAGVESNAKQDLLSSWIEAIVSEAKKEHPPCKSTPLTVGLPDKLLEPKDSDRKTLLETVVPSAVKSPQINFASSTLQKVAPKQVTLPSSSREPTRANQNARHRTWHRGNIASSSSSLHASQPLGLPPKLPPKKNDKAQNSYIRKGNALIRNPSNGNHPHSSTGHDTQNKLNKPVVRRSMNFVRKADTKDLANSNISVERPKTPPLPLHTKSSCPTTLLEPLSQTLQKQHGHDAEKEDLTGQPKSGVDNSSIKSAQKSEPSDPSKVVYVRPKSNQLVAAQRQHPIDLVNSPTDKILSLQAPIASDLYLKKRKNQIVLSSCSPSDGLSTKETLPAENSNSEEKKDLMIACSISGIPGVKDRPQKALQTTNNVGRFSHVWTLNGQQPQRKGFMGSSHMNAFPRILPWKRKIFCKNFRSSHMSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKRSQKVNKEATLALAEVERRKREKRKRQSLHDKGDHQFESVTGNQLRNSCQSSSDLRKPSTCNEYVRVSKGNQLVRNPKNVIRMLASDKVRWSLHTVRSRLAKKQQYCQFFTRFGECKKPRGKCPYIHDRAKVTICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLRGLCTNIACPYRHVKVNLNAPVCEDFLKGYCAYGDECHKKHSYVCPVFEATGECPQGSRCKLHHPKSKVKSKSRRPDFLQNSSWGRYFDASIDHQDETRKVSLDEDEREKPQRVFSDGDLGFISLDDDADEDVTALDASDDIPLMELDSGDLSAQTDNLDALIKPLRIMRTARV >ONIVA06G26090.1 pep chromosome:AWHD00000000:6:24978244:24978670:-1 gene:ONIVA06G26090 transcript:ONIVA06G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTKKPPMKDGLLSGKTRTGGRPVFDMIYSMNIDLSKVCKEQIKTDNRRSYASNSNICLMQLLLSQNSPLSTNRSKVISNQGEKREANRSRIVQGRDSRKS >ONIVA06G26080.1 pep chromosome:AWHD00000000:6:24968358:24969293:-1 gene:ONIVA06G26080 transcript:ONIVA06G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGVEADCDRIRGPWSPEEDEALRRLVERHGARNWTAIGREIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDATILRAHARLGNRWAAIARLLQGRTDNAVKNHWNCSLKRKLAVATTTTTTTTGAAAAPGVVADAAELVERPCKRFSPTPDSPSGSGSGSDRSDLSHGGGFGQIFRPVARTGAFEPVDCAISRRQEEDPFTSLSLSLPGTDQRFNHDSAHSHFQELPSSPSPPPPPPPAAAASTTQYPFTPEFAAAMQEMIRAEVHKYMASVGVRAGCGDAGGADLHMPQLVEGVMRAAAERVGRMH >ONIVA06G26070.1 pep chromosome:AWHD00000000:6:24964635:24964913:1 gene:ONIVA06G26070 transcript:ONIVA06G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLRQRVIVFTLVVVACLVLATTTKVADARMLKRMERDGNAVVESPAVDLEAMDGSTEGAGDGGLQWLKSVSLDMLGGIKDSGPSPGAGH >ONIVA06G26060.1 pep chromosome:AWHD00000000:6:24952556:24952849:-1 gene:ONIVA06G26060 transcript:ONIVA06G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDDGGGDDAWVGSDADSLFEGMVLFTPSLSVDPDPKPPVVKAPDPELPTPHHDADAVAVAGADVAASHLASTRGSFPFTSRRPRFAPSAAGGGA >ONIVA06G26050.1 pep chromosome:AWHD00000000:6:24948842:24949180:1 gene:ONIVA06G26050 transcript:ONIVA06G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAQTWVVVAALALALVMLPRRPAVVGAESVAAATAEKSMGIGGAGKPKCQPGAATGPCRVGAVHDPENSEEEGLFSMRATPPPTAAPPADEDYFDPDLSNDDDLVVLGH >ONIVA06G26040.1 pep chromosome:AWHD00000000:6:24938373:24944753:-1 gene:ONIVA06G26040 transcript:ONIVA06G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAALAAAAAAASAALLVLAAFAGGVEARERESAWLPAAKKMAAAPKKVAAAAAAAAKVPAVIVFGDSTVDTGNNNVVATMLKSNFPPYGRDLGAATGRFCNGRLPPDFMSEALGLPPLVPAYLDPAYGIADFARGVCFASAGTGLDNATAGVLAVIPLWKEVEYFKEYQRRLRRHAGRAAARRIVRDALYVVSIGTNDFLENYFLLVTGRFKQFTVGEFEDFLVAQAAGFLAAIHRLGARRVAFAGLSAIGCLPLERTLNALRGGCVEEYNQVARDYNVKLNAMIAGLQRSLPGLKIAYVPVYDDMLNLINNPSTLGLENVEQGCCATGMFEMSYLCNEKNPLTCPDADKYFFWDSFHPTEKPILKILAKELQILSSWCAMIGIPSFTQWSYFSSTDTM >ONIVA06G26030.1 pep chromosome:AWHD00000000:6:24936158:24936740:1 gene:ONIVA06G26030 transcript:ONIVA06G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDMMKSTAKSSVVAVVVVVVVVSACFSTLRKHRLLSFALSCHFVSIDPPRKAAAELTAMRVARRRVVAGVSLRRRRPPATGMLCES >ONIVA06G26030.2 pep chromosome:AWHD00000000:6:24936232:24938785:1 gene:ONIVA06G26030 transcript:ONIVA06G26030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFRLPRPPGGETNQAAAASASPRRPSLPFASSLFAGSPSTSGKQPWPADADDMEKKRWDSMESWSMLLDTAMGPSGEPTSSRDSGRREEWMADLSHLFIGNKFASGANSRIYRGIYKQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSSETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETACQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSTSCSPVLNNLIKRCWSANPARRPEFSYIVSVLEKYDHCVKEGMPIMAHQELRIWSSFAKIFRMGCITNNLSIPVHA >ONIVA06G26020.1 pep chromosome:AWHD00000000:6:24925917:24932311:-1 gene:ONIVA06G26020 transcript:ONIVA06G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIGSGMYVSGPAPDRGKERRQLSSGSVATPPYTGGDVSRSGELGRMFDIGGAGVSPASSRRSSGPLPRPLPLLPSPASGPLSQLSHSGLLVGPSPPPPPQTQQSPAGSWRKSSRRREEAAAAPEAARGRARLGVSVACYVAASVAATAGLGAGAFFLVAWHRWEVLAAAGGAVAAVAAAFAWNVRRRDAEAERFFRRLPDTVFDQSDMPIGELVKITGQVTCGHQTLGARFHDAARCIFTSVQLYERRGCCFRWQQTHSETRTANFYISDRNTGKRFYVRAGEGGKITWMIKQKTDSFDGERKGASRNLKSWMASNDLSCDGTVRVKEGFIREGDTASVIGVLKKHHAYDIVDAPSGVVTTGCQFTRCMFPVHVEGLILVGDEDPEDEVYMDIRS >ONIVA06G26010.1 pep chromosome:AWHD00000000:6:24920778:24921080:1 gene:ONIVA06G26010 transcript:ONIVA06G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGAAAATKDEGGVCRQPCGQGQRRWRQTRTATQWSATAAMADGSDRRGQRLNGVRRAAGGGGSDDDEQGWLRAAVMTDEGGDLVERETMMAI >ONIVA06G26000.1 pep chromosome:AWHD00000000:6:24910214:24914455:1 gene:ONIVA06G26000 transcript:ONIVA06G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPSVPKFGTWEADNVGYTLYFDKIRENKGATAPPLRHPYNHNDPAENPGVIRAADNLAAAPSSRPATSSGHRESQRHHQQPPGSHQHHRRSGSVASDPGDFQSKFAPPPQFRPSPSPSQYDHHSSDHRHGHGHHPPHAGYNCGGGGRRAPSPSPQVHAASRRHHHGRHHQQVAPKARSASASPQHNIYGRQRASAVPKFGVWDEQNGEAAAQGFTVMFDNVKRSRAAARGAGAAGVVPRSPPQEISAANMRHSRDHSLMSKMFGCFQPTTRE >ONIVA06G25990.1 pep chromosome:AWHD00000000:6:24904348:24905604:1 gene:ONIVA06G25990 transcript:ONIVA06G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVKQKTTTWLVELLREYCQRAAAQATAGNGAVAAAAAVEGEINTDGLAALAAEAEGFAAAAAAVEGEINTEGLAALAAEAEGFAAANGEGWVAPAVEGEGEGFVGAPVVEGEEGLTAELPVAAERKYRGARKRPWGKYAAEIRIRNTMGVKERVWLGTFGTAEEAAWAYDTAATVIHGDKATTNFPRAPLRPATTPVMRSMLVFFGIAHLVRSLVPRARGPRGRGGGAGGRGRSRRRRAAAAAAPSAPASEAPSPPPPSSALVPEPELQVQGGRGERGRGRGRGRGGGRGGRRGRGRTPARVVAEDSPMLQATTPAAAPAPAPALAPAPANQAIFQPMIIPPGGVVVAPDDFLLSAISDDEPVLPHKKPKLLGVYTPPDSPDQFVMEFFADLGDGDDILSSSFWQDPAGDGEDTQ >ONIVA06G25980.1 pep chromosome:AWHD00000000:6:24898049:24898267:-1 gene:ONIVA06G25980 transcript:ONIVA06G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKTPLGKKVVMTTSWAINTDFSSYGTTVAVVSLVVTGAGAGAATAEAAGMYGTGKIKEFGSSDGAGADTK >ONIVA06G25970.1 pep chromosome:AWHD00000000:6:24897045:24897653:1 gene:ONIVA06G25970 transcript:ONIVA06G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPELATAAATPLLEKRILTAHEVFMATLFPNGIFSYTAGPSHELPPPPPPAASDSFFQVVIPPGGVLTNYAVHEAPDFDPNIFFADVPDGEQGEPVLLSSMSTLLDVDTSSFLAADDASSSAQAAVDVASLSAQAAVDVPSSSVQAVINVPSSSAQAAVDVPSSSAQAATDVASSLTQGAAGNERPIVFDFDLNEPSSNYE >ONIVA06G25960.1 pep chromosome:AWHD00000000:6:24894817:24896019:-1 gene:ONIVA06G25960 transcript:ONIVA06G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSWAVRTGFSGKVVAAAVASSSVAGTGGGAAAPEAASVEGTGKITTFGCINSAVAGADADAGTDAGSVAGATVVAAAAGGGIGTRIASGTAVGGATAGAAAEAPGASVDDPREIVAFGSIVGADACTGTGAAEGGSGAPAVTTAEVSPHPRPCPRFPTATAASATCPRPRARPRPRSPPLAKRVEAVGSFLFLSGAGAAATVAAAGSGGGGGGIASAATGAGANAAAAEALAASVDDTVEIGAGAGADTSAFARVAAGVGVGGGGAPKITTVGAHLVPDPRPWPRPAALAMATHPRPRPSPRSLLLAERVAGAAGGGTSASRAAGAGAGAGTA >ONIVA06G25950.1 pep chromosome:AWHD00000000:6:24892186:24892897:1 gene:ONIVA06G25950 transcript:ONIVA06G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRKHQLRSLEPNAVISPVSSTLTASAVAARPPAPMTPELATAEAAPLLEKPVLTIHDVVMATHFPNDVFSYIVGPSQASSSPPPMSSNTFLHAVIPPGSL >ONIVA06G25940.1 pep chromosome:AWHD00000000:6:24889220:24892180:1 gene:ONIVA06G25940 transcript:ONIVA06G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEERVAEAMKGLLVEYSQQSARLAVQVRGNEYRRAAAAGGGGGFLLSPGERERLRAGSGLELVIVHVMEGDQVVSSSASAEVLVSPLVEGEVRVVPAVERRRWRRQAAAEEWEEIDPETKYRGVRVRFGTRYVAEIHNPTGAGRLWLGTFDTAEQGAWAYDAAARVLRGEAAATNFGDPAQTPSPLSAEMRSMLAFFDRARARRERVVEERGAAAAEAEASEAPAPAPLLLLPAPPPSSDVSPVTVQVAAPPSSSDAAPVPATLLSDASPVIVQVPVPPSSDAAPVPAPTLSDASPVPVQVPAPPLSDVALVPVPVQVPAPTSSGSDEAAFVGGRGRGAGAGVGGGCGVGRGGGGGRGRGGKRAPTTAVTVVAPPPPVPTPAAGAAAPTLAPASAAAHAPALSVEPDALVSPASIAPAYAAPAPAPAPAALEALAPPPPAAPASLSASGGERGCVAIANAAVAGRGRGRGSGAKRAPTAVTVGAPPPQARTPAAAPAKAFVSAPAPAPSAVISPVLAASASAAAAFAPAPAPAAAEVIPPPPPLPAAASTAAAPAAERKRKLLTASSLSSSGGERGRGRARGRGRVADAAIAGGSRGRGRGCGLTPAVVTVGAPPPPSAAPAPAPASALSLEPNDIIAPVMSSTLAPGASTAAAAAPAVAPPVAAPEAILIPMPPPAAAVAAAAPVPKKRKLLTASTLSGSGGPGRGRGRVAKATFAGRGRGVWSGRGRGRGRIPTEVTVGTPSPSAPTPAPASATAPLIQSNVVISPVPPTLAASGVAAWPPVPAIPELTTAAAAPLPKKPVLTAHDVVMATLFPNGVFSYTAWSSHAPPPPVASGSFFQEVIPPGGVPTNYAIHEAPDFDPNVFFADVPDGEQDELVLLSLTSTLLDVDNSLTLVADDAASSPQAAVDVASSSAQAAIDVPSSSVLAVIDIPSSSAQAAIDVPASSAQAATDVASSSTQGAAGNERPIMFDFDLNEPASNFE >ONIVA06G25930.1 pep chromosome:AWHD00000000:6:24867726:24870821:-1 gene:ONIVA06G25930 transcript:ONIVA06G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HTV9] MAGNQRRRRRNEKSRSQPVRKNGSRDVDPFEYGEALSWRNQSYMNDIADRCAPSPHPLSMCQSRAAAFGYPCEEYKVTTEDGYILSLKRIPHGPHDSNTSTEMRPPVLLFHGLMVDGATWVMSTPKQSLGFILADNGFDVWIANSRGTNSSRNHTSLSTKDPAYWEWSWDELASYDLPAVLQFAYDHTGEKIHYIGHSLGTLMILAAFSEHKLLDVVRSAVLLCPIAYLSRTKSKLLKLAAHIFLAETVHWLGFYEFNPVGPVAHEVLSQICGDPEINCYDLFSAVAGPDCCLNTSTFCAFLEHAPQSTSVRNLVHLSQLVRNGGVSRFDYGNAKDNMKHYNQPRPPPYNLSSIPNHVPIFLTHGGEDYLGDVPDTRHLLRTLVKKHNSDSIEVIYVPDYAHADFIMAYNAPELIYGPMVDFFKRH >ONIVA06G25920.1 pep chromosome:AWHD00000000:6:24860546:24862827:-1 gene:ONIVA06G25920 transcript:ONIVA06G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSASSAAAGEPLLPAAASAKGGGGGGGRASMLQRCVSRADDELQWFRSCLRWVCMDHSGPWGAALSWLLFLLLAVAVPAAAHFLLAFRASRRPFSAVVQVSLSAASAAGFLCLSSSFRRIGLRRLLYLDKLRTKSDRVRLNYTARLSFSFRLLASLVAPCFAAEAAYKVWWYATSGDRVPFFGNDVLSNAVACSVEMAAWMYRSAIYLLTCVLFRLICHLQGLRLEDFAGTLLVEVEEGRAGVERVLREHLDIRKQLKVISHRFRKFIVASLLIATASQFASLLLTTRHDSVDDLLNTSELALCSVVLMSGLIIILSSAAKITHQAQALTGQTTKWHACCTIEPVPDEEAEPGSNHSSMLEVEPVSDSDGESSEETGDEDLLENTKIMLPQAHVISFQKRQALVTYLENNRAGITVFGFTLDRSYLHTIFMLEWTLFLWLLGKTIGFS >ONIVA06G25910.1 pep chromosome:AWHD00000000:6:24855103:24861771:1 gene:ONIVA06G25910 transcript:ONIVA06G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQKPRGRPAVGRSLPGRGGPTAGRDVAAAAGTRTFFFTGILLKLPTQRKNAAAAATVSMHTPLSSAMAVQETTSRPAGGESVEERPRGGELDAREVELDEPIADGEAVVEAELGRKPIVLPSSHRKSVHSSMNIHLRNVGSV >ONIVA06G25900.1 pep chromosome:AWHD00000000:6:24847859:24855181:-1 gene:ONIVA06G25900 transcript:ONIVA06G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRWWWWWTGGDGGVAGGSVSPDRAWPGERDDVEAHARARVDEVSLEAAHPQHLLDPIDFFKKCCHQMVFVATVVLCLTWMCPWRRVAVHGAGGGVDGEVRLLGPKGRGGSKPQTPPIPTTRRRPAAEMAAGDAARAPPAAEAQSLVESFCGVTSATPQEAAFFLESHNWALESAVRSFYDSADGDASAAAADAADPPPRQPPPPPPASDGADSDDEDYVVGGGDEDQDDEDYVGDGDGDGEGDDDDDEDAALAAEEAAASDERRRPLKRLKRGQNARGGSGSGKGNVRTLSDLGGGKDSAGSEDSEDDEYKPPQELYTGGEKSGMVVRDRSKRKNRADEIFKEAKRKGAKKGSFEARRKSKSFAGTGRLLTGESAEPVAPQSPESIVHNIYFWTNGFTVNDGPLRSFDDPANASFLKSIKNSECPSELEPADKKSQVNVNLVRKEEKCPEPVKRAAPFHGAAKTLGTPSDNNSTPPEATSAAAAASSTETASKTVTITVDDSLPSTSLQIRFVDGSRMVARFNTSHTIADVRVFIDTTRPGEAGDYTLQAGFPPKPLDDMSKTIEEAGVANSNLDKK >ONIVA06G25890.1 pep chromosome:AWHD00000000:6:24840761:24845731:-1 gene:ONIVA06G25890 transcript:ONIVA06G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALAGVLLLALAAVAGADTDGGDAAALGNLYSSWNSPAQLTGWSAGGGDPCGAAWMGVSCVGSAVTSIKLSGMGLNGTLGYQLSNLLALKTMDLSSNNLHDSIPYQLPPNLAYLNLSHNLLFQEIGEMFGNLTALSELDVSFNNLNGNLPISLRSLSNISGILGGNSFLNVPSSPPSTITSPPQGQPDFPQGPTTAPNIPEIPIDQGSDKKQRLRTGLVIGIVIGSMAAACGVLFALVLCLHNVRKSKDGGISESKDVASTFAVNIDRASNREIWDHTQQDAPVSSSVLPPMGKMTPERVYSTNSSMSKKMKVSVTANPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEDNFLEVVSSISRLRHPNIVPLAGYCVEHGQRLLVYEHIGNGTLHDILHFFDDTSKILTWNHRMRIALGTARALEYLHEVCLPPVVHRNLKSANILLDKEYSPHLSDCGLAALTPNPEREVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTARKPLDSSRERSEQSLVTWATPQLHDIDALAKMVDPVMDGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLVQRASMVRRQSGEDVGLSYRGPDREGGTADAI >ONIVA06G25880.1 pep chromosome:AWHD00000000:6:24825960:24829710:-1 gene:ONIVA06G25880 transcript:ONIVA06G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 10 [Source:Projected from Arabidopsis thaliana (AT4G25434) TAIR;Acc:AT4G25434] MAASASAAATLPTTLLLRRLLLLLLLLLLLLLLLLPRRRAGSPPPARRRLGSQMLGLQDVDREKETKMDARMKKMVTMVMAVHVCLICSSVVGAGRRLRGELRSRAMSSSTNSTVLAESVATGSSVDVELLPFVNDKHGGVIVEMTAPMDPQLFSASLKASLSKWREQGIRGVWIKLPISLANLIQYAVEEGFWYHHAEETYLMLAYWLPATTHTLPVNATHRVGVGAFVMNDKREVLAVQEKSGVLRGLGVWKFPTGVVEPGEDINLGAVREVKEETGIDTEFVEVLAFRQSHKAFFDKSDLFFVCILRPLSFDITKQDSEIEAAQWMPVEEFAAQPFVQKHELVKYILEVGLAKVDKDYAGFSPILIKSAFTDKKSFFYMNRRDLDKASESSSTQKKTEKPLFMEKL >ONIVA06G25870.1 pep chromosome:AWHD00000000:6:24825166:24825958:1 gene:ONIVA06G25870 transcript:ONIVA06G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDGNGGGLGSFVWSYHGGDEGIMMLMCSALLRLEPIGRLAQANSAPELHQARRVQRFISNNRKSFMKHKRRMGIEEGAKVTLYVFQISSDETRSKQKTKDWWSGNIRSKSGVEATSDGKKDPV >ONIVA06G25860.1 pep chromosome:AWHD00000000:6:24822716:24824464:1 gene:ONIVA06G25860 transcript:ONIVA06G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGRSDDEQVESAAGGTSRSKDMTSSGNESKSSQGGSNPPPIRQREGQFDYSPAILCKCGYNDGKMDFLSGNNPSRRYLTCARARVLNDLHNVVHGLKENSEMRACLVSARDELKVVHNGVCNRDVADWTRKLKEKDDSACKLNVLANELEFHQAQAKG >ONIVA06G25850.1 pep chromosome:AWHD00000000:6:24819441:24822011:-1 gene:ONIVA06G25850 transcript:ONIVA06G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT1G74350) TAIR;Acc:AT1G74350] MSFLAPGRGRLLRVLPTRRHRLPPPQGSGGYAACFRRCKAHFLLDGVEDAVEGAAEPQQPPVSLAKSLASLTEESVVAAQRQRKPLPRMERKRLAELRIKKRVKAQYLNGKFHDLMANVVASTDTLEDAYDIVRLNSNIDMSSVRDDVCFATLAELLRTGEFDVRANVYAVVAKRREGGRLVLPRLNLRIIQEAVRVVLEVVYRPHFSKISHGCRSGRGHQSALRFISNEIGIPDWCFTIPMHKEVDRNVLSKIICLIQEKIDDNQLVTFMQHMFDAEVINLVFGGFPKGHGLPQEGVLAPILMNIYLDSFDHEVFRICMRHEGLGSEAADVSDNQESNLRHWFRSQISGLKDREENSDNQTDYPLKTKLYACRYMDEIFVAVVGSRDIAEDIKSEMITYLRKTLFLEVDDRLYLMPVRSNSRGLQFAGSMIRVTTKESAALKAVHKLKEKVHLFASQKQEIWDAMNLRLGKKWLAYGLRRVKESEIKSLGLSTPLLDHIAQFRKDGMKTDHWFKTLLKVWMQDINAKREADESILLSKYIAEPSLPQDLKDAFNNFQKQAKDYISSETAATEALLSSLKNKESAITCTDGAVIKIHAPISYIHKCLNRYGLINLEGFPKHVSALVLQDDELIISWFAGIIQRWMRWFSEVDNFKELKLMLVECVRKSCIRTLSAKYRMYEKITEKRFELDDYGIPMAEDFEAIMAPLESSSSVCTDEALMYGISSSGLFVLTLSRVRVPSRQFDCFVMGCQSASLSMYVLHVKERQRFPGFRTGFSSSIHGSLDGRRVGLCTQHVKDLYLGHISLQSVDFGVLINDSNKIP >ONIVA06G25840.1 pep chromosome:AWHD00000000:6:24815426:24818284:1 gene:ONIVA06G25840 transcript:ONIVA06G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSTKAQAGILPMLYESPTVITPEKRNPQVSLCLNNVFSENRSNFNQFTGKCIHRASKPKPPLVLPTTRVEHGYTGCMTDIPKSRVRQLVMQPLNCVIFLLGLAILSVTFGPFVTIAHRELMMATYSEKGPENKLDHGVDETRTYEEIKSKIFAGRKMAFGVAVMENHPKDARSKPSSGEISNYSSNSRVPSSLKDSSSSTMKARPSVDNIKLEGSTSEQTLNIPNPQHIIILPFKPYYRHLSLGSKKEQKGSSICCNSYRTNEDWKEKMLESRDEVLRLLNKDYHANPHRRPPVHN >ONIVA06G25830.1 pep chromosome:AWHD00000000:6:24811602:24812578:1 gene:ONIVA06G25830 transcript:ONIVA06G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGELARVWAAIRSGGDPVANDGMQKSTMMWKESKVGGEARRWSLGSRNKDGGEWRGWCAVSSLSSGASIDGVIELEDATTTMDLELEVAGAADGVGLIELTGERG >ONIVA06G25820.1 pep chromosome:AWHD00000000:6:24806121:24810521:1 gene:ONIVA06G25820 transcript:ONIVA06G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASTVAARFAFFPPAPPSYGVEPPPSPSPAAAAEDGAVVELSGVPRRAGVEARRLPTGRGTEVVAMYVRQPGARLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGQSSGKPSEQNTYSDIEAAYRCLVETYGATEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKVPLVKCPVLVIHGTADEVVDCSHGRALWELSKIKYEPLWVKGGNHCNLELYPEYIKHLKKFVMAIEKLPPTKDESSGSSGPSDPCEIGSESMQSSRKSTDVKDKSRSSIDHRHSVDRREKPRGSIDRRDKSRKSIDHPDKPRASVDQPDRPRRSIDRFGGMMRSVTSVKLCNIDCFKVTYASGS >ONIVA06G25810.1 pep chromosome:AWHD00000000:6:24798720:24801748:1 gene:ONIVA06G25810 transcript:ONIVA06G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKLKLSDSKKVRCMIISILGFPDKNLTESLLSRRVKDIMGISSESPNGSQQIVQKETRDETTPLLPVKVEEEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIIVVALLTEASIDMLVRCSHQGKITSYGWLMGEAYGQWGRIALQASVVINNIGVMIVYMIIIGDVLSGTSSTGVHHRGILEGWFGAHLWNSRAIVLLATTLFVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLSSIWKLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLFLCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNKRFAIITISLLTVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMVILRDPYGIASKCDKILAVTMIVLAVLSNSVALYSDAMNIFRKEEEA >ONIVA06G25800.1 pep chromosome:AWHD00000000:6:24778563:24787966:1 gene:ONIVA06G25800 transcript:ONIVA06G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFREDKYVRFHDWRSEHSVGSEKTVLEGRHNVFDSLMDRTVGAFSFLGNSSHPETLNKPASEEKKSKTRVLDPQGPFLQRWNKIFVISCLIAVSVDPLFFYIPVIDGDNICLYLDKKLEIIASVLRFFTDIFYLLHIIFQFRTGFIAPSSRVFGRGVLVEDTFAIAKRYLSTYFLIDFLAVLPLPQVLVLVVLPRLQGSSVMTAKNILMVIVICQYVPRLIRIIPLYLQITRSAGIITETAWAGAAFNLLIYMLASHVLGALWYLLSIQREDTCWKDACSRHDGCDSGSLFCGSNAARNNSFLQDFCPTNGTDNADPTFGIYLPALQNVSQSTSFFEKLFYCFWWGLQNLSSLGQNLKTSTYTWENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRVKRRDTEQWMAHRLLPDNLKERILRHEQYRWQETRGVDEEGLLSNLPKNLRREIKRHLCLSLLMRTFPLLGHSVPMFENMDEKLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGNLESMTTNGGQTGFFNSNIIKGGDFCGEELLTWALDPTSASNLPSSTRTVKTLSEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEDTLFEKEKRLQAAIVSDGSSSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEDQ >ONIVA06G25790.1 pep chromosome:AWHD00000000:6:24768665:24774572:-1 gene:ONIVA06G25790 transcript:ONIVA06G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFASLRRALPLLLRATTTTTPRFLLPRALSGGVGGGAAVDARALLRGHSGWRGLRVAARMMLDSSDSAAAAGQMQPQQRAAGAVACSAQDGGAAGYASGGWAREDGKLKCGYSSFRGKRATMEDFYDVKLTEIDGQAVSLFGVFDGHGGPRAAEYLKENLFENLLKHPEFLTDTKLAISETYQKTDTDFLESESNAFRDDGSTASTAVLVGGHLYVANVGDSRAVVSKAGKAMALSEDHKPNRSDERKRIENAGGVVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEELVNEDLECLVLASDGLWDVVENEEAVSLAKTEDLPESVARKLMEIAYSRGSADNITCIVVQFHHDKLNNKMGLELMKG >ONIVA06G25780.1 pep chromosome:AWHD00000000:6:24765276:24768095:1 gene:ONIVA06G25780 transcript:ONIVA06G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTMVNAERRGKATALLQPISGVMVSFLNIMKHRGYIKKFEVIDPHRVGKINVELHGRIKDCKALTYRQDIRAKEIEQYRVRMLPTRQWGYVVITTPNGVLDHEEAIKQNVGGQVLGYFH >ONIVA06G25770.1 pep chromosome:AWHD00000000:6:24753073:24755081:-1 gene:ONIVA06G25770 transcript:ONIVA06G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTSLAVGCVREVDFKSGFPAKSSVERLEILDDKEHVFGVRIIGGDHRLKNYSSVLTAKPEVIDGEPATLVSESFVVDVPEGNTADETRHFVEFLIRCNLRSLAMVSQRLLLAQGDLAEPPAQ >ONIVA06G25760.1 pep chromosome:AWHD00000000:6:24713034:24716852:-1 gene:ONIVA06G25760 transcript:ONIVA06G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tryptophan synthase beta type 2 [Source:Projected from Arabidopsis thaliana (AT5G38530) TAIR;Acc:AT5G38530] MATTASVRPPLLRQGSEKASLLCKPKQRASVRRRSFTARASSNPVSIPKQWYNLVADLPVKPPPPLHPQTHQPLNPSDLSPLFPDELIRQEVTEERFIDIPEEVAEVYKLWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAAAGVRSVVTETGAGQWGSALSFASSLFGLTCEVWQVRASYDQKPYRRLMMETWGATVHPSPSAATESGRRILERDPASPGSLGIAISEAVEVAARDADTKYCLGSVLNHVLLHQTVIGEECLEQLAAAGDVPDVVIGCTGGGSNFGGLVFPFMREKLAGRMSPAFKAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMEAIAIQQTECFDAALKFARTEGIIPAPEPTHAIAAAIREAMECKRTGEKKVILMAMCGHGHFDLASYEKYLRGDMVDLSHSDEKLQEALAAVPKI >ONIVA06G25750.1 pep chromosome:AWHD00000000:6:24679793:24712492:1 gene:ONIVA06G25750 transcript:ONIVA06G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMMMAVANDVCDRFATAGFNTNMIAYLTQQLHLPLVVASNLLTNFTGTADSFAGHLWTTAAPGVLSQLGMLGLVVSALVPAPPRAVQRCRCRPVTMTI >ONIVA06G25740.1 pep chromosome:AWHD00000000:6:24665059:24667978:-1 gene:ONIVA06G25740 transcript:ONIVA06G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSAGSLRRRPKFAAPLLSPACLHRLSVPGEFAARLDDDDAAGVGEEEEEEESGRRAAAVLVVGPLGKVWRVELRRSPAGDGEAWLGGGWSELAAAHGLGEGWGVVLRLERRGVASLRVFDPGFCLARFCTPHAGMRTKDRPRFIKLLQQEDLEKMKIPEKFVQQHLTETYTNNHQNAIIVCPLGKFWRVELQREQPDVLLRDGWAPFLAAHDLSEGNILLFRYEGNMVFTVEVFLQNGCLKEYKTAALYLTDGTEGPSNAPQQSAAKVHLSSGKGREELRSVRGTFCSQIGLLEACAITLKISMKKKGSWRVAFKTANTYGYINGPGWRKFCLENEVKEGDCLTFNAIETTVWHVVIVHC >ONIVA06G25730.1 pep chromosome:AWHD00000000:6:24660544:24660774:1 gene:ONIVA06G25730 transcript:ONIVA06G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLSCFGSAAAGSGYGDIADDQPAAAAAYELRRSSRKVRPSDEDRLWYVGERDVDRKAAEFIAKFHASARFVEA >ONIVA06G25720.1 pep chromosome:AWHD00000000:6:24646865:24659129:1 gene:ONIVA06G25720 transcript:ONIVA06G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSDPRGRDPSGLSQIRNELSNSQLETGSGDVGGRAGGRRSGGSGRGKSRGAGDAAGEWKRSARAAARPLPPHSPVEIIKLCSEFLNTDTDTTSTTLWWIMAKLVKNPSIQSNIHDKITAKTGDEKVEVSEENVHGMPYLRAVVLEGLRKHPPGHFVLPQKAMEDMEVGGYLIPKGATVNFMVAEISRDEQEWAKPMEFIPKRFLPDGDSEGVDVTGSKGICMMPFGVKRRICAVTQLASREEEGSVLIRKMIYIPDLCQQVACGQ >ONIVA06G25710.1 pep chromosome:AWHD00000000:6:24645548:24646576:-1 gene:ONIVA06G25710 transcript:ONIVA06G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIAADDLFLDAAADHHPAGFSSSSSSSSSYFASFSEVVEEEDEERHPHHHHRLLDDDAAGFDHDETLAAPAPAPGSPFSFASDPDPDPDPDIDLELRLSSRSPPFWDCLEDDLADEEMVGGGFEWEEIADAAVPAPGAVAAGGGGGGGGGDGGLVGDGDVFGFLDEREILGAMEGLDSGDDESGFSDEPFDFGDEGDDIGDIFRSVGWEVLPVPLDEDDFEVLPGHVADAAAGGAPPAARAAVERLQVVAVGGGGEEAAAASRGCAVCKDGIAQGELATQLPCAHLYHGACIEPWLAIRNSCPVCRYELPTDDPEYEKRRVKRRSSGDSVAQLGTPMQI >ONIVA06G25700.1 pep chromosome:AWHD00000000:6:24641359:24641874:1 gene:ONIVA06G25700 transcript:ONIVA06G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQSFGAVDLAASFFFSSSSSSPQPGLVGVHGDRFLGGGGGDGGDGGSSYDGGGDGGAASGKGTRRDERKERRLASNRESARRSRVRRRRQLDELSSHVAELRAANHRLAVELNRAAARHAQMARENARLAEEARALRERLPLRGGGGDGEAEEEAGGGCGAEAILAPMD >ONIVA06G25690.1 pep chromosome:AWHD00000000:6:24634722:24636141:-1 gene:ONIVA06G25690 transcript:ONIVA06G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPGRTARALCLLCLALLLLGQDTHSRKLLLQEKHSHGVGNGTTTTQEPSRENGGSTGSNNNGQLQFDSAKWEEFHTDYIYTQDVKNP >ONIVA06G25680.1 pep chromosome:AWHD00000000:6:24620409:24620801:1 gene:ONIVA06G25680 transcript:ONIVA06G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVKLVGGGGGGVAATSSSAAAGGGGGHPGMWRTPTPYLFLGFAVMMGLIAVALLVLVCTRRKNHGDAGSSSSASAAASVKVLVPLDREPKVVVIMAGDTAPSFLASAKPLSSFVLPPPPPPAAAGEP >ONIVA06G25670.1 pep chromosome:AWHD00000000:6:24595143:24596159:1 gene:ONIVA06G25670 transcript:ONIVA06G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECRQGQAQCRHLVPPEEGHRRCHAFAMDIVRARRQSASVQDRDDVLSSFLIAGCETRSSGLSWFFWLLLSQPDIMARIADVVRVVRKATGACPSELFGFDTLWEMHYLHTVLMESMRLYLPASGVRGG >ONIVA06G25660.1 pep chromosome:AWHD00000000:6:24588817:24589227:1 gene:ONIVA06G25660 transcript:ONIVA06G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLVAAGVMHRRRDIKPDNAPVTYGGGLKLAVRLRGGHAGEAAGEAVRGVSGRHAALDLHFARAARRQRMVRPGGGHVGAGVHHIVAENLTGGPLFDERPELSPAGREVLDRWDAGLQPRREDDGSGGAGPQVVH >ONIVA06G25650.1 pep chromosome:AWHD00000000:6:24550888:24552153:1 gene:ONIVA06G25650 transcript:ONIVA06G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVVTKSPPEIVRPSEPVTTTAATSKVIFSPLDRPLAIVPIVVLQVFEHPIHEPVETIRRGLSRALVHYYPLAGRLAGDDYDDVHIDCTGEGVTFVAANADCTVKELVRDIDCRSPDAAKAVIRELIVDYPANGFGRADPLVLMQVTAFACGGFVVGVTWNHGAADGFGIAQFLQAVGELARGLPTPAVTPVRWDGWAQAVAPSTVMASKRFMFGFKAPTRLALHSITIPARTIDGVRGATACTMFEAVAAALWRCRTRVVMSDPDAPTVLAITVNSRKHVGVKDGYYGNCATVHMAVARSGAVAGGDMTEAVRAIRRAKEEIPERLKKGDVIGELSKEQLGGYESVLLVTCWRNIGFEAVDYGGGRTARVMTTYEQGRVRPMCVVCLPWQGEEEEGARVLSGCVTPHHADAFLREIATL >ONIVA06G25640.1 pep chromosome:AWHD00000000:6:24535432:24536910:1 gene:ONIVA06G25640 transcript:ONIVA06G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HTT0] MDAGSKSSSSGPLHLVIFPWLAFGHLLPYLELAERVASRGHRVSFVSTPRNIARLPPVRPAATARVDLVALPLPRVDGLPDGAECTNDVPSGKFDLLWKAFDALAAPFAEFLGAACRDAGDGERRPDWIIADTFHHWAPLVALQHKVPCAMLLPSASMMVGWAIRSSEPAGASMFEVLGAVEERRMDMPCYEWEQKAALFVADGASGMSIMKRCSLAMERCTVAAMRSCPEWEPEAFQQVAAGLKKKNKPLIPLGLVPPSPDGGRRRAGSMSTDNSTMQWLDAQPAKSVVYVALGSEVPLRLEQVHELALGLELAGTRFLWALRKPAGVDAADDVLPPGYRERTNGHGHVAMGWVPQIAILAHAAVGAFLTHCGRNSLVEGLMFGNPLIMLPIFTDQGPNARLMEGNKVGLQVRRDDTDGSFDRHGVAAAVRAVMVEEETRRVFVANALRMQKIVTDKELHERYIDDFIQQLVSHVADSSCNIATPVPSSSS >ONIVA06G25630.1 pep chromosome:AWHD00000000:6:24524720:24526842:-1 gene:ONIVA06G25630 transcript:ONIVA06G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLNPPINAEPLTKGDQFLDLMSDGWTNERHSLYISSMEASFMEQLYRHDHHGLDRNRSHAGGAIGFRVHWEGVCDNLRSERNDAHAHDGGMSCFPENPWIRRFRPRDAGVNRKNDAVGFSVDDDESGTDMVRQRVRVHGREAKSCAGGILADKSTGCSIRKITRSDKKPAKTRSFVETERKIGEHGLGWNLAQLVVVMGTCAAASPQLTN >ONIVA06G25620.1 pep chromosome:AWHD00000000:6:24517164:24519584:-1 gene:ONIVA06G25620 transcript:ONIVA06G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAPTSCCRAAPPPSRPSCLSPAAAAAARRRRMAAVRSAAASASRVSREEAVARMPPLAHREVMLAVAGEAEARLGARLLPSEVPADVAWFGNAAGDAVGSVDVRRGAPGSSIAFMLEAWFHRELPGGGGGAIDITALIVNLNGATDAPHLVMEFIQGGPASLIVLLDLLPRVDLPLHPSYIHRYYAATGLDARARRRVAGLVPQSRPYVSPSLLVRSLWSPAAVVADVQCGEGPGGAAALDGIVRGELAATAMDVLGVWLEHCAGGGGGGEMEAAERERMVARDRKVAAAELEVNLAANLPRMFDAGVADRVVAEIRKAFMGS >ONIVA06G25600.1 pep chromosome:AWHD00000000:6:24511969:24513317:1 gene:ONIVA06G25600 transcript:ONIVA06G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVFPWEKVQKHHDRKLKKFYHAKLLSTREHNSGVQVVNLYDLTSKSERRGAITVDPGAPRRTSSEPTAFPAPPPLRNSATSGGTAEGSSRAPNRASARDASARATSRCATSTITSGSVAPGAAADDARTALDVLPPSWVPAPRRRRRRGRRRGARVGKETAEDGELVAGGGERSWSIGSRRGVRRVDERSER >ONIVA06G25590.1 pep chromosome:AWHD00000000:6:24511540:24513266:-1 gene:ONIVA06G25590 transcript:ONIVA06G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRSPPPATSSPSSAVSFPTLAPRLLPLRRRRRGAGTQLGGKTSSAVRASSAAAPGATEPEVMVEVAHREVARALASLAEARLGARLLPSAVPPDVAEFRSGGGAGNAVGSLDVRRGAPGSTIDFMLQSSLHCKVPNGAIDITSLLIFLNASTDAPHFLMEFIQGSPTSIVVLLDLLPRKDLALHPEYIERYYENTQVDKQREKVEELPQARPYRSRSLFLRSTVSVTAILMSIDCGQGGEGTLEEIVRGQLATAARALLQIWLDSCADHTSEMEEGERENMIKRDQIVRSKSIEVDLTSNLPRMFGPDVADRVIAEIQKAFGVQEA >ONIVA06G25580.1 pep chromosome:AWHD00000000:6:24502339:24506266:-1 gene:ONIVA06G25580 transcript:ONIVA06G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSYGGRIILEQGYQSEVNISDLVGLGGGGGGAHGGNGRCPTGERVRVGGGEGVGVMLGVSTAVVVVATNSTPCSALRRPSPPFAVPVLAVPSAGTSWLVSLWVSSPFAALALVVASAGVGVALFLAIFVLIARHKSIGSLSKAPLLMVGWSKFNDEYSGDALLSPMIFTPKSTAQQPTSILYRSRGGNRRGLTVSQAVCTSAEAQGSSRRGFKTSWLDNRHGFTAAPYRLAPFSAIRLHLEGCFRSSVDPLSSGKISLPSHTHESRLHEWTPRVVHAQLRPEHHLRSPTATSPAPSTISYPPLRHRGAFRLPRAAAPTLRGAVRASASSAPGAAPSSASSAPGATAPLEVEVAVAHREVARELASRAEARLGPRLLPSAVPPDVAGFRSGGGAGNAVGSLDVRRGAPGSTIDFMLQSSLHCKVPNGAIDITSLLIFLNASTDAPHFLMEFIQAILMSIDCGQGGEGTLEEIVRGQLATAARALLQIWLDSCADHTSEMEEGERENMIKRDQIVRSKSIEVDLTSNLPRMFGPDVADRVIAEIQKAFGVQ >ONIVA06G25570.1 pep chromosome:AWHD00000000:6:24494138:24497188:-1 gene:ONIVA06G25570 transcript:ONIVA06G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTGCDPGPRANPSHFGSFKKRSIKKEERRGKASEASKYRGYYHDEASSAAGGGGDGYRREKQVRKKRLTAQKRKEIKEAFDLFDTDGSGTIDPKELNVAMRALGFEMTPEQIHQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDAREELNKAFKIIDKDNNGKISDVDIQRLAIETGEPFTLDEVREMIEAADENGDGEVDHEEFLKMMKRIGFGAGFF >ONIVA06G25570.2 pep chromosome:AWHD00000000:6:24494138:24496306:-1 gene:ONIVA06G25570 transcript:ONIVA06G25570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASKYRGYYHDEASSAAGGGGDGYRREKQVRKKRLTAQKRKEIKEAFDLFDTDGSGTIDPKELNVAMRALGFEMTPEQIHQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDAREELNKAFKIIDKDNNGKISDVDIQRLAIETGEPFTLDEVREMIEAADENGDGEVDHEEFLKMMKRIGFGAGFF >ONIVA06G25560.1 pep chromosome:AWHD00000000:6:24491429:24492980:-1 gene:ONIVA06G25560 transcript:ONIVA06G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNIPPPSPSPPASVFPPLSGERRLLTTGRHEISGRRGVLRRAAISDLSRRDAIPPQRTLLELLGVVADRRGALPIAVGVSSRDELDLVCADVASLPFVSLSPLYSDQAESERASVLDKFRQATIQWNHTKAAAADIADSPKTESADSKLTIVVATDACLPQATLGEAPLMARVLINYELPTKKMES >ONIVA06G25550.1 pep chromosome:AWHD00000000:6:24477017:24477501:-1 gene:ONIVA06G25550 transcript:ONIVA06G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRGCGASASTSTPLIPVGVDKAPNIDPNLYFVAITGIKVASGEIVSDKAAAIMTTVSTLYTFLNPVLFDHLKKQLPPLADKGSSVLCYTKDTELPAITLVFAGKDAAMKLAPEHYSYKRSDGAVCLSILRSPLHGGVFVIGRRGGPRIFNLGIRN >ONIVA06G25540.1 pep chromosome:AWHD00000000:6:24461998:24464700:-1 gene:ONIVA06G25540 transcript:ONIVA06G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAVKSWSLSRYYSFKQSQSHLAKIDNTVAAWQRVGEHTISFYNPYLTHAIHHISVVGRELAPTSRITHSRCRVATGSLFGTKMVLATSQGVKPSRAQADICMKSQQSKSGMIHTGILSYSLTITIEFCKLCGLELRAGLPLSFLEGLPPSRGRAVTAVTAETQAKFTSKNLNSKIGGFTINSYLLVGIGWSSKASGCYRLKAGRTFTNRRLCRSGAGGDDDSNAAWIGRPRRIRAPTCWSSFGGCGWLEDRPPATRLKQIEGRSSAAWSGAQEWNTSSDNSLTLSSSNAG >ONIVA06G25510.1 pep chromosome:AWHD00000000:6:24437205:24438536:-1 gene:ONIVA06G25510 transcript:ONIVA06G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP-interactive CRIB motif-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT4G28560) TAIR;Acc:AT4G28560] MRMILGRLLVVAVLAAAAAAAESEPRLPAAEQEGVYAVLEAVNPGFPWRASFPDDLCLAGPHGVSCDDDDGGNKSHVVGISLGYVSDFSANPSCAAPSAATLLTSGLLAASFPRLRSLFVYGCFVGDDDARPLPPLPWRLPPTLQDLVLVNNPALTGRLTISAASLPLLRRLVVASSGLSGDLPSTPFPRLEQLVLSGSRFAGRIPSALVQGLANVKILDLSSNLLAGGIPRAIGGLTQLVKLDLSSNTLAGPIPGELGGLASLELLDLSNNRLTGGVPAALRGMTAIKEMYLSGNRRLGGRVPADMFAGLKGISAVGLSDAGLTGTIPASLGESLRNVTYLGLDGNLLEGEVPPALAKMAGRVRLHGNRAVCISPEFLAGAPRPRIAGVPSCNATQAAPVTRRPVVMPVPLASAEKPAAAAPPPPMRIGSCVVVAMLLLMLS >ONIVA06G25500.1 pep chromosome:AWHD00000000:6:24435308:24436375:-1 gene:ONIVA06G25500 transcript:ONIVA06G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCDAAAADSMEWNAVYAACTKSLGGPRGGGSGRAGRVARPSRHSSAMEPEREEALQVCEAARGHLVDSRLADERRWSAAVSSPSSSPPDKRRIWLRGHRKQIIGDYLIEARAAFAAAAPLNGECGDHSAATTALGLVEAVLELSPRMEAALELRACSLLAFRRYRGVADMLRDYIPSCTKPTCFLCCFDISNLKHRVLADGEAAAHRRRWAQAAGGGAMRGRRPPGSPYAAPPTAAAITADARRRGTAGGREGREMRKREEGKRERSLMTWPADMWGPRGSRTDLAATSDKTGFKTAEGPPVTGFI >ONIVA06G25490.1 pep chromosome:AWHD00000000:6:24425473:24426113:1 gene:ONIVA06G25490 transcript:ONIVA06G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKRSDVVDGVVMCHAVRTGLVPTPKPHADPVRVAETLVGGGAPMDFDDINSMITSHYTHQGKGEKKKDQNEEGKIKSSKKAEEGGWL >ONIVA06G25490.2 pep chromosome:AWHD00000000:6:24426579:24427417:1 gene:ONIVA06G25490 transcript:ONIVA06G25490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRVGRSAMDWGINGGLGRRRQRSCLPPPSHSDAGMYEYNGEVEEDYEEELRPASRARVDPPQPSQYP >ONIVA06G25490.3 pep chromosome:AWHD00000000:6:24418115:24427170:1 gene:ONIVA06G25490 transcript:ONIVA06G25490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMIVTTVLTLLSKAIKDSSGEKEKRNRKEKSMAFRSIRTLDRPIPFLHRSSAPLHRRQHPARPHGPHPRPPPATPSSPQPPPVLCALLPLHTSPFLPSPPTTMPTSGWAEGWRRQRGDASDQASGREEDVQATQGTSAGGRRRKRRGRRWPMPGAARAQLADGGRTVKVATDPPLTYMKM >ONIVA06G25490.4 pep chromosome:AWHD00000000:6:24418115:24424005:1 gene:ONIVA06G25490 transcript:ONIVA06G25490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMIVTTVLTLLSKAIKDSSGEKEKRNRKEKSMAFRSIRTLDRPIPFLHRSSAPLHRRQHPARPHGPHPRPPPATPSSPQPPPVLCALLPLHTSPFLPSPPTTMPTSGWAEGWRRQRGDASDQASGREEDVQATQGTSAGGRRRKRRGRRWPMPGAARAQLADGGRTVKVATGSQAKKEKVAQCFDAASLPLATAMPGGRRRRKCLTQSRGKPATVLALRLAAKEVRHHQAGGGSSTVSRRGRCGPAHGSDVRGCSRRPAGTPGTHERH >ONIVA06G25480.1 pep chromosome:AWHD00000000:6:24400914:24405798:1 gene:ONIVA06G25480 transcript:ONIVA06G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRERELRKGREKGHRAAARRWPAGVERRRQRPTGVSRRGEACGELGSLGAEDGVLGVDGEEALGGEAERSGDVHILAVEVCHLRGEVVEVALLPHEEAIKNQPIDGEVDSHGVAAGRSQPNRSRHPAMPAAAHILPMHAP >ONIVA06G25470.1 pep chromosome:AWHD00000000:6:24393707:24398813:-1 gene:ONIVA06G25470 transcript:ONIVA06G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVELRVEALSLSDVSAIPPEYVRLEEERTDLGDALEVARAASDDADASRIPVVDISAFDGDGRRACVEAVRAAAEEWGVMHIAGHGLPGDVLDRLRAAGEAFFALPIAEKEAYANDPAAGRLQGYGSKLAANASGKREWEDYLFHLVHPDHLADHSLWPANPPEYVPVSRDFGGRVRTLASKLLAILSLGLGLPEETLERRLRRHDQHGVDDDLLLQLKINYYPRCPRPDLAVGVEAHTDVSALSFILHNGVPGLQAHNAGTWVTARSEQGTIVVHVGDALEILTNGRYTSVLHRSLVSRDAVRVSWVVFCEPPPESVLLQPLPELLANGAGKPLFAPRTFKQHVQRKLHVLFLLHEPSSPSQANQDADDAKTYKELYQRCTDLVSSWPSRQGLSYLQLFRHEKGWYNGVTPLVGTMVADELFAARPSDIVVATLPKSGTTWIKALLYATVHRREHPADAAGDHPFNSLGPHECVKFLEYQLYRADEAPDLDALPDPRLFATHAPFDLLPRAVVAAAPPSGCKVVYVCRDPKDTLVSLLQFVNEYKSRNGRELVAVDAAVGFFCDGVSPFGPYWEHVLGYWRAHRERPERVLFLRYEEMKRDPAGHVRRLAEFAGVPFTSPEEDGGAVDAIVRLCSFDNMVGLEATKGGRTQLTTTTVPNSAFFRRGEVGDWANHLSPEMAQRIDAITEAKFAGFGLAPSLIEL >ONIVA06G25460.1 pep chromosome:AWHD00000000:6:24393070:24393555:1 gene:ONIVA06G25460 transcript:ONIVA06G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFPPVVQHLGYRHIGQVQQHDRRWAAERGSRDNVDDGDRDCGSVGWGRRRLRLRPMGKRPAAAVGKKEEVAAAADGAEVGSGRGGGEEAGSGGGVSGEDAAERRQRSCEDVASVHMGRRRRSERSLRAWRSEVFASCGGERNRAVVNEIARMESRDSSK >ONIVA06G25450.1 pep chromosome:AWHD00000000:6:24390393:24393116:-1 gene:ONIVA06G25450 transcript:ONIVA06G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYPRLYILAIDGLSKLTANELKSQRARERYTALSVEEKGALVQRNPENRERKNSASTSGTEVRNSLFKEPVLHDAIKIGVNFRNQELLQPAEQNNAPGEPEVVIVEDDEVIIGL >ONIVA06G25450.2 pep chromosome:AWHD00000000:6:24390790:24393116:-1 gene:ONIVA06G25450 transcript:ONIVA06G25450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYPRLYILAIDGLSKLTANELKSQRARERYTALSVEEKGALVQRNPENRERKNSASTSGTEVRNSLFKEPVLHDAIKIGVNFRNQELLQPAEQNNAPGEPEVVIVEDDEVVIEPLPKKEHTGNKG >ONIVA06G25440.1 pep chromosome:AWHD00000000:6:24367147:24367448:-1 gene:ONIVA06G25440 transcript:ONIVA06G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYFYPSVPSLYPLRLHLLRPRGYLPSTASPASTIVLRSASSLGERPGDKRRTTIATQSTAYTASARASLRLVAAITALRVTTTPATSLRASAERDD >ONIVA06G25430.1 pep chromosome:AWHD00000000:6:24356436:24356759:1 gene:ONIVA06G25430 transcript:ONIVA06G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTILMALTGLPLDMDVETKSASAIHACAIDLWKVHEQANDLNDDDMDTTEAGIGDENEAFIDDENENYMGFEYARHCPRPETCYKNTM >ONIVA06G25420.1 pep chromosome:AWHD00000000:6:24334083:24339594:-1 gene:ONIVA06G25420 transcript:ONIVA06G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGSSSKRRRLPWRMTMSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHSETNEEILGVLSFVFWTLTLVPLLKYVCVVLRADDNGEGGTFALYSLLCRHARAALLPPGGGGGGGEPGDEDQFLDAGAAKKAAANGNALALSGRGGGGGAAAGVRRLLERHKVLQRVLLVLALVGTCMVIGDGVLTPAISDGVFCFCSVLGGIRAGIVHGKASAQISYSLGLWQRIEFTLGRNMMWRFLLLVLYWFACFVCNTMVLKQCLQIWGILISCRYSFPSSEKIRWPVLAIAILAAVVGSQAVITGTFSMIKQCTALGCFPRVKIVHTSDKVHGQIYIPEINWILMILCLAITIGFRDTKHLGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAFGFIIFFGTIEALYFSASLIKFREGAWVPIVLAFIFMAIMCIWHYGTIKKYEFDLQNKVSINWLLGLSPNLGIVRVRGIGLIHTELDSGIPAIFSHFVTNLPAFHQVLIFLCIKNVPIPHVSPEERFLVGRIGPKEYRIYRCIVRYGYHDVHKDDQEFEKELVCSVAEFIRSGAAAAADAAASSKPKNVCGGGAEESEKEEEERMSVIPSGSIRMMEEDGGTGAPSSEDTVGGSGSGSGRGSSRGGGGAREIMSPSPSPPPVVVAPRKRVRFVLPAASPRPDAGVREELQELMDAREAGMAFILGHSYVKAKSGSSFFRRLVINFCYDFLRRNSRGPNYAVTIPHASTLERCDAIRASSNSDRRELGSWIMGSVGLARMLRSLCVAGDLARAVGLLWRSTVCPGEGTYALLLQECVNRRDARMGKRIHARMVSVGFGGGVYIVTKLLIFYVKIGELGVARKVFDGMPQRSVVAWNAMISGCARGGAEARAVEMFGSMRAEGMRPDQFTFASVLCACARLAALEHGRRVHGVMVKSCVGGGGGGGNVFVDSALVDMYLKCSSPEEARRAFAAAPARNVTMWTAVISGHGQHGRAAEALALARVGRLGDAYELVKNLPDCQEHSVVWGALLGAGRKHGDVRLVELAARRFFRLQPGNAGKYVVLANAYAAREMWGSVAGAHEAMRSLGIKKDPAWSAVEVRGKRHTFLAGDSYHDERSAIYAACNALAAAVAEQSVPPVMDGDDASHCS >ONIVA06G25410.1 pep chromosome:AWHD00000000:6:24328524:24334163:1 gene:ONIVA06G25410 transcript:ONIVA06G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAVLPEQIAAMWEQVKAPVVVPLLRLSVAACLAMSVMLFVEKVYMSVVLVGVHLFGRRPDRRYRCDPIVAAGADNDDPELADANAAFPMVLIQIPMYNEREVYKLSIGAACGLSWPSDRVIVQVLDDSTDPVIKEMVQVECKRWESKGVRIKYEIRDNRVGYKAGALREGMKHGYVRDCDYVAIFDADFQPDPDFLARTIPFLVHNPDIALVQARWKFVNANECLMTRMQEMSLDYHFKVEQEVGSSTHAFFGFNGTAGVWRISAMNEAGGWKDRTTVEDMDLAVRAGLKGWKFVYLGDLMVKSELPSTFKAFRYQQHRWSCGPANLFRKMLVEIATNKKVTLWKKIYVIYNFFLVRKIIGHIVTFVFYCLVVPATVLIPEVEIPRWGYVYLPSIVTILNSIGTPRSLHLLIFWVLFENVMSLHRTKATLIGLLETGRVNEWVVTEKLGDALKLKLPGKAFRRPRMRIGDRVNALELGFSAYLSFCGCYDIAYGKGYYSLFLFLQSITFFIIGVGYVGTIVPH >ONIVA06G25400.1 pep chromosome:AWHD00000000:6:24317080:24318177:1 gene:ONIVA06G25400 transcript:ONIVA06G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTLASLSATAAAAAGKRLLLSSPSRSLSLSLASRGRIAVMPHLRAGILSAAPRRAVSASAPAAATIAVGDKLPDATLSYFDSPDGELKTVTVRDLTAGKKVVLFAVPGAFTPTCTQKHVPGFVAKAGELRAKGVDAVACVSVNDAFVMRAWKENLGVGDEVLLLSDGNGELARAMGVELDLSDKPAGLGVRSRRYALLAEDGVVKVLNLEEGGAFTTSSAEEMLKAL >ONIVA06G25390.1 pep chromosome:AWHD00000000:6:24303038:24313454:-1 gene:ONIVA06G25390 transcript:ONIVA06G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein [Source:Projected from Arabidopsis thaliana (AT5G42390) TAIR;Acc:AT5G42390] MASFPSPPLAAAAAAAPPRLAPGLPLAAAAVRRPSSLARRSSIALAAPANPLRCIHRRAVSPRLRRRTEAVGAASAAIGSLGEEREGCLSCFPRGRRRGRPGLARFAPCALPHTYGLSSLHSGLTGAKIRRRHVLHAAGPDEPHVASPTWSETALDKHYVDQPIGKEELEGFLNTPLPSHPKLVRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRLLQHLHSENKLSERFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEINDIPRAIREIEAVFEHTLPEGEAAPMSTASPFGAMASLFAPKLPGGLAASLTGERSPAADKIKPVKRERQAIRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALRHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDYGKPDAPLPAAIVACVPKKVHMDGVGETDFEIHPEEITDSIKAGLEEPIYPEPELEVPKELITQSELEDLKLQRKPSFASLSKEENVVKIFDDETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGDNMEVSIVGDFTEEEVESCVLDYLGTVSAPKSSKTQEHIEKISFLPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRSSSGDAQVSESANTDLTERKHNDVRSHSLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKEISCIKELTMLYESATIEDLYLAYEHLKVDESSLFACIGIAGAESGEETTDDELDMGLHGMGPIGGRGFTIL >ONIVA06G25390.2 pep chromosome:AWHD00000000:6:24303613:24313454:-1 gene:ONIVA06G25390 transcript:ONIVA06G25390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein [Source:Projected from Arabidopsis thaliana (AT5G42390) TAIR;Acc:AT5G42390] MASFPSPPLAAAAAAAPPRLAPGLPLAAAAVRRPSSLARRSSIALAAPANPLRCIHRRAVSPRLRRRTEAVGAASAAIGSLGEEREGCLSCFPRGRRRGRPGLARFAPCALPHTYGLSSLHSGLTGAKIRRRHVLHAAGPDEPHVASPTWSETALDKHYVDQPIGKEELEGFLNTPLPSHPKLVRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRLLQHLHSENKLSERFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEINDIPRAIREIEAVFEHTLPEGEAAPMSTASPFGAMASLFAPKLPGGLAASLTGERSPAADKIKPVKRERQAIRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALRHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDYGKPDAPLPAAIVACVPKKVHMDGVGETDFEIHPEEITDSIKAGLEEPIYPEPELEVPKELITQSELEDLKLQRKPSFASLSKEENVVKIFDDETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGDNMEVSIVGDFTEEEVESCVLDYLGTVSAPKSSKTQEHIEKISFLPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRSSSGDAQVSESANTDLTERKHNDVRSHSLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKEISCIKELTMLYESATIEDLYLAYEHLKVDESSLFACIGIAGAESGEETTDDELDMGLHGMGPIGGRGLSTMTRPTT >ONIVA06G25390.3 pep chromosome:AWHD00000000:6:24303038:24313454:-1 gene:ONIVA06G25390 transcript:ONIVA06G25390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein [Source:Projected from Arabidopsis thaliana (AT5G42390) TAIR;Acc:AT5G42390] MASFPSPPLAAAAAAAPPRLAPGLPLAAAAVRRPSSLARRSSIALAAPANPLRCIHRRAVSPRLRRRTEAVGAASAAIGSLGEEREGCLSCFPRGRRRGRPGLARFAPCALPHTYGLSSLHSGLTGAKIRRRHVLHAAGPDEPHLPGGLAASLTGERSPAADKIKPVKRERQAIRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALRHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDYGKPDAPLPAAIVACVPKKVHMDGVGETDFEIHPEEITDSIKAGLEEPIYPEPELEVPKELITQSELEDLKLQRKPSFASLSKEENVVKIFDDETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGDNMEVSIVGDFTEEEVESCVLDYLGTVSAPKSSKTQEHIEKISFLPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRSSSGDAQVSESANTDLTERKHNDVRSHSLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKEISCIKELTMLYESATIEDLYLAYEHLKVDESSLFACIGIAGAESGEETTDDELDMGLHGMGPIGGRGFTIL >ONIVA06G25380.1 pep chromosome:AWHD00000000:6:24300935:24302938:1 gene:ONIVA06G25380 transcript:ONIVA06G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLPRFLLLVVLLAVPMTAAQQQYEANAQGDCYTDNGSSVLGYTCGTAASPPPPPCTAYLTFRSAPPSYASPITVSYLLNASVPAVAAANSVPVSPPVARDGLLLVPVPCACTAAGYYQHDAGYVIQFDDETYFVMANDTYQGLTTCQALMAQNPAHDSLDLYPGIRLTVPLRCACPSPAQAAAGVRYLVTYLLGWDDDSSTVADRFGADYQAVLFANNLTDDSTVYPFTTMLVPLKHRPKPDVTVLPEPGPPSPAPAPAVSAPPPPAVPSSESGSGRWKKSFRGRCIGIGVGVGFAVLASGALLALFLLRRRWRWRGNGELHDVPLAPDKEGAKATPPPWMLPTTVADVDVRDAVGSMAVYEYGELERATAGFAEERRIGDSSVYRAVINGDVVAAVKRVAGDVGAEVSVLGRVSHSCLVRLFGLCVHRGDTYLVFELAENGALSDWIRGDNGGRALSWRQRMQAALDVADGLNYLHNYTRPPYVHKNLKSSNVLLDADFRAKVSNFGLARAVAGAGGQMTSRVVGTQGYMAPEYLEHGLIGPHLDVFAFGVVLLELLSGKEAAPARDGGEGGDGEALALLLWEEAEGQLVVDGDDDDARGKVAAFMDSRLRGDYPSEVALAMAALALRCVAREPRARPSMVEVFLSLSALHGTTLDWAPHATLS >ONIVA06G25370.1 pep chromosome:AWHD00000000:6:24295616:24295798:1 gene:ONIVA06G25370 transcript:ONIVA06G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPRFLLLVVLLAAPLLATAQQQYEANAQGDCYTDNGRSVLGRSAAMHGHGLPHLPLRP >ONIVA06G25360.1 pep chromosome:AWHD00000000:6:24291278:24293185:-1 gene:ONIVA06G25360 transcript:ONIVA06G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGSRARRIAALDLIFLVFLRLASAYQRPSDAHINCIDSFCLGGYTCSETTATTSCTAYLTFRSDPPLYSSPLSVAYLLNATPSAVAAANSVPLAVSPVDGTQLLLVPVPCSCNRATGYYQHNTTYAIQELDTFFLIANNTFQGLTTYQSIIANNPASEAMSPVINGPLAVPLRCACPSATTGRINNLLTYVVQEGDNVTSIARRFNSTHGDVLAANTLLVPLVHPPHSRVVLANTTITSTTPPESQKFYVSSPCSNGLLAGLGIGVGCGVSAWAAVLAVFLLWRRRRRRPVGDSSGMARETPLVAAVRGAVETLAAYSYADIETATAGFAEERRVAAGSSVYRAVINGEAFAVKRVAAGGDDVRGEVDVLGRVNHSGLVRLRGLCANGDDTYLVLEFAENGALSEWLHPGSAAACLRRVLGWKQRVLVALDVAGGLNYLHHFSNPPYVHKNLNSGNVLLDANLRAKVSSLGFARAVAVAVAAGDDSIALMTHHVVGTHGYLAPEYLEHGLISPKLDVFSFGVILLELLSGKTAAFVTDDDGQNMLLWQAADGLVDGDGAWFKLRAFMDPQLQGHYPIGVASAVAALAVRCVAREPRARPSMEEVFVTLSAVYNLTVDWDPQNYSASASMVRGR >ONIVA06G25350.1 pep chromosome:AWHD00000000:6:24290488:24290925:1 gene:ONIVA06G25350 transcript:ONIVA06G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGGGLTSSRCGAWRGRREPRRRPSMAEVFLPLALGVAQIDVRLGNRGSRRLGGVMSEGRMETH >ONIVA06G25340.1 pep chromosome:AWHD00000000:6:24280151:24280753:1 gene:ONIVA06G25340 transcript:ONIVA06G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSCNHRSSTLLRHHQPAAPPPAQVIAADGSLTEVAAAAASCPVSVSDVLGGNAGRLFLCSSDALYFDVDVLALDGGELLRPGQIYFLLPVSMLGRPLSGVDMAALAVRASDALVARARPRHQRGGGVKKVRIMPMLAANGCGGDDRDGEINEKLNERTLGESVMTRPCCPASSGDKLAAAAPPVKRLLSTIDEDAE >ONIVA06G25330.1 pep chromosome:AWHD00000000:6:24266296:24272771:1 gene:ONIVA06G25330 transcript:ONIVA06G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMMETSPDDGGGGEAMAAAQQTEEDMGPPWLRPLLSTSFFVACASHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMRWDPSLTFAIRPKRGQDSGDGGSGSDYDSFSPKKARRAAAGYDQLGRFDRGMIRWSDDEGSKSNTAPITPTTPPISRCRPSRRKGIPHRAPFYG >ONIVA06G25330.2 pep chromosome:AWHD00000000:6:24266296:24272771:1 gene:ONIVA06G25330 transcript:ONIVA06G25330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMMETSPDDGGGGEAMAAAQQTEEDMGPPWLRPLLSTSFFVACASHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMRWDPSLTFAIRPKRGQDSGDGGSGSDYDSFSPKKARRAAAGYDQLGRFDRGMIRWSDDEGSKSNTAPITPTTPPISRCRPSRRKGIPHRAPFYG >ONIVA06G25320.1 pep chromosome:AWHD00000000:6:24253771:24256190:1 gene:ONIVA06G25320 transcript:ONIVA06G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDAAGGGGGGGGIAAGPAPALLCFDLKPFLAALTVLTLITAAWQLRSYSSVLPSPFPVCADPAALSPPRALAVRAVASSGNASVSSDPGGPPASLPEVGNKKPAAAAAAADPNRREFRAVGSAAALFVQMGAYRGGPYTFAVIGLASKPTHVYGKPWFRCEWVPNANGSAAAAAARPMRAANTYHMLPDWGYGRVYTVVVVNCTFARVPNADNAGGKLVLNAYYGASPARYERIVAMEEAPGAYDAAEFRPPHRYDYLYCGSSLYGNLSAARVREWMAYHARFFGPRSHFVFHDAGGVSPAVRAALEPWVRAGRATLQDVRAQAEYDGWYYNQFLVVNDCLHRYRHAARWTFFFDVDEYIFLPDGRSLEAVLAELEPYTQFTIEQNPMSSRLCARNPDEPETDYSNEWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVIGEVCREFVPIPPNGGLIWSEKTPWYYDDSMKRIADTVREFERKTIGDVRV >ONIVA06G25310.1 pep chromosome:AWHD00000000:6:24240680:24244506:-1 gene:ONIVA06G25310 transcript:ONIVA06G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLDGIGGKGGSPPEGDHDGYAPLTRAAIPVTWGHAMEVPERMLKISGVNEFGPLEEKAATLGASLEPKIVFAGSSAAASTDKPMKSHE >ONIVA06G25310.2 pep chromosome:AWHD00000000:6:24240680:24244506:-1 gene:ONIVA06G25310 transcript:ONIVA06G25310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLDGIGGKGGSPPEGDHDGYAPLTRAAIPVTWGHAMEVPERMLKCLSSLAGDHAASMFNPGAAISGYRMHKISGVNEFGPLEEKAATLGASLEPKIVFAGSSAAASTDKPMKSHE >ONIVA06G25300.1 pep chromosome:AWHD00000000:6:24238189:24243566:1 gene:ONIVA06G25300 transcript:ONIVA06G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGRHMHVGWEKRAVARALKQRYTLRRFSRAFWDLEGISFSLVSQENSEHPFFRKSGNLHGSQTHIEPEKCQLPGKCATHEDEDRDNMIFLVLYVFVVLLGEFCSSCSCAQVYVVYMGKGLQGSTENRHDMLRLHHQMLTAVHDGSLTNWMLGLSMEKAEASHVYTYSNGFQGFAAKLNKQQAMKLANMPGVISVFPNTKRSLHTTHSWDFMGLSVDAAAELPELSSKNQENVIIGFIDTGIWPESPSFRDHGMPPVPTRWRGQCQRGEANSPSNFTCNRKIIGGRYYLRGYQTEESGQSRSAIKFISPRDSSGHGSHTASIAAGRFVRNMNYRGLGTGGGRGGAPMARIAAYKTCWDSGCYDADILAAFDDAIADGVDIISVSLGPDYPQGGYFTDAISIGSFHATSNGILVVSSAGNAGRKGSATNLAPWILTVAAGTTDRSFPSYIRLANGTLIMGESLSTYHMHTSVRTISASEANASSFTPYQSSFCLDSSLNRTKARGKILICHRAKGSSDSRVSKSMVVKEAGALGMILIDEMEDHVANHFALPATVVGKATGDKILSYISSTRFSAKYCSYFQKGCGSTMILPAKTILGSRDAPRVAAFSSRGPNSLTPEILKPDIAAPGLNILAAWSPAKEDKHFNILSGTSMACPHVTGIAALVKGAYPSWSPSAIKSAIMTTATVLGNKRNAIATDPNGRTATPFDFGSGFADPIKALNPGIIFDAHPEDYKSFLCSIGYDDHSLHLITQDNSSCTDTAPSSAAALNYPSITIPNLKKSYSVTRTMTNVGFRGSAYHAFVSAPLGINVTVTPKVLVFENYGAKKTFTVNFHVDVPQRDHVFGSLLWHGKDARLMMPLVVKVDTAAEA >ONIVA06G25290.1 pep chromosome:AWHD00000000:6:24226240:24226626:-1 gene:ONIVA06G25290 transcript:ONIVA06G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTRQGSYGKPASSSRRRRRHHQRGRGGFRLGLLLRLRVRLSGLLGLLARSVEELRCCPGVSRISCSTVAAAARSARSRAPSSSSAAAAAALCGGPHGRRAAPAVRDQSSFYAEAIADCLEFIKSRS >ONIVA06G25280.1 pep chromosome:AWHD00000000:6:24216112:24216888:1 gene:ONIVA06G25280 transcript:ONIVA06G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNNKWWQAALDFPPPPPPVNVPAAAPVGAASPESKQQAAAGAIVPLRRPRGRPLGSKNKPKPPVIITRDSPDALHSHIIEVAPGADVAACVAEYARRRGRGVCLMGASGAVADVAVRGAAAPLPGRFELLSVTGTVLPPPAPPGASGLSVLLSAGQGQVVGGCVVGPLVAAGPVTLFAATFANAVYERLPLADAADVADVKPDLSSAAAAATSTSAPQEVQQQQLPLPPSSHHPQAMPATYPDHRSPPYAWAGGV >ONIVA06G25270.1 pep chromosome:AWHD00000000:6:24205049:24207837:-1 gene:ONIVA06G25270 transcript:ONIVA06G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGDGRTKTVCVTGAGGFVASWLVKLLLSRGCYTVHGTVRDPGDAKNAHLMSLDGAAERLRLFKADLLDYGSVAAAIAGCDDVFHVDILAPAVTGTTNVLKACSEAKVGRVVVVSSVSAAMVNPNWPEGKAIDEDCWSDVDYCRATKNWYTLGKTLAEIEAFDYAKSSGLDLVTLCPSLVIGPLLQPTVNASSTVILGWVSGRYICSSHARRMPHIIDLLKSWYPGYKFADKFVEVSDEPQFNSGKLEKLGWKIKPFEETLRDSVESYRAAGVLD >ONIVA06G25260.1 pep chromosome:AWHD00000000:6:24203546:24212074:1 gene:ONIVA06G25260 transcript:ONIVA06G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTARPTAAATALALIVVLVFSPSPATAATARMFKTIDARRSQHLDLTGSLVGPESVAFDGKGHGPYSGVSDGRVMRWNGEAAGWSTYTYSPSYTKNKCAASTLPTVQTESKCGRPLGLRFHFKTGNLYIADAYMGLMRVGPGGDEATVLATKADGVPLRFTNGVDIDQVTGDVYFTDSSMNYQRSQHEQVTATKDSTGRLMKYDPRTNQVTVLQSNITYPNGVAIGVDRTHLIVALTGPCKLMRYWIQGSKAGKSEPFAELPGYPDNVRPDGKGGYWVALHREKYELPFGPDNHLVAMRVSAGGKLVQQMRGPKSLRPTEVMERKDGKIYMGNVELPYVGVVKNSPVRPHIKLITQATAMRSTARQAATAAAFALIVFLVLLSPSPTAAATATTRMFKTIDARRSQHLDLGGSLVGPESVAFDGKGRGPYSGVSDGRIMRWNGEAAGWSTYTYSPSYTKNKCAASTLPTVQTESKCGRPLGLRFHYKTGNLYIADAYMGLMRVGPKGGEATVLATKADGVPLRFTNGVDIDQVTGDVYFTDSSMNYQRSQHEQVTATKDSTGRLMKYDPRTNQVTVLQSNITYPNGVAISADRTHLIVALTGPCKLMRHWIRGPKTGKSEPFADLPGYPDNVRPDGKGGYWIALHREKYELPFGPDSHLVAMRVSAGGKLVQQMRGPKSLRPTEVMERKDGKIYMGNVELPYVGVVKSS >ONIVA06G25250.1 pep chromosome:AWHD00000000:6:24198855:24199895:-1 gene:ONIVA06G25250 transcript:ONIVA06G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTARPTAAATALALILVLVFSPSPAAAAARMFKTIDARRSQHLDLGGSLVGPESVAFDGKGRGPYSGVSDGRVMRWNGEAAGWSTYTYSPSYTKNKCAASTLPTVQTESKCGRSLGLRFHFKTGNLYIADAYMGLMRVGPGGGEATVLATKADGVPLRFTNGVDIDQVTGDVYFTDSSMNYQRSQHEQVTATKDSTGRLMKYDPRTNQVTVLQSNITYPNGVAISADRTHLIVALTGPCKLMRYWIRGPKVGKSEPFVDLPGYPDNVRPDEKGGYWVALHREKYELPFGPDNHLVAMRVSAGGKLVQQMRGPKSLRPTEVMERKDGKIYMGNVELPYVGVVKSI >ONIVA06G25240.1 pep chromosome:AWHD00000000:6:24184702:24194397:-1 gene:ONIVA06G25240 transcript:ONIVA06G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARQTVCVTGAGGFMASSHVELLLSRGNYAVRGTVRDPGDAKNDHLRALQGAEERLQLLKADLLDYDSVASAVAGCEGVFHVASPVPSGRSTNPEVEVIAPAVTGTLNVLKACHEAKVKRVVMVSSIAAVFSNPNWPKDKAFTEDSWSDEELCRKNQDWYYLSKTVAEREAFAYAAKTGLDIVTICPSLVIGPLMQSTVNASSKVLINYFKGDRDTVENRLRNVVDVRDVANALLLAYENPASGRYICSSAPIRVSDMINILKTLYPTYTYPKNFVDVEENTIYSFEKLQKLGWSFRPIEETLRDSREQPHRLYGRRGGRTCPGSLRYVSRARAAGQPPLAYINGGFRRSRGRGEKTTMDDGAGRETTKNKKKTVCVTGAGGFVASWLVHRLLSSGDYVVHGTVRDPSDAKNGHLREMDDGACERRLRLFKADVLDRASVAAAVAGCAGVFHVDSPVPASKPHNPEITSETKPGKNIAEVLAPAVAGTRNVVEASHEAGVRRVVVVSSAAAVILNPAFPRDAVLDEDAWSDEHYCRSIENWYCLSKTLAEREAWRFAADNAAAMDVVTVCPPLILGPLLQSTVNTSSSILINLIKGGGGDDEEKAATTDKRRNVVDVRDVAAALILTYENPAASGRYICSAYDIKVSEMVDIVRRFFPDINYPKFVGGEDEKILSSKKLQKLGWKFRTVEECLRDSVQSYKAAGILK >ONIVA06G25240.2 pep chromosome:AWHD00000000:6:24184702:24194397:-1 gene:ONIVA06G25240 transcript:ONIVA06G25240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARQTVCVTGAGGFMASSHVELLLSRGNYAVRGTVRDPGDAKNDHLRALQGAEERLQLLKADLLDYDSVASAVAGCEGVFHVASPVPSGRSTNPEVEVIAPAVTGTLNVLKACHEAKVKRVVMVSSIAAVFSNPNWPKDKAFTEDSWSDEELCRKNQDWYYLSKTVAEREAFAYAAKTGLDIVTICPSLVIGPLMQSTVNASSKVLINYFKGDRDTVENRLRNVVDVRDVANALLLAYENPASGRYICSSAPIRVSDMINILKTLYPTYTYPKNFVDVEENTIYSFEKLQKLGWSFRPIEETLRDSREQPHRLYGRRGGRTCPGSLRYVSRARAAGQPPLAYINGGFRRSRGRGEKTTMDDGAGRETTKNKKKTVCVTGAGGFVASWLVHRLLSSGDYVVHGTVRDPREDEKILSSKKLQKLGWKFRTVEECLRDSVQSYKAAGILK >ONIVA06G25230.1 pep chromosome:AWHD00000000:6:24175581:24183313:1 gene:ONIVA06G25230 transcript:ONIVA06G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGRPRMARLQFARRPSITGYGSMHAILAESGSRKGVTRAVAESRRLAELNNHATKTNFSQQTN >ONIVA06G25220.1 pep chromosome:AWHD00000000:6:24173148:24176432:-1 gene:ONIVA06G25220 transcript:ONIVA06G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRGPYGDTTYTKVFVGGLAWETRSEGLRAHFEAYGEILEAVVITDRATGRSKGYGFVTFRDPDSARMACMDPYPVIDGRRANCNLAILGRPGPAMPFAPLRPVIPYNGGVAVPGGMYVQSPTYQQPPYNYPQAFVYPSYGPSTYGPEYMYPQNAYGSYVGQQYVPVYGGPRTVGPAVYPYGQFGQPVPGAPRPQQQLLIPARAQFTPNNISEQTFSLSMVT >ONIVA06G25220.2 pep chromosome:AWHD00000000:6:24173148:24176432:-1 gene:ONIVA06G25220 transcript:ONIVA06G25220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRSPAAAAAGGGGTPALHYLSGPYGDTTYTKVFVGGLAWETRSEGLRAHFEAYGEILEAVVITDRATGRSKGYGFVTFRDPDSARMACMDPYPVIDGRRANCNLAILGRPGPAMPFAPLRPVIPYNGGVAVPGGMYVQSPTYQQPPYNYPQAFVYPSYGPSTYGPEYMYPQNAYGSYVGQQYVPVYGGPRTVGPAVYPYGQFGQPVPGAPRPQQQLLIPARAQFTPNNISEQTFSLSMVT >ONIVA06G25220.3 pep chromosome:AWHD00000000:6:24173020:24176432:-1 gene:ONIVA06G25220 transcript:ONIVA06G25220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRSPAAAAAGGGGTPALHYLSGPYGDTTYTKVFVGGLAWETRSEGLRAHFEAYGEILEAVVITDRATGRSKGYGFVTFRDPDSARMACMDPYPVIDGRRANCNLAILGRPGPAMPFAPLRPVIPYNGGVAVPGGMYVQSPTYQQPPYNYPQAFVYPSYGPSTYGPEYMYPQNAYGSYVGQQYVPVYGGPRTVGPAVYPYGQFGQPVPGDQPYSPGYVPGHILPLSNQNAANVVRMSTVQQQYPPGKKSSTPSATALDPCSCTIHTKQHFGTNVLLIHGYLIHIKCECQAAPLEGAGRRESFEATLALLLSSVCAFPISCQEF >ONIVA06G25210.1 pep chromosome:AWHD00000000:6:24164000:24172664:1 gene:ONIVA06G25210 transcript:ONIVA06G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAGEADAAARVLARALDKVIKHSSWRKHSALVAASKSALDLLSASPDVDEAASASASPIQGVAAAAADAAIRALLIALDPASPKVAEPALECVSTLLSLRLLHGEVVAVAAAGAADADDAASPVSKLFAAVVSCGGLGNEGLELAALRVLVAFARCPSVSVSGDCLGHVVRACYNLYLGSASGGNQLCAKLALAQVLAIVFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQAFINEAMEGSDVPEEVPPSDLPAEADENGDDVGMSRIREDGLALFKNLCKLSMKFATPDNPDDPVLLRGKVLSLELLRTVVDNAGPFWKSNEMYLEAIKKHLFLSLLKNSALSAMSVFQLLCSIFVGLLSRFRSGLKEEIGLFFPMLILRVLENVLQPSFLQKMTVLNFLEKICKEPQVIIDIFVNYDCDVDAPNIFERIVNGLVKTALGVPAGSTTTLTVAQDQTFRIESVKCLAVIVKSMCSWMDRQLRIGEFSLISSETPGSMDNHTTNGDGSGMDYDMQPDTSSSDISDSSSLEQRRAYKIELQKGIALFNRKPSKGIDFLVRSKKIGHSPEDVALFLKNTAGLNATMVGDYLGERDDFPLKVMHAYVDALNFKGMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPNAFTSADTAYILAYSVILLNTDAHSVMVKDKMSKADFMRNNRGIDDGKDLPEDYLSALYDQIVNKEIKMSADSSTTQIKQPNSISKLLGLDNIINFVNWGQAEDKALGANDLLIKHIQEKFKAKCRKSESVFYTVSDATILRFMMEACWAPMMAAFSVTLDQSDDKASAAQCLKGLRFAVHITSVMCMQTQRDAFLTTIAKFTSLHSAADMKQKNVDAMKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDSSFLTVPLVESEQKNHKSSSGLSSKRTNALQNPAVMAAVRGGSYDSTVAKTSASSLVTPEQISNFISNLNLLDQIGIVELNHIFTHSQRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNVNRIRLVWSRIWKVLSEFFVSVGLLENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSNAPEVRELIVRCVSQMVLSRVNNIKSGWKGVFMVFTSAAADDTKSIVLLAFETMEKIVRDYFPYITETENTTFTDCVNCLIAFTSSQFNSDANLNAIAFLRFCAVKLADEGFGCQEKCTDEPRNLVMSDGNATVNKDDSISLWIPLLAGLAKLTSDSRSTIKRSAVGVLFDILKDHGQLFSESFWTNILESVIYPLFSSERSSSNDPTSTPSIPEDDFSNLETQTLAVKCLVGLFINFFDVMRPELARVASIVTYFIRSPYKHSASIGVSALMRLIEGVGGELSKEEWKDILLRFKESVAHTFLVFSKIVRMMQDIEIPDRFESYSENDQYSDHENYGNEEEEANMETTSYAIVKLKNHMALLLLVVQGIIKLYEEHRKYLSSDHINILLEMISAIATHASEVSSESSLLLKFHKACSLMEVSEPAIVHFENESYQTYLKLLQALFRDYPSMSEEMDIESQILCVCEKILRIYLQCAQREPSNEALHRNASIHCIVPLGAAKKEELAARTSLVLLVMQLLGNLEEDSFRRVLPWFFPLLVDLIRCEHSSGEVQHALYKIFQSSIGSMLTV >ONIVA06G25200.1 pep chromosome:AWHD00000000:6:24159609:24162178:1 gene:ONIVA06G25200 transcript:ONIVA06G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHIQALPLAMPRHHPAWAPPPPFAETFPSSFLPKQNPSISDESAIFKHTHERQPTARDKNISIAISMDVEFFADLDLDALLASFSSSAAAAGSGVSGLFAPSPPHDAEAGSPESVSSRRPSPSREAALSEIERFLMEEGPAAEEGVGAEDFFDALLVDGGEEEEEEEGKGSEAGGSTDGDSGKENEVATPDAEKEDVEAEVDGDDPMSKKKRRQMRNRDSAMKSRERKKMYVKDLETKSKYLEAECRRLSYALQCCAAENMALRQSLLKDRPVGAATAMQESAVLTETLPLVSLLWLVSIVCLLLVPGLPNRNPVARSSAGRDLATVTGKKTSSEQQLEETLLLHGRRCKGSRARIKLDTGPFRLAAAAC >ONIVA06G25190.1 pep chromosome:AWHD00000000:6:24142875:24152404:-1 gene:ONIVA06G25190 transcript:ONIVA06G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHGSLRLFMHRNAVFLCERLCAQFPAETNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAMSCFRMNLLREAEEALCPVNEPNIEVPSGATGHYLLGVIYRYTGRVEAAAEQFVQALTLDPLLWAAYEELCILGVAEDANECFSEATALRLQQELTSTSNVEKSNFVNENRFLSSNVSASFGDSPKQIKQLHANTTAEVSGYPHVKSTALHMQNGAPSNLSQFDTPSPTSTQASGIAPPPLFRNMHAYQNTAGGNAPSKPKVNAPNLTLRRKYIDEAGLKKVSGRLFNQSSDSVPRRSARLSRDTTINSNSNISQFGGNGTDHSSGKLRVNSSTPSKLCSTALRSVQVRKGKPQATENFDEGNRYHVVDEMWTDNVTSTSSSTSIVDGRYPEQEKSERVLSQDSKLAIGIRELMALLRTLGEGYRLSCLFKCQEALEVYRKLPEAQFNTGWVLCQVGKTYFELVNYLEADHFFELAHRLSPCTLEGMDIYSTVLYHLNEEMRLSYLAQDLVSIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRVAYAHTLCGHEYSALEDYENSIKLYRSALQVDERHYNAWYGLGVRNEEALEMMEKAIFADKKNPLPKYQKALILLGLQKYPDALDELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADVAIIKSAMEKVHLPDELMDDDDDDDEI >ONIVA06G25190.2 pep chromosome:AWHD00000000:6:24142875:24152404:-1 gene:ONIVA06G25190 transcript:ONIVA06G25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHGSLRLFMHRNAVFLCERLCAQFPAETNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAMSCFRMNLLREAEEALCPVNEPNIEVPSGATGHYLLGVIYRYTGRVEAAAEQFVQALTLDPLLWAAYEELCILGVAEDANECFSEATALRLQQELTSTSNVEKSNFVNENRFLSSNVSASFGDSPKQIKQLHANTTAEVSGYPHVKSTALHMQNGAPSNLSQFDTPSPTSTQASGIAPPPLFRNMHAYQNTAGGNAPSKPKVNAPNLTLRRKYIDEAGLKKVSGRLFNQSSDSVPRRSARLSRDTTINSNSNISQFGGNGTDHSSGNRYHVVDEMWTDNVTSTSSSTSIVDGRYPEQEKSERVLSQDSKLAIGIRELMALLRTLGEGYRLSCLFKCQEALEVYRKLPEAQFNTGWVLCQVGKTYFELVNYLEADHFFELAHRLSPCTLEGMDIYSTVLYHLNEEMRLSYLAQDLVSIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRVAYAHTLCGHEYSALEDYENSIKLYRSALQVDERHYNAWYGLGVRNEEALEMMEKAIFADKKNPLPKYQKALILLGLQKYPDALDELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADVAIIKSAMEKVHLPDELMDDDDDDDEI >ONIVA06G25180.1 pep chromosome:AWHD00000000:6:24132066:24138788:1 gene:ONIVA06G25180 transcript:ONIVA06G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRSAPVESEAEPGAGGPVDEANAVEEEKSGKGEDGIEEAADTVDSVKDSAKPEGMDAKEEAVEQEGGAAADKAKDIPVTSNGKTDAGGNEVAEMKVEKLENGDGHLKADGDSNGDNKGADGEKQLMLASAGEDVEDPVLSKLASNSFMFDYSCGGDDSGTEEEQAAFMKELERFYREKLMEFKPPKFYGEGLNCLKLVQGPLCLLWRQVTGLGGYDQLHQQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGEFQVAASNLTERITSESQVGIHASGSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGTVPVLKKDKTPKSSGSAKRKRTPTLEDDRIVPYKPDKLQNDSMVLDLGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >ONIVA06G25180.2 pep chromosome:AWHD00000000:6:24132066:24138788:1 gene:ONIVA06G25180 transcript:ONIVA06G25180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRSAPVESEAEPGAGGPVDEANAVEEEKSGKGEDGIEEAADTVDSVKDSAKPEGMDAKEEAVEQEGGAAADKAKDIPVTSNGKTDAGGNEVAEMKVEKLENGDGHLKADGDSNGDNKGADGEKQLMLASAGEDVEDPVLSKLASNSFMFDYSCGGDDSGTEEEQAAFMKELERFYREKLMEFKPPKFYGEGLNCLKLVQGPLCLLWRQVTGLGGYDQLHQQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGEFQVAASNLTERITSESQVGIHASGSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGTVPVLKKDKTPKSSGSAKRKRTPTLEDDRIVPYKPDKLQNDSMVLDLGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >ONIVA06G25170.1 pep chromosome:AWHD00000000:6:24105845:24114342:-1 gene:ONIVA06G25170 transcript:ONIVA06G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETLDLNEPPHENQGGGLNYVLLQKDSKNICRTKVCDIPVKVPSVWSIVRFVPTKVYQQCDFLKFSLLPEPEDDRQNIEWGKFMRFLWDNQRVAVVRFSSFALHIFPPQSHESHERPNFSHAVVMYEQKDPGDCKPMAGVSDALKRSYRSDFQSGSMNPKSYLREEICDSGPNPKEMNASRVTELHKSVPESSPCESVEDGPRILDPIVKKRTTTLAKNFVSTDPSYLRTLSQTHAGWVFGAIAELIDNSRDADASRLNISVKSLFSKKADKKIPVLSVIDDGHGMTCAEMMRMISFGHKRPDKQRQDQIGRFGIGFKTGAMKLGRDAIVLTQTSSSRSVAFLSQSFNENKDNLEIPVVTYRKEGQYMEVDSFVQSEATAEYNLNAIKEFSPFNEYFIGEKLGIFGEDGTGTQIYIWNLDRWGADYTLDWSTLDWSSGKPSEDPVHHGRGDILIRSRRVRLRPGQTSNNVPLDYSLQSYLEVMFLNPRMKISVQGSSVKTRPLAKTLNKTSVISGEIMGRTIQLTLGRSNVEWDRMNCGIFLYWHGRLIESYKRVGGQKHNADMGRGVIGVADITDLIDDEDGNSWVLNSKQGFQDCEMYAKLEEWLGRKADEYWDTNFDTLELRKGSERYKADHEWVQCYSCRKWRILNAGFDTMSLPDEWFCYMPPFNGKCEVAEQQMARGVIVIGEKRSEHDKRDRITQQEEVAKVNARETRSDDSQSQKFTQDEDVKDVKLISTVVNKKRKSSNGTRSMDKNNSEDKHDDAEGDSSQTQPGTPRPVLKRIRRGPARSCKQS >ONIVA06G25160.1 pep chromosome:AWHD00000000:6:24100542:24106402:1 gene:ONIVA06G25160 transcript:ONIVA06G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin A20 [Source:Projected from Arabidopsis thaliana (AT4G38210) TAIR;Acc:AT4G38210] MSSVLLFLLLLLLSGVSLSGCIRLGNGGYEEWRMGSATYIKESLGHPLNDGGGACGYGDLDIFRYGRYTAGVSGALFGRGSACGGCYEVRCVNHVLWCLRGSPTVVVTATDFCAPNLGLSDDYGGWCNFPKEHFEMSEAAFLRVAKAKADIVPVQFRRVSCDRAGGMRFTITGGASFLQVLITNVAADGEVAAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVTGGRGRTVVAYSVAPPDWMFAQTFEGKQFVE >ONIVA06G25150.1 pep chromosome:AWHD00000000:6:24086552:24096290:-1 gene:ONIVA06G25150 transcript:ONIVA06G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPNSPIGWWREVRARLWLHTVEVEVNFLAHPFVVYSHFPLFESGSPPSFSLKAALHLISKKKDQGPLLLGVSCSSDLTTSQIATKKLYFAKMKDSAYDADDVMDEFDYLILKANAQQKSKLSSLASSSLAIGKRLVGQDKFRSKLRRVLNSLIRVKECADMLIKVIGAENSNSYMPPQPLQWRVTSSISPGQIIIGRQNEQDDLVHRLLREADGPEPSRGLTISPTPSIITIVGSGGIGKTALAQLIYNDRRIVSGFDLRTWIYVSNIFNKVKITKEILKSIDRNSDITNFSFNMLQEDLKNKLTAKKFLLVLDDVWYDEKIGELTNADRWRELFAPLCYGAKGSKILVTARTNIVSRILGCPAPFHLEGLKGEDSWNLFRICAFGAEDPGNYPELESIGECIVQKLNGSALVIKVVGAHLNANLNVEEWTRVMKSSSSNKEDIMQILRLSYECLPGHLQQCFTFCSLFPKGYSLEPDLLVNMWIAHKFVHGHIGTYESMRETGRSYFNELLSRSFFQELQYGHTIRYVMHDLMNDLASHISRGQIQSLRTLRIARLNAVVCIGPEFYGTAGFPSLEILEMIELPELAEWSSVDCFFPALLEVCIRGCPKLKQLPPVVLPPVRMSIYVSTEVCRLRNHNRLETCFTQEVSLSTLLDMLHLRRLEPVKCVNIIFEGANTLEDGLKDVTTNLPSLEELVIRGCSDLQHAFAASKQREEDGNGFSSASIQCLKMIGCNLTVDIFLSVFQNISFLSLWINDCNITYSTPERVLAMPKSVTGVLEKLCILSCDGLTAFMGLETFLRLSTIEIASCPKLTSVPDFRCLPALQNLIIKNCPELKELPENGNLTTLTALVVEHCNALISLRNLRDLSFLSKLVVRNCMKLMALPQMISFSSLRVLIIKNCPEVVSLPEDGLPVSLNCLYLAGCHPVLEEQFDQKNGSEWEKYEVLPFCFFADKSIEDIEEIAKEVLMADDLTRISIQGNRVHATDSAASSSSFP >ONIVA06G25140.1 pep chromosome:AWHD00000000:6:24053261:24053551:1 gene:ONIVA06G25140 transcript:ONIVA06G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQETTSRPAGGESVEERPRGGELDAREVELDEPIADGEAVVEAELGRVCVHGRRGLAVVGDQALDKGPEAKRRQWRSGELLAGALLRAAVAVLD >ONIVA06G25130.1 pep chromosome:AWHD00000000:6:24046461:24078365:-1 gene:ONIVA06G25130 transcript:ONIVA06G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPLATGVGWVVSPVIKLMFEKVQSYISTQYKWQSNLVDDLKKLETILTEILLVVGTAERRRTLDCNQQALLRQLKDAVYDAEDIMDEFDYMFLKANAQKRKLRSLGSSSISIAKRLVGHDKFRSKLGKMLKSLSAVKECAHMLVRVMGVENFSSHMLPEPLQWRISSSISIGEFVVGRQKEREELVHQLLEQSDKPESRSKGARSTSLEVITIVGNGGIGKTTLAQLIYNDKRIEDNFDMRAWVCVSHVFDKVRITKEILTTIDKSIDLTNFNFSMLQEELKNKITMKKFLLVLDDVWYDEKVGVPINADRWRELFAPLWHGAKVIKILVTTRMGIVANTLGCATPFCLSGLESKDSWELFRRCAFSTRDPNEHLELKSIGEHIVQKLNGSALAIKAVGGHLSSNFNYEEWNRVLKSGLSNEKDIMTILRLSYECLPEHLQQCFSFCGLFPKGYYFEPDMLVNMWIAHEFIQDRGRTYGSLTSTGKSYFDELLSRSFFQALRYGGTVHYVMHDLMNDLAVHVSNGKCYRVEANEPQEIFPEVQHRSILAERVDLLRACKLQRLRTLIIWNKERCYCSRVCVGVDFFKEFKSLRLLDLTGCCLRYLPDLNHMIHLRCLILPNTNRPLPDSLCSLYHLQMLFLHRHSCFICAKHVIFPKNLDNLSNILTIDVHRDLTVDLASVGHMPYLRAAGEFCVEKRKAQGLEVLHDMNELRGFLIFTSLENVKNKDEAIDAQLVNKSQISRLDLQWSFSNADSQSDKEYDVLNALTPHPCLEELNVEGYSGCTSPCWLESKWLSRLQHISIHDCTCWKLLPPLGQLPSLRELHIDGMKSLECIGTSFYGDAGFPSLKTLELTELPELADWSSIDYAFPVLHDVLISRCPKLKELPPVFPPPVKMEVLPSTIVYTQHTDHRLDTCITQKEVSLTSLSGIFHVCHQESVEIAEISFDGADMVNDGLRDLGPNLPSHQGPFICWYADFHRAFASLTEMKIVGCPNITSLLDFRYFPVLKNLIIQDCPELNELQEDGHLTTLTEVLIEHCNKLVSLRSLRNLFFLSKLEIRNCLKLVALPEMFGFFSLRVMIIHKCPEIVSLPEDGLPLTLNFLYLNGCHPLLEEQFEWQHGVEWEKYAMLPSCLFAGESIGYGQDIAEEILRENDIIQRSIQSSLLHPTDSAAIFFSKLSGLSSEAVGLPNVADVATGGGRTPPPRPAQTGRILRRGHWRSMACPREPGSGGRCTAYVVRVLRFTGLAGRPLAAGRAAGISDYMAEFSAKSSVGWIVSPIIRKMVSVVQSYISSQFTWKSEMMSDLKNLESTLVQILLVVGAAERRSRKDSSQVMSLHQMKDAVCEADDVLDEFDYLIKEKIEDLGMFSSVLSIGKRLVSIDKLRSKLQEVIKTLGRVRASAEMFAQVMAGEVSSFSQSPEYAPARATGSLLREDTIFGRKNEIDELLFNTVVHSIVGVGGIGKTTLAQAIYNDERITEIFDLKIWVCVSHNFDKTRLTKEIIACTAGTEHIELASFNFSMLQEKLRDRLMCKRFLLVLDDVWYDERVGEHMNRETWKGLGKDDSRMLFRKCAFGNRNPEDYPELKIIEDQIVENLKGSALAIKVTGGHLSGKYNALEWNKILHNDIMTILRSSYESLPNYLQQCFTYCSLFPKGYRIDPNRLIHMWAAQVFNKSWFCSKLSLTHGILAKLKGVRVLDYHSCYSSGKFSSHCSSHKLLNLSWGQVNIAGGCFSLPESINRLSNLVHVDIEKSYALMLTGMHQLPCVEGSGEFHVGKKGQSIVGLKDLNELRGELAIRLLENVKTKEEAAKANLDLKKHIRKLELEWGSGDHDGHTSNGCDVLNVLKPHPNLVELTISGYPGATSPTWLNSGWLSSLQLICLRDCKKWEVLPPLGDLPLLKALEVRRMDELKILDQEFLGRKGFPSLERLLLERLPKLEWSIVENDQLFPALRDLSFSGCPSSLSKLLNNLVCLDTLDLGPCDTVGMPSQLSLSMHQLRMLRQLNIYKCYWLMSLEGSQSLVSLKELRLENCDNLESVPDMDNMPSLQILLLRSCPQVTRLYQSGCHTALEELRIESCDGLASLEDLNELVSLRKMKVIECSALISLPNMSTFYSLKILVIGRCTQLRALPRNGLPVSLKAFFLIEGHPLLGKQFELKNGPDYNKVAALSGCMRHTNQSTSVESCKEKLKSQDSILSSMATLSLATGVGWVVSPVIKLMVEKVQSYISTQYKWQSNLEDDLKKLETILTEILLVVGTAERRRTLDCNQQTLLHQLKDAVYDAEDILDEFDYMLLKENAEKQNLRSLASSSISIAKRLVGHDKFRSKLRKMLKSLIRVKECAEMLVRVIGPENSSSHMLPEPLQWRITSSFSIDEFVVGRQKERDELVNRLLEQADIPKSRTEGAISVSPEVITIVGTGGIGKTTLTQLIYNDKRIENNYDMRAWICVSHVFDKVRITKEILTSIDKTIDLTNFNFSMLQEELKNKVKMKKFLLVLDDVWYDEKVGGPINADRWRELFAPLRHGVKGVKILVTTRMDIVANTLGCTTPFSLSGLESEDSWELFRRCAFSTRDPNEHQEMKSIGECIVQKLNGSALAIKAVAGHLSLNFNYDEWNRVLKNGLSNEKDIMTILRLSYECLPEHLQQCFSFCGLFPKGYYFEPDVLVNMWIAHEFIQDGRHTYGSLKSTGRSYFDELLSRSFFQALQYGGTVHYVMHDLMNDLAVHTSNGECYRLDVDEPEEIPPAVRHLSIQAERVDLLCVCKLQRLRTLIIWNKVRCFCPRVCVDADLFKELKGLRLLDLTGCCLRHSPDLNHMIHLRCLILPNTNHPLSDSLCSLHHLRMLSVHPHSCFMDTRPIIFPKNLDNLSCIFHIDVHRDLFVDLASVGNMPYLWAAGKFCVGNTKMQGLEVLKDMNELQGFLTITSLENVKNKDEATNAQLVNKSQISRLKLQWGSCNADSKSDEQNVLNSLIPHPGLEELTVDGYPGCSSPSWLESEWLSRLRHISIHNCTCWKFLPPLGQIPSLKKLHIDRMDALECIDTSFYGIAGFPSLETLELTQLPELVYWSSVDYAFPVLRDVFISCPKLKELPLVFPPPVEMKVLSSNIVCTQHTDHRLDTCIIQKEIADTSFDRANMLNNGLRDSSPNLPSLEGPFIGWCSDFHHAFVRLNEMEIVDCPNNLIINNCPELKELPEDGNLTTLTQVLIEHCNKLVSLRSLKNLSFLTKLEIRNCLKLVVLPEMVDFFSLRVKIIHNCPELVSLPGDGLPLTLNFLYLSGCHPLLEEQFEWQHGIEWEKYAMLPSCFYADKSMEDTEDIAEEVLRENDMIEWSIQTSLLHPTDSAASSSSFLQLPAAKYNAQVNLMIPVILCKKEVLVLVKTFVGFSNSVFMNDSTCKMGEFI >ONIVA06G25130.2 pep chromosome:AWHD00000000:6:24056533:24078365:-1 gene:ONIVA06G25130 transcript:ONIVA06G25130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPLATGVGWVVSPVIKLMFEKVQSYISTQYKWQSNLVDDLKKLETILTEILLVVGTAERRRTLDCNQQALLRQLKDAVYDAEDIMDEFDYMFLKANAQKRKLRSLGSSSISIAKRLVGHDKFRSKLGKMLKSLSAVKECAHMLVRVMGVENFSSHMLPEPLQWRISSSISIGEFVVGRQKEREELVHQLLEQSDKPESRSKGARSTSLEVITIVGNGGIGKTTLAQLIYNDKRIEDNFDMRAWVCVSHVFDKVRITKEILTTIDKSIDLTNFNFSMLQEELKNKITMKKFLLVLDDVWYDEKVGVPINADRWRELFAPLWHGAKVIKILVTTRMGIVANTLGCATPFCLSGLESKDSWELFRRCAFSTRDPNEHLELKSIGEHIVQKLNGSALAIKAVGGHLSSNFNYEEWNRVLKSGLSNEKDIMTILRLSYECLPEHLQQCFSFCGLFPKGYYFEPDMLVNMWIAHEFIQDRGRTYGSLTSTGKSYFDELLSRSFFQALRYGGTVHYVMHDLMNDLAVHVSNGKCYRVEANEPQEIFPEVQHRSILAERVDLLRACKLQRLRTLIIWNKERCYCSRVCVGVDFFKEFKSLRLLDLTGCCLRYLPDLNHMIHLRCLILPNTNRPLPDSLCSLYHLQMLFLHRHSCFICAKHVIFPKNLDNLSNILTIDVHRDLTVDLASVGHMPYLRAAGEFCVEKRKAQGLEVLHDMNELRGFLIFTSLENVKNKDEAIDAQLVNKSQISRLDLQWSFSNADSQSDKEYDVLNALTPHPCLEELNVEGYSGCTSPCWLESKWLSRLQHISIHDCTCWKLLPPLGQLPSLRELHIDGMKSLECIGTSFYGDAGFPSLKTLELTELPELADWSSIDYAFPVLHDVLISRCPKLKELPPVFPPPVKMEVLPSTIVYTQHTDHRLDTCITQKEESVEIAEISFDGADMVNDGLRDLGPNLPSHQGPFICWYADFHRAFASLTEMKIVGCPNITSLLDFRYFPVLKNLIIQDCPELNELQEDGHLTTLTEVLIEHCNKLVSLRSLRNLFFLSKLEIRNCLKLVALPEMFGFFSLRVMIIHKCPEIVSLPEDGLPLTLNFLYLNGCHPLLEEQFEWQHGVEWEKYAMLPSCLFAGESIGYGQDIAEEILRENDIIQRKLSGLSSEAVGLPNVADVATGGGRTPPPRPAQTGRILRRGHWRSMACPREPGSGGRCTAYVVRVLRFTGLAGRPLAAGRAAGISDYMAEFSAKSSVGWIVSPIIRKMVSVVQSYISSQFTWKSEMMSDLKNLESTLVQILLVVGAAERRSRKDSSQVMSLHQMKDAVCEADDVLDEFDYLIKEKIEDLGMFSSVLSIGKRLVSIDKLRSKLQEVIKTLGRVRASAEMFAQVMAGEVSSFSQSPEYAPARATGSLLREDTIFGRKNEIDELLFNTVVHSIVGVGGIGKTTLAQAIYNDERITEIFDLKIWVCVSHNFDKTRLTKEIIACTAGTEHIELASFNFSMLQEKLRDRLMCKRFLLVLDDVWYDERVGEHMNRETWKGLGKDDSRMLFRKCAFGNRNPEDYPELKIIEDQIVENLKGSALAIKVTGGHLSGKYNALEWNKILHNDIMTILRSSYESLPNYLQQCFTYCSLFPKGYRIDPNRLIHMWAAQVFNKSWFCSKLSLTHGILAKLKGVRVLDYHSCYSSGKFSSHCSSHKLLNLSWGQVNIAGGCFSLPESINRLSNLVHVDIEKSYALMLTGMHQLPCVEGSGEFHVGKKGQSIVGLKDLNELRGELAIRLLENVKTKEEAAKANLDLKKHIRKLELEWGSGDHDGHTSNGCDVLNVLKPHPNLVELTISGYPGATSPTWLNSGWLSSLQLICLRDCKKWEVLPPLGDLPLLKALEVRRMDELKILDQEFLGRKGFPSLERLLLERLPKLEWSIVENDQLFPALRDLSFSGCPSSLSKLLNNLVCLDTLDLGPCDTVGMPSQLSLSMHQLRMLRQLNIYKCYWLMSLEGSQSLVSLKELRLENCDNLESVPDMDNMPSLQILLLRSCPQVTRLYQSGCHTALEELRIESCDGLASLEDLNELVSLRKMKVIECSALISLPNMSTFYSLKILVIGRCTQLRALPRNGLPVSLKAFFLIEGHPLLGKQFELKNGPDYNKVAALSGCMRHTNQSTSVESCKEKLKSQDSILSSMATLSLATGVGWVVSPVIKLMVEKVQSYISTQYKWQSNLEDDLKKLETILTEILLVVGTAERRRTLDCNQQTLLHQLKDAVYDAEDILDEFDYMLLKENAEKQNLRSLASSSISIAKRLVGHDKFRSKLRKMLKSLIRVKECAEMLVRVIGPENSSSHMLPEPLQWRITSSFSIDEFVVGRQKERDELVNRLLEQADIPKSRTEGAISVSPEVITIVGTGGIGKTTLTQLIYNDKRIENNYDMRAWICVSHVFDKVRITKEILTSIDKTIDLTNFNFSMLQEELKNKVKMKKFLLVLDDVWYDEKVGGPINADRWRELFAPLRHGVKGVKILVTTRMDIVANTLGCTTPFSLSGLESEDSWELFRRCAFSTRDPNEHQEMKSIGECIVQKLNGSALAIKAVAGHLSLNFNYDEWNRVLKNGLSNEKDIMTILRLSYECLPEHLQQCFSFCGLFPKGYYFEPDVLVNMWIAHEFIQDGRHTYGSLKSTGRSYFDELLSRSFFQALQYGGTVHYVMHDLMNDLAVHTSNGECYRLDVDEPEEIPPAVRHLSIQAERVDLLCVCKLQRLRTLIIWNKVRCFCPRVCVDADLFKELKGLRLLDLTGCCLRHSPDLNHMIHLRCLILPNTNHPLSDSLCSLHHLRMLSVHPHSCFMDTRPIIFPKNLDNLSCIFHIDVHRDLFVDLASVGNMPYLWAAGKFCVGNTKMQGLEVLKDMNELQGFLTITSLENVKNKDEATNAQLVNKSQISRLKLQWGSCNADSKSDEQNVLNSLIPHPGLEELTVDGYPGCSSPSWLESEWLSRLRHISIHNCTCWKFLPPLGQIPSLKKLHIDRMDALECIDTSFYGIAGFPSLETLELTQLPELVYWSSVDYAFPVLRDVFISCPKLKELPLVFPPPVEMKVLSSNIVCTQHTDHRLDTCIIQKVSLTSLVGIFHLWHLDSEEIADTSFDRANMLNNGLRDSSPNLPSLEGPFIGWCSDFHHAFVRLNEMEIVDCPNVTSLVDFGCFPALQNLIIRDCPKLKELPDNGNLTTLTKVLIESCYGLVSLRSLRNLSFLSKLEIKHCLKLVALPEMVNFFSLRVMIIQDCPELVCLPEDGLPMTLNFLYLSGCHPLLEEQFEWQHGVEWEKYAVLPSCFYAGKSMEDTEDIADEILLENDMIEWSTQTSLLHPTDSAASSSSFLQ >ONIVA06G25130.3 pep chromosome:AWHD00000000:6:24058341:24078365:-1 gene:ONIVA06G25130 transcript:ONIVA06G25130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPLATGVGWVVSPVIKLMFEKVQSYISTQYKWQSNLVDDLKKLETILTEILLVVGTAERRRTLDCNQQALLRQLKDAVYDAEDIMDEFDYMFLKANAQKRKLRSLGSSSISIAKRLVGHDKFRSKLGKMLKSLSAVKECAHMLVRVMGVENFSSHMLPEPLQWRISSSISIGEFVVGRQKEREELVHQLLEQSDKPESRSKGARSTSLEVITIVGNGGIGKTTLAQLIYNDKRIEDNFDMRAWVCVSHVFDKVRITKEILTTIDKSIDLTNFNFSMLQEELKNKITMKKFLLVLDDVWYDEKVGVPINADRWRELFAPLWHGAKVIKILVTTRMGIVANTLGCATPFCLSGLESKDSWELFRRCAFSTRDPNEHLELKSIGEHIVQKLNGSALAIKAVGGHLSSNFNYEEWNRVLKSGLSNEKDIMTILRLSYECLPEHLQQCFSFCGLFPKGYYFEPDMLVNMWIAHEFIQDRGRTYGSLTSTGKSYFDELLSRSFFQALRYGGTVHYVMHDLMNDLAVHVSNGKCYRVEANEPQEIFPEVQHRSILAERVDLLRACKLQRLRTLIIWNKERCYCSRVCVGVDFFKEFKSLRLLDLTGCCLRYLPDLNHMIHLRCLILPNTNRPLPDSLCSLYHLQMLFLHRHSCFICAKHVIFPKNLDNLSNILTIDVHRDLTVDLASVGHMPYLRAAGEFCVEKRKAQGLEVLHDMNELRGFLIFTSLENVKNKDEAIDAQLVNKSQISRLDLQWSFSNADSQSDKEYDVLNALTPHPCLEELNVEGYSGCTSPCWLESKWLSRLQHISIHDCTCWKLLPPLGQLPSLRELHIDGMKSLECIGTSFYGDAGFPSLKTLELTELPELADWSSIDYAFPVLHDVLISRCPKLKELPPVFPPPVKMEVLPSTIVYTQHTDHRLDTCITQKEVSLTSLSGIFHVCHQESVEIAEISFDGADMVNDGLRDLGPNLPSHQGPFICWYADFHRAFASLTEMKIVGCPNITSLLDFRYFPVLKNLIIQDCPELNELQEDGHLTTLTEVLIEHCNKLVSLRSLRNLFFLSKLEIRNCLKLVALPEMFGFFSLRVMIIHKCPEIVSLPEDGLPLTLNFLYLNGCHPLLEEQFEWQHGVEWEKYAMLPSCLFAGESIGYGQDIAEEILRENDIIQRSIQSSLLHPTDSAAIFFSKLSGLSSEAVGLPNVADVATGGGRTPPPRPAQTGRILRRGHWRSMACPREPGSGGRCTAYVVRVLRFTGLAGRPLAAGRAAGISDYMAEFSAKSSVGWIVSPIIRKMVSVVQSYISSQFTWKSEMMSDLKNLESTLVQILLVVGAAERRSRKDSSQVMSLHQMKDAVCEADDVLDEFDYLIKEKIEDLGMFSSVLSIGKRLVSIDKLRSKLQEVIKTLGRVRASAEMFAQVMAGEVSSFSQSPEYAPARATGSLLREDTIFGRKNEIDELLFNTVVHSIVGVGGIGKTTLAQAIYNDERITEIFDLKIWVCVSHNFDKTRLTKEIIACTAGTEHIELASFNFSMLQEKLRDRLMCKRFLLVLDDVWYDERVGEHMNRETWKGLGKDDSRMLFRKCAFGNRNPEDYPELKIIEDQIVENLKGSALAIKVTGGHLSGKYNALEWNKILHNDIMTILRSSYESLPNYLQQCFTYCSLFPKGYRIDPNRLIHMWAAQVFNKSWFCSKLSLTHGILAKLKGVRVLDYHSCYSSGKFSSHCSSHKLLNLSWGQVNIAGGCFSLPESINRLSNLVHVDIEKSYALMLTGMHQLPCVEGSGEFHVGKKGQSIVGLKDLNELRGELAIRLLENVKTKEEAAKANLDLKKHIRKLELEWGSGDHDGHTSNGCDVLNVLKPHPNLVELTISGYPGATSPTWLNSGWLSSLQLICLRDCKKWEVLPPLGDLPLLKALEVRRMDELKILDQEFLGRKGFPSLERLLLERLPKLEWSIVENDQLFPALRDLSFSGCPSSLSKLLNNLVCLDTLDLGPCDTVGMPSQLSLSMHQLRMLRQLNIYKCYWLMSLEGSQSLVSLKELRLENCDNLESVPDMDNMPSLQILLLRSCPQVTRLYQSGCHTALEELRIESCDGLASLEDLNELVSLRKMKVIECSALISLPNMSTFYSLKILVIGRCTQLRALPRNGLPVSLKAFFLIEGHPLLGKQFELKNGPDYNKVAALSGCMRHTNQSTSVESCKEKLKSQDSILSSMATLSLATGVGWVVSPVIKLMVEKVQSYISTQYKWQSNLEDDLKKLETILTEILLVVGTAERRRTLDCNQQTLLHQLKDAVYDAEDILDEFDYMLLKENAEKQNLRSLASSSISIAKRLVGHDKFRSKLRKMLKSLIRVKECAEMLVRVIGPENSSSHMLPEPLQWRITSSFSIDEFVVGRQKERDELVNRLLEQADIPKSRTEGAISVSPEVITIVGTGGIGKTTLTQLIYNDKRIENNYDMRAWICVSHVFDKVRITKEILTSIDKTIDLTNFNFSMLQEELKNKVKMKKFLLVLDDVWYDEKVGGPINADRWRELFAPLRHGVKGVKILVTTRMDIVANTLGCTTPFSLSGLESEDSWELFRRCAFSTRDPNEHQEMKSIGECIVQKLNGSALAIKAVAGHLSLNFNYDEWNRVLKNGLSNEKDIMTILRLSYECLPEHLQQCFSFCGLFPKGYYFEPDVLVNMWIAHEFIQDGRHTYGSLKSTGRSYFDELLSRSFFQALQYGGTVHYVMHDLMNDLAVHTSNGECYRLDVDEPEEIPPAVRHLSIQAERVDLLCVCKLQRLRTLIIWNKVRSFQGVEGLETIGFDWLLLKTFT >ONIVA06G25120.1 pep chromosome:AWHD00000000:6:24043448:24046282:-1 gene:ONIVA06G25120 transcript:ONIVA06G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLCDLVSLTKLYVIDCSDLLQLHDMDDFCSLRFLKIDQCRQLRSLPWSGLLVSLETFILFGCHQALEEQFQRKEDGARPTPHHRRPRAGAGTRMAMPPEVCTRFHLISLSEGFDLSPLFENDPAALPGRATARAGGTRVLLVVVLVDVKKDGGDAMEYQSFCSEELRPALKDIVWSLAAT >ONIVA06G25110.1 pep chromosome:AWHD00000000:6:24040695:24040967:1 gene:ONIVA06G25110 transcript:ONIVA06G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRKREWIRRKVAAAAAGSGSPRWSRRVRAVSTAVLHRRRRLGLGLGLGLGLGVRVYENVVFYVLWVVESVVVLANLCFFFLRFGFRL >ONIVA06G25100.1 pep chromosome:AWHD00000000:6:24037430:24040565:-1 gene:ONIVA06G25100 transcript:ONIVA06G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGGESAKQAHHEKYPSGPSSVVFVGSLDSTWDLLLFAATRCLPLLLPPTNPSGAATPPVRRRSMAAMNNDLGGLGGRPATSQANPFESALYGAGPGLIRSGLGAYGEKFLGSSSEFMQSNITQYLSNPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPIQDINAPDLYIPLMAFGTYVVIAGYALGVLGRFTPEALTLQFTKGLLGWFLQVILIRVLLYTLGSGEAPLLDIVAYAGYGFAGTSLAMLVRVFWSPSYYFVLPWFSICTGVFLVKTMKRVLLGAPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >ONIVA06G25090.1 pep chromosome:AWHD00000000:6:24036173:24036607:1 gene:ONIVA06G25090 transcript:ONIVA06G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMANATVVFFFLAAAAVISCHAARAGNSTATAAAAGDCKLSDITVTAARTGKVVEGQPEYEVAVSNGCACPQNGVRVSCPGGGGGGVPSVEPVDESKIRADEAGLCLVNDGMPVAKGSPVTFVYAWKQPLEFAAAQATPRCS >ONIVA06G25080.1 pep chromosome:AWHD00000000:6:24033313:24033585:-1 gene:ONIVA06G25080 transcript:ONIVA06G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYCKEGNHRILVFEFIPGGSLGSFIFDQPEMPPWRWRAETAIGIAKGLEYLHYGCTFPIIHCDIKPDNILMDHMENPKITDFGNRQAP >ONIVA06G25070.1 pep chromosome:AWHD00000000:6:24027149:24033278:-1 gene:ONIVA06G25070 transcript:ONIVA06G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGYAAPEWFVEIICCGRLPPDNHRIGTMVPLLNWVESLIEDGRMSEVSTRGGRRERAGAPMVLGSSMADSAERYARVAYMLPSLDLAWSKPDLAEAAGAVAQRDWRRHGWRRRRLARPMRAPVHASGARGVARHGAVLSLAGGVGDSIVQRWMGRRYPGRRAIRPLQMKSFSFVPLLLIALLLHQSSCLLQVEAENLTAGSTLRPPHYITSPSGDFAFGFRALGSGRPDGWFLLAVWFNDAVQEKAVVWYARDPGSGSAVTATAQSVFSVTLAGQLSLADTAGSNVWTNANPGQQYGSVLVLLDSGNLQFLAAGGRALVWESFRDPADTLLPGQSMATGAGATLVSKRSDADFSAGRFSLYVQADGNVVLYLNLAAGNVDPYNAYWATGTNQPGNTQDGNTTLFFASPGRVYYQVKDGTVHDLTTPMAKANYYQRATLDPDGVVRVYVRRRSPTSSTSTTTANASWAVAGMFPGDGCSMGTRGLDGFCGPNSYCVVSDDGRLDCACPSGYSVDVQLRYRGCSPAFAPPRCDFVGDDVANRSGEFVIAKLPNTTWTASPYKVYSYTAEEQCGDLCLNDCFCVAALFDGTRCTKMASLTGAGRQGSNVTGKALIKVRTRSTPPAAAVARRRAPPLPYILLLCFSAFLLLASTTSLVLLHRRIRRRSSSDHDMVMRLFTRKELYDATNGFQRLLGRGGFGEVYHGVANSLHLLHSPDTDIAVKKLIVSNEYTEREFANEVQSIGRIHHRSLVRMIGYCKEREQRMLVFEFMPGGSLRSFLFHQQPRRRPPPPPWTWRAEAALAIAKGIEYLHEGCASPIIHCDIKPDNILLDDKNNPKIADFGISRLLGDEQLHTTVTNVMGTRGYIAPEWLHGDRRIDTKVDVYSFGVVLLELICCRRCQDPITSQLHQDDNGDCDDDTVTLFGWAAGLVSHGRVEVLLRSDDDAAEDLERVERFARVAFWCIVHNPSLRPTIHQVVQMLEGVVEVHAPPHLPSYTDSSSSSFIHTDSPALRPRGSSCLVELDLI >ONIVA06G25060.1 pep chromosome:AWHD00000000:6:24022082:24026230:1 gene:ONIVA06G25060 transcript:ONIVA06G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVVPGLDGKPGSVSLELGSKPGCFLIAGTSAKVQVGCRSRGGDGFATCQHFEPNRLMAYLSIDMFVFFSYPLDNLSLTMKYGGGRAADVGDSGSRAAAEAKDDDGHRVTGAAAARPHGGGEVGGDCAAGDRGSDGRVARLRRKTAATVRHTSEDGDGQATRRKRKTVTAVQWTTGTVAASPLN >ONIVA06G25050.1 pep chromosome:AWHD00000000:6:24009586:24019784:1 gene:ONIVA06G25050 transcript:ONIVA06G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELTVTGWFLSPIIREMQDTAQSYIRGQFSWEKDQENDLERLDTILTEILAIVDVIEKREIKDGNQRKLLRKLKDAIYSAVDVLDSFQYMVLKSKIDSQAMVSRVTSSCVYLGKRVVGTDKFRRKLTDMLKKLDEVKTTADTLFKLVSFDSATAKLLPVTQARVTSPLKEENHIYGRKDDLDRLRDLLLMQSDSSAPGPSNSCVPVISIIGVGGIGKTSLAQLAFRDERIRASFGLRIWVCVSNIYDETTLARDILESVTGENYCSVTKLDELKNNFFLVLDDVWYDENRTNWENELVWNGVLSTLNTGLGGSKILVTTRTNKASELLRAGACLQLGGLNRDDYWMLFKSCAFGEKHPGLFQELKEIGMQIAERLNGLPLAAKVIGRLLNVDLDSSHWKKVLESDISGDVMKVLRLSYQHLPIHLQLCFSFCSLFPKSWRFDPRRLTDMWISQGFVQKEDESDNDMNVEDVAKGYFNDLVQRSFFERSLLDLPIEYVMHDLINDLARNVSKDEYTRIESEKQKEIPPNIRHLSISAHLWAGMKKTEMKNLRTLLVWSKSWPCWKLSLPNDVFKKSKYIQVLDLTGCCLERLPTSVKNLKHLRYLAFRVPEKPLPTALVQLYHLEVLVTRGHSCRGSGCFQLPTNMKKNLLKLRKAYLFNVGGATISGFGGQTLLHGPGEFHVKKESGHRLGELKEMNNIRGRLSVRFLENVEHQQQAVDAHLDCKEHIKHLQLEWSDLPRPITSELDPYVLEALRPHPDLERLNITGYKGERSPSWFETNWMKALTSIVLQNCMGWVQLPPLGQLPLLEDLVLRNMHAVKQIGQEFYGNGDTKGFPKLEEIVFDGMPNWEKWSGIEDGSLLPCLTRLYIAKCPKLQEAPPLNARPKVEVIKCQLVDLSDLAPLRCSESATVQRYYRQFVWKQNGVPASLQLILLSLLHPELDRQLQRREGTEWDKIAHVPEKKLETLNDA >ONIVA06G25050.2 pep chromosome:AWHD00000000:6:24009586:24018320:1 gene:ONIVA06G25050 transcript:ONIVA06G25050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELTVTGWFLSPIIREMQDTAQSYIRGQFSWEKDQENDLERLDTILTEILAIVDVIEKREIKDGNQRKLLRKLKDAIYSAVDVLDSFQYMVLKSKIDSQAMVSRVTSSCVYLGKRVVGTDKFRRKLTDMLKKLDEVKTTADTLFKLVSFDSATAKLLPVTQARVTSPLKEENHIYGRKDDLDRLRDLLLMQSDSSAPGPSNSCVPVISIIGVGGIGKTSLAQLAFRDERIRASFGLRIWVCVSNIYDETTLARDILESVTGENYCSVTKLDELKNNFFLVLDDVWYDENRTNWENELVWNGVLSTLNTGLGGSKILVTTRTNKASELLRAGACLQLGGLNRDDYWMLFKSCAFGEKHPGLFQELKEIGMQIAERLNGLPLAAKVIGRLLNVDLDSSHWKKVLESDISGDVMKVLRLSYQHLPIHLQLCFSFCSLFPKSWRFDPRRLTDMWISQGFVQKEDESDNDMNVEDVAKGYFNDLVQRSFFERSLLDLPIEYVMHDLINDLARNVSKDEYTRIESEKQKEIPPNIRHLSISAHLWAGMKKTEMKNLRTLLVWSKSWPCWKLSLPNDVFKKSKYIQVLDLTGCCLERLPTSVKNLKHLRYLAFRVPEKPLPTALVQLYHLEVLVTRGHSCRGSGCFQLPTNMKKNLLKLRKAYLFNVGGATISGFGGQTLLHGPGEFHVKKESGHRLGELKEMNNIRGRLSVRFLENVEHQQQAVDAHLDCKEHIKHLQLEWSDLPRPITSELDPYVLEALRPHPDLERLNITGYKGERSPSWFETNWMKALTSIVLQNCMGWVQLPPLGQLPLLEDLVLRNMHAVKQIGQEFYGNGDTKGFPKLEEIVFDGMPNWEKWSGIEDGSLLPCLTRLYIAKCPKLQEAPPLNARPKVEVIKCQLVDLSDLAPLRCSESATVQRYYRQFVWKQNGVPASLQLILLSLLHPELDRQLQRREGTEWDKIAHVPEKKLECA >ONIVA06G25050.3 pep chromosome:AWHD00000000:6:24009586:24019784:1 gene:ONIVA06G25050 transcript:ONIVA06G25050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELTVTGWFLSPIIREMQDTAQSYIRGQFSWEKDQENDLERLDTILTEILAIVDVIEKREIKDGNQRKLLRKLKDAIYSAVDVLDSFQYMVLKSKIDSQAMVSRVTSSCVYLGKRVVGTDKFRRKLTDMLKKLDEVKTTADTLFKLVSFDSATAKLLPVTQARVTSPLKEENHIYGRKDDLDRLRDLLLMQSDSSAPGPSNSCVPVISIIGVGGIGKTSLAQLAFRDERIRASFGLRIWVCVSNIYDETTLARDILESVTGENYCSVTKLDELKNNFFLVLDDVWYDENRTNWENELVWNGVLSTLNTGLGGSKILVTTRTNKASELLRAGACLQLGGLNRDDYWMLFKSCAFGEKHPGLFQELKEIGMQIAERLNGLPLAAKVIGRLLNVDLDSSHWKKVLESDISGDVMKVLRLSYQHLPIHLQLCFSFCSLFPKSWRFDPRRLTDMWISQGFVQKEDESDNDMNVEDVAKGYFNDLVQRSFFERSLLDLPIEYVMHDLINDLARNVSKDEYTRIESEKQKEIPPNIRHLSISAHLWAGMKKTEMKNLRTLLVWSKSWPCWKLSLPNDVFKKSKYIQVLDLTGCCLERLPTSVKNLKHLRYLAFRVPEKPLPTALVQLYHLEVLVTRGHSCRGSGCFQLPTNMKKNLLKLRKAYLFNVGGATISGFGGQTLLHGPGEFHVKKESGHRLGELKEMNNIRGRLSVRFLENVEHQQQAVDAHLDCKEHIKHLQLEWSDLPRPITSELDPYVLEALRPHPDLERLNITGYKGERSPSWFETNWMKALTSIVLQNCMGWVQLPPLGQLPLLEDLVLRNMHAVKQIGQEFYGNGDTKGFPKLEEIVFDGMPNWEKWSGIEDGSLLPCLTRLYIAKCPKLQEAPPLNARPKVEVELNVKSCTDQMPACGFIGLSSLKVLRISNCSALLSSVCVEAGEELDTCFFPQSLSELEIVDCNIQSSLLPRYLQGLTNLSTLMINSCDSMDLLSLAYGPHHLTSLEAIIIKDCIFLSSLDGFENLIALRKLVVADCKNFCFLPADLNALISLKTLAIYGCPKMKFLPQNGVPASLQLILLSLLHPELDRQLQRREGTEWDKIAHVPEKKLETLNDA >ONIVA06G25050.4 pep chromosome:AWHD00000000:6:24009586:24018320:1 gene:ONIVA06G25050 transcript:ONIVA06G25050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELTVTGWFLSPIIREMQDTAQSYIRGQFSWEKDQENDLERLDTILTEILAIVDVIEKREIKDGNQRKLLRKLKDAIYSAVDVLDSFQYMVLKSKIDSQAMVSRVTSSCVYLGKRVVGTDKFRRKLTDMLKKLDEVKTTADTLFKLVSFDSATAKLLPVTQARVTSPLKEENHIYGRKDDLDRLRDLLLMQSDSSAPGPSNSCVPVISIIGVGGIGKTSLAQLAFRDERIRASFGLRIWVCVSNIYDETTLARDILESVTGENYCSVTKLDELKNNFFLVLDDVWYDENRTNWENELVWNGVLSTLNTGLGGSKILVTTRTNKASELLRAGACLQLGGLNRDDYWMLFKSCAFGEKHPGLFQELKEIGMQIAERLNGLPLAAKVIGRLLNVDLDSSHWKKVLESDISGDVMKVLRLSYQHLPIHLQLCFSFCSLFPKSWRFDPRRLTDMWISQGFVQKEDESDNDMNVEDVAKGYFNDLVQRSFFERSLLDLPIEYVMHDLINDLARNVSKDEYTRIESEKQKEIPPNIRHLSISAHLWAGMKKTEMKNLRTLLVWSKSWPCWKLSLPNDVFKKSKYIQVLDLTGCCLERLPTSVKNLKHLRYLAFRVPEKPLPTALVQLYHLEVLVTRGHSCRGSGCFQLPTNMKKNLLKLRKAYLFNVGGATISGFGGQTLLHGPGEFHVKKESGHRLGELKEMNNIRGRLSVRFLENVEHQQQAVDAHLDCKEHIKHLQLEWSDLPRPITSELDPYVLEALRPHPDLERLNITGYKGERSPSWFETNWMKALTSIVLQNCMGWVQLPPLGQLPLLEDLVLRNMHAVKQIGQEFYGNGDTKGFPKLEEIVFDGMPNWEKWSGIEDGSLLPCLTRLYIAKCPKLQEAPPLNARPKVEVVITSDSLPSSCLFDSLMASASYLILLVNCCSFLSSLNTDQLSHVEELNVKSCTDQMPACGFIGLSSLKVLRISNCSALLSSVCVEAELDRQLQRREGTEWDKIAHVPEKKLECA >ONIVA06G25050.5 pep chromosome:AWHD00000000:6:24009586:24018320:1 gene:ONIVA06G25050 transcript:ONIVA06G25050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELTVTGWFLSPIIREMQDTAQSYIRGQFSWEKDQENDLERLDTILTEILAIVDVIEKREIKDGNQRKLLRKLKDAIYSAVDVLDSFQYMVLKSKIDSQAMVSRVTSSCVYLGKRVVGTDKFRRKLTDMLKKLDEVKTTADTLFKLVSFDSATAKLLPVTQARVTSPLKEENHIYGRKDDLDRLRDLLLMQSDSSAPGPSNSCVPVISIIGVGGIGKTSLAQLAFRDERIRASFGLRIWVCVSNIYDETTLARDILESVTGENYCSVTKLDELKNNFFLVLDDVWYDENRTNWENELVWNGVLSTLNTGLGGSKILVTTRTNKASELLRAGACLQLGGLNRDDYWMLFKSCAFGEKHPGLFQELKEIGMQIAERLNGLPLAAKVIGRLLNVDLDSSHWKKVLESDISGDVMKVLRLSYQHLPIHLQLCFSFCSLFPKSWRFDPRRLTDMWISQGFVQKEDESDNDMNVEDVAKGYFNDLVQRSFFERSLLDLPIEYVMHDLINDLARNVSKDEYTRIESEKQKEIPPNIRHLSISAHLWAGMKKTEMKNLRTLLVWSKSWPCWKLSLPNDVFKKSKYIQVLDLTGCCLERLPTSVKNLKHLRYLAFRVPEKPLPTALVQLYHLEVLVTRGHSCRGSGCFQLPTNMKKNLLKLRKAYLFNVGGATISGFGGQTLLHGPGEFHVKKESGHRLGELKEMNNIRGRLSVRFLENVEHQQQAVDAHLDCKEHIKHLQLEWSDLPRPITSELDPYVLEALRPHPDLERLNITGYKGERSPSWFETNWMKALTSIVLQNCMGWVQLPPLGQLPLLEDLVLRNMHAVKQIGQEFYGNGDTKGFPKLEEIVFDGMPNWEKWSGIEDGSLLPCLTRLYIAKCPKLQEAPPLNARPKVEVVITSDSLPSSCLFDSLMASASYLILLVNCCSFLSSLNTDQLSHVEELNVKSCTDQMPACGFIGLSSLKVLRISNCSALLSSVCVEAGEELDTCFFPQSLSELEIVDCNIQSSLLPRYLQGLTNLSTLMINSCDSMDLLSLAYGPHHLTSLEAIIIKDCIFLSSLDGFENLIALRKLVVADCKNFCFLPADLNALISLKTLAIYGCPKMKFLPQNGVPASLQLILLSLLHPELDRQLQRREGTEWDKIAHVPEKKLECA >ONIVA06G25050.6 pep chromosome:AWHD00000000:6:24009586:24019784:1 gene:ONIVA06G25050 transcript:ONIVA06G25050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELTVTGWFLSPIIREMQDTAQSYIRGQFSWEKDQENDLERLDTILTEILAIVDVIEKREIKDGNQRKLLRKLKDAIYSAVDVLDSFQYMVLKSKIDSQAMVSRVTSSCVYLGKRVVGTDKFRRKLTDMLKKLDEVKTTADTLFKLVSFDSATAKLLPVTQARVTSPLKEENHIYGRKDDLDRLRDLLLMQSDSSAPGPSNSCVPVISIIGVGGIGKTSLAQLAFRDERIRASFGLRIWVCVSNIYDETTLARDILESVTGENYCSVTKLDELKNNFFLVLDDVWYDENRTNWENELVWNGVLSTLNTGLGGSKILVTTRTNKASELLRAGACLQLGGLNRDDYWMLFKSCAFGEKHPGLFQELKEIGMQIAERLNGLPLAAKVIGRLLNVDLDSSHWKKVLESDISGDVMKVLRLSYQHLPIHLQLCFSFCSLFPKSWRFDPRRLTDMWISQGFVQKEDESDNDMNVEDVAKGYFNDLVQRSFFERSLLDLPIEYVMHDLINDLARNVSKDEYTRIESEKQKEIPPNIRHLSISAHLWAGMKKTEMKNLRTLLVWSKSWPCWKLSLPNDVFKKSKYIQVLDLTGCCLERLPTSVKNLKHLRYLAFRVPEKPLPTALVQLYHLEVLVTRGHSCRGSGCFQLPTNMKKNLLKLRKAYLFNVGGATISGFGGQTLLHGPGEFHVKKESGHRLGELKEMNNIRGRLSVRFLENVEHQQQAVDAHLDCKEHIKHLQLEWSDLPRPITSELDPYVLEALRPHPDLERLNITGYKGERSPSWFETNWMKALTSIVLQNCMGWVQLPPLGQLPLLEDLVLRNMHAVKQIGQEFYGNGDTKGFPKLEEIVFDGMPNWEKWSGIEDGSLLPCLTRLYIAKCPKLQEAPPLNARPKVEVVITSDSLPSSCLFDSLMASASYLILLVNCCSFLSSLNTDQLSHVEELNVKSCTDQMPACGFIGLSSLKVLRISNCSALLSSVCVEAGEELDTCFFPQSLSELEIVDCNIQSSLLPRYLQGLTNLSTLMINSCDSMDLLSLAYGPHHLTSLEAIIIKDCIFLSSLDGFENLIALRKLVVADCKNFCFLPADLNALISLKTLAIYGCPKMKFLPQNGVPASLQLILLSLLHPELDRQLQRREGTEWDKIAHVPEKKLETLNDA >ONIVA06G25040.1 pep chromosome:AWHD00000000:6:23998241:23998804:-1 gene:ONIVA06G25040 transcript:ONIVA06G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSYNSMSPSTPLPPPPSAAAAKKGSPEAAAAHKERPKIRIIHIIAPEIIKTDVANFRDLVQRLTGKQQQQQQESAETTLPPPSPVAVLDEKKEKVTTKKRPAPAEDESMMRKKKKKIKCEVKVEEGHGFGYDHLDHTDLWMDLNPGGFLSFLEEEDVFQGMAADLFQSPLGSSRMDFVGEMYAS >ONIVA06G25030.1 pep chromosome:AWHD00000000:6:23985662:23994375:1 gene:ONIVA06G25030 transcript:ONIVA06G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Rgp1 (InterPro:IPR014848), /.../globulin E-set (InterPro:IPR014756); Has 144 Blast hits to 140 proteins in 61 species: Archae - 0; Bacteria - 0; Metazoa - 86; Fungi - 10; Plants - 39; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G50120) TAIR;Acc:AT1G50120] MSLKLPIPQGLSFLRSVGWFEERKADAAAARQRHSPRLKLQTDREVYRPGDSVTATIEICTPAGLKDDAASAETGEDAPSLLVDGLSFEIKGIEKLDSQWFSVSKPLPGSKQRRGEHLFLDCSAPSLIPKVIIASGQTKTCPKCSILAQYQTHILEARVPLQICVSQKSSNLLNEEADHVVPVPVNFPLPIEQPDIFWREKDEDSEWSKANDNTDLEEGYDSSKDEVSSVSSYNKANPESSLRSSVSMHSLSSRLSTSEPLYSRERPSFPSYSPIPRLSVSEISDDHGGGVVSPQRKLNHLLSDHHPSNGQMFSLDPDRSNDDAGPPLTPKYIEPAGSEGFMRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGSGTRRCLEVSVTLETSETVNPRVIHPSRRSSPSITKVHSEHYEVVADLHQTSFLFSVPIDGPMSFSTSKVSVQWSLRFEFFTTPEGIDSSRYEHPLLVEKREKGDWVLPITVYAPPLRRQAIHGRNDRSVLVGNLFNS >ONIVA06G25020.1 pep chromosome:AWHD00000000:6:23978880:23984537:1 gene:ONIVA06G25020 transcript:ONIVA06G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSRRWACVRVMTGTILGGALGFYVMHRLETSHKAKMEERLRKYEAHMSMVAKGKEEAQQRLQDEAALQHKDQARLLPDS >ONIVA06G25020.2 pep chromosome:AWHD00000000:6:23979097:23984537:1 gene:ONIVA06G25020 transcript:ONIVA06G25020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSRRWACVRVMTGTILGGALGFYVMHRLETSHKAKMEERLRKYEAHMSMVAKGKEEAQQRLQDEAALQHKDQARLLPDS >ONIVA06G25010.1 pep chromosome:AWHD00000000:6:23972907:23976745:-1 gene:ONIVA06G25010 transcript:ONIVA06G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein N-terminal asparagine amidohydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G44420) TAIR;Acc:AT2G44420] MLLVDGEPVSASASPTFGSSRGVRLLGCWRDFFPPRLSPSRFLQGSGGRELREFATVDPARVELVGTDEVTTCVGVVIRNNKTGMTSISHMDFPKIVEGGLKQMLELLGDDNAPFDVHLIGGFDDVSTKVVHSAGRKHIKQEGYSYPLCCRILEVLHKSRQQFHLRTFCVLGSNTTTDSYGNTRPIIGGFVVETSSGAVNPASFEMNSRCPDEIVRRIRVSVSSYDPNWQGRLLETYDTRSDAFEIAPACWMPDWAEMASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWRYLIENPYWQDTFPKYKPRVFHRTSDGRCLCAVGQCRFHCTLLLGRNCASSASTQRFAISTV >ONIVA06G25010.2 pep chromosome:AWHD00000000:6:23972907:23976745:-1 gene:ONIVA06G25010 transcript:ONIVA06G25010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein N-terminal asparagine amidohydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G44420) TAIR;Acc:AT2G44420] MLLVDGEPVSASASPTFGSSRGGSGGRELVAALMGNPGLRAASERLRAEPERRISSGPEEDDADAAAAAAPRHVYVFQREFATVDPARVELVGTDEVTTCVGVVIRNNKTGMTSISHMDFPKIVEGGLKQMLELLGDDNAPFDVHLIGGFDDVSTKVEFQSVVHSAGRKHIKQEGYSYPLCCRILEVLHKSRQQFHLRTFCVLGSNTTTDSYGNTRPIIGGFVVETSSGAVNPASFEMNSRCPDEIVRRIRVSVSSYDPNWQGRLLETYDTRSDAFEIAPACWMPDWAEMASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWRYLIENPYWQDTFPKYKPRVFHRTSDGRCLCAVGQCRFHCTLLLGRNCASSASTQRFAISTV >ONIVA06G25010.3 pep chromosome:AWHD00000000:6:23972907:23976745:-1 gene:ONIVA06G25010 transcript:ONIVA06G25010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein N-terminal asparagine amidohydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G44420) TAIR;Acc:AT2G44420] MLLVDGEPVSASASPTFGSSRGVRLLGCWRDFFPPRLSPSRFLQGSGGRELVAALMGNPGLRAASERLRAEPERRISSGPEEDDADAAAAAAPRHVYVFQREFATVDPARVELVGTDEVTTCVGVVIRNNKTGMTSISHMDFPKIVEGGLKQMLELLGDDNAPFDVHLIGGFDDVSTKVVHSAGRKHIKQEGYSYPLCCRILEVLHKSRQQFHLRTFCVLGSNTTTDSYGNTRPIIGGFVVETSSGAVNPASFEMNSRCPDEIVRRIRVSVSSYDPNWQGRLLETYDTRSDAFEIAPACWMPDWAEMASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWRYLIENPYWQDTFPKYKPRVFHRTSDGRCLCAVGQCRFHCTLLLGRNCASSASTQRFAISTV >ONIVA06G25010.4 pep chromosome:AWHD00000000:6:23972907:23976745:-1 gene:ONIVA06G25010 transcript:ONIVA06G25010.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein N-terminal asparagine amidohydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G44420) TAIR;Acc:AT2G44420] MLLVDGEPVSASASPTFGSSRGVRLLGCWRDFFPPRLSPSRFLQGSGGRELVAALMGNPGLRAASERLRAEPERRISSGPEEDDADAAAAAAPRHVYVFQREFATVDPARVELVGTDEVTTCVGVVIRNNKTGMTSISHMDFPKIVEGGLKQMLELLGDDNAPFDVHLIGGFDDVSTKVEFQSVVHSAGRKHIKQEGYSYPLCCRILEVLHKSRQQFHLRTFCVLGSNTTTDSYGNTRPIIGGFVVETSSGAVNPASFEMNSRCPDEIVRRIRVSVSSYDPNWQGRLLETYDTRSDAFEIAPACWMPDWAEMASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWRYLIENPYWQDTFPKYKPRVFHRTSDGRCLCAVGQCRFHCTLLLGRNCASSASTQRFAISTV >ONIVA06G25000.1 pep chromosome:AWHD00000000:6:23968248:23970941:-1 gene:ONIVA06G25000 transcript:ONIVA06G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGKRAAPEGTNGAAKRARASESSQVGVGSKLKPCTKFFSTSGCPFGSSCHFLHNFPGGYQAAAKMTSHGGTAVAAPPGRMPLGPGAPNGPPTSSVKTRMCNKYNTAEGCKWGSKCHFAHGERELGKPMLLDNSMPHPMGSMPFEAPPMPGPDIVPPSTFGASATAKISVDASLAGGIIGKGGTNTKHISRMTGAKLAIRDNESNPNLKNIELEGTFDQIKHASAMVTELIVRISGNAPPAKNPGKGSHAGGPGSNFKTKLCENFNKGSCTFGDRCHFAHGESELRKPPAAA >ONIVA06G25000.2 pep chromosome:AWHD00000000:6:23968248:23970941:-1 gene:ONIVA06G25000 transcript:ONIVA06G25000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGKRAAPEGTNGAAKRAREIVVEGTSGCPFGSSCHFLHNFPGGYQAAAKMTSHGGTAVAAPPGRMPLGPGAPNGPPTSSVKTRMCNKYNTAEGCKWGSKCHFAHGERELGKPMLLDNSMPHPMGSMPFEAPPMPGPDIVPPSTFGASATAKISVDASLAGGIIGKGGTNTKHISRMTGAKLAIRDNESNPNLKNIELEGTFDQIKHASAMVTELIVRISGNAPPAKNPGKGSHAGGPGSNFKTKLCENFNKGSCTFGDRCHFAHGESELRKPPAAA >ONIVA06G24990.1 pep chromosome:AWHD00000000:6:23964616:23969610:1 gene:ONIVA06G24990 transcript:ONIVA06G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEAEAEGRGGEPVTPGTPAPDLSQATDDRRLLRSRYLAVKSRISDDKDDMARADSVKFRSVFTQVEDLHRLVQRPREQIADAEALLGIATSLVASVRTHSALGITPSDFVSGMLKKFGEKRRDDDEAASLRWLDVGLYTSRIFMAVPGCSTMVGPMNTEVLPRRVRVCRKRTAKPRGSECPEQLPDSSNAAKTDTDRNMSVIFDVLRKKKNARLENLVLNRKSFAQTVENIFALSFLVKDGRVEISVNDEDHHLVYPRNAPAASAITSGKVVYNHFVFRFDFKDWKLMKDMVVDGEELMQHRPPAPQVGTHGSSTTTTTTTTGGANEGPETETPAAPAHSTAIRKLCRNRGLVMHDMKEEAATTTTTTGGRTMEEKRSAMDAQVSQLASKRRRLFQDDDDDDGDLE >ONIVA06G24980.1 pep chromosome:AWHD00000000:6:23944906:23952199:-1 gene:ONIVA06G24980 transcript:ONIVA06G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HTJ0] MAAKAAALSSSPFVSSRRLSSPAASLRARTPRCVMGSEQVRVVVEEEGKTKKRMGVAEPRSAPPAVWTPRAPAQEARLAALRTDGRDSRLKIFSGTANRPLAQEIASYLGVDLGKVLIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFVMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLSANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIHGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAEAVYACSTHAVFSPPAIERLSGGIFEEVIVTNSILLPEHKCFPQLTVLSMANLVAETIWHVHRDGSSTNAFLYTQFSHQCRTL >ONIVA06G24980.2 pep chromosome:AWHD00000000:6:23948446:23952199:-1 gene:ONIVA06G24980 transcript:ONIVA06G24980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HTJ0] MAAKAAALSSSPFVSSRRLSSPAASLRARTPRCVMGSEQVRVVVEEEGKTKKRMGVAEPRSAPPAVWTPRAPAQEARLAALRTDGRDSRLKIFSGTANRPLAQEIASYLGVDLGKVLIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFVMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLSANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIHGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAEAVYACSTHAVFRFGSWFIVTSMIHVIVLVHWLVAKEPRYGMIRQIGFIPPAIERLSGGIFEEVIVTNSILLPEHKCFPQLTVLSMANLVAETIWHVHRDGSSSISTSETHSPLNQGGI >ONIVA06G24980.3 pep chromosome:AWHD00000000:6:23948986:23952199:-1 gene:ONIVA06G24980 transcript:ONIVA06G24980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HTJ0] MAAKAAALSSSPFVSSRRLSSPAASLRARTPRCVMGSEQVRVVVEEEGKTKKRMGVAEPRSAPPAVWTPRAPAQEARLAALRTDGRDSRLKIFSGTANRPLAQEIASYLGVDLGKVLIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFVMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLSANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIHGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAEAVYACSTHAVFSPPAIERLSGGIFEEVIVTNSILLPEHKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ >ONIVA06G24970.1 pep chromosome:AWHD00000000:6:23875393:23910740:1 gene:ONIVA06G24970 transcript:ONIVA06G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGIRPPPSPRSKVFTFLHSGPCQHYLRDMGLMCPIPISPYVSEASSAGMQPGRAKRLESGANISHEEEARGMQTCHHKIVAMREITICLYEEEALGMHTCHQRIHTMCKITRSNVEAEEVLLPSPPSAQGTGGTRLAEQEARAGDRPDPCRTDDDEEKEAPKSGDGRRSRRRWAQERQQPRAAGSGAAVATTATTTGAAAARRLDRRRHDVGDDEDDNDHERWQPGVVMA >ONIVA06G24960.1 pep chromosome:AWHD00000000:6:23871783:23874913:1 gene:ONIVA06G24960 transcript:ONIVA06G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRPAVAFAARVAPLLSSAASARKKKGKRKEREQGHFVPHSSLNTPTALLVVKNPCVVATMSQRQDKGVVAAQQQQGGATGPKWQNSGNGTTKATMDRSGGGDRAAVARSSGGPRAATGPRWWLSTRTARLAG >ONIVA06G24950.1 pep chromosome:AWHD00000000:6:23800220:23800459:1 gene:ONIVA06G24950 transcript:ONIVA06G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPAKPKPKVKVLLLASHALMRITIGASLFPRPPKHIAQFALELIVILAALPPLTVVGVTPSPY >ONIVA06G24940.1 pep chromosome:AWHD00000000:6:23727760:23728086:-1 gene:ONIVA06G24940 transcript:ONIVA06G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHDEVRGEARARECEKSRGGAEVDVDSHTCCRSTWAAGVHPGGVKVAGRSGDDGDAEAEDEDEDEDEGSSCFCPPWGDDSPAHTRPTRVASQHNEGRRARGRPR >ONIVA06G24930.1 pep chromosome:AWHD00000000:6:23712752:23714005:1 gene:ONIVA06G24930 transcript:ONIVA06G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLTPITKAKTTASRCTTGSEVGGHIFEINGYRFQKGIGVGKFVRSNIFAVGGFDWAIRFYPDGVCEAYKEYISVYLELMSDNAEVRALYSLRLVNQVPGLSNWELTLNKQPVVFSSRGKNRFGTVISHAYFKLRSDLELPESGFIKDNRLTIKCLLLGGDKIEMILIKESHGGDKIEMIRHLLVAADRYAMDRLKLICQHILGKSLHVDNVATTLALADQHSCDKLKKVCIEFMASSNAMDAVAATGGYANLKRTSPSLLVDVFEETSRLRKA >ONIVA06G24920.1 pep chromosome:AWHD00000000:6:23708596:23710993:1 gene:ONIVA06G24920 transcript:ONIVA06G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTSYYLPDRHEVEMEKGDHGCQQNKAKDEHRHGSDASILPSSWPPCHYRQLCLQDLGEKVQRMEGSGGLRRLNPLVPGVGVIGSSTLHRFGWFRCH >ONIVA06G24910.1 pep chromosome:AWHD00000000:6:23692261:23698138:1 gene:ONIVA06G24910 transcript:ONIVA06G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFPSLLLPWLPWLLGSLLSVYLLDLLAHSRRRLPPGPRPLPFIGSLHLLGDQPHRSLAALAMAYGPLMSLRLGAVTTVVASSPAVARELLHRHDAAFASRSSPDSTGDHARSSVAWLPSSAPRWRALRRIMATELFAPHRLDAAAPRRLRREKVRELVAHVARLAAGEGGKPAVVDVGRVAFATSLNLLSRTIFSRDLTSLDDHGGSKGFQEAVARIMEAGGRPNVSDFFPVLAAADLQGWRRRLARLFARLHRVFDAEVDARLREHDAGEARKGDFLDVLLGIAARRDDAAELDRDTLRSLFTDLFCAGSDTSSSTVEWAMAELMQNPKSMSRVCDELSQVIGLGKNIKESEIGQLPYLQAVVKETFRLHPPAPLLLPRQAEMTMKIAGYTIPKGTRIFVNVWAMGRDKDIWPEPEKFIPERFLGSKIDFKGVHFELIPFGAGRRICPGMPLANRMVHLILGSLLNQFKWNLPVDVERNGIDMSEKFGLTLAKATPLCALVTPISVKPADHQE >ONIVA06G24900.1 pep chromosome:AWHD00000000:6:23689732:23690829:-1 gene:ONIVA06G24900 transcript:ONIVA06G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSKKKKTVSWCTTEVSEGTHAFKIVGYSLNKGIGVGTFIRSGTFAVGGHDWAIRLYPDGVTEDSMDYVSVYLELMTENAKAMAFYTLGLVDPVTGGIRCNWSRSSPRLFDSSDSSRFGPRSPLFIPRSDLEMEESGYIVNDRLTVECEVTVTKGPQVSRTIGCSEIGVPPSELSEHFGKLLEEEEDVGRDVVFSVEGESFAAHKLVLAARSPVFKAEFYGEMIERGTFSIDIKDMQPSVFRALLHFIYTDVLPADIGDLEGDDYVEFIRHLLVAADRYAMDRLKLMCQSILGKYVDVKNVATTLALADQHNCDKLKDVCIQYICSLDEVDAMVRTKGYANLKRSCPSVLADLFEKTSKFRAS >ONIVA06G24890.1 pep chromosome:AWHD00000000:6:23682729:23683800:1 gene:ONIVA06G24890 transcript:ONIVA06G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKALHKLFFGPSRIDDDASFDGKLMMMVNSDELLMTKTSSDVKLRTSCCCHCWNRTGGRQED >ONIVA06G24880.1 pep chromosome:AWHD00000000:6:23675204:23678178:-1 gene:ONIVA06G24880 transcript:ONIVA06G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPSERRSVASTFLLNQLRGRPAAKALGCASWRLGRGGTLARSLPSPTATTTLLLISPTSSASLLSPIQSDDPTPRPRLLYGYNVKTRKIPMRCYGRKRPWKRASFYGRVRNVNEGVIVAELVEQDGVRSSCRHGKEKLAGGSKMTTHGLGGTERHGMKQFTSLVACFESVAN >ONIVA06G24870.1 pep chromosome:AWHD00000000:6:23667181:23673141:-1 gene:ONIVA06G24870 transcript:ONIVA06G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRMSRYAKKHEEATLKFDVVGYSLNEGMAAGEFIRSPAFAVGAMTGQSASTPTAPRACSPVFKAELYGGMKEREARSVTVDDTQPDVFRALPHFMYTDSLPDMDGVEDADYVEMIRLLLVAADRYAMDRMKLLCESVLDDLLDAETVGTTLALADQHSCNNLKDVCVKFMATSKGMDAVMATEGYDNLKRNCPYVLIDVLEKATKQGQGNTYYIGLACCNEYIFFIEHEIEEASPPPRRRRSPSSPATAAAPPPIASALNAPKPGMVSKKKNTTASRHTTESEEGTHSFEIVGYSLQKGIGVDEFIESATFAVGGYDWCIRFYPHGKGDGAKDYISVYLELLTKNCAVRAAYDLRLVNLATGLPKSVYSETTHRMFNSDDSSKFAPHYATFMHRSQLEMEASGYIKDDRLTIECFLTVIVKESMASNTVKAHELINVPPSDLSENFGELLEKGEGSDVTFVVGGEKIAAHKIILAARSSVFKAELYGQMKEKRARRVTVEDMQPDVFRGLLHFIYTDSLPDMDDLSDDDYYEMIRLLLVAADRYAMDRMKLQCESILGEHLDVQTVATTLALADQHNCNGLKDVCIEFITNQNKMDDVVATEGYADLKRTCPSVLVDVFEKASSVEFKC >ONIVA06G24860.1 pep chromosome:AWHD00000000:6:23660251:23664222:1 gene:ONIVA06G24860 transcript:ONIVA06G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFFSRPSYSAGCGIDGCFKFLPIDAIGFNKVLDHCNGLILYHGEISEQYKLFVCNPATHRWVQLPPFTEYDSLCISAEYLVFDPAESLHYEVFLIPDLPEIPTKKKCHKGPLVGKDATAEWPPSVHTLWVFSSRTGRWEDKAFLHEGHATNMAGTSLEVLLDSPDMMSWGLRFIRAEYWNGALYVHFQGSFVMRSETGIYYAKRQNFQLRVWALDESHELTKWVVKYDLNLCPLTKLIEGLFSWHHAKINKMWILDESDDDDDEEEDQDSAEWNSDDDNINIIGDLSKNKEEEMSMWTFGSVDLLGFHPYKEVIYLMDLDEVVAYHLRSSKVQYLGCNRLNEYNRGMEKSFFYTPCFVDLIPEGAHQKSS >ONIVA06G24850.1 pep chromosome:AWHD00000000:6:23647934:23651951:1 gene:ONIVA06G24850 transcript:ONIVA06G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFKKMSRMTKSNAPEDEPNNMPSSSSAAAAACGPPEFTPGWKTVSTCAPDVVGEGVHVFDIFGYSDHKGMGAHEPIRSGAFSVAGLDWVACLYADGYGVAGIDDVSAYLRLLGDAPTPRVWVSCEVKLVDQRTGVASTPQPFLRYALAFGDKCKALHCMMIPRGQIEVEPYLVDDRLTMEFHVVVRRDPRVSRTARFPRILVPPPDIKRQFANLLQSKEGADVTFDVAGEPFSAHKLVLAMRSPVFKAELCGLLREPGTQPITIVDMQPAVFRALLQFIYTDQFPATRGFERRDNCEMIRHLLVAADRYAVDRLKLLCQGILCKNLNVHNVATTLALADQHQCDKLKDACIEFMSCSKKMKGVVASKGYEDLQRMAPSVLADAVAQMSKLNKMSRGSVPQDESKSC >ONIVA06G24850.2 pep chromosome:AWHD00000000:6:23646452:23648133:1 gene:ONIVA06G24850 transcript:ONIVA06G24850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHQMESVPRTVSTVVPNTAEATHVFDIVGYSQMKGRGREEHVTSGTFVVGGLHWAILLFPDTHVILLDDEEDNVTAFLELQSQGGSKVRACCDVRLVDQTTGLASSAAPAQPDAKTFKVFNADESNRVSCLKMKRTEFEAPPYLVDDRITLECVVTVKKEPRVSRARPVPRIKVPPSNMMQQLGDLLESKEGADVVFDVAGETFPAHKLVLAMRSPVFKAELCGPMRGSGTEPISIVDMQPVVFKALLQFIYTDWLPSIRDLEGDDNSEMIRHLLVAADRYAVDRLKLLCQSILCKNLRVGNVATTLALADQHHCGMLKDACIEFMSCPNMLDDVVASQGFVDLENTAPSLVA >ONIVA06G24840.1 pep chromosome:AWHD00000000:6:23629514:23633089:1 gene:ONIVA06G24840 transcript:ONIVA06G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLDSLPTGNPPSPPPPHQMARAKTVSKCIMETAEGSHVFSITGYSQKRGMGVGKCVRSGAFSVGGHDWAIRFYSDGHGSSNYIHVYLDLLNRGVEVHASSDLRLLDHTTGLSTSVTKTLPKASAYLHDDRLDIECVVTVMKEPRVSQTKSSPKVAVPPSDIAAHLGKLLESKEAADVTFYVGEDTFAAHKVVLAMRSPVFKAELFGPMREAGAQVLPIKDIQPDVFKALLHFIYSDSLSIIDDLVGDDRGEMIRHLLVAADRYAMERLKLICETVAATLALADQHHCASLRDACIEFMSSSSMDDIVATQGFVDLKTNCPSVLVDAFVNMSMLNKSTNSLPSVDGGGEYMIVKDTLTGQQ >ONIVA06G24830.1 pep chromosome:AWHD00000000:6:23626041:23627494:1 gene:ONIVA06G24830 transcript:ONIVA06G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVARDCSESTRNGGFWGVMVVDLEKISGREVTEFQQHSIGPLVHSGLVGVAFVGSKQIGRLAFLTVFSSSSSAATAGGHHRNVRLVRHFPLLPSSSSPISETPCSPSCSGKHGGAEEDQVDVRREDEARRACVGGPGVQPAQGHGGRQLHQIRYTTNDRIFGDYPLLSRKVRMPSPRTLLTVCRHKTPITLDWSRNPIFGDGKPSQVI >ONIVA06G24820.1 pep chromosome:AWHD00000000:6:23624653:23625331:1 gene:ONIVA06G24820 transcript:ONIVA06G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQGNNNQAAAPAAPPPLPPLRRQPLLDQLPRRLEHEISRIDGRIRELEDECYRLVSEGHGSTLVIRFRIEKMEALRAKLISRYLQQQQNDDGGRRLSASASASSRRWCRCGELNQLMGGAWSICNSA >ONIVA06G24810.1 pep chromosome:AWHD00000000:6:23578475:23579161:1 gene:ONIVA06G24810 transcript:ONIVA06G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYPVMNSNPHFCCRHLYCHQLRLLLPCGFPPPLVPSLHREQSRPDPEGESSPTVAFLAATWRLETCAGWLQEPSSPTPRTSLPTRLALTCAATLVFPMCCSPTTAAGKVRDIVDYLPVELELLSDIALLHLNSTRFCHPPLPPRARPQQQPPRPPLPHHCARLPLQQLQGRHLEPASPKCHTRSSDDEGEGARMHRRRTERRRRGGADGEECMREKGDGVGDGSG >ONIVA06G24800.1 pep chromosome:AWHD00000000:6:23576210:23576449:1 gene:ONIVA06G24800 transcript:ONIVA06G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMDPVACGAPDRSIRLHNGEGKEVVVVEEVDLAAATTMTTTTIMMMTLATITTTIAGPQQGSSGSASTLPLLDLAKV >ONIVA06G24790.1 pep chromosome:AWHD00000000:6:23523620:23531678:1 gene:ONIVA06G24790 transcript:ONIVA06G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPLDAAAAAAEAETPSTAAAAAAASPALLLRPRRVAFEHGLLPIPKLVFPEGTLTQTLAQTKERLIAAAGGDGDASAAPRVGAAALAEALQIPRELAALVLGTLAAVLPAEEEAEDADLRDVLLFLYIQSYKRLVPRAHKDSPAVTDVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEAHQLSYLQKHMVNILSLLADSVDGEGNESMILTAETFEHLGFLLQFSEGTPLSQVATFFANSDPDMPAAPVPAAQVHDWILQNIAASLENTAEKLTAKENSQQSASDPDVTMAEAVTNSRIHSSSPTGTAVPNNQGHYRNTTFLEGFSKTSVVKQASDIKGHSIKVLNCHDSVIYILAPVKYATVYGCSDTTIVLGAVGKVVKVEHCERVQIIAASKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLVQVGVDSNINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCLDPDLFTNFLIPSWFEAQGPTKYNPFTLPEVYWASQRKKHVSLEDIQKNIRELELDDTRKKELASALHAQFKDWLYASGNIRQLYCLQGE >ONIVA06G24780.1 pep chromosome:AWHD00000000:6:23510912:23519812:-1 gene:ONIVA06G24780 transcript:ONIVA06G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKCSERLVSLKASVLHTVDDTMWLKLHRRRNPEGYDMPSDLDQALLLYFDGQEQDKPSTQEEPHKPLNFVKETLNIFPSQPMDGEPTPTPKASMSAPPIAGFSRRSPAPAAADGRPLTLGKTSKAAFKKEGGSGSGGAMAASASSELMGPKTPDPKTLRRLAQNREAARKSRLRKKAYIQQLETGRIRLAHLEQEIQFTRAQGAFCGAGILSPDAALFNLEYERWQEAHHQVISRLRAAVEEHRPDGELQPHVDEAMSHYGVLMAHKARLVGADPLHLLSGLWKGAVEQCFLWIGGFRPSELIKVVVRHVEPLTEQQLAAVYSAQQAARQEEDALDGGLQALLRSLSDVVSSSDAPSSSQQTPPVMYHPSAAAAMAAASFMGQYGSYSNLQLAMDKLANLAIFLRQADEERMRTLHALRRMLTVRQAARCFVAVDDYFGRLRALALFWTTTRPHPAAG >ONIVA06G24780.2 pep chromosome:AWHD00000000:6:23510910:23519815:-1 gene:ONIVA06G24780 transcript:ONIVA06G24780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKVGQPESFSASHGYDMPSDLDQALLLYFDGQEQDKPSTQEEPHKPLNFVKETLNIFPSQPMDGEPTPTPKASMSAPPIAGFSRRSPAPAAADGRPLTLGKTSKAAFKKEGGSGSGGAMAASASSELMGPKTPDPKTLRRLAQNREAARKSRLRKKAYIQQLETGRIRLAHLEQEIQFTRAQGAFCGAGILSPDAALFNLEYERWQEAHHQVISRLRAAVEEHRPDGELQPHVDEAMSHYGVLMAHKARLVGADPLHLLSGLWKGAVEQCFLWIGGFRPSELIKVVVRHVEPLTEQQLAAVYSAQQAARQEEDALDGGLQALLRSLSDVVSSSDAPSSSQQTPPVMYHPSAAAAMAAASFMGQYGSYSNLQLAMDKLANLAIFLRQADEERMRTLHALRRMLTVRQAARCFVAVDDYFGRLRALALFWTTTRPHPAAG >ONIVA06G24770.1 pep chromosome:AWHD00000000:6:23504867:23507341:-1 gene:ONIVA06G24770 transcript:ONIVA06G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTGGHHHDAHHEDFQLKDTNPLLGEQWPKGAAGPARPAVGGGIAGWLGLEKPSSTYDLVEQMFFLYVRVVKAKDLPPNPITGSPMDPYVEVKLGNYKGTTKHYDRRANPEWDQVFAFSKSRVQSNVLEVYLKDKEMLGRDDYVGRVVFDLAEVPTRVPPDSPLAPQWYRLEERRVGGGGDGGGLKVRGELMLAVWIGTQADEAFPEAWHSDAATVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQARGRAPEVFVKAQVGNQILKTSVVAAPTLNPRWNEDLVFVVAEPFEEQLVLTVEDRVTPRKDDLLGRAALPLALFEKRLDHRPFVQSRWFDLEKFGIGGAIEGETRRELRFASRVHVRACLEGAYHVMDESTMYISDTRPTARQLWKPPVGVLEVGILGAAGLQPMKNRDGRGTTDAYCVAKYGQKWVRTRTMLGTFSPTWNEQYTWEVFDPCTVITIGVFDNNHLGNGNGNGNNAGGGGGGSPPARDARVGKIRIRLSTLETDRVYTHAYPLIVLQPSGVKKMGELRLAVRFTCLSLMNMVHLYTQPLLPRMHYLHPFTVTQLDALRYQAMGIVAARLGRAEPPLRREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGAAAAARWFADVCHWKNVATTALVHVLLLILVWYPELILPTVFLYMFMIGLWNYRRRPRHPPHMDTKMSWAEAVHPDELDEEFDTFPTSRQQDVVYMRYDRLRSVAGRIQTVVGDMATQGERLQSLLGWRDPRATCLFVVFCLVAAVVLYVTPFRVVALVAGLYLLRHPRFRSRLPAVPSNFFRRLPSRADSML >ONIVA06G24760.1 pep chromosome:AWHD00000000:6:23496307:23501542:1 gene:ONIVA06G24760 transcript:ONIVA06G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGNCSSSNEEKKTCINSRKKEESGSLIDYSEGAWSGLSQEVISNLSETVVSVASFNGDQTHFSGTGIVVRNKDEDMAGGPLIDLDGNFVGMNFFSEERTPFLPRNKIYRSLVRSCALWVEIDDECTSIIERCRSKMIHNNFVGTSRGVAKKRNQEQTVSITSFSEGTSDEENESETQKLPEYSTSDSEDFWEEELFPELIKPLPDDEFTQLLKKDLKSRNYPMPIRFWGGMCLKNTFEEEFAEDTWCKLSKKVALNTSQSVVSLASFKGEERFFACTGVFIDFNGSTSRVVTLASLVRISADENKIADNLKIKVYLPNKRIAVGELQHCNLSYNIAVVNVKGFCCLRTAELDKQMQIEPHREVVAIGRIFESGKLMATSGILSDEESKLDCRELMISTCKITKAGIGGPLIDFDGNFVGINFYGTKETHYLPRLMIQRLLKDFDG >ONIVA06G24760.2 pep chromosome:AWHD00000000:6:23497113:23501542:1 gene:ONIVA06G24760 transcript:ONIVA06G24760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGNCSSSNEEKKTCINSRKKEESGSLIDYSEGAWSGLSQEVISNLSETVVSVASFNGDQTHFSGTGIVVRNKDEDMAGGPLIDLDGNFVGMNFFSEERTPFLPRNKIYRSLVRSCALWVEIDDECTSIIERCRSKMIHNNFVGTSRGVAKKRNQEQTVSITSFSEGTSDEENESETQKLPEYSTSDSEDFWEEELFPELIKPLPDDEFTQLLKKDLKSRNYPMPIRFWGGMCLKNTFEEEFAEDTWCKLSKKVALNTSQSVVSLASFKGEERFFACTGVFIDFNGSTSRVVTLASLVRISADENKIADNLKIKVYLPNKRIAVGELQHCNLSYNIAVVNVKGFCCLRTAELDKQMQIEPHREVVAIGRIFESGKLMATSGILSDEESKLDCRELMISTCKITKAGIGGPLIDFDGNFVGINFYGTKETHYLPRLMIQRLLKDFDG >ONIVA06G24750.1 pep chromosome:AWHD00000000:6:23486623:23488281:-1 gene:ONIVA06G24750 transcript:ONIVA06G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLVARGLFKPLLLFVAGLIVLYALRRRRHRRSSDLRLPPSPFGLPILGHLHLLAPLPHQALHRLAARHGPLLFLRLGSVPCVAACSPDAAREVLKTHEAAFLDRPKPAAVHRLTYGGQDFSFSAYGPYWRFMKRACVHELLAGRTLDRLRHVRREEVARLVGSLRASADGGERVDVDAALMGLTGDIVSRMVMGRRWTGDDNDAEEMRSVVAETAELTGTFNLQDYIGVFKYWDVQGLGKRIDAVHRKFDAMMERILTAREAKRKLRRQAAADGEDDEKDLLDMLFDMHEDEAAEMRLTRDNIKAFMLTTVEHLKNSMIDRDLVCQDIFAAGTDTTTITLEWALSELINNPPVLRKLQAELDAVVGGARLADESDIPSLPYLQAVAKETLRLHPTGPLVVRRSLERATVAGYDVPAGATVFVNVWAIGRDAAWWPEPTAFRPERFVSGGGGGGTAADVRGQHFHLLPFGSGRRICPGASLAMLVVQAALAAMVQCFEWSPVGGAPVDMEEGPGLTLPRKRPLVCTVSPRIHPLPAAASASLT >ONIVA06G24740.1 pep chromosome:AWHD00000000:6:23477129:23482791:-1 gene:ONIVA06G24740 transcript:ONIVA06G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHSPPPPPDLSSAVGEIHPPLASAARSSSNGGGSGGSFTALLGLPTSQAMELLLPTAAASAPPPPPAFPADPHLVDRAARFSAFASPSPPSPPPPPPPPPSSSSKRKPDPASKGKAAAKKGKTAASGEDGGDGGEDEKPAYVHVRARRGQATDSHSLAERARREKINARMELLKELVPGCSKVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGLDNFLTTECGRITGLNYKNGMDLEQVTWPDMGVHGARNLMQLQQQFWHGDLAHPLQPPSQWEKRTDTNPPVFSNSSSSLFGYDLASSGAPAQTGNKLKTEL >ONIVA06G24730.1 pep chromosome:AWHD00000000:6:23470777:23473519:1 gene:ONIVA06G24730 transcript:ONIVA06G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein gamma response 1 [Source:Projected from Arabidopsis thaliana (AT3G52115) UniProtKB/Swiss-Prot;Acc:Q9ZRT1] MNANVLGGDVVADDFNYFSGVSTIFVANIQEVKDRVSQIELLFCSQLFPHVQAMWKAAKDAWMEREAALLSQLEELSSGKRHAEEKALQLGCSLDEMKGKLADAERSVAGHEVEKKRLLGRLEEEIGNKDEVIRRLEREIAEKAADFSRERDAHQRLLQLVELKDKNLLLEQNKRRDAEEMALQLGNSLEDMKGNFERLIARHEVEKEQIPGRLEEEMGKKDEVIGRLESEIAEKAADVSRERDAHQRMLQQVELKDKDLLLEQNKRKDLIEDYTKLKTLYKDLKSQYNFLVGKIGQNEGSKSPVVNVVDRKTSGSPPSKRKLKDLVDTKKENNQAVSKTVDEKNGPASSAKAQGTHHASSVRSPFSNSRLCLPSRTTNPPPKNATSNSKTEAASSFTRPSLHWRETRARKEPGVVDPHDDFLDTPLEAVKNMIRNPKTPEEAQALAASPPKDMDFNNSDDETQDVNIATQGQKNMPVPKQQSTISIQPPNKGFKYTEPVRKKADRENLKGVECKQCKKFYDAVLPDGRTNGDGADSTSMRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >ONIVA06G24720.1 pep chromosome:AWHD00000000:6:23463037:23467411:-1 gene:ONIVA06G24720 transcript:ONIVA06G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G13770) TAIR;Acc:AT3G13770] MLAARGLLRPEAAARGLALQRCFVAPLRAASGMALPGASARFHEYEAAITACIERRALWEGRQVHARMITARYRPAVFLGTRLVTMYVRCGALDDARNVLDRMPERSVVSWTTMISGYSQTERHVEALDLFIKMLRAGCIPNEYTLATVLTSCSGPQSIYQGKQVHSLLVKTNFESHMFVGSSLLDMYAKSENIQEARRVFDTLPERDVVSCTAIISGYAQKGLDEEALDLFRQLYSEGMQCNHVTFTTLVTALSGLASLDYGKQVHALILRKELPFFVALQNSLIDMYSKCGKLLYSRRVFDNMLERSVVSWNAMLMGYGRHGLGHEVISLFKDLHKEVKPDSVTLLAVLSGCSHGGLVDEGLDIFDTVVKEQSALLHTGHYGCIIDLLGRSGRLEKALNLIENMPFESTPSIWGSLLGACRVHANVHVGELVAQKLLEMEPENAGNYVILSNIYAAAGMWKNVFKVRKLMLEKTVTKEPGQSWIILDKVIHTFHSSERFHPSKKDINAKIKEIFVDIKAAGFVPDLSCVLHDVDDEQKERMLLGHSEKLAITFGLMNTPPGLTIRVMKNLRICVDCHNFAKFVSKTEDWSMIFLIQVIPLELHRDDLLMAILFITRSPIRSQKLHTNHLTFTSKGKNNMI >ONIVA06G24710.1 pep chromosome:AWHD00000000:6:23458249:23462311:-1 gene:ONIVA06G24710 transcript:ONIVA06G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRSLAGGAAAAVVVLAAAASAFLSLLDGAAALHLCTDRLFNDTQGRHSDGLPHLNQAEEATWMGLLPRRAGPRDELDWLALYRSITRGGGDVGGEPAGFLSPASLHDVRVDPYGANMYWQGQQTNLEYLLYLDPDRLTWTFRQQAKLPTVGEPYGGWEAPDGQLRGHFTGHYLSAAAHMWASTHNDALREKMTKVVDILYSCQKKMNTGYLSAYPESMFDAYDELAEAWSPYYTIHKIMQGLLDQYTLAGNPKGLEIVVWMTDYFSTRVKKLIQEYSIQRHWEAINEETGGFNDVMYQLYAITKNQKHLTMAHLFDKPCFLGPLGLHDDDISGLHVNTHVPVIVGAQKRYEVVGDQLYKEIATFFFDVVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNLLKVSRNLFRWTKEGKYTDHYERLLINGIMGNQRGKEPGVMIYFLPMGPGRSKSISGMPTSGLPPKNPGGWGNANATFWCCYGTGIESFSKLGDSIYFLEEGEIPGLYIIQYIPSTFDWKAAGLTVKQQAKPLSSTDSHFEVSIFISSKGDARPANVNVRIPSWTSVDGAIATLNGQKLNLTSAGGFLSVTKLWGDDTLSLKFPITFRTEPIKDDRPEYSSIQAVLFGPHLLAGLTHGNQTVKTSNDSNSGLTPGVWEVNATHAAAAVAVAGWVTPVSQSLNSQLVTLTQRDGDAQAAAAFVLSVSIADGALTMQESPVAGSDACVHATFRAYHSPSGASAIDAATGRLQGRDVALEPFDRPGMAVTDALSVGWPGPATRFNAVAGLDGLPGTVSLELATRPGCFVAAPTTAYLAGAKAQVSCRKPTAAAGGEDDDDTAFRRAASFTQAAPLRLYHPLSFSATGTDRNFLLEPLQSLQDEFYTVYFNVLTK >ONIVA06G24700.1 pep chromosome:AWHD00000000:6:23450802:23456446:-1 gene:ONIVA06G24700 transcript:ONIVA06G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAAAAVVMVVAVVAVAVAKECTNIPTQLSSHTVRARLQSSSAAEWRWREEYFHGDHLNPTDEAAWMDLMPLAAASASEFDWAMLYRSLKGAAVAGDEGGGGGGGGFGFLEEVSLHDVRLDMDGGGDGVYGRAQQTNLEYLLLLEVDRLVWSFRTQAGLPALGKPYGGWEGPDVELRGHFVGHYLSAAAKMWASTHNGTLAGKMAAVVDALHDCQAAAGTGYLSAFPAEFFDRFEAIRPVWAPYYTIHKARNATQSICISTMAMNLICSCKCLNEIMQGLLDQHTVAGNGRALGMVVAMADYFAGRVRSVIQRYTIERHWTSLNEETGGMNDVLYQLYTITKDQRHLVLAHLFDKPCFLGLLAVQADSLSGFHANTHIPVVIGGQMRYEVTGDPLYKEIATFFMDIVNSSHSYATGGTSVSEFWSNPKHLAEALTTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKAVSYHGWGTQYNSFWCCYGTGIESFSKLGDSIYFEQKGDKPGLYIIQYIPSTFNWRTAGLTVTQQVKPLSSSDQYLQVSLSISAAKTNGQYATLNVRIPSWTSMNGAKATLNDKDLQLASPGTFLTISKQWDSGDHLLLQFPINLRTEAIKDDRPQVASLNAILFGPFLLAGLTTGDWDAKTGGAATAASDWITPVPASYNSQLVTLTQESGGKTMLLSTVNDTSLAMLERPEGAGGTDAAVRATFRVVPPGSRAELRQRAGAGAGEGAARLKVAAATIEPFGLPGTAVSNGLAVVRAGNSSSTLFNVVPGLDGKPGSVSLELGSKPGCFLVAGAGAKVHVGCRTRGGAAAAAAAGFEQAASFAQAEPLRRYHAISFFASGVRRSFLLEPLFTLRDEFYTIYFNLAA >ONIVA06G24690.1 pep chromosome:AWHD00000000:6:23447460:23449130:1 gene:ONIVA06G24690 transcript:ONIVA06G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKETGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGGDSGKEPIIAGHAEVAVAQVEVKTLVAQPAEIAGPSEGVTVNPKGREGPNRCSTCRKRVGLTGFNCRCGNLYCATHRYSDKHDCQFDYRTAARDAIAKANPVVKAEKLDKI >ONIVA06G24690.2 pep chromosome:AWHD00000000:6:23447250:23449130:1 gene:ONIVA06G24690 transcript:ONIVA06G24690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVMQGSPERNRAEEKKKKRASPSSKEAMEHKETGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGGDSGKEPIIAGHAEVAVAQVEVKTLVAQPAEIAGPSEGVTVNPKGREGPNRCSTCRKRVGLTGFNCRCGNLYCATHRYSDKHDCQFDYRTAARDAIAKANPVVKAEKLDKI >ONIVA06G24680.1 pep chromosome:AWHD00000000:6:23423557:23439539:1 gene:ONIVA06G24680 transcript:ONIVA06G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRXXXXAPRGGGRWCTGADGAEARGEAASPERSARGSWSVLAVEYTQSPEEEDAEETNDDEEAAAELELTLAVGTAASVKKRYNRNKHHSPGQSFSSSSSTEYDVLVTSVRDWQAHHSGATTDADAASPPPASSPLSRRVRERKEELLASPAAGRPSASAPRPPAARRRLRAHPPLAAIPAAVLCSLLAARTRLRPHRLPSSRIERRVANLFCASLLEEKRVKRRGVYKGRRVSRTRGARVEAVVALAFKTAVTDDPSGALPTTRAGGPA >ONIVA06G24670.1 pep chromosome:AWHD00000000:6:23413027:23416552:1 gene:ONIVA06G24670 transcript:ONIVA06G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPYTNFLRGYHHHHRSHHPLHFPPPPPPPPPPYAASFSGLYSSYLHPPPPPSSPPIREALPLLSLTPSTTHDDDHHHRRHDQDHHHHHKQGQEKNSHGGGGAASCSNNDGKRESPSAAAAADDQAAEVTVALHIGLPSPSPSDAAAAAGNQAAAAAAAAEASAAGGGSSRMQVEEEGGEEEDEDEAAATATLPLGCASIGIGKLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAACGIDSFDDLDADDDPSSDLDHASASASASASRVG >ONIVA06G24660.1 pep chromosome:AWHD00000000:6:23372510:23388125:1 gene:ONIVA06G24660 transcript:ONIVA06G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase A1 [Source:Projected from Arabidopsis thaliana (AT3G57660) TAIR;Acc:AT3G57660] MADVRPDEAASEEVNSIHFSFYNDDEIKRISVKQITKSDRVDAKNCPVPGGLLDPAMGPMNDTDTCKSCGQQSIRCPGHFGHIELAKPLFNPLLFMSLKNLLQVTCFHCHKFRLNKEQVDRYTNELELLVRGDIAHAKNLEDLGGKVLSKEDDETEATSGDKSARSERENKTWTSIQLKEALSIFSKLMKKRQKKCAHCEKKNPIIKNPIYGWLIKDTTSSSVRANAIANAKLSGDGHVNDSRETGVSGLDEELTSPGTLSRRSTNETRRISDDTIKEMVASSGKKHLLTTENTLSVSEKRRGYEMFFLKNLLVAPNRFRPSISSSLGIMEHPQNVLLSKVQESNLALQQSIAASNHMEVLRRWMDLQRNVNVLYDSTKNEKNANGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNARKLQEAINNGADIHPGATHYRDNNNMYKLQAAPPKRRAIAKMLPASRGSISQPGKDPKCEFESKVVYRHLQDGDVVLVNRQPTLHKPSMMAHVVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRSGDAVRGLIQDHIIGAVLLTKLDTLLSREEYNQLVYGSVLSSTRRSGQFGKKISIIMDDDALEPVPPAIWKPKPLWTGKQVITTILNHVTKGRPPFTVEKKGRIEKEYLIPEERNGDKVKTINPSEQVLYVHDNELIKGMIDKAQFGNYGIVHTVHELYGPETAGVLLSSFSRLFTMVLQLHGFTCGVDDLLLSQESDMTREEILGKSEKHSKIVHINFTRPKKDDKAEAKAEDIRPKEEGDEAEDTRPKEDHEAEDSTHPKEDHEAEDSTHPKEDHEAEGDDEDQMKLQMEVEKIIRRNGESATVILDRNMSSELNTLTSKVNKKVFPYGLRKPFPGNCLSLMTQTGAKGGLVNMTQISSLLGQQELEGKRVPRMISGKTLPCFPPWDTSSRAGGFIGDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKSLESLKVSYDHTVRDVDGSIIQFCYGEDGVDVLKTSFLDDKFRELSDNRRALLGKLDSHNDKHLLLNPNGYISELPEKLIENAMEFLKSKRNEKGRYDIKEKELMKLLKVKYISSLVDPGEAVGVVAAQSIGEPSTQMTDDDEEEMDDKLKKARDAERLAAKLRTIDDAERIAAKLRRVRVADIVERIEVCTVPFHNNNGCVSTLYKLQLKLYPQGLYPRQSELTVEECHETLRTVFIDAMDLAISKHLDLLHKINEIQAVKSNDMESQRSDGVEESENGPTDEDNGVSDGENEDDLGADAEKWKRQEIDEMEYDDDAEKEEGFDMDSESEEDTKSKPESEGHQAKLDEELEESEEGHVLDSSNKGENLKAKQATARLEDEMNEAEDEKAQVTIKFKKNIKWTIHYESTGLNFEVHYALQEQPHILLAQIAQRTARSVFVKACKNIDRCEVNKPKKIDNNTINTPITLQTAGVNFEVFHKLVDYLDINEVRSNDIHAMLNTYGVEAARATIIEEVKGVFGAYGIHVDMRHLNLIADFMTFDGGYRPMSRLGMGQFSTSPFGKMTFETATKFIVEAASHGESDTLDGPSASVCLGKPVKVGTGSFGLLQNFSLEQPVAM >ONIVA06G24660.2 pep chromosome:AWHD00000000:6:23372510:23388125:1 gene:ONIVA06G24660 transcript:ONIVA06G24660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase A1 [Source:Projected from Arabidopsis thaliana (AT3G57660) TAIR;Acc:AT3G57660] MADVRPDEAASEEVNSIHFSFYNDDEIKRISVKQITKSDRVDAKNCPVPGGLLDPAMGPMNDTDTCKSCGQQSIRCPGHFGHIELAKPLFNPLLFMSLKNLLQVTCFHCHKFRLNKEQVDRYTNELELLVRGDIAHAKNLEDLGGKVLSKEDDETEATSGDKSARSERENKTWTSIQLKEALSIFSKLMKKRQKKCAHCEKKNPIIKNPIYGWLIKDTTSSSVRANAIANAKLSGDGHVNDSRETGVSGLDEELTSPGTLSRRSTNETRRISDDTIKEMVASSGKKHLLTTENTLSVSEKRRGYEMFFLKNLLVAPNRFRPSISSSLGIMEHPQNVLLSKVQESNLALQQSIAASNHMEVLRRWMDLQRNVNVLYDSTKNEKNANGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNARKLQEAINNGADIHPGATHYRDNNNMYKLQAAPPKRRAIAKMLPASRGSISQPGKDPKCEFESKVVYRHLQDGDVVLVNRQPTLHKPSMMAHVVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRSGDAVRGLIQDHIIGAVLLTKLDTLLSREEYNQLVYGSVLSSTRRSGQFGKKISIIMDDDALEPVPPAIWKPKPLWTGKQVITTILNHVTKGRPPFTVEKKGRIEKEYLIPEERNGDKVKTINPSEQVLYVHDNELIKGMIDKAQFGNYGIVHTVHELYGPETAGVLLSSFSRLFTMVLQLHGFTCGVDDLLLSQESDMTREEILGKSEKHSKIVHINFTRPKKDDKAEAKAEDIRPKEGDEAEDTRPKEGDEAEDTRPKEDHEAEDSTHPKEDHEAEDSTHPKEDHEAEGDDEDQMKLQMEVEKIIRRNGESATVILDRNMSSELNTLTSKVNKKVFPYGLRKPFPGNCLSLMTQTGAKGGLVNMTQISSLLGQQELEGKRVPRMISGKTLPCFPPWDTSSRAGGFIGDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKSLESLKVSYDHTVRDVDGSIIQFCYGEDGVDVLKTSFLDDKFRELSDNRRALLGKLDSHNDKHLLLNPNGYISELPEKLIENAMEFLKSKRNEKGRYDIKEKELMKLLKVKYISSLVDPGEAVGVVAAQSIGEPSTQMTDDDEEEMDDKLKKARDAERLAAKLRTIDDAERIAAKLRRVRVADIVERIEVCTVPFHNNNGCVSTLYKLQLKLYPQGLYPRQSELTVEECHETLRTVFIDAMDLAISKHLDLLHKINEIQAVKSNDMESQRSDGVEESENGPTDEDNGVSDGENEDDLGADAEKWKRQEIDEMEYDDDAEKEEGFDMDSESEEDTKSKPESEGHQAKLDEELEESEEGHVLDSSNKGENLKAKQATARLEDEMNEAEDEKAQVTIKFKKNIKWTIHYESTGLNFEVHYALQEQPHILLAQIAQRTARSVFVKACKNIDRCEVNKPKKIDNNTINTPITLQTAGVNFEVFHKLVDYLDINEVRSNDIHAMLNTYGVEAARATIIEEVKGVFGAYGIHVDMRHLNLIADFMTFDGGYRPMSRLGMGQFSTSPFGKMTFETATKFIVEAASHGESDTLDGPSASVCLGKPVKVGTGSFGLLQNFSLEQPVAM >ONIVA06G24650.1 pep chromosome:AWHD00000000:6:23360019:23366021:-1 gene:ONIVA06G24650 transcript:ONIVA06G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system P protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HTF1] MERARRLANRALLRRLLAAATAESPAAPSRGISTLAKGSRPRAPPRPAPHQYTTGRRPVSASALQPSDTFPRRHNSATPAEQAAMASECGFGTVDALIDATVPAAIRAPEMRFSGRFDAGFTESEMIEHMQRLAAMNRAYKSFIGMGYYNTHVPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAIGDVCGVLVQYPGTEGEVLDYAEFVRDAHAHGVKVVMATDLLALTSLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDATTITVAFDETTTLEDVDKLFKVFNGGKPVNFTAESLVSEVSSSIPSSLVRKSPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPNFANMHPFAPTDQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICMIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVITTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMTKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIESGKADVNNNVLKSAPHPPQLLMSDSWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQGSQVAEEAAAATA >ONIVA06G24640.1 pep chromosome:AWHD00000000:6:23350379:23354815:-1 gene:ONIVA06G24640 transcript:ONIVA06G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREPQDLRLGERDLLEEMSMNEEVEDGEVKPLGRVESMDETPGEVAKLRCRHGARGAAVVASAWRGSNTGSFGLIRSYITITFRGFRPPRLARLIRSSSSPCSKTCRLITPESIIATISHRSTMNGIELSSEDKAILVETLKNKLQALAEQHVDVLESLAPSVRKRVDVLMEIQSQHDELEAKFFEEKAALEAKYQKLYGPLYSKRSKIVSGVLEVEGETEEREEKGVPDFWLNAMKNNEILAEEIHESDEEALKYLKDIKWCRIDDPKGFKFEFFFYTNPFFKNQVLTKTYHMIDEDDEPILEKAIGTEIEWHPGYCLTQEVLTKESSESTKPITKTEECESFFNFFSPPQVPDDDAKIDENTAEELQNQMERDYDIASTLRDKIIPHAVSWFTREAVQDEDYGASWVDDEEEDDNDDEYSDEEA >ONIVA06G24630.1 pep chromosome:AWHD00000000:6:23348580:23349113:1 gene:ONIVA06G24630 transcript:ONIVA06G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCIYASVNLEFRGLPLSHSVHAEQFLVVNAAAVGESKLCAIAISHMPCGHCRQFLQEIRGAGGIRIIVTSSDAKWRTVSSLLPRPFGPHDLLPKHVPLVLEPHNSPLVGNPATAVITNGFANGDLEARLREAAEAAARAAHTPYSECSSRFAVADGEGRVYAGGYAWSPRRIIRH >ONIVA06G24620.1 pep chromosome:AWHD00000000:6:23344149:23346557:1 gene:ONIVA06G24620 transcript:ONIVA06G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRNHDAAMVLFFFLLMVTTYANAHGHSKKPEEITQGVYGAAAAVAAGPGGTFDITNLGAVGNGRADSTGAVMAAWRSACAGAGKQTILIPKGDFMTGAMELRGPCNGAVTIQLDGNLLGSNDLSKYPGKKMPNWVEVRHVDNFVISGKGKLDGQGPGVWSKNSCAKNYNCKLLPNTLVLNTVNNGVVSGITLLNAKFFHMNIYRCKDIKISGVTISAPGDSPNTDGIHMGDSSKITIAATTIGTGDDCISIGPGTDGVNITGVTCGPGHGISIGSLGRYKDERDVRDVSVTRCVLRKTTNGLRIKSYEDSVSPVTVSKVSYDGVVMDHVDNPIIIDQKYCPNSICTSKGDSKVSVRDVTFRNITGSSNTPAVVQLLCSGKLPCSGVAMQDVRVLYGGSDKKTTAVCDHALGKSTGCLKELACL >ONIVA06G24610.1 pep chromosome:AWHD00000000:6:23338768:23343389:1 gene:ONIVA06G24610 transcript:ONIVA06G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVRLAAQGLGATGATCTARQLVFDVRDFGAVADGQTDNSKAFERAWAKACAAPGRAAVVVPAAGGGGGGGGGYLLHPVVFRGPCKGFVEVRVAGVVRAPAGLDAFRGYHEWINFAGIDGLLVTGGGTFDGRGASSWHLNDCPWKPDCVPPPSSIKLGSVRNATITGVTSLDSKFFHVTIVGSHDVEVSHVSIRAPRDSPNTDGVHIQGSTGVRITDTAVATGDDCVSVGPGSADVTVSGMSCGPGHGISVGSLGRSPGEADVRRLRVSNCTIAGTANGVRIKTWRGGQWSSAAAAVSGLVFEDIVMRRERRPSVVRISDVKFRNIRGVSATQVAVKLSCSAASPCRGVELRDIDLRYVRRGVATVSRCANVAGGVAGGTLVPPPCI >ONIVA06G24600.1 pep chromosome:AWHD00000000:6:23333705:23335292:-1 gene:ONIVA06G24600 transcript:ONIVA06G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVQQVVPAAAVHDDNNGRDVHDTPNTKVAGEEEEGAPLAVAEDELHNNGGPNSKEQEIVVITKEQEAAAITVVVDDTADGDGGGGGHDIAHEVEAKLAVETPPAAADAKEAEPEEEGGGGRRRVQAKKTTEKAASKAAIVPVNDDDDDDQAHEDVVVAVPVAAEHQETAEAAGEEEEAPEDKEEDACEKSKVHEE >ONIVA06G24590.1 pep chromosome:AWHD00000000:6:23331173:23333020:-1 gene:ONIVA06G24590 transcript:ONIVA06G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03540) TAIR;Acc:AT1G03540] MPPSAPAHLAVLRLLDSGDLAAAARLAAAGAGPSSSSSPSPVSLAAVLLRHPPPRLGCCLHGRAARAGLLADRYLANALLAFYVRLPRHLPHALRAFDDLPRRDVVAHSSILAAFLRAGMPRRALASLRDMLAGADDDVSPNAHALSAAVKACAVLRDRNAGACLHGSVLVRGFGDDGVVLSSLVDMYGHVAAPGDARKVFEEMRAPDGICYTSLISAFVRNDWFEEAVRWFRSMLMMNGVRPDGCTFGSMMTALGNSKRGSQGRQAHAQVVTRGLCGNVIVESSTLDMYAKCGLMVEAHKVFDRMQVRNEVSRCALLGGYCQNGEYEKVIALFREMDKEDGDWYSLGTVLRACAGLSSVKPGKEIHCRFLRMAGWRDVVVESALVDLYAKCGAVDYAYSVFEASTVRNTITWNAMIGGFAQNGHGERAINLFNRMVREGPRPDYISFIGVLFACSHTGMVEQGRNYFNSMCKDYGIAPGIEHYNCMVDLFSRVELLEEAEDLINKSPFRNDSSLWADILGASATHSNPDVAERVSKKMMELEPQYHLSYILLENVYRTVGRWEDALEIRRLMESRKVKKEPGMSWVDANRSKLHVCNSNEEVSELVTSMEMDIS >ONIVA06G24580.1 pep chromosome:AWHD00000000:6:23324481:23330787:-1 gene:ONIVA06G24580 transcript:ONIVA06G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELSDKLSTTIGFFHGNSGDTRLAYLSGITICAGLIDLRRATRKFHLPELDEAESNRGSADHKRRWSSLRLYLCGDEISAAAEDENDDDDDGTVSVKSFETCAMPQEPQAAALTVARPANGVDGVADADGHPEEHGSMSIPIKDIAPPTAAEPATDSQVEAATMIQSVFRGFMARRQLQKLKCSENGCCTTDEPRSPTTASIAASVEVQVGESLSNLRLSDDSAAAAATSAQHRSSQRSRPQAFRVKEEWDDSTVSSNVSRMRMQSRIEATTRRERALAYAFSQQLRSCGGGGGGTTKKRAARSDQAEFNVGWSWLERWMATRQASSEASADDCMSKNAADAGSTAAAAGGRRVIVVRRRHDLGASAGEEKESCGSNDVSVVSFDGSSGSLSCYKPGSKSRLRGGGRSLPRRKVASSDHRLHARSHKVSKKVHRRDQEQEREEAAAEAYDGNQPPTDY >ONIVA06G24580.2 pep chromosome:AWHD00000000:6:23324481:23326451:-1 gene:ONIVA06G24580 transcript:ONIVA06G24580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGGIVRRVFSKSPCSSAGGGGRGCHNERGSADHKRRWSSLRLYLCGDEISAAAEDENDDDDDGTVSVKSFETCAMPQEPQAAALTVARPANGVDGVADADGHPEEHGSMSIPIKDIAPPTAAEPATDSQVEAATMIQSVFRGFMARRQLQKLKCSENGCCTTDEPRSPTTASIAASVEVQVGESLSNLRLSDDSAAAAATSAQHRSSQRSRPQAFRVKEEWDDSTVSSNVSRMRMQSRIEATTRRERALAYAFSQQLRSCGGGGGGTTKKRAARSDQAEFNVGWSWLERWMATRQASSEASADDCMSKNAADAGSTAAAAGGRRVIVVRRRHDLGASAGEEKESCGSNDVSVVSFDGSSGSLSCYKPGSKSRLRGGGRSLPRRKVASSDHRLHARSHKVSKKVHRRDQEQEREEAAAEAYDGNQPPTDY >ONIVA06G24580.3 pep chromosome:AWHD00000000:6:23327180:23330787:-1 gene:ONIVA06G24580 transcript:ONIVA06G24580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELSDKLSTTIGFFHGNSGDTRLAYLSGITICAGLIDLRRATRKFHLPELDEAESNRNTVKMH >ONIVA06G24570.1 pep chromosome:AWHD00000000:6:23319474:23327582:1 gene:ONIVA06G24570 transcript:ONIVA06G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein MAP65-1a [Source:UniProtKB/TrEMBL;Acc:C0J9Z7] MGVAGHNDPLIGETTCGSLLQQLQLIWDEVGESDEDRDKMLLQLEQECLDVYRRKVDQASNSRARLLQQLANAKSELSRLLCALGELSISSIPDKTTGTIKEQLEAISPFLEKLCREKDKRVREFADVQLQIQTIRGEIAGSLQVGDHMETPRVNEDDLSTKKLNEFLSELQALQKEKSNRLHKILDFVSSVHDLCSVLGMDFLSTVTEVHPSLNDSVGAESKSISDATLSKLSKMVIQLKEEKSKRLERIQALASQLTDLWNLMDTSADERQLFDHITCNISSTLDEVTAPGALDIDLIEQAELEVERLDQLKASRMKDIAFKRQTELEDIYAQAHITIDTSAARDRILTVIDSSIFEPSELLADMENQILKAKEEALSRKDILEKVERWMSACEEESWLEDYSQDDNRYSATRGAHLNLKRAEKARLLVSKIPVIVDTLMAKTRAWEQEHGMPFSYDGVHLLAMLDEYKVLRQQKEEEKRRMRDQKKINDQLAAEQEKLFGSKPSPARPQSSRKAPGPRANGGAVNGTPNRRLLAHQNGGGRSVSRDGRRDSGRPAAPVNYVAICKEESSNNNPAASSP >ONIVA06G24560.1 pep chromosome:AWHD00000000:6:23316083:23317220:-1 gene:ONIVA06G24560 transcript:ONIVA06G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEPPTASPDLSPPNPAPSPAAASSPLACGLAAVASSCCRHRLWLWARRHRLFPPPPCPLLTLAATLVAHENTLIFYLSLPYSSAIDVCWPRSAAWASDAARRGAVVCEAGRLARESNQDRRCLASAGAARALATAIADSSVSSVLLDDVLAARVLVMPLDEEAIGSSTASVALLANVAKHGDLQSRLRAAVNVREIVVLSSCCSRNGGATTAIDLSDHHRH >ONIVA06G24550.1 pep chromosome:AWHD00000000:6:23310247:23315746:1 gene:ONIVA06G24550 transcript:ONIVA06G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase nepenthesin-1 [Source:UniProtKB/TrEMBL;Acc:C0J9Z6] MAAAAARCAGHGGGGFLLRRRLLAAAAFLAAFGLCAAAADDAATGRGQGHDHVMLSVEDMFPDSSSSSPSCDAPPRDHRHDATSSTTRMTIVHRHGPCSPLAAAHGEPPSHGEILAADQSRAESIQHRVSTTTTDRVNPKRSRHRQQQPPSAPAPAASLSSSTASLPASPGRALGTGNYVVTVGLGTPASRYTVVFDTGSDTTWVQCQPCVVACYEQREKLFDPASSSTYANVSCAAPACSDLDVSGCSGGHCLYGVQYGDGSYSIGFFAMDTLTLSSYDAVKGFRFGCGERNDGLFGEAAGLLGLGRGKTSLPVQTYGKYGGVFAHCLPARSTGTGYLDFGAGSPPATTTTPMLTGNGPTFYYVGMTGIRVGGRLLPIAPSVFAAAGTIVDSGTVITRLPPAAYSSLRSAFAAAMAARGYRKAAAVSLLDTCYDFTGMSQVAIPTVSLLFQGGAALDVDASGIMYTVSASQVCLAFAGNEDGGDVGIVGNTQLKTFGVAYDIGKKVVGFSPGAC >ONIVA06G24540.1 pep chromosome:AWHD00000000:6:23295081:23301086:-1 gene:ONIVA06G24540 transcript:ONIVA06G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HTD7] MEESLITHTPTWVVAVVCFAIVSFLKHKDQKALYSALERLKEELMLLGFISFVLSLSEGFIVGICVSENAMHLMLPCKKESYQLSEGVKLCKKKGEVPLLSVEALHQLHIFIFILGLVHVVFCATTILLGGAKIRKWKLWETEIQQEMQQKLQQKDATPGRIAHNQQGEFVSERTKGLWMKLAVVSWIIAFFKQFHDSVSKSDYKALRSAFGLKHFPSHPSFNFYKYLIRALEHDFKRVVGIRMAYILLLLLVVGAKLEHIITRLAQEAAAVASLSHGTERTPYVKPSKEHFWFGRPEIVLNLIHFILFQNSFEIGFFIWVLVTFGFDSCIMEKKVYAISRLVIGVLIQVICSYMDGGIKLQGIGSGLHESVAGWALDARRKKEEQQSSHGGATTGATEGSNYRSDHFGASPRSALAPPPPPSPDLVEIVSVAAADDDGDDSRHRR >ONIVA06G24540.2 pep chromosome:AWHD00000000:6:23295081:23301086:-1 gene:ONIVA06G24540 transcript:ONIVA06G24540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HTD7] MEESLITHTPTWVVAVVCFAIVSFLKHKDQKALYSALERLKEELMLLGFISFVLSLSEGFIVGICVSENAMHLMLPCKKESYQLSEGVKLCKKKGEVPLLSVEALHQLHIFIFILGLVHVVFCATTILLGGAKIRKWKLWETEIQQEMQQKLQQKDATPGRIAHNQQGEFVSERTKGLWMKLAVVSWIIAFFKQFHDSVSKSDYKALRSAFGLDSESGISSLMEQGWHTYFWLAFLPLFLLLVVGAKLEHIITRLAQEAAAVASLSHGTERTPYVKPSKEHFWFGRPEIVLNLIHFILFQNSFEIGFFIWVLVTFGFDSCIMEKKVYAISRLVIGVLIQVICSYMDGGIKLQGIGSGLHESVAGWALDARRKKEEQQSSHGGATTGATEGSNYRSDHFGASPRSALAPPPPPSPDLVEIVSVAAADDDGDDSRHRR >ONIVA06G24530.1 pep chromosome:AWHD00000000:6:23277543:23279073:1 gene:ONIVA06G24530 transcript:ONIVA06G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQGSCNCKPRCWTCTLALPIKPALLRCSLSSSPLAAAAATFSGSSPSFSGGGGGDDDGYGGGDGMLRSLHSSSSSDTDNNSGGCKNNGGGGGEAAAAVEGGGDQRAVAAAAPSTRDLLLACADLLQRGDLPAARRAAEIVLAAAASPRGDAADRLAYHFARALALRVDAKAGHGHVVVGGGAARPASSGAYLAFNQIAPFLRFAHLTANQAILEAVDGARRVHILDLDAVHGVQWPPLLQAIAERADPALGPPEVRVTGAGADRDTLLRTGNRLRAFARSIHLPFHFTPLLLSCATTAPHHVAGTSTGAAATASTAAAATGLEFHPDETLAVNCVMFLHNLAGHDELAAFLKWVKAMSPAVVTIAEREAGGGGGGGDHIDDLPRRVGVAMDHYSAVFEALEATVPPGSRERLAVEQEVLGREIEAAVGPSGGRWWRGIERWGGAARAAGFAARPLSAFAVSQARLLLRLHYPSEGYLVQEARGACFLGWQTRPLLSVSAWQPSSS >ONIVA06G24520.1 pep chromosome:AWHD00000000:6:23273950:23277420:1 gene:ONIVA06G24520 transcript:ONIVA06G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWKQGISACCGCMTQMHMSLLVGNDGVQVEVMIDCLIWGLRHSIQGCPMPCPHIHSQLVELSPSPPLPSLQYSICLLMCCIKMPLIYLEAIINEDPTKGQNMRWLESWLGLHSEARERL >ONIVA06G24500.1 pep chromosome:AWHD00000000:6:23260282:23262120:-1 gene:ONIVA06G24500 transcript:ONIVA06G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSYRPGDDDGPERWGHIRRDWAACSFGFGRRQSPIRLSAAAASPPAAAAATTAAASLVNRGHDIMVRFDGDAGGVVVDGEAYALRQMHWHSPSEHAVDGRRYDLELHMLHQSETRNGRYAVVAQLFDIGHRRDATLDMVRRVSRQQVELLCEKSSTM >ONIVA06G24490.1 pep chromosome:AWHD00000000:6:23259545:23259889:1 gene:ONIVA06G24490 transcript:ONIVA06G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRKEPETAAAEEDPSDWIGAVASVFRMLGEMREREKREEEELREERELAAWVAATRAESYARFNMRLPTPEEEAAFARDHAHEIDLSVLRPEDYGESKRRVGNDGILRRLD >ONIVA06G24480.1 pep chromosome:AWHD00000000:6:23255457:23258677:1 gene:ONIVA06G24480 transcript:ONIVA06G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLKSQIMVYQEGGVLQASARKNPFRLRCIFFVLSPPIPISASRDPELFLASPIAAPLSGEARIEPPPSAMADPVELGAPPSPEPLSPLRELIDVPTVVYVADEDPKFAALADQAYAGFPFLFVTMSLKALAYKRMDLNELDEEHSAHYLRLRFENQLQDAMEGMAAGGEEMRVALARMETLKKSVQFHFRRMQYAAHELRKVVLKEEEMYKKLVTLTN >ONIVA06G24480.2 pep chromosome:AWHD00000000:6:23255457:23258148:1 gene:ONIVA06G24480 transcript:ONIVA06G24480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLKSQIMVYQEGGVLQASARKNPFRLRCIFFVLSPPIPISASRDPELFLASPIAAPLSGEARIEPPPSAMADPVELGAPPSPEPLSPLRELIDVPTVVYVADEDPKFAALADQAYAGFPFLFVTMSLKALAYKRMDLNELDEEHSAHYLRLRFENQLQDAMEGMAAGGEEMRVALARMETLKKSVQFHFRRMQYAAHELRKVVLKEEEMYKKLVTLTN >ONIVA06G24470.1 pep chromosome:AWHD00000000:6:23250148:23254957:1 gene:ONIVA06G24470 transcript:ONIVA06G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGAYRSAGRRRDAFAAAAEDAGSATTRGRAAAAGGSGGLLRRSRSLSRFPPPSPSPEDAATPSSRFVNKVRGGGRGGAGLPPEISLDDLADEFFRARAESEDDDDEEEAVVVVRGEESRGRLRFPAPAEKGGGRRSSTARYARETESSRQRGRSVSRPPAERRGGATAVANGGAAAAGRQRYASVDRRASMDQHRWCDSDNDMDISHRYGSRGINTKSSNNSLQNSSFHKTAKVNQSLRKSTSQKDFLHSRDSSSSHSSITDDEFRDSFHSRNQKGIRAVYTLEKDRLSNNEDENALYDVMRKEVRQAVDEIRTQLEKVVTKSEPSEKATSADAQPTQVINELRRSYTSKLEESEMRKQELLAQLAAEEQRGHELTKIVKELLPTPKKNMNSERQPRYRRRSNDRARVSRRLIEEAEQYFEDFLSNVEDTDFSSFDGERSDTSSSRRDVVQNTKIETPIALPKVASPVEADGVVLPWLQWETSNDLQTSPCKPKTQGASTACSTSSRTMSSRGSWSPGDHDSAAGSKDTLLTRFEEAASRRSSCPDNTQRSSFHIDDYMHLRRSHDLLLERWRQKERIGDGGLILCSRSSIM >ONIVA06G24460.1 pep chromosome:AWHD00000000:6:23239561:23243713:-1 gene:ONIVA06G24460 transcript:ONIVA06G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRVQSRSVSVGTGVYSCGKKLTNGRDQTLKCSHYVPAVIPDNTALPCVIYCHGNSGCRADANEAAVILLPSNITLFTLDFAGSGLSGGEYVSLGWHEDLKCAVSFLRNNKEVSCIGLWGRSMGAVTSLLYGAEDPSIAGLVLDSAFSNLYDLMMELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLDVVQFAPKTFIPALFGHASNDMFIQPHHTDRIHQAYAGDKNLIKFDGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLDKYYNLGAFKVGAGTNESLLYEIINGLRAAGPDAGSSSAAAANFTNATKSVVELLTERVNQLSIKTDNDLDFLLDENHNLTEMDTHTAESHLEDKSHRQNEECCSYTSSNRESWGRCSSLGAASDGSSLGERPEIPSHKHKSMTLRALATPLRRIRRKPLAIPKERKNRSLWKRLKQERQEMGESLTQRFRLCLQGQAQHKRTKSS >ONIVA06G24450.1 pep chromosome:AWHD00000000:6:23236379:23237297:-1 gene:ONIVA06G24450 transcript:ONIVA06G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRIGTCRRCAALISRLVESNNKSLPLSLSLAASARPAEREEEFGTLCPRSKLPPIPPCPSFAADSDLGRRRRRNTGKAKIKVQLLFGACPARTAE >ONIVA06G24440.1 pep chromosome:AWHD00000000:6:23234848:23236371:-1 gene:ONIVA06G24440 transcript:ONIVA06G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium ion binding protein [Source:UniProtKB/TrEMBL;Acc:C0J9Y7] MGGILGRHDTMKRSSHGSKLEMKMVESMQQRASHGTSLKSFDSIIMKFPKIDESLRKCKIIFEQFDEDSNGEIDKQELKHCFQKLEISFTEEEINDLFEACDINEDMGMKFNEFIVFLCLIYLLNEPAVSEAKIKMGLGNLEATFETLVDAFVFLDKNKDGYVSKEEMVQSMNETATGERSSGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWVGIDENEDDNE >ONIVA06G24430.1 pep chromosome:AWHD00000000:6:23230085:23237284:1 gene:ONIVA06G24430 transcript:ONIVA06G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPKPFSSIVLAHNDPVSHNQQIERINNNVVSNSGGNSSNSNFAARQRLRWTDDLHDRFVDAVTQLGGPDRATPKGILRIMGVQGLTIYHVKSHLQKYRLAKYIPDPTADGAKSDKKDLGDLLADIESSSGMEIGEALKLQMEVQRQLQLRIEAQGRYLQKIIEEQQRLSGVLGESGKLGALGPAPGEPYQDSNKTDPSTPVPTSESPIRDKAESGLFKTISSHDDCREPLTPDSSCRAGSPLESPPRASKRIRVSSDIDHRGNNEFPPPLKVPEPSSGSDFRQESSVLLSSSAVHFDSLESLDADENVFTNGSGSDD >ONIVA06G24420.1 pep chromosome:AWHD00000000:6:23219881:23229452:1 gene:ONIVA06G24420 transcript:ONIVA06G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTAMSARSMSTRLELLVVFVFIVAPALAATKPSYIVYLGGRHSHGDDGGIISPEEAHRTAAESHYDLLGSVLGDREKARDAIFYLYTKNINGFAARLEAEEAAAVAERPGVVSVFPDRGRRMHTTRSWQFLGLERPDGSVPPWSPWEAARYGQNIIIGNLDSGVWPESLSFNDRELGPIPNYWKGACRNEHDKTFKCNSKLIGARYFNNGYAKVIGVPLNDTHKTPRDANGHGTHTLATAGGSAVRGAEAFGLGGGTARGGSPRARVAAYRVCYPPFNGSDACYDSDILAAFEAAIADGVHVISASVGADPNDYLEDAIAIGALHAVKAGITVVCSASNFGPDPGTVTNVAPWILTVAASTMDRAFPAHLVFNRNRVEGQSLSPTWLRGKTFYTMISAANAAVPGYPPADALLCELGALDGKKVMGKIVVCMRGGNPRVEKGEEVSRAGGAAMILVNDEASGNDVIADAHVLPAVHINHADGHALLAYINSTKGAKAFITRAKTVVGVKPAPVMAAFSSQGPNTVNPEILKVRNFSWRELDVAPRAHESGTSMSCPQVSGVAGLIKTLHPDWSPAAIKSAIMTTGEKFSPHDAASPETTNASLFIRDLLRSNKNCGAGHVFPHRAMDPGLVYDLTVDDHLGFLCTIGYNATALALFNGAPFRCPDDPLDPLDFNYPSITAFDLAPAGPPATARRRVRNVGPPATYTAAVVREPEGVQVTVTPTTLTFESTGEVRTFWVKFAVRDPAPAANYAFGAIVWSDGNHQLDQEYSNSYQISYVYESSMSLTDKMRKLKELLHKSENRICADCSSPDPKWASANIGVFICLKCSGIHRSLGTHISKVLSVTLDEWTDDEINSMLEVGGNSYANAIYEAFLPGGYHKPHPDSSQEERADFIRSKYELQEFLKPSLRIVSNKSSLQAMDSRKDIGNASNSYSFKSEAGMVEFIGIIKVKVIRGTKLAVRDILSSDPYVVLTLGQQKAKTKVIKSNLNPVWNEVLTLSVPQKYGPLKLQVYDHDVLSRDDIMGEAEVDLQPMITAAMAFGDPGLLSDMQIGRWLMSRDNALARDSAVSVVGGRVKQEVSLRLQNVECGEVDLEVEWIALNQ >ONIVA06G24410.1 pep chromosome:AWHD00000000:6:23213807:23215215:-1 gene:ONIVA06G24410 transcript:ONIVA06G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGSAMRRLRDLLAPPGESELARMYRRCAAIEELHRRNAERSTKALNDWYELKAVLHAQGLRWSAAPAASGEEGTPAGSMAQRRRRQLKIGSSTAAHSIILPPFHNEEGEPAIREEDGGGHGKKLTRRRSFLVVIFGDGGGGSDTKDPPRRFTSWLSSILRRKRRPDAASATESLLPPPLDEAPNSLDAIPHSSRWFPSSSPARQLRRRYIGVGAIDDGISE >ONIVA06G24400.1 pep chromosome:AWHD00000000:6:23189619:23193754:-1 gene:ONIVA06G24400 transcript:ONIVA06G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDSKPSYSYSSSYDYGNSSSGYNSRYPAYPANASSSQNTRYAPSMENYVQPETHARLQRKYSRIGDDYRSLNQVTEALAQAGLESSNLIVGIDFTKSNEWTGKLSFNRRCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPMIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVKASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTDIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQRIQPRIPLPPPMRNAYSRSTSFDQHSGVYSRSSSFGPQTSGFQQSESFKQRQPVATTAPDTYTSESSLEGRLLCAICMDKSKDLAFGCGHQ >ONIVA06G24390.1 pep chromosome:AWHD00000000:6:23183867:23191466:1 gene:ONIVA06G24390 transcript:ONIVA06G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HTC2] MQAWFSGTGPSASSASSSSSPPQPSLLAEWNSYAAARSAEEEEDGGGGGFGIDIEAAVRSANDRVSGTFGVVSKGVLGLPGSFKSTTSSVPSSKSLVYFGLFLASGIFLVFIAFTIFLPVMVIMPQKFAICFTVGCAFIIGSFFALKGPKNQLYHMISKERLPFTMGFVGSMAATIYVSMVLHSYILSVFFSCLQVLALAYYAISYFPGGSAGMKFLSSALNLTGILGGMARLPYPGTDELIKTAKYIATPGKGILAADESTGTIGKRLASINVENVEPNRQALRELLFTTPGAFQYLSGVILFEETLYQSTAAGTPFVDVLKAGGVVPGIKVDKGTVDIAGTNGETTTQGLDSLGARCAKYYEAGARFAKWRAVLKIGAAGEPSELAVKQNAEGLARYALICQENGLVPIVEPEILTDGAHDIKTCAAVTERVLAAVYKSLNDHKVLLEGTLLKPNMVTPGSDSPKVGAEVIGEYTVAALRRTVPPAVPGIVFLSGGQSEEEASQNLNAMNKLEVLKPWTLTFSFGRALQQSTIKKWGGKKENVAAAQAAFLARCKANSEATLGKYGGAAGDAATSESLYVKGYTY >ONIVA06G24380.1 pep chromosome:AWHD00000000:6:23178210:23183750:1 gene:ONIVA06G24380 transcript:ONIVA06G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT2G19830) TAIR;Acc:AT2G19830] MPVTTPTTASSPLFPLPTTTAAGRRIASIPACEFLHLAAAAARDRSSPSASPPCSRLDSILSYPILSPNGRSGRRERPAMSGVFGKVFGKSKAQSQATALASIDKLSETLEMLEKKENLLVKKANLEVEKAKTFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMHKATNIDDVDKTMDEINDNMENMRQIQDLLSAPIGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTAPVRVQQPTRPSAQSSKTEDDELAALQAEMAM >ONIVA06G24370.1 pep chromosome:AWHD00000000:6:23167315:23172696:-1 gene:ONIVA06G24370 transcript:ONIVA06G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U5 small nuclear ribonucleoprotein component [Source:UniProtKB/TrEMBL;Acc:C0J9X8] MDDSLYDEFGNYIGPELADSDADDSDADASPSPSPSRSPSPSARSPSGSPSRPAALMDVDGGDDDDDADPSQSAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEQPIVAPPRVVRFEVGTRAEATSTYATTDFLLGLAANPALVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERRVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRIADGAVLVVDAAEGVMVNTERAIRHATQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGSAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDLYYHPDTRTFKKKPPKEGANRSFVEFVLEPLYKIYSQVVGESKGKVEATLSELGVTLSNAAYKLNVRPLLRLACRSIFGTSTGFTDMLVKHIPSVKDAAPRKIEHIYTGPQDSTIVDAMKKCDPHAPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVPISKAPAGSWVLIEGVDASIMKTATICPMKMDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAVTKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGLVSLDSRQKEITDFFRQRYQWDVLAARSIWAFGPEKQGPNILLDDTLSVEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYIEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYVVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKNIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMM >ONIVA06G24360.1 pep chromosome:AWHD00000000:6:23158715:23166250:-1 gene:ONIVA06G24360 transcript:ONIVA06G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGVVPAALPSPATEDETIARRRSRRVSFAEITAVHVFDRDEDFETPPEERAIAVGYPSPSPTPSLSPGKPAAEEGEETEGEEEEFLRPPFRFLNNGDVDSSSPGSAAGSLVSNDDEDFFGPVSRSFIQSGRPSDSGMSEDGNHDITLDSETFSMHYRNIAPPDDFSVNSVGSLRTPNSASTGPLKEQTGSGYGVKSCNSHDALTDMSLLADNPERYDYAKLSPTLSNLLQQVENVHELISPKNGTGTVTPDHSSALAACKKKNREEKSSIVNGISSSELDTIGSRKEHVPIRNSVPTSTDPIQEDNAMTVDVNEKSQVTSEDIPNTPKAVVQTFQIPQGSISSLRSKRRQLFSPITLSASNVVSQDASSLGSEFVKHSKRIVALADRLKFGLYESPATKIQEMPCNALMTDDQPSHECNSIQDLDLDRGGRKRSSSENGHAAQKRPQKISKPPRSPATSLKQLPCVSLSSSMMEENQSVTHGNQQSINVDWNKVASMVSNATSQVFSTSISKVKPQQLDMIEDMLGGIQRARNFKRLSTAVRIQDCGNDKQKRLAEARSLVDKLLYEKAKLQINHVKLEKLQNRAQVCKDGIQECRYLKSKISDQKGVPLDSTTLITASDRQEGLALITEKMHALDMIKKKVERASSSLESFCNTKGDISCDDFIKAAEQQLEMRNQCRIINQQARLWKLNDLVKRENKRDIVLNYCSLLFQRIVLNISDMSGIFVSNSLNGTKIGQAFPNLNASVAFNFVFKAEGTQRVSDLRSLQKMTTETSLLLGNLIDVLKEIKMAKLELLNLTAAAFDMASQTCQLALSLCFMSFKSGKRISFTIDMRDLNRAVYPSELLINVREAQTTVAQPSLDEFMSSLRDLQSGRLMILRLCRMGSQLIHELPS >ONIVA06G24350.1 pep chromosome:AWHD00000000:6:23155423:23155794:1 gene:ONIVA06G24350 transcript:ONIVA06G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLKRPMTMKKISVQKKSPSGKFLHILHASPTGKLSVTNSPEAPAVMMTTPTKHAAAAAAQSKQLLGSPRVASPSCLCSPTTHAGSFRCRLHRGAGGGAAAAGLAGSIGCGCGEMDKKPGV >ONIVA06G24340.1 pep chromosome:AWHD00000000:6:23147211:23153435:1 gene:ONIVA06G24340 transcript:ONIVA06G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRSLSSSARLAAAEWAAPMKLQVRVVEARGLPAVRVDGTSDPFVKLQLGKRRAKTAVARRTLAPAWDEEFSFLVGDIAEELVVSVLNEDKYFSNDLLGKVRVPLADVMETDDLSLGTAWYQLQPKSKKSKKKSRGEVCLCISLSTRTHVSEESQSVNPASDDASSSDRSIEHKDAVLSTTSSYIDLSACASAMDRASQSSMEQLADSIVDQPPRSSMEQLAVAEPGAAAAEGDAMSNSSSVVEVLSRYFFGNKPADVAPSAASDAESVDQFQEPKVCSEDHETPESGTSSESSLDELLKTMESKDQGCEMPANLPGGVLIDESYVAAPTELNSLLFSKNSDFWPAVSELQGTSGFQIEPWKLDNNETCLQRTLTYTKAASKLVKAVKATEEQKYLKAAGNSFAVHSVVSTPDVPCGGCFKIEILYCITPGPSLSSEEQTSHLTVSWRVNFVQSTMMKGMIESGAKQGMAEGFAHFSEILSQKIKVAEADDANSNKEKILSSLHAQKESGWRLIVRFLFNFTFIFSVIIASYVIAHLHLSKPNAMHGLEYFGIDLPDSIGEVVVCAVLILQGQNIFNIIKRFLNAWKQKGSDHGVKAHGDGWLMTVALIEGTGITNSNSKELFDMYAVFTCNAKRKTSSVKFQTSEPKWNEIYEFDAMDDPPSRMDVAIHDANGPFDQSPIGHAEVNFLKSNLSDLTDVWLPLEGKCDQTSNPKIHLRIFLNNSRGTEVVMNYLAKMRKEVGKKINLRSAQTNAAFRKLFNLPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVDDIQVIPPTLSIGSPSLTIILRKGRGLEAKHGAKGTDPNGRLKYYFQSFVSFNDAHRIIMAIWKMRSLSPEQQGDMIEKESDTKELQLEEGGTLFTHEDVKMSEIFSSALSVDVESLMEMFSGGPLEHRMMQKAGCIDYSPTEWELVSRNIYQRQISYKFDKNLSRYGGEATTTQQRYALVNQEGWAIEEVMSLQGVLLGDCFNVQMKYTVVNVPSKPNTCSVQVLLGIAWLKSTKQQKKITKSVISNSSIRLKELFAEVEKDLTSRSGAS >ONIVA06G24340.2 pep chromosome:AWHD00000000:6:23147211:23153435:1 gene:ONIVA06G24340 transcript:ONIVA06G24340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRSLSSSARLAAAEWAAPMKLQVRVVEARGLPAVRVDGTSDPFVKLQLGKRRAKTAVARRTLAPAWDEEFSFLVGDIAEELVVSVLNEDKYFSNDLLGKVRVPLADVMETDDLSLGTAWYQLQPKSKKSKKKSRGEVCLCISLSTRTHVSEESQSVNPASDDASSSDRSIEHKDAVLSTTSSYIDLSACASAMDRASQSSMEQLADSIVDQPPRSSMEQLAVAEPGAAAAEGDAMSNSSSVVEVLSRYFFGNKPADVAPSAASDAESVDQFQEPKVCSEDHETPESGTSSESSLDELLKTMESKDQGCEMPANLPGGVLIDESYVAAPTELNSLLFSKNSDFWPAVSELQGTSGFQIEPWKLDNNETCLQRTLTYTKAASKLVKAVKATEEQKYLKAAGNSFAVHSVVSTPDVPCGGCFKIEILYCITPGPSLSSEEQTSHLTVSWRVNFVQSTMMKGMIESGAKQGMAEGFAHFSEILSQKIKVAEADDANSNKEKILSSLHAQKESGWRLIVRFLFNFTFIFSVIIASYVIAHLHLSKPNAMHGLEYFGIDLPDSIGEVVVCAVLILQGQNIFNIIKRFLNAWKQKGSDHGVKAHGDGWLMTVALIEGTEIYEFDAMDDPPSRMDVAIHDANGPFDQSPIGHAEVNFLKSNLSDLTDVWLPLEGKCDQTSNPKIHLRIFLNNSRGTEVVMNYLAKMRKEVGKKINLRSAQTNAAFRKLFNLPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVDDIQVIPPTLSIGSPSLTIILRKGRGLEAKHGAKGTDPNGRLKYYFQSFVSFNDAHRIIMAIWKMRSLSPEQQGDMIEKESDTKELQLEEGGTLFTHEDVKMSEIFSSALSVDVESLMEMFSGGPLEHRMMQKAGCIDYSPTEWELVSRNIYQRQISYKFDKNLSRYGGEATTTQQRYALVNQEGWAIEEVMSLQGVLLGDCFNVQMKYTVVNVPSKPNTCSVQVLLGIAWLKSTKQQKKITKSVISNSSIRLKELFAEVEKDLTSRSGAS >ONIVA06G24340.3 pep chromosome:AWHD00000000:6:23147211:23153435:1 gene:ONIVA06G24340 transcript:ONIVA06G24340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRSLSSSARLAAAEWAAPMKLQVRVVEARGLPAVRVDGTSDPFVKLQLGKRRAKTAVARRTLAPAWDEEFSFLVGDIAEELVVSVLNEDKYFSNDLLGKVRVPLADVMETDDLSLGTAWYQLQPKSKKSKKKSRGEVCLCISLSTRTHVSEESQSVNPASDDASSSDRSIEHKDAVLSTTSSYIDLSACASAMDRASQSSMEQLADSIVDQPPRSSMEQLAVAEPGAAAAEGDAMSNSSSVVEVLSRYFFGNKPADVAPSAASDAESVDQFQEPKVCSEDHETPESGTSSESSLDELLKTMESKDQGCEMPANLPGGVLIDESYVAAPTELNSLLFSKNSDFWPAVSELQGTSGFQIEPWKLDNNETCLQRTLTYTKAASKLVKAVKATEEQKYLKAAGNSFAVHSVVSTPDVPCGGCFKIEILYCITPGPSLSSEEQTSHLTVSWRVNFVQSTMMKGMIESGAKQGMAEGFAHFSEILSQKIKVAEADDANSNKEKILSSLHAQKESGWRLIVRFLFNFTFIFSVIIASYVIAHLHLSKPNAMHGLEYFGIDLPDSIGEVVVCAVLILQGQNIFNIIKRFLNAWKQKGSDHGVKAHGDGWLMTVALIEGTEIYEFDAMDDPPSRMDVAIHDANGPFDQSPIGHAEVNFLKSNLSDLTDVWLPLEGKCDQTSNPKIHLRIFLNNSRGTEVVMNYLAKMRKEVGKKGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVDDIQVIPPTLSIGSPSLTIILRKGRGLEAKHGAKGTDPNGRLKYYFQSFVSFNDAHRIIMAIWKMRSLSPEQQGDMIEKESDTKELQLEEGGTLFTHEDVKMSEIFSSALSVDVESLMEMFSGGPLEHRMMQKAGCIDYSPTEWELVSRNIYQRQISYKFDKNLSRYGGEATTTQQRYALVNQEGWAIEEVMSLQGVLLGDCFNVQMKYTVVNVPSKPNTCSVQVLLGIAWLKSTKQQKKITKSVISNSSIRLKELFAEVEKDLTSRSGAS >ONIVA06G24330.1 pep chromosome:AWHD00000000:6:23142823:23147045:1 gene:ONIVA06G24330 transcript:ONIVA06G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S protease regulatory subunit S10B [Source:UniProtKB/TrEMBL;Acc:C0J9X5] MAAEGEDAAAARRRAAATDYRKKLLTCRELEARARTARDNLKNAKKDFGKTEDDLKSLQSVGQIIGEVLRPLDSERFIVKASSGPRYVVGCRSKVDKEKLIAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFSYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKE >ONIVA06G24320.1 pep chromosome:AWHD00000000:6:23135951:23142294:1 gene:ONIVA06G24320 transcript:ONIVA06G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT3G13220) TAIR;Acc:AT3G13220] MMEISSNEEMMEMAIVEQLPPSSHHLNGGSVEVDMEEDHVWPTKDGPLPIFLKFENVEYKVKLTPKNPLTAARVAFASHKSTEDQGSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSAAKLLVVLRRLARSAARRTVITTIHQPSSRMFHMFDKLLLVAEGHAIYHGGARGCMRHFAALGFSPGIAMNPAEFLLDLATGNLDGISSPASLLLPSAAAASPDSPEFRSHVIKARHRAASEEEAAAAAAREGGGGGGAGRDEAAKQLRMAVRMRKDRRGGIGWLEQFTVLSRRTFRERAADYLDKMRLAQSVGVALLLGLLWWKSQTSNEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTVCDAVPHVVYPVLFTAILYFMADLRRTVPCFCLTLLATLLIVLTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCGSRGGCQRLQSSPSFGTVDLDGGMREVWILLAMAVAYRLLAYLCLRKRISLMPL >ONIVA06G24310.1 pep chromosome:AWHD00000000:6:23122074:23126522:-1 gene:ONIVA06G24310 transcript:ONIVA06G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAACDAAVEELTRLLDQVEEPLKQTFQNVHQGYPTDTLVRFLKAREWHVSKACDMLVDSLNWRIQNEIDSILEKPIIPVDLYRSIRETQLVGLSGYSKEGIPVFAIGVGQSTYDKASVHYYVQSHIQINEYRDRIVLPMASKKFGRPISTCIKVLDMTGLKLSALNQMKILTAISTVDDLNYPEKAETYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLHGCGRDELLKIMDHSSLPHFCQREGSGSSKNSSNDVNNCFSLDHPFHQELYHYIEEQALNQELIKQGSLHVNIPDQDPEDAKIVEVIEAEFHKLGEQNGSVNGEHKE >ONIVA06G24300.1 pep chromosome:AWHD00000000:6:23118568:23122474:1 gene:ONIVA06G24300 transcript:ONIVA06G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAPRRAQHGVRGDAGGVHPVGDPRRGPAARRRGGDDDVHLPRRARLRHAAAAAGRVPGLRHGLPRRQRLLLPLLLRPRRRRGDRRRGHAPRGAPRHGAPLRRAQPAPGRQAARLQGPLHHRPRRRRRRRPPLRHARRQVPGRQEHRRRRRRRGAGEPVLQLPQGSLVTVALHFRPSH >ONIVA06G24290.1 pep chromosome:AWHD00000000:6:23106633:23108683:1 gene:ONIVA06G24290 transcript:ONIVA06G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGTEATTTTTSGCEADCGVPAMKATLMMMSCRSRSRSGRAHWMLLLFCLLLAFPSHGPRAVEAFPGGYGINYGRIANNIPSPDKVVQLLRASKIRNVKIYDSDHSVLDAFKGSGLNLVIAIPNELVKDFAANESRSIDWLNENVQPYLPQTRIVGITVGNEVLGGQDTSLAEPLVQAVKNVYNGLKKFHLQDKIELFTPHSEAVFATSYPPSACVFKEDVMVYMKPLLDFFQQIGSPFYVNAYPFLAYISDPEHIDINYALFKPNPGIVDPNTSLHYDNMFDAQIDAAYAALQAAGYRDMEVRVAETGWASSGDQTEAGASVENARTYNFNLRKRLFLRKGTPLKPKRPVKAYIFALFNENSKPGPSSERHYGLFNADGRIAYDIGYEGLLPSSAPSYFLSLRENV >ONIVA06G24280.1 pep chromosome:AWHD00000000:6:23095316:23101670:1 gene:ONIVA06G24280 transcript:ONIVA06G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGGRRWQSPAAAAAAEGEDAAGGYGVGGAGGPSRRPPRRGLNRASPYGTAAPRRLLPTLPVASRIFPSVAQDHAAAAAAASDDNQMAWRESLEVTNETHRHSIERNTNSTALDNKASLLQEVKCASCCSYRDETERLIEIMRSRTPDLFNEYQRVPRSSTKGFEAIPFSDRWSTPAKEIDVRSPCGTEVFVPSNVLDVASSPIELAKAYMEAQTSASVQESQKRKFRALSHGVEVENSSSKVFPKIATDSPVCWPGSVVRNYPNYLTPQSNKGRTLPPTSSRTTYIGSVFPRSNKYTGSRDAYNNSSGKPQFSSPFPVGSKAIFEDKTAPLGAVLGAQPSTTYSKEAYGDTVGATTPLFAKKGSASKKNDGSALQGHHGEGTTESGSSLGFVSMVDNMPHSKSAALSVHPKSSKTAHKILQHLERTIPSPTAKPLELRWTSAKRTTSSVVTNIQHNGPATDSHRHSSINDSGSAQQEISDANKVLAPPSSSNAVESSPKIENSGTKSMPSSQHTSESDSATTSAAQVLDKSTGNGLAFTFPVPKTSMSLPEPPPTPTLSQPPSIPSADGADIPKFTFGSSSTTGKLVFSFDLPSSSHSAEEAAPTFKFGSDTKRELSFDVAGKDAVCF >ONIVA06G24270.1 pep chromosome:AWHD00000000:6:23083017:23087718:-1 gene:ONIVA06G24270 transcript:ONIVA06G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAEIADAMGTVGIDNGASRKLLSNESLEERGEEHDVQADGAHSGESEVINPAEEVGGEATSQPEDVKPRVSKGSQSHSPKVTTKSQRQSPRSGDKSQARKNSPGSTYPKAPIARVSDPDLVDSSSCNDGADIKKKAEKSSFRPVARASQSLEDSKLIFFCEPFYQLPIEAHIDSVSCIDTFLIKPREKKKTQKTSNQCSVKNDEEEPNCEKVKPQRVGSTPAYGFAFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELKKLRKSLNFRANPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSVSTEESTVPSSRPARLSLDERASQNGVKKVPAANTLRKPQRKSLPKLPSEQTVTEQVENNTSATDPVRELIRAQVTPDDQFGSFYKIDYTLAAWWPDSAASHGEGRRAGEPATSTTAGASPERGRRDSSSGVRCFVFFTGGERSRASVHSRRRCDGRRLSCPHERRRRLLAAVVVIVFLGVPEEAGVGAEDVAAGEARHHQEGIA >ONIVA06G24270.2 pep chromosome:AWHD00000000:6:23083255:23087718:-1 gene:ONIVA06G24270 transcript:ONIVA06G24270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAEIADAMGTVGIDNGASRKLLSNESLEERGEEHDVQADGAHSGESEVINPAEEVGGEATSQPEDVKPRVSKGSQSHSPKVTTKSQRQSPRSGDKSQARKNSPGSTYPKAPIARVSDPDLVDSSSCNDGADIKKKAEKSSFRPVARASQSLEDSKEKKKTQKTSNQCSVKNDEEEPNCEKVKPQRVGSTPAYGFAFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELKKLRKSLNFRANPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSVSTEESTVPSSRPARLSLDERASQNGVKKVPAANTLRKPQRKSLPKLPSEQTVTEQVENNTSATDPVRELIRAQVTPDDQFGSFYKIDYTLAAWCSSPDSFLQVAGFSCEPRRGSPGRRAGDVDDGRCLAGERPP >ONIVA06G24270.3 pep chromosome:AWHD00000000:6:23083952:23087718:-1 gene:ONIVA06G24270 transcript:ONIVA06G24270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAEIADAMGTVGIDNGASRKLLSNESLEERGEEHDVQADGAHSGESEVINPAEEVGGEATSQPEDVKPRVSKGSQSHSPKVTTKSQRQSPRSGDKSQARKNSPGSTYPKAPIARVSDPDLVDSSSCNDGADIKKKAEKSSFRPVARASQSLEDSKEKKKTQKTSNQCSVKNDEEEPNCEKVKPQRVGSTPAYGFAFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELKKLRKSLNFRANPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSVSTEESTVPSSRPARLSLDERASQNGVKKVPAANTLRKPQRKSLPKLPSEQTVTEQVENNTSATDPVRELIRAQVTPDDQFGG >ONIVA06G24270.4 pep chromosome:AWHD00000000:6:23083952:23087718:-1 gene:ONIVA06G24270 transcript:ONIVA06G24270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAEIADAMGTVGIDNGASRKLLSNESLEERGEEHDVQADGAHSGESEVINPAEEVGGEATSQPEDVKPRVSKGSQSHSPKVTTKSQRQSPRSGDKSQARKNSPGSTYPKAPIARVSDPDLVDSSSCNDGADIKKKAEKSSFRPVARASQSLEDSKLIFFCEPFYQLPIEAHIDSVSCIDTFLIKPREKKKTQKTSNQCSVKNDEEEPNCEKVKPQRVGSTPAYGFAFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELKKLRKSLNFRANPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSVSTEESTVPSSRPARLSLDERASQNGVKKVPAANTLRKPQRKSLPKLPSEQTVTEQVENNTSATDPVRELIRAQVTPDDQFGG >ONIVA06G24260.1 pep chromosome:AWHD00000000:6:23082283:23084262:1 gene:ONIVA06G24260 transcript:ONIVA06G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASSPLLGHAACASAAEHVGAVVVVVPVSPAAARQRGPPLCRATSEGDLMAAVSARPRALSVASSASISVEEEVEEEEEEEEDVLGAAVPLRRLLTSTGLDADTGRGREGDAAVVEEGVGGGGGGRKVCNGGGGGSGGKGDGGRRDADAHYRRMIQADPANPLLLGNYARFLKEVEGDAARAQEYCERAIVANPGDGDALALYAGLVWETTRDADRADAYFTRAVHAAPDDCYVLGSYAGFLWDAEEDDDDHGGEQPPPPFMGAAQPPSITAAS >ONIVA06G24250.1 pep chromosome:AWHD00000000:6:23018531:23023785:-1 gene:ONIVA06G24250 transcript:ONIVA06G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35410) TAIR;Acc:AT5G35410] MAAGRKKRVGRYEVGRTIGQGTFAKVKFAVDADTGAAVAMKVLDKDTILNHRMLHQIKREISIMKIVRHPNIVRLNEVLAGKTKIYIILELITGGELFDKIARQGKLRENEARKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDSRGNLKVSDFGLSTLAKKKCSDWDFFFEGFQGVGLLHTTCGTPNYVAPEVLSNNGYDGSAADVWSCGVILYVLMAGYLPFEEDDLPTLYDKITAGQFSCPYWFSPGATSLIHRILDPNPKTRITIEQIREDTWFKKTYVAIKRGEDENVDLDDVQAVFDNIEDKYVSEQVTHNDGGPLVMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAKTIVATIEVVAETMGLKVHSQNYKLRLEGVSSNRMSPFAVVLQVFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCNKMESIIWRPIEVSAKSALLRTATC >ONIVA06G24240.1 pep chromosome:AWHD00000000:6:23012760:23015998:1 gene:ONIVA06G24240 transcript:ONIVA06G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFHDYRDGGVLVMEPAASAALFGGVRSRKRARVTAVPPCGFVSAAAEAVEVVEEEGLTAAKRQKQQQQQREAPSLDALPDECLFEILRRVKGARARCASAAVSRRWLALLGGIRSSEIKREPAAAAVPDLNQVFVDEDEEEEDEFEVPLGGGCSSERCLEGREATDVGLMAVAVADALRGSLESLVIRGSHPTRGVTDAGISAAARGCPSLLSLALWHVPQVTDAGLAEIAAGCPSLARLDITGCPLITDKGLAAIAQGCPDLKVVTVEACPGVADEGLKAIGRCCAKLQSVNIKNCAHVGDQGVSGLVCSAAASLAKVRLQGLSITDASLSVIGYYGKAITDLTLARLPAVGERGFWVMANALGLQKLRFMSVSSCPGVTDLALASIAKFCPSLKQLSLKKCGQVSDGRLKDFAESAKVLESLQIEECNKVTLMGILAFLLNCSPKFKALSLVKCNGIKDICSAPAQLPLCKSLRSLTIKDCPGFTDASLAVVGMICPQLENVDLSGLGAVTDNGLLPLIKSSESGLVHVDLNGCENLTDATVSALVKAHGSSLARLSLEGCSRITDASLFAISEGCTDLAELDLSNCMVSDYGVAVLASARQLKLRVLSLSGCLKVTQKSVPFLGSMSASLEGLNLQFNFIGNHNIASLEKQLWWCDILA >ONIVA06G24230.1 pep chromosome:AWHD00000000:6:22987774:22994523:-1 gene:ONIVA06G24230 transcript:ONIVA06G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDSTDRLAGRHAGCSERAADLHRTKQMIIFRKFTTKSKKHSMQSCKPRLHRWIVLNPNGKPSPYTLTFQFPSRTPTLARTPREARCKDLTHTVSIHRMPSRIQFRHPSTTLLRSPPPPPPPPPTSSRRRMRMEGSSPMEEEEEAARLAGSQGESPAMLHPNGDGDGDGGDDEGDASGGEEEQGGVARAGVRRRGGGGRVRVRGRIPLKKGPWTPDEDKRLREYVEAHGEGNWNRVQRNAGLNRCGKSCRLRWANHLKPDLKKGPFSKEEEEMIIKLHLWLGNKWAKMANSLPGRTDNEIKNFWNTRCKRIQRTGEPLYPKEFNHFKLTDLEVMNCESPDESRAKKRTNEVLQGNGQSYKEVFFDNLDYSRPENYIRPNCVTPNSLPVDATSPFGSAIATQDQSVPFGSAIVSGHPILDGNFSTSGTIQRPMNVELPSLQYPNYDFNNNNAWSYHDPLGHPIDQVDFGSLRSEYLSPNNNGLLDALVHGGHGQGELANSQGSFDACFPRVQCNQVIQSNAFSLSSSFPIIGDDLLENSCHAFVGINNNASSLFLDSQPPPLVDPTFWRHDVSLEPNLPVYCQFNEELPPSNEQFAKDADDAFGHGGLSDETNLAAAGQGDEHDLENPWASMPGACDIPDFPSE >ONIVA06G24230.2 pep chromosome:AWHD00000000:6:22987774:22994523:-1 gene:ONIVA06G24230 transcript:ONIVA06G24230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDSTDRLAGRHAGCSERAADLHRTKQMIIFRKFTTKSKKHSMQSCKPRLHRWIVLNPNGKPSPYTLTFQFPSRTPTLARTPREARCKDLTHTVSIHRMPSRIQFRHPQTLVGPPRDGSPFRPRTRAIVIGGRLLLASSSLLRLVYYSTSLSAAAAAAAAHLVSTPGSSPMEEEEEAARLAGSQGESPAMLHPNGDGDGDGGDDEGDASGGEEEQGGVARAGVRRRGGGGRVRVRGRIPLKKGPWTPDEDKRLREYVEAHGEGNWNRVQRNAGLNRCGKSCRLRWANHLKPDLKKGPFSKEEEEMIIKLHLWLGNKWAKMANSLPGRTDNEIKNFWNTRCKRIQRTGEPLYPKEFNHFKLTDLEVMNCESPDESRAKKRTNEVLQGNGQSYKEVFFDNLDYSRPENYIRPNCVTPNSLPVDATSPFGSAIATQDQSVPFGSAIVSGHPILDGNFSTSGTIQRPMNVELPSLQYPNYDFNNNNAWSYHDPLGHPIDQVDFGSLRSEYLSPNNNGLLDALVHGGHGQGELANSQGSFDACFPRVQCNQVIQSNAFSLSSSFPIIGDDLLENIYCQFNEELPPSNEQFAKDADDAFGHGGLSDETNLAAAGQGDEHDLENPWASMPGACDIPDFPSE >ONIVA06G24230.3 pep chromosome:AWHD00000000:6:22992223:22994523:-1 gene:ONIVA06G24230 transcript:ONIVA06G24230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDSTDRLAGRHAGCSERAADLHRTKQMIIFRKFTTKSKKHSMQSCKPRLHRWIVLNPNGKPSPYTLTFQFPSRTPTLARTPREARCKDLTHTVSIHRMPSRIQFRHPQTLVGPPRDGSPFRPRTRAIVIGGRLLLASSSLLRLVYYSTSLSAAAAAAAAHLVSTPVSTLPRWRSTRRWLD >ONIVA06G24230.4 pep chromosome:AWHD00000000:6:22987774:22992107:-1 gene:ONIVA06G24230 transcript:ONIVA06G24230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSPMEEEEEAARLAGSQGESPAMLHPNGDGDGDGGDDEGDASGGEEEQGGVARAGVRRRGGGGRVRVRGRIPLKKGPWTPDEDKRLREYVEAHGEGNWNRVQRNAGLNRCGKSCRLRWANHLKPDLKKGPFSKEEEEMIIKLHLWLGNKWAKMANSLPGRTDNEIKNFWNTRCKRIQRTGEPLYPKEFNHFKLTDLEVMNCESPDESRAKKRTNEVLQGNGQSYKEVFFDNLDYSRPENYIRPNCVTPNSLPVDATSPFGSAIATQDQSVPFGSAIVSGHPILDGNFSTSGTIQRPMNVELPSLQYPNYDFNNNNAWSYHDPLGHPIDQVDFGSLRSEYLSPNNNGLLDALVHGGHGQGELANSQGSFDACFPRVQCNQVIQSNAFSLSSSFPIIGDDLLENIYCQFNEELPPSNEQFAKDADDAFGHGGLSDETNLAAAGQGDEHDLENPWASMPGACDIPDFPSE >ONIVA06G24230.5 pep chromosome:AWHD00000000:6:22987774:22992107:-1 gene:ONIVA06G24230 transcript:ONIVA06G24230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSPMEEEEEAARLAGSQGESPAMLHPNGDGDGDGGDDEGDASGGEEEQGGVARAGVRRRGGGGRVRVRGRIPLKKGPWTPDEDKRLREYVEAHGEGNWNRVQRNAGLNRCGKSCRLRWANHLKPDLKKGPFSKEEEEMIIKLHLWLGNKWAKMANSLPGRTDNEIKNFWNTRCKRIQRTGEPLYPKEFNHFKLTDLEVMNCESPDESRAKKRTNEVLQGNGQSYKEVFFDNLDYSRPENYIRPNCVTPNSLPVDATSPFGSAIATQDQSVPFGSAIVSGHPILDGNFSTSGTIQRPMNVELPSLQYPNYDFNNNNAWSYHDPLGHPIDQVDFGSLRSEYLSPNNNGLLDALVHGGHGQGELANSQGSFDACFPRVQCNQVIQSNAFSLSSSFPIIGDDLLENSCHAFVGINNNASSLFLDSQPPPLVDPTFWRHDVSLEPNLPVYCQFNEELPPSNEQFAKDADDAFGHGGLSDETNLAAAGQGDEHDLENPWASMPGACDIPDFPSE >ONIVA06G24220.1 pep chromosome:AWHD00000000:6:22983581:22985510:1 gene:ONIVA06G24220 transcript:ONIVA06G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPSGLLISGGSVVVRPATMAARAPSRLPSVVVHLPRCTATGGPPHCRLTAEASSVLPNMSVASPWVNDDDLTETPPPPPPPPPPPSPPATHDVGQPPPPPSLAAPPPAQHDMGKLLRMYARLLRRLESQAIDMYAGSRRLVEYHVMAWGAYEATRPALLGLGFMAGPGIEEVLIECINRGNAAVAAAAAGDGQPRLLAAFGIKPESLPANPTERRFVAGILYAALEMRNCVRRRVRWLRRVERFNQRRREEEAEAAMRREEEEARRKLEVQKVLEGYEEFLNFK >ONIVA06G24210.1 pep chromosome:AWHD00000000:6:22979610:22982052:1 gene:ONIVA06G24210 transcript:ONIVA06G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFILGCPVLCLPGGAGHGGGAGRGRLLPPPVARFAGAGRPLRLTVAQAAADPGPWLAWDDDSTKVTPYKAIAWSAAEALDLLEQTPPPSAGSAASQDVQEIISTFKNLKELADSDEVPMEPLAATCTLLRYHWYLWQCYHGDPVTRGFPDGLLSFLHRCISFACGPDGYALPYYLNIFVIKADKLPKEAWAKDLVTVAMYASQGTRLVVSKYEKHLLDVFRMRLIADEGKQEESKIRAEEASHHKWRPDHFVDDDDDGMLGLGGV >ONIVA06G24200.1 pep chromosome:AWHD00000000:6:22972022:22974586:-1 gene:ONIVA06G24200 transcript:ONIVA06G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPPRPRHPVADNDDLVGEILLRIPPDDPTRLVRASAVCKRWRRVLADPSFAARHRAFHPRGAAAAAAPVLGVLHNPADRELDRFFPAAASSFRAAAGDRRKHHILDCRHGRVVLYDYDSHYPTDGHVVWDPITGEQHRIPNVMDALTHPAVISGAAAGGGGGSASFIVAFVGVQNWERHFWDAHACFYSSETGEWSVHINIHLDLDGYHLEDRPAALVGGDTLYFVGKSGILLRYRYGLPLRCGRDILGHGITSADVLSVVDPPPGAKRRLRLGYTVVMAAPESDGGGLRLGVLHRHKLALWDREEDGSAAAAARWVWRVAIDLEQVLPWPVGNTKGKERACLAAVAEDPNVIFVGTEEDGVFAVELDSLRIKKVCELGKSQGRFFPFVSYCTESFLSQSDSDTIAKASSDCGQFGDLIECIFNSNPTTFAQDKLRRRTAGSIQQILLTGPQWLLSRVPRQ >ONIVA06G24190.1 pep chromosome:AWHD00000000:6:22965524:22967481:1 gene:ONIVA06G24190 transcript:ONIVA06G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSAGGSHAGLALAATAMALSGTLVLFSLCRANKPPHHDDAPARLRPCLSSSEKRKREKARRGSKKRVRFAADVVDNDSNASSRPAAAEPSCRNAAATAMPANREALYRGMLRGRSMLRVACSY >ONIVA06G24180.1 pep chromosome:AWHD00000000:6:22951772:22958972:1 gene:ONIVA06G24180 transcript:ONIVA06G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKYGIPIRIHTYIPYAASHIHVYSLPLLQPFLLFPFPFHSPNQPNPPPPPPAPPPPAPPPHPHSFLAAGAMPGAAAHAVDPRGGASPPPVAKAEAATAAAAAAGGCEPARKAGAVTMEHVLLALHETEAEREARIREMFAFFDVDGRGQLDYAQIEAGLAALQIPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEVTIENIYHHWERVCLVDIGEQAVIPEGISKSVNASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVMHSIKDIWSQGGMLAFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSEVGPSERLVAGGLAGAVAQTAIYPVDLVKTRLQTYSCVDGKVPSLGALSRDILMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSESAYRGMSDVFWRTLQHEGVSGFYKGILPNLLKVVPAASITYLVYEAMKKNLSLD >ONIVA06G24170.1 pep chromosome:AWHD00000000:6:22937861:22949646:1 gene:ONIVA06G24170 transcript:ONIVA06G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLMHGTLDATIFEATNLTNPTRLTGNAPEGFRKWWEGLENGLEKTTGLGPGGTRLYATVDLGRARLGRTRVIDDEPVSPRWDERFHFYCAHFAENVVFSVKVALSVDAKLIGRAYLPVRDLLSGETVERKLDILGDDKKKLPHGPTIHVRLQFKDVAADGGGKWWGGGVGDAAYPGVPCTYFKQHAGCRVTLYQDAHAPDTFAPRIPLAGGAHYQQGRCWEDVFDAISNAKHLIYITGWSHLITPPSPVKLPGTNNDDHHDDAWNVQLFRSIDGGACDGFPSSPEAAARLDLVSGKNNVIERSIQDAYIHAIRRARDFIYIENQYFIGSSYGWRPGGGVRPEDVEAVNLIPRELSLKIVSKIAAGERFTVYVVVPMWPEGHPGNEAMQAILDWQRRTMEMMYYDIAVALKANHSDADPRDYLTFFCLGNREAKSHGEYVPAHRPDQDTDYAKAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNVNGQAARGQIHGFRMSLWYEHLGMLHDDFVHPGSLECVRRVNAMADRHWQLYAGEELHGDLPGHLLTYPVAVEKDGGAVTALPGAEFFPDTEAKVIGTLASSAYMIPYLTSISPFPFPSPNWTLFALLAHCPLRLGGKLVLDAPRAGDVSGSVGGGGGRRRSRGGATMAHLLLHGTLEATILEADHLSNPTRATGAAPGIFRKFVEGFEDSLGLGKGATRLYATIDLGRARVGRTRVVDDEPVNPRWYEVFHIYCAHFAADVVFSVKAAQPIGATLIGRAYLPVRELLSGEAIERRLDILDAGRRRISHGPTIHVRLQFRDVAGDRHGWGRGVSGARYPGVPYTFFSQRPGCRVTLYQDAHVPDAFAPRIPLAGGGYYRQGRCWEDVFDAISNAKHLIYLTGWSVYTEITLIRDGTRQRPGGDATLGELLKRKASEGVRVLLLVWDDRTSVESLGMKWGFMSTHDAETADYFRGTDVRCVLCPRNPDAGRSAIMGAQIAYMITHHQKTVIVDHDMPVPRGGGSRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHSDFHQPNLDGAAVTKGGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGDKDLLLDLKAMADLIIPPSPVMFPDDGEAWSVQLFRSIDGGACFGFPSTPEAAARSGLISGKNNTIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFAWKADGIRPEDIEALHLIPREISLKIVNKIEAGERFAVYVVLPMWPEGPPASGSVQAILDWQRRTMEMMYYDIAVALEAKRINADPRDYLTFFCLGNREVKLNGEYEPAGRPLDGTDYAKAQKARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPCHLNTKGLVARGQIHGFRMSLWYEHLGMLHDNFLNPESLECVQRVNKMADKYWDLYASDELNDDLPGHLLTYPVRVTKEGTVTELPGAKFFPDTQAPGE >ONIVA06G24170.2 pep chromosome:AWHD00000000:6:22937861:22949646:1 gene:ONIVA06G24170 transcript:ONIVA06G24170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLMHGTLDATIFEATNLTNPTRLTGNAPEGFRKNGLEKTTGLGPGGTRLYATVDLGRARLGRTRVIDDEPVSPRWDERFHFYCAHFAENVVFSVKVALSVDAKLIGRAYLPVRDLLSGETVERKLDILGDDKKKLPHGPTIHVRLQFKDVAADGGGKWWGGGVGDAAYPGVPCTYFKQHAGCRVTLYQDAHAPDTFAPRIPLAGGAHYQQGRCWEDVFDAISNAKHLIYITGWSHLITPPSPVKLPGTNNDDHHDDAWNVQLFRSIDGGACDGFPSSPEAAARLDLVSGKNNVIERSIQDAYIHAIRRARDFIYIENQYFIGSSYGWRPGGGVRPEDVEAVNLIPRELSLKIVSKIAAGERFTVYVVVPMWPEGHPGNEAMQAILDWQRRTMEMMYYDIAVALKANHSDADPRDYLTFFCLGNREAKSHGEYVPAHRPDQDTDYAKAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNVNGQAARGQIHGFRMSLWYEHLGMLHDDFVHPGSLECVRRVNAMADRHWQLYAGEELHGDLPGHLLTYPVAVEKDGGAVTALPGAEFFPDTEAKVIGTLASSAYMIPYLTSISPFPFPSPNWTLFALLAHCPLRLGGKLVLDAPRAGDVSGSVGGGGGRRRSRGGATMAHLLLHGTLEATILEADHLSNPTRATGAAPGIFRKFVEGFEDSLGLGKGATRLYATIDLGRARVGRTRVVDDEPVNPRWYEVFHIYCAHFAADVVFSVKAAQPIGATLIGRAYLPVRELLSGEAIERRLDILDAGRRRISHGPTIHVRLQFRDVAGDRHGWGRGVSGARYPGVPYTFFSQRPGCRVTLYQDAHVPDAFAPRIPLAGGGYYRQGRCWEDVFDAISNAKHLIYLTGWSVYTEITLIRDGTRQRPGGDATLGELLKRKASEGVRVLLLVWDDRTSVESLGMKWGFMSTHDAETADYFRGTDVRCVLCPRNPDAGRSAIMGAQIAYMITHHQKTVIVDHDMPVPRGGGSRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHSDFHQPNLDGAAVTKGGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGDKDLLLDLKAMADLIIPPSPVMFPDDGEAWSVQLFRSIDGGACFGFPSTPEAAARSGLISGKNNTIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFAWKADGIRPEDIEALHLIPREISLKIVNKIEAGERFAVYVVLPMWPEGPPASGSVQAILDWQRRTMEMMYYDIAVALEAKRINADPRDYLTFFCLGNREVKLNGEYEPAGRPLDGTDYAKAQKARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPCHLNTKGLVARGQIHGFRMSLWYEHLGMLHDNFLNPESLECVQRVNKMADKYWDLYASDELNDDLPGHLLTYPVRVTKEGTVTELPGAKFFPDTQAPGE >ONIVA06G24160.1 pep chromosome:AWHD00000000:6:22923757:22928321:-1 gene:ONIVA06G24160 transcript:ONIVA06G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0E0HT89] MAEQQLMHGTLDATIFEATNLTNPTRLTGSAPEGIRKWWEGVEKTTGVGQGGTRLYATVDLGKARLGRTRVIDDEPVNPRWDERFHLYCAHFADNVVFSVKVSLPIDAALIGRAYLPVGDLLSGEVVERKLDILDEHKKKLPHGPTIHVRLQFKDVAVDGDGKWWGAGVGNAGYAGVPCTYFKQHTGCRVTLYQDAHVPDTFAPTIPLAGGAHYQQERCWEDVFDAISNAKHLIYITGWSVFTDITLIRDLSRQRPGGDATLGELLKRKASEGVRVLMLVWNDVTSLQILQSLGIKWGFSQTHDAETFQYFEDTDVHCVVCARHPDAGGSIVMGVKVPFASTHHQKTVIVDHDMPAGAGSGLRSIVSFVGGLDLCDGRYDTQSHSLFRTLDAAHHKDFHQPSIDDAELAKGGPREPWHDIHSRLEGPVAWDVLYNFEQRWRKQSGHGDLLVNLTALEHLIAPQSAMKLPVIGNDDHEAWNVQVFRSIDGGACDGFPSSPEAAARLDLVSGKNNVIERSIQDAYIHAIRRARDFIYIENQYFIGSSYGWRPGGGVRPEDVEAVNLIPRELSLKIMSKIAAGERFTVYVVVPMWPEGHPDSQAMQAILDWQRRTMEMMYADIAGALKAKRMDADPRDYLTFFCLGNREVKRSGEYVPGHHPRDGTPYAKAQKTRRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNIGGQLARGQIHGFRMSLWYEHLGGEPHDDFLHPGSLECVRRVNEMANKHWELYASEELHEDLPGHLLTYPIAVAKDGTVAALPGAKFFPDTEAPVLGKKAINPLMTPDITS >ONIVA06G24150.1 pep chromosome:AWHD00000000:6:22914162:22915125:-1 gene:ONIVA06G24150 transcript:ONIVA06G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVARNATGELTPAAAVAGRDGRVGGGSGSSSSMTANGGGNSLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVELNKDTAVSSAAKVAAATAASSSDQPTPSDSTVTTTSTSTTGSPSPPPPAMDDEERIALQMIEELLGRSGPGSPSHGLLHGGEGSLVI >ONIVA06G24140.1 pep chromosome:AWHD00000000:6:22906962:22921088:1 gene:ONIVA06G24140 transcript:ONIVA06G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRQGRRTQHCSSRRTCSSLRKKFHRLHTDTCVSEFLQGWKLLLLLLVVHMHALLFLHGKVQAGLWWLPRTVRTCRPVKTVDRAACSLSAWQTARFHIFAGKRWGQVRVGRLWLQETDDDDQTCHTSVRNKVHKRCSS >ONIVA06G24140.2 pep chromosome:AWHD00000000:6:22906962:22921088:1 gene:ONIVA06G24140 transcript:ONIVA06G24140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRQGRRTQHCSSRRTCSSLRKKFHRLHTDTCVSEFLQGWKLLLLLLVVHMHALLFLHGKVQAGLWWLPRTVRTCRPVKTVDRAACSLSAWQTARFHIFAGKRWGQVRVGRLWLQETDDDDQTCHTSVRNKVHKRCSS >ONIVA06G24140.3 pep chromosome:AWHD00000000:6:22906962:22921088:1 gene:ONIVA06G24140 transcript:ONIVA06G24140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRQGRRTQHCSSRRTCSSLRKKFHRLHTDTCVSEFLQGWKLLLLLLVVHMHALLFLHGKVQAGLWWLPRTVRTCRPVKTVDRAACSLSAWQTARFHIFAGKRWGQVRVGRLWLQETDDDDQTCHTSVRNKVHKRCSS >ONIVA06G24140.4 pep chromosome:AWHD00000000:6:22906962:22916621:1 gene:ONIVA06G24140 transcript:ONIVA06G24140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTLLNALPCLAHMANVHFFPGTNTEAWTVCTTPEMDLMGVRNQEQLACNNCPNQAANHPSIHGASARKAHTALQQQKNLLFSP >ONIVA06G24140.5 pep chromosome:AWHD00000000:6:22915913:22919510:1 gene:ONIVA06G24140 transcript:ONIVA06G24140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWGGLSVNCGERHNHLLCQYAAWTVCTTPEMDLMGGCLLFVSLADCKVNGGGKSELGGFGYRKRMTMIRPATPLSETKSTRDVWIDRNDLMH >ONIVA06G24130.1 pep chromosome:AWHD00000000:6:22906326:22906895:-1 gene:ONIVA06G24130 transcript:ONIVA06G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIPLILSHLSSLSSSSPLGSAAQLGIGMTAARLGMGTAAAHPGTGKAAAQLGTGKAAVRTGTAATRLGTGRRRRGWGRGRWRRQHDWGRWGCSPTLMQMAPPSQQRRRAEQPSPTHAGAIPVPSRANAAHLIADPISILLDDLLLKCLAGVPYATLPQLPTTSPRSLPPSRPRRAPPLIPHPLRQAL >ONIVA06G24120.1 pep chromosome:AWHD00000000:6:22891867:22895901:-1 gene:ONIVA06G24120 transcript:ONIVA06G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSSQIVETLPEWRDKFLSYKDLKKRLKLIGGGGGGEERQAKRARVAADGGEEEAAAAAMTPEEAGFMRLLEAELDKFNSFFVEKEEEYIIRQKELQDRVARAAGRESKEELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQCEAMLDQLLPSNELSVSSEDGRGDSTNEDKPSNPSSSLVNGGTIPELDEIEYMESMYMKGTVAALRSLKEIRSGSSTVSAFSLPPLQGDSSPEEQQELWNKIPVIEQAAK >ONIVA06G24100.1 pep chromosome:AWHD00000000:6:22881111:22881725:-1 gene:ONIVA06G24100 transcript:ONIVA06G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFDGAGGALGVHAASRKIGKSAAHQQQRKPVIIYMVSPKIIHVEAHEFMSLVQRLTGPGAAAAGDGGKKAASSSPREARRGAPPVRVKARALNRPAGGSAVSVSVTATMRQQQQLASPSSAAAAGPSPSPSTGFLFHDLSPLRGAALKGEAAAAAPLVSPGAGWLQHVGDHFFSPVGAPGALGSPSAFLDIFGPLPSQHQ >ONIVA06G24090.1 pep chromosome:AWHD00000000:6:22870189:22873977:-1 gene:ONIVA06G24090 transcript:ONIVA06G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAASLTAPNALAATSLPFLHGRKSGGGGVSVHAGAPSPSRAVAVVARRLWGSASSSRRMVVAAATAAEMAPAASGEEGKPFVEEMRAVAMRLHTKDQAKEGEKEPQAPPVARWEPSVDGYLRFLVDSKLVFETLETIVDRAAVPWYAEFRNTGLERSEQLKKDLEWFKEQGHTIPEPSAPGTTYASYLEELAEKDSQAFICHFYNVYFAHTAGGRMIGKKVSENILNKKELEFYKWEGNLSQLLQNVRNKLNEVASSWTREEKDHCLDETEKSFSYSGDLLRHIFT >ONIVA06G24080.1 pep chromosome:AWHD00000000:6:22860617:22863917:-1 gene:ONIVA06G24080 transcript:ONIVA06G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESRYVAVRHHVEGLPSEDDFEVKAARVRWWPESGEVLVRNLYLSVDPYQLNRMKRRSASHLAVDGIVPGERIDAYGAGEVVASACEEYKEGDVVAGVLGWEDYTLFRPSPGVLMSKLAASDDLPLSHHLSALGERRRRRRRAPRHARMQFLLICLSVSVAPGGDRWIEHVAGTSGMTAYAGLYEVGRPEAGEKVFVSAASGSVGSLVGQFAKLAGCYVVGCAGTNAKVDLLKNKLGFDDAFNYKDEPDMKSALKRYFPDGIDIYFDNVGGETLEAALANMNTYGRVALCGVISEYTDAGHRAVPDLLEVIYKRITIRGFFAWDFLTRFAEFTGVISDWIRQGKVQVIEDISDGLESVPSAFAALFSGDNIGKKMVKLA >ONIVA06G24070.1 pep chromosome:AWHD00000000:6:22856362:22860974:1 gene:ONIVA06G24070 transcript:ONIVA06G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETKPPSPGTAGAAGVAGGGYHRRWAAPLLASVLLSSLLIAASLFFSSSRALLLSFSPLPSAASAEPLFVEAKLRQQQQMRGAAASGGRGRGAVPRIAYLVSGSAGDGAALRRTLRALYHPSNMYVVHLDLEAPATERAELAAAVRADPVYSRFRNVKVVTRANLVTYRGPTMVANTLHAAAILLREGGEWDWFINLSASDYPLVTQDDLLYVLSDLPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLNDFDGMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVAGGWMDLLNTTTVKGSFTVERVQDLRPGPGADRLKKLVTGLLTQEGFDDKHCL >ONIVA06G24060.1 pep chromosome:AWHD00000000:6:22837538:22840963:-1 gene:ONIVA06G24060 transcript:ONIVA06G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVAMSAKSKNSVLTLEKKQGWSVPQLPELRFPWDLHEDKGFSLSLHGSASPHGGLFASVGLKVSTAAPAVAPSPAEHDFKIPFADHCIKYVSSAVGYQVPGTEAESVNEEVVVDGKAVKKAKKRGLKLKIKIGNPHLRRLVSGAVAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQSIMKTEGWTGLFRGNFVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTPFPPSLIAGALAGVSSTLCTYPLELIKTRLTIEKDVYNNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRQVYKNVFHALYCIMENEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDDQDSE >ONIVA06G24060.2 pep chromosome:AWHD00000000:6:22837538:22840963:-1 gene:ONIVA06G24060 transcript:ONIVA06G24060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVAMSAKSKNSVLTLEKKQGWSVPQLPELRFPWDLHEDKGFSLSLHGSASPHGGLFASVGLKVSTAAPAVAPSPAEHDFKIPFADHCIKYVSSAVGYQVPGTEAESVNEEVVVDGKAVKKAKKRGLKLKIKIGNPHLRRLVSGAVAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQSIMKTEGWTGLFRGNFVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTPFPPSLIAGALAGVSSTLCTYPLELIKTRLTIEKDVYNNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRQVYKNVFHALYCIMENEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDDQDSE >ONIVA06G24050.1 pep chromosome:AWHD00000000:6:22834998:22841015:1 gene:ONIVA06G24050 transcript:ONIVA06G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVSNLSITEPHKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDSRSRPMQKAKIEILLGKTEKFDELMAAAAEEREAAAAAEGEEQG >ONIVA06G24040.1 pep chromosome:AWHD00000000:6:22826245:22828686:-1 gene:ONIVA06G24040 transcript:ONIVA06G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HT73] MDAHSAFMFLLTLIHLLLQISARDFLSPGSSLSVERSSDVLYSPDGTFACGFYNISPNSSIFAVWFSNSAEKTVVWSANLGRPVYTWGSKIKLNIDGNMVLQDYGGQIVWTNNVSSSNVQEARLLERGNLIVKGQGDTILWQSFASPTDTLLPNQIINGTIKLVSSTSSNRLLVPGHYSFHFDDQHLLTLFDDEKDISFIYWPNPFINMWAKKRISFNTTTFGVLDSSGHFLGSDNASFMAADWGPGIMRRLTLDYDGNLRLYSLNKTDGTWLVTWMAFTNLCFVRGLCGMNGICVYTPKPACVCAPGHEITDPSDLSKGCKPKFTISCDRKQKIRFVKLPTTEFLGYDQSTHQQVSLSTCKNICMSDCSCKGFSYWQGNGNCYPKSSLVGGVTSQSLPGSTYLKLPEALKVHESSIPRSQPSGRQYGPNCSAENQYSIANFSDISRSGQSESRFFYFYGFLSAIFLIEVILIALGWWFILRMEGRQLTGVWPAESGYEMITSHFRRYTYKELQRATRKFKEELGRGASGVVYKGILKDKRAVAVKKLADISQCEEEFQHELSVISKIYHMNLVRVWGYCSDGPHRMLVSEYVENGSLDKKLFGSEASQTLLEWKQRFKIALGVAKGLAYLHHECLEWVIHCDVKPENILLDDNLEPKITDFGLAKLLNRGGSNKNVSRIHGTRGYIAPEWVSSLPITAKVDVYSFGVVLLELLKGSRVSEWAKTEDEDDEVEKVLRRAVRMLAENVKLQEDSERSWITNFIDSRLNGQFNYLQARTMIKLAVSCIEEDRSKRPTMENVAQMLLSVDEENIIT >ONIVA06G24030.1 pep chromosome:AWHD00000000:6:22819282:22824925:1 gene:ONIVA06G24030 transcript:ONIVA06G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAKSVEAGGEPGGGGGGAWSTVSRSGRSSYSAGGGVGGGKVGELAEGLAGVEIGGERRLDKYDIPVEVSGADVPPPADGFEAAGLVEAVLRNVARCGYESPTPVQRYSMPIALAGRDLMACAQTGSGKTAAFCLPVVSGLVAAGGSGIGHRERSSFNRAAAKPRALVLAPTRELAAQINEEAKKFSFQTGLRVVVAYGGTPMYNQLRDLERGADILVATPGRLVDMVERSKVSLEAIKYLVMDEADRMLDMGFEPQIRKIVERMNMPRKSVRQTMLFSATFPPEIQRLASDFLSNYIFITVGRVGSSTDLIMQKVELLSDGEKRGYLLDLLQRQSVGVANSKQPLTLVFVETKREADSLRYWLYSKGFPATAIHGDRTQQERESALRSFKTGLTPIMVATDVASRGLDVPNVAHVINYDLPKSVEDYVHRIGRTGRAGKAGSATAFFTESDHSLAKGLLELMTEAKQDVPDWLIQYAERPYYGGSSYGGRNRRSGGGGNRFAGRDFRQGSDYDYSGGGGGGGYSGGGGGYSGGGRGGGYSSGGRGGYSGGGGGGGGDPYRASAPPPRYYPSYPMGTADINASGWD >ONIVA06G24020.1 pep chromosome:AWHD00000000:6:22795594:22797816:-1 gene:ONIVA06G24020 transcript:ONIVA06G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPEESLKVRHHPPGERQLRAERAPRLVVPVVHPVRHRRYHPGEVRHHHARRGHQQGDPASCSPATACRPT >ONIVA06G24010.1 pep chromosome:AWHD00000000:6:22794516:22797728:1 gene:ONIVA06G24010 transcript:ONIVA06G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESPEIMPVECPDPEPASSESGDDHDIPEPLSSRLSVPSGELNLYRAAVALRLVLIAAFFRYRVTRPVADAHALWVTSVACELWLAASWLIAQLPKLSPANRVTYLDRLASRYEKGGEASRLAGVDVFVAAADAAREPPLATANTVLSVLAADYPAGGVACYVHDDGADMLVFESLFEAAGFARRWIPFCRRHGVEPRAPELYFARGVDYLRDRAAPSFVKDRRAMKREYEEFKVRMNHLAARARKVPEEGWIMSDGTPWPGNNSRDHPAMIQVLLGHPGDRDVDGGELPRLFYVSREKRPGFRHHGKAGAMNALLRVSAVLTNGAYVLNLDCDHCVNNSSALREAMCFMMDPVAGNRTCFVQFALRDSGGGGDSVFFDIEMKCLDGIQGPVYVGSGCCFSRKALYGFEPAAAADDGDDMDTAADWRRMCCFGRGKRMNAMRRSMSAVSLLDSEDDSDEQEEEEAAGRRRRLRAYRAALERHFGQSPAFIASAFEEQGRRRGGDGGSPDATVAPARSLLKEAIHVVSCAFEERTRWGKEIGWMYGGGVATGFRMHARGWSSAYCSPARPAFRRYARASPADVLAGASRRAVAAMGILLSRRHSPVWAGRRLGLLQRLGYVARAAYPLASLPLTVYCALPAVCLLTGKSTFPGDVSYYDGVLLILLLFSVAASVALELRWSRVPLRAWWRDEKLWMVTATSASLAAVFQGILSACTGIDVAFSTETAASPPKRPAAGNDDGEEEAALASEITMRWTNLLVAPTSVVVANLAGVVAAVAYGVDHGYYQSWGALGAKLALAGWVVAHLQGFLRGLLAPRDRAPPTIAVLWSVVFVSVASLLWVHAASFSAPTAAPTTEQPIL >ONIVA06G24000.1 pep chromosome:AWHD00000000:6:22790434:22793781:1 gene:ONIVA06G24000 transcript:ONIVA06G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELEARVKDLERSNSELEERLSTLQNENQMLRQVLKNTTANRRGPDSSAGGDS >ONIVA06G23990.1 pep chromosome:AWHD00000000:6:22769660:22772104:1 gene:ONIVA06G23990 transcript:ONIVA06G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:overexpressor of cationic peroxidase 3 [Source:Projected from Arabidopsis thaliana (AT5G11270) TAIR;Acc:AT5G11270] MAAMVGAPLAVAAAAAAPGARVFLARPLLRRSPRGVACALRRRPSKYKNKIQNEEVVVEDDIGGGGEDDDDALEALFKQLEEDLKNDDLSVEDDDDGISEEDMARFEQELAEAIGDIADADESGEGSSLGSEAYGNDEKTDEIKRPELKNWQLKRLARALKIGRRKTSIKNLAGELGLDRTLVIELLRNPPPKLLFMSDSLPDEDPSKPEIKEIEPSPVVDNADVTETKPQTELPVHVMCAEWSSQKRLKKVQLETLERVYSRTKRPTNTMISSIVQVTSLPRKTIVKWFEDRREQDGVPDHRVAFKRSLSETIAS >ONIVA06G23980.1 pep chromosome:AWHD00000000:6:22768684:22768896:-1 gene:ONIVA06G23980 transcript:ONIVA06G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSGARVSSEGGSGGGGEIEGVGLPTCDPQSAAGRREAARARALQRAVHCIPLVLILCALLLWLSAS >ONIVA06G23970.1 pep chromosome:AWHD00000000:6:22758271:22763204:-1 gene:ONIVA06G23970 transcript:ONIVA06G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKGSKVEVLQEAEVPLGSWRGAEIVLGNGQSFYVRYDPSPVDSCAAVERVQRRLIRPCPPRDDSVCWAVGDILEAFDSYSWKIAEMVRVLGKDFYLVRLLGSSLELRTHASELRLRKHWKDGKWTVLQKDSTKCFGGSFRGQPKSGNLGSNFGKQRQPYCAMDNNLLLKNQKALEGDKSRGMKRKSSAITHPTQCSEVTRGMKRLQTPHRDGRHAALVAGVSPRLAEKVDAVDSPCLMLGEKYMHASLNKRKNGVHTTNLAGVNVDTENKFRPLTSAYPSDTESISSSVGSCSPSSSPCSSRHFYSAYQTGDICSRTDGAEAAVSERETSQHDKIIPKEDTHLLELHAYRATMLALYVCGSISWEQEALLTNLRLTLNISTDEHLAELRSMGRSRRLVKHPSVGPCRWRSLPHGLLYVKCGNIPSNFCWYLAHLAIRSSKSDASQGLRRFSRKTLHAFDKFPFQKKHYMVHLVFSDEQNIFAS >ONIVA06G23970.2 pep chromosome:AWHD00000000:6:22759493:22763204:-1 gene:ONIVA06G23970 transcript:ONIVA06G23970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKGSKVEVLQEAEVPLGSWRGAEIVLGNGQSFYVRYDPSPVDSCAAVERVQRRLIRPCPPRDDSVCWAVGDILEAFDSYSWKIAEMVRVLGKDFYLVRLLGSSLELRTHASELRLRKHWKDGKWTVLQKDSTKCFGGSFRGQPKSGNLGSNFGKQRQPYCAMDNNLLLKNQKALEGDKSRGMKRKSSAITHPTQCSEVTRGMKRLQTPHRDGRHAALVAGVSPRLAEKGRSRRLVKHPSVGPCRWRSLPHGLLYVKCGNIPSNFCCVICHYLFRMY >ONIVA06G23970.3 pep chromosome:AWHD00000000:6:22758271:22763204:-1 gene:ONIVA06G23970 transcript:ONIVA06G23970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKGSKVEVLQEAEVPLGSWRGAEIVLGNGQSFYVRYDPSPVDSCAAVERVQRRLIRPCPPRDDSVCWAVGDILEAFDSYSWKIAEMVRVLGKDFYLVRLLGSSLELRTHASELRLRKHWKDGKWTVLQKDSTKCFGGSFRGQPKSGNLGSNFGKQRQPYCAMDNNLLLKNQKALEGDKSRGMKRKSSAITHPTQCSEVTRGMKRLQTPHRDGRHAALVAGVSPRLAEKVDAVDSPCLMLGEKYMHASLNKRKNGVHTTNLAGVNVDTENKFRPLTSAYPSDTESISSSVGSCSPSSSPCSSRHFYSAYQTGDICSRTDGAEAAVSERETSQHDKIIPKEDTHLLELHAYRATMLALYVCGSISWEQEALLTNLRLTLNISTDEHLAELRSMGRSRRLVKHPSVGPCRWRSLPHGLLYVKCGNIPSNFCWYLNIFAS >ONIVA06G23970.4 pep chromosome:AWHD00000000:6:22758271:22763204:-1 gene:ONIVA06G23970 transcript:ONIVA06G23970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKGSKVEVLQEAEVPLGSWRGAEIVLGNGQSFYVRYDPSPVDSCAAVERVQRRLIRPCPPRDDSVCWAVGDILEAFDSYSWKIAEMVRVLGKDFYLVRLLGSSLELRTHASELRLRKHWKDGKWTVLQKDSTKCFGGSFRGQPKSGNLGSNFGKQRQPYCAMDNNLLLKNQKALEGDKSRGMKRKSSAITHPTQCSEVTRGMKRLQTPHRDGRHAALVAGVSPRLAEKGRSRRLVKHPSVGPCRWRSLPHGLLYVKCGNIPSNFCWYLNIFAS >ONIVA06G23960.1 pep chromosome:AWHD00000000:6:22741974:22744949:1 gene:ONIVA06G23960 transcript:ONIVA06G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPNPTAATTHRRNHRRRPDLSAFVCSVSRNRGGDDGGGGRGGGGAAAAARGGEGGGRGVVAAEAAGGALRGAGGARPAVPVPRRVREGDGGAHGGGHREAHAARRAAQRAPQGARVLPLLEEDLRADVLDLVRADAAAHGGGAGDGAGDLPHARRGVRPLRGAPRGPAAGGRRARQPPRRQVGSPPPRPHPRLLPRQPQPAGAARRQVGGGAGGEVACHGVRRRRRGGGGRGGVVPGGGGGGHHARHVRPQLRLRPRRVPLAGPPHGVRLRGLPQGARPGIQVPADQEEQDVVGPGQGDQARPGPAHRPAQWRRRRRGRRDHHRAQRQAGQRLQRLAGAHDQCRRGQDDAGGGHGGGVQDLLLRRQADDHQPAHLGHRAARHAPGLAGPRPPRGPRRLRRCRRRAPHQGPPPQAQDARDDPQRDAAPVPAGGGHHPPRQVRRHPRRRWRRRRRRHPYPARHGAARPDHGDPPRRPVVGARRGPVQPGEVRQRRGARGEAPARLHPVRAGLPHVHRPEPRHPRGQAHHGRPPPALRPRALAHLRARPHRADAAPPAVRRAVDLPAAPISAVQLATITR >ONIVA06G23950.1 pep chromosome:AWHD00000000:6:22727289:22729817:1 gene:ONIVA06G23950 transcript:ONIVA06G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding nuclear protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HT61] MSRAQALPDPAAVGYPSFKLILVGDGGTGKTTFVKRHITGEFEKRYEPTIGVEVRPLDFHTSRGKVRFCCWDTAGQEKFGGLRDGYYIHGHCAIIMFDVTSRLTYKNVPTWHKDICRVCDNIPIVLCGNKVDMKNRQVKAKMVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLTGDMNLRFVEELALLPADVTIDLIAQQKIETEIAAAAAMPLPDEDEDGLMD >ONIVA06G23940.1 pep chromosome:AWHD00000000:6:22722963:22726363:1 gene:ONIVA06G23940 transcript:ONIVA06G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADVSRPSSSAPTAAAAGADGHGAKGSAFRGDGLRPYYQSRIHDLELQIRQGTDNLSRLEAQRNVLNSQVKTLGEELKVLHEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDVDKSIDITKLSPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMQSGSGGGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRMDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVNQEDFLMAVAKVMKKDTEKNMSLRKLWK >ONIVA06G23930.1 pep chromosome:AWHD00000000:6:22718303:22718683:-1 gene:ONIVA06G23930 transcript:ONIVA06G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAMRYLGVGRNNQLQQGGRHAAAVAIIRRRRRRRLLLLDFGRALMLLGALVLTRQLITTTSSDAELYLYHVYDVLVALLLWLLGVALATLSLVVAPRFPWLALAGATVAAAALRNHHLLLGGL >ONIVA06G23920.1 pep chromosome:AWHD00000000:6:22707943:22708372:-1 gene:ONIVA06G23920 transcript:ONIVA06G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALMVVSCSRGVPSSVGQCAPSMNEMILLYDNFLPSPFMNVLYEIYQFEIFVMVMNVTVMRSINITDGSQTNGPFEIIVICDGFYTWPVTGAGHH >ONIVA06G23910.1 pep chromosome:AWHD00000000:6:22698434:22701991:-1 gene:ONIVA06G23910 transcript:ONIVA06G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSSTLSTRLSFITEGHHNLEKIISVHDNYCSSKTGSPLKAFNFGKVETRVTVASDSELREWCRRKRMDRAATPPHFAVSICPVIGRFAMAAG >ONIVA06G23910.2 pep chromosome:AWHD00000000:6:22698434:22701991:-1 gene:ONIVA06G23910 transcript:ONIVA06G23910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSSTLSTRLSFITEGHHNLEKIISVHDNYCSSKTGSPLKAFNFGKPTNRVETRVTVASDSELREWCRRKRMDRAATPPHFAVSICPVIGRFAMAAG >ONIVA06G23910.3 pep chromosome:AWHD00000000:6:22698434:22701991:-1 gene:ONIVA06G23910 transcript:ONIVA06G23910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSSTLSTRLSFITEGHHNLEKVDKKSYVAFDYRRFPEIVCEIISVHDNYCSSKTGSPLKAFNFGKVETRVTVASDSELREWCRRKRMDRAATPPHFAVSICPVIGRFAMAAG >ONIVA06G23910.4 pep chromosome:AWHD00000000:6:22698434:22701991:-1 gene:ONIVA06G23910 transcript:ONIVA06G23910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSSTLSTRLSFITEGHHNLEKVDKKSYVAFDYRRFPEIVCEIISVHDNYCSSKTGSPLKAFNFGKPTNRVETRVTVASDSELREWCRRKRMDRAATPPHFAVSICPVIGRFAMAAG >ONIVA06G23900.1 pep chromosome:AWHD00000000:6:22696575:22696916:-1 gene:ONIVA06G23900 transcript:ONIVA06G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xa27 [Source:UniProtKB/TrEMBL;Acc:S4S313] MADWAMHHYLLLANQQRHRALADVAVRRRQLLLDSGRVFMLLGAVILMHMLTTTGGGASSGCTRGAEPCVALLLWLLGAALAMLSLVAGRFPVLAAAIAEELGDHLLGGLWSL >ONIVA06G23890.1 pep chromosome:AWHD00000000:6:22689935:22690282:-1 gene:ONIVA06G23890 transcript:ONIVA06G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAVRYLLRADQRRGGRRRRAQLLAAAGRYHRRRRLLLLDSGRVLMLLAAVALVHLLTAACTGQVEFFAVLVAFLLWLLGAAFAVMSLVAGQFPVLAAAAVARTLRGWLLGGL >ONIVA06G23880.1 pep chromosome:AWHD00000000:6:22680855:22687666:-1 gene:ONIVA06G23880 transcript:ONIVA06G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDGNPMLRNGETGDWIGTFQGHKGAVWSCCLDTNALRAASGSADFSAKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGVEKILRVYDMNRPDAAPRELDKAPGNVRTVAWLHSDQTILSSCSDMGGVRLWDVRTGKIVQTLETKAPVTSSEVSQDSRFITTADGSSVKFWDANHFGLVKSYDMPCTVESASLEPKSGSKFIVGGEDMWVHVFDFFTGEELTCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPPNADDNEAVNSNGKPTVGVNEVARKIEGFHIPKEEEQQQQQAEG >ONIVA06G23870.1 pep chromosome:AWHD00000000:6:22676035:22678516:1 gene:ONIVA06G23870 transcript:ONIVA06G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HT50] METSAAAAPNGGAAAAEQQQRRRLPDFQQSVRLKYVKLGYHYLISHGMYLLLSPLMALVAVQLSTVSPGDIADLWEQLRFNLLSVVACSTLLVFLSTLYFLTRPRPVYLLDFACYKPDPQRKCTRETFMRCSSLTGSFTDANLDFQRKILERSGLGEDTYLPPAVLRVPPNPCMDEARKEARTVMFGAIDQLLEKTGVKPKDIGVVVVNCSLFNPTPSLSAMVVNHYKLRGNVISYNLGGMGCSAGLLSVDLAKDLLQVHPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNRRSDRRRSKYELVHTVRTHKGANDKCFGCVTQEEDEIGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELTDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIRKRDRIWQIAFGSGFKCNSAVWKALRTVNPAKEKNPWMDEIDNFPVEVPKISKVGNA >ONIVA06G23860.1 pep chromosome:AWHD00000000:6:22656895:22660595:1 gene:ONIVA06G23860 transcript:ONIVA06G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein [Source:Projected from Arabidopsis thaliana (AT5G20140) TAIR;Acc:AT5G20140] MAATSLLSRLLILPPPAPAAAASLRQRKPAAAHSLSSRGRRPRLAVQAVAPAAAEEEKGGLPAAEAERLAEFLREDLPHLFDDVGVDRSAYDDRVRFRDPITRHDTIDGYLLNIRLLKLLFRPDFYLHHVEQTGPYEITTRWTMVMKFVLLPWKPELVFTGLSIMGVNPQNLKFCSHVDIWDSIQNNEYFSFEGLGDVFKQLRIYKTPDIETPKYLILKRTANYEIRSYPPFLIVEAKGDKLTGSSGFNNVTGYIFGKNASSEKIAMTTPVFTQASDDKLSDVSIQIVLPMNKDLDSLPAPNTEAVNLRKVEGGIAAVKKFSGRPKEEIVIQKEKELRSQLLKDGLKPQHGCLLARYNDPRTQSFIMRNEVLIWLNDFTLE >ONIVA06G23840.1 pep chromosome:AWHD00000000:6:22644803:22646395:-1 gene:ONIVA06G23840 transcript:ONIVA06G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G31430) TAIR;Acc:AT1G31430] MAMAAARRGHGMPLWECNVLIRTLARRGSFARVMAVYYDLRARGLVADSFTYPFVLRAVGVLKLSVEGRKAHAAAIKTGFRWDAYTGSSLMEMYTMLGRVDIARKVFDEMPSRALVLWNMMVRCYIRCGRYSAAVALSEQMERSGVTPDRVTLVTAVTACSRARDLSLGRRIHVYMDNVFGFNLPVANALLDMYTKNDCLEEAVKLFEQMPARNIISWTILVSGYGLAGQLDKARVLFNQCKEKDLILWTAMINACVQHGCFEEALTLFRDMQMQRVEPDRFTVVTLLTCCANLGALDQGEWIHQYAEQRKMKIDAVLGTALIDMYSKCGHIEKSLEVFWRMQGRDATAWTAIICGLATNGQAGRALELFQDMQRSKVKPDGVTFIGVLSACCHGGLVDEGRKQFHAMREVYQIEPRVEHYSCLVNLLGRAGLLDEAERLIGDVPINKDAMPLFGALLTACKAHGNVEMSERLTKRICEQDSQITDVNLLMSNVYATASRWEDVIRVRGKMAHPTVKKTAGCSLIEVKGY >ONIVA06G23830.1 pep chromosome:AWHD00000000:6:22630522:22644751:1 gene:ONIVA06G23830 transcript:ONIVA06G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase [Source:Projected from Arabidopsis thaliana (AT5G43810) TAIR;Acc:AT5G43810] MGWCTGLCLKSKQRLLASLNALVACLTVTVVTRHSPTLVRCSTSGWRGRRRGGGGAHMLEVLDMAPPRHQPAAGKAGGGRGHGHGHGHGGGGGGPAARKQPLQSSMAQPKAETAAAAAAVAPPEGGKKCGGGGGRRRGGRGRGGRAGPGAGPGPGLAAAPAVVVAPAARAVIGPPVASKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRRVNRAIMSELVRLYRDSDLGGRLPAYDGRKNLYTAGTLPFDAREFVVRLTDDDDGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANRRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCRRPREQEMDILQTVQQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVNHWACINFSRSVQETTARGFCQELAQMCQISGMEFNSEPVIPIYSARPDQVEKALKHVYNMSLNKLKGKELELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTTSKSSTGTNGTSVKPLPAVKEKVKRSN >ONIVA06G23830.2 pep chromosome:AWHD00000000:6:22637347:22646649:1 gene:ONIVA06G23830 transcript:ONIVA06G23830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase [Source:Projected from Arabidopsis thaliana (AT5G43810) TAIR;Acc:AT5G43810] MREKKEERFWCSTSGWRGRRRGGGGAHMLEVLDMAPPRHQPAAGKAGGGRGHGHGHGHGGGGGGPAARKQPLQSSMAQPKAETAAAAAAVAPPEGGKKCGGGGGRRRGGRGRGGRAGPGAGPGPGLAAAPAVVVAPAARAVIGPPVASKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRRVNRAIMSELVRLYRDSDLGGRLPAYDGRKNLYTAGTLPFDAREFVVRLTDDDDGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANRRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCRRPREQEMDILQTVQQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVNHWACINFSRSVQETTARGFCQELAQMCQISGMEFNSEPVIPIYSARPDQVEKALKHVYNMSLNKLKGKELELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTTSKSSTGTNGTSVKPLPAVKEKVKRVMFYC >ONIVA06G23830.3 pep chromosome:AWHD00000000:6:22637239:22646649:1 gene:ONIVA06G23830 transcript:ONIVA06G23830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase [Source:Projected from Arabidopsis thaliana (AT5G43810) TAIR;Acc:AT5G43810] MLEVLDMAPPRHQPAAGKAGGGRGHGHGHGHGGGGGGPAARKQPLQSSMAQPKAETAAAAAAVAPPEGGKKCGGGGGRRRGGRGRGGRAGPGAGPGPGLAAAPAVVVAPAARAVIGPPVASKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRRVNRAIMSELVRLYRDSDLGGRLPAYDGRKNLYTAGTLPFDAREFVVRLTDDDDGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANRRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCRRPREQEMDILQTVQQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVNHWACINFSRSVQETTARGFCQELAQMCQISGMEFNSEPVIPIYSARPDQVEKALKHVYNMSLNKLKGKELELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTTSKSSTGTNGTSVKPLPAVKEKVKRVMFYC >ONIVA06G23830.4 pep chromosome:AWHD00000000:6:22637239:22646649:1 gene:ONIVA06G23830 transcript:ONIVA06G23830.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase [Source:Projected from Arabidopsis thaliana (AT5G43810) TAIR;Acc:AT5G43810] MLEVLDMAPPRHQPAAGKAGGGRGHGHGHGHGGGGGGPAARKQPLQSSMAQPKAETAAAAAAVAPPEGGKKCGGGGGRRRGGRGRGGRAGPGAGPGPGLAAAPAVVVAPAARAVIGPPVASKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRRVNRAIMSELVRLYRDSDLGGRLPAYDGRKNLYTAGTLPFDAREFVVRLTDDDDGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANRRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCRRPREQEMDILQTVQQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVNHWACINFSRSVQETTARGFCQELAQMCQISGMEFNSEPVIPIYSARPDQVEKALKHVYNMSLNKLKGKELELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYGITESFPPAYYAHLAAFRARFYMEPEMSENQTTSKSSTGTNGTSVKPLPAVKEKVKRVMFYC >ONIVA06G23830.5 pep chromosome:AWHD00000000:6:22646485:22651278:1 gene:ONIVA06G23830 transcript:ONIVA06G23830.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase [Source:Projected from Arabidopsis thaliana (AT5G43810) TAIR;Acc:AT5G43810] MVGKRVAVVVGGSVAGLACAHAVAEAGWEAVVVEKAAAPGAGSGTGAGLGLDAQSMEALARWIPGRLDAATLPLAVDLNRATDGETKAGRTLTRDEGFGFRAAHWGDLHRRLHEALPAGVTVLWGHQFVSFETAPEDGDGDGEGGVVVTARVLRTGETVEVAGDLLVAADGCTSAIRRRFLPELKLRYSGYCAWRGVFDFTGKEGCTTMVDIRRAYPELGNCLYFDLAHKTHAVLYELPKNRLNWLWYINGDEPELTGSSVTMKVSEATVSEMKEEAERVWCPELARLISETAEPFVNVIYDAEPLPRLSWCGGRVALVGDAAHPTTPHGLRSTNMSIVDARVLGCCLARRGDAETTPRRALAEYEAARRPVVAAQVLHARRLGRLKQGLGMGSAGDGEGFDARTATEEEISQLRQSSMPYFSGAPTTE >ONIVA06G23820.1 pep chromosome:AWHD00000000:6:22610595:22615386:1 gene:ONIVA06G23820 transcript:ONIVA06G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT2G25620) TAIR;Acc:AT2G25620] MEEHRLGGGGGGGGGGGRPPIPGAAGRKLPGLSRHASFGTVKVRGKGIRLVRSPANSTKSGTEKTFENMDAVAYMPVVRSGGWADIGSRHTMEDVFICSDNLMKEFGVESFEDGPSAFYGVFDGHGGKHAADFVCSNLARFIVEDEDFPREIEKALSSAFLQTDAAFADACSVNSSLASGTTALAALVVGRSLLVANAGDCRAVLCCRGKAIEMSRDHKPSCNREKVRIEASGGYVYDGYLNGQLNVARAIGDWHMEGMKACDGLGPLSAEPEVMIRNLTEEDEFLIIGCDGIWDVFRSQNAVDFARRKLQEHNDPVTCCKELVDEAIKRKSGDNLSVVVICFNSRPPPVLTTPRPRVQRSISAEGLRELQSFLDSLAD >ONIVA06G23810.1 pep chromosome:AWHD00000000:6:22606877:22608678:1 gene:ONIVA06G23810 transcript:ONIVA06G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSTVEFSAPTRTLNQKPPTRARRAPNRGRGSTAGSARWAPPPPPDRAHLYRQHPRGHHHPPPHPSSPQTRRATRQRPRSRSIPPPKQQQPPRCAAAAGTDVDELRRRLVPARLGPRLRRHRPNPRPPRLLLLLLLLLHRRPRTQARRPRRPRRRQAAFPKGAGGGVAAGEGVQAERAAGGRREGEAGQGGRGRRAVPAQGGPRGARRLRAPPPRAPRHVRLLPRRPRRRRRRRRGYKARRLGHRRRVRAHLRGQGRRLDARRRRPLEDVRRVLQADPSHEELRGRQLIAKTIIQIIDPTTRNDAMPQLICLSVCLSELVRLKVCAMEEAWLYRSSMHMYLYRSRISDR >ONIVA06G23800.1 pep chromosome:AWHD00000000:6:22595574:22596587:-1 gene:ONIVA06G23800 transcript:ONIVA06G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIWGSGSRRRGMKVAAVDDTMREVAAGDAARRWRRPDPCSAGERQPAAGKEGGGGRRRGCAGVGGGGWWRLQLDGVRVGDCGCRRRLAAGEEAAGGEASAAMVTAEAVTVAAATDAPAGDEAAASELWRRGEAGMAAGKDVATGVRTRCHRPETGDDGRERTAVAAAATASRGRGRRLHLADAATVGGGSETGLAQRGAADGSGDRLGVRGAGGGDGGRLGARGAAGGRGGVLGVRRSCRWMWRGLRRTKAGRRGAPVQWSHMSAEVEWWWSIGASAMDSWVVSSG >ONIVA06G23790.1 pep chromosome:AWHD00000000:6:22589462:22592208:1 gene:ONIVA06G23790 transcript:ONIVA06G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSGDEERLRGVVFTDAKEQQRGVGMRTATQSKDEDGGVEQQRREDDNSNWPGADIVPPWRPSRSPSRRGRDLSAKAIGTEVTNVSTKRIGADFWNLAMSLMGALPGAEI >ONIVA06G23780.1 pep chromosome:AWHD00000000:6:22581031:22585760:1 gene:ONIVA06G23780 transcript:ONIVA06G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HT38] MAGSIAASAFLPGSPAAAPPKSVLGERPDSLDVRGIAAKPGSSSSAAALRAGKTCTHAAIPKVNGGSSALADPEHDTMSSSSSSAAPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLTDTFGFGRMIHEGLMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKSAGLLGDGFGSTPEMSKRDLFWVVSQMQAIVERYPCWGDTVEVDTWVGAHGKNGMRRDWHIRDSVTGHTILKATSKWVMMHKLTRRLARIPDEVRTEIEPYFFEHASIVDEDNQKLPKLPDIEGANVAKYVRTGLTPRWADLDINQHVNNVKYIGWILESAPISILEKHELASIVLDYKRECGRDSVLQSHTTVYTDCNKHSGQTTLHCEHLLSLESGPTIVKARTMWRPKGTRPQESIIPSSS >ONIVA06G23770.1 pep chromosome:AWHD00000000:6:22558940:22564968:-1 gene:ONIVA06G23770 transcript:ONIVA06G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKQGQPPRVAGTPPTLPGAITHTTQVGYPAVFYNGNWGAQVPASSYLIVPMSEPPAQVGVPRPNAPGLSGSGARPLSRVSLRPPQQVLSVQTALPGMAAMMPSPSMIAGKKMAASPKVQMLKSVPFRSAGSKRPAQELLPKAQPQLFESVRSKFRETLAAALNMDSDQQCAPQSVETVSHVGSASENKQADGAGIDSVTETSALKSGQHNMLSSNSASNMSIKVSDDMQQQSIHVPLENKVLDNNSCTLDELLQGHGLCWSSDVVGASETISQSDPDRVRKSDIDEGVDVSLIEHESKRIKTDDAAAEEKKSVTQKAQILAFEIEGELFTLLGGVNKKYKEKGRSLLFNLKDKSNPVLRGRVLSGDITPKRLCSMTTEELASKELSDWRLAKAEELAKMVVLPSKEVDVRRLVRKTHKGEFQVEVEETDGISVEVGIGGDLLSHVPSRPTEGQTKTDDKSVHTEEKESDNSEQDGVIVTGGNNMPSNLEHTENEKTDLMQELMVDDLKDTENLPPIMSLDEFMETLDSEPPFEDDSTQTVKDDPNSIEKTDISLKSEDSSKNVDSASASDSQLDPQTLSPQDKFESKLQSPKKGAGSILFPVEQIKEDLLVKSSPEKANAENIDTGSQSIPESITDCKSAPDALLTHDSVWEGTIQLSLSSLTNVVAIFKSGEKTSTNEWRHFLDIKGRVRLSAFQEFLEQLPKSRSRAIMVTELRWKEGSLESGRQHLLQTIDSYSADERVGLVKPADGVELYLCPSQGKAAQILAEHLPKEHSSSLTVTGTSAIGVVVWRRPHVSPRIPARNDGSRNQSISRKQHAVIASAVPLSSKPTNERQHHGQDVVTDDVPPGFGPGVVREDDDLPEYDFVTVPNAAANVVPSRQAHRSQQQHSQAASRRPVDHVREMVRKYGSRSAAAAQPWEEDDDDDDIPEWDPNQSNLNLQQTRHAIPQPPLPPPGPVHQQMHAYHQQHQQQQQHYQSIQQYHATQESQNTLSQAYYVQSHSQQHSVPVQQLTHLQPGWQTTAQWLAAGAAHSGLPANNVVQQYCTSATPDGSGQGYATGNQGSMPWNLQ >ONIVA06G23760.1 pep chromosome:AWHD00000000:6:22554232:22556700:1 gene:ONIVA06G23760 transcript:ONIVA06G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAAAAAAAAATVTRVAQRVVAPSAATPGGALPLSWLDRYPTQRALIESLHVFKGRADAAAAPAAAIERALAAALVSYYPIAGRLAERGDGGELVVDCTGEGVWFIEATASCSLEDVDYLEYPLMVDKDELLPHPTYPASESHPEDSLILLVQVTQFACGGFVVGFRFSHAVADGPGAAQFMTAVGEIARGRAAPALAPAWGRDAIPCPPSAAVGPLPVPTELRLQYLAMDISTDYIDHFKARFLEQTGHRCSAFEVLIAKAWQSRTRAAGFAPGSPVHVCFAMNARPVLRRALPDGFYGNCYYIMRVTAAAGAVADASVNDVVRLIREGKKRLPGEFARWSGGGGGGEDDPYRITSDYRTLLVSDWSRLGFAEVDYGWGAPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADAVDAFHNDMMRLD >ONIVA06G23750.1 pep chromosome:AWHD00000000:6:22528376:22530210:-1 gene:ONIVA06G23750 transcript:ONIVA06G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFAVTKVSEGPVRPSAATPSETLPLAWVDRYPTHRGLVESVHVYLRRDDAAVEAVAPVADDGGVVVEGKKKEKKPAAAVVRGALADALVHYYPFAGRIVEDERSPGRPAVLCSGEGVYFVEAAANCTLADVNHLERPLLLSKEDLVPCPTPEQWPVEPHNSLAMIQVTTFTCGGFVIGLRTNHAVADGTGAAQFMNAVGDLARGLPEPRVKPIWARDRFPDPDIKPGPLPELPVLPLQYIAFDFPAAYLGKLKAQYAATAGAGKICSAFDIVIAKLWQCRTRAIAADPAAAVKLCFFASARQVLGLETGYWGNAIFPVKVSAAAGEVAASSVIELVGVVREAKRRMAGECLSWAEGRTGGADPFQMTFDYESVYVSDWSKLGFNDVDYGYGAPSSAGPLVNCDLISSVIVMRAPAPLAGTRLLASCVTKDHADDFAARMREDLV >ONIVA06G23740.1 pep chromosome:AWHD00000000:6:22516885:22518030:1 gene:ONIVA06G23740 transcript:ONIVA06G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNELIPGLPEEVARECLIRVGFDQLPAVRRISRQWKAEVESPAYNRLRKAEGLARPALALVQARRELAEAGPAADKQSSAGGVPGNSYRMVLLDPAEGRWTPLPEVGGASGSLPLFCQVAAVDGGVEGRKRLVVVGGWDPETWAPTDSVLVYDFLTGAWRRGAAMPGPRRSFFACAAVGGKVFVAGGHDEEKNALRSALAYDPDADAWAALPDMAEERDEPRGLCVDGKFLVVGGYPTPAQGRFVGSAEWFDPATSTWSAVQEGFVDDGACPRTCSAAPEAGDRMYMLRDGHLVARHGAISSAPAAWRPVAPVPEDARTAAAVSVIPDGRVVVIGSDCHGGDQTVYTLREEAGKPASWARAPAPPEFSGHVQAACLLEI >ONIVA06G23730.1 pep chromosome:AWHD00000000:6:22501048:22510570:-1 gene:ONIVA06G23730 transcript:ONIVA06G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVNAARRVVSKALSPLSGGFVEAWVASKELGPNVGAVKMELLYAQGMLDNARPQPRAEAAAPGAAWPRPRRVDAEDVLDELDYFRIQDELDGTCEAADEHAKGCVVHNLFLNTRHTALSLASNLVLLPCAGDDHPDADGRRSGEDKNRQIGSSCAGGGQVMNRQIGSSPSRTLHAAADEEAVASNCCMHKLSPSARGNTHHIGSQFLRCTCSCGRVLQREDTMKTPKLKFDRVDLSQRMKRIVEQLKPLCAKVSTILNLELLESNRSIGQYIAMSLNAEFSKKPGHAPFMGEWMKKNTTIKNITHGDYCDKDLTIIPIVGPGGIGKTTLAQYIYKEVHNYFDVTVWVCVTPNFNVYRLKEDIAKSIPQLKDEKNSVVEMVKTIDSPIQLKGLDPQEFWELFKASVFGDEKSANDHANLLETGKMISKKSEGFPFGSENSWEDQNKKIEDIGLSRLNDLVSYGFFEKLVENGSSYYVMHDLLHELALKVSSYECLTICSSNVKSIQILPSIRHLSIVVDDMDVNDRVTFENIKKDFITLSKRLDVGKLHSLMLFGQYHGSFITPFSDLLRKARALRIVLLSMPSYAIVSILHNFSKLVHLRYLRINGGKFSELSLPNIISRFYHLRILDVRQCKGHFGLPRDMNNLVKLRHFVVQDDKLYSDIVNVGKLKCLQELRRFEVKRQVKAFALSQIGQLDELKGSLGIYDLENAKAAEEAELLNKSHLHKLILDWNVNHSTKDYSQEEHILENLRPHSNLRELHIQGHGGTTCPSWLGPNLSIKGLQSLCINGVCWDKFPPLGGLWLVNKHGEKFLACASGRSFQYLKRLELVAIPRLAKWAGNDAFCVLSLLEEFIVRECPELIELPFSHSTCPWSRQEMNLSQFSRLQNLEIAKCPKLLPLSPLPWTSSPCHVLIKEVGSHFHLLDYQRNNQSEQGLQIEGKDGPLDSTFWKLLALSNLTELRELKMKKCPPLPLKHLKLLSALRRLSITDSGIALLPTDCESTVTYHFLVEQLEIYECSASGIEMTQLLSYFPKLMNLRIEKCQKITGLGVVGQEMMATLASPPSLSYNKSEDAQIGNDQQQPRGDNGIASVVTELGHQTLLKADPAARFICRRHNTQPNKRCRRRITIPALPPIIVYKALSQFSLLLLTLLVLFPISILPSRSGYY >ONIVA06G23720.1 pep chromosome:AWHD00000000:6:22489312:22496787:1 gene:ONIVA06G23720 transcript:ONIVA06G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHVTMRALLSRIHPPGPGSISTTSFLQCPSSTAASASKTLAPTLTFRRFAAMAAAAAEEFVKGRVTPNGVAVITLDRPKALNAMNLEMDLRYKAFLDEWETNPSVKCVLVESSSPRAFSAGMDIKGVAAEIQKDKSTPLVQKRTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGSYLGMTGKRISSPADALFFGLGTHYVPSANLGPLRESLLSANFTDDPHRDVESLLTKFKNEPESGPQLDKFLPYIISSFGPDKSVAESVEELKKCSQSDDAAVAEWANEALAGLKKGAPFSLCLTQKHFSQVASAYRNNEHYLSKLAGVMKVEYRIALRSSVRNDFVEGVRAVLVDKDQASYPCDLNPKWKPATLEDVDKGEVESVFEPLAAEAELNV >ONIVA06G23720.2 pep chromosome:AWHD00000000:6:22489312:22496787:1 gene:ONIVA06G23720 transcript:ONIVA06G23720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHVTMRALLSRIHPPGPGSISTTSFLQCPSSTAASASKTLAPTLTFRRFAAMAAAAAEEFVKGRVTPNGVAVITLDRPKALNAMNLEMDLRYKAFLDEWETNPSVKCVLVESSSPRAFSAGMDIKGVAAEIQKDKSTPLVQKRTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGSYLGMTGKRISSPADALFFGLGTHYVPSANLGPLRESLLSANFTDDPHRDVESLLTKFKNEPESGPQLDKFLPYIISSFGPDKSVAESVEELKKCSQSDDAAVAEWANEALAGLKKGAPFSLCLTQKHFSQVASAYRNNEHYLSKLAGVMKVEYRIALRSSVRNDFVEGNPKWKPATLEDVDKGEVESVFEPLAAEAELNV >ONIVA06G23720.3 pep chromosome:AWHD00000000:6:22489312:22496787:1 gene:ONIVA06G23720 transcript:ONIVA06G23720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHVTMRALLSRIHPPGPGSISTTSFLQCPSSTAASASKTLAPTLTFRRFAAMAAAAAEEFVKGRVTPNGVAVITLDRPKALNAMNLEMDLRYKAFLDEWETNPSVKCVLVESSSPRAFSAGGDVKRLANDCTMPEIIERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGSYLGMTGKRISSPADALFFGLGTHYVPSANLGPLRESLLSANFTDDPHRDVESLLTKFKNEPESGPQLDKFLPYIISSFGPDKSVAESVEELKKCSQSDDAAVAEWANEALAGLKKGAPFSLCLTQKHFSQVASAYRNNEHYLSKLAGVMKVEYRIALRSSVRNDFVEGNPKWKPATLEDVDKGEVESVFEPLAAEAELNV >ONIVA06G23710.1 pep chromosome:AWHD00000000:6:22472366:22472806:-1 gene:ONIVA06G23710 transcript:ONIVA06G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIPLVSKSLSSCVNQIEAIYSPSPAWPSEWRRRRKSSSKTRQPAGWARRRRPLAACRRRGDDCGSTRLNSGPARRRRPLASTQEARRQPWVGAALLRASAAATTVGRCAGRFGGSAKERRGLGQEACRQPRASTVRRDGVLRTG >ONIVA06G23700.1 pep chromosome:AWHD00000000:6:22457775:22459142:1 gene:ONIVA06G23700 transcript:ONIVA06G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSFTVHRREAVLVGPSVSTPCETKRLSDIDDQESLRYHVPGLLVYRGGQPPAPCVRDNDPSGIIRAALSRALVHYYPLAGRLREVEGRKLVIDCSGEGVVFVEADADVRLEEMEAAAAGGHGLRPPFPCVDQLVPDVRSSGRGGSVLSCPLVGIQVTRLLCGGFIVGTAVNHSVCDAMGIVQFLNAVADIAGGLPAPAVHATWSRELLDARSPPAPAFPHREYDMIDILPGGGREADNIVRSFLFSSTDIAALKEEALLPHPEHRLRGGSSTATSFEVLAAFLWRARTAALEIPADEEVRLVAVVGFSRIAALGLPSGYYGNTCAYPTVVMTAGELLRGCTLGDVVRLVQEAKAAVTAEYVRSTAECLVLRRRPRLARTNLFVVTDVRRVGFDRVDFGLGDPVYGGPARALPTVSLLVNVKGSSNVVGAVVSLPSLVMGRFSAELESFLNT >ONIVA06G23690.1 pep chromosome:AWHD00000000:6:22443534:22446984:1 gene:ONIVA06G23690 transcript:ONIVA06G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSVSSVVIPILVVLVSRLLTSALVHLLWKPYAITKLFRGQGITGPKYRLFVGSLPEIKRMKAAAAADEVAAGAHSHDFIPIVLPQHSKWATDHGKTFLYWLGAVPAVSLGRVEQVKQVLLERTGSFTKNYMNANLEALLGKGLILANGEDWERHRKVVHPAFNHNKLKFMSVVMAESVESMVQRWQSQIQQAGNNQVELDLSRELSELTSDVITRSAFGSSHEEGKEVYQAQKELQELAFSSSLDVPALVFLRTMLMEIIEGRLAKVEAAEAGYGSDLLGLMLEARALEREGNGLVLTTQEIIDECKTFFFAGQDTTSNHLVWTMFLLSSNAQWQDKLREEVLTVNMVLLESLRLYSPVVIIRRIAGSDIDLGNLKIPKGTVLSIPIAKIHRDRDVWGPDADEFNPARFKNGVSRAASYPNALLSFSQGPRGCIGQTFAMLESQIAIAMILQRFEFRLSPSYVHAPMEAITLRPRFGLPVVLRNLQG >ONIVA06G23680.1 pep chromosome:AWHD00000000:6:22442000:22442389:-1 gene:ONIVA06G23680 transcript:ONIVA06G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAARRRGRWRRIWRLCWWVEPDPVPAFPPAAAAMLGRALNDARDAAMLGRPASPTAAALGVGSGRERRIQRLCCWEEPDPAPTSPPAAAAMLGRALSDARDAAMLGRPASPPAAALGVGSGRGGGAG >ONIVA06G23670.1 pep chromosome:AWHD00000000:6:22433565:22433960:-1 gene:ONIVA06G23670 transcript:ONIVA06G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFISLLLVVFQDLIQRICIAESLMGHWLPCRKDGKASSNSHHGVTAPSAAVVSGTGARRLLGEGTAGSGHRSTSPVRTPRRSDWAAATARRGGAASGGVGSGRGGEGAASGGEELGQLGRRRAGRARV >ONIVA06G23660.1 pep chromosome:AWHD00000000:6:22427221:22428031:1 gene:ONIVA06G23660 transcript:ONIVA06G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQLEEVKFSHARLLYLTLVITVLFACLMVGSTYAYNSTDIHEQHYWFIAALLAKYLRDGAGYIVTANRLLQVHRHTCITSSSQPLAISKILREEAAGITVEDR >ONIVA06G23650.1 pep chromosome:AWHD00000000:6:22411811:22413788:-1 gene:ONIVA06G23650 transcript:ONIVA06G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hemoglobin 3 [Source:Projected from Arabidopsis thaliana (AT4G32690) TAIR;Acc:AT4G32690] MQSLQDKASEWSGVAAGDAFAIDDGNVFEALGGTTQPFVDLSTNFYTRVYEDEEEWFRQIFAGSKKEDAIRNQYEFLVQRMGGPQLFSQRRGHPALIARHRPFPVTHQAAERWLHHMQQAVDTTDSIDAATKTKMMHTAYFLVAGNEMTRQGHGTSCKCKHGESKPAE >ONIVA06G23640.1 pep chromosome:AWHD00000000:6:22410537:22411012:-1 gene:ONIVA06G23640 transcript:ONIVA06G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRLGPPAARDGIPDPSIAHALRQFASRQREPAGRLAAAAVTGDRREWGDDRASTARGVRARLARVQPSPRASWEARRRRDRRSTRLARVRLSGRGPVVPPRQGRRQAALPCHCDRGRVEAAGEALGRPALPLRPGAREAAGHRA >ONIVA06G23630.1 pep chromosome:AWHD00000000:6:22408169:22408616:1 gene:ONIVA06G23630 transcript:ONIVA06G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFARSARSLALLQLAALLVAYARCWWIQATLSSPMIRVRAGSTEFPVRLPVSTAFLALRPPVSTACRVLRLLPSTAFLVRLPVSTACPALRLVPIVCPVLRAITNKRVAQP >ONIVA06G23620.1 pep chromosome:AWHD00000000:6:22404344:22404974:1 gene:ONIVA06G23620 transcript:ONIVA06G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSERKGDITRRGSGQCIRGKRTSRLGVSSALFKSLSLLRRRQCQRLPPHCRQSSPHTPAPYGRRRAGSTAGARCHPHQRHEPIAREWGRRRGGQASISDQVVGALRSDWPHHAEPFLDKILTATREFFHLSPEEKVMYSNMVDADVAVVRGSFPRSTVSTTSTPTGRSSTGATGYTSRSSQRRNVGWISSQNTC >ONIVA06G23610.1 pep chromosome:AWHD00000000:6:22402782:22403637:1 gene:ONIVA06G23610 transcript:ONIVA06G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTSIFPFPAPAMLCATTGLAAPAQADYVPTPFRDLCRTVRRRRRHRRRRCRHRRTNRSRLRDELMMTVHAGRPAVMMPLNYICIWLIKYVRVIKTYAHQRLHTHIVRAANGRALALLSGVQR >ONIVA06G23600.1 pep chromosome:AWHD00000000:6:22390666:22391308:1 gene:ONIVA06G23600 transcript:ONIVA06G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTGRTSLLATLVVVVSAVIMACSVDRQLITVPKTTTSTFPVAVVRAATGLGDPATIP >ONIVA06G23590.1 pep chromosome:AWHD00000000:6:22369598:22371079:1 gene:ONIVA06G23590 transcript:ONIVA06G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HT17] MAMEKSPPPAPHFLFVVSGIQGHINPARRLAARLMASAPAARVTFSTAVSAHRLMFPSLSSPAGEDVDDTGVAYVPHSDGYDDGYKPGVHARDDYMARTRAAGTESLSAIVAALAARGRPVTCIVYTFLVVWAPAVARALGIPSAIYWIQPAAAFAVYYHYFHGHGEALASCANDPARGAVVRLPGMPLLRSDELPSAVSIVSPEHKHYLLLAMLRDLFEDLDELKPRVLVNTFDALEPDALRAVPDLEVVAVGPVVPDGEASLSSSSTDMFRRDDASACVDWLDTKPARSVVYVSFGTLLSMSKRQEEEMRHGLEATGRPYLWVARQGAVDGGATLDSAPTPAADAGGGGGEGDAQGMVVEWCDQMKVLSHPAVGCFVTHCGWNSALESITRGVPMVAVPQWTDQPTVAWLVEARMGAGVRARLDGEGVVERGELQRCVELAMAGGGDGGVRARAERWRERAAEAVAAGGSSERNLRAFASGAVTQAVCSSR >ONIVA06G23580.1 pep chromosome:AWHD00000000:6:22362903:22366513:1 gene:ONIVA06G23580 transcript:ONIVA06G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARKGRGGGGPPRPRVVDGGGGSMVSRVAVLAFCVAGIWSAYITQGVLQETLSTKRFGPEARRFDHLAFLNFAQNVVCFVWSFIMIKLWSSGGSSSAGRAPLRKYWGVSITNTVGPAMGIEALKFISYPAQVLAKSSKMIPVMLMGTILYGVKYTFPEYICTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEAVRFCQENPEVAWDILLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVISSVISGNPLSLKQWGSVVMVFSGLSLQIFLKWKRKKVRDHKE >ONIVA06G23580.2 pep chromosome:AWHD00000000:6:22362903:22366513:1 gene:ONIVA06G23580 transcript:ONIVA06G23580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARKGRGGGGPPRPRVVDGGGGSMVSRVAVLAFCVAGIWSAYITQGVLQETLSTKRFGPEARRFDHLAFLNFAQNVVCFVWSFIMIKLWSSGGSSSAGRAPLRKYWGVSITNTVGPAMGIEALKFISYPAQTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEAVRFCQENPEVAWDILLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVISSVISGNPLSLKQWGSVVMVFSGLSLQIFLKWKRKKVRDHKE >ONIVA06G23570.1 pep chromosome:AWHD00000000:6:22357859:22359162:-1 gene:ONIVA06G23570 transcript:ONIVA06G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKNFERMIRNAFCPQYTQVSRKTTKNFIVSLYRSRLDELKRLFSIVSFSFVVTSDIWTSKHQRTSSLEVVEAAFISIGDAVGLDYSEAYQHARDELFRVFRLYQTKLSVACWVPEETTQKK >ONIVA06G23560.1 pep chromosome:AWHD00000000:6:22357386:22357843:-1 gene:ONIVA06G23560 transcript:ONIVA06G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWKKIKGKEQASSSGSRSNWNPDAELNHYLNTNRTEHDRALDGENVDLFEWWKEKERTLPMLAHFARDVLLVLASCVSSEHALVRIIEEWRSCLAPDIVEAIFCLKDLIEAYARTQHRLEDPEIADAAADALAEFGISTDCGGANQN >ONIVA06G23550.1 pep chromosome:AWHD00000000:6:22352913:22353380:-1 gene:ONIVA06G23550 transcript:ONIVA06G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multiprotein bridging factor 1C [Source:Projected from Arabidopsis thaliana (AT3G24500) TAIR;Acc:AT3G24500] MPTGRLSGNITQDWEPVVLRRTKPKAADLKSTRAVNQAMRTGAPVETVRKAAAGTNKAAAGAAAPARKLDESTEPAGLGRVGAEVRGAIQKARVAKGWSQAELAKRINERAQVVQEYESGKAVPVQAVLAKMERALEVKLRGKAVGAPAAPAGAK >ONIVA06G23540.1 pep chromosome:AWHD00000000:6:22346156:22352001:1 gene:ONIVA06G23540 transcript:ONIVA06G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESESNGHHHAGDEARTENSGAGCRHGGDDGGGTPRTTPEIRYTKLFINGRFVDAASGKTFETRDPRTGDVIARVAEGDKEDVDLAVKAAREAFDHGEWPRMSGSERERVMAKYAEVVERHADELAALESLDAGKPLAAARAVDVGECVGILRYFAGAADKIHGETLKMSRQLQGYTLREPLGVAGLIVPWNFPAIMFFSKVSPALAAGCTVVVKPAEQTPLSALFLAHLSKQAGVPDGVINVVTGFGPTAGAAISSHMDVDVVAFTGSTEVGRLIMEASAKSNLKPVALELGGKSPFIVFDDADLDKAVELAIGGNFFNKGEACVAGSRVFVQEGIYDRFEQKLADTMKSWVVGDPFDPRVNQGPQVDKAQYERVLGYIEQGKAEGATVLTGGKPCGKKGYYIEPTIFTNVKDDMVIAREEIFGPVMCLMKFKTVEEAIERANGTRYGLAAGLVTRDIDVANRMARSIRAGVVWVNCYFAMDRSCPFGGRKMSGFGKDDSMHALDKFLAVKSVVTPVHGSPWF >ONIVA06G23530.1 pep chromosome:AWHD00000000:6:22328434:22329852:-1 gene:ONIVA06G23530 transcript:ONIVA06G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HT10] MSPTPRPHFLVLTFPLQGHIAPALRLARRLLAAAPDALVTFSTAAAAHRRMFAEGEGGDGDGRLELLPFSDGTENGFVKRGDAAELGAYMASFHASGRRSVGEMVDALAARGRPVSSVVYTLLLPWAADVARDRGVPSALYWIQPVAVLAIYCHYFHGLGGVVDEHRRDHSFVLEFPGLPPMAAGDLPSFLTEATDPSDYFHSIFTTFRDLFDALDRETPKATVLVNVFQELEADTLAAVGAYDVLPIGPVLPSGDDAALFKQNDAKYMEWLDTKPAGSVVYVAFGSLTVMAKGQVDELLHGLEESGRPYLCVVRKDNKAAVAETGDATAAAAEAAARRNGVVVEWCDQVRVLSHAAVGCFVTHCGWNSVLESIASGVPMVGVPRMSDQQMNARLVERDWRVGVRAEVDGGDGVLRAAELRRRVEEVMGDGEAAEVRRSAAAWKRAVAEALGKGGSSDRNLTAFVEGARSVI >ONIVA06G23520.1 pep chromosome:AWHD00000000:6:22319230:22319490:1 gene:ONIVA06G23520 transcript:ONIVA06G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMLETGGFGLDDSVAPLWQNRSLAKVVRLVENRGGEDRCGKGAAGKSLAQGFPELATMTSLSAMIAPWGVVELPPSHSLVGLSR >ONIVA06G23510.1 pep chromosome:AWHD00000000:6:22315980:22317123:1 gene:ONIVA06G23510 transcript:ONIVA06G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAITGRRPVARLRAIIQLVLLVLVIGSGILVVPAAGSTGKTGGIPAAGTGRSGYGTSTNWYGWSVYRITVQDIWQRG >ONIVA06G23500.1 pep chromosome:AWHD00000000:6:22310048:22310412:1 gene:ONIVA06G23500 transcript:ONIVA06G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFGETPAVAVMEEDVPVTKLRGSCDMVAVGGDDKAALETGGSGLADNGALVRRNRILAKVVQLVMHWCGDDRKKLSPGFPEPTTMMSPSAMITPWVIIELPPCHSLIGLSR >ONIVA06G23490.1 pep chromosome:AWHD00000000:6:22297980:22301967:-1 gene:ONIVA06G23490 transcript:ONIVA06G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGSHSIPVLVTILLACFSCFLITAFSLVPTAPLHDASDTTDFQALLCLKLHLNDNAGVMASWRNDSSQYCQWPGVTCSKSHTSRVTELNLESSNLHGQIPPCIGNLTFLTIIHLPFNQLTGNIPPEIGHLRRLTYLNLTSNGLTGTIPEALSSCSNLQIIDISNNSIDGEIPSSMNKCSNLQAICLFDNKLQGVIPEGLGTLSNLSVLYLSNNNLSGNIPFSLGSNSFLNVVILTNNSLTGGIPPLLANSSSLILLDLTNNRLGGEIPFALFNSSSLNLISLAVNNFVGSIPPISNISSPLWYLSLSQNNLSGSIPSSIENLSSLEILYLSQNNFQGTIPSSLSRIPNLQELDLTYNNLSGTVPASLYNMSNLVYLGMGTNKLIGEIPDNIGYTLPNIKTLILQGNQFQGQIPTSLGIAKNLQVINLRDNAFHGIIPSFGNLPDLMELNLGMNRLEAGDWSFLSSLITSRQLVQLCLDKNILKGTLPSSIAKLSTSLQVLLLTGNEISGTIPQEIEKLTSLTLLYMEKNLLTGNLPNSLGNLPNLFILSLSQNKISGQIPPSIGNLSHLSELYLQENNLSGPIPSSLGSCKNLEALNLSCNSFDSSIPKELVTLSSLSEWLDLSHNQLDGEIPSEIGGSINLDILNISNNRLSGQIPSALGDCVHLSSLRMEGNLLDGRIPDSFINLRGIVELDLSQNNLSGKIPEFMESFGSMKLLNLSFNDFEGQVPTEGIFQNASEVFIQGNKKLCGTYPLLQLPLCNVKPSKGKHTNKILKIVGPIAICLALTSCLALILLKKRNKVKQASDPSCKELKTFTYADIVKATNGFALANLVGSGKYGSVYKGRFEFEEQPVAIKVFKLDQVGAPKSFLAECEALRNTRHRNLVRVITACSTCDPRGHEFKALILEYMANGSLESWLYPKVNKYGLEKPLSLGYRIKIAVDIASALDYLHNYCIPPMVHCDLKPNNILLDDVMGARLGDFGLAKFLESNSSSKFNSSTSLAGPRGSIGYIAPEYGYGSKVSVEGDVYSYGIIILEMLTGKTPTDQMFSNGLNIRKYVESTFFSHKIGEILDPNIIPNFEEDTENNCDPENHVMTGMLSCVMQLAKLGISCSMETPKDRPAMQDVYAEVIAIKEAFSALRV >ONIVA06G23480.1 pep chromosome:AWHD00000000:6:22294737:22305793:1 gene:ONIVA06G23480 transcript:ONIVA06G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAGGFIAATTGDPLNDGSNGDGVPVGNTNRQKDRHARRRERGVREIEEVEATSINKLYLLLSHLISSSSSPHLFLFLLSSFSSSPLLPIAAAAGWRWIRKGRRPATTGSEGGGGQAAASREGPLLAAAGAEADRLGNGRRLVQFSDSRCVALATSDTGPLTVLRRIISPGSHDSGIVIEMELEAKQSLKIVGTRENAVMRKHEKHANKIVTRTGMLWEPKGAISFANENYNVSNRMPAITGRRPVARLRAIIQLVLLVLVIGSGILVVPAAGGTGSSGHGIEVGWDGQLCTDH >ONIVA06G23470.1 pep chromosome:AWHD00000000:6:22282011:22285079:-1 gene:ONIVA06G23470 transcript:ONIVA06G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLFVGVLLTCFLLFSSASGNGSGRVFSTSQAGKCVNAMGGTGVCKELVNGYYINHEKGNERTGYITNTYEVRYGFIATMDVYGFSYGSVWIITDNGDAPASSLEVFQIGWRVKPGDERPVFDLYCKTSDPSSPLTDPSHMDEDCPGFRPERGAYIRPGDPIPGISQPNGAKQYITLKVFKDMASGDWLVHYGFNNKDPELVGRIPLSFFKSLSYSAINIWFGGIVVTNVTFQPTPLPPPMGSGYMAVDGGRAWSAENDLIGFSTNENIYTFTSIVGDQFFYGGPFRQASLGAILRTHVLYSFLLMFFFYYLLS >ONIVA06G23460.1 pep chromosome:AWHD00000000:6:22280520:22287183:1 gene:ONIVA06G23460 transcript:ONIVA06G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLASQPDSWGCPPCPARPWAGPTREEAVLLQLPTQPDAAEHQQLQAAQHQQLQAPQRPGVVACADGSTGRGLGGERENGQGRFELRPAGGCGRRPLQAPLSAAPRACSPAVAASSRARPSLPAACFALQRSIYLLVHRRHSQPRLPAAARCHVSETGQTAEKDDRGPVPRAVLTLLKEDGVSIVFGEGKEAPRYVESFRSQQSRQSSLTWL >ONIVA06G23450.1 pep chromosome:AWHD00000000:6:22274861:22279626:1 gene:ONIVA06G23450 transcript:ONIVA06G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLRVVSIGCLYLFDFLCFLPIAMSDQTETDRHALLCFKSQLSGPTVVLASWSNASLEHCNWHGVTCSMRVPRRVIAIDLPSEGIIGPISPCIANITSLTRLQLSNNSFHGGIPSELGLLNQLRNLNLSRNSLEGNIPSELSSCSQLQILDLQSNSLQGEIPPSLSQCVHLERIFLANNKLQGRIPSAFGDLPKLRVLFLANNRLSGDIPPSLGSSLTLTYVNLGNNALTGGVPKPMLNSSSLQQLILNSNSLSGELPKALLNTLSLISIYLNQNNFSGSIPPVKTVSPQVQYLDLGENCLTGTIPSSVGNLSSLLYLRLSQNCLDGSIPESLGHIPTLEELNLNLNNFSGAVPPSLFNMSSLTSLVAANNSLTGRLPLDIGYTLPNIEGLILSANKFKGSIPTSLLNLTHLQMLYLADNKLTGIMPSFGSLTNLEDLDVAYNMLEAGNWGFISSLSNCTRLTKLMLDGNNLQGNLPSSVGNMSSDLQRLWLTNNKISGPIPQEIGNLKSLTELYMDYNQLSEKIPLTIGNLRKLGKLSFARNRLSGQIPDDIGKLVQLNNLNLDWNNLSGSIPVSIGYCTQLEILNLAHNSLDGTIPEMIFKISSLSIVLDLSYNYLSGSISDEVGNLVSLNKLIISYNRLSGDIPSTLSQCVVLEYLEMQSNFFVGSIPQTFVNMVGIKVMDISHNNLSGEIPQFLTLLHSLQVLNLSFNNFDGAVPTSGIFANASVVSIEGNDYLCTKTPMRGVPLCSKSVDKKRNHRSLVLVLTTVIPIVAITFTLLCLAKYIWTKRMQAEPHVQQLNEHRNITYEDVLKATNRFSSTNLLGSGSFGTVYKGNLHLPFKEKDNLHLQEEHIAIKIFNLDIHGSNKSFVAECETLQNVRHRNLVKIITLCSSVDSTGADFKAIVFPYFPNGNLDMWLHPKSHEHISQTKVLTLRQRINIALDVALALDYLHNQCELPLVHCDLKPSNILLDSDMVAHVSDFGLARFVYTRSNAHQYTSTSLACLKGSIGYIPPEYGMSKDISTKGDVYSLGILLLEMVTGSSPIDEKFNGGTTLHEFVDAALSNSIHEVVDPTMLQDDVSVADVMERCVIPLVKIGLSCSMALPRERPEMGQVSNMILRIKHAASNMSVK >ONIVA06G23440.1 pep chromosome:AWHD00000000:6:22273033:22279626:-1 gene:ONIVA06G23440 transcript:ONIVA06G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRIAAHGESAATGYRRSASRVLGLPRSKRRQKAGGAWDWEGSGPSRQLGLPRLGASHSSAVTHPEQQTVMSLINGCGAGGDPPPARRDTEHPAAASDCGSPSAAQPPVAAVSCPAAAAINNPPPPPAAPSHDSDRAPRGIPHTPTIDPIHNPPDPRHPPPGPP >ONIVA06G23440.2 pep chromosome:AWHD00000000:6:22274210:22279626:-1 gene:ONIVA06G23440 transcript:ONIVA06G23440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRIAAHGESAATGYRRSASRVLGLPRSKRRQKAGGAWDWEGSGPSRQLGLPRLGGDLSSRVDN >ONIVA06G23440.3 pep chromosome:AWHD00000000:6:22273033:22274129:-1 gene:ONIVA06G23440 transcript:ONIVA06G23440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLINGCGAGGDPPPARRDTEHPAAASDCGSPSAAQPPVAAVSCPAAAAINNPPPPPAAPSHDSDRAPRGIPHTPTIDPIHNPPDPRHPPPGPP >ONIVA06G23440.4 pep chromosome:AWHD00000000:6:22273033:22279626:-1 gene:ONIVA06G23440 transcript:ONIVA06G23440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRIAAHGESAATGYRRSASRVLGLPRSKRRQKAGGAWDWEGSGPSRQLGLPRLGGIPHTPTIDPIHNPPDPRHPPPGPP >ONIVA06G23430.1 pep chromosome:AWHD00000000:6:22264605:22268049:1 gene:ONIVA06G23430 transcript:ONIVA06G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGVLSSGIVWLSLFTIFVSIPLATSDDHENDRQTLLCFKSQLSGPTGVLDSWSNASLEFCSWHGVTCSTQSPRRVASIDLASEGISGFISPCIANLTFLTRLQLSNNSFHGSIPSELGLLSQLNTLNLSTNALEGNIPSELSSCSQLEILDLSNNFIQGEIPASLSQCNHLKDIDLSKNKLKGMIPSDFGNLPKMQIIVLASNRLTGDIPPSLGSGHSLTYVDLGSNDLTGSIPESLVNSSSLQVLVLTSNTLSGELPKALFNSSSLIAIYLDENSFVGSIPPATAISLPLKYLYLGGNKLSGTIPSSLGNLSSLLDLSLTRNNLVGNVPDSLGLIPKLDLLNLNTNNLIGHVPSSIFNMSSLTILTMANNSLIGELPSNLGYTLPNIETLVLSNNRFKGFIPPTLLNASDLSLLYMRNNSLTGLIPFFGSLKNLKELMLSYNKLEAADWSFISSLSNCSKLTKLLIDGNNLKGKLPHSIGNLSSSLKWLWIRDNKISGNIPPEIGNLKSLEMLYMDYNLLTGDIPPTIGNLHNLVVLAIAQNKLSGQIPDTIGNLVKLTDLKLDRNNFSGGIPVTLEHCTQLEILNLAHNSLDGRIPNQIFKISSFSQELDLSHNYLYGGIPEEVGNLINLKKLSISDNRLSGNIPSTLGQCVVLESLEMQSNLFAGSIPNSFENLVGIQKLDISRNNMSGKIPDFLGNFSLLYDLNLSFNNFDGEVPANGIFRNASVVSMEGNNGLCARTLIEGIPLCSTQVHRKRRHKSLVLVLVIVIPIISIAIICLSFAVFLWRKRIQVKPNLPQCNEHKLKNITYEDIAKATNMFSPDNLIGSGSFAMVYKGNLELQEDEVAIKIFNLGTYGAHKSFIAECETLRNVRHRNLVTIVTLCSSVDATGADFKALVFQYMRNGNLDTWLHPKAHELSQRKALTICQRVNIALDVAFALDYLHNQCATPLIHCDLKPSNILLDLDMVAYVSDFGLARFICNRLTANQDTSTSLPCLKGSIGYIPPEYGMSKDISTKGDVYSFGILLLEIITGRSPTDEIFNGSTTLHEFVDRAFPNNISKAYLVLCHCQKNDRKWDKFLP >ONIVA06G23420.1 pep chromosome:AWHD00000000:6:22258937:22259906:-1 gene:ONIVA06G23420 transcript:ONIVA06G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTQYLILKTLLVIELVQGLQAVILVKINSIHLWIRFLILNTALLVLLKFCHLWSLVLYR >ONIVA06G23410.1 pep chromosome:AWHD00000000:6:22257081:22264591:1 gene:ONIVA06G23410 transcript:ONIVA06G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPLCPGYCRNPSYRAAPRGPRRISGRVPRNRASPAAGQPHRTSRHASAPQLARQRSKTSVAARDQHRPRACAAADASLGDGMACDRRVCCARARSPRRRRRRASAVGCGEMADFLGSARLGSGLCRVVRWLPLLGMGAPWRWRTRAATSRGTAWPSFSDLVASAYRGAAAVALWPSTVAGWLGGEAAILPKPARRRSDLGVVERVGSSAAAAQWSGLGGGQTTWSGGQRRADYLERRLPLPPPGSSLRAMARAATASET >ONIVA06G23400.1 pep chromosome:AWHD00000000:6:22255074:22256636:1 gene:ONIVA06G23400 transcript:ONIVA06G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGEIPSSLGQCVTLEYLEIQCNFFVGRIPQSFMNLVSIKEMDISRNNLSGNIPQFLKSLSSLQDLNLSFNNFDGVIPTGGVFDIDNAVSIEGNNHLCTSVPKEKGTKILVLVLEILIPAIIAVIITLSYVVRIYGRKEMQANPHCQQINDHVKNITYQDIVKATDRFSSANLIGTGSFGTVYKGNLDRQQDEVAIKVYNLGIYGDQRSFSVECEALRNIRHRNLVKIITLCSSVDSNGADFKALVFQYMANGNLDTWLHPRAHEHSERKTLTLNQRINIALDVAFPLDYLHNQCASPLVHRDLKPSNILLDLDMIAYVSDFGLARCLNNTSNAYEGKYGMSEVISTKGDVYSFGVILLEMITGSSPTDEKFNNGTSLREHVARAFPKNTYEIVDPRMLQGEMNITTVMQNCMIPLVRIGLCCSAASPKDRWEMGQVSAEILKIKHIFSSIHGV >ONIVA06G23390.1 pep chromosome:AWHD00000000:6:22250039:22250413:-1 gene:ONIVA06G23390 transcript:ONIVA06G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFADSSAASAFPASASAGDPPAAPVAVPGGNLPAAPVVNPPAAKSPAAAPDPPMDPSDEGRYFPTHRLPEYLKNHP >ONIVA06G23380.1 pep chromosome:AWHD00000000:6:22245696:22255050:1 gene:ONIVA06G23380 transcript:ONIVA06G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETEGLRDDTFKKGTTPKVPLSPVPEGQDKVEEKATVTEELEEVVSPPYLSGGKAAGVREENKGGISLAICNETGDRQALLCFKSQLSGSSRVLSSWSNTSLNFCNWDGVTCSSRSPPRVIAIDLSSEGITGTISPCIANLTSLMTLQLSNNSLHGSIPPKLGLLRKLRNLNLSMNSLEGNIPSQLSSCSQIEILDLSSNSFQGAIPASLGKCIHLQDINLSRNNLQGRIPSAFGNLSKLQALVLTSNRLTDETPPSLGSSFSLRYVDLGNNDITGSIPESLENSSSLEVLRFMSNNLSGEVPKSLFNTSSLTAIFLQQNSFVGSIPAITAMSSPIKYLSLRDNCISGTIPPSLGNLSSLLELRLSKNNLVGNIPESLGHIRTLEILTMSVNNLSGLVPPSLEECQTKLEISLI >ONIVA06G23380.2 pep chromosome:AWHD00000000:6:22241723:22245816:1 gene:ONIVA06G23380 transcript:ONIVA06G23380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLGVLSSGLVWLCLSTIFLSLPLAISDEHEDDRQALLCFKSQLSGPTGVLATWSNASQEFCNWHGVSCSTRSPHRVTAIDLASEGFSGSISPCIANLTTLTRLQLSDNSLYGSIPSEIGQLGQLNNLNLSMNSLEGNIPSELSSCSKLEILDLSNNSIQGEIPASLSRCNHLKYVDLSKNKLHGRIPSGFGELPRLEVIVLTTNRLTGEIPASLGSSLSLTYVNLESNALTGIIPESIGNSSSLEVLVLTSNNLTGEIPKPLFNSSSLTAIYLDENSFVGYIPPVTATSPPLQYLYLGGNMLSGTIPSSLGNLSSLLDLSLTENNLIGSIPDSLGHIPTLRLLSLDTNNLTGHVPSSIFNLSSLKIISMVNNSLTGELPSYLGYTLPNIEALALSNNRFKGSIPPTLLNASHLSSLYLRNNSLTGLIPFFGSLPNMEKLMLSYNKLEADDWSFMSSLSNCSKLTKLLIDGNNLKGKLPHSIGNLSSSLKWLWIRDNKISGHIPPEIGNLKGLEMLYMDYNILTGNIPSEIGNLNNLVVLAMAQNNLSGQIPDTIGNLVKLTDLKLDRNNFSGGIPTTLEHCTQLEILNLAHNSLDGKLPNHIFKLATLSQELDLSHNYLFGGIPEEVGNLINLKKLSISNNRMSGNIPSTMGQCVVLESLEMQCNLFTGSIPKSFVNLAGIQKMDISRNNLSGKIPDFLANFSLLYDLNLSFNNFEGEVPAGGIFRNASVVSIEGNNGLCATTSVEGIPLCSVQAHKNRRHKSLVLVLVIVIPIISIAIISLVFAVFLWRKRIQVKTNFPQYNEHRLKNITYEDIVKATNKFSSDNLIGSGSFAMVYKGNLELQEDEVAIKVFNLGTYGAHKSFIAECETLRNVRHRNLVKIITLCSSVDSTGADFKALVFQYMRNGNLDTWLHPKAHELSQRKALTISQRVNITLDVAFALDYLHNQCATPLIHSDLKPSNVLLDLDMVAYVSDFGLARFVCSRLTAHEDTSTSLACLKGSIGYIPPEYSMSKDISTKGDVYSFGILLLEIITGSSPTDGKFNGSTTLHDFVARAFPNNIYQVVDPTMLHADLDATNLMDNCIISFVKIGLSCSMSLPKDRPEMGQVASMILEIKHATSNRHDRLN >ONIVA06G23370.1 pep chromosome:AWHD00000000:6:22236124:22237187:-1 gene:ONIVA06G23370 transcript:ONIVA06G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVVSTTRPPAAVGGDGDPPPMKPPTAVGDPDPAAAPPPPPAAPGFSIELTIRAVVCPLSPSSESKSPSVAPLDNNGSRHGVGDSNENHITPPPPPPPPRLGTLSSIEPTPTHDPVDSSEQHKTPPPHPRRGARSSVDPTPTNDPTPDPGNPSPSPCRVALQGDRSKL >ONIVA06G23360.1 pep chromosome:AWHD00000000:6:22212463:22238603:1 gene:ONIVA06G23360 transcript:ONIVA06G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQEVEGSVVAVVPAPAMPKWMPKGGLADIGRPPLKRNSQELNYTAWVQSMTVYLAGDLDSLLGDRGQTTARIVSSILNPGAAGGGGGAAAGSGSPTAVGGFMGGGSPSPPTAAGGRVELKKKEAKRSPSRTHPLPPGSSSCCHRILAAPVRPSRIHCCHASPAIVLLPSPLPCSTCHQHQICVDPSRGWPLPPDLAGGRAAPTTSELLSLL >ONIVA06G23350.1 pep chromosome:AWHD00000000:6:22206514:22209963:1 gene:ONIVA06G23350 transcript:ONIVA06G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGFSSPGFLQLLYILKFFCLLPLVIGSNETESDRQALLCLKSQLTSSAEVLSSWSNASMEFCSWHGVTCSTQYPRRVTALDLTSEGITGSISPCIADLTYLTKLQLSNNSFYGSIPSELGFLTQLSILNISMNSLEGNIPSQLTSCYKLKKIDLSNNKLQGRIPSALGDLAELRTLELASNRLSGDIPHSLGSTLSLTYVDLGRNALTGRIPESLASSTSLQVLILTSNTLSGELPKALLNSSSLIFLYLEQNNFVGSIPPVTAISPQMKHIDLRYNHLTGTIPSSLGNLSSLIYLCLIGNNLVGSIPDTLGHVPTLETLAVDLNNLSGQVPPSIFNVSSLTYLGIANNSLTGRLPSNICYTLPNIQELILHNNKFSGSIPSSLLNASHLQSLFLTNNSLTGHTPFFGSLQNLKILDMAYNMLEAGDWSFVSSLSNCSKLTKLLFDGNNLQGNLPSSIGNLSSNLEQLWLRNNQISRLIPPGIGNLKSLNMFYMDCNYLTGNIPPTIGYLHNMVILSFAQNRLSGQIPGTIGNLVQLNELHLDGNNLSGSIPESIHHCTQLKTLNLAHNSLHGTIPVHIFKIFSLSEQLNLSHNYLSGGIPQEVGNLINLNKLSISNNRLSGNIPSTLGQCVILESLELQSNFLEGIIPESFAKLEGINKLDISHNKLSGKIPEFLASFKSLINLNLSFNNFYGPLPSGGVFLDTSVISFEGNDRLCARAPLKGIPFCSALVDRRRVHRSLVLALKIVTPVVVVITILCFLMIRSRKRVPLNSRKSMQLKPHLRQLNGDMEKITYQDIVKATNGFSSANLIGSGSFGTVYKGNLEFRQDQVAIKIFNLSTYGAHRSFAAECEALKNVRHRNLVKVITVCSSVDSTGADFRALVFEYIQNGNLDMWLHPKEHEHYQRNFLMLSQRINIALDIAFALDYLHNRCATPLVHCDLKPSNILLGLDMVAYVSDFEYGMSEERSTKGDVYSFGVILLEMVTSISPTEEIFNDGTSLHDLVASNFPKDIFKIVDPTMLQDDIDATEVLQSCVIPLVRIGLSCSMTSPKDRCEMGQVCSEILRIKDALSKIDDE >ONIVA06G23340.1 pep chromosome:AWHD00000000:6:22200899:22201213:-1 gene:ONIVA06G23340 transcript:ONIVA06G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLPPTMLLASTYLIESSGELFLSDRLLRRRRSLYRMELGSTPTSWSKVDGVCDDKVFLLGGDRLGLSNFDASCSASTSGGNSVYFLNLTSRRSCHMLHAGSW >ONIVA06G23330.1 pep chromosome:AWHD00000000:6:22198479:22200890:1 gene:ONIVA06G23330 transcript:ONIVA06G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRQMGPRRLAARCTRLCGGSPQHGGFSIRRLTRLSLSVAAPPLSRRRSQQQRCGCVSAGAHIITLLSPPLSLPSSAHTLQSCREAGACSAAAMSIHLRTHAFAANPLCGLSASTTVVSPSATADAFLDASAEATEHPHLSKILSSASPGRCARLRARRPILALGARRIGADVVGVRCHHRLTCTAEVAAPVHADDRLN >ONIVA06G23320.1 pep chromosome:AWHD00000000:6:22194351:22198254:1 gene:ONIVA06G23320 transcript:ONIVA06G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQIVLSPGHGLLLFPLKFLFFLPLVLTGGTEDDRQALLCFMSQLSAPSRALASWSNTSMEFCSWQGITCSSQSPRRAIALDLSSQGITGSIPPCIANLTFLTVLQLSNNSFHGSIPSELGLLNQLSYLNLSTNSLEGNIPSELSSCSQLKILDLSNNNLQGSIPSAFGDLPLLQKLVLANSRLAGEIPESLGSSISLTYVDLGNNALTGRIPESLVNSSSLQVLRLMRNALSGQLPTNLFNSSSLTDICLQQNSFVGTIPPVTAMSSQVKYLDLSDNNLIGTMPSSLGNLSSLIYLRLSRNILLGSIPESLGHVATLEVISLNSNNLSGSIPPSLFNMSSLTFLAMTNNSLIGKIPSNIGYTLPNIQELYLSDVKFDGSIPASLLNASNLQTFYLANCGLTGSIPPLGSLPNLQKLDLGFNMFEADGWSFVSSLTNCSRLTRLMLDGNNIQGNLPNTIGNLSSDLQWLWLGGNNISGSIPPEIGNLKGLTKLYMDCNLLTGNIPPTIGNLHNLVDLNFTQNYLSGVIPDAIGNLLQLTNLRLDRNNFSGSIPASIGQCTQLTTLNLAYNSLNGSIPSNIFQIYSLSVVLDLSHNYLSGGMPEEVGNLVNLNKLSISNNRLSGEVPSTLGECVLLESVEMQSNFLVGSIPQSFAKLVGIKIMDISQNKLSGKIPEFLTSFSSVYYLNLSFNNFYGEIPIGGVFSNASVVSVEGNDGLCAWAPTKGIRFCSSLADRESMHKKLVLTLKIIISFVIVTITLCCVLVARSRKGMKLKPQLLPFNQHLEQITYEDIVKATKSFSSDNLIGSGSFGMVYKGNLEFRQDQVAIKIFNLNIYGAYRSFVAECEALRNVRHRNIIKIITSCSSVDSEGADFKALVFEYMKNGNLEMWLHPKKHEHSQRNALTFSQRVNIVLEVAFALDYLHNHCVPPLIHCDLKLSNILLDLDMVAYVSDFGSARFLCPKSNLDQESVTSLGCLKGTVGYIPPEYGMSKEISTKADVYSFGVILLEMITVIPSRNIPTTTVRARFTAAVGI >ONIVA06G23310.1 pep chromosome:AWHD00000000:6:22179680:22183679:1 gene:ONIVA06G23310 transcript:ONIVA06G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAMSTRVLYLFTFFCSIVLAICNESYATEYDRQALLCFKSQLSGPSRALTSWSKTSLNFCNWDGVTCGEGRPHRVTAIDLASEGITGTISPCIANLTSLTTLQLSDNSFHGSIPSKLGHLSELRNLNLSMNSLEGSIPSAFGNLPKLQTLVLASNRLTGGIPPFLGSSFSLRYVDLGNNFLTGSIPESLANSSSLQVLMLMSNSLSGELPKSLFNSSSLIEIFLQQNSFVGSIPDVTAKSSPIKYLSLRNNNISGTIPSSLGNFSSLLTLNLAENNLEGDIPESLGHIQTLERLILYVNNLSGLVPLSIFNLSSLTFLSMGNNSLMGRLPNDIGYTLPKIQGLILSTNMFVGQIPASLLNAYHLEMLYLGNNSFTGIVPFFGSLPNLEQLDVSYNKLEPDDWGFMTSLSNCSKLTQLMLDGNSFQGNLPSSIGNLSNNLEGLWLRNNKFHGPIPPEIGSLKSLRRLFMDYNLFTGNIPQTIGNLNNLIVLSFAQNKLSGHIPDVFGNLVQLTDIKLDGNNFSGGIPSSIGQCTQLQILNLAHNSLDGNIPSTIFKITSISQEMDLSHNYLSGGIPDEVGNLINLNKLRISNNMLSGKIPFSLGQCVALEYLEIQSNFFIGGIPQSFVNLVSMKEMDISWNNLSGKIPEFLKSLSSLHDLNLSFNNFDGVIPTGGIFDIYAAVSLEGNDHLCTTVPKAGIPSCSVLADRKRKLKVLVLVLEILIPAIVVVIIILSYAVRIYRRNEMQASKHCQNISEQVKNITYQDIVKATDRFSSANLIGTGLFGAVYKGNLDPQQGEVAIKVFNLGVCGAQRSFSVECEALRNIRHRNLVKIITLCSSVDCNGADFKALVFPYMANGNLDTWLHPRAHEHSERKTLTFNQRINIALDVAFCLDYLHNQCASPLVHCDLKPSNILLDLDMIAYVSDFGLARCLNNASNAYEGSSKTLACLRGSIGYIPPEYGMSEVISTKGDVYSFGVLLLEMITGSSPTDENFNNGTSLREYVARDFPMNTNEIVDPTMLQGEIKVTTVMQNCIIPLVRIGLCCSMASPKDRWEMGQVSAEILRIKHEFSSIHGV >ONIVA06G23300.1 pep chromosome:AWHD00000000:6:22177773:22183857:-1 gene:ONIVA06G23300 transcript:ONIVA06G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTRSRGSGAAARRDFGSSPLRLLLMSISTWRDELFAKDSGMLPVRKLLPRSTYLREKLLPRNGGMPPRLYIRDAYIA >ONIVA06G23290.1 pep chromosome:AWHD00000000:6:22177220:22177725:-1 gene:ONIVA06G23290 transcript:ONIVA06G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSTVPVAAPSGDPPVASPVAVPCSDLPAAPVVDPPDVPSPKSPAADPVVLIDPSKEERMNSDHPLPKCTNNGNCG >ONIVA06G23280.1 pep chromosome:AWHD00000000:6:22139509:22159183:-1 gene:ONIVA06G23280 transcript:ONIVA06G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSFSRASSSRVRRDSQVSELPLVKCPFCKADSTVVERTCKKEENFNRKFYRCLTGQYTSGQCKFFMWQGDYAVWLVKEGFLHGWTDCIAHRTEDDVPESVKASLKGVNDGIEKIRCEMKELIFQH >ONIVA06G23270.1 pep chromosome:AWHD00000000:6:22102266:22103296:1 gene:ONIVA06G23270 transcript:ONIVA06G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWSASTTTFAAIAIAVLLAAGHALPAMVVHCAAARGGAATTTAAGAVRRLLVQVVVSPPTGDSYLGRVNTNPGPSPGPVWKHQT >ONIVA06G23260.1 pep chromosome:AWHD00000000:6:22089677:22090414:-1 gene:ONIVA06G23260 transcript:ONIVA06G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASYLQPRRGTNGRRIMEHGAGEEMVAFYEAWVGREERIVADLTDALLPARRRRDVLAPLVDAAVGHVSEYYERKARLADRDVVAALDPRWLNPLERTFLWAWGWKPALVFRFADGAVAGGSSHQQQRRALERVRAATAEAEREVDREVAVVQESLAGPRVLAALRRQHPRNGEADEAVAAVGRSLRVLLAAADALRERTVRDVVGTLAPDQAGAFLAAMLRFHLGVHRAGRNWGSGNGGRRGL >ONIVA06G23250.1 pep chromosome:AWHD00000000:6:22084469:22084669:-1 gene:ONIVA06G23250 transcript:ONIVA06G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSLATVRYALLALPPLMVAAYAYNSGNRGNSDTRAARSIKKFTGGGPATGAGPAGQKDDSAL >ONIVA06G23230.1 pep chromosome:AWHD00000000:6:22074893:22075090:-1 gene:ONIVA06G23230 transcript:ONIVA06G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPSLAAARYALLALPPLMVAAYVYNGGGRGNGDRPRARSINKFTDGGPATAGPAARKDSSAL >ONIVA06G23220.1 pep chromosome:AWHD00000000:6:22065254:22067272:1 gene:ONIVA06G23220 transcript:ONIVA06G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPSFLLLPLLLLVSSSLTPAATAAAFVGLDSFLAAAAARDPSAGNDTFGALPAALLRQLSTPSPLLPTRLLSLSAQVPVTVRLAGASFPPATGRLLESFVNSAVSSSRFLSSRRPHRLALSHKIHLEVAASSSQLAPRAAAAVRAHLDSSAAPFHAAALSSVPYSVVDDLVAEDYRALVDTGSAPSVYIYLLNLGPQPRPYAYTAASSPADAHSPGFSRCLAPVWAGKERYIWIDLGAGPVDYGPALSGEGVLPRGEFHPLAALHGRPRSEKALVADLASLVLSAYKSLLVPSLRIPVHYESSLLVQVFHIHGHERDTSGLDWGSIEQSIRDGNLAYEGQRLKFDLNRIRFSDCPICSFAVARSTTSFTSRFLFDNYTLIVSEYLDSKRMRQVLSDSLEELHKVAGVHDNDDYDKVVPVFVFDLDYDKLLLLDRYHQAVAFRDMVISVRTRSSQTVSDYSCNGRHVITMTRNLDRPIIASVLQSMWGVSPTHQSWSPEHNATVVDYTWSTGHTPFGPFSETKSLSFVQKDAARRNVLLTTLNYTITSAIDVLESMAAHGGESILLRRKRRVEFIQRWNLLTYKLEKVVSAMSRLDYNKAMYFLRSSDHDLFAVHTLVYQASQELEASLVCFKDPPFPWLSVSMSGIFVFGFFYVYSKRDKLFRSKRKQF >ONIVA06G23210.1 pep chromosome:AWHD00000000:6:22056097:22058132:1 gene:ONIVA06G23210 transcript:ONIVA06G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHESEELSGRRKLAMTKRKKFAGPCKATYPIDRCRTDWATDRKRLALCAQGFGLNTTGGLAGKFYLVTDGTDDDADEPLWIIFAKEMIINLKEGMMINSDKTIDRRGAHVRITNGVQVTVQNSNNVIIHNIHIHDIVLGKLGMIRDSLEQFGFRTQSDSDDINIFGSTNLDHLSLSNCKDGLIDVIAKSTGVTMHLQLPPHQPQRHQALQLQCRWGYFHVVNNDYTHWLMYAIGGSKNPTIISQGNRYTAPPNLTAKQITKHLGAAEEEWKNWVYMALGGGPGTYFTTSDDATQKQFSNKDLIKPKPGSYVARLTRFAGFIPCTPGKKC >ONIVA06G23200.1 pep chromosome:AWHD00000000:6:22053173:22055188:1 gene:ONIVA06G23200 transcript:ONIVA06G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSX4] MEVLIRWSKLPAAGSLVLYAVFLLLSAAATSEANIGEYDEYWQKRKLMADAAAEATYKRDPFEVTNSFNRAVHRHADRSSEEESGRRELAMTKRKKFAGPCKATNPIDRCWRCRADWVTDRKRLARCAQGFGRNTTGGLAGKFYLVTDGTDDDVENPRPGTLRWGVIQDEPLWIIFAKDMIINLKEEMMINSDKTIDGRGAQVRITNGAQVTVQNSNNVIIHNIHIHDILQGKGGMIRDSPEHFGFRTQSDGDGISIFGSTNVWLDHLSLSNCQDGLIDVIAKSTGVTISNCHLTNHNDVMLFGSSDSFSEDQIMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKNPTIISQGNRYIAPPNLAAKRITKQLGATEEEWKNWVWHSEEDLFMEGAYFTTSGGPIQKQFSNKDLIKPKPGSYVTRLTRFAGSIPCVAGKPC >ONIVA06G23190.1 pep chromosome:AWHD00000000:6:22049686:22050018:1 gene:ONIVA06G23190 transcript:ONIVA06G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAAAAAALATVLAGGGGARARQATVLAGSRAPPRPPRERPHQQQLRPRPRPRALRDRWRPRPLLALATVLAGGGLILSPLASASSSSPLVFSLCRREPLAFSLCRRE >ONIVA06G23180.1 pep chromosome:AWHD00000000:6:22041436:22045113:1 gene:ONIVA06G23180 transcript:ONIVA06G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRCKCTTGASWAVSFLLAAALLSNAPSTTDASMLKAPTANTASNSSDRQVLLSFKSLITKDPSGALTSWGNRSLHHCRWQGVMCGKRGHCRGRVIAIDLNNLGLVGSISPSISNLTYLRKLHLPQNQFGGHIPHELGLLDHLKFLNLSINSLEGEIPTSLSQCSRLQTISLWYNNLQGRIPSNLSHCSYLRTIEVFANYLEGEIPSELGSLQRLELLNLYNNNLTGSIPSYIGNLKNLILIDISDNGLTGSIPPEIGNLQNLQFMDFGKNKLSGSIPASLGNLFSLNWLDLGNNSLVGTIPPSLGGLPYLSTFILARNKLVGNIPPSLGNLSSLTELNFARNNLTGIIPHSLGNIYGLNSLRLTENMLTGTIPSSLGKLINLVYIGLQFNNLIGEIPLSLFNLSSLQKLDLQNNKFSGSLQNYFGDKFPLLQGLALNGNKFHGLIPLSLSNCSMLELIQLDNNSFSGTIPSNLGNLKRLSKLRLNYNKLEANYNSDWDFMNALTNCTQLQVLQLSFNRLRGVLPHSLSNLSTSLEHLEILNNEVGGNIPEGIGRLSNLMALYMGPNLLTGSIPASLGKLSKLNVISLAQNRLSGEIPPTLGNLTQLSELYLSMNAFTGEIPSALGKCPLGLLALAYNKLSGNIPKEIFSSSRLRSISLLSNMLVGPMPSELGLLKNLQGLDFSQNKLTGEIPISIGGCQSLEFLLVSQNFIHGSIPSTMNKLTGLQELDLSSNNISGIIPMFLGSFIGLTYLNLSFNNLIGEVPDDGIFRNATAFSIVGNVGLCGGIPVLSLPSCTNQQARKHKFPKLAVAMSVSITCLFLVISIGLISVLCKKHKSSSGQTSTRAVRNQLPRVSYTELSMGTNGFSSSNLIGEGRFGSVYKANMSFDQYSVVAVKVLKLQERGASHSFLAECEALRYLRHRNLVKILTACSSIDPRGHDFKALIFEYLPNGSLDKWLHTHIDEQSDQSVLNIYQKLSIATNVGSAVEYLHDYKPVPIVHCDLKPSNILLDSDMMAHVGDFGLARFTNQGDNNASQVSSSWAAFRGTIGYAAPEYGIGNEVTTSGDVYSYGIILLEMFTGRRPTEQNFEENADLHRFVEEALPDSVEDVVDQNLILPREDTEMDHNTLLNKEAALACITSILRVGILCSKQLPTERVQIRDAVRELHKIKEKFFP >ONIVA06G23170.1 pep chromosome:AWHD00000000:6:22027051:22032880:-1 gene:ONIVA06G23170 transcript:ONIVA06G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 19 [Source:Projected from Arabidopsis thaliana (AT4G38130) UniProtKB/Swiss-Prot;Acc:O22446] MDASAGGGGNSLPTAGADGAKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLDQMQVLKPHPARDRDLCRFHADDYVAFLRAVTPETQLDQIRSLRRFNVGEDCPVFDGLYAYCQTYAGASVGAAVKLNHGTHDIAINWSGGLHHAKKSEASGFCYVNDIVLAILELLKLHEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLDQMQVLKPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPPNEYFEYFGPDYTLHVAPSNMENKNTRQQLDDIRSRLLDNLSKLRHAPSVQFQERPPEAELPEQDEDQEDPDERHHADSDVEMDDVKPLDDSGRRSSIQNVRVKRESAETDAADQLLWLMCAQRCDGNRVAAEHTKGTEPVADGVGSSKQTVPTDASAMAIDEPGSLKVEPDNSNKLQDQPSVHQKP >ONIVA06G23160.1 pep chromosome:AWHD00000000:6:22011949:22022691:1 gene:ONIVA06G23160 transcript:ONIVA06G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPILSGCPDRFLTVPVRLGCSQQVLPPGLISSRDKPVGDPHTATPYVPYPRSVATDVLRRHKPVGDPRTATPYVSYPRNVAANNALSRDKPSCLSRMVCRQSAGRPYTSTIGNRP >ONIVA06G23160.2 pep chromosome:AWHD00000000:6:22011957:22022691:1 gene:ONIVA06G23160 transcript:ONIVA06G23160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVRFCSPHLLLLLLLVVTASSLIVLPAAASTGKSGKSGKTNNKPVGDPHTATPYVPYPRSVATDVLRRHKPVGDPRTATPYVSYPRNVAANNALSRDKPSCLSRMVCRQSAGRPYTSTIGNRP >ONIVA06G23160.3 pep chromosome:AWHD00000000:6:22015616:22022691:1 gene:ONIVA06G23160 transcript:ONIVA06G23160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPMITRRPDLLARPKRAMQLVLPAVLVVACGLLVSPAAAAAGSTGSSGQNKPVGDPRTATPYVSYPRNVAANNALSRDKPSCLSRMVCRQSAGRPYTSTIGNRP >ONIVA06G23150.1 pep chromosome:AWHD00000000:6:22007289:22010338:1 gene:ONIVA06G23150 transcript:ONIVA06G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAITGRRPVARLRAIIQLVLLVLVIGSGILVVPAAGSTGKTGGTGSSGNGRPTGTGGPSTASPYRTYGSLSAVADGGLWEVTMMAVLETGGFGLDDSAAPLWQNRSLAKIVRLVENRGGEDRCGKGAAGKSLAQVSLS >ONIVA06G23140.1 pep chromosome:AWHD00000000:6:22001715:22002069:1 gene:ONIVA06G23140 transcript:ONIVA06G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARPAAADVEADDDGDENWAVGTHTNNMGWYQRCAEHEGYWGSSSTTMVDSLPVMRSSFGETPAVAVREEGDPSIKLHGCCNMVTAGGDDEGHVGDWQV >ONIVA06G23130.1 pep chromosome:AWHD00000000:6:21994585:21998570:-1 gene:ONIVA06G23130 transcript:ONIVA06G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGSHSIPVLVTILLACFSCFLITAFSLVPTAPLHDASDTTDFQALLCLKLHLNDNAGVMASWRNDSSQYCQWPGVTCSKSHTSRVTELNLESSNLHGQIPPCIGNLTFLTIIHLPFNLLTGNIPPEIGHLRRLTYLNLTSNGLTGTIPEALSSCSNLQIIDLSNNTIDGEIPSSMNKCSNLQAICLFDNKLQGVIPEGLGTLSNLSVLYLSNNNLSGNIPFSLGSNSFLNVVILTNNSLTGGIPPLLANINNFVGSIPPISNISSPLWYLSLSQNNLSGSIPSSIENLSSLEILYLSQNNFQGTIPSSLSRIPNLQELDLTYNNLSGTVPASLYNMSNLVYLGMGTNKLIGEIPDNIGYTLPNIKTLILQGNQFQGQIPTSLGIAKNLQVINLRDNAFHGIIPSFGNLPDLMELNLGMNRLEAGDWSFLSSLITSRQLVQLCLDKNILKGTLPSSIAKLSTSLQVLLLTGNEISGTIPQEIEKLTSLTLLYMEKNLLTGNLPDSLGNLPNLFILSLSQNKISGQIPPSFGNLSHLSELYLQENNLSGPIPSSLGSCKNLEALNLSCNSFDSSIPKELVTLSSLSEWLDLSHNQLDGEIPSEIGGLINLDVLNISNNRLSGQIPSALGDCVHLSSLRMEGNLLDGRIPNSFINLRGIVPTEGIFQNASEVFIQGNKKLCGTYPLLQLPLCNVKQSKGKHTNKILRIVGPIAICLALTSCLVFILLKKRNKVKQASDPSCKELKTFTYADIVKATNGFALANLVGSGKYGSVYKGRFEFEEQPVAIKVFKLDQVGAPKCFLAECEALRNTRHRNLVRVITACSTCDPRGHEFKALILEYMANGSLESWLYPKVNKYGLEKPLSLGYRIKIAVDIASALDYLHNYCIPPMVHCDLKPNNILLDDVMGARLGDFGLAKFLQSNSSSKFNSSTSLAGPRGSIGYIAPEYGYGSKVSVEGDVYSYGIIILEMLTGKTPTDQMFSNGLNIRKYVESTFFSHKIGEILDPNIIPNFEEDTENNCDPENHVMTGMLSCVMQLAKLGISCSMETPKDRPAMQDVYAEVIAIKEAFSALRV >ONIVA06G23130.2 pep chromosome:AWHD00000000:6:21994585:21998570:-1 gene:ONIVA06G23130 transcript:ONIVA06G23130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGSHSIPVLVTILLACFSCFLITAFSLVPTAPLHDASDTTDFQALLCLKLHLNDNAGVMASWRNDSSQYCQWPGVTCSKSHTSRVTELNLESSNLHGQIPPCIGNLTFLTIIHLPFNLLTGNIPPEIGHLRRLTYLNLTSNGLTGTIPEALSSCSNLQIIDLSNNTIDGEIPSSMNKCSNLQAICLFDNKLQGVIPEGLVFILLKKRNKVKQASDPSCKELKTFTYADIVKATNGFALANLVGSGKYGSVYKGRFEFEEQPVAIKVFKLDQVGAPKCFLAECEALRNTRHRNLVRVITACSTCDPRGHEFKALILEYMANGSLESWLYPKVNKYGLEKPLSLGYRIKIAVDIASALDYLHNYCIPPMVHCDLKPNNILLDDVMGARLGDFGLAKFLQSNSSSKFNSSTSLAGPRGSIGYIAPEYGYGSKVSVEGDVYSYGIIILEMLTGKTPTDQMFSNGLNIRKYVESTFFSHKIGEILDPNIIPNFEEDTENNCDPENHVMTGMLSCVMQLAKLGISCSMETPKDRPAMQDVYAEVIAIKEAFSALRV >ONIVA06G23120.1 pep chromosome:AWHD00000000:6:21966372:21969827:1 gene:ONIVA06G23120 transcript:ONIVA06G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIAKGTYVRIYDERTIKNGCFPGKRCCVVRHPAPPANVRHRRRRPPRPACGCCNCNWHRCHWRSWTWLSRRWCSSSARRELRWIWWWYHYWAWSLI >ONIVA06G23110.1 pep chromosome:AWHD00000000:6:21937254:21943343:1 gene:ONIVA06G23110 transcript:ONIVA06G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFFLSPQATGRQAIYIDISMFLAFSSFVCGCSFMLLSMQRLSAREEHISGFHHAISKCLFYLCCVLPALTILCLLLVMPRKPYIYVGLGVLAAAVLPVAFMHWYVSRNTQETNDTAPEDVEQNAVSRKTHETNGTAPEDDDLQKAMESSYKITSAIVPMSLAGLVGVLFGVYKGGSSSGGAGGDISGSVHVVIVCMFITSMLSMLLMMLWMKVLESKKPKLREFFVRATIPRANAALLALLAIAAFAASFGILRWYMVAAFLTFALAATVQFVIQHCTREQNAVRASHNETQLKWMADMASKTTPWSLGIVMAIFGGFLGDDDKSKDKMVALKVCMFLSTSAFTSGLGLMYLTMRPGESARGGRENVAAENEARRCHQLTSPASPF >ONIVA06G23100.1 pep chromosome:AWHD00000000:6:21925602:21935201:1 gene:ONIVA06G23100 transcript:ONIVA06G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSWSSPLPSPSSLSLPRRAARARTAAGKQRRRRGGGDRARTGGREVLEERDVVVKVSAAGVNRADTVQHQGRYPAPPSASPYSGLECSGTILAFGPNVVVLAGQLLSVPEGVSLTDAAGLPEDVARLLK >ONIVA06G23090.1 pep chromosome:AWHD00000000:6:21923809:21924764:1 gene:ONIVA06G23090 transcript:ONIVA06G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEASKGRSGGGRASSSRQRTAVASELLELQQASSSAVSLPHPNSGDAEASPAVATPPAPAPHHDQDQVGGGNTEWNVSKANNVDQSSIIMWLMNGF >ONIVA06G23080.1 pep chromosome:AWHD00000000:6:21914370:21917985:1 gene:ONIVA06G23080 transcript:ONIVA06G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSIVYQQAATSVMVSHGEQLCRKANAQRNLEHGVLVTIFSSAVLMDYLVDGPPWANGGLVFTATLLLAFATFICGSALMLLGLAGRLFSGGRRVAVVSMCLVVALSALTLLGLLICAFP >ONIVA06G23080.2 pep chromosome:AWHD00000000:6:21914370:21916956:1 gene:ONIVA06G23080 transcript:ONIVA06G23080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSIVYQQAATSVMVSHGEQLCRKANAQRNLEHGVLVTIFSSAVLMDYLVDGPPWANGGLVFTATLLLAFATFICGSALMLLGLAGRLFSGGRRVAVVSMCLVVALSALTLLGLLICAFPYVQDLTSLCRLCMPER >ONIVA06G23070.1 pep chromosome:AWHD00000000:6:21902536:21904171:1 gene:ONIVA06G23070 transcript:ONIVA06G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFHGSDNSTIMSSNLGSGIKRRLTLDFDEYVDNDSLDKILFGGQGSQALLEWKQRFNIALEVAKGLAYLHHECLEWVIHCDVKPENILLDENLEPKIADFGLAKLLNRGGSNLNVSRIQGTRGYLASEWVSSLPITAKVDVYSFGVVLLELLKGARVSDLETNKDEEVEMVLGRIIRMLAENSKSDGDEQSWIPDFIDFRLNGQSTTCKQER >ONIVA06G23060.1 pep chromosome:AWHD00000000:6:21893640:21899847:1 gene:ONIVA06G23060 transcript:ONIVA06G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARWLSQRSRPTMRSGRKKRRPRRCQRSGHRTQCCEAAARAKSGAAALGGNQAVAEVRVAPASVTAVVSWRGGGPSNGGVRTEIGGGRQSSVWRGESGGGPGRRRRVREMRGRARGGISWAREGRTRPGRGLIWPATCGKWREREGGMGFKMNPAHFVHTRGGGKAGRGRRHGARSGRGVGRGIDRERLAATTIATRACWSEEGDDPDRWDPPVGVPEREGKCTIKWGPHVSILKKDVGQESHSRFGRTPS >ONIVA06G23050.1 pep chromosome:AWHD00000000:6:21893045:21893533:1 gene:ONIVA06G23050 transcript:ONIVA06G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVYPFFLLPLYLPYLSLSLFGDRGAEWRLGNQRHRGSCRSSRPRGDAMSASPPTGAAAAATRLHGHGGIRCTIAVTRFIVGSTKTCSCLSLVHVAVFFGSCDEGGLAEFGGHCGPYFERLEEVGSMALDELLREEVERGRLATVVVYDTFIPWMPRLAWRS >ONIVA06G23040.1 pep chromosome:AWHD00000000:6:21890294:21890582:-1 gene:ONIVA06G23040 transcript:ONIVA06G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGCPRPVPAATHRQRPGNAAPSAAPDGRLPAAEDEQLALDDVAGIYELARLN >ONIVA06G23030.1 pep chromosome:AWHD00000000:6:21870104:21880136:-1 gene:ONIVA06G23030 transcript:ONIVA06G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHNLMLLLPCLIFSTLLHIEAMSVAPVKVSTTPIFPTIPRAQTNKDFQVLLRVEAPPAADLNGHVPIDVVAVLDVSGSMNDPVAASPESNLQATRLDVLKASMKFIIRKLDDGDRLSIVAFNDGPVKEYSSGLLDVSGDGRSIAGKKIDRLQARGGSGSALMPELQEAVKILDERQGNSRNRVGFILLLTDGDDTTGFRWSRDVIHGAVGKYPVHTFALGAAHDPEALLHIAQESRGTYSFVDDGNLDKIAGALAVCLGGLKTVAAVDTRVSLKTAELGGARIVRVDSGGYESSVACGGASGEVVVGVLYAGEVKSFVVHLHVPAASSTTTFSSVECGYCDAAATVCDHHHHHHCHHRHHQQQLLAIGYSYSHAPGGEAVSIEGHGVFVERPEVAVFSVDGGRQRQTLLPSPVVMQHMVRFELLELVAGFAETEMLSKKGTMQLRGGGARAGDVLQGKWEEFRRARQFWGGVELDGLEEEVMSAAAGMAAVKVSTTPIFSKIPRAQTTKDFQVLLRIEAPPLVDLKGRVPIDLVMVLDVDVESVSLEPVKKAMKFAIQQLSDKDSIAIFGPSMSREVIPKFMSIHGSRRVAEKKVDELEGRRIAGPARSSLDEALKMLEEQPASSSDGRAKFIVLVTDGEDITRFNSGMPEWFTAALAKYPVHAFGLGASHDAAALRLIAQRSHGTYSFLDDGNVDKVASALALCLGGLKSVAAVGARVVLKAASGSGVRIDRISSGDYASSVSQVDGGASGEIVIGALYAGEVKSFVVHLYVPAAPPALRTVEGVCCDQQQLLVASLDGQLYTSGGVDVEDAAAPVDLVVERPNAAVLVPSAIVVNQIFQFSVLKMFDTFIDKEILHRTPVTGWNDVDVDDLGRKLLARWEELVLEHQFWVGLDLGSLDGEITAVANSLSKQYIVGMAYIFSWMSSYKMQRPTAMGSPANVVGVFVTLEVHLTLQVAITLPESGGDEGECHECEYTCEKQLPPAPPLLEASGHDGSSYRLNAAYEGVVSLDDINQFMIKIYQGMVKANNLKQCQPRAVA >ONIVA06G23020.1 pep chromosome:AWHD00000000:6:21862213:21863703:1 gene:ONIVA06G23020 transcript:ONIVA06G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGGGGSGTRRSSGGGSGMRRSDEEEANPVAPRCVDGHGGGGCSVDERLRAGGEVAADRAAALRPMRADPATAGWIWRDGGCSSDGWLRARGAEATSGRRGVSGSGRCSAPHARGSGYSGAYPARLRAARPRIQCPPSSHVEPEQVTTAGRWRDGGGSVRRSTATRKMATFAAVSLSSGIHGGAATGTSTTRHRRFSINVIIIKYHSRINRRSCQPSKEEKRGEEVKRRIATHLASAGARQPVAGLSLAPYIGFVCDGKVAPIQIRRAQARRRGGGTDANLWMFWLFILCIFIMCYQSISVAI >ONIVA06G23010.1 pep chromosome:AWHD00000000:6:21846700:21855117:1 gene:ONIVA06G23010 transcript:ONIVA06G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGNGTRVVHSPVPRLELSPRSPSLQVGPGAWVQRRRGPALGRRWLGSSAQEEAGMKEAWLVASKHQGCCLDNVSTTGTADLPCPDHCKELLRIVITSFLEGSIK >ONIVA06G23000.1 pep chromosome:AWHD00000000:6:21824606:21826415:-1 gene:ONIVA06G23000 transcript:ONIVA06G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAIGISRTALEALVNKLKSVIKEEEEQWQTMHRDVVFIRDEFEMMQSFLNSADGEVVKSSMARTWVRQVRNLSYDLEDCIEFILHLDTNKRSWWLRLLQSWSCGKGGVSLPVDEAVTEMKKLKARVEDVSQRNTRYRFTNDLTQQQQQLVSSGSATGGGAPGFDILAEARDTAARRKGVVDLIKLITEKSNDLRVISLWGTGDDLGTMSIVRNMYDDSRIHDNFRCRAWVKVAHPINPHELVRSLVVQFYANSCQQQLPAATDALSWFSLKYKKQRDALSWSETSTGELVKEFLRHVDTHRYLIILEDLSTMVQWDAIWPYLRGGKNGSRVLVSTRHHEIASLCTGKPHRVLELQRISIHQSICIFFKEEAAVGRQIRPGGGGQEALAGRLRQHQAAVAEWQWPVGFVIFV >ONIVA06G22990.1 pep chromosome:AWHD00000000:6:21801324:21810884:-1 gene:ONIVA06G22990 transcript:ONIVA06G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPYNLRGFCRCLLVSGLDSGLSLIQRREDPIQQCREILHRDRCLVIIDEVHSKEDWDSITDANLITATSKSCIVVITTQESVAVHCAGANGLVCSITCLQATAASDLFQQAFQEAFTNNRNMFEVQEFQRNGDSYEETFRYIFEGDEQEEQAFQNDGNLFKEQSVQNNGNSMEVQICENNGNSCEEQIFQNNRNYFQGEASQNDGNSIKEQSGYGNSCEEKTFQSNRNYFQGQAFQNDGNSFKEQSVQNNGNSIEEQICENNGNSCEVQIFQNNKDYFQGQASQNTEKSFIEQAFQNNGNSFEQTFQWFSETNKQEEHEFENNGSPFEEYVFPNMSSWFQKHVSLNCENLYEEKSFQNSENPFEELVLLNKKDLLEELESQNNNNLSEEKTLQNIKHSMSRDDPNVKAILSRSGGLPQVIVALARYWANQYMSNIEDKREREWQCQYLIANFMQELQTSQEFYCLRGLFAWMHSYFCSCPPSLMRSMLYLLIFPQGKTFRRRRLVRRWIAEGYASGSESNSLEEMGELFHKLSSQSVIRQATMDGCYEFNGFFHEYMISRPVEERILLPLEVSVLEGYCWRLTTKGDIGQHLAIWNSWDRNKTLFDSLDLLRLRSLTVFGPWESFFISNKMGVLRVLDLEDACDVTDVDVENIGKVLHRLKFLSLRGHKITYLPDSFGGLRHLQTLDIRCTSIINLPTSITKLKKLQYVRAGNPVPVPLDDDTSTDGILRLRPPPPEAASATASPSLSEPSTSMSRPHAATAVSRLFELPETLTRRHRSQQPAVAGTCNGGIVVPRGIRKMTTLHTLGVIDVSVAKKGRAILEELKNLTQLRKLGVSGISRRNCREFCSAISGHAHLESLSVHLNKENNRGCLDAISKPPENLKSLKLYGYADDKLPEWITLLRKLSKLNLQMAMLPSGDGLQFHSGFDSLVILKISCSPSLQAVTFHSGVMPSLECLKLRCCNVSSLRLSGLEALTGLKEVWFGGSYREAFKRELKRQIGQLPREMKPVLKEEQRLP >ONIVA06G22980.1 pep chromosome:AWHD00000000:6:21796902:21797204:1 gene:ONIVA06G22980 transcript:ONIVA06G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSKRAVNVAATANRWFFLVAAIRITNALSLCMLACAVLAASYVVLGYFLMLAAFVPLAITSLVFLLLRHCVPIGRSDLLDGQPEPEEARLKAMEDRRQ >ONIVA06G22960.1 pep chromosome:AWHD00000000:6:21784395:21784814:-1 gene:ONIVA06G22960 transcript:ONIVA06G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTDTAPAPPSPNPVICNSSFNDSSGSEHFAPPPLPAGGGFLIGEQQHVLPASYAAAGVPFMATGEETLQSFGFGSLFNGDLLQEANGSMYHYQQQQRQLGIVPSSQPLSGTRVGCTWAAGCTTGWSAHRQEAQARPS >ONIVA06G22950.1 pep chromosome:AWHD00000000:6:21782084:21782473:1 gene:ONIVA06G22950 transcript:ONIVA06G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPTKSTGSRQQLALALFTTKSTGSRQPSRVGANARRVRPLVDGPGDGACPVRDLSMLVLDGMYSHATAESTAANAHAFYGSASSVALRLPHRRTKGIEISNLIEAEFKAERTLEASPSRLHACSGAP >ONIVA06G22940.1 pep chromosome:AWHD00000000:6:21776002:21778413:1 gene:ONIVA06G22940 transcript:ONIVA06G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSU5] MDAIFTSLLLLTLIHLLLYSSSALESLLPGSPLSVERSLDLLYSPDRTFTCGFYNISPNASTFSIWFSNSSEKTVVWSANPLHPVYTWESKFELKSDGGMLLKDYNGQVVWTNNVSSSNAEQVQAKLLNTGNLIVKSKGDTILWESFAFPTDTLLPTQNITARIKLISTNRLLAPGRFSFHFDDQYLLSLFYDEKDLSLIYWPDPAQNIWVKHRKSFNSAANGAVDSQGHFLGSDDANFTAADLGPRIMRRLTLDYDGNLRLYSLNDSSGTWSVTWMAFPQLCNVRGVCGINGICVYRPAPTCVCAPGYQFSDPSDWSKGCSPKFNITREQKVRLLRLPNTDFLGNDIRAYPHVSLHDCKKICLNDSNCVGFAYWQGRGDCYPKTALLSGVSLIGSSTGTMYIKLPQELKVSDHQVPRSQPFDQKYVKYCTTVDKYFVPDFLDKLKSGQNESKYWYFYGFLSAIFVAEVLFIIFGLLILQREDKQLREIAEVGYEMITNHFRRYTYRELVTATRRFQDAIGQGASGVVYKGVLKDKRVVAVKKLLDINQGEEEFKHELSVIGRIYHMNLVRVWGFCSDDSHRMLVSEYVENGSLDKILFDSQESQALLEWEQRFKIALGVAKGLAYLHHECLEWVIHCDIKPENILLDENLEPKIADFGLAKLLHRGGSNLNVSRIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARVSDLETNKDEEVEMVLGRIIRMLAENLTSDGDEQSWIADFIDARLNTRFNNLQARVMMELAVSCLEEDRARRPTMESVVEMLVSVDEAG >ONIVA06G22930.1 pep chromosome:AWHD00000000:6:21765772:21773967:1 gene:ONIVA06G22930 transcript:ONIVA06G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDAPSPLPPPVRYCGVLEDYEQQVDEEPPSPKSPSRVSSPLPLPEQKLSAAYRAELRRQNALINNGPRYRFRRPGEKRRRKKKKKHNKSKHHHTPPPPSPTPRPSPPSPICPYEEYPTFEPDDPVWMRQSTMYAEAALEHYNAAVDVGGGGVKYELVRAIFSGAIFTCKAAYGHRLFFAEVRKDKKRYIPTCLWSLDDEADRVGGAGADPQVDLPEITSPSRRNYCFSCDDEMKHPKDGTSYHAGHFLHTVAPPPVRYCGVTEDYEQQVDKEPPSPLSPSRVSSPLPLPEQKLSTAYRVELRPRRKNALINNGPRYRFHRPGEKRRKKKKKKNKKKPKQHYTPPSPPPNYEVFPTMEPDDPDWMRQSVMYTEAALEHYNAALVVEGGGGGVVNELVRAIISGVIITCRADYGHVNFIARAFSSVIGGGPLRQEERLFFVEVRNDGEGWIPTCLRSLDDEADRVGGLAAGDDPPVGRWKSRRSPRRRGRTSASAVTSRLSIPRTENPTMPDTSYS >ONIVA06G22920.1 pep chromosome:AWHD00000000:6:21760548:21762968:1 gene:ONIVA06G22920 transcript:ONIVA06G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSU3] MVASSASLLLLLIHPLLCISAHDFLSPGASLSEDDVLYSPDGDFACGLYKISPNSCTFSIWFTNSADKTVVWSANPLHPVYTQGSKMELKSDGSMVLTDNSGQVVWTNNVSSSNGEQVQAQLLNTGNLIVKGKGDTILWQSFDSPTDTLLPTQNITVRIKLTSTNRLLVPGRYSFHFNDQFQLSLFYEENDIPFIYWPNPTRTISGRERMLYNIIPIGTLNSSGHFLESENLTFMAADWGLGIMRRLTLDYDGNLRLYSLNNSSGTWSVTWMAFPQLCNVRGVCGINGICVYTPVPACACPPGYDFIDPSDQSKGCSPRVNITCDIQQKVMFVSLPNTQFLDSDLSPLRYVSLGACENICLKDCNCMGFVYWQGIGKCYPKSVLLSGVSLPHIGSTGTMYLKLPMEEVLEELQLSEHSMTSIPQSQPFGPKYGPDCNANKNLDEHKSGQNESKYLYFYGFLSAIFLAEVTFIVFGWFILRREGKLARGISEVGYEMVTNHFRRYTYRELMIATRKFQDEIGRGASGIVYKGILKDMRAVAVKKLLDINQGEEEFKHELSVIGRIYHMNLVRVWGFCSDDPHRMLISEYVENGSLDKILFGAKGSQALLGWKQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDENMEPKIADFGLAKLLNRGGSKLNVSRIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARVSDLETNEDEEVEMVLGRIIRTLAESLKSGGDGQSWIVEFIDTRLNGRFNDLQARAMMKLAVSCLEEDRGRRPTMESVVEVLVSVDEASSTI >ONIVA06G22910.1 pep chromosome:AWHD00000000:6:21757302:21760635:-1 gene:ONIVA06G22910 transcript:ONIVA06G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARGNHVLICTKEDESGEGAERQSLQPFLLELESRFAPNDRIQRECKFEGYGVVSGYGDGDDQLTTCTKLALDHIDIDLENVQGFDSNAFTMKLFDDNDDLIYFHVVFTGETPGRRGGGGKRYSFAEIVGKDKPEEVRMWKEMDEESENCTVENCIFCTGMWHPLSGGFCGYKRKKKNRR >ONIVA06G22910.2 pep chromosome:AWHD00000000:6:21757302:21760635:-1 gene:ONIVA06G22910 transcript:ONIVA06G22910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARGNHVLICTKEDESGEGAERQSLQPFLLELESRFAPNDRIQRECKFEVFSAGYGDGDDQLTTCTKLALDHIDIDLENVQGFDSNAFTMKLFDDNDDLIYFHVVFTGETPGRRGGGGKRYSFAEIVGKDKPEEVRMWKEMDEESENCTVENCIFCTGMWHPLSGGFCGYKRKKKNRR >ONIVA06G22910.3 pep chromosome:AWHD00000000:6:21757302:21763824:-1 gene:ONIVA06G22910 transcript:ONIVA06G22910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCKPMLAQGPTSPADGVLLLALPAFHTVRRRSWPPPALPPRAGDAHRWAAGDPRRPRRSCCSKLHLRFSTATGYGVVSGYGDGDDQLTTCTKLALDHIDIDLENVQGFDSNAFTMKLFDDNDDLIYFHVVFTGETPGRRGGGGKRYSFAEIVGKDKPEEVRMWKEMDEESENCTVENCIFCTGMWHPLSGGFCGYKRKKKNRR >ONIVA06G22910.4 pep chromosome:AWHD00000000:6:21757302:21763723:-1 gene:ONIVA06G22910 transcript:ONIVA06G22910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPPAARRRRASLGSRRPAPAAALLLQQTPSPFLHCNGYGDGDDQLTTCTKLALDHIDIDLENVQGFDSNAFTMKLFDDNDDLIYFHVVFTGETPGRRGGGGKRYSFAEIVGKDKPEEVRMWKEMDEESENCTVENCIFCTGMWHPLSGGFCGYKRKKKNRR >ONIVA06G22910.5 pep chromosome:AWHD00000000:6:21760691:21763723:-1 gene:ONIVA06G22910 transcript:ONIVA06G22910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPPAARRRRASLGSRRPAPAAALLLQQTPSPFLHCNGWRGFALQTTVLSAELVNQIEKVQELGEIL >ONIVA06G22900.1 pep chromosome:AWHD00000000:6:21742192:21744666:1 gene:ONIVA06G22900 transcript:ONIVA06G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSVSLLLFTLIHPLLCISAQDFLKPGSSLPVQDVLHSPDGTFTCGFYKISPNASTFSIWFSNLTENPVVWSANPLHPVYTWGSKVELKFDGGMFLKDYAGQIVWANNVSSSDTQYAQAQLLDTGNLVVKGESGNTLWQSFDSPTDTLLPTQSITAATKLVSTNRLLVPGHYSFRFDDQYLLSLFDDEKNISFIYWPNPSMTIWAKLRSPFNSTTNGVLDSWGHFLGSDNATFIAADWGPGTVRRLTLDYDGNLRLYSLDKVDRTWSVTWMAFPQLCKVRGLCGQNGICVYTPVPACACAPGYEIIDPSDQSKGCSPKVNLSCDGQKVKFVALRNTDFLGYDLSVYRFVPLGFCKNICLKDCRCKGFAYWEGTGDCYPKSVLLGGVTLSNFGSTGTMYLKLPEGVNVSRSSFPHSQPLGPKYGPNCNTTNNISIADFLDTLNSGQSISKFLYFYGFLSAIFLAEVLFVLLGWFILRREAKQLRGVWPAEAGYEMIANHFRRYTYRELVLATRKFKDELGRGASGVVYKGVLKDNRVVAVKKLVDVNEGEEEFQHELSVISRIYHTNLNMEPKIADFGLAKLLNRDGSNIDISWIRGTRGYLAPEWVYSLPITAKVDVYSFGVVLLELLKGARVSELEKNDDEDVKMALGRVIRLCSEQLKSDGDDQFWIADFIDTRLNGQFNSAQARMMMELAVSCLEEDRVRRPTMECVVQKLVSVDEVSSTPTGGSEEPHSTRTSSLISY >ONIVA06G22890.1 pep chromosome:AWHD00000000:6:21734842:21741541:1 gene:ONIVA06G22890 transcript:ONIVA06G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HST6] MPSHADLDRQISQLRECKFLGEAEVRALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDSPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLTLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >ONIVA06G22880.1 pep chromosome:AWHD00000000:6:21720367:21728827:-1 gene:ONIVA06G22880 transcript:ONIVA06G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 12 growth stages; CONTAINS InterPro DOMAIN/s: RNA polymerase II-associated protein 1, C-terminal (InterPro:IPR013929), RNA polymerase II-associated protein 1, N-terminal ( /.../ro:IPR013930); Has 276 Blast hits to 220 proteins in 102 species: Archae - 0; Bacteria - 2; Metazoa - 151; Fungi - 65; Plants - 41; Viruses - 0; Other Eukaryotes - 17 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G38440) TAIR;Acc:AT4G38440] MDDAAERRRRQQQQQPGAAHPARRKVVEEPFDPSPPPAAAVAPPSSRLVGAIVEKGFSSGAAAAAPSSAPSPTVLPFPVARRRSHGPHWKPAARDAAMAEGEGEEEEGMDVDETDYQPVAAAAGPVKRKEKKGMDFSRWREFVSDDAPPKRRQAKPLQPKKQTAQKIVAGVVAATTGGTAQEKRSGGIGMQLEVGNGKEELGGAALMSDVAPRKPMKQVDARDDVRNVELRGEGMESDNGEPSLTAEINAENMARLAGMSAGEIAEAQAEILNRMDPAFVEMLKRRGKEKSGSRKDGGKGKGGGISGPGKISKAMPGEWLSAGEHSGHTWKAWSERVERIRSCRFTLEGDILGFQSCQEQQHGKKAHVETVGERDFLRTEGDPAAVGYTINEAVALSRSMVPGQRVLALQLLALILNRALQNLHKTDLIDNFKESNDDDKFNDWQAVWAYAIGPEPELVLSLRMSLDDNHDSVVLTCAKVINAMLSYEMNEMYFDVLEKVVDQGKDICTAPVFRSKPDQNGGFLEGGFWKYNTKPSNILPHYGENDEEEGDEKHTIQDDVVVSGQDVAAGLVRMGILPRICFLLEMDPHPILEDNLVSILLGLARHSPQSADAILNCPRLVQSVVRLLVKQGSMEIHSSQIKGVNLLKVLSKYNRQTCFNFVNTGVFHQAMWHWYRKAYTLEDWIRSGKEHCKLTSALMVEQLRFWRTCISYGFCITHFTDFFPILCLWLSPPMFQKLSESNVVAEFSSIATESYLVLGALVQRLPLLHSVEQLSKQDMGLSGIQVETWSWSHAVPMVDLALSWLCLNDIPYVCLLISGQSKNILEGSYFALVISSVLGMLDSILERISPDSTHDGKSYCLPWIPDFVPKIGLGVITNGFFNFLDDNAVELEQHTSFHGSSLVQGLFHLRSQGNVDTSLCSISCFQRLLQLSCSIDRVIQNATTNCTEHLKESKTGIAGRILEQGICNFWRNNLLDMLTSLLPMISSQWSILQNIEMFGRGGPAPGVGFGWGAYGGGFWSLNFLLAQLDSHFVLELMKILSTGPEGLVTVNKSVNPIVQEGNNVTDSVAITSERISSVLSVSLMAGPGQISTLEKAFDILFHPSVLKFLKSSVLDSHMKLAKAFEWDITEDEYLHFSSVLNSHFRSRWLVIKKKHSDEFTRNNNGTNVPKIPETLETIQEETELAEAVNPPCSLLAVEWAHQRLPLPVHWILSAVCCIDDPKANLSTSYAVDVSKAGLFFLLGLEAISAAPCLHAPLVWKMHALSASIRSSMDLLLEDRSRDIFHALQELYGLHLDRLCQKYDSAHSVKKEGSASVDEEKVTRTEVLRFQEKIHASYTTFVESLIEQFAAVSYGDVLFGRQVAIYLHRSVEPTIRLAAWNALSNAYVLELLPPLDKCVGDVQGYLEPLEDDEGILESYAKSWTSGALDKAFQRDAMSFTVARHHLSGFVFQCSGSGKVRNKLVKSLIRCYGQKRHHEDMLKGFVLQGIAQDSQRNDEVSRRFEIMKDACEMNSSLLAEVQRLKTSIDR >ONIVA06G22880.2 pep chromosome:AWHD00000000:6:21720367:21728827:-1 gene:ONIVA06G22880 transcript:ONIVA06G22880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 12 growth stages; CONTAINS InterPro DOMAIN/s: RNA polymerase II-associated protein 1, C-terminal (InterPro:IPR013929), RNA polymerase II-associated protein 1, N-terminal ( /.../ro:IPR013930); Has 276 Blast hits to 220 proteins in 102 species: Archae - 0; Bacteria - 2; Metazoa - 151; Fungi - 65; Plants - 41; Viruses - 0; Other Eukaryotes - 17 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G38440) TAIR;Acc:AT4G38440] MDDAAERRRRQQQQQPGAAHPARRKVVEEPFDPSPPPAAAVAPPSSRLVGAIVEKGFSSGAAAAAPSSAPSPTVLPFPVARRRSHGPHWKPAARDAAMAEGEGEEEEGMDVDETDYQPVAAAAGPVKRKEKKGMDFSRWREFVSDDAPPKRRQAKPLQPKKQTAQKIVAGVVAATTGGTAQEKRSGGIGMQLEVGNGKEELGGAALMSDVAPRKPMKQVDARDDVRNVELRGEGMESDNGEPSLTAEINAENMARLAGMSAGEIAEAQAEILNRMDPAFVEMLKRRGKEKSGSRKDGGKGKGGGISGPGKISKAMPGEWLSAGEHSGHTWKAWSERVERIRSCRFTLEGDILGFQSCQEQQHVFWYPLHVNLAFPLTGKKAHVETVGERDFLRTEGDPAAVGYTINEAVALSRSMVPGQRVLALQLLALILNRALQNLHKTDLIDNFKESNDDDKFNDWQAVWAYAIGPEPELVLSLRMSLDDNHDSVVLTCAKVINAMLSYEMNEMYFDVLEKVVDQGKDICTAPVFRSKPDQNGGFLEGGFWKYNTKPSNILPHYGENDEEEGDEKHTIQDDVVVSGQDVAAGLVRMGILPRICFLLEMDPHPILEDNLVSILLGLARHSPQSADAILNCPRLVQSVVRLLVKQGSMEIHSSQIKGVNLLKVLSKYNRQTCFNFVNTGVFHQAMWHWYRKAYTLEDWIRSGKEHCKLTSALMVEQLRFWRTCISYGFCITHFTDFFPILCLWLSPPMFQKLSESNVVAEFSSIATESYLVLGALVQRLPLLHSVEQLSKQDMGLSGIQVETWSWSHAVPMVDLALSWLCLNDIPYVCLLISGQSKNILEGSYFALVISSVLGMLDSILERISPDSTHDGKSYCLPWIPDFVPKIGLGVITNGFFNFLDDNAVELEQHTSFHGSSLVQGLFHLRSQGNVDTSLCSISCFQRLLQLSCSIDRVIQNATTNCTEHLKESKTGIAGRILEQGICNFWRNNLLDMLTSLLPMISSQWSILQNIEMFGRGGPAPGVGFGWGAYGGGFWSLNFLLAQLDSHFVLELMKILSTGPEGLVTVNKSVNPIVQEGNNVTDSVAITSERISSVLSVSLMAGPGQISTLEKAFDILFHPSVLKFLKSSVLDSHMKLAKAFEWDITEDEYLHFSSVLNSHFRSRWLVIKKKHSDEFTRNNNGTNVPKIPETLETIQEETELAEAVNPPCSLLAVEWAHQRLPLPVHWILSAVCCIDDPKANLSTSYAVDVSKAGLFFLLGLEAISAAPCLHAPLVWKMHALSASIRSSMDLLLEDRSRDIFHALQELYGLHLDRLCQKYDSAHSVKKEGSASVDEEKVTRTEVLRFQEKIHASYTTFVESLIEQFAAVSYGDVLFGRQVAIYLHRSVEPTIRLAAWNALSNAYVLELLPPLDKCVGDVQGYLEPLEDDEGILESYAKSWTSGALDKAFQRDAMSFTVARHHLSGFVFQCSGSGKVRNKLVKSLIRCYGQKRHHEDMLKGFVLQGIAQDSQRNDEVSRRFEIMKDACEMNSSLLAEVQRLKTSIDR >ONIVA06G22870.1 pep chromosome:AWHD00000000:6:21674627:21690096:1 gene:ONIVA06G22870 transcript:ONIVA06G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLSAALPLMEGEHHQDHHQGHFQAFSLQPKDPPVLFPFVINRRSSSSSPSDSTTLSYGSDHHLTQQQQHQHQAMLEPQHMIGGSSAGIFATPFPTVKSIRDDMIERSQFDPYDTEKLQASCGLAKVVAGGKWSAVPAAKMKITRKMGEPSSGVTGGAATTVAPKKPRRRLAQAYEDHGHGGAMGQAFGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASGLPASPNAAGPKAAAHSGAAAVAAAQPKVKKEKRADVDRSSLPFKKRCKAVQVEDHQTLPAATNAAAAAAMEETAESATVAPPPAPTTRGCTLVDSIGLSWSKTHAAATASCSFRPSPVAPGFAAAVQDEITDAAMLLMTLSCGLVRS >ONIVA06G22870.2 pep chromosome:AWHD00000000:6:21679491:21690096:1 gene:ONIVA06G22870 transcript:ONIVA06G22870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLSAALPLMEGEHHQDHHQGHFQAFSLQPKDPPVLFPFVINRRSSSSSPSDSTTLSYGSDHHLTQQQQHQHQAMLEPQHMIGGSSAGIFATPFPTVKSIRDDMIERSQFDPYDTEKLQASCGLAKVVAGGKWSAVPAAKMKITRKMGEPSSGVTGGAATTVAPKKPRRRLAQAYEDHGHGGAMGQAFGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASGLPASPNAAGPKAAAHSGAAAVAAAQPKVKKEKRADVDRSSLPFKKRCKAVQVEDHQTLPAATNAAAAAAMEETAESATVAPPPAPTTRGCTLVDSIGLSWSKTHAAATASCSFRPSPVAPGFAAAVQDEITDAAMLLMTLSCGLVRS >ONIVA06G22870.3 pep chromosome:AWHD00000000:6:21687172:21690096:1 gene:ONIVA06G22870 transcript:ONIVA06G22870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLSAALPLMEGEHHQDHHQGHFQAFSLQPKDPPVLFPFVINRRSSSSSPSDSTTLSYGSDHHLTQQQQHQHQAMLEPQHMIGGSSAGIFATPFPTVKSIRDDMIERSQFDPYDTEKLQASCGLAKVVAGGKWSAVPAAKMKITRKMGEPSSGVTGGAATTVAPKKPRRRLAQAYEDHGHGGAMGQAFGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASGLPASPNAAGPKAAAHSGAAAVAAAQPKVKKEKRADVDRSSLPFKKRCKAVQVEDHQTLPAATNAAAAAAMEETAESATVAPPPAPTTRGCTLVDSIGLSWSKTHAAATASCSFRPSPVAPGFAAAVQDEITDAAMLLMTLSCGLVRS >ONIVA06G22860.1 pep chromosome:AWHD00000000:6:21668448:21674262:-1 gene:ONIVA06G22860 transcript:ONIVA06G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNVIATNLRFGSGARPAAPVGMALVLHCGSGNKNAFKALIAAEYTGVKVELTKNFEMGVSNKTPEFLKMNPLGKIPVLETPEGAVFESNAIARYVARLKDNSSLCGSSLIDYSHIEQWMDFSATEVDANIGRWLYPRLGFGPYVPALEEFAITSLKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYYGFVRILIKSFTSEFPHVERYFWTMVNQPNFKKVIGDFKQAESVPPVQKKAAPPKESKAKEAKKEAPKEAPKPKVEASEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREIAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSTPPFKVKGLWLFRGQDIPKFVMDEVYDMELYEWTKVDLSDEAQKERVNAMIEDQEPFEGEDLLDAKCFK >ONIVA06G22850.1 pep chromosome:AWHD00000000:6:21662648:21666905:1 gene:ONIVA06G22850 transcript:ONIVA06G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRIWRPRSRAVPFLSLLLLAPLVFTGLKAVNKIGLSSERNYSRGHVTFVTVFTTYNSDPAEASKLPSNVVTVGKHSYSKVGRSMAILNTFIGFIQVSMPRSNVIILTDPNSKLTHGSAVILPIEGNYSRGNLMLQRIRSYIAFLEQRLEELETVEDINHLIFTDSDIAVVTDLGHIFEMYPHCHLALTFRNNKGQPLNSGFVAVRGTRDGIFKAIEFFKEVLEAYHLKYMEASRMLGDQLALAWVVKSYLPSAFSKFSKHEAFTVYNWTPPEGAGQFHGMPLDVK >ONIVA06G22840.1 pep chromosome:AWHD00000000:6:21656149:21659845:-1 gene:ONIVA06G22840 transcript:ONIVA06G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) TAIR;Acc:AT5G26040] MASSAPSAAAGATPPDPLRRDRILSSKLYLDVPGSKAPVVYSPAYDIAFLGIEKLHPFDSSKWGRICKFLTKEGHLEKNRVVEPLEATKDDLLVVHSESYLNSLKSSLKVASIVELPPVAFIPNWLVQQKLLYPFRKQVGGSILSAKLALERGWAINVGGGFHHCSAEQGGGFCAYADISLCIQFAFVRLNISRVMIIDLDAHQGNGHEKDFANDGRVYTLDMYNAGIYPYDHVAKRYIDQKVELVSGTKTEDYLDQLDKALKVAESRFQPQLIVYNAGTDILDGDPLGRLKISPQGVVIRDEKVFRFAKDQSIPLLMLTSGGYMKSSARVIADSIINLSNKNLIELGSQLG >ONIVA06G22830.1 pep chromosome:AWHD00000000:6:21651976:21655846:1 gene:ONIVA06G22830 transcript:ONIVA06G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFALPPDARARAMGRAAARLPGCLYLCLWAPAAAIAGGVQPNHLFCLDAWIGGGGGVGAGGGGGDRALELFEAYRGALCAAVSGCVPGWAYKEGAACMELTEHDLAASASLQVQQQFYHETGTKMAVFMGCDSGEIEVGLSAASATATAAVVGEMQQSILEELIQMPPPPPSPSSSSLLSLSVGSPEYSSLVRSMATSVGASAAADPSPVHGGLLAPVYGEFPGSDDDAAMAQAMLAVISTPAPPPPPWRPPRRRARSSSSPRRATAFKAYNAALSPRARPRPGAPGQRMIKTGISLLASVHMQTRSRELAAARQRDTHAAPPPPPPPPPPSSSQLHHMISERRRRERLNDSFQTLRALLPLPPDSKKDKAAILASTTEYMDKLISQVSELGEKNRQLEAQLAARSGEAQWPAASGGGGGESSSERVQVDVVIAGSSASTDQPREVSIRVTVRAECDVSELVVAVLARLREMGRFAVVSVDAGRRSSSKLWRRPWTARRGDSAAAAGGATATDIAAFFKFQRTPFMHSKNYDQSDDLEGEAKLLPLDS >ONIVA06G22830.2 pep chromosome:AWHD00000000:6:21651976:21655741:1 gene:ONIVA06G22830 transcript:ONIVA06G22830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFALPPDARARAMGRAAARLPGCLYLCLWAPAAAIAGGVQPNHLFCLDAWIGGGGGVGAGGGGGDRALELFEAYRGALCAAVSGCVPGWAYKEGAACMELTEHDLAASASLQVQQQFYHETGTKMAVFMGCDSGEIEVGLSAASATATAAVVGEMQQSILEELIQMPPPPPSPSSSSLLSLSVGSPEYSSLVRSMATSVGASAAADPSPVHGGLLAPVYGEFPGSDDDAAMAQAMLAVISTPAPPPPPWRPPRRRARSSSSPRRATAFKAYNAALSPRARPRPGAPGQRMIKTGISLLASVHMQTRSRELAAARQRDTHAAPPPPPPPPPPSSSQLHHMISERRRRERLNDSFQTLRALLPLPPDSKKDKAAILASTTEYMDKLISQVSELGEKNRQLEAQLAARSGEAQWPAASGGGGGESSSERVQVDVVIAGSSASTDQPREVSIRVTVRAECDVSELVVAVLARLREMGRFAVVSVDAGRRSSSFAQASLTLRIMAGDVCDETSLKEAVAKAVDGAAR >ONIVA06G22810.1 pep chromosome:AWHD00000000:6:21618590:21625557:-1 gene:ONIVA06G22810 transcript:ONIVA06G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIVDSIHGDRDDATLLAVHSNAAELGCYVLALRLDGSSPPAQIKFSHPSNLGRVAKTSVEMLLVSSPDGTLSLAVARRHEISIIVIEGRQHGEAAAVVVVVEGRRRGEVDAPRRGGPGKGGGVGDAGGDTAAIDGGRGGPARVLCQEQRHGALPDVPWVHGCLFELSLATMEAFVSGGAGGVGAAAVVGVFVAAAVVGGFVAAVALAERAGVIAPRKRPNAPPAVPGLPIIENLHQLKEKKPHQTFTKWAEIYGPIYTIRIGASSVVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLTRDKSMVATSDYGDFHKMVKRYVMSSTLGTSAQSLGEDVSSVYVDEFGRDISKEEIHNATVTDMMMCAIEVDWRDFFSYLSWVPNKSFETRVFTAEARRTAVMRALIKQQKERIERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPVPLIPPRFVHEDTKLAGYDVPAGTEMVINLYGCNMNKEWASPEEWVPERFTGGRLEVADMYKTMAFGAGRRACAGSLQVMHIACTAIARFVQEFGWRLTEGDEEKVDTVQFTAYKLHPLHVHLTPKGRM >ONIVA06G22800.1 pep chromosome:AWHD00000000:6:21610720:21613348:1 gene:ONIVA06G22800 transcript:ONIVA06G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRPGGALGRFLGAITPALSASAATATNPPTAATAAAAAPAPPGTNASMDHTLCARSPPSPSSASLDRGVGEEKRQLASREQFDTSRNGIYYKSLGNIVFAACDMPLLSRVFDSLYDKRTRSIDPIRES >ONIVA06G22790.1 pep chromosome:AWHD00000000:6:21603581:21610880:-1 gene:ONIVA06G22790 transcript:ONIVA06G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVPGGAGAAAAAVAAVGGFVAVAALAERAGVIAPRKRPNAPPAVPGLPIIGNLHQLKEKKPHQTFAKWAEIYGPIYTIRTGASSVVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLTRDKSMVATSDYGDFHKMVKRYVMSSMLGTSAQKQFRDTRDMMIHNMLSTFLKLVKDDPHAPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTETRRTAVMRALIKQQKERIVRGEAKTCYLDFLLAENTLTDEQLTMLVWEALIEAADTTLVTTEWAMYELAKNPDKQARNFVTLFQLIVAILGIINQNFLFLAFFLLSCQERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPVPLIPPRFVHEDTKLAGYDVPAGTEMVINLYGCNMNRKEWESPEEWVPERFAGGRLEVADMYKTMAFGAGRRACAGSLQAMHIACAAVARFVQEFRWRLREGDEEKVDTVQLTAYKLHPLHVHLTPRGRV >ONIVA06G22780.1 pep chromosome:AWHD00000000:6:21592584:21594282:1 gene:ONIVA06G22780 transcript:ONIVA06G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGTVAVDGGGRRQLRRRCCMGPHCQMLGSTIPTRMCSLGPRATTGGCSTSTTLIDSTSNHLGMVPYDVGAFMQVNLLLLKGYLCE >ONIVA06G22770.1 pep chromosome:AWHD00000000:6:21585594:21591800:-1 gene:ONIVA06G22770 transcript:ONIVA06G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAAGAGGIGVAAAAVGGLVAAATLAVAPPKNRRNRPPPAVPGLPIIGNLHQLKEKKPHQAFTKWAEIYGPIYTIKTGASSVVVLNSTEVAKEAMVAKFSSISTRKLSNALTVISRDKSMVATSDHGDFHKMAKRYIMLSMLGTSAQKQFRDTRDMIINNMLSTFHKLVKDDPHAPLMFRDVFQDELFRLSMIQVSILSELKLLDDQFVISGTDNECRKLQSLGEDVSSVYVDEFGRDISKEEIYNITVTDMTMCAIEVDWRDFFPYLSWVPNKSFETRLFTTVARRTAVMRALIKQQKERIVRGEAKACYLDFLLAENTLTDEQLTMLVWEALIEAADTTLVTAEWAMYELAKNPDKQARNICYSERLYQEIRDVCGDETVTEEHLPRLPYLNAVFHETLRRHSPIPLIPPRFVHEDTKLAGYDVPAGTEMVINLYGCNMNEKEWESPEEWAPERFTGGRLEVADKYKTMAFGAGRRVCAGSLQATHIACTAVARFVQEFRWRLREGDEENVDTAQVTAYKLHPLHVHLTPRGRV >ONIVA06G22760.1 pep chromosome:AWHD00000000:6:21556142:21558874:1 gene:ONIVA06G22760 transcript:ONIVA06G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQPLLKIDFISNPFVVTSKYPSQYCCRTLASSRTAPLAPTPPLLELELSQTVSAPPKLGVELGGALSQNGTSK >ONIVA06G22750.1 pep chromosome:AWHD00000000:6:21544592:21551712:-1 gene:ONIVA06G22750 transcript:ONIVA06G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMLVAGAGAAAVAAVGGLVAAAALADKLVAAPPPRKNRANPPPAVPGLPIIGNLHQLKEKKPHQTFAKWSETYGPIYTIKTGASPVVVLNSTEVAKEAMIDKFSSISTRKLPKAMSVLTRKSMIAISDYGDYQKMAKRNIMIGMLGFNAQKQFRGTRERMISNVLSTLHKLVSLDPHSPLNFRDVYINELFSLSLIQSLGEDVSSVYVEEFGREISKDEIFDVLVHEMMMCAVEADWRDYFPYLSWLPNKSFDTIVSTTEFRRDAIMNALIKKQKERIARGEARASYIDFLLEAERSAQLTDDQLMLLLSESILAAADTVLELLYQEIREACGGEAVTEDDLPRLPYLNAVFHETLRLHSPVPVLPPRFVHDDTTLAGYDIAAGTQMMINVYACHMDEKVWESPGEWSPERFLGEGFEVADRYKTMAFGAGRRTCAGSLQAMNIACVAVARLVQELEWRLREGDGDKEDTMQFTALKLDPLHVHLKPRGRM >ONIVA06G22740.1 pep chromosome:AWHD00000000:6:21537927:21538187:1 gene:ONIVA06G22740 transcript:ONIVA06G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSTCSTSTTWFLPPKDRTSDSGGGGVGSKEEEDEAWDEWLAKLIHERMPWQMPPATELQDAGVMFRAKRPPCSLVDVTFSRRT >ONIVA06G22720.1 pep chromosome:AWHD00000000:6:21512229:21521815:-1 gene:ONIVA06G22720 transcript:ONIVA06G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAAGAGGIGVAAAAAVVAATLAVVPPKDRGNNPPPAVPGLPVIGNMHQLKEKKPHHTFTKWSKTYGPIYTIKTGASSVVVLNSTEVAKEAMIEKFSSISTKKLPKALSVISRKNMVSISDYGDFYKMAKRNIMLAILGFNAQKHFCDTRERMVSNVLSSLHKLVAVDPHSPLNFREVYTTELFGLSLIQNLGEDVCSVYVEEFGREISKEEIFHVLVHEILSCVVEPDWRDYFPYLSWLPNKSFETIVSSTEFRRDAVMNALIKRQKERIARGEARISYIDFLLEAKNSTQLTDHQLMLLLAESIAAAVDTVLVTTEWAMYELAKNPDKQARKKNDLERLYREIREVCGGKAVTEEDLPRLPYLDAVLHETLRLHSPVPVLPTRFVHDDTTLAGYDVPAGTQVMINVFGCHMDEEAWESPGEWSPERFLGEGFKLADRYKTLAFGAGRRTCAGSQQAVSIACVAIARFVQELQWTLREGDGDKEDTMQYTALKLHPLHVHLKPRGS >ONIVA06G22720.2 pep chromosome:AWHD00000000:6:21512229:21521815:-1 gene:ONIVA06G22720 transcript:ONIVA06G22720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAAGAGGIGVAAAAAVVAATLAVVPPKDRGNNPPPAVPGLPVIGNMHQLKEKKPHHTFTKWSKTYGPIYTIKTGASSVVVLNSTEVAKEAMIEKFSSISTKKLPKALSVISRKNMVSISDYGDFYKMAKRNIMLAILGFNAQKHFCDTRERMVSNVLSSLHKLVAVDPHSPLNFREVYTTELFGLSLIQNLGEDVCSVYVEEFGREISKEEIFHVLVHEILSCVVEPDWRDYFPYLSWLPNKSFETIVSSTEFRRDAVMNALIKRQKERIARGEARISYIDFLLEAKNSTQLTDHQLMLLLAESIAAAVDTVLERLYREIREVCGGKAVTEEDLPRLPYLDAVLHETLRLHSPVPVLPTRFVHDDTTLAGYDVPAGTQVMINVFGCHMDEEAWESPGEWSPERFLGEGFKLADRYKTLAFGAGRRTCAGSQQAVSIACVAIARFVQELQWTLREGDGDKEDTMQYTALKLHPLHVHLKPRGS >ONIVA06G22710.1 pep chromosome:AWHD00000000:6:21511131:21511310:1 gene:ONIVA06G22710 transcript:ONIVA06G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGVDACPLKAVRGTAMARHMRLITSNLAPSDDAYYPRAVMVNKAILRSRRRNWDDG >ONIVA06G22700.1 pep chromosome:AWHD00000000:6:21510696:21511049:-1 gene:ONIVA06G22700 transcript:ONIVA06G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLVLLIVVVVVGGSSLQRIWQWWWHHLAGDGRIAAKAAPRGAVRTVREAARAGVLGAGGVREAAERVPRQPICAMVHQAHNPTVRHSVTPFSNLTREEFKARLTGITANGDDV >ONIVA06G22690.1 pep chromosome:AWHD00000000:6:21505436:21506906:1 gene:ONIVA06G22690 transcript:ONIVA06G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLFCSGKAAARGEFVKLVFPGGHVELLDRAVPAAEVMARHPRFCVARPDVFRDPAAAGAVAAPDAVLALGRKYYVVPRSTVRRLQIMHASSSPHAGGGGGVSLKRHLAGAGGHERGYKVVGRRKSWLRLLVSGGGGKPQQSGPRDGGVSHGGKDEAAVVGDVSDVRETKENGKPPRNGFNQLHAHTWHIIVVYEY >ONIVA06G22680.1 pep chromosome:AWHD00000000:6:21495173:21496293:-1 gene:ONIVA06G22680 transcript:ONIVA06G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRQTRQRQACRSRVKTRQTKRKKKQIQSHSSSIIQAVHVVQPKNNLLPRLNQQRHGRSR >ONIVA06G22670.1 pep chromosome:AWHD00000000:6:21486852:21492171:-1 gene:ONIVA06G22670 transcript:ONIVA06G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKDGAADLEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKSLEKGKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRSGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATH >ONIVA06G22660.1 pep chromosome:AWHD00000000:6:21483442:21483888:1 gene:ONIVA06G22660 transcript:ONIVA06G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRGQGGLAVVVVAAHHRRCGRFATHQIRQIRGQIRRCHRARIATPPPRLSDLASSPAVDREKGVGGERLVATSNAIMGIRCHRSTTIIGIRCLRSQMRGKPVMCGPSTIAARREDEGDKSEVEEKLRSRGSAAAAAEEEATSARW >ONIVA06G22650.1 pep chromosome:AWHD00000000:6:21478731:21482896:-1 gene:ONIVA06G22650 transcript:ONIVA06G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSQ9] MLLSLINSHLPSPHLTDHHHTSPSSSLVAHTSPLASASPPMAIASGTGCCRGRRLLLLAAALLLSAASPARAFYLPGVAPRDFQKDDELQVKVNKLSSTKTQLPYDYYFLDYCKPEAIKNSAENLGEVLRGDRIENSVYNFKMRRDETCKVVCRSKLSPEAAKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDPNSPDARIVGFHVIPSSIKHEYSAWDDKNPTVQTCNANNKITPGSHTPQDVVPEAYVVFSYDVTFEASEIIWASRWDVYLLSSDSQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQDEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFALFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFSILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKLVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >ONIVA06G22640.1 pep chromosome:AWHD00000000:6:21463908:21480312:1 gene:ONIVA06G22640 transcript:ONIVA06G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAERANVFHEANRTDNVIAHFQEPRDTHHSLYWPLLLLGDRAGQPWRPPCSCSSPPPPPPAAAATVEVTWDVEYILWAPDCQQRVMIGINGRFPGPNITARAGDVISVTMNNKMHTEGVVIHWHGIRQSKPTLARPWADGTASISQCAVNPGETFIYKFIADKPGTYFYHGHFGMQRAAGLYSSLIVLDSPEQPEPFRHQYDDGGELPMMLLSDWWHQNVYAQAAGLDGKDRHFEWIGEPQTTLINGRGQFECTLGPTRKSFEKLLNENVKTCVDDQKMCSDQEKCLRRSECGPYCPRSQCAPVMFNVEQGKTYRLRIASTTSLSLLNVKIQGHKMTVVEADGNHVEPFVVDDIDIYSGESYSVLLKADQKPASYWISVGVRGRHPKTVPALAILSCGNGNAAAPPLQLPAGEPPVTPAWNDTQRSKAFTYSIRARKDTNQPPPAAADRQIFLLNTQNLMDGRYRWSINNVSLTLPATPYLGAFHHGLQDSAFDASGEPPAAFPEDYDVMRPPANNATTASDRVFRLRHGGVVDVVLQNANMLREEVSETHPWHLHGHDFWVLGYGDGRYDPAAHAAGLNAADPPLRNTAVVFPHGWTALRFVANNTGAWAFHCHIEPHLHMGMGVVFIEGEDRMHELDVPKDAMACGLVARTAATPRTPATPLPPSPAPAP >ONIVA06G22630.1 pep chromosome:AWHD00000000:6:21459288:21459662:-1 gene:ONIVA06G22630 transcript:ONIVA06G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCYRSATPELFHDKSDVCTDGIPGASCSVSCHSGHPAHALLIERRRASSYLPRQRLPSSQVLSVRLTGRPPCPTTMRRYRLLHRSAALRS >ONIVA06G22620.1 pep chromosome:AWHD00000000:6:21447751:21452860:1 gene:ONIVA06G22620 transcript:ONIVA06G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSLLFLVCFFTVAMSQCAAAAKARHFRWEVSNMFWSPDCEEKVVIGINGQFPGPTIRAKAGDTIVVHLKNGLHTEGVVIHWHGIRQFGTPWADGTASISQCAINPEETFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLIVDVADGDEEPFKYDGEINLLLSDWYHESIYTQMVGLSSNPFRWIGEPQSLLINGRGQFNCSLAAAHTPGAKQCAAAGNRHCAPVILPVLPNKTYRLRVASTTSLASLNLAVGNHKLTVVEADGNYVEPFAVDDIDIYSGDSYSVLLTTDQDTSANYWVSVGVRGRQPRTAPALAVLNYRPNRASRLPAAAPPATPAWDDFARSKAFTYRILGRAGVTPPPPATSDRRIELLNTQNRMGGGHVKWSINNVSMVLPATPYLGSLKMGLRSALPSAARPSDTFGRGYDVMRPPANPNTTVGDNVYVLAHNATVDVVLQNANALARNVSEVHPWHLHGHDFWVLGYGDGAFRGDAGDAAALNLRNPPLRNTAVIFPYGWTAIRFVADNPGVWAFHCHIEPHLHMGMGVIFAEAVDRVSELPKAAVSCGATATALMAGAGGHV >ONIVA06G22610.1 pep chromosome:AWHD00000000:6:21439015:21441394:1 gene:ONIVA06G22610 transcript:ONIVA06G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVISSPVPVDWYPTLAVVMVAVGLMFTASFFIYEATSSRRNRSLAKEIATATIASVFLGFGSLFVLLASGVYV >ONIVA06G22610.2 pep chromosome:AWHD00000000:6:21438954:21441394:1 gene:ONIVA06G22610 transcript:ONIVA06G22610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVISSPVPVDWYPTLAVVMVAVGLMFTASFFIYEATSSRRNRSLAKEIATATIASVFLGFGSLFVLLASGVYV >ONIVA06G22600.1 pep chromosome:AWHD00000000:6:21401483:21402349:1 gene:ONIVA06G22600 transcript:ONIVA06G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVIPAVWSAVHGWFTPAVLFLVLNIVIGTIAVTSKVTASSSTAGGGGEGDGYGAWAGGGGGGGGEQRRFSRVPSMALDRLRSFNLSGRFSAAASAPAAPEAAAVVGGVLDLGARDEATTAAVVKDVGGGREREEEVEDEQERAQAAHVVERSKSEATAAAADLPRLPARLRKSASDQSAFAHFEAEKKAAAAEVEREAVEARRPATTREPPRVWLRVADEDPEPEEFDDEDADAGAGEVDARADDFINNFRHQLKLQRIDSYLRHRDMLRRGHAAAAAAAVGSDL >ONIVA06G22590.1 pep chromosome:AWHD00000000:6:21384445:21387900:1 gene:ONIVA06G22590 transcript:ONIVA06G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFEKMESSSSSSYIPFIRQIAASVSAASCDAVVGGGGDKDEECRDEAAALRLKMVAVAAILIAGAAGVAIPLVGRRRRGGGGGGGGGVSSGGLFVLAKAFAAGVILATGFVHMLHDAEHALSNPCLPHSPWRRFPFPGFVAMLAALATLVVDFVGTHFYERKHRQEEAAAAAEEAAAALLEDGGALPVGEGEGRDGRGGKRDAMHIVGIHAHAAAHRHSHAHVHGACHGGAVNDAHAHGHGHGHEEGPSARHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQLKNFSAFLMAFFFAITTPAGITVGAAVASFYNPNSPRALVVEGILDSMSAGILIYMALVDLIAADFLSRKMSCNPRLQVGSYIALFLGAMAMAALALWA >ONIVA06G22580.1 pep chromosome:AWHD00000000:6:21378434:21382878:1 gene:ONIVA06G22580 transcript:ONIVA06G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGEENQNGMNGYEEEEEEEEVEEVEEEVEEEEEEEEEEGADATAAAADAAEEVAEERRGGGGEVEGVGNGEEAGRTAGGGEGGDSSGKIFVGGVAWETTEESFTKHFEKYGAISDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLQDEHTIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGIPPSLTEDKLKEHFSSYGKVVEHQIMLDHGTGRSRGFGFVTFENEDAVERVMSEGRMHDLAGKQVEIKKAEPKKPGGGDSSSNGRHSHGSGGGHRSSYRGSGGGNSGSSSSGGYGGYGGGYRSAAAAYYGSTGYAGYGRGYGYGGNPAFGSGFGSGYGGSMYGGPYGAYGAYGGAYGGGGAYGAPGGYGAGGYGAYGGAGGMGGGGSTSGRGSSRYHPYGK >ONIVA06G22570.1 pep chromosome:AWHD00000000:6:21368911:21375751:1 gene:ONIVA06G22570 transcript:ONIVA06G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSP8] MGRSGGRRRDRLRWSKLYTFACFRSSHSNNEAVGGGPAAAGGSAVGGPGFTRVVHCNNSAVHRRKPLKYPTNYISTTKYNILTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGVEDWRRFMQDMKVNNRKVAVHKGVGEFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQGLIRCEDPNPSLYTFIGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDLIIYILFTVLVLISLISSIGFAVRIKYDLPNWWYLQPEKSNKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDLHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGDDGQDIHVQDVWENNEDEIQLVEGVTFSVGRTRKSSIKGFSFEDDRLMQGNWTKEPNSSTILMFFRILAVCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKFSSSNGPVEREFKILNLLEFNSKRKRMSVILKDEDGQILLFCKGADSIIFDRLAKNGRMIEADTSKHLNDYENCNLSESQN >ONIVA06G22570.2 pep chromosome:AWHD00000000:6:21368941:21375225:1 gene:ONIVA06G22570 transcript:ONIVA06G22570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSP8] MGRSGGRRRDRLRWSKLYTFACFRSSHSNNEAVGGGPAAAGGSAVGGPGFTRVVHCNNSAVHRRKPLKYPTNYISTTKYNILTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGVEDWRRFMQDMKVNNRKVAVHKGVGEFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQGLIRCEDPNPSLYTFIGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDLIIYILFTVLVLISLISSIGFAVRIKYDLPNWWYLQPEKSNKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDLHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGDDGQDIHVQDVWENNEDEIQLVEGVTFSVGRTRKSSIKGFSFEDDRLMQGNWTKEPNSSTILMFFRILAVCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKFSSSNGPVEREFKILNLLEFNSKRKRMSVILKDEDGQILLFCKGADSIIFDRLAKNGRMIEADTSKHLNDYELIERDLILVGATAVEDKLQSGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRRICLSIPTDDQVAQDANKAAKESLMSQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPRNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMAAVLGPAPLYWAATLLVTAACNMPYLIHISYQRLCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQRTKIGFTARVDAKIKQIRGKLHKKAPSLTIHTVS >ONIVA06G22570.3 pep chromosome:AWHD00000000:6:21368911:21375751:1 gene:ONIVA06G22570 transcript:ONIVA06G22570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSP8] MGRSGGRRRDRLRWSKLYTFACFRSSHSNNEAVGGGPAAAGGSAVGGPGFTRVVHCNNSAVHRRKPLKYPTNYISTTKYNILTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGVEDWRRFMQDMKVNNRKVAVHKGVGEFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQGLIRCEDPNPSLYTFIGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDLIIYILFTVLVLISLISSIGFAVRIKYDLPNWWYLQPEKSNKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDLHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGDDGQDIHVQDVWENNEDEIQLVEGVTFSVGRTRKSSIKGFSFEDDRLMQGNWTKEPNSSTILMFFRILAVCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKFSSSNGPVEREFKILNLLEFNSKRKRMSVILKDEDGQILLFCKGADSIIFDRLAKNGRMIEADTSKHLNDYGEAGLRTLALSYRVLDESEYSSWNAEFLKAKTSIGPDRELQLERVSELIERDLILVGATAVEDKLQSGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRRICLSIPTDDQVAQDANKAAKESLMSQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPRNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSVGTWYLFIIVYGSALRSRDNYQILLEVLGPAPLYWAATLLVTAACNMPYLIHISYQRLCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQRTKIGFTARVDAKIKQIRGKLHKKAPSLTIHTVS >ONIVA06G22570.4 pep chromosome:AWHD00000000:6:21368941:21375225:1 gene:ONIVA06G22570 transcript:ONIVA06G22570.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSP8] MGRSGGRRRDRLRWSKLYTFACFRSSHSNNEAVGGGPAAAGGSAVGGPGFTRVVHCNNSAVHRRKPLKYPTNYISTTKYNILTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGVEDWRRFMQDMKVNNRKVAVHKGVGEFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQGLIRCEDPNPSLYTFIGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDLIIYILFTVLVLISLISSIGFAVRIKYDLPNWWYLQPEKSNKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDLHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGDDGQDIHVQDVWENNEDEIQLVEGVTFSVGRTRKSSIKGFSFEDDRLMQGNWTKEPNSSTILMFFRILAVCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKFSSSNGPVEREFKILNLLEFNSKRKRMSVILKDEDGQILLFCKGADSIIFDRLAKNGRMIEADTSKHLNDYGEAGLRTLALSYRVLDESEYSSWNAEFLKAKTSIGPDRELQLERVSELIERDLILVGATAVEDKLQSGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRRICLSIPTDDQVAQDANKAAKESLMSQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPRNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMAAVLGPAPLYWAATLLVTAACNMPYLIHISYQRLCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQRTKIGFTARVDAKIKQIRGKLHKKAPSLTIHTVS >ONIVA06G22570.5 pep chromosome:AWHD00000000:6:21368911:21375751:1 gene:ONIVA06G22570 transcript:ONIVA06G22570.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSP8] MGRSGGRRRDRLRWSKLYTFACFRSSHSNNEAVGGGPAAAGGSAVGGPGFTRVVHCNNSAVHRRKPLKYPTNYISTTKYNILTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGVEDWRRFMQDMKVNNRKVAVHKGVGEFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQGLIRCEDPNPSLYTFIGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDLIIYILFTVLVLISLISSIGFAVRIKYDLPNWWYLQPEKSNKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDLHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGDDGQDIHVQDVWENNEDEIQLVEGVTFSVGRTRKSSIKGFSFEDDRLMQGNWTKEPNSSTILMFFRILAVCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKFSSSNGPVEREFKILNLLEFNSKRKRMSVILKDEDGQILLFCKGADSIIFDRLAKNGRMIEADTSKHLNDYGEAGLRTLALSYRVLDESEYSSWNAEFLKAKTSIGPDRELQLERVSELIERDLILVGATAVEDKLQSGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRRICLSIPTDDQVAQDANKAAKESLMSQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTPSITNP >ONIVA06G22560.1 pep chromosome:AWHD00000000:6:21367061:21367806:-1 gene:ONIVA06G22560 transcript:ONIVA06G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMHGGIPAHGAAMHGGTKLSGSLAAVQWRPYLVVDRFFDPIEIKKWLNVGTERRLRKAIADVHAFAMDIVRTWRQSASVQDRDDVLSRFVASDEHSNEVLRDIILSFLIAGRETTSSGLSWFFWLLSSQPDVMARIADEVRTVRKATGTCPGEPFGFDALREMHYLHTVLTECGCTRQHQACAVDDTLPDGTLVHAGWSVTYNAYAMGRLATIWGED >ONIVA06G22550.1 pep chromosome:AWHD00000000:6:21349646:21351283:-1 gene:ONIVA06G22550 transcript:ONIVA06G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELEAAGGGGGRSTAPPVSLSGDSPEAVLGRGAAADRTVSRRHVSLRLLGGDGEEEEPRVAFEVVGRNPVVVRLGGGGGGGGCRVFRRGEAGELRDGDGLALSLRSPSSVLAVRRRSSKGGDGDGDVEAEVLDAVARRERRTRERKERERRAAEEAMEVTADEEAAAAAEAASNGDSDAEAEDLNFDLASIDPVREFGFLSMGHEFDNYPKGRICPPKDWNWFLEEVRKGYDDEDDEGGTLKSRGANKKNEGQREDEDWIGESEDDKDSLSRGSSVKRSKYVTRSKEPKKPRKEKTETKDKNKNSGDEKDEGDEDDEEDETLGGFIVNEEDEPMEELSEEEEDEFDDDDDDD >ONIVA06G22540.1 pep chromosome:AWHD00000000:6:21347371:21348716:1 gene:ONIVA06G22540 transcript:ONIVA06G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSTVKQEVVEVVVLDGEEEGEAAAPVPLPAAMGVVAAVAPFLVKTFEMVEDPATDAVVSWGGAARNSFVVWDPHAFAARLLPLHFKHANFSSFLRQLNTYGFRKVSADRWEFANEDFLGGQRHLLANIRRRRRGAGTGSTTPRTVNGGAGGSEGEVERLRRDKEALARELARLRRQQQEARAQLLDMERRVRGTERRQEQCTAFLARALRSPDVLDNIARRHAAAVERKKRRMLAAAADDDGLTFEALALAAAADTSHSTGGAVTTDMIWYELLGEEQAEIDIEVDQLVASASAAADTASEAEPWEEMGEEEVQELVQQIDCLASPRSSDGLIRSTPSISQRKSF >ONIVA06G22530.1 pep chromosome:AWHD00000000:6:21342095:21344802:-1 gene:ONIVA06G22530 transcript:ONIVA06G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVAAAAAARDQPWLLLPWSWLAGVVLLVVYFYAPWWGVRRVPGPAALPVVGHLPLLAAHGPDVFAVLAKKYGPIFRFHLGRQPLVIVAEAELCKEVGIRQFKSIANRSLPAPIAGSPLHQKGLFFTRDARWSAMRNTIISLYQPSHLAGLIPTMHSCVARAADAIAAAAAEQRDVDFSDLSLKLATDVIGQAAFGVDFGLTAAAAAAPRSDAGADADADGGEAAEFIREHVHSTTSLKMDLSGSLSIVLGLVAPALQGPARRLLSRVPATADWRTARANERLRARVGAVVAGRERAGGEARRARRDFLSAVLNARDGGSDKMRALLTPDYVGALTYEHLLAGSATTAFTLSSAVYLVAGHPGVEAKLLDEVDRFGPPDAVPTADDLEHKFPYLDQVIKEAMRFYTVSPLIARETSEQVEVGGYTLPKGTWVWLAPGVLSRDEAQFRDAGEFRPERFDAGGEEERRRHAYAHVPFGLGPRACPGRRFALQEVKLAMAHLYRRFVFRRSPRMESPPELQFGMVLSFRRGVKLTAVERRNAATA >ONIVA06G22520.1 pep chromosome:AWHD00000000:6:21336896:21339909:1 gene:ONIVA06G22520 transcript:ONIVA06G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMGCLPDVVTYNSLIDGYGKCGELDEVEQLVEKMRRSGCKADVVTYNALINCFCKFGRMETAFGYFAAMKREGVMANVVTFSTFVDAFCKEGLVREAMKLFAQMRVRGMALNEFTYTCLIDGTCKAGRLDDAIVLLDEMVHQGVPLNVVTYTVLVDGLCKERKVAEAEDVLRMMEKAGVRANELLYTTLIHGHFMNKNSEKALGLLSEMKNKGLELDVSLYGALIQGLCNVHKLDEAKSLLTKMDESDLEPNYIIYTTMMDACFKSGKVPEAIAMLQKILDSGFQPNVITYCALIDGLCKAGSIDEAISHFNKIRDLGLDPNVQAYTALVDGLCKNGRLNKAVQLFNEMVDKGMSLDKVVYTALLDGYLKQGNLHDAFALKAKMIDSGLQLDLFCYTCFISGFCNLNMMREAREVFSEMIGHGIAPDRAVYNCLISKYQKLGNLEEAISLQDEMERVLPSCTDSDTATDDITYRISTGVDASNRSVICEPSSQHEGAGLFS >ONIVA06G22510.1 pep chromosome:AWHD00000000:6:21333422:21334643:-1 gene:ONIVA06G22510 transcript:ONIVA06G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVQHGAQFIVDERVHADVAEHVAVPQLLDGKCASVSIVLLEAGRGGEVLECPCVRLNAVTTAFRLSIKRTRALGLPDACKVQMPPFSNYKSNFRRVELTNTASPPIGGAVSSVGQTLTFVGTGSKVTLVTAIGSGVVPLRVSLVGILAGLVVVAVYAISTV >ONIVA06G22500.1 pep chromosome:AWHD00000000:6:21330135:21331464:-1 gene:ONIVA06G22500 transcript:ONIVA06G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTGAVTVAAAIPMALPVTTRDGSPVLSSEEQGVTGSGSDGVASGDMGDENERLRRENAQLARELSQMRKLCYNILLLMSKYASTESIEAATPLPLPPLPSCPGAATASVLDNEEEMMSAKLFGVSIGRKRMRHDGDGEGGADGRAAACRGREGAARREKELEGGEREKGHVGPTIFFFVNDKWSHTYFLILNAT >ONIVA06G22490.1 pep chromosome:AWHD00000000:6:21327963:21329450:-1 gene:ONIVA06G22490 transcript:ONIVA06G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIASPLLLVSTVFSYNTEKEVEDRNTTVFTGWIDGEIFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVSATLGEDDSMDEGKLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKVNNNGLLFSTSSELTDELMMLFKGFPEECDELKSLKVGALNTGSSSKWSTEWERYALPLVNQVRS >ONIVA06G22480.1 pep chromosome:AWHD00000000:6:21299166:21326288:-1 gene:ONIVA06G22480 transcript:ONIVA06G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIPKKEGIQTRLIGKMETYQPLFSVKDRTALSMIEDAEEKGLITPGVTTLIEPTSGNLGIGLVLVAIQKGYRFIAVMPARYSPDKQMLLRFLGAELILTDPAGGYKGAMDKVEDLMKIMPNYHCFNQATNLANPEAHFKWTGPEIWKDTAGKVDFFVTAAGTGGTLSGVGRYLKIKNPSINIVCVEPSESAVISGGSPGSHKIQGTGPGFIPKTLDRSIIDEVVTVSSEESMAMARRLAKEEGLLVGISSGANVAACIKIAAREENKGKMIVTMFPSGGERYMSSNLFADLIGWTPLIEMKKINASRRAAGVRLVGKMETYQPLCSVKDRTALGMIEDAEKRGSISPGDTLIEATSGNLGIGLAAVAIQKGYKFIAVIPNSYPPDKQKLIKYLGAEVRITEGPYRNMEKKVEELKKSIKNSYNLDQMVNEANVEAHYKWTGPEIWKDTAGKVDIFVTSVGSGGTLAGVGKYLKEKNQSIRIVAVEPAESPILSGGKASKHRIQGIGVGFETEILKAHKPIITYEVKTIYSEDAITKARMLAREEGLLVGISAGANIAVCLELAAKEENKGKMIVTMLPSGADRYLSSDLFKPAERDSLSLHQALTELVRPALAKKATEEDITEFHRINAAFCSSWVEMGEEIKNGEEGPDMAAPGEEEQEQGRKGIPSLLSSREENIASNITQLIGWTPLVEMKNIAKNEGVQARLVGKMEAYQPLCSVKDRSALRMIEDAEEKGLITPGVTTLIEPTSGNLGIGLVLVAVQKGYRFIAVMPAKYSLDKQMLLRFLGAELILTDPAIGFNGMMDKVEELMKSIPNSHCLNQVTNPANPEAHFMWTGPEIWKDTAGKVDVFVASVGSGGTLTGVGRYLKMKNPSINIVCVEPSESPVISGGSPGPHKIQGTGAGFIPEILDKSVIDEVVTVNTEESMAMARRLAKEEGLLVGISSGANVAACIKIADREENKGKMIVTMFPSGGERYMNSDLFAPVREECDNMTF >ONIVA06G22480.2 pep chromosome:AWHD00000000:6:21299166:21326288:-1 gene:ONIVA06G22480 transcript:ONIVA06G22480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIPKKEGIQTRLIGKMETYQPLFSVKDRTALSMIEDAEEKGLITPGVTTLIEPTSGNLGIGLVLVAIQKGYRFIAVMPARYSPDKQMLLRFLGAELILTDPAGGYKGAMDKVEDLMKIMPNYHCFNQATNLANPEAHFKWTGPEIWKDTAGKVDFFVTAAGTGGTLSGVGRYLKIKNPSINIVCVEPSESAVISGGSPGSHKIQGTGPGFIPKTLDRSIIDEVVTVSSEESMAMARRLAKEEGLLVGISSGANLIGWTPLIEMKKINASRRAAGVRLVGKMETYQPLCSVKDRTALGMIEDAEKRGSISPGDTLIEATSGNLGIGLAAVAIQKGYKFIAVIPNSYPPDKQKLIKYLGAEVRITEGPYRNMEKKVEELKKSIKNSYNLDQMVNEANVEAHYKWTGPEIWKDTAGKVDIFVTSVGSGGTLAGVGKYLKEKNQSIRIVAVEPAESPILSGGKASKHRIQGIGVGFETEILKAHKPIITYEVKTIYSEDAITKARMLAREEGLLVGISAGANIAVCLELAAKEENKGKMIVTMLPSGADRYLSSDLFKPAERDSLSLHQALTELVRPALAKKATEEDITEFHRINAAFCSSWVEMGEEIKNGEEGPDMAAPGEEEQEQGRKGIPSLLSSREENIASNITQLIGWTPLVEMKNIAKNEGVQARLVGKMEAYQPLCSVKDRSALRMIEDAEEKGLITPGVTTLIEPTSGNLGIGLVLVAVQKGYRFIAVMPAKYSLDKQMLLRFLGAELILTDPAIGFNGMMDKVEELMKSIPNSHCLNQVTNPANPEAHFMWTGPEIWKDTAGKVDVFVASVGSGGTLTGVGRYLKMKNPSINIVCVEPSESPVISGGSPGPHKIQGTGAGFIPEILDKSVIDEVVTVNTEESMAMARRLAKEEGLLVGISSGANVAACIKIADREENKGKMIVTMFPSGGERYMNSDLFAPVREECDNMTF >ONIVA06G22470.1 pep chromosome:AWHD00000000:6:21293846:21296899:1 gene:ONIVA06G22470 transcript:ONIVA06G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRCPPSTATAPRAHLPEPLALPHHFLTRGRRRLGSPRDYSAVHGSSLSPVVRGHIAVSPRRFLQNEELLSFLGSSVGIEKLFGQPPLQPSTAREDRAGAEVGRRGASRRSARPSLPPCSHLLLSRRLPLLFRTGRAQAVGGTDEAGIAQIRYLPTPDSVPPVPAAVTKISTLPAVSFQISGPDIFRK >ONIVA06G22460.1 pep chromosome:AWHD00000000:6:21292767:21297952:-1 gene:ONIVA06G22460 transcript:ONIVA06G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAVEVEEGRTGIPSLLRLSAVGEEAIASNVAQLIGWTPLIEMKNIAKKEGIQARLVGKMEAYQPLFSVKDRTALGMVEDAEEKGLITPGVTTLIEPTGGNLGTGLVLVAIQRGYRFIAVMPAGYSLDKQMLLRFLGAEVILTDPAGGFKGMMDKVEELTKVMPNYHCFNQSINPANPDAHFKWTGPEIWKDTAGKVDIFVTAAGTGGTLSGVGRYLKMKNPSINIVCVEPSESAVISGSSIKHGFPQQTEIASEGRGPNLQACILPHLKSSSPSSVPPTAWALPVRKSSGSRRESRRWEHGGSDGRADRRLAPLLPTSAPALSSLAVLGWSGGCPNSFSIPTEEPRKESSSSFWRNRRGGSPGFHKIQGTGPGFIPENLDRSIIDEVVTVSSEESITMARRLAKEEGLLVGISSGANVSACIKIAAREENKGKMIVTMLPSGGERYMNSDLFADVREECANMTF >ONIVA06G22450.1 pep chromosome:AWHD00000000:6:21285055:21285459:-1 gene:ONIVA06G22450 transcript:ONIVA06G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSASYTEKSRSAATVAGAGAGDLRCHSAYYVTSTYSAPPPPPPPLWYDDAGSGKASKNKKKKAAATWPSSSASASASKGRVWGGLGDAAEMQRRRRVAGYRVYGVEGKVKVSFQSSMRWIKGKCTRVVDGWW >ONIVA06G22440.1 pep chromosome:AWHD00000000:6:21277100:21283966:1 gene:ONIVA06G22440 transcript:ONIVA06G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSN2] MAPPPSLAPDRGGGEPDDALRLRARAAAAGDAPAPQQQQQEQRHQEQQQLLWYRASAPAHRRVRESPLSSDAIFRQSHAGLLNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFSGTSLADWPLLMCCLTLPTFPLAALMVEKLAQRKLISKHVVILLHIVITTSVLVYPVVVILKCDSAVLSGFVLMFLASIIWLKLVSFAHTNYDIRMLSKSIEKGVTHDISIDPENIKWPTFKRLSYFLLAPTLCYQYINPIVKNSKHPLKGNFLNAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEEPVHKWVIRHIYFPCIRNGFSKGVAILISFLVSAAFHELCVAVPCHIFKFWAFIGIMFQADVVSVCPAQIPLVFLTKYLQDKFNNTMATSPNK >ONIVA06G22430.1 pep chromosome:AWHD00000000:6:21264496:21272082:1 gene:ONIVA06G22430 transcript:ONIVA06G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRGPGVGGGVSGSAPALGPQITGAPTPTPPPPPRRRSWSSSERKQSGGRPATRTDHMHHEIAAACRPRPLLPAKSRATRPPR >ONIVA06G22430.2 pep chromosome:AWHD00000000:6:21264496:21272082:1 gene:ONIVA06G22430 transcript:ONIVA06G22430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRGPGVGGGVSGSAPALGPQITGAPTPTPPPPPRRRSWSSSERKQSGGRPATRTDHMHHEIAAACRPRPLLPAKSRATRPPR >ONIVA06G22420.1 pep chromosome:AWHD00000000:6:21260175:21263074:-1 gene:ONIVA06G22420 transcript:ONIVA06G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASPILSPSSAPAPINASTATCAPHLRRRINVADARLADPLSLLRSAADLRLRAATEFIAAPLPSRKEPSGLELDQ >ONIVA06G22410.1 pep chromosome:AWHD00000000:6:21256992:21257594:-1 gene:ONIVA06G22410 transcript:ONIVA06G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSVPCARGRDRVPITCCMRCPWTRYQGYITHVIVKRIQAIIETHPKRNAPRALSVAYRGMCTGRINNQYGPMWTVLGAHLPLR >ONIVA06G22400.1 pep chromosome:AWHD00000000:6:21251062:21256073:1 gene:ONIVA06G22400 transcript:ONIVA06G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLETQSHALHPCLLILVCGLALASSRETDTENDRQALLCFKSQLSDPAKALSSWSNTSKQFCNWHGVTCTAQSPRRVTAVDLISEGLIGSISSCISNLTSLTRLQLSDNSFNGAIPSNLGLLINLSYLNLSMNSLSGEIPSGLSSCAQLGVLSLWNNSLQGEIPQTLSRCINIREINLSNNKLQGSIPVGFGTLPKLETLILAGNSLFGNIPPSLGGSSSLIYVDLGGNSLTGEIPESLGNSSSLQVLRLMSNRLTGKLPEVMFNSLSLTTICLQKNIIVGSIPQVTTISSPLKYLSLIENGLSGQMPSSLGNLSSLILLDISFNNLVGSIPESLGHILRLETLKLNINNLSGLVPPSLYNMSSLKILGLANNSLIGTLPSNMGYSLPNIQKLIFSSNRFHGEIPTSLINASQLEMIYIYDNSLTGLIPSFGSLKFLTVLDVGGNMLEAGNWGFLSSLSNCSGLTTLMIDSNSLQGSLPLSIGSLPLSLERLWFRVNKISGPIPMEIGNLKNLTELIIAHNLINGSIPPTLVNLRNLVILGLEHNNLSGHLPDFMGNFIQLNDISLEVNNLNGSIPTSIGHCRQLSKVNLSHNSLVGSIPSELFKISSLTDYLDLSHNHLSGEIPEEVGNLINLNKLVISNNRLSGKIPSTLSQCVLLESLQIQSNFLEGSIPQSFTKLVGMKEMDLSHNNLSGEVPSFLASMSNLLVLNLSFNNFEGMVPQGGIFSNGSIVLLEGNNRLCSSVPALGLPTCSKPVDHKRRHYSLAGKLVTLVVIACAVLSCLLAVMLKRKKRQSVPSSEQSDQDMKRITFQDIAKATNQFSFANLVGAGSFGSVYKGSLELEDNTVAIKVFNLNIFGANMSFNAECETLKNIRHRNLVKVITSCSSVDLSGNEFKALIYKYMPNGNLEMWLHHNVHEQGQRKTISLSQRINIALDVALALDYLHNQCGYHIVHCDLKPSNILLDLEMTACVGDFGLARFLCSRSDAQQIDSATLARLKGSIGYIAPEYGVNVAISTKGDVYSFGVLLLEMITGNRPTDQKFNDGITLHDYISKAWPNNISEIVDRHFMLQNAININDMMHNCIVPLVQIGLSCSMASPKARPEMEKVCSEILVIKHAFSSINVI >ONIVA06G22390.1 pep chromosome:AWHD00000000:6:21246012:21256495:-1 gene:ONIVA06G22390 transcript:ONIVA06G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRPMFPMPYLHRGDPGTAAAALDALCFCRRCGNERCPARPCSSSGGFAHFSDAAAAGAATPILRPHHQPPESALSSSPGAPNSPTPRVVNPEQFEREERKPQLPASSILPPTSRTVKNFNDPKDGIKPPMFEGNVPISELFASPRILSDDILYSDGGTSPDKLLMFNLRVSSLRMWPKLSGMLPTKLLNEISKRIREERFPNDEGICPERPFSIKLRYFKGEEMVVTCGIEPTMMFFWRQIVVRDKLLNITSGSLPVSLLLISRSTWRDELLPKDSGISPVRLLPPRSTYIRDELPPKDGGMFPNRLLPAKIKVSSFGSVPKPTGMLPCNLLLLRLRTPSWAHDESPDGISPLREFMLRLRRPRLDGMAPLKLLSDNCNLVRENCLDVLLHDDKALAGSESWDLKQRRACRSFSATELRAAVEEDLNKATDPAVASASYLIPLQKCIFRFLCKALISADPAVDGLVDWFDLYILDVWLALQLVTTQKLDLMSPPELILLWPLPSLQVLIRPLARVPRRMSRGCSARTTSK >ONIVA06G22390.10 pep chromosome:AWHD00000000:6:21251504:21252274:-1 gene:ONIVA06G22390 transcript:ONIVA06G22390.10 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGNVPISELFASPRILSDDILYSDGGTSPDKLLMFNLRVSSLRMWPKLSGMLPTKLLNEISKRIREERFPNDEGICPERPFSIKLRYFKGEEMVVTCGIEPTMMFFWRQIVVRDKLLNITSGSLPVSLLLISRSTWRDELLPKDSGISPVRLLPPRSTYIRDELPPKDGGMFPNRLLPAKIKVSSFGSVPKPTGMLPCNLLLLRLRTPSWAHDESPDGISPLREFMLRLR >ONIVA06G22390.11 pep chromosome:AWHD00000000:6:21246012:21251470:-1 gene:ONIVA06G22390 transcript:ONIVA06G22390.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKLLSDNCNLVREVRLEMQDDMEPIRPSDMRSTAVTRLGDCAVQVTPCQLQNCLDVLLHDDKALAGSESWDLKQRRACRSFSVSVSRLLANAKPHTMKLMSPPELILLWPLPSLQVLIRPLARVPRRMSRGCSARTTSK >ONIVA06G22390.2 pep chromosome:AWHD00000000:6:21242729:21252274:-1 gene:ONIVA06G22390 transcript:ONIVA06G22390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGNVPISELFASPRILSDDILYSDGGTSPDKLLMFNLRVSSLRMWPKLSGMLPTKLLNEISKRIREERFPNDEGICPERPFSIKLRYFKGEEMVVTCGIEPTMMFFWRQIVVRDKLLNITSGSLPVSLLLISRSTWRDELLPKDSGISPVRLLPPRSTYIRDELPPKDGGMFPNRLLPAKIKVSSFGSVPKPTGMLPCNLLLLRRPRLDGMAPLKLLSDNCNLVRENCLDVLLHDDKALAGSESWDLKQRRACRSFSVSVSRLLANAKPHTSNELRRATTRVVYGNCGGERQTEERELQCLSSSPPPLTTSLWPVLKTAWPSATLNVVSSEHGGRCPTCSTRAAAPSSWTPCAVAGHYRQQHRGADTVDNAAEHTRQQKRQGNCSNKYDAKSRSPSNYETEVMFKTIFVKEIIFITISNMTRWR >ONIVA06G22390.3 pep chromosome:AWHD00000000:6:21251504:21256495:-1 gene:ONIVA06G22390 transcript:ONIVA06G22390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRPMFPMPYLHRGDPGTAAAALDALCFCRRCGNERCPARPCSSSGGFAHFSDAAAAGAATPILRPHHQPPESALSSSPGAPNSPTPRVVNPEQFEREERKPQLPASSILPPTSRTVKNFNDPKDGIKPPMFEGNVPISELFASPRILSDDILYSDGGTSPDKLLMFNLRVSSLRMWPKLSGMLPTKLLNEISKRIREERFPNDEGICPERPFSIKLRYFKGEEMVVTCGIEPTMMFFWRQIVVRDKLLNITSGSLPVSLLLISRSTWRDELLPKDSGISPVRLLPPRSTYIRDELPPKDGGMFPNRLLPAKIKVSSFGSVPKPTGMLPCNLLLLRLRTPSWAHDESPDGISPLREFMLRLR >ONIVA06G22390.4 pep chromosome:AWHD00000000:6:21246012:21252274:-1 gene:ONIVA06G22390 transcript:ONIVA06G22390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGNVPISELFASPRILSDDILYSDGGTSPDKLLMFNLRVSSLRMWPKLSGMLPTKLLNEISKRIREERFPNDEGICPERPFSIKLRYFKGEEMVVTCGIEPTMMFFWRQIVVRDKLLNITSGSLPVSLLLISRSTWRDELLPKDSGISPVRLLPPRSTYIRDELPPKDGGMFPNRLLPAKIKVSSFGSVPKPTGMLPCNLLLLRLEMQDDMEPIRPSDMRSTAVTRLGDCAVQVTPCQLQNCLDVLLHDDKALAGSESWDLKQRRACRSFSATELRAAVEEDLNKATDPAVASASYLIPLQKCIFRFLCKALISADPAVDGLVDWFDLYILDVWLALQLVTTQKLDLMSPPELILLWPLPSLQVLIRPLARVPRRMSRGCSARTTSK >ONIVA06G22390.5 pep chromosome:AWHD00000000:6:21242729:21251470:-1 gene:ONIVA06G22390 transcript:ONIVA06G22390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKLLSDNCNLVREVRLEMQDDMEPIRPSDMRSTAVTRLGDCAVQVTPCQLQNCLDVLLHDDKALAGSESWDLKQRRACRSFSVSVSRLLANAKPHTSNELRRATTRVVYGNCGGERQTEERELQCLSSSPPPLTTSLWPVLKTAWPSATLNVVSSEHGGRCPTCSTRAAAPSSWTPCAVAGHYRQQHRGADTVDNAAEHTRQQKRQGNCSNKYDAKSRSPSNYETEVMFKTIFVKEIIFITISNMTRWR >ONIVA06G22390.6 pep chromosome:AWHD00000000:6:21246012:21251470:-1 gene:ONIVA06G22390 transcript:ONIVA06G22390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKLLSDNCNLVREVRLEMQDDMEPIRPSDMRSTAVTRLGDCAVQVTPCQLQNCLDVLLHDDKALAGSESWDLKQRRACRSFSATELRAAVEEDLNKATDPAVASASYLIPLQKCIFRFLCKALISADPAVDGLVDWFDLYILDVWLALQLVTTQKLDLMSPPELILLWPLPSLQVLIRPLARVPRRMSRGCSARTTSK >ONIVA06G22390.7 pep chromosome:AWHD00000000:6:21243740:21251470:-1 gene:ONIVA06G22390 transcript:ONIVA06G22390.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKLLSDNCNLVREVRLEMQDDMEPIRPSDMRSTAVTRLGDCAVQVTPCQLQNCLDVLLHDDKALAGSESWDLKQRRACRSFSVSVSRLLANAKPHTSNELRRATTRVVYGNCGGERQTEERELQCLSSSPPPLTSSKCM >ONIVA06G22390.8 pep chromosome:AWHD00000000:6:21253412:21256495:-1 gene:ONIVA06G22390 transcript:ONIVA06G22390.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRPMFPMPYLHRGDPGTAAAALDALCFCRRCGNERCPARPCSSSGGFAHFSDAAAAGAATPILRPHHQPPESALSSSPGAPNSPTPRHDKTAQAITTSVTNFPAKE >ONIVA06G22390.9 pep chromosome:AWHD00000000:6:21243194:21245987:-1 gene:ONIVA06G22390 transcript:ONIVA06G22390.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQQANDDDNARRTGGGDNSRMASNELRRATTRVVYGNCGGERQTEERELQCLSSSPPPLTTSLWPVLKTAWPSATLNVVSSEHGGRCPTCSTRAAAPSSWTPCAVAGHYRQQHRGADTVDNAAEHTRQQKRQGVLPAVQAISRRNLLAAREEIEGREKKG >ONIVA06G22380.1 pep chromosome:AWHD00000000:6:21204035:21205386:1 gene:ONIVA06G22380 transcript:ONIVA06G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASPLADPVATTPTGHRRWQHGLSSTACSCPSIHIDLGLWATPRSSRQGHLHLHHVVAGHRRCFSATNPLPWSESHTEKQWHQLTRQLLWLLFGGP >ONIVA06G22380.2 pep chromosome:AWHD00000000:6:21204025:21205386:1 gene:ONIVA06G22380 transcript:ONIVA06G22380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASPLADPVATTPTGHRRWQHDRVPTVLPLQLEGLSSTACSCPSIHIDLGLWATPRSSRQGHLHLHHVVAGHRRCFSATNPLPWSESHTEKQWHQLTRQLLWLLFGGP >ONIVA06G22370.1 pep chromosome:AWHD00000000:6:21194699:21199306:-1 gene:ONIVA06G22370 transcript:ONIVA06G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYKYSDRGHQFIKGLAIFFSNSVPWTFCNLCHVCGLLPFSIIFPLSKKKQVLKKIFPNSTNLDKLLSRFIVLDPDYSIYRV >ONIVA06G22360.1 pep chromosome:AWHD00000000:6:21179174:21187324:1 gene:ONIVA06G22360 transcript:ONIVA06G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSL2] MMNPDGGDGDRPEAAGAGSSSAQQGHPTMEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARDNASRREMERQDAPITRHPEFRYKSEFQSHEPEFDYLKSLEIEEKINKIRWCQTANNSLSLLSTNDKTIKYWKVQEKKVKQVSVMNLDSRSVGTGTTSSASTSSSRGLLPNGGCSDKSSFLNSDILFPPGGYPSLRLPVVASQDVNLVARCRRVYAHAHDYHINSISTNSDGETYISADDLRINLWNLEINNQSFNIVDVKPPNMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGSRSFFTEIIASISDIKFSRDGRYILSRDYMTLKLWDLNMDSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYGNLFRVFGCTPGSTEATTLEASRNPMRRQIVNPTRPTRTLTSLARGVRREDELTDILTKPLGKVRFQEVRKKG >ONIVA06G22350.1 pep chromosome:AWHD00000000:6:21178172:21178654:-1 gene:ONIVA06G22350 transcript:ONIVA06G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETINDKFIYFQAVTGISDHNLCTEILAAHDWDLQLIVSSITANPSSLDPAPITEGGRFGHATPVSASAFTTAAQDCVETDDASLLCGLWLGWAHRRFDLSRCLGRQSHSWGSTATPSASSSLATAPVEEWAALGYQRGWPHRRKRGKGSCETDKWVPH >ONIVA06G22340.1 pep chromosome:AWHD00000000:6:21173647:21174168:1 gene:ONIVA06G22340 transcript:ONIVA06G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGGVPRPAMVARPWWFHPHAQDGAPRQEEEYNAGAEDFLLLRILVVVAHFLWVTPTTATTTTATTTTTMTRAVLQESSSCCGGRGDDKAVDQLMAATTPASQLQMDYTMDQLRNDIAAAVAAAAMASRPSPVWEFRGSIHGTYRCCSPERDGEGRREGMEEEDVADVWVPH >ONIVA06G22330.1 pep chromosome:AWHD00000000:6:21162360:21166485:-1 gene:ONIVA06G22330 transcript:ONIVA06G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEASSLLLHNFCIYHISPPGHELGAAPVSPSSPVASVDELADQVSDVLDFFGLGPVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCRTPSWTEWFHNKVMSNLLYYYGMCNMVKDCLLQRYFSKFLDQRQSMNVWRFIHTINERHDLTESLKELQCRTLIFVGQNSQFHAEAVHMTSKLDERYSALVEVQGCGSVVTEEQPHAMLMPLEYFLMGYGLYRPSQISCSPRSPLNPFCISPELLSPESMGVKLKPIKTRANLEV >ONIVA06G22320.1 pep chromosome:AWHD00000000:6:21141226:21151315:-1 gene:ONIVA06G22320 transcript:ONIVA06G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP/GTP-binding protein family [Source:Projected from Arabidopsis thaliana (AT2G03150) TAIR;Acc:AT2G03150] MFPPKGSNPYGQQQSYGGQQSYGQIPGSSGFSSSAATGGADGSRFGARVGQGAAGQYGGPYASVYGTQQVGGLGGKGPASSSIPNLPEPSKFSSGSVGSSIARPNDDYMAVRGYGQKLDQYGSDYTLERRMYGDHSANLGRRDGLTDLDRRYPEHVSGGHQIHDRMEQGSSMRHPQLLKPQLQSGSDIRQADYFAGRSAPIHQGSQDIGAYGRVEADHRNLSILGTAPYGGQQSASLLGGAPRTNIDSLSYGQGSSSSGYGMGLPPGRDYASGKGLLHPSSDSDYRDSILSRVHPGISMVDERAVDRVGYRRELDLRDEERRRDLLLEREKERDRERERELRDLRDRERERERERDRERLRERERERELERERERLRERRMKERERDRKHPADSRREHTPPRTPGDRRRSSSVRAEKPLRRPSPRRDAVHRHRSPVKEIKREYICKVLPFRLVDNERDYLSLTKRYPRLSIAPDFSKIVFNWTKESLNLSLHTPVSLEHGIHEVDDSTDEGSVITSGKTSSTKISEIIWNAKVLLMSGMSNSAFADITSLRSTDERVVHLNNILKFAVFKRDRSLFAIGGPWNAAIDGGDPVVDPSCLIQTAIRVGKDGLFSHKEITVVFVPNLSECLPSVDLWKKNWTAYRKSRTEKEQLIMKKEKSPTDASKQKQGELGQGKSTDVDQKEDAGHNAAENMKVDNDMDLLGKDGKENPAEHDGQNLGKVEEKNIDKVEEHIEKKGGGVEGNTSGEASVDHATEDKKPTKKKVIKKVVKVVRKKPTGETSAGKSSQEDKNIVPETASIALEEQVQQKSEDAGKEAEGKKPGKKKVIRRIIKKKPSGSARDSTAPAETSKQAVEVQPEKNNEVLSGAVISEAKLEEASKAPAEDVSKQNKEQEQEEKGQSLPVDQKSNGDKIKQQEVLKQKDIKQDGKNDKAKDDKEKKSRDQKTDSKQKSLTDTKEKKKSDEPPKHPGFILQAKRSKDSKVRSTSLSLDGLLDYTANDLEESVFELSLFAESFSEMLQHKMGCIILSFLEKLYKRYVIKRNQRKRQREEDLKKEDKKTSEKRPKTNDETLSESGPSNQGESVEMIKAGKGTAHSAGQPNKDDETKMNAGHSAAAQDELVKEGKEKMSADHSTAPPKELIKEGEENMNTDNSAAVRVEPVADEKMEDEEPDYEEDPEEVELYEDDEEMDEAAAEELVEQNEDNLNDKAKQEVTTEEDGNKNTEERESENNANMLEKAASGEDKQSVVEKSASVEGKQSVSEKGDKAVGKEVKTTRSQKGDSTKDEVVDKELLQAFRYFDQNRAGYLKVDDLRCILHNLGKFLSNRDVKDLVQIALVESNSARDNRIIYTKLAKKVDL >ONIVA06G22320.2 pep chromosome:AWHD00000000:6:21141226:21151315:-1 gene:ONIVA06G22320 transcript:ONIVA06G22320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP/GTP-binding protein family [Source:Projected from Arabidopsis thaliana (AT2G03150) TAIR;Acc:AT2G03150] MFPPKGSNPYGQQQSYGGQQSYGQIPGSSGFSSSAATGGADGSRFGARVGQGAAGQYGGPYASVYGTQQVGGLGGKGPASSSIPNLPEPSKFSSGSVGSSIARPNDDYMAVRGYGQKLDQYGSDYTLERRMYGDHSANLGRRDGLTDLDRRYPEHVSGGHQGSSMRHPQLLKPQLQSGSDIRQADYFAGRSAPIHQGSQDIGAYGRVEADHRNLSILGTAPYGGQQSASLLGGAPRTNIDSLSYGQGSSSSGYGMGLPPGRDYASGKGLLHPSSDSDYRDSILSRVHPGISMVDERAVDRVGYRRELDLRDEERRRDLLLEREKERDRERERELRDLRDRERERERERDRERLRERERERELERERERLRERRMKERERDRKHPADSRREHTPPRTPGDRRRSSSVRAEKPLRRPSPRRDAVHRHRSPVKEIKREYICKVLPFRLVDNERDYLSLTKRYPRLSIAPDFSKIVFNWTKESLNLSLHTPVSLEHGIHEVDDSTDEGSVITSGKTSSTKISEIIWNAKVLLMSGMSNSAFADITSLRSTDERVVHLNNILKFAVFKRDRSLFAIGGPWNAAIDGGDPVVDPSCLIQTAIRVGKDGLFSHKEITVVFVPNLSECLPSVDLWKKNWTAYRKSRTEKEQLIMKKEKSPTDASKQKQGELGQGKSTDVDQKEDAGHNAAENMKVDNDMDLLGKDGKENPAEHDGQNLGKVEEKNIDKVEEHIEKKGGGVEGNTSGEASVDHATEDKKPTKKKVIKKVVKVVRKKPTGETSAGKSSQEDKNIVPETASIALEEQVQQKSEDAGKEAEGKKPGKKKVIRRIIKKKPSGSARDSTAPAETSKQAVEVQPEKNNEVLSGAVISEAKLEEASKAPAEDVSKQNKEQEQEEKGQSLPVDQKSNGDKIKQQEVLKQKDIKQDGKNDKAKDDKEKKSRDQKTDSKQKSLTDTKEKKKSDEPPKHPGFILQAKRSKDSKVRSTSLSLDGLLDYTANDLEESVFELSLFAESFSEMLQHKMGCIILSFLEKLYKRYVIKRNQRKRQREEDLKKEDKKTSEKRPKTNDETLSESGPSNQGESVEMIKAGKGTAHSAGQPNKDDETKMNAGHSAAAQDELVKEGKEKMSADHSTAPPKELIKEGEENMNTDNSAAVRVEPVADEKMEDEEPDYEEDPEEVELYEDDEEMDEAAAEELVEQNEDNLNDKAKQEVTTEEDGNKNTEERESENNANMLEKAASGEDKQSVVEKSASVEGKQSVSEKGDKAVGKEVKTTRSQKGDSTKDEVVDKELLQAFRYFDQNRAGYLKVDDLRCILHNLGKFLSNRDVKDLVQIALVESNSARDNRIIYTKLAKKVDL >ONIVA06G22310.1 pep chromosome:AWHD00000000:6:21132881:21136784:-1 gene:ONIVA06G22310 transcript:ONIVA06G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT1G24510) TAIR;Acc:AT1G24510] MALAFDEFGRPFIILREQEKKSRLRGLDAQKANIAAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGSLLEQAEKLLERGIHPIRIAEGYELASRIAFDHLEHISHKFEFSATNIEPLVQTCMTTLSSKIVNRCKRTLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELVYGIIVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGIVREKSFGTTKDRMLYIEQCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSVAVEAAADRYPGVEQYAIRSFADALDAIPLALAENSGLSPIDTLTAVKSQQVKESNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDY >ONIVA06G22300.1 pep chromosome:AWHD00000000:6:21096905:21099412:-1 gene:ONIVA06G22300 transcript:ONIVA06G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSNPSYHQLGLDAISCCFVAGGGGAEAAAPFFGFGFGDVDGEFLVASPVAAVGDELACAVPLRRPQGSVSEEEVNAAAVAAAAAGGAESCSTVHSVLGSVEFGCGTSSGVTIAQASRMGRLAGEAPCGDAGGGGWIYGGSGIAPLHGAYYLSGFSSGAGAGFLSPFAASSVAAAAPAASELSLRLGATKCSSPSSMANASSEVSCSGLTHVSSGGGLGYHQAAAAGAGAALFHPTHGDDAAAAAAGKLRQAYHSRAPPHFSQVVSRSAVLAHVAQELLNGFVACLLQDVAADAASGVDGGEASQALSSGFSARITTAPTEDASPGSGGARWAAEAQRLRKLLQLVDEKCNQCVEEMQSTAARFNSMVRSTGGGGGGLTAAFAGRAVAAAYRRVRRRVMGQLVAAATARSSSAAAAAALEEKERSWESSFIQKHWAMQQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDSEKDMLAARSGLSRSQVSNWFINARVRLWKPMIEDMYEELKKTSGGSDGAAEIEHLSSKDVLSLES >ONIVA06G22290.1 pep chromosome:AWHD00000000:6:21089572:21090195:1 gene:ONIVA06G22290 transcript:ONIVA06G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHVERALREGLTEEERAALEPAVMAHHTFPPSTTTATTAAATCTSLVTQRVAAPVRAVWPIVRSFGNPQRYKHFVRTCALAAGDGASVGSVREVTVVSGLPASTSTERLEMLDDDRHIISFRVVGGQHRLRNYRSVTSVTEFQPPAAGPAPAPPYCVVVESYVVDVPDGNTAEDTRMFTDTVVKLNLQKLAAVAEDSSSASRRRD >ONIVA06G22280.1 pep chromosome:AWHD00000000:6:21073906:21079460:-1 gene:ONIVA06G22280 transcript:ONIVA06G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPHDTGQFVPPVAMNLEPYSLAKQQLKMDSPEYAPTRRALLTFIWTATASQNVAIIWKDVKST >ONIVA06G22270.1 pep chromosome:AWHD00000000:6:21070970:21076788:1 gene:ONIVA06G22270 transcript:ONIVA06G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGENGGAGGGDLSEPLLGKEAPRRYSELYGAGVLSRLSFSWLNPLLRLGRSKALDLADVPLIASEDGAARASERFAEAWSLHGHGKDGGGGGRLVGMLLRCFLGEIMLTGFYALVKTLAIAVSPLLLFAFVRYSSHKAEEEERRDLAAAGASAAVALVGSLLAIKLAESLSQRHWFFDSRRTGMRVRSALMAAVFRKQLRLSARARRRHSAGEVVGYVAVDAYRLGDAVSWLHTSWSSALQLALAVATLLWALRLGALPGLVPLVAFGFLNVPFARALQGYQSRFMAAQDGRLRSTSEALAGMRAIKLQSWEGAFRRAVESRLGGEFAWLREAQLKKAYGAVLYWAAPTVVSAVMFAATAAAGSAPLDAGTVFTALAALRAMSEPVRMLPEAMTMMIQYKVSLERIGRFLAEEEIKQDDVTRAAATTTTTKNSDAGIIHVQDGSFSWSGSEAELTLKNAHLSIRREEKVAVCGPVGSGKSSLLCALLGEIPRTSGMSGTVRDNILFGKPFENFDHGDLTEIGQRGINMSGGRKQRIQLARAVYSDADVYLLDDPFSTVDAHTAAVLFYVRALSEKTVVLVTHQVEFLTETDWILVMEDGYVKQQGVYAELMESGTAFEKLVSAHKSSITALDDSSQQSQVQEQNVTDENTSGQPSAKYISDIDSVSAKGQPSATQLTEEEEKEIGDLGWKPYKDYINVSKGITHLCVMGVTQVLFTSFQMMATFWLAVAVQMNVSSALLVGAYSGLSILSCCFAYIRTLYAAKLGLKASKAFFTGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSVAYVVVGATRDLARINGTTKAPVMNYAAESILGVVTIRSFGETDRFVRNNLLLIDTDATLFFHTVAAQEWVLIRVEALQSLTLLTAALLLVLAPPGAVSPGFAGLSLSFALSLTAVQVFLTKFYSYMENYIISVERIKQYMHLPPEPPAIIPENRAPSSWPQEGQIDLQDLKVRYRPNMPLVLKGITCTFPAGNKIGVVGRTGSGKSTLISSLFRLVDPAGGRILIDNLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDEEIWEALEKCQLQTAIRSTPALLDTVVSDDGSNWSVGQRQLFCLGRVLLRRNKILVLDEAKASIDSATDAIIQRVIRQQFSSCTVVTIAHRVPTVTDSDKVMVLSYGKLIEYDTPAKLLEDKQTAFAKLVAEYWANSKRNAT >ONIVA06G22260.1 pep chromosome:AWHD00000000:6:21049848:21050157:-1 gene:ONIVA06G22260 transcript:ONIVA06G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKKKDRVASLHPAQNTSKNIQEHLNMNIKNILPSHWEPSKLLIPIKNTITKYTSRTYI >ONIVA06G22250.1 pep chromosome:AWHD00000000:6:21029102:21037262:1 gene:ONIVA06G22250 transcript:ONIVA06G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 4 [Source:Projected from Arabidopsis thaliana (AT2G19600) TAIR;Acc:AT2G19600] MLPRRRVGPLRLLRLLLVLVVAFAAVVAFCPAAAAAAGEGVGVVEEEEVRVGEAAAAVARGEGDDAVVAAAGRRRRDLGLRGRGEKDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRPEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATFGGIAFACLGQPVITGYLLAGSIIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVAVVGGLLQIILFMLLCGISATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGASGLLQGVASMAKSLVVLITFLTILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAVVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVELGLKSDGLRIDSGKRINLIVQGSHDS >ONIVA06G22250.2 pep chromosome:AWHD00000000:6:21029102:21037262:1 gene:ONIVA06G22250 transcript:ONIVA06G22250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 4 [Source:Projected from Arabidopsis thaliana (AT2G19600) TAIR;Acc:AT2G19600] MLPRRRVGPLRLLRLLLVLVVAFAAVVAFCPAAAAAAGEGVGVVEEEEVRVGEAAAAVARGEGDDAVVAAADPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRPEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATFGGIAFACLGQPVITGYLLAGSIIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVAVVGGLLQIILFMLLCGISATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGASGLLQGVASMAKSLVVLITFLTILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAVVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVELGLKSDGLRIDSGKRINLIVQGSHDS >ONIVA06G22240.1 pep chromosome:AWHD00000000:6:21019478:21022022:-1 gene:ONIVA06G22240 transcript:ONIVA06G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRLGRELEEREGGGRRRVSAAGDGRRGGGPTRGSSCCRCWRTRRADTRELVLLLLENATGLATLFDAAKQRPYETGPVGKFVNRVEVKAALGARGDVEWEECSDAVGAAMHGDVMKSVKPKVEALLRGTRVLLYQGIRDLRDGVVSTEAWMRELKWDGLAVFLDADCAMWRIGEELAGYVQRSGPLSHVVVYGAGHLLPADNGHAVQEMVKDWVLQAGLFGRHGGMKRAG >ONIVA06G22230.1 pep chromosome:AWHD00000000:6:21014123:21020144:1 gene:ONIVA06G22230 transcript:ONIVA06G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIEQPHLDAIADRKVTSGGGGDNAAELVLDGGFVVPDSNAFGNAFRNYEAESERKETVEEFYRVNHINQTYDFVRRMREEYGRVDKTEMGIWECIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDFPDEDWLHLTGLIHDLGKVLLHPSFGELPQWSVVGDTFPVGCAFDECNVHFKYFKENPDYLNPKLNTKFGAYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYMHLMNDEDKENLKWLRVFNKYDLYSKSNERIDVEKVKPYYMSLIEKYFPAKLRWSSVGCTSPLVLFTLVLSDVAAKLAWNPCGPRIRRQMGRGGHRGRLGSPRLGRGGTGMAPAGWGGRRRGTPPPPPPADVPAPDDDDPAPENMAAVAEPRKKLSCMAPAAAAAAPAAGSWAPDIMWW >ONIVA06G22230.2 pep chromosome:AWHD00000000:6:21014123:21020144:1 gene:ONIVA06G22230 transcript:ONIVA06G22230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIEQPHLDAIADRKVTSGGGGDNAAELVLDGGFVVPDSNAFGNAFRNYEAESERKETVEEFYRVNHINQTYDFVRRMREEYGRVDKTEMGIWECIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDFPDEDWLHLTGLIHDLGKVLLHPSFGELPQWSVVGDTFPVGCAFDECNVHFKYFKENPDYLNPKLNTKFGAYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYMHLMNDEDKENLKWLRVFNKYDLYSKSNERIDVEKVKPYYMSLIEKGKKYTEGPLTCCPVTKSSSFNIVLLQLTKPFILGPLVLFTLVLSDVAAKLAWNPCGPRIRRQMGRGGHRGRLGSPRLGRGGTGMAPAGWGGRRRGTPPPPPPADVPAPDDDDPAPENMAAVAEPRKKLSCMAPAAAAAAPAAGSWAPDIMWW >ONIVA06G22220.1 pep chromosome:AWHD00000000:6:20999132:21002461:1 gene:ONIVA06G22220 transcript:ONIVA06G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVGATLYLYLFLFLFLLLLHIHCHQCKPAAAASFVVHGGGGATARAAAVRAVFVFGSSLVDNGNNNHLNGSGAVRADYAPYGVDFPLGATGRFSNGRNVIDALGELLRLPAAGLLPPFADPATRGRAALHGGEVLSLKQQITNFEAVTLPDLRAHLQGATTATTTTGHKMKGQDFFDQCYLPKSLFIIGTGGNDYLLNYFNAGSGPTRAPLSEFTSSLLTKLSNHLQRLYDLGARKFVLFSIQPLGCTPVVRTFLNATSDACIEPMNHAALLFNSGLRSIVKNHNGGVRSHMPGASFVYVNSYKIISDIIQHPAKYGIRKTSRACCEVSRGGVLCQKEGAICSDRTKYAFFDGLHPTDVVNARLARKAYGSNSPDNVYPINVKKLAML >ONIVA06G22210.1 pep chromosome:AWHD00000000:6:20980866:20986861:-1 gene:ONIVA06G22210 transcript:ONIVA06G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVSGGCAGAAGAGAEGEGAYTVVLNVYDLTPLNNYLHWCGLGIFHSAVEVHGSEYSFGAHDHPTSGVFEVEPKCCPGFMYRCSIFIGRTSLNPLEFRDFIQRMASEYHGDTYHLISKNCNHFTDDLSTRLTGKPIPGWVNRLAKLGAFCNCLLPESMRLESTGTKHLADCHFSDDSHTSSNEHFEDEDLEDKHLLSQSSVSEDAIVKEVHRTLAGSLALVLVYSTLYKDA >ONIVA06G22210.2 pep chromosome:AWHD00000000:6:20982924:20986861:-1 gene:ONIVA06G22210 transcript:ONIVA06G22210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVSGGCAGAAGAGAEGEGAYTVVLNVYDLTPLNNYLHWCGLGIFHSAVEVHGSEYSFGAHDHPTSGVFEVEPKCCPGFMYRCSIFIGRTSLNPLEFRDFIQRMASEYHGDTYHLISKNCNHFTDDLSTRLTGKPIPGWVNRLAKLGAFCNCLLPESMRLESTGTKHLADCHFSDDSHTSSNEHFEDEDLEDKHLLSQSSVSEDAIVKEVHR >ONIVA06G22200.1 pep chromosome:AWHD00000000:6:20966122:20973003:-1 gene:ONIVA06G22200 transcript:ONIVA06G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVAEDQAGGGGGGHRRLIGSRIEEHRKYMSEESCCPRCGHKIDRKLDWVGLPAGVKFDPTDQELIEHLEAKVRPGGEAAAHPLIDEFIPTIEGEDGICYTHPEKLPGVSKDGLSRHFFHRPSKAYTTGTRKRRKIQPPAAAASSGGGGGNASSSSSASAAAAVARHGHQQQQQQQQRSETRWHKTGKTRAVVGGGRQRGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEAEEERDGELVVSKIFYQTQPRQCAAADAAATASASAVDRRTTSGRDRAAAPMASANVSVAAFHGGAAGFDEFSFAQFRSSFEEAGMGASSSDHQSAMVDQRRQQQQHDDDEHDHRRGGGGHHYVGQQQSVAATFHVVSSPADPIARLMSPPPAHQGTVMLRQPEPPYIYHHQEDERPHQPRKFDGRSTSGSGLEEVIMGCTSRRSKGGETSGGKDGTEWQYPSFWPSDSQDHHGWKFHLSAQIYMSKETKMILKQSKRERQKKKKERKNKGDITRKI >ONIVA06G22190.1 pep chromosome:AWHD00000000:6:20957250:20959792:1 gene:ONIVA06G22190 transcript:ONIVA06G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGHLAAPLLDGGGGVDDAALLRRLYVGHFLARWGARMWEFSVGLYMIRIWPGSLLLTAVYGVVEASAVAALGPIVGAVVDRLAYLQVLRLWLLLQGASFVAAGVSVTALLVYGARLAAAGFPAFVALVVVTNVSGALAALSTLAGTILIEREWVVVIAGGQPAAVLTGINSVIRRIDLSCKLLAPVLSGFFISFVSMEASAAALAAWNLAAVWVQYWLFVSVYAGFPALSETSQISRRRADDDEAAAAAQPQKVERLWMTMLPCWESWAVYARQEVVLPGVALAFLYFTVLSFGTLMTATLDWEGIPAYVISLARGVSAAVGIAATWVYPAAHARVSTLRAGLWSIWAQWCCLLVCVASVWAGGAAPLASAWMLMGGVAASRLGLWMFDLAVMQLMQDGVPESDRCVVGGVQNSLQSMFDLLTYVMGIIVSDPRDFGELIVLSFFLVTCAAAMYTMHVYRVRKHLFHLDRILPKMNWIKAS >ONIVA06G22180.1 pep chromosome:AWHD00000000:6:20949640:20950162:-1 gene:ONIVA06G22180 transcript:ONIVA06G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILRTSKCDNKVARQLDDEVVWHEEAWCKGDVVTLRRRGNERESWAFHTLGPMRSPEDNIRSLPLLCSSAGRMDLGPR >ONIVA06G22170.1 pep chromosome:AWHD00000000:6:20945085:20947974:-1 gene:ONIVA06G22170 transcript:ONIVA06G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLLLLVLALLAAAAADAEPVLRTVTGVPTGVSSGGGGGGGESSDELYCDGWRLSVETGNAGPWTAIPPRCLEFVRAYMEGERYASDSAVAAADSLAFAARALASGGGGARPAWVFDVDETLLTNAPYYAVNGWGSLEFNETSFDEWVDVAKAPALPASLKLYNEFQGLGIHIILLTGRSEFQRNATQVNLLFAGYHSWEKLILRQSPDIGKTAVQYKSERRAALEAEGFKILGNSGDQWSDLLGLPMATRSFKLPNPMYFIS >ONIVA06G22160.1 pep chromosome:AWHD00000000:6:20943196:20945408:1 gene:ONIVA06G22160 transcript:ONIVA06G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIARRRGGGDQGGVAAAAGGDGEAAASGFSSSDSSATTTLRSPASSSLTDDGGEVTSWTSADGGGGGDYCSFSCSSESELELESDDDDDDEEEEEMMQLDGGGHAAGGPLYELAAPLLAQLPLRTGLSKYYQGKSQSFTSLCNARCVQDLAKKTTPYITRMKLQLRRGHGVVDRLSNSRRAPGPCSKTMAKKATRCSSDRLLSRARKHKPLHSSSSTPAQQR >ONIVA06G22140.1 pep chromosome:AWHD00000000:6:20913392:20918940:-1 gene:ONIVA06G22140 transcript:ONIVA06G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYNAAAAAAVAEQPQKQYGITKPISLAEPAEVDLQKTAELEKFLVEAGLYESPEESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILAQTEEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPDLDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVEDSSLMMSICLMVELSNNAKVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIDISKANWSALFEPFQFFEAYKNYLQVDIIAEDGEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDIGMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPSRHINHPQQSNKNDVEDGTANRSPDGQPKRKHDTAGVYDNEPGRSVKRASISPSISPVHQKTSSPPSGNIADASSASGGSPVSLANGNLEQANCLNSPLASEKSLDSVTSGSKCVGVEAVCPSDATKEHDNCGSNMKNCTTTTVAVSLKRVAEKVVSELVGSESLGGNKSGELLERAEDMGSALVENVHFGGNGVVQTGLPEELEVFSGSLLFEIKMSLYMI >ONIVA06G22130.1 pep chromosome:AWHD00000000:6:20896575:20900238:1 gene:ONIVA06G22130 transcript:ONIVA06G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGARITKLCVSSYANPGGDGDLYLLPLPHFSPPQEAPSEVGGTGSGAVFLGSTGSGDVTKGTRRMAVLMAPDPAPRSDSGSEGDKRSDSGGGRSGAVVLMTF >ONIVA06G22120.1 pep chromosome:AWHD00000000:6:20884371:20886077:-1 gene:ONIVA06G22120 transcript:ONIVA06G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSI4] MCTTTSAPSVPEVATPADGGGHHVYVSLPQCTDGGDVEGGHCRPVVHQVKCRGGDDDGGGGGRGGGVVMPAAGETVREAAALCRLACPIALTALMLYSRSALSMLFLGSLGDLPLAAGSLAVAFANITGYSVLSGLSLGMDPLCSQAFGARQPRLLGLTLYRSVLFLLCCSLPLSALWLNMAKILLFLGQDRDITAMAQDYLLFSLPDLFSFSLIHPLRVYLRSQGITQPLAVAAAAAVVFHVPANYVLVGRLRLGAPGVAAAASASNFVLLAVLLAYVARRDEALREAGGPTAEWLAGWGPLARLAAPSCVSVCLEWWWYEVMILLCGLLPEPRPAVASMGVLMQTTALVYVFPSSLGFGVSTRVGNELGANRPGRARAAAHVAVAGAAAMGLAAMAFAAGMRHAWGRLFTADADILRLTAAALPVVGLCELGNCPQTVGCGVLRGTARPARAAHVNLGAFYLVGMPVAVVLAFGLGVGFVGLWVGLLAAQVCCAGLMLCVVGSTDWEAQARRAQALTSSAAVSGKADAAEGGGRWPEKGEHQEGEKRRHVALISSEEADPETAEVL >ONIVA06G22110.1 pep chromosome:AWHD00000000:6:20830976:20837396:1 gene:ONIVA06G22110 transcript:ONIVA06G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEQMARLQEQARFVGVAAGNAGVGFDEGRWLSRVRESMAERAAEELGAAAVKVFDVPRVLRSTRPEAYAPHHFALGPYHCRRPELRDMERYKLAAAKRAEKLFAAGKRFDDLVRRFSDIHDKILAPYHRLLELNEQTLAWMMAIDTCFLLDFLESYHRDEATDMVSSAANWINAVVRDAIMLENQIPLFLFAAALELRHGTDQAQAASAAADALRGVLGRFITEVSPIKTTASAALAVAGDDLARHAHLLELLYHFLVPTDAVAEAVGNEPPPLVPEDFSAVDVFDQMQKEIPDYDKVKQACVQVSSLDMAPIRFIKKNLISRPMGLAASLPGKLMRKVPLLSAVAPLVGKLWSSSSSAADMEARLKGVNLGTIINSPLAQELMIPSVAQLAACGVRFAPAPEGIAGIEFDAAAATLKLPVITVDGNTETVLRNLVAYEAVAVRGPLVLARYTELMNGIIDTAKDVKILRQSGVVVNRMKSDGEAAEMWNGMCRATRLSRVPRLDGVIRAVNEHRSRRAAVRLRKMMKRYVFRSWRVLTLLAAVVLLLMTALQTFCSVYQCNRWFGNMLQMPQPGGKGP >ONIVA06G22100.1 pep chromosome:AWHD00000000:6:20826663:20828307:-1 gene:ONIVA06G22100 transcript:ONIVA06G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chlororespiratory reduction 6 [Source:Projected from Arabidopsis thaliana (AT2G47910) TAIR;Acc:AT2G47910] MAATALQLPVASSQRLTLRRFPGSGSLGRYSGLRWPVAAQARRAAEEGFRLRVAFNPSGNFDLSLSTDQDDAPQVEPPPPPTEGRFEIVINTDIIRTLDLSPVHEVLGDLNSLTPAQTRNLLDRTVGFTINYEREDPYDVRELSEFPDIRLWFVRLDACYPWFPVVLDWRAGELARYAAMLAPHQMSMRLGVVFNPEALELFVMKKVFIVYSWLKQQEHPKPGLKTADMARMLGFGIGDELFDLIEKYPAGPS >ONIVA06G22090.1 pep chromosome:AWHD00000000:6:20825193:20826215:1 gene:ONIVA06G22090 transcript:ONIVA06G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSMVLASVILLIMAATAHGLRLDMGLHAALNNEGMLNSKWQSTANRPIDTRRASNDRRGPGRSRTRPPKMSNPHDMDPRFSEDYSGPGGHSPNHHRTTPCDATSINLQKDELDHATVPDGYMSFDSPIKLSGLQETLRLFEGECTVCAANSAP >ONIVA06G22080.1 pep chromosome:AWHD00000000:6:20808441:20820616:1 gene:ONIVA06G22080 transcript:ONIVA06G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTSSPTAWRAWRWRARRIWAQARHIWRRGPQRRWFLSSSLLRERRWRGAAVEEDLATGRGDARGFGSANASTGNYEGSLLSLYSPARDQQSMLTWHIDRN >ONIVA06G22070.1 pep chromosome:AWHD00000000:6:20785616:20816527:-1 gene:ONIVA06G22070 transcript:ONIVA06G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVQVVKEEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYVVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAFLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKKLNVESNLSFIRDRIVEMHFWMIGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELFKRLVQEFSQEIKWRDDHYIPKTIEEHLELSRKTVSAFELACASFVGMGDLVAKETLDCLLTYPELLKSFTTCVWLSNDIASTKREQAGDHHHASTIQSYMLQHGATAHEACVGIKELIEDSWKDMMKEYLTPTDLQPKIVARMKMSSTPAANFSNEDDERKAPTGFHPSLWGDFFISYQPPTAPKHAYMKERAEVLKEEVRKVVKGSNEVPEILDLVITLQRLGLDSYYKAEIDELLCTVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDIFQHFKDKEGSFVADDTRSLLSLYNAAYMRTHGEKVLDEAVAFTTNRLRSELKHLKSPVADEVSLALDTPLFRRVRIIETQNYIPIYESATTRNEAILEFAKLNVNLLQLIYCEELKTITRWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLLRIILTKMTAFITILDDIFDTYATTEESMMLAKAIYMCNESATVLLPKYMKDFYLYYLKTFDSFEEALGPNKSYRVFYLKELFKILIKGYSEEIKWRDDHYIPKTIEEHLELSRTTVGAFQLACASLVGMGDFITEDTLDYLLTYPKLLKSYTTCVRLSNDIASTKREQAGDHYASTIQCYMLQHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQAKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYVFAAVECLFEILRRLPGGCERGTSTCVSRSWFVMEDDELSANITDGSRINVPFELPVTAVCSEKEDNERMQKAASTFHPTLWGDFFVDYQPPNKSQHACMKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSIYNSDFDDKDLNLVSLRFYLLRKNGYDVSSDIFLCFKDKEGSFAADEVRSLLGLYNAAHVRTRGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNLLQLLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILTKMMAYITIIDDIFDTHGTTEESMMLAEAIYKCNESAIVRLPEYMKCFYLYLLKTFDLIEEELGTSNSYRLKRLVQGYSQEIKWRDEHYVPKTVDEHLEVSRATVGAFEIACASFVVMGDIITKETLDWLLTYPELLKCFTTLARLSNDIVSTKREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >ONIVA06G22070.2 pep chromosome:AWHD00000000:6:20785616:20816527:-1 gene:ONIVA06G22070 transcript:ONIVA06G22070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVQVVKEEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYVVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAFLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKKLNVESNLSFIRDRIVEMHFWMIGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELFKRLVQEFSQEIKWRDDHYIPKTIEEHLELSRKTVSAFELACASFVGMGDLVAKETLDCLLTYPELLKSFTTCVWLSNDIASTKREQAGDHHHASTIQSYMLQHGATAHEACVGIKELIEDSWKDMMKEYLTPTDLQPKIVARMKMSSTPAANFSNEDDERKAPTGFHPSLWGDFFISYQPPTAPKHAYMKERAEVLKEEVRKVVKGSNEVPEILDLVITLQRLGLDSYYKAEIDELLCTVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDIFQHFKDKEGSFVADDTRSLLSLYNAAYMRTHGEKVLDEAVAFTTNRLRSELKHLKSPVADEVSLALDTPLFRRVRIIETQNYIPIYESATTRNEAILEFAKLNVNLLQLIYCEELKTITRWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLLRIILTKMTAFITILDDIFDTYATTEESMMLAKAIYMCNESATVLLPKYMKDFYLYYLKTFDSFEEALGPNKSYRVFYLKELFKILIKGYSEEIKWRDDHYIPKTIEEHLELSRTTVGAFQLACASLVGMGDFITEDTLDYLLTYPKLLKSYTTCVRLSNDIASTKREQAGDHYASTIQCYMLQHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQAKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYVFAAVECLFEILRRLPGGCERGTSTCVSRSWFVMEDDELSANITDGSRINVPFELPVTAVCSEKEDNERMQKAASTFHPTLWGDFFVDYQPPNKSQHACMKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSIYNSDFDDKDLNLVSLRFYLLRKNGYDVSSDIFLCFKDKEGSFAADEVRSLLGLYNAAHVRTRGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNLLQLLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILTKMMAYITIIDDIFDTHGTTEESMMLAEAIYKCNESAIVRLPEYMKCFYLYLLKTFDLIEEELGTSNSYRVFYLKELASIYAIKLLKRLVQGYSQEIKWRDEHYVPKTVDEHLEVSRATVGAFEIACASFVREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >ONIVA06G22070.3 pep chromosome:AWHD00000000:6:20785616:20816527:-1 gene:ONIVA06G22070 transcript:ONIVA06G22070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVQVVKEEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYVVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAFLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKKLNVESNLSFIRDRIVEMHFWMIGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELFKRLVQEFSQEIKWRDDHYIPKTIEEHLELSRKTVSAFELACASFVGMGDLVAKETLDCLLTYPELLKSFTTCVWLSNDIASTKREQAGDHHHASTIQSYMLQHGATAHEACVGIKELIEDSWKDMMKEYLTPTDLQPKIVARMKMSSTPAANFSNEDDERKAPTGFHPSLWGDFFISYQPPTAPKHAYMKERAEVLKEEVRKVVKGSNEVPEILDLVITLQRLGLDSYYKAEIDELLCTVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDIFQHFKDKEGSFVADDTRSLLSLYNAAYMRTHGEKVLDEAVAFTTNRLRSELKHLKSPVADEVSLALDTPLFRRVRIIETQNYIPIYESATTRNEAILEFAKLNVNLLQLIYCEELKTITRWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLLRIILTKMTAFITILDDIFDTYATTEESMMLAKAIYMCNESATVLLPKYMKDFYLYYLKTFDSFEEALGPNKSYRVFYLKELFKILIKGYSEEIKWRDDHYIPKTIEEHLELSRTTVGAFQLACASLVGMGDFITEDTLDYLLTYPKLLKSYTTCVRLSNDIASTKREQAGDHYASTIQCYMLQHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQAKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYVFAAVECLFEILRRLPGGCERGTSTCVSRSWFVMEDDELSANITDGSRINVPFELPVTAVCSEKEDNERMQKAASTFHPTLWGDFFVDYQPPNKSQHACMKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSIYNSDFDDKDLNLVSLRFYLLRKNGYDVSSDIFLCFKDKEGSFAADEVRSLLGLYNAAHVRTRGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNLLQLLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILTKMMAYITIIDDIFDTHGTTEESMMLAEAIYKCNESAIVRLPEYMKCFYLYLLKTFDLIEEELGTSNSYRLKRLVQGYSQEIKWRDEHYVPKTVDEHLEVSRATVGAFEIACASFVREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >ONIVA06G22070.4 pep chromosome:AWHD00000000:6:20785616:20816527:-1 gene:ONIVA06G22070 transcript:ONIVA06G22070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVQVVKEEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYVVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAFLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKKLNVESNLSFIRDRIVEMHFWMIGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELFKRLVQEFSQEIKWRDDHYIPKTIEEHLELSRKTVSAFELACASFVGMGDLVAKETLDCLLTYPELLKSFTTCVWLSNDIASTKREQAGDHHHASTIQSYMLQHGATAHEACVGIKELIEDSWKDMMKEYLTPTDLQPKIVARMKMSSTPAANFSNEDDERKAPTGFHPSLWGDFFISYQPPTAPKHAYMKERAEVLKEEVRKVVKGSNEVPEILDLVITLQRLGLDSYYKAEIDELLCTVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDIFQHFKDKEGSFVADDTRSLLSLYNAAYMRTHGEKVLDEAVAFTTNRLRSELKHLKSPVADEVSLALDTPLFRRVRIIETQNYIPIYESATTRNEAILEFAKLNVNLLQLIYCEELKTITRWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLLRIILTKMTAFITILDDIFDTYATTEESMMLAKAIYMCNESATVLLPKYMKDFYLYYLKTFDSFEEALGPNKSYRVFYLKELFKILIKGYSEEIKWRDDHYIPKTIEEHLELSRTTVGAFQLACASLVGMGDFITEDTLDYLLTYPKLLKSYTTCVRLSNDIASTKREQAGDHYASTIQCYMLQHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQAKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYVFAAVECLFEILRRLPGGCERGTSTCVSRSWFVMEDDELSANITDGSRINVPFELPVTAVCSEKEDNERMQKAASTFHPTLWGDFFVDYQPPNKSQHACMKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSIYNSDFDDKDLNLVSLRFYLLRKNGYDVSSDIFLCFKDKEGSFAADEVRSLLGLYNAAHVRTRGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNLLQLLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILTKMMAYITIIDDIFDTHGTTEESMMLAEAIYKLVQGYSQEIKWRDEHYVPKTVDEHLEVSRATVGAFEIACASFVVMGDIITKETLDWLLTYPELLKCFTTLARLSNDIVSTKREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >ONIVA06G22070.5 pep chromosome:AWHD00000000:6:20785616:20816527:-1 gene:ONIVA06G22070 transcript:ONIVA06G22070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVQVVKEEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYVVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAFLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKKLNVESNLSFIRDRIVEMHFWMIGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELFKRLVQEFSQEIKWRDDHYIPKTIEEHLELSRKTVSAFELACASFVGMGDLVAKETLDCLLTYPELLKSFTTCVWLSNDIASTKREQAGDHHHASTIQSYMLQHGATAHEACVGIKELIEDSWKDMMKEYLTPTDLQPKIVARMKMSSTPAANFSNEDDERKAPTGFHPSLWGDFFISYQPPTAPKHAYMKERAEVLKEEVRKVVKGSNEVPEILDLVITLQRLGLDSYYKAEIDELLCTVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDIFQHFKDKEGSFVADDTRSLLSLYNAAYMRTHGEKVLDEAVAFTTNRLRSELKHLKSPVADEVSLALDTPLFRRVRIIETQNYIPIYESATTRNEAILEFAKLNVNLLQLIYCEELKTITRWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLLRIILTKMTAFITILDDIFDTYATTEESMMLAKAIYMCNESATVLLPKYMKDFYLYYLKTFDSFEEALGPNKSYRVFYLKELFKILIKGYSEEIKWRDDHYIPKTIEEHLELSRTTVGAFQLACASLVGMGDFITEDTLDYLLTYPKLLKSYTTCVRLSNDIASTKREQAGDHYASTIQCYMLQHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQAKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYVFAAVECLFEILRRLPGGCERGTSTCVSRSWFVMEDDELSANITDGSRINVPFELPVTAVCSEKEDNERMQKAASTFHPTLWGDFFVDYQPPNKSQHACMKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSIYNSDFDDKDLNLVSLRFYLLRKNGYDVSSDIFLCFKDKEGSFAADEVRSLLGLYNAAHVRTRGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNLLQLLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILTKMMAYITIIDDIFDTHGTTEESMMLAEAIYKLVQGYSQEIKWRDEHYVPKTVDEHLEVSRATVGAFEIACASFVREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >ONIVA06G22070.6 pep chromosome:AWHD00000000:6:20785616:20816527:-1 gene:ONIVA06G22070 transcript:ONIVA06G22070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVQVVKEEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYVVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAFLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKKLNVESNLSFIRDRIVEMHFWMIGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELREQAGDHHHASTIQSYMLQHGATAHEACVGIKELIEDSWKDMMKEYLTPTDLQPKIVARMKMSSTPAANFSNEDDERKAPTGFHPSLWGDFFISYQPPTAPKHAYMKERAEVLKEEVRKVVKGSNEVPEILDLVITLQRLGLDSYYKAEIDELLCTVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDIFQHFKDKEGSFVADDTRSLLSLYNAAYMRTHGEKVLDEAVAFTTNRLRSELKHLKSPVADEVSLALDTPLFRRVRIIETQNYIPIYESATTRNEAILEFAKLNVNLLQLIYCEELKTITRWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLLRIILTKMTAFITILDDIFDTYATTEESMMLAKAIYMCNESATVLLPKYMKDFYLYYLKTFDSFEEALGPNKSYRVFYLKELFKILIKGYSEEIKWRDDHYIPKTIEEHLELSRTTVGAFQLACASLVGMGDFITEDTLDYLLTYPKLLKSYTTCVRLSNDIASTKREQAGDHYASTIQCYMLQHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQAKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYVFAAVECLFEILRRLPGGCERGTSTCVSRSWFVMEDDELSANITDGSRINVPFELPVTAVCSEKEDNERMQKAASTFHPTLWGDFFVDYQPPNKSQHACMKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSIYNSDFDDKDLNLVSLRFYLLRKNGYDVSSDIFLCFKDKEGSFAADEVRSLLGLYNAAHVRTRGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNLLQLLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILTKMMAYITIIDDIFDTHGTTEESMMLAEAIYKCNESAIVRLPEYMKCFYLYLLKTFDLIEEELGTSNSYRVFYLKELASIYAIKLLKRLVQGYSQEIKWRDEHYVPKTVDEHLEVSRATVGAFEIACASFVREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >ONIVA06G22070.7 pep chromosome:AWHD00000000:6:20785616:20816527:-1 gene:ONIVA06G22070 transcript:ONIVA06G22070.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVQVVKEEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYVVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAFLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKKLNVESNLSFIRDRIVEMHFWMIGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELFKRLVQEFSQEIKWRDDHYIPKTIEEHLELSRKTVSAFELACASFVGMGDLVAKETLDCLLTYPELLKSFTTCVWLSNDIASTKREQAGDHHHASTIQSYMLQHGATAHEACVGIKELIEDSWKDMMKEYLTPTDLQPKIVARMKMSSTPAANFSNEDDERKAPTGFHPSLWGDFFISYQPPTAPKHAYMKERAEVLKEEVRKVVKGSNEVPEILDLVITLQRLGLDSYYKAEIDELLCTVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDIFQHFKDKEGSFVADDTRSLLSLYNAAYMRTHGEKVLDEAVAFTTNRLRSELKHLKSPVADEVSLALDTPLFRRVRIIETQNYIPIYESATTRNEAILEFAKLNVNLLQLIYCEELKTITRWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLLRIILTKMTAFITILDDIFDTYATTEESMMLAKAIYMCNESATVLLPKYMKDFYLYYLKTFDSFEEALGPNKSYRVFYLKELREQAGDHYASTIQCYMLQHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQAKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYVFAAVECLFEILRRLPGGCERGTSTCVSRSWFVMEDDELSANITDGSRINVPFELPVTAVCSEKEDNERMQKAASTFHPTLWGDFFVDYQPPNKSQHACMKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSIYNSDFDDKDLNLVSLRFYLLRKNGYDVSSDIFLCFKDKEGSFAADEVRSLLGLYNAAHVRTRGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNLLQLLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILTKMMAYITIIDDIFDTHGTTEESMMLAEAIYKCNESAIVRLPEYMKCFYLYLLKTFDLIEEELGTSNSYRVFYLKELASIYAIKLLKRLVQGYSQEIKWRDEHYVPKTVDEHLEVSRATVGAFEIACASFVREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >ONIVA06G22070.8 pep chromosome:AWHD00000000:6:20785616:20797307:-1 gene:ONIVA06G22070 transcript:ONIVA06G22070.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADHETIVTAVECLFEILRRLPGGCERGTSTCVSRSWFVMEDDELSANITDGSRINVPFELPVTAVCSEKEDNERMQKAASTFHPTLWGDFFVDYQPPNKSQHACMKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSIYNSDFDDKDLNLVSLRFYLLRKNGYDVSSDIFLCFKDKEGSFAADEVRSLLGLYNAAHVRTRGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNLLQLLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILTKMMAYITIIDDIFDTHGTTEESMMLAEAIYKCNESAIVRLPEYMKCFYLYLLKTFDLIEEELGTSNSYRVFYLKELASIYAIKLLKRLVQGYSQEIKWRDEHYVPKTVDEHLEVSRATVGAFEIACASFVREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >ONIVA06G22070.9 pep chromosome:AWHD00000000:6:20797398:20816527:-1 gene:ONIVA06G22070 transcript:ONIVA06G22070.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVQVVKEEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYVVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAFLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKKLNVESNLSFIRDRIVEMHFWMIGACSEPHYSLSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELFKRLVQEFSQEIKWRDDHYIPKTIEEHLELSRKTVSAFELACASFVGMGDLVAKETLDCLLTYPELLKSFTTCVWLSNDIASTKREQAGDHYASTIQCYMLQHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQAKIVARTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYVEPYSI >ONIVA06G22060.1 pep chromosome:AWHD00000000:6:20774167:20776507:-1 gene:ONIVA06G22060 transcript:ONIVA06G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSH0] MARPHAVVVPYPGSGNINPALQLAKLLHAHGIYITFVNTEHNHRRALAAEGAAAVRGRDGFRFETIPDGLLDADRDAADYDLGLSVATSHRCAAPLRDLVARLNGAAAGSAGGGGGAPPVTCMVLTALMSFALDVARGLGLPTMVLWGGSAASLMAHMRIRELRERGYIPLKVQAT >ONIVA06G22060.2 pep chromosome:AWHD00000000:6:20767810:20773764:-1 gene:ONIVA06G22060 transcript:ONIVA06G22060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSH0] MARPHAVVVPYPGSGNINPALQLAKLLHGHGVYITFVNTEHNHRRIVAAEGAGAVRGRDGFRFEAIPDGMADADRDVGNYDLALSAATSNRCAAPLRELLARLDGGAGAPPVTCVVVTALMSFALYVARELGLPTMVLWGSSAAALVTQMRTRELRERGYIPLKDESLLTNGHLDTTIIDWIPGMPPISLGDISSFVRTTDADDFGLRFNEDEANNCTMAGALVLNTFDGLEADVLAALRAEYPRIFTVGPLGNLLLNAAADDVAGLSLWKQDTECLAWLDAQEMGAVVYVNFGSLTVLTPQQLAEFAWGLAATGRPFLWVIRENLVVPGDGGGDALLPTGFAAATEGRPCVATWCPQERVLRHRAVGCFVTHSGWNSTCEGVAAGVPMVCWPVFADQYTNCKYACEAWGVGVRLDAEVRREQVAGHVELAMESEEMRRAAARWKAQAEAAARRGGSSYENLQSMVEVINSFSSKA >ONIVA06G22060.3 pep chromosome:AWHD00000000:6:20767810:20776507:-1 gene:ONIVA06G22060 transcript:ONIVA06G22060.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSH0] MARPHAVVVPYPGSGNINPALQLAKLLHAHGIYITFVNTEHNHRRALAAEGAAAVRGRDGFRFETIPDGLLDADRDAADYDLGLSVATSHRCAAPLRDLVARLNGAAAGSAGGGGGAPPVTCMVLTALMSFALDVARGLGLPTMVLWGGSAASLMAHMRIRELRERGYIPLKDESLLTNGHLDTTIIDWIPGMPPISLGDISSFVRTTDADDFGLRFNEDEANNCTMAGALVLNTFDGLEADVLAALRAEYPRIFTVGPLGNLLLNAAADDVAGLSLWKQDTECLAWLDAQEMGAVVYVNFGSLTVLTPQQLAEFAWGLAATGRPFLWVIRENLVVPGDGGGDALLPTGFAAATEGRPCVATWCPQERVLRHRAVGCFVTHSGWNSTCEGVAAGVPMVCWPVFADQYTNCKYACEAWGVGVRLDAEVRREQVAGHVELAMESEEMRRAAARWKAQAEAAARRGGSSYENLQSMVEVINSFSSKA >ONIVA06G22050.1 pep chromosome:AWHD00000000:6:20754184:20780150:1 gene:ONIVA06G22050 transcript:ONIVA06G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWSVAEKQDTKRLTWLERQKAIASVYIGFGSHAVMTPEKTTEFAWGLAARHGEDGAHQQAGALASETLIRKDVLAALLCRHSNVTGYHATGSRSGLWLRSRTPNTSLSHHCPQEWTLFIKELTDVEAHASLLKWWQRCMRLRVKLPVTRRLSQWIEKAEACHMQQAGTVASETLVPKNVLAALLPPQQRHRRPCHRQAQRPVAKKQDTKRPARKRLFAVVCPGFGSHAVTTLEKTGGVRVESLCWTWEGRCSFPYGIGWQLEAGVRRDQDDGWPNTAGDGAGGGGPSSRQKLQSMHGDVPVNSEAPVVTSSISPRFAGPVFEDAHRGRSEAGCGMSENSSPNPTALGELDTDFSVAIPIFHPVTMVTGNTFFKESISLFLVETLTLAVAAAAAATATAAATTTVTATATATATTTSQPRDGGSLLGLMKARSTRASGSLHLRRRHLLPPPLAAAVAAAAAARGVGWEMIVYGLLESARPAYIKWESSGYLPELNGEIATSCRLPPSMTPSRPKPSEQDDAATEHDAVDAP >ONIVA06G22040.1 pep chromosome:AWHD00000000:6:20745737:20746622:-1 gene:ONIVA06G22040 transcript:ONIVA06G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGTDVWGAMSLDRALAAGFSASMAADSKFLAEIAIRRHAEAFMNVSSLVDVGGGDGSMARAIVKAFPHIKCLVLDLPHVVRGIPADGFVEYVAGDMMDFVPPANVVLLKLVLHDWSDEDCVRILSRCREAISNREEGKVIIIDTILIGSSSQQIYEAQLFLDLCMMTVTTGKEREEKEWHMIFLKAGFTQYKILPILGIKSLIEVYP >ONIVA06G22030.1 pep chromosome:AWHD00000000:6:20739313:20742680:1 gene:ONIVA06G22030 transcript:ONIVA06G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HSG5] MARPHAVVVPYPGSGNINPALQLAKLLHGHGVYITFVNTEHNHRRIVAAKGAAAVRGRDRFRFEAIPDGMADADRDVGDYDLALSVATSNLCAAPLRELMARLDAAAGEDGGVPPVTCVVCTALMSFATDVARELGLPTMVMWGGSAASLMTHMRIWELRERGYIPLKGSQQLHQSQRPHPQHLRRTRGRAEYARIYTIGPLGTLLNHAAADAIGGGLSLWKQGTECLAWLDTQQPRSAVYVNFGSLTVMTPERLAEFAWGIAATGRLPVLVCWRTSCLVARPQFVVETDGRRCLATWCSQEQVLRHPAVGCFLTHSGWNSKCESVASGVPMVCWPVFADQYINRKYACKSWDVGLRLDEEVRREQVTAQVKQVMESEEMRQDAARWKAKAEQAAGLGGSSYKNLQSMVEVIRSFASDSKKAEA >ONIVA06G22020.1 pep chromosome:AWHD00000000:6:20726758:20730900:-1 gene:ONIVA06G22020 transcript:ONIVA06G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIDRAGGAAAASSPHRRLGSNRIESNRRMEYGRRKPISLLELCIRTTMDNLRYVDNVDGVEMDLLQRILPHCKMEDLTRIENNTEMDLTPVTDKLWKLFYTRQFGEENANQVVKRMSMSGARYKWKDLFDAKTKKQKEYEEKMGQRLAKKYEAAKAGSGPSNLSSYKSPILKKARMEVNSQAKMKAAIQRNTIARTSQHIRMNSNHVQPMKTTTIHRPNSTITITKPTVSIGTEKKAQI >ONIVA06G22020.2 pep chromosome:AWHD00000000:6:20727351:20730900:-1 gene:ONIVA06G22020 transcript:ONIVA06G22020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIDRAGGAAAASSPHRRLGSNRIESNRRMEYGRRKPISLLELCIRTTMDNLRYVDNVDGVEMDLLQRILPHCKMEDLTRIENNTEMDLTPVTDKLWKLFYTRQFGEENANQVVKRMSMSGARYKWKDLFDAKTKKQKEYEEKMGQRLAKKYEAAKAGSGPSNLSSYKSPILKKARMEVNSQAKMKAAIQRNTIARTSQHIRMNSNHVQPMKTTTIHRPNSTITITKPTGPNKPLQSDRQTGLNRPFESNKPTGQNRPFQSNKPTGQNRPLQSNKPTYLNRPFQSNRPKF >ONIVA06G22010.1 pep chromosome:AWHD00000000:6:20717935:20726735:1 gene:ONIVA06G22010 transcript:ONIVA06G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSLQHRHRYTYTSLVFPKHYLEELARVPTEVSSSSFFSQLNNLISLTSTYAQVIAVKDLASAFVQFLSSPAIPDDAVLVATKLYLEILFLENSLPLHRTIISVLAKCKKHCSLISGCFATLCEEYGGSGIKAKKRFLVSRAALSLIGYPKLGFLDESVKKCAEVMALDVVAGLDGVISDIVDGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTELDKASTVFKHVVRMILTVLKSSAFSRDCLVASGVSFCAAIQVFMSSEDICWFLSEGLFSICAEQKDIKESAGHEVLSDFNLCEEIRDISILSRLCLLRGILTAIPRTVLNMRQLLSNGSLWTMLYNGILPELCKHCENPIDSHFNFHALTVTQICLQQIKTSVLADFTDFSGDYKPFSRDVINRILRIIWSNLEDPLSQTVKQVHLIFDLLLDIESCIPSGDPMENSKLFLFNIASDLLRLGPRCKGRYIPLASLTKRLGAKSLLKLKSNLLLETAYAYMDDDVCCAATSFLKCFLETLRDECWKDDGIEQGYDAFRFLCLPPLLRGLVSGNSKLRSNLNTYALPAAIEVDADSIFAMLGFINVGPSAKAIELDAALKNDQCIAALVSLLKVSRNLALVEGDIDLDPDVLSQQVANKCDAVISIRGINVTVPVKWFVLALTHNEESLRIDAAESLFLNPKTSSLPSALELSLLKQAVPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKQGLWLPSSLSGDNDSNSVDTVKATTIKRAEDLFQFMKWLSSFLFNSCYPSGPYERRTIAMELILTLLDVWPICRSEGKNDLYPYSDSITLPDSTISFVGSIIDSWDRLRENSFRILLQFPTPLPGISSSTSIDSVIRWAKKLVLSPRVRESDAGALTFRLIFRKYVLEFGCVLVFSKENDCLQCYTKSTNDDTELTSQNPVAQYISSLIQWLCAVVEEGEKDLSEACKKSFVHGVLLTLRYTFDELDWNTEVVQSCIAEMRCLVEKLLQLIMRVTSLALWVVSSDSWYMPYDVDDMIDDDSFLSDIIDEDQPGTSSEIAETNVKSGHNGKPAEHVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSSHGDLAENTEETGMTGDILDVEQLEKMGNHFLQVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCKMTESWMELLMDRTVAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKTSLANFQNDSNQKLDTEKDFLGEPCESQSETTAGVHSNGNLSKSRNEGVVPTVHVFNVLRAAFNDANLATDTSGFCAEATIVAIHAFSSPYWEVRNAACLAYTALVRRMVGFLNVHKRESARRYPALHPFLLSELNVATGLLADGISSNLGSQIAKAIHPSLCPILILLSRLKPSPISRGTDDSLDPFLLLPFIQRCATQSNYRIRVLASRALIGLVSNERLQHVVGDILHNLPCGSHEVTAHRALCLSADMGNGNLTLPAKSFSFNSIHGLLLQLSSLLDNNFRALTDSTKKDQILSQLIEALSKCSWLGSVKLCACPVVSTSYLRVLDLMLDVARTGKSGHTDAIQILLWELSYQCLNNGTSTHYAFHDPTQIELRQQAAASYFSCVGLPKRHDETNDEDVQLQILDMTSSIPEMPREVSLYELHKEITSCLVDPAYDVRITALKRILQLAKSARSGDSKKFLHQWAKSNLQPVILKRIFEEEHPKCLYYNLKIIFSWNMECQFNSEEDSSTFLSFWDRLVHLNSTVSHAKTRETIVCCMGMCMRQFAKMLRGLLDSKTHEHSTSFVRIDEGKNLSTAILCASSFVNLVKNLSAPSETVNARRAAAEAIIASGLLEEANLFAPSLSNAYLPSEHDENHIEEKCSNATVSELINLYSCKILDLWFICIQLLEDEDTYLRQKLANNVQKIIAKGSANNLCDDSTPLQVDRVIELSFEYLTSLLGHWLKYTEYLLRLVLDTANTLNSRGDLIRQIFDKEIDNHHEEKLLICQICCSSIQKLLQSKYLVETGAEVELFLQNWRESFLHQLISLTSSFLEKEGKTDWIGGIGNHKDVFISVYANLLGLYALAQSQSLEQLKDRHREYLKDFSDLDGVITPFLKNPLISNLYLLVKESHEMFNSPKKQWDQVGSSATESFDPYFLIR >ONIVA06G22000.1 pep chromosome:AWHD00000000:6:20715618:20717660:-1 gene:ONIVA06G22000 transcript:ONIVA06G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G14310) TAIR;Acc:AT1G14310] MPAAAAAAARGPLLHLCRNPAPRLAAVRRSFSSSGMRCVSAAAGGGGGRERRSSPAYGGLLLDAGGTLLQLARPVAQTYASLGRRYGSYSFPHIEPPSFRSLRLPWHFVEHVPGVWPPGMSKSEESIKEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATECTNNDYFEEVYEYYAHGDAWRLPAGAYETLCDLKDAGVKLAVVSNFDTRLRKLLKDLHVSDMFDAIVVSSEVGHEKPAPEIFKIALDQIGVEASMAVHVGDDETADKAGANAIGLECWLWGHDVRTFSEVRDRILTRFPQ >ONIVA06G21990.1 pep chromosome:AWHD00000000:6:20708469:20715150:1 gene:ONIVA06G21990 transcript:ONIVA06G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGAMGNLLPKLGQLLNEEYGLQAGLRKKIESLSWELESVHAVLRMVGEVPPEQLDQLVKLWARDLREASYDMEDIIDAFMVHIDGREEPADPHILRRLRKKISILFKKTKARREIAGAIQDINEKLEEVAARRGRYTVKNIVTKPVVPEDIDPRLLNLYKRATELVGIEGQMDNLIKMLSLGNDIDLFDKTVRVVSVVGIGGLGKTTLVKAVYEKLKPGFDCGAFVPVGQNPDMKKVLRDIIIDLDKKTYTDFNITLFDERPLINKLQEILQEKRCFIVIDDMWDKKSWGLIRCALQDSNHRSRVVVTTRVFEVATYVGDVYKMQPLSRNDSEKLLYTRIVQGEGKCLDSSLVEVCDKFLKKCSGVPLAIITIASLLANKPQEDWSEVYSTIVLGHGGNDDVENTRRILSLSYYDLPLHLKPCLLYLSIFPEDYYIEKNLLIWKWIAEGFVHEEQAPGVGLFELGEGYFNELINRSMIQPVEAEDKGYVDGCRVHDMVLDMIRLLSFEENFVTVLEGSEKQESPRIKTRRLALQHRNFEENRHQLSNVGVDQLRSFVVSECDGITVESPSFHVLRHLGNLHHLRYLGLQNVRTSELPDEVLDLSGTGIQELPESVGLLTKLLCLRANEDTRVLAGLIVKLTSLQEMWICPADISQFVKVLGKLRELRVLRTSLFTHGQDERTDRDLLESLQNLHKIHTIDIGGSSRMKSVMWEAGFTSPRHLRHLRLRSLVFYRMPVGINPLLLPNLCYLDLQVQIVKEQDMETLGRLPELRHLKLCSCKTHVVRLEKAAGDGYFRKLRYFSTPCSFLRFDLHGVICSTKTIMPRLESLEFFVRVLFLRDANLLGFDKLLGFGNHGRTSLRRVEATMACSGAHATEVEEAEAALAQVAAVHPNRPTLKTTRLGDSKIHSPYKEPTSVNPKVVVQNVNVREMKDDDGYFDFHWLLRNPRVEKFSVSIFCEDATLQEVEKAEAAARCAVDNHPNSPTLDMMRYDEDKMVLSDQHQEPEVVHRDVNVRRIKDKGHNIKFSWLLRNQYVEKFRVSINCENASFREVKEAEETAREVTWIHPNSPRVEIVRYGEDKMTNTTKWRAGKAVQGRQRSHAVMLKHGISIDIAETMLEEGDRDKEIIF >ONIVA06G21980.1 pep chromosome:AWHD00000000:6:20696375:20697082:1 gene:ONIVA06G21980 transcript:ONIVA06G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLIKIVFGTVVAVGIAAVFSPQSNTSSSSLVSRALAISTYTSVIISMAATMTVVVRLDEGPSFARWRRVLVLTSCGSLWSVTTLYLVSLNWRQVVAVPVALVIFFAAGRWPFVSSVVVVHHPDHDENAIKAVIFRRCDLFIGTGLVGVFFGTSFNDYMTKASRVPGAVVYIWISIYLVFTIGLFLMASIGTGLEALTDDYARKLYYVAAALLTVAFLLVVVVNCLRVSELPTS >ONIVA06G21970.1 pep chromosome:AWHD00000000:6:20689933:20690831:1 gene:ONIVA06G21970 transcript:ONIVA06G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGVYIRRDTDLPQIYLSTIVLLAAQLSSLCEEEVGIGRMQQRLCVWKETVRPVTVTGVGRGRGGHQDDDGLGFSIST >ONIVA06G21970.2 pep chromosome:AWHD00000000:6:20689294:20690831:1 gene:ONIVA06G21970 transcript:ONIVA06G21970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGVYIRRDTDLPQIYLSTIVLLAAQLSSLCEEEVGIGRMQQRLCVWKETVRPVTVTGVGRGRGGHQDDDGLGFSIST >ONIVA06G21960.1 pep chromosome:AWHD00000000:6:20681676:20685579:-1 gene:ONIVA06G21960 transcript:ONIVA06G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGVLGSLLPKLGELLKDEYDLQRGTREKIKSLSRELESVHAVIRIVGEVPSEQLDELVKLWARDVRELSYDMEDIVDTFLVRFNDGHEPDDPRVLRRLRKKMSKLFKKAKDRREIAGAIRRINEKLQEVATRRARYRVDSIVTKPAGPVSIDPRIQALYKRSTELIGVDGPMDKIINMLSPRNDIHLSDKKKIISIVGFGGLGKTTLAKAVYDKLKPDFDCGAFVPVGRNPDMKKVLRDILIDLDKQNYMHSNTTTTLDERQLINGIKDLVEKKRSIVIIDDIWDKKSWELIRCALQDSNCGSRVVVTTRISEVATHAGCVYNMEPLSRDDSEKLLYTRIASAEGKCLTRPSAVACEKILNKCDGVPLAIITIASLLANKPEEDWSEVYNSIGFGHGGNNDVENTRKILSFSYYDLPSHLKACLLYLSIFQEDVEIDKNLLIWKWIAEGFVQEKQAVRIGLFELAEGCFNELINRNMIQPAVSQYEWYGPKFQSDQVYEEGYVYGCRVHDMILDMIRSLSKEENFVTVLDSHEQSELPLSHARRWLIWLQSKRGPFFANKCDDISLVFPYFRAVRVLGLEYSADYGIWRHFRSLFHLRYLGLVGVNIKDLPKEVGDLKFLQTLDVKRTSISKLPDTVGMLTQLLCLHAYAAAPVGLIGKLTSLQELWIIKPSAAAYMHHDENADDMCFSEKDAGDMYSDEKNAARQFVKDLGRLRELRVLRTKIDVMDDSIASDLLESLDNLHKIRYLEILGILWGMNVTWTRTGFISPWHLRRLCLVCIEFSRLPAWINSSALPNLSFINVTVQVIQEQDMETLGMLPELYCLKLYSRYTNVVSIKHTGNGGYFQKLKSFKIVGSSVRFDLSCCDCSGGEIKSSFMPSLETFETDVHVRFLEDANMLGFDKLGLENLPSSLKRIIVVICCRDASDAEVEEAQAALEHAADIHPNNPTLKIDRYGEEIKRPL >ONIVA06G21950.1 pep chromosome:AWHD00000000:6:20676224:20679766:-1 gene:ONIVA06G21950 transcript:ONIVA06G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGVMGSLLPKLGELLKDEYDLQRGLREKIKSLSRELESVHAVLRKVGAVPSDQIDELVKLWARDVRELSYDMEDIVDMFFVRFNDGHESDDPRPVSIDPRLQALYKRSTELIGVDGPMDKIINMLSPWNDIHLSDKKKIISIVGFGGLGKTTLAKAVYDKLKPDFDCGAFVSVGRNPDMEKVLRDILIDLDKQKYKHSIIMTLNERQLINEIKDLVEKKRLAGAQGKCLNIPPAVACEKILNKCHSVPLAITTIASLLVNKPEEDWSEVYNSIGFGHEGNNDVENTRRILSFSYYDLPSHLKACLLYLSIFSEDVEIDKNILIWKWIAEGFVQDEQAAGVELFELGEGCFNELINRNMIMPVEVQYQGYQSKARYNEGKKNFVTLVDSYEQVELPLSHARRSLYHLRYLGLVNAEITELPKEVGDLMFLQTLDLRETSILELPESVGLLTQLLCLYVDHRTWAPVDLIGKLTSLQELCIRPAYAYDRFYDDKANGMRQFVKALGRLGELRVLQTQIDILDDSMEKDLLESLDNLHTLVSFKHTSNAGYFQKLKSFKIVGSSVRFDLSGCDIESSFMPSLETFETDVHVRFLKDANMLGFDKLGLENLPSSLKRIIVVICCRDASDAEVEEAQAALEHATDSHANSPKLTIMRYGVQIKRPL >ONIVA06G21930.1 pep chromosome:AWHD00000000:6:20609459:20612365:1 gene:ONIVA06G21930 transcript:ONIVA06G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAAMDNPHLVHTILLAQSLLLFTCLFLHSNCETITRDDEKAVLLSLERSWGGSVTVNWSSVIYEDQCNWPGINCTDGFVTGISLTGHGLNSLPAAICSLTKLSHIDLSRNSISGSFPTALYNCSNLRYLDLSYNTLVNSLPSNIDRLSPRLVYLNLASNSLSGNIPSSIGQLKVLTNLYLDANQFNGSYPAEIGNISALRVLRLGDNPFLSGPIYPQFGNLTNLEYLSMSKMNIIGKIPAAMSKANNVMFFDLSGNHLSGSIPSWIWSLKRLVTLQLYANHLSGQINAPIESTNLVEIDVSSNNLSGQIPEDIGQLEELERLFLSNNHFTGSIPDSVALLPKLTNVQLFQNSFEGILPQELGKHSLLFNLETHYNNFSGTLPKGLCSKGALAYISMSANMFSGELPASLLRCNSLNYVWLSNNNFSGTFPAGLTEVQIQEVNLSGRLPSNWASNLVEIDLSNNKFSGRLPNTIRWLKSLGVLDLSENRFSGPIIPEIEFMNLTFLNLSDNQFSGQIPLLLQNEKFKQSFLSNLGLCSSNHFADYPVCNERHLKNRLLIIFLALGLTSVLLIWLFGLLRIKVLPRRQNENTTTPRWKLTAFHNINFNYQDIICGLADNNLIGSGGSGKVYKICLHNNSYRFVAAKKIVSDRSRSNMLEKHFQAEVEILGSIRHANVVRLLSSMSSTESKVLIYEYMENGSLYQWLHQKDMRNNNEPLSWPRRMSIAIDAARGLCYMHHDCSPPIAHCDVKPSNILLDYEFKAKIADLGLARALAKAGEPESISTMVGSFGYMAPEFGSSRKINEKVDVYSFGVVLLELTTGRFANGGGGYENLAQWAWRRFQDEDFQLIDVIDGDIQDPAYLQEVQLVFKLGLICTGAKPLSRPSMKEVLQVLQR >ONIVA06G21920.1 pep chromosome:AWHD00000000:6:20605106:20613092:-1 gene:ONIVA06G21920 transcript:ONIVA06G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKPRRAVARRRRHGLGDGRRPARAPVMPPPQAPPRAACVSTSKGSCRLPLSAAGGCPRRSPRPAAICGEEAPVQVNSKHPFGRRLGLSVGVLPPTKKTQAASCATLPICSSRIQKRGIIGSQDLHHLFSRLKKQNKAGRGVGMHDNTV >ONIVA06G21920.2 pep chromosome:AWHD00000000:6:20605159:20613092:-1 gene:ONIVA06G21920 transcript:ONIVA06G21920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKPRRAVARRRRHGLGDGRRPARAPVMPPPQAPPRAACVSTSKGSCRLPLSAAGGCPRRSPRPAAICGEEAPVQVNSKHPFGRRLGLSVGVLPPTKKTQGLLTLGLVD >ONIVA06G21910.1 pep chromosome:AWHD00000000:6:20603674:20604922:-1 gene:ONIVA06G21910 transcript:ONIVA06G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTCLLPVVIGGVLLLSAGECSSQLAAGDRDTLVAIRKGWGNPRRLASWDPDSASDHCSWDGVMCSDGGGGGRVVTELSLSDMKLTWTLPAAMCDFVNLTRLDLSNTGLPGTFPGATLYRCSQLRFLDLANNTLHGALPRDIGNLSPLRGEMSEDFGNLRNLTLLSLYMNNLTGTIPASIGLLPKLSTIWLDNNNLFGELPPELGKHSPLSSIGISNNNLSGPLPETLCANGELYGIYASNNNFSRNLPANLGDCVLLQELVLDNNRFSGDFPEKIWLLPELEIVMIPNNNFTGVLPAVLSSNIQHIEMGNNMFSGSIPRTAISLRGFWAENNQLDGELPADMSKLANLTDLSMPDNHITGPIPASIKLLLNLNSLNLSGNQLTGPIP >ONIVA06G21900.1 pep chromosome:AWHD00000000:6:20601029:20602225:1 gene:ONIVA06G21900 transcript:ONIVA06G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRRGVFCSAVVSGSHDNNIKRRDIHGIASFQQRRRRDLTPPQHQIREGDESSKAAAMATMPAGCCDQIDLLLGGGWLWPAAER >ONIVA06G21890.1 pep chromosome:AWHD00000000:6:20596736:20600760:1 gene:ONIVA06G21890 transcript:ONIVA06G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCYSFTLQPSQRGLRRPICHASSIRPLFRFYPTVRSRLVSPPVFPSSSRPTPPPSTVILDRRRWPGELLPRPPASISRRSSGHLFTSSTPRSSRPREPPNAAGGGGGAGQPARRAPPAGGLLLRVRLNPPPRALRRGLHDRLHPRHPRPAPVALRELGEESRALLRMDGSPRPRRCTHYSGWMARLGPDAHRRWGVLQSIC >ONIVA06G21890.2 pep chromosome:AWHD00000000:6:20596736:20600401:1 gene:ONIVA06G21890 transcript:ONIVA06G21890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCYSFTLQPSQRGLRRPICHASSIRPLFRFYPTVRSRLVSPPVFPSSSRPTPPPSTVILDRRRWPGELLPRPPASISRRSSGHLFTSSTPRSSRPREPPNAAGGGGGAGQPARRAPPAGGLLLRVRLNPPPRALRRGLHDRLHPRHPRPAPVALRELGEESRALLRMDGSPRPRRCTHYSGWMARLGPDAHRRWGVLQSIC >ONIVA06G21880.1 pep chromosome:AWHD00000000:6:20591157:20596645:1 gene:ONIVA06G21880 transcript:ONIVA06G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lactoylglutathione lyase family protein / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT1G08110) TAIR;Acc:AT1G08110] MAAAAIAAASLLPSSAFALRRLSSAANVSRFAQLKRFDRARRFAPAAAMSTSSGPKEAPANNPGLQAPSEKDPATKGYFMQQTMFRVKDPKVSLDFYSRVMGMSLLKRLDFPEMKFSLYFLGYEDVESAPTDPVKRTVWTFGQRATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVHDVYKACERFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLNRIGAVTAEAS >ONIVA06G21880.2 pep chromosome:AWHD00000000:6:20591885:20596645:1 gene:ONIVA06G21880 transcript:ONIVA06G21880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:lactoylglutathione lyase family protein / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT1G08110) TAIR;Acc:AT1G08110] MVVNGDGVARFDVGFQSGRRVSWVKRDGWGSSGSLWAAVGQGGEDVAVLPRLWETRAAGQCQVWVGSSEWLGSGGWGGRFDMNNGITDGGGEAERGECGVMFRVKDPKVSLDFYSRVMGMSLLKRLDFPEMKFSLYFLGYEDVESAPTDPVKRTVWTFGQRATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVHDVYKACERFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLNRIGAVTAEAS >ONIVA06G21880.3 pep chromosome:AWHD00000000:6:20591157:20591725:1 gene:ONIVA06G21880 transcript:ONIVA06G21880.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:lactoylglutathione lyase family protein / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT1G08110) TAIR;Acc:AT1G08110] MAAAAIAAASLLPSSAFALRRLSSAANVSRFAQLKRFDRARRFAPAAAMSTSSGPKEAPANNPGLQAPSEKDPATKGYFMQQTNWVSDLWIGREYA >ONIVA06G21870.1 pep chromosome:AWHD00000000:6:20577167:20586747:1 gene:ONIVA06G21870 transcript:ONIVA06G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGGFLADANDPWLKPRLLRAVVGERLPRPGTDLPPAELASILDAVRTHGLLTERQPGPHDPKLAEAWRAAVDAWVERIGELMQSNLPYSCWLGTCFLGLTFQECCNERFAESYSSWFEKILSNLQEPSTVQLVIIVSCTSMSDLFVRLARFLNLKKEASSFAGRLVEPVLLLLNGNGQVADEAVDLLRTVIKLYPSSLNRHYNKVESAIATNVMSSEVNIKLKEKFARALASLPSVRVSEESWSLMIQKILIVVNNLLNDAFIGLEEEKKGHEILMLLVPPGNDPPPMLGDQTKWSGGNVHVTKKFRVYTVPTISVLIHCCCVMLTSYYPVQVNVPVRALIALTRRVLSVDGSLHKKLFPSTTSLHQELICFELPSLHSTFLDLLSATIKGMRSQLLPHAANIVRLITKYFEIAKLSTMRTKVYGIVQQLLTSMGVGISMHLLEAIVSNSVADLDDSCGQDMTSLSTKPTIATNESSSKSYSKKRKQETDSQNLHVSGSDKTVISPKKRKSSSMSTTSETTADVRMLTPLSVKLAALETLEILLNVGGSLRTDHWRAEVDLLLINIARSACDTGGRYEYKLSSAVGETSIADFQLASLKALLASFLSSHARPPYLAQGIELFRRGKLEIGTKLAEFCSHALLALDVLTHPRALSLESAAPSGPGLTYGAPEKAIFGTEKYKFSLSGNQSQALEDDDPYDDWLTSTKVSEPTGSPAKDSPVGKEKAIEKSQGEKQITPVEEHQQTDLAVVPAAIQDVPTSGKTDIEMADAATTETVNLKATDNPSSSNDGLTQVRTLLPDLNQQVAAPVSDEGHTDIVDHLRNKTSAFDASLSKTVTGGEAPQSGSGSSHFMQSSKTDDSDVESEDSMPGIVDGDPDSD >ONIVA06G21860.1 pep chromosome:AWHD00000000:6:20558820:20559512:-1 gene:ONIVA06G21860 transcript:ONIVA06G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGWQHGAVRVNRSKLLRIEAAAEKAGEAAVVAAPSKPTNASRITGKCRRPRCRGCHDHPADKARDKAKGAHKLRACDVALNHRLVSWRVVDSAGAWAAGTGIPDYKGASASAVLAYLAGGSSYHEDDDDGGAPALEAAPPASGSGLSDLYDLIVGHHATARQEPDTARATDIEVANKDGIEEEPDQDAAAASGEEEEEDDMGFFMVGITIALEFSDGEEDWIVVEEI >ONIVA06G21850.1 pep chromosome:AWHD00000000:6:20552784:20554203:1 gene:ONIVA06G21850 transcript:ONIVA06G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPFTTSPVAQAPRAGRLSWTGASCRPSRCWVRVRSMRNGSTESLDHLQRASKARPRQQQGTPSARRRVIQTTPFGLWDSFPEARTLDQMMRTMERIMDGDADSDRMLVVPASAVTAAPAAARAVDNGAATAAYRRGRTPWEIKERAGAYLVRYDMPGMTREDVTVSVQDRKLVVVAEKAAKDGEAVEAADGEDEGEAWPVASFGRYRTRVELPENVEVERIAAEVRDGVLYLTIPKVASGGKVVNIQVH >ONIVA06G21840.1 pep chromosome:AWHD00000000:6:20544374:20545219:1 gene:ONIVA06G21840 transcript:ONIVA06G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHIHRKAHGCQPASEHVDSNDSNAYLNPATS >ONIVA06G21830.1 pep chromosome:AWHD00000000:6:20532961:20538616:-1 gene:ONIVA06G21830 transcript:ONIVA06G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTCLLPVVIGGVLLLLAGECSSSQLAAGDRDTLVAIRKEWGNPRRLASWDPAATAVDHCRWDGVTCSDATSSGGGRVVTELSLSEMKLNGTVPAAVCDLANLTRLDLSFNDLSGAFPAATLYRCSRLRFLDLAINSLDGALPRDIGNLSPAMEHLNLSSNRFSGAVPPAVAALPALKSLLLDTNRFTGVYPAAEIGKLAGLECLWLGDNAFEPAPVPMAFAKLTKLTYLGMSFMNIIGEIPEALSSLTELTILDLSANDLAGAIPEALSSLTELTILDLGEMSEDFGNLKNLTSLSLCQNNLTGMIPASIGLLPKLSHILLADNNLFGELPPDLGKHSLIDNIRIANNNLSGSLPATLCANGNLDTIAAFNNNFSGNLPANLGDCVLLHNLMLANNRFSGDFPEKIWLLPKLETVTIQNNNFTGALPTAISPNMYQIEMGNNMLSGSIPRTAINLQVFRAENNQLDGELPADMSKLANLFELKVPGNRITGPIPASIKLLLNLKSLNLSGNQLIGAIS >ONIVA06G21820.1 pep chromosome:AWHD00000000:6:20521857:20522620:-1 gene:ONIVA06G21820 transcript:ONIVA06G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSSVRLENASGISPVMFILDIQSLANAGGTGAGANPLSASVRRSRAVRLAISAAGAPSRALLARSRNRRRAHRDSAAAGNAPVSWLLERSSRVRLARSHTAVGTVPVRFMSCRDSSVTTPAPPPPPVVALEQVTPSQLQWSAAAAEAGSHDARCRGFPHPFLMATSVSRSPAAAAHPAARRRTPATRAGRRGR >ONIVA06G21810.1 pep chromosome:AWHD00000000:6:20521779:20526708:1 gene:ONIVA06G21810 transcript:ONIVA06G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTYLPLLPALVAGVLLLAAGCAAAAGDRDTLVAIRKGWGNPRHLASWDPASAAAADHCSWEGVTCSNATTGGGGGAGVVTELSLHDMNLTGTVPTAVCDLASLTRLDLSNNQLTGAFPAAALSRCARLRFLDLANNALDGALPQHVGRLSPAMEHLNLSSNRLSGAVPPEVAALPALRSLLLDTNRFTGAYPAAEIANLTALERLTLADNGFAPAPVPPAFAKLTKLTYLWMSKMNITGEIPEAFSSLTELTLLDMSGNKLTGAIPAWVFRHQKLERLYLYENSLSGELPRNVTTANLVEIDLSSNQLGGEISEDFGNLKNLSLLFLYFNKVTGAIPASIGRLPNLTDLRLFGNELSGELPPELGKNSPLANFEVSNNNLSGALPETLCANGKLFDIVVFNNSFSGELPANLGDCVLLNNLMLYNNRFTGDFPEKIWSFQKLTTVMIQNNGFTGALPAEISTNISRIEMGNNMFSGSIPTSATKLTVFRAENNLLAGELPADMSNLTDLTDFSVPGNRISGSIPASIRLLVKLNSLNLSSNRISGVIPPASFGTLPALTILDLSGNELTGDIPADLGYLNFNSLNVSSNRLTGEVPLTLQGAAYDRSFLGNSLCARPGSGTNLPTCPGGGGGGGGHDELSKGLIVLFSMLAGIVLVGSAGIAWLLLRRRKDSQDVTDWKMTQFTPLDFAESDVLGNIREENVIGSGGSGKVYRIHLTSRGGGATATAGRMVAVKKIWNARKLDAKLDKEFEAEVTVLGNIRHNNIVKLLCCISSQDAKLLVYEYMENGSLDRWLHHRDRDGAPAPLDWPTRLAIAVDAARGLSYMHHDCAQAIVHRDVKSSNILLDPEFQAKIADFGLARMLVKSGEPESVSAIGGTFGYMAPEYGYSKRVNEKVDVYSFGVVLLELTTGKVANDAAADFCLAEWAWRRYQKGPPFDDVIDADIREQASLPDIMSVFTLGVICTGENPPARPSMKEVLHHLIRCDRMSAQGPEACQLDYVDGAAPLLEAKKGSRRRSSESGRWDDDDDDDSGNFVVHVV >ONIVA06G21810.2 pep chromosome:AWHD00000000:6:20521782:20525432:1 gene:ONIVA06G21810 transcript:ONIVA06G21810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTYLPLLPALVAGVLLLAAGCAAAAGDRDTLVAIRKGWGNPRHLASWDPASAAAADHCSWEGVTCSNATTGGGGGAGVVTELSLHDMNLTGTVPTAVCDLASLTRLDLSNNQLTGAFPAAALSRCARLRFLDLANNALDGALPQHVGRLSPAMEHLNLSSNRLSGAVPPEVAALPALRSLLLDTNRFTGAYPAAEIANLTALERLTLADNGFAPAPVPPAFAKLTKLTYLWMSKMNITGEIPEAFSSLTELTLLDMSGNKLTGAIPAWVFRHQKLERLYLYENSLSGELPRNVTTANLVEIDLSSNQLGGEISEDFGNLKNLSLLFLYFNKVTGAIPASIGRLPNLTDLRLFGNELSGELPPELGKNSPLANFEVSNNNLSGALPETLCANGKLFDIVVFNNSFSGELPANLGDCVLLNNLMLYNNRFTGDFPEKIWSFQKLTTVMIQNNGFTGALPAEISTNISRIEMGNNMFSGSIPTSATKLTVFRAENNLLAGELPADMSNLTDLTDFSVPGNRISGSIPASIRLLVKLNSLNLSSNRISGVIPPASFGTLPALTILDLSGNELTGDIPADLGYLNFNSLNVSSNRLTGEVPLTLQGAAYDRSFLGNSLCARPGSGTNLPTCPGGGGGGGGHDELSKGLIVLFSMLAGIVLVGSAGIAWLLLRRRKDSQDVTDWKMTQFTPLDFAESDVLGNIREENVIGSGGSGKVYRIHLTSRGGGATATAGRMVAVKKIWNARKLDAKLDKEFEAEVTVLGNIRHNNIVKLLCCISSQDAKLLVYEYMENGSLDRWLHHRDRDGAPAPLDWPTRLAIAVDAARGLSYMHHDCAQAIVHRDVKSSNILLDPEFQAKIADFGLARMLVKSGEPESVSAIGGTFGYMAPEYGYSKRVNEKVDVYSFGVVLLELTTGKVANDAAADFCLAEWAWRRYQKGPPFDDVIDADIREQASLPDIMSVFTLGVICTGENPPARPSMKEVLHHLIRCDRMSAQGPEACQLDYVDGAAPLLEAKKGSRRRSSESGRWDDDDDDDSGNFVVHVV >ONIVA06G21800.1 pep chromosome:AWHD00000000:6:20498751:20499241:-1 gene:ONIVA06G21800 transcript:ONIVA06G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFEGLLIVDVRDGELVLAPLPHIPPPCLSQSVACLGAHWQSRRRTRKRERVENGEMDLAGVARRAPMAHRCHSLDSHGSASSHASIGSITLTSPLDLRLLPWACPVHRSSPMSLELCRQRPIPIDHSFFPI >ONIVA06G21790.1 pep chromosome:AWHD00000000:6:20494353:20495264:1 gene:ONIVA06G21790 transcript:ONIVA06G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGVTPSRCLPSRQIDGGEAPPPPHPIPPDLVEGRALAPPPSPLPLTTLPPDSGGGESVPASYRRSCRAPAGAKATATSILAPLTPPSRHQCLLLPRAWQRNAATVATSTAASLTSSLAPRRALSLLPVPPSRSHASAAATAQALHSHPSSADAAGFRRAPPASATSARRPQLLRPSPQPSQWTVDGQIRAWEGKIHHRCAQIRRPIAPTPAVARPSDRRAPLRLQSSAAGMFSLPPPFRPAARFLATDSEGGKAARGGWRWRRGQLGFRPRSTRVRLREVNPPNNLFLTQESNPLRSFDL >ONIVA06G21780.1 pep chromosome:AWHD00000000:6:20490024:20491321:1 gene:ONIVA06G21780 transcript:ONIVA06G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRFATTAPLLALAVLAAVVSVAVATAPAGKDPGGFVVTGRVYCDPCRAGFETNVSKSIPGATVSVECRHYGAGRESLKAEATTDEKGWYKVEIDQDHQEEICEVVLDKSSDPACSETEKTRDRSRVPLTSNNGLKQNGIRYANPIAFFRKEPLADCGSILQKYDLKDAPETP >ONIVA06G21770.1 pep chromosome:AWHD00000000:6:20486175:20486549:1 gene:ONIVA06G21770 transcript:ONIVA06G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAASAPSPASSPRAAVPASAARIRQGNRCKGRRRRRCCTSPPASLAFSVAPRRPSALAHSGPVAAPPAGRRPPSLKKKRRKERKEAMSGQTTAEVEKRERASRRRPWRECAERMAV >ONIVA06G21760.1 pep chromosome:AWHD00000000:6:20481379:20485298:-1 gene:ONIVA06G21760 transcript:ONIVA06G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRKKCKAAAVATTDGGGEDHISALPDEVLQRALSFLPSRDVVRTCVLSRRWRHQWKSVPALRIYAFDDCRDVQHLSDFVTNFLLRRNRLALHECDITCFDEGDGCEVFRENARQWIRVELGAFSLDFSSCRGGCIIKDKVKQILSESLRRLRIEGCDFFRNRTRISCPNLISLEITDFTLYTPVLMSMPSLASAFIRFGEHCADSCDCYYYGEFGPDYTGCHHSTVKGNGTVLLNGLSDAIQLELISGAGVFIFRRDFRCCPTFNKLKTLLLNEWCMAADSSALIYFLQHSPVLEKLTLQLRKSPRTMVKRGSTNKNQNEKFLVSKHLKLVEIKYCEDEMVQQVLHVLSFSFEQRK >ONIVA06G21750.1 pep chromosome:AWHD00000000:6:20475591:20480782:1 gene:ONIVA06G21750 transcript:ONIVA06G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTNGNGGGAASAMDVYLPRTQGDVDDDGKERRTGTVWTATAHIITAVIGSGVLSLAWAMAQLGWVAGPITLLLFAAITFYTCGLLSDCYRVGDPATGKRNYTYTDAVKSYLGGWHVWFCGFCQYVNMFGTGIGYTITASISAAAINKSNCYHWRGHGTDCSQNTSAYIIGFGVLQALFCQLPNFHQLWWLSIIAAVMSFSYAAIAVGLSLAQTIMDPLGRTTLTGTVVGVDVDATQKVWLTFQALGNVAFAYSYAIILIEIQDTLRSPPPENATMRRATAAGISTTTGFYLLCGCLGYSAFGNAAPGNILTGFGFYEPYWLVDVANACIVVHLVGGFQVFCQPLFAAVEGGVARRCPGLLGGGAGRASGVNVFRLVWRTAFVAVITLLAILMPFFNSILGILGSIAFWPLTVFFPVEMYIRQRQLPRFSAKWVALQSLSLVCFLVTVAACAASIQGVLDSLKTYVPFKTRS >ONIVA06G21740.1 pep chromosome:AWHD00000000:6:20448568:20455912:1 gene:ONIVA06G21740 transcript:ONIVA06G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEMAVRNGDGGGGGGYYATHPHGGAGGEDVDDDGKQRRTGNVWTASAHIITAVIGSGVLSLAWATAQLGWVVGPVTLMLFALITYYTSGLLADCYRTGDPVAGKSGPVVFSNMSTWAVHKANCYHKNGHDADCGVYDTTYMIVFGVVQIFFSMLPNFSDLSWLSILAAVMSFSYSTIAVGLSLARTISGATGKTTLTGVEVGVDVTSAQKIWLAFQALGDIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLCGCLGYAAFGNAAPGNMLTGFGFYEPYWLIDFANVCIVVHLVGAYQVFCQPIFAAVETFAARRWPGSEFITRERPVAAGRSFSVNMFRLTWRTAFVVVSTVLAIVMPFFNDILGFLGAVGFWPLTVYYPVEMYIRQRRIQRYTSRWVALQTLSLLCFLVSLASAVASIEGVSESLKHYVPFKTKS >ONIVA06G21730.1 pep chromosome:AWHD00000000:6:20431462:20435163:-1 gene:ONIVA06G21730 transcript:ONIVA06G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:initiator tRNA phosphoribosyl transferase family protein [Source:Projected from Arabidopsis thaliana (AT2G40570) TAIR;Acc:AT2G40570] MAAAAASSESADAAAAGPSTLSIYKAARRIKRRESTLYNALRSVADDAAFVAEIAALWPALPLVANLRCGLWYLPPRAVAATCYFKSTDGHAGNWAFSTARLNLHLALLAGERGGCIIVDSTRRGKRFPDSMSKTIPIWCCVLNRAIERYRLQTNNKSAAVANKDAEKISESSNWDNSVHLPVWVLETEKNAIEGRIEEWTTQFESCGADIRSLALSLKKPLRPLWISQRTRIWLNEVPELESWDFTPIILVSASASGAVATQRMTSEFSWHYIPGAGDDEESWARGLTPTLFWKHSYDLLDGGPDLCNQLVADIVEKDRVYRAQRGEYSPQITAKPLKCSSHDGPFSNGDHTSIVQPMDSDPPTVTAMDKQNSSDGHILFWIGTSNLAVASTLQVGDSLAEVDCILNCDSTSNLPLTSSENSYLELPMVGSKDDRFSLMKNLPKAVSFANRNLIAGKKLLICCQNGEDISICVALAIITRLFDCDGFFDHGNSFLKGDVTKLEMRKRLVFVCKYAVNARPSRGNLKQLFPFLSPARCIYEFRSVREALVSEFFMSTDELLVYMGNQ >ONIVA06G21720.1 pep chromosome:AWHD00000000:6:20424012:20430986:1 gene:ONIVA06G21720 transcript:ONIVA06G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVKSRTGPPPFHLGPNRDSTSSPLARVSLHKHAHDASPLSLSLSPASASLPRAAAASTESSSRRRASQQPSHVGIEGRRGGDPPHPQVHDEPPPLPQAVRARGAPPGPRQRLQGGSEGEAGEAVRGEGLQLHLRVQVPHPLRRRQVHRIRPHLRQPRRRQEVRAQVQAHQEWSCH >ONIVA06G21710.1 pep chromosome:AWHD00000000:6:20384869:20400097:-1 gene:ONIVA06G21710 transcript:ONIVA06G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMEKVWESGRRMSRSIGRGMGMEAWGVDEAFMPQNSGGGGGSRGRRRSGRGGTADDDEEALRWAAIERLPTYSRMRTAILSSAEEEAAAAAGAGKQQYKEVDVRRLGVGERQEFIERVFRVAEEDNQRFLQKLRNRIDRVGIELPTVEVRFEELMVQARCHVGSRALPTLLNTARNIAEAALGLVGVRPGRQATLTILRGVSGAVRPSRMTLLLGPPSSGKTTLLLALAGKLDPSLRRGGEVTYNGFELEEFVAQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLLTELARREKEAGIRPEPEVDLFMKATSMEGVESSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFELFDDIILLSEGQIVYQGPREYVLEFFESCGFRCPERKGTADFLQEVTSKKDQEQYWADKHRPYRYISVSEFAQRFKRFHVGLQLENHLSVPFDKTRSHQAALVFSKQSVSTTELLKASFAKEWLLIKRNSFVYIFKTIQLIIVALVASTVFLRTQMHTRNLDDGFVYIGALLFSLIVNMFNGFAELSLTITRLPVFFKHRDLLFYPAWIFTLPNVILRIPFSIIESIVWVIVTYYTIGFAPEADRFFKQLLLVFLIQQMAGGLFRATAGLCRSMIIAQTGGALALLIFFVLGGFLLPKAFIPKWWIWGYWVSPLMYGYNALAVNEFYSPRWMNKFVLDNNGVPKRLGIALMEGANIFTDKNWFWIGAAGLLGFTIFFNVLFTLSLVYLNPLGKPQAVISEETAKEAEGNGDARHTVRNGSTKSNGGNHKEMREMRLSARLSNSSSNGVSRLMSIGSNEAGPRRGMVLPFTPLSMSFDDVNYYVDMPAEMKQQGVVDDRLQLLRDVTGSFRPAVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDMRISGYPKNQETFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPEKIGDQEITDDIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGQLGRNSQKMIEYFEAIPGVPKIKDKYNPATWMLEVSSVAAEVRLNMDFAEYYKTSDLYKQNKVLVNQLSQPEPGTSDLHFPTKYSQSTIGQFRACLWKQWLTYWRSPDYNLVRFSFTLFTALLLGTIFWKIGTKMGNANSLRMVIGAMYTAVMFIGINNCATVQPIVSIERTVFYRERAAGMYSAMPYAIAQVVMEIPYVFVQTAYYTLIVYAMMSFQWTAAKFFWFFFVSYFSFLYFTYYGMMTVAISPNHEVAAIFAAAFYSLFNLFSGFFIPRPRIPKWWIWYYWLCPLAWTVYGLIVTQYGDLEQIISVPGQSNQTISYYVTHHFGYHRKFMPVVAPVLVLFAVFFAFIFLTYFHPLDPT >ONIVA06G21700.1 pep chromosome:AWHD00000000:6:20377502:20389898:1 gene:ONIVA06G21700 transcript:ONIVA06G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASNEGTGSAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELDTRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGLENRSVGATALNERSSRSHSVVTMHIQGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ONIVA06G21700.10 pep chromosome:AWHD00000000:6:20377502:20382881:1 gene:ONIVA06G21700 transcript:ONIVA06G21700.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASNEGTGSAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELDTRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGSEKKYPFGSQALK >ONIVA06G21700.11 pep chromosome:AWHD00000000:6:20377502:20382881:1 gene:ONIVA06G21700 transcript:ONIVA06G21700.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASNEGTGSAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELDTRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGCKANSIAHLYADILKYQLLILYAYLSGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGSEKKYPFGSQALK >ONIVA06G21700.12 pep chromosome:AWHD00000000:6:20377502:20382881:1 gene:ONIVA06G21700 transcript:ONIVA06G21700.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASNEGTGSAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELDTRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDKALRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGSEKKYPFGSQALK >ONIVA06G21700.2 pep chromosome:AWHD00000000:6:20377502:20389898:1 gene:ONIVA06G21700 transcript:ONIVA06G21700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASNEGTGSAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELDTRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGLENRSVGATALNERSSRSHSVVTMHIQGIDLKTGVTLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ONIVA06G21700.3 pep chromosome:AWHD00000000:6:20377502:20389898:1 gene:ONIVA06G21700 transcript:ONIVA06G21700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASNEGTGSAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELDTRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGLENRSVGATALNERSSRSHSVVTMHIQGIDLKTGVTLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ONIVA06G21700.4 pep chromosome:AWHD00000000:6:20377502:20389898:1 gene:ONIVA06G21700 transcript:ONIVA06G21700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASNEGTGSAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELDTRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGCKANSIAHLYADILKYQLLILYAYLSGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGLENRSVGATALNERSSRSHSVVTMHIQGIDLKTGVTLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ONIVA06G21700.5 pep chromosome:AWHD00000000:6:20377502:20389898:1 gene:ONIVA06G21700 transcript:ONIVA06G21700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASNEGTGSAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELDTRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGLENRSVGATALNERSSRSHSVVTMHIQGIDLKTGVTLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ONIVA06G21700.6 pep chromosome:AWHD00000000:6:20377502:20389898:1 gene:ONIVA06G21700 transcript:ONIVA06G21700.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASNEGTGSAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELDTRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDKALRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGLENRSVGATALNERSSRSHSVVTMHIQGIDLKTGVTLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ONIVA06G21700.7 pep chromosome:AWHD00000000:6:20377502:20389898:1 gene:ONIVA06G21700 transcript:ONIVA06G21700.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASNEGTGSAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELDTRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDKALRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGLENRSVGATALNERSSRSHSVVTMHIQGIDLKTGVTLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ONIVA06G21700.8 pep chromosome:AWHD00000000:6:20377812:20389898:1 gene:ONIVA06G21700 transcript:ONIVA06G21700.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELDTRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGSEKKLGILNANQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGIDLKTGVTLRGALHLVDLAGSGHAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ONIVA06G21700.9 pep chromosome:AWHD00000000:6:20377502:20389898:1 gene:ONIVA06G21700 transcript:ONIVA06G21700.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASNEGTGSAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKENDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELDTRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDKALRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGLENRSVGATALNERSSRSHSVVTMHIQGIDLKTGVTLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQVNPDVSSYAETLSTLKFADRVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >ONIVA06G21690.1 pep chromosome:AWHD00000000:6:20362940:20363740:-1 gene:ONIVA06G21690 transcript:ONIVA06G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLWHVVRAVLFMLRKGMSKRKLAMDLHLLLHRGKIAGNKALGKIMNTTTATASHGHGHAADATSTAAGEAAAAAPFSCGRALDPALAVYDPRGAGLEVEFSCSNTPSYPSSFHLIPTKRRRRNNNGSNGRRRGGGGRGANGGEPGWYNYDAADIARVFEILNSSDQLLGDGGAAVAATPSPALWRTSFGGRSPAPVRQLRITDSPFPIRDDGGEDAGAGLVDLEAEEFINKFYEQLRTQQQSLATATPDYYAGYSRPVTGVAY >ONIVA06G21680.1 pep chromosome:AWHD00000000:6:20334516:20335685:-1 gene:ONIVA06G21680 transcript:ONIVA06G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVKHSYDKKNEYLEDAENQLAHDDRSMFQGRKERGGSYGDGILEKGGENPDVVSKPDEENIGETVFEKDNTDSHDDDIRNTDRSKAGEGHGSSADGNTEANSNDEDGTTNHSEGEKSDAESNSSDAESKGEDHSTGDDMPQSNTVLEESSAETNRMPHEEVAHGDESTNEDQSNVKSDGSNEEEAEKKEAVDSQNASESLSDDAKGGTDDEHSSGTLPDETGNLPSGQNENSQSDAISTTSDASSEAVHIETGSENEDAAKSSGTASGDDDKGSANEASDSNETKSDEGNVAAEVSNDQAANTEAGNSQEASAAEAANGSSEETKPVENQNDGNTESSNNGEQVDIKMETSASTNAEQKESQVGDGSSGSNGSNDSGAEQTGTTETQ >ONIVA06G21670.1 pep chromosome:AWHD00000000:6:20331265:20331906:-1 gene:ONIVA06G21670 transcript:ONIVA06G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPFIAMASNMQGVVSVDGNAEKRRRTSSDALQRTVSDVSYELHHHVGAKGTTMVDDAAAAEQKQQLDDIAEVEDARCECCGMSEECTPEYIRGVRARFAGRLVCGLCAEAVAEEAARRGGAGGVEAALRAHTAVCKRFNGFGRTHPVLFQAEAMREILRKRSKLGPRSRSSINPREARQAGAAGGGGIARSSSCLPFITDEFSQRVNIN >ONIVA06G21660.1 pep chromosome:AWHD00000000:6:20328972:20329763:1 gene:ONIVA06G21660 transcript:ONIVA06G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein / mitogen-activated protein kinase kinase kinase (MAPKKK)-related [Source:Projected from Arabidopsis thaliana (AT5G11620) TAIR;Acc:AT5G11620] MEPVTAASAAAAASEEQVTRRVASRIIRALQHHLRLLHRAGPEFFVLGATGNVYMVKLAAAPACTCPDPSVPCKHILFVLLRVLGLSLDEACVWRQSLRPCQVARLVAAPTCAEADVVAGPRARERFHQLWSARAAAKAEESRRRRRRDDQAAAAGASGRLDGAACPVCLEEMSPPGAAAAAAMLLTCATCRNSVHGECFARWKRSRGRRAATCVVCRARWRQPSREQEKEPYINLSAYMNDAGGGDVDMVAADGDDGGLCAG >ONIVA06G21650.1 pep chromosome:AWHD00000000:6:20320069:20320806:-1 gene:ONIVA06G21650 transcript:ONIVA06G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDQLVSFLCFFLIVSAVAGGLCVSATVLPIRVGKQYVVGGRSGWRTPPPASVDLYAKWAAGIRFYVADSIEFVYKNDSVVKVDKFGYYHCNATAAAANDGSVLFLLDAPGFAYFSSADADHCKKGQRLMINVDSAPSPAPTPAASPIAKPPAAVTAATPPPPPPPSPSPSPSPAPQEAATASAATSSSAATAAHALLLAAMAMMGLILGEW >ONIVA06G21640.1 pep chromosome:AWHD00000000:6:20311747:20312538:1 gene:ONIVA06G21640 transcript:ONIVA06G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYELAACSPASPSSSASSTSTPPSPGGEAAARCGEKRGRGGGGGRHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPAAVLNFPGAAASLPRPASAAPRDVQAAAARAAAMALDAVVPAPPSPPPPLMPPQASPSEAARAHALVAQVDQDDDEEELEEIVELPPIDELDAAAELVFASSGATTFHYDPAADQPWYDQPAACLQDGGGGGIAVHDALGFELDHVWADGVVASGFGALLWNM >ONIVA06G21630.1 pep chromosome:AWHD00000000:6:20292703:20293388:-1 gene:ONIVA06G21630 transcript:ONIVA06G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGRPLPAHALSRAPPKGGSQPPTSHSSSASAAWCARNGHHHACGAPLPWSLHCSLHFLCWISSDPSCG >ONIVA06G21620.1 pep chromosome:AWHD00000000:6:20284693:20285005:1 gene:ONIVA06G21620 transcript:ONIVA06G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAVVVFPWTLLSCPAVLTVAKHCCCAIASSDVSSGEAHYTTLPKEPVSRSYAMAASSAVLKVSRVVKLLRWWQDREQLCRSNGGGT >ONIVA06G21610.1 pep chromosome:AWHD00000000:6:20276473:20277415:-1 gene:ONIVA06G21610 transcript:ONIVA06G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKILLVTALLVGIASQQSSATRNLHGDHHVAEKKFGGGGGGYGGGGGGGGGGYGGGGGGGGGGGYGGGGGGGGYSPSPTTGFTGSCDFWKSHPEKIISCIGSLGSIVGSFGDVCSGFFGSKLQTLQDALCSTRKDCYGDLLREGAAAYINSVAAKKQAKFAYTTQQVKSCILLGLTSKAAAIEQAALFKKANLACHYT >ONIVA06G21600.1 pep chromosome:AWHD00000000:6:20257807:20260827:-1 gene:ONIVA06G21600 transcript:ONIVA06G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGAAPFVWKTYRMVEDPGTDGVIGWGKGNNSFVVADPFVFSQTLLPAHFKHNNFSSFVRQLNTYVSLVTPSSISSSHHTPPLSALHIMLPWILSGTQLKGFRKVDPDRWEFAHASFLRGQTHLLRNIVRRGSAAAGGGGGGGGGGKRRDATADGGGGGGEEDMTMVATEVVRLKQEQRTIDDRVAAMWRRVQETERRPKQMLAFLLKVVGDRDKLHRLVGGGGNGAAATAAAAVVDNNGFADAARVGCGEKRARLLLDGDNTGAFGPDAVDFAGLYTGADMFPDVAVDAAAGYNLTVNLVKEFFDIFLQIRSNNTIQSEGVDNINRWRWTNNGMPVQSRHTTQFNGRVDSVAAKQISKA >ONIVA06G21590.1 pep chromosome:AWHD00000000:6:20249231:20255120:-1 gene:ONIVA06G21590 transcript:ONIVA06G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAYKSLHVEAPAAALKPVKAVAAGPSELPDATTSVPLPVLAARHTASTRHPCYGWLIESESEDDELDNEVSAVDQTNSLAMSCCWRMVFKPHNLRCHLLHMSQPKQWQQFLLDKLSQQGAHDMDGLLRVNLRMMNCTMECQLLSKPILQLFQIIMDDCLLSKPSLKLL >ONIVA06G21580.1 pep chromosome:AWHD00000000:6:20236769:20246871:-1 gene:ONIVA06G21580 transcript:ONIVA06G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRRTVFSPDELLPQATLGAMAHTYIISALTFSKHIMANDSLTRSHIVGDVLDQFSNSVPLTVMYDGRPVFNGKEFRSSAVSMKPRVEIGGDDFRFAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPSSTDDSFGREIVTYESPSPTMGIHRIVMVLYQQLGRGTVFAPQVRQNFNLRSFARRFNLGKPVAAMYFNCQRPTGTGGRRPT >ONIVA06G21580.2 pep chromosome:AWHD00000000:6:20236769:20246871:-1 gene:ONIVA06G21580 transcript:ONIVA06G21580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRRTVFSPDELLPQVMVDPDAPNPSNPTLREYLHWMVTDIPSSTDDSFGREIVTYESPSPTMGIHRIVMVLYQQLGRGTVFAPQVRQNFNLRSFARRFNLGKPVAAMYFNCQRPTGTGGRRPT >ONIVA06G21570.1 pep chromosome:AWHD00000000:6:20225452:20226867:1 gene:ONIVA06G21570 transcript:ONIVA06G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREVDDSYTNGSVVEVVSMEEGSKMDKEDDHQNPQAPDGGDVVVCGMPMSFTFLQMLLAEFLATFFLMFTGLGAITVEEKKGAVAFPGVAVAWGAAVMAMVYAVGHVSGAHLNPAVTLGFAVAGRFPWRRAPAYALAQTAAATAASVVLRLMFGGRHAPVPATLPGGAHAQSLVIEFVITFYLMFVIMAVATDDQAVGHMAGVAVGGTIMLNVLFAGPVSGASMNPARSIGPALVGSKYTALWVYILGPFAGAAAGAWAYSLIRLTGDRTD >ONIVA06G21560.1 pep chromosome:AWHD00000000:6:20218345:20219056:1 gene:ONIVA06G21560 transcript:ONIVA06G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSLRVGRVWLYDADPANTGVELIVGVPDECLAAVSTPCGAASWVRSVIQPGLPAPATRRCRGRYSRRCSASTKHSCSSDSTSRWSSPTTHNLGDPTGVELEYALLEPTYVGIFTIVQPSVDVRKLLAIVTAWVGCYLRTASHSRRGSTSPPPSCARALAATSSPSPAVNTAAAAPAVIAVLHCGSGRSW >ONIVA06G21550.1 pep chromosome:AWHD00000000:6:20211102:20217348:-1 gene:ONIVA06G21550 transcript:ONIVA06G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDRLYPPIDPYALEPPQFGDDAACGGGGGGGEVRVRDPSAVMWDEEPEVSPSSPEEPVTEGTHSFEQGPKVHLAGNNVVGSAGVGDVYEYSREMTTMQELVENTYYDCALRDQTGMWVPPSVPPMTKHDHEEWRKGFGANGGYFAEEDLWDIDEENKEMTMWDVLAAMVSAGKDKVLSVVSYDFGRQGMSLISHLLLEEACKDKADTLEDASVGLEHALLEAEPTVWLPDSAAPSCMLCGARFHPIICSRHHCRFCGGLFCGGCSKGRSLMPPKFSTSEPQRVCDVCGVRLECIQPYLMNKISHACQIPTNDLTDLSTLRSWLNLPWARTMEYEIYKAVNSIYGYFKVGSLKPEKSIPDSILRQAKGLAIITVVNVGMMVTYKIGTGLVVARRADGSWSPPSAISTYGVGYGVQAGGELADYIIVLRNTDAIRTFSGNAHLSVGAGISASAGHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRHSANAQFYGGPIKASEILLGSVSRPAAAATLYRALSKLFEKVENYSSPLDF >ONIVA06G21540.1 pep chromosome:AWHD00000000:6:20205869:20209144:1 gene:ONIVA06G21540 transcript:ONIVA06G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGAGGGGGGGLGGTRVPTWRERENNRRRERRRRAIAAKIYAGLRAYGNYNLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPPQAERPDPIGRSASPSPCSSYQPSPRASYNPSPASSSFPSSGSSSHITIGGNSLIGGVEGSSLIPWLKTLPLSSSYASSSKFPQLHHLYFNGGSISAPVTPPSSSPTRTPRLRTDWENASVQPPWASANYTSLPNSTPPSPGHKIAPDPAWLAGFQISSAGPSSPTYNLVSPNPFGIFKEAIASTSRVCTPGQSGTCSPVMGGMPAHHDVQMVDGAPDDFAFGSSSNGNNESPGLVKAWEGERIHEECASDELELTLGSSKTRADPS >ONIVA06G21530.1 pep chromosome:AWHD00000000:6:20203675:20204885:-1 gene:ONIVA06G21530 transcript:ONIVA06G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTSSGPTSVPNLKRPRSIYQTGFASLVSIVLASSPPLLVSPLLAVAVAGLHASSPLRLAPGLGERARCTSPCSRAGSSQPPTPSRRRHRSPRRAAVDDLPAPPPSPTPPSWANLAPLPSPPGQIGEEMVAAPAEEAEAQELEERGEGWKRKSSLGGRRLKHPYPRNGFLSSAPGRKGVKGEKVRKG >ONIVA06G21520.1 pep chromosome:AWHD00000000:6:20198350:20199249:-1 gene:ONIVA06G21520 transcript:ONIVA06G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWSGVWSLDASGLGVGDLSRRGEHRPLVGVVGSPFELLRCKAGTVKLIRRHLTSNVSVLVLVLQKESPSWCGPVGPNGSSHTGPQTLNPSVGQVKTALPCRLHFLMTPFFCFKVFLKLPLTYDMDIQDMDSQDCQPSSDKK >ONIVA06G21510.1 pep chromosome:AWHD00000000:6:20195501:20198023:1 gene:ONIVA06G21510 transcript:ONIVA06G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HS95] MMLLSAVPGAIILLVGGSLLAQCLDPTLPAANTSSSWTNSADDQIRYGDGSIVRVVLLLSHSHGASSDDVAFACGFFCGAPCDRKSFLFGVFLVGTNDSTGGVAAAAAAPPPAVMVWSANRDRPVGDNATLQLSDAGDLVLRDAGGAFVWSTNTSAGHAVAGVRLSDSGNLVIFDDSGSPVWQSFDHPADVLLPGQYLRPGMRLTANFSAANFSEGSLYVTARNNAMAGFVGHDPPQLYFTAPVSDTMDDTLANITFLNGSISAFGRSPSSSSEILIPLPVAHSVQYIRVESDGHMRLYGWNSSSWVIMYEVLQKYIAGGDCEYPMACGSYGICSGAGNCSCPSEIHSSPIYRDRPGLGCKLATPISCRNVRGIEMVELPNVTYFNYNGSGAIMRDKVTRSDCLSGCVANCSCKAAYFKLRMNDTNGTCFLQSQLFSLHKLQTTAPSLYNSRAFIKLNNITFAERVRPMKKTFGTGILVGIIIGTVSLLFSIALLIRMRTRRERVDGEHIEHLPGMPRKFSFEELKVVTGDFSSKIGEGASGTVFEGKIEDENIAVKRLDSVGRRKEEFLTEVQTIGSIHHVNLVRMIGFCAEKNHRLLVYEYMPNGSLDRWIFDGKDGRPLDWPTRHKIVSDIARGLCYLHEGCRQRIVHLDIKPQNILLDDQFNAKISDFGVAKLVDKDKSRVMTRMRGTPGYLAPEWLTSTITEKADVYSFGVVVLEIICGRRNLDHSQPEEALHLMSLLQESARNDKLLDMIDHRMDDMQLHSEDVMHMMHLAMWCLQLHSNRRPSMSTVLRVLEDAATMQEDIDFNFVVTNSSIFNEGIMGESNLPSASLLSGPR >ONIVA06G21500.1 pep chromosome:AWHD00000000:6:20192847:20193479:1 gene:ONIVA06G21500 transcript:ONIVA06G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASGAYPYSRRPLFRPEPSPSREPRPLCKGRGSTSSSTGDRARSHTGSAVAREELVDTALSPYFSPSTLAGCRREREAATREREREYCLRPATVRGGGGRDGDDTMWGDRRWREAGRTATAQRAAATTDPCIAHRSPLDDATATNKSADKAPAVAVALLIVFTATANGREGGRESEARGGGGRERERESGERRESGGRRRRRGEEKERR >ONIVA06G21490.1 pep chromosome:AWHD00000000:6:20189746:20192652:1 gene:ONIVA06G21490 transcript:ONIVA06G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAHKVFDEMCRRVEEWLRGEDELGGSWGLVMAAKRVWGRREMGGGIYRVWEGWLNGRRDGWRTGLEDDMMARGARGRHDGARGSRVAAAPKAPAPDDLRHHSVPLPPSELSQWIPCARELEEAGIRFRPWKGATSFLDVNFSDGGTLEIPELPAIGRLHLVTSPEDMRLLLIISGMLVNQMNGEQDATTGFFGRLCTEAT >ONIVA06G21480.1 pep chromosome:AWHD00000000:6:20162483:20180331:1 gene:ONIVA06G21480 transcript:ONIVA06G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAAYAFGVPAPGQGFGFVWWWLSSPGRTAHHLFDEMRGLANPTIRPFALNVEPGTVWHNNPSLLHNKYPDDNFLMRIIIPRVTTEIPSINSPLDSLPSFACGFFCAGPATNCNAYTFSIFFVNAFSTGGDVHLESPEVVWFANRDRPVGENATVEFTELGDLVLYDADGTLVWSTNTTDKSVVSMKLTWSGNLVLLDHADVEVWRSFDHPTDTLVISQILQMGQKLVARTSLTNWAEGKLYLTVLADGMYAFAGIDTPLAYYRSPTRGTAATNRSAYVALKNGSLDVFTSFQETEVPDYHIKFPVDPFGLVFARLDWDGHMRLYQWGIDSWVNSDIFNITDPCDYPLACGEYGICSHGQCSCPDVAIGQSGLFELVDAREVNRGCSLKSSLSCGSAGKTRLLAVPNITYFNFVYNWTTNEDHCKLSCMDDCSCRASFFQYEDTSSGFCFLASDIFSMISVSTENYSSNFSSLAFVKIQESAHKPLSYKDKRAIVLVAGSLSFVTSVIVVVLIVLRKRRAEPLEDEYNIEQLSGLPTRFSLVDLKLATGDFSRKIGAGGFGSVFEGQIGDNHVAVKRLDGVSQGKREFLTEVQTIGSINHRHLVRLIGFCAEKTHRLLVYEYMPNGSLDKWIFQNHQAAPLDLETRLKIISDIAKGLAYLHNDCRQTIAHLDIKPQNILLDEMFTAKISDFGLARLIDHGQSSVMTKLRGTLGYLAPEWLTSVITEKVDVYSFGVVIMEILCGRRNLDYSQPEESRHLVNILLEKAKNNQLMDLINPCFIDTELHVDDVLRMMNLAMWCLQDNNRRPSMSMVVKILESTMDVETELDFDLVVIDPMVLANRAAQRNTPGTVWHNNPSLLHNKYPDDNFLMRIILPQFTGIPSVDMPLDSLPSFACGFFCAGPATTCNAFTFSVFFVNAFSTGGDVHLQSPEILQMGQKLMARTSLTNWAEGKLYLTVLADGMYAFAGIDTPLAYYRSPTGGTVATNRSAYVVLKNGTLDVFTSFRETEAPDHHIKLPVDPFGQVFARLDWDGHMRLYQWGNSAWTRFLAVPNVTHFNFVYNWTTNEDHCKLSCMDDCSCRASFFQHKDTSSGFCFLASDDSTHKSLLSKEKRAIVLVAGSLSFVTSVIVAVLIVLRRKRDEPLEDEYFIDQLPGLPTRFSFVDLKSATGDFSRKIGAGGFGSVFEGQIGDKHVAVKRLDSIGQGKREFLAEVQTIGSINHIHLIISDVAKGLAYLHSDCRQTIAHLDIKPENILLDEVFTAKISDFGLAKLIDREQSSVMTRLRGTLGYLAPEWLTSVITEKVDVYSFGVVIMEILCSRRNLDYSQPEESCHLISMLQEKAKNNQLMDLIDPCFFDMELHMDDVLRMMNLAMWCLQVDNNRRPSMSMVVKILEGTMDVETELDFDLVNIDPMVVAHRAAQRNSITLQGQDEIQGAQIYSSKISSSRLKTRTPVFLYYSI >ONIVA06G21480.2 pep chromosome:AWHD00000000:6:20162483:20180331:1 gene:ONIVA06G21480 transcript:ONIVA06G21480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAAYAFGVPAPGQGFGFVWWWLSSPGRTAHHLFDEMRGLANPTIRPFALNVEPGTVWHNNPSLLHNKYPDDNFLMRIIIPRVTTEIPSINSPLDSLPSFACGFFCAGPATNCNAYTFSIFFVNAFSTGGDVHLESPEVVWFANRDRPVGENATVEFTELGDLVLYDADGTLVWSTNTTDKSVILQMGQKLVARTSLTNWAEGKLYLTVLADGMYAFAGIDTPLAYYRSPTRGTAATNRSAYVALKNGSLDVFTSFQETEVPDYHIKFPVDPFGLVFARLDWDGHMRLYQWGIDSWVNSDIFNITDPCDYPLACGEYGICSHGQCSCPDVAIGQSGLFELVDAREVNRGCSLKSSLSCGSAGKTRLLAVPNITYFNFVYNWTTNEDHCKLSCMDDCSCRASFFQYEDTSSGFCFLASDIFSMISVSTENYSSNFSSLAFVKIQESAHKPLSYKDKRAIVLVAGSLSFVTSVIVVVLIVLRKRRAEPLEDEYNIEQLSGLPTRFSLVDLKLATGDFSRKIGAGGFGSVFEGQIGDNHVAVKRLDGVSQGKREFLTEVQTIGSINHRHLVRLIGFCAEKTHRLLVYEYMPNGSLDKWIFQNHQAAPLDLETRLKIISDIAKGLAYLHNDCRQTIAHLDIKPQNILLDEMFTAKISDFGLARLIDHGQSSVMTKLRGTLGYLAPEWLTSVITEKVDVYSFGVVIMEILCGRRNLDYSQPEESRHLVNILLEKAKNNQLMDLINPCFIDTELHVDDVLRMMNLAMWCLQDNNRRPSMSMVVKILESTMDVETELDFDLVVIDPMVLANRAAQRNTPGTVWHNNPSLLHNKYPDDNFLMRIILPQFTGIPSVDMPLDSLPSFACGFFCAGPATTCNAFTFSVFFVNAFSTGGDVHLQSPEVVWFANRDHPVGENATVEFTELGDLVLYDADGTLVWSTNTTDKSVVSMRLTGSGNLVLLDRADVEVWRSFDHPTDSLVISQILQMGQKLMARTSLTNWAEGKLYLTVLADGMYAFAGIDTPLAYYRSPTGGTVATNRSAYVVLKNGTLDVFTSFRETEAPDHHIKLPVDPFGQVFARLDWDGHMRLYQWGNSAWVSSDIFHITDPCAYPLACGEFGICSNGQCSCPDVAVGQSGLFELVDAREANRGCFLTSSFSCGSTRKTRFLAVPNVTHFNFVYNWTTNEDHCKLSCMDDCSCRASFFQHKDTSSGFCFLASDDSTHKSLLSKEKRAIVLVAGSLSFVTSVIVAVLIVLRRKRDEPLEDEYFIDQLPGLPTRFSFVDLKSATGDFSRKIGAGGFGSVFEGQIGDKHVAVKRLDSIGQGKREFLAEVQTIGSINHIHLVRLIGFCVEKTHRLLVYEYMPNGSLDKWIFQNHQADPLDWKTRLKIISDVAKGLAYLHSDCRQTIAHLDIKPENILLDEVFTAKISDFGLAKLIDREQSSVMTRLRGTLGYLAPEWLTSVITEKVDVYSFGVVIMEILCSRRNLDYSQPEESCHLISMLQEKAKNNQLMDLIDPCFFDMELHMDDVLRMMNLAMWCLQVDNNRRPSMSMVVKILEGTMDVETELDFDLVNIDPMVVAHRAAQRNSITLQGQDEIQGAQIYSSKISSSRLKTRTPVFLYYSI >ONIVA06G21480.3 pep chromosome:AWHD00000000:6:20162483:20180331:1 gene:ONIVA06G21480 transcript:ONIVA06G21480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAAYAFGVPAPGQGFGFVWWWLSSPGRTAHHLFDEMRGLANPTIRPFALNVEPGTVWHNNPSLLHNKYPDDNFLMRIIIPRVTTEIPSINSPLDSLPSFACGFFCAGPATNCNAYTFSIFFVNAFSTGGDVHLESPEVVWFANRDRPVGENATVEFTELGDLVLYDADGTLVWSTNTTDKSVVSMKLTWSGNLVLLDHADVEVWRSFDHPTDTLVISQILQMGQKLVARTSLTNWAEGKLYLTVLADGMYAFAGIDTPLAYYRSPTRGTAATNRSAYVALKNGSLDVFTSFQETEVPDYHIKFPVDPFGLVFARLDWDGHMRLYQWGIDSWVNSDIFNITDPCDYPLACGEYGICSHGQCSCPDVAIGQSGLFELVDAREVNRGCSLKSSLSCGSAGKTRLLAVPNITYFNFVYNWTTNEDHCKLSCMDDCSCRASFFQYEDTSSGFCFLASDIFSMISVSTENYSSNFSSLAFVKIQESAHKPLSYKDKRAIVLVAGSLSFVTSVIVVVLIVLRKRRAEPLEDEYNIEQLSGLPTRFSLVDLKLATGDFSRKIGAGGFGSVFEGQIGDNHVAVKRLDGVSQGKREFLTEVQTIGSINHRHLVRLIGFCAEKTHRLLVYEYMPNGSLDKWIFQNHQAAPLDLETRLKIISDIAKGLAYLHNDCRQTIAHLDIKPQNILLDEMFTAKISDFGLARLIDHGQSSVMTKLRGTLGYLAPEWLTSVITEKVDVYSFGVVIMEILCGRRNLDYSQPEESRHLVNILLEKAKNNQLMDLINPCFIDTELHVDDVLRMMNLAMWCLQDNNRRPSMSMVVKILESTMDVETELDFDLVVIDPMVLANRAAQRNTPGTVWHNNPSLLHNKYPDDNFLMRIILPQFTGIPSVDMPLDSLPSFACGFFCAGPATTCNAFTFSVFFVNAFSTGGDVHLQSPEVVWFANRDHPVGENATVEFTELGDLVLYDADGTLVWSTNTTDKSVILQMGQKLMARTSLTNWAEGKLYLTVLADGMYAFAGIDTPLAYYRSPTGGTVATNRSAYVVLKNGTLDVFTSFRETEAPDHHIKLPVDPFGQVFARLDWDGHMRLYQWGNSAWVSSDIFHITDPCAYPLACGEFGICSNGQCSCPDVAVGQSGLFELVDAREANRGCFLTSSFSCGSTRKTRFLAVPNVTHFNFVYNWTTNEDHCKLSCMDDCSCRASFFQHKDTSSGFCFLASDDSTHKSLLSKEKRAIVLVAGSLSFVTSVIVAVLIVLRRKRDEPLEDEYFIDQLPGLPTRFSFVDLKSATGDFSRKIGAGGFGSVFEGQIGDKHVAVKRLDSIGQGKREFLAEVQTIGSINHIHLVRLIGFCVEKTHRLLVYEYMPNGSLDKWIFQNHQADPLDWKTRLKIISDVAKGLAYLHSDCRQTIAHLDIKPENILLDEVFTAKISDFGLAKLIDREQSSVMTRLRGTLGYLAPEWLTSVITEKVDVYSFGVVIMEILCSRRNLDYSQPEESCHLISMLQEKAKNNQLMDLIDPCFFDMELHMDDVLRMMNLAMWCLQVDNNRRPSMSMVVKILEGTMDVETELDFDLVNIDPMVVAHRAAQRNSITLQGQDEIQGAQIYSSKISSSRLKTRTPVFLYYSI >ONIVA06G21480.4 pep chromosome:AWHD00000000:6:20168241:20180331:1 gene:ONIVA06G21480 transcript:ONIVA06G21480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNICNSKLYGIDTPLAYYRSPTGGTVATNRSAYVVLKNGTLDVFTSFRETEAPDHHIKLPVDPFGQVFARLDWDGHMRLYQWGNSAWTRFLAVPNVTHFNFVYNWTTNEDHCKLSCMDDCSCRASFFQHKDTSSGFCFLASDDSTHKSLLSKEKRAIVLVAGSLSFVTSVIVAVLIVLRRKRDEPLEDEYFIDQLPGLPTRFSFVDLKSATGDFSRKIGAGGFGSVFEGQIGDKHVAVKRLDSIGQGKREFLAEVQTIGSINHIHLVRLIGFCVEKTHRLLVYEYMPNGSLDKWIFQNHQADPLDWKTRLKIISDVAKGLAYLHSDCRQTIAHLDIKPENILLDEVFTAKISDFGLAKLIDREQSSVMTRLRGTLGYLAPEWLTSVITEKVDVYSFGVVIMEILCSRRNLDYSQPEESCHLISMLQEKAKNNQLMDLIDPCFFDMELHMDDVLRMMNLAMWCLQVDNNRRPSMSMVVKILEGTMDVETELDFDLVNIDPMVVAHRAAQRNSITLQGQDEIQGAQIYSSKISSSRLKTRTPVFLYYSI >ONIVA06G21480.5 pep chromosome:AWHD00000000:6:20162483:20180331:1 gene:ONIVA06G21480 transcript:ONIVA06G21480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAAYAFGVPAPGQGFGFVWWWLSSPGRTAHHLFDEMRGLANPTIRPFALNVEPGTVWHNNPSLLHNKYPDDNFLMRIIIPRVTTEIPSINSPLDSLPSFACGFFCAGPATNCNAYTFSIFFVNAFSTGGDVHLESPEVVWFANRDRPVGENATVEFTELGDLVLYDADGTLVWSTNTTDKSVVSMKLTWSGNLVLLDHADVEVWRSFDHPTDTLVISQILQMGQKLVARTSLTNWAEGKLYLTVLADGMYAFAGIDTPLAYYRSPTRGTAATNRSAYVALKNGSLDVFTSFQETEVPDYHIKFPVDPFGLVFARLDWDGHMRLYQWGIDSWVNSDIFNITDPCDYPLACGEYGICSHGQCSCPDVAIGQSGLFELVDAREVNRGCSLKSSLSCGSAGKTRLLAVPNITYFNFVYNWTTNEDHCKLSCMDDCSCRASFFQYEDTSSGFCFLASDIFSMISVSTENYSSNFSSLAFVKIQESAHKPLSYKDKRAIVLVAGSLSFVTSVIVVVLIVLRKRRAEPLEDEYNIEQLSGLPTRFSLVDLKLATGDFSRKIGAGGFGSVFEGQIGDNHVAVKRLDGVSQGKREFLTEVQTIGSINHRHLVRLIGFCAEKTHRLLVYEYMPNGSLDKWIFQNHQAAPLDLETRLKIISDIAKGLAYLHNDCRQTIAHLDIKPQNILLDEMFTAKISDFGLARLIDHGQSSVMTKLRGTLGYLAPEWLTSVITEKVDVYSFGVVIMEILCGRRNLDYSQPEESRHLVNILLEKAKNNQLMDLINPCFIDTELHVDDVLRMMNLAMWCLQDNNRRPSMSMVVKILESTMDVETELDFDLVVIDPMVLANRAAQRNTPGTVWHNNPSLLHNKYPDDNFLMRIILPQFTGIPSVDMPLDSLPSFACGFFCAGPATTCNAFTFSVFFVNAFSTGGDVHLQSPEVVWFANRDHPVGENATVEFTELGDLVLYDADGTLVWSTNTTDKSVVSMRLTGSGNLVLLDRADVEVWRSFDHPTDSLVISQILQMGQKLMARTSLTNWAEGKLYLTVLADGMYAFAGIDTPLAYYRSPTGGTVATNRSAYVVLKNGTLDVFTSFRETEAPDHHIKLPVDPFGQVFARLDWDGHMRLYQWGNSAWVSSDIFHITDPCAYPLACGEFGICSNGQCSCPDVAVGQSGLFELVDAREANRGCFLTSSFSCGSTRKTRFLAVPNVTHFNFVYNWTTNEDHCKLSCMDDCSCRASFFQHKDTSSGFCFLASDDSTHKSLLSKEKRAIVLVAGSLSFVTSVIVAVLIVLRRKRDEPLEDEYFIDQLPGLPTRFSFVDLKSATGDFSRKIGAGGFGSVFEGQIGDKHVAVKRLDSIGQGKREFLAEVQTIGSINHIHLVRLIGFCVEKTHRLLVYEYMPNGSLDKWIFQNHQADPLDWKTRLKIISDVAKGLAYLHSDCRQTIAHLDIKPENILLDEVFTAKISDFGLAKLIDREQSSVMTRLRGTLGYLAPEWLTSVITEKVDVYSFGVVIMEILCSRRNLDYSQPEESCHLISMLQEKAKNNQLMDLIDPCFFDMELHMDDVLRMMNLAMWCLQVDNNRRPSMSMVVKILEGTMDVETELDFDLVNIDPMVVAHRAAQRNSITLQGQDEIQGAQIYSSKISSSRLKTRTPVFLYYSI >ONIVA06G21480.6 pep chromosome:AWHD00000000:6:20162483:20168087:1 gene:ONIVA06G21480 transcript:ONIVA06G21480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAAAYAFGVPAPGQGFGFVWWWLSSPGRTAHHLFDEMRGLANPTIRPFALNVEPGTVWHNNPSLLHNKYPDDNFLMRIIIPRVTTEIPSINSPLDSLPSFACGFFCAGPATNCNAYTFSIFFVNAFSTGGDVHLESPEVVWFANRDRPVGENATVEFTELGDLVLYDADGTLVWSTNTTDKSVVSMKLTWSGNLVLLDHADVEVWRSFDHPTDTLVISQILQMGQKLVARTSLTNWAEGKLYLTVLADGMYAFAGIDTPLAYYRSPTRGTAATNRSAYVALKNGSLDVFTSFQETEVPDYHIKFPVDPFGLVFARLDWDGHMRLYQWGIDSWVNSDIFNITDPCDYPLACGEYGICSHGQCSCPDVAIGQSGLFELVDAREVNRGCSLKSSLSCGSAGKTRLLAVPNITYFNFVYNWTTNEDHCKLSCMDDCSCRASFFQYEDTSSGFCFLASDIFSMISVSTENYSSNFSSLAFVKIQESAHKPLSYKDKRAIVLVAGSLSFVTSVIVVVLIVLRKRRAEPLEDEYNIEQLSGLPTRFSLVDLKLATGDFSRKIGAGGFGSVFEGQIGDNHVAVKRLDGVSQGKREFLTEVQTIGSINHRHLVRLIGFCAEKTHRLLVYEYMPNGSLDKWIFQNHQAAPLDLETRLKIISDIAKGLAYLHNDCRQTIAHLDIKPQNILLDEMFTAKISDFGLARLIDHGQSSVMTKLRGTLGYLAPEWLTSVITEKVDVYSFGVVIMEILCGRRNLDYSQPEESRHLVNILLEKAKNNQLMDLINPCFIDTELHVDDVLRMMNLAMWCLQDNNRRPSMSMVVKILESTMDVETELDFDLVVIDPMVLANRAAQRNTVTLQAASVLSGPR >ONIVA06G21470.1 pep chromosome:AWHD00000000:6:20160157:20161977:1 gene:ONIVA06G21470 transcript:ONIVA06G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLPEIVSFSPESDRSSSSSSAPLIGGDGELVVQLVPRDVSDGILGKFADTSEFDFDYDQSGLWSPLVLRPEVLLLAQTPAGRRRRRHHHRRRWKRKKMLCYCFW >ONIVA06G21470.2 pep chromosome:AWHD00000000:6:20160211:20161977:1 gene:ONIVA06G21470 transcript:ONIVA06G21470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARFDWLAAMASSSSLPEIVSFSPESDRSSSSSSAPLIGGDGELVVQLVPRDVSDGILGKFADTSEFDFDYDQSGLWSPLVLRPEVLLLAQTPAGRRRRRHHHRRRWKRKKMLCYCFW >ONIVA06G21470.3 pep chromosome:AWHD00000000:6:20159100:20161977:1 gene:ONIVA06G21470 transcript:ONIVA06G21470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLPEIVSFSPESDRSSSSSSAPLIGGDGELVVQLVPRDVSDGILGKFADTSEFDFDYDQSGLWSPLVLRPEVLLLAQTPAGRRRRRHHHRRRWKRKKMLCYCFW >ONIVA06G21460.1 pep chromosome:AWHD00000000:6:20150558:20155716:-1 gene:ONIVA06G21460 transcript:ONIVA06G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERELLYFMETSALESTNVENAFAEVLTQIYRIVSKRSVEAGDDAGSGPGKGEKINIKDDVSAVKKGGCCSG >ONIVA06G21450.1 pep chromosome:AWHD00000000:6:20144392:20144649:1 gene:ONIVA06G21450 transcript:ONIVA06G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGEDRGVSAIRRAEASFSRCGDAGVEVVESGKVGGSDDGVEAVTVGRRGGKAGRESDGGDAGLEAVESGKIGGGDGGVEAMAV >ONIVA06G21440.1 pep chromosome:AWHD00000000:6:20127469:20128317:-1 gene:ONIVA06G21440 transcript:ONIVA06G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPPAAAAAAAEDVLSLYDACWFRRLVLLSLSSPAAVAADVAPASPPVASQREEEEEEEEEEREVKRSPPGTLRHRRTRSDEAATAALDGLEPLRIPNGHRARLETILSGKDGLAAALPQPQPMAERRRAAVRRPGGRRRRQRRGRSMSELEFEEVKGLQDLGFTFSEDDVDAELASIVPGLRRRRSDEDDAREAPAAAAASAEEEAASSRRIGSAPAGTSSSFSSAPRRPYLSEAWDDEEEEMRRMLRNWRIPPAGDGDGADLKEHLRLWAHTVASAVR >ONIVA06G21430.1 pep chromosome:AWHD00000000:6:20123696:20124665:1 gene:ONIVA06G21430 transcript:ONIVA06G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTKLSTMECWVLLELAYPNHPLATKATLSIFKVSMLGALQRFRHPFPSDQ >ONIVA06G21420.1 pep chromosome:AWHD00000000:6:20118457:20120334:1 gene:ONIVA06G21420 transcript:ONIVA06G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSVLLLLCRRITVVPRFSLPRQPPGRRDDPQRLPQPPRPPPAWPRAPRRPRQLPQQQALEIADTGQVQPSSWSRLLHPLPPIAGGPAAFLPVAASAGLLAFLSDASGHKTLLLAHPITRILTALPITPTPRLSPTIDLVVGPTSIIAVVAGDDLVCPFAVKNISDVDTCGCCAMAAAAAAATEMPPEVHTQFAAAEGGHGFECAAHGDYIVLAPRGPVARAPTSALVFDSRRDEWWWALLCPYVVVAHHGSAGGTGGRRGGDDTTTLHNDRTCAIGGLCLSLAMPTPTVTIVFAISRQMGLMAHGTSELRGQQHHPAQLQPQPTNR >ONIVA06G21410.1 pep chromosome:AWHD00000000:6:20112326:20113507:-1 gene:ONIVA06G21410 transcript:ONIVA06G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPMWLPFGGAELRETAVVELEPHARRLPGQLAQPRTRRATPSPPPRDVDGDEFDLRPPPRRVHELEEVPGEFRAVVGDAVVREQRDDVNSTRQMTVSLMAPSFLAWRHANSTDSRSSGPPRKTAVPIFWSSWFLAAVVSSLVDILVGSWKLAPTVHGASSSTLRLSSRQWCSRAPRRTRRAPVSCRRRRRGRAAPPAIGFVASDNLTVLLCYACPSLPQVTVVVAAALDALHSMTCGLRLPMRREVRERRKGGMTWHPNMWAHVGPTLSQPPRGIKPGSKPPKTYCDRFCKLGDAGYPVLRLDI >ONIVA06G21400.1 pep chromosome:AWHD00000000:6:20109633:20112105:-1 gene:ONIVA06G21400 transcript:ONIVA06G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >ONIVA06G21390.1 pep chromosome:AWHD00000000:6:20103093:20104664:-1 gene:ONIVA06G21390 transcript:ONIVA06G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSRSIGALAAFVFLCSAASMSSSMAATDSFLQCLSASIPSQLLYTQSSPSYTSVLDAGIRNPKFLTNTTRPVWIITPTNASHVQAAVLCGRRNGVRLRIRSGGHDYEGLSYRSERPETFAVLDLVNIRAVRVDAASATAWVDSGATLGEMYYAIGKAGGRIAFPAGLCPTVGVGGHFSGGGFGMLLRKYGLAADNVVDAVLVDAKGRLLDKNSMGSDVFWALRGGAGESFGIVLSWKVKLVAVPPTVTVFNVPVTVSQGAIDVVTRWQAVAPSLPDDLFIRVLVQGQRASFQSLYLGTCDALLPVMRSRFPELGMNRSDCREMTWIQSVPYIYLGSSATVEDILNRTIAMDTSNKATSDYVRQAIGRDTWSAIFGWLARPNAGLMILDPYGGQIGSVAEAATPFPHRGGVLYNIQYMNFWSAAGGGGGGAAQRAWIRDFYAFMAPFVSKDPREAYANYRDLDLGENVVGAGGISSYDAGKVWGEKYFRGNYQRLAMAKAQIDADDYFRNEQSIPPLVAGK >ONIVA06G21380.1 pep chromosome:AWHD00000000:6:20092313:20095421:-1 gene:ONIVA06G21380 transcript:ONIVA06G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRILSTPTAQRSSAPFIAHCLPLPYLCSVQVVPGIPVSHPPPPSHRRSRLSPTSMGSLDLGAAQEDRRHSRRRTRSSSGFLLLPCRMGLLAGDILPSHPQVMYRVGIYYTFFILFIYVLCLCISQRGLIFLSLQEEERVIVVAARWLTGKAGEEEGRKETGKVDLEYGNKIHFKLFNNLVHFPAGGH >ONIVA06G21370.1 pep chromosome:AWHD00000000:6:20082676:20084262:-1 gene:ONIVA06G21370 transcript:ONIVA06G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFKTLLLFIIFCIFSSCYIPSPAIASDHDPSSKGFIHCLTKKSIPPWLIHKRSSSSYTPILKSSIRNPKFLNTTASTTPLCIVMAKKTSHIQAAVVCGRRHRVRVRARSGGHDYEGLSYRAEGRLERFAVVDLSGMRSVRVDAARGTAWVQSGATLGELYHAIWSSAPRLGFAAGVCPTVGVGGHFSGGGFGMLQRKYGLAVDHVVNATLVDARGDLLGRDAMGEDLFWAIRGGGGGSFGIVVSWHIKLVPVPPTVTVFDVVRTPERGAIDVLTKWQEIAPRLPDDIMVRVIAEPRRVTFEAMYLGTCDELLPLMHHRFPDLAMQRADCNEMTWIESIPYIHLGSNATVADILNRSSISRVNTKNRSDYVRQPIPKSIWKKIFAKLQQLTNFGEVQLFIDPYGAKISRIHESATPFPHREGVLYNIQYITYWNGDANGTLALKWSRDLYKFMEPYVSKNPREAYANYRDLDLGRNKVVNGISSYHHGKVWGEKYFRANFERLAKVKAMVDPDDYFRNEQSIPPLFE >ONIVA06G21360.1 pep chromosome:AWHD00000000:6:20078532:20080112:-1 gene:ONIVA06G21360 transcript:ONIVA06G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSLALVLAVCCCCCYAASVAPLSSADSSGEFLQCLAAGVPSQLVVTRGSSSFASVLASSVRNPRFLAPGTVRPLCVVTPTNASHVQAAVLCGRRHGVRLRVRSGGHDYEGLSYRSVRQEVFAVVDLAGLRSVRVNRRAATAWVDSGATVGEMYYAVAKADAGLAFPAGLCPTIGVGGHFSGGGIGMMMRKYGLSVDNVLDAMVVDANGRLLDKKAMGRDYFWALRGGGGESFGIVLSWKVRLVAVPRTVTVFNIQKTLAQGAVDAVTKWQTLAPAALPDELTIRVVVQNKQALFQSLYLGTCDQLLPVMGSRFPELGMTRADCREMSWLQSMVYINGGTSSTPVEVLLNRTTSLSVYTKNKSDYVKQAIPSASWEKIFPWFDGAAGAGLIILEPHGGRVGSIADGNTPYPHRSGVLYNIQYVAFWPTTTATPAVPDWIKNVHAFMEPFVTSNPRDAYVNYRDLDIGENAVAGGVTSYENGRVWGEKYFGAANFRRLALTKGKVDASDYFRNEQSIPPLVSTK >ONIVA06G21350.1 pep chromosome:AWHD00000000:6:20055335:20056921:-1 gene:ONIVA06G21350 transcript:ONIVA06G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFRNLSLVLTISFLSCHYLSVTSLASSDGFVRCLLQKIPGELVLTPSSSSFADVLVSSIRNPMFFNNATARPLCIVTPADASHVQAAVLCGRAEGVRLRARSGGHDYEGLSYRSARRGEVFAVVDLGARLRAVSVSGGGEATAWVESGASLGELYYTVAKSNPGLAFPAGVCPTIGVGGHLSGGGISMMSRKYGLAADNILDAKLVNANGELVDRAAMGEDLFWAIRGGGGESFGIVVSWKVRLVQVPTTVTVFVVGRNVDQGAADVVARWQDVAPSLPPELTIRVIVRGQRATFQSLYLGSCADLVPTMSSMFPELGMTSADCREMSWLQSAALIQFWNPSTPVEALLNRRTSLSTFTKAKSDYVRRAIPSDVWKNILPWFTMNGSGQMLLEPMGGFVGGVPAAATPYPHRSGVLYNIQYIAYWSGDGTAANRWISGLYAFMEPYVSSDPREAYVNFRDLDIGENAVAPNDVSTFESGKVWGEKYFAGNFERLAAVKAAMDPTDYFRNEQSIPPFVGPKQVRKA >ONIVA06G21340.1 pep chromosome:AWHD00000000:6:20054298:20054795:-1 gene:ONIVA06G21340 transcript:ONIVA06G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVEVSELLSAHHVAMYGVVRVKELQRLLAHLTKNTSSAKPIDLSECFLNLANDVLCRVAFGRRFPRNEGDKLSAVLANAQDLLPGSPSATSSLSSSPSPAPSPDSATASRSASPTSARPATSSWTCTSAATASASPATAKRTSSTSSSVSRQRQVKRDRKDF >ONIVA06G21330.1 pep chromosome:AWHD00000000:6:20029414:20031009:-1 gene:ONIVA06G21330 transcript:ONIVA06G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSMTTTSRALALVLLSSCCLLVAVDAAYAKKPNLSKNDFLSCLAAGIPARQLYAKGSPSYGSVLTSTIRNLRYLSSKTCNPLYIVTPTDVKHIQVAVSCGRRHNVRIRVRSGGHDYEGLSYRSEIPEPFAIVDLVNMRNVTVDGKARTAWVESGAQIGELYYGISKASPTLAFPAGVCPTIGVGGHFSGGGFGMLLRKFGLASDNVLDVKVVDANGKVQDRKSMGEDYLWAVRGGGGSSFGIVVSWKLRLLPVPATVTVIQMPKMVNEGAVDLLTKWQSLAPTFPEDLMIRVMAQAQKAVFEGLYLGTCDALLPLVTSRFPELGVNRSHCNEMSWVQSIAFIHLGKNATVKDILNRTSSIRAFGKYKSDYVTQPLSKATWDTIYKDWFSKPGSGIMIMDPYGATISKPGEADTPFPHRKGMLYNIQYITFWFGEGAPAEAPIKWIRDFYAFMEPYVTKNPRQAYVNYRDLDLGVNAVEAGANVSCYQVGKVWGEKYFKGNFERLARTKAKVDPTDFFRNEQSIPPLLA >ONIVA06G21320.1 pep chromosome:AWHD00000000:6:20002451:20012793:-1 gene:ONIVA06G21320 transcript:ONIVA06G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPMSFAFTLLAACISFLHHAPAAAAATPANQTAGFLDCLAASLPAGVVYTHASRSYQSVLESSIKNLLFDTPATPTPVAVVEATDASHVQAAVRCGVGHGVSVRSRSGGHDYEGLSYRSLDAARAFAVVDMAGGALRAVRVDVRGRAAWVGSGATLGEVYYAIANKTSRLGFPGSVGPTVGVGGFLSGGGFGLMLRKHGLASDHVLDATMVDAKGRLLDRAAMGEDLFWAIRGGGGGNFGIVLSWKLRLVPVPATVTVFTVHRSRNQSATDLLAKWQRVAPSLPSDAFLRVVVQNQNAQFESLYLGTSAGLVAAMADAFPELNVTASDCIEMTWVQSVLYFAFYGTGKPPEMLLDRGTGRPDRYFKAKSDYVQEPMPSQVWETTWSWLLKDGAGLLILDPYGGEMARVAPAATPFPHRQALYNIQYYGFWSESGEAAAAKHMGWIRGVYGEMEPYVSKNPRGAYVNYRDLDLGVNDDGGGEARARYEKATVWGRAYFKANFERLAAVKAKVDPDNYFKNEQSIPPLPTSRRLVLILCTLTISCSSGIAGFAAGDDDAFIRCLAAAAVPPRLVHTPGSASYAPTLVSSIRNLRFVTPGTPRPLAIVAAAEAGHAQAAVRCGRRHGVRVRARSGGHDYEGLSYLSLDRRERFAVLDLAALRDVRVDADRAEAWVGSGATLGELYYAVGAASRTLAFPAGVCPTVGVGGHISGGGFGTLMRRYGLAADNVLDAVLVDADGRLLNRTTMGEGLFWAIRGGGGESFGVVLSWKLRLVRVPETVTVFTIRRPRNQSATDLITKWQEISPSLPRDVILRVVVQSQHAQFESLFLGRCRRLARLMRARFPELGMTQSDCQEITWIQSTVYFAFYSSSKPLELLLDRGTEPDRYFKAKSDYVQEPIPRHAWESTWPWLEEHDAGLLILDPYGGEMARVSPAATPFPHRKGNLYNLQYYSFWFEHGAETLERHLSWVRGLYGEMEPYVSKNPRTGYVNYRDMDLGRNEIEGNVTSYTKGKVWGEKYFRGNFERLAAVKAMVDPDDFFRNEQSIPPLPAAKGWSSI >ONIVA06G21310.1 pep chromosome:AWHD00000000:6:19994049:19998154:-1 gene:ONIVA06G21310 transcript:ONIVA06G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HS68] MAMSRAAAAAAGTPVARRRLMGAVAARSMASWFGHVEPAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDSGKPVVLECVREAERRIAGSMNMEYLPMGGSIKMIEESLKLAYGENCEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWANHHNIWRDAQVPQKTFTYYHPESRGLDFAGLMDDIKNAPDGSFFLLHACAHNPTGVDPSEEQWREISHQFKVKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCDDEMQAVAVKSQLQQIARPMYSNPPVHGALIVSTILGDPELKSLWLKEVKGMADRIIGMRTALKENLEKLGSPMSWEHITNQIGMFCYSGMTPEQVDRLTKEFHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKTK >ONIVA06G21300.1 pep chromosome:AWHD00000000:6:19984018:19991309:1 gene:ONIVA06G21300 transcript:ONIVA06G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPGGPDLAGAGGAVAVAVDAMQVDDPPRASAEEKHGPTIMGGNDPVTGHIISTTIGGKNDEPKRTISYMAERVVGTGSFGVVFQAKCLETGKTVAIKKVLQDKRYKNRELQIMRSMDHCNVISLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYKDMKQRMPLIYVKLYMYQIFRGLAYIHTVPGVCHRDIKPQNILVDPLTHQVKVCDFGSAKMLIKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKACPWHKIFHKRMPPEAIDLVSRLLQYSPNLRCTALEACAHSFFDELREPHAKLPNGRPFPPLFNFKQELANTHPELVSRLLPEHAQRHSGF >ONIVA06G21290.1 pep chromosome:AWHD00000000:6:19979401:19979970:-1 gene:ONIVA06G21290 transcript:ONIVA06G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPCILNPHCKANPTTRTCPPMGRRAEVGERDGVCTRRGSALLASRSLSPDPPPLHRPSPPAYCHRRQIRTRGSRLCRILGGGVARRSRSPAAAGAEEGEEVGAGGEGEGGGAGAGGVACGGTSSTRSRGASSCVEDKGEY >ONIVA06G21270.1 pep chromosome:AWHD00000000:6:19965491:19965817:-1 gene:ONIVA06G21270 transcript:ONIVA06G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNALGERRLWAWERPGPAGSCSAGSGAAVGDGVGLEERPGSGRRAVASGSADCGGHTRLHRPRIGRIRNHRALDGRTRMYQPRNGRRRMADYLGSSGRSARRWRAA >ONIVA06G21240.1 pep chromosome:AWHD00000000:6:19936851:19944300:1 gene:ONIVA06G21240 transcript:ONIVA06G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1p/L10e family [Source:Projected from Arabidopsis thaliana (AT2G42710) TAIR;Acc:AT2G42710] MAHLRLLLSHSSRHHPQPHRLISLLRFSSNTGSGSGSGPTPPPIKPVSYAPKPQPAPEEAPAAAEEAAPSDDPGSRPPPPPRRSQGPPQRQQWTREEMRFVKDAGPSITPVSYPARVAPLPEDRPAEEAPPEGPADEGLRGEGERIEMDATRARRSFFGMQAEEEQAPYPTLIPVEKRPQKVAIDLVDAIREIKTSANEKKRNFTETVEAHVMLGVDPRRGDQMVRGALTLPHGTGKTVRVAVFAEGPAADEARAAGADVVGGDELIEEIRTGGGKLSFDKCIATPMYMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKINFSDENLRENIGAFVHALLLAKPVGLKKTSKYVGYVKKFTLSSTMGPGFPVTIPSLSAAADHYNNSKVQAS >ONIVA06G21230.1 pep chromosome:AWHD00000000:6:19925218:19928753:-1 gene:ONIVA06G21230 transcript:ONIVA06G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G29200) TAIR;Acc:AT1G29200] MEGSCGGGGGGGAGQMAAWQGRVGATNIGRLRHGKTSSSSSSSAAVAARGGGVTAWHLRVFAGVVGVMGCLLLVASLMMSAVHQVQFRNAAISRSFRGLQELKQNSVRTEEAEQIMHPRLLQMATSVVTKLLLMSNFPSLPVQNESDSDSVKFSLWEEPYKQARKWKPCAAKHSLPDEVPEENNNGFILISANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFVNYMKSDVHIVKDLPPHLQSLDLEAIGSQITDMDISKEAAPSEFIKAVLPILQQNGVVHFLGFGNRLGFDSVPVHLQRLRCRCNFHALKFVPEIQRAGSLLVQRLRRAGAMRTEMDKQLFGSNMLDVPALLAGGEPSRYLALHMRFEEDMVAYSLCDFGGGDAEREELQAYRETHFPTLAMRLRNTSVSPEEQRSLGRCPLTPEEAGLVLTALGYDRGTFIYVAGSQIYGGAARLRPLTRLFPNLVTKEDVLSSAELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGYRVYHGRGRAPTLHPNRKRYAQILGEEEGIGWGGFERRVRNMVEEYKRVSARPRGRSVYRQPRTPGCMCRAAGDGSVDF >ONIVA06G21220.1 pep chromosome:AWHD00000000:6:19911023:19912368:-1 gene:ONIVA06G21220 transcript:ONIVA06G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRALFLLAMVCVAAHAKDYPKEEGAKAEGPAAASGGGGGGSTHDVVKLGGKGDGKTDSTKAVNEAWTAACAGTGKQTIVVPKGDFLTGPLNFTGPCKGDIVIQLDGNLLGSTDLALFKSNWIEIMRLESLEISGKGKLDGQGAAVWSKNSCAKKYDCKILPNTLVLDFVNNGLISGISLVNPKFFHMNVFKSKNITIKDVTITAPGDSPNTDGIHMGDSSKISIIDTVIGTGDDCISIGPGTEGVNISGVTCGPGHGISVGSLGRYKDEKDVTDVTVKNCVLKKSTNGVRIKSYEDAASVLTASKFTYENIKMEDVANPIIIDMKYCPNKICTANGNSKVTIKDITFKNITGTSSTPEAVSLLCSDKLPCTGVTLNDIKVEYSGTNNKTMAVCKNAKGSATGCLKELSCF >ONIVA06G21210.1 pep chromosome:AWHD00000000:6:19900806:19910682:-1 gene:ONIVA06G21210 transcript:ONIVA06G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVASPAACAGTGKQTIVFPKGDFVTGPLNFTGPCNGDIVIQLDGNLLGSTDLALFKVNWMEIKRVDNLEISGKGKIDGQGAAVWSKNTCAKKYDCKILPNSLVLDFVNNGLVSGISLVNPKFFHMNMFKCKNITIKDLTITAPEDSPNTDDIHMGDSSKISIIDTVIGTGDDCISIGPGTEGVNISSVTCGPGYGISVGSLGRYKDEKDVTDVTVKNCVLKKSTNGVRIKSYEDAASVLTASKFTYENIKMEDVANPIIIDTKYCPNKICTANGNSKVTIKDITFKNITGTSSTPEAVSLFCSDKLPCTGVTLNDINVEYAGKNNKTMAVCKNAKGTATGCLKELSCF >ONIVA06G21200.1 pep chromosome:AWHD00000000:6:19827106:19828325:1 gene:ONIVA06G21200 transcript:ONIVA06G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERWPTTQHLKPARRCSPGPKATTGGCSTSDICTSCSMWLAVEDRVESADDGGGIHASKFVTFEGGIHASKFVTFQ >ONIVA06G21190.1 pep chromosome:AWHD00000000:6:19819990:19823081:1 gene:ONIVA06G21190 transcript:ONIVA06G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSFSPLFDASGSLTLASPMPLHSHLLLLLLALSTSVVAGSVSSSPSACAGGGGGGGDAAIVAAAFRGVRNFQLPPCGAVRELRLPSRNLTGAVAWAALANLSGLAVLDLSGNALQGAIPGGFWRAPSLRHVDVSGNQLGGALRVVEASPRLESLNVSGNRFTGVAGAEALAGLRVLDVSANRIRAVPQGLRRLARVSRLDLSRNAMQGRFPGDLPPLAGLRFLNVSYNNFSGVVDGGAVKKFGHSAFVHSGNTSLVFSENSTARRPPPPPSPPPSHPHRSGGKNDTATPARRTRTRSRRKHLSVVTVAVVCGVVSVAMLLCLVGCVACGVLRCRKNRGKEAEEEKRKAHWGGKDEEEVVAVAAAAAKGGSAAPVVLFERPLMELTLADLAEATSGFGRESQLAERGGRSGAAYRAVLPGDMHVVVRVVDGAMAGVGEDEDPATAATAFRDLARLRHPNILPLLGYCIAGKEKLLLYEYMEKGDLHRWLHELPAGRPDMEDDTGGDIWEVAEDKRSISDWPTRHRIALGIARGLAFLHQGWAGSGRPVVHGHLVPTNILLGEDLEPRISDFGHPSDTTPEGDVYSFGALVLELITGQAGWDEASVSWARGIIRDQKGLDIVDPRVRDEAGGGPETSTVEREMVECLRVGYLCTAQSPEKRPTMQQVVGVLKDIRVAPASSSST >ONIVA06G21180.1 pep chromosome:AWHD00000000:6:19808891:19812303:-1 gene:ONIVA06G21180 transcript:ONIVA06G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEELEFVGSRATGDVLMHYVTRSNSQNAIWEDAYQVITQDPEDCLTLIHRSYIYNVNMAIESAKVLHRQIDSIMVTTDGLKPSTLTGSAPGQRLAVTDDFESPVTGEGLSEVRATSNGPQLKPFTRIRIINHSSNNWNNMNITRQNSWVKEDLLAMDLSSLCVTGGKPTHVDAGGDDLGAEETKKRRSTYVDGGSGSSVKKGEGGGDRQPWSLGVLDPVVPNHLETGSGAHHLEAITGDHHRSSGMKKRSPELRTKKIRRRSPASGRGGRGGAMARGRPTAGGEAAEARRRQRSPPPVGRPPLTPPAAPPPPPTQAGRQRHRRWSQRWRPPL >ONIVA06G21170.1 pep chromosome:AWHD00000000:6:19780538:19780994:-1 gene:ONIVA06G21170 transcript:ONIVA06G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEEAACKLKLQDLGQCMHPSTKVSKDSDKMGLLMLNLLGLAALDHHEQYRKGNADNNNAGAGTRLSSARWDLARHGRRSKQSLRFGSMSIAWAAVCTSGTEANAAGKTSGPVSIAARTAST >ONIVA06G21160.1 pep chromosome:AWHD00000000:6:19773599:19775131:-1 gene:ONIVA06G21160 transcript:ONIVA06G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HS56] MGTGTGTKPPAMTTERYEFGPLVGEGNFAKVYLGRHRATGEEVAIKVMDKEKLVRLGATELIKREIAVMQRLRHPNVVRIHEVMANKRRICVVMEYVRGGALYRYFRRGPSGGAAGLREHEARRFFQQLVSAVAYCHSRGVFHRDIKLDNLLVDEQGNLKVADFGLSALADMERREAHLQTVCGTPLFLAPEVFKRRGYDGAKADVWACGVVLYVLLTGRKPFPDEHVSRLYRLIGQNQFQCPPSFSPDLARLVRRLLQPDPDRRITIPEIMEMRWFKRGFKEVTYYIDSNDRLRSLDGLDGEPELYDSDTDTIESSSSSESPTPVAGTPRGMHTSVSAPALSELDRMEDSASLPLTLPLPPRPRMPRPKSLNAFDIIASSPSFDLSGLFEERGERMRFVSGAPVADIIAKLQEIAGMVSFTARTKDCQVSIEATRNGQKGALAISAKVFELTRELVMVQVCKKAGDTAEYRRFCDNELKAGLRGLVVDALPPPVEGGGHGGAAAAAEAE >ONIVA06G21150.1 pep chromosome:AWHD00000000:6:19742439:19744732:-1 gene:ONIVA06G21150 transcript:ONIVA06G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKEKEVVEIFEHDHDNKIRSPENSVPPAMVLDLNEVFGEGSEEGGVGEDGDEEDDDEDDDDDDDDDGGSTSEVAGGGRSSSNNSSTNHNSGSDKDHDMNSSSSKADGGGDRVPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHESGHERGAISSVFSPMEFHMRRGDHRFHHHDMFFQRAAAAGVSPFSSRMLHENGGGFFASRNPGLPESSRLYGLFQRRQQAPMQKFDFKNCDSFSRNQEWAFNQQHAAARAAGAVNGHGPAKGLIHEMIFRKEGKPTSHLFDVRDAIASTRVTSATTAAAADHRLDGAGKAGNFDWIGSSSSRPLTRTMSAAAAATMGDHHHLRWRGGAAGSGNTTASSDPVVASEAALGSLLERAKTKATEAMRLETPVKMTVAAVAAEEINGRTPDLQLSLSPNAGDHRSAGKKRKFLSEQEVDSDKQQLPLTLSLSLRGGGDNGGGGGGEAAGRLEEEMTGSSSSKKAALGLSTLDLTMSIKALE >ONIVA06G21140.1 pep chromosome:AWHD00000000:6:19711687:19711995:-1 gene:ONIVA06G21140 transcript:ONIVA06G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSVTDWSGLPDDILSLVFDLLECTDLLRSAAVCSAWRKVFSDARNLNVCPRRQTPCLLYCTEAAGASAVGMYSLLERREYTIPLPDPPISNRTWIGSSHG >ONIVA06G21130.1 pep chromosome:AWHD00000000:6:19710216:19711602:-1 gene:ONIVA06G21130 transcript:ONIVA06G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIKPIFNQDGVLDKYEMSYYNGKIPRVEETPYEFDLPEYREVYYKAMLSSNPSSGDCIVMLIHQPYSQLSFARVGEDHWNWIPIGLFYTDCIYHKGWFYTVSVLGAVDAFNLNGPSVVHKRILKDMLTLGYEQMYIVQSPWGDILIVNRMTIIPRNGNPEIEETELYTSDIVVYKADIGEQKLVKLTGIGDYALFIGHNTSSCLPVKDCHMLMPNHVYITDDEYLWLLEFRHKRRDVGIYSLENNSLSNVVSPEPWKSWLPPIWMMPNLMKTGFQDQNNGGD >ONIVA06G21120.1 pep chromosome:AWHD00000000:6:19706708:19707881:1 gene:ONIVA06G21120 transcript:ONIVA06G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLLEILRLLECPDVMRCAAVCTAWRAAYRDLRRRGIAASRQTPCLIYRSAAAGLNAIGMYSLSDQRPYTIPIPDPISEQHWFGSSNGWLITADCWSDIILLNPITGRRIALPPATTMQHVTLVLNEEGFLTNPSSDDDCIAMLIHQPYDQLSFAKVGGNSWNWLAVDYTFVDCIYHDGWFYAVTSMGVIHAFNLHGPSVVHKTIFPRIQDNNMHQEYIVQAPWGGLLRIYRTVDILAKEQRHNQVVRTLGFRVYRVSLDEQKLVRMTGIGEHALFVGHNASVCLSVKDHPTLMPNHVYFTDDDFETVFSFKSSRRDVGVCNIENNTVTKVVYPELWIYSLPPIWFTPSLIG >ONIVA06G21110.1 pep chromosome:AWHD00000000:6:19700466:19700933:1 gene:ONIVA06G21110 transcript:ONIVA06G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSPSLDVDGSGAESIFGSGGIGGFAAIVLEPPSACSSLSPPPHSPSTYQHVHAVAATSPPISETCPPRSRRGDDVEAGIDDATLEAFTTVVYSCDSIAAAMQTCCLVCLENYGDADVLCVLPNSGNLFQLTPLVKVTPLSLGIALS >ONIVA06G21100.1 pep chromosome:AWHD00000000:6:19692467:19693234:-1 gene:ONIVA06G21100 transcript:ONIVA06G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29100) TAIR;Acc:AT1G29100] MTIVEMQMNIDCDGCEDNVRKALQRLQGVDYVDVDRVRGKVTVTGSASQKKVLRAARRSGRIAVLWPSAYDTDHRHHHQAYYAQPAYHHHHHYQQIIKPAAAAAVAVAVAARAPHHHHQHYSSVQHGRMSGGGKAVSSYNYHVHGYFDSDLHGYSGGHHHGDVVPAAARSYFSDENPHACAVM >ONIVA06G21090.1 pep chromosome:AWHD00000000:6:19688061:19691580:1 gene:ONIVA06G21090 transcript:ONIVA06G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRHSTLHPTLLLRRHYPNFCALAPLRPTRQLPSLPQQQLQQKQHVGHDPSPSEPTTAAASPATAAAAGALRVGIVGFGNFGQFIAGGIQRQGHAVLATSRSDYSGYCARHGIRFFATADELCEAGPDVLLVCSSILSTEAVVRAIPFRKLRPGTLVADVLSVKQFPRNLLLEILPPGFGIVCTHPMFGPESGKHGWSGLPFVYDKVRVAKEGDQAAKCEQFLSIFEREGCRMVEMLCEEHDRYAAGSQFITHTIGRILSQLNLESTPINTKGYETLLQLTKNTISDSFDLYYGLFMYNIDNLDRAFEKVKQMLYGRLHNVLRKQIVERVPIP >ONIVA06G21070.1 pep chromosome:AWHD00000000:6:19674683:19683157:1 gene:ONIVA06G21070 transcript:ONIVA06G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAEAPIRADAVPAAAAAAAAAAPDAAPGEGADAAAAVEAEAAGKDGGEEVREYKSDARKLEELFKKLNPSAEEFVPLARRRGGGDGARRLSADAPVFVSPAIDFYSQHPVQQPPPIQVLPVVVGGGGGAGLDSSSDGSTNGQPNRRRRNSFNQGRRRMGGRPRRTDREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVLRFAFIEFADDVGARAALTLGGTVLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKNVPEDAVKSFFEGMCGEVARLRLLGDYVHSTCIAFVEFVQADSAILALSCSGMVLGALPGEPVKDTGPAPFAPCDVALKPGSLI >ONIVA06G21070.2 pep chromosome:AWHD00000000:6:19674683:19683991:1 gene:ONIVA06G21070 transcript:ONIVA06G21070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAEAPIRADAVPAAAAAAAAAAPDAAPGEGADAAAAVEAEAAGKDGGEEVREYKSDARKLEELFKKLNPSAEEFVPLARRRGGGDGARRLSADAPVFVSPAIDFYSQHPVQQPPPIQVLPVVVGGGGGAGLDSSSDGSTNGQPNRRRRNSFNQGRRRMGGRPRRTDREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVLRFAFIEFADDVGARAALTLGGTVLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKNVPEDAVKSFFEGMCGEVARLRLLGDYVHSTCIAFVEFVQADSAILALSCSGMVLGALPGEPVKDTGPAPFAPCDVALKPGSLI >ONIVA06G21070.3 pep chromosome:AWHD00000000:6:19674683:19683157:1 gene:ONIVA06G21070 transcript:ONIVA06G21070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAEAPIRADAVPAAAAAAAAAAPDAAPGEGADAAAAVEAEAAGKDGGEEVREYKSDARKLEELFKKLNPSAEEFVPLARRRGGGDGARRLSADAPVFVSPAIDFYSQHPVQQPPPIQVLPVVVGGGGGAGLDSSSDGSTNGQPNRRRRNSFNQGRRRMGGRPRRTDREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVLRFAFIEFADDVGARAALTLGGTVLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKNVARLRLLGDYVHSTCIAFVEFVQADSAILALSCSGMVLGALPGEPVKDTGPAPFAPCDVALKPGSLI >ONIVA06G21060.1 pep chromosome:AWHD00000000:6:19666114:19672432:1 gene:ONIVA06G21060 transcript:ONIVA06G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKMHEYFWPGMIRLGRRSFPNIRVFGDQGCPPGLSLSHEVGRSKTSKMDYLRCGGSHVLIGIRGPREDTVEHLIMVTMKRVMDLNGLESGHMQQKNMNREGQKREGEFTLREMTGGLCKTCLSGDIEVLSGTIYIIYPICEEPTDINPSGFAVLEHWMTNPGVHFVLKISQYILLSFLEVTVSYGQLAFSSGFFSLVYWDHDGDDILQGNHITVGQRRKKETGRKVRGIDIDQEEDSLFLLCCLM >ONIVA06G21050.1 pep chromosome:AWHD00000000:6:19661231:19661629:1 gene:ONIVA06G21050 transcript:ONIVA06G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAALLVAVLLLLLVSSLSVRAEADQVARAPALAPDVAAASWVQQGSQEAAAPGRPGMGMGKNGAARWRRTAGRRGRGGGGGGTGAWAFSAMLPRGFVPPSGSSACHNDMPAAAADAEFFVCGGGDGSP >ONIVA06G21040.1 pep chromosome:AWHD00000000:6:19649937:19652995:-1 gene:ONIVA06G21040 transcript:ONIVA06G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein 8 [Source:Projected from Arabidopsis thaliana (AT2G18370) UniProtKB/Swiss-Prot;Acc:Q9ZPW9] MGKNASRLAVAAVVVFALLLSLRQLEAADDVSVSCSDVVADVTPCLGFLQGDDDHPSGECCDGLSGLVAAAATTEDRQAACECLKSAVSGQFTAVEAAPARDLPADCGLSLPYTFSPDD >ONIVA06G21030.1 pep chromosome:AWHD00000000:6:19647452:19649248:1 gene:ONIVA06G21030 transcript:ONIVA06G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cationic amino acid transporter 8 [Source:Projected from Arabidopsis thaliana (AT1G17120) TAIR;Acc:AT1G17120] MATAAAAAAGEGGSGRRYWRWSKADFFPEPSFASWRSYGGALAATVPRLVDRVGSRSSEAAEAGTLRAVSENPLRRCLSWLDLAFLGFGSVVGSGVFVLTGQEARFDAGPAIPLAYAAAGFSALLSSFCYAELATEIPSAGGSFSYLRVELGDIAAFLAAGNILLEAVVGAAGLGRSWTSYLAALLGRDTDSLRIHVPALAEGFNLLDPIAVVVLVSTSAVAISGARLTSTLNSLASVVGIAIIAFVLAAGFSHFDAGNLAPSFFPFGAAGVFRAAGVVYWSYTGFDMVATMAEETKNPGRDVPLGLISSMSSITVVYCLMSLALVGMQRYTEIDANAAYSVAFAAAGMRWARYVVALGALKGMTSGLLVGALGQARYTTQIARTHMMPPYFALVHPTTGTPIYATVAVTLGAACVALFSSLDVLASVSSISTLFIFALVAVALLVRRYHVAGATTPGQLRTFLAFLALVVLSSIGVSAYYNSRYARRWPGYAAFGCGWAAGAAGLAACAEKQRAPRVYGAPLVPWLPAMSIATNLFLMGSLGTAAYARFGICTAAMLVYYVLFGVHATYDVAHADDAAADNLEHGKIAAAPAPTTPA >ONIVA06G21020.1 pep chromosome:AWHD00000000:6:19635478:19635867:-1 gene:ONIVA06G21020 transcript:ONIVA06G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAGNCALLARNRRRHCQPPAINIEPDTWALSAHEATTRCPCSPAQSFEWRRLNAKKNDATRSFPSLSPSSSFVSCLREVGGNSLEDERRRRHPDLPQHLGDEEGDGGWRHTEE >ONIVA06G21010.1 pep chromosome:AWHD00000000:6:19634838:19635056:-1 gene:ONIVA06G21010 transcript:ONIVA06G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLKTRKKLLPQQPQVASLQVEAVAASETLTPQPSHQRIVSQVDVYHRLMAAAAAAAVAALLGERRGQED >ONIVA06G21000.1 pep chromosome:AWHD00000000:6:19626892:19627833:1 gene:ONIVA06G21000 transcript:ONIVA06G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLGGRGQSKREPMEMSARRSSTAKSMLEKEGTVIDGEGAEREESSSVRTAASRTHIRSSSSMSMMMIGWDLCPDLVREKPPPSYRGPAIAHPSCHSLVACQCGKRWEEAEPHAAAGGRGRRALLVLPRVSVLLLLASVDTGVEEADEVDKAHTTDEENVDLTRMTEKCTTMACGMDPTNPKKNMVILCSEECLSSSSKKKLELARTSSLLEMTKNAYKVMVLTCAVSQGI >ONIVA06G20990.1 pep chromosome:AWHD00000000:6:19625868:19626362:-1 gene:ONIVA06G20990 transcript:ONIVA06G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVEEHRSGAEVHTGHELCERKARELLVELGLPDGLLPLPSLEEVGYNRAAGFVWLRQTQAGGATHTFDTIGKQVWYAGEVTAFVEKGRMHGVAGVKSKELLIWVSISEIVLSPSGTKLVFRTPAGLGRALPFTAFQLNPAPPEPEKKDAAADEADAAATN >ONIVA06G20980.1 pep chromosome:AWHD00000000:6:19622006:19622980:-1 gene:ONIVA06G20980 transcript:ONIVA06G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPKPLKLLQPHYGTLKSYHETMPESSDSKVFLLLGKSVLAFQFSNVSNTPEKHEYVQVILGEEVTFSKSL >ONIVA06G20970.1 pep chromosome:AWHD00000000:6:19615198:19617231:-1 gene:ONIVA06G20970 transcript:ONIVA06G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPAAQILRSGLPGPGPVNSPRERKRKKKNPISRLLASSPASSAGAGADLHPATASSGAAGVLLLPPHPRSSVSGYLQSVT >ONIVA06G20960.1 pep chromosome:AWHD00000000:6:19610774:19611473:1 gene:ONIVA06G20960 transcript:ONIVA06G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWRLMAVAGERWPAAAEEKALLRASPSDAGQHLNQPIATTSGCSTSVTLIEQASSTFAHRSPCGWPQRIGWIPLVMEMMDGCYCANVELISIPHRYILP >ONIVA06G20950.1 pep chromosome:AWHD00000000:6:19588430:19589053:-1 gene:ONIVA06G20950 transcript:ONIVA06G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHVLQGGGAAAVPVPDQSSYGGGGAGGHQADVVVVDGAFLMELLDDTPAAAVAAEQQPEGDDADDRLSRVMRSLEAELGGAGAAPAPTSAPPLGSSSGDGGSPASGDGGRLDQLDDVLSLSDFDGGGSSRPGSCSAPPFEYWARAELPPAMVHDMGGGWCVDGDGDGLAAAVAGYEFVREPCYYTYGYGYNESSHVEQTYSPLWE >ONIVA06G20940.1 pep chromosome:AWHD00000000:6:19579421:19582366:1 gene:ONIVA06G20940 transcript:ONIVA06G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSADPQPPLQPSAAAANPDPDMPPRKAPAAGGGKLKRPLTLKLRAAAEQRLAHLRARLRLHPLPPPPPRALAPEGSPEEAALRALGLLGFVRLGPAFSSSASEPLRPDLVAHLVAYYDPPQRRSFVRGVRVAVTRKHFADALCLPCKPSPAAAPPPPEDADPAAVAAAAMELLQAYVLPPFQGDDMCILPPEVAAAEQAVRDGSAHRVDWAGLIWGLVEKEMQDLPKRDDGLCYYGAYLQRLIWAQKPELFERTEEGERGGEVVLEVSDMDEEDGEDDTDVKSKSMEELESGDADADAKNSNLEKSEAGGADLRSNCLEELVSGDADVRGTSAEELESHVEDKVSKGLEETRAEDVDANHMDLDESEAVDEDAKGKSFGESEMGFVSVEEVSVTHEVMLPNYEEVATEGDGDTAMAAVENDAGSLAETVVMTHEEFVAVPEDDEEEADGDEENDATGLSLGIGSANDYDSTDGEEDANVENLGEGDSGNEEAEESEEDAFGQYRGEDMNWTMGDEKDHGSDFVNLQFDNLNKGDDEIRNEVSYDDGFSGKMGSLHGMTSTNLLQAMSSIPATYNVSENAPDLSSGEFLAMGADAHKNGLDLGTGSSYFFENNGKRHIGEIEEYNDPMPGHEQFDQRNPNKRMRNSNNSSIPPGSSVFNAHFAEPFQSLMSKASMFYEQKERELQDVLVEKQYLANMLQEKEQIIQSLNSARFEQENKWQAELRRFEHDLNVMAQLVTGYRRALKQNRASFDEYRKKFPCDKPRYCDVAGGGGLVLSVKELEKKRLEEVQQKLAIANEMIENFQHEWFSKLDDWARSIHFIWCRTEELIREINLLREKRKATVTNPATEEAKVTNPATEVAEVTTAATGVEVTTPATEKVEVTISATEKVEVTTPATEEAKITTAATEEVEVTTPATEEVEVTTPSTKEVEVTIAATEEAEVTTPATEEAEVSTPVTEEVEGTTPATEKVEGTTPATEE >ONIVA06G20930.1 pep chromosome:AWHD00000000:6:19576792:19578783:-1 gene:ONIVA06G20930 transcript:ONIVA06G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDIVESSCIIISAYKYHHLSLGGITTSNYIAICDTITKTLVIPGTYIVSDKTWYDGQRFALIGGYEQARWCCMLKIGNQDGELVLVQFDIGGVTIFSLMSPLRKGQIDGDVKVDAEHVGVDSHDVQDSQAGDERAA >ONIVA06G20920.1 pep chromosome:AWHD00000000:6:19569114:19575741:1 gene:ONIVA06G20920 transcript:ONIVA06G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:A0A0E0HS29] MALNAPVLVLKDSLKRESGTKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKHYHPTVICRAYTKALEDALAVLDKIAMHVDVNDRAAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKVPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLEMEEEYIKNLCAQILKFKPDLVVTEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTRAGLFEVKKIGDEFFTFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSAALKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRIMTQLQGKHANGENAWVGIDGRSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIEQEGDADNEQMIPE >ONIVA06G20920.2 pep chromosome:AWHD00000000:6:19569278:19575741:1 gene:ONIVA06G20920 transcript:ONIVA06G20920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:A0A0E0HS29] MYHNAGAAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKVPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLEMEEEYIKNLCAQILKFKPDLVVTEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTRAGLFEVKKIGDEFFTFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSAALKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRIMTQLQGKHANGENAWVGIDGRSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIEQEGDADNEQMIPE >ONIVA06G20920.3 pep chromosome:AWHD00000000:6:19569114:19572682:1 gene:ONIVA06G20920 transcript:ONIVA06G20920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:A0A0E0HS29] MALNAPVLVLKDSLKRESGTKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKHYHPTVICRAYTKALEDALAVLDKIAMHVDVNDRAAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKVPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWFC >ONIVA06G20910.1 pep chromosome:AWHD00000000:6:19555283:19558601:-1 gene:ONIVA06G20910 transcript:ONIVA06G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plasmodesmata callose-binding protein 5 [Source:Projected from Arabidopsis thaliana (AT3G58100) TAIR;Acc:AT3G58100] MPAASSPLPLPLLLLLLLAVAGAGAAGAGAGVGVSGGGQLWCVAKNNADDAALQAAVDWACGPAGGADCRAIQQGGACYDPPDLLAHASYAFNDYFLRAGGAPAAPAACDFSGAAALTALNPSHGSCVFPSSTSPKNGSFTGTTTYGPAGADLSKNMVNSIYAGESWASCLAVSCPCPG >ONIVA06G20910.2 pep chromosome:AWHD00000000:6:19555348:19558601:-1 gene:ONIVA06G20910 transcript:ONIVA06G20910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plasmodesmata callose-binding protein 5 [Source:Projected from Arabidopsis thaliana (AT3G58100) TAIR;Acc:AT3G58100] MPAASSPLPLPLLLLLLLAVAGAGAAGAGAGVGVSGGGQLWCVAKNNADDAALQAAVDWACGPAGGADCRAIQQGGACYDPPDLLAHASYAFNDYFLRAGGAPAAPAACDFSGAAALTALNPSHGSCVFPSSTSPKNGSFTGTTTYGPAGADLSKSSSRQLNFWSLLLCICLSTW >ONIVA06G20910.3 pep chromosome:AWHD00000000:6:19555904:19558601:-1 gene:ONIVA06G20910 transcript:ONIVA06G20910.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:plasmodesmata callose-binding protein 5 [Source:Projected from Arabidopsis thaliana (AT3G58100) TAIR;Acc:AT3G58100] MPAASSPLPLPLLLLLLLAVAGAGAAGAGAGVGVSGGGQLWCVAKNNADDAALQAAVDWACGPAGGADCRAIQQGGACYDPPDLLAHASYAFNDYFLRAGGAPAAPAACDFSGAAALTALNPSHGSCVFPSSTSPKNGSFTGTTTYGPAGADLSKSSSRQLNFWSLLLCICLSVTFFDAFPSDTFS >ONIVA06G20900.1 pep chromosome:AWHD00000000:6:19545568:19546546:-1 gene:ONIVA06G20900 transcript:ONIVA06G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPCLSLPAAFPPTGSGGGEGAAAVGHPAATAREKGRGRGEEMAGGRCRCQRPSPAAAAVTHHPSPLPTPVARRRWETPAAAAIARERGRGRWDRRRDRRGSEEGVRRGSEDIRERESLGGVRSGFREDKGGFHSGSGLMWFLANPTKMIFIVVI >ONIVA06G20890.1 pep chromosome:AWHD00000000:6:19518648:19520839:-1 gene:ONIVA06G20890 transcript:ONIVA06G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIQEVKRGREDELHLSFMKLP >ONIVA06G20880.1 pep chromosome:AWHD00000000:6:19509841:19511506:1 gene:ONIVA06G20880 transcript:ONIVA06G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLRGQAASSGTQNSNGLSNSSLELPSEHHFLAARTGMNGFAPTGYQQPPPPPAASASPGGWIASTAIFMSIFFSTFLLAMAVSVYCCLLCRDRVRSDRDDTGAVAERARGGGIVAPLPVEALPPAYPYVVGSSEDGGATAASGGGRECAVCLGAVREGEMVRRLPACEHVYHADCIDRWLAAHRTCPLCRRELDPGKNPPDQLPV >ONIVA06G20870.1 pep chromosome:AWHD00000000:6:19508532:19509152:-1 gene:ONIVA06G20870 transcript:ONIVA06G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFTVNTIYKAIMYRDIGRRIGFINGLCCVRKRIAI >ONIVA06G20860.1 pep chromosome:AWHD00000000:6:19503071:19503847:1 gene:ONIVA06G20860 transcript:ONIVA06G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHPSAVKKCRSTTDDADTETPSPRSRLYVFIRASLLLSVFFLAANANGRGHVLVASVDLFHSSWVRFCAAYVSPPLQLLADVCVVCFLVQSADCLVWCLGCLYIHLNRIKPKPISSPAIDRERERERAGGWERAVS >ONIVA06G20850.1 pep chromosome:AWHD00000000:6:19498836:19500115:-1 gene:ONIVA06G20850 transcript:ONIVA06G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAGVETCCGGGGQAAAASASGPPPRGLIVVSSVFLSIFLATFLTLMSLAFCCCRRWRERDAVSGYVDGEGAVVVVAAGESGGGEPFPVEALPPAYAYVVGSSDDGGATAASGVGRECAVCLGAVREGEMVRRLPACEHVYHADCIDRWLAAHRTCPLCRRELDPGKLAAGAPPAPAQQQVDPTDQLPV >ONIVA06G20840.1 pep chromosome:AWHD00000000:6:19488554:19489801:-1 gene:ONIVA06G20840 transcript:ONIVA06G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEKPNRKRRKPRQDPSPDSGPGPDSDCSPPSSPTSLRRLLDPYSKPRLLALLSDAAATAGAGADDDPTLLARIRAAADASPSHRRLFVHGLPPHADAPALAAAFSRFGPLAECDVVARRATGACKGFGFVTFQSRAAARRALREVGRGGVAVAGRAVSAQYATAGAAAAASAAGRRVYVTNVAPGASAERLRAFFAGFGELEGGPFGFDADTGSFRGCALFVYRAAEDARRALEEPYRVFEGRTLHCQLAADPARKSKPRAPPPPPPAPAAVASPLPALQPVLDAVVASGVGDLAKYAQNPAQAAALLGKNPILAAAVLNSALASTTAALKPNPAAASSINPAAVVARSSPVAAPAMKPLAPRSPAAAAAAVVPSPVKIAAGISGGAGLLGPYKPPSSPMLASSIGQRDQC >ONIVA06G20830.1 pep chromosome:AWHD00000000:6:19482648:19486871:1 gene:ONIVA06G20830 transcript:ONIVA06G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAFLSQEFEVCASRTVREEFLAAFEEEMEVRSRLEKVQAALEGATEDMDLMGRLLDELDLLQRRSQDVDLGMVEVKIQKLMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQEVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYVLAKAIWVETQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGQSGRTVLTIRNLEFGFGEEKLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKTQGGEVLLGEHNVLPNYFEQNQAEALDLEKTVLDTVAEAAEDWKIDEIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFLVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFVKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKIARKKQKMQAFQQSKQKSKSLKNAKRWK >ONIVA06G20830.2 pep chromosome:AWHD00000000:6:19482611:19486871:1 gene:ONIVA06G20830 transcript:ONIVA06G20830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPMELLSSKLLRSSLRLPPSPSLPSPSSRRLRRHAPIHCRLTSSTTTTTTQEEQSAADDLSSLLSTSTSDEPSSSKKKRSSSSSGASSIPTGVRLEGIAKSYKGVTVLRDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEDPDAGAVVKAKDNMRIAFLSQEFEVCASRTVREEFLAAFEEEMEVRSRLEKVQAALEGATEDMDLMGRLLDELDLLQRRSQDVDLGMVEVKIQKLMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQEVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYVLAKAIWVETQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGQSGRTVLTIRNLEFGFGEEKLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKTQGGEVLLGEHNVLPNYFEQNQIHVHDLYQAEALDLEKTVLDTVAEAAEDWKIDEIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFLVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFVKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKIARKKQKMQAFQQSKQKSKSLKNAKRWK >ONIVA06G20830.3 pep chromosome:AWHD00000000:6:19482611:19486871:1 gene:ONIVA06G20830 transcript:ONIVA06G20830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPMELLSSKLLRSSLRLPPSPSLPSPSSRRLRRHAPIHCRLTSSTTTTTTQEEQSAADDLSSLLSTSTSDEPSSSKKKRSSSSSGASSIPTGVRLEGIAKSYKGVTVLRDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEDPDAGAVVKAKDNMRIAFLSQEFEVCASRTVREEFLAAFEEEMEVRSRLEKVQAALEGATEDMDLMGRLLDELDLLQRRSQDVDLGMVEVKIQKLMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQEVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYVLAKAIWVETQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGQSGRTVLTIRNLEFGFGEEKLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKTQGGEVLLGEHNVLPNYFEQNQAEALDLEKTVLDTVAEAAEDWKIDEIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFLVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFVKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKIARKKQKMQAFQQSKQKSKSLKNAKRWK >ONIVA06G20820.1 pep chromosome:AWHD00000000:6:19478066:19479131:-1 gene:ONIVA06G20820 transcript:ONIVA06G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNEQQEEEQRRARVPAFGEWDEMKAAGVLPDYSLDFSKIRAVRMQRKEGGPLTTWSSTAAGGVEVGGEMERRSSSAAGVVVDGRDRRRRRHRRHSSDGGADLRRPLRHDRAAAPKERSKLGYLFCCIAG >ONIVA06G20810.1 pep chromosome:AWHD00000000:6:19475439:19476755:1 gene:ONIVA06G20810 transcript:ONIVA06G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRTSSRLAAAPPPTDEQMAELISKLQAVLPTRGGEANAKASSAEVLQEACRYIRRLHREADALSERLAELLLLQPSDLAINGADVPDLIRSLLM >ONIVA06G20800.1 pep chromosome:AWHD00000000:6:19464852:19469943:1 gene:ONIVA06G20800 transcript:ONIVA06G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G26940) TAIR;Acc:AT1G26940] MAALLRHAAAAAAAVVLLIAAAASTDGATSFYASDPNLGSARVVFQTTHGDIEFGFFPHVAPKTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVASVVGGRTAPMNDEQQEEAEKSVVGEFSTVKHVRGILSMGRHSDPNSGGSSFSILLGDAPHLDGQYAVFGRLTKGDDTLRKLEQLPTRREGIFVMPIERISILSTYYYDVDLESCEAEKSILRRRLSESASEVERWRRNLHSPSIWKERNNRVFYQQQKPWVEVAKVMAAEAELWRLVNAAVPELPLPSLQNRFKLLPDLIAEA >ONIVA06G20800.2 pep chromosome:AWHD00000000:6:19464852:19469943:1 gene:ONIVA06G20800 transcript:ONIVA06G20800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G26940) TAIR;Acc:AT1G26940] MAALLRHAAAAAAAVVLLIAAAASTDGATSFYASDPNLGSARVVFQTTHGDIEFGFFPHVAPKTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVASVVGGRTAPMNDEQQEEAEKSVVGEFSTVKHVRGILSMGRHSDPNSGGSSFSILLGDAPHLDGQYAVFGRLTKGDDTLRKLEQLPTRREGIFVMPIERISILSTYYYDVDLESCEAEKSILRRRLSESASEVERWQNRFKLLPDLIAEA >ONIVA06G20790.1 pep chromosome:AWHD00000000:6:19448284:19449752:-1 gene:ONIVA06G20790 transcript:ONIVA06G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDADQNGEANTSELIGAIGRELAITCLLHTPRSYYGMIACLNRSFCSLMRSGQLYRLRREARIVEHMIYCSCNVLEWDGFDPCRQRWFNIPSMPPIECFTLADKESLAVGTNILVFGKKVEAHVVLRYSLLSNSWTTGDMMNSPRCLFGSASFGEKAIVAGGIGDNGTLSSAELYDSEAKTWTTLPSMNRARKMCSGFFMDGKFYVIGGKADNHNEILNCGEEFDLEKGTWRLIPDMASGLNGGSGAPPLVAVVNNELYAADYAEKEVRRYDKVNNAWITLGSLPEKYTSVNGWGLAFRGCGDKLIVIGGMSAPGGGVIEICSWIPNNGQPDWKIIGSRRSGSFVYNCAVMGC >ONIVA06G20780.1 pep chromosome:AWHD00000000:6:19437396:19443392:1 gene:ONIVA06G20780 transcript:ONIVA06G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >ONIVA06G20770.1 pep chromosome:AWHD00000000:6:19434535:19435810:1 gene:ONIVA06G20770 transcript:ONIVA06G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >ONIVA06G20760.1 pep chromosome:AWHD00000000:6:19427673:19428176:1 gene:ONIVA06G20760 transcript:ONIVA06G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLAGARWVLLLLAAVTVLLCLQLSPRDNAVDGAAAAAAAAGPAQTADLPLQQPAALPREAPAEGDLRGLGASSSPVFVVAVLPAYAWRKKAAGDGDDGDGECAICLGEVRRGQVVKQLPACTHLFHARCIDNWLITSQGTCPVCRTPVDSAAAALQAVRVADQPP >ONIVA06G20750.1 pep chromosome:AWHD00000000:6:19422137:19422782:1 gene:ONIVA06G20750 transcript:ONIVA06G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPSSLLATVRARRPPSQPVACAPAAAGCGTWPPARRHHLEFGILRSSASGENGNDEEENATLSPRSTSSRLADMWVPPDYSAIQRVKDVMWDKTASETAWVVNLQRFWQLGDGLYPVLWLRDAIQPSARDEGETQPGLARSLTRPRLKPRPMMAHATGKSIWTVRARVAVSVSLTSRRI >ONIVA06G20740.1 pep chromosome:AWHD00000000:6:19418983:19420006:-1 gene:ONIVA06G20740 transcript:ONIVA06G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGWVGLEEVAPRCKRAVAQRAAWALSAEAMRLQIWGFGVAVVAGFRENGGGGRWALVRSEIGSQRDKELGAANTDALVHSQAVGTGLTDKTIPDAGGGGIGGGALPAYSGRGRSVLSPVVWEREDRERRGRRG >ONIVA06G20730.1 pep chromosome:AWHD00000000:6:19414214:19414969:1 gene:ONIVA06G20730 transcript:ONIVA06G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWLDLPFTLLTLLLATRLAYDYYGVVAATFTGSFSLQIFLFYCFARWYRHTIAARAAADADGDGGGGAVADEEAAPPVLIPLLEGRGGGGGGAGAASSLANRCFAVVFMVFVPLVIVVFERSQADVVAYALCLANILVMVVWLSPDAAADPASAAKSFLRLSDDEDEGSCSGSGHGAAEDKCCVCLAGMREEQALRDLPRCGHRFHAKCIGKWLTAHPTCPVCRATAVPPPAPLPAGGDHADDAITPV >ONIVA06G20720.1 pep chromosome:AWHD00000000:6:19410061:19411804:1 gene:ONIVA06G20720 transcript:ONIVA06G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKMKQLQKALVDIETDAEQLLLARHQEALTTLRKRARTTKTSVPSPFKVIMKEMEGTSGKLLVTEICSTCGNHNPKEDTWLISSRASRLRHQEAIQSFVKEKSFVISEKGTLGIVKSLVSLTDSSQQVTIHPPKTKYLKNKAVSDVLPQNMGLIFFLSHDL >ONIVA06G20710.1 pep chromosome:AWHD00000000:6:19401079:19404978:-1 gene:ONIVA06G20710 transcript:ONIVA06G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPLPPLSKPSPRLPRSRARHRRRLSSPPPPHPPARFPPLPGVLAAAPPSPTLALRVGVVPPLSSSVSRRVPAGGGSLALVSSPPGRRHRRLGTRRRVAGNLLKLVYHLLFEAIAYLSS >ONIVA06G20700.1 pep chromosome:AWHD00000000:6:19397897:19400953:-1 gene:ONIVA06G20700 transcript:ONIVA06G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKDEAVKAKALAEKKMREKDFAGAKRMINKAQNLSKDVDSNISQMLTVCDIHCASATKVNGEIDWYGILQVPVTADDTLIKKQYRKLALLLHPDKNNFAGAEAAFKLVGEANMTLTDRSKRSVYDMKRNASVRIGSARVPYQQSRRTAPVRPTTTPVNLHNVHQSQQHKPSNPSDSQTFWTICPTCGMRYQYYLSILKKALRCQNCLKPFVALDLNEQAVPSGANQRSAGVWKSSGAPQNFPGSQANVGQQAQNSANPVHANFGSHNAHVETKRGADGNEAGGLKNKRKFAKATGNSSKASSVAGSKKRRKAMFESSESSASDTSTDSEEEIIEDGPAASNVGPDQHPRRSSRQKQEVKYNEDSDGDDTDCHGNGDDGFVSSPSLKRLRKGGLFHGGENNETKLNADTTGPGHDGPTNGVNNYNNTEDIERGSACAEQIKRETMSGGGNSAEKEKLSHSVSNNGLESNSDDAPNEVICADSEFFDFNQLRHVNQFKANQIWACYDSQSCMPRYYARITKVKHVPKFMLNFIWLEFDPKNKAEAAWSSGDLPVSCGRFKHGVSDTAKESSMFSHAIFYEKNKTRNSYEIYPRKGEVWALFKGWDIDWSADADKHKNYEYEVVQVLSDLTSSTSIIVMPLVKIKGFVSLFIQSKEASPYVIPQDDTLRFSHCVPRHTMIGTEKEGIPEGAIELDPAALPLNFGVAFASVVPESCCSVKVQGSGAEHIGSSSGNNCHKGSVDVGESQHATCANTGFATRTTKAEINEHNARSAVEGTDDNEEPDDFAQAEVLYPESEFFEFSEIRSIHKFQPGQIWALYSDVDKFPNYYACIKTVDVKNNELQVRWLDACPQSEEERRLVREDLTVACGTFKISSFHGIQTYNGTEYLSHPVQAKPGRRNEYEIVPCQGDIWAVFKNWRTGWTAKDYKKCDYELVEIFGHTDSSIQVQLLRKVDGYRAVFMPDRREGAVKTIRKDEYPKFSHQIPCFHLTNERGGKLRGFLELDPLSVPEMFLFTESI >ONIVA06G20690.1 pep chromosome:AWHD00000000:6:19395802:19396428:-1 gene:ONIVA06G20690 transcript:ONIVA06G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAPVESPWLTMRAMRRVGGADGGGGQRSALMVASYPVLLLLVVLAAFVKYVWIALALYSALLLLFSCASRRLALAERLPSPLGGGGGGGGVAAVTAAEELQGAAARGGVSGETLASIPAFAYDASAHGGGGEAAAQCAVCLEALRGGETARRLPSCAHTFHVACIDMWLGSHATCPVCRRRVERKHKGGVLPPMPPEPETEPPV >ONIVA06G20680.1 pep chromosome:AWHD00000000:6:19388273:19393848:1 gene:ONIVA06G20680 transcript:ONIVA06G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HRZ7] MHPIKLCARSPRPSSKKRKRPAAAAATPPESEPEPVHNTAACNSEGENNATGKRREHNNKKMKEKKSKRKKKQGEGKKGSGILTDKLFSDLPISDLTANAIRDMNYTHLTEIQARSIPPLMLGSDVMASAKTGSGKTLAFLIPAIELLCRLRFSPRNGTGVIVLCPTRELAIQTHNVAKELMRYHSQTLGYVIGGIDLRGEAEQLAKGINVLVATPGRLLDHMQKTKSFKYECLKCLIIDEADRILEQNFEEQMKQIFKLLPRQGRQTVLFSATQTEKVEDFAKLTFGSKEERQRTLVYVGVDDHESKATVEGLKQGYCVIPSERRFLVLYAFLKKALSEKTKVMVFFSSCNSVKFHAQLLNFIQIECYDIHGQLKQHQRTSTFFKFHKAEHGILLCTNVAARGLDIPDVDYIVQYDPPDETKDYIHRVGRTARGDNGKGSAILFLLPKELQLLIHLKHYDSSFEQEKIVGGNYILNRSAKEAYKSYLLAYKSHSMKDIFAIHQLDLTSVAASFCFSEPPKVNLDLESSASKHRKKRNVNTGRSHGIGPSNPYGRKGSDDRRQFARF >ONIVA06G20680.2 pep chromosome:AWHD00000000:6:19388273:19393848:1 gene:ONIVA06G20680 transcript:ONIVA06G20680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HRZ7] MHPIKLCARSPRPSSKKRKRPAAAAATPPESEPEPVHNTAACNSEGENNATGKRREHNNKKMKEKKSKRKKKQGEGKKGSGILTDKLFSDLPISDLTANAIRDMNYTHLTEIQARSIPPLMLGSDVMASAKTGSGKTLAFLIPAIELLCRLRFSPRNGTGVIVLCPTRELAIQTHNVAKELMRYHSQTLGYVIGGIDLRGEAEQLAKGINVLVATPGRLLDHMQKTKSFKYECLKCLIIDEADRILEQNFEEQMKQIFKLLPRQGRQTVLFSATQTEKVEDFAKLTFGSKEERQRTLVYVGVDDHESKATVEGLKQGYCVIPSERRFLVLYAFLKKALSEKTKVMVFFSSCNSVKFHAQLLNFIQIECYDIHGQLKQHQRTSTFFKFHKAEHGILLCTNVAARGLDIPDVDYIVQYDPPDETKDYIHRVGRTARGDNGKGSAILFLLPKELQLLIHLKEKIVGGNYILNRSAKEAYKSYLLAYKSHSMKDIFAIHQLDLTSVAASFCFSEPPKVNLDLESSASKHRKKRNVNTGRSHGIGPSNPYGRKGSDDRRQFARF >ONIVA06G20680.3 pep chromosome:AWHD00000000:6:19388273:19393848:1 gene:ONIVA06G20680 transcript:ONIVA06G20680.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HRZ7] MHPIKLCARSPRPSSKKRKRPAAAAATPPESEPEPVHNTAACNSEGENNATGKRREHNNKKMKEKKSKRKKKQGEGKKGSGILTDKLFSDLPISDLTANAIRDMNYTHLTEIQARSIPPLMLGSDVMASAKTGSGKTLAFLIPAIELLCRLRFSPRNGTGVIVLCPTRELAIQTHNVAKELMRYHSQTLGYVIGGIDLRGEAEQLAKGINVLVATPGRLLDHMQKTKSFKYECLKCLIIDEADRILEQNFEEQMKQIFKLLPRQGRQTVLFSATQTEKVEDFAKLTFGSKEERQRTLVYVGVDDHESKATVEGLKQGYCVIPSERRFLVLYAFLKKALSEKTKDYIVQYDPPDETKDYIHRVGRTARGDNGKGSAILFLLPKELQLLIHLKHYDSSFEQEKIVGGNYILNRSAKEAYKSYLLAYKSHSMKDIFAIHQLDLTSVAASFCFSEPPKVNLDLESSASKHRKKRNVNTGRSHGIGPSNPYGRKGSDDRRQFARF >ONIVA06G20680.4 pep chromosome:AWHD00000000:6:19388273:19393848:1 gene:ONIVA06G20680 transcript:ONIVA06G20680.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HRZ7] MHPIKLCARSPRPSSKKRKRPAAAAATPPESEPEPVHNTAACNSEGENNATGKRREHNNKKMKEKKSKRKKKQGEGKKGSGILTDKLFSDLPISDLTANAIRDMNYTHLTEIQARSIPPLMLGSDVMASAKTGSGKTLAFLIPAIELLCRLRFSPRNGTGVIVLCPTRELAIQTHNVAKELMRYHSQTLGYVIGGIDLRGEAEQLAKGINVLVATPGRLLDHMQKTKSFKYECLKCLIIDEADRILEQNFEEQMKQIFKLLPRQGRQTVLFSATQTEKVEDFAKLTFGSKEERQRTLVYVGVDDHESKATVEGLKQGYCVIPSERRFLVLYAFLKKALSEKTKDYIVQYDPPDETKDYIHRVGRTARGDNGKGSAILFLLPKELQLLIHLKEKIVGGNYILNRSAKEAYKSYLLAYKSHSMKDIFAIHQLDLTSVAASFCFSEPPKVNLDLESSASKHRKKRNVNTGRSHGIGPSNPYGRKGSDDRRQFARF >ONIVA06G20670.1 pep chromosome:AWHD00000000:6:19387308:19387685:-1 gene:ONIVA06G20670 transcript:ONIVA06G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEISQARRTERKAATMPRTTSSSGGGRSAALVGQELGIGVAQGRDVSQREGQAATMAEEATVAAWVCRHRGRYSAEEGANEGTTVVLAVVTGYCIEEEMDVGCNRTHSPC >ONIVA06G20660.1 pep chromosome:AWHD00000000:6:19382902:19384712:1 gene:ONIVA06G20660 transcript:ONIVA06G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVRPAPSAAAGPAAPPGDGEARACYGIVVACVSLLLLCALVSAVGVARAFAATGVLVLLLGLAGWLAPTDAFAVALAPELYAGNAGGGGGSARAAPAVRLQVRRCASCGLAAQAIDALPAFAYEPPAADVEDGGEGKPRGGGALCAVCLEDVVAGETVRRLPSCGHLFHVDCIDMWLHAHRTCPLCRRDLSPEKVTAKSSAAAVAAATVSSTDVLPPHFVGIWHEINQFKSSEKSLVGMPEAAAAEEEGGDGCSTRLGCYGFMAVNVLMGLYCSIAYAVSATVAGAVAVAVALLLLALAGRLAQTTGGGSAAAEASGRRRRLLSCPCACGLMAPGAGGIGVLPAFAYEPGGGGGGVLCAVCLEDLRGGEMVRRLPACGHLFHEDCVDVWLRVRRTCPLCRRVLPPRKSVAAAAAASAAVLPPV >ONIVA06G20650.1 pep chromosome:AWHD00000000:6:19376453:19378393:1 gene:ONIVA06G20650 transcript:ONIVA06G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSCQAGSTLLAIVFTSLVPTHRRLALSLRSTCWHDVAVSCSPTAASKTPSRPRRCQDPSPSSPPRPFPIVAATKIHPYHGEDPSLPPGRVATASSPHRVIVVAACFAC >ONIVA06G20640.1 pep chromosome:AWHD00000000:6:19369097:19376277:1 gene:ONIVA06G20640 transcript:ONIVA06G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDSASSTLQYTGIGAFVAIVGIVVLAVIFYTRSSARHAAPGAAPDAVTALQGQQQQRGVGLGPDDVSVLPTFTYHAAATASPGRCGLIGRGDAKAAADCCAVCLDELGEGAVVRMLPSCKHYFHATCVDVWLLSRATCPVCRGSPGQEKVRLGLASLSPPLPQLRRCAPSPPKEAAAAAAADTSRANDDDSSAAASRSPSPIRSSTRFDLAAAAIDAAARSPAMSPSPTSPWTPESVARVSRSPSPVTTTAMTDLHVVEVSGGGDGGSAVPGTASSNFTLLYIIIAVLVGVILYMAIRYGRSVMSEWRQLQAGGGGGEPRAALLGLSSDDIDALPTFTYRARGAAASPLVGGGGRRGGGSGKGKGATTVVVECVVCLQELADGDVVRVLPACRHFFHGGCIDLWLRAHSTCPVCRAHPEPDGVRLSDVVAVSPPLPQLRRCGLSPERPTAASRALADILARSPLRGNTTSTTTTTTTGGPITSTSSKSPSSPVQAAIINYVQASRSPSPTAYHSLNERWPSSPTPVVVVRSKSPSPSSPPIGGLSLQTTTAAAARGVGVVEGVDAGATTSASASAPTQVVALSREGGGSRSKSPSPVPH >ONIVA06G20630.1 pep chromosome:AWHD00000000:6:19361760:19362134:-1 gene:ONIVA06G20630 transcript:ONIVA06G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDHRGDKENVPPQSAAAAAARLHGAVAVKKLKLKRLGKERRRVPLRDITNLFLAATAAADSAEAPPRWQPLEGSSERPEAEFPPPPAPAPATATATGTAQSWLAGGVVLKPGRCSLRKEFR >ONIVA06G20620.1 pep chromosome:AWHD00000000:6:19350211:19350417:-1 gene:ONIVA06G20620 transcript:ONIVA06G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDLEGGGRERRDVQIPLSPTPGDWILCPRALADGEVGASPRGEGDGGGARGQKRQLPTLDCATMR >ONIVA06G20610.1 pep chromosome:AWHD00000000:6:19342638:19342922:-1 gene:ONIVA06G20610 transcript:ONIVA06G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALCFCVVLVLVLVVASSPAPLSDDRRAAAGLLLGRRGLQQDAIVVDGSPTAAATATTTTTTAWPRPDTPPDNWYDGTKRLSPGGPNPQHH >ONIVA06G20600.1 pep chromosome:AWHD00000000:6:19321278:19321499:1 gene:ONIVA06G20600 transcript:ONIVA06G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAMCFFICAVFLLAVTTPGVPRLAGSVPLGRRWLQDSAVVVSGGRLTPAITAAYNGTKRLSPGGPNPQHH >ONIVA06G20590.1 pep chromosome:AWHD00000000:6:19318939:19320779:1 gene:ONIVA06G20590 transcript:ONIVA06G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTELEGSGSATLAPGGVTTIAGSDACGGGKNTISVGFSCSSTYSADLYMPFCTSVRLALPTGPLQRMWETTVLSCMDVEEKEKSSTTHMPIGLLIRPNADQPSVVCPSHANTHLRPHRQDITPI >ONIVA06G20580.1 pep chromosome:AWHD00000000:6:19293376:19298481:-1 gene:ONIVA06G20580 transcript:ONIVA06G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAAAAAALWAVSMRQTGEVICRLHMWNRDGLSTAANSHYECINRPPLSIRTNPTHFCSCPCDSSERSSPDNYASSVASDSNGGGIGARNGHPLRYSSNVHLLDENVNLISTESVAQLDTAMGRCGEIIGDHREELLMVFTNNSDMLSSLFYEYDTPWILGKNTKTSMDFSKCEDLGKDYLIMLKISTKVLQSMFCQFCGQNQPFFQTKKDYFQQFAKSPIKKMLEIALSFSESNWSEEHIRPMLLAYDTLQDVLPTIRELSPDEPDEFFTSILHNMRNASRGIIDNMKRFIQHKVQTWDNIAIHPTTCFLINAIKIFNVHKNLLHSTLVPGDGQDSFGYLINGVIACWKLKIKELSMLDDPDKNDSDGNNPNLFIFLLNNIKHFNRDTNDLLDGLLVHRELIEECKNEFQSDMENYTSRYMTASWGPAISCLNNHTGGSIRQSMNAFISKFEGTFDCQKVLKVPDSELKQKLRDDIENLIFPAYEISFEELQRNSNSGLFCSCFPRNITCSMYTPEILRRSVQGLFEG >ONIVA06G20580.2 pep chromosome:AWHD00000000:6:19293374:19299036:-1 gene:ONIVA06G20580 transcript:ONIVA06G20580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKCEDLGKDYLIMLKISTKVLQSMFCQFCGQNQPFFQTKKDYFQQFAKSPIKKMLEIALSFSESNWSEEHIRPMLLAYDTLQDVLPTIRELSPDEPDEFFTSILHNMRNASRGIIDNMKRFIQHKVQTWDNIAIHPTTCFLINAIKIFNVHKNLLHSTLVPGDGQDSFGYLINGVIACWKLKIKELSMLDDPDKNDSDGNNPNLFIFLLNNIKHFNRDTNDLLDGLLVHRELIEECKNEFQSDMENYTSRYMTASWGPAISCLNNHTGGSIRQSMNAFISKFEGTFDCQKVLKVPDSELKQKLRDDIENLIFPAYEISFEELQRNSNSGLFCSCFPRNITCSMYTPEILRRSVQGLFEG >ONIVA06G20570.1 pep chromosome:AWHD00000000:6:19269794:19270081:-1 gene:ONIVA06G20570 transcript:ONIVA06G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPLSEGPCIAPRARGDTGGNSSSPATTPPHLLLPRLAATQACTENHTAARAAAAGPSPLSMEASEVRAHDQYQDDRGHDGGGLELARLIEVWP >ONIVA06G20560.1 pep chromosome:AWHD00000000:6:19269202:19269612:-1 gene:ONIVA06G20560 transcript:ONIVA06G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVARCGASLLDLARERPCGSVGPCAMGQLGGAATFGSCDNDAVASGIDGEQGHSHIDWCLAGGGSLPYSEALNVMGRRWCCHIGRRLVAGALVLQGLRRRGIGTMAVGVGGPFGALRRVRVGRRNGTGVVEFMCW >ONIVA06G20550.1 pep chromosome:AWHD00000000:6:19265355:19265681:-1 gene:ONIVA06G20550 transcript:ONIVA06G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMALFLACTLDRAWTPTGAAKTMTMMMVQRGEVSAVVAVNGGGGGGGGRGNNGGAQPEQRKEFVGMAAFTRSLAVAPPPPPSVHGDREVPSGPDPIHHGASPSSASP >ONIVA06G20540.1 pep chromosome:AWHD00000000:6:19236335:19239879:-1 gene:ONIVA06G20540 transcript:ONIVA06G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALASSCRHTQTAAAMAPGSRCSRRQQLLVAVAVVLLLAAAPTGCSAARSKKSYEAIFSFGDSLSDAGNLIADGIPKSLTTARAPYGMTFFGRPTGRCSNGRLVVDFLAEHFGLPLPPASKAHGADFSKGANFAITGATALEYSFFKQHGIDQRIWNTGSINTQIGWLQDMKPSLCKSDQECKDYFGKSLFVVGEFGGNDYNAPLFSGVAFSEVKTYVPLVAKAIANGVEKLIELGAKDLLVPGVLPIGCFPLYLTLYNTSSKADYNARTGCLRRYNRLAFHHNRELKQQLDELQKKYPETKIMYGDYFKAAMQFVVSPGNFGFSSAMQACCGAGGQGNYNFNLKKKCGEEGASVCSNPSSYVSWDGIHMTEAAYRYVANGWLNGPYAEPPILK >ONIVA06G20530.1 pep chromosome:AWHD00000000:6:19216257:19219077:-1 gene:ONIVA06G20530 transcript:ONIVA06G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRRGGAGTWVAAVLAAALQVLAVSAAGKYRAVFNFGDSLVDAGNLVTDGIPDYLATARPPYGQTYFGYPTGRCSDGRLVVDFIAQEFGLPLLPPSKAKNASFARGANFAITGATALDTDFFERRGLGKTVWNSGSLFTQIQWLRDIKPSFCSSTQDCKDFFAKSLFVVGEFGGNDYNAPLFAGKDLREAYNLMPHVVQGISDGVEQLIAEGARDLIVPGVMPSGCFPVYLTMYKEPKEGYGSRSGCLKRFNTFSWVHNSMLKRALAKLRAKHPGVRIIYGDYFTPVVQFLLQPEKFGFYKQLPRACCGAPGTGPYNFNLTAKCGEPGATACADPKTHWSWDGIHLTEAAYGHIARGWLHGPFGDQPIVQNS >ONIVA06G20520.1 pep chromosome:AWHD00000000:6:19209938:19211492:1 gene:ONIVA06G20520 transcript:ONIVA06G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALLSRAAGVLVLVVVVAAAAAAPRCDLFQGRWAADESYPLYDASRCPFVPDVFNCRRNGRPDAAYLNLRWFPSSCRLPRFDGVELLRRWRGKTVLFVGDSLSMNQWASLACMLHAAVPADGRVSFTSGEPVSSVRFLDYGVSVVLYYSRFLVDVVDDEPGLGRVLKLDSMRDAAAWLGADVLVFNTWHWWTYRGASQVWDYVQEGNKTYRDMDRLTAFSKGLSTWARWVDANIDASRTKVFYQGISPSHYYTSSSSSSNDDGDGEVAPPSAADGGCYRQTRPLQESTTADGGGGALLPEQVVVRGVVGSMATAVSLLDVTRMSQLRIDAHPSVYGGPGREGMDCTHWCIAGLPDAWNHIMYAMLLTQQRT >ONIVA06G20510.1 pep chromosome:AWHD00000000:6:19201085:19205699:-1 gene:ONIVA06G20510 transcript:ONIVA06G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPIASGTEPMEAVITVDVLRRAGADVSVASVDPGSAQVGGAWGVKLAADALLDDLADAEFDLISLPGGMPGSSNLRDCKLLENMVKKHAGKGKLYAAICAAPAVALGSWGLLNGLKATCYPSFMDKLPSEVNTVESRVQIDGNCVTSRGPGTAMEYSVVLVEQLYGKEKADEVAGPMLMLAFNLVLTSFKVMRPQHGVEFSLKELNSTSWNVGETPQILVPIANGTEEMEATMIIDILRRAKANVVVASLEETLEIVASRKVKMVADVLLDDALKQQYDLILLPGGLGGAQAYAKSDKLIGLIKKQAEANKLYGAICASPAIALEPHGLLKGKKATSFPGMWNKLSDQSECKNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERAVELAKTMVFM >ONIVA06G20500.1 pep chromosome:AWHD00000000:6:19190170:19195449:1 gene:ONIVA06G20500 transcript:ONIVA06G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFALTRSSAMKTSLCVLLCILVVSEVVGVPRCAAALGINYGQVGNNLPSPAQVVSLLASLRIGKVRIYDANPQVLAAFAGTGIELIVTVPNDLVRPMAASPGEALQWVSSSVRPYFPATRVTGIAVGNEVLTDDDEALKAALVPAMRNLHAALAQLGMDGYVHVSTASSLAVLATSYPPSQGAFTAEVAPLMAQFLRFLAETNAPFWINAYPYFAYKGDPTRVSLDYALSNPYHVGAIDPYTRLQYTSMLYAQVDAVAYATSQLGYNNIPVYVSETGWPSKGDTDEVGATVENARAYNRNLLLRQAAGEGTPLRPRQRLEVYLFALFNENMKPGPTSERNYGLYQPDGRTMVYNVGLMQQSTSAASLSLAASPATKTDAKKNFAGLCFLSSLAILCPNASSTLRKSFWHKQNGLTIVDQKPLQDQRGDAPFQGGKRKIKGSSCCQEEKLEEATLGCGRHYLGDGTEL >ONIVA06G20500.2 pep chromosome:AWHD00000000:6:19190170:19195449:1 gene:ONIVA06G20500 transcript:ONIVA06G20500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFALTRSSAMKTSLCVLLCILVVSEVVGVPRCAAALGINYGQVGNNLPSPAQVVSLLASLRIGKVRIYDANPQVLAAFAGTGIELIVTVPNDLVRPMAASPGEALQWVSSSVRPYFPATRVTGIAVGNEVLTDDDEALKAALVPAMRNLHAALAQLGMDGYVHVSTASSLAVLATSYPPSQGAFTAEVAPLMAQFLRFLAETNAPFWINAYPYFAYKGDPTRVSLDYALSNPYHVGAIDPYTRLQYTSMLYAQVDAVAYATSQLGYNNIPVYVSETGWPSKGDTDEVGATVENARAYNRNLLLRQAAGEGTPLRPRQRLEVYLFALFNENMKPGPTSERNYGLYQPDGRTMVYNVGLMQQSTSAASLSLAASPATKTCPNASSTLRKSFWHKQNGLTIVDQKPLQDQRGDAPFQGGKRKIKGSSCCQEEKLEEATLGCGRHYLGDGTEL >ONIVA06G20500.3 pep chromosome:AWHD00000000:6:19190170:19195449:1 gene:ONIVA06G20500 transcript:ONIVA06G20500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFALTRSSAMKTSLCVLLCILVVSEVVGVPRCAAALGINYGQVGNNLPSPAQVVSLLASLRIGKVRIYDANPQVLAAFAGTGIELIVTVPNDLVRPMAASPGEALQWVSSSVRPYFPATRVTGIAVGNEVLTDDDEALKAALVPAMRNLHAALAQLGMDGYVHVSTASSLAVLATSYPPSQGAFTAEVAPLMAQFLRFLAETNAPFWINAYPYFAYKGDPTRVSLDYALSNPYHVGAIDPYTRLQYTSMLYAQVDAVAYATSQLGYNNIPVYVSETGWPSKGDTDEVGATVENARAYNRNLLLRQAAGEGTPLRPRQRLEVYLFALFNENMKPGPTSERNYGLYQPDGRTMVYNVGLMQQSTSAASLSLAASPATKTVSAH >ONIVA06G20500.4 pep chromosome:AWHD00000000:6:19194100:19195449:1 gene:ONIVA06G20500 transcript:ONIVA06G20500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAKKNFAGLCFLSSLAILCPNASSTLRKSFWHKQNGLTIVDQKPLQDQRGDAPFQGGKRKIKGSSCCQEEKLEEATLGCGRHYLGDGTEL >ONIVA06G20490.1 pep chromosome:AWHD00000000:6:19168363:19176088:1 gene:ONIVA06G20490 transcript:ONIVA06G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-related [Source:Projected from Arabidopsis thaliana (AT4G23860) TAIR;Acc:AT4G23860] MSVPNPFLRGHGLNPLLFSPPPPHPPQFFLLFHSHTPPPLPHHFPIQNPIAGLPNPNPRHRSREAAAMAGEGSGGGGGAGAFEDEAEPTVTIGEYIEGIEAEELEADLVLGGDEGKECTYGGGYLKRQAVFSCLTCVPAGVAGVCTACSLACHDGHEVVELWTKRKFRCDCGNSKFGSHVCKLCPEKDPENPANSYNHNFKGSYCTCGRPYPDPEAEKQVEMIQCCICEDWFHEDHIGLNSIEEIPRDEEGEPLYEDFICPKCSTKCYFLKLYPDTIWASNKQSSAPQAETTNSTVMNGNSSLGDIEKSENGALINHLNCEKTSDNENCPKDSVAPEKASLDDSSDGKCKLGMNICSNTPSADSEKKMPFFMSKSWREVICRCETCTDFYAQQGVAYLIDKEDSIEEYEKVAKQKREKKLEQQEGVEANFLNSLDHVQKIEILSGINDMKNELQSFLETFDSSKPVTSEDIRAVFENLAKKKKQRLS >ONIVA06G20480.1 pep chromosome:AWHD00000000:6:19167365:19167721:1 gene:ONIVA06G20480 transcript:ONIVA06G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEPQRNCRLLAVVEEEERPHASPTPIVTESNRNPSGASFFSPLDDSLAWRQRRRRLEGLLLRIPYHHTLETPRGLGHTFEASCIAGVREEPWLKEQNFSNFQDRDKMRLEVLSAAL >ONIVA06G20470.1 pep chromosome:AWHD00000000:6:19122486:19122941:1 gene:ONIVA06G20470 transcript:ONIVA06G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLNPASKARRHGGMPPPEDMDEEEGWCYATPEPASATATATTTIGHLLREEEPAEEEDEEETAASAAAAAAAAGVKVKVVLKRAELEWLMSQLKTGDRRLEDVLNQMATARALSSALSAAPPPPPHRAGDGWRPRLECILECHELAAT >ONIVA06G20460.1 pep chromosome:AWHD00000000:6:19087772:19089554:-1 gene:ONIVA06G20460 transcript:ONIVA06G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGGALSVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLANACRIGMRKTLVFYVGRAPHGKKTDWIMHEYRLDQDNVDVQEDGWVVCRVFMKKSYQRGLNPADMAAVDDDDLLHHHHHPFPPAQLHGGAADHKHDGAGGYHHHHLTQPHHHYDDFPSFDPSMQLPQLMSADQPPPPPPSLLPGVPPSAAAALSSLDVECPQNLMKLTSAAAGGGATGLLHAGGDHRFATAATDWSILDKLLASHQNLDQLFQGRVIAGASSPAAMAAPSHHQHLMDQLAGGGGAAASSLQRLPLQYLGCEAADLLRFSK >ONIVA06G20450.1 pep chromosome:AWHD00000000:6:19079405:19084750:1 gene:ONIVA06G20450 transcript:ONIVA06G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein [Source:Projected from Arabidopsis thaliana (AT3G12685) TAIR;Acc:AT3G12685] MAMAQSLLGVPFSRLLPSSSSSSPPTPTTIPPPPLPSSSWSPPSRRRRRAVAAASSLHLAPEDIAELVRNKKVLIAATAASAVGQLCKPFTSSGKDGAAGAFDLRAAVRSGGMPSTHSAAVVAVATSLGLERGFADSIFGMSVVFAAIVMYDAQGVRREVGNHARVLNKLLTLREITQNPDSNSLSSSTSELHSSKPETVAELVSVAEKLGSSQGSSANPFPIHSSGTKSSRLNALQSSETEVTEFTQLKEAYTEECDRLSESVGHTELQVAAGALLGFLVTLVFYATL >ONIVA06G20440.1 pep chromosome:AWHD00000000:6:19060550:19062079:1 gene:ONIVA06G20440 transcript:ONIVA06G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEIEMVAMSRNVACTCIVMERRIGVEARVAACRSDLAMGLREGALKPRSAAFWDVTPNRHMPLVFAYYYLTFPNSIEYPNGVIVSKGKAYQYSGWILHGPQITWTYT >ONIVA06G20430.1 pep chromosome:AWHD00000000:6:19047993:19050560:-1 gene:ONIVA06G20430 transcript:ONIVA06G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFELGPFRPIEAKRGSYLHEEPKAQPTSAFIPLPLLSLELTALTSTAAAAAAVYPLPRRLTRLLFVDPIPPSGTPPLPPYTLAPPFLFTQADRCRGRAGCPRRCVEAATCGAGGEALRRARALTLTVVRESLLCHWVQHMDLPGPRWKKGKDGKDFASLAAANPMSAIVSELKASFISSKPVAILSGPGGSAVLGVGPEQAVILNRAAFGHAIENATAQKHWFQLSPEESFPEMYKAYAHLRLKNWVVRSGLQYGADFVAYRHHPALVHSEFAVVVVPEGAEFGNRCGRLEVWSDLLCALRASGSVAKTLLVLTISSSSKCELSSPDCLEQLVVHERTITRWIPQQCREQRCEPSRDEVNREELIIEKESVVFNHWGVILGFTVLSGLLVYSVGSGVESGMEWWDGEEGVIPKAKKNGHGRWQERQWATAPPKPQGQQWVVA >ONIVA06G20430.2 pep chromosome:AWHD00000000:6:19047993:19050560:-1 gene:ONIVA06G20430 transcript:ONIVA06G20430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFELGPFRPIEAKRGSYLHEEPKAQPTSAFIPLPLLSLELTALTSTAAAAAAVYPLPRRLTRLLFVDPIPPSARALTLTVVRESLLCHWVQHMDLPGPRWKKGKDGKDFASLAAANPMSAIVSELKASFISSKPVAILSGPGGSAVLGVGPEQAVILNRAAFGHAIENATAQKHWFQLSPEEVFYLCHALNCIRVDSLDNKQMSEIELWDYFRSGSESFPEMYKAYAHLRLKNWVVRSGLQYGADFVAYRHHPALVHSEFAVVVVPEGAEFGNRCGRLEVWSDLLCALRASGSVAKTLLVLTISSSSKCELSSPDCLEQLVVHERTITRWIPQQCREQRCEPSRDEVNREELIIEKESVVFNHWGVILGFTVLSGLLVYSVGSGVESGMEWWDGEEGVIPKAKKNGHGRWQERQWATAPPKPQGQQWVVA >ONIVA06G20430.3 pep chromosome:AWHD00000000:6:19047993:19050560:-1 gene:ONIVA06G20430 transcript:ONIVA06G20430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFELGPFRPIEAKRGSYLHEEPKAQPTSAFIPLPLLSLELTALTSTAAAAAAVYPLPRRLTRLLFVDPIPPSGTPPLPPYTLAPPFLFTQADRCRGRAGCPRRCVEAATCGAGGEALRRARALTLTVVRESLLCHWVQHMDLPGPRWKKGKDGKDFASLAAANPMSAIVSELKASFISSKPVAILSGPGGSAVLGVGPEQAVILNRAAFGHAIENATAQKHWFQLSPEEVFYLCHALNCIRVDSLDNKQMSEIELWDYFRSGSESFPEMYKAYAHLRLKNWVVRSGLQYGADFVAYRHHPALVHSEFAVVVVPEGAEFGNRCGRLEVWSDLLCALRASGSVAKTLLVLTISSSSKCELSSPDCLEQLVVHERTITRWIPQQCREQRCEPSRDEVNREELIIEKESVVFNHWGVILGFTVLSGLLVYSVGSGVESGMEWWDGEEGVIPKAKKNGHGRWQERQWATAPPKPQGQQWVVA >ONIVA06G20430.4 pep chromosome:AWHD00000000:6:19049740:19050560:-1 gene:ONIVA06G20430 transcript:ONIVA06G20430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLFELGPFRPIEAKRGSYLHEEPKAQPTSAFIPLPLLSLELTALTSTAAAAAAVYPLPRRLTRLLFVDPIPPSALGH >ONIVA06G20420.1 pep chromosome:AWHD00000000:6:19046516:19046950:1 gene:ONIVA06G20420 transcript:ONIVA06G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHGAASREQQQHDGGGGGVKVKFIETQFVSSDAASFKAVVQRLTGQSAPSPSAPATSARPSRPRARAAVTACPAAAVGWAGGYGGGSGLMTMAAPVKQEAAAPPPNLEDLHELRDFSDLFYPTSAGGGGRRVDGGGYGYPYY >ONIVA06G20410.1 pep chromosome:AWHD00000000:6:19046453:19047041:-1 gene:ONIVA06G20410 transcript:ONIVA06G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNHGTTAKTNKKEKKKSKMRLRVAVATAVDAPPAAAGAGRVGRRRRRLLLHRRGHRHQAAAAAVAARPPHGGRRASRDCGARARPARPRRGRRRRRRGRGLAREPLHDGLEAGRVGADELRLDELHLHPAAAAVVLLLLPARRAVDLHCRRSTRTTRSCAAAVVDVLV >ONIVA06G20400.1 pep chromosome:AWHD00000000:6:19035266:19036838:1 gene:ONIVA06G20400 transcript:ONIVA06G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 74 [Source:Projected from Arabidopsis thaliana (AT4G05100) TAIR;Acc:AT4G05100] MGRAPCCEKSGLKKGPWTPEEDEKLVAYIKEHGQGNWRTLPKNAGLSRCGKSCRLRWTNYLRPDIKRGRFSFEEEEAIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDLTSLLKPAAAAAYYPTQADLDTLRALEPLAGYPDLLRLASAILPAATTTGAAAAAAAEQAQLLLPWLLQAQMAQQQQQVTPPPPPPPQAAATEQFLQATSTACHQMPGLVHASPTQQLAQQPQDHMAAATCHRRGAVQHPSYDNQLDYVPALMQMASDASNLQQWSSTVSSSNNHNVNSGVSTPSSSPAAAGQINSSSTTTTTTYGLNASGDVDDAGLLINMHLSELLDVSDYM >ONIVA06G20390.1 pep chromosome:AWHD00000000:6:19021377:19026832:1 gene:ONIVA06G20390 transcript:ONIVA06G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G05090) TAIR;Acc:AT4G05090] MNLKESGEQWAESQAQQARLCFRKSYRSNFDSAFMLMCWQIWKERNARVFEQRTRRPDQLVEAIKEEIMHWAVSLPPHARMPLLHLSPPPPHRLLLAGGGRRRLLLPAARRRSLVRVRAAASAAAAAAEAYGLPFPPERAAHHRELAAAAAAVERACRLCVDVKRTLLSGDKKILEKNDQTPVTVADFGVQALISLELQRLFPSIPLVAEEDSASLRSSNTDDNSSNVLVESISSAVAEKVSNADSLLTHDDVLRAIDRGGKNAVSFDSNPASYWVLDPIDGTKGFLGGDDALYVVGLALVVNEKVVAGVMGCPNWSNATIASRKEDSAAAQPDCGILMIAHVGCGTWSRHLSVDIGQFTTAQSTWNRCLVDSCSVVNMARFCIPDSQTWNMIPLSVLFNSTMDESNPRDENEILLLSVYCGSLCKYLTVASGRASVFVLRARTKNLKSWDHAVGVICVQEAGGQISDWSGKPLDLAADLTGRRDIYPSGGVLVTNGALHGKLVEMISANHK >ONIVA06G20390.2 pep chromosome:AWHD00000000:6:19017042:19026770:1 gene:ONIVA06G20390 transcript:ONIVA06G20390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G05090) TAIR;Acc:AT4G05090] MPLLHLSLPPHRLLLVAGGRRRRRRLLLLPPSPRRVCVRAAASVELEVAAAAGEYGLPFPSERAAHHRELAAAAAAVERACRLCVDVKRSLLSGEKKIFEKNDQTLVTVADFGVQALISLELQRSFPSIPLVAEEDSASLRSSNADNSSTVLVESISSAVADNVSNTDSLLTHDDVLRAIDKGGKDSASFDSNPATYWVLDPIDGTQGFSKVDDTLYVVGLALVVNGKVVAGVMGSPNWASDTIANRKDDSIASRYDRGILMIAHEGCGAWTKRLYDEFGQFTTSKDTWNRCFVDSCSVVHKARYCLSDNQTWNMIPLSVVFNSTTDESKPRDENELLTSYVFSGSLCKYLTVAYGRASVFVLKARTKSLKSWDHTVGVICVQEAGGQISDWSGKPLDLAADLTGRRDIYPSGGVLVTNGALHGKLVEMISANHK >ONIVA06G20390.3 pep chromosome:AWHD00000000:6:19017042:19026770:1 gene:ONIVA06G20390 transcript:ONIVA06G20390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G05090) TAIR;Acc:AT4G05090] MPLLHLSLPPHRLLLVAGGRRRRRRLLLLPPSPRRVCVRAAASVELEVAAAAGEYGLPFPSERAAHHRELAAAAAAVERACRLCVDVKRSLLSGEKKIFEKNDQTLVTVADFGVQALISLELQRSFPSIPLVAEEDSASLRSSNADNSSTVLVESISSAVADNVSNTDSLLTHDDVLRAIDKGGKDSASFDSNPATYWVLDPIDGTQGFSKVDDTLYVVGLALVVNGKVVAGVMGSPNWASDTIANRKDDSIASRYDRGILMIAHEGCGAWTKRLYDEFGQFTTSKDTWNRCFVDSCSVVHKARYCLSDNQTWNMIPLSVVFNSTTDESKPRDENELLTSYVFSGSLCKYLTVAYGRASVFVLKARTKSLKSWDHTVGVISDLTGRRDIYPSGGVLVTNGALHGKLVEMISANHK >ONIVA06G20390.4 pep chromosome:AWHD00000000:6:19017042:19021423:1 gene:ONIVA06G20390 transcript:ONIVA06G20390.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G05090) TAIR;Acc:AT4G05090] MPLLHLSLPPHRLLLVAGGRRRRRRLLLLPPSPRRVCVRAAASVELEVAAAAGEYGLPFPSERAAHHRELAAAAAAVERACRLCVDVKRSLLSGEKKIFEKNDQTLVTVADFGVQALISLELQRSFPSIPLVAEEDSASLRSSNADNSSTVLVESISSAVADNVSNTDSLLTHDDVLRAIDKGGKDSASFDSNPATYWVLDPIDGTQGFSKVDDTLYVVGLALVVNGKVVAGVMGSPNWASDTIANRKDDSIASRYDRGILMIAHEGCGAWTKRLYDEFGQFTTSKDTWNRCFVDSCSVVHKARYCLSDNQTWNMIPLSVVFNSTTDESKPRDENELLTSYVFSGSLCKYLTVAYGRASVFVLKARTKSLKSWDHTVGVICVQEAGGQVTDWRGEPLDLEADLTGRRDIYPHGGILITNGVLHNKLAELIKANY >ONIVA06G20380.1 pep chromosome:AWHD00000000:6:19012633:19014807:1 gene:ONIVA06G20380 transcript:ONIVA06G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0HRV7] MASSVAAAASTFLGTRLADPAPQSGRIVARFGFGGGKKAAAKKAARPSAPTTDRPLWFPGAVAPDYLDGSLVGDYGFDPFGLGKPAEYLQFELDSLDQNLAKNNAGEIIGTRFETGEVKSTPFQPYTEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSYFDPLGLASDPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFSSSS >ONIVA06G20370.1 pep chromosome:AWHD00000000:6:19006969:19012100:1 gene:ONIVA06G20370 transcript:ONIVA06G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II reaction center PSB29 protein [Source:Projected from Arabidopsis thaliana (AT2G20890) TAIR;Acc:AT2G20890] MAAISSLPFAALRRAADCRPSTAAAAAGAGAGAVVLSVRPRRGSRSVVRCVATAGDVPPTVAETKMNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKTTYQYDAVFALGFVTVYDQLMEGYPSNEDRDAIFKAYITALNEDPEQYRADAQKMEEWARSQNGNSLVEFSSKDGEIEAILKDISERAQGKGSFSYSRFFAVGLFRLLELANATEPTILDKLCAALNINKRSVDRDLDVYRNILSKLVQAKELLKEYVEREKKKREERSETPKSNEAVTKFDGSLNSMRH >ONIVA06G20360.1 pep chromosome:AWHD00000000:6:18958621:18960340:-1 gene:ONIVA06G20360 transcript:ONIVA06G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGGAGGAAAGKLPMVSHRQVQWRLADERCELREEEMEYIRQFHRHEPSSNQCTSFVAKHIKAPLQTVWSLVRRFDQPQLFKPFVRKCVMRENIIATGCVREVNVQSGLPATRSTERLELLDDNEHILKVKFIGGDHMLKNYSSILTIHSEVIDGQLGTLVVESFVVDIPEGNTKDDICYFIENILRCNLMTLADVSEERLANP >ONIVA06G20350.1 pep chromosome:AWHD00000000:6:18920967:18922424:-1 gene:ONIVA06G20350 transcript:ONIVA06G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HRV4] MKKKVCVVGGGLVGLAAARELQREGHDVSVLEQRGGVGGQWLYDHTAAIDGADPLGVAGVQSSVYASLRLITPREVTGFSDFPFSPTTVAGGGDARRFPSHAEFLRYLRDFCDAFGLMDVVRLNTRVVRVAADGDGWAVRSRRGEVETEEVFDAVVVAVGSYTQPRLPSIDGMEAWPGRQLHSHSYRVPDSFRGEVVVVVGCGFSGKDIALELRRVAREVHLSVRSTEEAMASPAMSKMLARYGNLHLRPQIARLCEEEDGAVVAFADGSRVAADTVVYCTGYSYSYPFLDTGGKVTVDDDNRVGPLFEHVFPPELAPSLSFLGIPNMVTTRFFEAQARWVAQVLSGRRALPPAGDMLRAAEEHARAMDAAGVARRRAHDVPDLGEEFCERSCGFPRLEEWEKELIWTSITAMRDDLESFRDDFRVTDLVADGLRRHGWILAPAPEPEPLRQEDVVAGDGEGEGVQPVQANQAAVASPLGFINSA >ONIVA06G20340.1 pep chromosome:AWHD00000000:6:18915149:18922452:1 gene:ONIVA06G20340 transcript:ONIVA06G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAKDGSAAAAQTRGSGDDGSHKPLPPCCLKAKAAAAESEAKCHATVVSGWFTEPRSHSGKTSKVQYFNNPMWPGEAHSLKVENILYQGKSPYQEILVFESSTYGNVLVLDGIVQLTEKDECAYQEMVTHLPLCSIPSPKSVLVVGGGDGGVLREIARHASVENIDICEIDQLVIDVCKDFFPQLSVGFKDPRVQLHVGDAVDFLRNAPEGKYDAIIVDSSDPIGPAQELVEKPFFQTIARALKPGGVLCNQAESMWLHTHLIQDMLSICRETFKGAVHYAWTSVPTYPSGVIGFLLCAKEGPAVNFLSPVNPIEKLEGAMEAGREIRFYNSEVHRAAFVLPTFVRRELESHNTCAEKDKSETKPVAKPKKMKIMPNSAIPTAS >ONIVA06G20340.2 pep chromosome:AWHD00000000:6:18915888:18922452:1 gene:ONIVA06G20340 transcript:ONIVA06G20340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAKDGSAAAAQTRGSGDDGSHKPLPPCCLKAKAAAAESEAKCHATVVSGWFTEPRSHSGKTSKVQYFNNPMWPGEAHSLKVENILYQGKSPYQEILVFESSTYGNVLVLDGIVQLTEKDECAYQEMVTHLPLCSIPSPKSVLVVGGGDGGVLREIARHASVENIDICEIDQLVIDVCKDFFPQLSVGFKDPRVQLHVGDAVDFLRNAPEGKYDAIIVDSSDPIGPAQELVEKPFFQTIARALKPGGVLCNQAESMWLHTHLIQDMLSICRETFKGAVHYAWTSVPTYPSGVIGFLLCAKEGPAVNFLSPVNPIEKLEGAMEAGREIRFYNSEVHRAAFVLPTFVRRELESHNTCAEKDKSETKPVAKPKKMKIMPNSAIPTAS >ONIVA06G20330.1 pep chromosome:AWHD00000000:6:18911250:18911695:1 gene:ONIVA06G20330 transcript:ONIVA06G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSLKKQMATVVKGSARQRCTFEWNTSDIEMAGRWRMLIMLLWRRRRGTRKGKESTWGHHTGRALQTSSQWMRNCAGEVEPFKDRVFSAQLPAFLTPPAMMLAINRVS >ONIVA06G20320.1 pep chromosome:AWHD00000000:6:18905268:18907026:-1 gene:ONIVA06G20320 transcript:ONIVA06G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGGAGGAAAGKLPMVSHRRVQWRLADERCELREEEMEYIRRFHRHEPSSNQCTSFAAKHIKAPLHTVWSLVRRFDQPQLFKPFVRNCVMRENIIATGCIREVNVQSGLPATRSTERLELLDDNEHILKVKFIGGDHMLKNYSSILTIHSEVIDGQLGTLVVESFIVDVLEGNTKDDISYFIENVLRCNLRTLADVSEERLANP >ONIVA06G20310.1 pep chromosome:AWHD00000000:6:18900173:18904300:1 gene:ONIVA06G20310 transcript:ONIVA06G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLRPTCNAPHPGLPDPMWVARGHRMPLLGGRHPAYWVFNEEVEMLMTSKWTVPWLDVDDLKLAYVLICSHSLNNMRVEGLQVSETLWTSKEPTLNTNLPNTSSINVFSHYTLSEKRSHNAHQPVSTAPAGSLPATAPPAPLVAPIITVNMEALAMLPHISIKKQLKARTTIFVKWREYLVLIKEKRVRQAIDLVTNKGDIINFSSRREPFEDVTSA >ONIVA06G20300.1 pep chromosome:AWHD00000000:6:18895541:18896191:-1 gene:ONIVA06G20300 transcript:ONIVA06G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRHIEVTARSSTLLDGSAVGSACEEGPSMLKHNTDEKEVEIKEIFLGRENDKRSSESKGAAGCWQKQLLSMVALFWHFKIKLRNSKPAVKKLSQAKF >ONIVA06G20290.1 pep chromosome:AWHD00000000:6:18878235:18879066:1 gene:ONIVA06G20290 transcript:ONIVA06G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHNHISVAQKGITSYQYKTLEIRRGGGGEYRPESTLMEIGRKERGGGGGTPPGSQAEAAATPPTIGAQPLCATAPWREESEKEKGKNEMKRRTRIREKRRKVG >ONIVA06G20280.1 pep chromosome:AWHD00000000:6:18851952:18877772:1 gene:ONIVA06G20280 transcript:ONIVA06G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSPTPPTPNRTNETKAPVFVLRFPSLPPPPPHYHHHHHQPPCGRRRLPGRDAEEDRIPPHPSVQSARTTSELTFGLVDFWKMMMGREEKYVRFEDWRSEQSVMSPRRHNALSSLKERTAGVFAFLGNLVHSETLKRSVLHERKLTTRTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINDNNTCWYLDKKLEITASVLRFFTDIFYILHIIFQFRTGYIASSLTTFGRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQVVILVVLPNLGGSEVTKAKNILMFIVICQYVPRLIRIRPLYLQITRSAGVITETPWAGAVLNLLIYLLASHVLGALWYLLSIERKDACWRDMCSNNSTVCNQAYLYCGDKENSILRTACLPIDSNDIDPNFGIYVPALNNVSQSTNFLAKLFYCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFALLIGNVQSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDQLLNALCDRLKPVLYTEGSCIIREEDPVNEMLFIMRGNLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSVSSLPSSTRTVKTMSEVEAFALRAEDLKFVATQFRRLHSKQLQHTFKFYSQHWRTWAACFIQAAWHRYCRKKIEDSLREKEKRLQFAIVNDGATTLSFRAAIYASRFAGNMMRILRRNATRKARLKESVPARLLQKPAEPNFAAEEQFEDWRSKQSVMSLRRHNALSSLKERTAGIFAFLGNLVHSESLERSVLHEMKLTTGTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPNKTTVSPNHKVCWGNYRDTMAWSLEHSGTCFPLNAKMPAGETCVTACLPINSNNIDPNFGIYVPALNNVSQSTDFLAKLFYCVCWGLQNLSSRGQNLKTSTYAWENLFALFVSISGLVLFALLIANVQTYLKSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDPLLDALCDRLKPVLYTEGSCIIREEDPVYEMLFIMRGNLMSMTTDGGITGFFKSDVLKGGDFCGEELLTWALDPTSVSRLPSSTRTVETMSEVEAFALTAEDLKFEKRLQFAIVNDGATTLSFRAAIYASRFTGNMMRILRRNATRKAWLQESVPARLLQKPAEPNFAAEEH >ONIVA06G20280.2 pep chromosome:AWHD00000000:6:18851952:18877772:1 gene:ONIVA06G20280 transcript:ONIVA06G20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSPTPPTPNRTNETKAPVFVLRFPSLPPPPPHYHHHHHQPPCGRRRLPGRDAEEDRIPPHPSVQSARTTSETFNGWVSGFEDWRSEQSVMSPRRHNALSSLKERTAGVFAFLGNLVHSETLKRSVLHERKLTTRTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINDNNTCWYLDKKLEITASVLRFFTDIFYILHIIFQFRTGYIASSLTTFGRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQVVILVVLPNLGGSEVTKAKNILMFIVICQYVPRLIRIRPLYLQITRSAGVITETPWAGAVLNLLIYLLASHVLGALWYLLSIERKDACWRDMCSNNSTVCNQAYLYCGDKENSILRTACLPIDSNDIDPNFGIYVPALNNVSQSTNFLAKLFYCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFALLIGNVQSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDQLLNALCDRLKPVLYTEGSCIIREEDPVNEMLFIMRGNLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSVSSLPSSTRTVKTMSEVEAFALRAEDLKFVATQFRRLHSKQLQHTFKFYSQHWRTWAACFIQAAWHRYCRKKIEDSLREKEKRLQFAIVNDGATTLSFRAAIYASRFAGNMMRILRRNATRKARLKESVPARLLQKPAEPNFAAEEQFEDWRSKQSVMSLRRHNALSSLKERTAGIFAFLGNLVHSESLERSVLHEMKLTTGTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPNKTTVSPNHKVCWGNYRDTMAWSLEHSGTCFPLNAKMPAGETCVTACLPINSNNIDPNFGIYVPALNNVSQSTDFLAKLFYCVCWGLQNLSSRGQNLKTSTYAWENLFALFVSISGLVLFALLIANVQTYLKSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDPLLDALCDRLKPVLYTEGSCIIREEDPVYEMLFIMRGNLMSMTTDGGITGFFKSDVLKGGDFCGEELLTWALDPTSVSRLPSSTRTVETMSEVEAFALTAEDLKFEKRLQFAIVNDGATTLSFRAAIYASRFTGNMMRILRRNATRKAWLQESVPARLLQKPAEPNFAAEEH >ONIVA06G20280.3 pep chromosome:AWHD00000000:6:18853168:18877772:1 gene:ONIVA06G20280 transcript:ONIVA06G20280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAYTRETPNHHFSILSIVQFEDWRSEQSVMSPRRHNALSSLKERTAGVFAFLGNLVHSETLKRSVLHERKLTTRTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINDNNTCWYLDKKLEITASVLRFFTDIFYILHIIFQFRTGYIASSLTTFGRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQVVILVVLPNLGGSEVTKAKNILMFIVICQYVPRLIRIRPLYLQITRSAGVITETPWAGAVLNLLIYLLASHVLGALWYLLSIERKDACWRDMCSNNSTVCNQAYLYCGDKENSILRTACLPIDSNDIDPNFGIYVPALNNVSQSTNFLAKLFYCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFALLIGNVQSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDQLLNALCDRLKPVLYTEGSCIIREEDPVNEMLFIMRGNLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSVSSLPSSTRTVKTMSEVEAFALRAEDLKFVATQFRRLHSKQLQHTFKFYSQHWRTWAACFIQAAWHRYCRKKIEDSLREKEKRLQFAIVNDGATTLSFRAAIYASRFAGNMMRILRRNATRKARLKESVPARLLQKPAEPNFAAEEQFEDWRSKQSVMSLRRHNALSSLKERTAGIFAFLGNLVHSESLERSVLHEMKLTTGTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPNKTTVSPNHKVCWGNYRDTMAWSLEHSGTCFPLNAKMPAGETCVTACLPINSNNIDPNFGIYVPALNNVSQSTDFLAKLFYCVCWGLQNLSSRGQNLKTSTYAWENLFALFVSISGLVLFALLIANVQTYLKSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDPLLDALCDRLKPVLYTEGSCIIREEDPVYEMLFIMRGNLMSMTTDGGITGFFKSDVLKGGDFCGEELLTWALDPTSVSRLPSSTRTVETMSEVEAFALTAEDLKFEKRLQFAIVNDGATTLSFRAAIYASRFTGNMMRILRRNATRKAWLQESVPARLLQKPAEPNFAAEEH >ONIVA06G20270.1 pep chromosome:AWHD00000000:6:18831262:18849427:-1 gene:ONIVA06G20270 transcript:ONIVA06G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPPSPPTHRCAAREGRSGGGGLAGALLRRMARAAGLRALVGIEAAGRGRRVAASPSPGGTPAASRGLPGWPGFCGVGCGSSSSSSFAPPRMQARRAAGSAARTRSPSQSNGWITGGSASEDGRLSWGYSSFKGRRPSMEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRVVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIVVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQDKVVDESLEYLILATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVAHSRLTLDNITCIVLHRAGPHPSLHAPGPLRNGGSAPRFFSSRGGAGAASKGLGDDEVELYSLLLGVSIGDEGEASSRGPAASRGGRRGRNSKRQPPRSRFDGDGVGCSKDGKLSWGYSSFQGRRPSMEDRLSIKSTTVNGETVSLFGVFDGHGGPRAAEYLKKHLFKNLVKHPKFLKDTKLAINQTFLKTDVDFLQSISSDRYRDDGSTAVAAILIGNRLYVANVGDSRAVALKAGKAVPLSEDHKPNKKDERKRIEDAGGIVVSDDIWRVDGILAVSRAFGNRLMKRYVKAEPNIQEKVVDEGLEYLVLATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVARSRLTLDNVTCIVLQFHHGKSTNSNPPSILRAAMRRHHHLLGLLRRATASPTPASRRAGPPLPGLLQDPLRNGAAAAGPRFFSSRAGPGAGAAAKSLIEDEAELSDWISDLKTDSFHLGLSSGDEGEASTRGPAASRGGRRGRDSRGPPPRSRFGGGDFGGDRRGFERRGRMVSNNELGDDDDDEDEVGFGSARGRRDRGGRQSEFSHRGRRGNDRGGRQSEFSYGGRRANDFDDDGGGFRSTRGQRGRGGRLANVSRRGYDFDDEPGFQSPKGQRGQGGRYSDLDDDEGGFGSLRGRRGRGGRMSGVSRRGGRGSDLDDSEDDEYSRGSSPRGRRGRGGRMSGVSRKGGRGSDLDDSEDDENDSIDSRGRRRDHGTRGRNVGSLGPRRGGRGGDADFSDRRSRGGKMFDFGLSEDDCELGEVDEDDGPSGFEDDLFDDEGGEKNLVESPAKNSAPFESIKGEPVDQEGVVHTRESGGGDSYLSQTRFDECSLSPLTLKGVKAAGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPIDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVEEGSEETHSQVKQMHVVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQMGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKELAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLNNIPVYATTLGTLYKVKVRRQNMQEALSIHYL >ONIVA06G20270.2 pep chromosome:AWHD00000000:6:18831262:18849427:-1 gene:ONIVA06G20270 transcript:ONIVA06G20270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPPSPPTHRCAAREGRSGGGGLAGALLRRMARAAGLRALVGIEAAGRGRRVAASPSPGGTPAASRGLPGWPGFCGVGCGSSSSSSFAPPRMQARRAAGSAARTRSPSQSNGWITGGSASEDGRLSWGYSSFKGRRPSMEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRVVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIVVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQDKVVDESLEYLILATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVAHSRLTLDNITCIVLHRAGPHPSLHAPGPLRNGGSAPRFFSSRGGAGAASKGLGDDEVELYSLLLGVSIGDEGEASSRGPAASRGGRRGRNSKRQPPRSRFDGDGVGCSKDGKLSWGYSSFQGRRPSMEDRLSIKSTTVNGETVSLFGVFDGHGGPRAAEYLKKHLFKNLVKHPKFLKDTKLAISNSISSDRYRDDGSTAVAAILIGNRLYVANVGDSRAVALKAGKAVPLSEDHKPNKKDERKRIEDAGGIVVSDDIWRVDGILAVSRAFGNRLMKRYVKAEPNIQEKVVDEGLEYLVLATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVARSRLTLDNVTCIVLQFHHGKSTNSNPPSILRAAMRRHHHLLGLLRRATASPTPASRRAGPPLPGLLQDPLRNGAAAAGPRFFSSRAGPGAGAAAKSLIEDEAELSDWISDLKTDSFHLGLSSGDEGEASTRGPAASRGGRRGRDSRGPPPRSRFGGGDFGGDRRGFERRGRMVSNNELGDDDDDEDEVGFGSARGRRDRGGRQSEFSHRGRRGNDRGGRQSEFSYGGRRANDFDDDGGGFRSTRGQRGRGGRLANVSRRGYDFDDEPGFQSPKGQRGQGGRYSDLDDDEGGFGSLRGRRGRGGRMSGVSRRGGRGSDLDDSEDDEYSRGSSPRGRRGRGGRMSGVSRKGGRGSDLDDSEDDENDSIDSRGRRRDHGTRGRNVGSLGPRRGGRGGDADFSDRRSRGGKMFDFGLSEDDCELGEVDEDDGPSGFEDDLFDDEGGEKNLVESPAKNSAPFESIKGEPVDQEGVVHTRESGGGDSYLSQTRFDECSLSPLTLKGVKAAGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPIDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVEEGSEETHSQVKQMHVVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQMGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKELAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLNNIPVYATTLGTLYKVKVRRQNMQEALSIHYL >ONIVA06G20270.3 pep chromosome:AWHD00000000:6:18831262:18849427:-1 gene:ONIVA06G20270 transcript:ONIVA06G20270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPPSPPTHRCAAREGRSGGGGLAGALLRRMARAAGLRALVGIEAAGRGRRVAASPSPGGTPAASRGLPGWPGFCGVGCGSSSSSSFAPPRMQARRAAGSAARTRSPSQSNGWITGGSASEDGRLSWGYSSFKGRRPSMEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRVVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIVVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQDKVVDESLEYLILATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVAHSRLTLDNITCIVLHRAGPHPSLHAPGPLRNGGSAPRFFSSRGGAGAASKGLGDDEVELYSLLLGVSIGDEGEASSRGPAASRGGRRGRNSKRQPPRSRFDGDGVGCRLHTLGHGGPRAAEYLKKHLFKNLVKHPKFLKDTKLAISNSISSDRYRDDGSTAVAAILIGNRLYVANVGDSRAVALKAGKAVPLSEDHKPNKKDERKRIEDAGGIVVSDDIWRVDGILAVSRAFGNRLMKRYVKAEPNIQEKVVDEGLEYLVLATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVARSRLTLDNVTCIVLQFHHGKSTNSNPPSILRAAMRRHHHLLGLLRRATASPTPASRRAGPPLPGLLQDPLRNGAAAAGPRFFSSRAGPGAGAAAKSLIEDEAELSDWISDLKTDSFHLGLSSGDEGEASTRGPAASRGGRRGRDSRGPPPRSRFGGGDFGGDRRGFERRGRMVSNNELGDDDDDEDEVGFGSARGRRDRGGRQSEFSHRGRRGNDRGGRQSEFSYGGRRANDFDDDGGGFRSTRGQRGRGGRLANVSRRGYDFDDEPGFQSPKGQRGQGGRYSDLDDDEGGFGSLRGRRGRGGRGSDLDDSEDDEYSRGSSPRGRRGRGGRMSGVSRKGGRGSDLDDSEDDENDSIDSRGRRRDHGTRGRNVGSLGPRRGGRGGDADFSDRRSRGGKMFDFGLSEDDCELGEVDEDDGPSGFEDDLFDDEGGEKNLVESPAKNSAPFESIKGEPVDQEGVVHTRESGGGDSYLSQTRFDECSLSPLTLKGVKAAGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPIDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVEEGSEETHSQVKQMHVVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQMGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKELAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLNNIPVYATTLGTLYKVKVRRQNMQEALSIHYL >ONIVA06G20270.4 pep chromosome:AWHD00000000:6:18831262:18849427:-1 gene:ONIVA06G20270 transcript:ONIVA06G20270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPPSPPTHRCAAREGRSGGGGLAGALLRRMARAAGLRALVGIEAAGRGRRVAASPSPGGTPAASRGLPGWPGFCGVGCGSSSSSSFAPPRMQARRAAGSAARTRSPSQSNGWITGGSASEDGRLSWGYSSFKGRRPSMEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRVVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIVVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQDKVVDESLEYLILATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVAHSRLTLDNITCIVLHRAGPHPSLHAPGPLRNGGSAPRFFSSRGGAGAASKGLGDDEVELYSLLLGVSIGDEGEASSRGPAASRGGRRGRNSKRQPPRSRFDGDGVGCRLHTLGHGGPRAAEYLKKHLFKNLVKHPKFLKDTKLAINQTFLKTDVDFLQSISSDRYRDDGSTAVAAILIGNRLYVANVGDSRAVALKAGKAVPLSEDHKPNKKDERKRIEDAGGIVVSDDIWRVDGILAVSRAFGNRLMKRYVKAEPNIQEKVVDEGLEYLVLATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVARSRLTLDNVTCIVLQFHHGKSTNSNPPSILRAAMRRHHHLLGLLRRATASPTPASRRAGPPLPGLLQDPLRNGAAAAGPRFFSSRAGPGAGAAAKSLIEDEAELSDWISDLKTDSFHLGLSSGDEGEASTRGPAASRGGRRGRDSRGPPPRSRFGGGDFGGDRRGFERRGRMVSNNELGDDDDDEDEVGFGSARGRRDRGGRQSEFSHRGRRGNDRGGRQSEFSYGGRRANDFDDDGGGFRSTRGQRGRGGRLANVSRRGYDFDDEPGFQSPKGQRGQGGRYSDLDDDEGGFGSLRGRRGRGGRMSGVSRRGGRGSDLDDSEDDEYSRGSSPRGRRGRGGRMSGVSRKGGRGSDLDDSEDDENDSIDSRGRRRDHGTRGRNVGSLGPRRGGRGGDADFSDRRSRGGKMFDFGLSEDDCELGEVDEDDGPSGFEDDLFDDEGGEKNLVESPAKNSAPFESIKGEPVDQEGVVHTRESGGGDSYLSQTRFDECSLSPLTLKGVKAAGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPIDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVEEGSEETHSQVKQMHVVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQMGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKELAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLNNIPVYATTLGTLYKVKVRRQNMQEALSIHYL >ONIVA06G20270.5 pep chromosome:AWHD00000000:6:18831262:18849427:-1 gene:ONIVA06G20270 transcript:ONIVA06G20270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPPSPPTHRCAAREGRSGGGGLAGALLRRMARAAGLRALVGIEAAGRGRRVAASPSPGGTPAASRGLPGWPGFCGVGCGSSSSSSFAPPRMQARRAAGSAARTRSPSQSNGWITGGSASEDGRLSWGYSSFKGRRPSMEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRVVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIVVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQDKVVDESLEYLILATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVAHSRLTLDNITCIVLHRAGPHPSLHAPGPLRNGGSAPRFFSSRGGAGAASKGLGDDEVELYSLLLGVSIGDEGEASSRGPAASRGGRRGRNSKRQPPRSRFDGDGVGCRLHTLGHGGPRAAEYLKKHLFKNLVKHPKFLKDTKLAISNSISSDRYRDDGSTAVAAILIGNRLYVANVGDSRAVALKAGKAVPLSEDHKPNKKDERKRIEDAGGIVVSDDIWRVDGILAVSRAFGNRLMKRYVKAEPNIQEKVVDEGLEYLVLATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVARSRLTLDNVTCIVLQFHHGKSTNSNPPSILRAAMRRHHHLLGLLRRATASPTPASRRAGPPLPGLLQDPLRNGAAAAGPRFFSSRAGPGAGAAAKSLIEDEAELSDWISDLKTDSFHLGLSSGDEGEASTRGPAASRGGRRGRDSRGPPPRSRFGGGDFGGDRRGFERRGRMVSNNELGDDDDDEDEVGFGSARGRRDRGGRQSEFSHRGRRGNDRGGRQSEFSYGGRRANDFDDDGGGFRSTRGQRGRGGRLANVSRRGYDFDDEPGFQSPKGQRGQGGRYSDLDDDEGGFGSLRGRRGRGGRMSGVSRRGGRGSDLDDSEDDEYSRGSSPRGRRGRGGRMSGVSRKGGRGSDLDDSEDDENDSIDSRGRRRDHGTRGRNVGSLGPRRGGRGGDADFSDRRSRGGKMFDFGLSEDDCELGEVDEDDGPSGFEDDLFDDEGGEKNLVESPAKNSAPFESIKGEPVDQEGVVHTRESGGGDSYLSQTRFDECSLSPLTLKGVKAAGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPIDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVEEGSEETHSQVKQMHVVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQMGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKELAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLNNIPVYATTLGTLYKVKVRRQNMQEALSIHYL >ONIVA06G20270.6 pep chromosome:AWHD00000000:6:18831262:18849427:-1 gene:ONIVA06G20270 transcript:ONIVA06G20270.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPPSPPTHRCAAREGRSGGGGLAGALLRRMARAAGLRALVGIEAAGRGRRVAASPSPGGTPAASRGLPGWPGFCGVGCGSSSSSSFAPPRMQARRAAGSAARTRSPSQSNGWITGGSASEDGRLSWGYSSFKGRRPSMEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRVVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIVVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQDKVVDESLEYLILATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVAHSRLTLDNITCIVLHRAGPHPSLHAPGPLRNGGSAPRFFSSRGGAGAASKGLGDDEVELYSLLLGVSIGDEGEASSRGPAASRGGRRGRNSKRQPPRSRFDGDGVGCHGGPRAAEYLKKHLFKNLVKHPKFLKDTKLAISNSISSDRYRDDGSTAVAAILIGNRLYVANVGDSRAVALKAGKAVPLSEDHKPNKKDERKRIEDAGGIVVSDDIWRVDGILAVSRAFGNRLMKRYVKAEPNIQEKVVDEGLEYLVLATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVARSRLTLDNVTCIVLQFHHGKSTNSNPPSILRAAMRRHHHLLGLLRRATASPTPASRRAGPPLPGLLQDPLRNGAAAAGPRFFSSRAGPGAGAAAKSLIEDEAELSDWISDLKTDSFHLGLSSGDEGEASTRGPAASRGGRRGRDSRGPPPRSRFGGGDFGGDRRGFERRGRMVSNNELGDDDDDEDEVGFGSARGRRDRGGRQSEFSHRGRRGNDRGGRQSEFSYGGRRANDFDDDGGGFRSTRGQRGRGGRLANVSRRGYDFDDEPGFQSPKGQRGQGGRYSDLDDDEGGFGSLRGRRGRGGRMSGVSRRGGRGSDLDDSEDDEYSRGSSPRGRRGRGGRMSGVSRKGGRGSDLDDSEDDENDSIDSRGRRRDHGTRGRNVGSLGPRRGGRGGDADFSDRRSRGGKMFDFGLSEDDCELGEVDEDDGPSGFEDDLFDDEGGEKNLVESPAKNSAPFESIKGEPVDQEGVVHTRESGGGDSYLSQTRFDECSLSPLTLKGVKAAGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPIDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVEEGSEETHSQVKQMHVVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQMGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKELAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLNNIPVYATTLGTLYKVKVRRQNMQEALSIHYL >ONIVA06G20260.1 pep chromosome:AWHD00000000:6:18823379:18825871:-1 gene:ONIVA06G20260 transcript:ONIVA06G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCTGGAGGVAAGRLPAVSLQQAQWKLVDERCELREEEMEYVRWFHRYELVATGATPSLPSTSGCPSKLGLPSTRRIERLGFPDDNDHTLRVKFIGGDHMLKDYSSTLIIHLEVIDGQLVTLVIESFVVDILEGNTKDEISYFIENLLKFNLRTLRV >ONIVA06G20250.1 pep chromosome:AWHD00000000:6:18813014:18814064:1 gene:ONIVA06G20250 transcript:ONIVA06G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDPHMDNSPRTQLSAPESLGEHRVADIQTSYSHRLHTNNVLESQQRKTGITRAELELKTRNIHWGDLNLEEKKRTRKELKAEYNELLAAENCISKSQEDDVITNENYERASLRDP >ONIVA06G20240.1 pep chromosome:AWHD00000000:6:18808374:18808972:-1 gene:ONIVA06G20240 transcript:ONIVA06G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEMRMREKLATMDERQAMEMRLTWISELIASNKKSIAGNKAYILALIDAIDNDRCPYTAAELSDKIRELREDRETVILPRAGCHQDDDRLGASSYARRRLRWWYSSSWRRRQLRCHWLWPNSPVADDQPYE >ONIVA06G20230.1 pep chromosome:AWHD00000000:6:18799660:18806464:-1 gene:ONIVA06G20230 transcript:ONIVA06G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0HRT4] MATAAGMGIGAACLVAPQVRPGRRLRLQRVRRRCVAELSRDGGSAQRPLAPAPLVKQPVMPTFLVPTSTPPAPAQSPSPAPTPPPLPDSGVGEIEPDLEGLTEDSIDKTIFVASEQESEIMDVKEQAQAKVTRSVVFVTGEASPYAKSGGLGDVCGSLPIALALRGHRVMVVMPRYMNGALNKNFANAFYTEKHIKIPCFGGEHEVTFFHEYRDSVDWVFVDHPSYHRPGNLYGDNFGAFGDNQFRYTLLCYAACEAPLILELGGYIYGQKCMFVVNDWHASLVPVLLAAKYRPYGVYRDARSVLVIHNLAHQGVEPAGTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSQGYSWEVTTAEGGQGLNELLSSRKSVLNGIVNGIDINDWNPSTDKFLPYYYSVDDLSGKAKCKAELQKELGLPIRPDVPLIGFIGRLDYQKGIDLIKLAIPDLMRDNIQFVMLGSGDPGFEGWMRSTESGYRDKFRGWVGFSVPVSHRITAGCDILLMPSRFEPCGLNQLYAMQYGTVPVVHGTGGLRDTVENFNPFAEKGEQGTGWAFSPLTIEKMLWALRMAISTYREHKSSWEGLMKRGMSSDFTWDHAASQYEQIFEWAFMDQPYVM >ONIVA06G20220.1 pep chromosome:AWHD00000000:6:18794272:18797651:-1 gene:ONIVA06G20220 transcript:ONIVA06G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWTAAAGMLGSAAYTRMRAENPSEFMPRRVLLSHAGLENEIDRGNSFWVKAALVYESVTGDHVDDHTRHLSRDLLLNLAAYCCPRVHPDPSPRRPQALPEAEDKKKAEDDQLAKRTQHPDEGTEENKQVIDAIFLVVGFLPRLTKAAATGGGKGAAAAAARRDAVDESFKATHMQDIVTDVIKLENQLPIKHLLAVADLAEAAVHAAAGDIPGLKDDVKKALREYKLGFARANFDGVIRSFCWYYSPFFSKDEQAKKPDDAAISGELTLLDCLHASLVPPSSEAGGGAGGVKGGKTSRIPTAKELRRSGIRLEAGVEDGRAVVQFKEDAATLRLPALVFDFKLATVARNLLARELEEQSKPVTRYFQLMNELVEEVADVRILRRAGVVRGGSRGAGEVHELIKKIDGYATYPSVFMAMDVQVEKVKVFHEKRMNNFFVRYRPAIVAASSVVAASVVAIVATRKKRG >ONIVA06G20210.1 pep chromosome:AWHD00000000:6:18787768:18788796:1 gene:ONIVA06G20210 transcript:ONIVA06G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVIVEKKARRIVRGRWHVEASNEAAAAAPAVAAPAPRVVRVLCRDHDATDSSGDDDGEDDAPRRARLLVHEIHVARQPVAMSPAAASSSQRRRVGPMKRTESAVDATMDATAAAPERKFRGVRKRPWGKYGAEIRVSQQSARVWLGTFDTAEEAARVYDHAALRLRGPSATTNFPVTPAAPSPPPSRATYAGAASGYDESSDESQLVGSPVSVLRPMPARATAKKEAKEEDDSAPDILGISAGDGLISPFTCDVLNFSPPDEDMFGGGISFGEPTPPPMVFDDDCMARLGHAPNDDEHPVTSSSFLDGDLGDLPSWTEVDGFFSDVGGDDLFAAEPFPAL >ONIVA06G20200.1 pep chromosome:AWHD00000000:6:18780128:18783513:-1 gene:ONIVA06G20200 transcript:ONIVA06G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-associated/translation elongation factor EF1B protein [Source:Projected from Arabidopsis thaliana (AT5G53330) TAIR;Acc:AT5G53330] MATPSTSPLQFSPTRNRVLLHPSRRSDPPAARRVSSHPRSSAPPARARARAARMDYDYRGRPGSGSYGGGVGGGGGSSSLYPRVGQPSHGVANAPPPQPPRAAPYHHHGPPTVSAAPHPVPAPSSTSMGIQVVIKPAYRITPPPQLPPQLTEIPRSTFNFDFEYERKILAEAEKENPNWSKFVIESQPPPPPQPPRGPKLTTPTTSVATPGDPVVDKYISMGLGREAVSFAVKEFVKSYNALHEMGFTSSNVPELLAIHDNDPDKVIQHLIGTS >ONIVA06G20190.1 pep chromosome:AWHD00000000:6:18776876:18778533:-1 gene:ONIVA06G20190 transcript:ONIVA06G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G01130) TAIR;Acc:AT4G01130] MRRITWLATAAAALCCLVAAASATAQCRFPAVFNFGDSNSDTGGFWAAFPAQQAPFGMTYFRRPAGRASDGRLVSVGSGYRHGANFATLASTALQPNTSLFVTGISPFFLAVQLNQMKELRTKVLTSNGNNDQLPAPDVLHNALYTIDIGQNDLTSNLGSQSIETVKQSLPSVVSKISSTVQELYNIGARNIMVFNMAPIGCYPAFLTKLPHTSNDMDGYGCMKTYNSAVTYYNELLNNSLAEVRKKLQDASIVYLDKHAVTLELFRHPKAHGLKYGTKACCGYGDGAYNFNPDVYCGSSKLLNGQTVTAKACADPQNYVSWDGIHATEAANKIIASSLMSGSYLYPPFDLSKLCHLQPIA >ONIVA06G20180.1 pep chromosome:AWHD00000000:6:18770102:18774885:1 gene:ONIVA06G20180 transcript:ONIVA06G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVRFNPWRAAEAEVQGVKRKGDSCYNKGSYGKAIKHYTRGAELDPSDISFLIKRAKALSGLGQECVRDCNDALRRGEELGSGSSGNKLISEALLWKASALEHLADCAADYEQVILLLRRSLETCHSEEAQIRLKGALFMREQYEELKSQKLECGAYPTYTQHLYPARLEERINMDKTRLNTLLKHATKELQKNEDKLSEERSRRKEYEDMVMAIQASIEQLTMNHDAELKSVREDKANLECQLLQCTEKLERLQSILNREPPFTCPIFLHVMEDPYITADGHTYDGEAIRAWLDAGHDTSPVTNLPLEHMELIPNRALRSAIVWWHEQQNAAREHRDMA >ONIVA06G20170.1 pep chromosome:AWHD00000000:6:18765462:18768025:-1 gene:ONIVA06G20170 transcript:ONIVA06G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRPQSAIGGIGVWDCTTGEQADFFYEPPGCALGDADKLQWLDGTSTLMAATMFLRTDTSFIILLDFRDKKNVAWLWSDVGTPASLEDKNVLHAIAMEDGRSRSIRLVLLTYNKLDTDPHEADGVQVRDSRKQLATKATCKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONIVA06G20160.1 pep chromosome:AWHD00000000:6:18761112:18765890:1 gene:ONIVA06G20160 transcript:ONIVA06G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSRGGGGGGRAMGAKERKEAAQREKDAGDEAYGEELYKRAAAHYAAAADLDPGDISCLIKRAKANFSMNKCEECVADCDEALGRRRRRRRDARCGCDEKLAADALFLKALALLNLAVCAADHEPAITALEGSLELRPGSKETRAKLEMAKRNRDAFAEQERLDQEAAKTHRDKGLELLRKKKYKEAEMQFTEAIKRNPRYPKICLQLLLFNFGIHLFMIDMITQNFSDRARCLIELNSLPKALEDANRCIELDDTLGMGYLRKGLVQIAMAKYEDAIATLVDGLKHDPPNLSIHNGLRECAARIKMAKDSDAIAKDLTKHQREIECLHKQLNEGENKASKERSRRMKSEKLVKTLSSQVEQLRSANERNANLERELSECRERFERLQLIQNRILQHFTCPISHEVMNDPLMAADGHTYEAKFIRDWFRRGHNTSPITNVELEHKKLVPNRALRSAIEECRK >ONIVA06G20150.1 pep chromosome:AWHD00000000:6:18759517:18759929:-1 gene:ONIVA06G20150 transcript:ONIVA06G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW50] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONIVA06G20140.1 pep chromosome:AWHD00000000:6:18753422:18758618:1 gene:ONIVA06G20140 transcript:ONIVA06G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHSHRRGATEANEQVRRKKEKAQREKEAGDDDFDEGRYKRAVEHYARAAALDPGDISLPIKCAKSYFHNPHGPVRGVREAMRRGGGGGRELRAKKSLVALALLLKGTALLNLADCASDCKAAIRALKQSLDEHYHKGTEAILDEAESTMEEMEELEKEAAKHHREKGKELLSQKKYKEAAIQFTKAIKKNALNPRNFSDRAKCRIELNALAEGLEDADKSIELDPTFWKGYLRKGEVQFLMHNYEDAMTTYLDGLKYGPQKTTIYDGIKRCLKQIKMAKDRDDRAKDLWEAFKKSSSSQVEKLMMQRDVVTVELKSAKERNANLEQQLSEQISRIERLLSIQNSEPPHFICPISQEVMNDPHFAADGHTYEAEHIRKWLNDGHDTSPMTNE >ONIVA06G20140.2 pep chromosome:AWHD00000000:6:18753422:18758686:1 gene:ONIVA06G20140 transcript:ONIVA06G20140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHSHRRGATEANEQVRRKKEKAQREKEAGDDDFDEGRYKRAVEHYARAAALDPGDISLPIKCAKSYFHNPHGPVRGVREAMRRGGGGGRELRAKKSLVALALLLKGTALLNLADCASDCKAAIRALKQSLDEHYHKGTEAILDEAESTMEEMEELEKEAAKHHREKGKELLSQKKYKEAAIQFTKAIKKNALNPRNFSDRAKCRIELNALAEGLEDADKSIELDPTFWKGYLRKGEVQFLMHNYEDAMTTYLDGLKYGPQKTTIYDGIKRCLKQIKMAKDRDDRAKDLWEAFKKSSSSQVEKLMMQRDVVTVELKSAKERNANLEQQLSEQISRIERLLSIQNSEPPHFICPISQEVMNDPHFAADGHTYEAEHIRKWLNDGHDTSPMTNE >ONIVA06G20130.1 pep chromosome:AWHD00000000:6:18743517:18747937:-1 gene:ONIVA06G20130 transcript:ONIVA06G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALTRSSSVRSAIRRLGSSRAFSASAAAAPRRDARGAAAAAVAVAAGSGLGIWLLPPSPRPLADSGQAGNEVAAFGDVAEEEEREEKRRFLFGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASIRNPEGEVYMLPADLMRAVVPVFPPSESKIVREGSLRGERNPGELHCAPSEFFMLFDTNGDGLISFAEYIFFVTLLSIPESSFNIAFKMFDLDHSGEIDKEEFKKVMALMRSYHRQGAAHRDGLRFGLKVGQSVENGGLVEYFFGKDGNEQLRYDKFSNFLKQLHDEIVRLEFSHYDVKSSKTISVKDFALSMIASADMNHINKLLDRVDDFDDYPDLKDLRITFEEFKAFADLRRKLEPFAMAIFSYGKVNGLLTKQDLKRAATHVCEVDLTDKVVDVIFLVFDANRDGSLSADEFLRALQRRESDIRQPASSDCDLVLGSENDHPLEFCKHDFAVNSLAYQSCRNM >ONIVA06G20120.1 pep chromosome:AWHD00000000:6:18740742:18743157:1 gene:ONIVA06G20120 transcript:ONIVA06G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPIQTSGAAANLAIANIAMEQSGRVAVPLTALALLAVVAAGVALPPAPSSGTGIQDGRGSEHSLRHVPDEPQKAPPTSVHARPINKKVTRGKIEAGLARSRAAIRRAARATPPSDESIRRRGSASTVVAAAGDDDYVPRGAIYRNARAFHRSYVEMERRFKIWTYREGEPPVAHIGPGTDIYSIEGQFMYEMDDPRSRFAARRPDDAHAFLLPISVCNLVHYVYRLNATGDLAPLRGLVADYVRVVAERYPYWNRSRGADHVIVSCHDWAPMVTSAHRQLYGNAIRVLCNANTSEGFRPRKDATLPEVNLADGVLRRPTAGLPPENRTTLAFFAGGRHGHIRESLLRHWLIGNKGGAAADGDGDGDMRVHEYLPAGEDYHAQMAAARFCLCPSGFEVASPRVVESVFAGCVPVIISEGYPPPFGDVLDWGKMSVAVPAARIPELRAILRRVSERRYRVLRARVLQAQRHFVLHRPARRFDMIHMVLHSIWLRRLNVRLPY >ONIVA06G20110.1 pep chromosome:AWHD00000000:6:18732463:18739976:1 gene:ONIVA06G20110 transcript:ONIVA06G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCSRKRSQLVHEDDSFQTSSRFSKTSSLKWLLLTLPRSNSDVSRKGQGKGPGRCPSLMELCVAKACEDINKYSSFSVLPRDLSQQIFNELVVSNRLTETLLETFRDCALQDIDLGEYPGVNDAWMEVVASQRHSLLSVDISCSEITDSGLYLLRDCPNMQSLACNYCDMISEHGLGILSGLSNLTSLSFKSSDGITAEAMEAFANLVNLVNLDLERCLKIHGGLVHLKGLRNLESLNMRYCNNIADSDIKYLSDLTNLKELQLACCRITDLGVSYLRGLSKLTQLNLEGCPVTAACLEAISGLASLVVLNLSRCGIYGEGCENFQGLKKLKVLNLGFNNITDDCLAHLKELINLESLNLDSCKVGDEGLLHLRGLMLLKSLELSDTEVGSSGLQHLSGLRNLESINLSFTLVTDTGMKKISALNSLKSVNLDNRQITDVGLAALTSLTGLTHLDLFGARITDYGTSCFRFFKNLESLEVCGGLITDAGVKNIKDLKALKQLNLSQNVNLTDKTLELISGLTALVSLNVSNTRVSNAGLRHLKDLQNLRSLSLDSCRVTTSEVKKLQATVLPNLISVRPE >ONIVA06G20100.1 pep chromosome:AWHD00000000:6:18718434:18728793:1 gene:ONIVA06G20100 transcript:ONIVA06G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTPSPPAAAAAAAADDPSPSASDSPSATFSVERRGDASASCRWTLPDFPRTRARTFYSRYFEVGGFDCRLLLYPRGDSQALPGYLSLYLQVLDPKTPTSSSSATTTSSSSKWECFLSYRLSVAHPSPDPSKSLARDSWHRFSSKKRSHGWCDFAPSAAAAYLFPPHDSLVIAADISVLAEAASFAEADSRFTWKVLNFGTFREMVRTQKIMSPAFFPAANAGGSDCGLRISVYQSNVSGADHLSVCLESKEPLVQATSGSSASALPPSAGGSGVPDGDRGCWCLFRVSILNQKPGGSHIHKDSYGRFGADNASLGWGDYIKMDDFLAADGGYLLDGAVVFSASVHVIKESNSFTRSLPMIAGMSGAGSGRAGARKSDGHFGKFVWRIENFTRLKELLKKRKITGLCIKSRKFQVGNRDCRLIVYPRGQSQPPCNLSVFLEVTDPRNSSEWSCFVSHRLSVINQKLEERTIVKESQNRYSKSAKDWGWREFVTLTVLFDQDAGFLVQDTVVFAAEVLILKETATIQELSDEDSEACSSGSGCQIDSLPKRPSFTWKVENFLSFKDIMETRKIFSKYFQAGGCELRIGVYESFDTICIYLESDQPSGFDPDKNFWVHYKMAIINQKNSAKTVCKESSICTKTWNNSVLQFMKVSDLLDTDAGFLVRDTVVFVCEIIDCCPWFDFSDLEVLASDDDQDDLSTDPDDFIESEDSEDMSGDEEDMFRNLLSRAGFSLTYGENYTQPQVTLREKILTDASAIAGFLTGLRVYLDNPAKVKRMLLPTKVSTRAGGKKDVSKCDSSSTSLISLLMGVSVLKQAIIDLLLDIMVECCQPSEERPAYVSSSASSKTSPDSNGASSPPELNVEGELTECAFSNKYERLKPGNDDIHHRLSVQNTDKCTNDIPARVLEQSCSPPEVSGADLLEDEGSDQASRTKWPEQSEELLGLIVSSLRALDSAVPHGCPEPRRRPQSVQKIALVLEKSPKKLQPDLVALVPKLVDSSEHSLAACALLDHLQKPDTEPSLRLPVFNALSELEFDCDIWKQASFHALELLADSNDEPLVEAITYVLKAASQCQHIAQAARAVRWRLKDLGTEVPLCVLDFLSKTVHSWSDVADALLKDIDSDCEPDSSCLSMSCSTSSTDEFSAEGMHSLQGQAVHGKDHLSDVFILIEMLSIPRLFVEVSQRALLRGAFGLQLVAMVLERRHSHRLSLKSGTGTMVNDSQNKQVLLDGQFEHLPVQEDDFTSVLALGEVLSLSTETRVQDFVRMLYAIIFKIYTEDHYRYRILKGLVERATNTSDSCRAVDIDMDVLVFLVKEEFGIARPVLNMLREVAEVAQADRANLWHQICATEDENMHLREDMDMEQTKFTKEKDVLMQQLTESEAANAHLRSELKAEKDRFVREKKELSEQMLEMENQLEWVRSEKDEQIVKLTADKKNLHDRLHEAETQLSQFKAWKREELKKITKEKNALAERLKGVEASRKRVDDEFKRFVAEAQTREEIRKSLEGEVRRLTQTVGQTEGEKKEKEDQITRCEAYIDGMESKLQVCQQYIHTLETSIQEEMARHAPVYGVGVEALSLDELETLTNIHERGLRQIHAIRQRKGSSHRLSAPSLPHVPGLYSSPPSMAVGLPSSLIPTSSVAPNGAGIHGNGHMNGSMGSWFNPT >ONIVA06G20090.1 pep chromosome:AWHD00000000:6:18704583:18709288:-1 gene:ONIVA06G20090 transcript:ONIVA06G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFVIVSKNDIPIYEAEVGSAPKREDQAYQHQFILHAALDVVQDLAWATNTMSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKITPSPGCLIDKLSVFS >ONIVA06G20080.1 pep chromosome:AWHD00000000:6:18696132:18696555:1 gene:ONIVA06G20080 transcript:ONIVA06G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFEKMGRRWLVQHGMAVADPRSLARPWDGMTPPPPLGVVPLLGGIVLALTPPSTKNLSHAIVIIGGLMQWNRSSTGLGCLTRPSPRWFRFLLYGG >ONIVA06G20070.1 pep chromosome:AWHD00000000:6:18677819:18682954:-1 gene:ONIVA06G20070 transcript:ONIVA06G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAPKENGHTTGGAAAEAKAAAAWAEITVTDAAAVPKPTPPPAAVAVDPRLQGISDAIRVVPHFPKQGIMFNDITPLLLRPGVFKDAVDIFVERYRGMAIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEPGERVLIVDDLVATGGTLCAAIRLLERAGADVVECACLIGLPKFKECTWLHSLQIASEHWTDIDKSAVHY >ONIVA06G20070.2 pep chromosome:AWHD00000000:6:18677819:18682984:-1 gene:ONIVA06G20070 transcript:ONIVA06G20070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEANCNVAMESAAPKENGHTTGGAAAEAKAAAAWAEITVTDAAAVPKPTPPPAAVAVDPRLQGISDAIRVVPHFPKQGIMFNDITPLLLRPGVFKDAVDIFVERYRGMAIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEPGERVLIVDDLVATGGTLCAAIRLLERAGADVVECACLIGLPKFKECTWLHSLQIASEHWTDIDKSAVHY >ONIVA06G20070.3 pep chromosome:AWHD00000000:6:18678152:18682984:-1 gene:ONIVA06G20070 transcript:ONIVA06G20070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEANCNVAMESAAPKENGHTTGGAAAEAKAAAAWAEITVTDAAAVPKPTPPPAAVAVDPRLQGISDAIRVVPHFPKQGIMFNDITPLLLRPGVFKDAVDIFVERYRGMAIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEPGERVLIVDDLVATGGTLCAAIRLLERAGADVVECACLIGLPKFKRSGAIMPWLSVEIV >ONIVA06G20070.4 pep chromosome:AWHD00000000:6:18677859:18682984:-1 gene:ONIVA06G20070 transcript:ONIVA06G20070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEANCNVAMESAAPKENGHTTGGAAAEAKAAAAWAEITVTDAAAVPKPTPPPAAVAVDPRLQGISDAIRVVPHFPKQGIMFNDITPLLLRPGVFKDAVDIFVERYRGMAIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEPGERVLIVDDLVATGGTLCAAIRLLGMHMASFLTNCK >ONIVA06G20060.1 pep chromosome:AWHD00000000:6:18677149:18677525:1 gene:ONIVA06G20060 transcript:ONIVA06G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWQRRASLPTPKSSGSPSGFDAGRVSGSSISYHSLAERERERCLPSRQPGHEYECTVRPYEAGQHVACDADADDDHDAEADMEQNKGMQM >ONIVA06G20050.1 pep chromosome:AWHD00000000:6:18663683:18670193:-1 gene:ONIVA06G20050 transcript:ONIVA06G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGALLPMSAEAGKGNGGGGGGGDDAALFKGSAMTRRGAVAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTGLLYVLRRLKIISFTNSEPSVPSDALFFVPFRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLKKAIEFPYLYSPGFQAVLLFSCMLAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIKGKGDRRVIFSSSGAAHTTSASHLRRLLEGTAARARETEATEAPRAPGAAATGAAAEAEAERHQTDAMVKAAELALFVEWRSRVGIGVSRSGGGLLELFLHRRLALSETRPAPGDKSRPVYSTADLLLCGVCCAVLPRLSWWRISADARHRWRNHVHVPRPKKPYLQKRP >ONIVA06G20050.2 pep chromosome:AWHD00000000:6:18666473:18670193:-1 gene:ONIVA06G20050 transcript:ONIVA06G20050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGALLPMSAEAGKGNGGGGGGGDDAALFKGSAMTRRGAVAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTGLLYVLRRLKIISFTNSEPSVPSDALFFVPFRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLKKAIEFPYLYSPGFQAVLLFSCMLAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIKGK >ONIVA06G20050.3 pep chromosome:AWHD00000000:6:18666473:18670193:-1 gene:ONIVA06G20050 transcript:ONIVA06G20050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGALLPMSAEAGKGNGGGGGGGDDAALFKGSAMTRRGAVAALSYMACSGIILLTLATFQSAVLLVMFNKAALSSYNFPCANVITLLQMVCSTGLLYVLRRLKIISFTNSEPSVPSDALFFVPFRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLKKAIEFPYLYSPGFQAVLLFSCMLAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIKGK >ONIVA06G20040.1 pep chromosome:AWHD00000000:6:18663610:18668140:1 gene:ONIVA06G20040 transcript:ONIVA06G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMISPSVACIRRNSPPAQPRQDSTANSAQQQVGGTVHGPTLIARSRSRLRESQPPVEEKLKKSTTTSRNPNSNPTSPLHEESQLRRLHHGVRLVPLGLGLRRCAGGGGARGPRGLGGLRLPRAGGGPLEEAAKMGRARGVRGPRRGEDYAAVAPRFSHRMGETKAWEEA >ONIVA06G20030.1 pep chromosome:AWHD00000000:6:18646933:18651100:-1 gene:ONIVA06G20030 transcript:ONIVA06G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANNWLGFSLSGQENPQPHQDSSPPAAIDISGAGDFYGLPTSQPTAADAHLGVAGHHHNASYGIMEAFNRGAQEAQDWNMRGLDYNGGASELSMLVGSSGGKRAAAVEETEPKLEDFLGGNSFVSEQDHHAAGGFLFSGVPMASSTNSNSGSNTMELSMIKTWLRNNGQVPAGHQPQQQQPAAAAAAAQQQAHEAAEMSTDASASSFGCSSDAMGRSNNGGAVSAAAGGTSSQSLALSMSTGSHSHLPIVVAGGGNASGGAAESTSSENKRASGAMDSPGGGAIEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPISNYEKELDEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPVGGAARRLKEAADHAEAAGATIWRAADMDGAGVISGLADVGMGAYAASYHHHHHHHGWPTIAFQQPPPLAVHYPYGQAPAAPSRGWCKPEQDAAVAAAAHSLQDLQQLHLGSAAAHNFFQASSSSTVYNGGGGGYQGLGGNAFLMPASTVVADQGHSSTATNHGNTCSYGNEEQGKLIGYDAMAMASGAAGGGYQLSQGSASTVSIARANGYSANWSSPFNGAMG >ONIVA06G20020.1 pep chromosome:AWHD00000000:6:18643144:18644807:-1 gene:ONIVA06G20020 transcript:ONIVA06G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVTATANARVFGFPATSRHGGPVGSRAGPAFLNLRAPALRHDSKKHPLRVGAALFSPSSIKYDPIKGIKPLLSADKLRPRTQVGCRASLSSFSFPELETKPRWWWRTLACVPYLLPLHNMWSFADAVYQLHPYLQQFGLFYAFIDTMALVPGWLFLMIFMTVYFFVVRRKWLPHFLRYHVILAILLDTGSQALATMCNWNPSIVYQGKPMVFFWMTIAFIQISTVLECMRCALAGMYPSVPFVSQTAFIHSDMSMFR >ONIVA06G20010.1 pep chromosome:AWHD00000000:6:18639411:18644362:1 gene:ONIVA06G20010 transcript:ONIVA06G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKAERKAVLDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGHIQPSYLPLPELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCILEILFDKVRYSRNTKLSIVLVLVGVAVCTVTDVSVNSKGLLAAVIAVWSTALQQHYVHHLQKKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNRRVDTFNYTTIVTFFIVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLFFGKEGLNFHVVLGMILAVIGMIWYGNASSKPGGKERQVYSVPSEKTQKSSQSELDQKV >ONIVA06G20000.1 pep chromosome:AWHD00000000:6:18632008:18634703:-1 gene:ONIVA06G20000 transcript:ONIVA06G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMEGALRSCMEQLLIAREEREQIIVEAASEISSEKKKARELQRKLDAATKKAAKLAAENSSLAKALDAKDAAIGELRESKSASDGELAGARARLDAAQKQAASLQYEVRMLQKELEIRGQEREYDLQSVDASRRQQAESQKKIALLEGECQRLRAMVRKRLPGPAAIAKMRDEVDQPATPRRSRSVAPMSPRSVAPAAPMTPMSTSARPMTPTMSARPMTPMSARPMTPRRAAAAEHETPAAAAKLRAVEDENKALKQTLAKRDAELQFVQMKYADEACKLSVLQRQLSELTEENKQLSDAHGQTESWASVLISELEQFRAAKLQGAAASEMSLLDDFAEIERLEMASGGQGLRSPKNAHSEAISSEKNDKDTVLENGISISNGQPEWVQDMCKLVMQKHETSGENIDTILEEITRALDQSANNQKGDDLNGSYDWSIMKEMVFSLTEKITSVIGISEEGNVASSQKLLLDRSEFCARLEHLVHVCHDLLHGKTDLEKFVHEVCLVLEYIINQYKNISFQEQPDTVNNNTENLDGEESFGNMNGGCDIKSPKSSSAPDIQTEALEESIQSVEGRKTDHILVNQEESQLDEELTRVILDQDEKISQENSASCEIESPHDHPSAETLAEKEEKHLASSSEISAAAEKLAECQETITNLSRQLRALKSPAVSGNLDSPMSNSRPSSSDYKPQSLACILAEGEDSSTEDDISPATKEVHSKKEPDAASRKSVAQDGSVNAALKAVEEELTQTVVHPIFPEPSQEIISADLKKKRRSPSLLGRIMFRKKVEGS >ONIVA06G19990.1 pep chromosome:AWHD00000000:6:18627463:18630923:1 gene:ONIVA06G19990 transcript:ONIVA06G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLKLFLSVFLPIAALVAVAVLVYRRRSSSSRNAQPELPESVTGGGGGGDPAVSPGLGKLNIRYNATSGRAGLRFQQLHHHHGHVDVRHHHRDRGGGGGAQQGPFQWADHPRLVTEAAENGWAQFVFAVAPPRTRSASSSPLWGLCPACDSGTSRDMADAAWEVPAGSSERMQAVRLNPVVAAAAAAVSASTKKWLPGSIPSPLRGGDHDAAGNSSALCLARMSLPLPGPPLAGAPFPQDAYFEITIIYLNTRRPEWSASRASRRGRDGSSESDRAKLISFAPDAKNAAQETRAATKADDHHDKQRHTVMSLGLAAAASAAPPRPSLAGTYASSIGFHSNGAVYLDGMKLVYESEKSSWAGVDKVVGCGFEPARRKVFFTVDGQLVHAVSCNADAFSAPMYPVLASSFDVMALVNLGQGKFRYAPANARRTANPCFLRAASAGDDGRSGGSLGLDFDDSGDLFSMGRVDSGWLETASRMSKSRKENGGGGVGGASAGDPDADSDLFEISLRD >ONIVA06G19970.1 pep chromosome:AWHD00000000:6:18614359:18615858:-1 gene:ONIVA06G19970 transcript:ONIVA06G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLKVLLLLLYCVGNIGCSTVPDNTTDMLSLLGFKEAITNDPLGVLSSWNKSIHFCSWKGVWCSPKHPGRVTALNLAGQGLSGTIAASVGNLTSVRSVDLSSNNFSGQIPHLANLQKMQVLNLSFNTLDGIIPDTLTNCSNMRKLDLYTNLLEGAIPPPIGRLRNLVYIDLSRNNLTGIIPASLKNISLLETIYLQRNQLEGSIPDELGQFSNISLMALGANRLSGNIPASLFNLSSLQVLELRANLLGGTLPTNMGDHLTNLRELYMGHNMLEGHIPASLSNASMLEYIVLQSNNFTGRIPTSLGKLSRLSKLDLQLNMLEANDTEGWKFLDALTNCTALEVLALTANQLQGVIPNSIGFLPSTLQYLILGGNTLSGIIPPSIGNLSGLILLALDENSLAGTIDQLIGNLKNLQYLHLEENNFAGTIPPTIGNLTELYLMKNDFIGSIPSTVGNLTRLSWLYLGKNKLQGLIPTSLGNLKQLTRLDLSDNNLQYNMP >ONIVA06G19960.1 pep chromosome:AWHD00000000:6:18600214:18612101:-1 gene:ONIVA06G19960 transcript:ONIVA06G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGARSTAAGEKISSPTCMGGASLSFPFPNSSSRLPVPAEQDKPACICQDGNREKRTYIVLDFWQLQNLTLGSNRLYGAIPDALTNSSSLSYLDLSTNLLVGAIPANISLLLNLEYLDLDQNNLTGTIPQTIGDITSLEILYLFQNQLSGSIPDKIWQLPNLVRLVLGENNLSGPIPPSIGNLNQLVVLYLGTNGFTGLIPPSFGNLQYLAKLDVSFNNLHGSIPKELFSVATMIVCALTHNNLDGPIIIESGNLRQLSELHLSSNRITGHIPDSLGQFQEIETLRVDQNFLTGTIPISLSNLKSLSILNLSHNNLSGTIPMALDNLQFLTQLDLSDNNLKGEVPTNGVFKNSTAISLNNNCGLCGGLPDLHMPPCYTVSRRKQIQYHLVRVLIPVFGIISFLLLIHFLLLERKMSSGKYLSRPSFGKNFPKISYNDLARATSGFSESNLIERGSYGSAYKGKIAQAKMQVAIKVFDLEMRCADKCFISECDVLRSIQHRNLLPILTACSTIDNIGNAFKALVYEFMPNGNLDTWLHEQSICEAPRNLSLGQRISIIVDIADALAYLHHDSGRSIVHCDIKPSNILLDVHMNAYLSDFGIANIVLNSGSTSVGHSNLDSSFNSSIGLKGTIGYIAPEYAQSGRASPYGDIYSFGIVLLEMLTGKRPTDPMFDSDLNVVNFVGRNFPDQIPYIIDDHLKEQCRSFIQETADAGNEVYRCNESFMRAKQQLKFTMVVLLALLLFIYGVGSARCLPSHDDYSVDLQALLDFKQGIIDPNGALSDWNTSIHFCRWTGVNCSQARPWRVTKLNLTSQSLSGQISSSLGNLTFLQILSLADNNLNGPIPLLNNLQHLEILYLQQNRLHGIIPNALTNCSSLTFLSLSSNNLTGVIPPSIGNLSRLLALDLSQNNLTGIIPQTLEKVATLEVVDLSENQLNGPVPDELWQLHNIIQLILSQNSLSGGLPQSLPNISSLQELSLSSNMLGNTLPSNIGDALPNLRYLYFGINMFEGKIPDSIGNVSKIEQLDLSSNNFNGHVPSSLGKLSKMSYLNLENNKLEANDSESWEFFHALANCTSLNGFSLSNNQLQGVIPNSIGNFSVNLQYVLMGGNKLSGTVPPSIGKFQDLVQLSLDQNNLTGNIEEWIGNFTKLQHLNLEMDNFVGHIAI >ONIVA06G19950.1 pep chromosome:AWHD00000000:6:18587968:18599946:-1 gene:ONIVA06G19950 transcript:ONIVA06G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLRSVSPHKGRGDCSVSGGGNRPDPRRPTGPGGEVVGDGVVRSGDGDWRGWRRRLEALAAEDEAARSAPTGRTWWEEAGGGVVRSGGSVWWGWWQSLEALAVEDEAARSVSDLAGRHPAAPGGALECRAWSLQEGRRRLLVDGDDGADATAARSSGGGVGRRLAAAAASCGCGLKFASKLYI >ONIVA06G19940.1 pep chromosome:AWHD00000000:6:18583137:18585108:1 gene:ONIVA06G19940 transcript:ONIVA06G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGDAEKLTIFPCSPCPPCPSGELSSSLLALPLGGRRRTEAARCCIQPVVNMHPLASRMVDTKEPKMEDTVEHGSSEEDRKRSRGKGDRRARAKKTLCVVDHGGGEQKQRKRDRCGTAGEETNLELLTVTVTVTGGGDMLIGDSAYVLTDDPPHRRSVDGGITAGAFLRPVGALHRQAQHASTHGHGDFLVPSRRQKIDFPRPMAYSYVACWKRIVIRDGSPCKVVLFPSAMVSIQQVLSQLMKSDNASTPASGKNTYMVADGRIYRCALQRVDSNDS >ONIVA06G19940.2 pep chromosome:AWHD00000000:6:18584216:18585105:1 gene:ONIVA06G19940 transcript:ONIVA06G19940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGDSAYVLTDDPPHRRSVDGGITAGAFLRPVGALHRQAQHASTHGHGDFLVPSRRQKIDFPRPMAYSYVACWKRIVIRDGSPCKVVLFPSAMVSIQQVLSQLMKSDNASTPASGKNTWWPMAEYIDVHCSASTRTIHEFQ >ONIVA06G19930.1 pep chromosome:AWHD00000000:6:18577778:18583315:-1 gene:ONIVA06G19930 transcript:ONIVA06G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASGCMFTTGWMQHLAASVLRRPPRGSARREEESSPEGQGGQGEQGKMGMLWMPFTPCYADADARSKGRPWVPNPAATTSLLPDLVTRSGRQALAVSTPDGVPQRVVGYVELIGGDSSSSAAMGEDTQEAKALMIAIVPHLHRCDLVFILQSCILRNLESNLPKANKISHETLMGCAKYTTMQPQDVSSPSSLDNPCHQSRHPHLFIAHPTSQVCPCICSLLPCQVLSPALAAPGWALAPCGLLASVGRQMCIAKIKLASLCRSTTFLHTKTFKASRLLAEWHCREHSEVSTKQKKETTNHRLMMFGVHMQRPTSLNGATFLQPHFHSGPERSEANSRIH >ONIVA06G19930.2 pep chromosome:AWHD00000000:6:18577778:18583315:-1 gene:ONIVA06G19930 transcript:ONIVA06G19930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASGCMFTTGWMQHLAASVLRRPPRGSARREEESSPEGQGGQGEQGKMGMLWMPFTPCYADADARSKGRPWVPNPAATTSLLPDLVTRSGRQALAVSTPDGVPQRYKADRQVRCGRSRVVGYVELIGGDSSSSAAMGEDTQEAKALMIAIVPHLHRCDLVFILQSCILRNLESNLPKANKISHETLMGCAKYTTMQPQVWITLVIKAATRICSLRTQPARSAPASVHCCPARYSRLRWPLLAGRLRRVGCLPVLVDKCALRRLRFKASRLLAEWHCREHSEVSTKQKKETTNHRLMMFGVHMQRPTSLNGATFLQPHFHSGPERSEANSRIH >ONIVA06G19930.3 pep chromosome:AWHD00000000:6:18579355:18583315:-1 gene:ONIVA06G19930 transcript:ONIVA06G19930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASGCMFTTGWMQHLAASVLRRPPRGSARREEESSPEGQGGQGEQGKMGMLWMPFTPCYADADARSKGRPWVPNPAATTSLLPDLVTRSGRQALAVSTPDGVPQRYKADRQVRCGRSRVVGYVELIGGDSSSSAAMGEDTQEAKALMIAIVPHLHRCDLVFILQSCILRNLESNLPKANKISHETLMGCAKYTTMQPQVWITLVIKAATRICSLRTQPARSAPASVHCCPARYSRLRWPLLAGRLRRVGCLPVLVDKCALRRLRFKASRLLAEWSSLFQQSLSFMYLVISSSNIQKL >ONIVA06G19930.4 pep chromosome:AWHD00000000:6:18579355:18582422:-1 gene:ONIVA06G19930 transcript:ONIVA06G19930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCILRNLESNLPKANKISHETLMGCAKYTTMQPQDVSSPSSLDNPCHQSRHPHLFIAHPTSQVCPCICSLLPCQVLSPALAAPGWALAPCGLLASVGRQMCIAKIKLASLCRSTTFLHTKTFKASRLLAEWSSLVTLHLPLLYILAFSSSIAGSTVKFQQSLSFMYLVISSSNIQKL >ONIVA06G19930.5 pep chromosome:AWHD00000000:6:18577778:18582422:-1 gene:ONIVA06G19930 transcript:ONIVA06G19930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCILRNLESNLPKANKISHETLMGCAKYTTMQPQVWITLVIKAATRICSLRTQPARSAPASVHCCPARYSRLRWPLLAGRLRRVGCLPVLVDKCALRRLRFKASRLLAEWSSLQKKETTNHRLMMFGVHMQRPTSLNGATFLQPHFHSGPERSEANSRIH >ONIVA06G19930.6 pep chromosome:AWHD00000000:6:18579355:18582422:-1 gene:ONIVA06G19930 transcript:ONIVA06G19930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCILRNLESNLPKANKISHETLMGCAKYTTMQPQDVSSPSSLDNPCHQSRHPHLFIAHPTSQVCPCICSLLPCQVLSPALAAPGWALAPCGLLASVGRQMCIAKIKLASLCRSTTFLHTKTFKASRLLAEWSSLFQQSLSFMYLVISSSNIQKL >ONIVA06G19930.7 pep chromosome:AWHD00000000:6:18579355:18582422:-1 gene:ONIVA06G19930 transcript:ONIVA06G19930.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCILRNLESNLPKANKISHETLMGCAKYTTMQPQVWITLVIKAATRICSLRTQPARSAPASVHCCPARYSRLRWPLLAGRLRRVGCLPVLVDKCALRRLRFKASRLLAEWSSLFQQSLSFMYLVISSSNIQKL >ONIVA06G19930.8 pep chromosome:AWHD00000000:6:18582652:18583315:-1 gene:ONIVA06G19930 transcript:ONIVA06G19930.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASGCMFTTGWMQHLAASVLRRPPRGSARREEESSPEGQGGQGEQGKMGMLWMPFTPCYADADARSKGRPWVPNPAATTSLLPDLVTRSGRQALAVSTPDGVPQRYKADRQVRCGRSRVVGYVELIGGDSSSSAAMGEDTQEAKALMIAIVPHLHRW >ONIVA06G19930.9 pep chromosome:AWHD00000000:6:18582652:18583315:-1 gene:ONIVA06G19930 transcript:ONIVA06G19930.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASGCMFTTGWMQHLAASVLRRPPRGSARREEESSPEGQGGQGEQGKMGMLWMPFTPCYADADARSKGRPWVPNPAATTSLLPDLVTRSGRQALAVSTPDGVPQRVVGYVELIGGDSSSSAAMGEDTQEAKALMIAIVPHLHRW >ONIVA06G19920.1 pep chromosome:AWHD00000000:6:18576823:18579307:1 gene:ONIVA06G19920 transcript:ONIVA06G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPLTSQIHLDRWSSPAAISDPPRQMVVPVGVAVCSAREVEQYGETQRVWRVIDSQGIAGNKAASFNITSRDPARCRGRHKKQLHNID >ONIVA06G19910.1 pep chromosome:AWHD00000000:6:18575927:18576424:1 gene:ONIVA06G19910 transcript:ONIVA06G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLQALSTMVPGLSYIHVGPVLRLRSPAAEVLGPTHILHSCYATKPDLAAYAAHPAHVLPNALDTTAVDWVNTAPVPSPVTPGSAVRLSLAKLKEGVEAHQLAEKLAAATAAAGEAKGAKVSFGENFSPARAKGYQFGMVAMFDSVEGLDEVDGEGRWRRPRR >ONIVA06G19900.1 pep chromosome:AWHD00000000:6:18562705:18565125:1 gene:ONIVA06G19900 transcript:ONIVA06G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDGAGSGSYEYLSCQKTTGMIWNLTSSYTDKSNEASMVSATLIIFALAALFFNLNLFSGISDVGAILDPKVRVILSKALSLFLPVMSYLFSEAKNAGAAASAAGGSTGSLELELSLRARLILVWMLLVELLRKKVEEIRMEAWHAGTVERAGRVAWLGSLVFFNLRAAGRKAVFGILWTLCAAKLVQRMTYTEVGKRSFAYGKNAKLVISYMAQMLQNDAEVELEHHPHGCHGGDELLRRCKYLVMGEEVLVIEPINSGYRITGDIDGVTTVGKIWTLAESDHLLASLDMDHRLRRLCVSFALFKLLRRSFERLPLMTEAETRHCRDLLFRGLYAGAGDGDGGGAEALFEVMSDEANFVAEYYHSVVPVVLASPFFLLANYVLLPLVVLVLCLVVVVLCGNGDVLFSLRSIESDNYTMSSGGVATMARCLLRAVATSPAAFFTAIDLSITSLLFLVLVYEEVWEFVVFLLSNWFMVSLLHAYASSNARWRDSAAFRWAIRRILWARSKMLSHHGLRFKQFSVLSSCRLSLTLPAAVSLALAILPAVSVPCQVKQSIAEYMAKSLYDGGDGMSAVAEHPELLPFCASGGGGVGVGVVEVILTWHIATAILEEKCPPAASQSDDAIVARTLSRYMAYLVAFHPELLPGNQDSTELVFQAMNDELKQVLGFWGYHLRPLLMLMLRRTRRFECDMVVMAGVAERRPASKQQQQEMTVLQKGAALGRALVEKAGRDGAGGGVWKVVGDVWVELAVEVAPASDEERVMGHRKVLPEGGEFVTVLWALAVHTGISRRLAVTLTPPDTMDRV >ONIVA06G19890.1 pep chromosome:AWHD00000000:6:18552514:18553584:1 gene:ONIVA06G19890 transcript:ONIVA06G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKAHRHVEAVDDGRPNVYLANVVPVTAWAIAFSAVASVAVAVASAVEAAAHAPPPFWIRENLAARIPTSRSAQRYKSPSLPTEARAVPANLLAATRRRSEEDDGGAALERRRGRTVAAARILEWSGARVLVLRRVLARPPHQRRRRGLELDPKSSEWKEFRLGNQGRPPLHGAFSSLHLSSVGPALDF >ONIVA06G19870.1 pep chromosome:AWHD00000000:6:18537412:18538008:1 gene:ONIVA06G19870 transcript:ONIVA06G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHPFPSWWGAKHYIMAAIAGTLAASAIVIVTSVVLSPTRISFSVTGGGASISPSAGGQAFLLNLTIAADNPSHRAGVQYLAFAVSLQQFTARNRMESVEATVQEGVPFYQPPASSRNVLVTVPLVNKNFFGASHGGGGGRGPPFTVVVRGQVRFKVWLAYSRPYDVAVECAPVDVFSGAGGAKTSPKSTMVKCIP >ONIVA06G19860.1 pep chromosome:AWHD00000000:6:18535668:18536348:-1 gene:ONIVA06G19860 transcript:ONIVA06G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSKLQPTATRFRWLNLARCTVASVVTVLAVVVIARAVVVLLRPEKLRLSVAGGRVSVSRMPAMKPLPRVNMSFVLRAFNPSGRASIEYTGITVALRAIDDGDAASPAAAAPIIAQFPFPDVPVAQQVAHEAAARVSLAAAEDVPLRYVKALFDGRGISAAIQVDGFLTTRMEIDGRISRSNGGVATTFYCLPVTIAVGDGDDDESRTRNTWCLDKSDVPAFVG >ONIVA06G19850.1 pep chromosome:AWHD00000000:6:18533942:18534837:-1 gene:ONIVA06G19850 transcript:ONIVA06G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGRRRREKNYRAAHGGEPRLPPPPKQRELDALPSKLRRLIAIQEKHKGGEKGAVAGDSSGKQGESDAAKNKALAKIRRKLRSRTWSLLLIAKPQRLVMKAKGSGRGGKLWIFVSDFIDAGALSSLLQGGSGAGRRLHVAGVALAAPRGVAFIYGAGAGASSSEMLARRRNRGREEGG >ONIVA06G19830.1 pep chromosome:AWHD00000000:6:18512335:18512865:1 gene:ONIVA06G19830 transcript:ONIVA06G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMIGTLALLAVACSVTVVLSPAHLVFGARVREDYYSDSERTPERQINVTITANNTSKHAKVRYLSMKTEVWLDDKDWVPVDLGTDNKTSNQFRTWWQPPDSSTQLTAGVNVLETYGRPRSSSAPPPPPGSSNDNKDYTVVIKTQVQFRYGPAYTRLYSIIVTCPCIIVTCLCTY >ONIVA06G19810.1 pep chromosome:AWHD00000000:6:18507823:18509202:-1 gene:ONIVA06G19810 transcript:ONIVA06G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEDDATGRGTTVPFLVAVRFVVALAVGAVTFAVIVMVIAMVSRPEEIQLSIDRGYITVYDDYDSDEMGPVVSSLMTAATSPAATLRKYQSTSSTQLAAGGTAQLAVSLTASYSSQRGHKDKIINCSDITIGLVDMTLSPASWASGQMPAKINDTIVWFKLNNGFTLLKDTSHTEAMTVTITNSSQIMEYIEHRLKQEDVFHVLVMVHIITPSTNPNAPPPPPPAPAPAPAPALAPSNAQSPSNNGPAHTFYCWPITIGYGYSALQDTDDVGCKSIGSSVVPGNQTNRANATSVTSGGSTGVFVGSGFQYAQIGG >ONIVA06G19800.1 pep chromosome:AWHD00000000:6:18474573:18474956:1 gene:ONIVA06G19800 transcript:ONIVA06G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPKTLEGKAPEEATPIEAAPKVALETTMISNKEVVADQAPEKVVEEATAMAELPPNNDAIVMQDKEEEVEEKIVEEEKPSAPAAEVNNTEVVEETIEVKNTEVDKGTTEVKNTEEEKPIQS >ONIVA06G19790.1 pep chromosome:AWHD00000000:6:18471360:18473567:1 gene:ONIVA06G19790 transcript:ONIVA06G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGDYDTCIDMIFANYVQNLTSSYANKSNETSIVATLSIMFILASLFFILSLFSRLSDVSAVLNPTVRLILSSSLSLFLPVMSYLFSEAKNGDATAGSSGQQTELSLRARTILTWMLLVELLRNKVETALVSDTGAKGYLSTIQQATRVAWQGYLVFFNLKSSGQRVVFGFLWVIAASQLFQRITINEVLKSSYAYGKNAQRLHSYMAHILLHRRRQDSDEGGGGAQLLKLCDYAVMGEEELEMEAGPPEDSELNIQKIISARNTTDHVITVGKIWSLADVRDSPLQKDHRLKRLCLSFALHKLLRRRFENLRFTDAEVHNCRDLIFRGLCRDGTDKEAIAVALFQVLRDEILFVNEYYNSVLPVVLSSPFFLLANYFMSPILVLAFFLLTFIACNNGDWSYALQSITSDNLLLHIGIIKTVKCLFHYISTPPALYTTVDLAITFLLVLANIYEEIWEFIVCILSNWFMVSLIHLYARNPQRSRLSPTFKAIIRGIIWVRNLMSQPRLQFNQLSMLGGGFLPCRHPFLLQPKIVPKEVKKSIMEYLMNHIDGHAPLSNGWSTMQANYPEYHSKLSWMCHNDNVTEVMLTWHIATTILEAKFPKQTGATASSQAHRTVATTLSKYCAYLVAFKPELLPSNLDGTQKMYGALKKELKATLGCWRYCFPKEIVGRRVAVEKLMQDESQGKLEGKMPLMCKGVPGLEGFSLRRLRSSTTRSPCGRYWLTSGRSSLCS >ONIVA06G19780.1 pep chromosome:AWHD00000000:6:18463376:18463898:1 gene:ONIVA06G19780 transcript:ONIVA06G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVAATEDEDEEGPIGAGPGAHRGGGGGSVMPAGGGACRSCGKLASLNKLSHRSESEAVEEKALATETPDQSSMLYGRAGAEELIMASSAEELVMALPAEYLVKRPRRRTWSWRHDAYLTS >ONIVA06G19770.1 pep chromosome:AWHD00000000:6:18454574:18459635:1 gene:ONIVA06G19770 transcript:ONIVA06G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLIHQRKLQDRGHGKQIDENARPGVRLEDLPWDLVVYKILSKLPLKEAAKTSVLSTKWRCIWLTCPRLCFDGLAMFKCERGELFLHARQFIAQVNAVLQKYQGEVVEEFHIRFDFHSIPAHYLDNWVIFSLSSKMKNLALDLQTNDIERYPARYKFPFELLDSGSLSGLQHVQFSFVSIKPPSKFRGFPNLRKLDLQLLDASSKDFETMLSNCKLLEWLSMDRCRLNGELRVGSPLPRLVYLQVVYCQVTKIQFHAVELANFVYKGDFVPIALKHSLKLENANIRLYSLNDRHAISDLTKLLSDTPWKFSHLRYLRLKNFADSGIVETNFFVSFLRAAPFIEKLEIHFSMNLLILDESHEDHPIRQQLGRCEYNNLKNMRIIGYKGSRDQVEFLLHVVENAPALEVLTLEAAGIEYQEVSFVLNEAWIDRITQSADRSALIAQQYLREKLSSKTQLCIKTTSSR >ONIVA06G19760.1 pep chromosome:AWHD00000000:6:18451667:18454518:-1 gene:ONIVA06G19760 transcript:ONIVA06G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMRRFHRHEIGSNQCNSFIAKHVRAPLQNVWSLVRRFDQPQIYKPFVRKCVMRGNVETGSVREIIVQSGLPATRSIERLEFLDDNEYILRGKFIGGDHMLKVIDGQPGTVVIESFVVDIPEENTKEDICYFVENLLRCNLRTLADVSEESLASPC >ONIVA06G19750.1 pep chromosome:AWHD00000000:6:18449274:18449459:-1 gene:ONIVA06G19750 transcript:ONIVA06G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPPPLLDGEEEGTAAFSTAAVTEGSHRRHLLGRRTIAASPPAAEPSPGRRRHLPSRQI >ONIVA06G19740.1 pep chromosome:AWHD00000000:6:18444503:18444922:-1 gene:ONIVA06G19740 transcript:ONIVA06G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLLQPARRHRRHPCMEPSQPQVLLSLSQPNRTPVLQRLCLAALPGCTELLSYALRGLICRSDDLLQCAADAAASRREAAGGVSSIPKGGAVARRKTMCDITNLRRTSAAVEQGGTVCAVDAGMEGITRLVKDLISL >ONIVA06G19730.1 pep chromosome:AWHD00000000:6:18439258:18443269:1 gene:ONIVA06G19730 transcript:ONIVA06G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALCDQLLLVDSDGGEFIPHHADADADDLFTILETWEGCANVVAGGAPATTTTLGSPIAAAACISGVVGGQNHQQLPEPAAAKTVPATNNKRREEEVADRDGDGDDDDGSPQKRHKCCSPESSTTDVAAATTPKTAHIAVERNRRKQMNENLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLHSLEAKKQRKVYTDQVLSPRPPATVAASCCSPRPPPLSPRLPPQLLKSTPPLSPRLAVPISPRTPPTPGSPYRLLRLPPPPPPASGSNYASPAMTPTHHETAAPSLDAIAAELSAYASRQALGGGLLLPDVKVEFAGANLVLKTVSQRSPGQAVKIIAALEGRSLEILHAKISTVDDTAVNSFTVKVCTASLLLHGTAISALALIM >ONIVA06G19720.1 pep chromosome:AWHD00000000:6:18419931:18422552:-1 gene:ONIVA06G19720 transcript:ONIVA06G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVDGSGIPASVGRGRGRGHSIGDALVASAFGGLGKEEKAAASARGMEEEREMEEEQVALGEQRRQQSWWT >ONIVA06G19710.1 pep chromosome:AWHD00000000:6:18417185:18417418:-1 gene:ONIVA06G19710 transcript:ONIVA06G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVTIKNGAYGSEDPELGLAGTAGEEMAHRWHKAAAWSPFVKAPAPVLIYSRVAMGTAEGGEGWRRWLEGLGREG >ONIVA06G19700.1 pep chromosome:AWHD00000000:6:18416475:18417075:-1 gene:ONIVA06G19700 transcript:ONIVA06G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCELAAKRTENITAHRIALKSGSGSRSPEYVESGDSSSASENISDDEDDNVAAKNRTSNNAQAKNSKAVHPARRSNRRLKNINTLIDNDKGEGKVSH >ONIVA06G19690.1 pep chromosome:AWHD00000000:6:18409066:18409656:-1 gene:ONIVA06G19690 transcript:ONIVA06G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVVEAAAAADGRHHHKAVVAADGGGGGGAGTAALVWDCGSALYDSYELTSFRRQLDAAVLSCCGRSLSMPHQPSSSSTQQQQMPAGRRRRRRRRLPAMLRRLFGKVLLRLRFPVASRAARGGWYDYGAHGDGRPGSPWSGALTSIPEESASPENGPSSSPLVDDGPSALRKAQSERFVGSKTASSMVQFDVVL >ONIVA06G19680.1 pep chromosome:AWHD00000000:6:18402750:18404805:-1 gene:ONIVA06G19680 transcript:ONIVA06G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIWPILPGKVTLSQRRGPLNSSSASLARHLSSLSASPVSPHAAGRRRQPQSPLALRRLKDQGFKALRWYGEPGEAHAASASASAPTGAGGRASCARVRTGPPGDGGGGYPNLPDAAIPDAATLREQWRFAVRQYSRWYSHAWGTAILAGAAFFGLGWLVKGSNPLPSRAEPHASNAKEEGLPASPPHV >ONIVA06G19670.1 pep chromosome:AWHD00000000:6:18398359:18402292:1 gene:ONIVA06G19670 transcript:ONIVA06G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAEAMGSLVPKLTELLKEEYKLQTGVRAKINFLKSELEDMHAALRKVGSMHPDLLDEQVRIWASKVRELSYDMEDVIDAFLVRVDGRVPANPGCLERLVHKMCGLFTKIKVRHQISGVVQDINTKLEEVSKARERYIASNLQANPAATQSSTHDPRILLLNTDVTKLVGIDGPRNDIIKILCLEGDNLPLGKMIKVSIVGSRGMGKTTLARAVYDSVKGKFQCSAFVPVGQNQDLKRVFMDILNDLDKEKFDNIHSTKKDVRLLMNEVYEFLENKRYIIVIDDIWKFDAWDMIAKVLGDSSCGSRVIITTRISEIAEEVGHVYEIKQLSDVDSRRLLHRRILSGEDQCPDDDYDLEEVCDEILRKCEGVPLAIVTTSSLLESKPREDWSELYRSIALGAKDNRHVDNTMKILSLSFYHLPYHLRTCLLYLSAFPEDYLIEAENEDYILGCRVHDMVLGLIRSLSSSENFVTVLGIEQYNTSHQGYGRRIAILSSKNGLPPVVNLGTAQSQVTIRSFSANGQFVEDLRVLCTQFEGAPATDIIESLGSLRKIQKMHAFDRWAAVGQCVPPVPVPEVLRQADMEILGRLRMLRRIGIHDTLLFRKLRFCTMATTSIRFVPPPAAVTTPVSVMPYLELLHFSLDVHFFKDRKIAFDIGLEHLPSSLRTVKVFVLCHLAKEEDVKEAEAALLHSVSIHPSRPALQLERLAEDKMLPSHLKDDQ >ONIVA06G19660.1 pep chromosome:AWHD00000000:6:18371476:18372921:-1 gene:ONIVA06G19660 transcript:ONIVA06G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLKRLVVEKYGGPNQPEQRLRTIYRVPGEMKRRDEEGNSYSYLPVAVQIGLLRYPRQQSREEDYRVLELYKWRCVRSLIGRHHLLQEPTRTPELLRRCLSAINGFLPRILASYNFDAEALDVGQRHVVLGTMLLDGCFILRRLLKFARIASEEQSGAKASSSSSRSGTGGQDDDEDRAVLFGRCWVWSFVTCDLLLLENQIPFCVVQKLFHQLRTDADDTSDVLVAGALRLFGSLRPRKLYSSPISCRDVHVHHLLHLFYLSVGFPPPPDAAAAPDDDPSEHLVPPSELPQWIPCARELEEAGVTFRPRKDATSFLDVRFAGHGGVLEIPELQLYDYSEPLFRNLIAFEQTYPYTRGHVTAYAVFMDCLVTSPEDMRLLHLSGVLVNHMNRDRDPTGFFSRLCSEAHLAADRNYLAGVIGEVNRYRRSRWPRWRAALVRNYFSNPWVATSLAAAVILLALTMMQSFFAAYAYFKPPKQ >ONIVA06G19650.1 pep chromosome:AWHD00000000:6:18365611:18366432:1 gene:ONIVA06G19650 transcript:ONIVA06G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQILRLGSRASARATVFLSLDDTVGSGAWRQRPADGGGRGRAGPAEERLDQPPQRWGAGVCATDRCPLPPLPVIYAFAAAPPPPQAAFFTPPSLHHRPYELIINRSCYELEPQLFDFPTSLFQKPTIPVGILPPTNLVTDDDEPLPTAPRSCNGSMPSLVGEPVD >ONIVA06G19640.1 pep chromosome:AWHD00000000:6:18359114:18365598:-1 gene:ONIVA06G19640 transcript:ONIVA06G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMLLKSNKCCPIIFGFFLWYLSFFVCLDRIIRCRIRHNNSAPMQDVCLYESHVRLFMTVSMSQAIIMPLQKRFSFNKFRAYYARACMCLFFFCHPTCSAPYDMPIFFIQFTNYSLR >ONIVA06G19630.1 pep chromosome:AWHD00000000:6:18359036:18365574:1 gene:ONIVA06G19630 transcript:ONIVA06G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGEPPAPQQRKAAGGGGLKLLLSVLLVGLALRLLVNPSAYLLLSSSSTTTTAAVALGQGDDAVLAGGGSLPSNGSCDLFHGKWVPDSSGPDYTNNSCRFIETPQNCMTNGRPDSGYLYWRWKPYGCEMPRFEGEKFLEAMRGKHWALIGDSILRNHVQSLLCLLAKVEEPTQVYHDKTFKSRKWHFALHNITVSLIWAPFLVEAEIFEDDDGVSTSELQLHLDILDSNWTRQWNSFDYVVISTGQWFPKTAVYWENGAVTGCHYCQDKSVAELTFEYAFRKSLRKTFQFITSSPHKPVVFYRTWSPSHFENGEWSSGGTCKRTVPFKPGETGDRESDMKMWRIEREEFAKAVVHDRRNNAGRLKLLDTFELSLQRPDGHPGPYRTYHPFEKATSAKVQNDCLHWCLPGPIDAWNDIIMQMLAIN >ONIVA06G19620.1 pep chromosome:AWHD00000000:6:18344655:18351291:1 gene:ONIVA06G19620 transcript:ONIVA06G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEGAAAAARIRLVRCPKCDKFLPELPAYSVYVCGGCGAALQAKKKYSAQGSDNSDNGHVKYLEVLESVAEAPEAMDGATADGRSIPNRISALHSRSVYNHEDNRMARGPSTSTGEATIRNDGREAKYMRIHNVENADMMKSVRGRGMSDISPRSPIDGIPPTSYQAESLVNYQLQSKYRFSNREHANDRDLDGPSRVRGLEKDRAELLRMLDELRDQVQQSCEVTDAPSRSATTNRPADASSSHGAHDQPNQLRHDPSVLHWNGSHHSPSLNVQSPNIPQVHAPLPTRQNLHGYAEPIPHARASSYHAGAGYPCRNVDNFFFGHHDPDPLLSCHHEGLYHQPVCSCFNCYHREFLPVQGTPLGFTDQRAPYLMNSYGAYPVEGPLYGQQRYTSRGTNTSLQRNHLRTNVRKKPAQTCEPIAGGAPFTICYNCYEVLRIPMKHSLLGKEYKLMCGSCSHAILVNLDVSRLNVSEPAPGINLSAALQNGIGDSMRNNGHANADERLLPQYCFSNGSHESQEKDLESNSSESDSKHTPLGTDSENTPQSRDLPSEANVISHVPSLPHHDRCGFSPSEDSGKGSRSTHSEHEKAILFTESCKQNSIKDVCVANETQSPVDEFDDTLYAQDMLNLPQNVGHTRSTKAGDSFLTNLIKRSFKMNNGTRNGRARIFVNGFPISDRAVRKAEKLAGEICPGDYWYDYRAGFWGVMGRPCLGMIPPYIPEFNYPMPKNCGGGNTGIFINGRELHQKDLDLLVSRGLSDSPGRSYIVENSGKVSDEVSGEELYGLGKLAPTVEKMRRGFGMRVPRIIQ >ONIVA06G19610.1 pep chromosome:AWHD00000000:6:18317419:18324834:-1 gene:ONIVA06G19610 transcript:ONIVA06G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HRK9] MAFSSRAVVAVASVALLVAALASTAAAEGNPVEYTESYYDNTCPNAQNIVRSVMERSVAANPRMAPAILRLFFHDCFVNGCDGSLLLDSTDSTESEKEEKANASLAGFDVIDAIKSELERSCPATVSCADVLALASRDAVAMLGGPSWGVLLGRKDSRFVTKNATEELPDPRNGHLDVLLGVFREHGLDERDLTALSGAHTVGKAHSCDNFEGRIDGGEGYDDIDPSYAAELRRTCQRPDNCEEAGVPFDERTPMKFDTLYYQDLLFKRGLLATDQALYTPGSWAGELVLTYSRNQEAFFADFARAMVKMGNIRPDPWTPTEVRIKCSMGISKSFFVASLSLLLAVLASTGEGSHQPVVMPVAMELSAKYYRKTCPNVQNAVRTVMEHRLDMAPAVLRLFFHDCFVNGCDASVLLNRTDTMESEKDAEPANTSLAGFDVIDEIKSVLEHDCPATVSCADILALASRDAVALLGGPRWSVPLGRMDSRQASKAAAEDANNLPNPNSDLGELLRVFETHGLDARDFTALSGAHTVGKAHSCDNYRDRVYGDHNIDPSFAALRRRSCEQGRGEAPFDEQTPMRFDNKYYQDLLHRRGLLTSDQELYTHGGEVTSELVELYAKSRKAFFADFARAMVKMGEIRPPEWIPVEVRLNCGMVNN >ONIVA06G19600.1 pep chromosome:AWHD00000000:6:18313563:18314279:-1 gene:ONIVA06G19600 transcript:ONIVA06G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACTTTDGSGDVCLRPSASDGLPTHQACTSKAAPDGETRRRQHQRRRCCVVCLVVTIATLALLGVAVLVLSLTVFRVRDPATRLVSVRVVGVSPNLAPPLPQINVTLLLTVAVHNPNPASFTYSSDSGGHADLTYRGAHVGDAVVEAGRIPSRGDGAVQMEMTVLSSSFTGDVMAELIRDIEAGAVPFDASARIPGKVAVFGVLKLHAVAYSDCHVVFGVPEMGIRSQECHDHATL >ONIVA06G19580.1 pep chromosome:AWHD00000000:6:18300182:18301782:-1 gene:ONIVA06G19580 transcript:ONIVA06G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGRTTLNSAGGAGAPTTTTTPRLPPSHRQASERDTAEEREGETAVRGRRTWRRGDAGAGGVGAVQGSYFVSPADRTYDALGLVKQINVQTAAALAEAREVLAVASGGQSENINYDKENLESPNAKKEPEQPPNCKQKSSDGHDLHAAVVEAADELEVLEQPGHRNAQPPRRLQAREVDVAAARRRRLLLPHRRAAMSSSHGPPSPPRALPPCLARSLVGGSAAAWASSSSAALQLHQLSLG >ONIVA06G19570.1 pep chromosome:AWHD00000000:6:18270013:18277980:-1 gene:ONIVA06G19570 transcript:ONIVA06G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTSHDVYMSVPRFFCILSHIRDFDIALCGVNVVVDSSQPHEDSLLLAGITYCWMRPSTVLPGMHTMYSWSYRQKLRATFGMAPEPCADCCLQLFCDRCSLSQMYRELKNRGVNPANVRFRVVFGFKVFSEIRVCL >ONIVA06G19560.1 pep chromosome:AWHD00000000:6:18246078:18247784:-1 gene:ONIVA06G19560 transcript:ONIVA06G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTVEITRSEVLRPSPASAGGGEMVPLTVFDRAATDGYIPTMFAWDAAAAAALSNDAIKDGLAAVLSRFPHLAGRFAVDERGRKCFRLNNAGARVLEASAAGDLADALAHDVAAHDRVDEPLLQVQLTRYTCGGLVIGAVSHHQVADGQSMSVFFTEWAAAVRTAGAALPTPFLDRSAVAAPRIPPAPAFDHRNVEFRGEGSRSHSYGALPLERMRNLAVHFPPEFVAGLKARVGGARCSTFQCLLAHAWKKITAARDLSPEEYTQVRVAVNCRGRAGPAVPTDYFGNMVLWAFPRMQVRDLLSASYAAVVGVIRDAVARVDERYIQSFVDFGEVAAGDELAPTAAEPGTAFCPDLEVDSWIGFRFHDLDFGGGPPCAFLPPDVPIDGLLIFVPSCAAKGGVEMFMALDDQHVEALRQICYSMD >ONIVA06G19550.1 pep chromosome:AWHD00000000:6:18240537:18241010:-1 gene:ONIVA06G19550 transcript:ONIVA06G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRRRSIFRGGPYKGVAWENSFSQADGLRSALAKIPLFLQANLKLSTYKNWLWHLNRRKSKLKHKIFLCSSSPSPLLSSPFPRLSSPSDSKGGASGGGGKGVTAALRRRRTGTVNPATVMREGGGSGDGETGVHPARTAPTWSIGHDLNGLEYLE >ONIVA06G19540.1 pep chromosome:AWHD00000000:6:18227805:18228854:-1 gene:ONIVA06G19540 transcript:ONIVA06G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRWLKRVSEGYGEAAWWRRGSERIRGRRRLYAMRKVEKPWKRRLEAVSIISGRMKWKDEMLDTGVRTHLAPAPIHAGHLQAVAPLGGFPTTAPQAIMQDRAAGVVVNAQVDIRVMQQLKWLEKMVYVCTFLLFMLFSRSSWVFV >ONIVA06G19530.1 pep chromosome:AWHD00000000:6:18212156:18212948:1 gene:ONIVA06G19530 transcript:ONIVA06G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAFNSKTVMNRAPEKISMEILAIRQNMVTLAANEEYCHRQCSSGDQVGQGGLVAAYAPTLLVDATQDLVSSSISTRDAAPMEETEVTTSQDSTIFDVRIASVNTVIELAADGAQLDAAHTIIAEAKVQVEAGGKHPSQEVRQRSHQPIDPTPTRQSELQKTMLNEKTD >ONIVA06G19520.1 pep chromosome:AWHD00000000:6:18200513:18201100:-1 gene:ONIVA06G19520 transcript:ONIVA06G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAAGDASAAAFWTACPHCCYVHSYPRHYAGRRLRCPTAACRRAFSAAELPAAPPIVPGTDMYFCTWAFFPLGPPAAAADGWAPFTPFNSAPTPSPPPATAAAPAPAPARAGGPTSRRKMCVSLKGRARVEAEQEEEEEEAAAAATIIDLEPEVQEGGIGEKGFTGINLNESVELSELGFRDDEIELFTAMS >ONIVA06G19510.1 pep chromosome:AWHD00000000:6:18182299:18183016:1 gene:ONIVA06G19510 transcript:ONIVA06G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQIKNVVIVVEMENTNITLIEYFDINQLIPSGGASSCSKHCTPGERAVAVPQLYRLQPRNGLQRRVSRGRLGARRSTAAHRRPSPPAAADHRCLPPPTTAAQRLSPRRGPRADGGMYGSERHPSIPCASSAAHGMATPYCVRQSFGEIGEKETEPREGTKRPLRPRYAKLQFYPLPTSGTASSRVCGTIQSQPSDEGISTVRIWYRPPSRTVKNL >ONIVA06G19500.1 pep chromosome:AWHD00000000:6:18176701:18177117:-1 gene:ONIVA06G19500 transcript:ONIVA06G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRPPASSASVAAEELPPALTGKEAVGAAGKDDGDLGEEREGGGERPRRRQQRERQWKKQRHIAWRRHRSGRRTTSWRCGEITLDAAPTSPTPCRRRPRPPETTTTPSSWPASSPPLVFFSCERERVCGGERKEIR >ONIVA06G19490.1 pep chromosome:AWHD00000000:6:18157089:18157750:-1 gene:ONIVA06G19490 transcript:ONIVA06G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKSLIMCVLVLGLVLQQETIKVGAKSCCPTTTARNIYNACRFAHGTRERCSKLSGCKIVDGKCKPPYIHHTLHPESEELDVLDFCMLGCTSSVCSNINTFAGNEEGNGAVERCNEACYHFCNKEADIVTIIS >ONIVA06G19480.1 pep chromosome:AWHD00000000:6:18154905:18155453:1 gene:ONIVA06G19480 transcript:ONIVA06G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISEGMGQQPIGKIFLLQRHGQRNAVLSFKKGRRCPEPQVKQIWNHLGGVEMVDSCGMGHMDEPPGAIHRG >ONIVA06G19470.1 pep chromosome:AWHD00000000:6:18141899:18142559:-1 gene:ONIVA06G19470 transcript:ONIVA06G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLENMKAVKSPIMCVLQETIKVEAKSCCPTTTARNIYNACRFALGTRERCSKLSGCKIVDGKCKPPYIHHTLYPESEESDVLDFCMLGCTSSVCSNMNTFADNEEGNVVVERCNEACYHFCNKKADIVTVVS >ONIVA06G19460.1 pep chromosome:AWHD00000000:6:18139676:18139861:-1 gene:ONIVA06G19460 transcript:ONIVA06G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRMIYAEDLGYMSTPCLSPPSDVNDLNPPEDPNNISVLHPAFIDDGDIDIIQEDIYNF >ONIVA06G19450.1 pep chromosome:AWHD00000000:6:18131577:18132015:-1 gene:ONIVA06G19450 transcript:ONIVA06G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPASSHQEQPWLSSVYGSPAVIGGSNRGLVSAKGLVHVLSYGTRVLTKQRNGGSEVQVAAETVVDGQRRQNSGVDVAGSTQGANCNF >ONIVA06G19440.1 pep chromosome:AWHD00000000:6:18131269:18131560:-1 gene:ONIVA06G19440 transcript:ONIVA06G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRGCMREKSSGNSPELGVASVVRNGGRSGVGSGGRRQGRREERGTHRGCTATTAKSPEPGRMAEL >ONIVA06G19420.1 pep chromosome:AWHD00000000:6:18122233:18122535:1 gene:ONIVA06G19420 transcript:ONIVA06G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQIRPSLGLLLTGSDTAHTTPTDSAPLTTEEVAAQDKAPDTVEDTLGVQAVCAIGIDPVASTGIHMEHATSAGEQQSHPTEETTELPHAEDTTRCKEN >ONIVA06G19410.1 pep chromosome:AWHD00000000:6:18116969:18122106:1 gene:ONIVA06G19410 transcript:ONIVA06G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGGEGSDGDRRVGADPVAALLGLSSTTVKEVDPAAAATMTTMSTAPRTATTITMARLFQPPPLYGLEYRGVGFGGGHGEVSRRQPAVLPAVAAGGTDFEIFLYPKLFSLALLLPRTDFFVPKSDVWLAADLDQVAAIDLSTAMFRALSDNIRCWRQNPTSSIASCVVLPVLLYLDNILPPTDVGLDLVFTPRIHVYTKEIVDRLVSADQEAGGDGTPPFCNLPLRPLESTCYAIKQPGKGKGPMVEAIRAPAYTFPNMSAIIWPHLGGLPNEQRSSLLESIAEYDRQAKESAVEIERHFRIVVDKQHMLCQRVIDLPQANRAAAPQPIVPQPARCQEVNRRQSNVQPTGAETNPNEEDEQQQ >ONIVA06G19400.1 pep chromosome:AWHD00000000:6:18045661:18046028:-1 gene:ONIVA06G19400 transcript:ONIVA06G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLISPSWDVLPPCAAPLTLLLSMKKGMVPWIVATMHATASAPRKLRSSLLLPKHVQDASIRCFTHRSLYMQGYAILESSHK >ONIVA06G19390.1 pep chromosome:AWHD00000000:6:18043002:18043276:1 gene:ONIVA06G19390 transcript:ONIVA06G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLCTAHAMCSVLLRGSSWEVSPGRRNSMTASNTAVNSNIVAAFGKKGDGLPYYSVAATRLLGGAIGSDDDL >ONIVA06G19380.1 pep chromosome:AWHD00000000:6:18042501:18042920:-1 gene:ONIVA06G19380 transcript:ONIVA06G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRKETTLGQDEPDSRRRERERAATLVTTTPVAGAPRHLRRFIRLLASLRQCWKRSIGGRGAAFGCRTKRASDSLATAARAVKLACGRGRDEAAARARWRRGVRAHRRGRRARCRLAGGTSTPACYRCRRRRRHAAR >ONIVA06G19370.1 pep chromosome:AWHD00000000:6:18035164:18035911:-1 gene:ONIVA06G19370 transcript:ONIVA06G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKSLIACMLVLGLVLQQEKIQVEAKSCCPSTIARNVYNSCRFVGGSRDTSAKLSGCKIVDGKCKPPYIHHSFHPESKESEVVDFCKLGCASSVCSTMNTCAGNEEGNHVVDRCNDACYRFCTKEDYHRCFLSKCNTQAEGASHIEAY >ONIVA06G19360.1 pep chromosome:AWHD00000000:6:17961943:17962332:-1 gene:ONIVA06G19360 transcript:ONIVA06G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEPRRLIVACADLVDRGNLDGACEGMRSAVFIHVAMSPTRSAASIRMSSSSPSPHPSRPSLSLSPNGEEDSPRPEAFLEILGQPRDAEAELSSCGIGPTAEVAEQVHRSRVCYSRPKSAVRFFV >ONIVA06G19350.1 pep chromosome:AWHD00000000:6:17945317:17946025:-1 gene:ONIVA06G19350 transcript:ONIVA06G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKSLIVCVLVLGLVLQHEHIQVEAKSCCPSTTARNIYNSCRFTGASRDKCCKISGCKIVDGKCKPPFIHHTLHPDSEESDVLDFCKLGCTSSVCSNMNTFAGNEEGNHAVDRCNEACYRFCTNEAEIVTVAS >ONIVA06G19340.1 pep chromosome:AWHD00000000:6:17929017:17930807:1 gene:ONIVA06G19340 transcript:ONIVA06G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTILKVFTLHLFIMLHGVHGHIYDVTEYGAEPSNIDNKDAFLAAWRAACGSAAGNATLLIPEGTFAVSTVEFSGPCKNGRSPLAVVVDGVLHPCAGGCHRKSGDDDVWITFSGVSNLLVTGAGTLDGRGGEHGHSNGGGKSKTTTTLELDSVANATVRGLRFLNSRGFHLNLHRSSHVAAERLRIEAPAASRNTDGIHVGLSSHVTVADSLVGTGDDCVSIGPGSSGVVVAGVACGPGHGISVGSLGREEGEGDVRGLVVRNCTVVGTTNGLRIKTWPGSPPSRAFNITFRDIVMSNVSNPIIIDQHYCPHAHCSDIAKPSLVQISDVTYERIEGTSSSRVAVQLLCSEDRPCSGVRFDRVNLSCGRERCGSKFSNVEGTKPTLVAADEAAAFGPGAVPPPDQDADVVESQH >ONIVA06G19330.1 pep chromosome:AWHD00000000:6:17897258:17902343:-1 gene:ONIVA06G19330 transcript:ONIVA06G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTVRTRKTHDRRNSWHSEWWPAKIVLWMGFTVVPFFLPPPLIQLYGKVAHFGAGAFLFTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPAESLAKIRNEKARRCLSNMRKKPRWFGDAEKLTKALFSFASRLAPVIIFVDENKLKLKDEFVLLGCWQLRSKPQHFLYHNILILGQMALNIIKELK >ONIVA06G19330.2 pep chromosome:AWHD00000000:6:17897258:17902343:-1 gene:ONIVA06G19330 transcript:ONIVA06G19330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTVRTRKTHDRRNSWHSEWWPAKIVLWMGFTVVPFFLPPPLIQLYGRAFRSRFTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPAESLAKIRNEKARRCLSNMRKKPRWFGDAEKLTKALFSFASRLAPVIIFVDENKLKLKDEFVLLGCWQLRSKPQHFLYHNILILGQMALNIIKELK >ONIVA06G19330.3 pep chromosome:AWHD00000000:6:17896908:17902343:-1 gene:ONIVA06G19330 transcript:ONIVA06G19330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTVRTRKTHDRRNSWHSEWWPAKIVLWMGFTVVPFFLPPPLIQLYGRAFRSRFTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPAESLAKIRNEKARRCLSNMRKKPRWFGDAEKLTKALFSFASRLAPVIIFVDENKLKLKDEFVLLGCWQLRSKPQHFLYHNILILGQMALNVVHS >ONIVA06G19330.4 pep chromosome:AWHD00000000:6:17896789:17902343:-1 gene:ONIVA06G19330 transcript:ONIVA06G19330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTVRTRKTHDRRNSWHSEWWPAKIVLWMGFTVVPFFLPPPLIQLYGRAFRSRFTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPAESLAKIRNEKARRCLSNMRKKPRWFGDAEKLTKALFSFASRLAPVIIFVDENKLKLKDEFVLLGCWQLRFA >ONIVA06G19330.5 pep chromosome:AWHD00000000:6:17902343:17902653:-1 gene:ONIVA06G19330 transcript:ONIVA06G19330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARYVYAFVFLATNLLTWMLHDFGHPVLAELRRLRGSCRGASYCLGARASSASALAASSSSS >ONIVA06G19320.1 pep chromosome:AWHD00000000:6:17884445:17893051:-1 gene:ONIVA06G19320 transcript:ONIVA06G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPKLPVPGRRNILVTSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNVIYICGTDEYGTATETKAMEEKCSPKEICDKYHAVHSEVYKWFDIKFDKFGRTSSPQQTEVCQAIFQKLMENNWLTENTMQQLYCDTCQRFLADRLVEGKCPTEGCNYEAARGDQCENCSKLLNPTELIDPKCKVCKNTPRVRDTDHLFLELPLLSDKLVNYINETSVAGMWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITASYTPDWDKWWKDPDNVELFQFMGKDNVPFHTVMFPSTLLGTGEKWTMMKTISVTEYLNYEAGKFSKSHGIGVFGNDAKDTNIPPEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPAGAGYDSIVPDAPNAESHPLTKALAEKTNKWVEQYLEAMEKVKLKQGLKSAMGISSDGNAYLQESQFWKLYKEDPAACAVVMKTSVGVVYLLACLLEPFMPSFSNEVLLQLNMTPEESLSFCDDKGEIAKAKRPWDFVSAGHKIGKPSPLFKELKDEEVESFRNKFAGSQAERSSKAQADAEAKKVADKLKGTKLSDGGQKKEQKKQSGGSKSKNAEVDVTVAKLDIRVGLIRKAQKHPDADSLYVEEIDVGEEAPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVTFAGYSGEPEASLNAKSKTWEKLSADLHSNGELVACYKDVPFTTSAGVCKVKSIASGEIR >ONIVA06G19310.1 pep chromosome:AWHD00000000:6:17848338:17850276:-1 gene:ONIVA06G19310 transcript:ONIVA06G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARGWTQKYAMG >ONIVA06G19300.1 pep chromosome:AWHD00000000:6:17845186:17845914:1 gene:ONIVA06G19300 transcript:ONIVA06G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPLDRACLGEGRNCHVHLLLGLQGSAGAAAVVQGALRQCAADEQCGGFGVAVEERMLVLTSAAFRGQCSPATAYCCCSTIAATKSTSLACPNAKVVRKAAVPCGEAHAGPGVEVGGCDSPIPITPPSAMTNAH >ONIVA06G19290.1 pep chromosome:AWHD00000000:6:17835491:17841829:-1 gene:ONIVA06G19290 transcript:ONIVA06G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYTTPVLGFIMLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYILLHDPFNARNILGILIAIFGMGLYSYFSVKEGKKKATNDPLPVSQMPEKETEPLLATKDNSDTKKANGVSHDC >ONIVA06G19290.2 pep chromosome:AWHD00000000:6:17835491:17841828:-1 gene:ONIVA06G19290 transcript:ONIVA06G19290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMMTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILTNTIQKRLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYTTPVLGFIMLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYILLHDPFNARNILGILIAIFGMGLYSYFSVKEGKKKATNDPLPVSQMPEKETEPLLATKDNSDTKKANGVSHDC >ONIVA06G19280.1 pep chromosome:AWHD00000000:6:17830360:17840829:1 gene:ONIVA06G19280 transcript:ONIVA06G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53360) TAIR;Acc:AT3G53360] MQNPNGTILQLYHAGRLAAALRAFESLPSSSPASAAAAPAPLTAATYAALVSACSRLRSLPQGRRVHRHLVASSSSSPDAQLAGNTVLGNHLITMYGRCAAPDSARQVFDEMPARNPVSWASVIAAHVQNGRAGDALGLFSSMLRSGTAADQFALGSAVRACTELGDVGTGRQVHAHALKSERGSDLIVQNALVTMYSKNGLVDDGFMLFERIKDKDLISWGSIIAGFAQQGFEMEALQVFREMIVEGSHHPNEFHFGSAFRACGAVGSWEYGEQIHGLSIKYRLDRDLYVGCSLSDMYARCKNLDSARVAFYRIEAPDLVSWNSIVNAYSVEGLLSEALVLFSEMRDSGLRPDGITVRGLLCACVGRDALYHGRMIHSYLVKLGLDGDVSVCNSLLSMYARCSDLSSAMDVFHEIKDQDVVTWNSILTACAQHNHPEEVLKLFSLLNKSEPSLDRISLNNVLSASAELGYFEMVKQVHAYTFKAGLVDDRMLSNTLIDTYAKCGSLDDAMRLFEIMGNNRDVFSWSSLIVGYAQFGYAKEALDLFSRMRSLGIRPNHVTFIGVLTACSRVGFVNEGCYYYSIMEPEYGIVPTREHCSCIVDLLARAGKLTEAANFIDQMPFEPDIIMWKTLLAASKMHNDMEMGKRAAEGILNIDPSHSAAYVLLCNIYAASGNWNEFARLKKAMRTSGVKKSPGKSWVKLKGELKVFIVEDRSHPESEEIYAMLELIGMEMIKAGYVPKHSWKHAIVDHIDSDLFNEEMLAEYDTKTGVVYLWAKTLRKIVSNSTVKGNNQRDNSTPNLSV >ONIVA06G19280.2 pep chromosome:AWHD00000000:6:17834255:17840829:1 gene:ONIVA06G19280 transcript:ONIVA06G19280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53360) TAIR;Acc:AT3G53360] MGGSGAQPQGRGWFAAAAAWGSRGNEGWEVEWRGRRKIVSNSTVKGNNQRDNSTPNLSV >ONIVA06G19280.3 pep chromosome:AWHD00000000:6:17830360:17833772:1 gene:ONIVA06G19280 transcript:ONIVA06G19280.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53360) TAIR;Acc:AT3G53360] MQNPNGTILQLYHAGRLAAALRAFESLPSSSPASAAAAPAPLTAATYAALVSACSRLRSLPQGRRVHRHLVASSSSSPDAQLAGNTVLGNHLITMYGRCAAPDSARQVFDEMPARNPVSWASVIAAHVQNGRAGDALGLFSSMLRSGTAADQFALGSAVRACTELGDVGTGRQVHAHALKSERGSDLIVQNALVTMYSKNGLVDDGFMLFERIKDKDLISWGSIIAGFAQQGFEMEALQVFREMIVEGSHHPNEFHFGSAFRACGAVGSWEYGEQIHGLSIKYRLDRDLYVGCSLSDMYARCKNLDSARVAFYRIEAPDLVSWNSIVNAYSVEGLLSEALVLFSEMRDSGLRPDGITVRGLLCACVGRDALYHGRMIHSYLVKLGLDGDVSVCNSLLSMYARCSDLSSAMDVFHEIKDQDVVTWNSILTACAQHNHPEEVLKLFSLLNKSEPSLDRISLNNVLSASAELGYFEMVKQVHAYTFKAGLVDDRMLSNTLIDTYAKCGSLDDAMRLFEIMGNNRDVFSWSSLIVGYAQFGYAKEALDLFSRMRSLGIRPNHVTFIGVLTACSRVGFVNEGCYYYSIMEPEYGIVPTREHCSCIVDLLARAGKLTEAANFIDQMPFEPDIIMWKTLLAASKMHNDMEMGKRAAEGILNIDPSHSAAYVLLCNIYAASGNWNEFARLKKAMRTSGVKKSPGKSWVKLKGELKVFIVEDRSHPESEEIYAMLELIGMEMIKAGYVPKHSWKHAIVDHIDSDLFNEEMLAEYGFSSVRYTQDENLISCRKSPPLSLSPCPSIVNETGVGGRREQQQPDGWIRRVTLLIDELTCGA >ONIVA06G19280.4 pep chromosome:AWHD00000000:6:17830360:17840829:1 gene:ONIVA06G19280 transcript:ONIVA06G19280.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53360) TAIR;Acc:AT3G53360] MQNPNGTILQLYHAGRLAAALRAFESLPSSSPASAAAAPAPLTAATYAALVSACSRLRSLPQGRRVHRHLVASSSSSPDAQLAGNTVLGNHLITMYGRCAAPDSARQVFDEMPARNPVSWASVIAAHVQNGRAGDALGLFSSMLRSGTAADQFALGSAVRACTELGDVGTGRQVHAHALKSERGSDLIVQNALVTMYSKNGLVDDGFMLFERIKDKDLISWGSIIAGFAQQGFEMEALQVFREMIVEGSHHPNEFHFGSAFRACGAVGSWEYGEQIHGLSIKYRLDRDLYVGCSLSDMYARCKNLDSARVAFYRIEAPDLVSWNSIVNAYSVEGLLSEALVLFSEMRDSGLRPDGITVRGLLCACVGRDALYHGRMIHSYLVKLGLDGDVSVCNSLLSMYARCSDLSSAMDVFHEIKDQDVVTWNSILTACAQHNHPEEVLKLFSLLNKSEPSLDRISLNNVLSASAELGYFEMVKQVHAYTFKAGLVDDRMLSNTLIDTYAKCGSLDDAMRLFEIMGNNRDVFSWSSLIVGYAQFGYAKEALDLFSRMRSLGIRPNHVTFIGVLTACSRVGFVNEGCYYYSIMEPEYGIVPTREHCSCIVDLLARAGKLTEAANFIDQMPFEPDIIMWKTLLAASKMHNDMEMGKRAAEGILNIDPSHSAAYVLLCNIYAASGNWNEFARLKKAMRTSGVKKSPGKSWVKLKGELKVFIVEDRSHPESEEIYAMLELIGMEMIKAGYVPKHSWKHAIVDHIDSDLFNEEMLAEYGNNQRDNSTPNLSV >ONIVA06G19270.1 pep chromosome:AWHD00000000:6:17825714:17827767:1 gene:ONIVA06G19270 transcript:ONIVA06G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPASSSSAAGLQERIWDLHDNLSHAILSLSASAHRCCRRRAPEGRVVVKGWRRQGGCGDCGLEQEAAAAATMADARSLHAVRAALEDLEGHLHFLHNIQLRQVAERDAAIARLQQSRILLATRLAEHRWKKHEVIEEALAFVDDALDKSRFVSPEDVRGTHTHSQSVENQCPKIHDSNFLLQQVAFGKQTPAVQCRRVNYFHSQMSVKNTKEKHLVVLLARG >ONIVA06G19270.2 pep chromosome:AWHD00000000:6:17825714:17828041:1 gene:ONIVA06G19270 transcript:ONIVA06G19270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPASSSSAAGLQERIWDLHDNLSHAILSLSASAHRCCRRRAPEGRVVVKGWRRQGGCGDCGLEQEAAAAATMADARSLHAVRAALEDLEGHLHFLHNIQLRQVAERDAAIARLQQSRILLATRLAEHRWKKHEVIEEALAFVDDALDKSRFVSPEDVRGTHTHSQSVENQCPKIHDSNFLVRFLSCTLAIAKNSLRFERIGGALGNTAMFAVSMLAFLQLQQVAFGKQTPAVQCRRVNYFHSQMSVKNTKEKHLVVLLARG >ONIVA06G19260.1 pep chromosome:AWHD00000000:6:17817084:17820110:1 gene:ONIVA06G19260 transcript:ONIVA06G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWTSLGLAAVAVVVVGIAMPASASAAAPAQPPAPAPSSDGTSIDQGIAYVLMLVALVLTYLIHPLDASSPYKLF >ONIVA06G19250.1 pep chromosome:AWHD00000000:6:17807993:17812863:1 gene:ONIVA06G19250 transcript:ONIVA06G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT5G60750) TAIR;Acc:AT5G60750] MSSSASCLAPPPLRLPCSSSSSPSPAAAARFGSRRRAVAAAAPAKGWRLVRVSCFRQEDVPTTSDDGPGFEHISRPESSRGAEASGEEGEGEGEGSSGQRERGSGERDDWFLRAQEIKRNLRERIFRFQTQRWTVPWTGKTIAQVMILWIATFWFVGSWIVPFLAHAAGFSKESLTHRGQALYSLLTDITEGLAGIAILHHCLGRFRPLPPGWFEFNLKGRWYLDVALGCLLFPLVNFLSHININLIPMSSGPVAGVSSVEQSIVARDPVAMVLYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILVSAAAFALAHFNAQRVMPLVFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >ONIVA06G19240.1 pep chromosome:AWHD00000000:6:17772838:17804736:-1 gene:ONIVA06G19240 transcript:ONIVA06G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MAKRGGAAGEPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIERGDAISVYSRASTLQGWLVDGKRTDPMAYAGVAQCLGEIYRLFGHKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEIDTSMSCCVKGLEDNVSAVRDSFAEALGSLLALAVNPDAQVKKGVKKQSTSGKKFDDGLQKHLILPFVRANGANAKKLRIGLALSWVFFLQMIHMKYGTPDSELQNYAVQVTEILQGNASPDPHALACVLYVLRVGVADQMTEPTQREFLVFLGRKLESSNYTALMRVATLRILSYLLRSLGEVPSEFKDILDNTVVAALSHSSAHVIIIFGDTTKIRRHGGIGYHFSLVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALTETLSFDKGKIMNLELDSLHGQASVLAALVAISPKLLLGYPARLPKSVLEVSKKMLNGFSRNPVAASAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHVQDWASELRVLSVAIEALTAFIRSFVSPIMTNANGGILLNPVLAYLGGALSLISSLSSKKLPNVNSALNLFTTRTLMAYQSLSNPMVYKSEHQQMLQLCSSPFSDPSGWEESSCLKFLLDKRDNSLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDVEMSNFPQDNTVKIRLLNNLDQCLKSGKKQSWFMTVVTNSCVALLSGLKEFLTLRGAQSLSTDILSMVQSTFKGILLESEISTAQRRAACEGLGLLARIGNDAFTARSLLGELITPIDLSYTASVTLSLGCIHRAAGGMALSTLVTPTLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVIGPELAPEISSSSETATLIESVRFAQQLVLFAPQAVPVHSHVQSLIPTLYSRQPSLRHLAVSTLRHLIERDPAAMINQNIEENLFSMLDEETDSEIAALVRSTIIRLLYTSCPLRPSRWLAVLRNMVLATSIARNTSEGLSSSGHDPVDSNAENDIYYGADEDNMISSSKQEKTNWSANKFSQFPQRNKHLRYRTRVFAAECVSHVPVAVGTEPAHFDLLLARSAVAEGVHLSNDWLILKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFGMAVDPEFPGHILLEQFQAQLVSAVRTAISTASSPLLLEAGLELATKVMTSSVIGGDRVALNRLFLLICRPLNDIEDLFYPSFADWVVLKCYTYQFLRMKENIPDEHQQLAPLLANSSSLLGKYWIGALKDYSSISFGLHSRINHKPFLDGIQSFLVSSKAKEYLDEVWALILQATALDAAPLEFEMDDSEDTLGQTFISGRSMVKLDLTEFKFLWGLSVLVLCHTQPSMSNSAIKINLDRNNEKKIGGLVVCAGLDNPRPCDQMLLVLSSLTSQVFFSMNFLTVDTCQELLQALTYADCSSAPVVCLFSQIIRLCPDNFFEVEEFVFVALEFYSWYLATILQSRCGSSQECLSNSLISELSVATETMACRMKNEHWWKLMMLLVSTSYQSFQQVPSNLCLSNIISFLQNTLPIMKKYLQERAEPGDECANCEVALGALVSLVAYLCTQCSNRISMLDNKISDSYKLLAKILYFCLGEAIALAKLVDEIGYHGENCTSNELMSGSFRHCTQVVQASLCSTTIQVQMLGVHVLKVSAQRELAEGSQTATHSFMVLFVELLADVFSVIQTALKIRWHSLTTLFLQGCSSKDSVSVIDECLKLLFLFHTLAQSKKCPQEATMLLLDALLMVFYSSSATGSQELTEVNNISKKLFSHFIQIPSAAIHIKDIMLSAAPTKRQLLQDMIRASVTQGQTIVPGHITANSEQNAQGGFSQEPGLNATDADEEKNEKQVSDDDWDDDWDNFQSLPAHGTNNGADSATAASPLPEQGSVASPHDEQIPQVNINQEVSDVDVSDGTTEGLSSFDKYLKEPSTSHFSDTAQQVESKSQEFSCKDHEESPKHPKVHCTGSSAHVTKEETDDESQQIHGDQFVSRESKNYDLYLSNEIAGSAGEEENDTSGEIRRATGDALDENISSVDDSNLNNISDGTEDESNKACDKVLVANEKSETVITDSGEKVSASSDEVKSDLYPENVDTKPESSGGEIAESGS >ONIVA06G19230.1 pep chromosome:AWHD00000000:6:17771661:17772147:1 gene:ONIVA06G19230 transcript:ONIVA06G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVVVVVVGLLGVALGAKPNTVDSAKDSGRGQRRRWRGDRDSPAFDSSVEARAMAFSEISYSNSVKMVPYDDDAMPAMNTLMLRGHRLSAGMRMVLGNDKIVGQTSSIRLAHGGAEKLLPLLQHQREHGYLRIPQCFKC >ONIVA06G19220.1 pep chromosome:AWHD00000000:6:17760679:17761451:-1 gene:ONIVA06G19220 transcript:ONIVA06G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMRPFLLNVFFSKRFVHAKVVHRGTSECIIETGAWQRDGQRPEASAEGGDAGTVGRGRQRVGERRQSPSMPVRRTFLQLLLHRQPPLPEPSQPAARPHLASPCRRSLLHLRARLLRRRKRLGKRERES >ONIVA06G19210.1 pep chromosome:AWHD00000000:6:17750138:17751296:-1 gene:ONIVA06G19210 transcript:ONIVA06G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAWWYPGGGGGGGSNNWDLGAVVRFGCGGGRVSPAAALLGEAWEYDDDPFSSFLAPPMTAQQAALPAVWEEGDDGDAAWMAPLPGLQTGGGWGDQAPMVVDELCGALVAAPPPPPKQQEVLQVQQQPPPADNTQPTTDQQGSGGDGESTRAGGSRSARRKKKQTRKEVVRVAASGPAPDSWAWRKYGQKPIKGSPYPRGYYRCSSNKNCAARKQVERCRLDPSFLLLTYTGAHSGHDVPLHRNSLAGTTRHKPPPPPPLPSAADKSPATAAEAATASQSPGLSPTTPLRASSMELHGEDDAEAELQVEEDDMAIDDEDDDDVADETISTVPWGTPISDAIIAASYEWR >ONIVA06G19200.1 pep chromosome:AWHD00000000:6:17743958:17744617:-1 gene:ONIVA06G19200 transcript:ONIVA06G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRRPCHGQRQRRYTMYPLPASPWVMTSLEKPPPWTTTTTTMAVPASPRETAFPTKPSNANVSSPLRSYHGALAVTVPNSKYTSITIRALLGCTSSSAFAGTCTRRVIVAAAPPRATPAHDAWLLILGLHQTHAWRPQLLLSLRLHWRRHATPWPSSTRNAWSLSLCQQWHTTPRPPSAHSAWSLLSLRRYEREETRSRRRNQGLREIEGGKKGKRE >ONIVA06G19190.1 pep chromosome:AWHD00000000:6:17690673:17696604:1 gene:ONIVA06G19190 transcript:ONIVA06G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAEEPSTKLPSRSPLDGPAPPPYHHHHYGTFLPPPPPQQQQQQKPDGAASNHPFPAGYAAQGVVAFPCTVQQLVLVEGVPIREPPLPFCGIGLGWILFLLGFFLAALPWYAGAFILFFVALDHREKPGLIACTIAGIFVLVPFILNGIRMHPFW >ONIVA06G19180.1 pep chromosome:AWHD00000000:6:17661397:17661855:1 gene:ONIVA06G19180 transcript:ONIVA06G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRFLAGTKFSFGSLDFTATSAGVLRPTLPDFLPPTTPPRMLFGVSNFAATAARALQAGNPVDDCPIASPPPRHPGHHGRSKRNRPQLPRELRSRWVEFLVTQRSDSDSESSNNTPTSSRRPQEVFIAFQETDEERRSAASNLNRRLNELR >ONIVA06G19170.1 pep chromosome:AWHD00000000:6:17603318:17608062:-1 gene:ONIVA06G19170 transcript:ONIVA06G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTPKDCRRLQRWATASPDLVSPLGRGDRRRARSTPAQLRGPGRGVASGGDGGGCGGDEVGDDGGGLRRGITGESLAEPFGRLTMATPFGVVPLLGGVRTPFLSLPYSLGENLASVPNERWRRSMSHPPWGHRFGETSSCKDIIIGLYIGFELQS >ONIVA06G19160.1 pep chromosome:AWHD00000000:6:17602115:17602687:-1 gene:ONIVA06G19160 transcript:ONIVA06G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGGTSKGKQKIEMCCIDGKEKRQVTFSKRRRGLFKKASEISTLSGASIAIVSFSKAGNVFAFGSPSVDAVLRRHVVAGPSTSTSHAHAGGDVFADDGGDNPEVLNALKRATDEAAAEVAAEDARQSGVEGKITEAMAAGRRRFWWDAANVEALGEAELPVFERALHKLRGAVVRRRRRLAAARRSS >ONIVA06G19150.1 pep chromosome:AWHD00000000:6:17599620:17601297:-1 gene:ONIVA06G19150 transcript:ONIVA06G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPRRCRLLAAAGEPGSPSAGAFSTTAAASSRVASGVLGSPSAGSSSRAPAGELWWVTALRSTDSRLREATIQSRRDGIGSQLKGALVAATVGRT >ONIVA06G19140.1 pep chromosome:AWHD00000000:6:17579319:17579976:1 gene:ONIVA06G19140 transcript:ONIVA06G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRQNRNPHTTPTPHSARALRTHLTHSKKLSPFSLVASPCSPASAFAAAHGRSGRRGDRPRAAANPGGRPRRGCLGRAAAGRAARRHRRRLAGEGVVATTGAGAGGEEPGVQAARGGHLRAQGSWGR >ONIVA06G19130.1 pep chromosome:AWHD00000000:6:17575091:17576275:-1 gene:ONIVA06G19130 transcript:ONIVA06G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVWKSKEKAQMTNLQEGQLHAAQLEDGPLFGPMFVGGPDVDVDELPDASLGALVLEGVHVRGVDVHKVD >ONIVA06G19120.1 pep chromosome:AWHD00000000:6:17565356:17567065:-1 gene:ONIVA06G19120 transcript:ONIVA06G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTTVTEPDNAEASPSPSPSPSTPPKKVIMYELAARNIYYAKPAAAAVATTTVASLARLLRPCGAAQPPSPEYILRDVSLTARPGEILAVVGPSGAGKSTLLDILAARTAPTHGRLLLNAAPLRPSSFRRLSAHVPQMDVALPLLTVAETFAFAASLLYPAAAEASAAVAALLADLRLGHAAHTRVSATRLSGGERRRVSIGLALLRDPGVLLLDEPTSGLDSSSAHVVVGCLRAVAAARGTLEFALEVLDQMPHPSASSPEPKTTEELAAVTSSKSSSSSTSPCSRIHEVVVLYKRAWKVVYRSKQLLLTNFLESVVVGTLLGSIYINAGDGEGGAHKRLGLFAFTLTFLLTSTTETLPTFVSERPIVLAETASGLYRLSSHAAAATLVFLPYLLAVALLYSACVYFLVGLCASAAAFAAFVMVVWAVVLTANSFVLFVSSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRGSMPPYWVFMHYVSPYKYALDALLANEYTCAATRCFGVAGPAAGDCSETGADVLAEKGLTAKERWTGVQVLFGFFLLYRVLYWVVLSRRAARAKR >ONIVA06G19110.1 pep chromosome:AWHD00000000:6:17560102:17560344:1 gene:ONIVA06G19110 transcript:ONIVA06G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGLDIRTKEEGHGVDSRWSGQRGGEYAIASLAENDVGLPTGEADVTSVSLRRLTVTGTGTACFFILLPAGRHNPHHG >ONIVA06G19100.1 pep chromosome:AWHD00000000:6:17559620:17560101:1 gene:ONIVA06G19100 transcript:ONIVA06G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPKLRPSSVDRNLGLGRSLVRVSPDASVVLGTAAQWDNERERLMVSTIHVPLPPRGAAES >ONIVA06G19090.1 pep chromosome:AWHD00000000:6:17554944:17556446:1 gene:ONIVA06G19090 transcript:ONIVA06G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGCR14-related [Source:Projected from Arabidopsis thaliana (AT3G07790) TAIR;Acc:AT3G07790] MLRSPGHSPRHLSPSPAPSTPRPSSPTPSSASASALAAAATTTTSSKRRRPEVLDEDTYVAAVERIIERDFFPDLPRLRDRLDWLQALRSRDPLVLRDAQLKILERRRRAQRQRQGGPVPTPTPATSTALRSPSFLATPSVAPSVAGDEGADVDDDVEAALSLDDFFRRFTSEDNESFSRILEKVNHRRRERYAHLLEPGEVAKAPQLEDAKRDRITDGYGTSGQPLSTLEGAKFTAKNLLMYYPADRGEAPLTDEERAERLKGMTKEIDRSNTRFHGKSSVDDGAKEEEAAAILYAPVAGSTPGGMAYHDPDKAKKYDLEDLRKTPNPFYVESGKNANNGYSFVRTPSPAPGVDESPFMTWGEIDGTPLRLDPEETPGGSGGSDRAHFKIPPPPARDVKAHLLSRDAARKIKERTKMFHKPPLPSPVRGGSASPRTFSPAAQKFVRNAIAKSSRTIDESLRASYRGTTPSATTPKTRFSRDPSLGSRSPSTRQGSTPPW >ONIVA06G19080.1 pep chromosome:AWHD00000000:6:17548354:17551185:-1 gene:ONIVA06G19080 transcript:ONIVA06G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQMFEESSQVPNGRWKGFTLPGYFRHRPCCAPTGARACHRLRRRSPPRPTPPKNPATTYSVAEPCRDLLRCRTCRDLLHRQTLPSIASLVAAQILGAPGKKKQWWKPNEKKRGTWMKNDKAWAFFARQSASRYKSRPWERSHRISLHPFFSRSWDGKVCDLFQLRRRSSSLEAGCVKPSRSSLARVCEKHLSGTLSETRTGAAGSWTPAVETASTRHFTCTSRLYSR >ONIVA06G19070.1 pep chromosome:AWHD00000000:6:17540387:17548319:-1 gene:ONIVA06G19070 transcript:ONIVA06G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWLTPTAAPTLRTCTGLVCSCRNLGRVLRHIREGVARHASMVDLNKARAPPSESESNGYLRVRCNGGLSKQHSAICDAVVIARIMNATLVLPELATSSFWHDESGFLDIYDVRHFIKTLKYDVQIVMSIPKISAKGNTKNLRAHQILPPRYAPVTWYRTVAMEKIKKHGAIYLTPFSHRLAEEIDDPELQRLRCRGKSYPGKRFVYKERRLIGKFPLIPEEVGLILRAMGFDNTTRIYLAPSKLFAGDRLMITKPFEAMFPHLENHSTVGPGTGMLEENTQGLAWSAVDYMVCLLSDIFLPTYDGPSNFAHNLMGHRLYHGFQTTIAPDRKALARIFIDREEGRASGYEERVRQLMFNAHFGGPRKRIHPEPFYTNSWPECFCQTEARSNADRCPPDDMDGVIESQFQSEEDIINKTDSTSQTEWLVI >ONIVA06G19060.1 pep chromosome:AWHD00000000:6:17535865:17536272:-1 gene:ONIVA06G19060 transcript:ONIVA06G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLYEFGGSVRHHDERRRAAPGRIQYGRRRLSGELVGLPQQDARVRAQGVGDQTTHGFPLFASSDYAAAMDKVFERLGVTSGCTEEGLQNKVLRKGVREAALPKHAVVYGILVLETDWWPHRFLNKKIADWTAT >ONIVA06G19050.1 pep chromosome:AWHD00000000:6:17514412:17521024:1 gene:ONIVA06G19050 transcript:ONIVA06G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASELGIRSCSLEDLDGMSRSRKTHSSWTTSCTVQHSGYGLQVKSDAPKQLSNATITTMDRFCFEMALKELLYEEIDTDDDGMDDIDGAQEDEVALQSDGCSVDYIADGLRELDMENYDDDDGVIKDLCSGSSDLYYPSNDMDPYLKNKNNGLVSILEEMEDGHPYLYPYDEIVLLGIPLCVPLSDCGLMDGQKDEKIQDWKPETLYLIGIDWNKEYTFKQLLGASAHLKSFLAVKLWDISSNQPSVIASLNPKVGAIFSISFSKDNPFLLAVGGQKGNLKVWNTLTEPLVASKIGKHGSS >ONIVA06G19050.2 pep chromosome:AWHD00000000:6:17514412:17521024:1 gene:ONIVA06G19050 transcript:ONIVA06G19050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASELGIRSCSLEDLDGMSRSRKTHSSWTTSCTVQHSGYGLQVKSDAPKQLSNATITTMDRFCFEMALKELLYEEIDTDDDGMDDIDGAQEDEVALQSDGCSVDYIADGLRELDMENYDDDDGVIKDLCSGSSDLYYPSNDMDPYLKNKNNGLVSILEEMEDGHPYLYPYDEIVLLGIPLCVPLSDCGLMDGQKDYCVLQVSLENGMVQTFDKRITSSHQNGTVKLWDISSNQPSVIASLNPKVGAIFSISFSKDNPFLLAVGGQKGNLKVWNTLTEPLVASKIGKHGSS >ONIVA06G19040.1 pep chromosome:AWHD00000000:6:17489828:17490277:-1 gene:ONIVA06G19040 transcript:ONIVA06G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQRGDGGQRWRLVGAQGDGRRWAREAARGQQRAASADDAEPAGCTARIHSSPASLARPSPPRPPSLAVAPSPPQTAAHSGGMADLEATAVDEVGGGKAADGAQGGSEGGGGARGGDGDGGGRSSVRDGDGEGGARGGDGDGGGSPQ >ONIVA06G19030.1 pep chromosome:AWHD00000000:6:17470150:17471667:-1 gene:ONIVA06G19030 transcript:ONIVA06G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHELWVLWATLAVSLLCYLYLTSHRLGSRRRRWPPGPRPLPLLGNLLDLRGGNLHHTLARLARAHGAPVMRLQLGLSPAVVISSPGAAREAFTAHDRRLAARAVPDANHALGFCDRSMIWLPSADPMWRTLRGVVAAHAFSPRALAAARAVHERKVRDLVAYLRGRAGREVDVKDAVYGGVLNLVSSALFSADVVDVGGESAQGFRELVEELIESIAKPNVSDLFPFLRPFDLQGWRRWTSGHLAKIYKVLDDIIDRRSAEDDAAMDKRGDFLDVLLELMSTGKIAREYLTNILFDVFTAGSDTMSLTVVWAMAELLRNPGVMAKARAEIDAALGGREAVEEADVARMPYVQAVLKEAMRLHPVAPVMLPRKAAEDGVEIGGFEVPRGCAVIFNTWAIMRDPAAWERPDEFVPERFVGRSRATEEMDFRGKDFGFLPFGSGRRLCPGVPMAERVLPLIMASLLHAFEWRLPDGMSAEQLDVSEKFTTANVLAVPLKAVPVVIAC >ONIVA06G19020.1 pep chromosome:AWHD00000000:6:17449284:17449484:1 gene:ONIVA06G19020 transcript:ONIVA06G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLAAGGEAAVVRRPSDGALPSARSSGRKGGGGTGPAAAGGAAGDGWRRWVEAAGDGWQRRHQR >ONIVA06G19010.1 pep chromosome:AWHD00000000:6:17430564:17431572:-1 gene:ONIVA06G19010 transcript:ONIVA06G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGRAVPECLPSSSLGRHESRGGVVGELRPVRIRWQGGSSAPVGTDQRAARPAASSVCCEGRGRDEPRGGAVLSPSRWCHYNLRRAGYVIRVNGVHEANDDRLWDAKRGSGLWDLVEVVRDLTGELHSSSFPSCLPSLNV >ONIVA06G19000.1 pep chromosome:AWHD00000000:6:17406976:17407874:-1 gene:ONIVA06G19000 transcript:ONIVA06G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTHVILPPLSLSHFRSGGGDGGERAVENAAIARGRREGGRGTRGEPPQWGVGVADDWLAQRRGWHEAAAAVVGTRRWGAVRTTAVGSDGAVVGSGGDCGGDEACRLENSIRLLSPTLAAPLVHGVISGAAAAGCTDLALQFFRFAYRRTGFSPEPSTFALATAEKFTHPPPPGATMATNAAPGTPTSAPAGRASMETGKRNMGLHCTSMRISRDETRSP >ONIVA06G18990.1 pep chromosome:AWHD00000000:6:17403539:17405369:-1 gene:ONIVA06G18990 transcript:ONIVA06G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVFCCLLALLPLVHYLITLFLHGSRDSDLRLPPGPWRLPLIGSLHHLFFGALPHRALRDLARRHGPLMLLAFGDAPVVVVASTAAAAREILRTHDDNFSSRPLSAVVKACTRRGAGITFAPYGEHWRQVRKICRLELLSPRRILAFRAIREEEAARLVRAIGVASPPLVTNLSQLLGNYVTDTTVHIVMGERFRERDALLRYVDEAVRLAGSLTMADLFPSSRLAHAMSSTTLRRAEAFVESLMEFMDRVIREHLEKKRSCQGGEREEDLIDVLLRLQAEGSLHFELTMGIIRAVIFVSSFMLLSSCHEFETATTTLQWAMAELMRNPGVMSRAQAEVREAYKDKMEVTEEGLTNLTYLQCIIKETLRLHTPGPLALPRECQEQCRILGYDIPKGATVLVNVWAICTDTEFWDESEKFMPERFEGSTIEHKGNNFEFIPFGAGRRICPGMQFGIANIELALANLLFHFDWTLPEGTIHSDLDMTETMGITARRKEDLYVHATPFVQLP >ONIVA06G18980.1 pep chromosome:AWHD00000000:6:17393501:17394521:-1 gene:ONIVA06G18980 transcript:ONIVA06G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQMMYAGKRSAAAREMAMEMMAPDQRQMGSSCSLLGRFISRVFKCRGRQGRMRGERMDYYGATAYPAAQTCYVSPAAPARAVAFATANAMRPEPMQAHAVAAMPMPGATYGAASPRPGGGKRKKKKSKNKRVQFAPAGAEPVPTDAPPPAAHYAPPAAAAASGGGQLHHQQHYPSADAAAEPYSTAAHGHGHGRYAYAPSPLARWEMLGSAGTPRRHEYFSGEYRWCYPTPVREGIYSLATDANRLTTIFSEENPNACAIV >ONIVA06G18970.1 pep chromosome:AWHD00000000:6:17383729:17387741:1 gene:ONIVA06G18970 transcript:ONIVA06G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASRSTCAVIGILRPTSSVGCAAAPPAPPPDRRDKLIEQLQSRRLPPHLRSALSPSTTTTVAHRIASPLSPAAANRSQLDATRHLISRWSRMEVRNFNEKLVE >ONIVA06G18970.2 pep chromosome:AWHD00000000:6:17383729:17387741:1 gene:ONIVA06G18970 transcript:ONIVA06G18970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASRSTCAVIGILRPTSSVGCAAAPPAPPPDRRDKLIEQLQSRRLPPHLRSALSPSTTTTVAHRIASPLSPAAANRSQLDATRHLISRWSRMEVRNFNEKLVE >ONIVA06G18960.1 pep chromosome:AWHD00000000:6:17371918:17383750:-1 gene:ONIVA06G18960 transcript:ONIVA06G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0HRD4] MGSLNTVRSPDPTRRAEYRVKEKEISRLLLVVSSAPSRAAAAKTSRVAAEQGRAGRRSPSGEQRRGGILILVGTCEGFLGGHWAMRFFFKAFISSVTGQTLQGSHGNSLVILNRVSSSSGGWDACIIPYYGNIAKWRKQEGEKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGQPIAVTVEDLEDIKNIPADASFGGEQKEQSIATEAQKVEMDAAKESSKITRISPAAKLLIKEHRLDQSVLNASGPRGTLLKGDVLAALKLGASSSSTKQKNAPAAPSSQPTHDFQAQSVTIPQQNDAYEDIPNSQIRKVIAKRLLESKQTTPHLYLSQDVILDPLLAFRNELKEQHGVKVSVNDIVIKAVALALRNVPEANAYWNNDKEQAQKCVSVDISIAVATEKIKRLYQQYPQSISNLGMYPVDHFCAIINPPQSGILAVGRGNKIIEPVVDSDGTEKATVVTKMSLTLSADHRVFDGQVGQEKSFPCSSELGCFTSSPYKSNQLFFIFLIRLNRHFQVFFFLINNTGINAPRILQIQCHFVFMWLCNI >ONIVA06G18960.2 pep chromosome:AWHD00000000:6:17371918:17383750:-1 gene:ONIVA06G18960 transcript:ONIVA06G18960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0HRD4] MGSLNTVRSPDPTRRAEYRVKEKEISRLLLVVSSAPSRAAAAKTSRVAAEQGRAGRRSPSGEQRRGGILILVGTCEGFLGGHWAMRFFFKAFISSVTGQTLQAIGPARLLWQQLIGLQQQAMGTNQGNIAKWRKQEGEKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGQPIAVTVEDLEDIKNIPADASFGGEQKEQSIATEAQKVEMDAAKESSKITRISPAAKLLIKEHRLDQSVLNASGPRGTLLKGDVLAALKLGASSSSTKQKNAPAAPSSQPTHDFQAQSVTIPQQNDAYEDIPNSQIRKVIAKRLLESKQTTPHLYLSQDVILDPLLAFRNELKEQHGVKVSVNDIVIKAVALALRNVPEANAYWNNDKEQAQKCVSVDISIAVATEKIKRLYQQYPQSISNLGMYPVDHFCAIINPPQSGILAVGRGNKIIEPVVDSDGTEKATVVTKMSLTLSADHRVFDGQVGQEKSFPCSSELGCFTSSPYKSNQLFFIFLIRLNRHFQVFFFLINNTGINAPRILQIQCHFVFMWLCNI >ONIVA06G18950.1 pep chromosome:AWHD00000000:6:17364605:17367911:-1 gene:ONIVA06G18950 transcript:ONIVA06G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPEFDPADVRAGRDLIHRLTADAAGIQRRVLREILSRNSATEYLRRFLGGAAGDDDDVRDAFKRRVPVSGYEDVKPYVDRVASGTSGGQQKLLPSTAEELDRKVFFYAVRALVRNMSLHTDHGEDDDGGGGEGMYLMFAFHGDRTLSGLPIQSALTTYYHSRQFQECDIGGFDKCTSPLEAILCPYGEQSMYCQLLCGLLHRCRVDHVGASFAAGLVRGIKFLENHWEEMCFNIRSGQLSDWITHTPLRDAVTGQYLQGSNPALADEIASECARKPWDGIVRRLWPRARYIRTIVTGSMSQYIPILEVYGGGLPLVSPIYASTECAAGINLRPLDPPSHVSYALLPNIAYFEFLEVMDENGEKVQGTTRLDDNLGEVKVVDLVDVKVGRCYELIVTTFAGKLLGYFLSLFFSDMARYRVGDLFTVSGFYNATPLFHFSGRHDVILSIDYEKISEEDLLNAIAETDKFHLRPLGYMLVGSTAYADISTLPGHYILFWELTNACDSNVAIDIDQTAMEKCCLAVEDHFDEMYRKIRHRGSISALEIRILSHGAFDALMDFFVSRGTSASQYKTPTAIRSKEAMMVLEERVVGRFFSQATPSCRSAEFERK >ONIVA06G18950.2 pep chromosome:AWHD00000000:6:17364605:17367911:-1 gene:ONIVA06G18950 transcript:ONIVA06G18950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPEFDPADVRAGRDLIHRLTADAAGIQRRVLREILSRNSATEYLRRFLGGAAGDDDDVRDAFKRRVPVSGYEDVKPYVDRVASGGEPSSAPTPSPASAGVGINPSSLPSLTVPSNFNANGGSSGTSGGQQKLLPSTAEELDRKVFFYAVRALVRNMSLHTDHGEDDDGGGGEGMYLMFAFHGDRTLSGLPIQSALTTYYHSRQFQECDIGGFDKCTSPLEAILCPYGEQSMYCQLLCGLLHRCRVDHVGASFAAGLVRGIKFLENHWEEMCFNIRSGQLSDWITHTPLRDAVTGQYLQGSNPALADEIASECARKPWDGIVRRLWPRARYIRTIVTGSMSQYIPILEVYGGGLPLVSPIYASTECAAGINLRPLDPPSHVSYALLPNIAYFEFLEVMDENGEKVQGTTRLDDNLGEVKVVDLVDVKVGRCYELIVTTFAGKLLGYFLSLFFSDMARYRVGDLFTVSGFYNATPLFHFSGRHDVILSIDYEKISEEDLLNAIAETDKFHLRPLGYMLVGSTAYADISTLPGHYILFWELTNACDSNVAIDIDQTAMEKCCLAVEDHFDEMYRKIRHRGSISALEIRILSHGAFDALMDFFVSRGTSASQYKTPTAIRSKEAMMVLEERVVGRFFSQATPSCRSAEFERK >ONIVA06G18940.1 pep chromosome:AWHD00000000:6:17360953:17363721:1 gene:ONIVA06G18940 transcript:ONIVA06G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLIVKLGNALASEAVELAKSLLGLEGSALKRLFSEIRDVKGELESIRAFLQAAERFKDADETTSAFVQQIRRLAFGIEDAVDEFTYQLGEGGGRMPFKRMCKIGTWSRLAANLQDIKVSLKSAAERRIRYDLKGVVVRGVKSVVGSSSNSNWRSDSVHFKRDDDLVGVDKNRDLLMRWVQDQQQRHRIVSVWGMGGIGKTALVANVYNAVKDDFDTCAWITVSQSYDADDLLRTTVQEFRKNDRKKDFPDDEGASSYRRLVETIRSYLENKRYVLVLDDVWSTNVWFDSKDAFGGANIIGRIILTSRNYDVALLAPETNIINLQPLVKSHAWDLFCKEAFWKNGNRDCPPELLQLAQNFVDKCHGLPIAIVCIGRLLSFQGSTHSDWEKVHKNLEMQLTNNSVMDMMNIILKISLEDLPHNIKNCFLYCSMFPEAFVMKTKSLVRLWVAEGFIDETEQKSPEETAEDYLTELVNRCLLLVMKRNESGCVKEFQMHDVLRVLALSKAREQQFCIAFNHSSTHLIGEARRLSVQRGDIAQIAGHAPHLRSLLLLKNSTTFTSLTTISRSAKLLSVLDLTDSSIDRLPKEVFGLFNLRFLGLRRTKITKLPRSIGRLKNLLVLDAFKGKIVKLPLEITKLHKLTHLIVTSKPVVGSLQFVPSIGVPAPIGICSLTSLRTLLMMEASSELVHHLGALVQLRTFRISKVQSCHCEHLFLAITNMIHLTRLGIQADSSQEVLNLEALRPPPLLQKLYLKGTLSKESLPHFMSLSNLNNLGSLRLVGSRLDRDTFLNLERLPHLVKLQLYDAYDGKNIYFHENSFPKLRELSIRGAPHLNEIEMKRGAVASLTDLKLLVCPNLKQLPYGIEHVRTLEELTLDRAAEELVGRVRQKTETVISHVHRVYVGFSRNGVLAAERIQ >ONIVA06G18930.1 pep chromosome:AWHD00000000:6:17349721:17351745:-1 gene:ONIVA06G18930 transcript:ONIVA06G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20090) TAIR;Acc:AT4G20090] MNFDFRTPLMTKKNQTNLIVIPIVLHLRSCSGHWAVPSSSPINPRARFLPKIPKCPSSRSEPFVSSSSDDDDDDSPLSADLFPRAGAPTLLTVARGLAAADDPVPSASTVLAFLRRLPHDASPHLFPHLVAALSRSQGGGGGGPLLALRLFLAPLHPAAVTHHSFNSALLRFPLPPHLLPPFFSRSLRRFPGRLAPTLLSFNLLLKCVCSSLVPRDPRRYLDVALRILHEIIPGWDLAPDKFTYSTVVSALADAGRVDDAVALVHEMVADGVVAAEAFNPVLRAMLRAGDVKGAAKLFGFMQLKGCVPTAATYNVLVHGLLVCGRAGAAMGVMRRMEREGVVPGVMTYGAVVDGLVRCGRVKDAWKVAEEMERNGLARNEFVYSTVITGFCKSGEIDCALKVWEAMVASPVRPNVVLYSAMIGGLANFGKMTEAELLFREMIDSKCAPNIITYGSMIQGYFKIGDTSRALSVWEEMIGAGCVPNAVSYSILINGLCNVGRLKDAMTVWKHMLDRGCAPDTIAYTSMIKGLCVSGMVDGGLRLFYDMLASCHADPDVISYNVLLDGLLLAKDLPRAMDLLNRMLDQGCDPDTVTCNIFLREFGAGERKGREFLEGLVVRLCDRRRNMAAGEVLMVMLAKYIVPEAPIWEMVVRDVCRRKRVWRVIDKCWDEIWGP >ONIVA06G18920.1 pep chromosome:AWHD00000000:6:17346204:17349676:-1 gene:ONIVA06G18920 transcript:ONIVA06G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTYWRSCTLAVWVLSYSGFHPTRVAARAYSIRRSKVKPFDGIIMEITSFLYPRHVYV >ONIVA06G18910.1 pep chromosome:AWHD00000000:6:17338453:17338866:-1 gene:ONIVA06G18910 transcript:ONIVA06G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASLLHAVAALMSTCTRRLQRAARRVSSAAAGSGKQGASSRAVVPWRKALSLPAAATAKVKAAAAAARREEGDSGGLWRKEILMGERCQPLDFSGVIYYDADGRRLAHPPPPRSPMRSPLPVSGKLAANARAAY >ONIVA06G18900.1 pep chromosome:AWHD00000000:6:17328349:17336295:1 gene:ONIVA06G18900 transcript:ONIVA06G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAYTLRLSPPPPSPSPRRQQHHHAPLLPQRPRSRRGATARAAAAASWAPTDRGSDDGLGGWWLPVPEQQQQQKQPAERGREVGIGIAGSRRALAVGLGASAAIALVGMMWHLPSSRKCLQQFVHAPLHYVQEKLSTLESKETPEEDAGDREWDNIDVSKTANDERVDTKTDDSSQNHMPAGGVHVLFRAPVDPMHEEAFSILKKLQIIEKDASSSDFCSRREFARWFIKLHSKLERKKMHRIIPNRLTFGSVRSAFDDIDADDPDFLYIQSLGESGIVSSKLSNFLGTSTSGSSSDSGNSNFLPNSYLSRFDLVNWKALVEHPFATELDQKMLSKNVRILDLRAWPDVPSSILIDLMGGEQSIISKVFGNTRCLQPHKPVTKAQAAAALTSGRMEEVIRDELNRLEAENQSQLSVMGEIMEELINRGDIKRYWEDKMKVEEIREVAVDKQLQHVLQELANEKTDREKELAVLLKERTALEHQNQELMNLRSEIDGMYDRLAMESLEVMTEEQNLEKLSLDVNRKHQAVSESKSYLEAEKEALTMLRSWVEEEAARVHERAEVLERAVRRWRVPAD >ONIVA06G18890.1 pep chromosome:AWHD00000000:6:17322761:17326179:1 gene:ONIVA06G18890 transcript:ONIVA06G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57180) TAIR;Acc:AT3G57180] MAKPLLLPATVAAAAAARLPSRLAVGAAPPFRVLPFFLCPPPQSRSLSFSPVSAVSTAGKRGRSPPPPPSPVISEGRDDEDAAVGRPVCPGCGVFMQDADPNLPGFFKNPSRLSDDEMGEDGSPPLAAEPDGFLGDDEEDGAPSESDLAAELDGLDSDLDEFLEEEDENGEDGAEMKADIDAKIDGFSSDWDSDWDEEMEDEEEKWRKELDGFTPPGVGYGKITEETLERWKKEKLSKSERKRRAREAKKAEAEEDAAVVCARCHSLRNYGHVKNDKAENLIPDFDFDRFISSRLMKRSAGTPVIVMVADCADFDGSFPKRAAKSLFKALEGRGTSKLSETPRLVLVGTKVDLLPWQQMGVRLEKWVRGRAKAFGAPKLDAVFLISVHKDLSVRNLISYVKELAGPRSNVWVIGAQNAGKSTLINAFAKKQGVKITRLTEAAVPGTTLGILRITGVLPAKAKMYDTPGLLHPYIMSMRLNSEERKMVEIRKELRPRCFRVKAGQSVHIGGLTRLDVLKASVQTIYITVWASPSVSLHLGKTENAEELRDKHFGIRLQPPIRPERVAELGHWTERQIDVSGVSWDVNSMDIAISGLGWYSLGLKGNATVAVWTFDGIDVTRRDAMILHRAQFLERPGFWLPIAIANAIGEETRKKNERRKKAEQRDDLLLEESTEDDVEVLI >ONIVA06G18880.1 pep chromosome:AWHD00000000:6:17312774:17315038:-1 gene:ONIVA06G18880 transcript:ONIVA06G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVDPLVVGRVIGDVVDLFVPTTAMSVRFGTKDLTNGCEIKPSVAAAPPAVQIAGRVNELFALVMTDPDAPSPSEPTMREWLHWLVVNIPGGTDPSQGDVVVPYMGPRPPVGIHRYVMVLFQQKARVAAPPPDEDAARARFSTRAFADRHDLGLPVAALYFNAQKEPANRRRRY >ONIVA06G18870.1 pep chromosome:AWHD00000000:6:17293390:17293938:1 gene:ONIVA06G18870 transcript:ONIVA06G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVTKGDLRVDDLIPNHALRCIIQAWCVANHCRGVERIPTPRVPVTLAQAGEVLGLGEVEAAARAGDAARCGAAVREVGRLARESDRDRWCLASSGAASALAAAVASFAAVSDSSASSVLLNDVQASLVLVMPLDEKAIMAIGSSTASVALLANVAKHDDLQRRLQAVVIIREIVVLSSCC >ONIVA06G18860.1 pep chromosome:AWHD00000000:6:17279572:17286576:1 gene:ONIVA06G18860 transcript:ONIVA06G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSKKKKDKVILPPQLPPEVDDDDVVVSDEDVEFFRGNEGHARALATLDRKSIDSYVTRVAHHDEDEVERLYEERERRRKAVEALRPKNHDDDDFEVDRVDALPVKTLQGELVYNNAKKARFDDSSNNVESKSEDKVGNSKQTIQKGERKEKSKSKKGDGKLQNVQAQTEASNGKLQSKVLEEVKEELSAEELFEKKKAQLAEIGMSMLEDPESHIRSLNDMLNICNDKDQKVVKLSLMSLLAVFRDIIPSYRIRQLTEKELTVEVSKDVKKMRYYEYTLLRSYKAYLQKLISLEKQPNFSALAVRCMCTLLDTAPHFNFRESILASVARNLSSPDDAVRKMCCETIRSLFVDEGKHRGEATVEAVRLIADHVKLNDCQLHPDSIEVFLSLRFDDDLGKDDTEEEKGKPKKNKRRQNQEVPKKLPVSDNKKAKQELISKAREEVDAELRSVSFTLDPKERRRIQKEALSALFETYFRILKHSMSISNSRGKVINVSPDGSHPLLAPCLEGLGKFSHLIDLDFMGELVACLKKLSGYTDHHSGTVHDNTLSVSERLQCCIVAFKVWRSNLEALNVDLQDFFVQLFNLILEYRPDRDRGEVLADALKTLLWEGKQQDMIRAAAFIKRLATFALSFGSAEAMAALITLKHLLQKNSKCRNMLENDSGGGSLSCLVAKYDPEAKDPYLSGALASVLWELSLLQKHYDSSVSSMASNILSMANLNPTQNPVPISNANPLEAYRDLSMERKLSKPANKLLPLNCRKKRRGKEFVALSPAALEGSDCVAGGDELKEKLKNHFAVLRGISENERLRAELNHTLSSINLYKEYKKQKKSRKSKAVKKKVSRA >ONIVA06G18850.1 pep chromosome:AWHD00000000:6:17263335:17275689:1 gene:ONIVA06G18850 transcript:ONIVA06G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate phosphate dikinase, PEP/pyruvate binding domain [Source:Projected from Arabidopsis thaliana (AT1G10760) TAIR;Acc:AT1G10760] MALRSAIPTPSHLELRKERYPSGSRKPNPSIHPSHTALTIELFDIRADERILRGSCCGRALVGRFTLDANSELKVTLNPAPQGSVAEINLEATNTSGSLILHWGALRPDRGEWLLPSRKPDGTTVYKNRALRTPFIKSGDNSTLKIEIDDPAVQAIEFLIFDEARNNWYKNNGQNFQIQLQASQYQGQGTSTATSSTVVPEDLEEYEAARTELIEELNKGVSLEKLRAKLTKTPEATDSNAPASESTVTTKVPEELVQVQAYIRWEKAGKPNYAPEKQLVEFEEARKELQSELDKGTSVEQLRNKILKGNIETKVSKQLKDKKYFSVERIQRKKRDIVQLLKKHKPTVMEAQAETPKQPTVLDLFTKSLQEQDNCEVLSRKLFKFGDKEILGITTVALGKTKVHLATNYMEPLILHWALSKENGEWQAPPSSILPSGSSLLDKACETSFSEYELNGLHCQVVEIELDDGGYKRMPFVLRSGETWMKNNGSDFYLDFSTKDTGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQARDNGLLGIIGIFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYQEILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLKKDGITKERLLSYDRPIHSEPNFRSEQKDGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPKLLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLGSPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDDNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEVSGYIVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHDGKVFSFKPTSADITYREIPESELQSGSLNAEAGQAVPSVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDEINKEVAQTIQMLKGKLAQDDFSALGEIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKNDLDSPKVLGFPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVILDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKLFVVQTRPQMGKAREDYYQVLGVTVNSTPQEIKEAYRKLQKRHHILILLATRVMHDYTLLLNEAYKVLMRN >ONIVA06G18850.2 pep chromosome:AWHD00000000:6:17263335:17275689:1 gene:ONIVA06G18850 transcript:ONIVA06G18850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate phosphate dikinase, PEP/pyruvate binding domain [Source:Projected from Arabidopsis thaliana (AT1G10760) TAIR;Acc:AT1G10760] MALRSAIPTPSHLELRKERYPSGSRKPNPSIHPSHTALTIELFDIRADERILRGSCCGRALVGRFTLDANSELKVTLNPAPQGSVAEINLEATNTSGSLILHWGALRPDRGEWLLPSRKPDGTTVYKNRALRTPFIKSGDNSTLKIEIDDPAEEYEAARTELIEELNKGVSLEKLRAKLTKTPEATDSNAPASESTVTTKVPEELVQVQAYIRWEKAGKPNYAPEKQLVEFEEARKELQSELDKGTSVEQLRNKILKGNIETKVSKQLKDKKYFSVERIQRKKRDIVQLLKKHKPTVMEAQAETPKQPTVLDLFTKSLQEQDNCEVLSRKLFKFGDKEILEKPKFTWQQTIWSHLYFTGRCQKRMESGRQCGPVLMGCFVTVKAPPSSILPSGSSLLDKACETSFSEYELNGLHCQDTGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQARDNGLLGIIGIFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYQEILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLKKDGITKERLLSYDRPIHSEPNFRSEQKDGLLRDLGNYMRSLKMEGTLIQSLRMAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPKLLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLGSPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDDNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEVSGYIVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHDGKVFSFKPTSADITYREIPESELQSGSLNAEAGQAVPSVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDEINKEVAQTIQMLKGKLAQDDFSALGEIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKNDLDSPKVLGFPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVILDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKLFVVQTRPQMGKAREDYYQVLGVTVNSTPQEIKEAYRKLQKRHHILILLATRVMHDYTLLLNEAYKVLMRN >ONIVA06G18850.3 pep chromosome:AWHD00000000:6:17263335:17275689:1 gene:ONIVA06G18850 transcript:ONIVA06G18850.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate phosphate dikinase, PEP/pyruvate binding domain [Source:Projected from Arabidopsis thaliana (AT1G10760) TAIR;Acc:AT1G10760] MALRSAIPTPSHLELRKERYPSGSRKPNPSIHPSHTALTIELFDIRADERILRGSCCGRALVGRFTLDANSELKVTLNPAPQGSVAEINLEATNTSGSLILHWGALRPDRGEWLLPSRKPDGTTVYKNRALRTPFIKSGDNSTLKIEIDDPAVQAIEFLIFDEARNNWSVLFGLPILPCFLECRRYQITTLYFGQEEYEAARTELIEELNKGVSLEKLRAKLTKTPEATDSNAPASESTVTTKVPEELVQVQAYIRWEKAGKPNYAPEKQLVEFEEARKELQSELDKGTSVEQLRNKILKGNIETKVSKQLKDKKYFSVERIQRKKRDIVQLLKKHKPTVMEAQAETPKQPTVLDLFTKSLQEQDNCEVLSRKLFKFGDKEILEKPKFTWQQTIWSHLYFTGRCQKRMESGRQCGPVLMGCFVTVKAPPSSILPSGSSLLDKACETSFSEYELNGLHCQDTGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQARDNGLLGIIGIFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYQEILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLKKDGITKERLLSYDRPIHSEPNFRSEQKDGLLRDLGNYMRSLKMEGTLIQSLRMAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPKLLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLGSPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDDNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEVSGYIVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHDGKVFSFKPTSADITYREIPESELQSGSLNAEAGQAVPSVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDEINKEVAQTIQMLKGKLAQDDFSALGEIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKNDLDSPKVLGFPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVILDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKLFVVQTRPQMGKAREDYYQVLGVTVNSTPQEIKEAYRKLQKRHHILILLATRVMHDYTLLLNEAYKVLMRN >ONIVA06G18850.4 pep chromosome:AWHD00000000:6:17263679:17275689:1 gene:ONIVA06G18850 transcript:ONIVA06G18850.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate phosphate dikinase, PEP/pyruvate binding domain [Source:Projected from Arabidopsis thaliana (AT1G10760) TAIR;Acc:AT1G10760] MGCFVTVKAPPSSILPSGSSLLDKACETSFSEYELNGLHCQVVEIELDDGGYKRMPFVLRSGETWMKNNGSDFYLDFSTKDTGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQARDNGLLGIIGIFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYQEILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLKKDGITKERLLSYDRPIHSEPNFRSEQKDGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPKLLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLGSPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDDNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEVSGYIVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHDGKVFSFKPTSADITYREIPESELQSGSLNAEAGQAVPSVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDEINKEVAQTIQMLKGKLAQDDFSALGEIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKNDLDSPKVLGFPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVILDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKLFVVQTRPQMGKAREDYYQVLGVTVNSTPQEIKEAYRKLQKRHHILILLATRVMHDYTLLLNEAYKVLMRN >ONIVA06G18850.5 pep chromosome:AWHD00000000:6:17263335:17275070:1 gene:ONIVA06G18850 transcript:ONIVA06G18850.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate phosphate dikinase, PEP/pyruvate binding domain [Source:Projected from Arabidopsis thaliana (AT1G10760) TAIR;Acc:AT1G10760] MKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDDNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEVSGYIVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHDGKVFSFKPTSADITYREIPESELQSGSLNAEAGQAVPSVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDEINKEVAQTIQMLKGKLAQDDFSALGEIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKNDLDSPKVLGFPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVILDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKLFVVQTRPQM >ONIVA06G18850.6 pep chromosome:AWHD00000000:6:17263335:17275071:1 gene:ONIVA06G18850 transcript:ONIVA06G18850.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate phosphate dikinase, PEP/pyruvate binding domain [Source:Projected from Arabidopsis thaliana (AT1G10760) TAIR;Acc:AT1G10760] MALRSAIPTPSHLELRKERYPSGSRKPNPSIHPSHTALTIELFDIRADERILRGSCCGRALVGRFTLDANSELKVTLNPAPQGSVAEINLEATNTSGSLILHWGALRPDRGEWLLPSRKPDGTTVYKNRALRTPFIKSGDNSTLKIEIDDPAVQAIEFLIFDEARNNWYKNNGQNFQIQLQASQYQGQGTSTATSSTVVPEDLEEYEAARTELIEELNKGVSLEKLRAKLTKTPEATDSNAPASESTVTTKVPEELVQVQAYIRWEKAGKPNYAPEKQLVEFEEARKELQSELDKGTSVEQLRNKILKGNIETKVSKQLKDKKYFSVERIQRKKRDIVQLLKKHKPTVMEAQAETPKQPTVLDLFTKSLQEQDNCEVLSRKLFKFGDKEILGITTVALGKTKVHLATNYMEPLILHWALSKENGEWQVKTNMFATPKAMNSSSEIDNVGLY >ONIVA06G18840.1 pep chromosome:AWHD00000000:6:17256327:17256678:1 gene:ONIVA06G18840 transcript:ONIVA06G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIKAKKIYQGESSNKNSEPEGEQKQEGIKASTSAVPLSTSPYCHFCASYGHWQRNCTRFTAWLVKKGNSHRPNGSKEGSEHSE >ONIVA06G18830.1 pep chromosome:AWHD00000000:6:17245243:17245572:-1 gene:ONIVA06G18830 transcript:ONIVA06G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWGHRPARAKHHRVPSLIPEDFAVVDFKEETIVSRGENTTGRQPLREEPQKMTLMEESHNIHNQAKHQCSKELSDEEDSEKGESSGQKNGDDKRDSKKHGSNGEKRV >ONIVA06G18820.1 pep chromosome:AWHD00000000:6:17240969:17243711:-1 gene:ONIVA06G18820 transcript:ONIVA06G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHDNLVSEPLTMASDADRVLRITVSHLVYPVDEYLLHQLFDGYRAERKIEVRQMGTHVEASVPFQTRAAAEHAWNLNGRAIYDGCCWLDIQWEQQSNNSMTPVTYLSTIITVTPQWKEDIKELRAIMQDLAALLQELAKEKEEEAATGLAMMPTVDMPSIQLIPPAACMVEHEVVVQQKNRDEYD >ONIVA06G18810.1 pep chromosome:AWHD00000000:6:17228064:17228714:1 gene:ONIVA06G18810 transcript:ONIVA06G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSDSSSGVSSGSGTAMASPRAFQRRWAPADEISLLEAAASHRQRHGGMPSPGDLAAALRGRLLTEDHHLDARAVSRQLASLRRRYAAAWRRLSSRGVVPVKDHDLRIYRLSKSLWEGSRGCGARKPIARHEARELGELEALYPCLSAEVEAVEASRLCAVPGVFRRSFRRIGDEKAAELEAKAKRLRLAELKVGVRLDMLRKEVAETILELID >ONIVA06G18800.1 pep chromosome:AWHD00000000:6:17226550:17226837:-1 gene:ONIVA06G18800 transcript:ONIVA06G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHVVTVDMIESKLDAAVAVAEMELDGAAVTVPESELDSAVAACEAIFYDSDEVHIVEDSFAGDTEVPDTQVAVDIVAVDVASSNPYKYWKKTV >ONIVA06G18790.1 pep chromosome:AWHD00000000:6:17217802:17220487:-1 gene:ONIVA06G18790 transcript:ONIVA06G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSDEWLAADKLQHVLACFLIALAGAALAGRSSRSPLRRRPAALGCAASLAAGAAKEIADEAGFFGSSGASLRDAAADLVGATLAAVALAILRRLRRSRGEGKARDADHRAGISMSSGTLLVLRLCQAMAKLRPPLVPSNEKVEAEMTDLGTNQSIREQLKIGHKANFKYTQSPTAKALKLHFFISLN >ONIVA06G18780.1 pep chromosome:AWHD00000000:6:17208142:17212278:1 gene:ONIVA06G18780 transcript:ONIVA06G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHCALLLLAVAAAAVHHLPPAASLPAMPNPASLEPSLLFPSSSGASSQPAQPGPGAASSTIPAFPEQSEAAAATSVWAAAAATSVCQLAPSPPLLPAVLASCNAGGGALPPRLRCCPALAAWMYAAYAPTALLAAAAEGGGGVPGAAHSTASAAEAVAAVVDLPVLPDDAEECAGAAERALLAAGASLPPPPPPSQVGANGTTAAAACDVPFCYCRVRLRRPACAAPAGRAARRLEKACSRPGLAGCSRCLRALNQLNGGNATKAANASHGGAQAQQQQQRQRDCQLMGLTWLLHRNATRHGAAATAVIQALMAADDATGRPATCSLPSDDLPVAVASSEINGAAAAKLAGGGLNIGRLLLRHAVVLAAFAMILSSQYCF >ONIVA06G18770.1 pep chromosome:AWHD00000000:6:17197024:17201876:1 gene:ONIVA06G18770 transcript:ONIVA06G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPIQALGATRDMQREEKTRIYCRGPVAPQYRILSSLLNMDPAPATSLSRPRNRERGREVSSITSVAGWKEGDAASVHRVNVDWIPGNAAAPWSPGARPRHRTVARASSLPLPYLSPYSAVVDFAVTPKLSIDIDSKPFRKLLLPSKPRKHHASDRSYRSLMETADLCCLLALLPLVYCLLTLFHGSRESDLRLPPGPWRLPLIGSLHHLFGRTLPHHALRDLARLHGPLMLLSFGQASPVVIASTAIAAREIMRTHDDNFSTRPLSTVLKVCTRYGAGMTFVPYGEHWRQVRKICSLELLSPRRILKFRSIREEEVARLVLAIASSSTPTPTPPAPVNLSKLLSNYMTDATVHIIMGQCFRDRDTLVRYVDEAVRLASSLTMADLFPSWRLPRVMCATTLHRAEVFVESVMEFMDRVISEHLEKRSCQGGDREEDLIDVLLRLQAEGNLEFELTTSIIKAIIFELLAGGSEAPITTLQWAMAELMRNPDVMSRAQAEVREAYKEKMKVTEEGLTNLPYLHCIIKETQRLHTPGPFVLPRECQEQCQILGYDVPKRATVVVNIWAICRDAEIWDEPEKFMPDRFEGSAIEHKGNHFEFIPFGAGRRICPGMNFALANMELALASLLFYFDWSLPEDVLPGDLDMTETMGLTARRKEDLYVCAIPFVQLP >ONIVA06G18770.2 pep chromosome:AWHD00000000:6:17197024:17201876:1 gene:ONIVA06G18770 transcript:ONIVA06G18770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPIQALGATRDMQREEKTRIYCRGPVAPQYRILSSLLNMDPAPATSLSRPRNRERGREVSSITSVAGWKEGDAASVHRVNVDWIPGNAAAPWSPGARPRHRTASPVVIASTAIAAREIMRTHDDNFSTRPLSTVLKVCTRYGAGMTFVPYGEHWRQVRKICSLELLSPRRILKFRSIREEEVARLVLAIASSSTPTPTPPAPVNLSKLLSNYMTDATVHIIMGQCFRDRDTLVRYVDEAVRLASSLTMADLFPSWRLPRVMCATTLHRAEVFVESVMEFMDRVISEHLEKRSCQGGDREEDLIDVLLRLQAEGNLEFELTTSIIKAIIFELLAGGSEAPITTLQWAMAELMRNPDVMSRAQAEVREAYKEKMKVTEEGLTNLPYLHCIIKETQRLHTPGPFVLPRECQEQCQILGYDVPKRATVVVNIWAICRDAEIWDEPEKFMPDRFEGSAIEHKGNHFEFIPFGAGRRICPGMNFALANMELALASLLFYFDWSLPEDVLPGDLDMTETMGLTARRKEDLYVCAIPFVQLP >ONIVA06G18760.1 pep chromosome:AWHD00000000:6:17191255:17192011:1 gene:ONIVA06G18760 transcript:ONIVA06G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQRSCGRGTSTTAAVALCVRAWRGWDGESKDVATVAPRRGLAGREWGERGRRRRRATSGPGGEGTRRARTPPPSRTRLHRFSFPNLVWGTHRLLHCSKNPASSPPPVASDTPSPDKKKVAHRSADGVGVGGSPQRGPQWPWNLRTRRSATVAPRPEGSDDAADAAPDRAPLLAMTKKWASCYPRRRSPRSSRQEEGKERGGCDDMVTLTCGVYVGPMLTQLPRRIKPGSKPLEDLK >ONIVA06G18750.1 pep chromosome:AWHD00000000:6:17184732:17185182:1 gene:ONIVA06G18750 transcript:ONIVA06G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVELEATAGSAGAAGVGWAAGAWDGWWWFCGVMGLLHVNFNDRVAANFNDELFSGRRIRKSAPHHSYSEDNEDYNFLLVINVGISSSEGLLGRPSSLLPPLRFPLLQWFPATGSAATA >ONIVA06G18740.1 pep chromosome:AWHD00000000:6:17173509:17176838:1 gene:ONIVA06G18740 transcript:ONIVA06G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVAGERWSGGGGGHHRRLMGASSSSAPAPAGEDNAGKSGSSKDAMKIMVSVLVVVIFCTLLYCVYCWRWRKRNAVRRAQMERLRPMSSSDLPLMDLASIHAATDSFSKANKLGEGGFGPVYRGVLPGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEFLPNGSLDAFLFNEGKSAQLGWATRHNIIVGIARGLLYLHEDSLLKVVHRDLKASNVLLDDKMSPKISDFGMAKIFEDECNEVNTGRVVGTYGYMAPEFALEGVYSVKSDVFSFGVLLLEILSGQRNGALYLEEHQQSLIQDAWKLWTEGLAAEFMDPALGRGYAAEEAWRCYHVGLLCVQEDADARPTMSNVLLALISDHMNLPEPSRPPMFTRLRRALLLAPPLMTTKTDSTASPVSVNDVSITVIEPR >ONIVA06G18730.1 pep chromosome:AWHD00000000:6:17157514:17157720:-1 gene:ONIVA06G18730 transcript:ONIVA06G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPEPEWLGARVGRAVAHHRRPAAGGGEARRSSGARRDGGRRRRDPKIGGGTMRTNVSVTNDDSTI >ONIVA06G18720.1 pep chromosome:AWHD00000000:6:17143458:17144909:1 gene:ONIVA06G18720 transcript:ONIVA06G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAGDEAMSVPWHDVGVVVDPEAAGTAPFDAGAGYVPPYGQCQYYYYYDDHHHHPCSTELIHAGDAGSAVAVAYDGVDGWVHAAAAATSPSSSSALTFDGHGAEEHSAVSWMDMDMDAHGAAPPLIGYGPTAATSSPSSCFSSGGSGDSGMVMVTTTTPRSAAASGSQKRARPPPSPLQGSELHEYSKKQRANNKETQSSAAKSRRERISERLRALQELVPSGGKVDMVTMLDRAISYVKFMQMQLRVLETDAFWPASDGATPDISRVKDALDAIILSSSSPSQKASPPRSG >ONIVA06G18710.1 pep chromosome:AWHD00000000:6:17105191:17108775:-1 gene:ONIVA06G18710 transcript:ONIVA06G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-domain-2 5 [Source:Projected from Arabidopsis thaliana (AT4G32300) TAIR;Acc:AT4G32300] MQMCGWLLKVVRWENLNCVHMEAHGNHRSSPTYLVMLWMISVASLLITCRGSIQKQVLFPGFTAAQMDYIDNDGIFLLSNGSVFGFGFVTSNVSDNTFYILAVVHMATTTTVWSANPNSPVTHSDDFFFDKDGNAFLQSGGGSNVWAANISGKGTATSMQLLDSGNLVVLGKDASSPLWQSFSHPTDTLLSGQNFIEGMTLMSKSNTVQNMTYTLQIKSGNMMLYAGFETPQPYWSAQQDSRIIVNKNGDSIYSANLSSASWSFYDQSGSLLSQLVIAQENANATLSAVLGSDGLIAFYMLQGGNGKSKFSITVPADSCDMPAYCSPYTICSSGTGCQCPSALGSFANCNPGVTSACKSNEEFPLVQLDSGVGYVGTNFFPPAAKTNLTGCKSACTGNCSCVAVFFDQSSGNCFLFNQIGSLQHKGGNTTRFASFIKVSSRGKGGSDSGSGKHNTIIIVIILGTLAIIGVLIYIGFWIYKRKRHPPPSQDDAGSSEDDGFLQTISGAPVRFTYRELQDATSNFCNKLGQGGFGSVYLGTLPDGSRIAVKKLEGIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCTEGPHRLLAYEYMANGSLDKWIFHSKEDDHLLDWDTRFNIALGTAKGLAYLHQDCDSKIVHCDIKPENVLLDDNFIAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWLTNYAISEKSDVYSYGMVLLEIIGGRKSYDPSEISEKAHFPSFAFKKLEEGDLQDIFDAKLKYNDKDGRVETAIKVALWCIQDDFYQRPSMSKVVQMLEGVCEVLQPPVSSQIGYRLYANAFKSSSEEGTSSGMSDYNSDALLSAVRLSGPR >ONIVA06G18700.1 pep chromosome:AWHD00000000:6:17100490:17107716:1 gene:ONIVA06G18700 transcript:ONIVA06G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCQSLCRSKRNVKPCIPVEQVIIFFRMENPLIQRPIRHVLVGKKPVWSLSTKASEFDKMDVVDTTNDRYLRAELFLSLAYALQLLHSNTTAVWECTKIHRSKPSLTKLVTEVACRILELPVSEPHWCSGYCLQKSIIFR >ONIVA06G18690.1 pep chromosome:AWHD00000000:6:17086766:17089256:-1 gene:ONIVA06G18690 transcript:ONIVA06G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHLAALLLRGSGGHHHSHPRAVHGAAVKLGCLASTYLCNNLLLSYQARGHLADARGVFDEMPRRNVVSWSVLIVASSRLGVLGDALSLFAGMLRGGERDRPNSFTVAALVAGCARAKDAVAGEQVHASAVKLGVDEDESVAGTLVDMYAKCGRVGSSWRAFVLTPQRSVLSWTSMIACLVNHGDSGYRDTAIVLFKKMLVLKVWPTNATFSCILKVFDVPELLPSGKQVHGCLVKMGTEVDPALGTALLAMYGRCGGMDEITRLACRIRHDAFSRTSLLTAYARNGCNMEAVRVFRDMLMGHMPIDQSAITSLLQVCSSLGQLRVVKEIHCYALKNFFRLDTLLLNAIVTVYGKCGDIASSEIVFNTLENKDTISWTALLTCYVQNDLSQEALFFFREMVRKGLESSIFCITSVLRACSATSSLSCGWQIHSRVVKLGVDDDTSVENALVTMYAKCGVVQVALKIFNSTRNRGIISWNALITSFSQHGNEVAAIQLFDMMQEEMVCPDDYTFVGLLSSCSRMGLVAEGCEYFKQMKTKYNLEPKMEHYTCMVDLFARAGRFSDAMKFIDAMPCQPDQLVWEALLASCRVHGNLDLGRMAAKKILEIKPEDPSPYIILSSIHASIDMWDEKARNRTLLDFQQLRKDVGSSQLDSQGFSDDIFNALQVGPFYPTLGQGRRGVSIELACSWANSWQQQNMTEEVALE >ONIVA06G18680.1 pep chromosome:AWHD00000000:6:17075051:17080591:1 gene:ONIVA06G18680 transcript:ONIVA06G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIETHYHCYSLHQSTKRKVEEEDLQGERRPMVKFSKQFEGQLVPEWKDAFVDYWQLKKDIKRLQAAEAEAAGVAATTPLSQCQAPVAAAHWVHRKLASGGGGGGGAVAGEVYETELVDGGAGFADGEAARAFFARLDEQLNKVNRFYERKEAEFVERGESLRRQLQILAELRAAVVAEQQRDGRRRRCGNGGDSSPPDTEDPSVSCSILHGDQSLRGTSEQEQEGQEKLTKDMIARSPDEGDDDQLTIPQELGDSGRLGRPREEAANTRPRTTLPGGRAVTCQGRSVRINIPVTTPTRTVTAIRELLFDDMLSQSRRSGSANGTKCGDKLSINKRKVHQAEKMIRGALIELYKGLGYLKTYRSLNMMAFVKILKKFDKVTAKEAQSIYLKVVESSYFNVSDKVIRLMDDVDELFVRHFAEGDKRKAMKYLKPNQREESHTTTFFIGLFTGGFAALFIGYCIMAHIAGMYTQQSNKVYMATSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVIGVMFAHLTLIVKGYSSCAVQAIPGALLLVFLLILVCPFNILYRSCRYHFLTVIRNIILTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVVIVSSLATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMGLNLILRLAWLQTVIHPNIGSLDSRVTLFILAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEED >ONIVA06G18680.2 pep chromosome:AWHD00000000:6:17075765:17080591:1 gene:ONIVA06G18680 transcript:ONIVA06G18680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHRKLASGGGGGGGAVAGEVYETELVDGGAGFADGEAARAFFARLDEQLNKVNRFYERKEAEFVERGESLRRQLQILAELRAAVVAEQQRDGRRRRCGNGGDSSPPDTEDPSVSCSILHGDQSLRGTSEQEQEGQEKLTKDMIARSPDEGDDDQLTIPQELGDSGRLGRPREEAANTRPRTTLPGGRAVTCQGRSVRINIPVTTPTRTVTAIRELLFDDMLSQSRRSGSANGTKCGDKLSINKRKVHQAEKMIRGALIELYKGLGYLKTYRSLNMMAFVKILKKFDKVTAKEAQSIYLKVVESSYFNVSDKVIRLMDDVDELFVRHFAEGDKRKAMKYLKPNQREESHTTTFFIGLFTGGFAALFIGYCIMAHIAGMYTQQSNKVYMATSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVIGVMFAHLTLIVKGYSSCAVQAIPGALLLVFLLILVCPFNILYRSCRYHFLTVIRNIILTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVVIVSSLATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMGLNLILRLAWLQTVIHPNIGSLDSRVTLFILAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEED >ONIVA06G18680.3 pep chromosome:AWHD00000000:6:17075051:17075660:1 gene:ONIVA06G18680 transcript:ONIVA06G18680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATIETHYHCYSLHQSTKRKVEEEDLQGERRPMVKFSKQFEGQLVPEWKDAFVDYWQLKKDIKRLQAAEAEAAGVAATTPLSQCQAPVAAAHWVMRLPFLHPHGHHHKEHVLKV >ONIVA06G18670.1 pep chromosome:AWHD00000000:6:17034523:17043909:1 gene:ONIVA06G18670 transcript:ONIVA06G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNKKVKKAMETIATNINDLPNEVLQYILSFLSTREVVQTCVLSQRWCNIWKFVPTVHVTNETIQHCQKLLDHVIMQRGDVSIDTCHLEFVKYFRRENRKANKWIFHALSVCKVKELRVYIQFQDFFLTITNQAIISGYLRKLELDSVKLEANSLDFTSCPLLEELQMGYCIIYARKIVSKSLKRLKMETMFFEKEDDDGWPCRLHISVPNIVSLTLLGFDGWTPLFESMPYLAFAIVTFNDECYDTCQYSSFWDCGNEDCEGCYAIGDHLNGSVFLHHLSHTTHMELTNDCRMNMNDSISTIFDRDLKWCPLFRNLKTLLLNEWFLENGLWGVLRILQHSPASEKITLKLYMEPKKIVESEESYGTMEQPFVMNHLKKISVKCQKEVMWVKKIIMTLTQFGIPHQRICVKEIPRSSIYWN >ONIVA06G18660.1 pep chromosome:AWHD00000000:6:17023739:17025209:-1 gene:ONIVA06G18660 transcript:ONIVA06G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRATTLLVLMQIIMVFYTVILSCSFSDARTFPGGEGGLDPNHPVCVGGACPTPGLPYTNPRGPCIYRNTCNPPGRMGDP >ONIVA06G18650.1 pep chromosome:AWHD00000000:6:17007417:17022590:1 gene:ONIVA06G18650 transcript:ONIVA06G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMQTNMLHLMGLDETMKMVTKNVFSSLPDAPVSLHAPLAFAAAARPPRDGVDRTGALPVGILCDILSRLPARDAARTSALSTRWRRLWRSVPLVLADAHLKHTGPPPPPVSEIDQVDGLGGVLRRAVDGTRDVASAVSRALAAHPGPFRSVHVTCTRMDAHHAELALWLQLLAAKGVQELVLVYQASKLDAGVRLPATLFRCSSLTCLYIGFLRFPDVATIQRAGAFPHLRELGLCSLVMGVRDLALLLDRCPVLENLEIVGHRELVRLRVASHSLRCVELCESVVEEITVEHAARLERLMFWEICGVGGVIDEDGCSINILAMHPNLRFLGFLVPGMHELNIGNTIIKAGTKVSPKNMVRSVRVLGIQVKLFNHNEVKMLPIFLRCFPNVETLCETTIHKPPGMLNPKFLQQTGPIDCLQKHIKKVLEKIVIVLAQSYSSSADRLRSSMRTFMASVKLANEDCKHGASRGHSTCQRTLSTFHSAPIAAPHAELLTPPLLHPPKPSPLPIRHIAAAAEAAMESMETNALQVLGLDDNMRMVTKNVYSSLPDPPVSLHAPLAFAAAAWPPRDGVDRISALPVDILRDILSRLPARDAARTSALSTRWRRLWRSAPLVLADAHLKHTGRAPGPDELDRTGGLLLRAMDGMRDVARMVSSALAAHPGPFRSVHITCTPMDAHRSELALWLQLLAARGVQELVFVNRASKFDTDVPFPATLFRCSSLTRLYIGFLRFPAVATVPRAASFPHLRELGLCSLIMGQRELAFLLDRCPVLENFEIVCHRELLRLRVASHSLRCVEVCMSIVEEITVEHAARLERLMFWETCGTGGVIDNVGGIIDMRTRVKIGHAPNLRVLGFLVPVMHELSIGNTDIRAGTKPTRRTMVQSVQMLGIQLKLFDNNQVRMLPSFLRCFPSVETLYIQSETTLSGNTTGKLNPKLLQETSPIECLQKHIKNVIMREFRMQRSELDFLKFIAERGQVLEKVVVVLTHTCSSSADRLRASLSTFMASTRLANEDCKLIVYESPFPIDATAWCFQGAFNMSKDPFDVSKCFKDGASCRAD >ONIVA06G18650.2 pep chromosome:AWHD00000000:6:17009399:17022590:1 gene:ONIVA06G18650 transcript:ONIVA06G18650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTPPLLHPPKPSPLPIRHIAAAAEAAMESMETNALQVLGLDDNMRMVTKNVYSSLPDPPVSLHAPLAFAAAAWPPRDGVDRISALPVDILRDILSRLPARDAARTSALSTRWRRLWRSAPLVLADAHLKHTGRAPGPDELDRTGGLLLRAMDGMRDVARMVSSALAAHPGPFRSVHITCTPMDAHRSELALWLQLLAARGVQELVFVNRASKFDTDVPFPATLFRCSSLTRLYIGFLRFPAVATVPRAASFPHLRELGLCSLIMGQRELAFLLDRCPVLENFEIVCHRELLRLRVASHSLRCVEVCMSIVEEITVEHAARLERLMFWETCGTGGVIDNVGGIIDMRTRVKIGHAPNLRVLGFLVPVMHELSIGNTDIRAGTKPTRRTMVQSVQMLGIQLKLFDNNQVRMLPSFLRCFPSVETLYIQSETTLSGNTTGKLNPKLLQETSPIECLQKHIKNVIMREFRMQRSELDFLKFIAERGQVLEKVVVVLTHTCSSSADRLRASLSTFMASTRLANEDCKLIVYESPFPIDATAWCFQGAFNMSKDPFDVSKCFKDGASCRAD >ONIVA06G18650.3 pep chromosome:AWHD00000000:6:17009399:17023870:1 gene:ONIVA06G18650 transcript:ONIVA06G18650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTPPLLHPPKPSPLPIRHIAAAAEAAMESMETNALQVLGLDDNMRMVTKNVYSSLPDPPVSLHAPLAFAAAAWPPRDGVDRISALPVDILRDILSRLPARDAARTSALSTRWRRLWRSAPLVLADAHLKHTGRAPGPDELDRTGGLLLRAMDGMRDVARMVSSALAAHPGPFRSVHITCTPMDAHRSELALWLQLLAARGVQELVFVNRASKFDTDVPFPATLFRCSSLTRLYIGFLRFPAVATVPRAASFPHLRELGLCSLIMGQRELAFLLDRCPVLENFEIVCHRELLRLRVASHSLRCVEVCMSIVEEITVEHAARLERLMFWETCGTGGVIDNVGGIIDMRTRVKIGHAPNLRVLGFLVPVMHELSIGNTDIRAGTKPTRRTMVQSVQMLGIQLKLFDNNQVRMLPSFLRCFPSVETLYIQSETTLSGNTTGKLNPKLLQETSPIECLQKHIKNVIMREFRMQRSELDFLKFIAERGQVLEKVVVVLTHTCSSSADRLRASLSTFMASTRLANEDCKLIVYESPFPIDATAWCFQGAFNMSKDPFDVSKCFKDGASCRAD >ONIVA06G18650.4 pep chromosome:AWHD00000000:6:17007417:17023870:1 gene:ONIVA06G18650 transcript:ONIVA06G18650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMQTNMLHLMGLDETMKMVTKNVFSSLPDAPVSLHAPLAFAAAARPPRDGVDRTGALPVGILCDILSRLPARDAARTSALSTRWRRLWRSVPLVLADAHLKHTGPPPPPVSEIDQVDGLGGVLRRAVDGTRDVASAVSRALAAHPGPFRSVHVTCTRMDAHHAELALWLQLLAAKGVQELVLVYQASKLDAGVRLPATLFRCSSLTCLYIGFLRFPDVATIQRAGAFPHLRELGLCSLVMGVRDLALLLDRCPVLENLEIVGHRELVRLRVASHSLRCVELCESVVEEITVEHAARLERLIVKIGHAPNLRVLGFLVPVMHELSIGNTDIRAGTKPTRRTMVQSVQMLGIQLKLFDNNQVRMLPSFLRCFPSVETLYIQSETTLSGNTTGKLNPKLLQETSPIECLQKHIKNVIMREFRMQRSELDFLKFIAERGQVLEKVVVVLTHTCSSSADRLRASLSTFMASTRLANEDCKLIVYESPFPIDATAWCFQGAFNMSKDPFDVSKCFKDGASCRAD >ONIVA06G18650.5 pep chromosome:AWHD00000000:6:17009399:17020247:1 gene:ONIVA06G18650 transcript:ONIVA06G18650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTPPLLHPPKPSPLPIRHIAAAAEAAMESMETNALQVLGLDDNMRMVTKNVYSSLPDPPVSLHAPLAFAAAAWPPRDGVDRISALPVDILRDILSRLPARDAARTSALSTRWRRLWRSAPLVLADAHLKHTGRAPGPDELDRTGGLLLRAMDGMRDVARMVSSALAAHPGPFRSVHITCTPMDAHRSELALWLQLLAARGVQELVFVNRASKFDTDVPFPATLFRCSSLTRLYIGFLRFPAVATVPRAASFPHLRELGLCSLIMGQRELAFLLDRCPVLENFEIVCHRELLRLRVASHSLRCVEVCMSIVEEITVEHAARLERLMFWETCGTGGVIDNVGGIIDMRTRVKIGHAPNLRVLGFLVPVMHELSIGNTDIRAGTKPTRRTMVQSVQMLGIQLKLFDNNQVRMLPSFLRCFPSVETLYIQSETTLSGNTTGKLNPKLLQETSPIECLQKHIKNVIMREFRMQRSELDFLKFIAERGQVLEKVVVVLTHTCSSSADRLRASLSTFMASTRLANEDCKLIVYESPFPIDATAWCFQGAFNMSKDPFDVSKCFKDGASCRAD >ONIVA06G18650.6 pep chromosome:AWHD00000000:6:17007417:17009561:1 gene:ONIVA06G18650 transcript:ONIVA06G18650.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMQTNMLHLMGLDETMKMVTKNVFSSLPDAPVSLHAPLAFAAAARPPRDGVDRTGALPVGILCDILSRLPARDAARTSALSTRWRRLWRSVPLVLADAHLKHTGPPPPPVSEIDQVDGLGGVLRRAVDGTRDVASAVSRALAAHPGPFRSVHVTCTRMDAHHAELALWLQLLAAKGVQELVLVYQASKLDAGVRLPATLFRCSSLTCLYIGFLRFPDVATIQRAGAFPHLRELGLCSLVMGVRDLALLLDRCPVLENLEIVGHRELVRLRVASHSLRCVELCESVVEEITVEHAARLERLMFWEICGVGGVIDEDGCSINILAMHPNLRFLGFLVPGMHELNIGNTIIKAGTKVSPKNMVRSVRVLGIQVKLFNHNEVKMLPIFLRCFPNVETLCETTIHKPPGMLNPKFLQQTGPIDCLQKHIKKVIIREFRIHRSELDFVKFIAERGQVLEKIVIVLAQSYSSSADRLRSSMRTFMASVKLANEDCKVIVCESPFPSDGTAWCFQGAFNMSKDPFDVSQCSNSGASCRAA >ONIVA06G18640.1 pep chromosome:AWHD00000000:6:16985196:16985548:-1 gene:ONIVA06G18640 transcript:ONIVA06G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETFMKQDRVYFSKEFSVNHLEPLMDDATVQIQVQALEGPSTNMILHRSTDYCCNLKKGWADFAVNNNIKLQTVCILHFYKTSHLGATIDIF >ONIVA06G18630.1 pep chromosome:AWHD00000000:6:16981167:16981475:-1 gene:ONIVA06G18630 transcript:ONIVA06G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRSALAATTPARRLRDDDEDDNDSHDWICHRLRRCLDPPSGGLRHADPSPCGIECMDPPPIVLGCLDLPWVCSQAATALIDRCVGRSWWGLSGCGGGFD >ONIVA06G18620.1 pep chromosome:AWHD00000000:6:16966453:16970072:-1 gene:ONIVA06G18620 transcript:ONIVA06G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAIGLLAENQEPKMEDIIKLETNYSLAELKDLKVVDHVSRPNTFILEMLIESFLNDIIFHISITPTNLLLATLNASKGPTPDLLQTQQKYIRVQRLTFARARWNNPTACIAALEGVPHVTPELGDC >ONIVA06G18620.2 pep chromosome:AWHD00000000:6:16966453:16970072:-1 gene:ONIVA06G18620 transcript:ONIVA06G18620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAIGLLAGLQLCQFASGYVHNIFNLNASKGPTPDLLQLTFARARWNNPTACIAALEGVPHVTPELGDC >ONIVA06G18620.3 pep chromosome:AWHD00000000:6:16966453:16970072:-1 gene:ONIVA06G18620 transcript:ONIVA06G18620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAIGLLAENQEPKMEDIIKLETNYSLAELKDLKVVDHVSRPNTFILEMLIESFLNDIIFHISITPTNLLLATLNASKGPTPDLLQLTFARARWNNPTACIAALEGVPHVTPELGDC >ONIVA06G18620.4 pep chromosome:AWHD00000000:6:16966453:16970333:-1 gene:ONIVA06G18620 transcript:ONIVA06G18620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAAAAARPPPLARRRLPAAARLPLACRRRRRRGCSPAAVPLPSLGTPGHLLPSSLLSSPGHPPPPSSSPYPRSAKKVGRIMEEMNRMLNASKGPTPDLLQLTFARARWNNPTACIAALEGVPHVTPELGDC >ONIVA06G18620.5 pep chromosome:AWHD00000000:6:16966453:16970333:-1 gene:ONIVA06G18620 transcript:ONIVA06G18620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAAAAARPPPLARRRLPAAARLPLACRRRRRRGCSPAAVPLPSLGTPGHLLPSSLLSSPGHPPPPSSSPYPRSAKKVGRIMEEMNRMLTFARARWNNPTACIAALEGVPHVTPELGDC >ONIVA06G18610.1 pep chromosome:AWHD00000000:6:16940661:16941239:-1 gene:ONIVA06G18610 transcript:ONIVA06G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMKSSFSGRRSLSFSRIWNRNGAGKGEATVVGGGVGHRSEGWVALRGEGGRRRVAQEEEAKRDAAWMRKEALDAYPTVGIKRVTWKHQEAENCSSGDDLYRYIGLSLFKQTC >ONIVA06G18600.1 pep chromosome:AWHD00000000:6:16923632:16930278:1 gene:ONIVA06G18600 transcript:ONIVA06G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGPGGGSNHTHLTERGEILEDAHDKKDELRAEDLELKLNEPFLNFMRLRLIPQWGQGFTSECQLKTAQDQLEWHSQIKPTRLPVLALHIGNKKFARTNECDIFVKFFYHKKSVIFQMSKDKLCRKVDIPFDDITSFCFVFGQQSDILTIEVKSSLIPLSAAKPLPGKFLDWKVDYSKDDEYYFPESKSLWVEAEKGSLEKTYAKLKNICKTCHLMVNAWKKEEIMNCMHHTGVGRQQDRHASE >ONIVA06G18600.2 pep chromosome:AWHD00000000:6:16923632:16929766:1 gene:ONIVA06G18600 transcript:ONIVA06G18600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGPGGGSNHTHLTERGEILEDAHDKKDELRAEDLELKLNEPFLNFMRLRLIPQWGQGFTSECQLKTAQDQLEWHSQIKPTRLPVLALHIGNKKFARTNECDIFVKFFYHKKSVIFQMSKDKLCRKVDIPFDDITSFCFVFGQQSDILTIEVKSSLIPLSAAKPLPGKFLDWKVDYSKDDEYYFPESKSLWVEAEKGSLEKTYAKLKYTNPHLTCLFTDAGEQGGSDWLNRIMFNEHFADDLWHMGLHDDAF >ONIVA06G18600.3 pep chromosome:AWHD00000000:6:16923632:16929627:1 gene:ONIVA06G18600 transcript:ONIVA06G18600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGPGGGSNHTHLTERGEILEDAHDKKDELRAEDLELKLNEPFLNFMRLRLIPQWGQGFTSECQLKTAQDQLEWHSQIKPTRLPVLALHIGNKKFARTNECDIFVKFFYHKKSVIFQMSKDKLCRKVDIPFDDITSFCFVFGQQSDILTIEVKSSLIPLSAAKPLPGKFLDWKVDYSKDDEYYFPESKSLWVEAEKGSLEKTYAKLKYTNPHLTCLFTDAGEQGGYNLFVSFMVQWREIEEKVYMLHRHTATLNKSPHCLTRYTLRPVGYIVMCIRLCP >ONIVA06G18590.1 pep chromosome:AWHD00000000:6:16922662:16923948:-1 gene:ONIVA06G18590 transcript:ONIVA06G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRAAGAVWLAVPGHGVASGDGKITRLLASSIEGARGEEAAGRSGGGREVEGGGGCVDEGGHDEEASGEQWRGQVVVVVKRHGAASVVGRRRETKDIASLREAFTAPVDGEVEDLDVAAARCDFYGVFNGHGCSHVADACRERMHELVAEEMGTRAAPREPASWTGAMERSFARMDAEVIAGCRAESGSCRCKGWKCDHMGSTTVVAIVEESHVIVANCGDSSVMLRRDGAPVPEVTVTDRSDGNECLILASDGL >ONIVA06G18570.1 pep chromosome:AWHD00000000:6:16890077:16892374:-1 gene:ONIVA06G18570 transcript:ONIVA06G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HR75] MAGGKIQKKLHGGGGGGGGSGGDGARLQGGISFDKSKGQHILRNPALVDSIVEKAGLKPTDTVLEIGSARGTSPSGSSRPASRPSSLPRMVLALNRCMGDHQHWTPMAERQSLLVRPEQAKPSRRHWLELMELLWSDPPTDILDDPMVF >ONIVA06G18570.2 pep chromosome:AWHD00000000:6:16891275:16892374:-1 gene:ONIVA06G18570 transcript:ONIVA06G18570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HR75] MAGGKIQKKLHGGGGGGGGSGGDGARLQGGISFDKSKGQHILRNPALVDSIVEKAGLKPTDTVLEIGSARGTSPSGSSRPASRPSSLPRMFLYKPHSVVVNF >ONIVA06G18560.1 pep chromosome:AWHD00000000:6:16888374:16889684:1 gene:ONIVA06G18560 transcript:ONIVA06G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSKSGHGYVLLGLNGYIADRHNRTTASSTTSTGLPIEVTFCAARPPALAHFSIHCPGLDHVGADRNPLLSPKVLSADADVVLIRVPVDPLALLDLRLHDYFVYRMHPETPRLDLLPHPGEHGFSDSEIAILSCCNGKYVVAGLQATSCDTTYTLRRLYRDGEPPGSWSWTSQRVPVSVSVSQLQRDDVCPIPKSAIRQTHHLTAKVITLRGARGTIGWVDLWRGILLCDVLDATPKVRDIPLPFPARANWRAYLNRCPYYSRDITVSESRDTIKYVEMELTRPAIEEEIISGPDDPEEECSYSLVPGRWQATTWTMPIPANSWNDWKYGCTISSDHVMSRNERKEEVAVAGLCLSLGCLRMVHPTLSIADGDDVIYLLSKGIRGAKMAAVVAVDVRARTLIGVSEIDSEKNINFLRCCLPTGIFKHLNTSAAT >ONIVA06G18540.1 pep chromosome:AWHD00000000:6:16863072:16869947:1 gene:ONIVA06G18540 transcript:ONIVA06G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYSAVALALRAAKPSSCAHSTYHHHHHHHHHHGDGGDCHQQELLLPLNPRAAAAPRFMLDGYLLRHSAHFLLLSARLRPPPPPPRCCHRRRRVSARCCCGGGGGSVAGHVSWRLDPPRVCRCSGHGAGRSSDLGAVYRRRLECRCGGGGGRLDLGAGCGRRRDAPRLVGRAVRQEVWEYEGGEWPHTSCSMECHTDWDDEEEDCGIARWEAPPRFRLSRRRSEEDEGDRCRDCHRRKDAESDYYDEDEYSGRQRERRNMNERHGRFTDSNQRRRDQRDYHDDDDYLEFRRWKERRERRDSEFDDAVARRGIEDRRYSEDDRKYDRRRERKDFGYEGAVDVRRGASRYTDNNQRSDWRTEDRDYEVDVRREGKHRRNDDQRYVTRHQQRTDGTEEDVSLLESYRWHDEEYDYDDRDIAERGYYSGRTQKSARASALHEDESNRASSSRNIVDTRVARNKENSASRVRWHDNVDKRAEQTSEERNQRYSSSVVQSFDEKKHDHDDAQLISVRDSRIGTRDVRVITEDDANLASSSKNTMISKHHNTVDQKSTTRKDDSRNRSQKIMELSEVRGTNTEHDSRTQSYHQEDRRRYIENRASSLQSSVKTTSDTRTQVDQHDEVDQQVVALTDSRRRSEKLTDIKMDSTSNVSRTSIKQRNSDEVNQTDIDDRSNSVHNITHITRDKKRYVNQQVIHETDIDVQNVTHVDVSKVRASDISVSRNSQKASETRSDMANSQLEQIHASNSSMVRGPQSYLEAALHNRVYSTSATDIVNTTAEKHGQVEASTNNAAIASTSESHFQARIEDSSVNTIGSVQEQIDLTRICASDSTVVSSSHGLDTRSGQVSRTSATNLVDRTRETRDKSNQQITQASNIDRNDHVTSKFYESSQDSRQSLARLKDAGRLMEHNVGLNWQQEESRRVSNDMDIATLEMQSTEDGSSMVPVDVEKRPMITGSSEQEVRSETTAGSSIPSGSSARQPVNESLLESAARLEKSSTFHVGQFVGEVRKGVSDADTTLTKKNDKSIMEGIARSSSRSRMGGPSDEMWDVQSATSQETFKTADKEEGSSVDGDIVRLGWIQRGESLDSNSNRSVKKSSSSNSQNTEGWISSQERDNEGIQKKTESSKPKDHLMKSHTGESLKKESLPTGSQGLLISESGNVPQINTSKGDFISRTSKEDAHMTGEKAKQSKVAASPKQNTVGGFSEDSTPTLVDVAKKHFPEHEASTSSMITTKGFADNDTGEGVIAGTSSMPISTEGVGWTAGSDEWRYDPSGAMTPYRHPHTQVMMPHEDTPAILESAELPTVGSTRFEEKIVVQETPEVIRTDGKDAELKRRKFQRNKQVMKETFDEWEEAYQRDAEQRKTDELFMREALHEAQRAADLWEVPVGAVLVQNGEIIARGCNLVEDLRDSTAHAEIVCIREASNKLKTWRLAVDTTLYVTLEPCAMCAGAILQARVDTVVWGAPNKLLGADGSWVRLFPGDGQTSSLDSANTNQGAGPVHPFHPKISIRRGILSAECSEIMQQFFHLRRRKKQKPESPPHAHPQGRNHPVKFFSKMHHMFGTIFCL >ONIVA06G18540.2 pep chromosome:AWHD00000000:6:16863006:16869947:1 gene:ONIVA06G18540 transcript:ONIVA06G18540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYSAVALALRAAKPSSCAHSTYHHHHHHHHHHGDGGDCHQQELLLPLNPRAAAAPRFMLDGYLLRHSAHFLLLSARLRPPPPPPRCCHRRRRVSARCCCGGGGGSVAGHVSWRLDPPRVCRCSGHGAGRSSDLGAVYRRRLECRCGGGGGRLDLGAGCGRRRDAPRLVGRAVRQEVWEYEGGEWPHTSCSMECHTDWDDEEEDCGIARWEAPPRFRLSRRRSEEDEGDRCRDCHRRKDAESDYYDEDEYSGRQRERRNMNERHGRFTDSNQRRRDQRDYHDDDDYLEFRRWKERRERRDSEFDDAVARRGIEDRRYSEDDRKYDRRRERKDFGYEGAVDVRRGASRYTDNNQRSDWRTEDRDYEVDVRREGKHRRNDDQRYVTRHQQRTDGTEEDVSLLESYRWHDEEYDYDDRDIAERGYYSGRTQKSARASALHEDESNRASSSRNIVDTRVARNKENSASRVRWHDNVDKRAEQTSEERNQRYSSSVVQSFDEKKHDHDDAQLISVRDSRIGTRDVRVITEDDANLASSSKNTMISKHHNTVDQKSTTRKDDSRNRSQKIMELSEVRGTNTEHDSRTQSYHQEDRRRYIENRASSLQSSVKTTSDTRTQVDQHDEVDQQVVALTDSRRRSEKLTDIKMDSTSNVSRTSIKQRNSDEVNQTDIDDRSNSVHNITHITRDKKRYVNQQVIHETDIDVQNVTHVDVSKVRASDISVSRNSQKASETRSDMANSQLEQIHASNSSMVRGPQSYLEAALHNRVYSTSATDIVNTTAEKHGQVEASTNNAAIASTSESHFQARIEDSSVNTIGSVQEQIDLTRICASDSTVVSSSHGLDTRSGQVSRTSATNLVDRTRETRDKSNQQITQASNIDRNDHVTSKFYESSQDSRQSLARLKDAGRLMEHNVGLNWQQEESRRVSNDMDIATLEMQSTEDGSSMVPVDVEKRPMITGSSEQEVRSETTAGSSIPSGSSARQPVNESLLESAARLEKSSTFHVGQFVGEVRKGVSDADTTLTKKNDKSIMEGIARSSSRSRMGGPSDEMWDVQSATSQETFKTADKEEGSSVDGGTTSTSLTPKNETALARKVHKSLWAYVADIVRLGWIQRGESLDSNSNRSVKKSSSSNSQNTEGWISSQERDNEGIQKKTESSKPKDHLMKSHTGESLKKESLPTGSQGLLISESGNVPQINTSKGDFISRTSKEDAHMTGEKAKQSKVAASPKQNTVGGFSEDSTPTLVDVAKKHFPEHEASTSSMITTKGFADNDTGEGVIAGTSSMPISTEGVGWTAGSDEWRYDPSGAMTPYRHPHTQVMMPHEDTPAILESAELPTVGSTRFEEKIVVQETPEVIRTDGKDAELKRRKFQRNKQVMKETFDEWEEAYQRDAEQRKTDELFMREALHEAQRAADLWEVPVGAVLVQNGEIIARGCNLVEDLRDSTAHAEIVCIREASNKLKTWRLAVDTTLYVTLEPCAMCAGAILQARVDTVVWGAPNKLLGADGSWVRLFPGDGQTSSLDSANTNQGAGPVHPFHPKISIRRGILSAECSEIMQQFFHLRRRKKQKPESPPHAHPQGRNHPVKFFSKMHHMFGTIFCL >ONIVA06G18530.1 pep chromosome:AWHD00000000:6:16853904:16857497:1 gene:ONIVA06G18530 transcript:ONIVA06G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPEQSASQSNVQMAAILDELKKINITLQEHSTAIKSLESSKGIMRPLPSPTPHAETHGHKCKRLFWLGTPFADDDHNATPEANDDPGISLYALSGVRRCNSASRSTA >ONIVA06G18520.1 pep chromosome:AWHD00000000:6:16848596:16851282:1 gene:ONIVA06G18520 transcript:ONIVA06G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPATSLKDISVGQQNCKVFGHLIRLWDAINMRSKYADPLISIDGTLLDEHGSIAQITVPKREQTQDVLLWGQYGESFNEDATLHKSKDKIVVAIFAGLTTGKFSGSFFDPNKCPPIQRVQNISTSLSPAAITEASSSSATEIYIDLDTPQVREFHTRYKLLVTITDESGSLDAVAFSFVAEDLVELDAAQASQNMKIDPADHPTALNNAIGKTKIFAIGMNTDTSSKFPISYVLKKSFTIEPTMSVPMLTDGEQKTTAYRGKG >ONIVA06G18510.1 pep chromosome:AWHD00000000:6:16831077:16841027:-1 gene:ONIVA06G18510 transcript:ONIVA06G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G23080) TAIR;Acc:AT5G23080] MGFDDDDEDLVVYGTPIEREEDTSARKRRAVAEAGQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGTKEGWTPQTFTSSRKNRAEMKKQSIYSFLDEEDIKDMGGNALETSQQYDTFGFTATEHARKQASKEQKERPSAIPGPIPDELVVPATTSIGVKLLMKMGWRQGRSIRDAHADSLYESRREARKAFLALSGTKTDGQKIQVDSHKSDKDDGATESFEELHASGNTPVYVLHPKQDLHGLGFDPFKHAPEFKDRKRLQKSARDRNRSDVSMRGRQYAPGFGIGALEELGVEDEDIYASGFAYEQMEVDIEPSKTASDSNYKLEDRKRGVFLAFKIASSSEYKLERFDPPEIPSDFDGRHKFLTPRQDVNNLSDLAPPEVPAPEDTSLRLLIEGCAAMVARCGKHIEDFYKEKSKTNTQFNFLNEGDGCSYYARKLWEYQQKYIDQQKPDTVQSKSSDKLTAENRGKILGERPLDRSTKSSSSSFPAKEAIQLQSNLADNFVKPISLDGLPEYEKPFRNDPAKQARFEQFLKDKYQGGLRPANLIPTSTMSDADRARERLDFEAAAETIEKGKEKKAMDPLSLLGLSGINEQRFVSSTESERSIPARDEKSIYPRREEFEWRPSPILCKRFDIVDPFMGKPFHVQRPRSKMDSLIFMSESTTRTNEVESSSIAPQHTSVAGATETEAKGAATDPEIESSSVQRPVDLYKAIFSDDSDDDMAEPLANQPVDPVKTSEDANMVLNRLVAEDFLESLGKELGLDVPPEKPTPPNVLFRSETPSTANAIGISRNGKAITCQEIKENESALDKEEIANASADVPSDNVEELGLKYEKQEHRAEKSRSRSSHRQTQSGSLDSDSTSDQHRSRERRSRHKIRSGTPGSDSSIEHHRNKKRKSHSKHRTRRSRSPYADSSDSQYSKRKHREKRHHRTRNPDTDSSDHEYEERHKSSSRRSSDKDRSRRRSRHHKR >ONIVA06G18500.1 pep chromosome:AWHD00000000:6:16822260:16822894:-1 gene:ONIVA06G18500 transcript:ONIVA06G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEGAEAGRAAAAARRVPRPASWPGDVEDEAVRRLRAMWRPGRRGGAGCGLKWRRRWRRLRPLASADPAAAATVVRGSGGSGRSSARIRGG >ONIVA06G18490.1 pep chromosome:AWHD00000000:6:16818671:16822123:1 gene:ONIVA06G18490 transcript:ONIVA06G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEAGSAAATTGKVGSAATTRGKAGSAASTTGEADGEGSDGGSGSGGGCHNDDDGSRENDDGGYHDDDDNGYRDSNPSPLLPGDHGMDFVRRRPRRGVATATGGACGCGPRPGLRLGHVALPASRIASPNIVPRGPPHPLLRPVQKKNI >ONIVA06G18480.1 pep chromosome:AWHD00000000:6:16815002:16815265:-1 gene:ONIVA06G18480 transcript:ONIVA06G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSEIGEVSKRKVTATARLALSSLRMEKTGEREAESEGDEDQGTDDKVEVVARCFLRVSSLPHRAPRCSSLLFAGTLSSIDIGYQA >ONIVA06G18470.1 pep chromosome:AWHD00000000:6:16797529:16804407:-1 gene:ONIVA06G18470 transcript:ONIVA06G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HR65] MAGGRRSRTSRRLKLKLSALYTFALCSKGSGEDHSSRIGTTGFSRVVYVNEPDRHEEEGFRYQPNEVSTTKYSLVTFIPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALLPLCVVIAATMAKEGIEDWRRKHQDHELNNRTVKVHRGDGDFEEKKWKDIKVGDVIKVEKDNFFPADLVLLSSNYPDGICYVETMNLDGETNLKIKQALDVTLHLEEDNSFVNLRQTIKCEDPNANLYSFIGTMEWKDKQYNLSPQQLLLRDSKLRNTDYIYGAVIFAGHDTKVMQNATDPPSKRSKIEKRMDKIIYVLMSSLLVIALLGSVLFGIWTKEDLMNGEMKRWYLRPDDSTIFYDPKRAALASFFHLLTALMLYSYFIPISLYISIEMVKILQALFINQDIEMYHEESDKPTHARTSNLNEELGQVDTVLSDKTGTLTCNMMEFIKCSIAGIAYGQGVTEVEKAMALRKGSVLGDGIENIEHTDQKNDGSPHIKGFNFKDPRIMDGNWIHEPNSDMIRDFFRLLAICHTCIPEEDEETHKVSYEAESPDEAAFVIAARELGFEFYHRAQSSIVVHERDPITNIVKDRKYELLNVLEFSSSRKRMSVIVKEPEGRILLFSKGADSVMFKRLAPTGRKFEEETKRHINEYSDSGLRTLVLAYRFLDENEYMKFSEKFNTARTSVSADRDEKVEAAAESIERDLLLLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIVTLEAPDIIALEKNGDKESIARESKQRVMDQIEDGIKQIPPPSQSNTESFALIIDGKSLTYALEDDVKFKFLDLALKCASVICCRSSPKQKALVTRLVKHTNRVTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLLIHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVIFTSLPVIALGVFDQDVSQRLCLQYPGLYQEGVQNILFSWRRILGWMANGVINAILIFYFCTTAFGIQAFRQDGQVAGLDALGVLMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYLFLLAYGAVDPRFSKSAYMVFIEQVAPALSYWLVTLFAVMATLIPYFCYAAIQIRFFPMFHNKIQWKRHLGKAEDPEVARQLSSRHRTSSHQRMVGISARRDGKAMQIDHRKTKRAPTVNVSRGHGSGTGYAAGCFTSTSSSAAGLTGRRGSRRHRRSHHLRPASPAQSYRSSGPSARAAHACPTTQDRTVTATTAASTAKNAPTDPGEP >ONIVA06G18460.1 pep chromosome:AWHD00000000:6:16797458:16797772:1 gene:ONIVA06G18460 transcript:ONIVA06G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTAPSLPGYEVSGAAAAATRLHGSPGSVGAFLAVLAAVVAVTVLSCVVGQACAARAEGPDERYDCAGLAGRRWWLRRWRREPRRPVRPAAEEEVEVKQPAA >ONIVA06G18450.1 pep chromosome:AWHD00000000:6:16791692:16792045:-1 gene:ONIVA06G18450 transcript:ONIVA06G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPLSSPSSSFTSPLPERPPAKPCSRKYGGCGASRRPRARVGRGAADGGGGGNHQIRAAPAGSGGMAAGGGEGDSVGRGARRAAVDEGGGDGGFRGREGSGGGLCNRICVGRQRI >ONIVA06G18440.1 pep chromosome:AWHD00000000:6:16790613:16791656:-1 gene:ONIVA06G18440 transcript:ONIVA06G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGGEFCRGARGRRQWVPVQWSHMSAEAKVWTVSSKVVNDGQKAKRTVIVELRVSVEGIGGREVVSISFRDWDERNRWTVAALGIGMRGRCCIAVSDGGISEILGEMQMRGGT >ONIVA06G18430.1 pep chromosome:AWHD00000000:6:16778672:16790205:1 gene:ONIVA06G18430 transcript:ONIVA06G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVNIIVGSHVWAEDPEIAWVDGEVVKIKGEEAEIQATNGKTITANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMIHEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEVEKYKLGNPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFAKGKEVDSSVLKDDKSKFHLDTTAELLMCDSGALGDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDPNSKSLIGVLDIYGFESFKLNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFSQKLYQTFQKHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAQEALEGNCDEKVACKRILEKKGLVGFQIGKTKVFLRAGQMAELDARRTEVLGAAAKTIQGKIRTHIMRKKFVNWRKASISVQAIWRGRLACKLFDQMRRVAAAIKVQKNQRMHQARRSYKHLNASVLVVQTALRAMAARNTFRYKKQSKAAVKIQARYRCHTAHVYHKKLKRAAIVAQCRWRGKIARKELRKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRMRTDLEEAKAQELSKLQSSMEALQAKLDETSAKLVKEREVARAIEEAPPVVQQTETSLQSEKQRADDLEKKRSEEQQANEEKQKKMEETDVKMRQFQEYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSILQRNAESVHVSSGDSKAAPESNNISSPKKEFDFDDKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSRLFMLPSIAHIMLTIPQKKSIPFSVDDISKSMEQIDISDIEPPPLIRENSGFVFLLPPPE >ONIVA06G18430.2 pep chromosome:AWHD00000000:6:16778670:16790205:1 gene:ONIVA06G18430 transcript:ONIVA06G18430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMIHEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEVEKYKLGNPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFAKGKEVDSSVLKDDKSKFHLDTTAELLMCDSGALGDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDPNSKSLIGVLDIYGFESFKLNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFSQKLYQTFQKHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAQEALEGNCDEKVACKRILEKKGLVGFQIGKTKVFLRAGQMAELDARRTEVLGAAAKTIQGKIRTHIMRKKFVNWRKASISVQAIWRGRLACKLFDQMRRVAAAIKVQKNQRMHQARRSYKHLNASVLVVQTALRAMAARNTFRYKKQSKAAVKIQARYRCHTAHVYHKKLKRAAIVAQCRWRGKIARKELRKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRMRTDLEEAKAQELSKLQSSMEALQAKLDETSAKLVKEREVARAIEEAPPVVQQTETSLQSEKQRADDLEKKRSEEQQANEEKQKKMEETDVKMRQFQEYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSILQRNAESVHVSSGDSKAAPESNNISSPKKEFDFDDKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSRLFMLPSIAHIMLTIPQKKSIPFSVDDISKSMEQIDISDIEPPPLIRENSGFVFLLPPPE >ONIVA06G18420.1 pep chromosome:AWHD00000000:6:16774882:16775387:1 gene:ONIVA06G18420 transcript:ONIVA06G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFCVDCLGGTLWVCQAGEERCHQCLDACDGYLAPKYAWKLAEKSYMFSFGVVLMELITGRKPVDSSRPLGNESLIEWESSNTSAPSDCKYLLRDAQLYHVMLVFGDMFFLTILILTLKIYVFKQFIIQHIH >ONIVA06G18410.1 pep chromosome:AWHD00000000:6:16765166:16773879:1 gene:ONIVA06G18410 transcript:ONIVA06G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATPPPPPARTSAATTTRSPAEAGSGAGVPAPPVSGGLSSGTTAAVVVVVVVAFLGLAGMFACLSQRRRRRQAERYYPGFAVPSYTPQHMSGEAPFLRPPSASGSMNFSTGQSQGVSPMMSSGQAYGQSTSYGQQQRLTSANYSTGSQGGGAARSVAASGELSVGNTKAFTFDELYDITAGFARDKLLGEGGFGCVFQGTLADGKAVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCIAEDHRLLVYDFVSNDTLHHHLHGHPRIIHRDIKSSNILLDEHFEAQVADFGLARLAENDVTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPSRPLLNRAIENQEFDELVDPRLDGEYDDVEMFRVIEAAAACIRHSAARRPKMGQVVRVLDSLTDVDLSNGVQPGKSQMFNVANTADIRQFQRMAFGSQDFSSEYSQSRSSMSSRRDF >ONIVA06G18400.1 pep chromosome:AWHD00000000:6:16736683:16748576:-1 gene:ONIVA06G18400 transcript:ONIVA06G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDGADGVGDDTELRRFSDVELLEKSRRIQGELSGGIERRLKDRGAKFRRLLDAIVREIDRRKAATDDDRCARVVQSGCAESSVKQQAVTISDFQSSFGIDEEAGVDVSRLETSACIGDPKTSTDNEGILCEEEDSYAADDGKDNGYSRICKDAHTSRKRNGEFSPTFSMRLRSRKVVEEVVLLDGDTCISDSAEKTSSAWDTPNSIELSYSDMKCLEPESLLSSPILNFYIMYLMGQMPSTSRLGGKYHIFNTYFFSKLEALTSKVDNDAYFLNLRRWWKGVDIFKKAYIIIPVHAEFLKEEWNYLNKTGSLEDCHLHESVWKNLPRKIKKKAVTVPQQDNEYDCGVFVLYYMRRFIEEAPERLNNKDSSNMFGEGWFQREEASALRKEMQALLLQLFEEAKDNNHMRDPTTPVSATAEHPVEVLSTEPAVPDHPRNAVGVATS >ONIVA06G18390.1 pep chromosome:AWHD00000000:6:16717089:16722636:1 gene:ONIVA06G18390 transcript:ONIVA06G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKFSYIFRVTSCQRAFHGSLFRIKTCAKISELVAQSGWCSVLTCRLIQGTSLMSEDEVEAGTRGGGDGEAAEEVGKRVESTAAMGRRWAEEPIAEASVQVESEVGRRGGFEWSRS >ONIVA06G18380.1 pep chromosome:AWHD00000000:6:16696256:16702963:1 gene:ONIVA06G18380 transcript:ONIVA06G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate dehydrogenase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0HR55] MAMWRAPSAAGQQLGRALASTAAQTSAGSKKVVGVFYKGGEYADKNPNFVGCVDSALGIRGWLESKGHRYIVTDDKEGINCELEKHIEDAHVLITTPFHPAYITAERIKKAKNLELLLTAGVGSDHIDLPAAAAAGLTVAEITGSNTVSVAEDQLMRILLLLRNFLPGHHQIVNGEWNVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLMYHDRVKIDPELEKEIGAKYEEDLDAMLPKCDVVVINMPLTEKTRGMFNKERIAKMKKGVTIVNNARGAIMDTQAVADACASGHVAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHCSGTTIDGQLRYAAGVKDMLDRYFKGEDFPAQNYIVKAGQLASQYQ >ONIVA06G18370.1 pep chromosome:AWHD00000000:6:16682211:16686093:1 gene:ONIVA06G18370 transcript:ONIVA06G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate dehydrogenase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0HR54] MAMWRAAAGHLLGRALGSRAAHTSAGSKKIVGVFYKGGEYADKNPNFVGCVEGALGIREWLESKGHHYIVTDDKEGLNSELEKHIEDMHVLITTPFHPAYVSAERIKKAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELMRILILLRNFLPGYQQVVQGEWNVAGIAYRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKIDPELEKEIGAKYEEDLDAMLPKCDVIVINTPLTEKTRGMFNKERIAKMKKGVIIVNNARGAIMDTQAVADACSSGQVAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPVQNYIVKEGQLASQYQ >ONIVA06G18360.1 pep chromosome:AWHD00000000:6:16662253:16663283:-1 gene:ONIVA06G18360 transcript:ONIVA06G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGHRAATENLAGKTPTPPSLPPSTPLPTLRCLLLLLASLSWASSPSATAAARRSSRRRGLHLLPDLLDRPRFCSFSSAQIRPLAFHPARGTRDPLPPPPSLRSSSPLAGGEPRRPEARCSVYYCRTAKVRLLC >ONIVA06G18350.1 pep chromosome:AWHD00000000:6:16652221:16661996:-1 gene:ONIVA06G18350 transcript:ONIVA06G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14720) TAIR;Acc:AT5G14720] MEHATRFPTDPKEYKLCEEVGDGVSATVYKALCIPLNIEVAIKVLDLEKCSNDLDGIRREVQTMSLIDHPNLLRAYCSFTNGHQLWVIMPYMAAGSALHIMKTSFPDGFEEPVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPRKRPSSEKLLKHSFFKHARTAEFLARSILDGLPPLGERFRTLKGKEADLLLSNKLGSESKEQLSQKEYIRGISGWNFNLEDLKNAAALIDNTNGTCHLDGVNSKFKDGLQEANEPENIYQGRANLVASARPEDEIQEVEDLDGALASSFPSRPLEALKSCFDVCGDDDPPTATDLREQPNMESTSPMQQFQQIENHKSANCNGESLERSASVPSNLVNSGSHKFLSGSLIPEHVLSPYRNVGNDPARNECHQKNTCNRNRSGPLFRQMKDPRAHLPVEPEEQSEGKVIQRRGRFQVTSDSIAQKVASSASSSRCSNLPIGVTRSTVHPSTILPTLQFMIQQNTMQKEVISRLISSIEEISDAADASTTGSSQPSGVHFREKELQSYIANLQQSVTELAEEVQRLKLKNTQLEEQINALPKKDERLRREDTRQQ >ONIVA06G18340.1 pep chromosome:AWHD00000000:6:16640933:16646406:1 gene:ONIVA06G18340 transcript:ONIVA06G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HR51] MAGGRSGSRELPETPTWAVAVVCAVLVLVSAAMEHGLHNLSHWFRRRQKKAMGDALDKIKAELMLLGFISLLLTVAQAPISKICIPKSAANILLPCKAGQDAIEEEAASGRRSLAGAGGGDYCSKFDGKVALMSAKSMHQLHIFIFVLAVFHVTYCIITMGLGRLKMKKWKKWESQTNSLEYQFAIGNPSRFRFTHQTSFVKRHLGSFSSTPGLRWIVAFFRQFFGSVTKVDYLTMRQGFINAHLSQNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVGILVLFLDIHVGTKLEMVIMEMAQEIQDRATVIQGAPVVEPSNKYFWFNRPDWVLFFIHLTLFHATPGLKKCFHENIWLSIVEVIVGISLQVLCSYITFPLYALMGSNMKKTIFEEQTMKALMNWRKKAMEKKKVRDADAFLAQMSVDFATPASSRSASPVHLLQDHRARSDDPPSPITVASPPAPEEDMYPVPAAAASRQLLDDPPDRRWMASSSADIADSDFSFSAQR >ONIVA06G18330.1 pep chromosome:AWHD00000000:6:16626137:16629445:-1 gene:ONIVA06G18330 transcript:ONIVA06G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESDQAPSPSSPSSSSSSSGNGFNKAPPPEESDNSSSNGSSSSSPTPPSSQSSDSDSGGGSSSPSQGSSSPSPPPPSGSSSESHSSPPPAPPQSSSSSSSSSGGGSKSSPEAPSPPSESSGNGGGGGGGGRSSPPPNWSPPPQQQQQHQSGGSTPSPPPSPSSNQPPPSSGSSASSSEPSPPRSSPPPSPPQSSGGNNGQPPKPSGGQQQAPPQSPPSAANQSVVFIPVPVASNSPPGMLPPPQVIDATPSGAISSTNFPGGRNSTAGSSNTSLSQQQHTTVSSTAQASSSGHIAAAIAGAAVTGLLCAIVAIYLIVSSRRKKKMDGLVYHYDGNNYFVPSSQFGGSSRNHHPPPSAIMLNSGGASADGGGYYNSGTFSGGEGTGPAGSKSRFSYEELTGITSNFSRDNVIGEGGFGCVYKGWLSDGKCVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAAHHRMLIYEFVPNGTLEHHLHGRGMPVMDWPTRLRIAIGAAKGLAYLHEDCHPRIIHRDIKTANILLDYSWEAQVADFGLAKLANDTHTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDQTQPLGEESLVEWARPVLADAVETGDLSELVDPRLEGAYNRNEMMTMVEAAAACVRHSAPKRPRMVQVMRVLDEGSMTDLSNGIKVGQSQVFTGGSDAADIQQLRRIAFASEEFTGEFEQRTTNSNSESWPMNRIPE >ONIVA06G18320.1 pep chromosome:AWHD00000000:6:16593333:16594375:1 gene:ONIVA06G18320 transcript:ONIVA06G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLREEGGEGHPERKREITRQLAPAEWEATPNQTLRRLIQAWCAAHSVERFPTPHLLVNSCRVVALVDGGRRCSAAAAAAHLAALREIKAIAVKSDSNKRCIEATPDAVKFLVSVVVQSHAAASTYTSARSDDDLLDSVIDSPMSTSSPKKEALGMLYSFKPSEPTLRSILGKDNGGFHDTLASVLRRPNYRSRVYAVLLLKAMTSAMLPERLGCRPRRRSIRGRTPLRERSSKKHASWTMRRSRLQPLLARSRRMTLPRPSRGSDRRVRFGVEDPVIRHASCGHAVWAARRPAAADARPPARHHRRRRTRGRFGKPATSRALSRQLVETARLVPPANRQQ >ONIVA06G18310.1 pep chromosome:AWHD00000000:6:16582260:16585007:1 gene:ONIVA06G18310 transcript:ONIVA06G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRPATMRAVQYGGYGGGAATLKFVEIPVPSLKKNEILIKIEAASLNQADWRIQKGLMRPFHPKFPFIPVTDVSGEVIEVGSAIHEFKVGDKVVSKLNLWKAGGLAEYVAASESNTVSRPAGISAADAAGLPVAGLTALQALSSIGTKFDGSGTGDDVLITAASSGVGTYAVQLAKLGNHRVTTTCGARNLDLVGSLGADEVLDYATPEGAALASPSGRKYDYIVNLTDRGKWSVFRPQLSSNGGRVVDVSPNLGNFLASVMTLFSRRKRLSLVILTLGKKELGFLLELMREGKLKTVVDSRHPFEKAAEALERSMSGHATGKVIVEM >ONIVA06G18300.1 pep chromosome:AWHD00000000:6:16574583:16581064:1 gene:ONIVA06G18300 transcript:ONIVA06G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGVSSCPPAASSSAHNADDDAAAGATTTTRRSTWRRRRSQRGKPRFTLAKHPTRRAMLAMRRAAQRAIAAAEKAARRKTQRAVAAAARAARRKQKAAESVARREQKAAMREQKAAAAAAANQKKRKAAAATAAAVRAAARRKLDFDGEQQQIMPPERIYQTSSSSSRADLMDNMRLLLVAFDLQAGEIDAEPPVSPPARSERQILRLPPPATVTTAIVALKNKPKKATTVNKLALVPYKPKRAAASAVDEVLPGALVLYGEPTTQPARVFVPRWTSVRLVLDKLRPRFGLVLGLDAATRAVYNELVRREETSYGDDELHDVPSGTEWEERRREFERKVDHFINFSPWGGSVVTSVVGTFLTQNVSDNLSSNAFMTIAARFPLKNRRNAAHHSDNVLLEGIFYPASISNRIYTAIEIGNLAPQTTQFEVPLLAQNSGNVPLLLTDGHDEQEQCHCQLQSIAQCSSGSKSGVAEPGDVSQEAKQTECPDKDLEAIMSAIRSGDISNFDDDHIQKVLKARFKDSTPPPPSESSSKKKTISTAETIFKDIKSIKKNDTSHWHSLYDEARNRGYIRDDDIPDMVDWEALMNAPFADVVDCIKDRGQHSQMAFRILAFLIRMKRDHGNIDLEWLRFIPRAKANYKARMGATPSFAIISGVSSGRQQNSKFSTLIQAMPGYLSFDRICLTNQRTNRYELHCQMITFGKAICRKSKPNCGACPFTSECKYYKSQFGRAALALPEYSQQDATKDANMDDPAKIYDLIFKAHQYQIEYGKNTEMNYCEPVIEIPPTPLHENRGETSDEDDENEYYFDDDMEDIGRHDYDMEDIEHDYDMEVDLRSVKPTTNTSQAGATPGKEMIPINPRAKSTPMVKKFSLRTEYTACIIPDGHIILKKFDPRVPGDRNPYLLVFRSFDEHTVKATILVFADHSSSRSPIEINRDLVWELRRQTCIVHFGTRVHSVTKGYICTREFDRRTKFPKQLCVEIHATNVNKDIGKKRARPSTTRFYSEEDSGDEWSDW >ONIVA06G18290.1 pep chromosome:AWHD00000000:6:16560674:16561081:-1 gene:ONIVA06G18290 transcript:ONIVA06G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACLLAVALSLAGSAAGDMRAAVKTTAGGKVVVRHGVDAAAAARQLMVAMKKSTRLEDVVAPEMIGADLLELHHRRRRILGEDANIVNSVLVGDKQGCLGTCPARGFPFNPPSRGCNPKYGCHTGYNPPDRQK >ONIVA06G18280.1 pep chromosome:AWHD00000000:6:16559775:16560321:-1 gene:ONIVA06G18280 transcript:ONIVA06G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLPRVAASSWRPPTTWTPPPPSTEKKRAGWKDAWVAGDALPSTGLKELQTRSKDGFSISVSTTVS >ONIVA06G18270.1 pep chromosome:AWHD00000000:6:16550252:16550623:-1 gene:ONIVA06G18270 transcript:ONIVA06G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKVPTSPLLLVLTVVALSLPVPSTGDMRAVLSGGEGAALHGIDVAVRRLAMVAPLSSLARLEDAVAPELGVDMELHRRILAGNVGSGALRPDRPACVQSCPARGGSYTGRGCKTVYRCNNN >ONIVA06G18250.1 pep chromosome:AWHD00000000:6:16532567:16542288:1 gene:ONIVA06G18250 transcript:ONIVA06G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFEAFWTCGMLSWQDLESIEYGSGNDAYAEYHGGNILHIIEENGGDNWFTWRAAVVVGWKQVAGTCSGSDGEVGVLGDEYR >ONIVA06G18240.1 pep chromosome:AWHD00000000:6:16527796:16528077:1 gene:ONIVA06G18240 transcript:ONIVA06G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRRVHVAFLAVLVAVVALAGAIAAAASDARSRNGATAAVGGEAVVHRRMLASSIQDSVLNANKPACLQSCTAAGQPYTGRGCTNAYQCKG >ONIVA06G18230.1 pep chromosome:AWHD00000000:6:16526711:16527166:-1 gene:ONIVA06G18230 transcript:ONIVA06G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVELMRSYIAFLRVFGLIGEKRSNEYVEFISASIKDLTSTTEAAASPPPQESPSSVSTRCSMKCPHNDMTASSNHIIEDNEEEDHGHFIITKDLSKVTHPECLMKCFSHVEPNHSVATHMVLTCTTIATTSMNQCHLL >ONIVA06G18220.1 pep chromosome:AWHD00000000:6:16511458:16514040:1 gene:ONIVA06G18220 transcript:ONIVA06G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HR40] MDLPHQLNDRRVYIYIWGNQGTLQRVYFYAFDIDLASSTLVTSMLSVHVFIGLLLLSLHAPPYSAAVDDTLASGQVLAVGEKLVSRNGKFALGFFQPSAIAISKSSNYTNALGWYLAIWFNKIPVFTTVWVANRERPITVPRLNSTRLKMSRDGNLYILDHATNSIIWSTDHVVNTTTETGMNTSATLLNSGNLVIRNPSGVVSWQSFDNPTDVVLPGAKFGWNKATGLNRLGISKKSLIDPGLGSYSVELDTTGARGLILKHRNPSMEYWSSDRALIIPVLKSLFEMDPRTRGLITPAYVDNSEEEYYIYTMSDESSSVFVSLDVNGQIKMYVWSRANQSWQSIYAQPVDPCTPSATCGPFTICNGNSTQTCDCMESFSVKSLWDWELDDRTGGCIRDTPLHCVSDKNMTSSTDMFQPIGLVTLPYDPQIMQDATTQGECAQACLSDCSCTAYSYQNSRCSVWHGKLLNVNKNDGIYINADNVLHLRLAATDFQDLSKNKRKTNAALVVGASIVSFVLALIMILLMIRGNKFKCCGAPFHDNEGRGGIIAFRYTDLAHATKNFSDKLGAGGFGSVFKGVLTDMTTIAVKKLDGARQGEKQFRAEVSSIGIIQHINLVKLIGYCCEGDKRLLVYEHMLNGSLDVHLFQSHAAVLNWITRHQIAIGVARGLSYLHESCRECIIHCDIKPENILLDISYFPKLADFGMATFVGRDFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSFGMVLFEIISGRRNSPEVHTIGNYDATYFPVRAINKLHEGDMSSLVDPRLHGDYNLDEVVRVCKVACWCIQDDEFDRPTMREVVRVLEGLQELDMPPMPRLLATLTNFSAVASM >ONIVA06G18210.1 pep chromosome:AWHD00000000:6:16480628:16485143:-1 gene:ONIVA06G18210 transcript:ONIVA06G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLQQLNNAIPHRSVIQALADKFTSSPARSGKVAVQPKQGRVLWRAARLNLKQSRLEMAHVKFKNSMDNSKPPDAPVLLVNIPLRPLIALVSTPVMLQPPLRQLPKKEHQASWYDVAAFLSHRLFESGDPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCESTECVAVLPGDLILCFQEGKEQALYFDARVLDAQRRRHDVRGCRCRFLVRYDHDHSEEIVPLRKVCRRPETDYRLQILHAARAAGMAKEAVVDLVSHNDKSSAEQKPPKQHKMMDVNTDEVTMVSNQDQEEPTGKPAATLPAAPVKTLNDSASASASDVQMGEAQAAPKVESSDEVEDKMKEG >ONIVA06G18200.1 pep chromosome:AWHD00000000:6:16476792:16477561:-1 gene:ONIVA06G18200 transcript:ONIVA06G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSGGGGKRRKGAAAAHGGRERRRREAQEGGDDDAFLNLLRRKSIRLTSELFCQLRAVAAETLGDGGSGVRWLPPRAFGGTMETMGPEKARTKSVITVSNFV >ONIVA06G18190.1 pep chromosome:AWHD00000000:6:16456159:16460109:1 gene:ONIVA06G18190 transcript:ONIVA06G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA-like family protein [Source:Projected from Arabidopsis thaliana (AT5G17560) TAIR;Acc:AT5G17560] MQQMRSAAAAPCSLAAMLLRRFAYTSYSSSCGPIRRHAAVALSSTTTTTTRFAAWSPPPPSGGARSRGFAAWASAPGPAGSTDSPAMQALETKIKEQLEADTVTVVDTSGDGRHVCIDVVSKVFEGKSAVNRQRMVYKAIWEELQSTVHAVDQMTTKTPSEAAANQ >ONIVA06G18180.1 pep chromosome:AWHD00000000:6:16451493:16452137:1 gene:ONIVA06G18180 transcript:ONIVA06G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLSATFAPAATTTATPLPAPQQQLRHGRRCYRALSLRPPRAASGDDGAGGEVEPAAPAAPAKTATATDGGGDDFEERVLRIKSRVGPKKRGSAAARKRKGGAGASSKSKAAVTLPPVPLREARSSVGVPVEFGFSAYSERLNGALAAVGLAALLLVELGSGKALVKYHQPATLFLQVYTVAAAGAVFVKYEKERISVWPGPPATKPPATGE >ONIVA06G18170.1 pep chromosome:AWHD00000000:6:16430180:16437742:-1 gene:ONIVA06G18170 transcript:ONIVA06G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPTPSTGGPGFRFTQEEVAEMESLLRHLNNGIPDGSLIQSLADRFTASAARAGKVGVRSKQNRKYSQRSRNSTKMLPAASGDHKSAFARSSVQKSVKNSLEGGQLEFEAKSVRDGAWKYGFDFLDLELGTTNGLMSVHVCGNVLIHEGKHQALYFDAHVLDAQKRRHDARGCRCRFLVCYDHDDSEEIVPLRKMCRRPETDYRLEILHAAGAANAAKEAVVDSVIA >ONIVA06G18170.2 pep chromosome:AWHD00000000:6:16430180:16437742:-1 gene:ONIVA06G18170 transcript:ONIVA06G18170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPTPSTGGPGFRFTQEEVAEMESLLRHLNNGIPDGSLIQSLADRFTASAARAGKVGVRSKQNRKYSQRSRNSTKMLPAASGDHKSAFARSSVWVRFSGFGARDDEWIDVRTCVRQRSHPCVSTECAAVLPGDQILCFQEGKHQALYFDAHVLDAQKRRHDARGCRCRFLVCYDHDDSEEIVPLRKMCRRPETDYRLEILHAAGAANAAKEAVVDSVIA >ONIVA06G18160.1 pep chromosome:AWHD00000000:6:16402167:16402604:-1 gene:ONIVA06G18160 transcript:ONIVA06G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPRVCCHHHHAGLTLLLACATAGLPPPRAAPPQSTAAPAGATRSFSLLLLTCTAAAASLHHCLCRSRFLLQPPSEPWYSPFIAAGAEVVPSATAAALHYCPHQSPGPPQPPPDPWSSPSFAVGAEVVPFRTRTHILLPLLQ >ONIVA06G18150.1 pep chromosome:AWHD00000000:6:16400894:16401433:-1 gene:ONIVA06G18150 transcript:ONIVA06G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFERRQVEADQTRAEYQSLKAAVELWIPEIQKNAEDLQFLVGDEQSKVTLTTCSTECPNGSSPSRTARSIYDDEGSTPTIILEIGDGEDKIHDPYIIAKDSLEVTPTICSMKCSIPDTESNLTMVAEVTYASTATVSMELVAAQEAIDATYSDTSDHSKMMHTKCLTVVLDAIGDTG >ONIVA06G18140.1 pep chromosome:AWHD00000000:6:16396213:16400890:-1 gene:ONIVA06G18140 transcript:ONIVA06G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFQTWTDAFKDVPTSVQFMDFLSSSMMANIKWNTPIPIKYSVQFLGHDMLATNPLDVNSWPPPWSDGVIRGRDLRPSPWPGFNFCGTVEHLMPPWPPPTQPMPLTYPSKFSLVFTIDGVHIDWNLVVAVHKIFWSVMIKSMRHVPSISSELDDIQGKSTMIFIDVTIPEGCNPKKSGSATTETIFNSFSELLDVHLTTIEMLVSKRSQEIRCWQGALELQVSVLFWLLARNINPPKFEVQVLEFLLRVLIGSLSEKYSGNTIDLELSRSLQSGTHILDLYSAEEHISDNFLNVIMWCSVPIKDLHKQWDPGGSGETLHRLGDKPKFKERRLLGTQMGYLWAVNHFQSKAQASKSGAHINSRSSTREEIEQRTTEPVEGLLGGDGGGGDLEPGLKGYHITLGDLARGAEMRVTEKATRAAMISAAFARARSTEKGWTAADVEDLGSVRVCA >ONIVA06G18140.2 pep chromosome:AWHD00000000:6:16396213:16400890:-1 gene:ONIVA06G18140 transcript:ONIVA06G18140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFQTWTDAFKDVPTSVQFMDFLSSSMMANIKWNTPIPIKYSVQFLGHDMLATNPLDVNSWPPPWSDGVIRGRDLRPSPWPGFNFCGTVEHLMPPWPPPTQPMPLTYPSKFSLVFTIDGVHIDWNLVVAVHKIFWSVMIKSMRHVPSISSELDDIQGKSTMIFIDVTIPEGCNPKKSGSATTETIFNSFSELLDVHLTTIEMLVSKRSQEIRCWQGALELQVSVLFWLLARNINPPKFEVQVLEFLLRVLIGSLSEKYSGNTIDLELSRSLQSGTHILDLYSAEEHISDNFLNVIMWCSVPIKDLHKQWDPGGSGETLHRLGDKPKFKERRLLGTQMGYLWAVNHFQSKAQASKSGAHINSRSSTREEIEQRTTEPVEGLLGGDGGGGDLEPGLGFGERCGDESDGKGDESSDDLGGVCSRALDGKGDSICQLSKKIVAAAGDDLGSIRGQGWTAADVEDLGSVRVCA >ONIVA06G18130.1 pep chromosome:AWHD00000000:6:16385439:16385618:1 gene:ONIVA06G18130 transcript:ONIVA06G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSYPVAEGDDEPGAGGSGLSSGGQYSVHIRHFSRLLLKTAIVDVGAPSSPVADINH >ONIVA06G18120.1 pep chromosome:AWHD00000000:6:16359745:16389548:-1 gene:ONIVA06G18120 transcript:ONIVA06G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:A0A0E0HR23] MGEEAGGEGGGGWSEEIHRKILTLCNHLLQYAKQNRLQVDVPASKLVDSTQVTEQIILASLRRALTQHSSLQAHDGHWPGDFSGIMFIMPMLIFALYITGSLDAVLSSEHRREICRYIYNHQAYQQMKMAVGAHKFWDRERSIDALTKGRAWIISRGSAAAVPQWGKIWLSVIGLYDWSGNNAIIPELWLVPHFLPIHPERFWCFCRLVYMPMAYLYGKKFVGAITPTILEIREELYSVPYNEINWKNARNNCAKEDLRYPRSFVQNVIWTGLNKVVEPILILWPFNTLRHAALNNLLKHIRYEDESTKYIGICPINKALDMICCWIDNPNSDAFKLHLPRIYDYLWLAEDGMKAQVYDGCQSWETAFIVQAYCSTDLVNEFSQTLTKAHEFIKKSQVLENHPDYEAYYRHRSKGSWTLSTADNGWCVSDCTAEALKALLMLSKISQDLVGDPIDGERLYDAVDGMLSFMNEDGTFSTYECKRSTPWLEVLNPSESFLNIVVDYLELNPVYRKEEIGNCIIKSSKFIENKQRMDHGLAAAGRTYENSSSIRKACNFILSKQLSTGGWGETYLSSETEVYVEASRPHAVNTAWAMLALIYAGQVLIVYYIIYGLPCVISCLTDKQVEIDPTPLYHAAQELINMQLETGEFPQQEHVGCFNCSFSFNYSNYRNLFPIWALGEFHRRLVLRKS >ONIVA06G18120.2 pep chromosome:AWHD00000000:6:16359745:16389548:-1 gene:ONIVA06G18120 transcript:ONIVA06G18120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:A0A0E0HR23] MGEEAGGEGGGGWSEEIHRKILTLCNHLLQYAKQNRLQVDVPASKLVDSTQVTEQIILASLRRALTQHSSLQAHDGHWPGDFSGIMFIMPMLIFALYITGSLDAVLSSEHRREICRYIYNHQAYQQMKMAVGAHKFWDRERSIDALTKGRAWIISRGSAAAVPQWGKIWLSVIGLYDWSGNNAIIPELWLVPHFLPIHPERFWCFCRLVYMPMAYLYGKKFVGAITPTILEIREELYSVPYNEINWKNARNNCAKEDLRYPRSFVQNVIWTGLNKVVEPILILWPFNTLRHAALNNLLKHIRYEDESTKYIGICPINKALDMICCWIDNPNSDAFKLHLPRIYDYLWLAEDGMKAQVYDGCQSWETAFIVQAYCSTDLVNEFSQTLTKAHEFIKKSQVLENHPDYEAYYRHRSKGSWTLSTADNGWCVSDCTAEALKALLMLSKISQDLVGDPIDGERLYDAVDGMLSFMNEDGTFSTYECKRSTPWLEVLNPSESFLNIVVDYLELNPVYRKEEIGNCIIKSSKFIENKQRMDHGLAAAGRTYENSSSIRKACNFILSKQLSTGGWGETYLSSETEVYVEASRPHAVNTAWAMLALIYAGQVEIDPTPLYHAAQELINMQLETGEFPQQEHVGCFNCSFSFNYSNYRNLFPIWALGEFHRRLVLRKS >ONIVA06G18120.3 pep chromosome:AWHD00000000:6:16359745:16389548:-1 gene:ONIVA06G18120 transcript:ONIVA06G18120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:A0A0E0HR23] MGEEAGGEGGGGWSEEIHRKILTLCNHLLQYAKQNRLQVDVPASKLVDSTQVTEQIILASLRRALTQHSSLQAHDGHWPGDFSGIMFIMPMLIFALYITGSLDAVLSSEHRREICRYIYNHQAYQQMKMAVGAHKFWDRERSIDALTKGRAWIISRGSAAAVPQWGKIWLSVIGLYDWSGNNAIIPELWLVPHFLPIHPERFWCFCRLVYMPMAYLYGKKFVGAITPTILEIREELYSVPYNEINWKNARNNCAKEDLRYPRSFVQNVIWTGLNKVVEPILILWPFNTLRHAALNNLLKHIRYEDESTKYIGICPINKALDMICCWIDNPNSDAFKLHLPRIYDYLWLAEDGMKAQVYDGCQSWETAFIVQAYCSTDLVNEFSQTLTKAHEFIKKSQVLENHPDYEAYYRHRSKGSWTLSTADNGWCVSDCTAEALKALLMLSKISQDLVGDPIDGERLYDAVDGMLSFMNEDGTFSTYECKRSTPWLELNPVYRKEEIGNCIIKSSKFIENKQRMDHGLAAAGRTYENSSSIRKACNFILSKQLSTGGWGETYLSSETEVYVEASRPHAVNTAWAMLALIYAGQVLIVYYIIYGLPCVISCLTDKQVEIDPTPLYHAAQELINMQLETGEFPQQEHVGCFNCSFSFNYSNYRNLFPIWALGEFHRRLVLRKS >ONIVA06G18120.4 pep chromosome:AWHD00000000:6:16359745:16389548:-1 gene:ONIVA06G18120 transcript:ONIVA06G18120.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:A0A0E0HR23] MGEEAGGEGGGGWSEEIHRKILTLCNHLLQYAKQNRLQVDVPASKLVDSTQVTEQIILASLRRALTQHSSLQAHDGHWPGDFSGIMFIMPMLIFALYITGSLDAVLSSEHRREICRYIYNHQAYQQMKMAVGAHKFWDRERSIDALTKGRAWIISRGSAAAVPQWGKIWLSVIGLYDWSGNNAIIPELWLVPHFLPIHPERFWCFCRLVYMPMAYLYGKKFVGAITPTILEIREELYSVPYNEINWKNARNNCAKEDLRYPRSFVQNVIWTGLNKVVEPILILWPFNTLRHAALNNLLKHIRYEDESTKYIGICPINKALDMICCWIDNPNSDAFKLHLPRIYDYLWLAEDGMKAQVYDGCQSWETAFIVQAYCSTDLVNEFSQTLTKAHEFIKKSQVLENHPDYEAYYRHRSKGSWTLSTADNGWCVSDCTAEALKALLMLSKISQDLVGDPIDGERLYDAVDGMLSFMNEDGTFSTYECKRSTPWLELNPVYRKEEIGNCIIKSSKFIENKQRMDHGLAAAGRTYENSSSIRKACNFILSKQLSTGGWGETYLSSETEVYVEASRPHAVNTAWAMLALIYAGQVEIDPTPLYHAAQELINMQLETGEFPQQEHVGCFNCSFSFNYSNYRNLFPIWALGEFHRRLVLRKS >ONIVA06G18120.5 pep chromosome:AWHD00000000:6:16371153:16391056:-1 gene:ONIVA06G18120 transcript:ONIVA06G18120.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:A0A0E0HR23] MWRLKVAEGGAPGLRSCNGFLGRAVWEFDPNAGTPEERAEVERMRREFTLHRFERREAQDLLMRMQYAKQNRLQVDVPASKLVDSTQVTEQIILASLRRALTQHSSLQAHDGHWPGDFSGIMFIMPMLIFALYITGSLDAVLSSEHRREICRYIYNHQAYQQMKMAVGAHKFWDRERSIDALTKGRAWIISRGSAAAVPQWGKIWLSVIGLYDWSGNNAIIPELWLVPHFLPIHPERFWCFCRLVYMPMAYLYGKKFVGAITPTILEIREELYSVPYNEINWKNARNNCAKEDLRYPRSFVQNVIWTGLNKVVEPILILWPFNTLRHAALNNLLKHIRYEDESTKYIGICPINKALDMICCWIDNPNSDAFKLHLPRIYDYLWLAEDGMKAQVYDGCQSWETAFIVQAYCSTDLVNEFSQTLTKAHEFIKKSQVLENHPDYEAYYRHRSKGSWTLSTADNGWCVSDCTAEALKALLMLSKISQDLVGDPIDGERLYDAVDGMLSFMNEDGTFSTYECKRSTPWLEVLNPSESFLNICAFLFEENTIVPTSQYVWRANLIALNQLIDPLNAHHRYFKR >ONIVA06G18120.6 pep chromosome:AWHD00000000:6:16371153:16391056:-1 gene:ONIVA06G18120 transcript:ONIVA06G18120.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:A0A0E0HR23] MWRLKVAEGGAPGLRSCNGFLGRAVWEFDPNAGTPEERAEVERMRREFTLHRFERREAQDLLMRMQYAKQNRLQVDVPASKLVDSTQVTEQIILASLRRALTQHSSLQAHDGHWPGDFSGIMFIMPMLERSIDALTKGRAWIISRGSAAAVPQWGKIWLSVIGLYDWSGNNAIIPELWLVPHFLPIHPERFWCFCRLVYMPMAYLYGKKFVGAITPTILEIREELYSVPYNEINWKNARNNCAKEDLRYPRSFVQNVIWTGLNKVVEPILILWPFNTLRHAALNNLLKHIRYEDESTKYIGICPINKALDMICCWIDNPNSDAFKLHLPRIYDYLWLAEDGMKAQVYDGCQSWETAFIVQAYCSTDLVNEFSQTLTKAHEFIKKSQVLENHPDYEAYYRHRSKGSWTLSTADNGWCVSDCTAEALKALLMLSKISQDLVGDPIDGERLYDAVDGMLSFMNEDGTFSTYECKRSTPWLEVSTIKSYFLFKVLNPSESFLNICAFLFEENTIVPTSQYVWRANLIALNQLIDPLNAHHRYFKR >ONIVA06G18110.1 pep chromosome:AWHD00000000:6:16355990:16357235:-1 gene:ONIVA06G18110 transcript:ONIVA06G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVPPILLGELLRLAHHPLRRRLSLEIVIFSDLPVALSMAYTLRMSFTSMSKVTSICGSPLNTNTMPMKSNLPSSRLSLVMLCSSSNTWMVVNTCVFFVCTTVLHGINLAITPAGRLEAEHQRCDIKDDHASSHSYKICQSPRLDSRFVTLRTQDPSKSSSLSDLPAEVLSEASRLYSEVLATPAPLLPSSKSQHEQLPLKIRLLADCLNFPAEQLGSSTMKQRFNLVEVTDPAVVSQGAATKDLRILKSGRRVQMSAQIPPVSQQLKGSFDVDDSQWKEVKAIVGGRDLLSPLRTPTNFIYS >ONIVA06G18100.1 pep chromosome:AWHD00000000:6:16344616:16345871:1 gene:ONIVA06G18100 transcript:ONIVA06G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQLGPRRASPLHVIGRLCGGRSAIDQGWSCWCQGQRRRCTVIRINLGTTYSWVGVYRNGIVEIIANDQGNHITPWWWYSEPHVHVEVKDSDVRVLTVEPRGLPRREGEGHHSPALSSTCWPTSTMRSAWQATKDVGVIAGLTIDRIINEPTAGAIAYDIDKKGTEKSVLIFDLGGNTFDISIIAIDNGVFKVLASGHKWRHPPRRRGLRPARHGPFHQAHQAERRRRARAGEALPRLRARQARAQQLAPVWTSQSRSLGAWFEELNNDLFRKTMLLANKATADLFRKTMLLAKKAMADLFRKTMLLVKKAMADAWLSKGDIDEIVSSAAAGSSYSRTTSAARSPTVA >ONIVA06G18090.1 pep chromosome:AWHD00000000:6:16342317:16344405:-1 gene:ONIVA06G18090 transcript:ONIVA06G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSARVPSSAQLNPGLAAIEARRALHQSAMIVIGGAGLFVFQHLIATQSPATAAVMAGESSTDDAAAAYTLGIEPGPVHVADAVTGDRGADEEQSPGIVEMMQSPGNLGSWITGNPMDCTADYSRDRLLGGFAIDTNETENLVRSVREVCDLLTGDREILVRKVHRCVNSVSHSLANKARYEACSKIWLENN >ONIVA06G18080.1 pep chromosome:AWHD00000000:6:16337864:16338061:-1 gene:ONIVA06G18080 transcript:ONIVA06G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGVGWLTPWLNERPKGYLFKQLLPPAGEFHKWVDWELPCYLTVVILGIRLNAKPNLTLQTWPH >ONIVA06G18070.1 pep chromosome:AWHD00000000:6:16319834:16323277:-1 gene:ONIVA06G18070 transcript:ONIVA06G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKENPFFTGVVGPHGTPIALGLLISSFPPLSFLLVRRRSPEASASSTWAAAEVSGRRSGGYLPASKALRRRAGGIARQRPTPADQVGREAPPSVPAPVSTRAASPVSCCCYLCCRILAALHRWTSGMADGSFLDRMVSQLRSTCRYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFHPHVKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKVVDPNVTKYSVKVLPFNYDQSMYGFREYFKKHGFKEAAEVLTYC >ONIVA06G18070.2 pep chromosome:AWHD00000000:6:16319834:16323277:-1 gene:ONIVA06G18070 transcript:ONIVA06G18070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKENPFFTGVVGPHGTPIALGLLISSFPPLSFLLVRRRSPEASASSTWAAAEVSGRRSGGYLPASKALRRRAGGIARQRPTPADQVGREAPPSVPAPVSTRAASPVSCCCYLCCRILAGERPSASVDVWDGGRYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFHPHVKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKVVDPNVTKYSVKVLPFNYDQSMYGFREYFKKHGFKEAAEVLTYC >ONIVA06G18070.3 pep chromosome:AWHD00000000:6:16320186:16323277:-1 gene:ONIVA06G18070 transcript:ONIVA06G18070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKENPFFTGVVGPHGTPIALGLLISSFPPLSFLLVRRRSPEASASSTWAAAEVSGRRSGGYLPASKALRRRAGGIARQRPTPADQVGREAPPSVPAPVSTRAASPVSCCCYLCCRILAALHRWTSGMADGSFLDRMVSQLRSTCRYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFHPHVKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKVVDPNVTKYSVKVLPFNYDQSMYGFREYFKKHGFKYFETN >ONIVA06G18070.4 pep chromosome:AWHD00000000:6:16320186:16323277:-1 gene:ONIVA06G18070 transcript:ONIVA06G18070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKENPFFTGVVGPHGTPIALGLLISSFPPLSFLLVRRRSPEASASSTWAAAEVSGRRSGGYLPASKALRRRAGGIARQRPTPADQVGREAPPSVPAPVSTRAASPVSCCCYLCCRILAGERPSASVDVWDGGRYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFHPHVKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKVVDPNVTKYSVKVLPFNYDQSMYGFREYFKKHGFKYFETN >ONIVA06G18060.1 pep chromosome:AWHD00000000:6:16305853:16306647:-1 gene:ONIVA06G18060 transcript:ONIVA06G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMSIAGFVQSCSFQQLDSSKDVDKDSLVRKQALYILRISLDIFSSSENDSAQQCSRRRSAALPAQDKSNTAMTKREMNQSDENCSSGKDRWKVFLIHVGGSTGEALGRGAHGGARPGRRRQGAVAGGGARRGGVEALGGGVEALGAGWWRLAAECFSCSR >ONIVA06G18050.1 pep chromosome:AWHD00000000:6:16304517:16305155:1 gene:ONIVA06G18050 transcript:ONIVA06G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYGTSRYPPYSGAVERAGKRPPADEDGRGSFGPVLVVLAVISFLAVSACIAGRLCGRRPSKSSSSRGEQMRGGGTTAAHADAEKGFGVMQNPAAAAVMRPVPSSRATVHDVDDDVFEIKLCAPVKPPTAAGRQGGSGGDGGGGSAPQPRPPPAVPLGVPRQYAAAAAAAAAAGFRRAPPASGGAAVRLTHPQVLGRGNGGAPFAHGKQSR >ONIVA06G18040.1 pep chromosome:AWHD00000000:6:16300662:16300940:-1 gene:ONIVA06G18040 transcript:ONIVA06G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAGTVATGDCGCSIGRQEARWLDLYRLAATGATSADPARHGCGRGNNERMRAGGAAAPPPSLIGGGHDVGGSGTARLRAPQRRATTDRR >ONIVA06G18030.1 pep chromosome:AWHD00000000:6:16287917:16288663:1 gene:ONIVA06G18030 transcript:ONIVA06G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVDEEERGGGGLGGVDAALAFGLDARLWAVESEHARVVNPEQRSMSPAHAFCVDARLRELEREKATRWAGSSGVAMARRTASTTFATVGGSFYGKEAGTRPDFVVVWSRAVRHSSGRHTGLCGGCGAARHAARPARHFVEQVASTFNRLAGEERIGNRLQGERGWAGPSEGRGWPREENDRGEGVGLWERKGGPKE >ONIVA06G18020.1 pep chromosome:AWHD00000000:6:16284665:16288299:-1 gene:ONIVA06G18020 transcript:ONIVA06G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLPAHRVAFSRSSSRSRASTQKACAGLMLLRVAAALCRCQHPAPHRRRPFRLWLTRAQEKPSDRRSLRRLPGRRSLMHRCSGFTTLACSLSTAHSRASKPNANAASTPPRPPPPRSSSSTSRTMAAATACSRRPREPSSRRCVASAIAIVPPQCRLSLPYPGSLAPPFSSKPSFALATDPPHTTIRQLSPFPSPSPPLCHPSPSQLASIPIAAVEQDASAHGRADHARASPPRPRPPHTGSSAPPRGHLLQSGVTRRPRLYSSPRHLYSAASSVAAPADIAAPTAVMRRPPPRSRRPRRVPFGRRDALPPDLRTTAPPGPTAVRASSRARSSTSPSRRRRTVPSPVTEPGHEHRRPGSSASPSSARARGACVKKKEEREEEIEEEMGKRRETLSDGEGYGFVPRPKPLLVDWKP >ONIVA06G18010.1 pep chromosome:AWHD00000000:6:16282276:16285400:1 gene:ONIVA06G18010 transcript:ONIVA06G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPRSLNASTAGSFPFLLVLVVTSSFLSGRCLATTDSHHRRPPAGRHGPPRPVSPPSPPPPPATTFSVLQYGAVGDGDKDDTKASAECRHTHIHSRLILHTLIVMCKFMAFVDAWSAACAVRSSTVVVPAGYRFVVGPVTFTGDSCQPNTVFQLDGTIVANTDSGAWCSGNAVQQWLEFRSCTGLTIQGSGTVDGQGSHWWSGGAPATDIDADRVGTNNRPTALRVYESTNVAVTGITIQNSARFHLTFDTCRAVEVRGVAIRSPGDSPNTDGIHLAGSVGVSIQNATVACGDDCVSIQDGCSRVLVRGVTCGPGHGISIGGLGKGGAMAVVSDVTVQDVFLVGTSAGVRIKTWQGGSGSVRGVLFSGVRVSAVKTPIVIDQYYCDHATCANQTAAVAVSGVAYSGITGTYTQRPVYLACSDAAPCAGLRLEDIKLAPVKDGGYGRLYGPFCWKAYGDEVRPVVPPVDCLMAGEP >ONIVA06G18000.1 pep chromosome:AWHD00000000:6:16251373:16254826:1 gene:ONIVA06G18000 transcript:ONIVA06G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGRWAVARQRMMRRGTIRSWKMTSAALIQQVPAAATPMAVAAVRGRGTSSPSDGWCSPRASLKLQLAPPTSISSIQQVPYIH >ONIVA06G17990.1 pep chromosome:AWHD00000000:6:16239464:16239787:-1 gene:ONIVA06G17990 transcript:ONIVA06G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEKRREARELASSSYAIRSATRMLSASAVSPRRTREPPPHQRYHSSSCCSPPPPFDLGLCYPDFFLHQLLPRLLFTPTPPASPALVPGPELPPSLTSGTHPDLL >ONIVA06G17980.1 pep chromosome:AWHD00000000:6:16233030:16238405:1 gene:ONIVA06G17980 transcript:ONIVA06G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVDTINTTTEDACALASMLAAKFTILKYTGDIMFVAFQFKPKKDQAARLMGAREEATFFIGKAAFHWLLFSSEGSHLLYN >ONIVA06G17970.1 pep chromosome:AWHD00000000:6:16225168:16226161:1 gene:ONIVA06G17970 transcript:ONIVA06G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHAFTASHRVTPLIAGSRLYADNLTKEERMEGERGKERETRGMIWKQGMRHSRTEGVRIAGHVEKGGRGSLAPCRVSNIAVLSRVRDNKYSTYFVLSTPKLTTRNHL >ONIVA06G17960.1 pep chromosome:AWHD00000000:6:16213223:16218775:-1 gene:ONIVA06G17960 transcript:ONIVA06G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HR04] MENNNDGVIGISRRNSNPKVHSSMCSELTMMLDKVSSIIPSIETAQPGCKAGIEELCNLYNIVDKGKLIIQNCIECSSLYLAITGEATAMRCERIRDALRRSLFLVQNMVPSSLANQVADVHDDLGDVKFIVDPEEDEAGKAILEMLRQSDATQEHELQTFLFAASKLNLTSPKAILIERRAIKKLLDKINGNDPKKEGILKFFQYLVRKYGKTMKPEGSAKNEGVDVANVTSSTNLIASGTDAPQKCFSPTNSWTGRCEEQNNLSRFSTPPEFCCPLSMKLMYDPVIIASGQTYERENIEKWFSEGYDICPRTQLKLENFTITPNTCMKAVICNWCKDNELEFTSLPEQFHSYSVSSLHNISAPLVAGTKRDYMSDHSSSSVALSGASYVSSPMRETEESRTNYTQFFSNAYYQLYLSFSSFNKEMFLNFFYELSELPMELQVKAVRDFKSVLNREYQIWRSMISNGFLEAFLEFLKNDNGKCTMEAQRTGIQFFLAFLRNSRTQIPSISEDAVRLFASFLDSELKTEALEILHELLQQPSCRKSRLMASVVAPSVFLAWDSADSLCRELVLKIICELSFKNDVQSFLISSGIISKLSPILSQGKSPECCLKILLNLSEGKQAADLIIRTDQCLSSISDYLDTGSSVEREHASGILLALCSRSIDDCVLVMKEGVIPALVDLSVNGTEVAKASSIKLLQLLRDSRQSDQFGNSCSSEVAVNGAAENSPIGTICKQPISKSARYISRKLSIFSKPRSLTLV >ONIVA06G17950.1 pep chromosome:AWHD00000000:6:16199887:16201431:1 gene:ONIVA06G17950 transcript:ONIVA06G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQGVGGGTMGGRSPHSLGRPCASPLGRCRLSLLLAVLTSPTPRARRPTPSHAPCPASSPRTLARSPAALPTWPCSQRRLLPQPLRPRLLPHRHHQPGHAQTPASPRLTATDKPTPTPASSRLAATDKLTPCFPTRPPLPPPPSLSRRRPHPLLLAPASHVGAATRPAGRPTCSCSIVGEANGRAAGAGDKEKAWPERRAYSWLLALAKISGMREFLVDLRSQEEPVVADPNGRHGTQIQNKNSHRKHKIICNQDQT >ONIVA06G17940.1 pep chromosome:AWHD00000000:6:16199463:16199842:1 gene:ONIVA06G17940 transcript:ONIVA06G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAATSGEKGQRAVVGRRTYGEIARATGVVAAVADVAHHAQAAVELLRAFSRPIWPVTWKPGQRGEHGGDAVPAAMTREQGSKAAEAASSPCDDAGAGEQGGGGSVLSAAPPADMW >ONIVA06G17930.1 pep chromosome:AWHD00000000:6:16190032:16195669:-1 gene:ONIVA06G17930 transcript:ONIVA06G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDRNKSRILIIGGTGHLGKFIVAASARAGHPTSALVRATAPPPPATGGGGSSSRARLLQSFRDAGVTILQGDIGDHDLLVKAVRAADVVISVVGYHDVGEQMKIIAAIKEAGNIKRFIPSDFGNDADHAHIVEPAKATFDVEAQIRRTVEAEGIPYTFVSCNFFAGFYLPTLVQPGASGLPADKVVILGDGNTKAIFVDEEDIATFTIKGVDDPRMLNKCSPLSIDLAILHSVYINGDHINFEIKPTVGVEATQIYPDIKYNTVDEY >ONIVA06G17930.2 pep chromosome:AWHD00000000:6:16190032:16195669:-1 gene:ONIVA06G17930 transcript:ONIVA06G17930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDRNKSRILIIGGTGHLGKFIVAASARAGHPTSALVRATAPPPPATGGGGSSSRARLLQSFRDAGVTILQGDIGDHDLLVKAVRAADVVISVVGYHDVGEQMKIIAAIKEAGNIKRFIPSDFGNDADHAHIVEPAKATFDVEAQIRRTVEAEGIPYTFVSCNFFAGFYLPTLVQPGASGLPADKVVILGDGNTKAIFVDEEDIATFTIKGVDDPRMLNKVLHIRPPENALIDLAILHSVYINGDHINFEIKPTVGVEATQIYPDIKYNTVDEY >ONIVA06G17920.1 pep chromosome:AWHD00000000:6:16185857:16188669:1 gene:ONIVA06G17920 transcript:ONIVA06G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAAVLSSSILRHQQHQYTLGRLPTDDLHPIHGCCNRESGLRSRQLLPIQSAPVLIRRGNPAAVDELLKAIVMMKSPLYLTF >ONIVA06G17920.2 pep chromosome:AWHD00000000:6:16187837:16188346:1 gene:ONIVA06G17920 transcript:ONIVA06G17920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAPILYTSLLVADPLVADDLLHDIEAKLNLWLFVRRSAHILAGLMEINKKEFLNLKQGNMPFMEFLDRFNYLGRYQDRPNVTL >ONIVA06G17920.3 pep chromosome:AWHD00000000:6:16187837:16188616:1 gene:ONIVA06G17920 transcript:ONIVA06G17920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAPILYTSLLVADPLVADDLLHDIEAKLNLWLFVRRSAHILAGLMEINKKEFLNLKQGNMPFMEFLDRFNYLGRYQDRPPDRPKVLSPPYANNSMAHYEVPKYRIPYSSPGY >ONIVA06G17910.1 pep chromosome:AWHD00000000:6:16128673:16129977:1 gene:ONIVA06G17910 transcript:ONIVA06G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G17640) TAIR;Acc:AT3G17640] MALPPPLLRFFIVAVLLSSVAAWVAAATTLHERDAAALRDVRAGLRDLPGSRFFESWDDATDPCDYAGVVCEPDEDDPAALRVSVLTLGTGLTDSPGLAGTLPASLASLTALTDFVLYPGRVAGAIPADIGSGLRRLRLLSMSGNQLTGQIPESLAGLPDLHTLDLGNNHLDGSIPSGLLLPSSQSLKVLILANNGGLSGQIPDQFSSSQLFHVDLSRNSITGSLPPLAQTVRYFSVAANSMQGSLDGAFGNGSAPTDLAFLDLSMNNFSGSIPRELFALPSASSLLLSRNNFTGSLAVPAFASERAATPPWSVVDVSHNGITGEVPEELAAVESLYVNNNRMYGEVPEAVARSVFAGRMTTFYAQHNFLTGFPVPPLPLPDSAALCLSYNCMELPSASAANGCPTIGGPMESRPADQCRSSTAANAGSSGGDG >ONIVA06G17900.1 pep chromosome:AWHD00000000:6:16125480:16125826:1 gene:ONIVA06G17900 transcript:ONIVA06G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGRLPTRTRPPPLFLWCLLVIQNMSRYMRGANLQDGEAASSDEQEGEVPVLHDDAAEAGEDGVVEGARVHGEDAGHGAIDAATTASLRCEVRVDERQGKR >ONIVA06G17890.1 pep chromosome:AWHD00000000:6:16037402:16049344:-1 gene:ONIVA06G17890 transcript:ONIVA06G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGKMPEKVRSVKFIGSQSQPSEGPTTRSKRGRADGGSKQGAAKKRGRKNQQDRAVKHAALVEPPATKQSVFTRCSPKFANDACRALSPIHRQALAALGLGELAKMTLNGLEQPDLTCWLMDRTDPKLITIDISENKKIVITPWKMLLPSTSLYIKPKDAWIGSDLQKVARINWSKAVFDPVRDSLVLWHKNKTGPRQQTYMRCCVAFLVLLYIDNLKVPKDSLIVDRCQTPRIQLYTKQLVEDISQEDHVIDSSGNYVFGNLPMSGILGSCYSHPDYDKEKEPRGDNSGTPFADELVSAVEISFPSMFDTVGPHLSGLQDEHKQRVLDALGEYDRQSKLSADAIAKQIRLVQTCDARVSDNIVSIIRGESRTQPPLGPQPQPASHSQPDSQHGPVASPTSEEAQDHHIHNTPDISPTNSPPPQPCRIITPDAAFNPTPQITSTEPHPHLPGELFPTMDKTASADETQALTPQPDADFQSGCDVGIPLQGIIDITMTFEGTYTTQSHTADGIEGHHDLPDVDNEHGIETVISMIGNTAFNVTTEGTNTAKSPSAYQIDGHHHHPDADPYAPAVEPELPEFGVPNTILALTAYVQDETAEHNTQGDSSHNDDDNLSISLPPDELLTDSQLAAKIDQICILEGASHDSTEVNKEADYTARQHASPVKYCVKSASPMKHCVKRAARYVPPSSQSVPKDDNVAVQLLDLILSDPTQFGSPPLVEVDCYSANATDIAASFKVGSMTEGIFIDAFASLLFKDEMRDNPETFGKKIFIPTSVTGLLNIENVTRVGSKDNFSPRALAEHLSDCLKGVDLSKAEQLPIINNEHCTLYIVYLNQGSFDILDSNDYDQIGGKQSQHHYPLA >ONIVA06G17880.1 pep chromosome:AWHD00000000:6:16036967:16037362:-1 gene:ONIVA06G17880 transcript:ONIVA06G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVFKKFGNYHREFVKCPKMVPCSNDCAVYVHEWYQGNPDKLADDFQPPDSRVLRAQILHQLIFHRFNLAPCIHPAIEGLRPLDDGEGSSH >ONIVA06G17870.1 pep chromosome:AWHD00000000:6:16035956:16036381:1 gene:ONIVA06G17870 transcript:ONIVA06G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGADFGKELSKLPDGLPASPSIHGDSSGSHSPERLTPSPMMREVDLPPEFRVRGLPMPDWPPPPTESDEERFQEDLEQYYNDGYVSTPCPSPASDLCDSEENLEDEVRKMIIGGMGMCCLRLINKGYSVAAEQAQEGLG >ONIVA06G17860.1 pep chromosome:AWHD00000000:6:16029255:16031621:-1 gene:ONIVA06G17860 transcript:ONIVA06G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVTEGGGGWRVRWAGVGVGIWNDEASSTTTGACLSSAHGLHRLPFRRRRSAPRWRAIRRSQRPSPSSAAAVPPSSAGGLQIATIAHARCPHPPPVEDAEELLVRAKEEEGRRRLRSFTSDTTDNPELVCVIEVYITHERNSGQSENPDATSMQMRIILTTMYIETFIVQSIGTNPCSWCRSLLTAKLNFSLDLNDIRVISEDIGSLRINETNPTIIHLLRE >ONIVA06G17860.2 pep chromosome:AWHD00000000:6:16030046:16031621:-1 gene:ONIVA06G17860 transcript:ONIVA06G17860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVTEGGGGWRVRWAGVGVGIWNDEASSTTTGACLSSAHGLHRLPFRRRRSAPRWRAIRRSQRPSPSSAAAVPPSSAGGLQIATIAHARCPHPPPVEDAEELLVRAKEEEGRRRLRSFTSDTTDNPELVCVIEVYITHERNSGQSENPDATSMQMRIILTTMYIETFIVQSIGTNPCSWCRSLLTAKLNFSLDLNDIRVISEDIGSLVHLTWTL >ONIVA06G17860.3 pep chromosome:AWHD00000000:6:16029646:16031621:-1 gene:ONIVA06G17860 transcript:ONIVA06G17860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVTEGGGGWRVRWAGVGVGIWNDEASSTTTGACLSSAHGLHRLPFRRRRSAPRWRAIRRSQRPSPSSAAAVPPSSAGGLQIATIAHARCPHPPPVEDAEELLVRAKEEEGRRRLRSFTSDTTDNPELVCVIEVYITHERNSGQSENPDATSMQMRIILTTMYIETFIVQSIGTNPCSWCRWLWDTSLDVHG >ONIVA06G17860.4 pep chromosome:AWHD00000000:6:16030255:16031621:-1 gene:ONIVA06G17860 transcript:ONIVA06G17860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVTEGGGGWRVRWAGVGVGIWNDEASSTTTGACLSSAHGLHRLPFRRRRSAPRWRAIRRSQRPSPSSAAAVPPSSAGGLQIATIAHARCPHPPPVEDAEELLVRAKEEEGRRRLRSFTSDTTDNPELVCVIEVYITHERNSGQSENPDATSMQMRIILTTMYIETFIVQSIGTNPCSWCRCFATGHTINFFLPKYAFFFHIQRIEIVVLIAHVHMAYPSLVPQVRIKDTKGVFIHE >ONIVA06G17850.1 pep chromosome:AWHD00000000:6:16008526:16013543:-1 gene:ONIVA06G17850 transcript:ONIVA06G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSKTPAPEPSTTKMPSAPPAVKGVAKTLAQHHKAVIGFLLGFFLVLLLYTFLSGQLVSSEDAIVRAVTQQSTPAVHTDQDGRTTSPTSPTSTSSNTTQDNLEGKNTERSSQPAVNDEASDKMEEDLIRQDIDQAGTKNGTNHKPGAPRKPICDLLDPRYDICEISGDARTMGTNRTILYVPPVGERGLADDSHEWSIRDQSRKYLEYINKVTVRSLDAQAAPGCTSRHAVPAVVFAMNGLTSNPWHDFSDVLIPLFITTRVYEGEVQFLVSDLQPWFVDKYRLILTNLSRYDIVDFNQDSGVRCYPKITVGLRSHRDLGIDPARTPRNYTMLDFRLYIREVYSLPPAGVDIPFKESSMQRRPRAMLINRGRTRKFVNFQEIAAAVVAAGFEVVPVEPRRDLSIEEFSRVVDSCDVLMGAHGAGLTNFFFLRTNAVMLQVVPWGHMEHPSMVFYGGPAREMRLRDVEYSIAAEESTLYDKYGKDHPAIRDPESIHKQGWQFGMKYYWIEQDIKLNVTRFAPTLQQVLQMLRG >ONIVA06G17840.1 pep chromosome:AWHD00000000:6:15974776:15984283:-1 gene:ONIVA06G17840 transcript:ONIVA06G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVELVDLTTEGDGVEDQNIPGKDDAVLCTTLHSPNFIAAHGQGETAHRIVTLCKQGFIAVVDDAEEAMQSGNRELSAANDGKGEAMQSADQGIVVAGDCTEDVMMSGNQDFASAVADAEETMQSGTQEFVAEGDHSRDAMQFGNAGQASTCSSMSEQGAITYSSMTEQIATASSSMTGQWSREAAAFLCSRPMSIALPFPRQFWKAGEYSVAAQPTINSDQNHLRIHPKFLHSNATSHKWAFGAIAELLDNAVDEVNNGATFVKIDKIKCSLIDEYSLVIQDDGGGMSPESLRHCMSFGFSKKSGNSSIGQYGNGFKTSTMRLGADVIVFSCTQDNRRLTRSIGLLSYTFLTKTGCNDILVPVVDYEFDESSHTLKKIMDRGEKHFSSNLSTLLKWSPFTTEDDLLNQFGDMGCHGTKLIVFNLWFNDAWEMELDFASDEEDIMISGAPAMPDGKKTVGRLNHMHVANRFRYSLRLPKHFKVILCGQVVEPHHIVNDLIYCECIKYRPQVGINIEVDVITTIGYLRGAPKLDIHGFNVYHKNRLILPFWCAHPDKSHSKGIAGVLEANFIRPTHDKQDFEKTGLFHRLETRKHHAHLVGYARVTKALPPAHYASTVARDDSLAAQASTVAYDDNSRARESVLFDMSSNGESSKRRNSCSVIHWRAQKRQHINDYANQPPDVNAVQMQDERIRHLICQKKVLKDECSKLEASEQQLLCKADRLRNELLEWHEMYKKLTDEVKFYDAYALQRCNHSSFPRYQGSDAGCLTRP >ONIVA06G17830.1 pep chromosome:AWHD00000000:6:15970608:15971701:-1 gene:ONIVA06G17830 transcript:ONIVA06G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPGDEGDQNVGSKHGWQLKSVPMDQEDEVVLDYTTDPLITDQGSKNQSSRALHGLVMPLRISMGHHRAQDVEGAVKEGKL >ONIVA06G17820.1 pep chromosome:AWHD00000000:6:15967026:15970128:-1 gene:ONIVA06G17820 transcript:ONIVA06G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFFSLCSSTHYYHLQLQNGSVQYELIRPIKYRPRSIIRCCSTTRGKAREDYYQVLGVTVNSTPQEIKEAYRKLQKRHHPDIAGYKGHDYTLLLNEAYKVLMRNSPRNACASGRGFGRGFTGNGYSCWNGPVRSHALFVDENKCIGCRECVHHAGETFAMDDVLGSAHVEVQFGDQEQKIQVAVESCPVNCIHWVMSEELAVLEFLARPQQKEAHGVFGGGWERPRDVFAAANFTKRLQREEQQDMARQQRYNNGDACEEGETERQAEARRRAGQELRWKRLRDVWNGLRDWTKPGVDGP >ONIVA06G17810.1 pep chromosome:AWHD00000000:6:15944625:15948353:-1 gene:ONIVA06G17810 transcript:ONIVA06G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFHFVPLLLLLTLLLLISSASSTAALPSMRSSSEDTASNATAAARLRPGKELLKYKRIRALLKKLNKPSLKTIQSPDGDLIDCVPSHLQPAFDHPKLKGQKLLDPPERPKNYNLTIAVSSSSSSRVGEVVVQAWHAAGEECPEGTVAIRRTTEKDLLRASSLRRYGRKPARWNIRRDSTSNGHEHAVGYVNNDNYYGAKASVNVWSPRIGDPSEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAIGAAITPESVYNGRQFDITLMLWKDPKHGHWWLELGPGMVVGYWPSYLFTHLAHHGNMVQFGGEVVNTRPSGSHTATQMGSGHFPGEGFDRAAYFRNLQVVDWDNSLIPAANLKLLADHPACYDIQGGSNSYWGSYFYYGGPGRNVKCP >ONIVA06G17800.1 pep chromosome:AWHD00000000:6:15931631:15932536:-1 gene:ONIVA06G17800 transcript:ONIVA06G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNHSTASALPLAPTTATSNSGGNNIVTKVMNPSLLQFKLGACITGRMHIKGPPTQYYRSSTNRADEARKSVIYFNYRETAQFFSSDCSQCLKHQTSMWLSTSAIHLVLLQLRGLASN >ONIVA06G17790.1 pep chromosome:AWHD00000000:6:15875175:15876467:-1 gene:ONIVA06G17790 transcript:ONIVA06G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGPAHPRSHPVVPRSTTATRIPEASKTSGKGKDVLVTSDPSSRMKNPTGLGGSPGTPQATTRTATTASRAAKAEDATAKVASPGPTRTPKIGVRKTRRSTRVSTQDNPDAAADSARPSGGDAAGEEVGGGNGSTGAAANSIRPSKGDVAGAEVGAGDGSMSAVADSAQLSGGNAAGAEAGAGDGVSGRGDSAATGSSPPPHPAHRLSPPPSPQTPPNRRPGKEPTGGEGEATGDEEDAEEIPRCPHALPWTNYVSPLQTFWFQGGREKEALKEGFDDAADKAYATVDVKTRRLGRSA >ONIVA06G17780.1 pep chromosome:AWHD00000000:6:15872588:15873503:-1 gene:ONIVA06G17780 transcript:ONIVA06G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSWPVMKHDESRSEDVDLVGGEVQISKAELSSRSETNRLDKSNDTRAELLKLAGVIGNEVEGAEGEKGGGGESDSRKGMGHSAYPMHLYTIR >ONIVA06G17770.1 pep chromosome:AWHD00000000:6:15861261:15881686:1 gene:ONIVA06G17770 transcript:ONIVA06G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIGPRFPQTRLPARPHPYLHADANASAALLSLSLARYSLHPLSHSPDAAASLLLSLSLTTCALAPPRALISVTPFASTTIAVAAAAAARRGLPSVRPVAPSHPDERKEGWEENGIMFRMMRCSDHFTLFFSEYEMCGRARCTLSPSQAARAFGFPTTTLVAGGGTGGGCGGGDAPAVPLLRMDRYRPSYNVSPGTYLPVGTVWARPAGYDGGGDMDGEVSVIQCMKWGLVPSFTGKKEKPDHFRMFNARSESIKEKASFRRLIPNNRCLVAVEGFYEWKKDGPKKMPYYIHFQDQRPLVFAALFDTWTNSEAISFASGTEFRFGDLDFTANKVDILRPRLIVWYPVTAAIGKISFDGPECIKQVQMRPSEKPISTFFMKKPVKSEKKDQDHAETKAFRAANKEWHESAENQLDKTYQHQVEEEQDASIFNDQPITLEHDVEKAKTMKNDDLIFTDEATQKQDALRLKRKNEDDEVHADKVMEKNGRSPVHVKKKVKGPKPASVGQASLLSYFAKK >ONIVA06G17770.2 pep chromosome:AWHD00000000:6:15861261:15881686:1 gene:ONIVA06G17770 transcript:ONIVA06G17770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIGPRFPQTRLPARPHPYLHADANASAALLSLSLARYSLHPLSHSPDAAASLLLSLSLTTCALAPPRALISVTPFASTTIAVAAAAAARRGLPSVRPVAPSHPDERKEGWEENGIMFRMMRCSDHFTLFFSEYEMCGRARCTLSPSQAARAFGFPTTTLVAGGGTGGGCGGGDAPAVPLLRMDRYRPSYNVSPGTYLPVGTVWARPAGYDGGGDMDGEVSVIQCMKWGLVPSFTGKKEKPDHFRMFNARSESIKEKASFRRLIPNNRCLVAVEGFYEWKKDGPKKMPYYIHFQDQRPLVFAALFDTWTNSEVPYEGADLVWYPVTAAIGKISFDGPECIKQVQMRPSEKPISTFFMKKPVKSEKKDQDHAETKAFRAANKEWHESAENQLDKTYQHQVEEEQDASIFNDQPITLEHDVEKAKTMKNDDLIFTDEATQKQDALRLKRKNEDDEVHADKVMEKNGRSPVHVKKKVKGPKPASVGQASLLSYFAKK >ONIVA06G17770.3 pep chromosome:AWHD00000000:6:15861261:15881686:1 gene:ONIVA06G17770 transcript:ONIVA06G17770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIGPRFPQTRLPARPHPYLHADANASAALLSLSLARYSLHPLSHSPDAAASLLLSLSLTTCALAPPRALISVTPFASTTIAVAAAAAARRGLPSVRPVAPSHPDERKEGWEENGIMFRMMRCSDHFTLFFSEYEMCGRARCTLSPSQAARAFGFPTTTLVAGGGTGGGCGGGDAPAVPLLRMDRYRPSYNVSPGTYLPVGTVWARPAGYDGGGDMDGEVSVIQCMKWGLVPSFTGKKEKPDHFRMFNARSESIKEKASFRRLIPNNRCLVAVEGFYEWKKDGPKKMPYYIHFQDQRPLVFAALFDTWTNSEGLDIRVWYPVTAAIGKISFDGPECIKQVQMRPSEKPISTFFMKKPVKSEKKDQDHAETKAFRAANKEWHESAENQLDKTYQHQVEEEQDASIFNDQPITLEHDVEKAKTMKNDDLIFTDEATQKQDALRLKRKNEDDEVHADKVMEKNGRSPVHVKKKVKGPKPASVGQASLLSYFAKK >ONIVA06G17770.4 pep chromosome:AWHD00000000:6:15861261:15881686:1 gene:ONIVA06G17770 transcript:ONIVA06G17770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIGPRFPQTRLPARPHPYLHADANASAALLSLSLARYSLHPLSHSPDAAASLLLSLSLTTCALAPPRALISVTPFASTTIAVAAAAAARRGLPSVRPVAPSHPDERKEGWEENGIMFRMMRCSDHFTLFFSEYEMCGRARCTLSPSQAARAFGFPTTTLVAGGGTGGGCGGGDAPAVPLLRMDRYRPSYNVSPGTYLPVGTVWARPAGYDGGGDMDGEVSVIQCMKWGLVPSFTGKKEKPDHFRMLSFYEWKKDGPKKMPYYIHFQDQRPLVFAALFDTWTNSEVPYEGADLVWYPVTAAIGKISFDGPECIKQVQMRPSEKPISTFFMKKPVKSEKKDQDHAETKAFRAANKEWHESAENQLDKTYQHQVEEEQDASIFNDQPITLEHDVEKAKTMKNDDLIFTDEATQKQDALRLKRKNEDDEVHADKVMEKNGRSPVHVKKKVKGPKPASVGQASLLSYFAKK >ONIVA06G17770.5 pep chromosome:AWHD00000000:6:15861261:15870388:1 gene:ONIVA06G17770 transcript:ONIVA06G17770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIGPRFPQTRLPARPHPYLHADANASAALLSLSLARYSLHPLSHSPDAAASLLLSLSLTTCALAPPRALISVTPFASTTIAVAAAAAARRGLPSVRPVAPSHPDERKEGWEENGIMFRMMRCSDHFTLFFSEYEMCGRARCTLSPSQAARAFGFPTTTLVAGGGTGGGCGGGDAPAVPLLRMDRYRPSYNVSPGTYLPVGTVWARPAGYDGGGDMDGEVSVIQCMKWGLVPSFTGKKEKPDHFRMFNARSESIKEKASFRRLIPNNRCLVAVEGFYEWKKDGPKKMPYYIHFQDQRPLVFAALFDTWTNSEGKEKAS >ONIVA06G17770.6 pep chromosome:AWHD00000000:6:15861261:15870388:1 gene:ONIVA06G17770 transcript:ONIVA06G17770.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIGPRFPQTRLPARPHPYLHADANASAALLSLSLARYSLHPLSHSPDAAASLLLSLSLTTCALAPPRALISVTPFASTTIAVAAAAAARRGLPSVRPVAPSHPDERKEGWEENGIMFRMMRCSDHFTLFFSEYEMCGRARCTLSPSQAARAFGFPTTTLVAGGGTGGGCGGGDAPAVPLLRMDRYRPSYNVSPGTYLPVGTVWARPAGYDGGGDMDGEVSVIQCMKWGLVPSFTGKKEKPDHFRMLSFYEWKKDGPKKMPYYIHFQDQRPLVFAALFDTWTNSEGKEKAS >ONIVA06G17770.7 pep chromosome:AWHD00000000:6:15872076:15881686:1 gene:ONIVA06G17770 transcript:ONIVA06G17770.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRKGKDKQENGEKKTLIRRGGPISFASGTEFRFGDLDFTANKVDILRPRLIVWYPVTAAIGKISFDGPECIKQVQMRPSEKPISTFFMKKPVKSEKKDQDHAETKAFRAANKEWHESAENQLDKTYQHQVEEEQDASIFNDQPITLEHDVEKAKTMKNDDLIFTDEATQKQDALRLKRKNEDDEVHADKVMEKNGRSPVHVKKKVKGPKPASVGQASLLSYFAKK >ONIVA06G17760.1 pep chromosome:AWHD00000000:6:15831199:15831536:-1 gene:ONIVA06G17760 transcript:ONIVA06G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVRDAKKDDMNEGNQWPHGLSGTDMGARVPAIPRDFLPWRGDGTGQLGVSGALLPIQKE >ONIVA06G17750.1 pep chromosome:AWHD00000000:6:15820306:15821951:-1 gene:ONIVA06G17750 transcript:ONIVA06G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLTPFNVTTGMRASGLQDQVALVSIGGWSPNQGVPQPAIIVNPSYRRGLCPRRPRRPYWAASMGLSNCTLSTELEPMELSTTEQGNRQVELRGKSMGTAIDSEPTAIDLFKELHCSKTKGFSEPVKKAIEDMHAREILTSPSVEDGQQTKTSIEDVSKVLRKSNTFLRNLAAKTTNVMKEIQVELDAKKLESAVLQEELERLKAQAQENYRHCINDDVLWLSQKLVSDSPSVMTTISSLRVVSDRKQAVIFIM >ONIVA06G17740.1 pep chromosome:AWHD00000000:6:15806728:15807569:-1 gene:ONIVA06G17740 transcript:ONIVA06G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPHLLSFIPFSFLLLFLISPLVPLSAPLHRAAQGEDRRGRGALRRQPAFRRRTRRDRCRRGNDSQCGDGECDEAEGHARRRCSTRRGGTTEEDEDGGCRGGGGKAPRWRKAGPMRPAAVGVGHAATPARGRMKMTVSVGAEAEVGGGCGGGARAAGLAARGGDARAGWLGRTRRRPARRRWGDGGKREGSSKEWIRRHCVGTVAKRRAWAAWTRRTPNVWNHDYRRWRAGMRGFALGVAVLARRMSTSAQDGDSGGAAA >ONIVA06G17730.1 pep chromosome:AWHD00000000:6:15797228:15806404:-1 gene:ONIVA06G17730 transcript:ONIVA06G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGCGLTGRKWAGREREVGAGPRKGREGKRGLMAQKDRKAWAACTQRTPNVWTHDYGKWRARMRGFALGVAVLARRMSTSAQDGDSGGAAA >ONIVA06G17720.1 pep chromosome:AWHD00000000:6:15792837:15796070:1 gene:ONIVA06G17720 transcript:ONIVA06G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAYTRPSKPPGPAGERRPPRLAKELGRIEPKKLGIGLVAGCCLALLAYISFARLFAIYSPVFESTSLVMKNALPASTQQNPVLAQQQSKAEDEKDVGEDETDRKVPSFAETTEKNEEEETVTKPSGDEAEATISCDENGVDEGFPYARPPVCELTGDIRISPKEKTMFFVNPSSAGAFDGNGEKKIRPYARKDDFLLPGVVEVIIKSVSSPAIAPACTRTHNVPAVVFSVAGYTDNFFHDNTDVMIPLFLTTSHLAGEVQFLITNFKPWWVHKFTPLLKKLSNYGVINFDKDDEVHCFRRGHLGLYRDRDLIISPHPTRNPRNYSMVDYNRFLRRAFGLPRDSPAVLGDKTGAKPKMLMIERKGTRKLLNLRDVAALCEDLGFAVTVAEAGADVRGFAEKVNAADVLLAVHGAGLTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMRLRYVEYYVSEEETTLKDKYPRDHYVFKDPMAIHAQGWPALAEIVMKQDVTVNVTRFKPFLLKALDELQE >ONIVA06G17720.2 pep chromosome:AWHD00000000:6:15793350:15796070:1 gene:ONIVA06G17720 transcript:ONIVA06G17720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAYTRPSKPPGPAGERRPPRLAKELGRIEPKKLGIGLVAGCCLALLAYISFARLFAIYSPVFESTSLVMKNALPASTQQNPVLAQQQSKAEDEKDVGEDETDRKVPSFAETTEKNEEEETVTKPSGDEAEATISCDENGVDEGFPYARPPVCELTGDIRISPKEKTMFFVNPSSAGAFDGNGEKKIRPYARKDDFLLPGVVEVIIKSVSSPAIAPACTRTHNVPAVVFSVAGYTDNFFHDNTDVMIPLFLTTSHLAGEVQFLITNFKPWWVHKFTPLLKKLSNYGVINFDKDDEVHCFRRGHLGLYRDRDLIISPHPTRNPRNYSMVDYNRFLRRAFGLPRDSPAVLGDKTGAKPKMLMIERKGTRKLLNLRDVAALCEDLGFAVTVAEAGADVRGFAEKVNAADVLLAVHGAGLTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMRLRYVEYYVSEEETTLKDKYPRDHYVFKDPMAIHAQGWPALAEIVMKQDVTVNVTRFKPFLLKALDELQE >ONIVA06G17710.1 pep chromosome:AWHD00000000:6:15779033:15780965:1 gene:ONIVA06G17710 transcript:ONIVA06G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNSYHLATWADSAKTQNPTGPGSSHENMWLHVRLKPMTYEVKSLIDQGNLPNYSSTQLNTTARSCSDEQRRRQEEAEANLVAQHRAPGRRGDDPSMTSGGRRSGGRERKTARKKKRLGLRALPAAAEDGDDDSAAPGGGTEQQRRRLLTVEMAAALKKGGKGAVADEEGVTRVRAHGASFGKAAAPNLAARLAGFGGAAGAGSVGGGLGRRHREEEKAGPGRHVGLGLGFPRPAAQEGERELEVEEGGGKRKKRKKNKG >ONIVA06G17700.1 pep chromosome:AWHD00000000:6:15743366:15744062:1 gene:ONIVA06G17700 transcript:ONIVA06G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQVQNDCAWWFARVPLIYFWVVEFHYPDRVMRQFGRKQMIPPLHPHGEAELRRLWKACDWKKFHAKYVEQYDGADAIIVQEHHPFDPTSLTDYSRWFQENSMYTVFSDSQYLGDLENSIPFPQDNIEWTGYMPSGPPLARIGLRDIKNAAWDIKCCVTNGCKKTGKSILKTCQGNLRDQLGA >ONIVA06G17690.1 pep chromosome:AWHD00000000:6:15739120:15740271:-1 gene:ONIVA06G17690 transcript:ONIVA06G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEEPNITTPDAGHPSPPSRLLSKHRPRRGAAAPRASLHPPAPPHSQPNLNLCHCCGVRFPPAPPGAKRRPVRPLRSLWRVVLLCTECLSLVRSAAVCSYCLSLDNLPPEDSSVTCRCCNRCVHPYCIAGEHRAALIQPIDVENFICVDCCPTVKPGGKNGGASSVHMLQAVAREPRKGDIVAESKENAVRKAMEMKLAFKRAKEALVSAAGGRGSQRTVGGKPDLPDEELALQLHLAMNGSQRFSRAGNTSGGDSAEQCKGHKSVIGGKNFYGDQELCVTNMMDQLDDDEAGVEPLCRIRRPSRRRLDPSVTIVLALEYVDGKHVKESMKGKRKGHLVTKKQNDLVDRYKRKYSKRNSKKQTKNENPEFKDISGGKDKDDE >ONIVA06G17680.1 pep chromosome:AWHD00000000:6:15684603:15685007:-1 gene:ONIVA06G17680 transcript:ONIVA06G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIAATHTKLTAPQAEKYPSPLPTPLALASTSPKLRPLPHTTPPTPTRRPLSFRLLILLLPKSSTQIRSYCRRRLPRCCSKRPHKTPGRGGGRGSDGLRRRHAVHEEEKKGKARLRCRDPEQEPMGKVRLTRA >ONIVA06G17670.1 pep chromosome:AWHD00000000:6:15676350:15684515:-1 gene:ONIVA06G17670 transcript:ONIVA06G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQW0] MQTSNFRKKNAAEVDFFMGYGDVNRYEVLEVIGKGSYGLVCSANDIHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSKMDFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDHPYFNGLAKVEREPSCQPIPKMEFEFERRRATKEDIKELIFQEILEYHPQLLKEHISGTERPNFHHLSVVDQFRKQFTQVEENLNGSGAAVSLQRKHSSLPRSTIVHSAAIPAKDYKHVASSSTKLAVDGSWNAQIQGVHANIAGEPSTIVRPAVSSERSLAPTLQWQPNMTHFLNHALCYQNTVFSGSLLDATGPAQAIPRTTPYVDSRSGNLDLYQHHVSREDVQSDTATAQAHAASHGPVPAVSYSLPGTYRIT >ONIVA06G17670.2 pep chromosome:AWHD00000000:6:15676350:15684515:-1 gene:ONIVA06G17670 transcript:ONIVA06G17670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQW0] MQTSNFRKKNAAEVDFFMGYGDVNRYEVLEVIGKGSYGLVCSANDIHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSKMDFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDRPSAQEACHTNDCFIGPTYLRRKSIFYPSAIALALADPYFNGLAKVEREPSCQPIPKMEFEFERRRATKEDIKELIFQEILEYHPQLLKEHISGTERPNFHHLSVVDQFRKQFTQVEENLNGSGAAVSLQRKHSSLPRSTIVHSAAIPAKDYKHVASSSTKLAVDGSWNAQIQGVHANIAGEPSTIVRPAVSSERSLAPTLQWQPNMTHFLNHALCYQNTVFSGSLLDATGPAQAIPRTTPYVDSRSGNLDLYQHHVSREDVQSDTATAQAHAASHGPVPAVSYSLPGTYRIT >ONIVA06G17670.3 pep chromosome:AWHD00000000:6:15676350:15684515:-1 gene:ONIVA06G17670 transcript:ONIVA06G17670.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQW0] MQTSNFRKKNAAEVDFFMGYGDVNRYEVLEVIGKGSYGLVCSANDIHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSKMDFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDRPSAQEACHTNDCFIGPTYLRRKSIFYPSAIALALADPYFNGLAKVEREPSCQPIPKMEFEFERRRATKEDIKELIFQEILEYHPQLLKEHISGTERPNFHHLSVVDQFRKQFTQVEENLNGSEPSTIVRPAVSSERSLAPTLQWQPNMTHFLNHALCYQNTVFSGSLLDATGPAQAIPRTTPYVDSRSGNLDLYQHHVSREDVQSDTATAQAHAASHGPVPAVSYSLPGTYRIT >ONIVA06G17670.4 pep chromosome:AWHD00000000:6:15676350:15684515:-1 gene:ONIVA06G17670 transcript:ONIVA06G17670.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQW0] MQTSNFRKKNAAEVDFFMGYGDVNRYEVLEVIGKGSYGLVCSANDIHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSKMDFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFTDAPTTVFWTDYVATRWYRAPELCGSFYSKVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDHPYFNGLAKVEREPSCQPIPKMEFEFERRRATKEDIKELIFQEILEYHPQLLKEHISGTERPNFHHLSVVDQFRKQFTQVEENLNGSEPSTIVRPAVSSERSLAPTLQWQPNMTHFLNHALCYQNTVFSGSLLDATGPAQAIPRTTPYVDSRSGNLDLYQHHVSREDVQSDTATAQAHAASHGPVPAVSYSLPGTYRIT >ONIVA06G17660.1 pep chromosome:AWHD00000000:6:15659436:15671035:1 gene:ONIVA06G17660 transcript:ONIVA06G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNGSKSRNSKNKMKMKVPDSVENLRRSPRFANKGVKLKMPVDVAPKKTKVAPLAILPAVERSKKGKIPLAPTITVEELQQIGTKKCGLLPEEVTKEKLMALANDDYQPPISTLGFAKPPYPDFPQTVKKDWPKNAQSVASALKACRKGFWESDGRNGMMTCFREQLERMARAEDAATANTREDPGPSEIATDCEGEGNEGRDHPEGFSQKLCVRPPFGNWRNKNKKFVAVKGLPKIKCSFRPIYREMLINDNSYKVIKVAGWMRAHPSRTLEDYDRYQVARREDSMMNPEFHSTLQAVVGPIFR >ONIVA06G17650.1 pep chromosome:AWHD00000000:6:15641554:15641801:-1 gene:ONIVA06G17650 transcript:ONIVA06G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPEDSDTAKMLRFTEARFARYRKDINHKLRTFELEDELRKLKGEPSSDKDDYEDVKPTQVVKRLCTGVIFLKEG >ONIVA06G17640.1 pep chromosome:AWHD00000000:6:15618983:15621016:-1 gene:ONIVA06G17640 transcript:ONIVA06G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCEGPSLMLGRRTHHRLHCATIVETQFGLRINVSRLSLGYQFSSGILSISTLISKELSYQTHSESTDVRRDGFGRFTHWIASQMYPLVFILDFGRSSIANFCLLTIKLFAAIAPHYTGIYKKLSGEEHFGDDSVGT >ONIVA06G17630.1 pep chromosome:AWHD00000000:6:15591560:15624983:1 gene:ONIVA06G17630 transcript:ONIVA06G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha amylase family protein [Source:Projected from Arabidopsis thaliana (AT3G20440) TAIR;Acc:AT3G20440] MDSCALFLSTPRPPPPLVPAHRRRPLASRSGLRRREGCPCSCASSSSSSGRAGSQDRPPRPWQQKQRTQRPGRGEAIDPVGFLAKHGISDRAFAQFLRDRYKALKDRRWELHSRLIDLKEASSGFELMGMHRHRQHRVDFMEWAPGARYCSVVGDFNQWSTTENCAREGHLGHDDFGYWTIILEDKLREGQEPDEYYFQEYNYADDYDKGDNGVDVEELIHRMNEEYWEPGEIKSQKSRLEVVAKLYEQMFGPNGPQTEEELGDIPDAETRYNEWKALQKDDSASLLPCYDIIDNGQEFDIFNVATDRVSFEKFQGKSPPLAYWVEMRKGRKAWLEKYVPAISHKDKYRVYFNTPDGGLERIPAWATYVLPDAEGKQSYAVHWDPPPEEIYKWRFERPKVKGSLRIYECHVGISGSEQKISSFQEFTSNVLPHIKDAGYNAIQLIGIVEHKDYSSVGYKVTNYFSVSSRFGSPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSVFFLSPVIFPLLSSLPYSRQTTLGVARAKEATAMAKGAVTTSSRIWAYSSTSSPPPAPSSFRRPKRMEFARSSSVETPMPQEQRRRAMIPGPINRHPSCSTPSPSTVIVDAVELLPEGARACQPAWYYNQYVDEDALIYLIIANEMLHELHPDIITIAEDATFYPGLCEPTTQGGLGFDYWVNLSIPEMWLWHLENVPEQEWSMNKIIKLITFTTSGGAYLNFIGNEFAHPKRIEFPMSSNDYSFCLANRQWKLLDKGVHKHIFNFDKDIMNLDGKERLISGGSPIVHHCDDTSMIISFTRGPFLFVFNFNPDASYQLYSVGVDEAGEYQGKRTPP >ONIVA06G17630.2 pep chromosome:AWHD00000000:6:15591560:15624983:1 gene:ONIVA06G17630 transcript:ONIVA06G17630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha amylase family protein [Source:Projected from Arabidopsis thaliana (AT3G20440) TAIR;Acc:AT3G20440] MDSCALFLSTPRPPPPLVPAHRRRPLASRSGLRRREGCPCSCASSSSSSGRAGSQDRPPRPWQQKQRTQRPGRGEAIDPVGFLAKHGISDRAFAQFLRDRYKALKDRRWELHSRLIDLKEASSGFELMGMHRHRQHRVDFMEWAPGARYCSVVGDFNQWSTTENCAREGHLGHDDFGYWTIILEDKLREGQEPDEYYFQEYNYADDYDKGDNGVDVEELIHRMNEEYWEPGEIKSQKSRLEVVAKLYEQMFGPNGPQTEEELGDIPDAETRYNEWKALQKDDSASLLPCYDIIDNGQEFDIFNVATDRVSFEKFQGKSPPLAYWVEMRKGRKAWLEKYVPAISHKDKYRVYFNTPDGGLERIPAWATYVLPDAEGKQSYAVHWDPPPEEIYKWRFERPKVKGSLRIYECHVGISGSEQKISSFQEFTSNVLPHIKDAGYNAIQLIGIVEHKDYSSVGYKVTNYFSVSSRFGSPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMYYNQYVDEDALIYLIIANEMLHELHPDIITIAEDATFYPGLCEPTTQGGLGFDYWVNLSIPEMWLWHLENVPEQEWSMNKSISGRKSFAEIILYEGKCSNSSVDNDLIFRASSLLNIIKLITFTTSGGAYLNFIGNEFAHPKRIEFPMSSNDYSFCLANRQWKLLDKGVHKHIFNFDKDIMNLDGKERLISGGSPIVHHCDDTSMIISFTRGPFLFVFNFNPDASYQLYSVGVDEAGEYQGKRTPP >ONIVA06G17620.1 pep chromosome:AWHD00000000:6:15582542:15583199:-1 gene:ONIVA06G17620 transcript:ONIVA06G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSVLCLSYCTHVQREIEIKPFTAAQWALAVPLIERVLHKVQSVCGSRAHATTPGKRRRTLGGFGPRVTNAARLRLDFASLDIRVFFADPPRTWGLCLPCCPGALRRPTNGFGGFASLAAQAFYTDLTTDSGILPPSMSGCSLPTRHGLGGFASLVVRALCTDTATGSGASPPPSSGCSTPTSPRTRGLRLPHRLGALR >ONIVA06G17610.1 pep chromosome:AWHD00000000:6:15561276:15562195:-1 gene:ONIVA06G17610 transcript:ONIVA06G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELGIIYRSFFHDYCAAKLTRDDELAVMRISHGSHNGLVPLNFGETRLLQSGQGFDGSMCQVSRFNYFLSYHSNLVKLLC >ONIVA06G17600.1 pep chromosome:AWHD00000000:6:15550455:15551261:-1 gene:ONIVA06G17600 transcript:ONIVA06G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGMSHRGCMREESNRNSPDLGVASVVRNGGRSGVGGSGGQRRGRREERGTHRGCTTTTAKSPEPGKMAELWAFCAWGVFSWTWNASVGSVLLLPWSGGLRERERWLVHSLCSLGIHWAWGTVDAARGEWEAVMWVPGGVGPTVSERHSKIALEAKSKRVACQRSLEGIHGRFCAASVNDQIW >ONIVA06G17590.1 pep chromosome:AWHD00000000:6:15450650:15452768:-1 gene:ONIVA06G17590 transcript:ONIVA06G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDYLVNDSGVAVKIVWFSPSLVLPLLLLGLTIEYFSSLLRHQLLLQKLRVGLSDVSFQHLLAAMIYTVTHLLACRLDKGLLPPQQSWFGVIISSYIYYQ >ONIVA06G17590.2 pep chromosome:AWHD00000000:6:15450650:15452768:-1 gene:ONIVA06G17590 transcript:ONIVA06G17590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILDYLVNDSGVAVKIVWFSPSLVLPLLLLGLTIEYFSSLLRHQLLLQKLRHLLAAMIYTVTHLLACRLDKGLLPPQQSWFGVIISSYIYYQ >ONIVA06G17590.3 pep chromosome:AWHD00000000:6:15450650:15452768:-1 gene:ONIVA06G17590 transcript:ONIVA06G17590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILDYLVNDSGVAVKIVWFSPSLVLPLLLLGLTIEYFSSLLRHQLLLQKLRVTHLLACRLDKGLLPPQQSWFGVIISSYIYYQ >ONIVA06G17580.1 pep chromosome:AWHD00000000:6:15440581:15443306:1 gene:ONIVA06G17580 transcript:ONIVA06G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYNIFVEGYNVQRNRIRLLPPEDTDKRGPPTIGQIYDMQLAPLAHLTILLALKDFVASPDIAGELVKEAKTLWEKLRDGISCTNQEVMAAVDYLCRKAQPKRVPPHSSTPITSQWQSGFASFAGTSQGIPTYLKCLRHLSVKEGTSFGAGVQGYMDLLQQGSYCPELMSGFRPYTASYGDISSFGGGSSSVPDELRASQTNEAPQATQPTQPQVGDLQGNDNDPRRSNHEHLEPNRLSLSDPRHAAGVRKKTKKSELQHLEL >ONIVA06G17570.1 pep chromosome:AWHD00000000:6:15406431:15406898:1 gene:ONIVA06G17570 transcript:ONIVA06G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHLGLAGGGAPKPGGRGGGGGGGGASSPTMHAAAVWRRRSRSGGRRVLGGRWPAVLGGGGGGFLAASSRWFLVAGGEEDATAVIHGRCRGQLVLPGRLVRFHGEGGLGMALLLRRCRGQATPVAAAGVNDAVFWILHFLVLSSMFSFSDFNEE >ONIVA06G17560.1 pep chromosome:AWHD00000000:6:15399494:15401341:-1 gene:ONIVA06G17560 transcript:ONIVA06G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVASRRLPLGLAKLRCFPLKLAGPAAAATAVRSQWLPSSSSHQASGDCRRLLASSMSTAAAISSPSPSHADLVLQDLCRIEHPQARGNQKVKLDVGGSSQSSVSCSLHQACITGGSLCLIFLPGAIFGSTNGASVKQKVYGNGTFLREGIYEFNLKIGKQYIYIHRILYEFNIKVIYSHILSYHNINNVGPYFWWVLHAVIIIKAMKGSRLPAAWQLLFRISLPINCYCLCGICMLFITVYGMLFMKVKPWIYFPFIIGGYKID >ONIVA06G17560.2 pep chromosome:AWHD00000000:6:15399494:15401341:-1 gene:ONIVA06G17560 transcript:ONIVA06G17560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLAAAFPSGSPSCSRCFPLKLAGPAAAATAVRSQWLPSSSSHQASGDCRRLLASSMSTAAAISSPSPSHADLVLQDLCRIEHPQARGLELFLEAQTLSYHNINNVGPYFWWVLHAVIIIKAMKGSRLPAAWQLLFRISLPINCYCLCGICMLFITVYGMLFMKVKPWIYFPFIIGGYKID >ONIVA06G17560.3 pep chromosome:AWHD00000000:6:15400024:15401341:-1 gene:ONIVA06G17560 transcript:ONIVA06G17560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLAAAFPSGSPSCSRCFPLKLAGPAAAATAVRSQWLPSSSSHQASGDCRRLLASSMSTAAAISSPSPSHADLVLQDLCRIEHPQARGLELFLEAQTLSYHNINNVGPYFWWVLHAVIIIKAMKGSRLPAAWQLLFRISLPINCYCLVLFQFFW >ONIVA06G17550.1 pep chromosome:AWHD00000000:6:15393301:15397249:-1 gene:ONIVA06G17550 transcript:ONIVA06G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIINKMLLPSTGLYIRPKDAWIGSDLQKVARINWSKAVFDALRDNVILRHKNKTGPRQQTYIHCCIAFLVLLYINNLKVPKDSLTVDRCQSPRIQLYTKQLVEDILQEDRVTDSSGNYVFGNLPMNGILGSCYSHPDYDKEKEPRGDNSGTPFADELVSTVEISFPSMFDSVGPHLSGLQDEHKQRVLDALGEYDHQSKLSADAITKQIRLVQTCHARVSDHIISIIRGESRTQPPPDPQPQPASHSQPDNQHGPVASQTSEEAQDHHTHSTPDISPTNSPALQPSRIITPDAALNATPQITSTEPHPHLPGELFPTMDKTATGDETQAHTPQPDADFQRGGDVGIPLQGIIAITMTSEGTYTTQSHTADGIEGHHDLPDADVEHGIETDISMQGNTNTTKSHSGYQIDGHHHHPDADVEHSSNIDIPTQGIIQPTAPAVELALPDFGVPNTLLALTAYVQDETAEHNTQDELLTDSQPAAKIDQICIMEGAYHDSTEVNKEADDGARQHASPVKRCVKRAARYVPPASQSVPKDDNVAVQLLDLILAKATDIAASFKAGSMTEGIFIDAFASLLFKDEMRDNPETFGKKIFIPTSVT >ONIVA06G17540.1 pep chromosome:AWHD00000000:6:15287982:15291684:1 gene:ONIVA06G17540 transcript:ONIVA06G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse II-like 1 [Source:Projected from Arabidopsis thaliana (AT4G15417) TAIR;Acc:AT4G15417] MESPPHATASADEMPSIWKEQHAQDAPPGFVPPMGPGEVAAVESLLGYEFRDKALVEQALTHGSFYYPYRPGVTYERLEYLGDAVLTCVVSREVFLTYGQLQPGPLTRLRAANVDKEKLARVAVVHGLHHFLRHKAPNLDGQITDFIEELSMYPIHSNGLLDPPKVLCDVVESLIGAIYCDSNFNQEIVWQVFQKLADPLISLETLGKHPVSELFEFCQKTRRGVKIVKDEWDKNLTVEVLIDGEMVGRATYAQKKEIAQNRAAKAALDKLKETLG >ONIVA06G17530.1 pep chromosome:AWHD00000000:6:15284191:15284815:1 gene:ONIVA06G17530 transcript:ONIVA06G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAEAAGREQRGTPSMRRQRRTSATALRWDLAGRAFLGGGGDEGKVRVLAIDGCGPGPSDVLLAVAALGADRWPRYMAADALTFVAASLGKGGWYGGGGRRRWVAERSSLRRVFGTGTLRDTVAPLLVPCYDLATAASFLFSRAGAVPLLPRQRRREDSFDFNG >ONIVA06G17520.1 pep chromosome:AWHD00000000:6:15212265:15213158:-1 gene:ONIVA06G17520 transcript:ONIVA06G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASRRAASLLAVAAIAAVFLAGPATAVGKTGQVTVFWGRNKDEGTLREACDSGLYTMVIMSFLNVYGHGKYNLDLSGHPIAGIGDDIKHCQFIGVPVSLSIGGFGNGYSLPSNRSALELFDYLWNAYFGASKAGVYRPFGDAWLDGVDLFLEHGTPADRYDVLALELAKHNIRGAPGKPLHLTATPRCTFPPSSYLGRAVATGIFERIHIRIYDDDNCEAYWHLAWDKWTAAYPATRFYVGMTASEMTHGWVHPKNVYYDVAPSTQKADNYGGFMIWDRYYDKLSNYTSMVKAYA >ONIVA06G17510.1 pep chromosome:AWHD00000000:6:15152094:15156782:-1 gene:ONIVA06G17510 transcript:ONIVA06G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIEATGLLYETDYHVRKHGESMRYAGKHLKETSGINAEDWDLLKLATAIMMLCYPNGEYKLVGNLSERFRHCRSFQSSHRICNRRSIRLEKKNMSRHHPHLPVRTVASCSGSKLSNGNRSAGTPLHRLLGSYFYQMQMEKNELLSSPYECAVLQEACVAQMQPSTECPQQALLNCFAWPSIRLLPAHVACLHQTFDSRWCSKSAMVHLYYLQSDSTELVGIWTPDITLISPCSSAKQEKSALFGDDYSKLVDDAPKYKGIFRKLSCLRAYAEMVKSRRIRSKAARRLDSLVTAAERIYDEAQQAQPGVIKQDVPAAGLHLRALVFDADIKSVW >ONIVA06G17510.2 pep chromosome:AWHD00000000:6:15152094:15156782:-1 gene:ONIVA06G17510 transcript:ONIVA06G17510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIEATGLLYETDYHVRKHGESMRYAGKHLKETSGINAEDWDLLKLATAIMMLCYPNGEYKLVGNLSELFGDDYSKLVDDAPKYKGIFRKLSCLRAYAEMVKSRRIRSKAARRLDSLVTAAERIYDEAQQAQPGVIKQDVPAAGLHLRALVFDADIKSVW >ONIVA06G17500.1 pep chromosome:AWHD00000000:6:15135119:15140339:1 gene:ONIVA06G17500 transcript:ONIVA06G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKMGKKGKSKASTTADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPPEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAVPEASKEVVAEKAIVSPDGQKDAQAAELSGSDKDKVARKVASIKIKSVGLSSVEDKNQDRKADSVSEPLPSKQETVLENVESETALELRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPVDPVALGIPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDVQENGGNDNTGDEDVKGSKGKSKQKRRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTAVADDSGTQIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEVAQHQHKEPTETSQEIEMEDYPIQKENQSFLQLCARLFPSNQSSVFRGRHSLFQQQQRQVSMKGSPLSAAITAIMKR >ONIVA06G17490.1 pep chromosome:AWHD00000000:6:15132579:15132782:1 gene:ONIVA06G17490 transcript:ONIVA06G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSAATVAATQRRELTNLAATVAAHVHVFLASGQGHINCMMHFAMGDIMELLESLGTNGSRVKGD >ONIVA06G17480.1 pep chromosome:AWHD00000000:6:15128972:15130900:1 gene:ONIVA06G17480 transcript:ONIVA06G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQT4] MFRENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLMNKMKPCSDEGHGSRDAAQERRPSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQRMEEGSKKRYVLVYEDNEGDRMLVGDVPWEYVCLL >ONIVA06G17470.1 pep chromosome:AWHD00000000:6:15116206:15119709:1 gene:ONIVA06G17470 transcript:ONIVA06G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPPPEAISKVVFGGQVTEEEFESLNKRKPCSAPLWKEMTGSGIFAAEGEVEEDESSNASAMPIRTSRRHSPPVLPLMSRRLAVSRQAGSFSDVLRHCGRSTSSSSKPA >ONIVA06G17470.2 pep chromosome:AWHD00000000:6:15116206:15119709:1 gene:ONIVA06G17470 transcript:ONIVA06G17470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPPPEAISKVVFGGQVTEEEFESLNKRKPCSAPLWKEMTGSGIFAAEGEVEEDESSNASAMPIRTSRRHSPPVLPLMSRRLAVSRQAGSFSDVLRHCGRSTSSSSKPA >ONIVA06G17460.1 pep chromosome:AWHD00000000:6:15114457:15115822:1 gene:ONIVA06G17460 transcript:ONIVA06G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCDRRGARPPCPATTTGGAWRRLVAGPDGAVVQAGSLSPTRGGGRAAPATPHVPISAASTPRWISASEPTSRARTSWPPTSSPARGDTAAGFVQGRRNRDVWRRRSSRVSSTRWARAARALACTTVLSGPAAGRLHAPLVAAAGQGGLAPPRSWRRPDEGEVKRRRTRT >ONIVA06G17450.1 pep chromosome:AWHD00000000:6:15109283:15112932:1 gene:ONIVA06G17450 transcript:ONIVA06G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSHIVAAAPSPLLPPLILQLVRQGAETSCAQDHRSKINSSLSFELGAGSVVKCVGSPWSPVGSPPLASPIRLHLRLTGFPPRLHLRDHTAAEAHPRHRCAAIDCQGQHQRCLADLLHARRPPALPTG >ONIVA06G17440.1 pep chromosome:AWHD00000000:6:15106232:15108427:1 gene:ONIVA06G17440 transcript:ONIVA06G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGPIIYLSYNSPTRFTHLSLLHSYIHHLTVQIIHVKSERSRSIPPPPPSISARPARPISLPIALLHRSPPHEVLPSPGSHRAVLCIACLHRSPLHRRGEMQLWSSSPVGEQQVNSVRVHQQVTSPELGIVESTMNAGCSAKCPVRLLTCNACVLESSSSKKILGGSGLYDFLEAVGTTRVTEPEIELAFFMHQMNHKGNNTTTLSKIELLRTS >ONIVA06G17440.2 pep chromosome:AWHD00000000:6:15106538:15108427:1 gene:ONIVA06G17440 transcript:ONIVA06G17440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLWSSSPVGEQQSTMNAGCSAKCPVRLLTCNACVLESSSSKKILGGSGLYDFLEAVGTTRVTEPEIELAFFMHQMNHKGNNTTTLSKIELLRTS >ONIVA06G17430.1 pep chromosome:AWHD00000000:6:15103234:15106214:1 gene:ONIVA06G17430 transcript:ONIVA06G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLPRYRHVASPPPPVHAGVAGLGDEQQLEQLARVLSSLGTNEMASAAPLLANSALLAAWPGSITVFAAPDRRAVSGKTGVGQW >ONIVA06G17420.1 pep chromosome:AWHD00000000:6:15101332:15101568:-1 gene:ONIVA06G17420 transcript:ONIVA06G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAPGRASIGSPVTDRRRASMGSPALDRVSSMGSRAFGRAWGIGAAGRVSGTWATGVPSIGVGPRLTLGKSFWVHV >ONIVA06G17410.1 pep chromosome:AWHD00000000:6:15096196:15100707:-1 gene:ONIVA06G17410 transcript:ONIVA06G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKMEEEDWDQDDDGSVSSIDVGAQLGNGGLILVLFETPTGFALFGYDGIKLLRPNALKDVWGEFVMEFMLQYQPVWLKDFQAFEDKASALNFDTGVSEQLAGMIRKCICPGQTLAVGKPEYVTIIESDLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIEATGLLYETDYNVRKHGESMRYACKHLKETSGINAEDWDLLKLATAIMMLCYPNGEHKLVGNLPELFGDDYLKLVDDAPKYKGIFRKFSCLRAYAEMVRSRRIRSKAARSLDSLVTAAERIYDEAQQALMHAQPGVIKQE >ONIVA06G17400.1 pep chromosome:AWHD00000000:6:15046353:15051799:1 gene:ONIVA06G17400 transcript:ONIVA06G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pheophytinase [Source:Projected from Arabidopsis thaliana (AT5G13800) TAIR;Acc:AT5G13800] MGKRDHEVAGGTVSLRGGSHLRPSPSHLAPYKRAQLPAPSPTSVLATSVAMEVVSSSHSCLAFNRTPSSAWRFPGNGLGPGHAKLTRPRSAILCVRSGTASNPADSGKVHASHGFYVSDVDAALQGIPKKVGEIEKMIIPSLPEGPESSLISTGFWEWKPKLSVYYEKSGIDNSKAPSVLFLPGFGVGTFHFEKQLKDLGRDYKVWTMDFLGQGMSLPCEDPAPKSTSGELDEDTYWGFGQELQPWAEELVYSIDLWRDQVQHFIEEVIGEPVYIVGNSLGGFVSLYLAASCPHLVKGVTLLNATPFWGFLPNPATSPRLSKIFPWAGTFPLPSFVRKLTETVWQKISDPRSIQGILKQVYADHSTNVDMVFSRIIETTQHPAAAASFASIMCAPKGQISFEEALSRCQRQGIPISLMYGREDPWVRPIWGIKVKQQVPESPYYEISPAGHCPHDEVPEVINYLLRGWLKNVESEGSVGVPFLEEPSYAENGVSRELEFVRGGSKKSVHVRLFGSKISLWSQLRSLLKSNTRVISR >ONIVA06G17400.2 pep chromosome:AWHD00000000:6:15046353:15051799:1 gene:ONIVA06G17400 transcript:ONIVA06G17400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pheophytinase [Source:Projected from Arabidopsis thaliana (AT5G13800) TAIR;Acc:AT5G13800] MGKRDHEVAGGTVSLRGGSHLRPSPSHLAPYKRAQLPAPSPTSVLATSVAMEVVSSSHSCLAFNRTPSSAWRFPGNGLGPGHAKLTRPRSAILCVRSGTASNPADSGKVHASHGFYVSDVDAALQGIPKKVGEIEKMIIPSLPEGPESSLISTGFWEWKPKLSVYYEKSGIDNSKAPSVLFLPGFGVGTFHFEKQLKDLGRDYKVWTMDFLGQGMSLPCEDPAPKSTSGELDEDTYWGFGQELQPWAEELVYSIDLWRDQVQHFIEEVIGEPVYIVGNSLGGFVSLYLAASCPHLVKGVTLLNATPFWGFLPNPATSPRLSKIFPWAGTFPLPSFVRKLTETVWQKISDPRSIQGILKQVYADHSTNVDMVFSRIIETTQHPAAAASFASIMCAPKGQISFEEALSRCQRQGIPISLMYGREDPWVRPIWGIKVKQQVPESPYYEISPAGHCPHDEVPEVINYLLRGWLKNVESEGSVGVPFLEEPSYAENGVSRELEFVRGGSKKSVHVRLFGSKISLWSQLRSLLKSNTRVISR >ONIVA06G17390.1 pep chromosome:AWHD00000000:6:15023737:15032246:-1 gene:ONIVA06G17390 transcript:ONIVA06G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQR8] MDPSYPPSATARRAAAIARHLAGLSPRDAAAVAAALEPSACLSYAPPESSEPAPAFSPLELRSLLDRHHLRERDWAFRAMEESPLFCQRRSGGKVFVSPDYNEGKEGQREATMRRVGYLARRGVFRGWLTEPGPDAELRKLALLECLGMYDHSLAIKIGVHFFLCCSTAAGVGSFIHTEGDAEAELRRIALLDCIGVYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLSDTENYVIKGCFSMTELGHGSNVRGIETVATYDIKTREFVINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQIRDEHENVMPNIQIADCGHKIGLNGVDNGRIWFNNIRVPRENLLNLVADVLPDGQYVSTIDDPDQRFAAFLSPLTLGRVNIAVNAVYISKVGVAIAVRYALSRRAFSVTPDGPEMLLLDYPSHQRRLLPLLAKACLMSSAGNFMKRMYVKRTPELNKSIHIYSSALKATLTWQNMTTLQECREACGGQGLKTENRIGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLTAKRKNQPFKGLGLEHLNGPCPVIPDYLTSGTLRSSSFQMDLLCLRERDLLKRFTTEVSNYLAQGENREKALMLSYQLAEDLARAFTERTILQIFLEDEKNIPTGSLKDILGLLRSLYVMVCIDESASFLRYGCLSRENVAAARKEVMTLCSELRPHALAIVSSFGIPDAFLSPLAFDWIEANARSSGNE >ONIVA06G17390.2 pep chromosome:AWHD00000000:6:15023737:15032246:-1 gene:ONIVA06G17390 transcript:ONIVA06G17390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQR8] MDPSYPPSATARRAAAIARHLAGLSPRDAAAVAAALEPSACLSYAPPESSEPAPAFSPLELRSLLDRHHLRERDWAFRAMEESPLFCQRRSGGKVFVSPDYNEGKEGQREATMRRVGYLARRGVFRGWLTEPGPDAELRKLALLECLGMYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLSDTENYVIKGCFSMTELGHGSNVRGIETVATYDIKTREFVINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQIRDEHENVMPNIQIADCGHKIGLNGVDNGRIWFNNIRVPRENLLNLVADVLPDGQYVSTIDDPDQRFAAFLSPLTLGRVNIAVNAVYISKVGVAIAVRYALSRRAFSVTPDGPEMLLLDYPSHQRRLLPLLAKACLMSSAGNFMKRMYVKRTPELNKSIHIYSSALKATLTWQNMTTLQECREACGGQGLKTENRIGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLTAKRKNQPFKGLGLEHLNGPCPVIPDYLTSGTLRSSSFQMDLLCLRERDLLKRFTTEVSNYLAQGENREKALMLSYQLAEDLARAFTERTILQIFLEDEKNIPTGSLKDILGLLRSLYVMVCIDESASFLRYGCLSRENVAAARKEVMTLCSELRPHALAIVSSFGIPDAFLSPLAFDWIEANARSSGNE >ONIVA06G17390.3 pep chromosome:AWHD00000000:6:15026872:15032246:-1 gene:ONIVA06G17390 transcript:ONIVA06G17390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQR8] MDPSYPPSATARRAAAIARHLAGLSPRDAAAVAAALEPSACLSYAPPESSEPAPAFSPLELRSLLDRHHLRERDWAFRAMEESPLFCQRRSGGKVFVSPDYNEGKEGQREATMRRVGYLARRGVFRGWLTEPGPDAELRKLALLECLGMYDHSLAIKIGVHFFLCCSTAAGVGSFIHTEGDAEAELRRIALLDCIGVYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLSDTENYVIKGCFSMTELGHGSNVRGIETVATYDIKTREFVINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQIRDEHENVMPNIQIADCGHKIGLNGVDNGRIWFNNIRVPRENLLNLVADVLPDGQYVSTIDDPDQRFAAFLSPLTLGRVNIAVNAVYISKEICASFH >ONIVA06G17390.4 pep chromosome:AWHD00000000:6:15023737:15025954:-1 gene:ONIVA06G17390 transcript:ONIVA06G17390.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQR8] MWYSRYLLKDLKTSKINKLVGVAIAVRYALSRRAFSVTPDGPEMLLLDYPSHQRRLLPLLAKACLMSSAGNFMKRMYVKRTPELNKSIHIYSSALKATLTWQNMTTLQECREACGGQGLKTENRIGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLTAKRKNQPFKGLGLEHLNGPCPVIPDYLTSGTLRSSSFQMDLLCLRERDLLKRFTTEVSNYLAQGENREKALMLSYQLAEDLARAFTERTILQIFLEDEKNIPTGSLKDILGLLRSLYVMVCIDESASFLRYGCLSRENVAAARKEVMTLCSELRPHALAIVSSFGIPDAFLSPLAFDWIEANARSSGNE >ONIVA06G17390.5 pep chromosome:AWHD00000000:6:15026872:15032246:-1 gene:ONIVA06G17390 transcript:ONIVA06G17390.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQR8] MDPSYPPSATARRAAAIARHLAGLSPRDAAAVAAALEPSACLSYAPPESSEPAPAFSPLELRSLLDRHHLRERDWAFRAMEESPLFCQRRSGGKVFVSPDYNEGKEGQREATMRRVGYLARRGVFRGWLTEPGPDAELRKLALLECLGMYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLSDTENYVIKGCFSMTELGHGSNVRGIETVATYDIKTREFVINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQIRDEHENVMPNIQIADCGHKIGLNGVDNGRIWFNNIRVPRENLLNLVADVLPDGQYVSTIDDPDQRFAAFLSPLTLGRVNIAVNAVYISKEICASFH >ONIVA06G17380.1 pep chromosome:AWHD00000000:6:15003328:15013275:-1 gene:ONIVA06G17380 transcript:ONIVA06G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15140) TAIR;Acc:AT3G15140] MAIARVSPPAFSSPFLIHSLLRPFSSPSSVLRPRVTRVPHHRGFAIAAALSQASPLPSADGDGAVMEAPPRPSSRRPWKPTCLYYTQGKCTMVGFSFPFSRFTVVWLMWVGYRINRLDSGKVEILEFPVVMIDAQSMEFVDSFHRFVHPTVMSEQRIREYIEGKYGKFGVDRVWHDTAIPFMEVLQEFEDWIEHHKFWKKEQGGALNSAAFITCGNWDLKTKATGMMTMMRELQMPIVGSHHLGIDDAKNIARVVQRMLADGAVMQITAKRQSATGDVKFLFKNRIR >ONIVA06G17380.2 pep chromosome:AWHD00000000:6:15003328:15013275:-1 gene:ONIVA06G17380 transcript:ONIVA06G17380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15140) TAIR;Acc:AT3G15140] MAIARVSPPAFSSPFLIHSLLRPFSSPSSVLRPRVTRVPHHRGFAIAAALSQASPLPSADGDGAVMEAPPRPSSRRPWKPTCLYYTQGKCTMVGFSFPFSRFTVVWLMWVGYRINRLDSGKVEILEFPVVMIDAQSMEFVDSFHRFVHPTVMSEQRIREYIEGKYGKFGVDRVWHDTAIPFMEVLQEFEDWIEHHKFWKKEQGGALNSAAFITWFRILVEQELWKILEATGMMTMMRELQMPIVGSHHLGIDDAKNIARVVQRMLADGAVMQITAKRQSATGDVKFLFKNRIR >ONIVA06G17380.3 pep chromosome:AWHD00000000:6:15003328:15013261:-1 gene:ONIVA06G17380 transcript:ONIVA06G17380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15140) TAIR;Acc:AT3G15140] MEAHVPVLHPGEMHHGKVEILEFPVVMIDAQSMEFVDSFHRFVHPTVMSEQRIREYIEGKYGKFGVDRVWHDTAIPFMEVLQEFEDWIEHHKFWKKEQGGALNSAAFITWFRILVEQELWKILEATGMMTMMRELQMPIVGSHHLGIDDAKNIARVVQRMLADGAVMQITAKRQSATGDVKFLFKNRIR >ONIVA06G17380.4 pep chromosome:AWHD00000000:6:15003328:15013261:-1 gene:ONIVA06G17380 transcript:ONIVA06G17380.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15140) TAIR;Acc:AT3G15140] MEAHVPVLHPGEMHHGKVEILEFPVVMIDAQSMEFVDSFHRFVHPTVMSEQRIREYIEGKYGKFGVDRVWHDTAIPFMEVLQEFEDWIEHHKFWKKEQGGALNSAAFITCGNWDLKTKATGMMTMMRELQMPIVGSHHLGIDDAKNIARVVQRMLADGAVMQITAKRQSATGDVKFLFKNRIR >ONIVA06G17370.1 pep chromosome:AWHD00000000:6:14939385:14939663:-1 gene:ONIVA06G17370 transcript:ONIVA06G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKVTPTNSSMICSSYDAKSDHTVAIVVTCVTSTVLSMELLSTDGTIGGTNINIPDSTKAMLTNCLTVGLDVKGWCRPRQGYVPDHDGYS >ONIVA06G17360.1 pep chromosome:AWHD00000000:6:14905724:14908261:-1 gene:ONIVA06G17360 transcript:ONIVA06G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHSDRGGGGGGGGTELFICFTSRPSTASASSGAPATLRPSSSSKLLSPGRTGGGAGAVAGADSAPVPPLHPSLSRRLRNSGSLKGGQSPMFPSGSSGGRRGRGGFEPAEPSSPKVTCIGQVRVKGGKRKPKHASAAALRSRSRRGGVGGGGSAEASFRRAGDDRDGPAGKNQGWVYQIPVNICEALKTFGSCGGRSLCSPSRAAGAGERGSLSAADKKRRRPPASGSWLCGAAVARCLLAIQEEEDDEIGKGAAVVPAEDVKASEVGLVMQGWDVEEEESTVVVGEVEVEKKDEILVVGKEEEGRVSVCIPPRNALLLMRCRSDPVRMAALATRFWGSPAAANVSQVDNVKDENENDDDEEEEEDEEDEDGADTDKECKEQTRDSAVSTKEAECRECDGSLHDGCEAGQVDPLETEAVETSECGDLGEEASEYGDCREDEEKIEPEEAPIVRKDSVLEVALAGEATGRDIQLPLLEMVETVAEAQEEVSVPGPEKEEQEMKGRRSSNCCSPSTALKEDRKLRRLSSRRRVGSSSRASSGSDRVGRRHSFSAETEARRSSFSSLKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMGSRKGQKISSEPESEKDCAVHAAPNSAEETLESHDDGKEEATLDGQEEGTTQETQVDEKGEKVEVGGVEAQDVVEEQKQRRKKSGELPDCLLLMMYEPKLSMEVSKETWVCSTDFVHWKSYQGQNRRNRRQQKAGCNAAPAEELKDTDNAEGTSDAKNTEESVAPASANLVSMPPPVVQKSPLKEAAEQKFKIELPLVTGAATYAPFVLKRCKSEPMRSSARLAPDACFWKDRHRPLNATGVGF >ONIVA06G17350.1 pep chromosome:AWHD00000000:6:14895643:14895939:1 gene:ONIVA06G17350 transcript:ONIVA06G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAFGGQSISSRLIYRRDKTTIDLRRQFGWRCLPPSSSTGPSSASHAAADSSAPLGRSFRLAATARACPTACEAGPRYAMLTVSPPFVRRDAAFLR >ONIVA06G17340.1 pep chromosome:AWHD00000000:6:14894715:14894984:1 gene:ONIVA06G17340 transcript:ONIVA06G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVEEGRGADDDSTETMGMGVGGEPVAKAGLPRRYLEQGGVRGVRREILVSWVGLASNVGDFLAPASQGGGRPTEGREMMARGDREL >ONIVA06G17330.1 pep chromosome:AWHD00000000:6:14871802:14872291:-1 gene:ONIVA06G17330 transcript:ONIVA06G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSWRWTSGGAQARCGGEGEGGGRVEVRLRLMSNSFPLCSEHGICVRGHCIFCEVDNAVQQWVKALPADELFAAVSSRINVLLTRYKGKFRHYDVNNEMLHGSFYQDKLGKDARAAMFNTASELDPDALLYAPRRPL >ONIVA06G17320.1 pep chromosome:AWHD00000000:6:14867106:14867867:-1 gene:ONIVA06G17320 transcript:ONIVA06G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKQIDLESQKPATSSPAASVSSAAAATAPPASSSAVPVSVGITNRHNVQPETEPLLLLAGGDGDGGSDDETTRLERTITRAFRSTAELAKHLPTGAVLVFEVLSPVFTNGGKCQDVNRVMTAWLVGLCAAACFFLCFTDSFHDGKGTVRYVVATRAGLWVIDGTAPPPPDVAATYRLRFIDFFHAVLSLIVFLSVAMFDHNVGACFYPVMSYDTRQVLTDVPLAGGLVGTMLFATFPSTRHGIGFPVHVA >ONIVA06G17310.1 pep chromosome:AWHD00000000:6:14858829:14863804:1 gene:ONIVA06G17310 transcript:ONIVA06G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLSNSRHGCCLFVRPMLVLVMVLELTILIPPASCLASPVRNISAIFIFGDSTVDPGNNNNRLTPSKANFPPYGQDFPGGVATGRFSNGKAMGDMIASKLGVKELIPPNLGDGLQLDDLLSGVAFASGGSGYDPLTSKITTAISSSQQLQLFEEYKEKLKSLVGEEDMTQVVAEAVYFTSMGGNDLANNYFLIPFKQHQYDLGSYVDFLVSLAVNFTLQLNQMGAKRIGFFGIPPVGCSPSQIILGGHPSEKCDPERNQASELFNSKMKMEIARLNAELNIYGLKLAYMDFYRYLLELAQKPALYGFKVAAEGCCGSTLLDASIFIAYHTACPNVLDYIYWDGFHPTEKAYSIVVDNMMRVIEEHLM >ONIVA06G17290.1 pep chromosome:AWHD00000000:6:14838102:14847864:1 gene:ONIVA06G17290 transcript:ONIVA06G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCWEQLQGLCAAGHLRRRCRGRSLVLLQQLQLLGVLVVVVAGVAPGISEAQVRSRFKAIFMFGDSIVDPGNNNGQLTEARADFPPYGQDFPGGVATGRFSNGKVPGDLIASKLGIKELLPAYKDQDLELNDLLTGVAFASGGSGYDPLTSISTAISSSGQLNLFSDYKQKLTSLIGEEAMTSILSEAVFFTVMGANDLLNNYFTLPVRRHQYDIPGYVDFVVSNAVNFTLTMNEMGAKMIGFVGVPPLGCCPSQRTGPSRECEPLRNQASELFNTRMKQEIDRLNVEHNIDGLRVVYFDIYYNLLDLIHNPGYYGFKDTSDGCCGNTVLNAAIFIKYHSACPNVYDYIFWDSFHPTEKAYDIVVDKLIQENKQYLM >ONIVA06G17280.1 pep chromosome:AWHD00000000:6:14836047:14836289:1 gene:ONIVA06G17280 transcript:ONIVA06G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGWTAAGSGGGRWSGGGLFVSPVAAGCGKGRRWPDLGATAAAGKGQRPAAVKEAVVRGHGIGDEDCDGDGRRSRQRR >ONIVA06G17270.1 pep chromosome:AWHD00000000:6:14814859:14818662:1 gene:ONIVA06G17270 transcript:ONIVA06G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding LXXXXXXXXSCASPRTTTSASFSSSRSASTGWGLIFRRSRCGSSTWRRRSRRQQRPPHRPQLHDQQPRGSREYAGDSTQQEADHAHPPRRQWQRQAPQVGREGGREGE >ONIVA06G17260.1 pep chromosome:AWHD00000000:6:14799050:14799274:1 gene:ONIVA06G17260 transcript:ONIVA06G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDAGAAHAATPPARGCDLRLLRSDDYVASLPAVLPESRICSSAASKSARTARLLPRRHRQLVGRVASREGV >ONIVA06G17250.1 pep chromosome:AWHD00000000:6:14785286:14797378:1 gene:ONIVA06G17250 transcript:ONIVA06G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNCELKITTWAIGVAVYTVQDTKVKCSRLETHTNRETIVLTLLCTLVSLLAGIWLRDHSNELGVIPYFRKKDFSNPNEIENYKWYSTTPRCWAPRQGPGWWGWSERSTVGSDDEDDHSGAITTACAKKAPPSIALS >ONIVA06G17240.1 pep chromosome:AWHD00000000:6:14771540:14773626:-1 gene:ONIVA06G17240 transcript:ONIVA06G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVFFFLTSRRWLPAAPEQVPLFLTVSTPKLQPYRCGGGSGGKTVGRWLKEGMRRRREETRAHNAQVHAAVSVAAVATTTASSSSGRDDRTARTNMTIPSAAKLFPAQCVEAAESLGAERDHLTAAVAFTVSVRLPATSSPSPPPLPMGQRIERRGDGQGEGVQGRVERGRCDPRREERHRCHQPSPTLHTTPRSSSITTATMATAATRATASATTTTTTTTNFLTICSQELLARGTDSSSAPAKQMKSTG >ONIVA06G17230.1 pep chromosome:AWHD00000000:6:14767250:14770687:1 gene:ONIVA06G17230 transcript:ONIVA06G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQP7] MEILHSIVGLVRSPVSSTIPQITGRLFMIWGILWSFPETHTHIFVTSLLISWCITEVTRYCFYGMKESFGFTPSWLLWLRYSTFMVCLPVGTVSEVGLIYIVLPFMKASGKYCLRMPNKWNFSFNYFYASIFFMVLYAPVYPGLFRYLIAQRKKALAKAKTT >ONIVA06G17230.2 pep chromosome:AWHD00000000:6:14767250:14770687:1 gene:ONIVA06G17230 transcript:ONIVA06G17230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQP7] MVINQIPLATIPTSSSISHISAMAGNASVMRRLYLSFYNWIVFIGWVQVSWSMILALLENGYEAAMLPSPVSSTIPQITGRLFMIWGILWSFPETHTHIFVTSLLISWCITEVTRYCFYGMKESFGFTPSWLLWLRYSTFMVCLPVGTVSEVGLIYIVLPFMKASGKYCLRMPNKWNFSFNYFYASIFFMVLYAPVYPGLFRYLIAQRKKALAKAKTT >ONIVA06G17230.3 pep chromosome:AWHD00000000:6:14767971:14770687:1 gene:ONIVA06G17230 transcript:ONIVA06G17230.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQP7] MEILHSIVGLVRSPVSSTIPQITGRLFMIWGILWSFPETHTHIFVTSLLISWCITEVTRYCFYGMKESFGFTPSWLLWLRYSTFMVCLPVGTVSEVGLIYIVLPFMKASGKYCLRMPNKWNFSFNYFYASIFFMVLYAPVYPGLFRYLIAQRKKALAKAKTT >ONIVA06G17230.4 pep chromosome:AWHD00000000:6:14767971:14770687:1 gene:ONIVA06G17230 transcript:ONIVA06G17230.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQP7] MIWGILWSFPETHTHIFVTSLLISWCITEVTRYCFYGMKESFGFTPSWLLWLRYSTFMVCLPVGTVSEVGLIYIVLPFMKASGKYCLRMPNKWNFSFNYFYASIFFMVLYAPVYPGLFRYLIAQRKKALAKAKTT >ONIVA06G17230.5 pep chromosome:AWHD00000000:6:14767971:14770687:1 gene:ONIVA06G17230 transcript:ONIVA06G17230.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQP7] MLLLLPYLLLCRYSTFMVCLPVGTVSEVGLIYIVLPFMKASGKYCLRMPNKWNFSFNYFYASIFFMVLYAPVYPGLFRYLIAQRKKALAKAKTT >ONIVA06G17220.1 pep chromosome:AWHD00000000:6:14744043:14746213:1 gene:ONIVA06G17220 transcript:ONIVA06G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPLRAPRSSSVGVAGLVAKPIPSATDRRYRNLCPPLPGLCHRLCRVGSLLARAPPHIPAPRRGSCPRRVGALLRLFPSATTASSVGPDLSEARCFAATCILLRLQAKKMAYTQLEKHRMTDHEL >ONIVA06G17210.1 pep chromosome:AWHD00000000:6:14709201:14709572:1 gene:ONIVA06G17210 transcript:ONIVA06G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILGLPLEFAGVEALPSASPPSGLRPRRCSLLALPATVTMRAAAAGLLRPSPACRRLPPPRPSAPLARPAARLHRRLVPARLPCCRRRPRREERRGELRQSAEERRGKNGEECRKEQREKKE >ONIVA06G17200.1 pep chromosome:AWHD00000000:6:14694878:14705290:-1 gene:ONIVA06G17200 transcript:ONIVA06G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVNIHQVPNRSIALFLCKVQRSPVARGEKCKHRRRGGRRRRLRPARERGSGTMRGDYTSQI >ONIVA06G17200.2 pep chromosome:AWHD00000000:6:14694878:14705199:-1 gene:ONIVA06G17200 transcript:ONIVA06G17200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVMVRKQRRQRRRGSFVGSSTSSSRSFVIILTTAGALPVSSSLSAAGPIAPQPPPRRRHGGCLPLLKIHRSPSLCNPLPVVHYMGDEVNIHQVPNRSIALFLCKVQRSPVARGEKCKHRRRGGRRRRLRPARERGSGTMRGDYTSQI >ONIVA06G17200.3 pep chromosome:AWHD00000000:6:14704684:14705290:-1 gene:ONIVA06G17200 transcript:ONIVA06G17200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVNIHQVPNRSIALFLCKVQRSPVARSERS >ONIVA06G17200.4 pep chromosome:AWHD00000000:6:14704684:14705199:-1 gene:ONIVA06G17200 transcript:ONIVA06G17200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVMVRKQRRQRRRGSFVGSSTSSSRSFVIILTTAGALPVSSSLSAAGPIAPQPPPRRRHGGCLPLLKIHRSPSLCNPLPVVHYMGDEVNIHQVPNRSIALFLCKVQRSPVARSERS >ONIVA06G17190.1 pep chromosome:AWHD00000000:6:14694488:14694706:-1 gene:ONIVA06G17190 transcript:ONIVA06G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFGAVPAAWARLSLGRLERRRRGGGGEGKGEEGETKGEVEAARDEAELRDEAVNLMRGGERKGEGIRSTD >ONIVA06G17180.1 pep chromosome:AWHD00000000:6:14680086:14682683:1 gene:ONIVA06G17180 transcript:ONIVA06G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITGGSDRLCDLQAFDDTKAGVKSLVDAGVTTVPYFFHHQPDPLTTTKHQIGVATIGAGSAKTDTYGPFPTSCLPIAAPSAAAIPLIDLAKADVDRGRVVAEVRAATETVGFFQVVNHNVAKELTDAMLAAVRYFHEEPLEAKAPYYTRDVGSKVWFSSNYDLFRPPAANWRDTLFMEMAPEGPSPEEIPPPSGAWLRSTPWRLGEWLFELLSEALGLPAGYLGLDAGCKDGLGGAANYYPPCPELEATMGTTRQSDPSFLTCCTSTFPSWLKPSIDGHKAQGPTAQPKHGTA >ONIVA06G17170.1 pep chromosome:AWHD00000000:6:14654556:14671982:-1 gene:ONIVA06G17170 transcript:ONIVA06G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSGTDRLRDLHAFDDTKAGVKGLVDAGVTTVPYFFRHHPDPLPVAAPSEAASAIPLIDLAKADVDRGRVVAEVRAAAETVGFFQVVNHGVAGELMEEMLAAVRRFNEEPLEAKVPYYTRDVASKVRFSSNFDLFRSPAANWRDTMFVEMFPEVPSPEEIPPPCRGVLEEYAAAVRRLGERLFELLSEALGLPVGYLGRDAGCMDGLSLSVAAHYYPACPEPEATMGATKHSDPSFLTVLLQDTSGGLQAVLPRPPEERWVDVPPVAGALLVNVGGVLLPPRVRVDEAVRPGRRRRRRGAGGGGVQEHDGGGVPGALQREGARRAVGAGPLQDSGGGGVSSSSTTAFDNNKAGVKGLVDTGVTTIPYFFRHHPDPLPIAAPSKAAAAVLVIDLAKGDVDRGHVVSQVVNHGVAGELMDAMLAAVRRFNEQPAGGVVAFRSPPQPPPAPFQASFSRSCEPYRVLTTPPVLTTRVRPHMATVSGTDRLRDLHAFDDTKAGVKGLVDAGVTTVPYFFRHHPDPLPVAAPSEAASAIPLIDLAKADVDRGRVVAEVRAAAETVGFFQVVNHGVAGELMDEMLAAVRRFNEEPLEAKVPYYTRDVASKVRFSSNFDLFRSPAANWRDTMFVEMFPEVPSPEEIPPPCRGALGLPPGYLAEHAGCMDGMSMVAQYYPPCPEPEKTMGTTRHSDPSFLTVLLQDESGGLQAVLPRPPEERWVDVPPVAGALVVNVGDLLQLVSNGRLRSMEHRVLPTGAAGPARVSVACFFRHAYASTRSCVPVVVGGGGARAAAVYRSTTAGEFLAHYNGKGLDGRSALDHFRLPAAASSPPPPL >ONIVA06G17160.1 pep chromosome:AWHD00000000:6:14651676:14652324:1 gene:ONIVA06G17160 transcript:ONIVA06G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSLKPPVTYGASSRPHRIAHPHRLLVLIVNRGNLIQVVARRGPSSTSSNKPASFRRWSLSAAVAAGCTTVAALGRVLLGRRRLEGGGVEVLESANTRVPVLTAAPRWIGPARDELRRPKSRPETIPREALVVEFGGAFNGEVERRHGVRKLTGEGRKMQRAGMDPICRNSSGIWPISRTAVVFF >ONIVA06G17150.1 pep chromosome:AWHD00000000:6:14650570:14651527:1 gene:ONIVA06G17150 transcript:ONIVA06G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVITSNGGGERRRCNGGDDDRSYGGATRTTTEAEGTEARVVGGRQRSRRVKEAERRSCRPASWPADGDDQSRRRSGGVAGPLLTRYSAVVVDDAHDGMTLTGVILCRRPIHLEATATSHIQFPSQSVVP >ONIVA06G17140.1 pep chromosome:AWHD00000000:6:14641485:14642465:-1 gene:ONIVA06G17140 transcript:ONIVA06G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCPDPGCHVFDCSHLHLIVVFGDSLQGRGDLTVGIEAVRCRSGGREDQCLLPPSVFSTAPPPSHLKEIINCDNMSNGEEEERLGP >ONIVA06G17130.1 pep chromosome:AWHD00000000:6:14622686:14623810:-1 gene:ONIVA06G17130 transcript:ONIVA06G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSGTDRLRDLHAFDDTKAGVKGLVDAGVTTIPYFFRHPPDPLPVASPSEAAAAIPLIDLAKADVDRDHVVSQVTAAAETVGFFQVVNHGVAGELMEAMLAAVRRFNEEPLEAKVPYYTRDVASKVRFSSNFDLFRSPAANWRDTLVIEMWPEPPSPEEIPPPCRGVLEEYAAAARRLGERLLELLSEGLGLPAGYLGREAGCTDGLSVAANYYPACPEPEATMGATKHADPSFLTVLLQDTSGGLQALLDQPRRRWVDVPPVAGALVVNVGDFLQLVSNDRLRSVEHRVLPTGAAGPARVSVACFFRVEYASTRPYVPVVVGGGGARAAAVYRGTTAGEFLAHFNGKGLDGRSALDHFRIPAAASSPPPPL >ONIVA06G17120.1 pep chromosome:AWHD00000000:6:14610749:14611577:-1 gene:ONIVA06G17120 transcript:ONIVA06G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFSAKCFGAERSHLSAWSTGAEWHATVDGRLTRRANMSKFSTTRLGVEVANLSAIRYGTELRDIKAYPPRPREGALSILSLPRSTKTMMAKAAFLSDPNPPLTHGESRGFGGDFMWRLWSKPGNAGKYIVLVNMYVAREMWGSVAGTHEAMRTRPRAHDGICRGVAEG >ONIVA06G17110.1 pep chromosome:AWHD00000000:6:14605993:14607183:-1 gene:ONIVA06G17110 transcript:ONIVA06G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSGTDRLRDLHAFDDTKAGVKGLVDAGVTTVPYFFRHHPDPLPVAAPSEAAAAIPLIDLAKADVDRGHVVSQVRSAAESAGLFQVVNHGVAGELMDAMLAAARRFNEQPAEAKAPYYTRDLGSKVRFFCNFDLFQSPAAQWRDTLYVEMVPDAPSPEEIPPPLRGVAEEFAAAVWRLGERVTELLSEALGLPPGYLAEHAGCMDGMSMVAQYYPPCPEPEKTMGTTRHSDPSFLTVLLQDESGGLQAVLPRPPEERWVDVPPVAGALVVNVGDLLQLVSNGRLRSMEHRVLPTAASAARARVAVACFFRLEYSASLTRPRSYGPIVDSGEGGARRAAAVYRSTTPRDFLAYFNGKGLDGRSALEHFRIPEAAAASSAVDVVSSSTTAAASIPR >ONIVA06G17100.1 pep chromosome:AWHD00000000:6:14595427:14600578:-1 gene:ONIVA06G17100 transcript:ONIVA06G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFRDSTSSSRSSSDLAAGADASASAATTAASSRTPPLPSPFPDLGVPLSAAELRATAYEVLVAASRATGAKPLTYITQSAASAASAAAPAPSLSSSIHRSLASTAAASSSKVKKALGLRRSSASSSSKRRGARRPATVAELVRVQLGVTEQADARIRRALLRIAAGQLGKHAESLVLPLEFLQQFKASDFLDPHEYEAWQLRYLKLLEAGLLFHPLVPLKKSDISALRLRQVIHGAYDKPVETEKNSKLLVELCSAARALAGRSLIETFDECHWADGFPLNLHIYQMLIEACFDSEDGAVVDEIDEVVEMLTKTWPILGINQMFHNLCFAWALFNHFVMSGQADIELLSGAGIQLTEVVKDAKTTKDPDYCDVLISTINSIMGWTEKRLLAYHETFSASNIDSMQGIVSIGVSTAKILAEDISHEYHRKRKQETDVVVHSKIETYIRSSLRTAFAQKMEEADSKRSSRHPVPVLSILAKAIGDLATKEKTVYSPILKKWHPLATSVAVATLHSCFGNEIKQFIAGLTDLTPDAAQVLKAADKLEKDLVNIAVEDSVNIDDDGKLLIREMLPYEAENVMANLVKAWVKERVDRLKGWIDKNLQHETWNPKANGENFAPSSMKMMQIIDDTLQAFFQFPLTMHSTLHSDLATGLDRNIQYYVSKSKAGCGTQSTLIPQLPHLTRCDVGSKLFMKKEKPQVLMKRGSQVGSTTSGASVIPELCVRINTLYHVQTELESLEKKIKTYFRNVESIDRSTDELNIHFKLSQSACQEGIRQLCETFAYKVIYNDLSHVLLDSLYAGDTASNRVEPLLRELDPILRMVSGILHNGVRNRVITSLMKGSFDGFLLVLLAGGPTRAFTLQDSQMIENDFRALRSLYIANGRGLPEELVDKASSEVKNILPLLRTDTGTLIERFKQAISESCGSTAKSGFPMPPVPAHWSPSNPNTILRVLCYRNDEAATKFLKKAYNLPKKL >ONIVA06G17090.1 pep chromosome:AWHD00000000:6:14588049:14596602:1 gene:ONIVA06G17090 transcript:ONIVA06G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAEATLSQVTAVDGEDNLFQDKESRATAKERGEAAVFGLENIVTANGATSAADLAPPKDVVDEWPEPKQTHTFFFVRIRSYEDPSLKAKLEQADKECQKKIQARSHIFEALRTKRSERSNIISELKPLAAENKQYNEVVSGKLKEIEPLQKSLGKFRSENNAMRAQGAGLCSSIEELDQLIKSLNDRISHESISLDEEKRLVKEIKQLNGTRSKVIENAAKRAKMQDTVVERGTIHDQVKQIGVGIDEVKRDRQAVRDKIKVLEDQIHAVDGEIAALQDDLTAATARKDKAFEALNELRKTRDLNNTSFHQYRTISNSVRDLSARGEVEAVQQLCQNEVEKFMAQWCSSKSFREDYEKRILVSLNSRQLSRDGRMRNPDEKPIVLETQVAPPAEQEPAPLKKPAKQAKEAPAPRADVTPKDEIRAKAPAKAAKAKQPLDIDDIPDVHDDEPPKEKTKPKVDEAKLKEMKRQEEIEKNKLALERKKKQAEKQAMKAAARAEKEAEKKLKEKEKKARKRSATAGGAESEEAAESDAKSDEAAEPEAQEEEPAAPVTIKKNARHRSTVTKTKTPLPKAVLKRKKSQAFWSWGAPMAALAAALVALLGALVYYQYYYLPASTSN >ONIVA06G17090.2 pep chromosome:AWHD00000000:6:14588132:14596602:1 gene:ONIVA06G17090 transcript:ONIVA06G17090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAEATLSQVTAVDGEDNLFQDKESRATAKERGEAAVFGLENIVTANGATSAADLAPPKDVVDEWPEPKQTHTFFFVRIRSYEDPSLKAKLEQADKECQKKIQARSHIFEALRTKRSERSNIISELKPLAAENKQYNEVVSGKLKEIEPLQKSLGKFRSENNAMRAQGAGLCSSIEELDQLIKSLNDRISHESISLDEEKRLVKEIKQLNGTRSKVIENAAKRAKMQDTVVERGTIHDQVKQIGVGIDEVKRDRQAVRDKIKVLEDQIHAVDGEIAALQDDLTAATARKDKAFEALNELRKTRDLNNTSFHQYRTISNSVRDLSARGEVEAVQQLCQNEVEKFMAQWCSSKSFREDYEKRILVSLNSRQLSRDGRMRNPDEKPIVLETQVAPPAEQEPAPLKKPAKQAKEAPAPRADVTPKDEIRAKAPAKAAKAKQPLDIDDIPDVHDDEPPKEKTKPKVDEAKLKEMKRQEEIEKNKLALERKKKQAEKQAMKAAARAEKEAEKKLKEKEKKARKRSATAGGAESEEAAESDAKSDEAAEPEAQEEEPAAPVTIKKNARHRSTVTKTKTPLPKAVLKRKKSQAFWSWGAPMAALAAALVALLGALVYYQYYYLPASTSN >ONIVA06G17090.3 pep chromosome:AWHD00000000:6:14589930:14596602:1 gene:ONIVA06G17090 transcript:ONIVA06G17090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAEATLSQVTAVDGEDNLFQDKESRATAKERGEAAVFGLENIVTANGATSAADLAPPKDVVDEWPEPKQTHTFFFVRIRSYEDPSLKAKLEQADKECQKKIQARSHIFEALRTKRSERSNIISELKPLAAENKQYNEVVSGKLKEIEPLQKSLGKFRSENNAMRAQGAGLCSSIEELDQLIKSLNDRISHESISLDEEKRLVKEIKQLNGTRSKVIENAAKRAKMQDTVVERGTIHDQVKQIGVGIDEVKRDRQAVRDKIKVLEDQIHAVDGEIAALQDDLTAATARKDKAFEALNELRKTRDLNNTSFHQYRTISNSVRDLSARGEVEAVQQLCQNEVEKFMAQWCSSKSFREDYEKRILVSLNSRQLSRDGRMRNPDEKPIVLETQVAPPAEQEPAPLKKPAKQAKEAPAPRADVTPKDEIRAKAPAKAAKAKQPLDIDDIPDVHDDEPPKEKTKPKVDEAKLKEMKRQEEIEKNKLALERKKKQAEKQAMKAAARAEKEAEKKLKEKEKKARKRSATAGGAESEEAAESDAKSDEAAEPEAQEEEPAAPVTIKKNARHRSTVTKTKTPLPKAVLKRKKSQAFWSWGAPMAALAAALVALLGALVYYQYYYLPASTSN >ONIVA06G17080.1 pep chromosome:AWHD00000000:6:14581718:14587013:1 gene:ONIVA06G17080 transcript:ONIVA06G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVLFNVIFPDWLITVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQITEEPEYSPLPTGPNATAESKAPSDEAASLWQNVYWKEFGLLAFVWIAFLVLQVTKNYMPTCSTWYWVLNFLQIPVSVGVTMYEGLGLMQGRRVISSKGDEQTNLKFHQLLVYCFFGMMAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLDRFPVPYALFFTTVAFFAAIIGQHIVRKLINWLGRASLIIFILSFMIFISAISLGGVGISNMIGKIARHEYMGFDNICNYDV >ONIVA06G17080.2 pep chromosome:AWHD00000000:6:14581718:14587013:1 gene:ONIVA06G17080 transcript:ONIVA06G17080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVLFNVIFPDWLITVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQITEEPEYSPLPTGPNATAESKAPSDEAASLWQNVYWKEFGLLAFVWIAFLVLQVTKNYMPTCSTWYWVLNFLQIPVSVGVTMYEGLGLMQGRRVISSKGDEQTNLKFHQLLVYCFFGMMAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLDRFPVPYALFFTTVAFFAAIIGQHIVRKLINWLGRASLIIFILSFMIFISAISLGGVGISNMIGKIARHEYMGFDNICNYDV >ONIVA06G17080.3 pep chromosome:AWHD00000000:6:14581697:14587010:1 gene:ONIVA06G17080 transcript:ONIVA06G17080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWHALAAVGVACAAAAAAVAAGDRGFTFADAVAAPEEVGYMRKVVNFLWSGEASYHHVWPPMEFGWKIILGILIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCEGMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVLFNVIFPDWLITVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQITEEPEYSPLPTGPNATAESKAPSDEAASLWQNVYWKEFGLLAFVWIAFLVLQVTKNYMPTCSTWYWVLNFLQIPVSVGVTMYEGLGLMQGRRVISSKGDEQTNLKFHQLLVYCFFGMMAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLDRFPVPYALFFTTVAFFAAIIGQHIVRKLINWLGRASLIIFILSFMIFISAISLGGVGISNMIGKIARHEYMGFDNICNYDV >ONIVA06G17080.4 pep chromosome:AWHD00000000:6:14581718:14587010:1 gene:ONIVA06G17080 transcript:ONIVA06G17080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVLFNVIFPDWLITVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQITEEPEYSPLPTGPNATAESKAPSDEAASLWQNVYWKEFGLLAFVWIAFLVLQVTKNYMPTCSTWYWVLNFLQIPVSVGVTMYEGLGLMQGRRVISSKGDEQTNLKFHQLLVYCFFGMMAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLDRFPVPYALFFTTVAFFAAIIGQHIVRKLINWLGRASLIIFILSFMIFISAISLGGVGISNMIGKIARHEYMGFDNICNYDV >ONIVA06G17070.1 pep chromosome:AWHD00000000:6:14577586:14578050:1 gene:ONIVA06G17070 transcript:ONIVA06G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMMEKTAAAMLEAGVRRFRGLSMAALLAEMWAPLAVLILRLRSCGKEVISSHISTYYSSGDDSDSNITEEEEEEEEGSEASSFGEEEKGRWRERRIGYYEGAADNGCFPWGGAVVRTWQDLPHRISGGARLLAP >ONIVA06G17060.1 pep chromosome:AWHD00000000:6:14496797:14497324:1 gene:ONIVA06G17060 transcript:ONIVA06G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSVARGAIVILCLVALTNLAQAQNSPHDFLQPHNAARAEVGVGKLSWDGTLPAYARRYGEKRSHDCTPKHSRGPYGENIYRGSAGRRRTAADAVARWVRESAYYDCGSNTCVPGRRCGHYTQVTWARTTRLGCAAVTCDSGATFVVCSYDPPGNTNGRGPYPGCGDYDVVSE >ONIVA06G17050.1 pep chromosome:AWHD00000000:6:14483586:14483954:-1 gene:ONIVA06G17050 transcript:ONIVA06G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDCCAPRGDSEMVVGSGVAVNDERRSTARKRDQAVMWSSRWVVISGGSRWGSAPTKRGGGPGDVRGGISDDELGTGVRRPGFGAEESATPSCGGEGIGDEWRHKRGDVCERKKMGARDFF >ONIVA06G17040.1 pep chromosome:AWHD00000000:6:14482261:14482476:1 gene:ONIVA06G17040 transcript:ONIVA06G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCELRSRGVGEGPPAGCSTGRSRGAGGGGRARWDSMWRKSERCSGRDRWGRRSVMREERIRSRWTARGAV >ONIVA06G17030.1 pep chromosome:AWHD00000000:6:14457419:14457886:-1 gene:ONIVA06G17030 transcript:ONIVA06G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRRRNNSSLLESSTIITANLIMASSSYLLSKITPRAAPSSMQSAAPAPARPPQPPPAAVTARAWPTAVSARSVEPAVTRRKVLVAPDDGEDGKVDERADTFIRKFKERTQSDIARMEAEAAAAVAAARPPPALGAANLAGTAYGYYGTGYYC >ONIVA06G17020.1 pep chromosome:AWHD00000000:6:14436695:14438972:-1 gene:ONIVA06G17020 transcript:ONIVA06G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTPSGPSPIFLGKLLWPGEVTITLRWIGHRVKNGWKFRVTAYGPFKHEYYSQDAYFVTASLISIAFFLWYASRLRRTAILLPPGPPGLPVLGNLLSVHQFTHRGLAKLSKIHGGFFHLRVGQVNVFVVSSPETVREIIHENDSVFSHRPVTAAMVYVSYDLADMAFAHYGPFWRQMRKLCVLKLFSPRRDVSWRVVRGEVDALVRSVAELRRVAGSVGDLVFKFATNVTFRAAFGAQSREDEKVFVDIILELSEIFMAFNMGDYIPCLGWLDLNGIGKRMAAARHALDVFIDRIIDEHLAKLRNGDVSASDMVDDMIAYLVDAPGGRHKRADGVELGDLHLTRDNIKGLIMARNDIMFGGTKTVASTVEWALSELLRNPDELRRAQDELAGVVGLRRRVNQDDLDNLPHLRCVTKEVLRLHPPLPLLLRESLHDCAIGGYTVPRGSRIWINNWAMCRDEALWGTDAAAFRPSRFADESARVEFKGGDFQYLPFGSGRRSCPGMQLGMFAVELGLAELLHCFDWSLPAGTEPLELDMDDVFGLTAPKAERLCAVPSPRLSCPLL >ONIVA06G17010.1 pep chromosome:AWHD00000000:6:14417787:14418099:-1 gene:ONIVA06G17010 transcript:ONIVA06G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKVRLDVVELVEGTVQLKVAGNGHERRLEAANTVAASGLREEGASVRLGAVELVVAAMQHGDDWSSSGGQPEVAIGGGGGKLVVAEWFRA >ONIVA06G17000.1 pep chromosome:AWHD00000000:6:14406010:14412642:1 gene:ONIVA06G17000 transcript:ONIVA06G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNDKIDLLCQEKGSMHQFIASASVPAAIHATNPFTALVLPKKTMFDFAPLMGQEVKDWAMAPTKSDEDTMSSQAQVALEVLEVMPLSVQPPSSPVCQAPALPVLPKAPVKKRDGKTLLYNPYRRQSARLQQSKEEVQLQVDPRIGIGALTAQSGDTRLDYALFVLSDMCYGVGNDFVHCSTAHENSVDLQSLIDFKKGITEGPGAVLLSWKTSNELFYSPPGTKYEPFSEDDRMADIDRYLPVPFAFCSGTGQRKGRWKGKIVFPHWK >ONIVA06G16990.1 pep chromosome:AWHD00000000:6:14374867:14377151:1 gene:ONIVA06G16990 transcript:ONIVA06G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLGKVLPPNFGDAFLHLQMVSLTLNNFEGQIPPSLANASGLSLIDFSENNFTGQVPTSFGRLPKLSILNLQYNKLEANENQGWEFLYALSNCTSLTVLALAYNNLQGPLPDSVGNLSINLQNLILTGNNISGTVPPSIGNFPNLIRLSLSSNSFCGEIGEWIGNLKNLQGLFLRENNFIGPITPSIGNLTQLTELFLQNNKFEGLMPPSIGYLTQLSVLDLSCNNLQGNIHLGDGNLKQLVELHLSSNKFSGEIPDALGQSQNLVVIQLGQNILTGDIPVYFGNLKGLNVLNLSHNSLSGTIPTALSDLQLLSKLDLSHNHLHGEIPRNGIFENVTAVSLDGNLMLCGGVVDFHMPLCSAVSLKIERKSDLVRLLIPIFGVMSLTMLIYTITLGKKTPRRTYLFLFSFGKQFPKVSYNDLARATGNFCESNLIGRGSYGSVYKGKLTQAKIEVAIKVFNLEMRRADRSFVSECEVLRTIRHRNLLPILTACSTIDNRGKDFKALVYEFMHNGNLDTWLHHGHAGVIHKRLSMDQRVSIAVKIADALVYVHHECGRPIVHCDVKPTNILLDEDMSAHLGDFGIASLVLDSPLTSDGNSGCDSSIIVNGTIGYIAPEYAQSVRASTSGDVYSFGVVLLEMLIGKRPTDSMFENDLSITNFVERNFPDDILHIIDVHLQEECKGSIHAANKTQNATCQCLISLAQVALSCTHRIPTERMNMREVAIRLHAIQTSYAEAIRQES >ONIVA06G16980.1 pep chromosome:AWHD00000000:6:14367109:14373107:1 gene:ONIVA06G16980 transcript:ONIVA06G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDSGSNMGLMRVKKSNELATLILFALLLLCYGVGNGRCTTVHENSVDLHALLKFKQGITSDPNSALSNWNTSIHFCRWNGINCNMTKRAWRVTGLNLTSKGLSGQISSSLGNLTFLRKLDLNHNSLIGPLPRLNNLQKLEVLYLHQNRLHGIIPDGLTNCSSLSYLDLSRNNLTGVIPPTIGNLSSLVGLSLSQNNLNGIIPQALGKIATLYFVDLSENRLNGRIPDEFWQLQNIRVLYLNQNSLSGGLPQSLPNMSSLFQLALTENMLGNTLPSNIGDALPNLQLLYLGANMFEGNIPDSIGNASQLQELDLKLNHFSGHIPSSLGKLSRMSYLNLEQNKLEANDTEGWEFFHALANCTLLGGFSLSRNQLQGVIPNSIGNFSENLQYVLMAGNKLSGTVPPSIGKLHDLIQLSLDENNLTGTIEDWIGNFTKLQRLNLQMNNFVGTIPPYISNLTQLVFLSIAKNEFTGFIPPSWAGLQSLQTLNLGHNNLQGSIPTEFGNLKQLLQLELSSNKFNGEIP >ONIVA06G16970.1 pep chromosome:AWHD00000000:6:14336736:14337747:-1 gene:ONIVA06G16970 transcript:ONIVA06G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEMAHTVRISEDSAVLKNKTPQEKKDYIERLHKRKMAKVGNYLATSFLAHSDKRVIMVPYHFGEHYILFLVYPTDQTVVVLVPADYDKDAYMEFLCLLNLAHGRYKKRGGYVKNPSREKLYIRGHWPLDEPMRYYVCEMLRVNGRYRTEFTDLPSIPYSGSLFDQKTLINLCADLCWFIRCDICNHLGEFHDPHSELATDPKFKNLREWERQHAVD >ONIVA06G16960.1 pep chromosome:AWHD00000000:6:14321523:14321793:1 gene:ONIVA06G16960 transcript:ONIVA06G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSPVADRQWSAEGEKDVAGTASIKACFDRLEEVAVVVAMGWERREKREKMGSPGGSKVIGARIWLIELR >ONIVA06G16950.1 pep chromosome:AWHD00000000:6:14267989:14268976:-1 gene:ONIVA06G16950 transcript:ONIVA06G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQALD >ONIVA06G16940.1 pep chromosome:AWHD00000000:6:14263394:14265145:1 gene:ONIVA06G16940 transcript:ONIVA06G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G05810) TAIR;Acc:AT2G05810] MPPPLPHSPPPPAAADALGQILQALLPALLLAAESVKALHARWRAVHGTLLALQTSLAAAPDSAVSHPLFADLVASLLPALRSLQALSARCQDPSLPGGRLRLQSDLDIAASSLSLLLHDLSLLLRSGILYVDPSASSPNAIVLQVPAPAASRADKSLFIRDAFARLQIGGLDLKLKALASLLDLLANDIAAESAHIVATDGDVAALLRLLDASFHSALRDRAAAAVAHLATACVASRKVVFDEGGLGPLLRVLDSDSAPATRERAVAAIEAITADVGSAWAVAAYGGVPILINACRPGSGSPVVQALAVAALKNVASIEDVRSALVEEGGLPILVDLLASGTIDAQKGSALCIWSLASLGDHEIQYQIVQAGALLPLLQALHTASGLDLHDTVLRAIHALAVVPAAARTLCSSPLFFAQLTDLMCRGGSILLQQMAADMVAELAPGVSDDTKRCMAPCICMLVKMMETAKPATVQESAGRALLALMTLKFNRKELVRDEKSVTRLLHMLDPRNEEIDKKYPVSVVLALALGGGNGTRRRLADSGICQHLQKLAEAEVPGAKKALQRISGNRLKSLLSRGWNN >ONIVA06G16930.1 pep chromosome:AWHD00000000:6:14257338:14258341:1 gene:ONIVA06G16930 transcript:ONIVA06G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSAGAEGFGLAAAALFLAVSFESHASSEKDRKAALLFLPFEWRNPTLARREATAAVDPRASEPGGDGGGGYSCARRRQEATAMAPTRTHEPGGDGGGGYPCTRVGRRRRQRIPVRASREAMAAIDDADDYGDDAAGDDGDRKVCGWREAALAPLSSVPSSILRAASVSSVPL >ONIVA06G16920.1 pep chromosome:AWHD00000000:6:14216383:14220664:1 gene:ONIVA06G16920 transcript:ONIVA06G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription activator GLK2 [Source:Projected from Arabidopsis thaliana (AT5G44190) UniProtKB/Swiss-Prot;Acc:Q9FFH0] MLAVSPAMCPDIEDRAAVAGDAGMEVVGMSSDDMDQFDFSVDDIDFGDFFLRLEDGDVLPDLEVDPAEIFTDFEAIATSGGEGVQDQEVPTVELLAPADDVGVLDPCGDVVVGKENAAFAGAGEEKGGCNQDDDAGEANADDGAAAVEAKSSSPSSSTSSSQEAESRHKSSSKSSHGKKKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQKYRSHRKHMIAREAEAASWTQRRQIYAAGGGAVAKRPESNAWTVPTIGFPPPPPPPPSPAPMQHFARPLHVWGHPTMDPSRVPVWPPRHLVPRGPAPPWVPPPPPSDPAFWHHPYMRGPAHVPTQGTPCMAMPMPAARFPAPPVPGVVPCPMYRPLTPPALASKNQQDAQLQLQVQPSSESIDAAIGDVLSKPWLPLPLGLKPPSVDSVMGELQRQGVANVPPACG >ONIVA06G16910.1 pep chromosome:AWHD00000000:6:14195692:14196362:1 gene:ONIVA06G16910 transcript:ONIVA06G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAHGGSGAARRTRATTGDSRLSEEKRRWLGEEQRQWLDRRGWPAMRRRAGGRSTLPLPSNPVGNDSSMTRKKGDGLVETATNTARSGPLNGAASENAFKGL >ONIVA06G16900.1 pep chromosome:AWHD00000000:6:14143817:14146803:1 gene:ONIVA06G16900 transcript:ONIVA06G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGCWSKGEEGQEEKIKIKERMEERKSVSRESSFCTSDTHAIGIFLAHFRTTQFYEVDGFNLCLEFWQAEAERLKQQLHNLEGSQRQLLAHDLSGLEWNDLKSLENQLETSLHNVRLKKDKIMVEQIQELRKKENIMHRENMELHREFNMIRQDSVNFQRKVYGKQDVNGGQGSSVTQNTNTPDDADEIRLELSQPQVPDEKPEAAAKGQNFPRKSA >ONIVA06G16890.1 pep chromosome:AWHD00000000:6:14140087:14141239:-1 gene:ONIVA06G16890 transcript:ONIVA06G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPWGGTGGDMSGFRRQQQARAGAILRSSAMMDPVAGTSLMADTTKGTLVTKSCCNRDVPTYENMFLRAVVVPKKILIFTGTLFWVSFMMTDQFVLKL >ONIVA06G16880.1 pep chromosome:AWHD00000000:6:14136790:14137224:-1 gene:ONIVA06G16880 transcript:ONIVA06G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPARGGGTGQIHAGRPDLAVGQPAAGLSDAAAVSGSGGSGVENWQRRRKPPDPRLDLAGQQPAAMSGGGDGEGGEVMAAVSARRSGGPGDEFVATAVLATTTSRLCRVPLELDDGEKVREDGEIAAGMEG >ONIVA06G16870.1 pep chromosome:AWHD00000000:6:14133744:14134648:1 gene:ONIVA06G16870 transcript:ONIVA06G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTVISRIENTTSRQVTFSKRRSGLFKKAKELAILCDAQVGVLVFSSTGRLYDYSNCSNSRNLTYDQLLGDTVC >ONIVA06G16860.1 pep chromosome:AWHD00000000:6:14105917:14108584:1 gene:ONIVA06G16860 transcript:ONIVA06G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKKVMSGCHIPAFGAWNYRDDDLPITQCFDLAIQDRLMRRSNRRGDGNCKRRLVVPFDAWPPAPRGAAHGKVIRRELAQKQWDNVAEEMMQWRAVGAYGTKRKVGDKAVDEDLYKVPQPLIYPKRRKMRKVVWSLWIGCLGLDCIA >ONIVA06G16850.1 pep chromosome:AWHD00000000:6:14070008:14086246:1 gene:ONIVA06G16850 transcript:ONIVA06G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELRSPALKLSIACPKLTPAASTFPAAASNYYQLDELLTEEEKDLQMNVRQFMEKEVAPIIPKFWEKAEFPLHLIPKMGSLGIIGGIIKGYGCPGLSGTAHAMCFLEIARVDASIASFFLVQSCLAMLSIAQLGSEAQKEKYLRSLSKMHKALTEPNHGSDASSLSSTARKVPGGWILNGQKRWPANGSFADVFVVLACNTSNNQINGFIVNGGSPGLKISKIENKTSLRVVQNCDILLEDVFVPDDDRLPGANSFQDLVKALSFSRVIVAWISIGIAAGVYDACLRYLGERKQFGAPLAAFQLNQEKLVRMLGNIQAMWLLGWRLCKLHDSGRMTTGQASLGKAWITKQARETVALGRELLGGNGIVTDFHVGKAFCDMESIYTYEGSYEVNVLVAAREITGIASIRPTSRL >ONIVA06G16840.1 pep chromosome:AWHD00000000:6:14065152:14066280:-1 gene:ONIVA06G16840 transcript:ONIVA06G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVIQGRKEWLSPTCSRPTSSDPAGEPEAVELPSYFKVLQERLDFVLCLGLSTDDLSSYLLLLACSIRKNTSVVVDLTPIVKSLLRCTLVDRLISTAALHYHHSHQIKAPMPTWLIVKATPQPRDGAKKLAVAAYSTLLLSPSVWQKAQDAKKSKAVGVDAALPSSPRITCMGQVKGRPRRCLGACRSDRPAVRVYGWGDQLQKQSSFYPTQGITIDKKTAKKGKQQI >ONIVA06G16830.1 pep chromosome:AWHD00000000:6:14037200:14038765:-1 gene:ONIVA06G16830 transcript:ONIVA06G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFTEIAIESPAWSIDIQRLAADLETKLCNVDLEGGRRRRRSPECLISKVKPQASCVAPIHDLAAGFVTF >ONIVA06G16820.1 pep chromosome:AWHD00000000:6:14025300:14034820:1 gene:ONIVA06G16820 transcript:ONIVA06G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEPIDNHDGGRRRPAAGDGCSSRRRRGRVDQLVDLLEDEEGYLVLEQVEHVAHGEDVVHRAPAPATRRRRSRLAADVEDVEDEPRVEQQHLHEHLLLPPCHGAVFVVAPRFVLNAGDGLQAPPQRGRPLLAVVGEDTAERRPLRQLVRAVQRLVVVGADEHHLRGVLRVVDAVSNNLVFRLYAFGLYRFCTR >ONIVA06G16810.1 pep chromosome:AWHD00000000:6:14023325:14037095:-1 gene:ONIVA06G16810 transcript:ONIVA06G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDRVGKAEDDGVVLLQCRRRRLLARRSSVYQPTQRGRKEKNEWIRSKDKIIANSVDDSQYTPQVVLVGAYHHKPLDSTDQLAKWTALRRVLPDDGEQRASTLRRCLEAIAGVEDEARCYYEDGAMTWREKQMFVQMLLLDAWFVLDIFNVGGEAAAAAAGSRGGSAVDYIFAVRDVFYLLENQIPFFILEKVYELVRVGNSGEDHTQTLSGSYSHRRRRRRRVIVLIHRTPMAMAPAADDAAAVPIPTRRARATVYRWRGATQYHAAGVRFKKRALGAGAAGDARCVLDVELRRLTTLHVPTLTVDNNTWRVLRNLMALEQNNPRLGSHVTAYCLFMSHLAGTASDVALLARKKIIVHFMATDGDVADGFAGLCRGVALDVDDARRNYLQPTWERMERWYSSRPVNWMALLRRRHLSNPLVAIALLAAIAGLVCEVLQANETRQKRYKEMSIDGVTGDYQTQIDINLLADQLEAKLCDVPLDDGGRRHGSPECHISIVKPQVRLVDVSQYAPQVLLVGAYHHRSLDRCELDKLAALRRALPDGDDERASTLRRYLAAIADLESEARSYYRDDTDDMSAEEFALMLLLDGWYILHYFGVGVGGGGRAVDIFAVRDVFYLLENQIPFFILEKVYELIHSPPPSPGATAIAGGGSSSSSVVVVDGFVRHLRSLLRDQGYSNLEVDITSTRPCHLVHLLHMHFTPMAMAPAADDAAAVPIPTRRARATVYRWRRATQYHAAGVRFKRRALGLGDARCVLDVELRRLTLHVPTLTVDNNTWRVLRNLMALEQNNPNLGSHVTAYCLFMSHLAGTASDVALLARKKIIVHFMATDGDVAKGFAGLCRGVALSVDDARQNYLQPTWERMERWYSSRPVNWMALLRRRHLSNPLVAIALLAAIAGLVCEVLQAVFGCELWYAEFGGQLIAQSTNQFN >ONIVA06G16810.2 pep chromosome:AWHD00000000:6:14023325:14037095:-1 gene:ONIVA06G16810 transcript:ONIVA06G16810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDRVGKAEDDGVVLLQCRRRRLLARRSSVYQPTQRGRKEKNEWIRSKDKIIANSVDDSQYTPQVVLVGAYHHKPLDSTDQLAKWTALRRVLPDDGEQRASTLRRCLEAIAGVEDEARCYYEDGAMTWREKQMFVQMLLLDAWFVLDIFNVGGEAAAAAAGSRGGSAVDYIFAVRDVFYLLENQIPFFILEKVYELVRVGNSGEDHTQTLSGSYSHRRRRRRRVIVLIHRTPMAMAPAADDAAAVPIPTRRARATVYRWRGATQYHAAGVRFKKRALGAGAAGDARCVLDVELRRLTTLHVPTLTVDNNTWRVLRNLMALEQNNPRLGSHVTAYCLFMSHLAGTASDVALLARKKIIVHFMATDGDVADGFAGLCRGVALDVDDARRNYLQPTWERMERWYSSRPVNWMALLRRRHLSNPLVAIALLAAIAGLVCEVLQANETRQKRYKEMSIDGVTEEFSGDYQTQIDINLLADQLEAKLCDVPLDDGGRRHGSPECHISIVKPQVRLVDVSQYAPQVLLVGAYHHRSLDRCELDKLAALRRALPDGDDERASTLRRYLAAIADLESEARSYYRDDTDDMSAEEFALMLLLDGWYILHYFGVGVGGGGRAVDIFAVRDVFYLLENQIPFFILEKVYELIHSPPPSPGATAIAGGGSSSSSVVVVDGFVRHLRSLLRDQGYSNLEVDITSTRPCHLVHLLHMHFTPMAMAPAADDAAAVPIPTRRARATVYRWRRATQYHAAGVRFKRRALGLGDARCVLDVELRRLTLHVPTLTVDNNTWRVLRNLMALEQNNPNLGSHVTAYCLFMSHLAGTASDVALLARKKIIVHFMATDGDVAKGFAGLCRGVALSVDDARQNYLQPTWERMERWYSSRPVNWMALLRRRHLSNPLVAIALLAAIAGLVCEVLQAVFGCELWYAEFGGQLIAQSTNQFN >ONIVA06G16810.3 pep chromosome:AWHD00000000:6:14023637:14037095:-1 gene:ONIVA06G16810 transcript:ONIVA06G16810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDRVGKAEDDGVVLLQCRRRRLLARRSSVYQPTQRGRKEKNEWIRSKDKIIANSVDDSQYTPQVVLVGAYHHKPLDSTDQLAKWTALRRVLPDDGEQRASTLRRCLEAIAGVEDEARCYYEDGAMTWREKQMFVQMLLLDAWFVLDIFNVGGEAAAAAAGSRGGSAVDYIFAVRDVFYLLENQIPFFILEKVYELVRVGNSGEDHTQTLSGSYSHRRRRRRRVIVLIHRTPMAMAPAADDAAAVPIPTRRARATVYRWRGATQYHAAGVRFKKRALGAGAAGDARCVLDVELRRLTTLHVPTLTVDNNTWRVLRNLMALEQNNPRLGSHVTAYCLFMSHLAGTASDVALLARKKIIVHFMATDGDVADGFAGLCRGVALDVDDARRNYLQPTWERMERWYSSRPVNWMALLRRRHLSNPLVAIALLAAIAGLVCEVLQANETRQKRYKEMSIDGVTALDVSCGMLNLVGS >ONIVA06G16800.1 pep chromosome:AWHD00000000:6:13976623:13977766:1 gene:ONIVA06G16800 transcript:ONIVA06G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAGAKHGGGGRRRGRGDSEEDEVSAAAGGAVLGSEAEIREANSSEGDKGFIRSCNSRGDGNKVSRSELKQQRSGQLWLRLHR >ONIVA06G16790.1 pep chromosome:AWHD00000000:6:13969136:13969994:-1 gene:ONIVA06G16790 transcript:ONIVA06G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDSGGVTDVAKLGDGDTNTTEDDGGGADPVRSDGGGLEAVCSGGGGSEVVRGSGGCSDAGGWIWDPSSSLSPGSGIHAGCAIWVELKLLRFNGELHGEVWLSPVKPTPKSTAQQQISNLCNFYEGDRRGLLVRQAVCMLKETQGCNRRGFAAAPCRFAPSALPSFRRLFMFLVQFSILCILLFLLTMFGGLPS >ONIVA06G16780.1 pep chromosome:AWHD00000000:6:13957905:13972241:1 gene:ONIVA06G16780 transcript:ONIVA06G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAIPSSSTLNLIMAAASGNQLTESRTHFAHPQHPLLKTQYGGGERRHVCRICGTRVVAGAGYRCDHCDFDIHEACADFFPEKMITPPPNFFGHPWSHNLALRQVTAADGSWPCTLCRGPFQHGHLAYRCGARRCGFAAHPLCTMLPGEIRSPLHRKHALTHTELIPSRLTSGPCKPAEMARVCSVCRRDCSTVRTRHYRCASCMFVLHIGGCVSGVPPPPAPDGEDQGSSGPDGARGSCGGAAAAPAPAVARFLVVVAEQQLAADFPAANGMVAVINALQASYTTWLHPEHSLTRRVYGGKRGAAGVCNVCDRTISGGSYGYRCGGGACGGFDVHEACLTLPKRVKLGRRHPDHELTLSVLTASRRCVTCRETSDAGRCMYRCVECDVDVHPRCTPLLMEAGGASGRRWPQHDQEGKPPNIVNRNSKMHRIENWTKNINNLLKLGSAEGANRQGAAAKPRRLQPWRMGNWRDEGVSCSEIRVGQVSHRGASLSEEAPASEVHIQPADQSHRACNICELPVTGVGYRCNHHCSDFAIHEACADRFARDTIDGFFAHPRHPLTAATASAANPGWCDLCQGPIQPGRLVYRCAECAFDVHPLCTLLPMTIHPAARRRRVPRRPRPQLGPGARGVRGVLPGLLHLALPVRPMLVHAPHRLRFAGRRRRCSSWYEGLACHRRRRRRLGRRRWQSHREVSDHVCPATGGEFDCQ >ONIVA06G16770.1 pep chromosome:AWHD00000000:6:13957334:13957516:1 gene:ONIVA06G16770 transcript:ONIVA06G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKRPRRRALQREREAVVAWLAKSTRAEMAVECPAEGATRTVGGVSTAARRTGGGGAT >ONIVA06G16760.1 pep chromosome:AWHD00000000:6:13953906:13954409:1 gene:ONIVA06G16760 transcript:ONIVA06G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTCKLHPGHSLTRHHYGGEEGHACVCALCERIIAGAGYRCGECGGFDAHEACLSLPMRVAFVGHPAHELTLSLLTASRWCDACRVASHAGRCVYRCVACDFDVHARCTSLLDGEQQHGRKRGVARRVGKAALRMGLFGLRVADAVTGGFGSPVIEVIETALNL >ONIVA06G16750.1 pep chromosome:AWHD00000000:6:13948181:13952763:1 gene:ONIVA06G16750 transcript:ONIVA06G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22990) TAIR;Acc:AT3G22990] MSHVRSAPAGKSGGGGGSTPAKRGRPFGSTTGSGAAAAAAAAAIGDAAAPAALVGPSLQVLTALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDLRRDTTPLAKVPGLLDALLQVIDDWRDIAMPKDHTKPPRVRTLGVNTTLSGFGHENVEKVYSDTIIPSDDQTKTADSTVTKKRSAGFLFDEEGLFNVDDEGRTEKQQCAVAASNIIRNFSFMPENETVMVQHRHCLETVFQCLEDQNTEDDELITNMLETLVNLAPVLDLRIFSSSKPSFIKITEKRAVQAIMGMLASSIRVWHCAAAELIGRLIINPDNEPFLLPAIPQIYKRLVDLLSVPAVDAQAAAISALYNVAEVNMDFRLKLASERWAVDRLLKVVKTPHPVPEVCRKASMIVESLVSEPQNRMHLLVHENTFAEILTSEGKYSDTFARILYELTARPSNKVTAGQAIWGNIN >ONIVA06G16740.1 pep chromosome:AWHD00000000:6:13932530:13933306:1 gene:ONIVA06G16740 transcript:ONIVA06G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNYRTHCSHPQHVLVRCQYSSSSGHVCDLCAAGFRGLVGLRCKACDFDIHEACADYFQPAISSFTAHPWHGLALGRVADDDRVCDLCAAACPRGGFVYRCVPCGFDVHPLCTMFPAKVRSPLHPEHELAMVPAAAAAAATLGRQCRTCSGCGEVCGGWFYRCDACGVCLHAECLNGARAKQSAGVGSQGTGVGGAGSSQSGGGGGQSTGVKRSRSSLVGKLLLKAAVRVAVDAATNGLASAVLDSGSADDTSSFDQ >ONIVA06G16730.1 pep chromosome:AWHD00000000:6:13929559:13930863:1 gene:ONIVA06G16730 transcript:ONIVA06G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLRAAFPLTSSPLRRLALKPSSSRAAAAAMSSAIAAPVEHIVLIKVRPEAASSGAAAAMVSSLQVLSTAVPGLSYIHVGPVLRLRSPAAEALGPTHVLHSRYATKPDLAAYAAHPAHVAAVQGHVLPNALDSTAIDWVNAALVPSPVNPGSAVRLSLAKLKEGVEAHQLAEKLAAATAAAGEAKGAKVSFGENFSPARAKGYQFGMVAVFDSVEGLDAVDGDGKVEAAKAIVRPLLDDVLELEFVVGPAAAEAPAPANL >ONIVA06G16720.1 pep chromosome:AWHD00000000:6:13919202:13929529:1 gene:ONIVA06G16720 transcript:ONIVA06G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALEDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLSFSHLSRLRGRVHTLWEWVLRKWPSMLPFAQDFIQLTIRTNLMFFYFEGLYYHLPKRAAGIRYVFIGKPLNQRPRYSLFLCSSTLCILGAERLRRSNLSTIASSINQISSGGYPSSRGGRGVPVLNEDGNIISDIRHGKTADLATSSEASSGKSKCTLCLSTRQNPTATTCGHVFCWSCIMEWCNEKPECPLCRTPITHSSRCLSEISFRFLIIFSSSSLITMICLRAAFPLTSSFSSSPLRRLALKPSSSRAAAAAAMSSAPATAIAAPVEHIVLIKVRPEAAASGAAAAMVSSLQALSSVVPGLSYIHVGPVLRLRSPAAEALGPTHVLHSRYATKPDLAAYAAHPAHVAAVQGHVLPNALDTTAIDWVNAALAPSPVTPGSAVRLTLAKVKEGVEVPQLVEKVAAATAAAGEAKGARVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVQEAKAAVRPLLDEVLVLDFVVGPAAEQSFKKLEFFSFVKVERQSSYLVGMGSSEMAFGLYYHLPKRAAGIRYVFIGKPMIQRPSYVFSVLKGFEEQVTVEYLQTTTPSIPTCFIFIFPTSITSPTAPAQVAVSEISFRF >ONIVA06G16720.2 pep chromosome:AWHD00000000:6:13919202:13926164:1 gene:ONIVA06G16720 transcript:ONIVA06G16720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALEDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLSFSHLSRLRGRVHTLWEWVLRKWPSMLPFAQDFIQLTIRTNLMFFYFEGLYYHLPKRAAGIRYVFIGKPLNQRPRYQILGIFLLIQLCILGAERLRRSNLSTIASSINQISSGGYPSSRGGRGVPVLNEDGNIISDIRHGKTADLATSSEASSGKSKCTLCLSTRQNPTATTCGHVFCWSCIMEWCNEKPECPLCRTPITHSSRCLSEISFRFLIIFSSSSLITMICLRAAFPLTSSFSSSPLRRLALKPSSSRAAAAAAMSSAPATAIAAPVEHIVLIKVRPEAAASGAAAAMVSSLQALSSVVPGLSYIHVGPVLRLRSPAAEALGPTHVLHSRYATKPDLAAYAAHPAHVAAVQGHVLPNALDTTAIDWVNAALAPSPVTPGSAVRLTLAKVKEGVEVPQLVEKVAAATAAAGEAKGARVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVQEAKAAVRPLLDEVLVLDFVVGPAAVEAPAPANL >ONIVA06G16720.3 pep chromosome:AWHD00000000:6:13919202:13926164:1 gene:ONIVA06G16720 transcript:ONIVA06G16720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALEDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLSFSHLSRLRGRVHTLWEWVLRKWPSMLPFAQDFIQLTIRTNLMFFYFEGLYYHLPKRAAGIRYVFIGKPLNQRPRYSLFLCSSTLCILGAERLRRSNLSTIASSINQISSGGYPSSRGGRGVPVLNEDGNIISDIRHGKTADLATSSEASSGKSKCTLCLSTRQNPTATTCGHVFCWSCIMEWCNEKPECPLCRTPITHSSRCLSEISFRFLIIFSSSSLITMICLRAAFPLTSSFSSSPLRRLALKPSSSRAAAAAAMSSAPATAIAAPVEHIVLIKVRPEAAASGAAAAMVSSLQALSSVVPGLSYIHVGPVLRLRSPAAEALGPTHVLHSRYATKPDLAAYAAHPAHVAAVQGHVLPNALDTTAIDWVNAALAPSPVTPGSAVRLTLAKVKEGVEVPQLVEKVAAATAAAGEAKGARVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVQEAKAAVRPLLDEVLVLDFVVGPAAVEAPAPANL >ONIVA06G16720.4 pep chromosome:AWHD00000000:6:13919202:13926164:1 gene:ONIVA06G16720 transcript:ONIVA06G16720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALEDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLKSIPCGNGFFGNGLRIVLSFTKASSWHSLCIHWEAIESEAQLCILGAERLRRSNLSTIASSINQISSGGYPSSRGGRGVPVLNEDGNIISDIRHGKTADLATSSEASSGKSKCTLCLSTRQNPTATTCGHVFCWSCIMEWCNEKPECPLCRTPITHSSRCLSEISFRFLIIFSSSSLITMICLRAAFPLTSSFSSSPLRRLALKPSSSRAAAAAAMSSAPATAIAAPVEHIVLIKVRPEAAASGAAAAMVSSLQALSSVVPGLSYIHVGPVLRLRSPAAEALGPTHVLHSRYATKPDLAAYAAHPAHVAAVQGHVLPNALDTTAIDWVNAALAPSPVTPGSAVRLTLAKVKEGVEVPQLVEKVAAATAAAGEAKGARVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVQEAKAAVRPLLDEVLVLDFVVGPAAVEAPAPANL >ONIVA06G16720.5 pep chromosome:AWHD00000000:6:13919202:13929529:1 gene:ONIVA06G16720 transcript:ONIVA06G16720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALEDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLSFSHLSRLRGRVHTLWEWVLRKWPSMLPFAQDFIQLTIRTNLMFFYFEGLYYHLPKRAAGIRYVFIGKPLNQRPSYVFSVLKGFEEQVTVEYLQTTTPSIPTCFIFIFPTSITSPTAPAQVAVSEISFRF >ONIVA06G16720.6 pep chromosome:AWHD00000000:6:13919202:13929529:1 gene:ONIVA06G16720 transcript:ONIVA06G16720.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSHGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALEDSQLDDHSESDSSSIGTAAQPSPIRNSPSRSLRLYYHLPKRAAGIRYVFIGKPLNQRPSYVFSVLKGFEEQVTVEYLQTTTPSIPTCFIFIFPTSITSPTAPAQVAVSEISFRF >ONIVA06G16720.7 pep chromosome:AWHD00000000:6:13926275:13929529:1 gene:ONIVA06G16720 transcript:ONIVA06G16720.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDFGMNKNSLELLHVVLPSMTLSLMTILKEQSFKKLEFFSFVKVERQSSYLVGMGSSEMAFGLYYHLPKRAAGIRYVFIGKPMIQRPSYVFSVLKGFEEQVTVEYLQTTTPSIPTCFIFIFPTSITSPTAPAQVAVSEISFRF >ONIVA06G16710.1 pep chromosome:AWHD00000000:6:13916854:13918954:-1 gene:ONIVA06G16710 transcript:ONIVA06G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNEKNDIGMVVIRGNSVVMIEALEPVPKPQ >ONIVA06G16700.1 pep chromosome:AWHD00000000:6:13915410:13915823:1 gene:ONIVA06G16700 transcript:ONIVA06G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLIYKIPLDLPEDTGSENHHRQMRKSQCDALSSMTSELEPRCAPPPQGKRDLRAKTDCNKERRNLVHRLVHLARRCPAYHKPPPTPHCCRRCLTLEHARRMWVGGEDDQSANAHGPLDLTEVLTEQSDMAIISE >ONIVA06G16690.1 pep chromosome:AWHD00000000:6:13912868:13914749:-1 gene:ONIVA06G16690 transcript:ONIVA06G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTQISKKRKFVADGVFFAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGYPVNLYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHAPKDEDEFSKPLTAEIPVAA >ONIVA06G16680.1 pep chromosome:AWHD00000000:6:13906380:13914821:1 gene:ONIVA06G16680 transcript:ONIVA06G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPPSGGAGGRADTPPFSPIQPLKSPSLPLASASPSSLLSFKPLPLQKIPKKKTNNVRIKRGTHPISSSGDEKRKMDAQYNPRTVEEVFRDFKGRRAGLVRALTADVEDFFRQCDPEKENLCLYGFPNEHWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSMVAVHSDAWLLSVAFYFGARFGFDKNDRKRLFGMINDLPTIFEVVSGKSKAKPPSANNHSNSKSKSSNKTKSSEPRAKQPKPQPQPPVKNEGREEEGGPDDEEGGGGGGGGGREEEHGETLCGACGESYGADEFWICCDICEKWFHGKCVKITPAKAEHIKQYKCPSCSGGNGGGGGGGSGNGKRARPS >ONIVA06G16670.1 pep chromosome:AWHD00000000:6:13900392:13905989:1 gene:ONIVA06G16670 transcript:ONIVA06G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20520) TAIR;Acc:AT5G20520] MVGWLKALCYGAGGMAVVGLAALVALQERLVYVPVLPGIARAYPITPDRLRLIYEDVWLRAADGVRLHSWYGESDGYPSQKGIINDAQAALDHLVQRKDIDTSRIVVFGRSLGGAVGAVLAKNNPGKVSALILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWSTLDIIAEVKQPIIFLSGLQDELVPPSHMRLLYEKAFEHNKNCRFVDFPNGMHMDTWNSGGDRYWRTIQLFLDQYAPEVQSCNTSCKSEIANDDEAEE >ONIVA06G16660.1 pep chromosome:AWHD00000000:6:13890080:13891783:1 gene:ONIVA06G16660 transcript:ONIVA06G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATSFPSPPFCALKLIVGRKPAEGKWLCSWLPRNSLLVETLAISEGEEAEGALPACPIARARATGCGLLLLLLLLLLELLCVEEAPSRSTSSLHGMAMPRFMEAQQATAPGS >ONIVA06G16650.1 pep chromosome:AWHD00000000:6:13890050:13894244:-1 gene:ONIVA06G16650 transcript:ONIVA06G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLGHKMYDQDSPSSDSGQSHQEESAMNDSSPNERHTSTQSDNDDGHQMPDQDKTKSVSSLGNPGALPPKLDYSQSFACIPYTADAYYGGVLTGYSSHAIVHPQQNGTANSRVPLPVEPAAEEPIFVNAKQYHAILRRRQIRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLEEQKQQQEEEAASGGASSGNRTCLQNGTGSAPSASSPSEIASVSTSREFLGNHEQSHFPSAGFLPTMSFRAQNGGDGKLVANAIHQRVSMMR >ONIVA06G16650.2 pep chromosome:AWHD00000000:6:13890050:13894246:-1 gene:ONIVA06G16650 transcript:ONIVA06G16650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLGHKMYDQDSPSSDSGQSHQEESAMNDSSPNERHTSTQSDNDDGHQMPDQDKTKSVSSLGNPGALPPKLDYSQSFACIPYTADAYYGGVLTGYSSHAIVHPQQNGTANSRVPLPVEPAAEEPIFVNAKQYHAILRRRQIRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLEEQKQQQEEEAASGGASSGNRTCLQNGTGSAPSASSPSEIASVSTSREFLGNHEQSHFPSAGFLPTMSFRAQNGGDGKLVANAIHQRVSMMR >ONIVA06G16640.1 pep chromosome:AWHD00000000:6:13886844:13889534:1 gene:ONIVA06G16640 transcript:ONIVA06G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLHAPAAAAAVVPSPLSLRSPPKTPFLPTSPIRVPTPRRRPAAFSSAAAAVVPIAASLLEGPVLVWAGRLCLYYALLHIGLAGSPRNPFLAHEIGDDGAGDSDLGFSKWADKLRGGAPGENEAQDKRKLVSKWKPTTKGTLKRTYRVRSVEEGRRILKEIALVLSEDDHFVDASSHKGCQIRRESAHGESVCCYNVRALFDELPTPHLVLEITAFPAGPLTDNDYRKAERLEMVLRMSASI >ONIVA06G16630.1 pep chromosome:AWHD00000000:6:13877819:13886288:1 gene:ONIVA06G16630 transcript:ONIVA06G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G50690) TAIR;Acc:AT3G50690] MGRRKKEKRKKRKKEMDEAWERAVEAALQAAGEGSSSPARSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLASLRDLDLSNNRIQDVGDLSPLANLRLVSLDLYECPVTRVKDYRSKVFGMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEEEDDDDDEDEDPGSGEVANGGVSHPRGGVASHPVEVNGVIDVDEDESDADEVVPNGGDEHHHANGFRVAAVGDEDEYVEEEDDDDEEDYEEEDDLGEEIDEDGDDEDAVVEVHDVPSSSDEEEDGIEEEDEEEDEDEEEVEDDGEEAEPESSGRVALAVGDVGEEIDGHEHGEGEDEDENGEIGEEDEERLEDDRVYEEGNDDDEEDVDDEDEDTEYLVQPIAQPQAMAVGNNFDAAEADDADEDRDEVDDDDDGGTDLPSSSQGAKRKRDDDPSGSGDDDEDDDGVEDLRPFKHH >ONIVA06G16630.2 pep chromosome:AWHD00000000:6:13877934:13886288:1 gene:ONIVA06G16630 transcript:ONIVA06G16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G50690) TAIR;Acc:AT3G50690] MDEAWERAVEAALQAAGEGSSSPARSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLASLRDLDLSNNRIQDVGDLSPLANLRLVSLDLYECPVTRVKDYRSKVFGMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEEEDDDDDEDEDPGSGEVANGGVSHPRGGVASHPVEVNGVIDVDEDESDADEVVPNGGDEHHHANGFRVAAVGDEDEYVEEEDDDDEEDYEEEDDLGEEIDEDGDDEDAVVEVHDVPSSSDEEEDGIEEEDEEEDEDEEEVEDDGEEAEPESSGRVALAVGDVGEEIDGHEHGEGEDEDENGEIGEEDEERLEDDRVYEEGNDDDEEDVDDEDEDTEYLVQPIAQPQAMAVGNNFDAAEADDADEDRDEVDDDDDGGTDLPSSSQGAKRKRDDDPSGSGDDDEDDDGVEDLRPFKHH >ONIVA06G16630.3 pep chromosome:AWHD00000000:6:13883399:13886288:1 gene:ONIVA06G16630 transcript:ONIVA06G16630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G50690) TAIR;Acc:AT3G50690] MASQPLRLVRPSPLAGRHAAACKCSAAIPLVFGRQRLPLLVAFPRGSGSGSGSGASCSAVQESSSAAAATTVSEKKDAADAKKEATAEAKPAAKPAAKPKKPPVKPLPEMMQEEIIPPLKAALEAEDDVSQVELSFEDNRLEGSFIKDEVPYYFWAFFPNGDLTGPKGFALSSYGTEVSTIEPFLIDEKRANAKYVVFWVYKRLAGQGILPVWKEEEGEGEGEGESSA >ONIVA06G16630.4 pep chromosome:AWHD00000000:6:13877819:13881655:1 gene:ONIVA06G16630 transcript:ONIVA06G16630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G50690) TAIR;Acc:AT3G50690] MGRRKKEKRKKRKKEMDEAWERAVEAALQAAGEGSSSPARSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLASLRDLDLSNNRIQDVGDLSPLANLRLVSLDLYECPVTRVKDYRSKVFGMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEEEDDDDDEDEDPGSGEVANGGVSHPRGGVASHPVEVNGVIDVDEDESDADEVVPNGGDEHHHANGFRVAAVGDEDEYVEEEDDDDEEDYEEEDDLGEEIDEDGDDEDAVVEVHDVPSSSDEEEDGIEEEDEEEDEDEEEVEDDGEEAEPESSGRVALAVGDVGEEIDGHEHGEGEDEDENGEIGEEDEERLEDDRVYEEGNDDDEEDVDDEDEDTEYLVQPIAQPQAMAVGNNFDAAEADDADEDRDEVDDDDDGGTDLPSSSQGAKRKRDDDPSGSGDDDEDDDGVEDLRPFKHH >ONIVA06G16630.5 pep chromosome:AWHD00000000:6:13877934:13881655:1 gene:ONIVA06G16630 transcript:ONIVA06G16630.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G50690) TAIR;Acc:AT3G50690] MDEAWERAVEAALQAAGEGSSSPARSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLASLRDLDLSNNRIQDVGDLSPLANLRLVSLDLYECPVTRVKDYRSKVFGMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEEEDDDDDEDEDPGSGEVANGGVSHPRGGVASHPVEVNGVIDVDEDESDADEVVPNGGDEHHHANGFRVAAVGDEDEYVEEEDDDDEEDYEEEDDLGEEIDEDGDDEDAVVEVHDVPSSSDEEEDGIEEEDEEEDEDEEEVEDDGEEAEPESSGRVALAVGDVGEEIDGHEHGEGEDEDENGEIGEEDEERLEDDRVYEEGNDDDEEDVDDEDEDTEYLVQPIAQPQAMAVGNNFDAAEADDADEDRDEVDDDDDGGTDLPSSSQGAKRKRDDDPSGSGDDDEDDDGVEDLRPFKHH >ONIVA06G16620.1 pep chromosome:AWHD00000000:6:13869878:13871311:-1 gene:ONIVA06G16620 transcript:ONIVA06G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQG5] MAAARRVVLFPSLGVGHLAPMLELAAVCIRHGLAVTVAVPDPATTAPAFSAALRKYASRLPSLSVHPLPPPPHPPASSGADAAAHPLLRMLAVLRAHAPALGDLLRGPHAARALVADMFSVYALDVAAELGVPGYLLFCTGATNLAVFLRLPRFCAGSSGSLRELGDAPVSFPGVRPLPASHLPEEVLDRGTDISAAMLDAFDRMADARGILVNTFDALEGPGVAALRDGRCLSNRATPPVYCVGPLITDGGAEEERHPCLAWLDAQPERSVVFLCFGSRGALSPEQVSEMATGLERSEQRFLWALRAPAGTKPDAAMSLLPDGFLARTADRGVVVTASWVPQVAVLQHASTGAFVTHCGWNSTLEAVAAGVPMVCWPLDAEQWMNKVFIVEEMKIGIEVRGYKPGALVQADIVDAILRRIMESDVQQGVLERVMAMKESAAAAWKEGGSSCTAFAEFLKDMEEGNVAMAHSNQVET >ONIVA06G16610.1 pep chromosome:AWHD00000000:6:13850693:13853947:-1 gene:ONIVA06G16610 transcript:ONIVA06G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKASTPAGGAVRDGLRRLTQLSLVSKVCSELEAHLGVGDRVLAEFIVDLGRASPSVADFDAKLKAHGADLPDYLARTLHTVIHAIPTHADDAPAPDPQNPASRGTGARVCGKDKAEERVRDGDPGLYQVCRGKVTGLADAGCFVRLDDARGREGLVHVSEMPGRRIAVKRGQEVFVKIVSVQGRNLGLSMRDVDQDTGKDLLPLQRARGQDDVPRPMANPWTDRAAATGRRTGVSGIVIPEDNQTGTASSRQPIRRMSSPERWEMKQLIASGVLNAKDYPAFDDEDSEGMNYQEEGVEEELEIELNEDEPAFLRGQGRSTIDVSPVRISTNPDGSLSRAAVLQSALIKERRDIRNEEQRALVDSIPKDLNRPWEDPVPEVGGRYLAQELRGVGLSAESMPEWKKEAYGKTVTFGQTSRLSILEQRQSLPIFRLKNELIQAVCDNQVLVVIGETGSGKTTQVTQYLAEAGYITRGKIACTQPRRVAAESVAKRVSEEFGCRLGEEVGYSIRFDDHTGPDTVIKYMTDGMLLREILLDTDLSSYSVVMLDEAHERTIYTDILFALLKKLIRRRTDLKLIVTSATLDAEKFSGYFFNCNIFTIPGRTYPVEILYSKQPESDYMHAALLTVSQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKSLGRNVPELLIYAVYSAQPAEMQSKIFEPTPPGKRKVVVATNIAEASITIDGIYYVIDPGFAKLNVYNPKQGLDSLIITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMPPTTTPEIQRINLGETVLNMKAMGINDLLSFDFMDPPAPQSLITAMEQLYNLGALDEEGLLTRVGKRMAEFPQEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADRKRGNFFQPEGDHLTLLTVYQAWKAKQFSGPWCYENFVQLTSLRRAQDVRKQLLEIMDKYKLYVVSAGNDLTKVRKAITAGFFFHAARKDPQGGYRTIADHQQVYIHPASALFQQQPEWVIYHEVVMTTKEYMREVTAIDPRWLVELAPRFYRSADPTKISKRKRQERIEPLYDRYNEPNSWRLSKRRG >ONIVA06G16600.1 pep chromosome:AWHD00000000:6:13837148:13840002:1 gene:ONIVA06G16600 transcript:ONIVA06G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPSSVRALAFLHRRLPPPRPHLLQPPTHPAPPRSAMAFSAEPAAEEAEEEALPPVTGPGEVTAEEWRRWGTSSPLPAAVAAVVGELLDMEAKAGQKMRFGGVGSKIKGDFKDMEDKKHRAVYQTLADSDKKLQYFSARQIACRLLGSRGYLCQKDFLRQNNTGKLLWQVFGIQAAPLCLFGIQEHEDIMWDAFQRSGKGKVSFLYPNKSTTPMSVKDLKFDGLNLSCDHPEEDVKDEPFNFVLLDGTWSNSAALYRRLKTR >ONIVA06G16590.1 pep chromosome:AWHD00000000:6:13833486:13833860:1 gene:ONIVA06G16590 transcript:ONIVA06G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPSRSNRQGGGTPGRWQRTLDGAGGTPEAELLDVGSTDAPAWPSGGGGAHETGEQWSVQWEGAALAMGAGRGGDVGNKRGVLGWRRRRVRCEGVVAVALDLAVLGHALLSISVSHSARLGA >ONIVA06G16580.1 pep chromosome:AWHD00000000:6:13823208:13830086:-1 gene:ONIVA06G16580 transcript:ONIVA06G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G53060) TAIR;Acc:AT5G53060] MDRPRSSKRGYHYDQDSPPPRSKPRFDRRGGPNPNNSYHRRGPPGGGGGDRRGGFQLPPDAAPPPPPPPPPSSAAAGGGGPGMTTSFRILCPQSKVYGFPPSFIAKVRDDTNAVVTIHLPYPGDAVRVIETSDGARREADGRPPSFSPAQEALLMVHRRILETEPDDGDEDGEYGPRAKDARDRGKVTTRLIVPRLHVGCLLGKGGKIIEQMRAETKTHIRILPRDQHTPRCVSLSEEVVQVVGEGNCVKKAVAIISDRLKESLHRDRGPFRGRMNSPEHRFPQEDEYYGGAQQMPAYEEPYGRPDQIRNNTSMEPPGYEFDSNGGKINDHTEILFDDIIFRILCPSDKVNSLVGTRDGLLEMLQEDVGVDIRLTDSLDGSDERIIIITSREGPDHELFPAQEALLHLQTHIVDLGPDKDNIITTRLLVPSSEIACFEGRDGSLSDIQRQTSANVQILPRQALPSCALESDELIQIVGEIRAARDALVQITAKLRSYFYREIPGPNQLGNITVHGSISPAKGSPRGPYQGSDIPMPSYQQAQHVPASWKDSGGGANMSFEQGSNINDDMRQSAAKRFAVPLVTRSTLEVVIPKSAVASLTMRAGSKLAQISEMSGATVTLADERPDAIEKVVRISGTPEQADKAQSLLQGFILSIQDDIPSG >ONIVA06G16570.1 pep chromosome:AWHD00000000:6:13818490:13818924:1 gene:ONIVA06G16570 transcript:ONIVA06G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMNDEARLLKAYGELPDTLRLNEGVDVDGPEDGGDHDDYIQFEDEDIDKI >ONIVA06G16560.1 pep chromosome:AWHD00000000:6:13810797:13814852:-1 gene:ONIVA06G16560 transcript:ONIVA06G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G48160) UniProtKB/Swiss-Prot;Acc:Q943Z6] MDGGDLRSSIKKWNVIYPVYLNSKKTVAEGRRIASGKACPDPTCVEIADCCSHLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSPVNPAIKTKKQLMIQIAELVPKHHGRTKKQEPAASSTAGTSKGKGGKKKK >ONIVA06G16550.1 pep chromosome:AWHD00000000:6:13807337:13809167:-1 gene:ONIVA06G16550 transcript:ONIVA06G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPTSPPTSPLPGSPTNNATPPSPVSALLRATVLFAAFLALQLVLFKSLLTFPSSRFLPAPRRSNSTWANGAVDDAEECKAGLIYVYDLPPEFNHDLVAHCDRLWPWYSFCPYLSNGGLGRPAAEVPALSAVVPNASMPNWYNTDQFPLEVIVHRRLLSHRCRTIDASLATAFYVPFYAGLDVGSHLWGPNSTVADRDRAGARLLRWLRGQPFFAKSGGWDHFITLGRITWDFRRYGADGWGTNLVLMPGMENVTRLVIEGDRLDPLDVGVPYPTGFHPRRAADVRAWQEHVLSLDRRNLFGFAGAPRSGFPDDFRDVLLEECEDAGSDRCRAVDCRGTRCNDDGAAVMRLFMGSRFCLQPRGDSFTRRSLFDCMVAGAVPVLFWRRTAYDAYRWFLPRGEEGEWSVFIDRRALRVGNVSVRDVLEGYSERRVRRMRERVVEMIPRLVYGSSPDGLGDGMDDALDVALGGVLKRFRHRRWSIGHEAERPPGRLVAGAPPRRGSSKTTTPPTSNGRNGSVIGQRASRHRSTAASSAYIKTVLSEAPASRSKSLQQS >ONIVA06G16540.1 pep chromosome:AWHD00000000:6:13782845:13784317:1 gene:ONIVA06G16540 transcript:ONIVA06G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGQARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLTSHVALARPAPHAAGLQYSCGHRGRFVAPDQQNDGDEDDAGETETTMPHMVARPRRNSSHIVDERPPFNSGWRDAVPYGGGRRGHDPAPRREIAPIPTSTYGYQQQLQREEQDECTVGWQWLEQCMAGVQPPRHVPEHHVVVAAAAETSYVTAAATDGVSEKTVEMDAGRKVCPAKDLYPVRPPAVPGYMAATQSARAKARMAPASAHVAPRAAQSHARSRSSSVALAGASTATSGWSTNNNCSGGAGGRAPLHRAGYSPESSCSGDRTPPPPPQGGGRGRAAYA >ONIVA06G16530.1 pep chromosome:AWHD00000000:6:13780639:13781357:1 gene:ONIVA06G16530 transcript:ONIVA06G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPGGAGAGAGWLATVRKVFKPGTSKDPRLAKKQRGGDENAAGGGSGGVGQAVEVLSMEHFPAAETSPDVTTNEGSGGSAFGRERLHVGRDEAEGAWRARRGMAASRAVRNAAARGRAAGREERAAVRIQAFYRGYLIQWSITKLHIK >ONIVA06G16520.1 pep chromosome:AWHD00000000:6:13765693:13773211:-1 gene:ONIVA06G16520 transcript:ONIVA06G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPIPTPVVYPEMAGSASSPYVAPLISSQITGRLRPASARTDPLGLAAAAAAVRVRSWRRFAGARCEVALLPARRISAQPRRRNALREKASPPPPADPQVLEESGSLDVQRLPDLDREPGISGGLDAVTSGNIVSKWNLEGGSDVVGLGVAQTGPGIVGRGGNTEVQGRGGNVEEVMISRAGAMANQFGSGECEVPTLSSSFPFLAVEAKEPLVVDAQFLAVQIDKPRLRSIAWSGFAALCAACVLLAVSKLIWGNGKKYLSRNMFDILRPRMNKGESGKGGIKVLKNVKCPEDLLGRPQLDRHKLMNNIKRAKQSRELFDLSSVFGYCSVATCYDVIITETRRMVTNVHTLLEGILEQSKTKSKHSVLFPHPAATNGQEVSASHGQCSVYLNDVLGCAELPDISISNNIIGETVESSVDFKSSAQVMDNSVKNQNNVGDIEPPVDTPTNDMPTDAKDSIPMVHVVEIEEQIGSPDECIDGLNSISIPSSEFEGQKQFPDISVKNVDGIFGIKSSQISSDTDVIGTNDNSHKFSINVASKTTGDLSSGCSNSIPSESESKEIPVDINRNDLNYFQEIEAQSTFANYDAQTVQYEEISHRVSMITKEACINPAMADILITKSPQRIGEEPVDLMRGNAQSMQELEPSSSIRDHKQIVLANQKNNIISRSHNETQASSEIDIIGTNDNASTSSVYDLPEESIHQSAKNSTENTSYNEEPEESIIKRKIKLHQEMCNDKDAQTKHKVEGVSEIGPEFGPSNDVCKTETVAKKRSKKTPCDKGLKVPEQDIVQCNSMADKKSSSKNVKRTRKNLKSALRNQGTQTTQEISETALVVNSPDDAPRDENIRPFGGSGSSTETQSPTFSDTFSEARPNGFSISTMRKEKSKHNFQPLESVEAAAVKFKTNRHGDNIMNERAIDFDISNLGVTTTKKMTKRRSLSKRKKPANGLGGATDVPPDRSTFFFWHIITHPRLEVGSHDHHKRQEVCSDPLVDVGTPACFAAAGCLLLSSAVAFAVAAAVAVAIVPVHPLIVISILTVGAPLRLLGWRHYDGLRWW >ONIVA06G16520.2 pep chromosome:AWHD00000000:6:13766672:13773211:-1 gene:ONIVA06G16520 transcript:ONIVA06G16520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPIPTPVVYPEMAGSASSPYVAPLISSQITGRLRPASARTDPLGLAAAAAAVRVRSWRRFAGARCEVALLPARRISAQPRRRNALREKASPPPPADPQVLEESGSLDVQRLPDLDREPGISGGLDAVTSGNIVSKWNLEGGSDVVGLGVAQTGPGIVGRGGNTEVQGRGGNVEEVMISRAGAMANQFGSGECEVPTLSSSFPFLAVEAKEPLVVDAQFLAVQIDKPRLRSIAWSGFAALCAACVLLAVSKLIWGNGKKYLSRNMFDILRPRMNKGESGKGGIKVLKNVKCPEDLLGRPQLDRHKLMNNIKRAKQSRELFDLSSVFGYCSVATCYDVIITETRRMVTNVHTLLEGILEQSKTKSKHSVLFPHPAATNGQEVSASHGQCSVYLNDVLGCAELPDISISNNIIGETVESSVDFKSSAQVMDNSVKNQNNVGDIEPPVDTPTNDMPTDAKDSIPMVHVVEIEEQIGSPDECIDGLNSISIPSSEFEGQKQFPDISVKNVDGIFGIKSSQISSDTDVIGTNDNSHKFSINVASKTTGDLSSGCSNSIPSESESKEIPVDINRNDLNYFQEIEAQSTFANYDAQTVQYEEISHRVSMITKEACINPAMADILITKSPQRIGEEPVDLMRGNAQSMQELEPSSSIRDHKQIVLANQKNNIISRSHNETQASSEIDIIGTNDNASTSSVYDLPEESIHQSAKNSTENTSYNEEPEESIIKRKIKLHQEMCNDKDAQTKHKVEGVSEIGPEFGPSNDVCKTETVAKKRSKKTPCDKGLKVPEQDIVQCNSMADKKSSSKNVKRTRKNLKSALRNQGTQTTQEISETALVVNSPDDAPRDENIRPFGGSGSSTETQSPTFSDTFSEARPNGFSISTMRKEKSKHNFQPLESVEAAAVKFKTNRHGDNIMNERAIDFDISNLGVTTTKKMTKRRSLSKRKKPANGLGGATDVPPDIQQQ >ONIVA06G16520.3 pep chromosome:AWHD00000000:6:13765964:13773211:-1 gene:ONIVA06G16520 transcript:ONIVA06G16520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPIPTPVVYPEMAGSASSPYVAPLISSQITGRLRPASARTDPLGLAAAAAAVRVRSWRRFAGARCEVALLPARRISAQPRRRNALREKASPPPPADPQVLEESGSLDVQRLPDLDREPGISGGLDAVTSGNIVSKWNLEGGSDVVGLGVAQTGPGIVGRGGNTEVQGRGGNVEEVMISRAGAMANQFGSGECEVPTLSSSFPFLAVEAKEPLVVDAQFLAVQIDKPRLRSIAWSGFAALCAACVLLAVSKLIWGNGKKYLSRNMFDILRPRMNKGESGKGGIKVLKNVKCPEDLLGRPQLDRHKLMNNIKRAKQSRELFDLSSVFGYCSVATCYDVIITETRRMVTNVHTLLEGILEQSKTKSKHSVLFPHPAATNGQEVSASHGQCSVYLNDVLGCAELPDISISNNIIGETVESSVDFKSSAQVMDNSVKNQNNVGDIEPPVDTPTNDMPTDAKDSIPMVHVVEIEEQIGSPDECIDGLNSISIPSSEFEGQKQFPDISVKNVDGIFGIKSSQISSDTDVIGTNDNSHKFSINVASKTTGDLSSGCSNSIPSESESKEIPVDINRNDLNYFQEIEAQSTFANYDAQTVQYEEISHRVSMITKEACINPAMADILITKSPQRIGEEPVDLMRGNAQSMQELEPSSSIRDHKQIVLANQKNNIISRSHNETQASSEIDIIGTNDNASTSSVYDLPEESIHQSAKNSTENTSYNEEPEESIIKRKIKLHQEMCNDKDAQTKHKVEGVSEIGPEFGPSNDVCKTETVAKKRSKKTPCDKGLKVPEQDIVQCNSMADKKSSSKNVKRTRKNLKSALRNQGTQTTQEISETALVVNSPDDAPRDENIRPFGGSGSSTETQSPTFSDTFSEARPNGFSISTMRKEKSKHNFQPLESVEAAAVKFKTNRHGDNIMNERAIDFDISNLGVTTTKKMTKRRSLSKRKKPANGLGGATDVPPDLQAYY >ONIVA06G16510.1 pep chromosome:AWHD00000000:6:13765029:13766122:1 gene:ONIVA06G16510 transcript:ONIVA06G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVNLPHHHHLRCRLHAAAALLHLLVLLGGGGAAMARAQAIPTSCCGMFEGLDLAPCLQDAVAGAAAGIGIAGGNISGACCSSLNQALDAGHRCLCSLLLPSNGGGAGVRVLASLAAALPTLPLALPLPGCLLYAPPVLSCQVPVQEQTDAPPAATEAATATETTVDSPPPQAVVMPPSKKSKRSADGENADDDQGMNGNYGNGYGSGNGKGNGGAKKKTASRSEARRRTNVDEGIRTHLLTFVVVMAAFWFSII >ONIVA06G16500.1 pep chromosome:AWHD00000000:6:13763286:13764594:1 gene:ONIVA06G16500 transcript:ONIVA06G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQPQRMEDQLQSQAQGQGQGQTEAIKYGHVFAVTGELAGQPIAPRDAAAMRSAEESVPGVPVPQDIGGGYSAGVAMETAAAYNQAVGAVRPGQASDAATNQGIAVTQTSVPGGRIITEFVAGQVVGQYSVADQAVEQDATKITVGEALEATALAAGERPVDRTDVEAIRAAEMAAQGSDVTMPGGLADQAQAAARSNADADRDDDKITVGDVLTDATAKLAGDKVAGAEDAAKVVQAETYSDAAARTRAGGVGAAVSTAARLNQADDDADDDAE >ONIVA06G16490.1 pep chromosome:AWHD00000000:6:13748375:13748907:1 gene:ONIVA06G16490 transcript:ONIVA06G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNVWSKVPLHWSGATRRDEGMDIATNGGESILQSTVSLAADSVADEALDFRFQFLVVDWYGRGGGACAGGVGSGEAVERGRAIGTTESVLHFGEREGRGR >ONIVA06G16480.1 pep chromosome:AWHD00000000:6:13741687:13742632:1 gene:ONIVA06G16480 transcript:ONIVA06G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSRSLSLSFLSSFLSPLFFSWLPRASRSSVGRRHRQRKADAAIEHNSLQQLHPPCHLSLRQRGSPPPWPHHPSSPWGRSQSHSWWWCAEERECAAWARAMRMPRRGRVGTTAASDGGRGVIVLAIGYHRYRCRCHWETRDKCLGLVWFVSAACSPTPPLCPLSLLLHSAALVAMAARPLDSTPPAEVDFLGVGLWTVVTCSLWRTRFAAAPTTFSCRRSHTGAAAAMET >ONIVA06G16470.1 pep chromosome:AWHD00000000:6:13735074:13736874:-1 gene:ONIVA06G16470 transcript:ONIVA06G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAIAISPMVEEFALLPICFDGSRSPHCLSGSQLQDSIIIFLAVPGAPPMPMSVLGSESIASVKLRIQRFKGFVVNKQRLVLDGHELARNNCHVKDYGLADGNVLHLVIRLADLRLINIETTSGKKFQFQVDQSRNVKYLKSKLAVEGDEDLGEDHKLECDGKELEDHQLIADISKKDDAVIHLFIRKPAKLRTQQIDRDTVVTVVTPQEKENLQNEAHAVNPAKPAGARPALVEPIIVNHKVKLSLEVMRMISSAIAGLENGYLPVMSAEGSGGVYFMQDASGEKNIAVFKPRDEEPMAKNNPRGLPVSTDGEGMKRGTLVGEGAFREVAAYILDHPIGDHESEERIGFSGVPPTALVRSLHRGKSFKIGSLQMFIQNNGSCEDMGPRAFPVKEVHKIAVLDLRLANADRHAGNILVCKDEEGGNYKLVPIDHGYCLPEKFEDCTFEWLYWPQAREPFSDETIAYIKSLDAEEDIKLLKFHGWELSARCARVLRISTMLLKKGAARGLTPYDIGRILCRETVNRDSEIEDIVQEAEGHVLPGSSEVIFLETVSEIIDRHLDKKFA >ONIVA06G16460.1 pep chromosome:AWHD00000000:6:13722389:13724818:-1 gene:ONIVA06G16460 transcript:ONIVA06G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAKEVNTNRSRCGSSISMRMEGDRNGALAMLSISSSSARYGNDHQELASAAAAFRESAGDPRKLSSGMSSRLLPARSMRSTGAGDDGEVAAAPVAGARSLSFSKLFSFRIANAARCSSSLDFDHPLPSDAASSDHISAPAACLLFARFAKNDETTATKTKMKTKAKHASDHMNISRSQSVPMSTLSRFSSKGGGGSKRVADSSSLRIHDGGSVRFRVSVIGASPPDGNADAAAAAGEEEDDAGSVEAEEEALVCRICMVALSEDGASGGGGGTLKLECRCKGELALAHGDCAVKWFSIKGNATCDVCNHEVLNLPVTLRRVHDRQQLVYEAAAAAAAAAAAAAGDDIAGGNRRGGYSYGRVWRGTTILVIVSMLAYFCFLEQLLVGDHGTAALAISLPFACVLGLFSSLTTAKMVSRKYVWIYSAVQYLFIVLFTHLFYRYVRLQAVIAIILSTFAGFGVAICANAILLQIIRWRARRASMSAAQEEEEARRAPTQADLENALPPP >ONIVA06G16450.1 pep chromosome:AWHD00000000:6:13721121:13721498:1 gene:ONIVA06G16450 transcript:ONIVA06G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGGRRWSGDAGGERGGEVAAGATASLPASGTLSPSILSPSIAYLSPSPPRAEWGQWRQEREREAASTTTAEGEKEMWAGATASSAAERSSAPRTGSGEERRAAWRQGRQRAQRAQHAGAYEH >ONIVA06G16440.1 pep chromosome:AWHD00000000:6:13720121:13720387:1 gene:ONIVA06G16440 transcript:ONIVA06G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNQPAGHSDTRHGSGEAHRRGWNGKETATTTRKKMMPAHVDVGDGAPRLAELDEGVAEGEEETTKPAVASPGLGDTKRQLECTPK >ONIVA06G16430.1 pep chromosome:AWHD00000000:6:13718506:13719894:-1 gene:ONIVA06G16430 transcript:ONIVA06G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHRADVNPRLQIDVTSPASSVSSASPNLHHCLILPPSASAPADMPTTHRRGLNRTVISCWLLGASSSAAAADLPPLIFFPELAMAELVLELAGGKRRQISACNLRRKCKGVQGEAIGGILASIGHRN >ONIVA06G16420.1 pep chromosome:AWHD00000000:6:13717836:13718408:-1 gene:ONIVA06G16420 transcript:ONIVA06G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRMLTERPMKLGEVQIAMTTSRTTRRRRGASGNDDAGLIWLMIYHTCIHTVLNRSQESFMPEKLNCDSPEREKSKVELDGWNWGRGSQSPSSVHDSWEFLGGFGEDVEKVLVVLWLSLRRSTMAGTATMSLRRCAERAPELRWARQRSRRLAARERMKSKGEIFGMVRRVWNVEEIGLAKIRLHHGRR >ONIVA06G16410.1 pep chromosome:AWHD00000000:6:13715669:13716034:-1 gene:ONIVA06G16410 transcript:ONIVA06G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRNVKWKELEAEAEKAEPADWLPAIARAFRLLQEINEREKRDEEELREEMEFAAWVAATSAESYARFNLPPMMLEEEAEVEAAIRHHRCDDDFSVLRPEGHEEIKRRISNDGILRHFD >ONIVA06G16400.1 pep chromosome:AWHD00000000:6:13711868:13712452:1 gene:ONIVA06G16400 transcript:ONIVA06G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLAAWLLLVVLLPPLFAAVDDGMRSGGGKCTTSCGNVGFEYPFGGDFNLTCNHSYQPPRLFLGDGSVQVLDISVPHGWALINNTRMVFNSTETRVVLNRTWDQLVGGPYSLSGSNKIALVGCNARVDLRAGDDDTGSNLISSCTAVCPLDLLKNMHPPFSVGSGGTDRAACSGVGCCQANINLDISSS >ONIVA06G16390.1 pep chromosome:AWHD00000000:6:13702138:13703520:-1 gene:ONIVA06G16390 transcript:ONIVA06G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSDSIGVVLIVRFLVLPVVGLLLALFLRSRAKRINSSTQITHTVGESSNIEGGNAELEIAVAAAGPRRYSYAELAAATRDFAEEEKLGRGGFGSVYRGRLPVVPGGDVDGGGGQQVAIKFLSESSSQGRKEFEAEVRIITRLRHRNLVQLLGWCDCPRGLMLVYELVPGGSLEKHIYDNQRLLTWSERYKIIIGLGSALRYLHQEWEQCVLHGDIKPSNIMVDSSYNTKLGDFGLARLVNHGERWKTTTAVQGTAGYIDPEFINTQRPSTESDVYSFGMVLLEIVCAKPPVVLHEDEPSFVLLRWVWSLYSQNAILDAVDERLRGVFRDERQMERVLVVGLWCAHPDLSERPSIARAMNVLQSDDTRLPDLSPQMYKSKVSPPPREVAMGGEYDSVSTASTTATRSSGSFVG >ONIVA06G16380.1 pep chromosome:AWHD00000000:6:13696362:13700221:-1 gene:ONIVA06G16380 transcript:ONIVA06G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQWMYADRRSKEFIDGLHYFLGVANDNKRNCAKQEKGTNLCGNYVCHYMHCLAHQIRTGQDLEMIYLIDNTTHDDFIRVVQEQIMGFINKQILDRTREFYYDGSTIHKAGPCSSDATKS >ONIVA06G16370.1 pep chromosome:AWHD00000000:6:13670960:13671577:-1 gene:ONIVA06G16370 transcript:ONIVA06G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFRRRHGSGGPLPCLRKLIWAAWENHFSRSARNVKPGDFGLARLVDNEAERRTTHVVAGTVGYIVDPEFVKQPRTLRRVGRVQLRRGASSSRRSPALLSFVRGTNDRGSVLDAADERLNGEFDERQMERVLVTGLWCACHDATRRPSVAQAVEALRSVGAELPVLGPARTGAERSLLVELRAYGDLSVEFSTAYPTASVPYFQ >ONIVA06G16360.1 pep chromosome:AWHD00000000:6:13659993:13664223:1 gene:ONIVA06G16360 transcript:ONIVA06G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASNGEETPSSSMAPTALPIAAKLMVATDRGECERLKDIVSKEDTTTMVVALGSSREASAAAMALKNAAAEERASSSTGAMDAKVLIATSPSDCESLKDTLSVEDAAAMLVVMTSRKDVATKPSMNPLLLSLASRGECATLDQILNMLGVPAPPQGLEPTLPTQQATGALASAEPGMDLNGVTIEGDTALHVVATCGEDRFYLKCAKNIYNKAKHLLFAENNKGDTPLHCAVRAGNAEMVSCLIGLAKSEDNSGSSSRLKEFLRKENCSKETALHEAVRVGNKNIITKLFEFDSELARYPRDGTGTSPLYLAVLLERVDIARKLHELSKGRLSYSGPNRQNALHAAVLQGKEMTEMLLNWNTDLTKQADQNGSTPLHFAASLFWGGNLKQWKSKTPLIHVLKANPIQLYQPDSEGFYPIHVAASSGAKTAFTYFIKERPEIAGFRDSKGRTFLHVAAESNTWDIVAYTCSTPSLAWILNLQDNDGNTAMHVAVQHRYKYTFCSLLKNKEVNLNIPNHKGQTPLDISCSKIPEGFFYGWNIDKLILRALMICNASYGNLRVDHLKEQVLRQRKKLDKVRESEKLTDSTQTLGIGSVLIVTVTFGALFAIPGGYKADDHYNGGTPTLARRYIFDAFIMADTIAFICSVLATINLMYSGMAMVSLALRYWHFNTSLFLAYSSVTSLGAAFTLGMYLVLAPVARWTAIAICVMMMIASTCLFTEPLNAFRVAIALYVRKGNRVLPVIARVLLFRTLITYWPCAVIFGWAAISTKYGHGRHG >ONIVA06G16350.1 pep chromosome:AWHD00000000:6:13630861:13633271:-1 gene:ONIVA06G16350 transcript:ONIVA06G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGGEPAGAPSPDASVAAAPSSSVQAMPPSTGSGSAAAHRAGHSAAPPPHSFLSDDAPAADSGFSFAADYETPATNHLAVIIAVPVSVCVVIVAVLLYVCRKRKAISTKQQQIEPAVTHESEPNELRDAEAGALEPVAASAGPNHGKEYGGDPAAAGGPRQYEYGERVVSDGPRHGAAYNELVAAGPRLYEYGELAAATRDFAEEEKLGRGGFGSVYRGRLAGGVDVAIKKFSSDSSSQGRKQFEAEVKIISSLRHRNLVRLLGWCDSSMGLLLVYELVQQGSLDKHIYNADKPLTWSERYKIILGLGSALRYLHEEWEQCVVHGDIKPSNIMLDSSYNTKLGDFGLARLVDHDKGWQTTKAVLGTAGYIDPEFITTRRPSVQSDIYSFGIVLLEIVSGRPPVLLQEGAPPFLLLKWAWSLYVRNAILDAADERLWAAGGGKEDDARQMERALIVGLWCAQPDTAERPSIVQAMHALQSDDVKLPELWPQMYMASPSPAKKFAMGEYRLSGVSSFASSGVPSSATSRTTRSSGSPSRRDSRTRQAGTRDGHARRLLVVLLKWVWNLYGRSSILDAVDPRLRGDDDDEEHSELWQMERVLVVGLWCAHPDRSERPSIAQAMHVLQSDDVTLLRAGAAAAVVQGRATARNHWTCVRVSIG >ONIVA06G16340.1 pep chromosome:AWHD00000000:6:13617295:13625130:1 gene:ONIVA06G16340 transcript:ONIVA06G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVKAKLTVAKAKEDTAKPPMNPWLLFLASRGDCDGITEHILLNMEAATQQTKAAKEEAGACHRRPAPSAGSSPLDQANPAIPTMATTQKASGDDGHQSCLDLEGVTIEGDTALHVLATSGDGWSYLRSAEIICSKAPHLLLAQNNKGGTPLHCAVRAGHSQMVSFLIDLANKPRSNLQVAARLKEVLRKGTAFLPLHDAIRIGNKEMIIKLLEFDPELASCPTDEAGISPLYLAIVLQRSDIAKLLHQMSPENLSYSGLSGQNALHAAVLQGKVTNSVISASPLLISGSFLNATVRFGPMFFPSEREGFLIFCHFHLSGVTSRIASMGGVKKSILPLVDPTTQPQPFPTLLTSVLFKTFDLNTTYAEMTEMLLNWNKDLTEQVDKNRSTPLHFAASLCKDFAASLSEYTVITWMSRTPLIPVLLANPVQLYQQDSEGLYPIHVAASSGAIRTIKYLIEEQPDEIAGLVDFKGRTFLHVAVERGRRNIVEYAHRTRSLARIFNMQDNDGNTAMHIAVRNGNKYIFCILLRNRKVNLNILNNRGQTPLEIAESKIHEGFYYVKNPEKLILLALTHCNASGGCRRADHFQKKQADEAKESEKLTTSTQTLGIGSVLIVTVTFGAILAIPGGYKADDHYNGGTPTLAGRYIFDAFTMANTIAFICSTLAIIHLMYSGMAMVSWPLRRRHFNISLFLAFSSVISLGAAFMLGMYLVLAPVARWTAIAICVMMMIASLCLFTEPLHAPRVAIAMYVRKGNQVLPVIARVLLIRTLITYWPCVVIFGWAAISTKYGHTRHG >ONIVA06G16330.1 pep chromosome:AWHD00000000:6:13600105:13604717:1 gene:ONIVA06G16330 transcript:ONIVA06G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKAPCCSTNNSSKAPATARPTTKGSTRASGNGRPPPTTLHDVPDKLLELILQNLDSSLSLVRAAATCQRWRRVITQQSFVLDYDIPPHQIVGHYHHRLHPPSFTTPKPRGCCSSVAFVPTSPEFLTTGRRRRRFSLDFLPGGGSRWEIVDSRGSLLLLAKKKKSNWMRRCFPDLVVCEPVTRRHKVIPRMEAMKYHHCVGVFLLDFDRNGSFSMSNFKVTCVVYQPYFGVSGDVGTITVCEYMEDMWDWTRHMKPDEDDEVPKLFEWYVVVTHLRTRPGIHLHDRDSLRFLGHAGGSIFWAIKEDEGSLLILDENGIDPHILRTPAGVRGSELRAIVDGNGDRHNVRVVVLEGETLRVVTWLCDTDELVLEKSLHLVEATRRLQGYKESCFCGGVDIVTVSTSCAVVTPVEEKTTWMVSIDLETMEVTECKYASVAYPCELPWPPTLSACTSHHVFTHRVPMATPTETRRGRGQRGGKLNKARRRKPVDSAMADGATTTTVDDVPDHLLQDILLRLASPTCLVRAAYACKRWRRVVTAAGFLDAFRALHGASRHVAGHYHTVDDAYDRQAAPGAFPDGGRSFVFVPSDELADTDRRRFSSLDFLPDCESGYSWELADSRGGLLLLTKMKQRTGDGSPRHCFTFPDIVVCDPLTRRHQGILCPPDLSGYQCLGVFLLDGDGDGGGIGMSNFKVICALYDRYLLNYILPLGETLACTFTSGGGGGSGGWRLPHSTAAGGDVTLERVRLDATSFVGRANGRVYWEIEGDEDGDMLVLEETTAGFSLVTFPENVRESYDKRTFRIIDGGDGVAMRVVRVINNDLKVFAQLDGDGEWVLEKRVWLPAAARGLPGYDEGYFQEQNGEAIVVAASAAYVLLRPPVEDTWLFSVELETMAVERWHERNKYAGVAYPCELPWPRALQATDVDQISGRRRC >ONIVA06G16320.1 pep chromosome:AWHD00000000:6:13595656:13596518:-1 gene:ONIVA06G16320 transcript:ONIVA06G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGSHRLLRKCCDTTRRRYWLMEDNLYFKKSIMRNQINGKYHTNFTSRQVYHKFHKLKAQWKVIMEAKNLSRPNCDDVEKKILYDETEVVRMTNAKDKMAKFINVPIRWYDEMEFIFQDKHATGEFNVLQTPYDHPMTEDDDFIGDKNGSPGDVDSSSNYDSDYLPDQENNTRSSSSSRLVKGRKTDKGKRVRADDNVVYEITGAMDNMSETMRFTHMTHPNESLFKIIDEMTEYSVMVRLELQTYLATMRI >ONIVA06G16310.1 pep chromosome:AWHD00000000:6:13593400:13594856:1 gene:ONIVA06G16310 transcript:ONIVA06G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSRRADKANCGRAPRKAKVVPAAATSVDDVPDHLLEDILLRLGPSSACLVRAAYACKRWRRVVTAAGFLDAFCALHGAHHRVAGYYHTVDAYYEEPGLPGGESSVFVPSSSSLAGVDARRWFSLDFLPAGDDLSWELADSRGGLLLLSKKRRTRARSGYAAEGDFFFTDLIVCEPLTQRYQGILCPADFTGYRCIGVFLLDGGDDGGGDISLSNFRVLCALYDLYWLNNRHIGVQSACVFSSGSHGGGWRLPKSAVADDIQLTARFNAMSFVGRAGGCFYWGIDDEDDGAMLVLDETTTEFSLVTFPDIIRENYHMTTFRIIAGGDGAMRVLRVIGNDLKVFTQLAGSGGEWVLEKLVRLPEATRGLPGHEERYFEQNEAMIVAADAAYVLLTPSVEKTWLFSVELETMTLERHHERNKYAGVAYPYELPLLRALHAGGRYR >ONIVA06G16290.1 pep chromosome:AWHD00000000:6:13569093:13569749:1 gene:ONIVA06G16290 transcript:ONIVA06G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMIITGAVAFLFLASLVASQSADGPVPAVETAAAEPNCCVDFHSWAKNTGCSPEQSDDCNTWCQSQCRGGECKPRGGRHFCHCFC >ONIVA06G16280.1 pep chromosome:AWHD00000000:6:13566117:13566587:-1 gene:ONIVA06G16280 transcript:ONIVA06G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGIITVLITYELVVRRVSHRPHYPDIAGTTFHPRVAGHAARCLLLRLRPPLTSAGRNGQPRCSSLQYPNGLVPPSHSDLVAPVLITAGLIDATKGIGKEENGCCSSQGSEPGKKTQIWLRTFESPKMAAVANYVASLRLHGLEPRLNFLALII >ONIVA06G16270.1 pep chromosome:AWHD00000000:6:13562379:13563784:1 gene:ONIVA06G16270 transcript:ONIVA06G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHVSDTVEGIKEGLLCGIHLGTRGVRRVPFVTSFLPAYLFFSSPPDYSFSQSSPHLSPSRSAFSPRAPAPRAGCGWCATARQWLHGGAEPRRRRCSAMAGRRPSEAMLGCGGAETGGGIPSGWELVVAAMVDWRWPARRRRRASGRRSWSVEKPEGGGCRGWQPASREEEAAARELRGAALARGRSGCVRRRPRSAAREGREGAEAVMAMEKAKVGVDRGGFPSQGKR >ONIVA06G16260.1 pep chromosome:AWHD00000000:6:13561257:13561928:-1 gene:ONIVA06G16260 transcript:ONIVA06G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTFATAAAAAADRSRGGGGKHGVRAASCVGATGGVIAGDMAEVELLRRAQPAVGGGETVYQECPKNHAASLGGHGAGRLRGVHAVVGGEPADPTSLMCAACGCHCNFHCWLLEGSPPPPPPLALPGPPMPANVLHGQLHREEETPEVRLPRVDGDESDNNSDGSEYYDERSVSPPSPPHLPAPVVHQPYYPSAQHMLLSLGSSGQAQRLPL >ONIVA06G16250.1 pep chromosome:AWHD00000000:6:13556793:13557907:-1 gene:ONIVA06G16250 transcript:ONIVA06G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTQTLCAEAWKAIKEEKRPVVYTLDEPEKDDRNNLSLSQGNLANDKARVDLMQNRGKRLEAALTKQLDEEPLNNRLIVEAIGVITSSWKEHYAKPCPKDLAEYCERKAKEARTVAVAYPQLVKRNKEMIVNHPVTIDSLSQKVTELENRRDNAKINIEAAKMQKEAEASAPPFVRPKSFEETILPIPSMLANNFL >ONIVA06G16240.1 pep chromosome:AWHD00000000:6:13548839:13553257:1 gene:ONIVA06G16240 transcript:ONIVA06G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARSWWPPPLLGWPPARHTASCCASCIVRLQEQAREEEIEALSKQGKWLTRRFTNIRFPLVYSTQGHNFVHLGTNQISNEKIQFNYVNIQQQVFDKTVSSVITAVLSKVTNLSTRFAKGKELLIAYNYNVTDTGKRENTGKVMCPSALASFEEITTSAHGKRVALFLDYDGTLSPIVDDHERTFVLP >ONIVA06G16230.1 pep chromosome:AWHD00000000:6:13536225:13539263:1 gene:ONIVA06G16230 transcript:ONIVA06G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase-like D1 [Source:Projected from Arabidopsis thaliana (AT2G33100) TAIR;Acc:AT2G33100] MSGDYANYTVLMPPTPDNQPSGGAPPAAPSAGGARPGDLPLPPYGSSSSSRLVNRRGGGDDGAKMDRRLSTARVPAPSSNKSLLVRSQTGDFDHNRWLFETKGTYGIGNAYWPQDNVYGDDGGGGAVKMEDLVEKPWKPLSRKVPIPPGILSPYRLLVLVRFVALFLFLVWRVTNPNMDALWLWGISIVCEFWFAFSWLLDQMPKLNPINRAADLAALKEKFESPSPTNPTGRSDLPGLDVFISTADPYKEPTLVTANTLLSILATEYPVEKLFVYISDDGGALLTFESMAEACAFAKVWVPFCRKHSIEPRNPDSYFTQKGDPTKGKKRPDFVKDRRWIKREYDEFKIRVNSLPDLIRRRANALNARERKLARDKQAAGDADALASVKAATWMADGTHWPGTWLDPSPDHAKGDHASIVQVMIKNPHHDVVYGEAGDHPYLDMTDVDMRIPMFAYLSREKRAGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYIYNCQAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAIYGFNPPRAIEYRGTYGQTKVPIDPRQGSEAMPGGGGGRSGGGSVGGDHELQALSTAHPDHEAPQKFGKSKMFIESIAVAEYQGRPLQDHPSVLNGRPPGALLMPRPPLDAATVAESVSVISCWYEDNTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAVLASRRLKFLQRMAYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLSYLLLITITLMLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVVAGIEISFTLTAKAAAEDDDDPFAELYLIKWTSLFIPPLAVIGINIIALVVGVSRTVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTIVYVWAGLISITVSLLWITISPPDDSVAQGGIDV >ONIVA06G16220.1 pep chromosome:AWHD00000000:6:13532934:13533514:1 gene:ONIVA06G16220 transcript:ONIVA06G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDDAAAADKAERRGGVDGRLSPYAAVDRRKKRSAADPSNILSLSLSPDWIESLAAGEAPGHGRSVRRAWAAGEELGDGDGEELASLSGRQPETKETETNFGTGLDGMLALLPVGDKFWDWVGRDAYQAIEGKGEGMAAAPMEQ >ONIVA06G16220.2 pep chromosome:AWHD00000000:6:13533514:13535476:1 gene:ONIVA06G16220 transcript:ONIVA06G16220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEARQLVGCEFIEHRRMHHRRECRCHMVVVKVVLAVAFIDIVVFVVGGLGGLSPLADGQAAAPAKVPPRGLIYIDSNGDDDGDGHSDGDGGRAANYTSGDGRNGRANSWITAPRNEER >ONIVA06G16210.1 pep chromosome:AWHD00000000:6:13528578:13530649:1 gene:ONIVA06G16210 transcript:ONIVA06G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta tonoplast integral protein [Source:Projected from Arabidopsis thaliana (AT3G16240) TAIR;Acc:AT3G16240] MSGNIAFGRFDDSFSAASLKAYVAEFISTLVFVFAGVGSAIAYTKLTGGAPLDPAGLVAVAVCHGFGLFVAVAIGANISGGHVNPAVTFGLALGGQITILTGVFYWVAQLLGAIVGAVLVQFCTGVATPTHGLSGVGAFEGVVMEVIVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGDYTNIWIYWVGPLVGGGLAGLVYRYVYMCGDHAPVASSEF >ONIVA06G16200.1 pep chromosome:AWHD00000000:6:13518339:13518533:1 gene:ONIVA06G16200 transcript:ONIVA06G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVEARSTAGCGDIGGGGCHGGDGSTRTPTAEAQSVAGYGHAAGGVTWEDDSDGGAKSSLRY >ONIVA06G16190.1 pep chromosome:AWHD00000000:6:13506761:13511433:1 gene:ONIVA06G16190 transcript:ONIVA06G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQC0] MAKALLAVVVVAVAAVLELGLVGANFQDQCDITWEPQNAKMTEGGDHLTLSLVSNSSGCMLRTKKQFIYGSVSTRIQLVKGNSAGTVTTYYTSSIGDKHDEIDFEFLGNSSGLPYTFHTNVFADGVGSREMQFRPWWFVDSIPIRVFRNHEKEGVPFPTKRPMYAFSSIWAAEDWATQGGRVKTDWTKAPFVAEYRDIGLNVCECPGSGSSSSSSSSSGDAEDPACAQRCTTSDHWYAAEGLCQLSDKQLRQMKAVQLGYTIYDYCADAQAKGRPVPPECSMPQY >ONIVA06G16180.1 pep chromosome:AWHD00000000:6:13500406:13507549:-1 gene:ONIVA06G16180 transcript:ONIVA06G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDWSSTNGVLGKVADQPRCCVDYHSWGGNTGCGPNQNDACNSWCQSQCRGGECKQRGDRHFCHCFC >ONIVA06G16170.1 pep chromosome:AWHD00000000:6:13497147:13497329:1 gene:ONIVA06G16170 transcript:ONIVA06G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPWRPCAPSLQAPPQPVGGRRSFSAPPLAAAGSPSAGLAVRVVFPLLLPLGREEEDER >ONIVA06G16160.1 pep chromosome:AWHD00000000:6:13496631:13496859:-1 gene:ONIVA06G16160 transcript:ONIVA06G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWGDGTEAEVDDDSERAGVRLQVLRSQRHPELIQGSSSSRGIPKPQLPWSSAPAPASSNGYGGTHTRCSMKCI >ONIVA06G16150.1 pep chromosome:AWHD00000000:6:13491528:13491772:-1 gene:ONIVA06G16150 transcript:ONIVA06G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADNRVAGEGLLAVGRSAALSSGLGSGRQDGDAMAMRWRQEWQRQAVVTAADREVRAIF >ONIVA06G16140.1 pep chromosome:AWHD00000000:6:13485651:13486792:-1 gene:ONIVA06G16140 transcript:ONIVA06G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSFPPPPFISSLPAAGKGDDDGFLLFSPTPSLPPPPSRRGGGLGQWERAEEGCDSGDRSGGGEASGGGSDGGEARRPSPARIRLWRIRWWGCATAADPVVKRHGGNGFNSPSRVSTVMYRITVEAAGALAYLHSAAAIPIFHRDVKSSNILLDDSFTTKVSDFGASRSVSLDETYVVTIVQGTFGYLDPDYYHTGQLTEKSAVYSFGVILVELLTRKKPIFINDVGAKQSLSHYFVEGLQEGSLIEIMDPQVVEEANKEEINDIASLTEVCLKPRGGDRPTMKEVEMRLQFLRTKRLKKSQVTAGIDGEIKDLICPNASKSHAQNSSVGASDLTSEGISSCYSLEQEFSSSINIPR >ONIVA06G16130.1 pep chromosome:AWHD00000000:6:13475456:13477478:-1 gene:ONIVA06G16130 transcript:ONIVA06G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLVAACTVSFVLLCSAATSPASAAVYGVGGGLLSIPSNDSLAHCPSRCGNVGIDYPFGIAPGCFREGFELICRNTAKTPKLFLRDGTTEITDLSYGYVWAHIYFNITVRPXTDTYNISWVAPIEGITIHHDNAFYVIGCNFDATLFEYGTGDLIGSCMSRCDGEKAPIGGPCNGMGCCSIGLPRDLRGFQSTIILRSDGIPVAQIDPVHPGIMAFMSSDYYTSNTSDLFSGWTNASNVEGAALSFATIDQPSCERARMNNTSYACSPGSNCQNASSGEGNLTVCELGEAQYHVTAVSLDDGTLTVGNMMNDTNYEKEAIIVQTTDTGDFSFSGPVEDRFDLSMEYAIVIRWAVTNLTCEVAVQKNTTYACRSSYSYCLNVTHRKAFMGYRCKCSPGFEGNPYIEDGCTGYFLFTPPPVCTSNAQACKCLEIELYQFNLGESTN >ONIVA06G16120.1 pep chromosome:AWHD00000000:6:13470539:13474456:1 gene:ONIVA06G16120 transcript:ONIVA06G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQB3] MATKRSVGTLGEADLRGKKVFVRADLNVPLDDAQKITDDTRIRASVPTVKFLLEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLAAVADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDVLILGGGMIFTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDVVVADKFAADAESKTVAASAIPDGWMGLDVGPDAIKTSSEALDTCNTIIWNGPMGVFEFEKFAAGTDAIAKKLADLTTTKGATTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDEA >ONIVA06G16110.1 pep chromosome:AWHD00000000:6:13467260:13468666:-1 gene:ONIVA06G16110 transcript:ONIVA06G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRPPAPVPDEEALAFRRGTRRFRAAGDRRVDLAWDLTRARFPGSADEPPPRRGAAPQPQQLCGLNGGAGLFSSGEYRWTDYVNVVRACMLQSWRLSFVHGSYEGRGMTAMMECHDVEMPFLRGIDVNRPAPAAETTTTRGASLARRTRGQKSGCYMFTGSMKDGEVGGSESAPHLPLLPDPLEVGGLLLHLSSSLSSPGDISHVAGHRV >ONIVA06G16100.1 pep chromosome:AWHD00000000:6:13445068:13445414:-1 gene:ONIVA06G16100 transcript:ONIVA06G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGNCAAVIALLVLVALAASAAADQPRCCVDYHSWGGNTGCGADQKDACNTWCQSQCRGGECKPRGDRHFCHCFC >ONIVA06G16090.1 pep chromosome:AWHD00000000:6:13427524:13432173:1 gene:ONIVA06G16090 transcript:ONIVA06G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQB0] MAPPQERDYIGLSPAAAAALATELRLGLPGTAEEAESEGGGGGGTDAAPLTLELLPKGGAKRGFADAIVGGPAGQRREAAGGKAAAAAAEAEEEEEKKKAQAPAAKAQVVGWPPIRSYRKNTMAMSQPALKGKDDGEAKQAPASGCLYVKVSMDGAPYLRKVDLKMYKNYKELSLALEKMFSCFTVGHGESNGKSGRDGLSDCRLMDLKNGTELVLTYEDKDEDWMLVGDVPWRMFTDSCRRLRIMKGSDAVGLAPRATDKSKNRT >ONIVA06G16080.1 pep chromosome:AWHD00000000:6:13408336:13408664:1 gene:ONIVA06G16080 transcript:ONIVA06G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEECREWVRMNKQRFMQKYYQKGGFFLEKADDCDFSTPTGEDMMDKTILPKVMQVKRFGFKGRMKWTDLVNEDTTDWNNPYVCFAC >ONIVA06G16070.1 pep chromosome:AWHD00000000:6:13407831:13408303:1 gene:ONIVA06G16070 transcript:ONIVA06G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRRRRIRERLLLLEREKEELPAQQQEEKLPIFIHKTQRVTITERKRIEDVARQLEEVLMKRIKKRKIETRQIVVEEIRNELRINKIIKSEESDIEIEVNTDDEENKAEEYEAWTNREIARTKRDKEEREAMLRP >ONIVA06G16060.1 pep chromosome:AWHD00000000:6:13381486:13389705:1 gene:ONIVA06G16060 transcript:ONIVA06G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVWSHGGSGNLALFHRMLDEGVRPNGVTFLSLLSECSYSGLVNEAHELFDCMTTTFGITPELGHYTCMVDVLGRSGNLDDALQVISDMNVKARWENLGTYSNSKLASYAAQRLMELEPGNVGYHVVFSNTQASSDRWDEVESIRSSMVEMDLQKLPAWTCVAETGCEIEVSIDGPCSQCTVLRNRSPHGRRCQWGLLVAGRVQLLARGSNRSDWMGCEYLALLALTGRMNRIKKELSVIHAFLSQADLQGVQTRTVEAWVDAVRKVALDVEDVIDEYVHLLGQQKCGWFTSVKGKFGRSQHLCLWLQIVERLKEIERDLWHISEMKDRWIHTSTELLGRGNLDGDYHCALPYSPQCGYFINSDDMIGFGNYKMLLINWLAQKDSSTSVMVILGMGGIGKTTLASNVYETEKSRHCFKNTKEIPPNVDIMDQHSLIVELQEFLRGRSCLVVIDDVWDHVSIGTILKAFSHSEHRNKIIITTREIQIAKFVDQSYMIQMEKLEESEAWDLFCRKALLNDKEKSCPEELVGIAKDIMKWCCGLPLALVTMGGLLSLREKNNSEWKRVYNNLLCSFDNDPGLNHLKHVLNLSYRYLPEYLKDCFLFCSIFPENSMIKRKHLIRLWIAEGFVEDRAGTTMEELAHDYLSELIRRGMLQVMKRNENGRVKHCRMHCIIREVTISLCKSRGFHMIWGNKESTSAYEARRFAIHGINQSSSEILNDLPHVRTFLSFDVTMSEILLSRIVCSSRYLTVLDVSGALFIREVPKQVVSLFNLRYLGLRRTKVKKLPSSLGRLANLQTLDLHHSCISRLPSGITKLEKLRHLFVETVKDSSFQSLNACSGVGAPSGICKLKSLQTLFTIEASKCFVQQANKLVQLKSFRITKVRGSHCSVLSESIKRMKQLVYLDILASDEEEILDLDISPPPSTLEKLCLRGKLNDSNLHSFFNSFCNNLTCLFLGWSSLSRDPLPLLSQMTNLAFLWLQRAFDGPQLRFVLGWFPRLRRLHLKDMDHLHSLEIEEGSVVNLEVLEMTGLNELNDIPGGIFFLNNLQEVYLDSMHKDFINHQSEGENVEDFPRFIYSHSPNFWRGEDQAGAAARTHANGVGGGSEDGGVAETCTAARTATRTARRGGARGGDATAAARGHAE >ONIVA06G16060.2 pep chromosome:AWHD00000000:6:13381486:13388965:1 gene:ONIVA06G16060 transcript:ONIVA06G16060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVLLIALGKITAALGADVLQQIRNLLSTEVSLFVQLTGRMNRIKKELSVIHAFLSQADLQGVQTRTVEAWVDAVRKVALDVEDVIDEYVHLLGQQKCGWFTSVKGKFGRSQHLCLWLQIVERLKEIERDLWHISEMKDRWIHTSTELLGRGNLDGDYHCALPYSPQCGYFINSDDMIGFGNYKMLLINWLAQKDSSTSVMVILGMGGIGKTTLASNVYETEKSRYDCSAWIATSQVYNICSLLRTIIRHCFKNTKEIPPNVDIMDQHSLIVELQEFLRGRSCLVVIDDVWDHVSIGTILKAFSHSEHRNKIIITTREIQIAKFVDQSYMIQMEKLEESEAWDLFCRKALLNDKEKSCPEELVGIAKDIMKWCCGLPLALVTMGGLLSLREKNNSEWKRVYNNLLCSFDNDPGLNHLKHVLNLSYRYLPEYLKDCFLFCSIFPENSMIKRKHLIRLWIAEGFVEDRAGTTMEELAHDYLSELIRRGMLQVMKRNENGRVKHCRMHCIIREVTISLCKSRGFHMIWGNKESTSAYEARRFAIHGINQSSSEILNDLPHVRTFLSFDVTMSEILLSRIVCSSRYLTVLDVSGALFIREVPKQVVSLFNLRYLGLRRTKVKKLPSSLGRLANLQTLDLHHSCISRLPSGITKLEKLRHLFVETVKDSSFQSLNACSGVGAPSGICKLKSLQTLFTIEASKCFVQQANKLVQLKSFRITKVRGSHCSVLSESIKRMKQLVYLDILASDEEEILDLDISPPPSTLEKLCLRGKLNDSNLHSFFNSFCNNLTCLFLGWSSLSRDPLPLLSQMTNLAFLWLQRAFDGPQLRFVLGWFPRLRRLHLKDMDHLHSLEIEEGSVVNLEVLEMTGLNELNDIPGGIFFLNNLQEVYLDSMHKDFINHQSEGENVEDFPRFIYSHSPNFWRGEDVAYCHQYT >ONIVA06G16060.3 pep chromosome:AWHD00000000:6:13381486:13386930:1 gene:ONIVA06G16060 transcript:ONIVA06G16060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVLLIALGKITAALGADVLQQIRNLLSTEVSLFVQLTGRMNRIKKELSVIHAFLSQADLQGVQTRTVEAWVDAVRKVALDVEDVIDEYVHLLGQQKCGWFTSVKGKFGRSQHLCLWLQIVERLKEIERDLWHISEMKDRWIHTSTELLGRGNLDGDYHCALPYSPQCGYFINSDDMIGFGNYKMLLINWLAQKDSSTSVMVILGMGGIGKTTLASNVYETEKSRYDCSAWIATSQVYNICSLLRTIIRHCFKNTKEIPPNVDIMDQHSLIVELQEFLRGRSCLVVIDDVWDHVSIGTILKAFSHSEHRNKIIITTREIQIAKFVDQSYMIQMEKLEESEAWDLFCRKALLNDKEKSCPEELVGIAKDIMKWCCGLPLALVTMGGLLSLREKNNSEWKRVYNNLLCSFDNDPGLNHLKHVLNLSYRYLPEYLKDCFLFCSIFPENSMIKRKHLIRLWIAEGFVEDRAGTTMEELAHDYLSELIRRGMLQVMKRNENGRVKHCRMHCIIREVTISLCKSRGFHMIWGNKESTSAYEARRFAIHGINQSSSEILNDLPHVRTFLSFDVTMSEILLSRIVCSSRYLTVLDVSGALFIREVPKQVVSLFNLRYLGLRRTKVKKLPSSLGRLANLQTLDLHHSCISRLPSGITKLEKLRHLFVETVKDSSFQSLNACSGVGAPSGICKLKSLQTLFTIEASKCFVQQANKLVQLKSFRITKVRGSHCSVLSESIKRMKQLVYLDILASDEEEILDLDISPPPSTLEKLCLRGKLNDSNLHSFFNSFCNNLTCLFLGWSSLSRDPLPLLSQMTNLAFLWLQRAFDGPQLRFVLGWFPRLRRLHLKDMDHLHSLEIEEGSVVNLEVLEMTGLNELNDIPGGIFFLNNLQEVYLDSMHKDFINHQSEGENVEDFPRFIYSHSPNFWRGEDVAYCHQYT >ONIVA06G16060.4 pep chromosome:AWHD00000000:6:13383752:13389705:1 gene:ONIVA06G16060 transcript:ONIVA06G16060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVLLIALGKITAALGADVLQQIRNLLSTEVSLFVQLTGRMNRIKKELSVIHAFLSQADLQGVQTRTVEAWVDAVRKVALDVEDVIDEYVHLLGQQKCGWFTSVKGKFGRSQHLCLWLQIVERLKEIERDLWHISEMKDRWIHTSTELLGRGNLDGDYHCALPYSPQCGYFINSDDMIGFGNYKMLLINWLAQKDSSTSVMVILGMGGIGKTTLASNVYETEKSRYDCSAWIATSQVYNICSLLRTIIRHCFKNTKEIPPNVDIMDQHSLIVELQEFLRGRSCLVVIDDVWDHVSIGTILKAFSHSEHRNKIIITTREIQIAKFVDQSYMIQMEKLEESEAWDLFCRKALLNDKEKSCPEELVGIAKDIMKWCCGLPLALVTMGGLLSLREKNNSEWKRVYNNLLCSFDNDPGLNHLKHVLNLSYRYLPEYLKDCFLFCSIFPENSMIKRKHLIRLWIAEGFVEDRAGTTMEELAHDYLSELIRRGMLQVMKRNENGRVKHCRMHCIIREVTISLCKSRGFHMIWGNKESTSAYEARRFAIHGINQSSSEILNDLPHVRTFLSFDVTMSEILLSRIVCSSRYLTVLDVSGALFIREVPKQVVSLFNLRYLGLRRTKVKKLPSSLGRLANLQTLDLHHSCISRLPSGITKLEKLRHLFVETVKDSSFQSLNACSGVGAPSGICKLKSLQTLFTIEASKCFVQQANKLVQLKSFRITKVRGSHCSVLSESIKRMKQLVYLDILASDEEEILDLDISPPPSTLEKLCLRGKLNDSNLHSFFNSFCNNLTCLFLGWSSLSRDPLPLLSQMTNLAFLWLQRAFDGPQLRFVLGWFPRLRRLHLKDMDHLHSLEIEEGSVVNLEVLEMTGLNELNDIPGGIFFLNNLQEVYLDSMHKDFINHQSEGENVEDFPRFIYSHSPNFWRGEDQAGAAARTHANGVGGGSEDGGVAETCTAARTATRTARRGGARGGDATAAARGHAE >ONIVA06G16060.5 pep chromosome:AWHD00000000:6:13380286:13381482:1 gene:ONIVA06G16060 transcript:ONIVA06G16060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGARPDGYTLPLLNRAAASLPAHRGEAGIVGAAHAVGVRTGFAANVYFCNTLVDAYARRGMVARARKLFDEMPARDVVSWTSLVSGHAGVGDVREVSRLLSGMRVDGCEPSAVTLTVVLRACTSNEDVVGGGQLHYYAVKSGLSDNLLVLNSILMYLCRMPALDDAVALFKQSPRREAISWNIMISEYSSEGNISKVAEMYQRMRREEVCPSCQTLTTVVAAFAKCKIQRHRQLHMVSHELELHLLLAVYRSNTFVWANVKFYICSSVDMLQGLVISYRELGALRLGKATHGYMIRNNYEAQSEKSALVTSIVKLYARLLAVGALIWLEGALTASIRKILLPGVQLLKHT >ONIVA06G16050.1 pep chromosome:AWHD00000000:6:13367895:13369338:-1 gene:ONIVA06G16050 transcript:ONIVA06G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQAVGCASKRCWVHQGMEKMPGGGEEKAKAWGWGTRRQKRSLSRRRRVLNNNLSEILVNSLRGKIMFFYHDDIKIKVPSGLMDTPEDQ >ONIVA06G16040.1 pep chromosome:AWHD00000000:6:13366923:13367195:-1 gene:ONIVA06G16040 transcript:ONIVA06G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRKRVGRVRWRRGGDGDGGGGGGLCEEETPGAERRGKDAGGGGEKATAWDSGWMDFAADVDMEGASERGGGEGWKWRRAEEATAMGGR >ONIVA06G16030.1 pep chromosome:AWHD00000000:6:13356894:13361026:1 gene:ONIVA06G16030 transcript:ONIVA06G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLCAIAILPVILVALLDTIPLASASSLMNHSQPSMATLASCPKSCGQMSIHYPFGIGAGCFRQPDFNLICDNSTQPPKLLLHDGTTEVVGDEDSSIGMDVGLSEWIDVNISATIPMVPGVAEYNYSWNLSSFSIFDASLNITGCEFDTYIINNESYSPTTAICKSSCPNKEITETVARQSCNGTGCCTIYVGIDVANLHLRFVRHGREGFLGVNSSRSSLWNRINIVSSDAEVMWGINNRPTCASVKDQNQTDYACASANSQCVDSILSTDLGYRCECNSGYIGNPYVLDGCTRDEVLNVSSDSGEVYELCSLKAGYHPVQQKANCSRWCGNISVPFPFGLEEGCTARKLFELNCTNVTSSTLQFNRGHVVTDIDLAEGLVGIKLASYFEDGFSMYRSGEPYLYASFGEVVVSVQWAAANLTCQEAQKNHSRYACVSANSTCLGVDSTDGYVGYRCKCMDGFHGNPYVTNGCEDIDECKKTPGICKGICHNDIGSYQCMECPDKTEYDVTAMQCVSRKRQNLLIGIVIGLSVGFTILLFVLSGMLLLRRWKRDIQRQLRRNYFRKNQGLLLEQLISSDENASNKTKIFSLEELEKATNNFDPTRILGRGGHGMVYKGILSDQRVVAIKKSKIIKQDEIDNFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFIPNGSLFGILHAHASSSFRLSWDDCLRIATEAAGALCYLHSAASVSVFHRDVKSANILLDANYTAKVSDFGASRLVPINETHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLIELLLRKEPILTSETGLKQNLSNYFLWERKVRPIRDIVVGQILEETTEEEINNVASLAEDCLSLRRDERPTMKQVELALQFLLNKRLNSCRTVQANKEEMEPFIMTKVQHSTENSNVEFLSNKATISSYQPGLEHEFMSSATIPR >ONIVA06G16020.1 pep chromosome:AWHD00000000:6:13340494:13341201:1 gene:ONIVA06G16020 transcript:ONIVA06G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRKETTLGQDEPDSRRRERAATLMTTAPVVGAPRHLRRAGRGRSNGLGRARCGIFGCRTMRASDSLATAALSNWLAAVAVTRRSRGLDGAVEYGLTAVAAEHGVGALGDGQWAPVDGVNGVDAVAGAAVDVVWEALIIAEVEAAGDAERWRQRGGMENAMEWHGSNLPKFYQNCSGTQPIS >ONIVA06G16010.1 pep chromosome:AWHD00000000:6:13339963:13340319:-1 gene:ONIVA06G16010 transcript:ONIVA06G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASNTAVNSNIIAAFGKKGVSPRHDGGGLIQNLTDAHPYYSITATRLLGVAIGSDDDLWSFAWSAASPSPSAPVFPSHTSMATSRPRALATTAFNLHDGVVDGEVDLH >ONIVA06G16000.1 pep chromosome:AWHD00000000:6:13299720:13303471:-1 gene:ONIVA06G16000 transcript:ONIVA06G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK-activating kinase 1AT [Source:Projected from Arabidopsis thaliana (AT4G28980) TAIR;Acc:AT4G28980] MAIGGGGGGGSWSIHGRPDVTSRYEVLGRAGSGAYADVYRGRRRSDGAPVALKEVHDAVSARREADALLAAAPSRHVVALLDHFPGGDHDDDVLVLEWLPLDLSAVVRAAAAARPSAPPAAQRKRWMLQVLEGVAACHSAGVVHRDLKPANLLISEDGVLKVADLGQARILQETGTYQGMHPYEQSSGVEPWVSQQRAVLHGVKENHPSHDSETQTGQEPERLTAADYLHEMDQLRAKSTHGDVDKMSLQDGNASCLATCSTADIDDDPFRASYSYDAEEGMLEEESGAFTSCVGTRWFRAPELLYGSTNYGQEVDLWSLGCILAELFNLEPIFPGTSDIDQIGRIISVLGNITEETFPGCSNLPDYNKIFFNKVEKPIGLEACLPDRSASEVSIIKRLLCYDPTKRASAADLLNDPYFAEEPLPVPIEGLQVPESKDEDDDSTEEWANFRGGDSDSDFDEFGSMDVTKTDKGFSIRFS >ONIVA06G15990.1 pep chromosome:AWHD00000000:6:13293843:13298550:1 gene:ONIVA06G15990 transcript:ONIVA06G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARRSGGRLTEEVNMMVALSGRKRRLQAATMVALCFLSSICVSTAQFKPADNYLVDCGSSKSTTLGTRTFAADGAAPVKVDTSLEILAGTSANGVASFDNSALYQTARIFTSPSSYTFPIQKQGRHFVRLYFFAFAYQSYDLSTAKFTVSTQEMLLLSDFQQPDKTAPLFKEYSLNITQDKLIISFKPSNGIAFINAIEVVSVPDDLIGDSAPMVNPMQQYSGLSTQPLETVYRVNMGGPKVTADNDTLSRTWVTDKKYLVNPSVTREVNGGKVNYMKGGGSTPLIAPDIVYSTATELAASNTTNALFNMTWQFDVDSGFSYLIRFHFCDIVSKALNQLYFNAYVGSFYAQHDIDLSIQSMNQLATAIYLDVVLSSNDASNKLSISIGPSTLNNALPDGILNGLEVMKMSSGSGSAFTVGSSGSNKNLGVIIGSVLGAVGILIIVLVIVLLCRKKKTLEKQHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGLNGSYGYRFAFSVLQEATNNFDENWVIGVGGFGKVYKGVLRDDTKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEICIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELHQIVDQRVSGSIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSSTVSDVNSMNRIVELPSQVQNIGALESISVTMAEAGASHEPDHDLSDVSMSRVFSQLIKAEGR >ONIVA06G15980.1 pep chromosome:AWHD00000000:6:13278968:13282665:1 gene:ONIVA06G15980 transcript:ONIVA06G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSKRGLLENQIMKLATLVRVNIDKNATISFFFAMDFIVML >ONIVA06G15980.2 pep chromosome:AWHD00000000:6:13279424:13282608:1 gene:ONIVA06G15980 transcript:ONIVA06G15980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKCIKFAMEMATTNPWSCGHQEADNKKHHRSTSPSHGYEQEGSAREPNHEAGDIGKSQY >ONIVA06G15970.1 pep chromosome:AWHD00000000:6:13273058:13277200:-1 gene:ONIVA06G15970 transcript:ONIVA06G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENDNTVTVDGGGRRWLRHCFGPRCRTLTSKEVFTWANRNNQRLLHVGDIDRISKSYICTSCSMWLTAEDRVESAGDGGMASYDVEAFMRVNLLLLNEQMWDAGSK >ONIVA06G15960.1 pep chromosome:AWHD00000000:6:13230230:13230946:1 gene:ONIVA06G15960 transcript:ONIVA06G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKIEIKRIKNEEARQVCFSKRRPSVFKKASELYTVCGAEVAMLVKSPAGKFFSFGAPSVGFVLSRFHATTTSRKHSSMGVTTQHDNSATIKLHELNQQHIELQNQLQAQNEKMKALQEVAKKESGGKVMGWLNSKVEDICQEDLEEFKMVLESLKYLTRGIINQLFQNYAMFSNMMRVQHCVTALPNQQFLPSSEDVKPMIHHVPSSSYGWNTSIDSKPNSSDAHVVGARRYFPK >ONIVA06G15950.1 pep chromosome:AWHD00000000:6:13202043:13203365:-1 gene:ONIVA06G15950 transcript:ONIVA06G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGYGMHAGLSPQRQHRPENRSFDDTFGPRYAHGYQGGGRGVARFRDGSPPYGRGGRSYGRGSGAPGKEFINIDGEYVHRNDPNLSPREGDWICQNPNCGNLNFARRTHCNNCNKYRYSREVCEPGHSPHRDYVNPPRGPARNLGPSDRAPPREMARYGSPPRGWGSDPKGYPARSPPDHAGRYADPVQRERMGFRGDCQLRDRVKHDWSSAEDYNPRECPHDMYLERSRRRSVSPRDNWGHNMRDRSRSPAGGRLKGSFTGGGRPDLYADPYAGRGRPNNLDDVRGRGRGRGRGRGYIPGGATYLGKGRGDRRAAPSSRNDGSY >ONIVA06G15940.1 pep chromosome:AWHD00000000:6:13197148:13199025:-1 gene:ONIVA06G15940 transcript:ONIVA06G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47650) TAIR;Acc:AT3G47650] MAATSSLTATAASPPLLLKPAPSPLAASFLRPVSRFSRFQSVKTKATENDQTEKSPPKGSSLVCQDCEGNGAIVCNQCKGDGVNSVDHFNGRFKAGALCWLCRGKREILCGSCNGAGFLGGFMSTSDSTAE >ONIVA06G15930.1 pep chromosome:AWHD00000000:6:13183003:13189398:-1 gene:ONIVA06G15930 transcript:ONIVA06G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQMQQQPQQPESEPEPAAPAPAAAAAEAKATPPQPQPQQKPAAPVQPQMPTPRPWPVAFIPPKPVAEIKSTPSTKRKKHCNCKNSQCLKLYCECFAAGLYCDGCHCKQCGNYVGNESARQEAINSTKQRNPKAFQPKIENGSNALNLRKDDAGAPASLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCQDCKNFEGSEELRLITQGDNSSDRNNIQHAANVALNGAIGSSGYRYSPVRRKRPPEDHLYQKLNGEGSTMQTQFQEANHVDSSEITSSTGLEGCYSNYQSRSNVVYRSALANTISPTDATGLAKHLVIVCRKAANAFLMTAENKGEMEVEREIHTDSDGATNIDQQNGGDFGPCCNSLEDSRPASPGTQALMCDEQEANRSSEAAMQLDTRKHDESATILPPLNAVEKEKLKVPDGPESPKASPSASNCGGQAS >ONIVA06G15930.2 pep chromosome:AWHD00000000:6:13183003:13189398:-1 gene:ONIVA06G15930 transcript:ONIVA06G15930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQMQQQPQQPESEPEPAAPAPAAAAAEAKATPPQPQPQQKPAAPVQPQMPTPRPWPVAFIPPKPVAEIKSTPSTKRKKHCNCKNSQCLKLYCECFAAGLYCDGCHCKQCGNYVGNESARQEAINSTKQRNPKAFQPKIENGSNALNLRKDDAGAPASLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCQDCKNFEGSEELRLITQGDNSSDRNNIQHAANVALNGAIGSSGYRYSPVRRKRPPEDHLYQKLNGEGSTMQTQFQEANHVDSSEITSSTGLEGCYSNYQSRSNVVYRSALANTISPTDATGLAKHLVIVCRKAANAFLMTAENKGEMEVEREIHTDSDGATNIDQQNGGDFGPCCNSLEDSRPASPGTQALMCDEQGSTFGTDYRISFPVALHDQDTSELNAQQEKAVLTGFRDYLRTVITRGKINEANRSSEAAMQLDTRKHDESATILPPLNAVEKEKLKVPDGPESPKASPSASNCGGQAS >ONIVA06G15930.3 pep chromosome:AWHD00000000:6:13183001:13189398:-1 gene:ONIVA06G15930 transcript:ONIVA06G15930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQMQQQPQQPESEPEPAAPAPAAAAAEAKATPPQPQPQQKPAAPVQPQMPTPRPWPVAFIPPKPVAEIKSTPSTKRKKHCNCKNSQCLKLYCECFAAGLYCDGCHCKQCGNYVGNESARQEAINSTKQRNPKAFQPKIENGSNALNLRKDDAGAPASLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCQDCKNFEGSEELRLITQGDNSSDRNNIQHAANVALNGAIGSSGYRYSPVRRKRPPEDHLYQKLNGEGSTMQTQFQEANHVDSSEITSSTGLEGCYSNYQSRSNVVYRSALANTISPTDATGLAKHLVIVCRKAANAFLMTAENKGEMEVEREIHTDSDGATNIDQQNGGDFGPCCNSLEDSRPASPGTQALMCDEQGSTFGTDYRISFPVALHDQDTSELNAQQEKAVLTGFRDYLRTVITRGKINEANRSSEAAMQLDTRKHDESATILPPLNAVEKEKLKVPDGPESPKASPSASNCGGQAS >ONIVA06G15920.1 pep chromosome:AWHD00000000:6:13177415:13182329:1 gene:ONIVA06G15920 transcript:ONIVA06G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALRLFSANASINYVLIATNCRCLCCNRAIHRCNDLPMPCSQILRAKVNSLSFSRKVPTKPTLHNLRCHATQTQSTQRKSATATIQRSDPKGKLKGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFTCVLLMDYLKEFEKNLLLQRHRIGDEATLGLAQ >ONIVA06G15910.1 pep chromosome:AWHD00000000:6:13172457:13175566:-1 gene:ONIVA06G15910 transcript:ONIVA06G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPMVIRSRVLARAVSASLRRTLAAPPSPLLAASSRRASSLHRLPSVCGGLLSVMPLHSAVASARLRSAISPESQSWGIVPQVGNQALYTSQW >ONIVA06G15900.1 pep chromosome:AWHD00000000:6:13163200:13171825:1 gene:ONIVA06G15900 transcript:ONIVA06G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVLVDRATSESLIGPDWSLNLEICDILNHDPSQAKDVVKSIKKRIGHKNSKIQLLALTLLETLIKNCGDFVHMHVAERDILHEMVKIAKKKPDYHVKEKILILIDTWQEAFGGSRARYPQYYVAYQELLRAGAVFPQRPDSSVPIYTPPQTQPLRNLPPALRNTERQQEAPESSSTPEVPTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIVDLVDQCRSYKQRVVQLVNSTTDEELLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKSVQARGDKSPSIKPEGAKQPDQRSSEAASTVTPFEQLALPAPASSSSSKPPVEPAVGPSIDLLSGDDYFKPEPVNSQALVSVGNPPAASANNTLDLVDMFAQSNVGNNPNPAVTSSMLNSNPSLSEPQLYPSQQTVPPQQPSLYSNGLTSNTMAPYDQPSDINATGQGQDQSGDLPPPPWETQPAESDQFQPGQPRGLAMPSGQIGGIQSQPVQVQPGQVAPSQPMLTGQPTGMQFQQGFGDQLGAQQTQPLHTQYGGMYPTMQGNQSAGMYPQQMAGDFYQQQMYGGQMAGYGYGQQSGGYYAPNAAYGYGGANELSQGMNGLAVQDNSLYGTSASSSFQQPMRPSRPEDSLFGDLVSIAKTKPSKTAANKAGGL >ONIVA06G15890.1 pep chromosome:AWHD00000000:6:13161733:13161915:-1 gene:ONIVA06G15890 transcript:ONIVA06G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGVVAALRGNDAREEAARSGFGGSSLPTDGGDGKWVEGGGEVMAVLGRTEADPAGEWI >ONIVA06G15880.1 pep chromosome:AWHD00000000:6:13148657:13149328:1 gene:ONIVA06G15880 transcript:ONIVA06G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGVEEGRGPRSDGSHRCRDLGADAKDLSGAVATAGEEGAPEDDQAALAAAVEPVVVVAVAVGGFGRNSVGNSLQHDKSVLITEEVKLRQEQQTTRTQMQAMEERISAVEQKQQQMPMFLMRGMKNLGVLHMLIDRQNQHGENRELGDTLSMKCLRVPPRCNGPMMTPSPDIDSRPMINLSLSNFGVNGVIQPKFT >ONIVA06G15870.1 pep chromosome:AWHD00000000:6:13144301:13146298:1 gene:ONIVA06G15870 transcript:ONIVA06G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGEAGQHHESGGAEWRVTVAEAPEAEVEHENAKGARRGCCCAPAAAAWVLWWLAAPWKWVARFGRTAWKVGADDPRRVVHGFKVALALTLCSAFYYVRPLYVFTGQTAMWAVLTVVVVFEYTVGGCMYKGLNRAMATVAGGALALGVHWVADKSGDDAEPFVLTASLFVLAAAASFSRFIPTLKARFDYGVTIFILTYSLVAVSGYRVDTLVTMAQQRLITIAIGAFICFAVCTLVFPVWAGQELHVLVARNMDKLAAAIEACVDDYFSSAEHAGGGGDAATALSEKARGYRAVLNAKASEDSLANLARWEPGHGKFGFRHPYGQYQNVGAAMRCCAYCIDALAACVGAGGQAPAHVKRHLAGACVALSQHCAAVLREASGSVTSMTRSGRLALVVGDMNAAAQDLRNELRCLAEILDDDEEEEAASSEAEQHEHNTAPPPLIEALPLFTAASLLLEISTRAEGVVAAVDALGTTAKFKKADHAEPPATTTLDAEAAMPVSNSNAIAADEAHGNATAGEHDKKETAEQTTTTSASTVGQQQEARDQVGQLVKLLMRRRSTKKWARGEPKVGPCPRPPLDFPPVHAPSPRSRSTELAGHPPVVPSPRHRSMDLASHGLALPSSRHRSMDLASHGPVLPSPRNRSMDFTAHAPSPRNRSILGMA >ONIVA06G15860.1 pep chromosome:AWHD00000000:6:13122538:13122741:-1 gene:ONIVA06G15860 transcript:ONIVA06G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTCRIWRRAERWMHPDEEERGHRPLVGEGTAPVGEEGGGTVMLVGRGEKEQRRRWGEAVVPPWER >ONIVA06G15850.1 pep chromosome:AWHD00000000:6:13119775:13120587:1 gene:ONIVA06G15850 transcript:ONIVA06G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMMLPAAPVVTVAGVEVRPVWANNLNYELGLMQHVAADAICAAVNVHYPGVVHGAGRDQASLTAEQRYADLKRNVDELKPLQVGLAVHNARGHRVTWEFNLRDFDLAAGDAHTARSLSYLAGRGLALGALRRHGLPAAALARGLARSGLVARPGLRWVAYSGTYHVAYLLKVITGGAPLPPTVVGFLAAARHLLGPDMYDVARVAADFHGGPVGLDMIASRLGIPPPLTSPMLAGAAAVRAIEAFVELMHRFGGDVAAYKGLLQGLQIT >ONIVA06G15840.1 pep chromosome:AWHD00000000:6:13097874:13101270:1 gene:ONIVA06G15840 transcript:ONIVA06G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAMAASRRVSVFVAALVCCSLVRLSRCGGGGGGQRAQNYTSMFSFGDSLTDTGNLVVSSPLSFSIVGKYPYGMTYFHRPTGRCSDGRLVVDFLAQAFGLPLLQPYLSRGEDVTRGVNFAVGGATAMDPPFFEEIGASDKLWTNLSLSVQLGWFEQLKPSLCSSPKDCKEFFSKSLFLVGEIGGNDYNYAFFKGKSLDDAKSYVPTVAGAVADATERLIKAGAVHLVVPGNLPIGCSSAYLTLHPSSNRSDYDSTGCLKTYNDFAQHHNAVLQDKLRLLRRSYPEARIMYADYYGAAMSFAQNPKQFGFRHGALRTCCGGGGPYNFNPKASCGVRGSSVCTDPSAYANWDGVHLTEAGYHAIANSILNGPYTSPRLL >ONIVA06G15830.1 pep chromosome:AWHD00000000:6:13083600:13085578:1 gene:ONIVA06G15830 transcript:ONIVA06G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKYLWIQIFVLLSSFSFSVETDYASIFSFGDSFSDTGNIVLIYGPARTDLVMTKPPYGMTFFDHPSGRLSDGRLIIDFIAEALGLPLLPPSFAANRSFEHGANFATAGGTALDRAFFVANNFTVMSPFNISLGDQLGWFDGMKPSLCGGKPGGCEGYFSESLFFVGELGWNDYSAVLLAGRGVDEARSLTPRVVGTIRAATQKLIDGGARTVFVSGITPMGCSSANLVLFAGSSEADYEPDTGCLRSLNLLSMEHNRQLRHALAQLGGARIIYGDFYTPLVELAATPRRFGIDGEEGALRACCGSGGGRYNFEFNMTAQCGMAGVTVCGDPSAYVNWDGVHLTEAAYHHVADGWLRGPYANPPLLSASCSARAR >ONIVA06G15820.1 pep chromosome:AWHD00000000:6:13074647:13076031:1 gene:ONIVA06G15820 transcript:ONIVA06G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQ76] MAPPPAALLLVVLLLVGFEALPTKSGYLPIPTANASLFFAYYEATHPLTPPASTPIILWLQGGPGCSGLTGNFFELGPYFVNHDALSLSPNPFAWNRRFGLLFIDNPLGTGFSAAPSPAAIPTNQFVVAAHLFTALQSFFALQPGFRSRPFFLTGESYAGKYVPAAGSYILAVNPTLPKRLRVNLHGVAIDNGLTHPVAQVATHADTAYFMGLINAKQRRELEALQARAVELTNAASDTVGAAMHGDVMKSVKPGVEALLRGTRVLLYQGIRDLRDSVVSTEAWMRELKWDGLTAFLVADRAVWRIGEELAGYVQRSGPLSHVVVYGAGHLVPADNGRVAQEMIEDWVLQAGLFGRHGGMKRAA >ONIVA06G15810.1 pep chromosome:AWHD00000000:6:13061905:13071121:1 gene:ONIVA06G15810 transcript:ONIVA06G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARALTPSVPGNKSATNAATNQTQIGNKSTNRAARAARSTARRGARRRRPRRAATAWERGGGSRGRIPPPRTAGLPSAACATPSSSRATASAFSICRREERGEAAQSSPATPPPIRPPRARRPRRPRSGCRELDCHAAPDLVAWSSPATLPPIRPLGARRSRRPHPPYSTYHTTMKLLRVVLVLLLPAVSSCLPCRRRDDYDYDSIFSFGDSFADTGNGAVVFAEHSLFSPATKPPYGMTFFGQPTGRNSNGRLIIDFIAEKLGLPFVPPYLAHNGSFRQGANFAVAGATSLDASFFSDIPGVGKFVLNTSSSVQLGWFDSLKPLLCSPAQECKGFFHKSLFFMGEFGVNDYSFSVFGKTPLEVRSMVPDVVKTISSATERIIKRDGAKAVVVPGIPPLGCMPPNLAMFPSTDPAGYEPGTGCLRQFNEIAVYHNTLLQDAIKNVQKNHPDVRVIYADFFTPVIRIVQSPGTFGFTSDILRCCCGGGGKYNFNMSAGCGMPGATVCEDPSTHLFWDGHMTEAAYHFIADGWLNSINES >ONIVA06G15810.2 pep chromosome:AWHD00000000:6:13056863:13062424:1 gene:ONIVA06G15810 transcript:ONIVA06G15810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVSVAAVLVVLAALRPPRVAVAQKYAAIFNFGDSLVDAGNLVVDGIPDYLATARLPYGMTYFGYPTGRCSDGRLVVDFIAQEVGLPLLPPSKAKNATFHRGANFAITGATSLDTPYFQGRGLGHTVWNSGSLHTQIKWFQDMKASICKSPQECRDLFRRSLFIVGEFGGNDYNSPLFAFRPLEEVHTFVPDVKLIEEGAVELVVPGVLPIGCFPVYLSIFRKQPEMYGRRSGCIRDLNTLSWVHNAALQRKIAELRLKHPGVRIMYADYYTPAIQFVLHAEKYGFLRQTPRACCGAPGVGEYNFNLTSKCGDPGSYACDDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPILETRHH >ONIVA06G15800.1 pep chromosome:AWHD00000000:6:13046227:13049652:1 gene:ONIVA06G15800 transcript:ONIVA06G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKAVVVVAAVLLLLAVVARRASSQSYNAIYNFGDSITDTGNLCTGGCPSWLTTGQPPYGNTFFGRPTGRCTNGRASGGDFKKGANMAIIGATTMNFDFFQSLGLGNSIWNNGPLDTQIQWFQQLLPSICGNDCKSYLSKSLFIVGEFGGNDYNAPLFGGKSMDEVKGYVPQIIAKITSGVETLIGLGAVDIVVPGVMPIGCFPLYLTLYQSSNSDDYDGNGCLKSYNSLSVYHNGLLKQGLAGVQAKYPAVRLMYGNFYDQVTQMVQSPGSFGLQYGLKVCCGAGGQGSYNYNNKARCGMSGASACGDPENYLVWDGIHLTEAAYRSIADGWLSGPYCSPAILH >ONIVA06G15790.1 pep chromosome:AWHD00000000:6:13041245:13041807:1 gene:ONIVA06G15790 transcript:ONIVA06G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEVNVVGTSNFDWVEHSSWRASLFSLAWRRSFYDSEAVTTATTTSIFGSVAGSSSYRDRSGQTWCCLGSSLHLHRFVFLLSLAGQKLHSAVTRNWRWRVLLCLCVKFLFLCFYYDVHVKSLFFVP >ONIVA06G15780.1 pep chromosome:AWHD00000000:6:13037597:13038996:1 gene:ONIVA06G15780 transcript:ONIVA06G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCGGDCRPIGWLLGLPFALLAVVVSFIGAIIWIVGLPISCICPCCLCVTVLLEVAVELVKAPLHVMTWFTSKIPC >ONIVA06G15770.1 pep chromosome:AWHD00000000:6:13026955:13037504:1 gene:ONIVA06G15770 transcript:ONIVA06G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPPSSPSSKAFSRKSHAHASGPNSSKAAAAGGGGGGVAAAFDAHNGTHVRTVTFSLSSSPAARRELRRRLTAELAQVRATCKRLSSLPAPAPSSALSATDPSTPLPPHPPVSKHKSKKGNPSSNPGLSAEARRKLYAPVFKSCGALLARLMKHKHSWVFNTPVDASALGLHDYHTIITKPMDLGTVKSRLAAGHYKSPREFAGDVRLTFQNAMRYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSKVLERSDSTVHAAGMEATPKQNTGRPPVLKKPKAREPNKREMTFWEKQRLSNNLQELPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSISKNKRKAENPVAGQDEMNHDIELEKTEHARLDEVEQDQMPPVQETLHNPEPESIDIEPPKENTADDNERYAHHHLFIWKIRRGRMRVDQVVLEVLVAIQALRLVIQTQIVHQQMALMLHSHPERNIYRPDLDVKLESLSHKPPHLTRLPLRRDMLPLRRLPPLSFPLPRGPTPRRLFATAASASTAASPLPWPGLHAWRRAPPSDLRTWGPHGPCASPDAADESGSEEAGAGSSLAEMGALVLSTADPLAKARLTHAAFSRLVAGLPVGMAEAPDHPARPDKPIVVTQKEITTHKQMGVPLNAYMLHMLAHVELNAIDLAWDTVVRFSPLRDTLGDGFFVDFARVADDESRHFRWYSQRLAELGFSYGDMPVHNLLWRECAKSSNDVSARLAVIPLVQEARGLDAGPRLVQKLLGFGDHRSADIVTKVAQEELAHVSVGLHWFLKVCQMMGRVPDATFRDLIKEHDVVLKGPFNYPARDEAGIPREWYQEKFKHETPSKLSELCQIAAR >ONIVA06G15760.1 pep chromosome:AWHD00000000:6:13022601:13024666:-1 gene:ONIVA06G15760 transcript:ONIVA06G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDSITELSFADGGWGAALADHFARKADVVLRGFSGYNTRWALRVLARAMEGAAAAADPAAVTVFFGANDASLPERKQVHQHVPLDEYQSNLRAICAYFKEQWPSTKIILITPPPIYEPARIRDMYGEDDPSKLPERTNEAAGTYAQACLTVAKELNHPVIDIWTKMQQFPDWQTSALCDGLHFTPLGNKILFDCVLETLESIGFSQGSLQPDLPLFHDIDPKDPLKAFEI >ONIVA06G15750.1 pep chromosome:AWHD00000000:6:13000098:13001896:-1 gene:ONIVA06G15750 transcript:ONIVA06G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAICICSMNSSSVCLWYGMDVAGQGRADGKGGWSQLRKGLPVDLTEGILKAICMQAQGQIWKINFSNSLVLLHKFLLLAVELLFDSGSRDEFF >ONIVA06G15740.1 pep chromosome:AWHD00000000:6:12998089:12998718:-1 gene:ONIVA06G15740 transcript:ONIVA06G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRGRPSLGRQRIEIRRIDNSGRRQVTFSKRRNGLFKKASELSTLCGASVAVVAFSSAGNVFAFGQPTVDAVVRLFDPLHADGADPAPAAVEDGGGGGDDVVVADPEELDALRRAEEQTKAQVAAEQARMRDVGDKVTQAMAGRPLWWEADVEALGEAELPALERLRDSVHRHASTLASTATPLPPPPEQEEVPELDVSDYSF >ONIVA06G15730.1 pep chromosome:AWHD00000000:6:12994948:12995636:-1 gene:ONIVA06G15730 transcript:ONIVA06G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWIPTAPTPCSKVALAERLASSSIMAAPATLVPSPCQCGTRARGWADVAKRGANHTGTVPHITAPAVLAHSSKIGG >ONIVA06G15720.1 pep chromosome:AWHD00000000:6:12983238:12990541:-1 gene:ONIVA06G15720 transcript:ONIVA06G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAITSTTSSLRCSPNPTLISRGALERWGSLSPKPQSRGVRCKQLIHRVSRVYAVARTKGGDAGSVGIEERGKKKNRLTMFVSSGGSNFRAIHSRSARREPKGLSTNELLNTLRELKVDSILLASYSKLIPVELVQAYPRSIWNIHPSLLPAFGGKGYYGLKVHKAVVASRARYSGPTVHFVDEHYDIGRTLAQRVVSMLANDTLEQLATREHQVYVDVVTALCDDRIVWREDGVPIIRSRTNPDEYT >ONIVA06G15710.1 pep chromosome:AWHD00000000:6:12968876:12980370:-1 gene:ONIVA06G15710 transcript:ONIVA06G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11270) TAIR;Acc:AT4G11270] MKCHSVAALWSPSPPSHHITAAAATPAALFTGAADGTILHWPLLPPPSPSPRPSSLLCAHAAAITSLCPLPSSPPCLLASCAAGVLSLFSSSASASASLRCLRRRSLPPWAGSPSLVAPLPPSSSSAGSSSASVAILCHAPDDGGRHVSAVVVVDARTLVVLRTAFHGALSVAPPRAIAVAVDAGVEDASVSVVLADAQGRAQVVPVAEGAAVEGDSPRRLSASSASSVTSAEAVDGRVEAVSLSDDGKVVALVMKNSCLLKCISEGVVLGEVTLPSDLLCKEGEAGMKGWLVGGFFLRGGEWGAHGSENGNVVRSLVLWSINGGAIVYRVEVGTGSFGCKAVCEIPDIVSERGDGSLVQFCQSGNQLIRVESRPYKIAGSLLWKPFVSIWSMDHLELNIANNIEKPPLSKILGEGGLQGEEFRSDHSHSFCQSNNGVDINSLICSSNSNGLGRHGGTVGGIYPHISERFFLGHTGAILCLAAHHMHAQPDSRTFNRVLISGSFDSTIRVWDLDAGTILSVMHHHVAPVKQIMLPPAWTHQPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHPSYPSMVAWDGVKGYIACLCRNLHSCNDSGSVLYIWDLKTGARERIITGTLSQSTFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKDTSLLQSHANKKGLSISSVSTNHHNANTNSVTVSVPAASDVMGKMSATDEAHELHGNSSGKVASGQCINNRRKHPIKCSCPYPGIASLRFDLTAIMSTQGMANNNSDRLLRDHFYRDNVNDSIQAETCDNTSGMHVIDSPSRESLEGRLLRFSLCFLHLWGVDHELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKASSEFCAMRSLCIVSLAQRMITLSRSCTNASSALAAFYTRNFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPKPLHLQKNKVFDSQLPTSDQMDNIITAIQSASVSSYGQLKADNEDVGREDCDTSEISSWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSIVKPKLAHLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKVCLGTDMTHFLSDVLFQIECLSSAPSNNAVYKTAVAVTMREALVGTLLPSLAMADIVGFFGVIQSQIWATSSDSPVHVISLKTLIRVVRGSPKALAPYLDKAISYVLHTMDPSNLIMRKACIINSMMALREIARVFPMVALNESMTRLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLDGSSNTTATILITALSFSLEGEGLVAFSENGLMIRWWSLGNAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILGHDKHQNSETKTRELDEADNLKLLLHNLDLSYRLQWVSGKTIKLTRHGQELGTFQL >ONIVA06G15710.2 pep chromosome:AWHD00000000:6:12968876:12980370:-1 gene:ONIVA06G15710 transcript:ONIVA06G15710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11270) TAIR;Acc:AT4G11270] MKCHSVAALWSPSPPSHHITAAAATPAALFTGAADGTILHWPLLPPPSPSPRPSSLLCAHAAAITSLCPLPSSPPCLLASCAAGVLSLFSSSASASASLRCLRRRSLPPWAGSPSLVAPLPPSSSSAGSSSASVAILCHAPDDGGRHVSAVVVVDARTLVVLRTAFHGALSVAPPRAIAVAVDAGVEDASVSVVLADAQGRAQVVPVAEGAAVEGDSPRRLSASSASSVTSAEAVDGRVEAVSLSDDGKVVALVMKNSCLLKCISEGVVLGEVTLPSDLLCKEGEAGMKGWLVGGFFLRGGEWGAHGSENGNVVRSLVLWSINGGAIVYRVEVGTGSFGCKAVCEIPDIVSERGDGSLVQFCQSGNQLIRVESRPYKIAGSLLWKPFVSIWSMDHLELNIANNIEKPPLSKILGEGGLQGEEFRSDHSHSFCQSNNGVDINSLICSSNSNGLGRHGGTVSSSMVLSEDSYTPYAVVYGFHNGDIEVIRFLNLLPAAKFGSGGIYPHISERFFLGHTGAILCLAAHHMHAQPDSRTFNRVLISGSFDSTIRVWDLDAGTILSVMHHHVAPVKQIMLPPAWTHQPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHPSYPSMVAWDGVKGYIACLCRNLHSCNDSGSVLYIWDLKTGARERIITGTLSQSTFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKDTSLLQSHANKKGLSISSVSTNHHNANTNSVTVSVPAASDVMGKMSATDEAHELHGNSSGKVASGQCINNRRKHPIKCSCPYPGIASLRFDLTAIMSTQGMANNNSDRLLRDHFYRDNVNDSIQAETCDNTSGMHVIDSPSRESLEGRLLRFSLCFLHLWGVDHELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKASSEFCAMRSLCIVSLAQRMITLSRSCTNASSALAAFYTRNFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPKPLHLQKNKVFDSQLPTSDQMDNIITAIQSASVSSYGQLKADNEDVGREDCDTSEISSWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSIVKPKLAHLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKVCLGTDMTHFLSDVLFQIECLSSAPSNNAVYKTAVAVTMREALVGTLLPSLAMADIVGFFGVIQSQIWATSSDSPVHVISLKTLIRVVRGSPKALAPYLDKAISYVLHTMDPSNLIMRKACIINSMMALREIARVFPMVALNESMTRLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLDGSSNTTATILITALSFSLEGEGLVAFSENGLMIRWWSLGNAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILGHDKHQNSETKTRELDEADNLKLLLHNLDLSYRLQWVSGKTIKLTRHGQELGTFQL >ONIVA06G15700.1 pep chromosome:AWHD00000000:6:12964050:12964353:1 gene:ONIVA06G15700 transcript:ONIVA06G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDAARAAAGDSKATATARWRSPLRWPPYHRVFFELVFLAHPDNHPRCSVLRMGQCRYLSLC >ONIVA06G15690.1 pep chromosome:AWHD00000000:6:12963210:12963716:-1 gene:ONIVA06G15690 transcript:ONIVA06G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPRGRPSKGRQAIGIRRIEDKPGGTSPSPRGGPGCSGRPRSSPSSPVRPSPSSSSPRPTARTPSPTRRHPSSTTPPSPTSTTASPRR >ONIVA06G15680.1 pep chromosome:AWHD00000000:6:12955395:12957264:1 gene:ONIVA06G15680 transcript:ONIVA06G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSVREGKRSPDPPLPSPPMVDPCERETKRRRDPGIGGGSARAASTAWNPLRFFIFSLSRFPIFCRIKERRRRWPLLQPVGGRRRRYYRKEAQGLSMGGCEGARWEVVLCIGNDDDDLALIDVTYPKRDVDNMQ >ONIVA06G15670.1 pep chromosome:AWHD00000000:6:12935863:12936400:-1 gene:ONIVA06G15670 transcript:ONIVA06G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVTVDGGGRWRLRQRHFFGPRCQTLGITLTSKERLLHVGDIDRTSKSYICTLCSMWLAAEDSVESAGDGDDGWLLPRNVELISIPHRYIFP >ONIVA06G15660.1 pep chromosome:AWHD00000000:6:12933167:12934431:-1 gene:ONIVA06G15660 transcript:ONIVA06G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPAALRPEAEGCVTGGERGQGNMRGEDDGEKWRVRRERAAWGLGHSGEDKVGRCNEWQGDIAMTSDEMALSGDEWLYGTEEQQ >ONIVA06G15650.1 pep chromosome:AWHD00000000:6:12927204:12927977:1 gene:ONIVA06G15650 transcript:ONIVA06G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTIEYYVWINAHDRPIQGHPDDHGTSPNPNRGSPLQVSGPKLQLYNAQQIGGFEKSLMFPEPEPDASPSWLAARCPTPEGAPVPSGPNAGWYRLQIQSSTKGVLEYGVGRLLAASAL >ONIVA06G15640.1 pep chromosome:AWHD00000000:6:12925184:12925801:-1 gene:ONIVA06G15640 transcript:ONIVA06G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHSLLSICGQCRGAQRAEGGARAAISSPVSPDSLPPTASARWTAGERSGVRRRGRRGAAVGHGQSRGAEWRWAASGVGRADEKDVAAVVRLAGVGEEMRAAADERGAARGLRLARGEEPRATEDTRAAIGGRGVASSGWSSARVRSHVGGPSGAGRLPAGGEDPLRPVAAPPVALHHLVGLIPPSSQRSPLTRVTPPRSAST >ONIVA06G15630.1 pep chromosome:AWHD00000000:6:12914132:12915037:-1 gene:ONIVA06G15630 transcript:ONIVA06G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSDEALAIVVPIVVYWLYSGLYMALGHSISMDKYRLHSKEEEDAKNLVSKRDVVTGVLLQQLVQAAVAAATFTVRPRTLHMAFQAMLSLIPSRVFLHCMAQLAGERRTTTATTASPSSWLAVAARFAVGMVVLDGWQYAWHRWMHTNRFLYRRVHSWHHRLVAPYAFGAQYNHPAEGLLLDTVGGAVAFLASGMSPRASVAFFSLCTAKGVDDHCGLWLPAASPLQRVFRNNAAYHDVHHQRRGGRYNFSQPFFVTWDKVFGTHMPYVVEERPGGGLQVRPVDMSPSSATAAAGAGGK >ONIVA06G15620.1 pep chromosome:AWHD00000000:6:12899892:12902666:-1 gene:ONIVA06G15620 transcript:ONIVA06G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLIVKLGDALASEAVEVAKSLLGLEGSALKRLFSEIREVKGELESIHAFLQAAERFKDADETTSAFVKQVRSLALSIEDVVDEFTYELGEGDGRMGMAVALKRMCKMGTWSRLAGNLQDIKVNLKNAAERRIRYDLKGVERGAKSTAGRRSSNWRSDSVLFKREDELVGIEKKRDLLMKWVKDEEQRRMVVSVWGMGGIGKTALVANVYNAIKADFDTCAWITVSQSYEADDLLRRTAQEFRKNDRKKDFPIDVDITNYRGLVETTRSYLENKRYVLVLDDVWNANVWFDSKDAFEDGNIGRIILTSRNYDVALLAHETHIINLQPLEKHHAWDLFCKEAFWKNEIRNCPPELQPWANNFVDKCNGLPIAIVCIGRLLSFQGSTYSDWEKVYKNLEMQLTNNSIMDMMNIILKISLEDLPHNIKNCFLYCSMFPENYVMKRKSLVRLWVAEGFIEETEHRTLEEVAEHYLTELVNRCLLLLVKRNEAGHVHEVQMHDILRVLALSKAREQNFCIVVNHSRSTHLIGEARRLSIQRGDFAQLADHAPHLRSLLLFQSSPNVSSLHSLPKSVKLLSVLDLTDSSVDRLPKEVFGLFNLRFLGLRRTKISKLPSSIGRLKNLLVLDAWKCKIVKLPLAITKLQKLTHLIVTSKAVVVSKQFVPSVGVPAPLRICSMTTLQTLLLMEASSQMVHHLGSLVELRTFRISKVRSCHCEQLFMAITNMIHLTRLGIQADSSQEVLHLESLKPPPLLQKLFLQGTLSHESLPHFVSVSNLNNLTFLRLAGSRIDENAFLNLEGLQQLVKLQLYDAYDGMNIYFHENSFPKLRILKIWGAPHLNEIKMTKGAVASLTDLKFLLCPNLKQLPCGIEHVRTLEELTLDHTAEELVDRIRQKKERMICDVQRVYVGFIRNGVLAAERIQ >ONIVA06G15600.1 pep chromosome:AWHD00000000:6:12886530:12889010:1 gene:ONIVA06G15600 transcript:ONIVA06G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLVFSAAPSKLGKNHGIQTDGTYESRPRGNKLCSKLHSSEKLLIPSKTHLQNAEQNRMRPLIEDAIADLVCSRSMVIADLGCSSGPNALALASIAVDAFRRRCLALRRPPPPAELCVLLNDLPDNDFATVVKSLVEFRRNNGDEPVLLTGVVPGSFYGRLFAAESLHLVCSSNSLHWLSEAPEDLKMNGIPAYDVDANVRRERRAVVVGAYARQFRKDFMAFLKMRAVELVPGGRMVLSLAGRRSVDLASELTHAWESTAMTLSDMVTMGVIDKEKFETFYMPIYGPSDEEIRQIIQEEGSFLIREMQVPELTSGAYSALITSARVASMLRAAFEPIIVQHFGPTGCDGEEGIMDEFVRTAERRWSLEGSLQDELAQNPRGVLLVSLEKKPS >ONIVA06G15600.2 pep chromosome:AWHD00000000:6:12886530:12889010:1 gene:ONIVA06G15600 transcript:ONIVA06G15600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMVHMNRGQGETSYARNSILQNAEQNRMRPLIEDAIADLVCSRSMVIADLGCSSGPNALALASIAVDAFRRRCLALRRPPPPAELCVLLNDLPDNDFATVVKSLVEFRRNNGDEPVLLTGVVPGSFYGRLFAAESLHLVCSSNSLHWLSEAPEDLKMNGIPAYDVDANVRRERRAVVVGAYARQFRKDFMAFLKMRAVELVPGGRMVLSLAGRRSVDLASELTHAWESTAMTLSDMVTMGVIDKEKFETFYMPIYGPSDEEIRQIIQEEGSFLIREMQVPELTSGAYSALITSARVASMLRAAFEPIIVQHFGPTGCDGEEGIMDEFVRTAERRWSLEGSLQDELAQNPRGVLLVSLEKKPS >ONIVA06G15590.1 pep chromosome:AWHD00000000:6:12876087:12876650:-1 gene:ONIVA06G15590 transcript:ONIVA06G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMEDNHSNSSQSLWRLSLFYPYLESVIADVQSSVLVDPVYICVECSEVHRNQQTMAAHCRSHIRSDGMEKGTVRHIKYNPDHTFSLLCHQSSNKIYYQVTVPNYPNNPNSSEIGVVWASDILKKCVDLGYLQHPASVNASSAVFVPAATPTALDLTLRLGPRSTAGSTNRQIVEALFAGSGGSA >ONIVA06G15580.1 pep chromosome:AWHD00000000:6:12867806:12869018:1 gene:ONIVA06G15580 transcript:ONIVA06G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLRRGATEQAATTRPRRRGPAEEPPLHRGAAAPPLHLQRSRCAEEPQRTNSLHGRDAEREDEGGDDKAEEARPRGEDCVWFHWSFIGRF >ONIVA06G15570.1 pep chromosome:AWHD00000000:6:12847303:12853198:1 gene:ONIVA06G15570 transcript:ONIVA06G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRKITSDEEEAAVKPTRSFRYEDYSTRRVFLRSYPLQWDWASPAPGEKEKQQQQQGVVAGGDGEEDDDEYGGGGGDERGGRRWRRQVAVAVVEWGEEKLLLLRRVKKRLALYLIGCHYTGHRAALPFKSSSASCTAAMLASSR >ONIVA06G15560.1 pep chromosome:AWHD00000000:6:12844260:12850747:-1 gene:ONIVA06G15560 transcript:ONIVA06G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTAFASLLVGAGICTAWIAASMVLEPVHQHSKGLPRRQLACGPPCLSFAFWIDSFVSSLSRPPLVLASSLLSHGVRGPLRPRHILEADKQSSGPGFARRGSLLPWFLNLFISTAKVFRGGSSRADPPVFPSLSGSTRSCLLCLVLLSYLLRPCCPTAFAGLFVRGYFSLPSFPSLSLLADLCIVHHVFSDCCAFVLLLCALDQAYLFAVFVFNAVRSRVRFPGSCLCVTHMVGCCGANGRRVSLCSRCVRWSVRVSSPAAYKDFSFPFSSLHGFPHSACFLFLHLVLSCFKLL >ONIVA06G15560.2 pep chromosome:AWHD00000000:6:12844260:12850356:-1 gene:ONIVA06G15560 transcript:ONIVA06G15560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEPVHQHSKGLPRRQLACGPPCLSFAFWIDSFVSSLSRPPLVLASSLLSHGVRGPLRPRHILEADKQSSGPGFARRGSLLPWFLNLFISTAKVFRGGSSRADPPVFPSLSGSTRSCLLCLVLLSYLLRPCCPTAFAGLFVRGYFSLPSFPSLSLLADLCIVHHVFSDCCAFVLLLCALDQAYLFAVFVFNAVRSRVRFPGSCLCVTHMVGCCGANGRRVSLCSRCVRWSVRVSSPAAYKDFSFPFSSLHGFPHSACFLFLHLVLSCFKLL >ONIVA06G15560.3 pep chromosome:AWHD00000000:6:12844260:12850356:-1 gene:ONIVA06G15560 transcript:ONIVA06G15560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEPVHQHSKAKVFRGGSSRADPPVFPSLSGSTRSCLLCLVLLSYLLRPCCPTAFAGLFVRGYFSLPSFPSLSLLADLCIVHHVFSDCCAFVLLLCALDQAYLFAVFVFNAVRSRVRFPGSCLCVTHMVGCCGANGRRVSLCSRCVRWSVRVSSPAAYKDFSFPFSSLHGFPHSACFLFLHLVLSCFKLL >ONIVA06G15550.1 pep chromosome:AWHD00000000:6:12834928:12835896:-1 gene:ONIVA06G15550 transcript:ONIVA06G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLAV >ONIVA06G15540.1 pep chromosome:AWHD00000000:6:12832462:12834770:1 gene:ONIVA06G15540 transcript:ONIVA06G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHDLHSRLSRWPRPFRLSLSSDSPQAPWRDHLRAGHGVTSASASGSVASAATRDSANAEGLRPSWLSASALSHGSVTSAATRNSYAVNVATSRGSPPPPPLPPEEARFARSTRGKLGGKVMESGPVVAADRVWRDAYGVSTEKWTTKVEIKVKNVSEHANHPSKMETLVSSFCDPQAYRFDAAKNEHYVCGFAKSVESIPRSTYLKLKYETVDVVCMKSFLVNLEARLYTEAEGDIGAEEPDPEMYEDPDVVREAFEIQARLQHIAAVVEEGKHAGGKKV >ONIVA06G15520.1 pep chromosome:AWHD00000000:6:12811904:12813364:-1 gene:ONIVA06G15520 transcript:ONIVA06G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWIFYSLTLLLCLACSLLLRARASAAAVEVAPLPPGPRTVPVLGPLLFLARRDFDVEPTLRRIAAEHGPVFTFAPLGPSRPTIFVAARGPAHRALVQRGAAFASRPRGVSPASVLLTSGGRNVSSAQHGPIWRALRRCISSGVLNPARLRAFSGARRCVLDALVSHVRGEGGAPVTVMEPFRYARLCLLVHMCFAFLPPITKLVFRKRWNEMVSLRRRQEELFVPLMRARREAGAGGDCYVDSLVKLTIPEDGGRGLNDGEIVSLCSEFMSAGTDTTATVLQWILANLIAAAVAGDGEVREEDLQAMPYLKAVVLEGLRRHSPGLYALPRAVEDETTLDGYRVPANTPVNFAVGEIGLDSEVWTSPEVFRPERFLAGGEGEDVDLTGSKEIKMMPFGAGRRICPGMALALLHLEYFVANLVREFEWREVAGDEVDLTQKLQFTVVMKRPLKATAVPLRGDRSAAAAVTGSA >ONIVA06G15510.1 pep chromosome:AWHD00000000:6:12806966:12810921:1 gene:ONIVA06G15510 transcript:ONIVA06G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGGRSKDGGGGGAALLGGGGVTCFDVKSFVASLALLTLIMALWQLHPYQPLVLLPAALSSSPCPLLPRSPTSGIAVSFLSTAAATNSTDTATVPTTTAAARVAATTRPTLPARQRERDPNKRELRPYGTAAALFVQMGAYRGGPRTFAVVGLASKPAHVFSNPYFKCEWLPNAPAGAPPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNADNLGGKLLVHAYYSTASRRYERFVALEEAPGSYDDARFRPPFAYDYLYCGSSLYGNLSSVRMREWLAYHARFFGPRSHFVLHDAGGVTPEVRAVLDPWVSAGRVTVQDIRAQEDYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRALEDVLAQLQPYTQFTIEQNPMSSKLCIDDPTEDYSREWGFEKLVFRNSITGVRRDRKYAIQARNAYSTGVHMSQNVYGRTTHKTESLIRYYHYHNSINVMGEPCRKFVPKPANGSKVMFEGIPYVYDDNMKRLAGEIRRFEKQTIGDVHT >ONIVA06G15500.1 pep chromosome:AWHD00000000:6:12779321:12781991:1 gene:ONIVA06G15500 transcript:ONIVA06G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALHQTLLLLLFVSIAIHRAVAQTTTSTAVRGDGNRFVTYSFPSFANALLHLQANLTVLNNASISQGALQITPDSSNSADGYLVNQTGRVFFSTPFTLWSPAAGSGGNGNGNGTYVASFNMVFRVNIFRTNTSDPGEGVAFVVASGLDPPPPGSYGGFLGLTNASTDGDDANRFVALELDTVKQGYDPDDNHVGLDVNGVRSVKAVPLAPFGIKLGAANASNFFVWVDYDGTSRHVWMYMARSDDGVPSPKPPSPVLDAPLDLSAFVAEKAYFGFSASTGTRFQLNCLHMWNMTVELLDDGSRSSGGGQTRRKLGLGVGVPCGVAALAAGAVVAFLYIKKRRRRVGDDPESLSSPAAFKFNKSSINLRSLAGTPKEFEYTELRKGTEDFAAKNKLGQGGYGVVYKAVVSGDSDGESVEVAVKQFSAANTKGQEDFLAELSIINRLRHRNLVRLRGWCHQNGVLLLVYDYMPNGSLDKHLFGGAAVAPVLSWEQRYNIVAGVAAALNYLHHEYDQRVIHRDIKPSNIMLDSAFGARLGDFGLARALDSDKTSYTEMVGVPGTMGYIAPECFHTGRATRESDVFGLGAVLLEVACGRRVSFGTGGDGGAIGGCSRLLEWVWRLHRAGRILDAVDPKLAGGAFDADDAERLLLLGLACSHPDPGARPTAKAVVQVLARAVPAPAVPPSKPAFMWPALSGADCDDSDGGGAGEMSSRHSARTTSTEQTSSTYYASSSSYSSHGCTRTQVTSSGDAIADETRYMSIG >ONIVA06G15490.1 pep chromosome:AWHD00000000:6:12728157:12728999:1 gene:ONIVA06G15490 transcript:ONIVA06G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFLGVDPAMGCERLGNLAVDPVAGGEWRAAGRPRIGSGSGGSSRADPVSVTTEGSGSSGFDGGGARWSRELGRQCGSGKEDGCLGQRQRRRLVQICRQRWRARASEVTAVEMASHPREGGEGLAVVGARHCCPPPPHPYESPLSLSSPSSDEEAAAAGWICAASAQPFAVPPPSLRGEGTSSSDVIAADDN >ONIVA06G15480.1 pep chromosome:AWHD00000000:6:12711411:12711897:-1 gene:ONIVA06G15480 transcript:ONIVA06G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLSGGTKEIRRRSGTRVMVAMCSGRRRNRRGGGEVVFDVKLKNRRCGRWSRSAADAEEIVAGSVVFGFGDWSEERGSVTGAAAAGSGAALAVAADEGGGGDGEGRGFDLAGQEDGGSRAHDFCERGVGDMRASYGGDKGNAGRSKTLDWMILGRWIG >ONIVA06G15470.1 pep chromosome:AWHD00000000:6:12705699:12706196:-1 gene:ONIVA06G15470 transcript:ONIVA06G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYSSSSYARLGRRWWRRPAAARGFRLIPTRRLSVRRLRARLWTLLGILGRCVRSVRLLTRGLVVPSGGGGSTSPSARGKGRRALAVLGGGKDVVAAASGGGKLHADGTAGGGNNKAAARRPPCMRSNSFYARAVAECLEFIKGSNSNAGGGGGATPARDNRVK >ONIVA06G15460.1 pep chromosome:AWHD00000000:6:12694498:12698326:-1 gene:ONIVA06G15460 transcript:ONIVA06G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQ38] MRLCSKLAALLRRSRQFAPAAAAASGSATAAAASANGMEEAAAGPLRARVCIIGSGPAAHTAAVYAARAELKPVLFEGFLANDIAAGGQLTTTTDVENFPGFPDGILGADLMDRCRAQSVRFGTRILTETVTAVDLSSRPFRVASGDTVVHADAVVVATGAVARRLHFAGSDAFWNRGISACAVCDGAAPIFRNKPIAVVGGGDSAMEEANFLTKYGSRVYIIHRRNAFRASKIMQARALSNPKIQVVWDSEVVEAYGGADGGPLAGVKVKNVVSGEVSDLQVAGLFFAIGHEPATKFLGGQLELDSDGYVVTKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEIGAQEDKTD >ONIVA06G15450.1 pep chromosome:AWHD00000000:6:12684837:12686870:1 gene:ONIVA06G15450 transcript:ONIVA06G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGDTLKANIMAIRPLITVDAGVGAHLEHTSTPQPTSSLEYSIDGRNQYLTTVNTIPNLARGGSAPPKAIAPNQQGRGKGEREELRYRICEALVRGYRSYRQGRSIALTLPPTSSAMDPLLSWVQAADPPSLRPLFPSLRSSRP >ONIVA06G15440.1 pep chromosome:AWHD00000000:6:12671202:12672176:1 gene:ONIVA06G15440 transcript:ONIVA06G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQ36] MAGMDPTGGGGGGGVAAHYLHMLRAQQHQPLSPAGDVKAERSMLSPDESPGADADLGSDHPTSSAMVAAEDSGGGGGSGSGGPMRRPRGRPLGSKNKPKPPIIVTRDSPNAFHSHVLEVAAGTDIVECVCEFARRRGRGVSVLSGGGAVANVALRQPGASPPGSLVATMRGQFEILSLTGTVLPPPAPPSASGLTVFLSGGQGQVVGGSVAGQLIAAGPVFLMAASFANAVYERLPLDGEDPEAEAAAATPPGDAAQPTGPPPPQQQPTASQSSEVTAGDGGGGGGLGMYLGGHVGSYQQQQQQLPGPGDNFGSWSGSIRPPPF >ONIVA06G15430.1 pep chromosome:AWHD00000000:6:12655064:12658026:1 gene:ONIVA06G15430 transcript:ONIVA06G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGNDVVAAAAAGESPMGAARVVAEGGATVFRGADYSLPRTTVALALWLGGIHFNVLLVLASLFLFPLRVAAMVVAFQLLFMLIPLNDKDKLGRKIARNVAPFPPIAENFSHLFLGCWTDREHVPCCRFICRYAMGYFPISLHVEDYKCFDPNRAYVFGFEPHSVLPIGVAALADLVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLIPATRKNFQSYLGAGYSCIIVPGGVQEILHMDHDSEIAFLKSRKGFVKIAMQSGCPLVPVFCFGQSYAYKWWRPKGKLFVKIARAIKFTPIVFWGRYGTPIPFPTPMHVVVGRPIEVEKNSQPTIDEINEVHEQFTVALQDLFDKYKTETGYPGLHLRVL >ONIVA06G15420.1 pep chromosome:AWHD00000000:6:12646703:12649320:1 gene:ONIVA06G15420 transcript:ONIVA06G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASRAASLLRRTSSALSRRCAHSSSAAAPSRPPVPLPRFRAAASSSPSSGITFRRFLASKSPSSSSPSKASADENLVRVIDSEIECIVQSEEGAASAKQIDLPEDFPFEIIDNPGDQSITLKREIAGETIKATVYTNFDTQDLNEDGDDNENNEESFKPAIQMVVTVEKPEASILEFECHFNDDELAIESMRMLDQNNSDAENLDLDESLQKSLHRYLEVRGIKHSLHDWLCDYIA >ONIVA06G15420.2 pep chromosome:AWHD00000000:6:12646703:12649409:1 gene:ONIVA06G15420 transcript:ONIVA06G15420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASRAASLLRRTSSALSRRCAHSSSAAAPSRPPVPLPRFRAAASSSPSSGITFRRFLASKSPSSSSPSKASADENLVRVIDSEIECIVQSEEGAASAKQIDLPEDFPFEIIDNPGDQSITLKREIAGETIKATVYTNFDTQDLNEDGDDNENNEESFKPAIQMVVTVEKPEASILEFECHFNDDELAIESMRMLDQNNSDAENLDLDESLQKSLHRYLEVRGIKHSLHDWLCEYMMSKDEKEYLVWLKSMKEFVGN >ONIVA06G15410.1 pep chromosome:AWHD00000000:6:12639622:12646052:1 gene:ONIVA06G15410 transcript:ONIVA06G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQ32] MDSDYGVPRELSALQKARALYRPDLPPCLQGTTVKVEYGDAAIAADVAGAHVISHAFPHTYGQPLAHFLRKTAAVPDATVITEHPLVRVGVVFSGRQSPGGHNVIWGLHDAIKAHNANSKLIGFLGGTDGLFAQKTLEISDEVLSSYKNQGGYDLLGRTRDQIRTTEQVNAAMTACQALKLDALVIIGGVTSNTDAAQLAETFAESKCSTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFVRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVVLIPEGLVESIPELYALLQEIHGLHDKGVSVENISSHLSPWASALFEFLPPFIRKQIETEKLLAQLVEAEMNKRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLRSPVNKWKCGAAPITSMMTVKRWSRGPAASQIGKPAVHMASIDLKGKPYELLRQNSSSFLMEDIYRNPGPLQFEGPGGETKPISLCVEDRDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAALSAMASVTEMLTIMSSPSFSGQATI >ONIVA06G15410.2 pep chromosome:AWHD00000000:6:12639702:12646052:1 gene:ONIVA06G15410 transcript:ONIVA06G15410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQ32] MGSVAMDSDYGVPRELSALQKARALYRPDLPPCLQGTTVKVEYGDAAIAADVAGAHVISHAFPHTYGQPLAHFLRKTAAVPDATVITEHPLVRVGVVFSGRQSPGGHNVIWGLHDAIKAHNANSKLIGFLGGTDGLFAQKTLEISDEVLSSYKNQGGYDLLGRTRDQIRTTEQVNAAMTACQALKLDALVIIGGVTSNTDAAQLAETFAESKCSTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFVRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVVLIPEGLVESIPELYALLQEIHGLHDKGVSVENISSHLSPWASALFEFLPPFIRKQIETEKLLAQLVEAEMNKRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLRSPVNKWKCGAAPITSMMTVKRWSRGPAASQIGKPAVHMASIDLKGKPYELLRQNSSSFLMEDIYRNPGPLQFEGPGGETKPISLCVEDRDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAALSAMASVTEMLTIMSSPSFSGQATI >ONIVA06G15400.1 pep chromosome:AWHD00000000:6:12628098:12628384:-1 gene:ONIVA06G15400 transcript:ONIVA06G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGATKVAKKAAAAARGIRSEREREGDGGGGAGRRHGRRPSYSSSLYRVGEYARCTAATGRCRGALLVCPMQCEGPCFYDCDANCKAHCRF >ONIVA06G15390.1 pep chromosome:AWHD00000000:6:12598771:12602166:-1 gene:ONIVA06G15390 transcript:ONIVA06G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQ29] MQQSNPIEQNTEDLIKTVQVQAIIAAPQTLAEANFMARLGNHNRIPILSFSGISPTSEQPYTMPYFVQTAANDLLQTKPIVSIVMYFSWPKVVLVCEDSAYGTSILPRLTNELEGKGSRISEVVLVPVGATDGHLVKVMDRLKHMETRVFIVHMRSSLAARIFVMANGARMMSKGYAWIATSSFGNEVGSLGSHDINSMEGVVTLRPTFIETDHVKRFFAKFQRKISSYDDHFHNDPSMLLLWAYDAAWAIATAAEKARLSSLASTSGTQHKLPITGGMLLVSVLKTTFDGLAGKFKLNNKGYQQWSMSYDILNMIGKGTRTVGTWTQEHPSLICSKNIIWPGVSTNVPKVSSTKDLRIAVPVNHGFQEFVNVSSNKFTGCCIYLFERVMKELKYEGKYEYVQDNDTTRMENVTFTVPFTEIGWTMMVVAKKDSWKSMWIFEKPFTKTLWLASFVLCCFTGFVVWVIEHRINHEFRGTPWEQFGTTFYFIFSTMVFSHKERLQSNMTRMVVIIWVFFMLILTSSYTANLSSMLTVQHLRPTVTDVEELIRCNYPVGYQEGSFVKDSLMDMGFLQPNLRSLSTMEQYNQALSNGSVKAIFDEIPYLKLVQAQFPNKYTMAGPIYKSGGFAFVFQEGSPLGRRVSQTLMKMLESTRNNTAVNFTSICLENPITSVNKKDSDDSPRLDLSDFSGLILISTTVSGLMLLIHLATFVYKEFPELRAAVPGESGWASLQWVRAFFRHFDSRDPNSHNFRVQQQDGIMMNERERENRVPEGDGDEEAAMATGGGGSTTSGRGNVANSQSQNHQVEIMVANSQSLQATGTFKQLAEEPDEPEQEASER >ONIVA06G15380.1 pep chromosome:AWHD00000000:6:12595420:12595773:-1 gene:ONIVA06G15380 transcript:ONIVA06G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSRLALGRYDTTTSYVVFSLLLVLAGVAAAAVAGAKSAAAVRVGVVMDLTSDAGRKSAACVSMALDDYYYAAQAHDADAAAAAARVELFVRDSRGDVVTAADAGTVFFRGPNF >ONIVA06G15370.1 pep chromosome:AWHD00000000:6:12587149:12591439:-1 gene:ONIVA06G15370 transcript:ONIVA06G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQ27] MDLFHVLLGVLNTLFVDLANDLITNDRVQAIIGPHTSTESEFIAYLGNHTHTPILSFAETSAVDVPFFLHTAPSDSIQVAPIAAILDAFNWRAAVVLHQNSPSVASILLDLVYATQGYNIRIMDRVALPIDATQDYLDNVLHNLKEMPTRVFIVHMLPDLASNVLRQANVVGMMSDGYVWIATTSIGSVVDSLSSDMIDKMQGVVTLRPYVRETGHVMKFISRLKARFWMENRSIDNVHNPSVPLLWAYDTAWALATAVNLVNVSSSTPGTTLLGALLNTTFDGLAGRFRLVNGQLQLSEFEIVNIIGKGARTVGFWTPESGFFKNLKNISEKGLKQIIWPGDVAIAPKGWNLSPNGQFLRIAVPSKHGFPQIVDVSYSPTTNNYVVKGYCIDVFDMLMKNLHYPVAYQYEPIGNRLSNYDSLLSLVHEKKVDAMVGDTTITVSRMNKVSFTMPFTEVGLSMVVAVKKEASWSMWIFLRPLSTTLWIASLAFFFFTGFVVWVLEHRINPEFRGTPWQQFGITFYFAFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQQLQPAATSVQDLLINGNYVGYQKGSTVVRWLEEMGFHMENLRGYASLEEYDDALRRGSENGGVSAVFDEIPYLKAFLSKYCQGYTMVGPTYRLGGFGFAFPIGSPIVHDIWQAFMLPSVQEEMARIDRKWFGDTQTCEGKSSGVDSSSSSLGFSSFSGLFFISGITSGLALLVHLGILAYQKHDELRAAVAGIIRAASQRMCLLLRRLRSEPEVDVLHGGDTVSL >ONIVA06G15360.1 pep chromosome:AWHD00000000:6:12581682:12584774:-1 gene:ONIVA06G15360 transcript:ONIVA06G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine (UAA) transporter family [Source:Projected from Arabidopsis thaliana (AT4G31600) TAIR;Acc:AT4G31600] MGAETGEPSSFLSLSAAFSYGIASMAMVFVNKAILMQYAHSMTLLTLQQIATALIIHFGQILGVSKRKDFSMATGRKLLPLSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVAGCLRGKGKPPTQVSLSVICTAAGVLIAALGDFSFDLYGYSMALTSVFFQTMYLILVEKSGAEDGLSSVELMFYNSVLSLPFLFFIIIATGEFPYSLSVLSEKTASLTFSAILLVSLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHTLNVTGLVINTFGGVWYSYAKYMQKRKMPKRIAPDVEAHPHK >ONIVA06G15360.2 pep chromosome:AWHD00000000:6:12581682:12584774:-1 gene:ONIVA06G15360 transcript:ONIVA06G15360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine (UAA) transporter family [Source:Projected from Arabidopsis thaliana (AT4G31600) TAIR;Acc:AT4G31600] MGAETGEPSSFLSLSAAFSYGIASMAMVFVNKAILMQYAHSMTLLTLQLLPLSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVAGCLRGKGKPPTQVSLSVICTAAGVLIAALGDFSFDLYGYSMALTSVFFQTMYLILVEKSGAEDGLSSVELMFYNSVLSLPFLFFIIIATGEFPYSLSVLSEKTASLTFSAILLVSLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHTLNVTGLVINTFGGVWYSYAKYMQKRKMPKRIAPDVEAHPHK >ONIVA06G15350.1 pep chromosome:AWHD00000000:6:12575366:12580724:1 gene:ONIVA06G15350 transcript:ONIVA06G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRRVKDEMELRKQRTVRFHEERAKPTIPTHQKQAGLATSKLGLGISEKNKIFLAGNELWYKKIIDPSSDFILTWNYVLRIACFVALFMDPLYFYVPKIYYGTPNSCIGRDTRLAIIVTVFRSITDLFYVLQIIIKFRTAYINPSSTLGVFSRGDLVTDPGNIAKHYLRSSFVVDLVASLPLPQIIIWSVIPSVKYSLSEHDDDILLLIALFQYVLRLYLVFSLNSKIVEVTGAFSKTAWQGAAYNLLLYMIASHVLGALWYLLSVDRQTACWEKYCSKEAGCQNRYLACDIQSDSNWKISTAIFNKCDATNKTIDFDFGMFTPLLSNQAPDQGFLKKFFYCLWWGLQNLSCYGQTLTVSTYIGETLYAIFLAVLGLVLFAHLIGNVQTYLQSITARVEEWRIKQRDTEEWMRHRQLPQKLRERVRRFVHYKWLATRGVDEESILKALPADLRRDIKRHLCLDLVCRMDGQLLDAICERLVSSLSTVGTYIVREGDPVTEMLFIIRGKLESSTTDGGRTGFFNSITLKTGDFCGEELLGWALVPKPTVNLPSSTRTVKTIVEVEAFALRAEDLKFVASQFRRLHSRKLQHTFRYYSHHWRTWAACFIQAAWRRYKRRRLAKDLSIRESFFSRRSFEDDGSPEHSLVLNAVRKGAHIIKELPKFRKPSEPDFSAEHDD >ONIVA06G15340.1 pep chromosome:AWHD00000000:6:12569514:12573569:1 gene:ONIVA06G15340 transcript:ONIVA06G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAQRPRPKPRAVSLHKPNPTHIISSHLSPVFSNSPSRDLAHPPLHPLSSPCAAAEFLAAAAAAAAAVAMARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRYGSSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPAGRSPRRRSVSPARARSYSRSPQYNRGRDESPAYDNG >ONIVA06G15340.2 pep chromosome:AWHD00000000:6:12569514:12573297:1 gene:ONIVA06G15340 transcript:ONIVA06G15340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAQRPRPKPRAVSLHKPNPTHIISSHLSPVFSNSPSRDLAHPPLHPLSSPCAAAEFLAAAAAAAAAVAMARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRYGSSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPAGRSPRRRSVSPARARSYSRSPQYNRGRDESPAYDNGYRRSRSIGLHCLNDSADYGYQLYLRGCVLRSSFYARPRLLHANVIQPH >ONIVA06G15340.3 pep chromosome:AWHD00000000:6:12569514:12573297:1 gene:ONIVA06G15340 transcript:ONIVA06G15340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAQRPRPKPRAVSLHKPNPTHIISSHLSPVFSNSPSRDLAHPPLHPLSSPCAAAEFLAAAAAAAAAVAMARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRYGSSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRGAYEVTALQGALQGVVVCHRLVHAAIAGHHNTTVAVMNLLPMITGIGLHCLNDSADYGYQLYLRGCVLRSSFYARPRLLHANVIQPH >ONIVA06G15340.4 pep chromosome:AWHD00000000:6:12569514:12573078:1 gene:ONIVA06G15340 transcript:ONIVA06G15340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAQRPRPKPRAVSLHKPNPTHIISSHLSPVFSNSPSRDLAHPPLHPLSSPCAAAEFLAAAAAAAAAVAMARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRYGSSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPAGRSPRRRSVSPARARSYSRSPQYNRGRDESPAYDNGLPQPTLRAPV >ONIVA06G15340.5 pep chromosome:AWHD00000000:6:12569514:12572388:1 gene:ONIVA06G15340 transcript:ONIVA06G15340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAQRPRPKPRAVSLHKPNPTHIISSHLSPVFSNSPSRDLAHPPLHPLSSPCAAAEFLAAAAAAAAAVAMARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRYGSSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPAGRSPRRRSVSPARARSYSRSPQYNRGRDESPAYDNGYEQCPTPNIIINSGL >ONIVA06G15340.6 pep chromosome:AWHD00000000:6:12569514:12573569:1 gene:ONIVA06G15340 transcript:ONIVA06G15340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAQRPRPKPRAVSLHKPNPTHIISSHLSPVFSNSPSRDLAHPPLHPLSSPCAAAEFLAAAAAAAAAVAMARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRYGSSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPAGRSPRRRSVSPARARSYSRSPQYNRGRDESPAYDNG >ONIVA06G15330.1 pep chromosome:AWHD00000000:6:12560402:12561949:-1 gene:ONIVA06G15330 transcript:ONIVA06G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQ17] MPVSAAAATRPAAEGVGGNDGATLAGRDHVVVFPLMSKGHMIPLLHFAAALAVHHGDHLRVTLVTTPANLAFARRRLPPSPSVRVVAIPFPAHPQIPPGVESTDALPSQSLFPAFLRATALLREPFAEFLASLPSPPPLVLVSDFFLGFTQRVADDAGVRRLTFNGMSPFSLALCFTLASRRPHVGVEGGAEFHVPGFPDDVRITADEVPDAVIQGGNPDDPVTQFLHDEVRDWDHRSWGVLVNSFAALDGDYAAILESFYHPGSRAWLVGPLFLAAGESPETKQEEDDDDDDDPEGCVAWLDERAARPGSVVYVSFGTQAHLPDAQLDELAHGLVDSGHAFLWAIGRSGGEWSPPVDAGGDGKIVRGWVPQRRVLSHPAVGAFVTHAGWNSVLESLAAGLPMLAWPVMAEQAANAKLVADIIGAGVRAVRGGGVVVGRAEVAGKVGRLMDGGEEGRAIRARAEEVREAARAAVGEGGASREALRRLVDELRSSYVVAGDGTAAASSANGGSGKC >ONIVA06G15320.1 pep chromosome:AWHD00000000:6:12558964:12560196:-1 gene:ONIVA06G15320 transcript:ONIVA06G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFTELSFGTLAHGRHGVVDSGHACLWAIGRSSGGDGKIVRGWVPQRAFVTHAGWNSVLESLAAVRPMLTWPVMAEQAANAKHVADILCAGVRVDRLLRGPNAAVVFGRVEVGVVMVKTRGFFHNPS >ONIVA06G15310.1 pep chromosome:AWHD00000000:6:12551666:12552991:1 gene:ONIVA06G15310 transcript:ONIVA06G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSASTSPPPPRSVLSTIEDKMSPGVLLIIAILAVVFFLFGLLNLLIQNLLRMRRARRRRRRVGDGGGGVGMGSPTAFQGQLQQLFHLHDAGVDQTFIDALPVFVYRAVVGARLRKDDPFDCAVCLCEFAGDDKLRLLPTCGHAFHVPCIDAWLLSHSTCPICRGSVLAAAAAADDDDDSSASTPVARRVLDSESLGETFANNGGGDSEGSSPKAAAAAEEEVVEVKLGKLKCIDGNGNAGDLAVVKGTTTSNDGDIGGGGRGDLGQRRCFSMGSYEYVMDEHAALRVAVRTPKRRPPARSRSRRRLALSECDFAGSASKKGAWEAAVIEAASADAAAARLNRDSFSVSKIWMTSATRKEDVRTAAELAGGGRRAASFRWPAMAEASKKHGGINDERRDVEAGGNGDSSLADERPSLARTALQYIVGGGRQQSSRVGSHS >ONIVA06G15300.1 pep chromosome:AWHD00000000:6:12545852:12547623:-1 gene:ONIVA06G15300 transcript:ONIVA06G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVEEEEAEVMYPSTPGKVKVEQRSSAAMSRQVHRCFASTGTMFLWALFLVAMTATYLSFRSLAGDAAASSSRYFPAASWGGLHWERQIRASASPRRPPGSAEGAGLSVLVTGAAGFVGAHCSLALRKRGDGVVGIDNYNSYYDPSLKKARRALLGSHGVFVVDGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPSSYVRSNVAGLVSLLESCKDADPQPAVVWASSSSVYGLNDAVPFSEAHRTDKPASLYAATKKAGEAITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTRNILQGKPVTVYRGRDHVDIARDFTYIDDIVRGCLAALDTAGRSTGGGGRKRGAAPYRIFNLGNTSPVTVPALVAMLERCLMVKARRHVVEMPGNGDVPFTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYYGYNRGTHAFRNHL >ONIVA06G15290.1 pep chromosome:AWHD00000000:6:12535645:12545138:1 gene:ONIVA06G15290 transcript:ONIVA06G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSATPSRSKSGLRSSPRKPAAAPAVAQMDLSTPSKPTPKRKPKAPPVAAPMSPATPSSVRRSSRLLETPTKVTSEAPVKPTPTPKRKRAAPSPSPKTPTQSEPKRQRQQPKKPKKRAHYRKVVYDGGEFAAGDDVYVKRRDGAESDAEDPEAEECRVCFRAGAAVMVECDVCLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKAIARPEPPEGKRIVRTAKEKLLSSDLWAARIESLWREPDGIFWAKVRWYIIPEETAAGRQPHNLRRELYRTNDLADIEMETILRHCYVMSPKEFKDASDQGDDVFYCEYEYDIHWHNFKRLADIDDEPETKEDPGDEPYNAGNDYVSDSDEDSEYDEEEEPTKCGSARTHQSHVLAANLRKGRTYGLQKIGIRKIPEHVRCHQKTNLEKAKATLLLATLPKSLPCRDKEMEEISAFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSELDSGNLRPYSFIEINGLKLASPENIYKVIYEQLSGHRVLYNILDWPTRPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYRQLQEIITSRLKGIDAFEDQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQSGHTSVNRGKNVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKIILVAMVHELYRSGLVASLVKIFHGCPSTCEVYTCSSCTFPAFHSSTPGVGEQRSQLLVHDQQTLPNSRGILMFPSLRLEFTRALSFGPIAFLSPPASPLPMANASATRKTHRRRRRRVTFLARTCHRLLRFLATRRLRRRGHGLNSISAGDSPPSGQPPRGRDAEEAEATQSAAAAQRRHEERHGDDAAATEEAAVAGKYWAQRRSLFSLYDRGVRMDAEGWYSATPEPIAAAQAARAPPGSLVLDAFAGVGGNSIQGCYVVAVEIDPRKVELAAHNARIYGVDDMIEFVVADFFHLAPSLKADLVFLSPPWGGPSYSQAQVYSLDMLKPRDGFTIFQAAQEISPNIIMFLPRNVDLSQVEQLSWLSSPPLDFVSEENYIEHRFKGITAYFGGLAQEVLKQG >ONIVA06G15280.1 pep chromosome:AWHD00000000:6:12529788:12533300:-1 gene:ONIVA06G15280 transcript:ONIVA06G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQ12] MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSLEARGSSEGMVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRSIGVRIKEEAEIIEGEVVEISIDRPVSAAAAGSSSAPSGVAAAGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVVALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAIHLITSAALACQKRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGETEGDDAMQS >ONIVA06G15270.1 pep chromosome:AWHD00000000:6:12522373:12525488:-1 gene:ONIVA06G15270 transcript:ONIVA06G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAAAADLPAEEEGKGGRSSSRQEEANVLLAVDAAAEGGGAWSPVGWFRMLGRELHWSFVAAVVATYGVSQGLGGGIMRVASDYYWKDVQRVQPSAAQVYQGVTSIPWMVKPLWGLLTDVLPIAGYRRRPYFVIAGGFMGVVAMLVLSLHSKLHVLFALLALMAGSASVAIADVTIDACVAENSIVHPHLAADMISLNGFCASVGGLIGFSISGFLVHAIGSQINLVFLKGALGMLAIPSALVILAGMMIKDVHMPNFPYELAHMKFVEASRTMMATLKCPEVWRPCVYMYMSLALSVDIQEGMFYWYTDQNAGLSFSEGFIGFIFAVGSVGSLIGVILYQNILKDHSFRSVLCLSQLLLSLSGMLDLILVLRLNLKLGIPDYYFAVIDEGVSKMINRIKWMPLLVLSSKLCPAGIEGTFYALLMSIDNVGLLSGSWAGGLILHVLNITRTEFKNLWAAILIRNAMRLLPLALLFLVPRSDQNSNLLPADLLPEDDGAQYQVDNVELTSLTVDGKSSTGSLHQEFKNQDVVENDDDEASLLANSS >ONIVA06G15260.1 pep chromosome:AWHD00000000:6:12513772:12518603:-1 gene:ONIVA06G15260 transcript:ONIVA06G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAGATVKLEADEMMHGGEEDGGPLSKRAKAGVQMPAPPPPPPPPQQQDMYHNVLDEPSPLGLRLRKSPSLLDLIQMRLSQANSSAGQSSADDCSSEPPKKKDLKSGTSTAGERLKASNFPANILRIGTWEYISRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKASCPENGQGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASMNRRHFLQCPSSLLSKNFEKLLQCDQRLNQLSQQPDIILDSPVFEPRCSIFEDPVESKCQGFTNLKDEHELSGFSGSLSPCAGSSMSAKIEVNDSIATQAGFLAQPGNPGPSAVNVQGVSRNVNGAPELNIPSWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSVNPTLPSNEVPTKETLEEIAQYLLGDAQGPPASTSDERSLMARVDSLCCLIQKDTPPVAQPKPEPNDSDSIGGDGTEGSDEEFSSAASTVKTTGPAQPPAMSRKDSFGDLLMNLPRIASLPQFLFKIPEDSEN >ONIVA06G15250.1 pep chromosome:AWHD00000000:6:12505148:12515417:1 gene:ONIVA06G15250 transcript:ONIVA06G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFGSEETILDIREAKLAYRAEVFELQKQLARQQAQFDLLAGQASTLIQGRRARVTAMSAVSGQLISLDEQLSSRNLEMNAVLGRITATTQELAHYHSGDDESIYLAYSDFHPYVVGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNGLIRGDSEKSHHHQRVAELQRLRSIFATSERQWIEAQVENAKQQAILSILKSQVSSDEAHIHRDIHSLRRKGSELAGELSTLSQKVQAFVSEIKFLFQKKKCSKHCHKIAFSCEISKPRAARNYNLFLQILALFCYKLSGKRKTLVTWDYDLKVMRQEYYINRQKTFISHLANQLARHQFLKIACQLERKNIASAYSLLRVIESELQSYLSAVNTRLGHCTSLIQAATEMSKQQCQHTCQRMPWFSRYQPFNLICFLFNLNLKVPCQPIAKDASMNLNRTVVSHVVKAVRLTPGSAIGSMPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQVFVDFFSNPERLKNQVRELTSRVKALQE >ONIVA06G15240.1 pep chromosome:AWHD00000000:6:12493378:12498736:-1 gene:ONIVA06G15240 transcript:ONIVA06G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 29 [Source:Projected from Arabidopsis thaliana (AT4G30810) TAIR;Acc:AT4G30810] MTTTTTTSAIGSLLVLLLVVVVGGVCSASDGGGGGGEAEAWRAEQERDRVERVPGQDFDVGFAQYAGYVAVSEERGASLFYWFFEAADDPPSKPLVLWLNGGPGCSSIAYGVAEEVGPFHVNADGQGVHLNPYSWNQVANILFLDSPVGVGYSYSNASDDILNNGDARTANDSLTFLTKWIERFPQYKGREFYVTGESYAGHYVPQLAQAIKRHHEATGDKSINLKGYMVGNALFDDFHDHLGIFQFMWTNGLISDQTYRLLNVFCDYESFVHTSSQCNKILDIASDEAGNIDSYSIFTPTCHASFASSRNKVMKRKRLHSVGKMGERYDPCTEKHSTVYFNLAEVQKALHVSPIINKSKWETCSDVVNTNWKDCERSVLHIYHELIQYGLRIWVFSGDTDAVLPVTSTRYSINALKLPTVTPWNAWYDDDGEVGGWTQGYKGLNFVTVRGAGHEVPLHRPKQALILIKSFLAGSPMPSVQDFYSDV >ONIVA06G15230.1 pep chromosome:AWHD00000000:6:12480617:12484090:-1 gene:ONIVA06G15230 transcript:ONIVA06G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLLIIPIIICYLVPIAVAVDAPPPVMAAAAVADDRSALLAFLSNVSADSGGVALADWGRSPEFCNWTGVVCGGGGGERRRVTQLVLAGRGLRGVVSPALGRLEFVTVLDLSNNGFSGEIPAELASLSRLTQLSLASNRLEGAIPAGIGLLRRLYFLDLSGNRLSGGIPATLFCNCTALQYVDLANNSLAGDIPYSGKCRLPSLRYLLLWSNDLSGPIPPALSNSSLLEWVDFESNYLAGELPPQVFDRLPRLQYLYLSYNNLSSHGGNTDLAPFFRSLTNCTRLQELELAGNDLGGELPAFVGELSREFRQIHLEDNAITGAIPPSIAGLVNLTYLNLSNNMLNGSIPPEMSRMRRLERLYLSDNLLAGEIPRSIGEMPHLGLVDLSGNRLAGTIPDTFSNLTQLRRLMLHHNHLSGDVPASLGDCLNLEILDLSYNGLQGRIPPRVAAMSGLKLYLNLSNNHLEGPLPLELSKMDMVLALDLSENALAGAIPAQLGGCVALEYLNLSGNALRGALPAPVAALPFLQVLDVSRNQLSGELPVSSLQASTSLRDANFSCNSFSGAVPRGAGVLANLSAAAFRGNPGLCGYVPGIAACGAATARRARHRRAVLPAVVGIVAAVCAMLCAVVCRSMAAARAKRQSVRLVDVEDYQAAAEREYPRISYRELAEATGGFVQSSLIGAGRFGRVYEGTLRGGARVAVKVLDPKGGGEVSGSFKRECEVLRRTRHKNLVRVITTCSTATFHALVLPLMPHGSLEGHLYPPERGGGGGGAATGLDFGRLMSVVSDVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMRAVISDFGIAKLISGGACSTSDESAPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVMILELITGKRPTDVIFHEGLTLHDWVRRHYPHDVAAVVAHAPWSREAPSPMSTAASPAAADVAAVELIELGLVCTQHSPALRPSMVDVCHEITLLNEAIRRHAAAAIAAAAATDDDDDDDGRSLSTTKDDSLFSN >ONIVA06G15220.1 pep chromosome:AWHD00000000:6:12472797:12476111:-1 gene:ONIVA06G15220 transcript:ONIVA06G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPISVFVFVFIFIFFLLDGTIPTLGSNDHSALMSFKSGVSNDPNGALANWGSPNVCNWTGVSCDASRRRVVKLMLRDQKLSGEVSPALGNLSHLNILNLSGNLFAGRVPLELGNLFRLTLLDISSNTFVGRVPAELGNLSSLNTLDLSRNLFTGEVPPELGDLSKLQQLSLGNNLLEGKIPVELTRMSNLSYLNLGENNLSGRIPPAIFCNFSSLQYIDLSSNSLDGEISTDCPLPNLMFLVLWANNLVGEIPRSLSNSTKLKWLLLESNYLSGELPADMFGGMRNLELLYLSFNYLKSPENNTNLEPFFASLTNCTSLKELGVAGNELAGVIPPIAGRLGPGLTQLHLEYNSIFGAIPANLSNLTNLTALNLSHNLINGSIPPAAIAGMRRLERLYLSDNMLSGEIPPSLGEVPRLGLVDLSRNRLAGGIPAAALSNLTQLRWLVLHHNHLAGVIPPGIAQCVNLQNLDLSHNMLRGKIPDDLSELSGLLYLNLSSNLLEGMIPATIGRMAMLQVLNLSSNRLSGDIPPQIGGCVALEYVNVSGNALEGGLPDAVAALPFLQVLDVSYNGLSGALPPSLGEAASLRRVNFSYNGFSGEVPGDGAFASFPADAFLGDDGLCGVRPGMARCGGDGGEKRRVLHDRRVLLPIVITVVGFTLAILGVVACRSAARAEVVRRDARRSMLLAGGPGDEPGERDHPRISHRELAEATGGFEQASLIGAGRFGRVYEGTLRDGTRVAVKVLDPKSGGEVSRSFKRECEVLRRTRHRNLVRVVTTCSQPDFHALVLPLMRNGSLEGRLYPRDGRPGRGLGLAQLVAVAADVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMTAVVADFGIAKLVKNADDTTTNSGSIAAASSDPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVMILELITGKRPTDVIFHEGLTLHDWVRRHYPHDVAAVVARSWLTDAAAAAAADGAAVGYDVVAELINVGLACTQHSPPARPTMVEVCHEMALLKEDLAKHGHGGSGGDVVAAATVMAAAAPPSVAMTASERSCSTSDSSFSY >ONIVA06G15210.1 pep chromosome:AWHD00000000:6:12468015:12471643:1 gene:ONIVA06G15210 transcript:ONIVA06G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILVTPLTMPRFLAILSAIAVVAISGHAPPAASTAAADRAALLSFSSGVHGNLSDWGSPAAAMCNWTGVRCDNRSGRVTGLLLSNSNLAGVISPAIANLSMLERLYLDGNHLAGGVPPELGALPRLRELSLHYNLLGGQIPEALGRLTSVTYLTLDGNGLAGGIPEAVFCNCSGLTFIGMSGNSLTGDIPLRPRCRGLPALRQLSLFGNALSGVIPPALSNCTDLRWLLLQDNSLSGELPPEMFGSMPSLVFLYLSHNHFSSSDGNTNLVPFFSSLVNCTGLLELGVASAGVGGEIPAIIGNVSSANLSSLFLSGNEFVGKIPPAIGNLVNLTELCLFGNMLEGPIPPEILRPPRLALLDLSNNQIVGEIPRSVGESQRLETINLSQNKLQGTLPESLSNLTQLDHLVLHHNMLSGTIPPGLNCSLILDLSYNKLTGQIPSEITVLGNFHVYLNLSNNLLDGHVPLQIGNMEMTEALDLSMNNLSGAIPATIAGCVALEYINLSGNSLQGSLPTSIGKLPNLHVLDVSSNGLTGVLPPSLQASPALRYANFSYNKFSGEVSGEGAFANLTDDSFVGNPGLCGSIAGMARCDRRRHVHRRLLCIVAVAVAVVAGVSAMALTWLKKLTTTSVSPHLSSGGVMDERNSEHPRISHRELVDATGGFSEANLIGKGGYGHVYRGVLHGGTVVAVKVLRAGDDVVVAGSFERECRVLRSIRHRNLIRVITACSSPEFKAVVLPFMANGSLDGLIHPPPPPPPGGKPAAKAHRRLDLELLLSIAGNVADGMAYLHHHAPFRVVHCDLKPSNVLLDDDMTAIVSDFGVSKLVAQQEDAKDPDAIDDDDDDASSTPYPRSSITRLLQGSVGYIAPEYGLGCNPSTQGDVYSFGVLLMEMITGKRPTEVIAEEGHSLHEWVKRRLSSDDDVVAADELSAATSPRHETRVVVELLELGVACSRIVPAMRPTMDDVAQEIARLKDGAWRKCCCEDDNDHCIRSDPRDNSVLGEGF >ONIVA06G15200.1 pep chromosome:AWHD00000000:6:12462780:12467359:1 gene:ONIVA06G15200 transcript:ONIVA06G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HQ04] MASTTTTTAAAAAAAAAARFTCLAPATRPASASASAGRFLLPARQWGAATTHGSAAVPVVAAPSRRWAPGVAYATAATGKSVHDFTVKDIDGKDVALSKFKGRALLIVNVASQCGLTTANYTELSHLYEKYKTQGFEILAFPCNQFGAQEPGSNPQIKQFACTRFKAEFPIFDKVDVNGPNTAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKTGKVVERYPPTTSPFQIEKDIQKLLAA >ONIVA06G15190.1 pep chromosome:AWHD00000000:6:12458122:12460485:-1 gene:ONIVA06G15190 transcript:ONIVA06G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30700) TAIR;Acc:AT4G30700] MPPPRRRPPSVADAAALRRPYLRLVALSSTLRHLDQILAVSLASGHYPLDPAPATSLLLRYASLRAPTGHLLRLFRGFPRPDRFLRNALLRSLPSLRPRLLFPCPDSFSFAFAATSLAGSCSRGGGAASSSAARALHALAVAAGYAADTFVASALAKLYFVLSRVDHARKVFDMVPSPDTVLWNTLLAGLSGSEAVESFARMVSDGSVRPDATTLASVLPAVAEVADVTMGRCVHAFAEKCGLAEHEHVLTGLISLYSKCGDVESARCLFDMMEKPDLVAYNALISGYSVNGMVGSSVDLFTELMTLGLWPNSSTLVALIPVHSPFGHDLLAQCLHGFVLKSGFTANSPVSTAITTLYCRLNDMESARKAFDAMPEKTMESWNAMISGYAQNGLTEMAVALFEQMLVLNVRPNPITVSSTLSACAQLGALSMGKWVHRVIAEEDLDPNVYVMTALIDMYAKCGSISEARRIFNTMDNKNVVSWNAMIAGYGLHGQGAEALKLYKDMLDAHLLPTSATFLSVLYACSHGGLVEEGRKVFRSMTDDYAINPGIEHCTCMVDLLGRAGQLKEAFELISEFPKSAVGPGVWGALLGACMVHKDSDLAKLASQKLFELDPENSGYYVLLSNLHTSKKQYSEAAVVRQEAKSRKLVKTPGYTLIEIGNKPHVFMAGDRAHPHSEAIYSYLEKLTAKMIEAGYRPETEAALYDVEEEEKEHMVKVHSEKLAIAFGLLSTEPGTEIRIIKNLRVCLDCHNATKFISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >ONIVA06G15180.1 pep chromosome:AWHD00000000:6:12454570:12456591:1 gene:ONIVA06G15180 transcript:ONIVA06G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G03380) TAIR;Acc:AT2G03380] MHPPAAHSVEHLARASAPRDASALVLLLPACGTLRSLRALHGRLLLLTSGLLRGIRARTKLLSCYAALGDLASARGVLHGTPRPDAYAYRVMLGWLVDAGSHADAVALHRDMRRRCPAAAQADVVLSLALKACVRSADFRYGRRLHCDVVKAGGADGFVMNSLVDMYAKSEDLENARKVFDRVPERNVVSWTSMLSGSIQNGFAEEGLVLFNEMWKDNVHPSEYTMVSVLAACAMLGCLHQGRWIHGSVIKDGLSTNSFISASLLDMYAKCEKVEDARHVFDELEFVDIVLWTAMIVGYTQNKSPLDALQLFLHKKFVSIVPNSVTIATVISASAQLRHLPLGRSIHAIGVKLGTMESDVVRNALVDMYAKCQALPEANSIFGRILIKDVVAWNSMMAGYSENGMANESLVLFNRMRMQGISPDAISVVNALSACVCLADLHIGKGFHTYAIKYAFMSNIYVNTALLNLYSKCADLPSAQRVFNDMTDRNSVTWSAMIGGYGMQGDSAGSIDLFNEMLKENIHPNEVVFTSILSACSHTGMVTAGKEYFDSMARHFNITPSMKHYACMVDVMARAGNLEEALEFIQNMPIKAGISVWGSFLHGCKLHSRLEFGEEAIKKMAALHPETPDFYVLMSNLYTSYGRWDKSQTIRRWMQEQGLVKLPGCSSVGHENG >ONIVA06G15170.1 pep chromosome:AWHD00000000:6:12442862:12444356:-1 gene:ONIVA06G15170 transcript:ONIVA06G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDVLTSEVVVPAGETPAGAVWLSNLDLAARRGYTPTVFFYRHNGEPGFFAADAMRDSLARALVAFYPVAGRLGLDGDGRVQVDCTGEGVVFATARSGHYALDDLMGEFVPCDEMRDLFVPAAPAAASCCPRGGALLLVQVTYLRCGGVVLGMALHHSIADGRSAAHFVETWASIARGAPAADAPVPPCFDHRLLAARPARAVLYDHPEYKPEPAPPARAATASTYASAIITLTKQQVGALKAACAGASTFRAVVALVWQCACRARSLPPEAETRLYSMIDMRQRLSPPLPPGYFGNAVIRTSTSATVGEVVSNPVGHAARRARAVTSQGDDYARSLVDYLEGVVSSPVGHAARRARAATSQGEDYARSVVDYLEGVDAMNLPRSGVSRADLRAISWLGMSLADADFGWGSPAFMGPAIMYYSGFVYVMNAPGKDGAVALALSLEPESMPEFRKVFADEVARLA >ONIVA06G15160.1 pep chromosome:AWHD00000000:6:12435713:12436480:1 gene:ONIVA06G15160 transcript:ONIVA06G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRGGGGCCWWRWSACCARRRGLRRGGRTAPAPEGAQQAGGEEHRGFGRKHEQGGRFALGLIVQSARWHSDSKEDVFSVALCGCARPFFQPYHLIIVQDGDPKKTIRVTTSMKKFISKKKYIYTIDEFFPFHPSINFTSVRSVSVKV >ONIVA06G15150.1 pep chromosome:AWHD00000000:6:12433084:12434394:1 gene:ONIVA06G15150 transcript:ONIVA06G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVLTSEVVAPAEETPAGAVWLSNLDLAARRGYTPTVYFYRRNGDDEAAFFAADAVRDGLARALVPFYPLAGRLGLAGGGEDGRVQIDCTGEGAVFVTARSGHYALDDLMNEFVPCDEMRDLFVPPTPPPNPPCALLLVQVTHLRCGGVVLGMALHHSVVDARSAAHFAETWASIVRGAPAGDAPVPPCFDHKLLAARPARAVLYDHPEYKPEPAPAPAHAATASTYASAIITLTKQQVGALKAACAGASTFRAVVALVWQCACRARSLPPEAETRLYSMIDMRQRLSPPLPPGYFGNAVIRTSTSATVGEVVSNPVGHAARRARAVTSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWLGMSLADADFGWGSPAFMGPAIMYYSGFVYVMNAPGKDGAVALALSLEPESMPEFRKVFADEVARLGL >ONIVA06G15140.1 pep chromosome:AWHD00000000:6:12423515:12424366:1 gene:ONIVA06G15140 transcript:ONIVA06G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDWNSLVRNPQVPPPFTGVNGSSPNFPGHRPLHRRVAIVTGGAGGIGAAVTAHLVSLGARVVVCYVGDPAPAEQLVASLNDSATAPRAVAVAADVSDHAQVSRLFDAAREAFGPDLHVLVAAAGVQDGAYPRIADTSPEQWDRAFAVNARGTFLCCREAARRLARGGGGRVVTFSSSNVGSLRPGYGAYVATKAAVEAMTKVLAKELAGTGITANSVAPGPVATPMFYAGKSEERVAAVAGECPMGRIGEPMDVAPVVGFLCTDAAGWINGQVIRVNGGYI >ONIVA06G15130.1 pep chromosome:AWHD00000000:6:12414725:12416062:1 gene:ONIVA06G15130 transcript:ONIVA06G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIVKSSMVTAGEATPEHRIWLSNLDLLVARSHTPTVYVYRRTGPDSDAAFFSPDVLKAALSKVLVPFYPLAGRLAQDSAGRPEISCTGEGVLFVTARSGATIDDLGDLAPSDELRRMLVPAADVAAASILAMFQVTFFRCGGVCLGAAIHHTAADGLAALDFVNTWAAIARDVAGDGEAAAAAVQRPWLDRTLLRARSPPAVRFDHAEYSRRRGGGSKLPFDSAILPMSKNQLNALKGAGAGAGKRLSTFTAVVAHVWRCACKARGLAVAGTEAATRLYMTADARTRLHPPLPRGYLGNAIFRASAVSKVSDIVAAGPLGAVAEKVSAATARLDDGYVRSLLDHLEQTAAAASGGAAGLRKGEWVMPESDLWVISWQGLPLYDADFGWGRPAFMGRACLQFSGLIYLVPGRDDGDGRLDVVVAMDPESLAKFKDVFYEELKC >ONIVA06G15120.1 pep chromosome:AWHD00000000:6:12412527:12413693:-1 gene:ONIVA06G15120 transcript:ONIVA06G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25210) TAIR;Acc:AT3G25210] MALLAAAAAARRFSRSLRRFSSYAASGGEEAGGVPAGVVEPKSPVRAPPDEQFAAWVARLRPGFTAGDLAEAISSERDPDLALALFRWAALRPGFRHAPASYLAALTAASSGRRPAAAENLVYDVLAGACGPDLRLFNACLRFCCARRRLFPLAFDMFNKMRSLPASAACRPDVETYTLLLTSVVRRVRRPPASMVYLHAVRSLSRQMKASGVVPDTFLLNLIIKAYARCLEVDDALKVFREMPLYGCEANEFTYGYIVKAMFQKGRTDKGMVYFREAREKGFVPTGGVYMTAVSALALEWRFEESRNVLIDMLDCKRKPDMITYRTLMEEMCRAGRAEDAFELLEELKERKRGPLDQRMYSELLDGLHWISQPHQDRVSPCDKRSDD >ONIVA06G15110.1 pep chromosome:AWHD00000000:6:12410267:12410612:-1 gene:ONIVA06G15110 transcript:ONIVA06G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCRCLEILCAILLPPLGVCLRHGCCTMEFWISVLLTILGYLPGVLYAVYVIVSVDPDRERRRRVDPDEYIYVA >ONIVA06G15100.1 pep chromosome:AWHD00000000:6:12396283:12410665:1 gene:ONIVA06G15100 transcript:ONIVA06G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MDFVCPGDPAAWDGRRFTPCFSDLVLGLSGNVVAAGAAVVLFISRRNARRTENVRMGFLEKWFVFGVPGFAACLSFLEIVMLIKNKIEGKDVVNYESFFRSSQFLVWMVVGLVSVHGPWFVFYNPIMCFCWILKILLEIPHLQYKLTVLKAVLYFKEIISFSMAIVFGLFVVVSTVVDQPHNKREMNSIEDPLVPDDEKAEAEVTNLENNQSIWELLTFKFVNPMMDIGITRQLDFTDLLELPVELRAASSYEKLLSSWTVEHQCHHADSSLLRAMSNAYGWTYLRLGLLKVINDSIGFVSPLLLNKFIKFLQQGSGGADGYILAISLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIIYRKIGVALYLLYTQVNYAFLSGLAITVILIPVNKWISTRIAHATEKMMKHKDERISCAGELLAHIRTVKMYSWERLFTQRLVERRELEVKHLAVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLSKYLSSPEKRSSAIPASADLLKHRNTETNVNAMAVILRNVCCSWSSSSIVESSMILRDVSLELQKGIFVAIIGEVPWILSGSLRDNILLGEEFDPRRYEEVIHACTLDVDISAMVGGDMSHIGEKGLNLSGGQRARLALARALYHDSDVYLFDDVLSSVDSQVASYILEKAIMGPKMKRKTRILSTHNLQAISAADMIVVMANGLVKWFGTLDSFLATPYSTLSKPESSRVISSTFSEKNKGVSVAHESETNGLIDNDSVVDHEEQREQNSVEARKEGMVELSVYKKYAAFTGWSIAFLICLSVFLMQASRNGNDLWLTYWVDTSTASSRTIFYLTILAAFGALNSFFTLGRAFSFAYGGLCAAIQIHADLLNNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVISYSQVSFLLILVPLWLIYRNVQVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELHGSESPHSGWPTEGNIEFEHVTLRYKEDLPPALNDVSFFISSGMQVGIIGRTGAGKSSILNALLRLAPICNGRILVDDFDVAKLAVRDLRGHFAVVPQSPFLFDGSLRENLDPFNRTTDLRIWEALDKCHMKTEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKILCLDECTANVDNQTASLLQNTISAECKGMTVLTIAHRISTVMKMDSILVLDQGKLVEEGNPEVLVDDKFSRFSRFAKASNM >ONIVA06G15090.1 pep chromosome:AWHD00000000:6:12367698:12370451:-1 gene:ONIVA06G15090 transcript:ONIVA06G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSHCFAVVFALSALLAGLALAIDEGFGMEWTAAELAEARSVIARVSDAYNSGVGSSSSACDTKHDRIMRELQARFPSRTMVQVIDLYVNLTVETAAQLQDAGSAGDAAAVVHPTFAGGMPVVNNNDGMVLGGAAMEEGAVAVNGGDGEVVNPDNADDDVLWTDYEHRLFLTGMRVYGRGDWRNIARLFLTGMRVYGRGDWRNISRYFVRSKTPEQISMYADNYFHMMEIAAAMEADGGDDDDGHHEINNNNNNLGGGQLHAVVGAVGHGPGAGHIAPATPSNNNAAAAAVNNNVDTPFWVPLLYNPEIEQRMMEMQAQSQKAWDDQQMKMAEAATPKEEGAADK >ONIVA06G15080.1 pep chromosome:AWHD00000000:6:12365971:12367358:1 gene:ONIVA06G15080 transcript:ONIVA06G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADNGKRAHSRRHPSDALVSESPTSYKEWLHDSYLFGRVEELAIREEEERQNCEIVQVKAFGACFPQDWLAIPCELQSFPRDKKKGTCHFAKTRAVEGKGKASGKYKGVKTR >ONIVA06G15070.1 pep chromosome:AWHD00000000:6:12354839:12359702:-1 gene:ONIVA06G15070 transcript:ONIVA06G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTAAEMDEARSIVARLTNAYDSSALVAGAGNGDTRHDRIVRELQAWFPWRTMDQLIGLYIELMAEEPAAAQPQYFDAGAVVDPTHDRIVRELLAWLPWRTMDQLIGLYIELMAEEPAAAQPQYFDAGAVVDPTFDFFNDHNNFLGMPPPPVQQADDHAMNNVVADAGMNYFYGGAGGGAMVFGGGAPMGETVEQAAPPAPAPVPVVPVVMNRDDDEVNNQGGGRHRAAPTNTTRRFWTTEEHRQFLRGLRVYGRGEWKSISMNFVRSKTPVQVSSHAQKYFRRVESAAADKQRYSINDVGLNDNDDDDTAAMDGTNSYSNNNFGGWQSLAFAGGHLEPVSGGGAAGQVIAPASSSAAAMNSAAQFWAPMLFNPQIQQQFMQMQAQTQQAWNDQHMMMAAAPMEGATDTNFEPAGAGNYYYHQQQEEEEGGAYGVPADQWMMNQNNNMF >ONIVA06G15060.1 pep chromosome:AWHD00000000:6:12349653:12351741:-1 gene:ONIVA06G15060 transcript:ONIVA06G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTAAEMDEARSIVARLTNAYDSGTLVAGAGNGDTRHDRIVRELLAWLPWRTMDQLIGLYIELMAEEPAAAQPQYFDAGAVVDPTFDFFNDHNNFLGIPPPPVQQADDHNMNNVVADAGMNYYYGGGGAGGAMVFGGAPMGETVEQAAPPAPAPVPVVPVVMNRDDDEVNNQGGGRHRAAPTNTTRRFWTTEEHRQFLRGLRVYGRGEWKSISMNFVRSKTPVQVSSHAQKYFRRVESAAADKQRYSINDVGLNDDDDDDTAAMDGTNSYSNNNFGGWQSLAFAGGHLEPVSGGGAAGQVIAPASSSAAAMNSAAQFWAPMLFNPQIQQQFMQMQAQTQQAWNDQHMMMAAAPMEGATDTNFEPAGAVNYYQQQQEEEGGAYGVPADQWMMNQNNNMF >ONIVA06G15050.1 pep chromosome:AWHD00000000:6:12343599:12345357:-1 gene:ONIVA06G15050 transcript:ONIVA06G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIVIEGWTASEIEEARSLITSPNNGGEGGDGEGNKQKHCGHIVMELHEWFPWKTIGQVIGLYMKLNAGKPMVMHSLNKSDANNSIGEVDHVSALANGNPVRLEEHRPMLNNVGLVFDYPLEEMEMENQTDQEPKMVVEEEVQPKEGLVIKEKEAGVSKIHTNSQHVTPSIKRRVIWTEEEHRLFMVGLRVFGRGDWKNISKHLVTTRTAAQVSSHAQKFFLKMEARGEAVPPPAKRRRRRITGDQQAAAAEHAAALRRRMPVPPPPFNPFLLPSLVAPVMHRLLPPGSQAAGAAASGSGGQGASLPQMPWINGANGMGR >ONIVA06G15040.1 pep chromosome:AWHD00000000:6:12342050:12342511:1 gene:ONIVA06G15040 transcript:ONIVA06G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPLLRTLHFAAGLDVDLLTSADQERFGRQRSKGRKNQSREESEEDTSHRLIQYHISITQDSRDSGPPLSVNFYI >ONIVA06G15030.1 pep chromosome:AWHD00000000:6:12337151:12341801:1 gene:ONIVA06G15030 transcript:ONIVA06G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGAPPPAAMAVDDAEDDQLASMSTEDIVRASRLLDNEIRDELQRTNLELESFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >ONIVA06G15020.1 pep chromosome:AWHD00000000:6:12329765:12336152:1 gene:ONIVA06G15020 transcript:ONIVA06G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAPPSVERQVAEIAAEPDRASAYARLLHLQRACADDPSAAADLAAASPSILLPLLLRDAGDRDEAVAASALKCLGFTLYHPVLVSTVSGQMAQSVLDTVIQLIMTTQMKAICNLGVWCISVQELEAVVVDHRATSLLTAIVHAIDNPFCSLSTTFEAAQAIMKLTSQNPEKMRELSSIWVPPIYRRLLSVDKAERDMAERCLIKVSSVVLPPQSLLSKAIASDLEHSLLSCMLNMIHDPVKKVQAVKSWGWYISLLGLHVVDNRHLLNKILKVPEQLFIDSDTQVQIATMVAWRNLVNAFLPQASETLVPKTKISPIESRADTNAQLKKIRLIMMPLGRILSRSHSIALSSSCLSTWHHLLYKLGDLINQLPILEAAFGPVLKIVFSIGPDIQNKPLYSFCVNLFHEYISTKVRDMASHGEYLPIPLNQNLLSQSCIHLKTLMDGQCIRWLPWDVTCFDFHLDILVCIVNPELLRKMTLESVVTVMDSATHIFRLLVQGVQVDCKAKCANGNAQICVAKVCKFVKKVFMDLVGKQNNNNCSVLLQYAFQFVKVILEELDHCLLASGICVIGLDIEHIKEMEYADCSPKLSYPGMKSYSYMEMVSPEVYMIVLSLSIVAEFTGELSHGDAEQLAIIICLSNFQDNFHAAVSFMYKQIMLLTDNRLRMRWLMVWNKIAKRLNGQITPNLKKIICGAGVHDVLYQFFCYPFFAFLLPGRKSTLCGSESSSESYLSLTHDLEVEVAIEVYRSICANSNHGPEADHKVFLESFCGFVVSIIDENISLFQANIEYCSEKKFKNCAILSTLGELVSGLLENGHILNYAIKEPTEASEESAGYSQPSILLCCLRLVSRFMGLSTIVIKANPTTQHQIMSRVFSSLSAFAGYLLLKKDVLLFFEIIGEQLTECLSLSGTLYREMQHGETINQIEKLWLKIIMCLKTSKLINDCSFLQKQQMLLQAAVSHPHRPISVATTPAWRASRSDISTLQHSSFSLSKLDKLPMERRKDLTDPCTHNAIALEEIDILRKFKHPISESEKNDESIKISVGLGRKRLKIMKYSMKPREPGKSTVPPGNFSSKKDTDADAFSSHYMESKACRKPELILEMLKRKR >ONIVA06G15010.1 pep chromosome:AWHD00000000:6:12323170:12326372:-1 gene:ONIVA06G15010 transcript:ONIVA06G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G20250) TAIR;Acc:AT5G20250] MRRVFAHHTTTHLTHVTTRPTQTAQPDQPTQSCTRTRHARSVRVTGQSPTNPIRSNRRRRRQSPPPMSVPVARRLLMISTTRATPPASSSPLLPRPRTIYTFPSRAFPPIASAPLPSSSRRCQRRSRCAASSSEMTVTSSVKVAGGELSVHGRTVLSGVPEAVRASSAAAAGPVDGVFLGGDFAEPASRHVVSLGAMRGVRFMACFRFKLWWMAQRMGEKGGDVPHETQFLLVESKAGVDGGGGDASYLVFLPLVEGAFRASLQGGGAGGDELQLCVESGDAGTRAASFDRALFVGAADSDPFAAIAGAVAAAKSCLKTFRIRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLTAGGAPPKFVIIDDGWQSVGTDHQNPDDTGADAKDKQPLLARLTGIKENSKFQDGDDPAAGIKTVVRAAKEKYGLKYVYVWHAITGYWGGVRPGVAGMEGYHSNMQFPNVSPGVVENEPGMKTDVLTTQGLGLVHPRAVYRFYDELHAYLAAAGVDGVKVDVQCILETLGAGHGGRVSLTRQFHQALDASIAKNFPENGIIACMSHHTDALYCAKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSLHPAGDYHGSARAISGGPVYVSDAPGKHNFELLKKMVLPDGSVLRAWLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNIFHKTGAEALSCGVKGSDVHLIADAATDSEWNGDCAVYRHASADLVVLPNGAALPISLKVLEHDILTVSPIKDLAPGFRFAPIGLVDMFNSGAAVEGLTYHRLDGVKSLSNGSASTLPELQSLSSQAIGLVCMEVRGCGKFGAYSSVRPRKCMLGSAQVEFTYDSSSGLVILDLETMPKERVHKIVVEL >ONIVA06G15010.2 pep chromosome:AWHD00000000:6:12323170:12326372:-1 gene:ONIVA06G15010 transcript:ONIVA06G15010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G20250) TAIR;Acc:AT5G20250] MRRVFAHHTTTHLTHVTTRPTQTAQPDQPTQSCTRTRHARSVRVTGQSPTNPIRSNRRRRRQSPPPMSVPVARRLLMISTTRATPPASSSPLLPRPRTIYTFPSRAFPPIASAPLPSSSRRCQRRSRCAASSSEMTVTSSVKVAGGELSVHGRTVLSGVPEAVRASSAAAAGPVDGVFLGGDFAEPASRHVVSLGAMRFDGANRIGGFGVGSRGVRFMACFRFKLWWMAQRMGEKGGDVPHETQFLLVESKAGVDGGGGDASYLVFLPLVEGAFRASLQGGGAGGDELQLCVESGDAGTRAASFDRALFVGAADSDPFAAIAGAVAAAKSCLKTFRIRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLTAGGAPPKFVIIDDGWQSVGTDHQNPDDTGADAKDKQPLLARLTGIKENSKFQDGDDPAAGIKTVVRAAKEKYGLKYVYVWHAITGYWGGVRPGVAGMEGYHSNMQFPNVSPGVVENEPGMKTDVLTTQGLGLVHPRAVYRFYDELHAYLAAAGVDGVKVDVQCILETLGAGHGGRVSLTRQFHQALDASIAKNFPENGIIACMSHHTDALYCAKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSLHPAGDYHGSARAISGGPVYVSDAPGKHNFELLKKMVLPDGSVLRAWLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNIFHKTGAEALSCGVKGSDVHLIADAATDSEWNGDCAVYRHASADLVVLPNGAALPISLKVLEHDILTVSPIKDLAPGFRFAPIGLVDMFNSGAAVEGLTYHRLDGVKSLSNGSASTLPELQSLSSQAIGLVCMEVRGCGKFGAYSSVRPRKCMLGSAQVEFTYDSSSGLVILDLETMPKERVHKIVVEL >ONIVA06G15000.1 pep chromosome:AWHD00000000:6:12308185:12309483:-1 gene:ONIVA06G15000 transcript:ONIVA06G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRAALLALRDAVGGRHLPWDPSAPTPCGGAWRGVGCSASGDRVTELRLPGKSLRGAVPVGTVGNLTALRTLSLRTNAISGGIPADIGGCVQLRSLNLSGNRLAGRLPEGLFSLALLEKVDLSGNRLTGGVSPEFSRLASLTTLNLDRNGFNGTLPGNLTLPKLAQFNVSYNGQLGGAVPASLTGMPASAFLGTALCGGPLAPCANPSPPSPGGSKGVRDEEEDRRERCHEKCNCRMRPEETQSCRAKFQNRLAAFNRHHMN >ONIVA06G14980.1 pep chromosome:AWHD00000000:6:12300414:12301246:-1 gene:ONIVA06G14980 transcript:ONIVA06G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQEVVAEALLPPRPQEERERERRRRWRASAGDLGDELGSHAAELGEVRDDGRAPALVAAERTARAGRGGGRPRWRKAKAGRRRRRRRREEAATASVGRRQRWRGGRRRRRLGWEEAEVAGMVVVGVGVPRAPLLPTRSSPLVGVVVPLVPAGSRRGAVASVLLLLRRRIDGVRCFSFPAASAASSMPGSCIPLASSCISPSSSSLFACCIIIYVESELAAGELAGDRRVD >ONIVA06G14970.1 pep chromosome:AWHD00000000:6:12296691:12297401:1 gene:ONIVA06G14970 transcript:ONIVA06G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLLGGLCALFSLASSSPATKKCGDAKKRREEEGEEVVVVAKKRPEEEPRRPDPDADLGIVFSTFDHDGDGFITAAELEESLKRLGIAVSSAAEAAALVARVDANSDGLIDIHEFRELYDSIPKRRKSHQQHPLPSTAAADEEAAAADEEYEAEEEERDLREAFDVFDGNKDGLISAEELGTVLGSLGLRQHGGRPAVAECRDMIRLVDSDGDGMVSFEEFKRMMTVVKA >ONIVA06G14960.1 pep chromosome:AWHD00000000:6:12287918:12291939:1 gene:ONIVA06G14960 transcript:ONIVA06G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48730) TAIR;Acc:AT5G48730] MAAAPVASPFPALPTSSSSTTIAASTAARRCHDALLPPPAAAGREPARARGSSSSLAGAAGERRRRRGEEDGGEVEAEAERRRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEALHERITALRWDSALKVFDLMRDQVWYRPYVGIYVKLITMLGKCKQPERAHELFQAMVDEGCAPNLESYTALVSAYSRSGRFDRAFSLLEQMKATPGCRPDVQTYSILIKSCLHAYDFERVKYLMEDMARAGIRPNVVTYNTLIDAYGKAGRFAEMESTLLEMLTENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRAGDVKKIKTVLRIVENSDITLDIVFFNCLVDAFGRVGCLAEMWDVLDIMKLQRCKPDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRSKDNI >ONIVA06G14960.2 pep chromosome:AWHD00000000:6:12287918:12290898:1 gene:ONIVA06G14960 transcript:ONIVA06G14960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48730) TAIR;Acc:AT5G48730] MAAAPVASPFPALPTSSSSTTIAASTAARRCHDALLPPPAAAGREPARARGSSSSLAGAAGERRRRRGEEDGGEVEAEAERRRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEALHERITALRWDSALKVFDLMRDQVWYRPYVGIYVKLITMLGKCKQPERAHELFQAMVDEGCAPNLESYTALVSAYSRSGRFDRAFSLLEQMKATPGCRPDVQTYSILIKSCLHAYDFERVKYLMEDMARAGIRPNVVTYNTLIDAYGKAGRFAEMESTLLEMLTENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRAGDVKKIKTVLRIVENSDITLDIVFFNCLVDAFGRVGCLAEMWDVLDIMKLQRCKPDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRSKDNI >ONIVA06G14950.1 pep chromosome:AWHD00000000:6:12279423:12287194:1 gene:ONIVA06G14950 transcript:ONIVA06G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRDGAAVAGYMAEDDPDGAASEDGDMDVEVGGEESQARDGDRRDGGDGDDEYALLTRITDTSAAEARAGKDIQGIPWERLQITRSDYRKARLVQYKNYENFPQSGELMDKICKQVDKISKYYEFHYNTRLVKPSILHFQLRNLLWATSKHDVYFMSNSTVGHWSSLSHNLSEVLDFSGHVAPAQKHPGSLLEGFSGVQVSTLSVNEGLLVAGGFQGELICKVVGDRDVKFCTRTTLSDNAITNAIDIHRSASGSLRVTVSNNDCGVREFDMETFQLLNHFSYNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDYSFASAWHPDGRTFATGNQDKTCRVWDVRNLSTSLSVLRGNIGAIRCIRYSSDGQFMLFSEPADFVHVYSAAADYKKRQEIDFFGEISGISLSPDDESLFVGVCDRVYASLLHYRMVHSFGYLDSFM >ONIVA06G14950.2 pep chromosome:AWHD00000000:6:12279837:12287194:1 gene:ONIVA06G14950 transcript:ONIVA06G14950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRDGAAVAGYMAEDDPDGAASEDGDMDVEVGGEESQARDGDRRDGGDGDDEYALLTRITDTSAAEARAGKDIQGIPWERLQITRSDYRKARLVQYKNYENFPQSGELMDKICKQVDKISKYYEFHYNTRLVKPSILHFQLRNLLWATSKHDVYFMSNSTVGHWSSLSHNLSEVLDFSGHVAPAQKHPGSLLEGFSGVQVSTLSVNEGLLVAGGFQGELICKVVGDRDVKFCTRTTLSDNAITNAIDIHRSASGSLRVTVSNNDCGVREFDMETFQLLNHFSYNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDYSFASAWHPDGRTFATGNQDKTCRVWDVRNLSTSLSVLRGNIGAIRCIRYSSDGQFMLFSEPADFVHVYSAAADYKKRQEIDFFGEISGISLSPDDESLFVGVCDRVYASLLHYRMVHSFGYLDSFM >ONIVA06G14940.1 pep chromosome:AWHD00000000:6:12270068:12276672:-1 gene:ONIVA06G14940 transcript:ONIVA06G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;nucleic acid binding;hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides [Source:Projected from Arabidopsis thaliana (AT1G48360) TAIR;Acc:AT1G48360] MLTGRESLVRLIGRRRRSPLPAALALAVPPSRSLQDDAADAEREAAAGGSSSGGGDAAGAAGWVACPVCGESIRGTDYCVNTHLDICLTRGTKRKLTQSTLLDFSFSRKATDDYALNNLNTSDEAEHMEPTDGNVSSDGAFFSLNNDKVNSKGSANASSPGCLHGSPDISETCDTCLPPNVLLPYTENTANNGVVKKCLSHMPSTDATSSTIGLLSVTDSSNSVVVDTVIVGRRFHENIELQEGASITLLRDPQNAKDPDAIKVLYAGYECEQMLGYLPRELAKVLAPLLDRHYIECEGCVVGVPEQQLDHVPIQLKCQKYTDENETYDDLKHPQFLWENFIGAVGNGNLLQPSSTRYQTNFSLMITDVMANHSHLFSDKENISYREISDLGQAAMELKCYIDMISCMDDLSNYDLKEVIDVLSVPEMKEILKELQKNNVSCTRRHELLSTLLYLCRNGTCTILPKRILKWTGTCIRTSDVADELLWRVQRLFFLNGDQDLSFFLLVDLGLVRFPVYACTISHRVFQEISDLLQYEEAIQVAQVMDQSLDNSNMEMVTRCIELSENRLSTAPKEENATRAEPPPSFFSRFSASSVYSKILTLGVSVYERDRRYTDAIRVLKRLLSTVASDRKRGYWALRLSVDLEHMNRSNESLSIAEAGVIDPWVRAGSKIALQRRVVRLSKPPRRWKVPSYANAVTTNIKEVNIEGRPLNCETGAKNVFYGYDGELCGVEQLALQYYADEGGGWRGTHSEGGIWMTIFGLLMWDAIFSDVPDVFQTKFQTAPLDLETDEFYRSRKDLIESQLKKIQDGIAEEILISSWELHQGTSCRGVNWDRHSLTDLRAAVVCTGGHRLASLLRHLALDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWILVLMDFGFDVEVCKVSPVSKRR >ONIVA06G14940.2 pep chromosome:AWHD00000000:6:12270068:12276672:-1 gene:ONIVA06G14940 transcript:ONIVA06G14940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;nucleic acid binding;hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides [Source:Projected from Arabidopsis thaliana (AT1G48360) TAIR;Acc:AT1G48360] MLTGRESLVRLIGRRRRSPLPAALALAVPPSRSLQDDAADAEREAAAGGSSSGGGDAAGAAGWVACPVCGESIRGTDYCVNTHLDICLTRGTKRKLTQSTLLDFSFSRKATDDYALNNLNTSDEAEHMEPTDGNVSSDGAFFSLNNDKVNSKGSANASSPGCLHGSPDISETCDTCLPPNVLLPYTENTANNGVVKKCLSHMPSTDATSSTIGLLSVTDSSNSVVVDTVIVGRRFHENIELQEGASITLLRDPQNAKDPDAIKMLGYLPRELAKVLAPLLDRHYIECEGCVVGVPEQQLDHVPIQLKCQKYTDENETYDDLKHPQFLWENFIGAVGNGNLLQPSSTRYQTNFSLMITDVMANHSHLFSDKENISYREISDLGQAAMELKCYIDMISCMDDLSNYDLKEVIDVLSVPEMKEILKELQKNNVSCTRRHELLSTLLYLCRNGTCTILPKRILKWTGTCIRTSDVADELLWRVQRLFFLNGDQDLSFFLLVDLGLVRFPVYACTISHRVFQEISDLLQYEEAIQVAQVMDQSLDNSNMEMVTRCIELSENRLSTAPKEENATRAEPPPSFFSRFSASSVYSKILTLGVSVYERDRRYTDAIRVLKRLLSTVASDRKRGYWALRLSVDLEHMNRSNESLSIAEAGVIDPWVRAGSKIALQRRVVRLSKPPRRWKVPSYANAVTTNIKEVNIEGRPLNCETGAKNVFYGYDGELCGVEQLALQYYADEGGGWRGTHSEGGIWMTIFGLLMWDAIFSDVPDVFQTKFQTAPLDLETDEFYRSRKDLIESQLKKIQDGIAEEILISSWELHQGTSCRGVNWDRHSLTDLRAAVVCTGGHRLASLLRHLALDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWILVLMDFGFDVEVCKVSPVSKRR >ONIVA06G14940.3 pep chromosome:AWHD00000000:6:12270068:12276672:-1 gene:ONIVA06G14940 transcript:ONIVA06G14940.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;nucleic acid binding;hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides [Source:Projected from Arabidopsis thaliana (AT1G48360) TAIR;Acc:AT1G48360] MLTGRESLVRLIGRRRRSPLPAALALAVPPSRSLQDDAADAEREAAAGGSSSGGGDAAGAAGWVACPVCGESIRGTDYCVNTHLDICLTRGTKRKLTQSTLLDFSFSRKATDDYALNNLNTSDEAEHMEPTDGNVSSDGAFFSLNNDKVNSKGSANASSPGCLHGSPDISETCDTCLPPNVLLPYTENTANNGVVKKCLSHMPSTDATSSTIGLLSVTDSSNSVVVDTVIVGRRFHENIELQEGASITLLRDPQNAKDPDAIKMLGYLPRELAKVLAPLLDRHYIECEGCVVGVPEQQLDHVPIQLKCQKYTDENETYDDLKHPQFLWENFIGAVGNGNLLQPSSTRYQTNFSLMITDVMANHSHLFSDKENISYREISDLGQAAMELKCYIDMISCMDDLSNYDLKEVIDVLSVPEMKEILKELQKNNVSCTRRHELLSTLLYLCRNGTWLYACCLCGYHGLLRKFTPGTCSTILPKRILKWTGTCIRTSDVADELLWRVQRLFFLNGDQDLSFFLLVDLGLVRFPVYACTISHRVFQEISDLLQYEEAIQVAQVMDQSLDNSNMEMVTRCIELSENRLSTAPKEENATRAEPPPSFFSRFSASSVYSKILTLGVSVYERDRRYTDAIRVLKRLLSTVASDRKRGYWALRLSVDLEHMNRSNESLSIAEAGVIDPWVRAGSKIALQRRVVRLSKPPRRWKVPSYANAVTTNIKEVNIEGRPLNCETGAKNVFYGYDGELCGVEQLALQYYADEGGGWRGTHSEGGIWMTIFGLLMWDAIFSDVPDVFQTKFQTAPLDLETDEFYRSRKDLIESQLKKIQDGIAEEILISSWELHQGTSCRGVNWDRHSLTDLRAAVVCTGGHRLASLLRHLALDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWILVLMDFGFDVEVCKVSPVSKRR >ONIVA06G14930.1 pep chromosome:AWHD00000000:6:12264253:12266648:1 gene:ONIVA06G14930 transcript:ONIVA06G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASGGGRNAWAKEMTIRRRITSIFNKTREHFPSLKDYNDYLEEVEDMTFNLIEGIDVEVIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPGKAGANDTAAGSSQGISSGAGVQEGQYAPATVPGGLAQPRPTGMAPQPIGGSSVPLQGDDEETMRLRAERGARAGGWSIEMCKRRALQEAFSTIFV >ONIVA06G14920.1 pep chromosome:AWHD00000000:6:12256664:12264105:-1 gene:ONIVA06G14920 transcript:ONIVA06G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLRLLGRLAGGGGGGGGGRRLPRPLAVLHHHHLSASDPSPTTRAPLLPSPPRLPPLLVPFAAVPARSFSWYPRSPPPAGPAAAEKAPEEDVRAEGEGAYLHDAGGADFGEVVATSAASADAAGVAAAGDGGSASGFAVSSLIDILDGFHNLTGIPWWITISLSTVAMRLLILPVLITQIKKAAKIGKLLPELPPPFPPPLSGRSFRDQFSLYQKKRRELGCPSFLWNWAYFSIQFPCFILWMSTIRTMCLSNHPGLDNGGILWFHNLTEFPHGSSGLVFPTLVAGLHYLNIQIAFHGTHTKHYPGIFGVLAKYYRVYLEILTIPLFLIGYVIPQGSLVYWTTNGLITVAQQLSLKNDAVKKVLGLPDTRAHQKFPRVGYKMMRVEASAERPLEDAHMHTNLTSTNNETANNIMEGKVSASSSPEELLEQALQHLETGNQDQAIPLIRTAIEKDSSLYVALIGMGQTLFSNRLFPEATVCFEHAIPKIEEQDPLLVLACYGAGLSRMRQGDSKMAIENLQRLAELKEPEKPINKKCYYQGLVALGSILINEGRKSEAVKFVQRAVAYDPNCEIYLKECDDTTEDKPKSAEH >ONIVA06G14920.2 pep chromosome:AWHD00000000:6:12256664:12264105:-1 gene:ONIVA06G14920 transcript:ONIVA06G14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLRLLGRLAGGGGGGGGGRRLPRPLAVLHHHHLSASDPSPTTRAPLLPSPPRLPPLLVPFAAVPARSFSWYPRSPPPAGPAAAEKAPEEDVRAEGEGAYLHDAGGADFGEVVATSAASADAAGVAAAGDGGSASGFAVSSLIDILDGFHNLTGIPWWITISLSTVAMRLLILPVLITQIKKAAKIGKLLPEFPVLYTVDVNNKDYGGILWFHNLTEFPHGSSGLVFPTLVAGLHYLNIQIAFHGTHTKHYPGIFGVLAKYYRVYLEILTIPLFLIGYVIPQGSLVYWTTNGLITVAQQLSLKNDAVKKVLGLPDTRAHQKFPRVGYKMMRVEASAERPLEDAHMHTNLTSTNNETANNIMEGKVSASSSPEELLEQALQHLETGNQDQAIPLIRTAIEKDSSLYVALIGMGQTLFSNRLFPEATVCFEHAIPKIEEQDPLLVLACYGAGLSRMRQGDSKMAIENLQRLAELKEPEKPINKKCYYQGLVALGSILINEGRKSEAVKFVQRAVAYDPNCEIYLKECDDTTEDKPKSAEH >ONIVA06G14910.1 pep chromosome:AWHD00000000:6:12254017:12256219:1 gene:ONIVA06G14910 transcript:ONIVA06G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKKPGSKKMPTPAVMRIRSPPPDPHRRARAAPRRCIPPSASDSESSASSPTTSPAAAAAALPGIAQAQHEFFAKHKVYHYGAPPLLNETSSGRAREDARACAAEFAANQLTALPLRRGEDISAASSSRRQESVAERAGRWMLLDSSAIGFSTPATAAWPVPEWTHQPAGNALEYGPWNPPTMTAPSAPAQRGAASASALVTTAVAAATSARCHSTTAGRDPGAATCVVDGAPPPPGGVYATTRTIVADRLRHPNVNAA >ONIVA06G14900.1 pep chromosome:AWHD00000000:6:12250379:12251641:-1 gene:ONIVA06G14900 transcript:ONIVA06G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHDDDVPVAKRRRRLCVQVQPAGAGAAAAGIPEDIVEEIILRLPVKSVLRFRSVCKSWRAMVADPCFARLQLRHSTATERRRHPPSMLVLPWWGWRPQRQQMQGTIGFFRYPGHGAAAELAHVRAWWSPTSHAAAADWELPLQCNGLVLVFSMEKSLSSSLMFVCNPATKKLAVVPPGTPDAHGNQSVGFGADESTGKIDMKVVRCFARSDESVGCEVFSLGSPAWRPVADSPCPVRAGAASPCILGAIYWITTAAPTPGMLRFDVRREVFDYFPSPPCVHHDGTSPATATLTELSGNKLCYAHVVAGHTVELWTMAAASATDDGPRWSRHCAVELWRPTQLVVPFADDRHGGIFFNLDLAVIDRYDTQRQVVERVVDMNKEMTYFHSRDKQYYINRGFRWMHHVIQYRESLVSVKAN >ONIVA06G14880.1 pep chromosome:AWHD00000000:6:12245655:12247112:1 gene:ONIVA06G14880 transcript:ONIVA06G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASAGKRDMMNQPGHGGDLPVAKRRRCVEPTGAAAAGIPEDIVEEILLRLPVKSILRFRSVCKSWRAMVADPRFVRLQLHHSTTAARHHPPSMLVLADWCVPEQWRGTIDFFSYPGHGVTADFAHRITWSSNSKSTVAAAADGYAAADWDAVDDGADAADDGAAADNLDDAVDDGDAAADWDDDDDWDDDVGAIGWGMHLHCNGLVLLRSTMKYSTQMLVCNPATKELAELPDCAPDYFGVQAVSFYADQSTGKTKVVHCFIRHCDKTYTDYSVGCEVLSLGSPAWRPVADPPYLVKTKTSPCILGGIYWIAALPSPSTGSCTTPGVVRFDVCSEEFASFPCPPFMERQKMSDVACGDLTELGGKLCYVHAPADDRVELWTASAADGGGSRPRWSLQCTVVLPPSFDTFFQFTYDYQGGIFFYVDYAMIYRYDVERRVVERVVDMLEEMTYFDRSRRKLDRCDGDWMHYAIQYSESLVSIQAN >ONIVA06G14870.1 pep chromosome:AWHD00000000:6:12238633:12243286:-1 gene:ONIVA06G14870 transcript:ONIVA06G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G33620) TAIR;Acc:AT2G33620] MSAAATATASEAAAYGGVGMSKSGALQPQPPHGAAAAVRLAYTHDGIAVYKHTPPPPVYQTPAAVAAPSPPVRGNGGAPASAEQHKRKRGRPRKYAVTDVPLAVVPPSPPKAAAAAGAGAAQSPATPTLPPGFSSGLAAYGGAAASQPAPRQAPPASGRVLPHKKRGRPPGSGNKQQQRPQHKKAAAPGSSVIGLKPSVITVQVGEDVVSRVMSFTKNGWAVCVLSANGAVSNMTLRQAGSSGATTVNYEGHFEILSLSGSYLLSESVGLSSRAGGLSVSLAGPDGRVLGGGVAGPLNAATPVQVVIGSFLADVKKGHKQAMPSGAPYPGVSTPTSRGTPSGSSGGPGSPLNQSASGSFNTSNQQALADFPWR >ONIVA06G14860.1 pep chromosome:AWHD00000000:6:12230570:12232111:1 gene:ONIVA06G14860 transcript:ONIVA06G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYSLVLMTSLLFPLLVLLVMRCYVTRSGAKLLDKLPSVPGRLPVIGHLHLIGSLPHISLRDLATKHSPDMMLLHLGAVPTLVVSSSRVAQSILRTHDDIFTSRPYSPIANILFYGATDVGFSPYNEYWRQIKKITTTHLLTVKKVRSYVSARQREVRIVMARITEAASKHVVVDLTEMLSCYSNNIVCHAVCGKFSQKEDWNQLLRELVKVNTSLLGGFNIEDYFPSFTRLAAVRRLLLSCAKAHNINKRWDQLLEKLIDDHTTKHIRSSSMLNHYDEEAGFIDVLLSIQHEYGLTKDNIKANLAAMLMVGTDTSFIELEYAMAELMQKPHVMGKLQAEVRRVMPKGQDIVTEEQLGCMPYLKAVIKETLRLHPPAPLLMPHLSMSDCNINGYTIPSGTRVIVNVWALARDSNYCENADEFIPERFIVNTLGDYNGNNFHFLPFGSGRRICPGINFAIATIEIMLANLVYRFDWELPADQAAKGGIDMTETFGVAVHRKEKLLLIPHLHLR >ONIVA06G14850.1 pep chromosome:AWHD00000000:6:12222116:12226004:1 gene:ONIVA06G14850 transcript:ONIVA06G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALVTANADEGGSGGGRGRGRQRLRAAAVVDGVVDADEGGGGRGHGRRRQRRSRRTQTRAASRQQRSWHMISEIYLFLQLYVQMSTLRLSVAYRECLLPVMPSMYCYILLGGAAVVGG >ONIVA06G14840.1 pep chromosome:AWHD00000000:6:12214526:12216632:1 gene:ONIVA06G14840 transcript:ONIVA06G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFTSRLYTCAGNENSPHSTARYQAATVSVAGEGSGDGVAAAGSAATALPLSQRQVIQEKGHAQASESAKLLRLAGRGSAPELRRAASSASHSLRAAAPSTRPHFRLTWRPHRVSAEQRSLSATHTHAIAASDGGSSSSRTRSSASSGRSSSGSAAAFSSASVPSSPSSLAANAGSGFALDGIILTPRSRSLSLLLLPPPSPLHAHAAEAEWCSSSRTSRSATRPSSRTSLQCLCVAAGATSISVKAGRVRRRRLVGEENHPLLLANSDEHLVPNHQIFSPCCRNM >ONIVA06G14830.1 pep chromosome:AWHD00000000:6:12212932:12216160:-1 gene:ONIVA06G14830 transcript:ONIVA06G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKVITSKQAMTMSILVDDYETTRVLVLLLWEAFGWGQRWLWLAPRKQKRAVASANDDARRGEAEEGGRGRQESFGCKAAVATSSPLSPLSGAAPYPATSPSLARAVHARSAVVRCTTTTTSTTTARTGTASHRARNAPLHHHQQQQQDYKRLLVRGRGRGSASSSLLLISTLPAFTLMLVAPAATHKHCKLVRELGLVALLLVRELLHHSASAACAWSGEGGGSSNKERERERGVRIMPSKAKPEPALAAREEGEEGTEAELNAAALPLLDLPELALERVLEELEPPSLAAMACVCVALRDRCSADTLWGRHVNRKWGRVLGAAARKEWEAELAARRSSGALPRPARRRSLADSLACAWPFSWITCRWLKGNAVAAEPAAATPSPLPSPATDTVAAWYRAVECGEFSFPAQVYNREDGHVGFVLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEESGVQWSRVRSPPVSTPAHDLHASGCLGDLRPGDHFEIQWRKNKDFPYGWWYGVVGHQETCNANEHLCRCHEDDMVVLEFKHYAAGSRWRQTTVSRKDHREKGDETDGFYGGIRKLQTSDEISTWRKFWPVDVLN >ONIVA06G14820.1 pep chromosome:AWHD00000000:6:12207154:12210649:-1 gene:ONIVA06G14820 transcript:ONIVA06G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLPGFHNAPVSRAVVVAAALFSNVYGKLRIWKLITSLFAFSSTPELIFGAALLYYFRVFERQIGSNKYSVFIVFSTAVSVLLQILSLAYLKGPSLNPLTSGPYGLIFASYIPFFFDIPITTKFRIFGLRLSDKSFIYLSGLQLLFSSGWRSIIPGLSGILAGLLYRLNIFGIRRLKLPDSMTSLRSRFSCPFLNNSYQRLPVTENDGNIPSNQTRQTEASIYTGVHPTTEDPMESSIATLVSMGFDRGSAIRALALTNYDVNLASNILLEAQSM >ONIVA06G14810.1 pep chromosome:AWHD00000000:6:12198970:12203099:1 gene:ONIVA06G14810 transcript:ONIVA06G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0HPW1] MREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYTGNSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEQQEADDM >ONIVA06G14800.1 pep chromosome:AWHD00000000:6:12195014:12197363:-1 gene:ONIVA06G14800 transcript:ONIVA06G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLVTEEVMVGRLVRIRRTVMQMLRDRGYLVVEHELAMGRRDFLRKYGESFHREDLLINKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMKAENVSRAVLVLQQNLTPFARSFLQELEPKIHLEIFQEAELLINIKEHVLVPEHQVLNNEEKKTLLERYTLKETQLPRIQITDPIARYYGLRRGQVVKIIRPSETAGRYVTYRYVKNEMESTINLVIFAGIHT >ONIVA06G14800.2 pep chromosome:AWHD00000000:6:12195079:12197363:-1 gene:ONIVA06G14800 transcript:ONIVA06G14800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLVTEEVMVGRLVRIRRTVMQMLRDRGYLVVEHELAMGRRDFLRKYGESFHREDLLINKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMKAENVSRAVLVLQQNLTPFARSFLQELEPKIHLEIFQEAELLINIKEHVLVPEHQVLNNEEKKTLLERYTLKETQLPRIQITDPIARYYGLRRGQVVKIIRPSETAGRYVTYRYVV >ONIVA06G14790.1 pep chromosome:AWHD00000000:6:12185522:12190831:-1 gene:ONIVA06G14790 transcript:ONIVA06G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVIHDSGEGMQKEALAMVSSDVNFPKGHFPDYKIGPNNQIIDPEEIHEAVPLKEIVAKETAQLLEQRRRLSVRDLKEKFEKGLSGASKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKARTAIAIVEKGLQEHDAASSSREKEEIEGLRKEVREARRIKMLHQPSKVMDMEFELKALRTLIAEKNQLCNQLMKELAMIKRLEEDSSDLYDLEGSDILGSQFCIIPRFDDAPDISSCPTQWYRVISGGNRNLILGATKPTYAPEPFDVGRLLQAEIVLNAEKVTIQTMGPINPAAGLEHYVESLMKRADVEFNVVVTQMNGNDYSSNSVHAFHIGKMRIKLRKGWSTKARESYSTTMKLCGSRGGGNAAATAVFWQARKGLSYTLAFETDRDRNAAIMLARKFASNCNITLTGPGDEVHAGS >ONIVA06G14780.1 pep chromosome:AWHD00000000:6:12181488:12184289:-1 gene:ONIVA06G14780 transcript:ONIVA06G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLTVAIRSPPDRWSGRSPSAPRGGRGLFAAAAAPELAGAAVAAALDGGEEGSRAARLHSFFASVISGIFGQGEEEEEGEMATRSQNVAAAPQPPQNRGNVAALGKQKAVVAGRPDAKNRRALGEIGNVMNVRLPEGKPLQQAPAGRTANFGAQLLKNAQANAAANKQNAVAPAAVARPAQRQARKAPVKPAPPPPEHVIEISSDSDQSMRQQSEGSASSVRKCSRKKVINTLTSVLTARSKVACGITDKPREVIEDIDKLDGDNELAVVDYIEDIYKFYKVAENECRPCDYIDTQVEINSKMRAILADWIIEVHHKFELMPETLYLSMYVIDRYLSMQQVQRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYTREQILAMEKGILNKLKWNLTVPTAYVFIMRYLKAGASADNKSDKEMEHMAFFFAELALMQYGLVASLPSKVAASAVYAARLTLKKSPLWTDTLQHHTGFTESQLLDSAKLLVTSHSTAPESKLRVVYKKYSSEQLGGVALRSPAVELCK >ONIVA06G14770.1 pep chromosome:AWHD00000000:6:12173238:12180943:1 gene:ONIVA06G14770 transcript:ONIVA06G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAVSRHVVAVPYPGRGHINPMLAACRLLAAADGELTVTVVVTEEWHGLLASAGVPATLPPAGRVRLATIPNVIPSEHGRGADPAGFFEAVDAKMGVAVEQLLDRLERRPDAIVADTYLAWGVPAGAARGIPVCSLWTMAATFFWALYNIHLWPPVDDREGEQVRLSDVKIFRSWERSMKLTTEAFVNVRKAQCVLFTSFYELEPCAMDRITQAVPFPVYPVGPSISDMPLDGGAGKIDDEEHRAWLDAQPERSVLYVSFGSVVSMWPSQLEEVAVALRDSAVRFFWVARDSASAGDLRRIAGGNGLVVPWCDQLGVLCHRSVGGFLSHCGWNSLLEAVFAGVPLLALPVVWDQVVDARVVADEWRIGVNLSEQRREEDDGGGVVVGRDAIRAAAARLMDPDDGESREMRRRAALLREACRGAVQDGGSSRRSLNGFVKDLADGRLNFHIHVENNGRENFTLGNLLYEANIIPPCRCHVVAVPFPGRGHVNAMMNLSRLLAARGAATVTFVVTEEWLGLLSSSSAPPGVRLRAIPNVIPSENGRAADHAGFLDAVGARMEAPFERLLDRLRLEEEEETAAPVAAFVADFYVPWVVDVGNRRGVPVCSLFPMAAVFFSAYYHFDSLPSWLAKPPHQPVAGATTDNPDQRLEHYISSLASSSIMLSDLKPLIHSERTVEYILACISSIRKAQCLLFTTIYELEASVIDSLE >ONIVA06G14770.2 pep chromosome:AWHD00000000:6:12180563:12180821:1 gene:ONIVA06G14770 transcript:ONIVA06G14770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMLEAVFAGVPMLTLPLDSTDKDRLIRREEIARAVKRLMASEEAEMKAIRRPLLSGKRFLIEQSTRVYLRIVIWLH >ONIVA06G14770.3 pep chromosome:AWHD00000000:6:12180273:12180697:1 gene:ONIVA06G14770 transcript:ONIVA06G14770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLENEHTKSNGEAPGRIDYFAWLDCQPENSVLYVSLGCFVSVSSSQLDEIALGLATIGGFLTHCGMNSMLEAVFAGVPMLTLPLFFDQPIEGLDRQGSPDQKGGDCKGC >ONIVA06G14760.1 pep chromosome:AWHD00000000:6:12171307:12173080:1 gene:ONIVA06G14760 transcript:ONIVA06G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT3G56650) TAIR;Acc:AT3G56650] MGWATGELAILAERRPAAAAVTLPAELGEMDAMGAASAAGAMVLPSCALCCRSALPGSAPLSYPNQFDKRTRLSRAYREKYRARRLDKEPVALARADQTRHGARADASSFMASSSVFSPLLRALRPSTSCSGRSSATHDNSAAAVVAAGGAAPRPAPLAAVVSHRRELLLGAALGAAFLKAPLPAEAREVEVGAVLPPAASNPGFVFFRATSKDTPALRAGNVQPYEFILPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDDKQGKVQVVASPLIRLTNRPNATIEDIGSPERLIASLGPFVTGNTFDSDELVDTSVEKIDGQTYYSYVLETPLALTGSHNLAKATAKGNTVVLFVASASDKQWQSSEKVLKTIVDSFKV >ONIVA06G14750.1 pep chromosome:AWHD00000000:6:12170402:12171431:-1 gene:ONIVA06G14750 transcript:ONIVA06G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALAAPIASISPSSAGRVTAAAAGLRSARMASSPVAQPIGIDCSFFLFLPSPLGLLHGCHLSNLCCCSCKGEAVVLRPNQMFRSVLRQRRRLVVRSSSSDETNSTTEEKTPFGYTRKDVLLIGVGVTLLGYGLKYGLELVGVDPLQAGNAVQLIIVLGMTVGWISTYMFRVANKDMTYAQQLRDYEKQVMEKRLESLTEAELQVLLEQVEEEKQRLTPVRDQGVTLTRKTEDQTNAS >ONIVA06G14740.1 pep chromosome:AWHD00000000:6:12167713:12169077:1 gene:ONIVA06G14740 transcript:ONIVA06G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRPLLGRGALITSSAHAAAALLLVAFLFLTLRNLPISLSPPTAALTPTTSHLEQQDQASCDTTSTLDCADPQLFHLMMRRAIDAFPDVHFNRFGRPVPGDPPSSSCDMAWRARSTASANYKDYRRFSVARDPVTCAYSVTSIGEYHSGPLARKPRRGGTNATAPPPPPALSRSQFAAGKYLSYLGGGDRCKPMPHYLRSLLCSIAEARYLNRTLVLDLSVCLAAAYAGGMPEEGKRLAFYIDIEHLQSVVGIVEHKRFWEDWDKWGAQGQLGVRIIEDSRVAPTKFSKSRDPLIVRKFGDVEPGNYWYNVCEGEAEHVLRPPQGAIRTAPSLMDIVDGIISRMQVDFDSVHVGGNDGNLRRRIEERLNGGGRQVYVAGEGINVVLLDALKAKYSSVHYLDAFEELWARDSKWFLEMKRLNGGVPVEFDGYMRELVDREVFLKGKKKVEVLV >ONIVA06G14730.1 pep chromosome:AWHD00000000:6:12165837:12167455:-1 gene:ONIVA06G14730 transcript:ONIVA06G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34 [Source:Projected from Arabidopsis thaliana (AT1G29070) TAIR;Acc:AT1G29070] MALALVSPMAALSLHSGRISAAAIGGGLRSRRASPMGAAATPFLRSSFVSSSSTSSASASPAALSAAVSASLTFTSASSFAGSSLGIEFSYNRVTTGRSRILQIRAGKAALCMTKRNRSRKSLARTHGFRRRMRTTAGRKVLKRRRAKGRRVLCTKTNSPTGKKRMF >ONIVA06G14720.1 pep chromosome:AWHD00000000:6:12163536:12165225:1 gene:ONIVA06G14720 transcript:ONIVA06G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELSLTSSVPLLLLLLFPLLCFLCLRHGSTRKQPRADGLKAYPIVGILPHFVRNQHRLLEWSAGVVARCPTHTMSFNFKGFGLIAGAITGNPANVEHIVKTNFQNYSKGEYVVSVMEDFLGHGIFNSDGDQWLWQRKAASYEFNKRSLRNFVVDTVRSEVVDRLLPLLTRAERDGGTLDVQDVLERFAFDNVCCVAFDEDPACLTEEGMGTNARTEFLRAFNDAQNILMARFMSPVEWAWRAKRLLDLEPERRMREALATIHGYADRIVRERRERGAAGLARKDDFLSRFAATGEHSNESLRDVVTNFVLAGRDTTSSALTWFFWLVSGQPDVEDRIAREIRAVRASSGSTDAAAFSFDELREMHYLHAAITEAMRLYPPDRIVREMHYLHAAITEAMRLYPPVAMDSHCCQNDDVLPDGTFVGKGWQVTYSAYAMARLEELWGADCEEFRPERWLDEDGVFRPESPFKYPVFHGGPRMCLGKEMAYIQMKSIAACVFERFSFRFVGGEGRPGLVFSVTLRMEGGLPMRVKKRRDSVC >ONIVA06G14710.1 pep chromosome:AWHD00000000:6:12163169:12163351:1 gene:ONIVA06G14710 transcript:ONIVA06G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWTTTMDANEDGRCRRRTGVDAGGGGLTTAVPGGEDDGATDGRDGVARNKGNSCSDGVF >ONIVA06G14700.1 pep chromosome:AWHD00000000:6:12146931:12150611:1 gene:ONIVA06G14700 transcript:ONIVA06G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLKGSPLSAHSLGAVHNSLPQPSLWSNLLLLPPTKYVTTSIQGATTSGRVEGGREAAGRGFAPTAAVHSGEGEEGGLGKKVGPRRSRRPPPPLSAPAAAGYQADSRLLRLGFRFRGARGEVQPWGGGGAERRGRSPGEVLRRGRRHRRSKSMGKRCVRIGRRVRKTKRERALGGG >ONIVA06G14690.1 pep chromosome:AWHD00000000:6:12126254:12131972:1 gene:ONIVA06G14690 transcript:ONIVA06G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQAPVESTYRACTIPYRFASDNPRKATPVEIQWIDLFLNSVPSFRQRAENDPTVPDAPAKAEKFAQRYTAMLEELKKNPESNGGPPDCILLCRLRELVLRELGFRDIFKKVKDEENAKAMSLFEGVVQRNDEIEDDGKRAENLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFQNKWTKKSWEKAVIFVDNSGADIILGILPFARELLRHGTKVILAANDMPSINDVTYPELVEIINKLKDENGKLAGVDASDLLVANSGNDLPVIDLSSVSPELAYLANDADLVVLEGMGRAIETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >ONIVA06G14680.1 pep chromosome:AWHD00000000:6:12113890:12114807:1 gene:ONIVA06G14680 transcript:ONIVA06G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKVILARYQTIPTRYQELGTKASSPVVPPSRSHAGAHCQRPHPPPSVPRRSSSPAAASSGIHAPHRSSSPTAASSTVHATSELVAGGRVLHRLDELARYVLVVLRADEGGEGSTFALYFLICRRVHARLLLPSAGEELAVTGQCVDGVGVGATAGVAAEELTVAG >ONIVA06G14670.1 pep chromosome:AWHD00000000:6:12110854:12113398:-1 gene:ONIVA06G14670 transcript:ONIVA06G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGVLTALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKIVGRVYYSDGGARPGVTPPAVVSATVGVALLGAVIGNVVFGALGDRVGRRRVYGACLLLMVCSSVGSGLSVCRTRRCALASLCFFRFLLGVGVGGDYPLSATIMSEFANRRTRGAFIAAVFSMQGFGILASSAVTMAVAAAFDHYTGYPAPLDTPECADLAWRIILMAGAVPAALTYYWRMSMPETARYTALVERDVVKATNDIGRVLADLDLGAVAEEEVAAALSRPPPPPRPSYGLLSRRFVRQHGRDLFACAAAWFLLDIPYYSSTLFQSQIYRPLFPAPGLINAFQEAFNVAKFQAVIAVASTIPGYFVAVLLIDRVGRRRLQMAGFLLMAVFLFALAGPYDGYWRDHGAHAGYIVLYSLTFFSANLGPNTTTFILPAELFPARFRSTCHGLSGAAGKLGALVGSVGFLWASQQKDGAAAGHLPGIGMMYALFVLGGICLLGLALTYVFTPETMMRSLEENESDRAQTQVGDGGSDTEAAKSPASMASSHLSMSPILPARVSV >ONIVA06G14660.1 pep chromosome:AWHD00000000:6:12109506:12109922:-1 gene:ONIVA06G14660 transcript:ONIVA06G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVGGLHASPPADSAAVAAVAKDVEYQKGVQKLVDLWSKLNPVAREFIPSSAAVSSLSRKALSEDAPVFDYNSIGSWNRGGKESGVDAYQQHRLGR >ONIVA06G14650.1 pep chromosome:AWHD00000000:6:12097837:12106417:1 gene:ONIVA06G14650 transcript:ONIVA06G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIRVLAALDQARTQYYHFKAIVIAGMGLFTDSYDLFCISPVMKIFGRVYYAPSGSVDGSGSGPGVTPPAVVSATVGVALLGAVAGNVVFGALGDRVGRRRVYGACLLLMVCSSVGSGLSVCRTRRCALASLCFFRFLLGVGVGGDYPLSATIMSEFANRRTRGAFIAAVFSMQGDLFACAAAWFLLDIPYYSSTLFQSQIYRPWFPPAAKVNAFQEAFNVAKFQAVIAVASTIPGYFAAMLLIERAGRRRLQMAGFLLMAVFLFALAGPYDGYWRDHAKTAGYIVLYSLTFFSANLGPNTTTFILPAELFPARFRSTCHGLSGAAGKLGALVGSIGFLWASQQKDGAAAGHLPGIGMMYALFVLGGICLLGLALTYAFTPETMTRSLEENESSVQAQSQVGDGGSDAGNGSDGLRFHELNVLMEAATKSPNKFILNTIISEFANRRTRGAFIAAVFSMQGFGILVSSAVTMAVAAAFDHYAGHPAPLDTPECADLAWRIILMAGAVPAALTYYWRMPMPETARYTALVERDVVKATNDIGRVLADLDLAAVAEEEVARRRPRLRRRLRRRALRTALFSRRFVRQHGRDLFACAAAWFLLDIPYYSSTLFQSRETIFSKVKS >ONIVA06G14640.1 pep chromosome:AWHD00000000:6:12089268:12089773:1 gene:ONIVA06G14640 transcript:ONIVA06G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLVAASATVALAVEAPAPAPTSAAATSAAFPAVGAVIGASMLSFFAYYLHCVIVYQT >ONIVA06G14630.1 pep chromosome:AWHD00000000:6:12082488:12082685:-1 gene:ONIVA06G14630 transcript:ONIVA06G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVAVLLMAVASSLLVMASAQEFNAPASSPAPSPMAGAAPGSASPLAVASSALVTLLAAALMQ >ONIVA06G14620.1 pep chromosome:AWHD00000000:6:12074863:12081007:1 gene:ONIVA06G14620 transcript:ONIVA06G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type/C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT3G08505) TAIR;Acc:AT3G08505] MSTKRLNKGSAGVACVYFAFLHILVLRTLQVCTFYQKGSCSYGSRCRYDHVKVSRNPTVAPPPSSSTTTRASSSLQPLSFGRPHHVGYQADSSNPRQQISMDVLAHSGSKPVWRNDFQHESVLEDGIDWSISPTVQNQTTLSPADLPICSFAAGGNCPYGEECPQMHGDLCTTCGKMCLHPYRPDEREEHTKLCEKNHKRLESLKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRNNSPTSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKLEIIDNYKAKLKSIDCKYFDFGTGTCPFGSSCFYKHAYRDGRLEEVILRHLDADDGSTVIAKNIRLSDFLSRLHL >ONIVA06G14610.1 pep chromosome:AWHD00000000:6:12068608:12074769:1 gene:ONIVA06G14610 transcript:ONIVA06G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein [Source:Projected from Arabidopsis thaliana (AT3G57680) TAIR;Acc:AT3G57680] MEMVECSLPAARAPRPLPRRLPPLPGGRPALGAAGRSGSRLRVRSERTRRTDSPTMPTAASERDGGGRAALGKAAAGLAAAAVVSLTGLAAEPLSPPPPARAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDMRLQQTMVEMFPLKSEDAAYGKISGMLSTLGDPFTKIISPKEYQSFRIGSDGSVQGVGVFINKEPSSGRLLVMDCIEGGPADRAGLHGGDELVEIDGKSVSGLDGEAAAQRLRGRVGTTVKVKVLDVQLSREVINLSPLSTAIISHRSDDGRECKTGYVRLAAFSQTAAAEMESAIKKMEDEGVQSYILDLRNNPGGLVKAGLDVAQMWLDGNETLVNTVDREGNVLPINMARGHSLTHDPLVVLVNEGSASASEILAGALHDNGRAILSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCTPEMLSLPRAPSLKEDDKATNLEMDSCIMVAEQALEIEKSKGSASADWHFAARMMSDVEMKLNLNPEKRLECWALDAWRWILGVLVAHGCLAVA >ONIVA06G14590.1 pep chromosome:AWHD00000000:6:12062476:12063449:-1 gene:ONIVA06G14590 transcript:ONIVA06G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRTITLITIVSHALATSITTQRAIIVEFFYRYQASALLTLGLWGNVRVYGASFVSQSLEELLRRKNYPLLSGPFPSIPGGFPAVGKGVGGVGGGLVPSFTSKLRFRLLGSKGSSASSSFVLVASAMDVLVGFFSGEDSLSIIFQVHH >ONIVA06G14580.1 pep chromosome:AWHD00000000:6:12056094:12060774:1 gene:ONIVA06G14580 transcript:ONIVA06G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ (sodium hydrogen) exchanger 3 [Source:Projected from Arabidopsis thaliana (AT5G55470) TAIR;Acc:AT5G55470] MAWWWWWSEAVVVGGGTGGGSSGTVVSICVFTAVLCVCLVAGHLLEENKWVNESITALLIGCVVGAIIFLLSEGKNSRILRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFLTIMSFGIFGVFISVAIVSTGCYWLFPKVGFGDLGAVDYLELILFTTTALGAIFSSTDTVCTLQVISQDETPRLYSLVFGEGVINDATSVVLFNAIKNLDITQLKGGVALKVISDFLYLFFTSTMLGVTIGLSTAYALKALYFGRHSTDREVALMALMAYLSYMLTEFLDLSGILTVFFCGIVMSHYAWHNVTESSRITTRHIFATLSFIAETFIFLYVGMDALDIDKWKTSETSFKTSLGIFGIIISLVLLGRAAFVFPLSIMSNYMSGSSEKAPITFNHQVVIWWAGLMRGAVSIALAYNQFTFSGVTSDPVHATIITSTIIVVFFTTLVFGFLTRPLISAILPHQHRQSTTPGTGGGGRSTGRNSPKDDFIMPFLSPDEEASGSGSGFLQAKRSISMLLERPVHTVHIYWRKFDDRFMRPIFGGPMERDRGNCY >ONIVA06G14580.2 pep chromosome:AWHD00000000:6:12056094:12060774:1 gene:ONIVA06G14580 transcript:ONIVA06G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ (sodium hydrogen) exchanger 3 [Source:Projected from Arabidopsis thaliana (AT5G55470) TAIR;Acc:AT5G55470] MAWWWWWSEAVVVGGGTGGGSSGTVVSICVFTAVLCVCLVAGHLLEENKWVNESITALLIGCVVGAIIFLLSEGKNSRILRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFLTIMSFGIFGVFISVAIVSTGCYWLFPKVGFGDLGAVDYLALGAIFSSTDTVCTLQVISQDETPRLYSLVFGEGVINDATSVVLFNAIKNLDITQLKGGVALKVISDFLYLFFTSTMLGVTIGLSTAYALKALYFGRHSTDREVALMALMAYLSYMLTEFLDLSGILTVFFCGIVMSHYAWHNVTESSRITTRHIFATLSFIAETFIFLYVGMDALDIDKWKTSETSFKTSLGIFGIIISLVLLGRAAFVFPLSIMSNYMSGSSEKAPITFNHQVVIWWAGLMRGAVSIALAYNQFTFSGVTSDPVHATIITSTIIVVFFTTLVFGFLTRPLISAILPHQHRQSTTPGTGGGGRSTGRNSPKDDFIMPFLSPDEEASGSGSGFLQAKRSISMLLERPVHTVHIYWRKFDDRFMRPIFGGPMERDRGNCY >ONIVA06G14570.1 pep chromosome:AWHD00000000:6:12052045:12052512:-1 gene:ONIVA06G14570 transcript:ONIVA06G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQRTSTVIGRTATAAAAGGVMFGRFSLQGYRSTDDYYDTLDAFLFYWPDTDTDAATTAVVVLPKVGGSGATLFNYARAAVRRRWAAHRAAADRRDGDVHGARLQRQCRRPPQHAVAFQAVVRKALHRLHLQLGDVPVDQGARATPPQPRCPH >ONIVA06G14560.1 pep chromosome:AWHD00000000:6:12046096:12048819:-1 gene:ONIVA06G14560 transcript:ONIVA06G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGEPSSSSAAAAAISCSSSNDDEKPPPSLEGKELRRVAEEEPAAAARPEKLTEASSRAAEAEEEEVVEDEEDDDEEDEGKVAEAIDLGPRVSIKEQLEMDKEDESLRRWKEQLLGSVDLNSVGESLEPDVRITSLCILSPGRPDVLLPLPVEPSNSKEPWFTLKEGSTYRLKFTFSVSSNIVSGLRYTNTVWKAGIRVDKTKEMLGTFSPQLEPYTYVTPEETTPSGVFARGSYSAKTKFVDDDRKCYLEINYTFDIRRDWPCKS >ONIVA06G14550.1 pep chromosome:AWHD00000000:6:12041250:12046224:1 gene:ONIVA06G14550 transcript:ONIVA06G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G04740) TAIR;Acc:AT2G04740] MDRPRQQHEPEHLGGGGIDVEVELDPEDLQPSVPLKKVPAGDLFEAARAGDCARLALLLGGGANVNERDRWDSVALYYACLAGHADAARMLLEAGAVCAERTFDGDRCHYAALNLRLRRLLKAFEARPPPLPPLPAALRATFLACPANRAAFLEMLQWTAGSEAAALAAAAGFGPTDNPSSTSLFPPDITFYVDGKPIEAHRVILCARSSFFRRKFNTDWKDRKEVRFSSQKLSFGALYSLVHFFYSDRLEVDVDDMENLARACKVCKCEGLQKILIKEATLQRYAEHKSPRDLDSSQKRFILHGQSLPEEDRLPSALRHIFEECLANSREQECYNDESNEMSRDAGVDAAADLYIKVCDKVFHCHQVILASRSEYFKARLSRNMDFLEVKSGLQSTQSLPFLEEHDMSTEAFEKVLEYMYTDNLEHMDPNQAEELFDIASRYLLFPLKRVVADILLPYLEHVSPAELCHWLMLSDIYDVVKIREYCLDIIACNFEMFADTREFRALLLTLPPPSGDDSLRTTRPSEPGTAGNTDQGNLLDDLREKWLEAEAAELDERDESAKLFDNRLEMLMLVAEQEANDGNV >ONIVA06G14550.2 pep chromosome:AWHD00000000:6:12041250:12046224:1 gene:ONIVA06G14550 transcript:ONIVA06G14550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G04740) TAIR;Acc:AT2G04740] MDRPRQQHEPEHLGGGGIDVEVELDPEDLQPSVPLKKVPAGDLFEAARAGDCARLALLLGGGANVNERDRWDSVALYYACLAGHADAARMLLEAGAVCAERTFDGDRCHYAALNLRLRRLLKAFEARPPPLPPLPAALRATFLACPANRAAFLEMLQWTAGSEAAALAAAAGFGPTDNPSSTSLFPPDITFYVDGKPIEAHRVILCARSSFFRRKFNTDWKDRKEVRFSSQKLSFGALYSLVHFFYSDRLEVDVDDMENLARACKVCKCEGLQKILIKEATLQRYAEHKSPRDLDSSQKRFILHGQSLPEEDRLPSALRHIFEECLANSREQECYNDESNEMSRDAGVDAAADLYIKVCDKVFHCHQVILASRSEYFKARLSRNMDFLEVKSGLQSTQSLPFLEEHDMSTEAFEKVLEYMYTDNLEHMDPNQAEELFDIASRYLLFPLKRVVADILLPYLEHVSPAELCHWLMLSDIYDVVKIREYCLDIIACNFEMFADTREFRALLLTLPPPSGDDSLRTTRPSEPGTAGNTDQGNLLDDLREKWLEAEAAELDERDESAKLFDNRLEMLMLVAEQEANDGNV >ONIVA06G14550.3 pep chromosome:AWHD00000000:6:12041250:12044959:1 gene:ONIVA06G14550 transcript:ONIVA06G14550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G04740) TAIR;Acc:AT2G04740] MDRPRQQHEPEHLGGGGIDVEVELDPEDLQPSVPLKKVPAGDLFEAARAGDCARLALLLGGGANVNERDRWDSVALYYACLAGHADAARMLLEAGAVCAERTFDGDRCHYAALNLRLRRLLKAFEARPPPLPPLPAALRATFLACPANRAAFLEMLQWTAGSEAAALAAAAGFGPTDNPSSTSLFPPDITFYVDGKPIEAHRVILCARSSFFRRKFNTDWKDRKEVRFSSQKLSFGALYSLVHFFYSDRLEVDVDDMENLARACKVCKCEGLQKILIKEATLQRYAEHKSPRDLDSSQKRFILHGQSLPEEDRLPSALRHIFEECLANSREQECYNDESNEMSRDAGVDAAADLYIKVCDKVFHCHQVILASRSEYFKARLSRNMDFLEVKSGLQSTQSLPFLEEHDMSTEAFEKVLEYMYTDNLEHMDPNQAEELFDIASRYLLFPLKRVVADILLPYLEHVSPAELCHWLMLSDIYDVVKIREYCLDIIACNFEMFADTREFRALLLTLPPPSGDDSLRTTRPSEPGTAGNTDQGNLLDDLREKWLEAEAAELDERDESAKLFDNRLEMLMLVAEQEANDGNV >ONIVA06G14550.4 pep chromosome:AWHD00000000:6:12041250:12044153:1 gene:ONIVA06G14550 transcript:ONIVA06G14550.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G04740) TAIR;Acc:AT2G04740] MDRPRQQHEPEHLGGGGIDVEVELDPEDLQPSVPLKKVPAGDLFEAARAGDCARLALLLGGGANVNERDRWDSVALYYACLAGHADAARMLLEAGAVCAERTFDGDRCHYAALNLRLRRLLKAFEARPPPLPPLPAALRATFLACPANRAAFLEMLQWTAGSEAAALAAAAGFGPTDNPSSTSLFPPDITFYVDGKPIEAHRVILCARSSFFRRKFNTDWKDRKEVRFSSQKLSFGALYSLVHFFYSDRLEVDVDDMENLARACKVCKCEGLQKILIKEATLQRYAEHKSPRDLDSSQKRFILHGQSLPEEDRLPSALRHIFEECLANSREQECYNDESNEMSRDAGVDAAADLYIKVCDKVFHCHQVILASRSEYFKARLSRNMDFLEVKSGLQSTQSLPFLEEHDMSTEAFEKVLEYMYTDNLEHMDPNQAEELFDIASRYLLFPLKRVVADILLPYLEHVSPAELCHWLMLSDIYDVVKIREYCLDIIACNFEMFADTREFRALLLTLPPPSGDDSLRTTRPSEPGTAGNTDQGNLLDDLREKWLEAEAAELDERDESAKLFDNRLEMLMLVAEQEANDGNV >ONIVA06G14540.1 pep chromosome:AWHD00000000:6:12037189:12037404:-1 gene:ONIVA06G14540 transcript:ONIVA06G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQADELEDSRAIELEDGRVSELRGRCSVQARRNRLTSSRARPGELEVWLVFRLKNGGAPGLWHGRLSELT >ONIVA06G14530.1 pep chromosome:AWHD00000000:6:12031540:12032880:1 gene:ONIVA06G14530 transcript:ONIVA06G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLFLLGVVLASLLLVAQDVSAARELAEANEAKGKNMKQEVAYGPQDEKLAHHADGYGHGGGYGGGYGSGYGGGGYGGYGGYGGGGYGGYNKGYGGGGGGGYGKGFGGGYGGGGYPGGGYYGGGGGGGWH >ONIVA06G14520.1 pep chromosome:AWHD00000000:6:12004273:12004779:1 gene:ONIVA06G14520 transcript:ONIVA06G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLSFLLFSLLSTSKFHSYPPILILILSLLSPLTSLSLSHSLCLLSGRCGERGRQWARTAAGAAGGTELLGGGRISLGRPCDGRAAGDAVDGEGGGSLALSREAPVVASSDDDDEEAAGSGATGPAHVGRIRVAPTDDKEEEARSGTASPVRTRAARATPPPPPTT >ONIVA06G14510.1 pep chromosome:AWHD00000000:6:11986586:11998343:1 gene:ONIVA06G14510 transcript:ONIVA06G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISAAVGWGITAAGWVISPIISKLLSKDVFYLDFDTSDKLKQLEIKVVQLELMLGLEAAQIYPHRNRLEPLLMNLQSAFYEAEDILDDVEYHRLERQIQSHPSYNKWNWVHKIQSALASCYFMKNQTLKISLEKIENIINEAHGILPLLNLSNQSNVIERHIGHANYSRSSVTTATPPPVVIGQEKDCHDIIDMLHQDAISVQPGSSNSVLCYSIIGIHGIAGSGKSTLAQLVCANEKKDKQEKKDGHFDLIMWVHVSQHFSVDTILTEMLEAATGKKCGRFNNLDILEQKLEEALGGKRFLLVLDDIWCHNSENQHKQQKILTPLRVGKPGSKVLVTSRTENALLALGALKCIPISELDDNAFLKLFLHYALPSVNMDEQDQKQLEEIGANIAKKLRRSPLAARTVGGQLQIRPNVDFWRDACNRDLLNETMGALWWSYQHLNEQVRRCFSYCSIFPRRHQLKRDELVTLWVAEGFITTADVGEEEVVARQYFDDLVSSSFLQTRTRGYGLKDYFTVHDLLHDLAEKVAGNNCYRIQRGWAGGLLPQDVRHLYIETYDNTMITERILELENLRTLIIARDRTNMIVNETVFESIFTKMKKLRVLIVETFSFREQNMLSFPASFSELKHLRYFGFPVGWRCKLVFPSTFTKLYHLQVFDIGACGDLVFASKEDLCELTNLRHVIGSIMTVPNFGRLTSLLTVPNIRVTKEVGYELQQLAQLNKLRGKLWIRGLQNVESKEAAVQANLAAKEHLQELTLTWDGKIGSCPDVEAEVLEGLCPPTDLEILKIMDYKGSKYPSWLVGQQNSGPKHLRTLELSGSSRLISIPEHNELFRNLYSLVISYCSWDSLPENMERLTSLKKLVFENCDGSWLLPALPQSLEEFDVTYCYELAWSCQKIDHQNWQKIKNIPKKKLTSDQGEEEAVGRQYFDELVSSSFLMKQTGGGPTNYFTVHDLLHDLAEKVAGSDCFRIEKGLEGVIPPGVRHLSIETFDKKMITEKISEMENLHSLIIHGSDIDMIADEALFGSMFTRMRKLRVLRVKTFGFKRRKELSFPNSISNLKHLRYFGFATGSTSTCKLVLPRTMTKLYHLQVLDFGFCRDLVFSSEEDLCRLTNLRHFIASDQELNIPNFGRLTSLQTIPLLTVTKAAGCEIQQLAQLNKLRGRLQIEGLENVESKEAAVGANLAAKKHLKELVLVWDWNDESCSPDVQAEVLEGLCPPMELETLEIRGYRCSMYPSWLVDQLLNNGPKFLHQLKLNRCSPLGSIPQQSELFTDLRSLVIWCCSWDSLPDNMERLKSLEKLELFLCPQILLLPALPLSLKTFRLYRCSDVLTSSCQTIGHENWQKIKHIPNKHL >ONIVA06G14500.1 pep chromosome:AWHD00000000:6:11964683:11970173:-1 gene:ONIVA06G14500 transcript:ONIVA06G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16480) TAIR;Acc:AT1G16480] MLFLSLLPRRTRPSAIHRRRFHRPPPRPLLAAASSSSRRVSTTASTQQCAPSTLALLADHPNPAVAEFPRAGFSRLGDHPLLARAIHGLAVRLALPLSAFHRNTLLAFYFRQRGAAAAALHLFDEMPDRTPSSWYTAVSGCVRCGRDGAAFELLRGMRECGVPLSGFALASLVTACERRGRDEGIACGAAIHALTHSAGLMGNVYIGTALLHLYGSRGIVSDAQRLFWEMPERNVVSWTALMVALSSNGYLEEALRAYRQMRRDGVPCNANAFATVVSLCGSLENEVPGLQVASHVIVSGLQNQVSVANSLITMFGNLGRVQDAEKLFDRMEEHDTISWNAMISMYSHQGICSKCFLVFSDMRHHGLRPDATTLCSLMSVCASSDHFSHGSGIHSLCLRSSLDSSVTVINALVNMYSAAGKLSDAEFLFWNMSRRDLISWNTMISSYVQNCNSTDALKTLGQLFHTNEIPNHLTFSSALGACSSPGALIDGKMVHAIVLQLSLQRNLLVGNSLITMYGKCNSMEDAEKVFQSMPTHDVVSYNVLIGGYAVLEDGTKAMQVFSWMRSAGIKPNYITMINIHGSFTSSNDLHNYGRPLHAYIIRTGFLSDEYVANSLITMYAKCGNLESSTNIFNSITNKNIVSWNAIISANVQLGHGEEALKLFIDMQHAGNKLDRVCLAECLSSCASLASLEEGMQLHGLGMKSGLDSDSYVVNAAMDMYGKCGKMDEMLQMVPDQAIRPQQCWNTLISGYAKYGYFKEAEETFKQMVAMGRKPDYVTFVALLSACSHAGLVDKGIDYYNSMASSFGVSPGIKHCVCIVDLLGRLGRFAEAERFIEEMPVLPNDLIWRSLLSSSRTHKNLEIGRKAAKKLLELDPFDDSAYVLLSNLYATNARWVDVDKLRSHMKTININKRPACSWLKLKNEVSTFGIGDRGHKHSEKIYAKLDEMLLKLREVGYIADTSSALHDTDEEQKEQNLWNHSEKLALAYGLIVVPEGSTQGSAVNTSRSQQKHGSSIILDERRGGPGSSITERRQYEQETTGFIKDHEEIRRRPRCRRIKHNITFLFSPLLSAYNSAVH >ONIVA06G14490.1 pep chromosome:AWHD00000000:6:11954600:11958515:1 gene:ONIVA06G14490 transcript:ONIVA06G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKLSHRLFSALVSLLLHGKPISRSSSNTNTTLPHPSLLHKSSSSSPPMEKLAMKTLVLDVEGGLLRSSSLFPYFMLVALEAGGFLRGLVLLLLYPLLCVMGSDMALKVMAMVSFCGLRASRFRAGRAVLPKWFLEDVGEEGFDVMRSAMRRVCVTKMPRIMVEGFLKEYLEVEVVLGREMKVIWGFFTGIMEEEEEGGDQEEVLLEEKKMLVDVVGFSTSLEFLQHHLSHCCKEVYLVTREEKARWSALPRDKYPKPMVFHDGRLAFRPAAGDTLAMFTWLPFGAALAVARLAVALAVPYRYSTPILAATGLSWRLKGEAPAPLAAGAGDGARRRRRGQLFVCNHRTLIDPVYVSVALDRPVRAVSYSLSRLSELISPIGRTVRLTRDRDSDGRAMARLLDGGDLVVVCPEGTTCREPCLLRFSPLFAELSDDVVPVGIAVDTAMFYATTAGGLKCLDPLYYIANPRTCYAVQFLERVDTSPARERRAPSTDVANLVQRRMGDALGYRCTMLTRKDKYLMLAGNDGVVNTTQDNHSAPGKKKMQ >ONIVA06G14480.1 pep chromosome:AWHD00000000:6:11939586:11943151:-1 gene:ONIVA06G14480 transcript:ONIVA06G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKRMSYSIAAVLMLLLIMSSTFTFSCYAELQLKKRWTKKYYSTIAKQCNKKVNNLAVLRATSKAAIDRQEVRVSTTPIRAAIARDQRKDDFEVLVTVEAPKVVAPEKRAPIDLVAVLDVSGSVNKEEFVRGKHMSSRLDLLKIAMKYIIKLVRDADRLAIVSFNHAVVSEYGLTRNSADSRKKLENLPRSGCRIRTLRSLVLDDGDLIDDGHLL >ONIVA06G14480.2 pep chromosome:AWHD00000000:6:11937112:11939582:-1 gene:ONIVA06G14480 transcript:ONIVA06G14480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVFHHVLGHLPHKQLHTLLLYSTHTSTITTSGAYDGITIATRSRIINHSSNMNNTRKASIIVHHEQNNGPPPAISLGAEKTKKRRSALRGWRRRRPELGGGVDEETMAAE >ONIVA06G14470.1 pep chromosome:AWHD00000000:6:11936690:11936950:1 gene:ONIVA06G14470 transcript:ONIVA06G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGQRGSRRPLVAAGWRPPSSQPQPQMAPSLLDAASPFLLYGXXXXAAGGSSRLLAAATTGHRAASSFLVGALKYCRIYKPYHRI >ONIVA06G14460.1 pep chromosome:AWHD00000000:6:11934543:11936609:-1 gene:ONIVA06G14460 transcript:ONIVA06G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAILDGRGKEEKKKRVGFILFLSDGVDQFQYSRINWEKVAKSTDVDHSEVGAMLRKYARVPRPRATETDGLYSFVCKNLDNITEALARCLGGLRTVVAAEIRVDLKPKSSDKQQQQQPVLIKSIDSGGYESQVIGGGTSGKILIPVLYVDEVKKFIVHLKVPKVSATTVNNQQEILTADGDANSVDGKTVRIKEHKLAIRRPPEVVDQADLRPAPQVVEQVVVFKLLDMVPKTFQQRREDDHKGVKNTKVAVQLLQRNMDEIRRSDAWTDLDVGTRRRIDEQVKEIADHVEKGEGPAYVNSWVSSQEMQRANTMGSPNKVVAGRVRDAGDEDNSGGGEKATGGEDR >ONIVA06G14450.1 pep chromosome:AWHD00000000:6:11917439:11920375:-1 gene:ONIVA06G14450 transcript:ONIVA06G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKATSMHGWTRLDLVKGAMKMVTNKLGAGDRLAIVPFNGKVVAAGATRLMEMTTKGRADANAKVNQLKAGGDTKFLPALKHASGLLDSRPAGDKQYRPGFIFLLSDGQDNGVLDDKLGGVRYPAHTFGMCQSRCNPKSMVHIATGTKGSYHPIDDKLSNVAQALAVFLSGITSAVAVNARVQLHVADNSGVLINKIDSGAYDKTIESGNGKASSKGTINVGVLSAEEDKKFIVYLDVPKLENAQAKPPQLLLTVAGEYSTPAGGRKVENMEESSVQVERPAAAGGATKTGDHLVTWSEAVMVEMVRVKVVSIVKEVLKKHEHDEEPDQKQMAKDLMEEWDKFIKETPAGKDAAERLKEKLPKHHVEDMRRSLTKEEHDGVLYLYSWLASHQTQQATTMASSPPAETTEIDATADCGCVVGLQDRIDRRLELWSNLKREAPLMFQPSEDAESHHLTAVFREASLDAINRAMHHDMYLAVVHASNLRRCYSGAGKQQLHGYGSSELPAHDDEALPATRAVEKHSE >ONIVA06G14440.1 pep chromosome:AWHD00000000:6:11902382:11902854:-1 gene:ONIVA06G14440 transcript:ONIVA06G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARRMVSSISVVFFMLLLIVAASTFSSCYALTSTQACNDLGDLASTDETCKKICGDRLEYYCKPGVTPTVCCCMNVTASVPSGVKNQNGDTLLN >ONIVA06G14430.1 pep chromosome:AWHD00000000:6:11889424:11900475:1 gene:ONIVA06G14430 transcript:ONIVA06G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASWPAFGVSPEEAGKGKWYIATAATNHMTNDKSLISDLKPVADGRNIIADGNGAGLKVQGRGAVNTETVVLPAEVWYVPEIDENLVSVDQLNELGLSISIGRGVCTVTRVSDGSVVGKARRSGGVYEVEFLKFLSSSPRALAASPPSASPPPADSSEMASYPVFQVSPEEAAKGKWYMATAATNHMTRDQSLISDLKPVTGRVISRGNGAGLKVHGSGAVNTETVAIPDVWHVPGINANLVSVPQLSLLGLNISFDRGGCTVTRASDGSVVGKARRSALSLVFSRSSRPRRLGLATAADSSEMASYPAFQVSPEEAAKGKWYIATAATNHMTRDQSVISNLKPVTGRVVGGGNGAGLQVHGSGAVNTETVAIPDVWYVPGINCNLVSVGQLCQLGLEVSIFRVIFESISKKPEERANANVSSLSNIFISAEPGATMPRWFITEAATNHMTSDKSIFSDLKPMAGLVIDGRAGAGAGLPMHGIGAVYSWEVVLQDVWYVPGMSTYIGLVSAGQLTAIGLKTEIAGDVCTITSADGSEVGKGRMVSDGIFQVDFLRVSV >ONIVA06G14430.2 pep chromosome:AWHD00000000:6:11889424:11897087:1 gene:ONIVA06G14430 transcript:ONIVA06G14430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASWPAFGVSPEEAGKGKWYIATAATNHMTNDKSLISDLKPVADGRNIIADGNGAGLKVQGRGAVNTETVVLPAEVWYVPEIDENLVSVDQLNELGLSISIGRGVCTVTRVSDGSVVGKARRSGGVYEVEFLKFLSSSPRALAASPPSASPPPADSSEMASYPVFQVSPEEAAKGKWYMATAATNHMTRDQSLISDLKPVTGRVISRGNGAGLKVHGSGAVNTETVAIPDVWHVPGINANLVSVPQLSLLGLNISFDRGGCTVTRASDGSVVGKARRSALSLVFSRSSRPRRLGLATAADSSEMASYPAFQVSPEEAAKGKWYIATAATNHMTRDQSVISNLKPVTGRVVGGGNGAGLQVHGSGAVNTETVAIPDVWYVPGINCNLVSVGQLCQLGLEVSIFRGVCTVTRASDGSVVGKAHRSGAVYEVEFLKVPLN >ONIVA06G14430.3 pep chromosome:AWHD00000000:6:11889424:11900475:1 gene:ONIVA06G14430 transcript:ONIVA06G14430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASWPAFGVSPEEAGKGKWYIATAATNHMTNDKSLISDLKPVADGRNIIADGNGAGLKVQGRGAVNTETVVLPAEVWYVPEIDENLVSVDQLNELGLSISIGRGVCTVTRVSDGSVVGKARRSGGVYEVEFLKFLSSSPRALAASPPSASPPPADSSEMASYPVFQVSPEEAAKGKWYMATAATNHMTRDQSLISDLKPVTGRVISRGNGAGLKVHGSGAVNTETVAIPDVWHVPGINANLVSVPQLSLLGLNISFDRGGCTVTRASDGSVVGKARRSVIFESISKKPEERANANVSSLSNIFISAEPGATMPRWFITEAATNHMTSDKSIFSDLKPMAGLVIDGRAGAGAGLPMHGIGAVYSWEVVLQDVWYVPGMSTYIGLVSAGQLTAIGLKTEIAGDVCTITSADGSEVGKGRMVSDGIFQVDFLRVSV >ONIVA06G14430.4 pep chromosome:AWHD00000000:6:11889424:11900475:1 gene:ONIVA06G14430 transcript:ONIVA06G14430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASWPAFGVSPEEAGKGKWYIATAATNHMTNDKSLISDLKPVADGRNIIADGNGAGLKVQGRGAVNTETVVLPAEVWYVPEIDENLVSVDQLNELGLSISIGRGVCTVTRVSDGSVVGKARRSGGVYEVEFLKFLSSSPRALAASPPSASPPPADSSEMASYPVFQSVISNLKPVTGRVVGGGNGAGLQVHGSGAVNTETVAIPDVWYVPGINCNLVSVGQLCQLGLEVSIFRVIFESISKKPEERANANVSSLSNIFISAEPGATMPRWFITEAATNHMTSDKSIFSDLKPMAGLVIDGRAGAGAGLPMHGIGAVYSWEVVLQDVWYVPGMSTYIGLVSAGQLTAIGLKTEIAGDVCTITSADGSEVGKGRMVSDGIFQVDFLRVSV >ONIVA06G14430.5 pep chromosome:AWHD00000000:6:11889424:11900475:1 gene:ONIVA06G14430 transcript:ONIVA06G14430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASWPAFGVSPEEAAKGKWYIATAATNHMTRDQSVISNLKPVTGRVVGGGNGAGLQVHGSGAVNTETVAIPDVWYVPGINCNLVSVGQLCQLGLEVSIFRVIFESISKKPEERANANVSSLSNIFISAEPGATMPRWFITEAATNHMTSDKSIFSDLKPMAGLVIDGRAGAGAGLPMHGIGAVYSWEVVLQDVWYVPGMSTYIGLVSAGQLTAIGLKTEIAGDVCTITSADGSEVGKGRMVSDGIFQVDFLRVSV >ONIVA06G14430.6 pep chromosome:AWHD00000000:6:11897556:11900475:1 gene:ONIVA06G14430 transcript:ONIVA06G14430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKRSHVRRKKPEERANANVSSLSNIFISAEPGATMPRWFITEAATNHMTSDKSIFSDLKPMAGLVIDGRAGAGAGLPMHGIGAVYSWEVVLQDVWYVPGMSTYIGLVSAGQLTAIGLKTEIAGDVCTITSADGSEVGKGRMVSDGIFQVDFLRVSV >ONIVA06G14420.1 pep chromosome:AWHD00000000:6:11880645:11889077:1 gene:ONIVA06G14420 transcript:ONIVA06G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAELVKKIRELEEGQAELKREISRIVPERGGARRPPTLPAQQRRAALPQAAPSSRLLQRVGRAGLPDRHYVRILHSLGQAVHVISLDGKLMYWNRYAEHLYGYSVPEAVGQDALELIVHPSDYGAANDIIQNIFMGKCWRGKFPVKHKSGERFNIVASNTPLYDDDGSLVGLICLSTDTRTLEEILGHSTSGKVYPSSAKPRVQLNGSKSGLLNKVSCDSQQPLQSAITSRITNLSNKHRLPGLQIEFALGSRRVRIAMISSVVPVRAIILNMMPEKSRHLVKEAPQVGMFFMGPLLAKTITLGSQAKQTVMTQEKENWGFTKFLAQQQRHCGPTGEFHGLGEVMEMMMLGRIERTCHSSMKFKRMDRAIRKFQSQSYYQTAKILNLSRKSNMRFQVPGGLSMPAQVV >ONIVA06G14420.2 pep chromosome:AWHD00000000:6:11880645:11889077:1 gene:ONIVA06G14420 transcript:ONIVA06G14420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAELVKKIRELEEGQAELKREISRIVPERGGARRPPTLPAQQRRAALPQAAPSSRLLQRVGRAGLPDRHYVRILHSLGQAVHVISLDGKLMYWNRYAEHLYGYSVPEAVGQDALELIVHPSDYGAANDIIQNIFMGKCWRGKFPVKHKSGERFNIVASNTPLYDDDGSLVGLICLSTDTRTLEEILGHSTSGKVYPSSAKPRVQLNGSKSGLLNKVSCDSQQPLQSAITSRITNLSNKHRLPGLQIEFALGSRRVRIAMISSVVPVRAIILNMMPEKSRHLVKEAPQVGMFFMGPLLAKTITLGSQAKQTVMTQEKENWGFTKFLAQQQRHCGPTGEFHGLGEVMEMMMLGRIERTCHSSMKFKRMDRAIRKFQSQSYYQTAKILNLSRKSNMRFQVPGGLSMPAQVV >ONIVA06G14420.3 pep chromosome:AWHD00000000:6:11881270:11888420:1 gene:ONIVA06G14420 transcript:ONIVA06G14420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAELAVHVISLDGKLMNRYAEHLYGYSVPEAVGQDALELIVHPSDYGAANDIIQNIFMGKCWRGKFPVKHKSGERFNIVASNTPLYDDDGSLVGLICLSTDTRTLEEILGHSTSGKVYPSSAKPRVQLNGSKSGLLNKVSCDSQQPLQSAITSRITNLATRVTNRVRSRVKTGQNCDDQFGGACESHYSEHDAREEQTSSEGSTPSGDVLHGAFVSEDNYSGKSSKTNSDDSGEGKLGLHKILSSTAEALWANRGIPWPWRGHGNDDAGKNRTNLPQFHEIQENGQSHKEVPEPIILPDCQDTEFVQEVKYEVSGSWWSFNASTSSMSSTGSTNSSAIERADREADCLDFEILWEDLAIGEQVGQGSCGTVYHALWYGSDVAVKVFSKYEYSEDMILTFRQEVALMKKLRHPNVILFMGAVASLQRLCIVTEFLPRGSLFRLLQKNAGKLDPRRRVHMAIDIARGMNYLHNSSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRNEPSNEKSDVYSYGVILWEIATQKIPWDNLNTMQVVGAVGFMDHRLDIPSDVDPHWASMIESCWDSDPQRRPSFQELLDQLRDLQKQYNLQAQLQRTAAAKMSVDDC >ONIVA06G14410.1 pep chromosome:AWHD00000000:6:11875893:11876802:-1 gene:ONIVA06G14410 transcript:ONIVA06G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSPPFSTSLPLSSSFHWQPSRREGRRPVGEAAATTSGSRRASPHAAATTVADGACAKSRRHGSSLIPSAGGGDDRRRRVSADRERRGGGCPSMSERPRPEGYVDLVYGGYPTKGGEEEADM >ONIVA06G14400.1 pep chromosome:AWHD00000000:6:11873668:11875441:-1 gene:ONIVA06G14400 transcript:ONIVA06G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTATSAARNGSAAVGCRTTTATATAATFASTSSPAQVALLLLPPEFRWWWRIACSVASTTSAAITWAGSSTPARLAVAVVHTDSSLVLIRLLPIPNGPIGRA >ONIVA06G14390.1 pep chromosome:AWHD00000000:6:11872981:11873259:1 gene:ONIVA06G14390 transcript:ONIVA06G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGGSNIVVDGSGYAACGSAAAALDLVVGAVDSKDVSVAMRLRGQRQRETRAADGKEAQLGWRGGRAASSWASTSSPLELTVVVAPAPA >ONIVA06G14380.1 pep chromosome:AWHD00000000:6:11866537:11871223:-1 gene:ONIVA06G14380 transcript:ONIVA06G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPPPRQLDVRRFAAARAGELRSLHESVSARLAGRLTQPRSARRRTTGHLPSNKRRRRRSRDAEAADGTEEEEGRPSRRVRRRRELAGNPAEGFSAAGDGARRLRTHLWHAKRFSMERRWGFVLPIGAHGRGRGSRTVLKWLKNGTVVHDASYFTPVELDGPEDSLLSIVRMVLHPSPQDKTPGLKHLHGQVMRGVCYENAMLCRVGCPHLEIVGPVTYMWRPFLREGGELETEDVDLSNSQIISDERNSLRRQLWIWIHPSALSEGLETLRAACHQQMQESGDTISCCSLEGKMARLEVMGSNAMQSLKSILKPVSNPSISTKLVNKNNVTTSTDPLYCSTGSHLLKRSVFDNADILQSGAILSMIVHDPRDNSVEGTDSSKTVSLDQNNQLMEEYQVPNADETPSGIGNILSSIWLNPGNHDLALSDCRELWDSSLKINPPVDEKILCMEKHHRRMKFFCLDSGNDQGQTSQEKDSIGRSCPVILLKHAKGSLISVGWSVILPLSWVKPFWFYLVSHGAHAIGLRERRWIASKLKMPCFPYDYPDSKAYSLFMAEEAAVLDKATNCRPSSMRPPRVPVPPLWHCVIASFRKGDGILSNLEDDLKSVATVLSESIPVNSNSGDAESSPTSAPTSFQLLVPRTIQVLRQYVKKIDEKYLNSSDMEAVTGKSSLVSGDNVKTGCSINRLCLVRVLIRAFKEGSFEEGAVVCAPLPSDLSAWNRKTRSEEEEEECLEKWELQLPQSHVSSYFSWLDPSTSNLQLPNGDSTRKAFRWPIGFITTGFVHGSSGQDAVAVAFCEAKLLAVLRRQQWRTHESLKRKDICVLIRNARSAAYRRALATIVLEQQEDDLKFL >ONIVA06G14380.2 pep chromosome:AWHD00000000:6:11866537:11871223:-1 gene:ONIVA06G14380 transcript:ONIVA06G14380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPPPRQLDVRRFAAARAGELRSLHESVSARLAGRLTQPRSARRRTTGHLPSNKRRRRRSRDAEAADGTEEEEGRPSRRVRRRRELAGNPAEGFSAAGDGARRLRTHLWHAKRFSMERRWGFVLPIGAHGRGRGSRTVLKWLKNGTVVHDASYFTPVELDGPEDSLLSIVRMVLHPSPQDKTPGLKHLHGQLCRVGCPHLEIVGPVTYMWRPFLREGGELETEDVDLSNSQIISDERNSLRRQLWIWIHPSALSEGLETLRAACHQQMQESGDTISCCSLEGKMARLEVMGSNAMQSLKSILKPVSNPSISTKLVNKNNVTTSTDPLYCSTGSHLLKRSVFDNADILQSGAILSMIVHDPRDNSVEGTDSSKTVSLDQNNQLMEEYQVPNADETPSGIGNILSSIWLNPGNHDLALSDCRELWDSSLKINPPVDEKILCMEKHHRRMKFFCLDSGNDQGQTSQEKDSIGRSCPVILLKHAKGSLISVGWSVILPLSWVKPFWFYLVSHGAHAIGLRERRWIASKLKMPCFPYDYPDSKAYSLFMAEEAAVLDKATNCRPSSMRPPRVPVPPLWHCVIASFRKGDGILSNLEDDLKSVATVLSESIPVNSNSGDAESSPTSAPTSFQLLVPRTIQVLRQYVKKIDEKYLNSSDMEAVTGKSSLVSGDNVKTGCSINRLCLVRVLIRAFKEGSFEEGAVVCAPLPSDLSAWNRKTRSEEEEEECLEKWELQLPQSHVSSYFSWLDPSTSNLQLPNGDSTRKAFRWPIGFITTGFVHGSSGQDAVAVAFCEAKLLAVLRRQQWRTHESLKRKDICVLIRNARSAAYRRALATIVLEQQEDDLKFL >ONIVA06G14370.1 pep chromosome:AWHD00000000:6:11863102:11866112:-1 gene:ONIVA06G14370 transcript:ONIVA06G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIPTRANLTLAALLILLTVAATTVPLASAKCTVKNKPEGKAEKPGGTDAGLGLAAAHISPEKNAGCVITALVYKKKKLSKHSVATALSESLPVNSNSGDAESSQIDAPTSFQLLVPRTIHMLRHHVKEFHEKYLGSSVMEAVTDKSSLVSGDNVKTGCSVNRLCLVWVLIRAFKEGSFEEGAVVCAPLPSDLSAWNRKTSSGRDAVAIAFCEAKLLAVLRRQQWTHENLKRKDICVLVRNARSAAY >ONIVA06G14360.1 pep chromosome:AWHD00000000:6:11861179:11862552:-1 gene:ONIVA06G14360 transcript:ONIVA06G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIPTRANLALAALLILLTIAATTPASAKCIAKNKPEGEEGEPGGAGAAAAHASPEKKPGSNGGLTTMSVGESVPEIKKDSSDDGAAVNESKKPKSSGGLTTLSVDDSQAEPADSIAEPVEDGTDDGEDESEKKKKKKKKKKKSKSKSSDDDDDDAEKKSKKKSKNSDDDEDDKKKSKKKPKNPDDDEDDKKKSKKKSSDEDNDGAKKKKKKKSKGKSSDEEDDEKPKKKSKSKSKSSSSDEEDEKKSKSEGQAAAKPKEEDEEGGSASASASTSAPKNEHHSGTMSLPDPDMIAQPVMQALNPVVKALCGKTDHADLCESSIGQLPQQPPAQLDDIGVLRLSMNALRAKVQEAISVATNRMGAASGDEVSKDAMGDCLQMYDDMKSNLDSADAALKKGDKDTAHTMLDSARTDVDTCEDGFSEREGLKPIMGDLDKILAELSSNTIAIASAIIE >ONIVA06G14350.1 pep chromosome:AWHD00000000:6:11846696:11849674:-1 gene:ONIVA06G14350 transcript:ONIVA06G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRHHRFSRFIKNSSSPSKSKRTHAESPDASSTPSSAIPPRVDAVSRLLRATRSAKCLSKLHARLAVTGALREDASVVAGAVERYLFFGKPASAAAVFAGFYRGRAEVYDLNIAVRCFSDHGFHRELLGLYREVCAFGSDNFTFPPVIRACAAVSCLRLGKEVHCRVVRTGHGGNVGVQTALLDMYAKSGLVDLSRRVFDGMKSRDLISWNAMISGYSLNGCLLEAAEALKQMQQDGFRPNASSLVGIVSMVSGLGVRDAGDPLHAFALKSGVLGDESLTPAFISMYAAFGHLSSSLSLFHQSLVDNLVSCNSMISVCMQHGAWEKAFGVFRLMRCKGLVPNLVTVVSILPCCSNFFGINHGESVHGMVIKFGLAEQVSVVSALVSMYSKIGDLDSAVFLFSSVTEKSQLLWNSLISGYLVNNKWNMVMGSVRRMQIEGVDPDALTVISVISKCRHTEDLHVGKSIHAYAVRSRLELNESVMNALLAMYADCGQLSICCKLFHTMEVRTLISWNTIISGFAENGDSVACLRFFCQMRLADMQFDLVTLIALISSLSAIEDITVGESVHSLAIRSGCNLDVSFANALITMYTNCGIIQAGEKLFDSLSSVNTISYNALMTGYRKNNLFEEILPLFYHMIKNDQKPNIITLLNLLPICHSQLQGKTVHSYAIRNFSKLETSLFTSAICMYSRFNNLEYCHNLFCLVGERNNIVWNAILSACVQCKQAGVAFDYFRQIQFLNVKTDAVTMLALISACSQLGKADLAECVTAIALQKGFDGTIVVLNALIDMHSRCGSISFARKIFDISMEKDSVSWSTMINAYSMHGDGGSALDLFLMMVSSGIKPDDITFVSVLSACSRSGFLEQGRTLFRSMLADHGITPRMEHYACMVDLLGRTGHLDEAYDIVTTMPFRPSKSLLESLLGACRFHGNSKLGESVGKILTESDHGNPRSYVMLSNIYASAGKWSDYERLRSDMEAKGLIKDVGVSLIGGTY >ONIVA06G14340.1 pep chromosome:AWHD00000000:6:11841869:11844625:-1 gene:ONIVA06G14340 transcript:ONIVA06G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNLEEPDSPLIASLSAPKFMQNSAEPELTAGTDCENSNLQNRETANFEAAMQEELPEILVGNKSNMQEISTEQKAPISVCATPSEVDNSGLVSASDPHAFSVTSQNDDESTEPSSDSTTIPPSEKNTHSTSQTSDRLKAVVQLQDTPLHNTGDDAFCSYSVKASYETSAATPAKVKEKKPHLMHRFNERQMSLRDMRQKAPAPLNRSNSGKNFRTDNTFVDTTTHIESVKVAASRFGGSVNWKTRITEPEQENEIVLELDRLKKEISESKRQAEAVEAAKVPLSNEYEKTKRLIEGLEHDLEKAQEEEIIARLGLELFQLIVHEMREGDTSDGGVTGREKLNIIKEQYNAVLANLMLVKDESGKVQENYETLLIERDISIGKAQLAVSMSEGAVRKVEELTVELNRLKVELELAHSKCHDAEKHSKDTSLACDEDSLKWKSDLRQAEEELNQLAKKISSIEELKSTLDTSTGLLLKLKNELAGYVEAKPIDKEAQGNITQRSLHNEVILSTRELEECLMSVDKVRDEVCALNVAAASLKTELIKEKTALATMKQMEATSSIAAASLRVEIQLALRELEAVQAKEKESRNGMLGLQKIMEDTAKEADESKSIAREAQEKLRKAKEDMDHAKSCLDTMEFRIQAVLKEMEATKESIRLAIDALRPFDSELLVDIEEQGSQIVTVDLDEYQSLIAKSSKAEELVHERTASAIAQAKIAKESESRTLSTLSETHKVLEQRKQALVAATERADRATEGKLAMEQELRKWREENEQRRKAGEASKSQLNPSSTPVIIVERSSDTKSTSKDDSYASVHPLLDMSARSTPNDSALLSNKKKRKKLSFFPRITMFFTRKKSRAAI >ONIVA06G14330.1 pep chromosome:AWHD00000000:6:11792927:11798956:-1 gene:ONIVA06G14330 transcript:ONIVA06G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKEILHMNAGHGETSYARNSAVQAITDLCEPTSTILPKSMAIADLGCSSGPNALTLVSAALDAIHHHCAQQQQPPPEVCVFLNDLPSNDFNSVAKSLATLKHSYGDLDDPVVITGIGMIPGSFYERLFPCGSLHFVCSSNSLHWLSKAPDDLKEGKIPMYDMVEHLRVSRRAAVGDAYARQFRKDFTQFLSLRAQELVTGGRMVISLYGRCSENPISRSNQAWQVVAVALNDMASRGIIDKEKLDSFYIPLYAPLENEVNEIIEDEGSFEINKMLVRNPFSGMDDATVSPKMIALSIRAVFESTVVLHFGSSEEIMDEFAKTVEQKLSSGSGWRAVLAAEYPLKSY >ONIVA06G14330.2 pep chromosome:AWHD00000000:6:11793101:11798956:-1 gene:ONIVA06G14330 transcript:ONIVA06G14330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKEILHMNAGHGETSYARNSAVQAITDLCEPTSTILPKSMAIADLGCSSGPNALTLVSAALDAIHHHCAQQQQPPPEVCVFLNDLPSNDFNSVAKSLATLKHSYGDLDDPVVITGIGMIPGSFYERLFPCGSLHFVCSSNSLHWLSKFRKDFTQFLSLRAQELVTGGRMVISLYGRCSENPISRSNQAWQVVAVALNDMASRGIIDKEKLDSFYIPLYAPLENEVNEIIEDEGSFEINKMLVRNPFSGMDDATVSPKMIALSIRAVFESTVVLHFGSSEEIMDEFAKTVEQKLSSGSGWRAVLAAEYPLVLLCLSLTRVI >ONIVA06G14320.1 pep chromosome:AWHD00000000:6:11788676:11789515:-1 gene:ONIVA06G14320 transcript:ONIVA06G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWVAVVALGAQIKGMAGTGKRERKKVRLLREQAENTKKILKLLEDKKTPSTPPDPAMAGVLSGLRGALDDISRSPDKKPGELHALDRRISSILQQYHHYNHVATNSIIHRDYRDAHAHAPPPTMVAPWQQGAGTDSGGDWGHVVRGIVEDARVTVQGAWHATHNVEEVLRVAQLAQQVADLMERPHAASRLMRDAETSWPLLRDDLRDALRDARWVVWYSQWYHLSRMPSPSSPQASSTSSGAGGCRPPLQPAAQILDAAVKKIEFCLQVLPAIGYS >ONIVA06G14310.1 pep chromosome:AWHD00000000:6:11779510:11783446:-1 gene:ONIVA06G14310 transcript:ONIVA06G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNSSRRRWRAFLEHLARTAPPDGSHDEQVQTWMKQVRYLAHDYSNCIDHYLQRGDPAIHRARGGLRGYFWWAYWFVLEKVAQHKAAARLCELTERASDVGKRRMRYGVEIPWKVVPGAGGPASTALLPSSSSSSQAVAALPATQDDDEDRAGLTKAGVQFKHTVRINLPSVHYPSDYLGPNEVLCYILRVCTIQKDNKDPNYVDNGIVRFKAWRQREQMIRIVSNKFEEHVPRRIQQRGGSTETQEKTGGGKTTEEAVDTECSLVLQTDKPLCVLYLALLSEEKWKQAKDVLALEEQTLINNTAKEFKQHMEGDMKTPTIKLLDTQYQAILWEVFLTSNPEEATTAASATSNRRAAPATLGDDQIKEIMEQIKGIIHLVKQDILEEIQAIKSHGPREQKLGHDQVVSAIQDAKNKITQIGLKIKEQMIIKGMIDRINLYLKDGDTLFIIVEDENRRHELKWEDIMNALELLKCAKGSAVIVTTKNTQKASEFCYPPSEPITYSLVGLYHDIVLKLTQQLVNNEDDNNNSQILRDILDKCHPHEICMKMFARALYANPNRSNKELGRLRDTLQISENSLDTKAKKIFKFSYRDLHREHKTCLLYLAIFPQGTNIRRSTLIARWLTEGLITKEDWPTAVRHAERCFDALIDRCLVSPGDISAKGEFKSCMVGDLIHGGLRLRASDSIDMFVKKLHNYSSQLSLLKLLDLQGCQCFDKSSYLKAICNNIALLKYLSLRRTNITHLPSEINNLHDLEILDIRQTKVPEKETKLVLLLKLRRLLAGYTDPSPSFNDKGTNNRTFSCVRIPSKIEKMENMEVLSNVTASWYGYELKDIRKLWQLRKLVSGSDNDAYNDPSLTFKKEMFEHLKCLLVDGDNLKCMTDIIFEEGAAVELEKIVLSSTNVRSLRGVGRLPMLKELELKGNKSLFSFHGGVPLSGDGGAHQESNEPVSHSKDGAAPQKNNDVHVSPSEDGAAPHTSTDGQVPPSAEGPAPQIKTEVKITFKKGEFQQLKYFLFEDSKIVDIIIENGAVPELERIILLLTRKESQLTVSGSRAKLKEIEVKGDKSILLSLLKNANKIEKVILCDTSLNRDEAGRLAKKQNICCLVL >ONIVA06G14300.1 pep chromosome:AWHD00000000:6:11775331:11777564:1 gene:ONIVA06G14300 transcript:ONIVA06G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXDFCVSSRQAAALPLTPPTQAPHHRISPEPPTDHCITAVPLVLPAGGGAGRATPEPPARRKRPLAAGANNCRQEGVAISGLLDGTGDYEAYNF >ONIVA06G14300.2 pep chromosome:AWHD00000000:6:11775331:11777408:1 gene:ONIVA06G14300 transcript:ONIVA06G14300.2 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXDFCVSSRQAAALPLTPPTQAPHHRISPEPPTDHCITAVPLVLPAGGGAGRATPEPPARRKRPLAAGANNCRQEGVAISGLLDGTGDYEGDRVLVGDVPWGK >ONIVA06G14300.3 pep chromosome:AWHD00000000:6:11775331:11777099:1 gene:ONIVA06G14300 transcript:ONIVA06G14300.3 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXDFCVSSRQAAALPLTPPTQAPHHRISPEPPTDHCITAVPLVLPAGGGAGRATPEPPARRKRPLAAGANNCRQEGVAISGLLDGTGDYEGDRD >ONIVA06G14300.4 pep chromosome:AWHD00000000:6:11775331:11777564:1 gene:ONIVA06G14300 transcript:ONIVA06G14300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFWFLKPCTFLVE >ONIVA06G14300.5 pep chromosome:AWHD00000000:6:11775331:11777564:1 gene:ONIVA06G14300 transcript:ONIVA06G14300.5 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXDFCVSSRQAAALPLTPPTQAPHHRISPEPPTDHCITAVPLVLPAGGGAGRATPEPPARRKRPLAAGANNCRQEGVAISGLLDGTGDYEGSGS >ONIVA06G14290.1 pep chromosome:AWHD00000000:6:11763653:11766280:1 gene:ONIVA06G14290 transcript:ONIVA06G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVRRRRRARAATSVTAAPSQPAGGRTRRATPSRVPWRRRSGEAARKRRRASMWPELVGGGGGGPSPKAITKPTLPVPESFLCDRRP >ONIVA06G14280.1 pep chromosome:AWHD00000000:6:11762382:11762993:-1 gene:ONIVA06G14280 transcript:ONIVA06G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHRTIALLDGSNYTEWKNSMDPNLALLDFDLCLREDPPEEIQPPEELNMAEEDYEQLRWNYDEKSAAWVKSNRLSLMYIKNHISPEIIGGIVDSDNAKTYLANIEENFKSSSKTYASTIISKMITSTYNGKGSVRKHILEMTNMAHQLKSMDMDVTEGFLVHFIMSFLGPDFGPFKINYNTQKEKWTIQELIAHSVEEEER >ONIVA06G14270.1 pep chromosome:AWHD00000000:6:11748318:11751115:-1 gene:ONIVA06G14270 transcript:ONIVA06G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQIVHMNPGQGETSYARNSTIQKTAQDRMKPLIEEAVTAFCGVSVPKSMAIADLGCSSGPNALTLISSTVDAIHRYCMECAQPPPEMCLFLNDLPSNDFNSVAKSLAEFKHSQDVSSHHVVVANMVPGSFYERLFTSDSVHFFCSSISLQWLSKAPEELAKRKIPMYDSDERLRLLNHEIVADAYARQFRKDFTLFLSLRARELVLGGRLIFSLIGRCSSNPASVSTQVWKVVSVALNDMASRGVISKEKFDTFHIPIYAPMENELNGIIEDEGSFQINKAMAHDTFLATGGVLASPNTIAAMVRAVFEPAIVQHFGFSAGIMDDFASVVERLSTTSAVEAEFPLACLCFSLTRAR >ONIVA06G14260.1 pep chromosome:AWHD00000000:6:11747524:11747928:-1 gene:ONIVA06G14260 transcript:ONIVA06G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGNHEPPLCGEPPTCRIWRVASPTLCRGAADSPYLARSKAAAAPDEEGRSRQWGEREGDSPDLARSEAVAAPRRGGKEPIVGVESEWERRRRWGEGRGAAGGGGAARGGRRGVAGGGERRDAAGGGEKGGLR >ONIVA06G14250.1 pep chromosome:AWHD00000000:6:11722039:11723451:1 gene:ONIVA06G14250 transcript:ONIVA06G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLFFFRGAGDNIFDAIAVAASDHPAALRSRRDAFAQRLYMSEATLLELLRSLQQLELTVDTLMVTAIGKAVSSYRKHNSNQIRHLVQLLIEGWKRILDEWMSS >ONIVA06G14240.1 pep chromosome:AWHD00000000:6:11711708:11716283:-1 gene:ONIVA06G14240 transcript:ONIVA06G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQILHMNPGQGETSYARNSTIQNTLFSKTSIISKKELHLQKTAQDRMKPLIEDAIKAFCGAALPKSMVIADLGCSSGPNALTLVSAMVNAIHRYCMEHKQPQPEMCIFLNDLPCNDFNTVAKSLGEFKHGQDSSSHHIIVTSMVPGSFYDRLFTSTSVHFFCSSISLHWLSEVGMQLDSVNYMYVNSIRIPHICCHGIIGQYSPLVPFYKQAPEELVKSKIPMYDSDDKLRLLNREIVDNAYARQFRKDFTLFLSLRAQELVLGGQLIFSLVGRCSSNHASKSTQVWKLLAVALNDMASRGMISKEKFDTFHIPIYAPLDKELDSIIEDEGSFRINKTMVYDAFHATDGMLPSPNIMASMTRAVFEPVIVQHFGFSGKLPGLCPAV >ONIVA06G14230.1 pep chromosome:AWHD00000000:6:11707208:11707898:1 gene:ONIVA06G14230 transcript:ONIVA06G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSNQVHDLFIDTCKFFMLGTLTGSRQVEPRGKSMGISIDSEPTAIDLFKELHCSKTKCFSEPVKKAIEDMHAREVLTSPSVEDGQPAKTSIEAVSKVLRKSNTFLRNVGI >ONIVA06G14220.1 pep chromosome:AWHD00000000:6:11676406:11677530:1 gene:ONIVA06G14220 transcript:ONIVA06G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLILFGVLLASLLLVSQDVVAARELTEAHESKGKNVKPEVEQNNWGGGYMHGGGYEHGGGYSQPGYGGGYGQPGYGGGYGQPGYGSGYGPGYGGGGSGPGYGGGYGSPGYGGGYGSPGYGGGSGYGGGYGGGYGGGYGGGSGYGGGGGYGGGSGGGGQHGGWH >ONIVA06G14210.1 pep chromosome:AWHD00000000:6:11661636:11665469:1 gene:ONIVA06G14210 transcript:ONIVA06G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCSAVLSAAAAAAAASRTPPWLHRLHAKGGLSFPSNLHIDDLLYGQHHALPHPPPPPPPPQPAKEPPPPTKPKHPKPKQQQHPPPPPPQKPPQGSTNLSLPNPSGSGSGNGNPPPSPQLQLSTVIADVFVTPSSAPPLIAPIKAFRKQNHPRPRPDKASRPSKENKDKASKVKVKKRRRSDRAADGDGERCSRTEVTVIDTSTDGWKAAKLLLRRGAVWKVRDKASGVSEPEDPTKMKRRAGLVSKIQRDREKQKQKEKEATSSGNIHASSGDGMKEPDGPIQALKRSRGPEPEPEIIALLH >ONIVA06G14200.1 pep chromosome:AWHD00000000:6:11658816:11660231:1 gene:ONIVA06G14200 transcript:ONIVA06G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTMVVLFVVAASLLLLSQDVAFAARELADASGEASKGGDKKDDISISIGVTVGATPVVTINTKPKHHGKTPSYGHSHP >ONIVA06G14190.1 pep chromosome:AWHD00000000:6:11651800:11653012:1 gene:ONIVA06G14190 transcript:ONIVA06G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLLLLGVVVASLLLVAQEVAAARELTEANEAKGKNMKPEVVHVPQDDKIAYHGDGYGHGGGYGGGYGSGYGGGNGGGYGGGYGGYGGGYGGGYGGGGGGGGYGGYGGYGGGGYEGYGGGYGGGGGGGGYGGGGYPGGGYYGGGGGGGWH >ONIVA06G14180.1 pep chromosome:AWHD00000000:6:11644086:11645682:1 gene:ONIVA06G14180 transcript:ONIVA06G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNLLLLGVLLSALLFFFLDVAHARELAEASESEGKNVKPTGGSGVEDQKWGGAHGGGYGYGGGYGGGGYGHPGYGGGYGGGYGHPGYGGGYGGGYGQGYGGGYGHPGHGGGYGGGYGGGYGGGYGGGGGYGGGGGYGGGHGGGWP >ONIVA06G14170.1 pep chromosome:AWHD00000000:6:11637634:11638463:1 gene:ONIVA06G14170 transcript:ONIVA06G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNLLLLVFLTALLFFFLDVAHARELSEASESEGKNVKPSGTPGVEDQKWGGGYHHGGGYGYGGGYGGGYGRPGYGGGYGGGYGRPGYGGGYGHPGYGGGYGGGYGSGYGGGYGHPGHGGGYGGGYGGGYGGGGGYGGGGGYGEGQGGGWP >ONIVA06G14160.1 pep chromosome:AWHD00000000:6:11625462:11627050:1 gene:ONIVA06G14160 transcript:ONIVA06G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKFFLLGVFLAALLMFSLDGKIVKPTGKPGVDDQKWGGGYYPGGGFGYGGGYGGGYGRPGYGGGYGGGYGYPRYGGGYGSGYGCGYGGGYGGYGGGYGGGYGGGYGGGYSGGGYGGRYVGGGGWH >ONIVA06G14150.1 pep chromosome:AWHD00000000:6:11616489:11617224:-1 gene:ONIVA06G14150 transcript:ONIVA06G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNFLVLGVFLAALLMFSLDDVAHARELTEANESEGKNGLRIKSGEVDTTPVEDMDMVVGTVEDMVVLGTVEDMVILGMVVATAVDMDVGMVAGMGVRVEDMAVDTEVDTVVVGVTVEDMVVVVGTKKQLNGT >ONIVA06G14140.1 pep chromosome:AWHD00000000:6:11592655:11600281:-1 gene:ONIVA06G14140 transcript:ONIVA06G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSKQSVHMNPGQGETSYAQNSALQKTAQDRMKTLIEEAVTGLCTSSCPHPKNMVIADLGCSSGPNALTLVSAAVDAIHRYCAQHEQLPPEMCVLLNDLPDNDFNAVAKSLDTLKHSVDEALARPTAVITGMAPEDLKKSRIPMHDSDEQLRSSRHQIVADSYARQFKKDFMRFLSLRAQEIVPGGRMVVSLLVKRSDKPDTELIQPWTPAVTALSDMALRGVISKEKLDSFYIPLCCPMDSEVNNIIEEEGSFEVNKMMMHDPYDGTGKALLDLKMVALRVRAVFEPIIVQHFAASDEIMDDFVRAVERHLISSGALEARLSGQHPFAFFAATLLVTVGHVTSVRPHVVAQHQTRYRRLH >ONIVA06G14140.2 pep chromosome:AWHD00000000:6:11593975:11600281:-1 gene:ONIVA06G14140 transcript:ONIVA06G14140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSKQSVHMNPGQGETSYAQNSALQKTAQDRMKTLIEEAVTGLCTSSCPHPKNMVIADLGCSSGPNALTLVSAAVDAIHRYCAQHEQLPPEMCVLLNDLPDNDFNAVAKSLDTLKHSVDEALARPTAVITGMAPEDLKKSRIPMHDSDEQLRSSRHQIVADSYARQFKKDFMRFLSLRAQEIVPGGRMVVSLLVKRSDKPDTELIQPWTPAVTALSDMALRGVISKEKLDSFYIPLCCPMDSEVNNIIEEEGSFEVNKMMMHDPYDGTGKALLDLKMVALRVRAVFEPIIVQHFAASDEIMDDFVRAVERHLISSGALEARLSGQHPFAFLCLSLTRGM >ONIVA06G14130.1 pep chromosome:AWHD00000000:6:11580904:11583570:-1 gene:ONIVA06G14130 transcript:ONIVA06G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERALHMVGGDGNDSYATNSRLPMKAIMETKPVLCKAIEGVFASLSSPAPAKIVIADLGCSSGPNTLLVVSGVISMISTSGYPEKTELQFFLNDLPGNDFNYVFRSLQQLKQLADRKERLLEPPYYIAGLPGSFYTRLFPCQSVHLFHCSYALMWRSKVFPMKMKNQKFSQAVVDPLVQVPKELSSGVHLNKGNICIGKATPSHVVKLFQKKFKEDFSLFLALRSEELVSGGCMVLTFLGRKSSEMLAHGDVDTMWELLAEALQILVQKVTELIEESGLFDVEHTGVFESSWDPHDDSKSNGDAVADCARSADSIANCSIRAVIKPLITDHFGESIVDELFQVYVPIVAKHLEKGRAMYPVIVVSLKGRL >ONIVA06G14120.1 pep chromosome:AWHD00000000:6:11579722:11580276:-1 gene:ONIVA06G14120 transcript:ONIVA06G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWGPCLHQNRIDNHGEKAGQREKGEKLAREAAYALSPSSRADGERGRATTGLVAAPTFATASARCAPRSAPTGARQPCYPPIHDDLRSLPAVPPLDPPRLEEEEGKRSSSHHPLLPRRRAPIVPRYCAADLTTIAPASTKTHHCSARPPRHCLCLGRCSA >ONIVA06G14110.1 pep chromosome:AWHD00000000:6:11571661:11578146:1 gene:ONIVA06G14110 transcript:ONIVA06G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVTPLPHLPISPPPNRRRHQQWPLSCRVTPSSPRQSLSATPSTFALSAVGNRASLPPPRQRLQPPVDEIEGRVAATSATGLEEEVSEGAVAHDAVDVPVDECRILVGNGALRSLAVNCSLLDDSAVPAVVKRSLLELSLLKCSSFSWYLFVAVGEIEMQNFKCSHADATSVRNPTQTSAMVVVAAAVDRRRSNRLQVAVMVYGEKLPIPEIVAIHDQSDGKSSLLEGGGSV >ONIVA06G14100.1 pep chromosome:AWHD00000000:6:11524878:11527331:-1 gene:ONIVA06G14100 transcript:ONIVA06G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERTLHMVGGDGNDSYATNSRLSMKAIMETKPVLCKAIEGGFASLSSPAPAKIVIADLGCSSGPNTLLVVSGVIGMISTSGYSEKTELQFFLNDLPGNDFNYVFRSLQQLKQQLADRKEGLLEPPYYIAGLPGSFYTRLFPCQSVHLFHSSYALMWRSKVPEELSSGVHLNKGNIYIGKATPSHVVKLFQKKFKEDFSLFLTLRQEELVSGGRMVLTFLGRKSSQMLAHGDVGTMWELLAQALQILVQKGRVKEEDLTTFNLPFYAPSVDEVTELIEESGLFDVEHTGVFESSWDPHDDSKSNGDVVADCARSADSIRAVIKPLITDHFGESIVDELFQVYVPIVAKHLEKGRAMYPVIVVSLKGRL >ONIVA06G14090.1 pep chromosome:AWHD00000000:6:11511521:11561586:1 gene:ONIVA06G14090 transcript:ONIVA06G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTFPGSSVPGSSIRSSIIAPKTVAKSVEIRGHGIITYKLQMPEISQHYFLSLVSYGGPTPNAPPPPARGVVGGGGAMRQERRLYSLLGLLLLLAVVYLTWFPTTHDGGGGGGGGWVKLPVPWLQPRMPFAARRGTHFVDADTGSPLYVNGWNSYWLLPSRSPALAAEMLRRGRRMGLSVCRTWAFSDGGPGALQISPGRFSEAVFQVLDYVIYEARRNHIRLILCLVNNLDNLGGKAQYVQWAQAAGANMTNSTDSFYSHPTIKRYYKDYVKAILTRRNSYSGIRYSDEPAIFAWELMNEPRCVSNSSGPYLQAWIAEMAAYVKSLDTNHLVTVGTEGFYGPGIAERLGVNPGEWAASLCSDFIQNSAVEHIDFASVHAYPDSWLPKASLEEKVRYLSNWVDSHLNDSEQILKKPVLFTEVGYLQHSDANSNSTVDRDIILRIVYDKIYDSARKLQAGSGALIWQLMVEGTHMYGDNFSVVARDRPSTYSLITNQSCRLQRLYGEGDPGWQCSIPP >ONIVA06G14090.2 pep chromosome:AWHD00000000:6:11511521:11519281:1 gene:ONIVA06G14090 transcript:ONIVA06G14090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTFPGSSVPGSSIRSSIIAPKTVAKSVEIRGHGIITYKLQMPEISQHYFLSLVSYGGPTPNAPPPPARGVVGGGGAMRQERRLYSLLGLLLLLAVVYLTWFPTTHDGGGGGGGGWVKLPVPWLQPRMPFAARRGTHFVDADTGSPLYVNGWNSYWLLPSRSPALAAEMLRRGRRMGLSVCRTWAFSDGGPGALQISPGRFSEAVFQVLDYVIYEARRNHIRLILCLVNNLDNLGGKAQYVQWAQAAGANMTNSTDSFYSHPTIKRYYKDYVKAILTRRNSYSGIRYSDEPAIFAWELMNEPRCVSNSSGPYLQAWIAEMAAYVKSLDTNHLVTVGTEGFYGPGIAERLGVNPGEWAASLCSDFIQNSAVEHIDFASVHAYPDSWLPKASLEEKVRYLSNWVDSHLNDSEQILKKPVLFTEVGYLQHSDANSNSTVDRDIILRIVYDKIYDSARKLQAGSGALIWQLMVEGTHMYGDNFSVVARDRPSTYSLITNQSCRLQRLYGEGDPGWQCSIPP >ONIVA06G14090.3 pep chromosome:AWHD00000000:6:11511521:11561586:1 gene:ONIVA06G14090 transcript:ONIVA06G14090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTFPGSSVPGSSIRSSIIAPKTVAKSVEIRGHGIITYKLQMPEISQHYVLDYVIYEARRNHIRLILCLVNNLDNLGGKAQYVQWAQAAGANMTNSTDSFYSHPTIKRYYKDYVKAILTRRNSYSGIRYSDEPAIFAWELMNEPRCVSNSSGPYLQAWIAEMAAYVKSLDTNHLVTVGTEGFYGPGIAERLGVNPGEWAASLCSDFIQNSAVEHIDFASVHAYPDSWLPKASLEEKVRYLSNWVDSHLNDSEQILKKPVLFTEVGYLQHSDANSNSTVDRDIILRIVYDKIYDSARKLQAGSGALIWQLMVEGTHMYGDNFSVVARDRPSTYSLITNQSCRLQRLYGEGDPGWQCSIPP >ONIVA06G14090.4 pep chromosome:AWHD00000000:6:11511521:11519281:1 gene:ONIVA06G14090 transcript:ONIVA06G14090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTFPGSSVPGSSIRSSIIAPKTVAKSVEIRGHGIITYKLQMPEISQHYVLDYVIYEARRNHIRLILCLVNNLDNLGGKAQYVQWAQAAGANMTNSTDSFYSHPTIKRYYKDYVKAILTRRNSYSGIRYSDEPAIFAWELMNEPRCVSNSSGPYLQAWIAEMAAYVKSLDTNHLVTVGTEGFYGPGIAERLGVNPGEWAASLCSDFIQNSAVEHIDFASVHAYPDSWLPKASLEEKVRYLSNWVDSHLNDSEQILKKPVLFTEVGYLQHSDANSNSTVDRDIILRIVYDKIYDSARKLQAGSGALIWQLMVEGTHMYGDNFSVVARDRPSTYSLITNQSCRLQRLYGEGDPGWQCSIPP >ONIVA06G14080.1 pep chromosome:AWHD00000000:6:11505983:11509161:1 gene:ONIVA06G14080 transcript:ONIVA06G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HPL4] MAKFSLDDADDDPPVATARDKRKRDDGPADAEDEPPRARGSAVAGHEPAAPGAEAEAAGRRGPAEEMANGEVEGGLSVQIDPDVLDCSICFESLRPPLYQCQNGHVACFSCWSKLSNKCHICSRDAKFARNIALEKIVESIKSSCSYAKWGCCKFINYAQRDAHEEACLFAPSVCPISNCGYRGFTGRWSGHFLTSHSSDVVRFIYVVCLRSGNLNWMFSYQIEATSRKKPENRLQLKASVTNTRQWTGIYPSEAFLLVPFDFCHSSNIVLNISIERYAVV >ONIVA06G14080.2 pep chromosome:AWHD00000000:6:11505983:11509161:1 gene:ONIVA06G14080 transcript:ONIVA06G14080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HPL4] MAKFSLDDADDDPPVATARDKRKRDDGPADAEDEPPRARGSAVAGHEPAAPGAEAEAAGRRGPAEEMANGEVEGGLSVQIDPDVLDCSICFESLRPPLYQCQNGHVACFSCWSKLSNKCHICSRDAKFARNIALEKIVESIKSSCSYAKWGCCKFINYAQRDAHEEACLFAPSVCPISNCGYRGFTGRWSGHFLTSHSSDVVRFIYGQPFEVNIEVSVPFLVFFGEDDHLFLLQNNNLTPFGHAFSVVCLRSGNLNWMFSYQIEATSRKKPENRLQLKASVTNTRQWTGIYPSEAFLLVPFDFCHSSNIVLNISIERYAVV >ONIVA06G14070.1 pep chromosome:AWHD00000000:6:11483215:11486748:-1 gene:ONIVA06G14070 transcript:ONIVA06G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRHERIKIKGWSGSAAVWLLLVPLFVLIVLKTDFLPQVARLGDTSFTKVADEMVQKVSSLGLDRARWQQQQTLDVAKLEDSVVGTSDELTGHVDANNEDSNQPNQQILAMSRSKDSRLINSDVAAAKTSHLSCNFSSAHMDTCAMDGDIRIHGRSGVVYVVASSDYRPENATAVIRPYPRKWEQATMERVRQITIRSTAPPGADTDGGGAIIPLRCTVARDMPAVVFSTGGYSVNFFHTMNDILLPLYITAREHGGRVQLLAANYDRRWTAKYQHALAALSMYPVVDLDADAAVRCFPSARVGVESHRVLGIDTPLTGSNGYTMVGFLAFLRSAYSLPRHAVTTHTPSPRRPRVVMVLRRKSRALTNEAEVVAAVAEAGFEVVAAGPEEAGDVAGFAATVNSCDVMVGVHGAGLTNMVFLPRNGTVVQIIPWGGMKWPCWYDYGEPVPAMGLRYVEYEVAANETTLRERYPMDHPVFADPVSIHRKGFNHLWSTFLNGQNLTLDVNRFKAVMAEVYTSITAAPV >ONIVA06G14060.1 pep chromosome:AWHD00000000:6:11397954:11398708:1 gene:ONIVA06G14060 transcript:ONIVA06G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNDDDDVGAAAARPAAAAHQDLICRLLEEGRAPPRRAAEEVDRRVLARSSGRINGISDDAPTIRWTLNIIGLALLIFYTAIQFPWSGTILDLTLFVADVIFCLAIPAGGYMITSECEPIEGIGIW >ONIVA06G14050.1 pep chromosome:AWHD00000000:6:11395968:11396959:-1 gene:ONIVA06G14050 transcript:ONIVA06G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRVARWSCSAWSRRWVDQRTTPSSQGGSATSLMMLQGSGDLAVDAARRNKMSGRTPAAMQTAANGGDGGGGCYACRNRGNITHVQKSPKYPSTKKIQSSNFLMKIEMTRAPI >ONIVA06G14040.1 pep chromosome:AWHD00000000:6:11391761:11394884:1 gene:ONIVA06G14040 transcript:ONIVA06G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVHCAANATPCFPLRKLILITSPSLLLSKTKYWHLNRYEHIAVPKKYEQNLTLESDHTC >ONIVA06G14030.1 pep chromosome:AWHD00000000:6:11357288:11360453:-1 gene:ONIVA06G14030 transcript:ONIVA06G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDITCGSLLQKLQLIWDEVGESDEDRDKVLYQLDQECLDVYKRKVDQATDSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTSGTIKQQLAAIAPTLEKLNKQKNERVREFVNVQSQIDQICGEIAGTTEVGEKVATPQVNEDDLTLERLEEFRSQLQELEKEKSNRLEKVLDYVSMIHNLCTVLGMDFLSTVTEVHPSLDDSIGDNCKSISNDTLSKLDKTVATLNEDKKSRLSKLQELAGQLYDLWDLMDAPMQERSMFDHVTCNRSASVDKVTAPGALALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHMVIDTAAAHEKILALIEAGNIEPSELIADMESQISKAKEEALSRKEILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEESRGLSFMYDGVPLLAMLDEYVMLRQEREEDKKRMREQKRYIEQQLNTDHEGPFGSRVSPNRPVSAKKVPGAKSNGSANGTPPNRRLSVSGHQNGRSGGKDGKRDSAKTASPGNVAAAKEDASSHISGTDPVPSTP >ONIVA06G14030.2 pep chromosome:AWHD00000000:6:11357288:11360453:-1 gene:ONIVA06G14030 transcript:ONIVA06G14030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDITCGSLLQKLQLIWDEVGESDEDRDKVLYQLDQECLDVYKRKVDQATDSRDLLIQALDDSKIELARLLSALGEKAIARTVKSARCMQPEKTSGTIKQQLAAIAPTLEKLNKQKNERVREFVNVQSQIDQICGEIAGTTEVGEKVATPQVNEDDLTLERLEEFRSQLQELEKEKSNRLEKVLDYVSMIHNLCTVLGMDFLSTVTEVHPSLDDSIGDNCKSISNDTLSKLDKTVATLNEDKKSRLSKLQELAGQLYDLWDLMDAPMQERSMFDHVTCNRSASVDKVTAPGALALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHMVIDTAAAHEKILALIEAGNIEPSELIADMESQISKAKEEALSRKEILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEESRGLSFMYDGVPLLAMLDEYVMLRQEREEDKKRMREQKRYIEQQLNTDHEGPFGSRVSPNRPVSAKKVPGAKSNGSANGTPPNRRLSVSGHQNGRSGGKDGKRDSAKTASPGNVAAAKEDASSHISGTDPVPSTP >ONIVA06G14020.1 pep chromosome:AWHD00000000:6:11353126:11356536:1 gene:ONIVA06G14020 transcript:ONIVA06G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HPK3] MGAYRCVSELWRRKQSDVMTFVQRVRCWDHRRQPAIGRPTRPDKARRLGDKAKQVPCPHRFLPMAAAAATRPLLRPTCSVARFNTRRLLSSTSSPPPTNRSSNTNSPVAFDWSDDDDNPSPPPMEAKSPNLPPPYDPFSKKPAVMEPSDPTNLQEVFHRMRTEGLTDYAIKMFDGLSKDGLTHEALELFAIIKDKGAMPDVVAHTAVLEAYANAGPAHWRDVVRTYDRMLASGVTPNAYTLAVLVKGLAASDRFMEAGKYIVEMLDRGMRPNAATYLAVFEAYVRMEKVEEGRVLLETMKSKGFTPSEEAVRSGTVKRGHVFRGIMNMLFDNRHVGYVVLPCPSRRGGRKRPVPKGIVYSKPKHQGITQLKFQRNKRSVAEELLGASWVHSGCSTPTGTPPNFEIILVDVAHSAIRDDLRINWLCKPVHKHGELHGLTSAGNKYRGLRGKGHTHHKARPSSPRATWKRNQTVSLRRYR >ONIVA06G14020.2 pep chromosome:AWHD00000000:6:11353192:11356536:1 gene:ONIVA06G14020 transcript:ONIVA06G14020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HPK3] MGELLLRRLFLAVLPFPSALMLCVSELWRRKQSDVMTFVQRVRCWDHRRQPAIGRPTRPDKARRLGDKAKQVPCPHRFLPMAAAAATRPLLRPTCSVARFNTRRLLSSTSSPPPTNRSSNTNSPVAFDWSDDDDNPSPPPMEAKSPNLPPPYDPFSKKPAVMEPSDPTNLQEVFHRMRTEGLTDYAIKMFDGLSKDGLTHEALELFAIIKDKGAMPDVVAHTAVLEAYANAGPAHWRDVVRTYDRMLASGVTPNAYTLAVLVKGLAASDRFMEAGKYIVEMLDRGMRPNAATYLAVFEAYVRMEKVEEGRVLLETMKSKGFTPSEEAVRSGTVKRGHVFRGIMNMLFDNRHVGYVVLPCPSRRGGRKRPVPKGIVYSKPKHQGITQLKFQRNKRSVAEELLGASWVHSGCSTPTGTPPNFEIILVDVAHSAIRDDLRINWLCKPVHKHGELHGLTSAGNKYRGLRGKGHTHHKARPSSPRATWKRNQTVSLRRYR >ONIVA06G14020.3 pep chromosome:AWHD00000000:6:11353192:11356536:1 gene:ONIVA06G14020 transcript:ONIVA06G14020.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HPK3] MGELLLRRLFLAVLPFPSALMLCVSELWRRKQSDVMTFVQRVRCWDHRRQPAIGRPTRPDKARRLGDKAKQVPCPHRFLPMAAAAATRPLLRPTCSVARFNTRRLLSSTSSPPPTNRSSNTNSPVAFDWSDDDDNPSPPPMEAKSPNLPPPYDPFSKKPAVMEPSDPTNLQEVFHRMRTEGLTDYAIKMFDGLSKDGLTHEALELFAIIKDKGAMPDVVAHTAVLEAYANAGPAHWRDVVRTYDRMLASGVTPNAYTLAVLVKGLAASDRFMEAGKYIVEMLDRGMRPNAATYLAVFEAYVRMEKVEEGRVLLETMKSKGFTPSEEAVRSGTVKRGHGYVVLPCPSRRGGRKRPVPKGIVYSKPKHQGITQLKFQRNKRSVAEELLGASWVHSGCSTPTGTPPNFEIILVDVAHSAIRDDLRINWLCKPVHKHGELHGLTSAGNKYRGLRGKGHTHHKARPSSPRATWKRNQTVSLRRYR >ONIVA06G14020.4 pep chromosome:AWHD00000000:6:11353126:11356536:1 gene:ONIVA06G14020 transcript:ONIVA06G14020.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HPK3] MGAYRCVSELWRRKQSDVMTFVQRVRCWDHRRQPAIGRPTRPDKARRLGDKAKQGYVVLPCPSRRGGRKRPVPKGIVYSKPKHQGITQLKFQRNKRSVAEELLGASWVHSGCSTPTGTPPNFEIILVDVAHSAIRDDLRINWLCKPVHKHGELHGLTSAGNKYRGLRGKGHTHHKARPSSPRATWKRNQTVSLRRYR >ONIVA06G14020.5 pep chromosome:AWHD00000000:6:11353192:11356536:1 gene:ONIVA06G14020 transcript:ONIVA06G14020.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HPK3] MGELLLRRLFLAVLPFPSALMLCVSELWRRKQSDVMTFVQRVRCWDHRRQPAIGRPTRPDKARRLGDKAKQGYVVLPCPSRRGGRKRPVPKGIVYSKPKHQGITQLKFQRNKRSVAEELLGASWVHSGCSTPTGTPPNFEIILVDVAHSAIRDDLRINWLCKPVHKHGELHGLTSAGNKYRGLRGKGHTHHKARPSSPRATWKRNQTVSLRRYR >ONIVA06G14010.1 pep chromosome:AWHD00000000:6:11344668:11347821:-1 gene:ONIVA06G14010 transcript:ONIVA06G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYELGEVCGVCGHRPPASAGAQAAAGAAQAAAPRQDSAFPSEILKDFLFLGSYDNASRSELLKTIGISHILNTVPLCQNLYRNSFTYHCLQDEKTLQFDDAIQFLEQCERDKARVLVHCMSGKSRSAAFVIAFLMKTKGWRLSQCFQWVKERRPQVQLADAAQRQLIEYEQKLFNSTVGIPAQAFVPTDAFPSLGFGFPKPSGDIQVPIFNQQAPASIFERVSPHNIPSNFTFGAERTTEVKLPDNNSFGVVNSSGGDSMMDSS >ONIVA06G14000.1 pep chromosome:AWHD00000000:6:11342385:11343291:-1 gene:ONIVA06G14000 transcript:ONIVA06G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSVRAGRTGGRRRREEALLDGTTVIDHFGVCSTTRGHMGILKGNCQGQKFEKHPIEPQRSARLLSDPKFLIQQTSEGSWAGA >ONIVA06G13990.1 pep chromosome:AWHD00000000:6:11341172:11342206:-1 gene:ONIVA06G13990 transcript:ONIVA06G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPRRRCSSPPGLFRSPLSRHGLAASASVSPTPVVRRRPRRRATAVICPPGCSIHGAAEGRGTAAPRHHGDHAATGWSPRGRRHQPLAPGAQDPWPACLTNFVLSKTRTTQRKFPGYATGHGCKAWCFLLLCYKGIEGNIWSLQPLSQGD >ONIVA06G13980.1 pep chromosome:AWHD00000000:6:11336010:11342249:1 gene:ONIVA06G13980 transcript:ONIVA06G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trigger factor type chaperone family protein [Source:Projected from Arabidopsis thaliana (AT5G55220) TAIR;Acc:AT5G55220] MELATATAAAAASSTTRAHRPRSSRLAVPTRDSCSLLPSSSSRQFAALSTSAASTASRSRAQRRRLPVASAAVELREASSQGGDSVRVTETLQPGSSVKFSVEVPPSICQQCYETTLQEYAKRFKIPGFRPGKVVPENVLINYVGPKHVQDATVEAILRHTLPQALSSVEDRALEDSVRILTKFEDMANSFSLDNVFRYDVSVDVAPEVRWLSEDKYKNLKVVVEIDEIVDAEKAAEIELKRRHKALGLLRIVADRGLQVGDLVVLDIFAESITSDGSKGEKIPSAESKGFHLDTEENNNLVPGFLGSLIGIRPGETRSFPLQFPESFEQESLQGVRAQFTVVCKELFYRELPELDDSLAGKLLPGCTTMDQVRERILQRCKEVEKTAIEQATDNAILDQLGKLVEVDVPRALFQEQGQQLYGAKLLQLQAERKLDKDQLASLSSQKSVQEYLESERENINRIIKQMLAVGEIFKAENLQVQDVLEAAKVLEWLKENCIIEYIRP >ONIVA06G13970.1 pep chromosome:AWHD00000000:6:11328754:11332206:-1 gene:ONIVA06G13970 transcript:ONIVA06G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSSAAEELARGWVILDRVACVDDEEDPREVALNVAEPPRLSTLTVPAKFHALPSNPDELPYVAAADPFGLLVHTAASPSCGLNLDDDPPGSFAVLREFLPVGASNPHEATGIAERVPERVGGSVPHISNLKNVGFLTSPGTGGKDYAIAELQIEAGAELAKLIVFRSGTPAWAVSRLIRPDMPGRSNLHWGWHNDDVISFDGNLWFVNLWRGLISCNPFSDHPVLQFHQLPEHIPIEDQNKSQRDIEADRCVRVSKERLRYVEITRVHNAPVESTTVVVWVLICGPRSMSYWKTNCMAFLGDIWENETYKATGLPNQVPILAGIHPSNPDLVYFFLEHHLFGVNLYKKMVIHFVDEHYQLLQPIVRSRSLQPLSWRQVQLWKLPPSLHAGSIELSAQHASNLRLKAAQLQRQEHALKRREKMIEMREESVQGLHKSLLAPEEKKDDSRWDKVQIVILAVLISGLTLLFPFLPWLPYEYLSTIVIAFSIVVGCCCIALPCALFGSNKWQTCCGESVARVGFWITNLPFWARVFVLSGESDMGGRGGVHHRRWDGVVPP >ONIVA06G13970.2 pep chromosome:AWHD00000000:6:11328754:11332206:-1 gene:ONIVA06G13970 transcript:ONIVA06G13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSSAAEELARGWVILDRVACVDDEEDPREVALNVAEPPRLSTLTVPAKFHALPSNPDELPYVAAADPFGLLVHTAASPSCGLNLDDDPPGSFAVLREFLPVGASNPHEATGIAERVPERVGGSVPHISNLKNVGFLTSPGTGGKDYAIAELQIEAGAELAKLIVFRSGTPAWAVSRLIRPDMPGRSNLHWGWHNDDVISFDGNLWFVNLWRGLISCNPFSDHPVLQFHQLPEHIPIEDQNKSQRDIEADRCVRVSKERLRYVEITRVHNAPVESTTVVVWVLICGPRSMSYWKTNCMAFLGDIWENETYKATGLPNQVPILAGIHPSNPDLVYFFLEHHLFGVNLYKKMVIHFVDEHYQLLQPIVRSRSLQPLSWRQVQLWKLPPSLHAGSIELSAQHASNLRLKAAQLQRQEHALKRREKMIEMREESVQGLHKSLLAPEEKKDDSRWDKVQIVILAVLISGLTLLFPFLPWLPYEYLSTIVIAFSIVVGCCCIALPCALFGSNKWQTCCGESVARVGFMLFSLFVLYCLYRMALDPTLEMTGHSAPPAPADPGFAWKLMRTYEALAVVVTSGQVISWVLVGFWITNLPFWARVFVLSGESDMGGRGGVHHRRWDGVVPP >ONIVA06G13960.1 pep chromosome:AWHD00000000:6:11317052:11320685:-1 gene:ONIVA06G13960 transcript:ONIVA06G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLHLLPLLLLALLSPAGAASGEAHASAVVAEKGLDFAKGVLIGEAVRSLTPLRLPGVEKAVRVPFLGAIRVAATNITLFHLDVGDDSAIHPGDTALVVVASGVSANLSMAWSYYYDSWLFPIEISDRGTASILVQGMEVGITMQIKNYNGSLSLSVLQCGCNVKDLVISLDGGASWFYQGLINAFEDHIRAAVEKAIPENIIDGTSKLDSLLQSLPRSVNLDNISALNMTFVNDPQYGNSSIEFDINGLFSSATAKLSNSQKHPQLSLSCGGASKMLLLSLDEAVFNSALEVYFKAGSMHWVVDKIPDQSLLNTASWKFIIPRLYWSYPNDDMLLNISMASPPVMRITSEKIGATIYADMIIDVLHDKETIPVACISVVVSASGVAEAAGNKVYGKVELGNFSLALKWSKIGNFHMSLIQGVIRVFLNTVCMPYLNSRLGHGVILPVVHGFTLKDIYVLTSPEKLTLCSDVAFANASSLATLPILRSPRVL >ONIVA06G13950.1 pep chromosome:AWHD00000000:6:11304448:11314175:-1 gene:ONIVA06G13950 transcript:ONIVA06G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRERKRRRRGEMGRVAGMVMVLAAAGQARGGGAPTAAERALAAVARERLLEAAELLARPRELFPREAVRALVEDLGLARGRDPSAMGYRPRRASIAERILLTKRKMEEIKEAPVYPTTNVSQTTATRATTVFQHGASKPTTGLPMNISAVASFPVTTPPTIPSPNILKQTQLNESPSGVKAAGTSSIVSVPSVGPTNIKVEKGVNSPTCTQNGATIGQANKSAHLTATMSNPNIVPSSSHEGTPQHEKAPVIRPITVKNGMTHQSRPGVSFIQRQSTFPNHSAIAKTVQQVLHQPVNHPNWIPPSTEYMRSGLGCQVCKVFIIDIHSMIICDACERGIHLKCLQHDGVNVLPPKAEWYCPTCVARSKGKPLPPKYGKVTRTVVAPKVNLISGVPSQGVSENRTTKDNNQELAADGTVIDKNSSEANRIVHNSDKLALESSKEQSQSGSASAAVDKGRGKPQGVGTMENNAISERGNVHELTSNGDLSTKNEIVIGDAKDKTIVCSTDHSIVGWVGDPLRVVENKTYYYSCNIDGIAYNLDDHILVASKDKESAPSKLQSLWEEHDSRSKMALVSPYFFASDIPELISKPCTAEENEVFASCNQRTVTVSAICGQCEVLHVDKFREETKGSQVVSSRLHPIFLCSIKSTSTPT >ONIVA06G13940.1 pep chromosome:AWHD00000000:6:11297322:11301285:-1 gene:ONIVA06G13940 transcript:ONIVA06G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPLLEVEQCVTSIPEDHEATCWGCGLRLIFASGGVWAIYPVVFSISTFCGIFHCILTGLLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKILGTVWELQITMLFYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGFVTVYLAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSPNVMHGERGLQNLIRFFGCPYSSIIGLLKHWQVTGQFELKTSLEEFGVCKIALE >ONIVA06G13940.2 pep chromosome:AWHD00000000:6:11297322:11301285:-1 gene:ONIVA06G13940 transcript:ONIVA06G13940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPLLEIMRPLVGDVDSVSFLQVMHLFLSVAGVEQSHKVTKFQENLTVYAFLIGGISGTGGGVWAIYPVVFSISTFCGIFHCILTGLLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKILGTVWELQITMLFYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGFVTVYLAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSPNVMHGERGLQNLIRFFGCPYSSIIGLLKHWQVTGQFELKTSLEEFGVCKIALE >ONIVA06G13930.1 pep chromosome:AWHD00000000:6:11291111:11295859:1 gene:ONIVA06G13930 transcript:ONIVA06G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT4G26750) TAIR;Acc:AT4G26750] MGSDAEPAKGLLPYLQRADELQKHEPLVAYYCRLYAMEKGMRIPQKERTKTTNSLLISLMNQLEKDKKSLTLGSDDHLHVEGFALNVFAKADKQDRAGRADINTAKTFYAASIFFEILNQFGELQTDVEQKQKYAIWKAAEIRKALKEGRRPEAGPPGGDKDEAPDSTTTNSHLTDMGRSQSFGSGQHGNEASSQHVDQDFSRRDSFSAVQPGNNALRHSTEKFNDHVSAQSPYSPPPPQSQTPPQSQFSSPAQSSYSSPSYQGTDYPSSDVHKPPHGYSSAPYTSTDYPTNEVHKPPSNYSSPPYTRTDYPSSDSYNPQSNDKPDIPTYPHTYHQPPYTIEPQHTSQNYYSTETPAAPYNYSNFQSYPSFQDSSVPSVPTHQSSFYPASDGTSAVSYSPSGSNHPAPTQYHPSADTTTHQVTPPAIAPPASQYKYDSSYQPEVEKIAEAHKAARFAVGALAFDDVSVAVDHLKRALDLLTNPSAETH >ONIVA06G13910.1 pep chromosome:AWHD00000000:6:11267614:11268892:1 gene:ONIVA06G13910 transcript:ONIVA06G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMAKPASRAAKPASAPKKQKAKPSAAAGGSSHPPYFEMIKEAITVLKERTGSSAHAIAKYMEEKHGASLPANYKKMLSIQLRGFASKGKLVKVKASYKLSDAAKDSPKAKPAAPAPKAAAPKPAKDAAKPKKDAAAAKPKKAPAAGTKRKAPEKKVVAKPKKSPAAKAKAKPKTVRSPAAKKTRKAPAA >ONIVA06G13900.1 pep chromosome:AWHD00000000:6:11252675:11255288:1 gene:ONIVA06G13900 transcript:ONIVA06G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNGVAAATIFTVTDISSQRTRGVADVEADARCGHERRHKKIEEERRKADTAIDASADEVLVHWVSSASHLVTIKPVRYNNIMLR >ONIVA06G13890.1 pep chromosome:AWHD00000000:6:11221757:11245162:-1 gene:ONIVA06G13890 transcript:ONIVA06G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEPGKVQNSCHNNSQKGPNLISGDLATEGPRVAGIGGAKWPRRPGDGRTSCGGEAEEKRWGSWRASQRRGSVADRRCRGRVAGGKGVRWRVWHSRYVVT >ONIVA06G13880.1 pep chromosome:AWHD00000000:6:11204127:11205389:-1 gene:ONIVA06G13880 transcript:ONIVA06G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSRHYSIGIICIERQSLQFSTVTAGKDDSPALNSTAKTKINQFANEVTEMRSGFDNILMVQELQMQQIADLTDSVSRYPRKKQISVDPADYIAKRIRGLDGRPIIANKKFDYDRRTFVENKKDYDFGATFQEQFSVVDMQFLDRKNTIVHIDDVVLTGANLECLTKAYCYDDDKKSISPEIIDAFVEHYGHTKSGTEMHT >ONIVA06G13870.1 pep chromosome:AWHD00000000:6:11202436:11203704:1 gene:ONIVA06G13870 transcript:ONIVA06G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPITGPSAPAASRRQLLQFSTVTAGEDDSSALDSMAKMYLKVPGSLNNIQFDLPMEIFANEVTETRSGFDNILMVQELQMQQTVISVDPADYITKRVHRLDGRPIIANKKFDYDRRTFVENKKDYDFEATFQEQLSVVDIQFSDCKNTIVHIDYIVLTGANLECHTKAYCYNDDKKSISPEIINAFVEHYGHTKPGMEMHT >ONIVA06G13860.1 pep chromosome:AWHD00000000:6:11193930:11201274:-1 gene:ONIVA06G13860 transcript:ONIVA06G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSQRKKKRGTLVCFPYNMYDELTFQLSPRQKEAIEDSGFGNLLKINKIYIDRNLCNAITRSYDKEKKAFTINGTFVMMTLDDVDCLLGLPSKGEEIFEAPKINKPELFNLYDNHFIQRLILFLVGSFICPTTQRYVCSEYLNLVDDVEKNEATKLVKSNPESTNIDYLMYKCLQIWYWIVNDIRGTIDCKEISGKKEQTKDSETHSNQNIQCTSDEEDSNQCNQSSKRLTGPTGRTYKSTNRTDFCYESRVYIEKEDLTKKNIHKSPSKNALREKGIRGDGNAFLEQAIKTCLLNVEGAHVESNNPRDKQWIRDMAREYLPFDMIFLPINIKETHWYLAVLNTKRHEMQILDSLAKPKDMKTFRQDLAGILIKFELNKI >ONIVA06G13860.2 pep chromosome:AWHD00000000:6:11194475:11201274:-1 gene:ONIVA06G13860 transcript:ONIVA06G13860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTSQRKKKRGTLVCFPYNMYDELTFQLSPRQKEAIEDSGFGNLLKINKIYIDRNLCNAITRSYDKEKKAFTINGTFVMMTLDDVDCLLGLPSKGEEIFEAPKINKPELFNLYDNHFIQRLILFLVGSFICPTTQRYVCSEYLNLVDDVEKNEATKLVKSNPESTNIDYLMYKCLQIWYWIVNDIRGTIDCKEISGKKEQTKDSETHSNQNIQCTSDEEDSNQCNQSSKRLTGPTGRTYKSTNRTDFCYESRVYIEKEDLTKKNIHKSPSKNALREKGIRGDGNAFLEQAIKTCLLNVEGAHVESNNPRDKQWIRDMAREYLPFDMIFLPINIKETHWYLAVLNTKRHEMQILDSLAKPVSEY >ONIVA06G13850.1 pep chromosome:AWHD00000000:6:11192642:11193351:-1 gene:ONIVA06G13850 transcript:ONIVA06G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSGRATLGRQQQYEEQHHSCPATAASFRRIATATPEVAGGRGDGWGREGCEGARGDGHYEGDGRATEVTMEVNRRLRMASKEATRAGWRGRGRRTLTERAGETDGDGGSGEGGECGGGGWRRRGCRRRCRRGRRTVTEKAYKVATAGDTEGDKRGEEGGEAGGGDADGAGGDRGGKGEGWATEAVREMDGDGGGDGGERATEERYGREWRRLE >ONIVA06G13840.1 pep chromosome:AWHD00000000:6:11191077:11191769:1 gene:ONIVA06G13840 transcript:ONIVA06G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDDDDVGAAAARPAAAAHQDLICRLLEEGRALPRPAAEEVDLRVLARSSGRINGISDDTPTIRVAAWMITAIRWTFNIIGLALLIFYIAVQFPWSGTVLDLTIFVADVLICLAIPAGGYMITSECEPIEGIGIW >ONIVA06G13830.1 pep chromosome:AWHD00000000:6:11180492:11181580:1 gene:ONIVA06G13830 transcript:ONIVA06G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHGHRRRVALPCAVRLRLCLLEAAIDATQRRDGAINRPLFSLYDRRAPADPRPDAAGVSSTDVTVDASRGLWARVFTPPAPEHEHSSSSSTTTPRPVIVYFHGGGFAMFSAASRPFDAHCRTLCAGVGAVVVSVDYRLAPEHRFPAAYDDGEAVLRYLATTGLRDEHGVPMDLSACFLAGDSAGGNIAHHVAQRWTTTTTTPATPPPPSDNPVHLAGVILLEPYFGGEERTKAERALEGVAPVVNIRRSDRWWRAFLPEGADRNHPAAHVTGDAGPEPELQEAFPPAMVVVGGLDPLQDWDRRYAGMLRRKGKAVRVVEFPEAIHAFYFFPEFAGDIRKLVGEIRAFVEDSIMSKQSIA >ONIVA06G13820.1 pep chromosome:AWHD00000000:6:11176597:11178512:1 gene:ONIVA06G13820 transcript:ONIVA06G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNHLLLCILLCSSYYSIVLAVNLNNFAVVPASSFEPEAACSTSSANSDPNRASVPLVHRHGPCAPSAASGGKPSLAERLRRDRARANYIVTKAAGGRTAATAVSDAVGGGGTSIPTFLGDSVDSLEYVVTLGIGTPAVQQTVLIDTGSDLSWVQCKPCGAGECYAQKDPLFDPSSSSSYASVPCDSDACRKLAAGAYGHGCTSGAAALCEYGIEYGNRATTTGVYSTETLTLKPGVVVADFGFGCGDHQHGPYEKFDGLLGLGGAPESLVSQTSSQFGGPFSYCLPPTSGGAGFLALGAPNSSSSSTAAAGFLFTPMRRIPSVPTFYVVTLTGISVGGAPLAVPPSAFSSGMVIDSGTVITGLPATAYAALRSAFRSAMSEYRLLPPSNGAVLDTCYDFTGHTNVTVPTIALTFSGGATIDLATPAGVLVDGCLAFAGAGTDDTIGIIGNVNQRTFEVLYDSGKGTVGFRAGAC >ONIVA06G13810.1 pep chromosome:AWHD00000000:6:11170228:11170556:1 gene:ONIVA06G13810 transcript:ONIVA06G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSRQVELEWRHAMARSAAFAAQDIDALPTFAYEPVGTAEKDSVGGARIVRGMPRREEEDEKIEEWLTSLAHIINPSNIGQ >ONIVA06G13800.1 pep chromosome:AWHD00000000:6:11166285:11167773:1 gene:ONIVA06G13800 transcript:ONIVA06G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HPH8] MAISRCCVALLLLVVLVAAGSAAAAADQLRVDYYRETCPNVEAIVRDEMEKIIGAAPSLAGPLLRLHFHDCFVRGCDASVLLSSTAGNVAERDAKPNKSLRGFGSVERVKARLEAACPGTVSCADVLTLMARDAVVLARGPTWPVALGRRDGRVSAAGEAAASLPPADGDIATLLRIFAANDLDIKDLAVLSGAHTLGTAHCPSYAGRLYNFTGKNDADPSLDGEYAGRLRARCASATDESGMISEMDPGSYKTFDTSYYRHVAKRRGLFSSDASLLTDATTRDYVRRIATGKFDAEFFSDFGESMTKMGNVQVLTGEEGEIRKKCYVINS >ONIVA06G13790.1 pep chromosome:AWHD00000000:6:11151766:11163773:1 gene:ONIVA06G13790 transcript:ONIVA06G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRRRGVRSAFGGARSDDNRGQPTAHEVFDRDAVRLRSLFAVPRRLGGGWWWPRTCSGGCGCGGGTASSAFDTNFGVSVLRCKPCVGGAPCDVAFDPSRSSSFAAIPCGSPECAVECTGASCPFTIQFGNVTVANGTLVRDTLTLSPSATFAGFTFGCIEVGADADTFDGAVGLIDLSRSSHSLASRVISNGATTTTTAAFSYCLPSLSSTRSRGFLSIGASRPEYSGGDIKYAPMSSNPNHPNSYFVDLVGISVGGEDLPVPPAVLAAHGTLLEAATEFTFLAPAAYAALRDAFRNDMAQYPAAPPFRVLDTCYNLTGLASLAVPAVALRFAGGTELELDVRQTMYFEDPSSVFSSVACLAFAAAPLPAFPVSVIGTLAQRSTEVVYDVRGGRVGMASAIASSIFLCLLLLLLPHLGDSYHTSRYTRGSTHFVVRRSDDLPRAPTPPVSCSPIPSGASNGKKLPVLHRLNPCSPLNAGGKQSTTTTSSVDVSHRAGRRLRSLFAAVQSGDDAAPAPAPAASGGVAIPTTGTPEPGAPGFHDYTVVVGYGTPAQQLAMAFDTGLGISLARCAACRPGAPCDGLASFDPSRSSTFAPVPCGSPDCRSGCSSGSTPSCPLTSFPLLSGAVAQDVLTLTPSASVDDFTFGCVEGSSGEPLGAAGLLDLSRDSRSLASRLAAGAGGTFSYCLPLSTTSSHGFLAIGEADVPHNRSARVTAVAPLVYDPAFPNHYVIDLAGVSLGGRDIPIPPHAAMVLDTALPYTYMKPSMYAPLRDAFRRAMARYPRAPAMGDLDTCYNFTGVRHEVLIPLVHLTFRGISGGGGGGGGEGQVLGLGADQMLYMSEPGNFFSVTCLAFAALPSDGDAAAPLAMVMGTLAQSSMEVVHDVQGGKIGCLLSGGGVLAGSRRRYLTASLDELRGYKLAATSGRKLSIRNSAAEKPAARDIHVRDRARLRTILQRSSSASAASSLAPYASPPPAMPPIPAVSVAPAPAPAVTIPDRSGTYLDTLEFVVAVGLGTPAQPSALIFDTGSDLSWVQCQPCGSSGHCHPQQDPLFDPSKSSTYAAVHCGEPQCAAAGDLCSEDNTTCLYLVRYGDGSSTTGVLSRDTLALTSSRALTGFPFGCGTRNLGDFGRVDGLLGLGRGELSLPSQAAASFGAVFSYCLPSSNSTTGYLTIGATPATDTGAAQYTAMLRKPQFPSFYFVELVSIDIGGYVLPVPPAVFTRGGTLLDSGTVLTYLPAQAYALLRDRFRLTMERYTPAPPNDVLDACYDFAGESEVVVPAVSFRFGDGAVFELDFFGVMIFLDENVGCLAFAAMDTGGLPLSIIGNTQQRSAEVIYDVAAEKIGFVPASC >ONIVA06G13780.1 pep chromosome:AWHD00000000:6:11150166:11150725:-1 gene:ONIVA06G13780 transcript:ONIVA06G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSTANCFEREEPPPSAGLHRRRRRCHRVGAVVATVVVAVVVVVVDVVIVAAVVARLLSSSPPPSLPSPRPAGGGSGARGGEEGGAAAVEASSSFVGARGGEEGSRRRIRRPRRRGGGNRRRRHSSSFVGARGGEERSRRCPALPPPLVGAVAGRSPLLCVRETEERGK >ONIVA06G13770.1 pep chromosome:AWHD00000000:6:11136132:11148764:1 gene:ONIVA06G13770 transcript:ONIVA06G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleoporin 155 [Source:Projected from Arabidopsis thaliana (AT1G14850) TAIR;Acc:AT1G14850] MAWAEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLVERYNAAAGEGTALCGIFSEIHRAWATVDNSFFIWRFDKWDGQCQEHNADEQVICAVGLARAKPGVFVAAIQYLLVLATPVEINQASSFAYEMQSFTKDPTKMTRTASGDGTDPYAELSLQPLPEYIISTDGVTMTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTTGLGSLLSRWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKMQLFDLGATGDGPLRKITEEKNLVDPRDAPYGSRRPNAQRAARSPKPSIVCIAPLSAMESKWLHAVAVLSDGKRLFLSTSGGNSSVGLNTGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVFPLPDAAFIMQSLYADVECFASFGKPSEKSSIKLWAKGDLPTQHILPRRRIVVFNTMGLMEVVFNRPVDILRKLFDGNTLRSQLEEFFSRFGAGEAAAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQIDGSTALSNTRTQAGGFSMGQVVQEAQPIFSGAYEGLCLCSSRLLYPIWELPIMVVRGLVGSNDRGDGVVVCRLSTGAMKVLESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKAGPIIGSGGHSSGKSPYSSRIRDADPTDQSASNKKQRLPYTSAELAAMEVRAIECLRRLLRRSGEALFLLQLICQHNVARLVQTLGNDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGRGTVDEISTKLREGCPSYFNESDYKYYLAVECLERASMTNNHDEKDILARDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDARHHDTIIAQREQCYKIVMNALRTLKGVGQSGTQGADKSSASVTALDPASRGKYIRQIIQLSVQWPDTVFHEHLYRTLIELGLENELLEYGGSDLVAFLQSAGRKHHEEDRLSSGEELVGDEDVARALLGACKGLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMTVIAHKLGTTTAGASFFLDGTFSLNQTWSLNQGVRDKISSLANRYMAEVRRLSLPKNQTENVYRGFQELEEKLLSN >ONIVA06G13770.2 pep chromosome:AWHD00000000:6:11136132:11146565:1 gene:ONIVA06G13770 transcript:ONIVA06G13770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleoporin 155 [Source:Projected from Arabidopsis thaliana (AT1G14850) TAIR;Acc:AT1G14850] MAWAEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLVERYNAAAGEGTALCGIFSEIHRAWATVDNSFFIWRFDKWDGQCQEHNADEQVICAVGLARAKPGVFVAAIQYLLVLATPVEINQASSFAYEMQSFTKDPTKMTRTASGDGTDPYAELSLQPLPEYIISTDGVTMTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTTGLGSLLSRWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKMQLFDLGATGDGPLRKITEEKNLVDPRDAPYGSRRPNAQRAARSPKPSIVCIAPLSAMESKWLHAVAVLSDGKRLFLSTSGGNSSVGLNTGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVFPLPDAAFIMQSLYADVECFASFGKPSEKSSIKLWAKGDLPTQHILPRRRIVVFNTMGLMEVVFNRPVDILRKLFDGNTLRSQLEEFFSRFGAGEAAAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQIDGSTALSNTRTQAGGFSMGQVVQEAQPIFSGAYEGLCLCSSRLLYPIWELPIMVVRGLVGSNDRGDGVVVCRLSTGAMKVLESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKAGPIIGSGGHSSGKSPYSSRIRDADPTDQSASNKKQRLPYTSAELAAMEVRAIECLRRLLRRSGEALFLLQLICQHNVARLVQTLGNDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGRGTVDEISTKLREGCPSYFNESDYKYYLAVECLERASMTNNHDEKDILARDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDARHHDTIIAQREQCYKIVMNALRTLKGVGQSGTQGADKSSASVTALDPASRGKYIRQIIQLSVQWPDTVFHEHLYRTLIELGLENELLEYGGSDLVAFLQSAGRKHHEEDRLSSGEELVGDEDVARALLGACKGLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMTVIAHKLGTTTAGASFFLDGTFSLNQTWSLNQGVRDKISSLANRYMAEVRRLSLPKNQTENVYRGFQELEEKLLSN >ONIVA06G13770.3 pep chromosome:AWHD00000000:6:11136181:11148764:1 gene:ONIVA06G13770 transcript:ONIVA06G13770.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleoporin 155 [Source:Projected from Arabidopsis thaliana (AT1G14850) TAIR;Acc:AT1G14850] MAWAEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLVERYNAAAGEGTALCGIFSEIHRAWATVDNSFFIWRFDKWDGQCQEHNADEQVICAVGLARAKPGVFVAAIQYLLVLATPVEINQASSFAYEMQSFTKDPTKMTRTASGDGTDPYAELSLQPLPEYIISTDGVTMTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTTGLGSLLSRWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKMQLFDLGATGDGPLRKITEEKNLVDPRDAPYGSRRPNAQRAARSPKPSIVCIAPLSAMESKWLHAVAVLSDGKRLFLSTSGGNSSVGLNTGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVFPLPDAAFIMQSLYADVECFASFGKPSEKSSIKLWAKGDLPTQHILPRRRIVVFNTMGLMEVVFNRPVDILRKLFDGNTLRSQLEEFFSRFGAGEAAAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQIDGSTALSNTRTQAGGFSMGQVVQEAQPIFSGAYEGLCLCSSRLLYPIWELPIMVVRGLVGSNDRGDGVVVCRLSTGAMKVLESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKAGPIIGSGGHSSGKSPYSSRIRDADPTDQSASNKKQRLPYTSAELAAMEVRAIECLRRLLRRSGEALFLLQLICQHNVARLVQTLGNDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGRGTVDEISTKLREGCPSYFNESDYKYYLAVECLERASMTNNHDEKDILARDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDARHHDTIIAQREQCYKIVMNALRTLKGVGQSGTQGADKSSASVTALDPASRGKYIRQIIQLSVQWPDTVFHEHLYRTLIELGLENELLEYGGSDLVAFLQSAGRKHHEEDRLSSGEELVGDEDVARALLGACKGLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMTVIAHKLGTTTAGASFFLDGTFSLNQTWSLNQGVRDKISSLANRYMAEVRRLSLPKNQTENVYRGFQELEEKLLSN >ONIVA06G13770.4 pep chromosome:AWHD00000000:6:11136181:11146924:1 gene:ONIVA06G13770 transcript:ONIVA06G13770.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleoporin 155 [Source:Projected from Arabidopsis thaliana (AT1G14850) TAIR;Acc:AT1G14850] MAWAEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLVERYNAAAGEGTALCGIFSEIHRAWATVDNSFFIWRFDKWDGQCQEHNADEQVICAVGLARAKPGVFVAAIQYLLVLATPVEINQASSFAYEMQSFTKDPTKMTRTASGDGTDPYAELSLQPLPEYIISTDGVTMTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTTGLGSLLSRWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKMQLFDLGATGDGPLRKITEEKNLVDPRDAPYGSRRPNAQRAARSPKPSIVCIAPLSAMESKWLHAVAVLSDGKRLFLSTSGGNSSVGLNTGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVFPLPDAAFIMQSLYADVECFASFGKPSEKSSIKLWAKGDLPTQHILPRRRIVVFNTMGLMEVVFNRPVDILRKLFDGNTLRSQLEEFFSRFGAGEAAAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQIDGSTALSNTRTQAGGFSMGQVVQEAQPIFSGAYEGLCLCSSRLLYPIWELPIMVVRGLVGSNDRGDGVVVCRLSTGAMKVLESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKAGPIIGSGGHSSGKSPYSSRIRDADPTDQSASNKKQRLPYTSAELAAMEVRAIECLRRLLRRSGEALFLLQLICQHNVARLVQTLGNDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGRGTVDEISTKLREGCPSYFNESDYKYYLAVECLERASMTNNHDEKDILARDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDARHHDTIIAQREQCYKIVMNALRTLKGVGQSGTQGADKSSASVTALDPASRGKYIRQIIQLSVQWPDTVFHEHLYRTLIELGLENELLEYGGSDLVAFLQSAGRKHHEEDRLSSGEELVGDEDVARALLGACKGLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMTVIAHKLGTTTAGASFFLDGTFSLNQTWSLNQGVRDKISSLANRYMAEVRRLSLPKNQTENVYRGFQELEEKLLSN >ONIVA06G13760.1 pep chromosome:AWHD00000000:6:11123055:11130535:1 gene:ONIVA06G13760 transcript:ONIVA06G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKAFTYLVNYWRTEDMESVKAELLKMLPHVQAVFDAVDWDNIKEQSAALDAWLWQLRDAVEEAEDSLDELDYHRLKEEVKARDEQEASGSVSKLKGKLIRKLTKHVPKNGMLKRLKESVEGLHKAIAGVKDFMGFVNKVGVVNHFMDYELKMKGKQFETSSRSTAIEVFGLEKEKDIMIKWLTEPTGYRFGRKELVEMWLGSGMISQTEDETKALEDIGGQCLDQLARKSFFEFISEEMDGVVVEEYYSMHDVLHDLAQVVSSGECLRIGGIRSMKIAKTVRHLSVRIVDSVHLKELCHLNNLHSLVIEFVGDDPSMSYSIAFDEILKRFNSLRLLCVTAKCWFDIPDTVSKLVHLRYISLFSTKRSFLVSVHKLFSLYHLETLKIMEYSEGKMLKLNGMCNLVHLRNLYVPYDTISSIPQIGKLTCLEYLSAFNVQRKIGYTVCELKNLARLRHLHLRDIQNIDTREVLDANLKEKKHMRTLSLHWSSHEVVTENTDDQVLDDFQPQSGLEGLNIIGFSGTKFPFWMTNSYLVNIVSLKIINCGKVEHLPSLANLCSLKSLSFLRLPLLTSMGFLFHGCGKVLIGYSHPLISSPKSPTGISEGTDYIDSDSKFFPSHLCTLVIRGCPKLMELPTLPLRLKHLKILSSGLMFLPKMYHNYYNREGSLPSLNESQLTSMRIEDCPNLTSFADCFLQQNFCQVSLREIHIYQCEKLKHLPPNGFAELVNLQILKISDCPMLKDGGMEVKLLPSSVEQLTIRSCGELEDMLVGSLAGLKALSNICLSQCTHLTSLASANTFETLTTLRSSQESMKSLLKIETLRIDDHTLLFVEPLRSMHFTKELTISDDHVMTSLPDHWLLQNHLLQNLMIFNAKALQCLPSSLAHLCHLQSFTLRNAPLVNSVPNLPASLSNLTLGSCCTILADRCRKGGHDWSKIAHIPLMNAALRTTFSSACKSFEFHPSLLFPVDECFKAAKQVEGLSLHAYILYPYPSIDGVKIFINQISAVTVRVLKANQSMDPQIQSQQMAHSLKLCACVLLLFLVHTRHSFVSVLPAAVILPGLVHIAAPKYLQMIPGQAASGTGAHSGLFSYRPPIRAICD >ONIVA06G13760.2 pep chromosome:AWHD00000000:6:11123055:11130535:1 gene:ONIVA06G13760 transcript:ONIVA06G13760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKAFTYLVNYWRTEDMESVKAELLKMLPHVQAVFDAVDWDNIKEQSAALDAWLWQLRDAVEEAEDSLDELDYHRLKEEVKARDEQEASGSVSKLKGKLIRKLTKHVPKNGMLKRLKESVEGLHKAIAGVKDFMGFVNKVGVVNHFMDYELKMKGKQFETSSRSTAIEVFGLEKEKDIMIKWLTEPTVPNLPASLSNLTLGSCCTILADRCRKGGHDWSKIAHIPLMNAALRTTFSSACKSFEFHPSLLFPVDECFKAAKQVEGLSLHAYILYPYPSIDGVKIFINQISAVTVRVLKANQSMDPQIQSQQMAHSLKLCACVLLLFLVHTRHSFVSVLPAAVILPGLVHIAAPKYLQMIPGQAASGTGAHSGLFSYRPPIRAICD >ONIVA06G13760.3 pep chromosome:AWHD00000000:6:11124158:11130533:1 gene:ONIVA06G13760 transcript:ONIVA06G13760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLVFAGKAVATPVISYMLNKAFTYLVNYWRTEDMESVKAELLKMLPHVQAVFDAVDWDNIKEQSAALDAWLWQLRDAVEEAEDSLDELDYHRLKEEVKARDEQEASGSVSKLKGKLIRKLTKHVPKNGMLKRLKESVEGLHKAIAGVKDFMGFVNKVGVVNHFMDYELKMKGKQFETSSRSTAIEVFGLEKEKDIMIKWLTEPTGNDPADTNLRIFTIVGHGGFGKTTLAQLIYNEKKVQNCFDICIWVSVSSHFDALSITKSIIEAISKETPPANRLETLHAILEDRLISKRFLLILDNVWNDNDINEWEKLFAPLRIGGTGSIILVTTRMKSVGDIAGYALGLKVQHLKLDGLLEKDILMLFNKHAFSGLNLDCCKNLHLLGEQIVKKISGCPLAAKVIGAHLRDNMNYMYWEKILQEDLQNLQLGMDGIMKVLRLSYHHLPANLQLCFRYCSIFPPGYRFGRKELVEMWLGSGMISQTEDETKALEDIGGQCLDQLARKSFFEFISEEMDGVVVEEYYSMHDVLHDLAQVVSSGECLRIGGIRSMKIAKTVRHLSVRIVDSVHLKELCHLNNLHSLVIEFVGDDPSMSYSIAFDEILKRFNSLRLLCVTAKCWFDIPDTVSKLVHLRYISLFSTKRSFLVSVHKLFSLYHLETLKIMEYSEGKMLKLNGMCNLVHLRNLYVPYDTISSIPQIGKLTCLEYLSAFNVQRKIGYTVCELKNLARLRHLHLRDIQNIDTREVLDANLKEKKHMRTLSLHWSSHEVVTENTDDQVLDDFQPQSGLEGLNIIGFSGTKFPFWMTNSYLVNIVSLKIINCGKVEHLPSLANLCSLKSLSFLRLPLLTSMGFLFHGCGKVLIGYSHPLISSPKSPTGISEGTDYIDSDSKFFPSHLCTLVIRGCPKLMELPTLPLRLKHLKILSSGLMFLPKMYHNYYNREGSLPSLNESQLTSMRIEDCPNLTSFADCFLQQNFCQVSLREIHIYQCEKLKHLPPNGFAELVNLQILKISDCPMLKDGGMEVKLLPSSVEQLTIRSCGELEDMLVGSLAGLKALSNICLSQCTHLTSLASANTFETLTTLRSSQESMKSLLKIETLRIDDHTLLFVEPLRSMHFTKELTISDDHVMTSLPDHWLLQNHLLQNLMIFNAKALQCLPSSLAHLCHLQSFTLRNAPLVNSVPNLPASLSNLTLGSCCTILADRCRKGGHDWSKIAHIPLMNAALRTTFSSACKSFEFHPSLLFPVDECFKAAKQVEGLSLHAYILYPYPSIDGVKIFINQISAVTVRVLKANQSMDPQIQSQQMAHSLKLCACVLLLFLVHTRHSFVSVLPAAVILPGLVHIAAPKYLQMIPGQAASGTGAHSGLFSYRPPIRAICD >ONIVA06G13760.4 pep chromosome:AWHD00000000:6:11123055:11134357:1 gene:ONIVA06G13760 transcript:ONIVA06G13760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKAFTYLVNYWRTEDMESVKAELLKMLPHVQAVFDAVDWDNIKEQSAALDAWLWQLRDAVEEAEDSLDELDYHRLKEEVKARDEQEASGSVSKLKGKLIRKLTKHVPKNGMLKRLKESVEGLHKAIAGVKDFMGFVNKVGVVNHFMDYELKMKGKQFETSSRSTAIEVFGLEKEKDIMIKWLTEPTAKVIGAHLRDNMNYMYWEKILQEDLQNLQLGMDGIMKVLRLSYHHLPANLQLCFRYCSIFPPGYRFGRKELVEMWLGSGMISQTEDETKALEDIGGQCLDQLARKSFFEFISEEMDGVVVEEYYSMHDVLHDLAQVVSSGECLRIGGIRSMKIAKTVRHLSVRIVDSVHLKELCHLNNLHSLVIEFVGDDPSMSYSIAFDEILKRFNSLRLLCVTAKCWFDIPDTVSKLVHLRYISLFSTKRSFLVSVHKLFSLYHLETLKIMEYSEGKMLKLNGMCNLVHLRNLYVPYDTISSIPQIGKLTCLEYLSAFNVQRKIGYTVCELKNLARLRHLHLRDIQNIDTREVLDANLKEKKHMRTLSLHWSSHEVVTENTDDQVLDDFQPQSGLEGLNIIGFSGTKFPFWMTNSYLVNIVSLKIINCGKVEHLPSLANLCSLKSLSFLRLPLLTSMGFLFHGCGKVLIGYSHPLISSPKSPTGISEGTDYIDSDSKFFPSHLCTLVIRGCPKLMELPTLPLRLKHLKILSSGLMFLPKMYHNYYNREGSLPSLNESQLTSMRIEDCPNLTSFADCFLQQNFCQVSLREIHIYQCEKLKHLPPNGFAELVNLQILKISDCPMLKDGGMEVKLLPSSVEQLTIRSCGELEDMLVGSLAGLKALSNICLSQCTHLTSLASANTFETLTTLRSSQESMKSLLKIETLRIDDHTLLFVEPLRSMHFTKELTISDDHVMTSLPDHWLLQNHLLQNLMIFNAKALQCLPSSLAHLCHLQSFTLRNAPLVNSVPNLPASLSNLTLGSCCTILADRCRKGGHDWSKIAHIPLMNAALRTTFSSACKSFEFHPSLLFPVDECFKAAKQVEGLSLHAYILYPYPSIDGVKIFINQISAVTVRVLKANQSM >ONIVA06G13760.5 pep chromosome:AWHD00000000:6:11123055:11131416:1 gene:ONIVA06G13760 transcript:ONIVA06G13760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKAFTYLVNYWRTEDMESVKAELLKMLPHVQAVFDAVDWDNIKEQSAALDAWLWQLRDAVEEAEDSLDELDYHRLKEEVKARDEQEASGSVSKLKGKLIRKLTKHVPKNGMLKRLKESVEGLHKAIAGVKDFMGFVNKVGVVNHFMDYELKMKGKQFETSSRSTAIEVFGLEKEKDIMIKWLTEPTDILYPYPSIDGVKIFINQISAVTVRVLKANQSMKWYQYGRRSSVVRQLPPPEAYTMFREK >ONIVA06G13760.6 pep chromosome:AWHD00000000:6:11124158:11131414:1 gene:ONIVA06G13760 transcript:ONIVA06G13760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLVFAGKAVATPVISYMLNKAFTYLVNYWRTEDMESVKAELLKMLPHVQAVFDAVDWDNIKEQSAALDAWLWQLRDAVEEAEDSLDELDYHRLKEEVKARDEQEASGSVSKLKGKLIRKLTKHVPKNGMLKRLKESVEGLHKAIAGVKDFMGFVNKVGVVNHFMDYELKMKGKQFETSSRSTAIEVFGLEKEKDIMIKWLTEPTGNDPADTNLRIFTIVGHGGFGKTTLAQLIYNEKKVQNCFDICIWVSVSSHFDALSITKSIIEAISKETPPANRLETLHAILEDRLISKRFLLILDNVWNDNDINEWEKLFAPLRIGGTGSIILVTTRMKSVGDIAGYALGLKVQHLKLDGLLEKDILMLFNKHAFSGLNLDCCKNLHLLGEQIVKKISGCPLAAKVIGAHLRDNMNYMYWEKILQEDLQNLQLGMDGIMKVLRLSYHHLPANLQLCFRYCSIFPPGYRFGRKELVEMWLGSGMISQTEDETKALEDIGGQCLDQLARKSFFEFISEEMDGVVVEEYYSMHDVLHDLAQVVSSGECLRIGGIRSMKIAKTVRHLSVRIVDSVHLKELCHLNNLHSLVIEFVGDDPSMSYSIAFDEILKRFNSLRLLCVTAKCWFDIPDTVSKLVHLRYISLFSTKRSFLVSVHKLFSLYHLETLKIMEYSEGKMLKLNGMCNLVHLRNLYVPYDTISSIPQIGKLTCLEYLSAFNVQRKIGYTVCELKNLARLRHLHLRDIQNIDTREVLDANLKEKKHMRTLSLHWSSHEVVTENTDDQVLDDFQPQSGLEGLNIIGFSGTKFPFWMTNSYLVNIVSLKIINCGKVEHLPSLANLCSLKSLSFLRLPLLTSMGFLFHGCGKVLIGYSHPLISSPKSPTGISEGTDYIDSDSKFFPSHLCTLVIRGCPKLMELPTLPLRLKHLKILSSGLMFLPKMYHNYYNREGSLPSLNESQLTSMRIEDCPNLTSFADCFLQQNFCQVSLREIHIYQCEKLKHLPPNGFAELVNLQILKISDCPMLKDGGMEVKLLPSSVEQLTIRSCGELEDMLVGSLAGLKALSNICLSQCTHLTSLASANTFETLTTLRSSQESMKSLLKIETLRIDDHTLLFVEPLRSMHFTKELTISDDHVMTSLPDHWLLQNHLLQNLMIFNAKALQCLPSSLAHLCHLQSFTLRNAPLVNSVPNLPASLSNLTLGSCCTILADRCRKGGHDWSKIAHIPLMNAALRTTFSSACKSFEFHPSLLFPVDECFKAAKQVEGLSLHAYILYPYPSIDGVKIFINQISAVTVRVLKANQSMKWYQYGRRSSVVRQLPPPEAYTMFREK >ONIVA06G13760.7 pep chromosome:AWHD00000000:6:11124158:11134357:1 gene:ONIVA06G13760 transcript:ONIVA06G13760.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLVFAGKAVATPVISYMLNKAFTYLVNYWRTEDMESVKAELLKMLPHVQAVFDAVDWDNIKEQSAALDAWLWQLRDAVEEAEDSLDELDYHRLKEEVKARDEQEASGSVSKLKGKLIRKLTKHVPKNGMLKRLKESVEGLHKAIAGVKDFMGFVNKVGVVNHFMDYELKMKGKQFETSSRSTAIEVFGLEKEKDIMIKWLTEPTGNDPADTNLRIFTIVGHGGFGKTTLAQLIYNEKKVQNCFDICIWVSVSSHFDALSITKSIIEAISKETPPANRLETLHAILEDRLISKRFLLILDNVWNDNDINEWEKLFAPLRIGGTGSIILVTTRMKSVGDIAGYALGLKVQHLKLDGLLEKDILMLFNKHAFSGLNLDCCKNLHLLGEQIVKKISGCPLAAKVIGAHLRDNMNYMYWEKILQEDLQNLQLGMDGIMKVLRLSYHHLPANLQLCFRYCSIFPPGYRFGRKELVEMWLGSGMISQTEDETKALEDIGGQCLDQLARKSFFEFISEEMDGVVVEEYYSMHDVLHDLAQVVSSGECLRIGGIRSMKIAKTVRHLSVRIVDSVHLKELCHLNNLHSLVIEFVGDDPSMSYSIAFDEILKRFNSLRLLCVTAKCWFDIPDTVSKLVHLRYISLFSTKRSFLVSVHKLFSLYHLETLKIMEYSEGKMLKLNGMCNLVHLRNLYVPYDTISSIPQIGKLTCLEYLSAFNVQRKIGYTVCELKNLARLRHLHLRDIQNIDTREVLDANLKEKKHMRTLSLHWSSHEVVTENTDDQVLDDFQPQSGLEGLNIIGFSGTKFPFWMTNSYLVNIVSLKIINCGKVEHLPSLANLCSLKSLSFLRLPLLTSMGFLFHGCGKVLIGYSHPLISSPKSPTGISEGTDYIDSDSKFFPSHLCTLVIRGCPKLMELPTLPLRLKHLKILSSGLMFLPKMYHNYYNREGSLPSLNESQLTSMRIEDCPNLTSFADCFLQQNFCQVSLREIHIYQCEKLKHLPPNGFAELVNLQILKISDCPMLKDGGMEVKLLPSSVEQLTIRSCGELEDMLVGSLAGLKALSNICLSQCTHLTSLASANTFETLTTLRSSQESMKSLLKIETLRIDDHTLLFVEPLRSMHFTKELTISDDHVMTSLPDHWLLQNHLLQNLMIFNAKALQCLPSSLAHLCHLQSFTLRNAPLVNSVPNLPASLSNLTLGSCCTILADRCRKGGHDWSKIAHIPLMNAALRTTFSSACKSFEFHPSLLFPVDECFKAAKQVEGLSLHAYILYPYPSIDGVKIFINQISAVTVRVLKANQSM >ONIVA06G13750.1 pep chromosome:AWHD00000000:6:11099764:11106032:-1 gene:ONIVA06G13750 transcript:ONIVA06G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLPLLLACSLLFTIATPIRDITDVCASQINDFQHLNSSGLHLTLHHPQSPCSPAPLPSDLPFSAIVTHDDARIAHLASRLANNHPTSPSSSSLLHGHRKKKAGGVGGSQASSSSVPLTPGASVAVGNYVTRLGLGTPATSYVMVVDTGSSLTWLQCSPCSVSCHRQAGPVFDPRASGTYAAVQCSSSECGELQAATLNPSACSVSNVCIYQASYGDSSYSVGYLSKDTVSFGSGSFPGFYYGCGQDNEGLFGRSAGLIGLAKNKLSLLYQLAPSLGYAFSYCLPTSSAAAGYLSIGSYNPGQYSYTPMASSSLDASLYFVTLSGISVAGAPLAVPPSEYRSLPTIIDSGTVITRLPPNVYTALSRAVAAAMAGAAPRAPTYSILDTCFRGSAAGLRVPRVDMAFAGGATLALSPGNVLIDVDDSTTCLAFAPTGGTAIIGNTQQQTFSVVYDVAQSRIGFAAGGCS >ONIVA06G13740.1 pep chromosome:AWHD00000000:6:11095446:11101432:1 gene:ONIVA06G13740 transcript:ONIVA06G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT4G13070) TAIR;Acc:AT4G13070] MASLAPCLLRRRPLRSAAAAALVHPSGSWMRPRGAGSSPRPPGASPLFEPPRVVSERWMDGRGWWFRFRQPVRHGSTAVTLDTGGGFARFSVGGDAGTKQGAGRKGQPPAKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNRGETLETISSEETNVDDLTSSSDTE >ONIVA06G13740.2 pep chromosome:AWHD00000000:6:11095446:11101432:1 gene:ONIVA06G13740 transcript:ONIVA06G13740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT4G13070) TAIR;Acc:AT4G13070] MASLAPCLLRRRPLRSAAAAALVHPSGSWMRPRGAGSSPRPPGASPLFEPPRVVSERWMDGRGWWFRFRQPVRHGSTAVTLDTGGGFARFSVGGDAGTKQGAGRKGQPPAKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNRGETLETISSEETNVDDLTSSSDTE >ONIVA06G13740.3 pep chromosome:AWHD00000000:6:11095446:11101432:1 gene:ONIVA06G13740 transcript:ONIVA06G13740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT4G13070) TAIR;Acc:AT4G13070] MASLAPCLLRRRPLRSAAAAALVHPSGSWMRPRGAGSSPRPPGASPLFEPPRVVSERWMDGRGWWFRFRQPVRHGSTAVTLDTGGGFARFSVGGDAGTKQGAGRKGQPPAKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNRGETLETISSEETNVDDLTSSSDTE >ONIVA06G13730.1 pep chromosome:AWHD00000000:6:11089550:11095157:-1 gene:ONIVA06G13730 transcript:ONIVA06G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSEAGRRPPLLAMLFGSMICWIAIPVLFLFWSLNIEVKTKRWAAIFLSNRRCIGAATKDQVMVRLFLLQDYAASLELFLLQDQASFRMFLLHQVMTPFRLFFQDKASLTLFLLEEDPLLLMVAGHVPAQRLAPSASSSPSSSSMLHVRRGQSRGSSPRLLPPCLWPAGLLLQLVRASVELAVAVDPGRTDA >ONIVA06G13730.2 pep chromosome:AWHD00000000:6:11090569:11095157:-1 gene:ONIVA06G13730 transcript:ONIVA06G13730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSEAGRRPPLLAMLFGSVYGTHDWVKTDWHACLDNKVGFKVELAYFEHGGILHYVIQKLQLSIY >ONIVA06G13720.1 pep chromosome:AWHD00000000:6:11088928:11090226:1 gene:ONIVA06G13720 transcript:ONIVA06G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCSWLCSLVILQAVCSACWQVAGANSLRLAVGQIGGVFVYLQDPNLMSRRARDELVDGSCSGDEDLADHGAWPQPGGGAGGDHGGVPRGGEAGEAEADVDVDGDGGSAGDVECQVCHKRFKNDKSMFGHLRSHPNRGYKGATPPLKMSSTPSSSSPVIPAGSPPPQPPPSSSSSLRPVGDSNSSMPTPGISLTTYEKLAACVMLTLRRRYDRDQRQLQAPPKLERAGAGDQLATNMVEGAEGSSRAIVRDEHEAWRRKKGKRKLKEPREEERKVKKEKKRHPYMCKHCNEEFSTHQALGGHMAGHHKEKRILLKEKQRERSLVLEKEPERSHHLMEEKHPERGLILEKKQLERSSIVLKEKQPDHNLILEEEQPEVVYQDKIDQTMNWQKTERNEGVSYLGGGSNTAPIAQEDSRPPFGFDLNVEAPEQE >ONIVA06G13710.1 pep chromosome:AWHD00000000:6:11086483:11087183:-1 gene:ONIVA06G13710 transcript:ONIVA06G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPATMAVVLCATEADLTSMKLFVHGLGWGTGADDLHFAFSLFGELEDCCVISNKQSVSRGLI >ONIVA06G13700.1 pep chromosome:AWHD00000000:6:11081864:11082133:-1 gene:ONIVA06G13700 transcript:ONIVA06G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGIHSHEGDLRSAWDARDGTGWSKTTLVMFRLVLAGEIRKVETAREGGKFPRLDGCWGLEPPGSRAVEKNSEALLSWGCRRGYAKH >ONIVA06G13690.1 pep chromosome:AWHD00000000:6:11065098:11077754:1 gene:ONIVA06G13690 transcript:ONIVA06G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRALGLDFRGSKAAPLAPLSRRPSPSPAIRRSSAVSQRRRTARRHPAPSRGSSLPGWSPRARRTHSSSAPRAVTPTMGGEGAERRSKKKEKGKRQEEKAESGSRGDGEAVKLDLATDFPSNSMGKVAMDVEEAKEVHRRKKRKEKEKEKEKDHGKEKKNKVIADNIEEACAEAEQAEASGLKVEQHCSEHVQGDMSKCGSEEDKSIKKDNKLMKKKKKKKERKVEMAEEGQILAGSTDENAGLLHAEMGSGEKEQGKKSKKSKRKHEDGEPVADGSASDEIMTNRDKKRRRKEHSVELKEGDQVNISKKAVKIKGNKKRKNESDKFNPDLSTDALTGEDKVGGDGKNDKRKKKNDTSTRRNEVGRDDKNDKKKKKSKERNGGRKGEKEKAAQSKDKVRRVSFSDAVEVFSINDGEDEDNGKSAESEVVHGKRFTPEENATLMEAIMSYIEMKQLGENGLEMIRACSKHPELKGCWAEIGKSLPHRPLTAIYKRARILLYRSDERKWTPEEYEKIRRHVEKNGTSWISLAQELGKSEIHLKDTWRRIKPKNLKSVARFCISIKWKDSLQSQWTQDEYQNLFDLVNLDLRMKAHQEYDAGNRKLRDNIAWEAISDKLTTRNHKNCCLKWYYQLASPLVQKGIWADTDDYRLVEALQNVDAVCVEDIDWDNLLDHRSGEVCRQRWNEMVRYLGGHKEKPFIEQVEVLSKRYCPEMVDYREGEA >ONIVA06G13680.1 pep chromosome:AWHD00000000:6:11061534:11063461:-1 gene:ONIVA06G13680 transcript:ONIVA06G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQIASSVRLRASFIHWRRSGALFRWCWWGYSSLLASPVPRFQNPNLQAPAAHYTSTPLTSCYTLPAACNGTTSPVLSAPPGGRTSLPCAAISSLRALSRRETWTPYLLAVATNSSIGNSAPAIYAFVALPAGLRCGASQGPKLLREDRGSLRSKSLVEKGSKRPNVKLTDPRKYFVQHAARLPYTAAWSSDNVKVIMKASNEANSMDNAGSSRPQLSVTTNVTVSSSRLSEVSETFDGWFKEAIFTLVKDLATDLQNSPSEIVGYSGVKVVSLQKQIRLLHMCDIFRLSCIF >ONIVA06G13680.2 pep chromosome:AWHD00000000:6:11061534:11063461:-1 gene:ONIVA06G13680 transcript:ONIVA06G13680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQIASSVRLRASFIHWRRSGALFRWCWWGYSSLLASPVPRFQNPNLQAPAAHYTSTPLTSCYTLPAACNGTTSPVLSAPPGGRTSLPCAAISSLRALSRRETWTPYLLAVATNSSIGNSAPAIYAFVALPAGLRCGASQGPKLLREDRGSLRSKSLVEKGSKRPNVKLTDPRKYFVQHAARLPYTAAWSSDNVKEEAIFTLVKDLATDLQNSPSEIVGYSGVKVVSLQKQIRLLHMCDIFRLSCIF >ONIVA06G13670.1 pep chromosome:AWHD00000000:6:11042686:11042997:-1 gene:ONIVA06G13670 transcript:ONIVA06G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRAPLPSPPPQTSPLPPPSSDGEEEGAAVFSTTTTTIVVGSRCRHLPGSPPAAKPPPPPPLPPDLVEGRAPPLDHRTTTASAPAVEPPQSRRRHLLSRQI >ONIVA06G13660.1 pep chromosome:AWHD00000000:6:11040264:11040841:1 gene:ONIVA06G13660 transcript:ONIVA06G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRKRVGRVRWRRGGDGDGGGGGGLCEEETPGAERRGKDAGGGGEKATAWDSGWMDFAADVDMEGASERGGGEGWKWRRAEEATAMGGRRRRRGGGQRWRMCFGRRLVLRFFFLFRIHDVRLLLFASRDASAAWPMAEVDAACSPESAARSAREQLAS >ONIVA06G13650.1 pep chromosome:AWHD00000000:6:10979257:10979856:1 gene:ONIVA06G13650 transcript:ONIVA06G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPVSLAIADEIRWVLEKCYSNPWLPLTGEKNRNTSGCATSMEDLVKLPSSLLRTRHVQAFSANMPVEAAAVSEESLRRYAITALHRIVPTGSSGDGESSEMVTCHDMTYPYVVFYCHMAGPATRAYMVVLVSEVSGSAEPATMEVVSVCHLDMTQWSPKHPFLQEQHAKPGDVEACHFLPKSSIVWVPSWSKENAVL >ONIVA06G13640.1 pep chromosome:AWHD00000000:6:10967728:10969295:-1 gene:ONIVA06G13640 transcript:ONIVA06G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGRRGRAVPLSKSFSRRLRHGRTGFGGSGHARGMMQLHVASAPSRCRRCWPTGGEETDGARWERRSGGRRYGGGDDGDDTGAGEQGGGGGGGGEMSMVRYWRRSSVGAGSGSTAVDGGHGIASRPNAGASVGIARPRAISPTAVMNCNAPRAEGQCGGDGVTDGCRRGGSGEAAEEGEIEDDGAVSAGVAQQSMEMPMRTFLNWYRCADYTAYVFNTRPVACQPCQMPQVYYMRQSRLDRRRNTTVTEYERHRVAPVKCGWRIPDLATLLDRIIVLKKPDPDLWKRVIKHTP >ONIVA06G13630.1 pep chromosome:AWHD00000000:6:10966652:10966876:1 gene:ONIVA06G13630 transcript:ONIVA06G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWWRLTWDDGEDGAPAATGFVEEVVGVRCSLGKVEKDRVQNFAETGSRISKNWVYRWAPKKTVLAKNFGPF >ONIVA06G13620.1 pep chromosome:AWHD00000000:6:10955282:10965640:1 gene:ONIVA06G13620 transcript:ONIVA06G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGSDGEEEPRKTFSQVGYMLDKERKKSSDVDEDGLKEVSVSYGSNGEEETSKTFAMGGFMADKECEMSLQGEKEGLKKVSVSYGPEDEDKKSKVFPAKKWSNNEYEKYLHKSEATEGLKEVSVSYGSEGKEEPRKAFPMGQYMLDKEREMTSHTDKAGLKEVSVTYGSDGEEEPRKTFSKVGYMLDKECKKSSDVDEGGLKEVSASYGSNGEEETSKTTPMGGYMVDMKNEKSLQAEKGMDHGRHVHAHGNKMQQLADVFFFRDALRPGSVITPTIPPTTSLPAFLPRHVADAIPFSADRFADVLAMFAPASLAMAREIRWALDTCGQRAAALLPGEKAGCATSLESLADLAASLLGTRDVRAFSAADLPTDAATTPARRGRYNVTSVRELSAMAGSGSSSSSEPAPAAVVACHDLTYPYAVFYCHSTKPTAAYAVTLVAATTGGGDGEGEAASPAKMEALAVCHLDTSRWRADNPFFVAHGVKPGEVSVCHFLTKLSIVWVPRHEQGGPRAAA >ONIVA06G13610.1 pep chromosome:AWHD00000000:6:10929451:10934649:1 gene:ONIVA06G13610 transcript:ONIVA06G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDMLMPIFWIANAGAEAGRAATARWVQRPVRRCGRPLASWPGDGEEEAVWRLRAIWRPGRRGGAGCGLGWRRRWRQLRPLAREDPAAAAQARGSGGGGCSGAWIRVFPTRILSRRQQRSLTSPRWRRALSLPLLPVPFPSLLSRRQLPRAGSSVGVGGRRWPGCGAFPSTRSGGERGGGGGRRWLPGGGMII >ONIVA06G13600.1 pep chromosome:AWHD00000000:6:10929268:10938215:-1 gene:ONIVA06G13600 transcript:ONIVA06G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVGEGRKLLSAKKMTMMVPDRTADVMAYWKTVHPNSPIPSAILNLLTQPSGNQKKNLLLTSGSGAKGADEKSSILKLNPKLDNQAKKKFSPYNYNNPADGYDRVYYDGDSDKHMLFEYEALKIKMKNLDMYFRVTNQINKKPELDLANKKLSCHNNNNPSHGHDHILLDKMKLLRYIYGNPADRHVRVDYDGHNDHHMVFNTESMKLKKEFSYLYQFSAVKGIDQKPELNLVKKKSSRYVYGNPANDHLVHYDGRNDKYMVLNHKAKKLKKKNSDLYQHSEANGIDKESKLNMAKKKLSRYIYGNLADGHHHVRLVTKKFPRYIYDNPAVSRHVYYDGHNDKYMVLNYEAMKLKKKTSDLYQHSEVNGIDKKLKLKLAKNKFSRSIYGNLAHGHDHVHLAKKKFSHYYTVGNPEDGHEHVNHHGGYDNHIVFNKQAVKLRKGNSNWYYYNGLKEINKRHKPDLVNKKFARYIFSNRENERPFL >ONIVA06G13590.1 pep chromosome:AWHD00000000:6:10909657:10927733:1 gene:ONIVA06G13590 transcript:ONIVA06G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome activating protein 200 [Source:Projected from Arabidopsis thaliana (AT3G13330) TAIR;Acc:AT3G13330] MHLYNEWLPPAVADAAAREPAAFSGAVGEARAAWRPDDPDSAYATLKWISVFDLFINAKSDISPEDVHALVELGLEIFHASQNKFVVQIKWGGLLVRFLKKHAKRISLGVQWRPLYDTLIRTHFKRNMGPEGWKVRQQHFETVTSLVRASRSLFPEGAAAEIWSEFSPLLKNPWHNSAFEGIGFLRLFLPANSRNQDHFTTDWIAECLDIWGSVTNCNFWDIQWAAIVARCIKGSISVDWEKFIPLLFTRYLNMFEVPISSGSGSYPFPLNVPRNTRFLFSSKTRTPSKAIAKSIVYLLKPKSLALDHFEKLVLSSIKWGSVDLLIGAEALDDKHNQFCLGKEERCGFVKVVLKLLDRGQYSKDDSLADTVSIATSILSYVEPSLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRALILSSLCSSQSDDSSTADTLNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATAGFSDDVPAFLQTSYLSEWLDEFFCRNEGYQSSIMSGTFLVEDSPYYFCMLEIVLGKLSKPLFNQSLKKIAKFVNANILPGATSEVGLLCCACVHSYPEETALYLVKPILMTIMSSFEGTPTTGYVGREVPSKIATKATLSPALETALDYYLRVLAIAISYAGPVLLNYRQEFKNIITSSFQAPSWKVNGAGDHLLRSLLGSLVSYYPIDQYKPFSCQPIANIIEPWGCSKAHQDREVEMLNFTPKWHDPSQDELSFANELLEFHFQSALEDLVSICQRKNHSETGQEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGKSKVVEPIFFIAGSAGSTVGNSEMREKAAELVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYEEWSSHFQAWKLESASIIEPPCNFIIPFHSQGKKRPRWALVDKAHLHNTWRSSQSSYHRYRTNADVSPSSLMVNLMNDLLDLSLHNYETVRSYSGRSLTKLLKRWPSLISNCVLTLTDNLRDSKAPEHTVLGSCNILGTQTVLRHLTTDSVSLSSFIMGILESSHHESLKCQKAITELFVKYNIRFSGISRRFFKNTECEADKPGFISLVPKINALSFESNSLHWRYNLMANRVLLLLILASRSESDIHSQILAETAGHFLRNLKSQLPHSRMLAISALNTLLQGSPDKASLQDSQQSLDRPEEGNILSTGEILNNIIREDGFMSETLNSLSHVHIISDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVGGDTFYSSFARIFKRLIQQCGMPVISSLQNALEEFLSSKERSRQCVAAEAMAGMLHSDVTGNLESGNNWLILQLQKIMLSPSVESVPEWAACIRYAVTGKERSGSRAPVLREKLLDCLCTPVPQSVATSVLAKRYSFLSVALIEISAPKMSPAEEQYHVKILDELLANMSHPSAQIREAIGVTICIACSNMRLSRLFGHGDSLDVSGDVSMTEQTGSENWSKQLTDGATELSISIQNNISKQLESTPDSVTENGLDKKEEADVKRMETIFHFIIASLKSGRSSVLLDVIIGLIYPVLSLQETSNKDLSLLAKSAFELLKWRIHSFFPAQRNHKFGKQLRSYLWIVKLRQVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILHTRQRGAKSGHSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIKSTVTKAVAEFKRTHADTWSIQKEAFTEDELEVLRDTSSSSSYFA >ONIVA06G13590.2 pep chromosome:AWHD00000000:6:10909657:10927733:1 gene:ONIVA06G13590 transcript:ONIVA06G13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome activating protein 200 [Source:Projected from Arabidopsis thaliana (AT3G13330) TAIR;Acc:AT3G13330] MHLYNEWLPPAVADAAAREPAAFSGAVGEARAAWRPDDPDSAYATLKWISVFDLARVGDISCITEQIKWGGLLVRFLKKHAKRISLGVQWRPLYDTLIRTHFKRNMGPEGWKVRQQHFETVTSLVRASRSLFPEGAAAEIWSEFSPLLKNPWHNSAFEGIGFLRLFLPANSRNQDHFTTDWIAECLDIWGSVTNCNFWDIQWAAIVARCIKGSISVDWEKFIPLLFTRYLNMFEVPISSGSGSYPFPLNVPRNTRFLFSSKTRTPSKAIAKSIVYLLKPKSLALDHFEKLVLSSIKWGSVDLLIGAEALDDKHNQFCLGKEERCGFVKVVLKLLDRGQYSKDDSLADTVSIATSILSYVEPSLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRALILSSLCSSQSDDSSTADTLNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATAGFSDDVPAFLQTSYLSEWLDEFFCRNEGYQSSIMSGTFLVEDSPYYFCMLEIVLGKLSKPLFNQSLKKIAKFVNANILPGATSEVGLLCCACVHSYPEETALYLVKPILMTIMSSFEGTPTTGYVGREVPSKIATKATLSPALETALDYYLRVLAIAISYAGPVLLNYRQEFKNIITSSFQAPSWKVNGAGDHLLRSLLGSLVSYYPIDQYKPFSCQPIANIIEPWGCSKAHQDREVEMLNFTPKWHDPSQDELSFANELLEFHFQSALEDLVSICQRKNHSETGQEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGKSKVVEPIFFIAGSAGSTVGNSEMREKAAELVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYEEWSSHFQAWKLESASIIEPPCNFIIPFHSQGKKRPRWALVDKAHLHNTWRSSQSSYHRYRTNADVSPSSLMVNLMNDLLDLSLHNYETVRSYSGRSLTKLLKRWPSLISNCVLTLTDNLRDSKAPEHTVLGSCNILGTQTVLRHLTTDSVSLSSFIMGILESSHHESLKCQKAITELFVKYNIRFSGISRRFFKNTECEADKPGFISLVPKINALSFESNSLHWRYNLMANRVLLLLILASRSESDIHSQILAETAGHFLRNLKSQLPHSRMLAISALNTLLQGSPDKASLQDSQQSLDRPEEGNILSTGEILNNIIREDGFMSETLNSLSHVHIISDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVGGDTFYSSFARIFKRLIQQCGMPVISSLQNALEEFLSSKERSRQCVAAEAMAGMLHSDVTGNLESGNNWLILQLQKIMLSPSVESVPEWAACIRYAVTGKERSGSRAPVLREKLLDCLCTPVPQSVATSVLAKRYSFLSVALIEISAPKMSPAEEQYHVKILDELLANMSHPSAQIREAIGVTICIACSNMRLSRLFGHGDSLDVSGDVSMTEQTGSENWSKQLTDGATELSISIQNNISKQLESTPDSVTENGLDKKEEADVKRMETIFHFIIASLKSGRSSVLLDVIIGLIYPVLSLQETSNKDLSLLAKSAFELLKWRIHSFFPAQRNHKFGKQLRSYLWIVKLRQVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILHTRQRGAKSGHSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIKSTVTKAVAEFKRTHADTWSIQKEAFTEDELEVLRDTSSSSSYFA >ONIVA06G13590.3 pep chromosome:AWHD00000000:6:10909657:10927733:1 gene:ONIVA06G13590 transcript:ONIVA06G13590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome activating protein 200 [Source:Projected from Arabidopsis thaliana (AT3G13330) TAIR;Acc:AT3G13330] MHLYNEWLPPAVADAAAREPAAFSGAVGEARAAWRPDDPDSAYATLKWISVFDLFINAKSDISPEDVHALVELGLEIFHASQNKFVVQIKWGGLLVRFLKKHAKRISLGVQWRPLYDTLIRTHFKRNMGPEGWKVRQQHFETVTSLVRASRSLFPEGAAAEIWSEFSPLLKNPWHNSAFEGIGFLRLFLPANSRNQDHFTTDWIAECLDIWGSVTNCNFWDIQWAAIVARCIKGSISVDWEKFIPLLFTRYLNMFEVPISSGSGSYPFPLNVPRNTRFLFSSKTRTPSKAIAKSIVLSSIKWGSVDLLIGAEALDDKHNQFCLGKEERCGFVKVVLKLLDRGQYSKDDSLADTVSIATSILSYVEPSLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRALILSSLCSSQSDDSSTADTLNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATAGFSDDVPAFLQTSYLSEWLDEFFCRNEGYQSSIMSGTFLVEDSPYYFCMLEIVLGKLSKPLFNQSLKKIAKFVNANILPGATSEVGLLCCACVHSYPEETALYLVKPILMTIMSSFEGTPTTGYVGREVPSKIATKATLSPALETALDYYLRVLAIAISYAGPVLLNYRQEFKNIITSSFQAPSWKVNGAGDHLLRSLLGSLVSYYPIDQYKPFSCQPIANIIEPWGCSKAHQDREVEMLNFTPKWHDPSQDELSFANELLEFHFQSALEDLVSICQRKNHSETGQEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGKSKVVEPIFFIAGSAGSTVGNSEMREKAAELVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYEEWSSHFQAWKLESASIIEPPCNFIIPFHSQGKKRPRWALVDKAHLHNTWRSSQSSYHRYRTNADVSPSSLMVNLMNDLLDLSLHNYETVRSYSGRSLTKLLKRWPSLISNCVLTLTDNLRDSKAPEHTVLGSCNILGTQTVLRHLTTDSVSLSSFIMGILESSHHESLKCQKAITELFVKYNIRFSGISRRFFKNTECEADKPGFISLVPKINALSFESNSLHWRYNLMANRVLLLLILASRSESDIHSQILAETAGHFLRNLKSQLPHSRMLAISALNTLLQGSPDKASLQDSQQSLDRPEEGNILSTGEILNNIIREDGFMSETLNSLSHVHIISDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVGGDTFYSSFARIFKRLIQQCGMPVISSLQNALEEFLSSKERSRQCVAAEAMAGMLHSDVTGNLESGNNWLILQLQKIMLSPSVESVPEWAACIRYAVTGKERSGSRAPVLREKLLDCLCTPVPQSVATSVLAKRYSFLSVALIEISAPKMSPAEEQYHVKILDELLANMSHPSAQIREAIGVTICIACSNMRLSRLFGHGDSLDVSGDVSMTEQTGSENWSKQLTDGATELSISIQNNISKQLESTPDSVTENGLDKKEEADVKRMETIFHFIIASLKSGRSSVLLDVIIGLIYPVLSLQETSNKDLSLLAKSAFELLKWRIHSFFPAQRNHKFGKQLRSYLWIVKLRQVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILHTRQRGAKSGHSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIKSTVTKAVAEFKRTHADTWSIQKEAFTEDELEVLRDTSSSSSYFA >ONIVA06G13590.4 pep chromosome:AWHD00000000:6:10909657:10927733:1 gene:ONIVA06G13590 transcript:ONIVA06G13590.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome activating protein 200 [Source:Projected from Arabidopsis thaliana (AT3G13330) TAIR;Acc:AT3G13330] MHLYNEWLPPAVADAAAREPAAFSGAVGEARAAWRPDDPDSAYATLKWISVFDLFINAKSDISPEDVHALVELGLEIFHASQNKFVVQIKWGGLLVRFLKKHAKRISLGVQWRPLYDTLIRTHFKRNMGPEGWKVRQQHFETVTSLVRASRSLFPEGAAAEIWSEFSPLLKNPWHNSAFEGIGFLRLFLPANSRNQDHFTTDWIAECLDIWGSVTNCNFWDIQWAAIVARCIKGSISVDWEKFIPLLFTRYLNMFEVPISSGSGSYPFPLNVPRNTRFLFSSKTRTPSKAIAKSIVYLLKPKSLALDHFEKLVLSSIKWGSVDLLIGAEALDDKHNQFCLGKEERCGFVKVVLKLLDRGQYSKDDSLADTVSIATSILSYVEPSLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRALILSSLCSSQSDDSSTADTLNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATAGFSDDVPAFLQTSYLSEWLDEFFCRNEGYQSSIMSGTFLVEDSPYYFCMLEIVLGKLSKPLFNQSLKKIAKFVNANILPGATSEVGLLCCACVHSYPEETALYLVKPILMTIMSSFEGTPTTGYVGREVPSKIATKATLSPALETALDYYLRVLAIAISYAGPVLLNYRQEFKNIITSSFQAPSWKVNGAGDHLLRSLLGSLVSYYPIDQYKPFSCQPIANIIEPWGCSKAHQDREVEMLNFTPKWHDPSQDELSFANELLEFHFQSALEDLVSICQRKNHSETGQEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGKSKVVEPIFFIAGSAGSTVGNSEMREKAAELVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYEEWSSHFQAWKLESASIIEPPCNFIIPFHSQGKKRPRWALVDKAHLHNTWRSSQSSYHRYRTNADVSPSSLMVNLMNDLLDLSLHNYETVRSYSGRSLTKLLKRWPSLISNCVLTLTDNLRDSKAPEHTVLGSCNILGTQTVLRHLTTDSVSLSSFIMGILESSHHESLKCQKAITELFVKYNIRFSGISRRFFKNTECEADKPGFISLVPKINALSFESNSLHWRYNLMANRVLLLLILASRSESDIHSQILAETAGHFLRNLKSQLPHSRMLAISALNTLLQGSPDKASLQDSQQSLDRPEEGNILSTGEILNNIIREDGFMSETLNSLSHVHIISDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVGGDTFYSSFARIFKRLIQQCGMPVISSLQNALEEFLSSKERSRQCVAAEAMAGMLHSDVTGNLESGNNWLILQLQKIMLSPSVESVPEWAACIRYAVTGKERSGSRAPVLREKLLDCLCTPVPQSVATSVLAKRYSFLSVALIEISAPKMSPAEEQYHVKILDELLANMSHPSAQIREAIGVTICIACSNMRLSRLFGHGDSLDVSGDVSMTEQTGSENWSKQLTDGATELSISIQNNISKQLESTPDSVTENGLDKKEEADVKRMETIFHFIIASLKSGRSSVLLDVIIGLIYPVLSLQVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILHTRQRGAKSGHSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIKSTVTKAVAEFKRTHADTWSIQKEAFTEDELEVLRDTSSSSSYFA >ONIVA06G13590.5 pep chromosome:AWHD00000000:6:10909657:10927733:1 gene:ONIVA06G13590 transcript:ONIVA06G13590.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome activating protein 200 [Source:Projected from Arabidopsis thaliana (AT3G13330) TAIR;Acc:AT3G13330] MHLYNEWLPPAVADAAAREPAAFSGAVGEARAAWRPDDPDSAYATLKWISVFDLFINAKSDISPEDVHALVELGLEIFHASQNKFVVQIKWGGLLVRFLKKHAKRISLGVQWRPLYDTLIRTHFKRNMGPEGWKVRQQHFETVTSLVRASRSLFPEGAAAEIWSEFSPLLKNPWHNSAFEGIGFLRLFLPANSRNQDHFTTDWIAECLDIWGSVTNCNFWDIQWAAIVARCIKGSISVDWEKFIPLLFTRYLNMFEVPISSGSGSYPFPLNVPRNTRFLFSSKTRTPSKAIAKSIVLSSIKWGSVDLLIGAEALDDKHNQFCLGKEERCGFVKVVLKLLDRGQYSKDDSLADTVSIATSILSYVEPSLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRALILSSLCSSQSDDSSTADTLNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATAGFSDDVPAFLQTSYLSEWLDEFFCRNEGYQSSIMSGTFLVEDSPYYFCMLEIVLGKLSKPLFNQSLKKIAKFVNANILPGATSEVGLLCCACVHSYPEETALYLVKPILMTIMSSFEGTPTTGYVGREVPSKIATKATLSPALETALDYYLRVLAIAISYAGPVLLNYRQEFKNIITSSFQAPSWKVNGAGDHLLRSLLGSLVSYYPIDQYKPFSCQPIANIIEPWGCSKAHQDREVEMLNFTPKWHDPSQDELSFANELLEFHFQSALEDLVSICQRKNHSETGQEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGKSKVVEPIFFIAGSAGSTVGNSEMREKAAELVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYEEWSSHFQAWKLESASIIEPPCNFIIPFHSQGKKRPRWALVDKAHLHNTWRSSQSSYHRYRTNADVSPSSLMVNLMNDLLDLSLHNYETVRSYSGRSLTKLLKRWPSLISNCVLTLTDNLRDSKAPEHTVLGSCNILGTQTVLRHLTTDSVSLSSFIMGILESSHHESLKCQKAITELFVKYNIRFSGISRRFFKNTECEADKPGFISLVPKINALSFESNSLHWRYNLMANRVLLLLILASRSESDIHSQILAETAGHFLRNLKSQLPHSRMLAISALNTLLQGSPDKASLQDSQQSLDRPEEGNILSTGEILNNIIREDGFMSETLNSLSHVHIISDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVGGDTFYSSFARIFKRLIQQCGMPVISSLQNALEEFLSSKERSRQCVAAEAMAGMLHSDVTGNLESGNNWLILQLQKIMLSPSVESVPEWAACIRYAVTGKERSGSRAPVLREKLLDCLCTPVPQSVATSVLAKRYSFLSVALIEISAPKMSPAEEQYHVKILDELLANMSHPSAQIREAIGVTICIACSNMRLSRLFGHGDSLDVSGDVSMTEQTGSENWSKQLTDGATELSISIQNNISKQLESTPDSVTENGLDKKEEADVKRMETIFHFIIASLKSGRSSVLLDVIIGLIYPVLSLQVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILHTRQRGAKSGHSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIKSTVTKAVAEFKRTHADTWSIQKEAFTEDELEVLRDTSSSSSYFA >ONIVA06G13580.1 pep chromosome:AWHD00000000:6:10899008:10899570:1 gene:ONIVA06G13580 transcript:ONIVA06G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSTSGGEVSVEHAASSGDSGSQIPDLAAPPDRGEHHGDAQGHDDDRSSSITELMVSRLAGMPLDKSFYERYSSCSPGGMLPDNLLPVRFSLLSPFSLLRNDDSAGGGALGTCHGPASRGR >ONIVA06G13570.1 pep chromosome:AWHD00000000:6:10890032:10893600:-1 gene:ONIVA06G13570 transcript:ONIVA06G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAQLRGPSAAAARRWPAPSGGVLRFAPLATSTVPASIARGSLRGVPAGVVLPKPLIAMCMKAEYTSSPVDPNAVMEHTEDENQQPTTVSPTNAEIDIDQEAVPQYKGAIIHDFCLGIPFGGILFSMGLVGFLFWRSTVSLTFGVAPGLAILALGVLSLKVWRSGKSSLPFILAQAAVAAAVAWKHFQAYTTTKKLLPWAFYTALSAAMICFYSYVLLAGGNPPPKKKAAVAQ >ONIVA06G13570.2 pep chromosome:AWHD00000000:6:10890032:10893600:-1 gene:ONIVA06G13570 transcript:ONIVA06G13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAQLRGPSAAAARRWPAPSGGVLRFAPLATSTVPASIARGSLRGVPAGVVLPKFMALQPLIAMCMKAEYTSSPVDPNAVMEHTEDENQQPTTVSPTNAEIDIDQEAVPQYKGAIIHDFCLGIPFGGILFSMGLVGFLFWRSTVSLTFGVAPGLAILALGVLSLKVWRSGKSSLPFILAQAAVAAAVAWKHFQAYTTTKKLLPWAFYTALSAAMICFYSYVLLAGGNPPPKKKAAVAQ >ONIVA06G13560.1 pep chromosome:AWHD00000000:6:10882963:10887575:-1 gene:ONIVA06G13560 transcript:ONIVA06G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLMATATAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHARIVSFMLFLLVVDCLFLSNSLRSLIHKREASVAIFFSYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPILPPDNGRTAARPHGVHPGVQPGNGTPGSERAAGENISRRQAKLEAAASAASLYGRSFAYPPANNLNRYSTPPQSTSNGPQSGEASTSNQSPKGHATADPSAPTFNARGAVSSVTTTRELESSLQKAYENAIKSQIEMLQIQLQMFQHGATSSATNNENGEHTKSD >ONIVA06G13560.2 pep chromosome:AWHD00000000:6:10882963:10887542:-1 gene:ONIVA06G13560 transcript:ONIVA06G13560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLMATATAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHARIVSFMLFLLVVDCLFLSNSLRSLIHKREASVAIFFSYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPILPPDNGRTAARPHGVHPGVQPGNGTPGSERAAGENISRRQAKLEAAASAASLYGRSFAYPPANNLNRYSTPPQSTSNGPQSGEASTSNQSPKGHATADPSAPTFNARGAVSSVTTTRELESSLQKAYENAIKSQIEMLQIQLQMFQHGATSSATNNENGEHTKSD >ONIVA06G13550.1 pep chromosome:AWHD00000000:6:10873919:10877985:-1 gene:ONIVA06G13550 transcript:ONIVA06G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVLYVLSFIAMYWDAIFFELRTMDVIATKNNKKAIDRNPQEPTTTLIKRYKRRKRRRVQHHCHPGASSLPNELVYEILLRLPVKTLSRSKSVCRAWRATISNPSFITTHLEQQQQSAVSRHEQKPSFLITPHTLDSMIDDEEPWPTTFSNIITFYRWQETEQDDAHLVRATNLHGEFRSVYGMSHYDGLVIFPTNTRLYVFNPATGKGDVLKLPDGQKRRFQTAGLGLDLGTNTYKILPDGQKSRFQTAGLGLDLGTNTYKIVRSFDRSIDFNQWTHDAAGMEVFTIGNRDSCWRTVAEDPPYPVTADPMYFKGSLYWHICKELLQEGSPPPPQGFLHFDLQDETFSLVLHDVVSPSDETRLDLVELGGELCLAQYLGTEMVIWKSSPSPSDDISHQWDRLYTIGRRGMAGGVVLGLPVVRDLLPFILFRAPEKASRKMVKCDIRMDGQAELSAMRALLGLVYNFYIGSVP >ONIVA06G13540.1 pep chromosome:AWHD00000000:6:10864788:10873592:1 gene:ONIVA06G13540 transcript:ONIVA06G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNPNPTPNQPFELNRLFKQHPPASASAAPNPNHHPAPAGIFPGAAAPAPHHGVVVPPPMTSGGGPYSYPPATPPFHRGPYLPPYPNDPHLAFAGNPNPPAAAAAPNPAGARLMQLLGNTAPTHLESAVSMPMPSEFSAAAAVAPPAPLPAMPSAPPARMPSSSSSKMPRGRLLGPGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEQNKPQITGKIEIAIQIVGDAETYHPRICWHSHKQEILFVGVANCVLKIDTTKVGRGMDFSREEPLKCPLDKLVDGVHLVGKHDADITDLSLSQWMTTRLASASKDGMVKIWDDRKSVPLSVLKPHDGQAVYSVSFLTAPEHPQHINLITAGPLNREVKIWASTNDEGWLLPSDSETWRCTQTLQLVSSLEHRFEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQLDTEQIVQVYCVQTMAIQQYGLELSLCLPPTADNPGFGRDPAISHVYERPPAEVTVVESSKETSLIDSSVVGPTKPASNNQALGNTFETSHPNASINYGTVKFVINISPALFAYAEANVPSQIQSTTPPSSIDLGYLEEGALRRGPSRGPSLGDRDIDPSSLDYSSKKRMDSDGASGQGSFGRKDSFGKEEPRGSQGDGTKTSDPRPMFKVGGNATHLITPSEIISGVLSSPETIATGSSQNVEVDAKHVDGRKSEQSVELEAVKETQIVHEKRERPPKTAEQTVNTISERLVTTDKYSVEDSQSRSADGSVSTLLKHPSGAGDENTVSEAPEKTSDGYASRNLQLTLATKEEKVLHPQVSRQLSPSTSTYNSADSSHEPPSNVNPPIDNVPQVGIQETLQQLMAMHSDLQKQLSTIVSAPIAKEGKRIETSLGRNMEKSIKANIDAMWARFQEENAKHEKAERERMQHITTLITTAVNKDIPVMLEKSLKKEISSVGPAVARTTAPIIEKSLSSAVSDSLQKVLGDKVVNQLDKSLSTKLEATVARQIQTQFHTSAKQALQDALRSSFESTIIPAFEQSCKTMFEQVDGAFQKGMSEHGAAIRQQVATAHTPLAQTLRETIASASSINQGLASELLDGQRKLLALVSSGGSLSHNTSVLQPSNGPVASLPEVDAPLDPVKELSRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLHELCRMNPIPLNQGVLLALFQQLACDIVNDTPRKLEWMTAVAVAISPTDPMIAVHVRPIFEQVYGVLNHQRSLPASSSSEATNIRLIMHVINSVLLTYK >ONIVA06G13530.1 pep chromosome:AWHD00000000:6:10854840:10860630:1 gene:ONIVA06G13530 transcript:ONIVA06G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein phosphatase 4 core regulatory subunit R2 (InterPro:IPR015267); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other /.../otes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G17070) TAIR;Acc:AT5G17070] MEGAAVEDSAAAAAPVVAPESAAEGDQRVESAAGEDSVGPAVAPEASVDSDQHIEDAATEDGKHGSTEENFDVSPEEMRSVIEIIADTGKFWHDWSFLKRLLSLQLKQVLAEYSEDQVVSQEDGQLQNSFSGETYSELVIWLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLPKLALALEKNLLVTSTMTKCTDPYPAAHVSNLEATVMTENTSAVQVEPERLPEHPAAVPNGNVGGDADAEMADAEVEEPSNSHDVEMQEDKPDQISNVNPGATSDAAVTAETVDASEKSSDPQT >ONIVA06G13530.2 pep chromosome:AWHD00000000:6:10855055:10860630:1 gene:ONIVA06G13530 transcript:ONIVA06G13530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein phosphatase 4 core regulatory subunit R2 (InterPro:IPR015267); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other /.../otes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G17070) TAIR;Acc:AT5G17070] MEGAAVEDSAAAAAPVVAPESAAEGDQRVESAAGEDSVGPAVAPEASVDSDQHIEDAATEDGKHGSTEENFDVSPEEMRSVIEIIADTGKFWHDWSFLKRLLSLQLKQVLAEYSEDQVVSQEDGQLQNSFSGETYSELVIWLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLPKLALALEKNLLVTSTMTKCTDPYPAAHVSNLEATVMTENTSAVQVEPERLPEHPAAVPNGNVGGDADAEMADAEVEEPSNSHDVEMQEDKPDQISNVNPGATSDAAVTAETVDASEKSSDPQT >ONIVA06G13520.1 pep chromosome:AWHD00000000:6:10850159:10853113:-1 gene:ONIVA06G13520 transcript:ONIVA06G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:decoy [Source:Projected from Arabidopsis thaliana (AT1G14620) TAIR;Acc:AT1G14620] MLLRSPARSSPHLRSLLRARGFSSSASPSAATAAEGDDGKIVASVLFERLPVVIPKIHPVVYAFQEFSYSQIHPCPLSVLRVEWLPFRWRQQYRRKYPDDVLGKADARGKGDYQIDYVPAPRITDADKTNDRKSLQRALDNRLYLLLYGKAYGAPDDKPVWHFPEKVYDNEDTLRLCAESALKSVLGGLNNTYFVGNAPMAHMVVDQKEDSSISSFKRFFFKSQVVGATKYDIGKCQDHVWVTKDELLEYFPEHKAFFNKMIIHIR >ONIVA06G13510.1 pep chromosome:AWHD00000000:6:10845428:10849035:-1 gene:ONIVA06G13510 transcript:ONIVA06G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPVGFLSKLWSFVSFLPFFLLLLLLGSIKAVLIGPIAAAIIFLGNSAVIIGLWPAHFIWTYYCVLKAERIGLVLKILAAILLPLPLLLLPVLAISGSLLGGIGYGVFIPLMATFEAVGEGVADKLTHCFLDGTVSTTAGACTVVRDATDFCFHSYFSLMDELIRKLGDNETPLDIKLSYLPHSMLAVLIAVPFDVFMISGVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGLSIILWPLAVIGAVVASFLSSFFFGMRAGLIAYQEASLQMGLAYMVSAVALFDEYTNDMLYLREGSCFPRPKYRKTDRMNNETGQNNEVRNATSPLGEKKHHHKTMKALQRSKTFMEAIQRLRPIQIWDWLFRSCELNGRILLGEGLISAEDMEECIIKGKCKKLSIKLPAWCILQCLIRSAKHDSHADDVEVTNFNWPKDKVFDWMLGPLLVIKEQMKQLELTEDEELCLRRLIMTNNNDKPSDWDDCGFPSSDNIRRARLQAIIRRLQGIVVNLSWVPSFRRRFIDLVKALYLEAVEAGAIDGSRSVKRKIEADAASAPGSKFDDKDGEGSSKGAAAVGIDAV >ONIVA06G13500.1 pep chromosome:AWHD00000000:6:10830033:10834279:-1 gene:ONIVA06G13500 transcript:ONIVA06G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HPC8] MHKTAQAWFTGGPAAPAASAGESQPSLLADWNSYAASRPDASSSSPLPFDIEAAVRSANDTVSGTFSVVTKGVRELPGSFQSATSSFPSGKALMYFGLFLATGIFFVFIAFALFLPVMVIMPQKFAICFTLGCGLIIASIFALKGPASQFAHMTSMERLPFTGALIGCMVGSISCLLHHILLSWGFQWIEIYLLESLVISNELFWPH >ONIVA06G13500.2 pep chromosome:AWHD00000000:6:10830105:10834279:-1 gene:ONIVA06G13500 transcript:ONIVA06G13500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HPC8] MHKTAQAWFTGGPAAPAASAGESQPSLLADWNSYAASRPDASSSSPLPFDIEAAVRSANDTVSGTFSVVTKGVRELPGSFQSATSSFPSGKALMYFGLFLATGIFFVFIAFALFLPVMVIMPQKFAICFTLGCGLIIASIFALKGPASQFAHMTSMERLPFTGALIGCMVGTIYVSMFLHSYFLSVIFSVLQVLSLAYYTISYFPGGSSGLKFISSSLLSSVTSCFGR >ONIVA06G13490.1 pep chromosome:AWHD00000000:6:10824172:10825976:-1 gene:ONIVA06G13490 transcript:ONIVA06G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWLILLASLGAVHVAADVLRLVATLTFGLRARPRGDLRRRYGSWAVVTGPTSGIGRAMALELAGRGLNVVLVGRDPAKLRDVAGAIARSHSHHGVRTKTVVFDFSLVSTVQGEKAMAALRETVEGLDVGVVVNNAGVAKPGAMFLHEAEVEPLMRMIRVNMLALTKVTAAVLPGMVMRGRGAVVNIGSASAEALPSFPLYSVYAGTKAYVGEFSRGLSVEYKRKGIDVQCQVPCLVETNMISRAMKDIFLSQFVVTPEEYARAAVRSIGHGRMCVPNMAHRVQLLGMRSTPDFMLNWYRLRLHLQQRAIFRSRRCYRIALL >ONIVA06G13480.1 pep chromosome:AWHD00000000:6:10815113:10823522:-1 gene:ONIVA06G13480 transcript:ONIVA06G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRHGGRRSAQTLALMVARTAWWSAWDGAVAGQAGSGRRGGRVHVGGRGGGTGRRRTEWLAAEAAIACTVEEADREAGGGGKAHPGFGRLRRSSHGRPATEAMLVPHAIESLCSNEGGGPPRHTCRPPFPAATFSFRTTVDVAAFSAWAATAFSTRTTTTDALQEMGGSRKRRRGAREEQRQIQHPGGYSIQSAARVRMGGSAAAAAADAVLRQQQPPWPFVALVIVGAIHVAALAFRLASHLCLCLRRQRDLRRRYGAWAVVTGPTSGIGRSVALELARRGLNLVLVGRDPAKLRDVSEAISKLGGGGVETRSVVFDLALASTAEGDEAVRRLREAVAGLDVGVVVNNAGVARPCAVYLHEAEAEAWVRMIRVNLWAVTEVTAAVLPGMVARGRGAVVNIGSGSTEAIPSFPLYSVYAATKRYVAEFSRSLYVEYKSKGIDVQCQAPLFVATNMTSGVAKAGGAGDDAAAKRSKRRQRRWLSPLFVPTADAYAAAAARWIGHGAVCMPNLCHRLQWCVSRAVPDAVHDRVRLRENLRQRALFQRLRRRPPPPDDQPKAKIDG >ONIVA06G13470.1 pep chromosome:AWHD00000000:6:10799026:10799289:1 gene:ONIVA06G13470 transcript:ONIVA06G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALSPCSLRLLLLQDSTPTTHEAARSEVAPVAPAEENDDGGVDDLGGVKHANGVREVRGYATPLAYCTRASRSIHARPYANMASA >ONIVA06G13460.1 pep chromosome:AWHD00000000:6:10787152:10788926:1 gene:ONIVA06G13460 transcript:ONIVA06G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGGTLRLCGSSWEEATSAGVAEQAAGLTGGGGRSSIALVGEGSAMERDEEWSECYGVNVVVEDFDNVGYGGVPGQQNSGAGFSSTKRMTSHMSAIVVVKSTVTSVALVLSLWYRTQSSCSHKRVSGMGWSLERNIPLRSGTNRSGQNWRTNSSQVGRANGMNERARSLPCSRAHPRETAVDPAMAAAVGSATAARRRWARATSAAVGSATAVAWGSVVADPVVGKAAAADPAMRRVAVTDPEAVGSATAVAGRLSDSGSGGGGSGDEEGCGDGSGGELGDGPTVRRRASPPARPRWWPCSPSSPPASPSPSPVRTSSPSSSPSRSCSARRPTPSQPALGWVIPSVVVALLRPLICDADAIISAVRATQVPDQTKLINAVKEAGGDHVRR >ONIVA06G13450.1 pep chromosome:AWHD00000000:6:10760476:10778162:-1 gene:ONIVA06G13450 transcript:ONIVA06G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVFMIRQETAPAQWWFLSLAFVGAAYAATVTLRFVAYLALCRCHRPKDDLRRRYGEWAVVTGPTSGIGRAMALELARHGLNLVLVGRDPAILREISGTVRSLHKVKTKTMVFDLSLVWTPDGDEPLRRLREAVEGLDVGVVVNNAGVAKPGAVYLHEADVEAWVRMVRVNMSAVTEVTAVVLPGMVSRGRGAIVNIGSAGSEYIPSLPLYTMYAATKRYVAQFSRSLHAPFFVDTRLMFRFEEAAGGVSLFTVTPDAYARAAVAWIGRGGALCTPAVRHQLLRRMAAAAPDSVHDWILLRLATWNRKHIATPWWFILRAFVGAAYVGFVALRLLAYLWLCLPRMPKGDLRRRYGEWAVVTGPTSGIGRAMALELARHGLNLVLVGRDPAILRQISDTIASLSELIVVNNAGVAEPGAVYLHEADVEAWARMVRVNVSAVTEVTAAVLLGMVARGRGGAVVNIGSAASESIPSLPLYTIYSSTKRYVAQFSRSLHVEYASKGIHVQCQAPFLVDTRLMFRFEEAAGGVSLFTVTPDAYARAAVAWIGRGGALCTPGVRHQLLRRMAAAVPDSVHDWILLHLTTWNRKRQSCALRSDQMMASHFYGMFRQDDPAPAPAWWFQSLAFLGAAYVAGVTLRLLAYVAICLGGPKDLRRYGAWAVITGPTSGIGRAMALELARRGLNLVLVGRDPARLGEISGTIRSRHGRVQTKAVVFDLSLASTPDGDQPLRRLREAVAGLDVGVVVNNAGEGRPGAVYLHEADVEAWVRMARVNVSAVTEVTAAVLPGMVERGRGGAVVNLGSAASEAIPSFPLYTMYASTKRYVAQFSRSLHVEYANKGIHVQSQTPFFVETTMLAKLEEEVGLSVSPLKVSTDTYARAAVAWIGRGGPLCTPGGLLHQLMWCITAAVPESVLDWIVLRFTTWNRGR >ONIVA06G13450.2 pep chromosome:AWHD00000000:6:10760474:10784498:-1 gene:ONIVA06G13450 transcript:ONIVA06G13450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFEEAAGGVSLFTVTPDAYARAAVAWIGRGGALCTPGVRHQLLRRMAAAVPDSVHDWILLHLTTWNRKRRFSGSDHFYGMFRQDDPAPAPAWWFQSLAFLGAAYVAGVTLRLLAYVAICLGGPKDLRRYGAWAVITGPTSGIGRAMALELARRGLNLVLVGRDPARLGEISGTIRSRHGRVQTKAVVFDLSLASTPDGDQPLRRLREAVAGLDVGVVVNNAGEGRPGAVYLHEADVEAWVRMARVNVSAVTEVTAAVLPGMVERGRGGAVVNLGSAASEAIPSFPLYTMYASTKRYVAQFSRSLHVEYANKGIHVQSQTPFFVETTMLAKLEEEVGLSVSPLKVSTDTYARAAVAWIGRGGPLCTPGGLLHQLMWCITAAVPESVLDWIVLRFTTWNRGR >ONIVA06G13440.1 pep chromosome:AWHD00000000:6:10747078:10749185:-1 gene:ONIVA06G13440 transcript:ONIVA06G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVFMIRQESAPPWWFLSLVFLGAAYVATVTLRLLAYLAFSLHRQPKDLRSRYGAWAVITGPTSGMGRAMALELARRGLNLGLVGRDPANLEEISNTVRSLHGVETKTVVFDLSLVATPHGDEPLRQLRETVEGLDVGVLMNNAGVGEPAMAYLHEADVEAWVRMMRVNLWAVTEVTAAVLPGMVERGRGAVVNIGSASSQAIPSFPLCTIYSATKRHVHATNTRNMYVAHFSRSLHLEYASEGIHVQCQAPFFVATRMVENLAEARRLSPFTVTPGAYARAAVGWIGRGGPLCTPNVRHRLLWCAAAAAPDSVLDWFLLRSHLEQRTTLSQQIRASGAPAPS >ONIVA06G13430.1 pep chromosome:AWHD00000000:6:10744827:10745324:-1 gene:ONIVA06G13430 transcript:ONIVA06G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVSVSRVRRRSPSWFTVDGGVAEEPLLLLGAPADGDDGGDQARAALLRVEELEHLLGDVARRLSRLDAKRGRLEGQIAAASRGSRRGGAHHRRHGSAGGISESEGEGYTRKGAGAVRKMLRAAAGDVKKAREWLEAVAGRLEAALVDARERLALQQMLAAGA >ONIVA06G13420.1 pep chromosome:AWHD00000000:6:10735774:10737202:-1 gene:ONIVA06G13420 transcript:ONIVA06G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: SEC-C motif (InterPro:IPR004027); Has 583 Blast hits to 583 proteins in 248 species: Archae - 0; Bacteria - 488; Metazoa - 0; Fungi - 0; Plants - 34; Viruses - 0; Other Eukaryotes - 61 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G04950) TAIR;Acc:AT3G04950] MASRRSLHLLTASRGISSTPHLASLGWFDKIKSTFTGKKPDEATDPSANFTLLQFADSMEKARKLGTFKNFVMGRCSEATVVNAFEKHSAVLRYLGAIDPTGEKLKNSDKIGATKHCNCTIADVEHILAKYTWAKEAQKKIVKLKEEGKPLPKNFNEVKNLMGSTPLDVGRSNLEKSGQISRNAMCPCGSKKRYKK >ONIVA06G13410.1 pep chromosome:AWHD00000000:6:10728740:10732484:-1 gene:ONIVA06G13410 transcript:ONIVA06G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVNPLFRVFGLGTVPSRGGGTRRRPDLRGPAAALVKVAAAVVDEAEVAEFVEMAVAVLVEVAAVTDEAEEAPGAEARRRPDLSGAAAVLAEVAVADERRHSWRRRRRPWRRGSARGRAEVAAVLAEKQMTQQVLQEEVEELVHSQVVEVESIHLLVVVEECRYPLGVQLNRPTTTKPVTELALMEDIP >ONIVA06G13400.1 pep chromosome:AWHD00000000:6:10724701:10734344:1 gene:ONIVA06G13400 transcript:ONIVA06G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDSRTSKPPRRPTPLRFPLLSKHERARDPSFSFFVFASSPSHGLRNPNPRGGGKRKQERENSLARPLRRAMGRRKERRLAAKAAAGGRRVKLDLFLDPSPGGTPSKEGERGENHDQQTGVPTSPSSSDKKENPLALLGQYSDDEEEEEAADQPNDETEANPADAGDKITHECGDLTRNEGDAQSDLAGSANVQQELTEADDKKCTGNIAEENVVAIKPTLEDGTATATEAIPDSSGMQIVGDIGGNWKTIMHEQSNQCYYWNTVTGETSWEIPNVLASEIAADSVTSASAPTHVDYSMEAQAHALTHNAVEAYPSDISVLNGSVAYATLGMGQPTHDAYAYAGAVTSHESMDIDPLQLARYGEELLQRLKPLERLHGSIYNVELLKREIEIRISDCNALSSYGSSLLPLWLHAEVHLKQLEFSVSKLETSYSTTEPRHPEKADTEHKTPNEAEVMMPPSNGEGLKSEVSTDVMMDGNVKNEEPFSTSSIQKSEENDTTTVPSKIESDNDEDMDVDMEVDDDNVEEHKHSNSTPIKEYPPSEQVQSPALLSLDGSAAPLEDSDIPPPPPEDEWIPPPPPENEPAPPPPPEEPAVSSVSTETIPQSYVDQANLVYTVPGMEYYAAAGTEGTHASYYMQTSEPHVVQAHQNGYYAPVSASGISIPVDATSIAPVPVSYYSYPSVTMAATGEAAEPSGYYAASVSATSSSVLDNTTSSSNLAPANSSLHSRESDNIISKEAKLASLSQPVGATSASASIQGSSAQAFTSTTSQSKVVRSKKRAVSVATSLRSNKKVSSLVDKVALYDEPPITVSKHHICSQHADQSVYMWKAAKEELRDEEDEEPESALDALERKRQKDIDEWRKQQIASGEAQENANFVPLGGDWRDRVKRRRAEAKKEANSETIPAPVSVTDLHKGQPDLAELCKGLPSGWQAYLDESTKQVYYGNSLTSETTWDRPTKISGM >ONIVA06G13400.2 pep chromosome:AWHD00000000:6:10724701:10734344:1 gene:ONIVA06G13400 transcript:ONIVA06G13400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDSRTSKPPRRPTPLRFPLLSKHERARDPSFSFFVFASSPSHGLRNPNPRGGGKRKQERENSLARPLRRAMGRRKERRLAAKAAAGGRRVKLDLFLDPSPGGTPSKEGERGENHDQQTGVPTSPSSSDKKENPLALLGQYSDDEEEEEAADQPNDETEANPADAGDKITHECGDLTRNEGDAQSDLAGSANVQQELTEADDKKCTGNIAEENVVAIKPTLEDGTATATEAIPDSSGMQIVGDIGGNWKTIMHEQSNQCYYWNTVTGETSWEIPNVLASEIAADSVTSASAPTHVDYSMEAQAHALTHNAVEAYPSDISVLNGSVAYATLGMGQPTHDAYAYAGAVTSHESMDIDPLQLARYGEELLQRLKPLERLHGSIYNVELLKREIEIRISDCNALSSYGSSLLPLWLHAEVHLKQLEFSVSKLETSYSTTEPRHPEKADTEHKTPNEAEVMMPPSNGEGLKSEVSTDVMMDGNVKNEEPFSTSSIQKSEENDTTTVPSKIESDNDEDMDVDMEVDDDNVEEHKHSNSTPIKEYPPSEQVQSPALLSLDGSAAPLEDSDIPPPPPEDEWIPPPPPENEPAPPPPPEEPAVSSVSTETIPQSYVDQANLVYTVPGMEYYAAAGTEGTHASYYMQTSEPHVVQAHQNGYYAPVSASGISIPVDATSIAPVPVSYYSYPSVTMAATGEAAEPSGYYAASVSATSSSVLDNTTSSSNLAPANSSLHSRESDNIISKEAKLASLSQPVGATSASASIQGSSAQAFTSTTSQSKVVRSKKRAVSVATSLRSNKKVSSLVDKADQSVYMWKAAKEELRDEEDEEPESALDALERKRQKDIDEWRKQQIASGEAQENANFVPLGGDWRDRVKRRRAEAKKEANSETIPAPVSVTDLHKGQPDLAELCKGLPSGWQAYLDESTKQVYYGNSLTSETTWDRPTKISGM >ONIVA06G13400.3 pep chromosome:AWHD00000000:6:10724701:10734344:1 gene:ONIVA06G13400 transcript:ONIVA06G13400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPSNGEGLKSEVSTDVMMDGNVKNEEPFSTSSIQKSEENDTTTVPSKIESDNDEDMDVDMEVDDDNVEEHKHSNSTPIKEYPPSEQVQSPALLSLDGSAAPLEDSDIPPPPPEDEWIPPPPPENEPAPPPPPEEPAVSSVSTETIPQSYVDQANLVYTVPGMEYYAAAGTEGTHASYYMQTSEPHVVQAHQNGYYAPVSASGISIPVDATSIAPVPVSYYSYPSVTMAATGEAAEPSGYYAASVSATSSSVLDNTTSSSNLAPANSSLHSRESDNIISKEAKLASLSQPVGATSASASIQGSSAQAFTSTTSQSKVVRSKKRAVSVATSLRSNKKVSSLVDKWKAAKEELRDEEDEEPESALDALERKRQKDIDEWRKQQIASGEAQENANFVPLGGDWRDRVKRRRAEAKKEANSETIPAPVSVTDLHKGQPDLAELCKGLPSGWQAYLDESTKQVYYGNSLTSETTWDRPTKISGM >ONIVA06G13400.4 pep chromosome:AWHD00000000:6:10724701:10734385:1 gene:ONIVA06G13400 transcript:ONIVA06G13400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPSNGEGLKSEVSTDVMMDGNVKNEEPFSTSSIQKSEENDTTTVPSKIESDNDEDMDVDMEVDDDNVEEHKHSNSTPIKEYPPSEQVQSPALLSLDGSAAPLEDSDIPPPPPEDEWIPPPPPENEPAPPPPPEEPAVSSVSTETIPQSYVDQANLVYTVPGMEYYAAAGTEGTHASYYMQTSEPHVVQAHQNGYYAPVSASGISIPVDATSIAPVPVSYYSYPSVTMAATGEAAEPSGYYAASVSATSSSVLDNTTSSSNLAPANSSLHSRESDNIISKEAKLASLSQPVGATSASASIQGSSAQAFTSTTSQSKVVRSKKRAVSVATSLRSNKKVSSLVDKWKAAKEELRDEEDEEPESALDALERKRQKDIDEWRKQQIASGEAQENANFVPLGGDWRDRVKRRRAEAKKEANSETIPAPVSVTDLHKGQPDLAELCKGLPSGWQAYLDESTKQVYYGNSLTSETTWDRPTK >ONIVA06G13400.5 pep chromosome:AWHD00000000:6:10724701:10734344:1 gene:ONIVA06G13400 transcript:ONIVA06G13400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDSRTSKPPRRPTPLRFPLLSKHERARDPSFSFFVFASSPSHGLRNPNPRGGGKRKQERENSLARPLRRAMGRRKERRLAAKAAAGGRRVKLDLFLDPSPGGTPSKEGERGENHDQQTGVPTSPSSSDKKENPLALLGQYSDDEEEEEAADQPNDETEANPADAGDKITHECGDLTRNEGDAQSDLAGSANVQQELTEADDKKCTGNIAEENVVAIKPTLEDGTATATEAIPDSSGMQIVGDIGGNWKTIMHEQSNQCYYWNTVTGETSWEIPNVLASEIAADSVTSASAPTHVDYSMEAQAHALTHNAVEAYPSDISVLNGSVAYATLGMGQPTHDAYAYAGAVTSHESMDIDPLQLARYGEELLQRLKPLERLHGSIYNVELLKREIEIRISDCNALSSYGSSLLPLWLHAEVHLKQLEFSVSKLETSYSTTEPRHPEKADTEHKTPNEAEVMMPPSNGEGLKSEVSTDVMMDGNVKNEEPFSTSSIQKSEENDTTTVPSKIESDNDEDMDVDMEVDDDNVEEHKHSNSTPIKEYPPSEQVQSPALLSLDGSAAPLEDSDIPPPPPEDEWIPPPPPENEPAPPPPPEEPAVSSVSTETIPQSYVDQANLVYTVPGMEYYAAAGTEGTHASYYMQTSEPHVVQAHQNGYYAPVSASGISIPVDATSIAPVPVSYYSYPSVTMAATGEAAEPSGYYAASVSATSSSVLDNTTSSSNLAPANSSLHSRESDNIISKEAKLASLSQPVGATSASASIQGSSAQAFTSTTSQSKVVRSKKRAVSVATSLRSNKKVSSLVDKWKAAKEELRDEEDEEPESALDALERKRQKDIDEWRKQQIASGEAQENANFVPLGGDWRDRVKRRRAEAKKEANSETIPAPVSVTDLHKGQPDLAELCKGLPSGWQAYLDESTKQVYYGNSLTSETTWDRPTKISGM >ONIVA06G13390.1 pep chromosome:AWHD00000000:6:10724140:10724331:1 gene:ONIVA06G13390 transcript:ONIVA06G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCKVDKYMYMVMVMGMERLGFEADFREWKAKILPLAREMLDEMREREEQHNNGLTMNLIII >ONIVA06G13380.1 pep chromosome:AWHD00000000:6:10709902:10713519:-1 gene:ONIVA06G13380 transcript:ONIVA06G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPAMVRCLVENASLCQNCDWNGHSAGSSAAGHKRQTINCYSGCPSSSELSKIWTFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDSSLLDIASATLMSDLGTAGKPKSLIGSSSEAGVNLLPLATDQMAGSVDSTSAKVPYTADQDMFSKDSIYEDFCVDDVDLSFENYEELFGTSHIQTEQLFDDAGIDSYFESKEIPSGNSDEQPKLMQPVTSNAVSADSGMSIPGAKGDSSLCIPVRQARSSISLSFSGLTGESSAGDYQDCGVSPVLLMGEPPWHPPGPEGSFAGATRDDAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLCETRSY >ONIVA06G13370.1 pep chromosome:AWHD00000000:6:10703137:10704215:-1 gene:ONIVA06G13370 transcript:ONIVA06G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERSPLVVPEAAAAAASSPLRRPSPRVRREVPPPPLDPILPYLQGQSWCMHLLMPVSDLVFRSINKAMDELRRGPKFEAAALDWLKICVMECIDKYGDDYQYSTDPRLLKIWIVLC >ONIVA06G13360.1 pep chromosome:AWHD00000000:6:10685598:10694228:1 gene:ONIVA06G13360 transcript:ONIVA06G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVQVSEARNLPAIDGGGGLSDPYAKLQLGRQRGKTRVAKRTLSPTWDEEFAFRVVDLKDELVVVVVDEDRYFSDDFLGQVRVPLSAVLDADNRSLGTQWYQLLPKSKKSKIKDYGEIRLTISLSLNYPEETTTLAHCVSDDLASYSDKSTELQKGSSLPNIPIEIPTSVSGGDETEIIKEDRSNGVPSFVNRLYQFFSAKPKDAEASASAPPLTTGDGNSDILEETPSTSSELPDNQDYETGVTMSFDEQLKAFGSCHEGNEIPENLSEMQGTTGLEIQQWRLENDGEVLKRVVSYTKAPTALVKAVKATEDVSYLKADGDIYATLADVSTPDVPFGHSFRVEVLTCIMPGPELPDNEKSSRLVVSWRLNFIQSTMMKGMIENGAKQGLKDNYIQFSELLARNIRPVDSKDAAATDKVLSSVQPEQESDWKLAFRIFGNFTVVSSLVAFIYVFSHIILASPSIIQGLEFPGLDLPDSVGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGSDHGVKAQGNGWLLTVALIDGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPRWNEIFEFDAMEDPPSVMKINVYDFDGPFDEVESLGHAEVNFLKSNLSELSDIWIPLKGKLAQACQSKLHLRIILNNSRGTEVMKDYLDKMEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVMPATLYSMGSPSLLIILHKGRGMDARHGAKQLDNEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESEMKDLQNNESDSFLGIEDAKMSEVFSSTKPFDVSTLMSIFEGGPLEHQVMEKIGCMEYSVSPWESVRADAYQRQIHYKFDKRLARHEGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHMRYQLEQISSKPKACNVQVSIGIAWLKSCKNRKKIAQEVLSSASSRLKKMFGLLEKELLPAK >ONIVA06G13360.2 pep chromosome:AWHD00000000:6:10685598:10694228:1 gene:ONIVA06G13360 transcript:ONIVA06G13360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVQVSEARNLPAIDGGGGLSDPYAKLQLGRQRGKTRVAKRTLSPTWDEEFAFRVVDLKDELVVVVVDEDRYFSDDFLGQVRVPLSAVLDADNRSLGTQWYQLLPKSKKSKIKDYGEIRLTISLSLNYPEETTTLAHCVSDDLASYSDKSTELQKGSSLPNIPIEIPTSVSGGDETEIIKEDRSNGVPSFVNRLYQFFSAKPKDAEASASAPPLTTGDGNSDILEETPSTSSELPDNQDYETGVTMSFDEQLKAFGSCHEGNEIPENLSGGVLIDQVYAVAPSDLNGLLFSPSSDFLQSLAEMQGTTGLEIQQWRLENDGEVLKRVVSYTKAPTALVKAVKATEDVSYLKADGDIYATLADVSTPDVPFGHSFRVEVLTCIMPGPELPDNEKSSRLVVSWRLNFIQSTMMKGMIENGAKQGLKDNYIQFSELLARNIRPVDSKDAAATDKVLSSVQPEQESDWKLAFRIFGNFTVVSSLVAFIYVFSHIILASPSIIQGLEFPGLDLPDSVGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGSDHGVKAQGNGWLLTVALIDGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPRWNEIFEFDAMEDPPSVMKINVYDFDGPFDEVESLGHAEVNFLKSNLSELSDIWIPLKGKLAQACQSKLHLRIILNNSRGTEVMKDYLDKMEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVMPATLYSMGSPSLLIILHKGRGMDARHGAKQLDNEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESEMKDLQNNESDSFLGIEDAKMSEVFSSTKPFDVSTLMSIFEGGPLEHQVMEKIGCMEYSVSPWESVRADAYQRQIHYKFDKRLARHEGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHMRYQLEQISSKPKACNVQVSIGIAWLKSCKNRKKIAQEVLSSASSRLKKMFGLLEKELLPAK >ONIVA06G13360.3 pep chromosome:AWHD00000000:6:10685598:10694228:1 gene:ONIVA06G13360 transcript:ONIVA06G13360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVQVSEARNLPAIDGGGGLSDPYAKLQLGRQRGKTRVAKRTLSPTWDEEFAFRVVDLKDELVVVVVDEDRYFSDDFLGQVRVPLSAVLDADNRSLGTQWYQLLPKSKKSKIKDYGEIRLTISLSLNYPEETTTLAHCVSDDLASYSDKSTELQKGSSLPNIPIEIPTSVSGGDETEIIKEDRSNGVPSFVNRLYQFFSAKPKDAEASASAPPLTTGDGNSDILEETPSTSSELPDNQDYETGVTMSFDEQLKAFGSCHEGNEIPENLSGGVLIDQVYAVAPSDLNGLLFSPSSDFLQSLAEMQGTTGLEIQQWRLENDGEVLKRVVSYTKAPTALVKAVKATEDVSYLKADGDIYATLADVSTPDVPFGHSFRVEVLTCIMPGPELPDNEKSSRLVVSWRLNFIQSTMMKGMIENGAKQGLKDNYIQFSELLARNIRPVDSKDAAATDKVLSSVQPEQESDWKLAFRIFGNFTVVSSLVAFIYVFSHIILASPSIIQGLEFPGLDLPDSVGEVVVCGVLVLQGQRVLNMIARFIQAKRQREIFEFDAMEDPPSVMKINVYDFDGPFDEVESLGHAEVNFLKSNLSELSDIWIPLKGKLAQACQSKLHLRIILNNSRGTEVMKDYLDKMEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVMPATLYSMGSPSLLIILHKGRGMDARHGAKQLDNEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESEMKDLQNNESDSFLGIEDAKMSEVFSSTKPFDVSTLMSIFEGGPLEHQVMEKIGCMEYSVSPWESVRADAYQRQIHYKFDKRLARHEGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHMRYQLEQISSKPKACNVQVSIGIAWLKSCKNRKKIAQEVLSSASSRLKKMFGLLEKELLPAK >ONIVA06G13350.1 pep chromosome:AWHD00000000:6:10679346:10682519:1 gene:ONIVA06G13350 transcript:ONIVA06G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAKGEPNGGACKDGEVMDLPWSEMFRSASLRLPKQEEPTTTKKPQGKGKASAAEEDIGGLSLEPDARLALYIAMAHAGLATALLVVYGLYRLLADFLRPLQWALLCSIPLRETQRALVAFWEPPLRGGLGAAVLALPLAAVRSCGATLADARAALLRRPLPPSPSFPRLLRWLASSFLFLLLLDRLGTATALVLLALSLAFFAASPKPSSFLSRAASSRIAGRTPSSRCLFLTGGILRHLKTLVAVGLMLGMILGFLSGSVFFSYKIGLEGKDAVMSLKSHVENGNYSEKIGLKKWLDDNDIPGLVDQYSAKLYDTVWEQIDQLAVQYNLTDFTSGFRHFLISQSVDPSGAKGKELITSGPHPYSMKLQVIAKHVKNREWMDIYRELDSFFRELLITREDLVVKAKGLALQGAEIAKSLLSSSTSVLGGSANLMLSITLHIVSGAAEVLNFVSQLMVFLWVLYYLITVEGGGATEQVIDLLPLSKQVKDRCVEVIDHAISSVLLATAKIAIFQGCLTWLLFKLFKVHFVYTSTVFAIISALLPILPPWLSSIFAAGQLLMEGRYVLAIVVTVVHLIIMDYGTTVIQEDIPGYNGYLTGLSIIGGMALFPNALEGAILGPLIMTVVMALKNLYTEFVLADSEETSS >ONIVA06G13330.1 pep chromosome:AWHD00000000:6:10666239:10669605:-1 gene:ONIVA06G13330 transcript:ONIVA06G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWDSILRGGGGGGGRRFIKRKDSDAGEAGRALEELRGTLYNEFHTSEGAKRQQQRFCGPSVALTFNFFVAVGIIMANKMVMGAVGFNFPVALSLIHYIAAWVLMAVLKAFYLLPIAPPSKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVAAEFILFKKKVSLRKVITLAVVSCGVAVATVTDLEFNLFGACVAVAWIIPSAVNKILWSNLQQSGNWTALAFFYQVDVEDNPNNCVFLSCFDAIIGSSGLVVFQLEYPEQQCNHDICFWSGALALGATSALAHVVLGQFKTIVIMLSSYLVFNSDPGFTSLCGAIIALGGMSVYTYLGLKESASGGKRAPSTSRQNSHLLKSKVIVDGEKPETRPIDSV >ONIVA06G13320.1 pep chromosome:AWHD00000000:6:10657917:10662926:1 gene:ONIVA06G13320 transcript:ONIVA06G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGASAGTCRVLLAWLMHFTVQEGARTCFISFGKFGRVLWFFRGHRLAKELDSKRLLERIVCVLKKWPPRQKSRKSPKSSQLRKTQDFLGIFELNSMSSVENPSNGRSNGHAPANASAATANSAQATQQGQTEQNPCDAGSEADLLWKLRKYLVLLAILAAAITFQAGLGPPGGFWQQDQHGYHAGDVVLRYSYPRRYLVFFYCNTTAFGASLIVLILLLVKELSRDAIWLRSLQFAMVLGLLGLMGAYAAGSCREVRTSVYIWALLVGIFAYITLHVVFFRHLAPQWLCEIFYTIRKHWKEILGSIHGDGGTDKTGETGDSEKTKRLEQNRSFLLVLATLAATVTYTAGLNPPGGFWPDDNKPSHLAGDPVLRDHYPRRFKAFLVCNATAFAGSLVIIIMLLSNTAVDHAVKSNALRLCVLVSLFGLMGAYAAGSCREVHTSIYVFALVGAVFLYLCIQWIEHMVPIPCIKSSMEWVGEKKTHLLQKLGSFIMRGTRNPTEESRSTPRAQNPVNNRRSGTSDTAKDDVEKLRTYLLLLGILAATVTYQAGLNPPGGFWQDNNGHTAGDPILEAINPKRYKAFFYCNATAFVASLVIIILLQSQLITVGAMKRHILQTAMALDLFGLMGAYAAGSSRKFSTSVYVFILALVVFTYFTLHVLLSMALKTQLKTKIEHVPNLFHRFTRFCFRRTEGGSDDGRSDSVEQQNEEKDLEKRRKFLMMLAILAASITYQSGLSPPGGFWSDNDRHRAGDPVLHDEFPGRYRIFFYFNATAFMASLAVILLLVNKRLCDKGLKSYALRACVLVDLISLMGAFAAGSCRRVLTSIYVILVVAAVFAYVMIQILVLQVAEQKVDLLKKRRSGFESQQRSMTLTGPTGSTDKKRTEHKWRKDLMLIGTLAVTVTYQAGLLPPGGLWPSDQGNHYAGDPILKVTHPIRYKVFFYCNATAFMASTVMVILLLNNTISKYKRSLLAMKTAMVLDLLGLLGAYAAGSCRKFKTSAYIFALVIAVFIYIVIHVLLSFDEVALLVKEKGKKWMPCLKMWDQIETGASDQPSATQSGAPPV >ONIVA06G13310.1 pep chromosome:AWHD00000000:6:10637801:10652384:-1 gene:ONIVA06G13310 transcript:ONIVA06G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAIFVVTVTYVAGMRPPGGTWEHAQEAGRSDAGEPIWLERHRGRFMAFLISNTIALVASLAVVMLVLSSRLRRNMSCLPLHVFIITMALLGLLGAYVSGSCTEWIFTVQVGCVVGAIAVILLCVSCLPIVECLFGGFKSQHHSLAGAPGCRVTIQPLVPIVPVGEGEPVMAGTPGLPRMTPTESTMVVHRQHSSEAHANPLKNGRSMILLLAILTATVTYQAGLEPPGGVWRDNEGGHNGGGLILLATHAIRYKVFFYCNSAAFVASIIVVIILQSKDLVNRYALHAAIILDLMGLMGAYAAGSWRDIGASLSIFVLVAAIIVLLVVTYIVSCKSLTRGNNGNVSLAEQKRKRELQKRQKLLLNLAVLAITITYQAGLTPPGGFWIEHADEEHHNGDPVLGDNHRGWYTAFFFCNTTSFMASVVTIVSLVSQSLSEIDMAYCKALYCCVFVVLAGLTGAFACGTSRRMQESMYVLGLASLGLTLAILYIHRSHPMVRNGDGSYHADDDTELVGDVVTNGQHIITHKMCKYLVLISILAATITYQAGLTPPGDVWPAADDGEGHAAGDPILRDSDRRHYLAFLYSNSVSFAASVLVIVLLLRGVVVRKLSSFLPLITVVHAVAVVDFLALLAAYATGSSRDRGTSVYVVTVAATVLVYIAIYAGLSSRHCGREQDGNGGVGSPTPPREWLLDLGKGGAHDTNAHNMFDGMPSQPEVFNDDERISETVPIKSTMKKEGISMDKALDRLLEKFELMEANPPTKCLTECPNNNITWVAANSNHIGEMLAPTAAWELGDRKDMDQAPYIATKDLPKVTPTKCSTLCSSFDNKPDLTVAVVVTCATSVKSLMELVATDSTTSGTHIDTPDSTKAMPTNCLMFGMMVNTGTIQTGVVFPLFLDKLDIVTVLTLHWARLKPWPPPHEDDLTYILVNQREVELWRTILVDHNKEGLLMIIELYVLDLNDCCLSWSHLILTSVLVVELSSTRQCGYEIISFKSNHVDKLKLFGMSINVLEQCEHLEGDLIRLIIKEKLMPWNSGMGICLCCLLVIQLPVGKLKWNVSVISLFHLLITKVNEFPRGITTGASYKVLYGAEKLLNLNAEDKSYTRVKSFEEGASSVQYKVCPFGLVPASVVLDDVAYTTSGTTWHYKCLTDKMRMPRAEVNHKPMWTRYLSKQGCHSLQHTKMLSLNVGKKINTLLLLYVTQERFKGIEKLSARGARGYILLAWDKPNLKKRGLSCIVGLIMSFVDYSPIQPNKAQLERQQSSTNKVLGSHRTEQASAASATAAAAS >ONIVA06G13300.1 pep chromosome:AWHD00000000:6:10636150:10636613:1 gene:ONIVA06G13300 transcript:ONIVA06G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALMVVSCFLIFVWCLHLHLTSLVRRWFVMEDDELSANITDGSQTNGPFEIIVTCDGFYTWPVIEAGHH >ONIVA06G13290.1 pep chromosome:AWHD00000000:6:10628487:10631345:-1 gene:ONIVA06G13290 transcript:ONIVA06G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDADDNKQQPGGGGKATVASHPKSREWQLRKYLLLLAILVATVTYIAGLDPPGGVWLETTDEHLTGDPILPDTRRLRYDLFYYFNATAFVASLVLTILLLPFRVEGPRLMAVRGVMVVDLLCLMVAYIAGSCRGRLTTIFASVLSATIFVYIVVHALVAPSTDTPEKKTVHDSPDKEKAMDMEDGHLHGCSSNPLDMKEAVEDGKLRPKERRKVLMLLSIFMVTITYTAGLSPPGGTWEHAAEEGGAAAAAGGHHRAGDPVLQEGHYWRFVAFFVLNTVAFVASLTVIMLLLSTRMGNNGRRLSALNVAIAFALLGLMGAYASGSCRETETTVYVLCLIGAAGLDPPGGVWRDDDNGHSGGGLILPATHAKRYKVFFYCNSAAFVASIIVIIMVQSRSLIGRRALEAAVILDLFGLIGAYSAGSCRDVRTSIYVFSLAVAIFVLVVAIYVVISKLPHDKKGKLEEKSKLEKKQKLLLLLAILAVTITYQAGLTPPGGFWIEHTDEDHRYGDSILADNYPLRYKAFFYCNATSFMASVIAIVCLMSRNLSSIAVGYCNALYACMAAGLVGLMGAYAAGTTRRLRTSIYVFALVGAVLIFAALHIKFFHKILIGCLSFFSSKKQDEVTKNHDQATGSKGSTGKKCTNNHDEETTDEYKEKYKMRKYLTLLGILAASVTYQAGLVPPGSVWPTNDGKGHAAGNPILGDTDGRRYHAFFYSNSTSFAASIVAIVLLLQGTLILPELNDPDRFGPMHMVVVLDLLGLLVAYAAGSSRDWGTSGYVVAMAVMVLAYVAIYVFLSLRDRKGSEGRATTEVRSSSSTSQSSRSTLEVARPASRSSGSADNV >ONIVA06G13280.1 pep chromosome:AWHD00000000:6:10618198:10620901:-1 gene:ONIVA06G13280 transcript:ONIVA06G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEQERTDSPLEYDLRKYLLLLATLVATVTYGAGFSPPGGVWQDTEAGHLAGDSIIRDSQYRRYLMFFYCNATAFALSIVVIILIFILAILHEKGKLQIPMLPLRAAMVLDLLSLMGAYAAGTSRGVLTAGNVSALVATFIYMVAQMVVTLWLDKKQTQDISSGDEKKKRHRKVLMLLATFVASITYMAGLSAPGGYWDNNQEGHHPGDPVLWEHHSRRLRAFFVCNTIAFVASLLIIMLLLDKKQRIFLPLDKIKITITVRTYVLYAYITIALLGLVGAYVAGSCRKPDTTIYVLSLVGAVLLCIGALQAVLFFLPQLSNISCLPIIAKLSCSPGGPSDSSSRKNTSSKTDILEKAQSLVVLLATLVATVTYQAGLVPPGGVWQKNQDGHMAGEPILLSTQAKRYKVFFYCNSTAFAASLVVIVLVRYKPLLRRRILEITMILDLFGLMGAYAAGSCRDITTSVYIIALAGGVLVYVVIHVVFFTLEDNDKEKEVGNTNSVRNGSVVRTQNNSAEGNLAEKDSCIDKRRKRLLLFAVLGATLTYQAGLTPPGGFRVVDDGFGRHAGDPILFYNFPRRYKAFLYCNSVSFMSSLSLIILLVNPNLYRPAIQSYALSVCTAAGLFALLGAYAAGSTQHLKTSIYVFVLVAVVLFIMIILLVCFYQSESKEKRDDISKEPVEKDKDRAKYHAKRKYLMLLGVLAASVTYQAGLNPPGGVWQGNSDGHGVGHSVMHDNKRYRYLTFFYSNSTSFVASIVVIILLLPTELLKKNRWLRVMNITIVLDLLGLLLAYVAGSSMRWEPSGYVIAFVIGALGCAAIHKFLSFVRRSQQQGQGNDQPSRLPEGGSQA >ONIVA06G13270.1 pep chromosome:AWHD00000000:6:10617471:10621386:1 gene:ONIVA06G13270 transcript:ONIVA06G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGNPCPLLLEEKSPPSEVLDECSTKGVQGRGSLPSLLGRTAQLMRALLSLCQDSKDEDEDDDHDGQRECSRVAVEEHKVSAILAIPDDGVTSEVPGLGVLPDTPRRAESCTICHRGHKGGQQKEVLPQIILQW >ONIVA06G13270.2 pep chromosome:AWHD00000000:6:10617470:10621386:1 gene:ONIVA06G13270 transcript:ONIVA06G13270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVDFTTDHAGATRRTTPASARGGLTREAGGWWPGLVRSRPRRWLAEAERAPSLLGRTAQLMRALLSLCQDSKDEDEDDDHDGQRECSRVAVEEHKVSAILAIPDDGVTSEVPGLGVLPDTPRRAESCTICHRGHKGGQQKEVLPQIILQW >ONIVA06G13260.1 pep chromosome:AWHD00000000:6:10611158:10614109:1 gene:ONIVA06G13260 transcript:ONIVA06G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGHSTEDQEKVEEKPLELLLRKYLLLLAIMAATVTYATGFNPPGGVWQDTEAGHLAGDSIIRDTYYPRYLVFFYCNAAAFVLSIIVIILILSLAIAQEKKNFWIPMLPLRVAMVKDLLGLVGAYAAGTSRAVLKPRNAWVLAVIFVYMVIQLVLTSLSSCTGDGKKEEAKEQLQTADGKEEKINKLSQSVHPGGYWDSSKEGHNAGDLVMREHHAIRLKAFFVFNAAAFVMSLLIIMLLLDKQLVIPLLQDQDQSMTSRVRTRFLKAYIIIALVGLVGAYATGSSRNSDTTIYVGCLVFAVLACILFLKVIISPHPQGSASDSNGRPSNGVKKNASNGGVQTNTSNADILEKAQSLVVLLSTLVTTVTYQAGLIPPGGVWQENWKEHEAGNPILLSIQPERYKLFFYCNSIAFAVSLVIIILVQYKPILKHHILELAMIMDLFGLIGAYSAGSCRDVTTSIYVIALAGVVLVYVVIHVIFITLDEDMGKKDGDKDKKDEGKRRKRLLLFAVLCTTLTYQAGLTPPGGFWLKDDEFGHHAGNKPANSQDEEERVVQKSPPAQDEDVIEQETQTLKPSETMSADEIKEDDRTTKSADIENAGEAKNNTSNETNEKEKQERAATESNEKEDESKKHAKRKYFMLLGVLAASVTYQAGLNPPGGVWQGNSNGRAAGNPVMHDNKRYRYLIFFYSNSASFVASVVVIILLLKEKLLREDWLFKVMNITIVLNLLGLLLAYMAGSRMRLESSGYFIAFVIAALGIAAIHKIWSRNRESK >ONIVA06G13250.1 pep chromosome:AWHD00000000:6:10609737:10615731:-1 gene:ONIVA06G13250 transcript:ONIVA06G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGGGGSSCPPGTIANKVPLALFDSSPRAPMAGKRAAYALRPGTRSSCSGRLFPLAALAGLAAMHYSNHRRKLSRFDCDKIAQQMLMDDNNNGCHKGSRVAVEEDEVTVPFVVMHNRVTCCTPIAVTLPHTPRWVEASLIVTVEVPTLLNPAAYVTMATIMAISKRSKASMARNG >ONIVA06G13250.2 pep chromosome:AWHD00000000:6:10609737:10612047:-1 gene:ONIVA06G13250 transcript:ONIVA06G13250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHDEVACIVSLLAAVPVATRVHSTQPGPHKAKQILHHGHPQWKHGDPKVFLLLCDCKAQDEDDDYNGQNERSRIAVEENKVPGVVGIPDNGVTGEVPGLGILPDTPGLNPAAYVTMATIMAISKRSKASMARNG >ONIVA06G13250.3 pep chromosome:AWHD00000000:6:10609737:10615731:-1 gene:ONIVA06G13250 transcript:ONIVA06G13250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGGGGSSCPPGTIANKVPLALFDSSPRAPMAGKRAAYALRPGTRSSCSGRLFPLAALAGLAAMHYSNHRLNPAAYVTMATIMAISKRSKASMARNG >ONIVA06G13250.4 pep chromosome:AWHD00000000:6:10614045:10615731:-1 gene:ONIVA06G13250 transcript:ONIVA06G13250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGGGGSSCPPGTIANKVPLALFDSSPRAPMAGKRAAYALRPGTRSSCSGRLFPLAALAGLAAMHYSNHRRKLSRFDCDKIAQQMLMQCLVQL >ONIVA06G13240.1 pep chromosome:AWHD00000000:6:10605448:10605874:1 gene:ONIVA06G13240 transcript:ONIVA06G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDGPTLLATSQSDLSAQAPRYRSYPLFLAFSVIPRFLAPLLASAELISLPSSPIAPGHAFTADFAALATLAVALAWRAAAPWRDAVVAQTPPHQVAALLISTAPSAMEIKAAATGDKAVEEHLVEERVR >ONIVA06G13230.1 pep chromosome:AWHD00000000:6:10597862:10600146:1 gene:ONIVA06G13230 transcript:ONIVA06G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWEASTKPLAANNGDDRVHQRLETGGTVVNLGVWLITLQAIGMPHVIPGLMKAVGIVYSEGD >ONIVA06G13230.2 pep chromosome:AWHD00000000:6:10597862:10600146:1 gene:ONIVA06G13230 transcript:ONIVA06G13230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWEASTKPLAANNGDDRVHQRLETGGTVVNLGVWLITLQAIGMPHVIPGLMKAVGIVYSEGD >ONIVA06G13230.3 pep chromosome:AWHD00000000:6:10598032:10600146:1 gene:ONIVA06G13230 transcript:ONIVA06G13230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWEASTKPLAANNGDDRVHQRLETGGTVVNLGVWLITLQAIGMPHVIPGLMKAVGIVYSEGD >ONIVA06G13220.1 pep chromosome:AWHD00000000:6:10591519:10592769:-1 gene:ONIVA06G13220 transcript:ONIVA06G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVVLPNTTRRDQSCLVCDGYNRLEDNNACKNGKNQTAHIDGSVTFSAASGGIGPRKTDKSDKDADRMVFPHDWVTCNVALLAAVPVATRRAQPSQHTPAGPSSIGPHEAKQIQHHGHPQWDHGDP >ONIVA06G13220.2 pep chromosome:AWHD00000000:6:10591519:10592012:-1 gene:ONIVA06G13220 transcript:ONIVA06G13220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPHDWVTCNVALLAAVPVATRRAQPSQHTPAGPSSIGPHEAKQIQHHGHPQWDHGDP >ONIVA06G13210.1 pep chromosome:AWHD00000000:6:10591213:10594296:1 gene:ONIVA06G13210 transcript:ONIVA06G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQLLEESKDEHKPLEYCLRKYLLLLAIMVATVTYAAGFNPPGGVWQNTEAGHLAGESIIRDTYYPRYLVFFYCNAAAFALSIVVIILILILAVVHEKEELWISMIPLRVAMMLDLLGLVGAYAAGTSRGVLKAKNACVLVAIFVYMAVQVVLTSFSEKSQLFRCTGDSKKKEAKGQLESADGKGEINKEEEKERRRKLLLLLATFVMSITYLAGLSAPGGYWDSSKEGHIAGDPVMREHHSIRLKAFFTFNAIAFVMSLLIIMLLLDKQLVIPLLKGKNQNKTSPVRTFVLKAYIFIAFVGLAGAYATGSSRECDTTIYVGSLVLAVLACIIVLKAIISCQTYSNDRSNNVEEQTSTGNCRAQINTSNGGAESVSSNGGAESVSSNGGAESVSSNARAQPTTTNGGEETKTSNAGAQKNTRNADFMDKAQSLVVLLSTLVATVAYQAGLVPPGGVWQDNWNGHEAGDSILLSMQPERYRVFFYCNSIAFAASLVIIILVQYKPILKLRVLQFAMILDLFGLIGAYSSGSCRDVTTSIYVIALAGAVLIYVVIHVLFVTLEDEDIRKEGREKDRKLEDKRRKRLLLFAVLCVTLTYQAGLTPPGGFWLMDDEFGHHAGDPVLFYNYPRRYKAFFYCNSMSFMSSIALIILLVNPNLYRPAIRSYALSVCTAVGMFALLCAYAAGSTQHLKTSIYIFGLVLLVFFIMIVLLIYSYWRQKRIMSHNKEDTEKGKSPGTQNEDITKQDSKTVKPTGTKSDVEMEEDDITAKSTKLKENDSTGKSSEIEDEGEAKQNRLEHSIERAKQETATESPKKEDKSKKKHATRKYLMLLGVLAASVTYQAGLNPPGGVWQGNSNDHAAGNPVMHDKKRYRYLIFFYSNSTSFVASIVVIILLLPEKLLGEAWSLNVMNITIVLDLLGLLLAYMAGSRMRLQSSGYFVVFVIGALGFAAIHKIWSYLQRKRNDQHRLLDQPR >ONIVA06G13200.1 pep chromosome:AWHD00000000:6:10568379:10578456:1 gene:ONIVA06G13200 transcript:ONIVA06G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVACHDNRQGQQGSEVRAPPKRHSTSTTVGTGSIAAEGFFGEFGSAIGDLSPPFPPMVSPFLHFATAASTLHLLLHHHAAVVGSNCKRHQKLQQARRAFSKPPDGNVIDCVPPHLQPAFDHSKKLRGQKPEVEPEPEERPKVDGASAAQGEAAEEEETDVIAVGSGWASQRARPSRRGSRHCIRSSSGNGSGLEEGEIADSVTKLAYDSNGSELSEKNIGYDYDHMWRIEGSTAAAAKGRCTCGCAGCRSKFRFHLRMDENSGSHPSERSKDDAEPLEFQLRKYLLLLAIMVATVTYTAGFNPPGGVWQDTEAGHLAGDSIIRDTHYPRYLVFFYCNAAAFAMSIVVIIIIFILALIHDTKKLWISMIPLRMAMVLDLLGLVGAYAAGTSRNVLKTRNVCVLVAIFVYMAVQIVLTSFPGIVLKCKRCKEVPNNQPSQPGDNKEEEKQRHRKLLLLLATFVMSITYLAGLSAPGGYWDSRKEGHEASDPVMREHHSIRLKAFFLLNATAFVMSLLTIMLLLDKRLIIPLLHDKVPSTTRPVRTIVLKAYISIALVGLAGAYATGSSRESDTTIYVGSLVFAVLACIIVLKTIIFHQSDSSDRSSNGTRRRNGEAQANPSSRREQTGTSHGGAKLPTSNGVPPTTRNVGVQTDTSNGGADTNTSNADILEKAQSLVVLLSTLVATVTYQAGLVPPGGVWQDNWNGHEAGDPILLSMQPERYKVFFYCNSMAFAASLVIIILVQYKPMLKRRILQFAMILDLFGLIGAYSAGSCRDVTTSIYVIALAGAVLVYVIIHVLFVTLEDEDIGKKGGDKDRKLEDKRRKRLLLFAVLGATLTYQAGLTPPGGFRLKDDEFGHNAGDPVIFYNYPSRYKAFFYCNSVSFMSSIALIILLVNPILYRPAIRSYALSVCTAVGMFALMCAYAAGSTQHLKTSIYIFGLVALVLFIMILVLICFYHRDVNTGSMSTNEEDLETGSGVKTPVKQEAFTETKSVDEIKEDNTAAKSPKTKEDDSTVESSEIKYEGESKQNTTNKSIEQNRTDTDSLRTEEDSKKKHATRKYLMLLAVLAASVTYQAGLNPPGGVWQGNSNGHAAGDPVMHDNRRYRYLIFFYSNSFSFMASIVVIILLLPEKLLRENRSFKVMHLTMVMNLLGLLLAYMAGSRMRSESSGYFMEFVITTLCFAALHKILSSEKEQQNDQPSQVDQQGDSQVS >ONIVA06G13190.1 pep chromosome:AWHD00000000:6:10563875:10564565:1 gene:ONIVA06G13190 transcript:ONIVA06G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYTIETYNSSTGVRNVGKGSKQANLIRKQQRRLHSDKIRLQRQPRQHVHALSVQYEWINRSGTLMMILW >ONIVA06G13180.1 pep chromosome:AWHD00000000:6:10559075:10562168:-1 gene:ONIVA06G13180 transcript:ONIVA06G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPGNVAEEQPWEYTLRKYLLLLATLVATVAYGAGFSPPGGVWTDAKDGVHLAGDPVIRDHYNGRYLLYFYCNATAFVSSLVVIVLILLFAVLHEKRNVRVTVMPLRAVMVLDLVSLMGAYAAGTCRDRTTTTFTVVLVSLVVVYVALQVVLASLPAGEHDGDEHVVKEKSRKVLLLLATFATSLTYVAGLSTPGGFWSDTADGHRAGDAVMGDRHPARLTAFLLCNTTAFVASLLVIVLLLDRKLRDGTVRAWELYGCVLVSLAGLVGAYAAGSSRAAHTTAYVVALIGAILAYIAIHLAVVACAARALSNTGMSEKLAGMYSSVKERRYHLRQPARELAQANDDREKLLNEALEKARSLVLLLATLAATITYQAVLDPPGGYWQDDKDGHKPGDPILLTINARRYKTFFYFNSTAFVASLLAIILVQSKSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSINVMAIAGAVLVYVVIHIVFFTLDHNDGSTLGEDNALLEKRRKRLLLFAILCATITYQAGLTPPSGCWQDNDEKHGYKAGHPVLFSNHPRRYKAFFYCNTTSFMSSIALIILLINPNLYRPAIHSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIYIFVLLFIFLVLLLVAFVVHRKSQGKQNKRTNEAEVPDTNDIKRKQYTKRKNLMLLGILAASVTYQAGLHPPGGVWQSNDSAGHAAGDPVLHDMQRLQYRAFFYSNSTSFMASIIVIILLLPESLKLNVNEWLLKAMNTTVVLDMIGLLVAYGTGSSRDWDTSGYVIAMAIFVLGYIAIHAMLSKLSQVANHRVASEDPESQVLGNGLHQVGGICVGLHPSINAVQ >ONIVA06G13170.1 pep chromosome:AWHD00000000:6:10534370:10536160:-1 gene:ONIVA06G13170 transcript:ONIVA06G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFLVECLSWLVVVLFSLYIFQLLRDARRRLPPGPWPPKPLVGDLLDLGEDGKQHRTFLRLAGRYGGLMCLRFGMVPHVIVSTPDALRAVFAAAGAGGGGSGEGKKVDGIAGLPSLDVLSAMGHRAHTIFALPSQDGKWRALRKFAAAEMLAPRRISSAAAGAQLQTKIVEALRREVSGHAARGDAVVFRHAVLDSILSLLLGVLYSTDLEREERAMFRDLIEEIVGMLGTANVSDVFPPVAALDLQGLRRRMADLLTIMYRHFDDQVALRRRSRDAGEARKNDVLDTVLDKEESEWKQEGSLLSHDVMRVLLSDLYGAGASTTAALIEWGMVDLIQNPEVMTKVREELTNVLGDKLVMDESDIARLPYLQAVVKETLRLRTVVPLVPRKAEVDIEVNGYRIPKGTNVILNAWAINRSADAWSEPDKFIPERFLGGETRGYLGQDFEMIPFGLGRRICPGMPLAQKLIPLIIGTLLHRFEWELPADAKEGGIDMTEKCGVVLSLVNPLKAIPKEI >ONIVA06G13160.1 pep chromosome:AWHD00000000:6:10528822:10529862:1 gene:ONIVA06G13160 transcript:ONIVA06G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKRDKNKKKSKMKAKQLDNIKPQGMKSQASMNQNCKLHKSMTEEIMKKKEQTGSYQLHDTGKN >ONIVA06G13150.1 pep chromosome:AWHD00000000:6:10520671:10523163:-1 gene:ONIVA06G13150 transcript:ONIVA06G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKPAQDPHRPLEYDLRKYLLLLATMVATVTYTAGFNPPGGVWQETEAGHLAGDSIIRDTHYPRYIMFFYCNAATFALSIVVIVLIFILAILHENGIWISMFPLRLAMVLNLVGLGGAYAAGTSRHALTAGNLSALAAVFLYMVAQTVMTQFLWDRNDKNHLEAIGQGTGNNPPNPEHSVGAISPVVSQEEESQQPCKEKEEEEKNRRRKVLMLLATFVMSVAYVAGLSAPGGYWERNQEEGRHHADAGDPVLWVHHSVRLRAFFGCNTTSFVASLLIIMLLLDQKQKIIFLPLDMKRKAVPGRAHMLYAYITIALVGLVGAYVAGSCRHSDTTIYALSLVAAVLICIGILKVVLGCMPKLSQTPKASSRSGENNENNEDAFRDKTPTNGGLPSNMNNEDDILEKAQSLVVLLSTLISTVAYQAGLVPPGGVWQENQDGHKAGKPILMSTQAKHYKVFFYCNSIAFVASLVIIILVRYKPLLKRHIFEVAIILDLFGLVGAYAAGSCQDVSTSIYVITLAGAVLIYVVIHIVFVTLEDEDKNKTSVHSTVQTGPPAINPPPSDLYVHKRRKRLLIFSVLGATLTYQAGLTPPGGFRLKDDELSHHAGDPVLLYNYPRRYKAFFYCNSLSFMSSIALIILLVNPNLYRPAIRSYALSVCVATGLLALMSAYAAGSTQHLKTSIYVFALAVLLLLVMILFLKLFPSTKSVAKVKKNDEDRAKQHAKRKYLMLLGVLAASVTYQAGLNPPGGVWQHNSNGYTIGDSVMHDNMRHRYHIFFYSNSFSFVASSCCCQRNC >ONIVA06G13140.1 pep chromosome:AWHD00000000:6:10507447:10507740:1 gene:ONIVA06G13140 transcript:ONIVA06G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSGIFHVTVRFYGVPESLRLCSLVDKLIQYTATFSDSLRYKQDKNIIGLVVFGVTKKPPDTILIANSTYKINKICAFRRLLFHNCVLLSRTSFLS >ONIVA06G13130.1 pep chromosome:AWHD00000000:6:10502582:10520647:-1 gene:ONIVA06G13130 transcript:ONIVA06G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTVVLDLLGLLLAYVSGSSMRWEPSVFVIVMVVAALGCAAAHKFLLPTGSLHLELTEVLAMAQGDDATEQQPYWEYTLRKYLLLLASLVATVAYGAGFSPPGGAWQVTADGHTAGDPIIRDLYYGRYLVFFYCNATAFASSLLVIVLILLFAVLHEKRNMWVTVMPLRVVMVLDLLSLMGAYAAGTCRDATTTRYTAVLVAAVFVYLVVQMVLASLAGGDDEDEHVVTKERSRKVLLLLATFATSLTYVAGLSTPGGFWADGVAGHRAGEAVMGERHPARLTAFLLCNTTAFVASLLIIVLLLDRKLRDGTVRSWELYGCIVVALVGLVGAYAAGSSRAEHTTVYVLALVGAVLVYIAFHLTVVPCVKQALGNTKLARIYSSMSKRRYCPKQDQEVDQATIDREAEHNRAMEKARSLVLLLATLAATVTFQAALDPPGGYWQDDNGDHKAGNPILLTTNPRRYKAFFYCNSTAFVSSLLAIILVQSRSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSIYVMAIAGAVLVYVVIHVVFFTLDQRGHDEDVLLEKRRKRLLLFAILSATITYQAGLTPPSGFWQDDSDGHCAGEPVLFSNNPYRFKAFFYCNTTSFMSSIALIILLVNPNLYRPAIQSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIFVFALVLIFVAILLVVFVVRQNHGNTTTRQNSQGDQNQRGDNSGSENHTKRKYLMLLGILAASVTYQAGLHPPGGVWQSDDDGAGHAAGNPVLRDNRRRRYRAFFYSNSTSFMASVVVIVLLLPESASPHVNEWLLKAMNTTIVLDMIGLLVAYGAGSSREWETSGYVIAMAVVVLGYIAVHGVLSTFGQGHKAGGNNPQITPELDAESQEPGNGRRTAAQGSYMHYPSQNLGQPIISSGAIFLLLQPQQDMSSTNKIDTHNRNGSSKEKKSNPSSSSEYQLKNHLLLLVTLVATVTYAAGLNLPGGFWQDTQEDHLAGDPILPGNHKEQYIMFYYCNATAFAASLVVCLLLLVLDKENSGCAAALRVVMLFDLLGLMGAYAAGSCRDEFTTIYSSVIMSMVFAYIVPSLFTYAVSKLKKKDKNHGKQNKDSGEPKDTEKQTWYPDKHKREELHEVLMLLATFAVTITYVAGLNPPGGFWGSTQDGHRVSNPVLQDINSRRYKAFFVCNTTAFVASLLIIMLLLDKRVNTEQMSLQFGELYGSIVVVLFGLVGAYAAGSCRDTDDTVYVAVTRFLKKRIKNDGHTERSISSVKSLIRNEDGSRNTKRNVAMEKARSLVMLLATLAASITYQAGLDPPGGLWPDDRDGHKGGDPILLTTNPARYKVFFYSNSVAFVTSLVAIIMVQSGVLVYVVIHIIFFTLDNMDNEHHDPDEEDKKREVLLLLAILVATLTYQAGLTPPGGFWSEDDNLGHHHRAGYPILLENYPPQYEAFFYCNATSFMASIALIMLLVNPNLYRPGIKCYALYVCMVAGMFGLMGAYAAGSSRRLRTSIYVLTLVGAVFALVALQVAMFWNKRTSKTGGNMTNSSAQEGGSTDTEASQPAPLIKGMGSTSQATQITDITDAALRNTDKGEGSSEQGGSRINSVTSEPGAHGTGNGASLQEANSEEDKSGSTEKDMREYLMLLGVLAASVTYQAGLKPPGGLWQENGNGHLAGHYILHDINKGRYYAFFYSNSISFMASIVVIALLLPRMLNNLEIPVWPMHTAILLDMLGLLCAYAAGSTMRWETSRNIIALVIPVLVYMAACTALSFFHKEDQKQISASTCQASTNS >ONIVA06G13130.2 pep chromosome:AWHD00000000:6:10502582:10520647:-1 gene:ONIVA06G13130 transcript:ONIVA06G13130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTVVLDLLGLLLAYVSGSSMRWEPSVFVIVMVVAALGCAAAHKFLLPTGSLHLELTEVLAMAQGDDATEQQPYWEYTLRKYLLLLASLVATVAYGAGFSPPGGAWQVTADGHTAGDPIIRDLYYGRYLVFFYCNATAFASSLLVIVLILLFAVLHEKRNMWVTVMPLRVVMVLDLLSLMGAYAAGTCRDATTTRYTAVLVAAVFVYLVVQMVLASLAGGDDEDEHVVTKERSRKVLLLLATFATSLTYVAGLSTPGGFWADGVAGHRAGEAVMGERHPARLTAFLLCNTTAFVASLLIIVLLLDRKLRDGTVRSWELYGCIVVALVGLVGAYAAGSSRAEHTTVYVLALVGAVLVYIAFHLTVVPCVKQALGNTKLARIYSSMSKRRYCPKQDQEVDQATIDREAEHNRAMEKARSLVLLLATLAATVTFQAALDPPGGYWQDDNGDHKAGNPILLTTNPRRYKAFFYCNSTAFVSSLLAIILVQSRSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSIYVMAIAGAVLVYVVIHVVFFTLDQRGHDEDVLLEKRRKRLLLFAILSATITYQAGLTPPSGFWQDDSDGHCAGEPVLFSNNPYRFKAFFYCNTTSFMSSIALIILLVNPNLYRPAIQSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIFVFALVLIFVAILLVVFVVRQNHGNTTTRQNSQGDQNQRGDNSGSENHTKRKYLMLLGILAASVTYQAGLHPPGGVWQSDDDGAGHAAGNPVLRDNRRRRYRAFFYSNSTSFMASVVVIVLLLPESASPHVNEWLLKAMNTTIVLDMIGLLVAYGAGSSREWETSGYVIAMAVVVLGYIAVHGVLSTFGQGHKAGGNNPQITPELDAESQEPGNGRRTAAQGSYMHYPSQNLGQPIISSGAIFLLLQPQQDMSSTNKIDTHNRNGSSKEKKSNPSSSSEYQLKNHLLLLVTLVATVTYAAGLNLPGGFWQDTQEDHLAGDPILPGNHKEQYIMFYYCNATAFAASLVVCLLLLVLDKENSGCAAALRVVMLFDLLGLMGAYAAGSCRDEFTTIYSSVIMSMVFAYIVPSLFTYAVSKLKKKDKNHGKQNKDSGEPKDTEKQTWYPDKHKREELHEVLMLLATFAVTITYVAGLNPPGGFWGSTQDGHRVSNPVLQDINSRRYKAFFVCNTTAFVASLLIIMLLLDKRVNTEQMSLQFGELYGSIVVVLFGLVGAYAAGSCRDTDDTVYVAVTRFLKKRIKNDGHTERSISSVKSLIRNEDGSRNTKRNVAMEKARSLVMLLATLAASITYQAGLDPPGGLWPDDRDGHKGGDPILLTTNPARYKVFFYSNSVAFVTSLVAIIMVQSKHVLKNHTLEAAMLLDLFALITAYAAGSCRDVSTSIYVVALAGGVLVYVVIHIIFFTLDNMDNEHHDPDEEDKKREVLLLLAILVATLTYQAGLTPPGGFWSEDDNLGHHHRAGYPILLENYPPQYEAFFYCNATSFMASIALIMLLVNPNLYRPGIKCYALYVCMVAGMFGLMGAYAAGSSRRLRTSIYVLTLVGAVFALVALQVAMFWNKRTSKTGGNMTNSSAQEGGSTDTEASQPAPLIKGMGSTSQATQITDITDAALRNTDKGEGSSEQGGSRINSVTSEPGAHGTGNGASLQEANSEEDKSGSTEKDMREYLMLLGVLAASVTYQAGLKPPGGLWQENGNGHLAGHYILHDINKGRYYAFFYSNSISFMASIVVIALLLPRMLNNLEIPVWPMHTAILLDMLGLLCAYAAGSTMRWETSRNIIALVIPVLVYMAACTALSFFHKEDQKQISASTCQASTNS >ONIVA06G13120.1 pep chromosome:AWHD00000000:6:10479309:10483053:-1 gene:ONIVA06G13120 transcript:ONIVA06G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDTGTTPPATADQQPPKPPSWEYQLREYLLLLSSVVAIATYSAGLAPPGGVRQKDAGGGGQYKAGDPTLQDIASAGGGAAHARYLAFYYCNATAFAASLVVNLLLLVLEEASTVGLAMLRTVMVLDVLALMAAYAAGSCRDLPSTVYVSTLVVALSAYLAIRIIYQTGRNPLISATTTTTTSPAAAAAAAGDDDDNQLRKVLMLLATFATEITYTAGLGPPGGFQDDGGPTLRSAGRGQSARLAAFFYCNTAAFVASLSIVVPLLSSRLQRMHLELYPPILAALLGLMGAYTAGSSRDLRTIAYVVALVAAVLAYILLAMAIALKKKKHDVDLAGGVEDSETARPPRNEKDGQLEGEKGSKNNEPMKDNDFVLLLATLAASITYQAGLDPPGGVWSEDDKLYGRKAGDPILLSTHVERYKAFFYCNSTAFAASLVVILMVQSKIVKGKALVIATMILDLFGLIGAYAAGSCRDVSTSIYVIALAGAVLVYVVIHVVFWPDDCYVSNQKDKEVEKRRERLLLLAILVATIAYQAGLTPPGGFWDKDDGESGHRAGVPVLLDNYPRRYHAFFYCNATAFMASVALIILLVNPKLYKLGIRCYALYVCMMVGMFGLMGAYAAGSARKVRTSIYVFVLVGVVIAFLLVQLVYFNIQAVWKQLLVFLNVKKEPTSNSDSANTTNGSSSDSEQNIASNTEEESKKKEYLMTLAILAASVTYQAGLNPPGSIWQDGGNVGNPVMRDNNYPRYNAFFYCNSTSFMASIIVIILLLQQYQKKYGGFLLYAMNMVIVVDLLGLLGAYAAGSCRDWETSGYVIALAVVVLACIMIHFMLLYHNGRSKGRVGGVQEINTLPVNHS >ONIVA06G13110.1 pep chromosome:AWHD00000000:6:10430987:10434610:1 gene:ONIVA06G13110 transcript:ONIVA06G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDYIFLWKWRKYLLLLATLVAGVTYDAGLNPPGGVWPDDTGGHATGDPVLPVTFHSRYLAFFYCNATAFVASLVVIMMLLDRRVSGNRVGVTVLRSAMVLDLFALMGAYAAGVSRDVLAVAYVSALFGLVFAYVALHIVVATSALPPVEWLRASAKRLAGKAEELLRKGDDEEAASASASMTTRRVEEDRQERRKFLLLLATFATPLTYAAGFDPPGGFWDSTGGGHTAGVPVLRDGPSRSRYRAFFYCNATSFVASLAIVMLLMSRTLSRRVARSYALQVCVMVELLGLVGAYAAGSNRKRETTAYVVSLAGAVLVYIALQVVVGMFAMAAIKRWLVGLCRILQCRRSMEPAEHLRADDVTHDHRVQYLEMKPCPATPNNTPCEVRAPDGDVTGGGGGDGDEGDADTVEESRSLLLLLATLAATVTYDAGLNPPGGFWPDGGRAGELILLDTHPRRYKAFFHCNTAAFVASLVVIVIVQSRQLSSGAVVKRRALQAAMTLDLLGLMGAYAAGSCRSAAATVYVSALAVAVFVYSLGNVVAFTTMGRSRETRLMRWVDGMVQKVLERLHLWDGQAEEADDDLERKRKFLLQLAILAATVTYKTGLNPPGGFWSGSDDGRAGDPVLVDHYRNRYMVFFYCNATGFMASVAVILMLVNRRLYKQGIRCNALNACVVVGLLGLMLAYAAGSCRRLRTSAYVIALVAAVVGFLLLQILLFLLAKRVVPATLEHRLPPWLLALFEPLTPPPRKAAAAAGGEDDKQQDSGERHTEQYMKRKYLMLLGVLAASVTYQAGLSPPGGTWGGDGAMVAGGSATYHYAAGDPVLHDTDRARYHAFFHCNATSFVASVVVIVLLLLRRRRRRGAPAAPLWAMQSAVVLDLLGLLGAYAAGSCREWETSAYVVALVGAVVVYIALHVLLSFDAVAAKAERLKVWRYFGESSDNNNQTGGGAAV >ONIVA06G13100.1 pep chromosome:AWHD00000000:6:10423211:10424333:1 gene:ONIVA06G13100 transcript:ONIVA06G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRPSRARGPVGDGAGVVGGEPAMSRFAEAWVIEDECALAWVVDGEVGVVDGGRDAVEIAHLAAAVLDRPRLPTRAPARRPIVRDVVPRHAAATRAFGGGNIPHACAYLLVLSSPSTLQSQSAICRPHHAACCVPLPTHCTHTHTNANAEMTPPDTDAHATDMARDH >ONIVA06G13090.1 pep chromosome:AWHD00000000:6:10419146:10419737:-1 gene:ONIVA06G13090 transcript:ONIVA06G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCLVEPHPPLSPVTRRDNDDDANWVFCLLQRLLIKAADQSTTTPAAGEDGSTDRCRPGTRLPQLTPRPPVRRNAKGGDEGVAPAVHARKVM >ONIVA06G13080.1 pep chromosome:AWHD00000000:6:10417745:10419073:1 gene:ONIVA06G13080 transcript:ONIVA06G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVELNLQIVVIIKNKRVYHLSTNKKEIKHRHHKASTGLDVMLNLLLQSSCGPKVEDNKKNMLSCCFMQEEGGKVFTGRMCATLPWWSTWRGRWIHLRDQPWLWLRGEDTFGGERRSIQAKLANPRAIISNGWYSINHNRDDGISECQSGDIPHQFPQCKISSRQELPTILRDKWLTVRTWMTLLLKLVSKAMPVTKLSTWELVGSSRVTAVAKWNP >ONIVA06G13070.1 pep chromosome:AWHD00000000:6:10412355:10418684:-1 gene:ONIVA06G13070 transcript:ONIVA06G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFFCCCPLVYYIIKGSLTYCSSDSQSQILRNHMENGRQPKLTIALTNTTDVLIPVNGQSEENNTNGDRAEYGMETGSDRQDSMNGLSSRFLLASSHAPSITVDFELLWRLRKYLVMLAILAVSVTYNAGLTPPGGFRTKNTTNGRDAGEPLLRVYFFPRYEVFFYCNATAFAASLVLIILLLSKSVTRQNLWLRSMQFTMILDLFSLMGAYAAGSCRAVKSSIYIWVLVVAVFIYVGIHILLCTRIFPKWLKERVQMLLQKIQAKWGVHEENRSNDQKRNIEEARKFILTLATFSATITYQAGLSPPGGFWTEGSSHPATPILRSNYLLRYNFFMSCNATSFVASLVTIMLLLSPELSMHGIRSKAVIVCVVADLLGLIGAYAAGSCRDIAVSFYVMSVSIIVLTCFVVLAGILVYKPVADWLQKIKPDTLTCIGTIGRVFSLDFKRNRSIDREQENSQANHLQTELEPDAQVKENALQSTDIEEGESHAKNQPSGECQSANSEEAEPDSDHPSAGYQQSMCSTDVVYNLQGQSIDDQAQPTAEESISNTQHPSEKSQHATNYKDGMHQSADNQQDANTKENSSSIDDFKTLKDGISDPEPQSADSHQVTDMKEQSTMTNDLKTTDTEGSMPDPDNRSTDSQHVINKMEQSSSTDEPGTVVTPEEKVSPNVPVGHSEIEIAEDNKIAPHVENGYIDKNERSPNEDGNRNQTAKHLKKCRTYLLLLAILAVSLTYQSGLNPPGGFWTRHEDYHSSGDHILEDTHHPRYIAFFYLNAIAFVASVVMIIMLLNRRMANKVIKRRALQIAMIVILLSLTGAYVMGSCRKTKNSVYISVMVLLVLAYVGIHVLIAIHVIPEGWKRQVAEKLNRLSCRHLWSPLHGSNQTGHGNEKDWERRRNLLLILSILAATVTYQAGMNPPGSVWSDDKDINRTLTGNPVLQENNLRRYNVFYYSNSVSFVSSVVITILLVNKGSCEHGMKFYALRVCLAAGLVGLLIAYAAGSCRKAKQSIYLIIVAVAVLISLMIQVFIMSSTYDKLGKPLCKCMESLLEWIFQTKEVRQDIGSEIQGSPDPGDKSERKRHKYLMLLSILAASITYQAGLNPPGGFWSDDSSDPPKHKAGDPVLHNIHPHRYKAFFCFNAFSFMSSIVVIMLLLSKSVREKNVPLGVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYGLVIGVTIYLLLVTILASSIAKCLRSRKISKNSYEKHHEHPSRSL >ONIVA06G13070.2 pep chromosome:AWHD00000000:6:10412355:10419114:-1 gene:ONIVA06G13070 transcript:ONIVA06G13070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPQFCVNLISAYGFHFATAVTLLLPTSSQVYYIIKGSLTYCSSDSQSQILRNHMENGRQPKLTIALTNTTDVLIPVNGQSEENNTNGDRAEYGMETGSDRQDSMNGLSSRFLLASSHAPSITVDFELLWRLRKYLVMLAILAVSVTYNAGLTPPGGFRTKNTTNGRDAGEPLLRVYFFPRYEVFFYCNATAFAASLVLIILLLSKSVTRQNLWLRSMQFTMILDLFSLMGAYAAGSCRAVKSSIYIWVLVVAVFIYVGIHILLCTRIFPKWLKERVQMLLQKIQAKWGVHEENRSNDQKRNIEEARKFILTLATFSATITYQAGLSPPGGFWTEGSSHPATPILRSNYLLRYNFFMSCNATSFVASLVTIMLLLSPELSMHGIRSKAVIVCVVADLLGLIGAYAAGSCRDIAVSFYVMSVSIIVLTCFVVLAGILVYKPVADWLQKIKPDTLTCIGTIGRVFSLDFKRNRSIDREQENSQANHLQTELEPDAQVKENALQSTDIEEGESHAKNQPSGECQSANSEEAEPDSDHPSAGYQQSMCSTDVVYNLQGQSIDDQAQPTAEESISNTQHPSEKSQHATNYKDGMHQSADNQQDANTKENSSSIDDFKTLKDGISDPEPQSADSHQVTDMKEQSTMTNDLKTTDTEGSMPDPDNRSTDSQHVINKMEQSSSTDEPGTVVTPEEKVSPNVPVGHSEIEIAEDNKIAPHVENGYIDKNERSPNEDGNRNQTAKHLKKCRTYLLLLAILAVSLTYQSGLNPPGGFWTRHEDYHSSGDHILEDTHHPRYIAFFYLNAIAFVASVVMIIMLLNRRMANKVIKRRALQIAMIVILLSLTGAYVMGSCRKTKNSVYISVMVLLVLAYVGIHVLIAIHVIPEGWKRQVAEKLNRLSCRHLWSPLHGSNQTGHGNEKDWERRRNLLLILSILAATVTYQAGMNPPGSVWSDDKDINRTLTGNPVLQENNLRRYNVFYYSNSVSFVSSVVITILLVNKGSCEHGMKFYALRVCLAAGLVGLLIAYAAGSCRKAKQSIYLIIVAVAVLISLMIQVFIMSSTYDKLGKPLCKCMESLLEWIFQTKEVRQDIGSEIQGSPDPGDKSERKRHKYLMLLSILAASITYQAGLNPPGGFWSDDSSDPPKHKAGDPVLHNIHPHRYKAFFCFNAFSFMSSIVVIMLLLSKSVREKNVPLGVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYGLVIGVTIYLLLVTILASSIAKCLRSRKISKNSYEKHHEHPSRSL >ONIVA06G13070.3 pep chromosome:AWHD00000000:6:10413306:10418684:-1 gene:ONIVA06G13070 transcript:ONIVA06G13070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFFCCCPLVYYIIKGSLTYCSSDSQSQILRNHMENGRQPKLTIALTNTTDVLIPVNGQSEENNTNGDRAEYGMETGSDRQDSMNGLSSRFLLASSHAPSITVDFELLWRLRKYLVMLAILAVSVTYNAGLTPPGGFRTKNTTNGRDAGEPLLRVYFFPRYEVFFYCNATAFAASLVLIILLLSKSVTRQNLWLRSMQFTMILDLFSLMGAYAAGSCRAVKSSIYIWVLVVAVFIYVGIHILLCTRIFPKWLKERVQMLLQKIQAKWGVHEENRSNDQKRNIEEARKFILTLATFSATITYQAGLSPPGGFWTEGSSHPATPILRSNYLLRYNFFMSCNATSFVASLVTIMLLLSPELSMHGIRSKAVIVCVVADLLGLIGAYAAGSCRDIAVSFYVMSVSIIVLTCFVVLAGILVYKPVADWLQKIKPDTLTCIGTIGRVFSLDFKRNRSIDREQENSQANHLQTELEPDAQVKENALQSTDIEEGESHAKNQPSGECQSANSEEAEPDSDHPSAGYQQSMCSTDVVYNLQGQSIDDQAQPTAEESISNTQHPSEKSQHATNYKDGMHQSADNQQDANTKENSSSIDDFKTLKDGISDPEPQSADSHQVTDMKEQSTMTNDLKTTDTEGSMPDPDNRSTDSQHVINKMEQSSSTDEPGTVVTPEEKVSPNVPVGHSEIEIAEDNKIAPHVENGYIDKNERSPNEDGNRNQTAKHLKKCRTYLLLLAILAVSLTYQSGLNPPGGFWTRHEDYHSSGDHILEDTHHPRYIAFFYLNAIAFVASVVMIIMLLNRRMANKVIKRRALQIAMIVILLSLTGAYVMGSCRKTKNSVYISVMVLLVLAYVGIHVLIAIHVIPEGWKRQVAEKLNRLSCRHLWSPLHGSNQTGHGNEKDWERRRNLLLILSILAATVTYQAGMNPPGSVWSDDKDINRTLTGNPVLQENNLRRYNVFYYSNSVSFVSSVVITILLVNKGSCEHGMKFYALRVCLAAGLVGLLIAYAAGSCRKAKQSIYLIIVAVAVLISLMIQVFIMSSTYDKLGKPLCKCMESLLEWIFQTKEVRQDIGSEIQGSPDPGDKSERKRHKYLMLLSILAASITYQAGLNPPGGFWSDDSSDPPKHKAGDPVLHNIHPHRYKAFFCFNAFSFMSSIVVIMLLLSKSVREKNVPLGVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYGLVIGVTIYLLLVTILASSIAKCLRSRKISKNSYEKHHEHPSRVSTLPLKQQV >ONIVA06G13070.4 pep chromosome:AWHD00000000:6:10413306:10419114:-1 gene:ONIVA06G13070 transcript:ONIVA06G13070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPQFCVNLISAYGFHFATAVTLLLPTSSQVYYIIKGSLTYCSSDSQSQILRNHMENGRQPKLTIALTNTTDVLIPVNGQSEENNTNGDRAEYGMETGSDRQDSMNGLSSRFLLASSHAPSITVDFELLWRLRKYLVMLAILAVSVTYNAGLTPPGGFRTKNTTNGRDAGEPLLRVYFFPRYEVFFYCNATAFAASLVLIILLLSKSVTRQNLWLRSMQFTMILDLFSLMGAYAAGSCRAVKSSIYIWVLVVAVFIYVGIHILLCTRIFPKWLKERVQMLLQKIQAKWGVHEENRSNDQKRNIEEARKFILTLATFSATITYQAGLSPPGGFWTEGSSHPATPILRSNYLLRYNFFMSCNATSFVASLVTIMLLLSPELSMHGIRSKAVIVCVVADLLGLIGAYAAGSCRDIAVSFYVMSVSIIVLTCFVVLAGILVYKPVADWLQKIKPDTLTCIGTIGRVFSLDFKRNRSIDREQENSQANHLQTELEPDAQVKENALQSTDIEEGESHAKNQPSGECQSANSEEAEPDSDHPSAGYQQSMCSTDVVYNLQGQSIDDQAQPTAEESISNTQHPSEKSQHATNYKDGMHQSADNQQDANTKENSSSIDDFKTLKDGISDPEPQSADSHQVTDMKEQSTMTNDLKTTDTEGSMPDPDNRSTDSQHVINKMEQSSSTDEPGTVVTPEEKVSPNVPVGHSEIEIAEDNKIAPHVENGYIDKNERSPNEDGNRNQTAKHLKKCRTYLLLLAILAVSLTYQSGLNPPGGFWTRHEDYHSSGDHILEDTHHPRYIAFFYLNAIAFVASVVMIIMLLNRRMANKVIKRRALQIAMIVILLSLTGAYVMGSCRKTKNSVYISVMVLLVLAYVGIHVLIAIHVIPEGWKRQVAEKLNRLSCRHLWSPLHGSNQTGHGNEKDWERRRNLLLILSILAATVTYQAGMNPPGSVWSDDKDINRTLTGNPVLQENNLRRYNVFYYSNSVSFVSSVVITILLVNKGSCEHGMKFYALRVCLAAGLVGLLIAYAAGSCRKAKQSIYLIIVAVAVLISLMIQVFIMSSTYDKLGKPLCKCMESLLEWIFQTKEVRQDIGSEIQGSPDPGDKSERKRHKYLMLLSILAASITYQAGLNPPGGFWSDDSSDPPKHKAGDPVLHNIHPHRYKAFFCFNAFSFMSSIVVIMLLLSKSVREKNVPLGVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYGLVIGVTIYLLLVTILASSIAKCLRSRKISKNSYEKHHEHPSRVSTLPLKQQV >ONIVA06G13060.1 pep chromosome:AWHD00000000:6:10393328:10393816:1 gene:ONIVA06G13060 transcript:ONIVA06G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKKTNLGCRNRLAEKGLHGAMDLEQEVEVTEDGGTVPDLDREAEDRQLVEAYDAPADGVARSGWEGRSVGARGRGSGQRRRIATGRERRRWKRRGRGRRKAAAGGGRRSSRRAPTAVGSPTKKTLFYCPWAEPHSELQCPAHRNPHFIWPNPTLPLSFL >ONIVA06G13050.1 pep chromosome:AWHD00000000:6:10390110:10393738:-1 gene:ONIVA06G13050 transcript:ONIVA06G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPWAVKKGLLCGRTHSGRSSATGSAATARRRLAPPSPPPLPPPPLPPRRDPPSLSRSPSASADAPSFPSRSCHPVRRRVVRLHQLSVLRLPVKIRHRAAVLRHLHLLFEIHSTVQPFLCKSVSATKSDSEVLVVRSDWEVGQSMACSRLESTR >ONIVA06G13040.1 pep chromosome:AWHD00000000:6:10386397:10387848:-1 gene:ONIVA06G13040 transcript:ONIVA06G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HP64] MAPPPAVLSSGELGARGHTRPHVVFVPSAGMGHLLQFFRFIGALSAHDVDISVVTVFPTVSAAEADHFAALFRDYPSVRRLDFDLLPFDASEFPGGDPFLLRWEALRRSLHLLGPVIAGVTPRVTATVTDVTLVSHVNPIAKDLGVQCHVLYVSSAAMMSLCSYFPIYLDNKDAGADVGDVDIPGVRRLKRSWLPQPLLDLNKLFTKQFIENGREMVKTDGVLINTFDALEPVALAALRDGKVVRGFPPVFAVGPHSSLASEATKGAAAEAEGSPMAWLRQQPARSVVYVAFGSRCAVSHEQIREIAAGLEASGSRFLWILKTTVVDRDEAAAGGVRDVLGDGFLERVRGRGVVTKAWVDQDAVLRDPAVGLFLSHSGWNSVIEAATAGVPLLAWPRAGDHRVAGTVVASSGVGVWMEQWSWDGEEWLVSGEEIGGKVKEMMADAGVREKAAKVGEEVAKAVAVGGTSHTGILDFVAKLKATT >ONIVA06G13030.1 pep chromosome:AWHD00000000:6:10368882:10370342:1 gene:ONIVA06G13030 transcript:ONIVA06G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HP63] MCSAATPNSGDVRATPGSSRPHVVLLPSAGMGHLVPFTRLAAALCSGHGCDVSLVAAVPTVSSAEARHLAAHFAAFPAVRRLELDLASLDVSEFAGADPFYVRYEAIRRSAPLLAPLLAGGASAAASALVADIALASVVIPVARELRLPCYVFFTASATMFSFLAYLPTYLDANAGGGHAIGDVDVPGVCRVPTSSVPQALHDPDDIFTRQFIANARSLADADGLVVNAFDALEPEAIVALRQGTVAAGLPPVFAVGPLSPAPIPAKDSGSYLPWLDAQPVRSVVYVSFGSRKALPRDQLRELAAGLEASGHRFLWVVKGAVVDRDDAGELTDLLGEAFLRRIHGRGLVTMAWVRQEEVLNHPAVGLFISHCGWNSVTEAAASGVPVVAWPRFADQRVNAGAVARAGIGAWADTWSWEGEEDDGVVSAEDIAGKVRSVMADEGVRKAAASVREAAARAVAAGGRSYRSLAELVRRCRDGLVITNGT >ONIVA06G13020.1 pep chromosome:AWHD00000000:6:10365401:10366396:1 gene:ONIVA06G13020 transcript:ONIVA06G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRLDEGVRRLQRGKKGPSASPPLALVAASTTTTANLGELGGAMAEELRGDGSVAGGLGRASREMDLSLASSWEADPSPASLWEVDPSPTGSGEVDPSLEILGDVTGELRAGRSITCKLEGGRQRIAARHAHALDVALSRQPSISPISAHRRGHVGRLKLCLVSCRC >ONIVA06G13010.1 pep chromosome:AWHD00000000:6:10352037:10363637:1 gene:ONIVA06G13010 transcript:ONIVA06G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPPATAQGSQAVVRRPLTAMWCSQATGGEEVASGGTWGGAGAACAGTVVAGASEEVTGSGVIVPADGLEHNEGEHEHEGMDSSWIPDGAVTFFFSHIDDVFINRMDPSSTYLLDVRIMGKTCRPEFPGGFSFKWPIDVDVTNFKDFDSNEGGTSQASKSDAYLENPFPHYEHSDDSSSESDDSIARTDNVPEVEGGDDMSITDSDDEEKLKRKSKPKSTSAATIATENIDPTAMSSQILASLSSSMAIVPLQQVAAPVAKGKGKEKEKEKGKGKVKGKGKGKKDEKEDKDKKIKRKPSPTVQATTPPAKRRKNNEVPQDSPAMRTRNKKSSPAMGTRSKRRIIDLSFLLSHVLFELICIKHAKYSCIGL >ONIVA06G13000.1 pep chromosome:AWHD00000000:6:10343176:10345641:1 gene:ONIVA06G13000 transcript:ONIVA06G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGMRTKISIPRAEHKSIRRQQRRRPREEASVVGGGSSSRRRPQRRRRLSRRHADLTGEASGGSGGGARGIRRRLRRQSRGEKAAVEAAALAEEAATVATSLAEEAWAEAVFVGVRGFDEVCVSHVTAAGALVVGPPSAERAVLTANHQRHQLRALFSFPWRQATKCIEERGEFQGREMHTAFIMVAHPNDSYRDHLQMYMDLLGGL >ONIVA06G12990.1 pep chromosome:AWHD00000000:6:10337414:10341137:-1 gene:ONIVA06G12990 transcript:ONIVA06G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDFAPPPPAATETACFSSSLAHSSRPRRSDSSLPPLLRVLAGAAAAVLLLPHRRGCPPCSLLLRPAAGAAAAVLLPHRRGSPPRSLLLRPTADAAAAVLLARSSSAPLPALRRVLAGAAAGADFGPFSGAGTDLDLGP >ONIVA06G12980.1 pep chromosome:AWHD00000000:6:10334869:10336284:1 gene:ONIVA06G12980 transcript:ONIVA06G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:E2CU64] MPSSGDAAGRRPHVVLIPSAGMGHLVPFGRLAVALSSGHGCDVSLVTVLPTVSTAESKHLDALFDAFPAVRRLDFELAPFDASEFPSADPFFLRFEAMRRSAPLLGPLLTGAGASALATDIALTSVVIPVAKEQGLPCHILFTASAAMLSLCAYFPTYLDANAGDGGGVGDVDIPGVYRIPKASIPQALHDPNHLFTRQFVANGRSLTSAAGILVNTFDALEPEAVAALQQGKVASGFPPVFAVGPLLPASNQAKDPQANYMEWLDAQPARSVVYVSFGSRKAISGEQLRELAAGLETSGHRFLWVVKSTVVDRDDAAELGELLGEGFLERVEKRGLVTKAWVDQEEVLKHESVALFVSHCGWNSVTEAAASGVPVLALPRFGDQRVNSGVVARAGLGVWADTWSWEGEAGVIGAEEISEKVKAAMADEALRRKAASVAKAAAKAVAGGGSSHRCLVEFARLCQGGTCRTN >ONIVA06G12970.1 pep chromosome:AWHD00000000:6:10311952:10325363:-1 gene:ONIVA06G12970 transcript:ONIVA06G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT5G47690) TAIR;Acc:AT5G47690] MGAAEEQLKELGEKLEAAPPDPADDLAKLLEQAAECLHGVEQSPGPSVMETIQPCLKAVARDEFLKHHDEDVKVLLATCFCEITRITAPEAPYSDDVLRDMFHLIVDTFSGLNDVNGKSFGRRVAILETVARYRACVVMLDLECNDLIADMFRSFLEIISDNHEPNIVNSMQSVMALIIDESEDIEESLLNGVSLPARKLARHVIEHSAGKLEPYIRKILTSSLDGDGTSTNNSIDHHEVIFDLYQCAPKVLKVVVPYITGELLADEVETRSKAVEILGELFSLPGIPILESFKSLFDEFLKRLTDRAVEIRVSVIEHLKKCLMSNHSRPEAQEIIKALCDRLLDYEENVRKQVVAAICDVACHSLGAVPVETIKQVAERVRDKSVSVKCYTMERLADIYKFYCQSGSDSSVNSDDFEWIPGKILRCLYDKDFRPESIESILCGSLFPPEYPTKERVKHWVTAVTHFDKVEMKALEQIFLQKQRLQQEMLKYMSLRQTSQEDTPDMKKKILGCFRSMSRLFNDHTKSEEYLNMLHQIKDANIWNIFTSLLDCSTTFNEAWSLRVDLLTKLGEKHALHDFVSTLSMRCSYLLVNKEYVKEILSEASDQKSTGNTKLMSSCMDLLTAVSSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSITLLLERLCLEGTRKQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILDCNDDSGDVSAHKSEWSDSTQSCLLKIYGIKTLVKSCQPCKDAQAHPGIDKLMGILKNILTYGDISANMISSTIDKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDVPQFKHNIIEVAQICQQVKMRQLSVQAETNVLTAYPEYMISYLVHALSHDPSCPNIEEHEDVEAFGPIYWRLHLLLSILLGEEGLQHSVPGMKKESFTTIVSIFKSIKYSRDVVDVNKTKTLHAICDLGILIGKKLCQEQINISEAQTVSLPSQLYAPVQKDQNENSVESDEQIWPGCENVLAHFEALMTAKSAEVESPKDKMLIDETDEFGNEVPLGKIVKILKSQGAKKAGRKQKTKSGSINMEKDDDVLGLVREINLDNQENLGESEKSKPKKKRMDAKESNDKPVDFSTPKRKRSVSKSRPHSTKGNKNSDELLLQSVDPDETINSFENKVEGAKKRDDSVDTELVTSPASVKTPVSKGKKGAKKPHAEILSSSPKKSDEAGSSKRTVDSGSLNGSIKRQKPKLVSGLAKCTTHDTGSADLIGKRIKVWWPLDKKFYEGVVESFDSSKRRHTVLYDDGDVEVLNLAKEKWEIVASDDPPVKARKKDHSGRNQGRAQDKSITSSKQTPPPEQEKSKKRPSPPKRKGKPKGLPKNKRRKIGGKSSVDAAGDANIDSDSSSSLAHSDSDNDKKSDGRNEKEVVVAKKAKAEKVSGKGDEPKEEEPDDHNLNSKEESDNETLVRKSPVL >ONIVA06G12970.2 pep chromosome:AWHD00000000:6:10311952:10325363:-1 gene:ONIVA06G12970 transcript:ONIVA06G12970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT5G47690) TAIR;Acc:AT5G47690] MGAAEEQLKELGEKLEAAPPDPADDLAKLLEQAAECLHGVEQSPGPSVMETIQPCLKAVARDEFLKHHDEDVKVLLATCFCEITRITAPEAPYSDDVLRDMFHLIVDTFSGLNDVNGKSFGRRVAILETVARYRACVVMLDLECNDLIADMFRSFLEIISDNHEPNIVNSMQSVMALIIDESEDIEESLLNGVSLPARKLARHVIEHSAGKLEPYIRKILTSSLDGDGTSTNNSIDHHEVIFDLYQCAPKVLKVVVPYITGELLADEVETRSKAVEILGELFSLPGIPILESFKSLFDEFLKRLTDRAVEIRVSVIEHLKKCLMSNHSRPEAQEIIKALCDRLLDYEENVRKQVVAAICDVACHSLGAVPVETIKQVAERVRDKSVSVKCYTMERLADIYKFYCQSGSDSSVNSDDFEWIPGKILRCLYDKDFRPESIESILCGSLFPPEYPTKERVKHWVTAVTHFDKVEMKALEQIFLQKQRLQQEMLKYMSLRQTSQEDTPDMKKKILGCFRSMSRLFNDHTKSEEYLNMLHQIKDANIWNIFTSLLDCSTTFNEAWSLRVDLLTKLGEKHALHDFVSTLSMRCSYLLVNKEYVKEILSEASDQKSTGNTKLMSSCMDLLTAVSSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSITLLLERLCLEGTRKQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILDCNDVRLTLFKFLLSFSILFPFLLQFGVHHQDSGDVSAHKSEWSDSTQSCLLKIYGIKTLVKSCQPCKDAQAHPGIDKLMGILKNILTYGDISANMISSTIDKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDVPQFKHNIIEVAQICQQVKMRQLSVQAETNVLTAYPEYMISYLVHALSHDPSCPNIEEHEDVEAFGPIYWRLHLLLSILLGEEGLQHSVPGMKKESFTTIVSIFKSIKYSRDVVDVNKTKTLHAICDLGILIGKKLCQEQINISEAQTVSLPSQLYAPVQKDQNENSVESDEQIWPGCENVLAHFEALMTAKSAEVESPKDKMLIDETDEFGNEVPLGKIVKILKSQGAKKAGRKQKTKSGSINMEKDDDVLGLVREINLDNQENLGESEKSKPKKKRMDAKESNDKPVDFSTPKRKRSVSKSRPHSTKGNKNSDELLLQSVDPDETINSFENKVEGAKKRDDSVDTELVTSPASVKTPVSKGKKGAKKPHAEILSSSPKKSDEAGSSKRTVDSGSLNGSIKRQKPKLVSGLAKCTTHDTGSADLIGKRIKVWWPLDKKFYEGVVESFDSSKRRHTVLYDDGDVEVLNLAKEKWEIVASDDPPVKARKKDHSGRNQGRAQDKSITSSKQTPPPEQEKSKKRPSPPKRKGKPKGLPKNKRRKIGGKSSVDAAGDANIDSDSSSSLAHSDSDNDKKSDGRNEKEVVVAKKAKAEKVSGKGDEPKEEEPDDHNLNSKEESDNETLVRKSPVL >ONIVA06G12960.1 pep chromosome:AWHD00000000:6:10308285:10310989:1 gene:ONIVA06G12960 transcript:ONIVA06G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductase, 2OG-Fe(II) oxygenase family protein [Source:Projected from Arabidopsis thaliana (AT2G22260) TAIR;Acc:AT2G22260] MMASRSRLRLAAAGENPIPHSKSGGEGGTERKPEEALRREVTDLGGGSEVVHVPRFVPREAAWGWFDYLDKRIPWTRPTIRVFGRSAVQPRDTCYVADEGLTDLRYSGHQPHAHSWDEFPVLKDILKAVHEALPGSHFNSLLLNRYKTGSDYVSWHADDEPLYGPTPEIASVTLGCEREFLLRKKPTKSQASLGSGEVAPKRLKVSAPQQHSFLLKHGSLLVMRGYTQRDWQHSVPKRAKASSPRINLTFRRVL >ONIVA06G12950.1 pep chromosome:AWHD00000000:6:10292367:10293492:1 gene:ONIVA06G12950 transcript:ONIVA06G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALLFPATVIAAACVVLSGGASAAPPGRVFVVGGDGPRGWSQPTGTDETYNHWASRNRFHIGDFLDFKYAKNDSVVVVSRADYKLCSADKPVQRFDDGADVRFRLDRNGNFYFISGAPGHCKAGQRMTVRVMADHAAKGAGGGDSPAGAPSPDGDGDDEDDSGGSYRTPGYGYSSGSPPTPPHGNTSAAAAVSPSRGGGGGGGYHRVAGVAAAALLVLA >ONIVA06G12940.1 pep chromosome:AWHD00000000:6:10284129:10284783:-1 gene:ONIVA06G12940 transcript:ONIVA06G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWLMVLAVLAASVTYHAGLNPPGGIWQHNDGESHVAGTPVHRVLLINATAFVTSVVIIILLMNESFYHSEAKVVALEIIAVLDMVCLMGAYIAGSTRAAPCSIYVTVLTVVVFLYVVYAAELLRKIWWLIIHAPVHDTAATGGGKLPAVPQHIVEQASPHHRCRADDVDSSMSAPPR >ONIVA06G12930.1 pep chromosome:AWHD00000000:6:10262218:10273826:-1 gene:ONIVA06G12930 transcript:ONIVA06G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSLPQDGQARAPGDAEGWNAWLKEMRGWLMVVATVAASVTYQAGLNPPGGFWQDNLRGRGGHRAGNPVLRDSVAARYQAFYYLNSTSFVTSLVIIVLLMSKRFYETNGKLVALLLTTFVDLAGLVGAYIAGSTRYMSSCIYVIVIAGVAFLCVIYAGHVMENVCQFFNMKFPGMKKSPCLDSGGLFGDCIVRPVKAKAGEAEGRQSSEQLMDCSERMSSETTRHNQHDERSERNAWLKEMRGWLMVLATVAASVTYQAGLNPPGGFWQEDDRVAGHRAGDPVLRDSVAARYKTFYYFNSTAFVTSLVIMVLLMSERFYRTETKVAALVVTTFIDLASLVGAYIAGSTRFMSSCAYVIAITGVAFVSVIAMGEVMGIVCDFFRGRSPCMSSCYPLHGRAEGDGLPIHKAEDEEQGDVDLLVIEWYMDFGTDGVLHRKYNLQSNTFIPSQTRQSPSSGGRGRGRAVPVADAAPGELAAPEAINLVPNGKRGMPVLITPSLPQQQGGASAAAFHGIIVLKEESEDPVALRNKWFREMRGWLMVVATVAASASYQAGLNPPGGFWQDDAPGPGGHSAGNPVLRHTSPARYKTFYYFNATTFVTSLVITVLLMSERFYRSETKVVALMIATFLDLASLVGAYIAGSTRFTSSCIYVIVITGFAFACVIAMGEVMEQCCGFVLRTSPCMLSLAQRHWCPVPRSVVDRAARQAKDDLHMMDRVNNKAKAAAPGSSSSSKQRRPCCCLCCAGPPPTDV >ONIVA06G12920.1 pep chromosome:AWHD00000000:6:10248577:10249336:-1 gene:ONIVA06G12920 transcript:ONIVA06G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPEHGGRSSSSSTDPELDQHNKGILEKMHKSLLLLAILAATVTYNAGLAPPGGVWADDADGHVAGDSVLQAHYPVRYSVFFYCNATAFVASLVITMLLLSSTFSFHGYRVRALQAAMALDLIGLLGAFAAGGCRSVRTSAFVLALVAVIAAYLVAHLLLHFWIRSSRCPSHRRELVELLNLHRCHSCCVGAAAAKDDATTVAQAGTEAPAAPKRASSV >ONIVA06G12900.1 pep chromosome:AWHD00000000:6:10234262:10234761:-1 gene:ONIVA06G12900 transcript:ONIVA06G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIISTPNIIILPAHLRTTHHCMLMVIQHCLLMMVLLCRLRNPLHPHLLGTMTMSLLIVMMTMVPFGPD >ONIVA06G12890.1 pep chromosome:AWHD00000000:6:10228865:10231002:-1 gene:ONIVA06G12890 transcript:ONIVA06G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHKLDMILRRMEEFERRRVEAEQTRRADFQSLKNSTEDSHFLVGNKQYKMTPTMCSTKCFNPDVEPNLTVDVVVTCATTAMTFVDLVAAEDAIGATYIYNPIQPMVTPAKCLTNCSNPNDIPDLIVVAVVTCTSTSLASMDLEVGEDVACTTEIDGPDCHKETHTKCSMLGLDVNDGRIWSTKAKMKMLNGWDSKQYLSIMRPIPGLFVKLIQDISPKSHHQAYVEAQVVKNSWKTLVKISHAAYCETTSHVAKKWATDLKGQTKLEDAKFDWNTLGTLVQEDEGNTVWTSEFSVSGVVQKKRLKRDLWSCAFAVNKDMKVVMLLQQYGSANLVIVNLLSVPWDPGGSHLALAIKQGTRLSLWAITSIG >ONIVA06G12880.1 pep chromosome:AWHD00000000:6:10226050:10228146:1 gene:ONIVA06G12880 transcript:ONIVA06G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPLRLRLAVAFLSLLLTVSASAAAASGGGGGFNVSFDSAALAFSDLTLLGDSFLRNGSVGLTRDTAVPSSSAGSVLCSRSVAFGGGGGSAASFAARFSFVIAEQNAGSTGGDGIAFFISPDHATLGATGGYLGLFNSSSSAAKTNASIVAVEFDTMLNDEFGDPSDNHVGLDLGSPVSVNAVDLAAFGVVLNSGNLTTAWIDYHGADHLLQVSLSYSAAKPAKPVLSVAVDLSPYLRDAMYVGFSASTEGSTQQHTIKEWTFQTFGFPSATNSSSFSNTTGNASAQTVPGEAAAGGAASRKKRFGLALGILGPVALAVSFVFFAWVSIRKLIELTSRKNAGFLPELVKGPRKFSYKELSAATRGFHASRVIGKGAFGTVYKAAMPGTATASAVSYAVKRSTQAHQSRNEFVAELSVIACLRHKNLVQLEGWCDDKGELLLVYEYMPNGSLDKALYGEPCTLSWPERYTVASGIASVLSYLHQECEQRVIHRDIKTSNILLDGNLSPRLGDFGLARLMDHNKSPVSTLTAGTMGYLAPEYLQSGKATEQTDVFSYGVVVLEVCCGRRPIDKDDGGGKNVNLVDWVWRLHGEDRLIDAADPRLAGGFDRDEMLRLLLVGLSCANPNCDERPAMRRVVQILNREAEPVPVPRKKPLLVFSSSASIKLQEIAFACGDDVRGGLPAAATSPRSEGGDIER >ONIVA06G12870.1 pep chromosome:AWHD00000000:6:10216864:10217909:1 gene:ONIVA06G12870 transcript:ONIVA06G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAFPNGGAAAPPPPIAAEQLPPAAAVVREQDRLMPIANVIRIMRRVLPPHAKISDDAKEVIQECVSEFISFVTGEANDRCHREHRKTVTAEDLVWAMDRLGFDDYVPPLTAYLRRMREYEGGGSGGGGGGGRGAAAAPAVVPPPPPPPPPPEDAFRYVQVHHPVYAAPGEPVQGYGYPVAMSSALPAPHVHVGVRGGGQHEVFGGGPAPLAVYYGGAPYGEASSRGGCSAADEGSSSSSASPAPVGPNYE >ONIVA06G12860.1 pep chromosome:AWHD00000000:6:10210171:10210942:1 gene:ONIVA06G12860 transcript:ONIVA06G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTLNASTVFFLLLLLLCVSVMSPPFTPASASPIRGASPSTASSSPTTPRRRWRGGGGFTVFCPADDAVAAFIPAFRGLTADAKVALLLYHAVAAHYSEEALKAINGEVNTLATDGGGGGKVLNLTIEEDDDGAGATVKLSSSSGNVARVTKTIQDADPHAVYLIDAVLMPLDVVVNVSSGGGAAAPSPAPVTSPAPAPAQATNPSPSPDSKPDNQPAAEQPPENSASRGGMAAWSLLSVVVPAIASLVLR >ONIVA06G12850.1 pep chromosome:AWHD00000000:6:10159167:10162523:-1 gene:ONIVA06G12850 transcript:ONIVA06G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAMVGGKLAGVGGEKLRCAAAPAAAARSRMKLWMVRATTTVLLWTCVVQLTAVGDTWGPRVLKGWPSCITSPDDDAASATLAAARPEPVVDKAVLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVEHGMYHSMPPISWSDISYYHNQILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNYASLRFTSQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEADDLTRMRYAYPWWKEKIINSELKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMSALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYMGFKKTILLDRKLIVELVDQYNNSSLRWDEFSLMLKAAHANRMGSASKRTVILDRPKEEDYFYANPQECLQDSNLLHTS >ONIVA06G12840.1 pep chromosome:AWHD00000000:6:10141875:10142261:1 gene:ONIVA06G12840 transcript:ONIVA06G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATVAILFYILTAAAAISAAAQAPAESPSPKPSKSTAAATPAKAPTVASAPRKAGPAAAPTTTVATSAPAGGDEVSIPPTPFATVVSPVADGPADAADADFSGAGALKRCGAVAGVAAAIATVTFY >ONIVA06G12830.1 pep chromosome:AWHD00000000:6:10135943:10139582:1 gene:ONIVA06G12830 transcript:ONIVA06G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRSLRPPPPQQAAAQAEAGPGGGEGGGNVDRVLFKNLVEMVPLVESLMDRRSNPSYSRRASMVYTPAPAKKGSDLKSVKSPQSVSVKKRRDPGETGKKSTADSNGENGAVAPVGLLGGENKPKDKDEIVLLRGQIEELQKTLLEKEEALKSAESLVGEMNTLYSTVDELRRQVADKEGLIKSINSQLHNAKIMLADKQASLEKLEWEVKTSNKKVEDLQGDVSNMEFEIGSLMALFEKISENVSGELQDGSLPSSFELEALQSTSEIDKIEVEKIEQEAVTYAEALAAARENPNEEQLNIAAEARLRLQVLVL >ONIVA06G12820.1 pep chromosome:AWHD00000000:6:10124305:10124568:1 gene:ONIVA06G12820 transcript:ONIVA06G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIVGACSIAVGDFASIYTQLNIELAEQAAPWPDWPASSDMTGEHHGVQSRKNGSEAAISIIKIGHVQSGEKQEEKLTNKRGHYS >ONIVA06G12810.1 pep chromosome:AWHD00000000:6:10117350:10118219:1 gene:ONIVA06G12810 transcript:ONIVA06G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFHPVPGLAGRLFGGAAAAAAVAAVEEVRCPRCDSSNTKFCYYNNYNLSQPRHFCKACRRYWTKGGLLRNVPVGGGCRKPKRPAPPPSSSFTGGGRGGGGCGHRDSKSARSAGGGGDDSGSTASATATPAAAPASSNTLSAAVSQPSSVDALSPPPAPMFADQATAFASLFAPPPPPPSQALPAFASFTAQPKAEEDVADAPALAATEQHRSSSAASFAAHSISPPFAAARSSDGPAAAAAAAAADWAPPTAVLDAGMFDLAGAIGGDTSYWNAASWTDHDGTIYLP >ONIVA06G12800.1 pep chromosome:AWHD00000000:6:10112709:10113086:-1 gene:ONIVA06G12800 transcript:ONIVA06G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPISLLSFLSPLLSLLLFSGLVVFRDGGGDGIWGRGSRRAWPSYATSRGSAAATEKTEETDEERTAKACMRNLASVVAAQDLKTILRTKGSAAASSGEEARRQEKSAIMPGKSATAAWPAGMVA >ONIVA06G12780.1 pep chromosome:AWHD00000000:6:10096261:10096854:1 gene:ONIVA06G12780 transcript:ONIVA06G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGQPKLPCHSWARCWRCFSLTPYHLLESAPTPSAQLPPATAATDGDGAQAAEDGGDEPGWQRMAAEPEQRWTRRRTQVPPPITAVPFLPPLVPAAAMGRRRVVARSHPPPELAVNGSPALKIGGNGTSASHLPEERIPASLLPAFHLLPTPVLPLSTSLCRCMCPSHIHLHTRPSGAACHLLLPCHGKVGKEKK >ONIVA06G12770.1 pep chromosome:AWHD00000000:6:10091083:10094940:-1 gene:ONIVA06G12770 transcript:ONIVA06G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAMAATCSALSSLGDIMLVVREEEEETREGGAVELLAAELTAVHAALRDDYLADVPPARLDEQAKAWSGHARELACDAALLARRADGSPDAAPAETVKALLERAADLSRRRPRLTAAVDPRRPAAAVDAGPPATEIVGLDAAKDDLIKKLCDDVDGDEQSEQRLKTVSIVGAAGLGKTTLAKMVYDTLRPRFDCGAFVSVSAINPDKAMVFMRMLRQLDDDDKHESVGGEEPSVSGEAQLVDQLSKFVRDRRYVQYLIVIDDLWDKPSWEMIKHALVENYCGSRIITTTRNFSVADQAGMPYELKPLSAENSKILFLQRIFGHDNKICLDDEFAEVADKILKKCDGVPIAILALASLLAGKIGDKKELYKVHNSIGSTLENSADVKNMRMTISVGYYRLPANLRACLLYLSIFPEDYEVRRDRLIWRWIAEGFIQDGNHEESLFELGESYFNELVNRSMVKLLDIDYSEDGIREEYCCRVHFSVMDLISSLSSEENFVTILNDEQQTCSSNKGCRLSIRGSKASVDTTNQATMMSMLQVRSLSVFSPAIGSINLSEFKVLRVLDLEGCDISQSHHVLNDHLGSLIHLRYLGLRNTRITELTEDVGKLQFLQTLDLADTRVKELPATVFRLGKLMCLRVEFQTRIPSGIGNLVSLEELSDISTRDSPDLVNELRNLTKLRVLKITLRQPTQSTEEALVESLRNLRKLQDLHIYAASGNGHKRLLDLLQDGSWTPPPRLRSFSAEATYISCSPLRLLPAWIAASVVPRLAVLLIQVRELRQVDIDALGKLPVLRTLRVEPYEMKEMIVIGRDAFPCLKECRFRNSDLGPVIQRGAMPRLRIIEFCFGVRQTKDLGNGFDFGLANLGCLEEATVYINCKEATEPEAEEAEEAVKHAADTHPNHANFDMNTYGEELMRFDDDD >ONIVA06G12760.1 pep chromosome:AWHD00000000:6:10089165:10090739:1 gene:ONIVA06G12760 transcript:ONIVA06G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILLPLVVIITSTMFLLLIISTAKKRHHGTANLPLPPAPPSVPVVGPLLWLVCARSNLEPAIRELHRRHGPILSLTFLSPRAAIFVSSREVTHRALVQRGHTFASRPPAIAPFAVLTSGQCTVSSAPYGPLWRSLRRNLTSGVLGHGSRAPLYAPARRWALHLLTSDLAAASGNTGGGVAVAVVDCLQFAMFSLLTYMCFGKRLDRRGVREIEAVQRELFSSYISFQVFAFCPTVTKRLFFRRWQKVLSIRRRQEDIFLPLIEERRKRIKISSMDNDGSMVCCYVDTIISHKLPKEAGDRRLTDGELVSLCTEFLTASVDTIVTALQWIMARVVEQPEIQAKLLDEINRVVSSDKEHVDEEDIKSMAYLKALVLEGLRRHPPAHFLLSHAAVEETSLDGHRIPAGRSVNFSVADVAHDENVWSRPEEFLPERFLDGGEGAGTDLTGSREIKMMPFGVGRRICPGLGLALLQLEYFVANMVREFEWGMVDGDCGGGINLAERPEFTVIMEQPLRALVVPRRRE >ONIVA06G12750.1 pep chromosome:AWHD00000000:6:10058906:10067200:1 gene:ONIVA06G12750 transcript:ONIVA06G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCIRHSPGRCQCYPSPPTTSQRSSSATHTGNFHRMDESPRVTTAPPPQRHTNNGRSIVVILKSESPITGYVLGLPNPNSILPGTVRENGQGMVLQINIPPVLFLLCFPPSLIIFLVDGHGADSQQESVAVGGNETVAAPAREIGAPGRRGAGAGGRVFYVGGTPFALYREGSAGRGVVGNAASAAGMGAEEEEEPASAVGREGGGGGGGARAAGAGAGGDTADDDDSGESAAAVVPCSICLDAVVAGGGDRSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRQIERGNWLYANGSRPSQDVSNDDWGHDEDFYDANQPETSRSVFLPFRFQWCPIGRLAQLPSVFDEGESAPPVTFHDFMGQNFTSEHLPVSAPGATPPGPYIAYFQPLQSSASSSSSHVTERTMDGTTYHDHWNPLPGPSDGRPLATVHPIDFHHNHWTHLPNSYSQPNSNNGVAEQMAIPVVPMRVGGLDSDSQQRGSLPSVYGNGSGSRSRIPSVPPMAPQFMRPHGNINEQYQQNSSSLYAAPQRRTAVQAVQDSMNFTLFPQAPTGPNSMETEDAGGNQFYAWERDRFAPYPLMPVDSEANWWGSTPQSHGVTDHSAAPGRRLFGQWIGAGRSPPPPPAENRSPDNSSYRQMHIPRM >ONIVA06G12740.1 pep chromosome:AWHD00000000:6:10009835:10011787:-1 gene:ONIVA06G12740 transcript:ONIVA06G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLESPVQTQMAVSALNRALSSEYPSKSRSEGRASGWKRIFVQTDTGCVLAVQLDRGDNAHTVKRKLQLALNVPTEESSLTFGDRVLKNDLSTIRNDSPLLLTKTFMHRSSSTPCLSPTGKDIQQQRDRGGPIELLVCPSRCSRTKQLVKDVARAIRNGVDPIPVNSGLGGAYYFRNSKGENAAIVKPNDEEPFAPNNPKGFTGKALGQPGLKRSVRVGETGFREVAAYLLDYDNSANVPPTVLVKISHPVFNVNECVSSANMKASKDYPGAVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLTGPGKFGNQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSDDELDYIANLDPMKDADMLRMELPMIREACLRVLILSTIFLKEATSFGLCLAEIGEMMSREFTGMEDQPSELEVVCMEARRLAIEREESSTEIDSGDEDATQFELDCEDDHEMLKAQSAYHFELKGGSSRNPLSKLDEAIEEEEDDIEEEESNAEKLGYPKAINKWLPNISKLSTSLNGVRLGDKIQCQLPAAPKIMDPVKIFEGNSNHSGSQVGNWRSANEQLPTSASFVKLADMGSETWALFLEKFQELLPEAFRSRKCGAAGQRARQRLGTSCQF >ONIVA06G12730.1 pep chromosome:AWHD00000000:6:10005160:10013057:1 gene:ONIVA06G12730 transcript:ONIVA06G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15730) TAIR;Acc:AT5G15730] MVDRAADVVIGVTAGVAAAVAAAALVLLAICLYRRRRASASVAAPARSPESSTATLRANGSLNSSVSLSVASDWDHHPPPAKRAAAFWAWRGGANNGSHSPPPVSVSGIPKYHYKDLQKATNNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVDKGQRILIYEFMSNGNLASLLYDDNKRSLSWQERLQIAHDVAHGIEYLHEGAVPPVIHRDLKSANILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTSKFTKKSDVYSFGIILFELITAINPQQGLMEYIDLAAIGGEGKADWDEILDKNLIVGNIAEEVRILADVAYRCVNKNPKKRPWISEVTQAISRIRQLQLMKLDTLNLPRSETRTVLRRIEHQHVELTDLTSMKELTPITA >ONIVA06G12720.1 pep chromosome:AWHD00000000:6:9999146:10002774:1 gene:ONIVA06G12720 transcript:ONIVA06G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAGDAPPAAAAAREEEEEGVSCGICLTDARRAVRGELDCCAHHFCFVCIMAWARVESRCPFCKARFRTITRPPVPGRFPSQRVVAVPERNQACNPSGNGSSTVDADLYANTSCSVCNLSNDDELLMLCELCDSAVHTYCAGLGTEIPEGDWFCTDCMTAKEDHSRCEIDDDNSSDHGEFKITIEVPIADPVAAPSISDIVDEGHSPNLVQRSSVQSNRPSISDPVPSIYDIVDDDYTTIPIGRVNARSTRLDSRAERLPSQGISVGPQCPESPQERENSRVCSHARSRIESERARTLRNSRNLGSRIRELRENWSALRSGSIGFATQLHNRRRGNVAGTCDIEERHRSTTTFMEVAASSSGHAKKISPKNSSDVHKAWKMLEMAKSSGGKKKPDNPSSLNCSVPFSMGNRSTSYSPIDAILGHKNNKLYDGITQKNNAEQHHSTNMENKPPTMNFGECRKLQEKFHGSAHGRTPSTIMRQESLTGKVSSSSNNKKHNRSINMENRPPTVNFGEHRKLQESASVHGRIPSTVMMQENLNGKVASSSNNEDAGQIFESSRDVSRPEKSKPVVSCPLTFSLLSGQSMVTLSQQLRPGWSQSTEMVSSQEPSATAASIDIGTAGANDKVKGSRPDRLERKRKLGSETHDDKGSKRSMSSCKIRKSDISFLAIRELKLLNIDKTYGSDTFKEVARAATHTVLASCGLEHSPSVALALPRPVCKHTCKTEPLPSPDVLTDFCRECLCNFVKEVISSLLSGRKME >ONIVA06G12710.1 pep chromosome:AWHD00000000:6:9996157:9996438:-1 gene:ONIVA06G12710 transcript:ONIVA06G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSLLHSDLAAKLVTTLMAMYYDLAAFVAEALGQAGKKWDWGEVLPSGMGEEEFASALSIKLQVVAKIMVVVVVVGAGGGEERDREGGPKCK >ONIVA06G12700.1 pep chromosome:AWHD00000000:6:9992525:9993952:-1 gene:ONIVA06G12700 transcript:ONIVA06G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HP31] MATTTTTSDPAAAPAPAPHVLLVPYPARGHMQPLLHLASRLAAAGLRLTVVATTSTLHLLSPLLAEHPSSVSPLTFPSFEHDTSGPTSVGVDLHALAALREPLGEWVRARARSGGEGGRVVAVLSDFFCGWTQPLAAEAGVPRLVFVPSGVLATAATHSLFRRMPRPPPAAAGREYAVEFPGLPGAPAFPWRQLSRMYRSYVVGHGGEHAEAIKNNFLWNLESSAFVCNTSRALEGRYLDAQPLEDLAGKRVWAVGPVAPEFTADESAGEIIRWLDAFPDASVAYVSFGSMMALPPPHAASLAAALERSKTPFVWAASTATLPEGFEERAAAASASASAAGLVIRGWAPQTAVLRHRAVGCFVTHCGWNSVVEAAAAGVPMLAWPMAADQFFNARLVVDEARVGAPVSLGGFGHVPDAGELAGVLREVVGEAGGELRARAKELAARMAEAARGDGSSRRDLDGMVRELWDLGSRS >ONIVA06G12690.1 pep chromosome:AWHD00000000:6:9988168:9991033:1 gene:ONIVA06G12690 transcript:ONIVA06G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRLKPRSARGRSRYRRSSCPNVFDTNDELWRFKRKLLMTASDTSLPSLYARYIRDICRHGNAGDPGMSGKPTGLSSSFSSSRLGITRNRECITAAPYTPDGENTTRGTPSSATRSCVHPQKKSESTATTRSGVSARARAHDASGARSPANATTSLPQSEGGNPLALSTPAGMAGWEGNGRMSAEMAPPPPPPGCTAAAVARMSGAMAGVVTTVRRRPPRDARRRTRSSIGMRWPCAGNGMTRTCAAALPLVAGAIAVDGRGGAESG >ONIVA06G12680.1 pep chromosome:AWHD00000000:6:9981092:9983600:1 gene:ONIVA06G12680 transcript:ONIVA06G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTDAGGDAAVHVLVVPYPAQGHPIPFIDIVRRLASHGGLRCTVVVTPATAPLLAPHLSSSPSLSSRSRGCAARSGRGRATGRTRPTASSRCCPTSSAAARGRAWFEARRVLASRRVRGFAATAPASTARPASAASPRTEAFGHASSRRASFRRSSSHCTASDSVSLRCPATGHVSFTAPAGGAATATAPAGQPQLLTPQPQLSCLRTSHGRPLLRRCFRHSRLADDAAPARSLPCLAVDAAPAPPQPRSDGGDAGSSREGARSGGGGAGSTATTPRRRAAFLIRFETDYLL >ONIVA06G12670.1 pep chromosome:AWHD00000000:6:9978496:9988940:-1 gene:ONIVA06G12670 transcript:ONIVA06G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATSGSAAAHVLVIPFPAQGHLIPMLDLVRLLASRGGLRLTVVTTPAMAPLILATAAAVHPGGGGGGAISALILPFPSHPAIPAGVESAKGFPPSLCGKLVVAFAGLRAPLASWARARADTPDRVVAVLSDFFCGWTQLLVAELGVPRVVFSPSGVYGAAVMHSLFRVMPRREDENDDESPVGFPDIPGSPAFPWRQMSRMYRAYKEGNEVSDAVMSNFLLNLQSSSFVSNTFGQLERRYLERPLADLGFRRVRAIGPLAPEADDDASGNRGGETAVADGRVGPLRFADRSVVYVSFGSMAQLQPPHAAALAAALERTRVAFVWAAGSHTPLPEGFEERAAGGRGTVIRGWAPQVAALRHRAVGWFVTHCGWNSTLEAVAAGVTMLAWPMAGEQFVNARLLVDELRAAVPLCWGGVPTPPSADEVARVLEATVAADGGEAGGEWSHVAARVKELAEEAAAATREGGSSWVEVDELARELRELGSEPARRRTSPHLSQCCCSVVRIPFPTPLRPARLSTDHAYDVVEVADHILRGGASEAGTTRGGVAEGLGARRRGRGRPGGAGRSGGRKAAYTPAGENTTCFKPSSAASGCIHLQRKSDSTATTRSGVSALSRAHDPSGPRSPANATTSLAKSSATMATPTDAAAAHVLVVPYPVQGHLIPILDLVRLLASRGLRLTVVVTPATAPLLAPLLAAGHPGGGGGVSELTLSFPSHHAVPTGLEVAKGPPGAAPRLFPMHVVAFAGLRGPLESWARARAGTPHRVVAVLSDFLCGWTQPLANELGVPHVVFSPSGVYGTAMLHSLFRAMPRPADENDDESPVRFVDIPGSPAYPWRQLTRAYRTYKKGDEIDEGFKSNFLWNLESSSFVSNTFRQLEGRYLERPLADLGFRRVRAIGPLAPEADASGNRGGETAVAASDLCASPTADDVARVLEATVLAADGGGVGARVEELAVEAAAATREGGSSWVEVDELVRELRGHMQS >ONIVA06G12660.1 pep chromosome:AWHD00000000:6:9950914:9962808:1 gene:ONIVA06G12660 transcript:ONIVA06G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTDAAAQNLHATMATPTDAAAHVLVVPYPALGHLIRILDLVRLLASRGLRLTVVRHGPAPRRRAPGRGRLRAHPAVPKGPPGAAPRLLPTRVVAFAGLRGPLGSWARARAGTPDRVVAVLSDFLCGWTQLLAAELGVPHVVFSPSGVYGTAMLHSLFRVMPRPADENDDESPVRFVDIPGSPAYPWRQLTRAYRTYKKGDEIDEGFKSNFLWNLESSSFVSNTFRQLEGRYLESPLADLGFRRVRAIGPLAPEADDDASGNRGGETAVADGRVGPLRFADRSVVYVSFGSMSQLQPLHAAALAAALERTGAAFSAAASGRGMVIRGWAPQLAALRHRAVGWFITHSGWNSVVEAVAAGVAMLTWPMVADQFMNARLLVDELRAAVPVSWGGVAAPPSANEVARVLEATVLAADGGEVGARVEELAVEAMAATREGGSSWVEVDELITTKTHRHGRVGAPRRCRLEKKERGGGGSPGGLAGAIYSLHPAGEAGDNGDHAWDGRKMVAARSERGWRREALITAITPYVMATVTDAAAHVLVVPYPAQGHLIPFIDIVRLLASRGGLRLTVVVTPATAPLLAPHLAEHTGRGGSGAFALTLPFPSHPAVPAGVENAKGSPPELFAKLVVAFAGLRGPLGSWARDRADTHHRVVAVLSDFLCGWTQPLAAELGVTHVVFSPAGVYAAAVMHSLYRVMPRPDDENDDECPVTFPDIPGCPAYPWRQITRTYRTYKKSDEIAEGFKSNFLWNLESSSFVSNTFRRLEGQYLERPLADLGFRRVRAIGPLAPESDVSGNRGGEMAVAASELCAWLDQFADRTVVYVSFGSMALLQPPHVAALSAALERTGAAFVWAAGSHTALPEGFEERAAAGGRGTVIRGWAPQLSALRHRAVGWFVTHCGWNSILEAVAAGVAMLTWPMVADQFVNARLLVDELRTAVPVSWGGVAAPPTADEVARVLEATVLMAADGGEASDSEWSHVGARVEELAVEAAAATREGGSSWVEVDELARELRGL >ONIVA06G12650.1 pep chromosome:AWHD00000000:6:9923898:9925353:-1 gene:ONIVA06G12650 transcript:ONIVA06G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAANGGAAAAHVLVVPFPAQGHLIPLLDLAGLLASRGLRLTVVCTPATAPLLVPLLAATHQGAVSALTLPFPSHPALPAGVENAKGSGPALFAKLIVAFAGLRGPLGTWARARADTPDRVVAVLSDFFCGWTQALADELGVPRVVFSSSAVYGTAVLHSMFRLMPKREDEHDDECPVSFPDIPGSPSYPWRQLSLLYRFYKAGDEVSEGVKNNFLSNMGSSCIVSNTFRQLEGRYLERPLADLGFMRVRAVGPLAPEPDASGNRGGETAVADGRFADGAVVYVSFGSMAVLQPPHAAALAAALERTGTAFVWAAGSHAAAALPEGFEERAAAGGRGKVIRGWTPQVPVLRHRAVGRFVTHCGWNSVLEAVAAGVAMLTWPMTADQFVNARLLVDEHRAAVPVSWGGIAVPPSADEVARVFEATSAAAAAASEWSEVGARVKELAGEAAAATREGGSSWREVDELARELRELGG >ONIVA06G12640.1 pep chromosome:AWHD00000000:6:9915184:9916890:-1 gene:ONIVA06G12640 transcript:ONIVA06G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSNMTAFLSLLIFILLVAIEARNLVGVERVELPNPASMVTAYWQKMLPHSPMPTAILELLNPPTDVNQGVHGNGYDQVYGNGYDGGYINGYSHSYGNGYSNGYFHKANLHFLEDALKPGSIITPYITGIATRAPFLRRDIADSIPMSTKNFADILAMFSPISLVMADGIQSALDTCEHHRPIKGEERACATSIESVVEFAMSVLGTRDLRAFSPDVPLEGIMLGNMYKVVAVRTVAGLRGDTVTCHTMRFPFAVFYCHAINPTRVYAVVLESEEDGSGSGSGTPEKMEALAVCHLDTSRFDPKTPLFVEHNLRPGDASVCHFVSRDSVIWAPVAAVITHGDEQVSIAE >ONIVA06G12630.1 pep chromosome:AWHD00000000:6:9910556:9911021:-1 gene:ONIVA06G12630 transcript:ONIVA06G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAEFTIGGSQDFYDLSVIDGYNVAMSFSCSSGAGLTCRDNRCRGGRGGIGGSYDGRDAGKEAATPRLESSFLLCLSLLLSRQAGRQVGRRPASIRNMRVICTTPNYKSMLDLKFIMKFRN >ONIVA06G12620.1 pep chromosome:AWHD00000000:6:9893928:9909832:-1 gene:ONIVA06G12620 transcript:ONIVA06G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLIPHRYAGHAASLALPPPPGAPTAMLQFGVSQRCSYNFMPYSLSNNSSRQLSSIGSRLPLQLKSGAFFTTGLMGNPNFVSLRAAYRHGISLRANNIRNSRSFLTLRNTKVTFPIRNKCLFGNPNMRKEDGSVAHSMFHRSEKRKSTLAACGTITDEASTSTSKRSKSGTGTKKTTTRRKSPTSRKKEASEDMKEEKASTKKQRKSVKTSTAATKSRKIGVNQEESKSDISKSKKAADSSKEKKTSSRSKKSSKAKESAASNATAKAEICTMTSVSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKSIRTALKGAENLILASDPDREGEAIAWHIKEMLEQQDALGSKVTVARVVFHEITEDAVKKALISPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFDPQEYWTVDTDFKTQHSGPSNGLNLQSRIKHLNSKKLDQLSIRSQEEAHNIEKRIYSSQFEVTGIKRSKINKNPPMPYITSSLQQDAANKLHFSAGYTMKVAQKLYEGINLSSEEATGLITYIRTDGFHWYQSARGQCVFLCSRVRGGIEEALACLACEQLSAAQATIIAAHDNRIVANSIFISDGAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQEAHEAIRPTSIRRLPSSLVGALDDDSLKLYTLIWKRTMACQMEASRTDMIQVDIGNSEGDMIFHSSASRLDFKGYQAVYDDTEASPSSYNSEVDAVHQDNFEALSKLEVKDLVSPVNVHLSQHFTKPPSRYSESALIKKLEELGIGRPSTYASIMKVLQDRKYVTIKSRVLHPEFRGRMVSAFLMHHFSEVADLSFTANMETELDNVSAGSTEWKGLLKDFWERFNKYCGDASRLDVRKVERMLEEKFGSILFSDLDNDSRICPRYIARTLSDDDDETEASDETQRTFTPRLLGALPDSDEKVFLKQGPYGHYVQVGEDRKGVSPKRAPLSEVKDIDSITLKDAIELLQYPKILGKHPDDDLPVLITHSKAGFSIRHRRTLASLPKSADPKKITLERALKLLTEPRIRGVTTQLVDRCRKPFCMHHLSWYSHWNLHFEQEVASCCHDHGHGSHQFSFLILLLNIFCSCIEHKKTCQIIHP >ONIVA06G12620.2 pep chromosome:AWHD00000000:6:9893928:9909832:-1 gene:ONIVA06G12620 transcript:ONIVA06G12620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLIPHRYAGHAASLALPPPPGAPTAMLQFGVSQRCSYNFMPYSLSNNSSRQLSSIGSRLPLQLKSGAFFTTGLMGNPNFVSLRAAYRHGISLRANNIRNSRSFLTLRNTKVTFPIRNKCLFGNPNMRKEDGSVAHSMFHRSEKRKSTLAACGTITDEASTSTSKRSKSGTGTKKTTTRRKSPTSRKKEASEDMKEEKASTKKQRKSVKTSTAATKSRKIGVNQEESKSDISKSKKAADSSKEKKTSSRSKKSSKAKESAASNATAKAEICTMTSVSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKSIRTALKGAENLILASDPDREGEAIAWHIKEMLEQQDALGSKVTVARVVFHEITEDAVKKALISPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFDPQEYWTVDTDFKTQHSGPSNGLNLQSRIKHLNSKKLDQLSIRSQEEAHNIEKRIYSSQFEVTGIKRSKINKNPPMPYITSSLQQDAANKLHFSAGYTMKVAQKLYEGINLSSEEATGLITYIRTDGFHISDGAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQEAHEAIRPTSIRRLPSSLVGALDDDSLKLYTLIWKRTMACQMEASRTDMIQVDIGNSEGDMIFHSSASRLDFKGYQAVYDDTEASPSSYNSEVDAVHQDNFEALSKLEVKDLVSPVNVHLSQHFTKPPSRYSESALIKKLEELGIGRPSTYASIMKVLQDRKYVTIKSRVLHPEFRGRMVSAFLMHHFSEVADLSFTANMETELDNVSAGSTEWKGLLKDFWERFNKYCGDASRLDVRKVERMLEEKFGSILFSDLDNDSRICPRYIARTLSDDDDETEASDETQRTFTPRLLGALPDSDEKVFLKQGPYGHYVQVGEDRKGVSPKRAPLSEVKDIDSITLKDAIELLQYPKILGKHPDDDLPVLITHSKAGFSIRHRRTLASLPKSADPKKITLERALKLLTEPRIRGVTTQLVDRCRKPFCMHHLSWYSHWNLHFEQEVASCCHDHGHGSHQFSFLILLLNIFCSCIEHKKTCQIIHP >ONIVA06G12610.1 pep chromosome:AWHD00000000:6:9890508:9890909:-1 gene:ONIVA06G12610 transcript:ONIVA06G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRWHVDSDLNPLGFFGTVAERRVRQGGDFSPLTAYALVPLGCLRHVHGDGFLGFRALGNAGQVRVLPAIFIWLAPNIDLPNSPPFIFVL >ONIVA06G12600.1 pep chromosome:AWHD00000000:6:9885043:9887532:-1 gene:ONIVA06G12600 transcript:ONIVA06G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEELLQGHLQLYHHFFSYIKSMALKCAAELGIPAAIHRRGGAATLRDIVADVALRQAKVPHLRRLMRVLTVSGIFAMKQQQPASSGEAVYTLTPASRLLVAGAGGGHDMSPMLRFLVHPTALTPFFSLHAWFRVDDEEEEEEPVAAGGGGGGAAMSLFEMAHGFPRWEMTGRDAAYGAVLNDAMAADSRFVMEVVFREGGGDVFRGIGSLVDVGGGHGAAAAAVAAAFPHVKCSVLDLPQVVRKAPPDAGDVRFVAGDIRCKEAIPARDAGGKVIIINMVIGSGSQRDIFKETQVLFDLYMMYIDGVEREEKEWENIFSKAGFSAYKIMPILGFLSIIEVYP >ONIVA06G12590.1 pep chromosome:AWHD00000000:6:9802504:9806310:-1 gene:ONIVA06G12590 transcript:ONIVA06G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAESTSSTGSPNGTNPLSLWPNALSEDGAAEDAAGATRTTSGEVCLLRGCHLQKLRTGLSSTFAFAPWDFVAWRHRGLAARCGRRRRRKAGGLADAAREYGRRDCRLGLLSWRTAEVAGRSARTRPAWRPPSRPPPCEAGGRGRGEPSLQPLVVRKKWRWGGPSSDRAGGETTGEGCESTTTSGERLTGSVPESTDSSLETEDSRQPRPEDMPERTAARKSSSAEEVANHLFTVAMCVALSTTAGNNCGRHVMRSRCGCMLVAPGAQGIDVK >ONIVA06G12580.1 pep chromosome:AWHD00000000:6:9798059:9802346:-1 gene:ONIVA06G12580 transcript:ONIVA06G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGEHREPLPSSRGIGGSAARLISMKASQHFEQLEEEMYYHMVMLVGPRSEYRIRWIIRNPVIFSQSSESGCKFSVAHPTIYANWYAIATYGLITIRQFLARMCSIEVGLGRHGESNSTIFLAASSYVPNRAGLNHLLPTCKQQWYGNLQANETMVDRSVEWLQLGCSHSPMSANRPLSLHL >ONIVA06G12570.1 pep chromosome:AWHD00000000:6:9794008:9795747:-1 gene:ONIVA06G12570 transcript:ONIVA06G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSAAFLPSLLTGFRHSTPAVAWTEKLEEERKWGAGAAPWGRGWRHGARRRRPWRRRVREKAERRCARSGGGESGDARKHKEVRCWWGGPVEWEGEVGMGYGKLGFFLMGYWVVLGFYINSVSSVISVNRRR >ONIVA06G12560.1 pep chromosome:AWHD00000000:6:9765060:9767676:-1 gene:ONIVA06G12560 transcript:ONIVA06G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTWSRRGLVALLLLAMIFPSLLSPSDATSTYLRRRQVLKRLNKPPLATIQSPDGDIIDCVHISRQPAFDHPLLKDHTIQMQPSSQPSGLYGEATRPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSLTTFGKKTHDMSSHPHSHLAGVTSGHYYGVAYATGDANYYGTKVTINVWQPTIATSGDFSLSQLWISAGSYDNKDLNTIETGWQVYPAMYGDDKTRFFIYWTRDAYNETGCYNLACSGFIQTNPQFVIGGSISPVSTYGDTQYEYDYLVWKDPAGGNWWLQVQGNNVGYWPSSIFTLLQTGVADSVEWGGEVNSPQITTPMGSGHFPEEGFGKATYSRAIQVVDSSNNLKPPNGVGLIAPLPSCYNVMTGSSSTTSWGTYIYYGGPGCPQNSQIEVM >ONIVA06G12550.1 pep chromosome:AWHD00000000:6:9761721:9763081:-1 gene:ONIVA06G12550 transcript:ONIVA06G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLESLAVKRSNASALIALAVSLVVVVPCWAAARFSLPSTRDAVPPPNEYMGGDKEGLQIWKEST >ONIVA06G12540.1 pep chromosome:AWHD00000000:6:9760839:9761487:-1 gene:ONIVA06G12540 transcript:ONIVA06G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRVVVDTREGVVNQGVRGCAGDEDGDIIATVVEEDDAEEPIRTMKDETSHRACQTPGQLGTNTRAGVANTTRARQGTTMNHTPMSEETSREGLQDGRGARTARRRPEWLTMTSVDDEGVEARTTMKTTRGATL >ONIVA06G12530.1 pep chromosome:AWHD00000000:6:9760610:9760810:-1 gene:ONIVA06G12530 transcript:ONIVA06G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQRRELQQPVEVEHGRRHCSPMGRRSGNPKLGGVDACSMTIGYRSRCSRALERVIKPKMPEAER >ONIVA06G12520.1 pep chromosome:AWHD00000000:6:9759775:9760335:1 gene:ONIVA06G12520 transcript:ONIVA06G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRWLLVLFTGIPDPVAPDRQPSRIWIIGGAGHHHHLRSDLLHRHRRSGRTATSSPRHVLRSYLRDPVACRRRPHHLQIHAVRSPAPPPDHGRRPGGRAPVRRLHAARPAIRQGAGLAASTSLLEPVVWTQVDGRPVEGAEASAIGGQEPRPPPRPLGCTGPVSYGPSSPALSTTSTSSRSTCV >ONIVA06G12510.1 pep chromosome:AWHD00000000:6:9756556:9757342:1 gene:ONIVA06G12510 transcript:ONIVA06G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTCEGQIWRWLDPTMARRRGRGRLLYRPDAAAAALVMAGCGRYRGCSDAGNGWCDLDAVVPVTRAPCCTIGSRCTDE >ONIVA06G12500.1 pep chromosome:AWHD00000000:6:9713829:9714478:-1 gene:ONIVA06G12500 transcript:ONIVA06G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGIAVVEPTNGRPRLSPKISIQHHTTNPNNNRCRCQTTTLSLQPPSLVHHPKIQADSGGYHPPLHKVVASTLHLKLPMARTGDPAIGGEKLHEEDIGRQVQETAILHYIELVASTLHLKLPTTRTGDPSIGGEELHEEDIGR >ONIVA06G12490.1 pep chromosome:AWHD00000000:6:9704456:9707905:-1 gene:ONIVA06G12490 transcript:ONIVA06G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELASGAVSSLLGVLRNEALLLGRVGNDVEFIKEEMESMHSFLEHLARTAPPVGGGGHDEQVRTWMKQVRELAHDCSNCIDIYLQHGDPAVYRARRGRCRCLWWASWLVHRVVAQHHAATRLRELKERARDVGERRIRYGVVVHDKAPLAAPSSSPPDQAADTEEEDDDDDDRNQMAAAAADSCDDHRRRLLEPRALDDYCAEKLLGWLKLQAETGRAAAAGLMPSIAIVAQGGADNAGAIARQSLDLAAVHFDRRVWINLRDVHHPWDLPLLPEEILCYILHRCQHQGTSDHVGGEYGRWQAYSYRSDVYNEIHTTIAQMKVDDKIEEIKGKIEQLESGKSNNLEKNKSSKTLNPITLSDKPLGILVEALRFAPMAPELHALYANPNRSNEELCKLHEALQVPQNSLATSNAKKIFKFSYRDLPREHRTCLLHLAIFPPGHKIRRSTIIARWLTEGLITKEDWPTAVRHAERCFDALINRWLVWPSDIGAAGKVKSCMVCDPIHGFITKMAKKQHILDARLSDLWARHFSIFSGLRLRASDGIDKFVHKLPKYSPQLALLKVLDLENCQCFKKNHYLKDICNKILLLKYLSLRGTNVTHLPSEINNLHELEVLDIQQTKMPEHTTRDVMLLKLRRLLAGHVNQRHSHDMGMPIGDEMSLYSSVRIPRKIEKMENIEVLSNVKASWDGNELKDIRKLWRLRKFGVVIHDKDSHLDKLLRAISDLKECLQSLSITVYETKSEGISPNKQLLLPEMFGRLVQPPKLLESLSINGLTHRVRLLPLLAKGSNELAKVTLSGTELKQNDLMVMAVLPNLCCVRFQHKAYTERTLTFKVDEFQHLKYFLVEGVNMTDIIFENGAAPELEHIILSFTNICSLCGIGCLPKLMELQLKGNNSILSLPQDRIAPDMNSESRLLTFKKGEFEQLKNFVVEGPNMTDIIFEKEAAPKLQKIVLSLTNVNSLSGVEGLPKLKEIELKGRKFLLSFFANANQITKVTLCDTLLKQEDMHILANKPNMGSLVLLDKSYDESQLAFNKDEFPKLNLLIVECSTIINISFADGSAPKLEKIVWHFSRKDFCLLSGIANLPEFKELEFNGDFVPDKVRKAINAHKRKPILTHKKPQHHRPAPKEEDEARFPWISNLFSKKQEDRR >ONIVA06G12480.1 pep chromosome:AWHD00000000:6:9697087:9697551:-1 gene:ONIVA06G12480 transcript:ONIVA06G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVLSVVSFFVPKRWVQVRRRRNGRRGGAETELAAGATTPAGGRQRTASNALSRTPTFVCECPLESGGEIVRRRRGHAWDHARSSSRASSAAAEPTPTPLDAALSPRSDVSRREVPTTAQEAEHRRRSRCLLPHCPMSSGLTTTYLSAAASC >ONIVA06G12470.1 pep chromosome:AWHD00000000:6:9686579:9694597:1 gene:ONIVA06G12470 transcript:ONIVA06G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G38840) TAIR;Acc:AT5G38840] MDPSMPPPPPRNPNPSSASMPPPPPPPKLEPASKPESTNPNSSMPPPPPPLPVEGASTSSSMPPPPPPRPAAPPQPEVEGADASAEGGTSASDSEADEAAGNLGRGSRDIEMAEAAAPPPSQQQQPRPRAPYAIPEWSAAPGHPFFLEVLKDGTIVDKLDVSRKGAYMFGRIDLCDFVLEHPTISRFHAVLQFRNDGEVFLYDLGSTHGSFINKTQVKKKIYVEIHVGDEKDMQKLRDARVQQDMLDREASLLRAKNQAALAEGISWGMSEDAVEDSAEDEADEITWQTYKGQLTDRQEKTRSKIIKRLEKITNMKKEIDAIRAKDISQGGLTQGQQTQIARNEQRTSQLMEELENLEETLNDSIRESLGARTGNSNRGSHKASLEEEDDILSDEDDFYDRTKKKSSSHKSSEQQVETADSLLDKKDTITSDIESKKKLVEEEKNKLAKSENADVGDDLDAYMSGLSSQLVHDKIAQIQKELSDLQTELGRVVYLLKIADPMGEAARKRDLKPRETKSPASNDSLRPESRKQNKVAQNKASTEEKLKESCAEKTQVDKPAEEEKGISTNQENGSKPAFSIPKPQWLGDKRTVESEENCIKEESANEEETDNFVDYKDRKTILSGSANGKDLEEAAPGLILRKRKSDQSAANEVESSVESEASAADAVALLLKHKRGLQTSEDMEDENEPQASKRKIKKSKQKRVLGPARPDFLDAGPDHETWVPPEGQTGDGRTSLNDRLGY >ONIVA06G12465.1 pep chromosome:AWHD00000000:6:9737308:9737586:1 gene:ONIVA06G12465 transcript:ONIVA06G12465.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDRGDVLTEYKSVQHKSLFILEMKWIDAFLVVIHKGLLSEATTAVDHIVAMFELQLLEKKVYAQFIMQLQLHGAIQDDLSAYKKRNIADR >ONIVA06G12460.1 pep chromosome:AWHD00000000:6:9681935:9684939:1 gene:ONIVA06G12460 transcript:ONIVA06G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVTERLPEGSSEPLLPTKREGGGGGGEFAGAVFNLSTTIVGAGIMALPATMKVLGLAPGLVAILLAALLTDASIELLVRSSRAAGAPSYGAVMGDAFGWWGRRLLQVCVVVNNIGVMIVYMIIIGDVLSGTSSGGEHHYGVLEGWFGPQWWNGRFFVLLVTTLVVFTPLACLKRVDSLSYTSAISVALAVVFVIITAGIAIVKLIKGQIPMPKLFPDVPDLASIWELFTAVPVLVTAYVCHYNVHPIHNELKDPSQIKPIVHTSLVLCSTVYITTSFFGYLLFGESTLSDVLANFDSNLGIPYSQMLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFSSSSPLSSDNRKFSVMTAVLLLVIFLSANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPHSIAKKWDKILSIFMIVLAIVSNVVAVYSDAYSMFHRKSSPSIA >ONIVA06G12450.1 pep chromosome:AWHD00000000:6:9680796:9695406:-1 gene:ONIVA06G12450 transcript:ONIVA06G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGDLMRMQLFLAEVIHLVLLLVWGWRPELRMVARVEEEDGGLKVEAKRGDEREREDSTARLGEVVVGTVRRRRLGDGDKGEEGGESAAKSAGRWLSEVEQNKSITMNINPLTYLRVIAAGKMKAIHTAAVAPDANDRWAKVKRGPTCQ >ONIVA06G12440.1 pep chromosome:AWHD00000000:6:9658087:9662518:-1 gene:ONIVA06G12440 transcript:ONIVA06G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HP03] MAGAVSREEEEEVRAEGEEEKKQRQGRVLGVLGCSGAQARKASLVESIEAAISELMPPEAAADAEHENFMDVGSHLYHAPLATMELQRAQNSTVNVMHVMEYLAANVDLAKDLVMRCSAVARELKNDDLLGMTEDLDSIIKNIGHELSRIPASTFGSSRFPDGRADANLQVAGHRPRYCDQNSRDGYSEADMSIIPANSRPRRRTLHNSDMPRLVDFLQGMYHESHDIGAHSFNSLPEVAEYVEPLYDSFFCPLTNKVMVDPVTTESGVTYDRKAIEEYFEKFADGSEPVVCPVTKMSMQSKALRSNVPLKSTIAEWIMRNEATRVRIARTALSMASTEAMLLEAIQELKLLAKIRRKNREQMHKIGITKFLPRLLEHKDGLIRCDSLDLLCLLAEDETGKEVIANTRAITRTIKLLSSNSSDERHAAISFLLELSKSELLLENIGSTAGSILMLTTMKFNDSDDPVAAEKAGEVLKNLENCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVSYLGELVQKQEMTINIAGSASEILIKMVHSGNTVIRKAALDVLVQISSDGPNSKTLVDAGAIPVMVEELFIRKIDDEPMGSKTEAAAVLANIVESGLDPDTIVVNKEGHVITSKYSVYNFTHMLKCSMPDDLNLSIIRVLLALTALPKPLMTVVSVMKEQDSSLTVIEFMGSKTEALGISATRLLIALSPQMGHTIAEKLCKAPGQPGRLVKSIGQPGRVTERHAVAATLLARLPYQNITLNLALLEQGAVPTLLAKIEEMQRGEMRVSRHAKTYMEGLVGALVRMTTTLYDPDVLLAAMDHNFTAVLTDLLVRSAGSDEVQRLAAIGLENLSHQSVNLSQPPSEEQRRPKKKNILRRLRDAHTGRVHDNNRKPPPAAQQGRLCPVHRGVCSPATTFCLVEAGAVEALVGVLESNENGRVVDAVLGALCTLMDDAVDVERGVAALAEHDAARHVLRALRQHRDVSAVGGGGGDTGGAVSRRCFWAVERFLAHGGERCVRDVTADRALPSALVSAFHKGDAATKQVAESVLRSLHRMPDYSATYVSVEL >ONIVA06G12430.1 pep chromosome:AWHD00000000:6:9653388:9661642:1 gene:ONIVA06G12430 transcript:ONIVA06G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKDATDLEERSEASEHGQALSFHGGAMFLQEAQIASPAAANNALTSMANPFPIPPGLWNPPSHNMGLGETSFSSLLGMLSAGAPPPFVATPGFVDSTVGFPCYNGGNLGAMINHPFPGIHQPLGDFQNGVEPCREIEDIEIEGSKNVSQTGEKQQGDGETTHAVDSSSKELSMPGRNGGAGHDEGTRVSCSKKRKRSGQDGGVKHAEGGEQLATVGSAQKNEDDEKGEPKRSSVASGKSSGKQIKDNAGSPKEDYIHVRREKISERMKYLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLASVNPTLDFNIERILSKDIFQCRGTTASSAFGFFPDIVHPRLHPPKYTQVGMPSIVNPTDAFGRVIHAPLGTNSAFKEPKHQMPNNLNGEFQDVIEMPFTHDHHGSNDQP >ONIVA06G12420.1 pep chromosome:AWHD00000000:6:9643703:9651711:1 gene:ONIVA06G12420 transcript:ONIVA06G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G23380) TAIR;Acc:AT2G23380] MFCEEGSSESGYVLCVIDSLKKKITSDRFVYIQKRVEENSIKLSPITLHSHNLSKNRQTSTSNSTDLVSNLLTKRKEDALCAVNSRESSPDESEGANCQDECSSTVIVGGNLSTRNSVRPIRLPEVATLPPYTTWIFLDRNQRMQEDQSVLGRRRIYYDTNCGEALICSDSEDEAVEDEEEKKEFKDSEDCIIRMTIQECGMSDAVLETLARDIERAPDDIKARYEILQGEKPEGSSKKVSELNVKMEDVYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKQAPLCSSDEGTPCGIHCYKLVSKPDAIMEIDSHLLVDVEEPTSDNAKDQIGSNKKKLGSSGQKTKSQQSESSSTARVSSESSESEVQLLSNKSPQHSPGLSKNKLGAKGGIKKSTNRRIAERILMSVKKGQQEMSPDSNSIVNGCHWPRDMKLRSDTRSGIKDSVVSSQCNSPSTRSFRKKGTLQMENNSSFVDAQSDSMEDTNNEHSATDGCDSSRKEECVDESICRQEAHGRSWKVIEQGLLLKGLEIFGKNSCLIARNLLGGMKTCTDVFQYMNYIENSSASGALSGVDSLVKGYMKGNELRTRSRFVRRRGRVRRLKYTWKTAGYHFIRKRITERKDQPCRQYTPCGCQSACGKQCPCLTNGTCCEKYCGCPKMCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEYVLDAYRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPEGPGAKDDAQPSTGRAKKLAH >ONIVA06G12410.1 pep chromosome:AWHD00000000:6:9638921:9640172:-1 gene:ONIVA06G12410 transcript:ONIVA06G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMKKKKFCSWTIRRICSPPSSFIQLGQNGSRVRRLGILRQKSGLSGLVVVCHHLPPILHIRSRLSLRSLYLWWYSAAFSDLEGASRGHACYAEEVTELYKKNSKSAPMRRHKGGKKAQEQSGLSPECVSEVPSMREREVANLGSDGVLVLLIRLGLAN >ONIVA06G12400.1 pep chromosome:AWHD00000000:6:9626585:9628973:1 gene:ONIVA06G12400 transcript:ONIVA06G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hd1 (Fragment) [Source:UniProtKB/TrEMBL;Acc:I3RVK4] MNYNFGGNVFDQEVGVGGEGGGGGEGSGCPLARPCDGCRAAPSVVYCRADAAYLCASCDARVHAANRVASRHERVRVCEACERAPAALACRADAAALCVACDVQVHSANPLARRHQRVPVAPLPAITIPATSVLAEAVVATATVLGDKDEEVDSWLLLSKDSNNNNNNNNNNNDNDNDNNDNNNSNSSNNGMYFGEVDEYFDLVGYNSYYDNRIENNQDQQYGMHEQQEQQQQQQEMQKVFAEKEGSECVVPSQITMLSEQQHSGYGVVGADQAASMTAGVSAYTDSISNSISFSSMEAGIVPDSTVIDMPNSSILTLAGAINLFSGPSLQMSLHFSSMDREARVLRYREKKKARKFEKTIRYETRKAYAEARPRIKGRFAKRSDVQIEVDQMFSTAALSDGSYGTVP >ONIVA06G12380.1 pep chromosome:AWHD00000000:6:9605702:9613888:1 gene:ONIVA06G12380 transcript:ONIVA06G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFFLLLLLLVVSSSSPSAALLSAKGVNNEVQALIVIKNLLKDPHGVLKSWDQNSVDPCSWAMITCSPDFLVTGLEAPSQHLSGLLSPSIGNLTNLETVLLQNNNITGPIPAEIGRLENLKTLDLSSNSFYGEIPSSVGHLESLQYLRLNNNTLSGPFPSASANLSHLVFLDLSYNNLSGPIPGSLARTYNIVGNPLICDANREQDCYGTAPMPMSYSLNGSRGGALPPAARDRGHKFAVAFGSTAGCMGLLLLAAGFLFWWRHRRNRQILFDVDEQQIENVNLGNVKRFSFRELQAATEGFSGKNILGKGGFGNVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPFMSNGSVASRLKAKPALEWGTRRRIAVGAARGLVYLHEQCDPKIIHRDVKAANVLLDEACEAVVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSDRTDVFGFGILLLELVTGQTALEFGKSSNHKGAMLDWVKKMQSEKKVEVLVDKGLGGGYDRVEVEEMVQVALLCTQYLPAHRPRMSDVVRMLEGDGLADRWEKASGHSAAAADSLSHSHRTSDPAPPAADFAAAFGRCFSDLTDDSSLLVQAVELSGPR >ONIVA06G12370.1 pep chromosome:AWHD00000000:6:9603621:9604253:-1 gene:ONIVA06G12370 transcript:ONIVA06G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGGGRKWGSGIQQLPAKGGRRKRDNTERNRERRRAPRKKKRVVVGAASADAGDRERWPEARLADSQREPRRTDHQSRLAPLRRHADIGNPAPTHRRPHHRACLPPCTAAQAAFATAPPPHKPPDAATVASSRASSASPPHDTAAAGALPRSGDGGARSTAAWPGSLAVATPGRRRKSPPPLRLRGGHHRGESPAAAFLARQPALPVVR >ONIVA06G12360.1 pep chromosome:AWHD00000000:6:9590887:9593053:1 gene:ONIVA06G12360 transcript:ONIVA06G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFFFFLLLLLVSSSPSTARLSSYGIKNLLEDPHGVLKSWDQNSVDPCSWALITCSPDSLVTTLEAPGQHLSGLLAPSIGDLTNLETVLLQNNNISGPIPAEIGKLANLKRLDLSSNQFRGEIPSSVGHLESLQYLRLNNNTLSGPIPSASANLSHLVFLDLSYNNLSGPIPASLARRYNVVGNPLICEQDCYRMAPMAMFH >ONIVA06G12360.2 pep chromosome:AWHD00000000:6:9590887:9593113:1 gene:ONIVA06G12360 transcript:ONIVA06G12360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFFFFLLLLLVSSSPSTARLSSYGIKNLLEDPHGVLKSWDQNSVDPCSWALITCSPDSLVTTLEAPGQHLSGLLAPSIGDLTNLETVLLQNNNISGPIPAEIGKLANLKRLDLSSNQFRGEIPSSVGHLESLQYLRLNNNTLSGPIPSASANLSHLVFLDLSYNNLSGPIPASLARRYNVVGNPLICEQDCYRMAPMAMFH >ONIVA06G12350.1 pep chromosome:AWHD00000000:6:9581202:9583566:1 gene:ONIVA06G12350 transcript:ONIVA06G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTESEKKKTPVALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGQKGLCIIAGNISPIDVITHVPILCEEANIPYVYVPSKEDLATAGITKRPTCCVLVLTKPAKGELEEDVKEKLKTDYDQVMSEVAEVTSSMF >ONIVA06G12340.1 pep chromosome:AWHD00000000:6:9565283:9579415:-1 gene:ONIVA06G12340 transcript:ONIVA06G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26170) TAIR;Acc:AT1G26170] MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGYGAALTKFIKQHWQEDEENFMPPVVSASEKVIIRQLLLTSLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLISDQSNGCGVHGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHLYENSLRAKALAIVHSCISMLGSMTGVYKRETVSLISSMLDPLMEQFSAILNSPVLSHNPDDWNMQMETFVSSFKVYQLSMIQASEDVDSVGYDSDGSERSLESFGIQFQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIESVLEASQMRFHESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTVGTEVHQYPFLHARAFSILSKFSSVISKEICEQPPPVKVGACRALAQLLPESNQSLNVPNIMGILSSLVDLLGKASDETLHLVLETLQSAIKSCGEQSTLIEPVISPVILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKPKIQQNGLAAGSLDLLTMILKNAPTTVVKAIFDTCFTSIIQIVLESDDHGEMQNATECLAAFISGGRQELLLWGGGQGRTLKMLLDAASRLLDPALESSVSLFVGSYILQLIIHLPSHLSPHFPELIAAIVRRMQSSSITGLKSSLVVIVARLGYNSSLAYIMSEWSQLQSEIQGAYQIKVTTTALALLISTRHPELSRIEVQGHIIKTSAGITTRSKARVAPDHWTKIPLPAKIFSLLADTLAEIQEQAVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEDGDGSYDDDLAKADSLNEVKLSDFLTNIFVKLWESDRPLFEYLCQALTDSQRTAVDKVLRK >ONIVA06G12340.2 pep chromosome:AWHD00000000:6:9565283:9579415:-1 gene:ONIVA06G12340 transcript:ONIVA06G12340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26170) TAIR;Acc:AT1G26170] MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGYGAALTKFIKQHWQEDEENFMPPVVSASEKVIIRQLLLTSLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLISDQSNGCGVHGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHRETVSLISSMLDPLMEQFSAILNSPVLSHNPDDWNMQMETFVSSFKVYQLSMIQASEDVDSVGYDSDGSERSLESFGIQFQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIESVLEASQMRFHESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTVGTEVHQYPFLHARAFSILSKFSSVISKEICEQPPPVKVGACRALAQLLPESNQSLNVPNIMGILSSLVDLLGKASDETLHLVLETLQSAIKSCGEQSTLIEPVISPVILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKPKIQQNGLAAGSLDLLTMILKNAPTTVVKAIFDTCFTSIIQIVLESDDHGEMQNATECLAAFISGGRQELLLWGGGQGRTLKMLLDAASRLLDPALESSVSLFVGSYILQLIIHLPSHLSPHFPELIAAIVRRMQSSSITGLKSSLVVIVARLGYNSSLAYIMSEWSQLQSEIQGAYQIKVTTTALALLISTRHPELSRIEVQGHIIKTSAGITTRSKARVAPDHWTKIPLPAKIFSLLADTLAEIQEQAVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEDGDGSYDDDLAKADSLNEVKLSDFLTNIFVKLWESDRPLFEYLCQALTDSQRTAVDKVLRK >ONIVA06G12340.3 pep chromosome:AWHD00000000:6:9565283:9579415:-1 gene:ONIVA06G12340 transcript:ONIVA06G12340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26170) TAIR;Acc:AT1G26170] MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGYGAALTKFIKQHWQEDEENFMPPVVSASEKVIIRQLLLTSLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLISDQSNGCGVHGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHRETVSLISSMLDPLMEQFSAILNSPVLSHNPDDWNMQMETFVSSFKVYQLSMIQASEDVDSVGYDSDGSERSLESFGIQFQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIESVLEASQMRFHESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTVGTEVHQYPFLHARAFSILSKFSSVQADLGKLKVGACRALAQLLPESNQSLNVPNIMGILSSLVDLLGKASDETLHLVLETLQSAIKSCGEQSTLIEPVISPVILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKPKIQQNGLAAGSLDLLTMILKNAPTTVVKAIFDTCFTSIIQIVLESDDHGEMQNATECLAAFISGGRQELLLWGGGQGRTLKMLLDAASRLLDPALESSVSLFVGSYILQLIIHLPSHLSPHFPELIAAIVRRMQSSSITGLKSSLVVIVARLGYNSSLAYIMSEWSQLQSEIQGAYQIKVTTTALALLISTRHPELSRIEVQGHIIKTSAGITTRSKARVAPDHWTKIPLPAKIFSLLADTLAEIQEQAVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEDGDGSYDDDLAKADSLNEVKLSDFLTNIFVKLWESDRPLFEYLCQALTDSQRTAVDKVLRK >ONIVA06G12340.4 pep chromosome:AWHD00000000:6:9565283:9579415:-1 gene:ONIVA06G12340 transcript:ONIVA06G12340.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26170) TAIR;Acc:AT1G26170] MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGYGAALTKFIKQHWQEDEENFMPPVVSASEKVIIRQLLLTSLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLISDQSNGCGVHGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHLYENSLRAKALAIVHSCISMLGSMTGVYKRETVSLISSMLDPLMEQFSAILNSPVLSHNPDDWNMQMETFVSSFKVYQLSMIQASEDVDSVGYDSDGSERSLESFGIQFQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIESVLEASQMRFHESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTVGTVKVGACRALAQLLPESNQSLNVPNIMGILSSLVDLLGKASDETLHLVLETLQSAIKSCGEQSTLIEPVISPVILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKPKIQQNGLAAGSLDLLTMILKNAPTTVVKAIFDTCFTSIIQIVLESDDHGEMQNATECLAAFISGGRQELLLWGGGQGRTLKMLLDAASRLLDPALESSVSLFVGSYILQLIIHLPSHLSPHFPELIAAIVRRMQSSSITGLKSSLVVIVARLGYNSSLAYIMSEWSQLQSEIQGAYQIKVTTTALALLISTRHPELSRIEVQGHIIKTSAGITTRSKARVAPDHWTKIPLPAKIFSLLADTLAEIQEQAVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEDGDGSYDDDLAKADSLNEVKLSDFLTNIFVKLWESDRPLFEYLCQALTDSQRTAVDKVLRK >ONIVA06G12340.5 pep chromosome:AWHD00000000:6:9565283:9579415:-1 gene:ONIVA06G12340 transcript:ONIVA06G12340.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26170) TAIR;Acc:AT1G26170] MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGYGAALTKFIKQHWQEDEENFMPPVVSASEKVIIRQLLLTSLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLISDQSNGCGVHGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHRETVSLISSMLDPLMEQFSAILNSPVLSHNPDDWNMQMETFVSSFKVYQLSMIQASEDVDSVGYDSDGSERSLESFGIQFQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIESVLEASQMRFHESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTVGTVKVGACRALAQLLPESNQSLNVPNIMGILSSLVDLLGKASDETLHLVLETLQSAIKSCGEQSTLIEPVISPVILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKPKIQQNGLAAGSLDLLTMILKNAPTTVVKAIFDTCFTSIIQIVLESDDHGEMQNATECLAAFISGGRQELLLWGGGQGRTLKMLLDAASRLLDPALESSVSLFVGSYILQLIIHLPSHLSPHFPELIAAIVRRMQSSSITGLKSSLVVIVARLGYNSSLAYIMSEWSQLQSEIQGAYQIKVTTTALALLISTRHPELSRIEVQGHIIKTSAGITTRSKARVAPDHWTKIPLPAKIFSLLADTLAEIQEQAVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEDGDGSYDDDLAKADSLNEVKLSDFLTNIFVKLWESDRPLFEYLCQALTDSQRTAVDKVLRK >ONIVA06G12330.1 pep chromosome:AWHD00000000:6:9561923:9563520:1 gene:ONIVA06G12330 transcript:ONIVA06G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock factor binding protein [Source:Projected from Arabidopsis thaliana (AT4G15802) TAIR;Acc:AT4G15802] MAAPGSGGIPIKADQDSDGSAQSTADMTAFVQNLLMQMCSLDEMGARIDELEQSINDLKVEMGTEGVTPTKPKDEESKPAGSSAE >ONIVA06G12320.1 pep chromosome:AWHD00000000:6:9555498:9561087:-1 gene:ONIVA06G12320 transcript:ONIVA06G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNY5] MPVAGARSGSSNLWSDDGDELNTSPLSAQEDPIAAVMARSIFLRHLPARVSLSSSSPSPVVAGRGWRATRSLSLPLPLPAAYLAVGFSFLLDLRRASICRSAGSPQCVEACPSHLATAESCWREMGFIGDTIESIRSMQVRQVLAQIISLGMIVTSALIIWKGLIVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVHERQESAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGFLPYVGWVTIIMTEKPIIKYLLIGALGLLVITSKE >ONIVA06G12320.2 pep chromosome:AWHD00000000:6:9555498:9561087:-1 gene:ONIVA06G12320 transcript:ONIVA06G12320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNY5] MPVAGARSGSSNLWSDDGDELNTSPLSAQEDPIAAVMARSIFLRHLPARVSLSSSSPSPVVAGRGWRATRSLSLPLPLPAAYLAVGFSFLLDLRRASICRSAGSPQCVEACPSHLATAESCWREMGFIGDTIESIRSMQVRQVLAQIISLGMIVTSALIIWKGLIVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKNSFLPYVGWVTIIMTEKPIIKYLLIGALGLLVITSKE >ONIVA06G12320.3 pep chromosome:AWHD00000000:6:9554826:9555492:-1 gene:ONIVA06G12320 transcript:ONIVA06G12320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNY5] MRLFSRVVASLVTWKKLFWSAGFECQNFRLSFKQCDALAPKQPTETPTELALFGELLAAAVSSRIRSSSKQFSFWFRF >ONIVA06G12310.1 pep chromosome:AWHD00000000:6:9538449:9547071:1 gene:ONIVA06G12310 transcript:ONIVA06G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQRVNPTAAASLTALAVVVLLTASASRPSFLRRFEPSIASLPRAARRAAPADCDIFRGEWVPATAADDGAAPYYTNATCGEIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDAAAFLDLLRGKSMAFVGDSLSRNHMQSLLCLLSKVENPREVPKTADPEFRAVRYESHNFTVAVFRSPYLVTANQSDPAGGMWDLYLDEPDAAWATAVAGFDYVVVSTGIWFNRPTMFYERGRLVGCYSCRLPGVPDLTLRYSLPLAFRTALRALTAASVFNGTVIVRTLSPTSHFEGGEWDKGGDCRRTRPTAASEARMSGLDVDFHAAQVEEFRRAEAAAAASGSAVRMLLMDATAAMVARADGHPSRYGHWAHENVTLYNDCVHWCLPGPVDVWNEMLLQMLLRHQSMYARALTCSPRPDPSTTSPPLSPAVDFHICVSGARSIGDLPLLTRRHGDATGEASSVTVMKRLSIRGLLAGARRTRHAVAAKATTSVPALVALLFFFAAATFSVFSLGSFRSPGAAAGDGAAACDAALARGRGEWVRDAGAAPYYTNATCGFIQDYQNCMKHGRPSMEFLRWRWRPGAGGEGCEPLGPFDAARFFRLVRGRSMLFVGDSLASSHVTSLVCALSQVEAPARSRDAAAGLERWRFPAHGFAVAYFWTPFQVRWRLTRGPPEAVGPERQGEVFAGPSDLHLDEPDERWTSAAKSHDYVVLSASHWFARPAVYYQHGRVVGCHDCGGDDNATAAAIVKKPEHAQRAAFRAVLGALARLDGFNGTAILRTVAPTHYENGGWFDGGECTATRPVNESEDGAAAPEMAATEAEFYRAQVEEFAAAGAARRGNGGGARARLRLMDVTRMMLLRPDGHPDRHGHGGGEHDGFEIDCLHWCLPGAIDVWNDLLLHIIASS >ONIVA06G12300.1 pep chromosome:AWHD00000000:6:9526251:9528085:1 gene:ONIVA06G12300 transcript:ONIVA06G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLFASRAALSLTAALAVAVVVLLTASSRSRRPSFLRRYEPTVVATSLPSAAPPAYSRSAPPSPTPTAVVARVPRDCDIFRGEWVPAADGDDDGAAPYYTNATCGEIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDAAAFMEVVRGRSMAFVGDSLARNHMQSLMCLLSKVENPKDVSTTKDPEFRTVRYESHNFTVAAFRSPYLVTANQSSDPAGGMWDLYLDEPDAAWATAVAGFDYVVVSTANWFNRPTMFHEAGRLVGCHHCLVPGVADLKRTYSLRAALRTALRALTAASVFNGTVIVRTLSPTSHFEGGEWNKGGDCRRTRPTAARMAGLDLDFHTVQVEEFRRAEAAAAAASGGAARLLLMDTTAAMVARADGHPSRYGHWAHEKVTLYNDCVHWCLPGPIDVWNEMLLQMLLLHHRSGEFSV >ONIVA06G12290.1 pep chromosome:AWHD00000000:6:9524415:9524624:1 gene:ONIVA06G12290 transcript:ONIVA06G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRHRWGWRGNDSGDGEEEAVAGVEEDEGMGLERIRWRGSRDVETAVQWRPGWKGGVAPARRGGVAA >ONIVA06G12280.1 pep chromosome:AWHD00000000:6:9518656:9524274:-1 gene:ONIVA06G12280 transcript:ONIVA06G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVSIPNSPLGGTVKQRQKGDAEGNMAADWEGRWSYGDGGGKGLFVTYSNLCFHSASTYGLRLTVYRSNDVLVLLALTYHIN >ONIVA06G12280.2 pep chromosome:AWHD00000000:6:9520756:9524274:-1 gene:ONIVA06G12280 transcript:ONIVA06G12280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVSIPNSPLGGTVKQRQKGDAEGNMAADWEGRWSYGDGGGKGLFVTYSNLCFHSASTYGLRLTYHY >ONIVA06G12270.1 pep chromosome:AWHD00000000:6:9508698:9515010:-1 gene:ONIVA06G12270 transcript:ONIVA06G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKLQVVRPRAVSPLPALAAAAAVAVLLLLLTAAGRPSFLGRYEAITISSVASLPSGYSSESARRAPPAVAVARVPSDCDIFRGEWVPDDGGGAAPYYTNESCPLIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDAAAFLELVRGKSMAFVGDSLARNHMQSLMCLLSKVEYPKDVSKTRDPGFRTMHYESHNFTIAVFWSPYLVTANQSSDPAAGGLWDLYLDEPDAAWAAAVAGFDYAVVSAANWFTRPSMFHERGRLVGCHYCLVPGVPDLTLRYSLRAAFRTALRALAGGAGGAGVFNGTAIVRTLSPTSHFEGGEWNKGGDCRRTRPSTANETRMSGLDLDFHTAQVEEFRRAEAAAAMASGRSAARLLLMDTTAAMVARADGHPSRYGHWAHEKVTLYNDCVHWCLPGPIDVWNEMLLQMLLLHHRSGEFSILGFLPVPMTPLNNLCNFRVKKQYYNPRCLIPAVSLLLLVVILTVSNTYFPFPTTKSRTLSYSSFSSSPGGQKAADEACNIFRGEWVPDPDAPYYTNDTCSVIHEHYDCMKYGKPDLGFVQWRWRPDSCDLPRLDPARFLSSMRGKTLAFIGDSLARNHMNSLICLLTRVAEPTTSWPSSEHTVYHYGGGYNFTVLSFWAPFLVRNELVDADGPAHAVLRTVSPSQYEGGEWNKDGNCVRTRPYRRGEKTLQGVELDFHTLQVEEFEAAKRAVTASGGSGGAVRMMLMDTTEAMIVRADAHPSRSMMKFHEVIKLPSIAHYGLRYVLPAAAVAACVLVLAAVSLPGRVPLPPLLAPEVTKNTADGVGGDRSGCDIFKGEWVPDMSGEPPPYTGESCPVIHGHYDCMRYGRPDLGYVRWRWRPDGGCEMRRFDAARFLAAMRGRSVAFVGDSLARNQMHSLVCLLSRAERPAPWTNGSYAYRFERHGLTVAAFWSPFLVRAVETDPDGPTGSGAGLWSLHLDEPDAGWAAHVGAFDHVVVSAGSWFYRPSMFYDRRGRLVGCNTCLSPNVTDLTLRYSLRMAFRSALRAAATGGARRRGGRAARRTVIVRTISPSHYENGTWNGHGDCVRTRPARRGEWELNAMEKDMHRIQVEEFAAAARKRGKGAARMMLMDATEAMAQRPDAHPSKYRLWQPDKFKVSRDCVHWCLPGAMDACNDMLFHMLIG >ONIVA06G12260.1 pep chromosome:AWHD00000000:6:9505373:9507073:1 gene:ONIVA06G12260 transcript:ONIVA06G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLKTCNMEVETRVWDSFHSDLETLHTTAVAVLRPRRCRRAASLTLPCIVLPPKAAAPP >ONIVA06G12250.1 pep chromosome:AWHD00000000:6:9501329:9504713:-1 gene:ONIVA06G12250 transcript:ONIVA06G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLPVWISIALLIIAASIVASASSLDPSKSNGSDTDLTALLALKAHFSDPDNILAGNWTAGTPFCQWVGVWCSRHRQRVTALELPGIPLQGELGPHLGNISFLSILNLTDTGLTGSVPDDIGRLRRLKLIDLGHNALSGGIPATIGNLTRLQLLHLPSNQLSGPIPIELQALRRLRSIDLIGNYLTGSIPDSLFNNTPLLAYLSIGNNSLSGPIPSCIGSLPMLEVLELQYNNLTGLVPQAIFNMSRLTVVDLGFNSLTGSIPGNTSFSLPVLQLFSISHNRFTGQIPPGLAACPYLQVLRVGNNLFEGAFPSWLAKSTNLSVVSLSRNHLDAGPIPAALGNLTMLTRLRLEMCNLMGAIPVGIGQLGQLSVLDLTTNQLTGPIPACLGNLSALTTLSLAENQLDASVPATIGNMNSLSQLIIARNSLQGDIGYFLSILSNCINLSTLYIYSNHFTGSLAGSVGNLSSQLRVFSAFENSFTAARSRGNQLHGKIPESIMMMTNLQVLNMEANSLSGSIPLNTGMLNNVELIYIGINKFSGLQLDPSNLTKLEHLALGHNQLSSTVPPSLFHLDRLILLDLSQNFFSGELPVDIGNIKQINYMDISMNRFVGSLPDSIGHLQMLEYLNLSVNEFHDSIPDSFGNLSGLQILDISHNNISGTIPKYLANFTSLANLNLSFNKLEGHIPEGGVFSNITLQSLAGNSGLCGVVRLGFSPCRTTSPKRNRHILKYILPPGMIIVVAAVTCCLYGITRKKVKHQNISSGMLDMISHQLLSYHELVRATNDFSDDNMLGSGSFGKVFKGQLNSSLVVAIKVIHHHLEHAMRSFDTECRVLRMARHRNLIKILNTCSNLEFRALVLQYMPQGSLEALLHSDERMQLGFLERLDIMLDVSMAMEYLHHEHYEVVIHCDLKPSNVLFDDEMTAHVADFGIARLLLGDDNSMISASMPGTVGYMAPEYGVLGKASRKSDVFSYGIMLLEVFTRKRPTDAMFVGDLSIRQWVHRAFPIDLVHVVDGQLVQDTSCSTSSIDGFLKPVFELGLLCSADSPEQRMEMRDVVVTLKKIRKDYVKSTAKAGSTAQQ >ONIVA06G12240.1 pep chromosome:AWHD00000000:6:9491635:9492162:-1 gene:ONIVA06G12240 transcript:ONIVA06G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSVISIFLLVAGVALMLVVHIIVVFWALRRGRGASRGEEEEAGRAAEGNGGGDRRGGGGGKGLSADEIGALPCHDVVKGGGGGDCAVCLEALEAGDRCRRLPRCEHSFHAPCVDSWLRKSRWCPVCRADVVGRAPEGERKMAAAAGPAVETTVAGRSSSPATVEIVAER >ONIVA06G12230.1 pep chromosome:AWHD00000000:6:9483349:9490292:1 gene:ONIVA06G12230 transcript:ONIVA06G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLQLLLPLAAVVLVHAAAAGCRAFQIEEATVDDIQLGFRNGSLTSRRLVLFYLDRIARLNPLLHAVIEVNPDALAQAARADAERRRRRRRSPSARCGGPLHGVPVLLKDNIATRDRLNTTAGSLALLGSVARRDAGVVRRLRRAGAVVLGKANLDEWANFRTIQGTGGWSARGGQGRNPYVLSAAPCGSSTGSAIAAAANMAAATLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVVPISPRQDTIGPICRTVADAVQVLDAIVGYDSRDAKATRAASKYIPPGGYVQFLKPDGLKGKRIGIPNGFFNFPSGTVQQIVYQQLLDTVRKQGAVVIENLDIANLTVIQDVLNNGQQIVLPAEFKSSLNTYLSDLSYSPVRSLAEIIAFNDAHPIEERLKDFGQLIFLVAENTTGIGALERAIIHQLNKLSADGLEKLMKDEQLDAIITPNDSVSTVLAIGGMPAITVPAGYGKRGVPFGICFGGLKGYEPRLIEMAYTFEQATKVRKPPKFLRGTF >ONIVA06G12220.1 pep chromosome:AWHD00000000:6:9481279:9482196:-1 gene:ONIVA06G12220 transcript:ONIVA06G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTRSRHCRFSLYQDHVIAQSVLVVLVFFIADHHHLWTSHIVGHVQTSSFDTVLYTISVQHLQSPEKLCPPLLHSLRPLASSTFCGRMGGEVGGEGVATYSFCRSTAERGAQVQPQGGGGWGHGWSAGELPQLREALEPRARPRGRRRLHDEADARMGRVEGVAATAASMAATQLSLITDDEGLSPGPYKYLRSFSYVEDAGDAFKNIMAP >ONIVA06G12210.1 pep chromosome:AWHD00000000:6:9477768:9479197:1 gene:ONIVA06G12210 transcript:ONIVA06G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNX3] MAAASPELRHVAMLPFMAKGHAMPLLHLTRLLLARGLASKVTFFTTPRDAPFIRASLAGAGAAAVVELPFPTDDGLNDGAAPPQSMDDELASPSQLADVVAASAALRPAFAAAFARLEPRPDVLVHDGFLPWAELAAADAGGLPRLVSYGMSAFATYVAGAVTAHKPHARVGSPSEPFEVDGLPGLRLTRADLNPPIDEPEPTGPLWDLACETKASMDSSEGIIVNSFVELEPLCFDGWSRMSLVKLWPVGPLCLASELAQNMDRDVLDWLDLRLAMDRPVLYVAFGSQAEVSRTQLEEIALGLDQSGLDFLWVVRSKWFDSEDHFENRDKGKVYQGFIHQVGVLSHKSIKGFFSHCGWNSVLESISMGVPILAFPMAAEQKLNAKSVVDVLRVGLRVWPKKREDDMENGLVAREEVQVMARELIFGEEGKRASTRVSELAVLSKKAMEIGGSSYKKLEEMIHEISELTRDKSV >ONIVA06G12200.1 pep chromosome:AWHD00000000:6:9466560:9473962:-1 gene:ONIVA06G12200 transcript:ONIVA06G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKSSSITTHLPSLPCRKSLRSSASSPAESASFETTTNGATTAAVSAGTTTVSAAGITGGGGSGDTKSSRLMGEMRAGERMQRGSMRPPSPASLDEVGELWNDEIEGEFAREDAMADEVDEGIGRRPGLCGTPAKEQHQPRGLRTNNTTVAANNRSVSSHLWPWPFIESLAGRMNRTVNILRIAFSHMGNQLPGPGSCHKSSHPSSKR >ONIVA06G12190.1 pep chromosome:AWHD00000000:6:9465739:9472254:1 gene:ONIVA06G12190 transcript:ONIVA06G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARRGSSLLSRCLLSRPSAAASPAVPSALRRADGTQGLLPGILQRFSTAAVAEEPISPPVQVNYTQLLIDGKFVDSASGKTFPTLDPRTGELIAHVAEGDAEDINRAVHAARKAFDEGPWPKMTAYERSRILLRFADLIEKHNDEIAALETWDNGKPYAQAANIEVPMVARLMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALFASKLLHEAGLPDGVVNVVSGFGPTAGAALASHMDVDKIAFTGSTDTGKVVLELAARSNLKSVTLELGGKSPFIIMDDADVDHAVELAHFALFFNQGQCCCAGSRTFVHERIYDEFVEKAKARALKRVVGDPFKNGVEQGPQIDDEQFNKILRYIKYGVDSGANLVTGGDRLGDKGYYIQPTIFSDVQDNMRIAQEEIFGPVQSILKFNDLNEVIKRANASQYGLAAGVFTNNLNTANTLTRALRVGTVWVNCFDVFDAAIPFGGYKQSGIGREKGIDSLKNYLQVKAVVTPIKNAAWL >ONIVA06G12180.1 pep chromosome:AWHD00000000:6:9449735:9458267:-1 gene:ONIVA06G12180 transcript:ONIVA06G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRWHGPRPWLVATATNDIPAPLPPKLQAGQKEATRIQRGTDPRCEMEVDLSGAKVELQWQSQDLVIGVLAMRSHEDGGCHTHPGTPGGFTTVELALGGLDPIIASPSLAACFTSTGSVASSARAVMHAPAEAEEEAHAGRDRGRGTFVAHRLLNYTHYA >ONIVA06G12170.1 pep chromosome:AWHD00000000:6:9446218:9448021:1 gene:ONIVA06G12170 transcript:ONIVA06G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRSEHIWIKLLKGSRKRGSLGFLAVGASSYLGKNIISVLPSQQILFFPQGVVMSFYGIAGLFISAYLWCTILWNVGSGYDRFDRKEGVVCIFRWGFPGIKRRVFLRFLMRDIQSIRIQVKEGLFPRRILYMEIRGQGAIPLTRTDEKFFTPREIEQKAAELAYFLRIPMENWWNTRQSQTLLTAIQEKRVLERFMELEDLFILDEMIKEKPNTHVQNPPIGIRKEIIQLAKIDNEVTDFFVGFHSTRGWELLIRWVYNDLGWVPNELIFTIFVGLHI >ONIVA06G12160.1 pep chromosome:AWHD00000000:6:9431029:9436893:-1 gene:ONIVA06G12160 transcript:ONIVA06G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGRWRQHKPGRDDYVIAVMATLDPGRPDKTFSKLPPSRAWGSPDPTASSLKHGMTMKTICGGGGDDGGDDGIELDIYPSRCLTGNRDRDCNTVDSAKIVDKKISSKQTKCYKRISRVVLAGKGMY >ONIVA06G12150.1 pep chromosome:AWHD00000000:6:9426916:9430834:-1 gene:ONIVA06G12150 transcript:ONIVA06G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNW7] MDVEGGGAAARRKGGWWWWREEAVLAYQSLGVVYGEVAAAPLYVYRSAFAGGDIEHSAGNEEIYGALSLVFWTLTLVPLAKYVLLVLRADDAGEGGTFALYSLICRRVRAGLLPPCAAAAAGEELDAAGAAAAPVSAVRAALERHRVLRRLLLLLALLGTCMVIGDGVLTPAVSVFSAVSGLELSMDKDQHKYILLPITCVILVCLFALQHYGTHRVGFLFAPIVCLWLLCISIIGVYNIIHWNPHVYQALSPYYMYKFLRKTQTGGWMSLGGILLCVTGSEAMYADLGHFTQNSIKMAFTLLVYPALVLAYMGQAAYISRHHNFEDGSHIGFYVSVPEKIRWPVLGIAILASVVGSQAIITGTFSIIKQCSSLNCFPRVKIVHTSSTVHGQIYIPEINWILMMLCLSVTIGFRDTKHLTNAQGLAVITVMLVTTCLMSLVILLCWNKSIVYALSFLLFFGAIEVIYFAASLVKFHEGAWVPVTLSFIFMMVMCVWHYGTKKKYEFDVQNKVSISWLLNIGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCIKSVSVPHVQPEERFLVGRIGPKKYRIYRVIVRYGYRDVQKDDVEFEKDLVSSIAEFIRCADSNQNGFMDGASHSCEGLSFISKGLPLEEEEGEFDGSDSTGSSAHKEINPNTMAPKPKRVRFALPKDTKIDREVRDELQELMEAREAGMSFITGRSHMKAKSGSGLIKQIVINFGYEFLRRNSRGPAFAVNLPHVSTVEVGMICLV >ONIVA06G12140.1 pep chromosome:AWHD00000000:6:9414945:9415412:1 gene:ONIVA06G12140 transcript:ONIVA06G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAQAVAVVRILILRVSIYDGGGTPEVTKASYTILVLLPPHDAADGWSSHDLEIVQAVARAPHVQIQVKTAENSVEGGNSSGIKSSHLILKVRGE >ONIVA06G12130.1 pep chromosome:AWHD00000000:6:9402000:9402621:-1 gene:ONIVA06G12130 transcript:ONIVA06G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWDRDTVMSARRAAYNNPERAMEGFLSKLRPLPQFKHFLSLLQFKHFPRVTN >ONIVA06G12120.1 pep chromosome:AWHD00000000:6:9393813:9395117:1 gene:ONIVA06G12120 transcript:ONIVA06G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARHGLWQSMAMTAERHRLWQGMVTTAASVQTGNEQRCQQEIEHTKHGLESGSITYDPAKLKFSDDIKDSR >ONIVA06G12110.1 pep chromosome:AWHD00000000:6:9367035:9368350:1 gene:ONIVA06G12110 transcript:ONIVA06G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMVTGFMSYGQQTIRAARYIGQSFIITLSHTNRLPITIHYPYEKSITSERFRGRIHFEFDKCIACEVCVRVCPIDLPLVDWRFEKDIKRKQLLNYSIDFGVCIFCGNCVEYCPTNCLSMTEEYELSTYDRHELNYNQIALSRLPISIMGDYTIQTIRNSTQTVAQLLIYVGAINVLIIFAVMFVNGSEWSKDKNFWTIGDGFTSLVCITIPFSLMTTIPDTSWYGILWTTRSNQIVEQGLINNVQQIGIHLATDFYLPFELISIILLVSLIGAITMARQ >ONIVA06G12100.1 pep chromosome:AWHD00000000:6:9362560:9363550:1 gene:ONIVA06G12100 transcript:ONIVA06G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSLYPHSLLGFFAYNNSMRMMHNYFRIGGVAADLPYGWIDKCLDFCDYFLRGVIEYQQLITQNPIFLERVEGVGFISGEEAVNWGLSGPMLRASGIQWDLRKVDLYESYNQFDWKVQWQKEGDSLARYLVRIGEMRESIKIIQQAVEKIPGGPYENLEVRRFKKAKNSEWNDFEYRFLGKKPSPNFELSKQELYARVEAPKGELGIYLVGDDSLFPWRWKIRPPGFINLQILPQLVKKMKLADIMTILGSIDIIMGEVDR >ONIVA06G12090.1 pep chromosome:AWHD00000000:6:9343633:9349633:1 gene:ONIVA06G12090 transcript:ONIVA06G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMEAVARSSLVLAPRRRRALGLLPAAAAPFVLDCRRRHNGGMRRPHVSFACSAELDTGRRQLPSTGTRAVMSSCPGYVEGRMVGENTSQINMGREARIRRHLENPEFLPSSYDIAWVAMVPLPGTDHLQAPCFPECVEWILQNQHSNGSWGVNEFDSSASKDILLSTLACIIALEKWNVGSEQIRRGLHFIAKNFSIVIDDQIAAPIGFNLTFPAMVNLAIKMGLEFPASEISIDQILHLRDMELKRLSGEESLGKEAYFAYIAEGLEESMVDWSEVMKFQGKNGSLFNSPAATAAALVHRYDDKALGYLYSVVNKFGGEVPTVYPLNIFSQLSMVDTLVNIGISRHFSSDIKRILDKTYILWSQRDEEVMLDLPTCAMAFRLLRMNGYGVSSDDLSHVAEASTFHNSVEGYLDDTKSLLELYKASKVSLSENEPILEKMGCWSGSLLKEKLCSDDIRGTPILGEVEYALKFPFYATLEPLDHKWNIENFDARAYQKIKTKNMPCHVNEDLLALAAEDFSFCQSTYQNEIQHLESWEKENKLDQLEFTRKNLINSYLSAAATISPYELSDARIACAKSIALTLVADDFFDVGSSKEEQENLISLVEKWDQYHKVEFYSENVKAVFFALYSTVNQLGAMASAVQNRDVTKYNVESWLDYLRSLATDAEWQRSKYVPTMEEYMKNSIVTFALGPTILIALYFMGQNLWEDIVKNAEYDELFRLMNTCGRLQNDIQSFERECKDGKLNSVSLLVLDSKDVMSVEEAKEAINESISSCRRELLRLVVREDGVIPKSCKEMFWNLYKTSHVFYSQADGFSSPKEMMGAMNGVIFEPLKTRGN >ONIVA06G12080.1 pep chromosome:AWHD00000000:6:9309592:9311078:1 gene:ONIVA06G12080 transcript:ONIVA06G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSHVSADARKLDGKVAVITGGASGIGACTARLFVKHGARVVVADIQDELGASLVAELGPDASSYVHCDVTNEGDVAAAVDHAVARFGKLDVMFNNAGVSGPPCFRMSECTKEDFERVLAVNLVGPFLGTKHAARVMAPARRGSIISTASLSSSVSGAASHAYTTSKHALVGFTENAAGELGRHGIRVNCVSPAGVATPLARAAMGMDDEAIEAIMANSANLKGAGALKADDIAAAALFLASDDGRYVSGQNLRVDGGLSVVNSSFGFFRD >ONIVA06G12070.1 pep chromosome:AWHD00000000:6:9291775:9292122:-1 gene:ONIVA06G12070 transcript:ONIVA06G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNV9] MASFILLGGEPTKRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYALRTGKPFWVVSEDMERDVFMSADEAKAYGLVDIVGDEMLDEHCDTDPVWFPEMFKDW >ONIVA06G12060.1 pep chromosome:AWHD00000000:6:9276447:9280091:-1 gene:ONIVA06G12060 transcript:ONIVA06G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPFMAAAAFLTLVVVLFRAPAPAIAVGEEAAALLAFRRASVADDPDGALASWVLGAGGANSTAPCSWDGVSCAPPPDGRVVAVDLSGMSLAGELRLDALLALPALQRLNLRGNAFYGNLSHAAPSPPCALVEVDISSNALNGTLPPSFLAPCGVLRSVNLSRNGLAGGGFPFAPSLRSLDLSRNRLADAGLLNYSFAGCHGVGYLNLSANLFAGRLPELAACSAVTTLDVSWNHMSGALPPGLVATAPANLTYLNIAGNNFTGDVSGYDFGGCANLTVLDWSYNGLSSTRLPPGLINCRRLETLEMSGNKLLSGALPTFLVGFSSLRRLALAGNEFTGAIPVELGQLCGRIVELDLSSNRLVGALPASFAKCKSLEVLDLGGNQLAGDFVASVVSTIASLRELRLSFNNITGVNPLPVLAAGCPLLEVIDLGSNELDGEIMPDLCSSLPSLRKLLLPNNYLNGTVPPSLGDCANLESIDLSFNLLVGKIPTEIIRLPKIVDLVMWANGLSGEIPDVLCSNGTTLETLVISYNNFTGSIPRSITKCVNLIWVSLSGNRLTGSVPGGFGKLQKLAILQLNKNLLSGHVPAELGSCNNLIWLDLNSNSFTGTIPPQLAGQAGLVPGGIVSGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAEFPAVHLCPSTRIYTGTTVYTFTNNGSMIFLDLSYNGLTGAIPGSLGNMMYLQVLNLGHNELNGTIPDAFQNLKSIGALDLSNNQLSGGIPPGLGGLNFLADFDVSNNNLTGPIPSSGQLTTFPPSRYDNNNGLCGIPLPPCGHNPPWGGRPRGSPDGKRKVIGASILVGVALSVLILLLLLVTLCKLRMNQKTEEVRTGYVESLPTSGTSSWKLSGVREPLSINVATFEKPLRKLTFAHLLEATNGFSAETLIGSGGFGEVYKAKLKDGSVVAIKKLIHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHDKAKASVKLDWSARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDNNLDARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPTEFGDNNLVGWVKQMVKENRSSEIFDPTLTDRKSGEAELYQYLKIACECLDDRPNRRPTMIQVMAMFKELQLDSDSDILDGFSINSSTIDESGEKSM >ONIVA06G12050.1 pep chromosome:AWHD00000000:6:9268953:9272143:1 gene:ONIVA06G12050 transcript:ONIVA06G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELMDLRKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHVYKSQRPRYEETARAWTQKYAMG >ONIVA06G12040.1 pep chromosome:AWHD00000000:6:9240248:9240613:1 gene:ONIVA06G12040 transcript:ONIVA06G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSADGRASVRCGGCCVLPYGLRQKPSSVVHRAGSGYAFGCRNLLGCCRGWAMVAFLDVVTTVVASFLEPLLCGVAIGLAASGHA >ONIVA06G12030.1 pep chromosome:AWHD00000000:6:9192939:9196643:-1 gene:ONIVA06G12030 transcript:ONIVA06G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRRRSRAAAVLVAALLLAASAATASAASSYPAKVVTGLLSSTASAVVKQLWSLKSTATRTGSAAAGRSMVKYEGGYAVETVFDGSKLGIEPHDVEVTPSGELLVLDSMNSNVYRVQLPLSRYSRPKLVAGSPEGLSGHVDGRLREAKMNHPKGFTVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSMRGGHLDGPSDDAKFSTDFEIRYISSSCSLLVIDRGNQAIREIALHNDDCEYQYEAGFPLGIALLFAAGFFGYMLALLQRRVLGMVSTADVHCFKDTEKEPQTPPRPSIASIPPYQKPLKPSLRPPLIPTEDQAGKHEAEEGFFTSIGKLIGGAKSSAVEIFSRKKRPTHQYHHHLQQQRANPWPVQESYAIPHDETPPPLDMRAATPCKNYAFMTKEPEKVHHVRHGRPYFNGWDMQHAPQQQPEQQMYHQQHLQQHRQYSAGPQTFYEQSCETTNEIVFGAVQEVDTKRRMVEIKAVNYGDTFYEQYGMRYRNNYIGYNNSSNGNNNNNYY >ONIVA06G12020.1 pep chromosome:AWHD00000000:6:9189942:9192757:-1 gene:ONIVA06G12020 transcript:ONIVA06G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTWGGVMIDAVVVATDNVCRDVAEKRWRAEGTIAGGQSRTLVQALEVTTLHSISAPRIPSKKGTMIT >ONIVA06G12010.1 pep chromosome:AWHD00000000:6:9188813:9192455:1 gene:ONIVA06G12010 transcript:ONIVA06G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKLPNPPRPWRPSLLEAPPLRSLPRLLPAAAPSPTRRRRLLAPLAAASNSGSPSKDSNRSPPLPSKTKKKKAADESGRWKSVPPGMRESAAPDPDEPPAAPCTTARRRARAAWRKVASLVPRKARSVVLLNLVTIVFASNISVVKEAETMLDPDLFNVLRFTISAIPFVPLLLKALNDVQVFIRGVELGIWVAIGYLAQAVGLVTADAGRTAFISSLTVIIVPFLDGILGAEIPAYTWIGALLSLIGVGILELSGSPPCVGDLLNLLSAFCFAIHMLRTEHISRNMKKENFPALVGCQVLVVAFVSAVSFFIKCFTKNVHQWTSQLQSPMKLFGVMIQFPWMSILYTGIFSTTFCLWAEVAAMRDVSATETAIIYGLEPVWGAAFAWAMLGERWGMTGFVGGTFIIGLCVPWLSSSTGETVISVSAFTGVTRQATKLPFSFVSLRIGMCKDRLKKGGAFRKFPVWRSNAT >ONIVA06G12010.2 pep chromosome:AWHD00000000:6:9188813:9194044:1 gene:ONIVA06G12010 transcript:ONIVA06G12010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKLPNPPRPWRPSLLEAPPLRSLPRLLPAAAPSPTRRRRLLAPLAAASNSGSPSKDSNRSPPLPSKTKKKKAADESGRWKSVPPGMRESAAPDPDEPPAAPCTTARRRARAAWRKVASLVPRKARSVVLLNLVTIVFASNISVVKEAETMLDPDLFNVLRFTISAIPFVPLLLKALNDVQVFIRGVELGIWVAIGYLAQAVGLVTADAGRTAFISSLTVIIVPFLDGILGAEIPAYTWIGALLSLIGVGILELSGSPPCVGDLLNLLSAFCFAIHMLRTEHISRNMKKENFPALVGCQVLVVAFVSAVSFFIKCFTKNVHQWTSQLQSPMKLFGVMIQFPWMSILYTGIFSTTFCLWAEVAAMRDVSATETAIIYGLEPVWGAAFAWAMLGERWGMTGFVGGTFIIAGSFMVQILGSFPDLSRGDS >ONIVA06G12000.1 pep chromosome:AWHD00000000:6:9182804:9189225:-1 gene:ONIVA06G12000 transcript:ONIVA06G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRLSRTTLLAFRGTNEATFRHAARARRRAVVQGAAGGSSGSGAADSRIPGGTDFHLPLSSAAFFFFVFDGSGGDRLESFDGEPELEAAAKGARRRRRLVGEGAAAGRRRGRERRGGASRRDGRHGRGGFGSFERNISPVGKVLEGWRPRPAAGKKAASWRRRAAYDWPLSQIGPIWTMEWIKIPLTLGVKVRDEQYHDFEKKEGSRRNLQLGARLLKQVVNEVYNSKLGWRGGERV >ONIVA06G11990.1 pep chromosome:AWHD00000000:6:9170278:9179317:-1 gene:ONIVA06G11990 transcript:ONIVA06G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAPPPAQLGSLWSALEDQRGGAQEEEEVPFLLSSASWGLPGEAREKEGRAGAAVARAWGAARAAAEELWALARGDPRKAVFAAKVGLALALISLLVFVREPRDIVSHSVWAILTVVVVFEFSIGTQTKPSVRAPTAQLSGTPVPPRRPNPPSPHQIEIPSSQPTDRILASRETSPPAFAASLLERSGSEYPAMAAAGSAGSSPPPQPGQLGTLWSTLEDQRGGAREVPLLSSAWGLPGGGRGGDGGGEGGGWKEGLVRRAGAAAARVWGAVRGAAEELWALARADPRKAVFAAKVGLALALISLLVFLREPRDIVSHSVWAILTVVVVFEFSIGATFSKGFNRGLGTLTAGGLALAVAELSKHLGKLEEVILIISIFIVAFFTTLTKLHPKMKAYEYGFRVFLLTFCYVMVSGYNTGKFTDTAVSRFILIAVGAAVSLGINVGIYPIWAGQDLHNLVAKNFIGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGAYKMMKYPWRNFTKVESRKVFSAEIHRVGIEGAKVLRELGDKVKTMTKLSSSDILAEVHLAAEQLQKRIDEKSYLLVNTERWDTSKQAEGIKEVLNGTGITGKENKIEVKEPTIVEQTTAHHSKSFAVNSFLSRHDSSSTVDNFKLLSWPARRSFHPNLPLEDEETKTYESASALSLATFASLLIEFVARLQNVVNAFQELSDKANFKEPVQEPVAVSTSDGGFLHKICKFVGIKS >ONIVA06G11990.2 pep chromosome:AWHD00000000:6:9170278:9179317:-1 gene:ONIVA06G11990 transcript:ONIVA06G11990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAPPPAQLGSLWSALEDQRGGAQEEEEVPFLLSSASWGLPGEAREKEGRAGAAVARAWGAARAAAEELWALARGDPRKAVFAAKVGLALALISLLVFVREPRDIVSHSVWAILTVVVVFEFSIGTQTKPSVRAPTAQLSGTPVPPRRPNPPSPHQIEIPSSQPTDRILASRETSPPAFAASLLERSGSEYPAMAAAGSAGSSPPPQPGQLGTLWSTLEDQRGGAREVPLLSSAWGLPGGGRGGDGGGEGGGWKEGLVRRAGAAAARVWGAVRGAAEELWALARADPRKAVFAAKVGLALALISLLVFLREPRDIVSHSVWAILTVVVVFEFSIGATFSKGFNRGLGTLTAGGLALAVAELSKHLGKLEEVILIISIFIVAFFTTLTKLHPKMKAYEYGFRVFLLTFCYVMVSGYNTGKFTDTAVSRFILIAVGAAVSLGINVGIYPIWAGQDLHNLVAKNFIGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAIWEPPHGAYKMMKYPWRNFTKVGGALRHCSFAVMALHGCILSEIQAPPESRKVFSAEIHRVGIEGAKVLRELGDKVKTMTKLSSSDILAEVHLAAEQLQKRIDEKSYLLVNTERWDTSKQAEGIKEVLNGTGITGKENKIEVKEPTIVEQTTAHHSKSFAVNSFLSRHDSSSTVDNFKLLSWPARRSFHPNLPLEDEETKTYESASALSLATFASLLIEFVARLQNVVNAFQELSDKANFKEPVQEPVAVSTSDGGFLHKICKFVGIKS >ONIVA06G11980.1 pep chromosome:AWHD00000000:6:9144644:9171409:1 gene:ONIVA06G11980 transcript:ONIVA06G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHASSPRAHGVVVIAVAPEHHDDSGKKGWRLAAAAFGDEAGFGVEEEEEGEVRWRERRVASLWRVAGALLVVAALAVAGHYCLYHDPAAFSREEGRSSFLLPLYPKSGGGGAGAGAAGESAGGVKPDSAGAETRENSSAVLPIRGNVFPDGQYYTAMYIGNPPRPYFLDVDTGSDLTWIQCDAPWTNCAKGPHPLYKPEKPNVVPPRDSYCQELQGNQNYGDTSKQCDYEITYADRSSSMGILARDNMQLITADGERENLDFVFGCGYDQQGNLLSSPANTDGILGLSNAAISLPTQLASQGIISNVFGHCIAADPSNGGYVFLGDDYVPRWGMTWMPIRNGPENLYSTEVQKVNYGDQQLNVRRKAGKLTQVIFDSGSSYTYLPHEDYANLIASLKSLSPSLLQDESDRTLPFCMKPDFPVRSMDDVKHLFKPLSLVFKKRLFILPRTFVIPPEDYLIISDKNNICLGVLDGTEIGHDSAIVIGDVSLRGKLVVYNNDEKQIGWVQSDCAKPQKQSGFPFLFKRVLQNQLL >ONIVA06G11970.1 pep chromosome:AWHD00000000:6:9136203:9140321:-1 gene:ONIVA06G11970 transcript:ONIVA06G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVQTIVSTGINIHEATQLSDELSRLRATLPKARFLINRGEWGRFKNKDMAILLSQLKDTTYDAEDLLRESDDQALRQKMEDADRSWAGQLLSSSLNLAKTLIRGSKTRIKEAQEKLDKAVADLEGALNSVGLSIEAVQHMPETSSVVGVSQVFGRDKERDLVIEKLGVRSMIGRNSQRDQVIELLGVPLTRGAAARAKEKRAAATVTGTKSASSRTKKLKGESSRAPRLDEAKCIGNVSVLPIFGIGGVGKTTLAQFIYNDPRVQAHFGKRRVWVCVSDLFDKRRITKEIIESFTREEYKSLFSLDALQVELMEQLGRQKFLLVLDDIWPNANDEWESFYAPFKNGPKGSMILVTTRSPNVADLVATNNCKPIQLEGLDRDIFWEFFSKCAFGEERPESYPQLQDIGHSIASRLCGSPLAAKTIGRLLNMELTVQHWETVRNSELWELPHRENEILPALQLSYLYLPQELKRCFAFCCMFPKDYSFERDEIVDIWVAEGFVVSSGSTRLEDMGIRYLDDLRSRFLFQTDPKYPNRTRYVMHDLIHDMAQSVSVDECLLMQDLRSRNERRMLYAVRHMSVEVADESLKSGMRGIQDLNKLHSLRFGINFNVEITWFNQLSNILYLSLKGCTVVKLPESIGELNSLRYLDISYSGVQELPEKFWCLYSLQVVDASCSSLKAISPYVIKLINLRRLALPTGCSPKLSEISRLGNLSRLRNLQHFTVGTGDGRKIGELRGMNQLSVALLISSICNVKNEEEAAEASLVEKRYLQNLVLQWRKKVTSLVKSSENGVLEALHPPPRIEHLSVQGFGGDSFSPSWFRLESLLTLRSLVLFHCGVLKNLSIPSFPSLEALTLSGNIRLKTVTILGGSTGGERMQHASSSSSSSNGTACLSGLTHIGLYSCEDLQNLDRCLSPEYLPSIKSIEIDSSSDLGLSMPVDSFVGFKYLQDLKIHCKLLCPQGMVLPPSLRRLSIACGRSPVTNLSPSFYPIGRLARCGTSRYIFAHEAVPAVLREVEAMPMVKEIADAQWCYISCALKCADR >ONIVA06G11960.1 pep chromosome:AWHD00000000:6:9113294:9126101:1 gene:ONIVA06G11960 transcript:ONIVA06G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) TAIR;Acc:AT3G19190] MNFFSGVWVRTMMKRLCKSLLKKRLGDLILGDLDLDQFDLQLTRGTLHLSDIALNADFVNRKLSGSAIMMKEGSIKSLLVRIPLLLNMRDCCEIVVEDLELVLAASVSSEDPSGDTECSVSGSNTDDTQKSVQAKRNESDGNQCSTSASRDVDKGVQRIANAVTCFLTNFNIKLKNSYVVFDPQNILDNKVPEFNRSLVFRIKETEFGTNLSTDGIIKLHNFVTFHEAVIEFLKMDDVDAHALLQDDLDRGPADISSGHSTTAVLTGPIGGFSGKLNLSIPWNGLNFKKLDADISLNSLELRLQLSSIQWLMDVWDSLQRRNLVHEQSYAHNTADISRSASSYVSSSSKSGSGSVIASREHLTEDTFSQLRQEKTQEPSLTMPYLIPDWIPVLIHEDHGDPDSVCDESIDQFFECFEELMNSQTNLGNSGIWDWTCSVFNAITFVSTLASGSDQIPKEPPIEKTLRASIAEISVVLLFSDEMDAGNSSVPISQFNDMRNSEMFSSCLSFAHFEQSMISPAPAASLNMHHVEAKCQNIHLSLETYPENLSLKGSIAAIKLDEYYGSKNNDSDHPNLGAAFLNNNFCREVQASLPQSVFAYQDYHEETSRRHTNNSNDLTKVELLNTFGECVFHYDVSSSGQDGNPVSSTSLSVCLAPLVCWVHFHTIYMLLNFISKIESDVLHGEDKIHRKNDEKNVNLSMKSNVSLGGSQKVQIALSPARVIFCFPSESWDLSCPSMLDKFLVIDHTPSLKSGADSSPHQNEMPNDVNAITPSTLVHLATGNFDIYLVRPVNDELNARTCSLSRQTFSSLKIFSVTGSNCHETGITMLWKKYPLKDPEMVSKTWSLPNLHEQKIAQNKNGKWVGVSSSTTSEDLEESSSSIRRELLRSTEFLLHIKLSCVSVHLSKKDCGILNHLLKNILDGLSDGATGNFENDSDNCMPIHDTASQTSVIFECSILDICTELDETVEVGPLLQTELEGSWNCLKLSISKFSLLSSSNVGGVNNANFLWVNHGEGELWGSITGTDDYEESKDVLLVVCKDSASRRGDGEGSNILSFGTAGCSVTHIMNPKFQKNYTSINVRSATAVAPGGRMDWISAICLLFSSASDGTEQPANSSTMNDSQGGEPFSSLFFLELVDVAVSYEPHFRSSALSAETPDCKYFSCLLAASLFKLHSKSASNSTATDFDIELRDLGVLICGSSSFKNVSCGYGADYLRRMGYAKIVQNTFIEAVLRIDTSFWKLELSDSQFDIGTCHDTTHGVIRLCSQLQQLYAPDMRDALDHLQSRWNSVQQANKQNMGTDVSEKSESSIDNLTDSEECKSDGLLDDIIENAFCTDQDFASYSLSGSEMDEEFGLSKAIPEANDACISLESLLVTPEASTSDDLIIESYYMPPSSSSTLYNEDQGNCAPRTVECDEGEWYNNFPTIDENHVQRNKPREEQIFQQKLKPAIFILNSDESCSLKGKVLIHDIDVKWRMYEGNDWKLAQKDTISRPCSNGRDKRSYLEFIMSALNIQFNMYPDGDIFVSKLSISAKDINICDQSTHAPWKMVLGCYNSKDYPRESCSSAFMLELESVRPEPQAPLEDYRLHLEILPLQLHLDQGQLNFLISFFQNDLCNNPNLACENENIDAQSTMYRSDTIADEALLPFFQKFDVKPLVLHINYIPRHFDPVALSKGNYAELLNIFPWKGIDLKLKQVSAMGVYGFNNICEIVAAEWLEDISKNQVHKLLKGLPPIKSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLLKTESALTAIPPPLASREAKRTKDNVRANQPESAQEGLKKAYESLTDGFGRTASALIGNPIKVYNRGAGAGSALATAICGAPGAAVAPLSASFRAAHYTLLGIRNSLDPERKKESMYKYHGPPQL >ONIVA06G11960.2 pep chromosome:AWHD00000000:6:9113294:9126101:1 gene:ONIVA06G11960 transcript:ONIVA06G11960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) TAIR;Acc:AT3G19190] MNFFSGVWVRTMMKRLCKSLLKKRLGDLILGDLDLDQFDLQLTRGTLHLSDIALNADFVNRKLSGSAIMMKEGSIKSLLVRIPLLLNMRDCCEIVVEDLELVLAASVSSEDPSGDTECSVSGSNTDDTQKSVQAKRNESDGNQCSTSASRDVDKGVQRIANAVTCFLTNFNIKLKNSYVVFDPQNILDNKVPEFNRSLVFRIKETEFGTNLSTDGIIKLHNFVTFHEAVIEFLKMDDVDAHALLQDDLDRGPADISSGHSTTAVLTGPIGGFSGKLNLSIPWNGLNFKKLDADISLNSLELRLQLSSIQWLMDVWDSLQRRNLVHEQSYAHNTADISRSASSYVSSSSKSGSGSVIASREHLTEDTFSQLRQEKTQEPSLTMPYLIPDWIPVLIHEDHGDPDSFFECFEELMNSQTNLGNSGIWDWTCSVFNAITFVSTLASGSDQIPKEPPIEKTLRASIAEISVVLLFSDEMDAGNSSVPISQFNDMRNSEMFSSCLSFAHFEQSMISPAPAASLNMHHVEAKCQNIHLSLETYPENLSLKGSIAAIKLDEYYGSKNNDSDHPNLGAAFLNNNFCREVQASLPQSVFAYQDYHEETSRRHTNNSNDLTKVELLNTFGECVFHYDVSSSGQDGNPVSSTSLSVCLAPLVCWVHFHTIYMLLNFISKIESDVLHGEDKIHRKNDEKNVNLSMKSNVSLGGSQKVQIALSPARVIFCFPSESWDLSCPSMLDKFLVIDHTPSLKSGADSSPHQNEMPNDVNAITPSTLVHLATGNFDIYLVRPVNDELNARTCSLSRQTFSSLKIFSVTGSNCHETGITMLWKKYPLKDPEMVSKTWSLPNLHEQKIAQNKNGKWVGVSSSTTSEDLEESSSSIRRELLRSTEFLLHIKLSCVSVHLSKKDCGILNHLLKNILDGLSDGATGNFENDSDNCMPIHDTASQTSVIFECSILDICTELDETVEVGPLLQTELEGSWNCLKLSISKFSLLSSSNVGGVNNANFLWVNHGEGELWGSITGTDDYEESKDVLLVVCKDSASRRGDGEGSNILSFGTAGCSVTHIMNPKFQKNYTSINVRSATAVAPGGRMDWISAICLLFSSASDGTEQPANSSTMNDSQGGEPFSSLFFLELVDVAVSYEPHFRSSALSAETPDCKYFSCLLAASLFKLHSKSASNSTATDFDIELRDLGVLICGSSSFKNVSCGYGADYLRRMGYAKIVQNTFIEAVLRIDTSFWKLELSDSQFDIGTCHDTTHGVIRLCSQLQQLYAPDMRDALDHLQSRWNSVQQANKQNMGTDVSEKSESSIDNLTDSEECKSDGLLDDIIENAFCTDQDFASYSLSGSEMDEEFGLSKAIPEANDACISLESLLVTPEASTSDDLIIESYYMPPSSSSTLYNEDQGNCAPRTVECDEGEWYNNFPTIDENHVQRNKPREEQIFQQKLKPAIFILNSDESCSLKGKVLIHDIDVKWRMYEGNDWKLAQKDTISRPCSNGRDKRSYLEFIMSALNIQFNMYPDGDIFVSKLSISAKDINICDQSTHAPWKMVLGCYNSKDYPRESCSSAFMLELESVRPEPQAPLEDYRLHLEILPLQLHLDQGQLNFLISFFQNDLCNNPNLACENENIDAQSTMYRSDTIADEALLPFFQKFDVKPLVLHINYIPRHFDPVALSKGNYAELLNIFPWKGIDLKLKQVSAMGVYGFNNICEIVAAEWLEDISKNQVHKLLKGLPPIKSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLLKTESALTAIPPPLASREAKRTKDNVRANQPESAQEGLKKAYESLTDGFGRTASALIGNPIKVYNRGAGAGSALATAICGAPGAAVAPLSASFRAAHYTLLGIRNSLDPERKKESMYKYHGPPQL >ONIVA06G11960.3 pep chromosome:AWHD00000000:6:9113294:9126101:1 gene:ONIVA06G11960 transcript:ONIVA06G11960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) TAIR;Acc:AT3G19190] MNFFSGVWVRTMMKRLCKSLLKKRLGDLILGDLDLDQFDLQLTRGTLHLSDIALNADFVNRKLSGSAIMMKEGSIKSLLVRIPLLLNMRDCCEIVVEDLELVLAASVSSEDPSGDTECSVSGSNTDDTQKSVQAKRNESDGNQCSTSASRDVDKGVQRIANAVTCFLTNFNIKLKNSYVVFDPQNILDNKVPEFNRSLVFRIKETEFGTNLSTDGIIKLHNFVTFHEAVIEFLKMDDVDAHALLQDDLDRGPADISSGHSTTAVLTGPIGGFSGKLNLSIPWNGLNFKKLDADISLNSLELRLQLSSIQWLMDVWDSLQRRNLVHEQSYAHNTADISRSASSYVSSSSKSGSGSVIASREHLTEDTFSQLRQEKTQEPSLTMPYLIPDWIPVLIHEDHGDPDSVCDESIDQFFECFEELMNSQTNLGNSGIWDWTCSVFNAITFVSTLASGSDQIPKEPPIEKTLRASIAEISVVLLFSDEMDAGNSSVPISQFNDMRNSEMFSSCLSFAHFEQSMISPAPAASLNMHHVEAKCQNIHLSLETYPENLSLKGSIAAIKLDEYYGSKNNDSDHPNLGAAFLNNNFCREVQASLPQSVFAYQDYHEETSRRHTNNSNDLTKVELLNTFGECVFHYDVSSSGQDGNPVSSTSLSVCLAPLVCWVHFHTIYMLLNFISKIESDVLHGEDKIHRKNDEKNVNLSMKSNVSLGGSQKVQIALSPARVIFCFPSESWDLSCPSMLDKFLVIDHTPSLKSGADSSPHQNEMPNDVNAITPSTLVHLATGNFDIYLVRPVNDELNARTCSLSRQTFSSLKIFSVTGSNCHETGITMLWKKYPLKDPEMVSKTWSLPNLHEQKIAQNKNGKWVGVSSSTTSEDLEESSSSIRRELLRSTEFLLHIKLSCVSVHLSKKDCGILNHLLKNILDGLSDGATGNFENDSDNCMPIHDTASQTSVIFECSILDICTELDETVEVGPLLQTELEGSWNCLKLSISKFSLLSSSNVGGVNNANFLWVNHGEGELWGSITGTDDYEESKDVLLVVCKDSASRRGDGEGSNILSFGTAGCSVTHIMNPKFQKNYTSINVRSATAVAPGGRMDWISAICLLFSSASDGTEQPANSSTMNDSQGGEPFSSLFFLELVDVAVSYEPHFRSSALSAETPDCKYFSCLLAASLFKLHSKSASNSTATDFDIELRDLGVLICGSSSFKNVSCGYGADYLRRMGYAKIVQNTFIEAVLRIDTSFWKLELSDSQFDIGTCHDTTHGVIRLCSQLQQLYAPDMRDALDHLQSRWNSVQQANKQNMGTDVSEKSESSIDNLTDSEECKSDGLLDDIIENAFCTDQDFASYSLSGSEMDEEFGLSKAIPEANDACISLESLLVTPEASTSDDLIIESYYMPPSSSSTLYNEDQGNCAPRTVECDEGEWYNNFPTIDENHVQRNKPREEQIFQQKLKPAIFILNSDESCSLKGKVLIHDIDVKWRMYEGNDWKLAQKDTISRPCSNGRDKRSYLEFIMSALNIQFNMYPDGDIFVSKLSISAKDINICDQSTHAPWKMVLGCYNSKDYPRESCSSAFMLELESVRPEPQAPLEDYRLHLEILPLQLHLDQGQLNFLISFFQNDLCNNPNLACENENIDAQSTMYRSDTIADEALLPFFQKFDVKPLVLHINYIPRHFDPVALSKGNYAELLNIFPWKGIDLKLKQVSAMGVYGFNNICEIVAAEWLEDISKNQVHKLLKGLPPIKSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLLKTESALTAIPPPLASREAKRTKDNVRANQPESAQEGLKKAYESLTDGFGRTASALIGNPIKVYNRGAGAGSALATAICGAPGAAVAPLSASFRAAHYTLLGIRNSLDPERKKESMYKYHGPPQLCTVSDATSKTFIL >ONIVA06G11950.1 pep chromosome:AWHD00000000:6:9102527:9107666:1 gene:ONIVA06G11950 transcript:ONIVA06G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G25580) TAIR;Acc:AT1G25580] MDAVQPSNAQYKPRAPGLCAGVCVVSSPPPSPVNSGSKKKRENLGRPTTHRLSTSISIRFSLQVRRLALALVGSLVGRGGLRLPAGGAGAAMTGTSWIIDSHRIASKIKNASGSVDASKHKWVSNPTKACPRCNHIIDNSDVVHQWPGLPRGVKFDPTDQELLWHLLAKHGKVGAKAHPFIDEFIPTVEEDDGICYTHPQKLPGVKQDGSVSHFFHRTFKAYNTGIRKRRKINTGDLADVRWHKTGKTKPVVVDGKHLGCKKIMVLYMSTMRGGKPEKTNWVMHQYHLGTGEDEVEGQYVVSKLFFQQQFKPGEKNAQDLTSADALESIVAEDLPNIPPLPLEEHVFTNQELEVLEKSETITDQGKETSEINNEDNAVEDVAHMATEKPEDRDNPSSQDPKWWEGESQFLLDSQQLAENLAICDEFLQSQSQTSCGGGDDEPDKIKPRLAVYAQLPVEDLKKDLEECQRLDPSDGTNLELENASEFRLSQIEFSQDSFTTAWAGGKVID >ONIVA06G11940.1 pep chromosome:AWHD00000000:6:9099619:9100371:-1 gene:ONIVA06G11940 transcript:ONIVA06G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFLATCLVVAVRSYLRSGGADGGRGGAAIPSPPALPVIGNLHQLGHGRHHRALRELARRHGPLFQLRLGSVRALVVSSASMAEAVLRHQDHVFCGRPQQRTARGTLYGCRDVAFSPYGERWRRLRRVAVVHLLSARRVDSFRALREEEVASFVNRIRAASSGGGGVVNLTELIVGLTHAVVSRAVFGKKLGGVEPAKVRETIGELADLLETIAVSDMFPRLRWVDWATGLDARTKRTAAKLDEVLLE >ONIVA06G11930.1 pep chromosome:AWHD00000000:6:9095605:9096135:-1 gene:ONIVA06G11930 transcript:ONIVA06G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAEEEAMMTARRENERLVESLYAAVAAGDGAAASAVLAGDVDWWFHGPRRCEHMRRRLTGEAEAASASSFVFVPRRVAAVGRGGGWVVAEGWEGPRAYWVHAWAVEGGRITRLREYFNTSVTVRDVGGGGHCRPQLDGGVVRRRAAVCWQSQRGRGGGDDDDDRSLPGLVLAI >ONIVA06G11920.1 pep chromosome:AWHD00000000:6:9084253:9085000:1 gene:ONIVA06G11920 transcript:ONIVA06G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRAGRFLRARSGSLLCHNCSDCDLEHGAAASAPLPPPPPPSLVTTSAVLQYSRTPVTRPPGPTVHACTQYSFPVASPPHGVPVAALQRAVQLLHHRLAAAHHHLLLAAAAGIGGVAVRVYLVASQLCCCCCEASDRSNWGEGRRRLAMANVRLASALYIGESFGFVCASGNLLGTHVSRIPKAWNFLFVSA >ONIVA06G11910.1 pep chromosome:AWHD00000000:6:9084249:9087897:-1 gene:ONIVA06G11910 transcript:ONIVA06G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHFNMWHGMMVNGFMDISNLSAKIICQGKMLISVSRSGTGDRLRDYKLIYALDVGKTFGNYDSPAAAAELASDKVHANGDAADAGGGGEEEVVVSSSKAVVEELYRALERGDGDAVRRLLNPDVDWWFHGPRAHQHLVLMRLLTGGGGGAAGLPFKVRGVDAFGETVLAEGTDATGKLYWVHAWTVGPGGRVTGVREYCNTALVVTRLGGGGGGKGAEAAAPCSRSQSEQLWQSRLPDRARKNLPALVLAI >ONIVA06G11900.1 pep chromosome:AWHD00000000:6:9076806:9078324:1 gene:ONIVA06G11900 transcript:ONIVA06G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPELNSLMDDVVEEILLRLPPDDPSCAVRASLVCKRWRRLLTDDPCFQRRYRAFHRRRARAPPPLLGFIHHVSDDQHPGAPTVSRFVLTTAFRPAEPERRRGWWWLIDCRHGRALFHSAGEGLAVWDPMAGDVRWQQEPRIPASDCMYSTAAVACAAPGCDHDHDHGDCGGGPFVLVFVAVDERHETAAPSTVHLDREYLYSKPSVLAGGAVHFLTDYGRTVLRYDLAKLELSAIEPPEVHSDVLLTTTEGGDLGLAILDDQRYLRLWAWAADHGVTRRWVRRRVVDLFAELPFLQHVLPLNLTGFDEGTGMIFFQASDGDYAIDELMSSPRAKKLWGRNNFSNVFPYRSFYVHRP >ONIVA06G11890.1 pep chromosome:AWHD00000000:6:9073951:9075398:1 gene:ONIVA06G11890 transcript:ONIVA06G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIPFESSNASVRAAWKNVVDCLLKLKRLKLLPPSVVDQDGGTSAVSSSTERLGHLAKSESGVIFPSSHRGAGTSRHMSGVIGRFSQFLSLDAGGESLLSVGSEFENNIKIIQQCRIGSIFTESEKLPDESVQNLGRALIFAATGKGQKFSTPVEEEETAIVALFRIAVRLLSGAGGGDRMAEELVFKSGYSDPGNAWSSSSSSSSSLSMVAAAATMMMMEDASRMGNLATSMFIKLAEALRKTSLVQREEVVTRFNCAACIEAAFRFAALKISPLDISPRIL >ONIVA06G11890.2 pep chromosome:AWHD00000000:6:9075402:9076269:1 gene:ONIVA06G11890 transcript:ONIVA06G11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVNWLIQWHKSGYSDPGNAWSSSSSSSSSSSVVAAAATMMMMEDASWMGNLATSMFIKLAEALRKTSLVQREEVWDQCRHAYVAQLGLVHAYECALLANLACMHQLAFLACCSLRFWL >ONIVA06G11880.1 pep chromosome:AWHD00000000:6:9067659:9071857:-1 gene:ONIVA06G11880 transcript:ONIVA06G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKPPPQPSVSFKLVLLGDGRVGKTSLVLRYVNDVFSDKQEATVQASYLTKRLVVEGVPITLSIWDTAGQEKFHALGPIYYRDADAALLVYDITDNDTFLRVTKWVKELKQMANKDIVMAIAANKSDLVRSKHIDTNEAASYAESIGATLFVTSAKAGTGIDDIFSDIAKRLLEKRKNSSDGLSLAHPKKGILIVDDEPEKEPPPKCCS >ONIVA06G11870.1 pep chromosome:AWHD00000000:6:9059881:9063009:1 gene:ONIVA06G11870 transcript:ONIVA06G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRTSTNTDTPFIPFKLFCLIPLPRRHASFSSPTPPRLLASHAAAPPPSHLLPSSSRRRDLSELRRSSAATSVVFRRLVGTSSPSILAAARRCPGGRRFLARPDSSCAGGHRRGAADSPLDLGVVAADVVATGGGGSGRRDADGKTPTGSPGEEETGARRRDY >ONIVA06G11860.1 pep chromosome:AWHD00000000:6:9055606:9059184:-1 gene:ONIVA06G11860 transcript:ONIVA06G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIHHHKEWLEQGRGAGAELHLASRVGEGKGSCRSHSLSEAETAENAKTLTGEFCKRQKQSNTSTSLLLGAGESGKSTIFKQIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQMSFTLSYHHEIGEKLSDIDGRLDYPLLNKELVLDVKRLWQDPAIQETYLRGSILQLPDCAQYFMENLDRLAEADYVPTKLLPIEWSVHQLVKIVPQDHDSDELWSAIRGDDCVEYGYVHPECRRQ >ONIVA06G11860.2 pep chromosome:AWHD00000000:6:9046835:9059184:-1 gene:ONIVA06G11860 transcript:ONIVA06G11860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIHHHKEWLEQGRGAGAELHLASRVGEGKGSCRSHSLSEAETAENAKTLTGEFCKRQKQSNTSTSLLLGAGESGKSTIFKQIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQETYLRGSILQLPDCAQYFMENLDRLAEADYVPTKGAPSPDWLQEEMLRGQAIDPKQALPAAMIFEKKIQKVKAALYTHTEFKRIVKKFEELYIRSSRPDCVDHEFKIYRTTALDQKLVKKTFKLIDESMRCSREGT >ONIVA06G11860.3 pep chromosome:AWHD00000000:6:9055606:9059184:-1 gene:ONIVA06G11860 transcript:ONIVA06G11860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIHHHKEWLEQGRGAGAELHLASRVGEGKGSCRSHSLSEAETAENAKTLTGEFCKRQKQSNTSTSLLLGAGESGKSTIFKQIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQETYLRGSILQLPDCAQYFMENLDRLAEADYVPTKLLPIEWSVHQLVKIVPQDHDSDELWSAIRGDDCVEYGYVHPECRRQ >ONIVA06G11860.4 pep chromosome:AWHD00000000:6:9046835:9059184:-1 gene:ONIVA06G11860 transcript:ONIVA06G11860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIHHHKEWLEQGRGAGAELHLASRVGEGKGSCRSHSLSEAETAENAKIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQETYLRGSILQLPDCAQYFMENLDRLAEADYVPTKGAPSPDWLQEEMLRGQAIDPKQALPAAMIFEKKIQKVKAALYTHTEFKRIVKKFEELYIRSSRPDCVDHEFKIYRTTALDQKLVKKTFKLIDESMRCSREGT >ONIVA06G11860.5 pep chromosome:AWHD00000000:6:9055606:9059184:-1 gene:ONIVA06G11860 transcript:ONIVA06G11860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIHHHKEWLEQGRGAGAELHLASRVGEGKGSCRSHSLSEAETAENAKIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQETYLRGSILQLPDCAQYFMENLDRLAEADYVPTKLLPIEWSVHQLVKIVPQDHDSDELWSAIRGDDCVEYGYVHPECRRQ >ONIVA06G11860.6 pep chromosome:AWHD00000000:6:9046835:9055331:-1 gene:ONIVA06G11860 transcript:ONIVA06G11860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAPSPDWLQEEMLRGQAIDPKQALPAAMIFEKKIQKVKAALYTHTEFKRIVKKFEELYIRSSRPDCVDHEFKIYRTTALDQKLVKKTFKLIDESMRCSREGT >ONIVA06G11850.1 pep chromosome:AWHD00000000:6:9043531:9046520:1 gene:ONIVA06G11850 transcript:ONIVA06G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESQVIIITLLLFCIVLIIRGEEIQHINPRRSTNQDLTNQEVNKIIQAEDGDVYDCIDINRQPAFNHPLLKDHKIQLKPNSFPVGIDVENPFMYPISEAQLPTAECPTGTIPILCNNRQENISTKSTDAIGTSQQQEVAGIKYFDDIYGTQAAINIYEPMVKHHWDLSGSWIQIENGPDVIGAGSWVSPSFSGDSFARFHISWDPKTENWWLVYGEEKTAIGYWPSSQFSYMKEMASKALWGGYVQGPTASEDSPQMGSGHFASEGYGKAAFVRDIQVVNEDNMRVIPNPVKADPGSTNRRKYTYEYYGHNPNGMHVYYGGPGSYS >ONIVA06G11840.1 pep chromosome:AWHD00000000:6:9000485:9001342:-1 gene:ONIVA06G11840 transcript:ONIVA06G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLSMANGGGGGDDHGYKLDRIDVKGLILDMFAAGTGTVYKSMEWTMAELIKNLAEMAKVQAEVRHVVAAAHGEEGDEDAIVIVKEEQLGKMTLLRAAMKEAMRLHPPLPLLIPREAIQDTVPHGHRVAAGTRVMINAWAIGRDEAAWEDAGEFRPGRFADGGDDAGVEYYGGGGDFRFMPFGAGRRGCPGMAFATRLAELAVANMACWFEWELPDGQDVESFEVVESSGLSPGLINPLVLTAKPLSLLCKHIVLPL >ONIVA06G11830.1 pep chromosome:AWHD00000000:6:8994951:8998116:1 gene:ONIVA06G11830 transcript:ONIVA06G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRRRALAPRVLLGAARRGRLRRAVPAPRMAPRGRRWDAGCAHVDAEHPSFVLRLRNTMLSTLVRYGVSIDASRSDYSGGQKPEAGAGGDERKKEQMKNIMRRLKGIILAAARWTRPPSSTRPLGTSSCSRWRSRSSVCVVQVAKCATGRPDVDSDLAQRLTGTRMDSTALEDWKSERGVGLLGRSRFQLRRGHWWNAAAGTREEERGGAEAESSRWRKSRWMDRAKSRSRWRRG >ONIVA06G11820.1 pep chromosome:AWHD00000000:6:8983380:8983681:-1 gene:ONIVA06G11820 transcript:ONIVA06G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGGGEVGVATKTVVELGGEGVMMTAEGVGSAEAVEIGVGSGFLPPRRAALLTLLPWERIRYIEVGMRWRK >ONIVA06G11810.1 pep chromosome:AWHD00000000:6:8977384:8978179:-1 gene:ONIVA06G11810 transcript:ONIVA06G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRGRGSASGGGVVLLCLVAAALLMEAVPAAEAGGKTYYVGDAAGWGRNLDWWLAGKTFYAGDVLVFKYNKEYHDVAVVGGKGYRRCKVPRNKDTVVLRTGYDQVTLRRGNNYFICGMPGHCDAGMKLAVKAW >ONIVA06G11800.1 pep chromosome:AWHD00000000:6:8975279:8975988:-1 gene:ONIVA06G11800 transcript:ONIVA06G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEWSVKLFDCFGDSGTCCLTCWCPCITFGRIAEIVDKGSTSCCMHGTLYVLLATIGCQWLYACTKRSSMRAQYNLQQSPCLDCCVHFFCDSCALCQEYKELEKRGFNMSKGWEGSNKMVGCVQGMKPPRKQRM >ONIVA06G11790.1 pep chromosome:AWHD00000000:6:8970783:8973677:1 gene:ONIVA06G11790 transcript:ONIVA06G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRDSWCHEFSPSADRWRNFLVKTQYYNGRCLIPAISILLLVAVIAVSDTYFPFPVVMPRTLSAWLSYSSFTSSGGRKADGEACDIFRGEWVPDPDAPYYTNDTCSFIHEHYDCMKYGKLDLGFVQWRWRPDGCDLPRLDPSRFLSAMRGKTLAFIGDSLAKNHMNSLICLLTRVAKPTTSWPSSEHTVYHYGGGYNFTVLNFWAPFLVRSELVDADGPAHTGLWNLYLDEPAAVWAPHVPAFDYAFVSASSWFYRPSMLYEAGRLVGCHHCLLPNVTDLTLRYALRMATRAALRAVVGSDGGGITAVLRTVSPSQYEGGEWNKDGNCVRTRPYRRGEKTLQGFELDFHTLQVEEFEAAKRAASGGGVRMMLMDTTEAMIRLADAHPSRYRGWTRRKEWMKEYFTISNDCVHWCVPGAIDAWNDMLSHIGAREGYDGLELREKDPEVVAKDRLGELMQMGLHEYNQRRHAENLEEKRRKEER >ONIVA06G11790.2 pep chromosome:AWHD00000000:6:8968027:8973677:1 gene:ONIVA06G11790 transcript:ONIVA06G11790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSSPKDPALEAALRRNRRWIVNNQIKRLLLRFPSRTAPVRLLQSRFKTLDLLGRAANWLRKYPSCFDLFHGGAGGDGDGGEEACFGFTKRMAALVDAEEAAVAASEPAMADRLARVLMLARGRRLQVSKLAALRGPLGLPDDYLLRLLPARTDLFRLANPYPHRRNAAELELLRWVPSLAVSSVEAAAASAADSSAPRFTCSLPPSWAKSHAKMEEFNSTPYISPYSERWAAIGTDADAEKRAVAVVHELLSLTLWKKMSVLKLEHFRREFGLPEDTARMLHRHPCLFYVSNRYKIHTVVLREGYEGSELREKDPVVAAKDRLGELMQEGLHEYNQRRRSENLEKKRRRGEIEIKEEEEEDDEEAARLDSAEKREERRKFYKVAKPTTSWPSSEHTVYHYGGGYNFTVLNFWAPFLVRSELVDADGPAHTGLWNLYLDEPAAVWAPHVPAFDYAFVSASSWFYRPSMLYEAGRLVGCHHCLLPNVTDLTLRYALRMATRAALRAVVGSDGGGITAVLRTVSPSQYEGGEWNKDGNCVRTRPYRRGEKTLQGFELDFHTLQVEEFEAAKRAASGGGVRMMLMDTTEAMIRLADAHPSRYRGWTRRKEWMKEYFTISNDCVHWCVPGAIDAWNDMLSHIGAREGYDGLELREKDPEVVAKDRLGELMQMGLHEYNQRRHAENLEEKRRKEER >ONIVA06G11790.3 pep chromosome:AWHD00000000:6:8968027:8971575:1 gene:ONIVA06G11790 transcript:ONIVA06G11790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARWSSPKDPALEAALRRNRRWIVNNQIKRLLLRFPSRTAPVRLLQSRFKTLDLLGRAANWLRKYPSCFDLFHGGAGGDGDGGEEACFGFTKRMAALVDAEEAAVAASEPAMADRLARVLMLARGRRLQVSKLAALRGPLGLPDDYLLRLLPARTDLFRLANPYPHRRNAAELELLRWVPSLAVSSVEAAAASAADSSAPRFTCSLPPSWAKSHAKMEEFNSTPYISPYSERWAAIGTDADAEKRAVAVVHELLSLTLWKKMSVLKLEHFRREFGLPEDTARMLHRHPCLFYVSNRYKIHTVVLREGYEGSELREKDPVVAAKDRLGELMQEGLHEYNQRRRSENLEKKRRRGEIEIKEEEEEDDEEAARLDSAEKREERRKFYKNLENIDK >ONIVA06G11780.1 pep chromosome:AWHD00000000:6:8959058:8959498:1 gene:ONIVA06G11780 transcript:ONIVA06G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASGERPASNWDWSDCDAAFTEEADGAIAALQETGGDGLATKQLLGRYHTILAQWYFARADQQKATNHLRRAAWMAPCCLHIAFALAFVLIEMGSFDEADMVCAHNLLVPDLTNPAHNFISPKEQVDAIISSKAPEYRLGRGAI >ONIVA06G11770.1 pep chromosome:AWHD00000000:6:8947738:8950733:-1 gene:ONIVA06G11770 transcript:ONIVA06G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNHQKTCTGCRVPYSTIHNAQFKRGCKRTLLSLSPLFFIFVVVVVVVVLAACSRCCRRRRRRCTTIRHTAEEQQLGGIGTAGYHIGDGAIFPPHHLLPPPDLPLLRTSPNPSSKSSSNLTAGGHLPPLAVAAAAAAQQHGVAVVGMAAHQGMAAATAADRFCLPRMAAAAAAASQVENWGDSGVVVSSPFTDDTSTDLDDSADKHHLHALVVPLPPATSSRRRLSWLAPLGGGDGGDDAGEQRGADSSAVSKERRGDQKMQRRLAQNREAARKSRMRKKAYIQQLESSRSKLMHLEQELQRARQQGIFIATGGSGDHGHSIGGNGGTLAFDLEYARWLDEHQRHINDLRVALNAQMSDDELRELVDAVMMHYDQVFRLKSFATKSDVFHVLSGMWMSPAERFFMWLGGFRSSELLKVLASHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQTLGDTLVSAAATVVGGGGGADNVTNYMGQMAIAMAKLTTLENFLRQADLLRHQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRD >ONIVA06G11760.1 pep chromosome:AWHD00000000:6:8942184:8945140:1 gene:ONIVA06G11760 transcript:ONIVA06G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKISNITRIRILHPFESYCGGAMDFEKMALGRRTIDNERIISHGKLIACRRGTFGEDYIYF >ONIVA06G11750.1 pep chromosome:AWHD00000000:6:8935100:8936081:1 gene:ONIVA06G11750 transcript:ONIVA06G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLLAVRLIEVDRHHHRHKDRFQFRSHAANAALRCAALSTRLPDVDADAFLIGLAAPVSHLAHSLSAENSGRRSRHLSIQDVTRLTRLMQKPLDLKNLNNPMDLAAMRCRQFEMKVQPTLTLSLRGILLDRIHGVYLRAISRIPMEDFCGRYHHGLLKAGYCYGPLNPMFPALDQALKFLYSQSLDGLINVLVARVSGLSEHDAMVYLLKTYASSHPKPEAYLHFAMELLPMVRSVVMELLAHTLSSSHILQELLAHTLSSNHILHLPELLSYSRSYPSPLRVVIIYKELPL >ONIVA06G11740.1 pep chromosome:AWHD00000000:6:8930635:8931116:-1 gene:ONIVA06G11740 transcript:ONIVA06G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPVFPSSDLKSCGWISQGGRSSRCQTSISSALATPDCGKFSYSAGGRKPSSFDSLSLRWIWMASTDFRGPFVHLILGAVPFLSDVLSRVVSGEGGLTHAQIVVVFGGFGGAELAELLKQFVLADSSHASIKNIVSTDSISINLLLCLNQLSKQ >ONIVA06G11730.1 pep chromosome:AWHD00000000:6:8929946:8930604:-1 gene:ONIVA06G11730 transcript:ONIVA06G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLHHTGNQQATQQGKAGPGNSVHAGNEVSFSILTHGIHLKFVRLYHRHHLAFLKLKVSWVLGASSISFLCSSIHVEIIVRVEHRLSVRLWSAGMLLVFLRLDDDFHGNHWLSPVKPPTYLRLNSKPQLVPNPWRQPEGSLAGQAGSTFEEALGCNRRGNAADLRRLKMVLNVCCQFFARRCFRECCSLPMYSV >ONIVA06G11720.1 pep chromosome:AWHD00000000:6:8922163:8928198:-1 gene:ONIVA06G11720 transcript:ONIVA06G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGIHCYQDCYLAHQRLAIVDPTSGDQPLYNEDKSVVVTVNGEIYNHEELKANLKSHKFQTASDCEVIAHLYEEYGEEFVDMLDGMFAFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFISFPPGHLYSSKTGAIIKRLMTDVPFGVLLSGGLDSSLVASVVSRHLAEAKVAAQWGNKLHTFCIGLKGSPDLRAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKNFINVAMDIDPEWKMIKRDLGRIEKWVLRNAFDDEEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANEHVSDSMMMNASFVYPENTPNAARLTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHDAAYEDTLQKSPASANPVLDNGFGPALGESMVKTVASATAV >ONIVA06G11710.1 pep chromosome:AWHD00000000:6:8916021:8919982:-1 gene:ONIVA06G11710 transcript:ONIVA06G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18500) TAIR;Acc:AT3G18500] MASAAPRARLTPPTPAMHPHRKRARSPPASGSLVRCSSSGNGAPRDHRRRWQSPAAGSPGRVYQRHRAQQYGVPSRRWVLAEEALTSDGDACTIMSYNILADNNAHYHPDLYLDVPWDAMRWDSRRRLIIREIRHWDPDLEVDRFRDIATEMENRGYQSRFKGRTGDAKDGCATFWKSKGLHLLEEDSIDFSEYNLRNNVAQIFVFELNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDLNISLHDRRQLSGLDSSEFALYDLCSSLKYQWSGEEVRNATGSSNVMVAKHPLNICSSYAMLKGNSNNRGHHGEPLATSYHKKFLGTVDYLWYTAGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDQEDESDEDKESEEEATRGQHIYFSSDSDSSDEVG >ONIVA06G11710.2 pep chromosome:AWHD00000000:6:8916021:8919982:-1 gene:ONIVA06G11710 transcript:ONIVA06G11710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18500) TAIR;Acc:AT3G18500] MASAAPRARLTPPTPAMHPHRKRARSPPASGSLVRCSSSGNGAPRDHRRRWQSPAAGSPGRVYQRHRAQQYGVPSRRWVLAEEALTSDGDACTIMSYNILADNNAHYHPDLYLDVPWDAMRWDSRRRLIIREIRHWDPDLEVDRFRDIATEMENRGYQSRFKLNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDLNISLHDRRQLSGLDSSEFALYDLCSSLKYQWSGEEVRNATGSSNVMVAKHPLNICSSYAMLKGNSNNRGHHGEPLATSYHKKFLGTVDYLWYTAGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDQEDESDEDKESEEEATRGQHIYFSSDSDSSDEVG >ONIVA06G11700.1 pep chromosome:AWHD00000000:6:8914149:8914992:-1 gene:ONIVA06G11700 transcript:ONIVA06G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAYTVALLGATGARVPAAPRSAALLPRRGGVLQPLRLQDAPRLSLLRVRAASDDTSTSASGDELVADLKAKWEAIEDKPTFLLYSGGAVVALWLTTVVVGAINSVPLLPKILELVGLGYTGWFVYRYLLFKESRKELATDIETLKKKIAGTE >ONIVA06G11690.1 pep chromosome:AWHD00000000:6:8908114:8908503:-1 gene:ONIVA06G11690 transcript:ONIVA06G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylphosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNQ8] MFPSATAPRLALTPRSVAAARRAMASAATPQPSPPSPKAVRVVVKGRVQGVFFRDWTVETARALGLAGWVRNRRDGTVEALLSGDPARVDEMVSRHLPVGPRAAAVTAVLPSPADPVDPAEGFTRKPTA >ONIVA06G11680.1 pep chromosome:AWHD00000000:6:8906885:8907238:-1 gene:ONIVA06G11680 transcript:ONIVA06G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylphosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNQ7] MATPAADATSSSPTPSPPPQETPARKAVRVVVKGRVQGVGFRDWTAETAESLGLAGWVRNRRDGTVEALLSGDPAKVDEMVSRHLPVGSPASAVTAVVPSPADPIHPSLGFEINFTV >ONIVA06G11670.1 pep chromosome:AWHD00000000:6:8901694:8907479:1 gene:ONIVA06G11670 transcript:ONIVA06G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68570) TAIR;Acc:AT1G68570] METVEAAMGEEEEAKKSKMRKKGGFRTMPFIFANEVAEKLAVLGFTTNMLMYLTRQLHMPLAKAATTLTNFGGVSAMTPLIGAFLADSLVGRFWTIAAASLIYQVVRIHIHARTHAPRTPPRRAELTGAFVFAWQGMLLLTVSAAMPVFRPPPCSGAGGAGACDEAAPWQLAVLYAALLLNALGAGGYRPCVVAFGADQFDESEAAERARTWGFFNWYYFCNGASQLVAVTAVVYVQDNVGWGWGLGVPTFCMAVSVVAFVAGYPLYRRLHPSGSPFTRLAQVVVAAVRKRRVPTDADDAAALYENDDMDAPISLYGKLVHTEQLSFFDRAAIVTDGDLTTDTSNGKPSLSPIPKPWRLSTVHRVEELKSLLRMGPIWAAGILVITAYSQQHTFALQQASTMDRRLAPGLSSFQIPAGSMTVFTLLAMLTTLLAYDRVLVPLARRVTGLDRGISYLHRMGVGFAISVAATLVAGFVERHRRESAAAAGTTDAGTSPLSAYWLVPQYALHGMAEAFNSVGHLEFMYDQSPESMRSMATALFWLSISLGSYVSTMLISAVHRWSAGADGSNWLPDNINRGRLDYFYWIVALLQVLNLAYYAICARCYLFKPLQLREVDDDAKPQIELQEKASPLSH >ONIVA06G11660.1 pep chromosome:AWHD00000000:6:8882998:8889450:1 gene:ONIVA06G11660 transcript:ONIVA06G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVKTLKGTNFEIEASPEASVAEVKRIIESTQGQNVYPADQQMLIHQGKILKDDTTLEGNKVAENSFLVIMLSKAKASSSGASTASKAPVSQSQPATPVASVARTPPPQAPVVTPEPAPPSAQPPVASATPAAAATPAAAVTASSDADVYSQAASNLVSGSNLEQTIQQILDMGGGTWERDMVVRALRAAYNNPERAIDYLYSGIPENVEPPQPVARAPAAVQQGNPQVPSQAQAAPPPPVQPAGGASGPNANPLNLFPQGIPSAGSNPGAAAGAGAGAGALDALRQLPQFQALLALVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNESPDSGAAGGNILGQLAAAMPQALTVTPEEREAIQRLEAMGFNRELVLEVFFACNKDEELAANYLLDHGHEFEDQQ >ONIVA06G11650.1 pep chromosome:AWHD00000000:6:8870307:8872392:1 gene:ONIVA06G11650 transcript:ONIVA06G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAKAAAAGAVGAKSARACDGCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRPSSPPPLVPPSGSGRRDEAVPAAWFKRKARTPRSHAAKSAAAVGQLLSRRLVVVPEAAAGSGGDSPEERKDEGEIVEEQEQLLYRVPIFDPALSEFCSPPPLEDAAAAVSCCNEDGAVENPTKPSMTTTTATTPPLQFFPDGQANFGPTDAELREFAADMEALLGRGLDDGNDEDSFCMETLGLIEPVDDDAGRVKVEADGDAGMTLAWCHELDTETSSGEMLDIDFDCGSPQAATTPDEKVGSSGPAAAAAAADDDDAQLQQSNLALSLNYEAIIESWGTSPWTDGERPHVKLDDSWPRDYSGVWMAAAGVFGHGGEEQALTPRLGMDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRAAAAATAAVATACVA >ONIVA06G11640.1 pep chromosome:AWHD00000000:6:8831704:8833125:-1 gene:ONIVA06G11640 transcript:ONIVA06G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNQ3] MGNVLVAVPATAAALVTVALLAPEAESTMVAIGRLRELIRPAHLFLAVFLPAAAATVYLVMRPRAVYLVDYACFRTAPNCRVPFATFLEHATQVPTLTERSVRFMTRLLERSGLGEETCLPPAHHYIPTYKYCTLEAARAEVDLVVFSAVDELFAKTGVSPDDVDILVVNCSLFCPTPSFVDMIVNRYKLRSDIRSMHLSGMGCSASLISIGLARNLLQVAPHGARALVVSTETITPNYYVGNERAMLLPNCLFRMGGAAALLSTSPAKARFRLKHVVRTLTGAEDSAHHCVFQEEDEHGSIGINLSKDLMTIAGNALKANITAIAPLVLPASEQLKFALAFIARKALSGRVKPYIPDFRAAFEHFCIHAGGRAVIDELQRSLCLSDEQVQASRMALHRFGNTSSSSVWYELAYVEAKGRMRRGDRVWMIGFGSGFKCNSAAWECISPARDADGPWATSIHRYPVDIPDVLKH >ONIVA06G11630.1 pep chromosome:AWHD00000000:6:8813781:8815295:-1 gene:ONIVA06G11630 transcript:ONIVA06G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNQ2] MTMSSPPPRASKHLKSAYRLAAIAVPVVAAAVVVIVVLLRAAQLGPGELLAGRLGAVRHVHLFLAALVVVPSAVATLLRLVRRPRSVYLVDYACFRPQPSNRLPFATFAEHFRLSPHIDDGSFRFVTRMMERSGLGERTYVPRGNLYLPPRTGMEEARDEAEMVVFAAVGDLLARTRIRPEEIDVLVTNCSVFSPTPSFADMVVNRFKLRGDVRAVHLSGMGCSAGLIAVEVARNLLQAAAPRGAHALVVSTETTSFSHYAGTSRSMLLPTALFRMGGVAMLLSTSRSSATTTTSRFRLAHIVRTLNAAEDRAYRCAYHEEDGDGNLGVNLSKDIVPVAGEMLKANIATVGSRVLPLSEKLLYALSLLARKVAGSRRRKAIKLHVPDFRTAFEHFCIHAGGRSVIDAVQNGLGLADEDVEASRMALHRFGNTSSSSVWYELAYVEAKGRMRRGDRVWMICFGSGFKCNSAAWECISLPARDADGPWADSIHQYPVAITTTTKMC >ONIVA06G11620.1 pep chromosome:AWHD00000000:6:8803417:8803926:1 gene:ONIVA06G11620 transcript:ONIVA06G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGEEASPAAAARPGDASTAATSPRETSSSRRRREEERVGWAGGGSSGRGARQREEEQRAIRGGGEEEKEEEEESPGRRRRNGSPPQEEAQRRRCGRRGGAASSSPPTPRRRGLLPVDRAADPDPAGAAAVSSVIDRRGDGRIRLSRRRGRRGRWEQTAARTKRMRE >ONIVA06G11610.1 pep chromosome:AWHD00000000:6:8790381:8791295:-1 gene:ONIVA06G11610 transcript:ONIVA06G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPWRLTVVAGEQCAPKRWTTTSQQLRQKRCFGPHCRLLGNAFTNKDELFTWAKNNNRDCSTSTALTEQAILHLAMQIHTTGTAQEASGLLFSVVLLDVNEPDVAATTRMVIDARWRVALVGVENLHAHDCIVHGDCRWIILLSAGCSADVKILVLYCWVNVIQMVL >ONIVA06G11600.1 pep chromosome:AWHD00000000:6:8756470:8758537:1 gene:ONIVA06G11600 transcript:ONIVA06G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:structural constituent of ribosome;protein binding [Source:Projected from Arabidopsis thaliana (ATMG00090) TAIR;Acc:ATMG00090] MGDYLGRFREHVYVVCAGEWKPPPQKRSGSSHGSVTIDSIYYYGKSLYQDVNLRSYFSSIRPPTILTFGFRLGRCIILHFPKRTFIHFFLPRRPLRLKRRDKSRPGKDKGRWWAFGKVGPIGCLHSSEGTEEERNEVRGRGAGKRVESIDREKQNEIRIWPKKMQRYGYHDRSPSRKKNFSKSLRVSGAFKHPKYAGVVNDIAFLIENDGPTSHLLKRTLPAVRPSLNYSVMQYFFNTKNKMHFDPVVVLNHFVAPGVAEPSTMGGAKGGSLDKRIRSRIAFFVESSTSEKKCLARAKKRLIHFIRQANDLRFAGTTKTTISLFPFFGATFFFPRDGVGVYNNPFFEYAREQLLGQLRIKCRNLMGKDKVMELIEKFIYLGRIGKLIKGIEMMIEIILRKRIIPYGYNSYLNEVQKMRSFLSNRTNTNTLIESVKIKSVYQSASLIAQDISFQLGNNPISFRSIFSQIVKDIPLIMPKGVEGIRICCSGRLGGAEIARTECGKYGKTSCNVFNQKIDYALAEVSTRNGISGVKVRISYSQNKKGRAISETGCEPDGTQLGFGRYGTKSCRAGRLSYRAIEAARRATIGQFHRAMSGQFRRNCKIWVRVLADLPITGKPAEVRMGRGKGNPTGWIARVSTGQIPFEMDGVSLSNARQAARLAAHKPCSSTKFVQWS >ONIVA06G11590.1 pep chromosome:AWHD00000000:6:8750070:8751800:-1 gene:ONIVA06G11590 transcript:ONIVA06G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRYAFAAVDRTLRDIRRTSTNDTGSNPHHRLVYGDERCNWCGSIISQFTDSSIQEGKACLFVQIPQALLERGSAPLRLIAFLAQMKFVPVHAIAELRIKSLRKANPWGIEIPV >ONIVA06G11580.1 pep chromosome:AWHD00000000:6:8730622:8732450:1 gene:ONIVA06G11580 transcript:ONIVA06G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVHITVEKKDAQHVTPSVSIPRTSLSTFRGGRKGDVIHATVGKNMIDNYNTKIKESSIFAYSVNST >ONIVA06G11570.1 pep chromosome:AWHD00000000:6:8724323:8725801:-1 gene:ONIVA06G11570 transcript:ONIVA06G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNP6] MSSSSSSAQLKRLKPLYQHVVNNFLVVMAAPLAVAAIVNAARVGPDELLRMARALRPVHVFLAAFVPAAAATLYLMLRPRPVYLVDYACFRTKPNCRVPFATFLEHAKLVTYVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHFIPPYRNLEASRTEVEVVIFNAIDDLLAKTGISPAAIDILVVNCSLFAPIPSFTDMIINKYKMRSDIRNVHLSGMGCSAGLISVGLARNFLQVAPHGAHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAVLLSTSRAKARFRLSRVVRTLTGAQDSAYRCVFQEEDGEGHRGINLSKDLMTIAGDSLKANITAIGPLVLPASEQLLFALSFIARRVLNRRVKPYLPDFRMAFEHFCIHAGGRAVIDELQRSLGLSDEHVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRPGDRVWMIGFGSGFKCNSAAWECISPARNADGPWADSICRYPVDIPEVLKH >ONIVA06G11560.1 pep chromosome:AWHD00000000:6:8710765:8713260:1 gene:ONIVA06G11560 transcript:ONIVA06G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAQVNYVTAGERMRSCALSSLQVRLRRGPDLPVASQRRRSHPLHLLVLVLSGSPIRTHSTTPLPASPVSWLGRSGWALALRDASWGRRVWRQG >ONIVA06G11550.1 pep chromosome:AWHD00000000:6:8690685:8694476:-1 gene:ONIVA06G11550 transcript:ONIVA06G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGRRKGAKVMQLDGTAFRVKPPAFAGTVLRDHPGFQLLESEEVKLLGVRARPLAHDAPLRPGRLYFLVALPRPTAPPRRAWSGALHVGARERLESLMLTRRSTSDLSLPASAAVGTAPPSPMSTASEPGGGPVRLRMRLPKAQVEKLMGESRDSAEAAAKIMQLCAAANANGGASGAATPERGILRTPERSPRFIPTPDWGVAGGFARTPEVSPRFAATPEWGTGFMMPTPERSGLLRTPERWPTLPRTPEYSSRDKRTRFVAMPDEIIA >ONIVA06G11540.1 pep chromosome:AWHD00000000:6:8687839:8688189:1 gene:ONIVA06G11540 transcript:ONIVA06G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDALRLGQLYFVLPVSALHRPFSDQDMAALTVKAIAALGASATAAAAGGNSSSISVSSRGKNASPASKQRQQTTARVAPIRRGSTEVALLANAQDCRCRGRAPSRKAMSDPIY >ONIVA06G11530.1 pep chromosome:AWHD00000000:6:8643220:8643414:-1 gene:ONIVA06G11530 transcript:ONIVA06G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASPSPTSTSTRRKPAAAADFPFSDASRVAQLVLDWGVLSDAALLRPTCSTECLGCADAKNR >ONIVA06G11520.1 pep chromosome:AWHD00000000:6:8635412:8642965:-1 gene:ONIVA06G11520 transcript:ONIVA06G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCGGGGGGERCDLGFRPINGGRGAAMEQRGDGTDGPSVSPPERVPTPSSSRYAGWRRLSSPGPLRCSTRSVGYEDGDDSERYFSPHSEFSQDTSDTDSVSTSISRMYTFRLGTSSPVDSPVRRLGLGDTSPSSRRSCHSPVYPLNSVHGSEDVDYSSFVDSPVCGDEQQNNTSIPIDFESNRLIWYPPPPQDEGDDFENGFFEYDDDDYDGNDVGDTNTFTRVNHDHGGEDDSLGIKGKHNIAHKEFLRNALHGHFRALVSQLLQGHGVDPVDVWSDIISSLAWQAATFVRPDTSKGGSMDPTDYVKVKCVASGNPNDSTFIKGVVCSKNVKHKRMVSKHENPRLLLLGGALEHQKASNKLASINSILEQEKEYLKIAVAKIEAQRPHVLLVEKSVPLYAQQLLAKDISLVLNVKRSLLERISRCTGAQIASSIENVTSVRLGQCQTFWIERVSESSLPKNANKKSAKTLMFFDGCPRRLGCTILLRGTSHEELRRVKLALQFALFAAYHLSLETSYLADEGATLPKIPSDISALPLENHVDGGNCSSSYCLQDFNDFQIVGQKTSDNGCNMPANCLNDSENPLSADKSFLGPNLNQAEYIGVTNGIYPRSPRSSLDKGCVPPSDIIVQTSKSSPMGPRFHRVESDLDNGWQNTSDEEHAGLAVRDHNENHIEYFPTSDNPQSILVSLSIACPQRGVVCKQSQLFRIKFYGNFDKPLGRYFREDLFNQISCCESCKEPAESHVRCYTHRQGSLTISVRNLASVRLPGENDGKIWMWHRCLRCKPKDGIPPATQRVVMSDAARGLSFGKFLELSFSNHTTANRVASCGHSLQRDCLRFYGYGSMVAVFRYSPVDILSVNLPPAVLDFTYPMAQDWIIKDAADVASRKEYFYKEIFDKLDSIENIVSAQNMSMKTGLPKHVIDLKDLIKVEWKKYDVLSRFASTENLNTLELAIDILELNRLRRELIVDAHIWDRRLYMMQALTKENCHTVPTDVQCLDKIPESFVEKSKVEIPGTHENWENSLEHTQSSSLTVAANSIKPLIRGDQIDTIVTHFGLKTDIKGEVPPQSAEDYSSSVVPGPSERPNDGILTDELEKTFERSRSSATNLSDRIDLAWTGSSQLANDPSKCSIEALPVVPAALVDDPSYQRVIAPIRINSFDSAINFKNRLSPVDGSDGIIRRAYSQKPPKALERTGRGLSPTFKTELSVPDIMHGEGRLLLQNAGDVVVPIYDDEPSSMIAHAMTVPDYHKFMLPLLDQQNDLGRFSVGNSLDQDSSSRSSLDVSTWSYGSDQPRTGNNDSKDIHLTVSFEDDDSISVDKAKFSVTCYFAKQFDAIRRKCCPDELYYIRSLSRCKRWSAQGGKSNVYFAKTLDDRFVIKQVTRTELDSFEDYAAEYFKYLTESVSSGSPTCLAKVLGLYQVAARNLRDGKELKLDVMVMENLFYKKKISRIYDLKGSLRSRYNPDTSGNNKVLLDLNLLETLHTKPIFLGSKAKRRLERAVWNDTSFLASVDVMDYSLLVGIDEESKELVMGIIDYLRQYTWDKQLETWVKASGFLGGSRDVLPTIISPDQYKKRFRKAMSRYFLTVPDQWSS >ONIVA06G11510.1 pep chromosome:AWHD00000000:6:8619052:8634761:1 gene:ONIVA06G11510 transcript:ONIVA06G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ketose-bisphosphate aldolase class-II family protein [Source:Projected from Arabidopsis thaliana (AT1G18270) TAIR;Acc:AT1G18270] MASGKVVSFVGADELGVSLAASFVRSGAIVRCFVAPGGDGSATALAELGGVRCASPAEAARDAELVIVLSDTDGVDELFFGPEGIVKGLCSGAVVLIRSTMLPSHLEKLNQKLADEKKNALLDGYIFSGLSDELKQKIVVVASGRHDVTERTGQFFSGLDTAVYFVEGEFGSSSKIKLVNDLLESIHFIASIEAMFLGVRAGIHPLIIYDIISNAAGSSRIFVEIVPKLLREDSLLIDYLESSKTNAGYVMDMAKAVIFPLPLVAVSYQQLIHGCSSANGDALVSPLKVWEQSFGVNIIDAASQQIYDASKLADQLVMACKTAKTIGFIGLGAMGFGMASHLLKSGFSVIAYDVYKPTLARFTDLGGLTKDSPEEVSKDVEILVIMVANEVQAENVLYGNAGAVSVMATGTSIILSSTVSPGFVIKLKERLEAECRDIKLVDAPVSGGVKRAAEGTLTIIASGTDEALQCTGSVLSALSEKLYVIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFGARLNLRTRRLFEIIQHARGYSWMFGNRVPHMLDNDYTPYSAVDIFVKDLGIVSHESSNARIPLHVSSIAHQLFLSGSASGWGRFDDAAVVKVYETLTGVKVEGRPPMLNKEDVLSSLPAEWPEDPMDDLVSSASHNSKKILVVLDDDPTGTQTVHDIEVLTEWPVEALAEQFQKLPACFFILTNSRSMTAEKATLLVKDICRNLEAAAKSVPGVSYTVVLRGDSTLRGHFPEEADAVVSVLGEMDAWIICPFFLQGGRYTIDDIHYVADSDRLIPAGETEFAKDAAFGYKSSNLRQWVEEKTKGRISENQVSTISVNLLRKEGPNAVCQHLCSLKKGSACIVNAASERDMSVFAAGMIQAELKGKRFLCRTAASFVSARIAIKPKPPIRPTDLGLKRALTGGLIVVGSYVPKTTKQVDELRSQCEQSLRIIEVSVEMISMKSAEDRDHEISRVIELGNAYIQSRKDTLVVTSRQLITGKTPEESLEINYKVSSALVEIVRGIGSRPRYILAKGGITSSDLATKALEARRAKVMGQALAGVPLWQLGPESRHPGVPYIVFPGNVGDNSALAKVVQNWACPSRSSAKELLLNAENGGYAIGAFNVYNLEGIDAVVSAAEAEKSPAILQVHPSALKQGGVPLVSCCIAAAEHASVPITVHYDHGTSKSDLLQALEMGFDSIMVDGSHLPLGKNILYTRSISSLAHSKGMLVEAELGRLSGTEDGLTVEEYEARFTDVAQALEFIDETGIDSLAVCIGNVHGKYPPSGPNLRFDLLEDLRALTMKKGVSLVLHGASGLPHELVKECIALGVRKFNVNTEVRNSYLESLKRPEKDLIHVMASAKEAMKAVVAEKMRLFGSSGKA >ONIVA06G11500.1 pep chromosome:AWHD00000000:6:8586333:8612747:-1 gene:ONIVA06G11500 transcript:ONIVA06G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISHWGLKFVKTALNESDIAGSNRKRGSHRPQLTVTEKDKAERGSNGQRVGFGEFKEALVLAELAIPTVKSPPRNSTSSPNPSLTSLLGRIDRAHAGVERPLAGVGPLRCRRAQGKQYNTGCTTSTGTIRECNRHAVAVLSTIQVRHNDDCRRDRATVDTGGSERGLMIDVAQHLNGSLLPDDEDELLTTGVMESNTIGIGIGIGIGIINYINNVACDLALATSSSSRMVLNHSAHPMLSGIKAYYESSHKGGIVSDVEAKASGLET >ONIVA06G11490.1 pep chromosome:AWHD00000000:6:8565343:8572589:1 gene:ONIVA06G11490 transcript:ONIVA06G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMEPSSSSSSTASAVTPSSAPPSGRCCVVLRIKLPAAWTPEEDAALERLAVENGSRHWRRVAAQMPRKRSPVQCRDRWRDHLARDVFHRPYTAADDDELTRLVLRPGGGGDRWNDISRAVHGRSSRSVKRRWMEIGTSDELLRKLWHPRSSMLSPATVVDAFPLSLSHPISHYSHFFYRPEQALAAAVPANSSAPSAGNHPPQMRVVREHMLPPTSLISGHQSPSAPPPSPLYLFGKNRNRRGRNEGRRRKRKRKRKADRWAHEHLNLYLLFEYFRLFPKYPLATKKPPVGTLRLPWGHSRFTIPDVGPPAKPKPKVEVLLLAPHASMRIVVGASLFPRPPQHAAQLVFGLCVILAALPPLTVVGSLFYFGWQPVVFQGSDNQVAYLGFIRVGARQLSSSSSAASVFASSSATSPPLGRCVVRIRLPPAWTPELDAVLERLAMEHGSRHWRRVAAQMPRHSSRRSPAQCRDRWRDHLARDVFHRPFTADDDAELARLCLRLDDGRSSRAVKRRWRELRKSDAFLGKLWRRPLSH >ONIVA06G11470.1 pep chromosome:AWHD00000000:6:8545409:8545795:1 gene:ONIVA06G11470 transcript:ONIVA06G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFSPFTNIRSGTFPDQWVPALRVASCPLSGVELQKPPATNRPRELARPRVNTSRGRAGRAETQEETVPRGTVQKRTRTRRGASHKSRSHERDAGSRGSYGVLRHCVVGDSWLVGVHGMGCSTCHG >ONIVA06G11460.1 pep chromosome:AWHD00000000:6:8539770:8543640:1 gene:ONIVA06G11460 transcript:ONIVA06G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMGSVKKKATAMVQRSAHHGNRTSSLKTVQSTSASAMANQAAAGAGGEDAGRPQAQAQVAAVEVRSYFPFPYAAGPFRPSTGGGGGGVSLGTHQADQPPAPEVVAAQQQLPHFPYAPRGGGSASASLSVECAICLERLRRGELCSELPESRHVFHRDCVALWIKSKSTCPLCRARISPWFSGSIGAPPPLADMV >ONIVA06G11450.1 pep chromosome:AWHD00000000:6:8537832:8538218:1 gene:ONIVA06G11450 transcript:ONIVA06G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSFFFVPPLSPSDFYKKRRWEGGRCRAEALAAVMDGGQSGGGVRAGAGVNGGGGGGGGGGIRRWGAAAQAMQTRSAWQGRRAVTQRRAGALRLRLCVSRGPKSPTPGHVHGLPSGRDWDHMQWL >ONIVA06G11440.1 pep chromosome:AWHD00000000:6:8537054:8537530:1 gene:ONIVA06G11440 transcript:ONIVA06G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLGEVAMLVVDVAFVLCLIVAIMCCCDDDRRRPRSSSQRDAQVGGRVVMLRVVEAPPGQQRVAPAAAKAALPYFPYAQAQGRTSSSETQTLVCAVCLEELKHGELCSEVPACRHIFHRGCVGSWMKKSDSCPLCRVKISSWIAGPTESPTAADAV >ONIVA06G11430.1 pep chromosome:AWHD00000000:6:8534965:8536757:1 gene:ONIVA06G11430 transcript:ONIVA06G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAVAVLVVAMVISGGGGVAAAVTSKKPVIYIFGDSMSDVGNNNYLILSLAKSDYPWYGVDYETGFPTGRFTNGRTIGDIMAAKFGVPPPPPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFVQYLSFDNQISSFEEIKNAMIAKIGKKSAEEVVNGAIFQVGLGSNDYINNFLRPFMADGIVYTHEEFIGLLMDTMDRQLTRLYDLGARNVWFSGLAPLGCIPSQRVLSDDGGCLDDVNAYAVQFNAAARNLLERLNAKLPGASMSLADCYSVVMELIEHPQKYGFKTSHTSCCDVDTTVGGLCLPTAQLCDDRTAFVFWDAYHTSDAANQVIADRLYADMVSAGAVQGNGNATAAAAASTPAPRVVVGGGASPSTHAAPPPKP >ONIVA06G11420.1 pep chromosome:AWHD00000000:6:8530352:8531371:-1 gene:ONIVA06G11420 transcript:ONIVA06G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPTLVPACDLEEPLLAESSERFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSADARHWDAALSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDGAEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRGKLDEARVREIVADAVDIEREFVCDALPVALVGMNGDLMSQYIEFVADRLLMALGCKKMYNVANPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGGASANHVFSIDEDF >ONIVA06G11410.1 pep chromosome:AWHD00000000:6:8525545:8526880:1 gene:ONIVA06G11410 transcript:ONIVA06G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPPPVALHHHQCIGALTAGGEDPLEYKEYNVLLRPQPDDDGVQRSWHLLFLPGNTTAGRKPRLVQSYKHVVDSFAAPLTEVDRDGSSVQEARLLVLLPGRHRALASKPSKNSFACIAGLSRKEDDNLAGSGHGAAHGGGSTPTELLRMRRDSGDGDSAACVAEVDEAGEARGRRRSGGDACSGSNGGDVEEDQEGVGRRPLCVELAEEERDEGEESVELVGRLLSSGFIGTRRASLPLLKIDRREGEGARTPRKRELDEGSYGSGAWGEWEGGACVDAVVVIGGRRGGGWAEEGKGKDGESLSSSSPTRWG >ONIVA06G11400.1 pep chromosome:AWHD00000000:6:8521285:8524750:-1 gene:ONIVA06G11400 transcript:ONIVA06G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMRRQPNLYILQTTRPVMIEHNGVVIRRVQSTRRSEPCQIKSGPLSVPSSPLLISFLPQRESTETHPCDAAAQLERSSAKPYSKPAASHRHLQIRPHRAAAVMVYFDSWDEFVSKSVELFRNHPDTTRYVVKYRHCEGKLVLKVTDNHEATGASAKIWCLKFKTDQAQDAKKMEKLNTIFFTLMTHGPDADISDVSGKEQAEQQQSKKGRGRRQ >ONIVA06G11400.2 pep chromosome:AWHD00000000:6:8521285:8524750:-1 gene:ONIVA06G11400 transcript:ONIVA06G11400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMRRQPNLYILQTTRPVMIEHNGVVIRRVQSTRRSEPCQIKSGPLSVPSSPLLISFLPQRESTETHPCDAAAQLERSSAKPYSKPAASHRHLQIRPHRAAAVMVYFDSWDEFVSKSVELFRNHPDTTRYVVKYRHCEGKLVLKVTDNHECLKFKTDQAQDAKKMEKLNTIFFTLMTHGPDADISDVSGKEQAEQQQSKKGRGRRQ >ONIVA06G11390.1 pep chromosome:AWHD00000000:6:8506023:8511062:-1 gene:ONIVA06G11390 transcript:ONIVA06G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNM8] MAAAGGAVLLLVLATATSVSGQHDYSDALHKSILFFEGQRSGRLPPDQRLRWRRDSALNDGATAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGAHAAEAREAVRWATDYLMKATATPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAASIVFRDADPDYSNRLLDRAIQVFEFADKYRGPYSSSLHAAVCPCYCDYSGYKDELLWGAAWLHKASRRREYRDYIKRNEVVLGASEAINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFICTLLPGISNHPQIQYSPGGLLFKVGNSNMQHVTSLSFLLLAYSNYLSHANVRVPCGTSSASPVQLRRVAKRQVDYILGDNPLRMSYMVGYGSRYPLRIHHRGSSLPSVAAHPARIGCKAGATYYASAAPNPNLLVGAVVGGPSNTSDAFPDARAVFQQSEPTTYINAPLLGLLAYFSAHPNLAQSDLLHD >ONIVA06G11380.1 pep chromosome:AWHD00000000:6:8503297:8504362:1 gene:ONIVA06G11380 transcript:ONIVA06G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDADMIPSSPSADYSSPSSSDLDTESTGSFFPDRSTTLGTLMGVSAFGGGGQRRAARTPARAERERTPLAARPAGEEEEGRRAGGWRRRRRRRQRRGSRSLGGSWWRLCRDDAGGPPTSLGEFLDMERQLAGADFLCDGVGGGGSEREAAAAAAAAAAVSATALFEDGRVRPPQPQQPAAEERGRWRLQRATEGSSSSAGAAASSSLARLPVLLTGICSGGAG >ONIVA06G11370.1 pep chromosome:AWHD00000000:6:8489647:8503038:-1 gene:ONIVA06G11370 transcript:ONIVA06G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERGAGTASHGERHSSDLDEGTTPPPPRGFASGWWLGAVEKGMRRKREREREMTASTQQCVAAVAAGRGRQRVDRTLYRTAAQVVAAASGWIEVTAAVRCGGIAVGCVASGEAKGHHRRYGSVRSISAVAAPPALARRCRSSRLARCRIRDRDSAPLSERDEEDMLTVGPTPTSMQGTAAAATAPAAGDDGALRTRGVRRRRRLPRADGGGSGSPRAQRQWRRRLVREVRGGSGGGGSPHSSSGDGGDGSCARREAAAAAVALRTRSGDGGDSSCVRRDSRERGWMGMGVVVGPTFGQ >ONIVA06G11360.1 pep chromosome:AWHD00000000:6:8478406:8485681:1 gene:ONIVA06G11360 transcript:ONIVA06G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNM5] MASSALICDTEQWKGLQAHYDFVFRHACGGEQAHVGAIQKTHLRDLMDDAERCKAMTAEYEGIFLDYSRQRATGETMEKLFKLAEAAKLKEKIEKMFSGDKINSTENRSVLHVALRAPRDEVIKSDGVNVVPEVWGVKDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHAALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSSFEKNIPAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLSFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLISHKTFQGNRPSLSLLLPSLSAYEIGQLLSIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRMEGKPVQGFNSSTASLLTRYLAVEPSTPYNTTTMPKV >ONIVA06G11350.1 pep chromosome:AWHD00000000:6:8473970:8475179:-1 gene:ONIVA06G11350 transcript:ONIVA06G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRGRGGGMVRSRQRRGGGALRGVGGAAMGLCAAPAMASPDPVAPPDLVGVTTAARQWGSTRPATGSVGAGALGRWQKVYAPLEDVRWFWRPSLLYVVEAACLSVFASFPFN >ONIVA06G11340.1 pep chromosome:AWHD00000000:6:8468560:8473278:1 gene:ONIVA06G11340 transcript:ONIVA06G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCGLEWVVCLGCTRWAWKRLTYIGAYDSEAWPAAAPGEFEPVPRICRVILAIYEDDLSNPTKFAPPGRGYAGVDLAGVVKRATYEHVGNTCPPYIVYVDHRHKEVVLAIRGLNLTRNADYKVVLMDNKLGMQMFDGGYVHHGLLKAAQFILERETKTLQELLQQNGPDYKLIFAGHSLGSGIAALMTVLVVNNRKMFGNIPRSQIRCYALAPARCMSLNLAVKYADVINSVVLQDDFLPRTPTPLEYIFGSIFCLPCLLFIMCLRDTFKQDKRKFKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEGRFEHIVLSCSTTSDHAIVWIERESEKALELMKGNEKPTTPPAQQKMERLQSFEEEHKNALERAKTLDVPHAVDLSEVEIQEGSSPTPPSDTHSEATSEAKSAGRTSWDELMHKLFTRDEGGKLVVKEDIKARNIVIE >ONIVA06G11330.1 pep chromosome:AWHD00000000:6:8457345:8460961:-1 gene:ONIVA06G11330 transcript:ONIVA06G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLDMSLDDMIKNNRNSRGRGRGRSQGGGRGRGDGQRFSYGSGRGRGAGTFRGRGSFNKTKDIVWRQDLFEDSMVAAGLSVTESSTKLYISNLHYGVTKEDIQELFSEMGHLKHCAVHYDNNRRPTGSAEVIFTRRSEAVAALKRYNNVRLDGKPMKIEVIGADLGMAAPSAPRVSVVPGARGRGQREVVMMPGGSGFGRGAAGSSNFIPGWKRNNFAQRGGQGRGRGRGRNNFGRGRGRGYVRKGPVEKSAEQLDKELDSYHSGAMNVD >ONIVA06G11320.1 pep chromosome:AWHD00000000:6:8450874:8456947:1 gene:ONIVA06G11320 transcript:ONIVA06G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) TAIR;Acc:AT5G37630] MAPAAAVSAAAPAGAGETRRLAGEVARVLDECRASLAVHPRKLRELAALRSSSPAAAGRFLPAFCAALTPLFDLAKRSAGAERVARFAAAFASASSAEAGCGGGDGFLEGFLRFLLAGSAATHRPARLRSCQIIFEIIMRLPDDAEVSDEIWDEVIDGMKVRVQDRIPGIRAFAVRALSRFASDGEDSDIVDLFLETFEKEQNVVSDIILVTLPILNVGLRYYFISLGIAPYVKEVRKAIILSLPPSNATLETVIESTLDVSESVRRAAYCVLSTKFPLQSLSIKQRTSLLHRGLSDRSASVNSECLKMLKDEWLMKYCSGDVITLLRFLDVETYEEVGETVMGVLMKDGSVRVQDGQTIRQYFTANTEDEAEKVSNIQLMDAEVALYWKIMCKHLQAEAQIKGSEAATTTGTEAAVYASEASDKNDLLDGVLPSTISDYVDLVKAHLSAGPNYHFASRQLLLLGEMLDFSDTMNRKIASSFLHELLTRPLEHEVDEDGNKMAIGDGVSLGGDKEWAKAVAELAKRVHASVGEFEMVVATVVEELARPCRERTADFMHWMHCLAVTGLLLENASSLQSLQGKAIEPLELLQSLLLPATKQNHDDVQRVALRCLCLFGLLENRPNAELVKQLRLSFINGPDLVSAMACKALIDLVTWHGPQEIDQTIGIESSDATNEKSQFTTVDVSNMNDDDLNIGVLDILFSGFLKDDWEFNLEGDNHDNVPTILGEGFAKILLLSENYARISADLHPVILARLRCVSSAFVPVMRAMWPGLYGNVGGSAHAVSKRRKYAAQAARFMVQMVQTPLFSTETTEQASSSPESQSTKPDMSNNFDISEEGLAIRIAVEVANCPDKKTAAAKAYCLALCKVAVLLRFRQSEQKAIKCMRGLINALAASASSDKDLMKELSQMASRLRSLDEHPEEELPQDEAEEIFKKLGLDAGFRLETNSVVPPTPAPRSVRPPPSRRRARRSPSSSDDSDIDGQEDNLHATSVSRVAATPVVMTAARSQRASKTAAMSKMSAKPTVAASSDDESDDQSGVTSGDDSSDEDSS >ONIVA06G11310.1 pep chromosome:AWHD00000000:6:8443901:8447550:1 gene:ONIVA06G11310 transcript:ONIVA06G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILYWTQQRFFLPGEKVHLYLIDPEASTLLKEIAELMMLAGHESNLSHAYGEIRNSTLMQCLCLFGVQIDLNSYNPRASPSESGFNMLLDLDGQKMEIWIQALRVIIGTVLPEERQACTQIFGSDSKVEEDCFARATTRFIQQLFAFGSLIANVKDEQYEKVPLLVQMLEEFLKLKPSIEALRYGDAKDAISQEADMLLEKLREEAVRLLLKFSEAQINHESYDNETIVLNGSVLSFPQYTMGVIKLLAGYSDTLNIILPVEVGGVGTVTTSPWKSYVLTLLTRLQLNIEEKSKSYKDECLRNVFLMNNAMYVLEKARSPDLKILLGDNWVTKQLVQVEQHATAYLRASWTEPLFQLKDKGISYTERSLILTKKFKNFNSIFGEISRVQTTWKVPNPQLRQHLRLVILQQVIPAYRAFVGRFG >ONIVA06G11300.1 pep chromosome:AWHD00000000:6:8425989:8433673:1 gene:ONIVA06G11300 transcript:ONIVA06G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRRQRKASSEANANVFMPGGPNDISFPASNRDHDWGYGGVGKEWEASYARKLQLMNFLSSLHQRTANPLVTTRMDANMDIPLEQKQKDSSAIIVLDSDDEDGYTEGCEQLTSENNKQQAPSGLTSPYTTWIVSSAKDQVNGTLHVDGVQSTQIVPYYGQNAPLINQFPLQTSWQPSIQYERVILQKRPEEQRVQDLVAASHAEKIAETQVLLTLPTLPNERKRRKTEPTTLVDVDGGTNLGKRKRKNHQNQAAVDSNLDLQQNDVPSQSYRTMIEEEKPVKESDGLEDLWKDFSLAAECTKLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTNYYESRLKDADEIDTGAVKVSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGTWKREFQRWQVEDIPLYDFYSVKADKRVEQLEVLKSWEAQMSILFLGYKQFSRIICGDGDGNIAAACRDRLLMVPNLLILDEGHTPRNRETDVLASLKRVQTPRKVVLSGTLFQNHVSEVFNILDLVRPKFLKMESSRPIARRIMSQVAISGIRSLKGVHDSAFTESVEDTLLNDDNFTRKAHVIRSLRELTKDVLHYYKGDILDELPGLVDFSVFLKLSTKQKEIVHKIEAYEKFKRSAVGTALYIHPCLSEISEGDAADRASNLTDATVDSLIESIIIKDGVKAKFFFNILSLANSAGEKLLAFSQYILPMKFLERLLVKRLGWHVGKEIFMISGDTSADDREVAMDQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEVKFHETAFKKEVIPKLWFEWSELCTTEDFKLNQVDIDDSEDELLEANAIRQDIKALYRRSAISFSRCACAS >ONIVA06G11300.2 pep chromosome:AWHD00000000:6:8426564:8433673:1 gene:ONIVA06G11300 transcript:ONIVA06G11300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRRQRKASSEANANVFMPGGPNDISFPASNRDHDWGYGGVGKEWEASYARKLQLMNFLSSLHQRTANPLVTTRMDANMDIPLEQKQKDSSAIIVLDSDDEDGYTEGCEQLTSENNKQQAPSGLTSPYTTWIVSSAKDQVNGTLHVDGVQSTQIVPYYGQNAPLINQFPLQTSWQPSIQYERVILQKRPEEQRVQDLVAASHAEKIAETQVLLTLPTLPNERKRRKTEPTTLVDVDGGTNLGKRKRKNHQNQAAVDSNLDLQQNDVPSQSYRTMIEEEKPVKESDGLEDLWKDFSLAAECTKLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTNYYESRLKDADEIDTGAVKVSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGTWKREFQRWQVEDIPLYDFYSVKADKRVEQLEVLKSWEAQMSILFLGYKQFSRIICGDGDGNIAAACRDRLLMVPNLLILDEGHTPRNRETDVLASLKRVQTPRKVVLSGTLFQNHVSEVFNILDLVRPKFLKMESSRPIARRIMSQVAISGIRSLKGVHDSAFTESVEDTLLNDDNFTRKAHVIRSLRELTKDVLHYYKGDILDELPGLVDFSVFLKLSTKQKEIVHKIEAYEKFKRSAVGTALYIHPCLSEISEGDAADRASNLTDATVDSLIESIIIKDGVKAKFFFNILSLANSAGEKLLAFSQYILPMKFLERLLVKRLGWHVGKEIFMISGDTSADDREVAMDQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEVKFHETAFKKEVIPKLWFEWSELCTTEDFKLNQVDIDDSEDELLEANAIRQDIKALYRRSAISFSRCACAS >ONIVA06G11290.1 pep chromosome:AWHD00000000:6:8406034:8406540:-1 gene:ONIVA06G11290 transcript:ONIVA06G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVARQGRELQRYSDNTGGRMVVGCIPYRVRGDGGGVEVLVISSQKKGAAAGDVVMFPKGGWELDESVDEAARREALEEAGVLGEIGASLGRWCYRSRRYDATYEGFVFPLRVTDELDRWPEMAARRRSWVSPQQAMDRCPHWWMREALQRFADLFPQSTALSLL >ONIVA06G11280.1 pep chromosome:AWHD00000000:6:8378727:8401926:1 gene:ONIVA06G11280 transcript:ONIVA06G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQVELEAAKLLQKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVSQHGIDMDALRSSRIPLAGGPQAGDSSGAMPKDKEIIGSQPPMVGTDASQSSAHAGLWNFPSGSADMARHSASISGRVPAGPNRSDVAGADIHQGSMSQKSGRSSGMESPASLQIEDTRSMNSHDSLKSDEKTSKKSSSKRKRVDPKATGDLHSEDNSKSDAMSTGQNIRKGKQPGKAGTQGQLSRTVEHDPSHTLQVGNAQVPPLPSGAPFFRAHQEGPSASSARTIDKTKPSNPFTMAQISNFAEGLASGNIPAELQKSILGGANLLNASFGWNQNAQGPVMKNTQGSVPNLMRPGVNVEGKVNLGSQGTFNSMSASQMDYPTVPPYVSSSFGGGPQYLDKGKDLTSGNTGSELNSSKAGAQLGIMHMHFDTQMRYNFQGSPMQERHGIVRAPQRAGSSQMSQTSPSIPFKEQQLKQLRAQCLVFLAFRNNLQPRKVHLEIALGVGPPASEGGSAGQRGSESRMADGSGKENGNNQENPAIFGRQSDISRLQSTSTGSVADVDSASKDPEIVKKKIKIAEHEKSLEAENIQQTVPVQGTDSEMHSQETISPMPSGQLHYFQGDTRKTTPEIYKADAENLNRNLGWGGGQGPSPLGGNRHPSMEVGLLAKDEVSKEPFAVLRPHHMPVDGSNHNLSGKDQTPETAGNEIENGSHMGEMIFERSADEGDEDLSEQDDLPSSPPKYTMTDKWILDHQKRRYEENKRKALDLQKAHRRISASYEKLKENVSSSEDLSAKTKSVIELKKLQLLQLQRRVRSEFLQDFFKPNTTDLDRIKSVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHREKLEDSFKVKRERLKGFNRYVKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLQGSKSMDGRVSYASDSTANDIEDESYQPQHYLESNEKYYQLAHSVKEVVNDQPSYLQGGKLREYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLPGWESELNFWAPSINKIAYAGPPEERRKLFKEMIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKHYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDSSTEEVENELPEKIERLVRCWPSAYQKLLIKRVEENLGGIGAVKIEGYLPRHYLPSILRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGQERGALIDKFNNPNSQAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRGGKKEEAAPVLDDDALNDLLARSEDEIDIFESIDKQRREEEMATWLTVVQNSSTSGLDPSVMPSRLVTDDDLKSFCHAMKIYESSNIKSVKVVRRKGELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPDSPQPGGISRDADVPKVVKLEVPPESSKEPEQAKKEPASSVGDSPPAKRRRGRPRRSDASLSPVTAPPNTGKQEAGTIIDGSSAPTTTIHSVAPDVTIDSTALSATGNPDVGTEIKGTTPDGTIKPVICTDNEGTTSIAVLEGSNAKEVGIPAHSVHEPVSSSAPHPPTPVTSRGRKTQAGETPRRRGRKPKSLAASAGDVIVSPVVAVGSGEAYASSVVSSYPQGNVSSSHASATAGLQKDTIISKPAALLPEGVKVTPTPSGGEKDEMVKTPLAGDIYAGTVTTSGNASSQLPMIAHNENAGLVQGGTGQNLSVATPTIPVVSEGSTKISEVVVADKPAEKQGARRRRKKTSGTGSEDTGVSTRQRAASRRLYGTGAADIAGTDMSTGEKIGIVKEIDGSCQDTSKGLPNIISPSYEKSGYDSQPSTPIAVPINEATLPSGFSEAHATHSEIHPARESISSVGHDKLADAHLEAPPSVPFQAPVQQETGKDYVGVHSEVMTTHPETITTHSSVNPVIDHKLANVQFESHASLHTSGKDITTMPSEVDSGAPSKAPGRRRKGSAREPRTRSNSATAASERRARHAGSKQPDDTKMAEMSGNPSTAVCSSTQQQEDNTLKAAHATGSVGEEQNNAENRVREVSMPAGILKTKLELTKQTDQAGTDEKGAALSTQIPALDEKSGERELPGGHNSEQEQEMVSAAKSASANDEEHKVHEVHQIIADHNALPSSAQYTLQDKIDSSADLVPCDKIASVVIAADDQDPSNASDKDAPASAEEDGNGLQSECVHVDLVLAKQDNTKVEDTQESNQPAEQGESLEMTGSKFRSETRLEKTEETVDKSGGDNLPTIEKNDDSPIERSSLSADKIAQVADGGEAGTETTTVEAVSAMNSDGLQDVRNALSTHGLSTNDITVASEEHKDPESHLSGEVSTSGGSSELKLESLNQSESACQSGEVTPEDTHATLDIQIPALTESEEKKSPGGDVHGSEEHNMHEVLHNTVDGSISPSNGEPDKLRVHIDTNTDVDMPSSDKDHSTDIVLAGCEAPCDASGKDKLSTCDASGKDMAAPTDSDLNCLQSEDTVIPVTGAKDETTLVKAIQNDDMSMGSSHGLSATIQSTDSERLAEEGESAEITGSKFSCGMEQEKMEEPLDKSVTDNQTSSQINDGSNNMDSQKVDSSLQAADRGDLLVSKGTSVEITTAINTDALDEGISVSTQSVQEVSTVEIGASTNDIAPACELRKDFESHVSGYVSKPVGLSELRLEELSQSKSVSQSIAANAEETSTRSDIETPAMDASESNELHSQELVTMISAAETASTEGHREKDTCEVDHKIDCTIFSPIGDQDTRDRTDGDTDCGVPSCQRNAAFDSENEVSAEINLTGNQAPCDAPNKATPAPSEDDHNGQESEDTVIGAEQGAIEVEAMQIDGISKSYSSDSHATLQSSDSNQLVNSDSKFESLNKHDKTDETSNESRGDNPTHSCTNDDSHDKNLVGCSPSEDLNEDNSAQLADGDDLVGSKDTTAAHAGGLKELTSGNYVASSSSLVVQDLTSFSKMESLQAGSEEIHHGYPDETIHSARIKPVVGTEITENASVASAPVITIQPNIETEAGVTASLTVLEGSIAEEVDAQVESGHDLVASTAPLSPAPLPGESDACTDVSCPVAEEVSETKLESANHTASQLGAAYTEACTETPNALLNTLIPALPESEETKLSGSDTDGKTIIAELASSSDEHDKVHEVGNETGDDNKLPSSVAEDAVQDEISGSADMDSQIIAGSSEAENNDSTVATIADSQIPFDPSDKDTLAEDGNGLQREGTTVDVTGSKEDDMEAEEKQMDDSHLPAALQSAESSQPTEHAAPTQDDGNDLQCEGTTVDVSGSKEDIMEVAEKLIDDISGSPSSHLPAALKSTESNQPAEHAAPTEDDGDGLQSEGTAVDVVDSKNDDMEVEEKQIDISRGSSSFLPGALESAELNQPAEHTTPTEDHGNGLQSEGTAVDVPCSKEDNMEVEEQIDEISRGPSSFSPDTLESGELNQAAEHAAPTEDDGNGLQSEGTSVDVAGSKEDNIEVEEKIDDISRGSSSHLPDVLQSTPNQHSEQECLDNSDDVNASVVSSHAPLSGPKFTCVKELEKADETLETSDAQVADEVCLQTNDGAHNMASGSCSTLEDKNEDSSAQKTDCEDLLLRKGATVDDLDGCVEGHSGLSTHSNDEVGNPVEIVKGMNDTSAGSEVHVDPESHVSDEVSMPVVPSELKVELKNQSEPACQFGAVIVEESNVSLSIQTPALAESEEMTSGGFMHGTEVCASEQTNIGAAAEPASTKDDHDMHEVDKEIVHCTISSPIGDQENLQGNIDGKMDVGLAACQTQSDFVSENDHSRETDLAGSQAPCDASDKEDTAAELIGPKQATLEIEKMQIDGIPEGPSSDLPAVLQLTDSNQPAEQERLENSDSKFASTKDQGRVDGTSNLSGGDNAKCSLTNDDSQTVNLVGYSPSEDSNDDDSVQAADGDGVLGNKEGTDDIISAACTDDVSMLKTESIDRHGSDEVDHSTAPSAAIKQESGTEVTCDASVPVSESSISKEIGTSPECDDDQVATAAPHPPTPLSDATDVSADVQIPAGISEAKLEQPNETTSPSGAATEENNTVVSTQIPTLAESEDRTPADTAVQGTEVDSAEPASVSDDENKVTAADDSALPSTGPEDTADDKIDSSADASEK >ONIVA06G11270.1 pep chromosome:AWHD00000000:6:8374103:8377176:-1 gene:ONIVA06G11270 transcript:ONIVA06G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAAATDRAALVKAFDETRTGVRGLVESGVSAVPNIFRHPDPYASVPLAPAGVSIPVVDLSLPAPLAAEAAAGAARHWGFFYLVNHHALVPPGFTDGLLAAVRAFNELPAAERAAHYGRSVDGGVSYSSNVDLYRSGAASWRDTIQVVLGPSRPDAERIPAACRAEVVGWDAHATAVARAVMALLCEGLGLRGATLEEASCLEGKLMVCHYYPVCPEPERTMGIVPHTDPGVLTVLAQDGVGGLQVKHTNEDGESYWVDAKPVPGALVINVGDLLQATSMRNKEQLVLIMSNDKYKSVEHRVVMNSHEEARVSSAIFYNPGKRGDSVFYGPLPDLISSGNPPKYRNFTMSEFLGAFFKRDLASKALIEHFKI >ONIVA06G11270.2 pep chromosome:AWHD00000000:6:8374103:8377176:-1 gene:ONIVA06G11270 transcript:ONIVA06G11270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAAATDRAALVKAFDETRTGVRGLVESGVSAVPNIFRHPDPYASVPLAPAGVSIPVVDLSLPAPLAAEAAAGAARHWGFFYLVNHHALVPPGFTDGLLAAVRAFNELPAAERAAHYGRSVDGGVSYSSNVDLYRSGAASWRDTIQVVLGPSRPDAERIPAACRAEVVGWDAHATAVARAVMALLCEGLGLRGATLEEASCLEGKLMVCHYYPVCPEPERTMGIVPHTDPGVLTVLAQDGVGGLQVKHTNEDGESYWVDAKPVPGALVINVGDLLQIMSNDKYKSVEHRVVMNSHEEARVSSAIFYNPGKRGDSVFYGPLPDLISSGNPPKYRNFTMSEFLGAFFKRDLASKALIEHFKI >ONIVA06G11260.1 pep chromosome:AWHD00000000:6:8362784:8373863:-1 gene:ONIVA06G11260 transcript:ONIVA06G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLYNHFKSWFIWAAQQFRHQAGEAATMASSAAAAAATDRAALVKAFDETRTGVRGLVESGVSAVPDIFRHPDPYASVPLAPPGVSIPVVDLSLPAPLAAEAAAGAAREWGFFYLVNHHDLLPAAERAAHYGRSVDGGVDYFSNFDLYRSGAASWRDTIEVTFGPSRPDTGRIPAACRAEVVGWDAHATAVARAVMALLCEGLGLRGETLEEASCLEGRVMVCHYYPVCPEPERTMGIVPHTDPVVLTILAQDGVGGLQVKHTNEDGESYWVDAKPVPGALMINVGDLLQIMSNDKYKSVEHRVVMNSHEEARVSSAIFYNPGKRGDSVFYGPLPELVTSENPPKFAPSRSQPKQWLTGYSRNSRLPQDSAETQALAVAAILQCKCQGGLGIRHLQDHT >ONIVA06G11260.2 pep chromosome:AWHD00000000:6:8365461:8373863:-1 gene:ONIVA06G11260 transcript:ONIVA06G11260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLYNHFKSWFIWAAQQFRHQAGEAATMASSAAAAAATDRAALVKAFDETRTGVRGLVESGVSAVPDIFRHPDPYASVPLAPPGVSIPVVDLSLPAPLAAEAAAGAAREWGFFYLVNHHDLLPAAERAAHYGRSVDGGVDYFSNFDLYRSGAASWRDTIEVTFGPSRPDTGRIPAACRAEVVGWDAHATAVARAVMALLCEGLGLRGETLEEASCLEGRVMVCHYYPVCPEPERTMGIVPHTDPVVLTILAQDGVGGLQVKHTNEDGESYWVDAKPVPGALMINVGDLLQIMSNDKYKSVEHRVVMNSHEEARVSSAIFYNPGKRGDSVFYGPLPELVTSENPPKYRNFTMPEFLGTFFKRELASNALIEHFKI >ONIVA06G11260.3 pep chromosome:AWHD00000000:6:8362784:8365042:-1 gene:ONIVA06G11260 transcript:ONIVA06G11260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNKVWIFAPSRSQPKQWLTGYSRNSRLPQDSAETQALAVAAILQCKCQGGLGIRHLQDHT >ONIVA06G11250.1 pep chromosome:AWHD00000000:6:8341325:8343785:-1 gene:ONIVA06G11250 transcript:ONIVA06G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSSSSAYYGGANKSVAFLVATVVTVPLCALLLGGGGVAVVRDNNNNAGGGEAEVYRSELTPLQKHVAFFDRNKDGIIYPSETYQGFRAIGAGVVLSAVGAVFINGGLGPKTIPENTKTGLKLPIYVKNIHKGKHGSDSGVYDANGRFVPEKFEEIFKKHAHTRPDALTDKELKELLQSNREPKDFKGWLGGFTEWKVLYYLCKDKDGFLHKDTVRAVYDGSLFAKMEQEKQSAKKK >ONIVA06G11240.1 pep chromosome:AWHD00000000:6:8324707:8339209:-1 gene:ONIVA06G11240 transcript:ONIVA06G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGGHVTAEIDIANMTALQKHVSFFDRNKDGIITPSETIEGVVAIGCDFAFARDLAASVHAGLGPKTSPGLYQLYRITPQCLRHPPSTPLLWPPHLIPRRPRLRGGKLPLFPDLSVHGWEIDRTVISACHDFFGLTGLEEDHAAHLFIHVWDTLEVEAVEAVPGDALVDLFADGSMLP >ONIVA06G11230.1 pep chromosome:AWHD00000000:6:8319608:8323680:1 gene:ONIVA06G11230 transcript:ONIVA06G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASMRRPETNPLHRRHSSRCHAAALPNAADDAHAAPADAAADLAAAPADAYLNEIRGSGWHWKLRRGEATPAGRRQEALLTRRRPPLASCAPTGAALRSRSAAAAPLARGCARRHRSPSAASSHRRHSARARQRARRRRLPPEPEPPPPAGAARPTPPPPHTRPSPPRIRVSPLSLLLDSTDHWEQGAAVIAEGAGRSEPRDAPPPLLWVTAFSAARRY >ONIVA06G11220.1 pep chromosome:AWHD00000000:6:8319523:8323923:-1 gene:ONIVA06G11220 transcript:ONIVA06G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMFRDIRARRERADPASGGEGGDPQQRRRRVTRLRAARSLGDDGGTLLPVVSGVEQQRQRRHTDPRRRRARVRRRRGRASGSSGRRRLRLRREAAASGALPRASGVAPVGGGGGGRAVAAGAAAREGSGGGGTAPESGAGGRAGGERRAAPRWRIAGAAAKSAAASAGAAWASSAALGSAAAWHRLLWRRWSGFVSGRRMEARSIAGRRGEASAVTGDRGDDGGGSRARPRR >ONIVA06G11210.1 pep chromosome:AWHD00000000:6:8316826:8317215:-1 gene:ONIVA06G11210 transcript:ONIVA06G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRPSSAAAGNPVLALLFLWVLGWRHVTAEIDIGNMTALQKHVSFFDRNKDGIITPSETIEGD >ONIVA06G11200.1 pep chromosome:AWHD00000000:6:8312633:8313049:1 gene:ONIVA06G11200 transcript:ONIVA06G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIHPPPHIGEGGSEPPPLLLRLPASTGVIVIIVASAPSSLRQSSTLSSPQRGHRRIGAFVVVVGEEGSRRQKRRGCEPSSSCIVAGGGGSEEGSWRRRWRGGEPAALHVVADGGGGEEGCRWRRQGGGERSSSRVI >ONIVA06G11190.1 pep chromosome:AWHD00000000:6:8309305:8314972:-1 gene:ONIVA06G11190 transcript:ONIVA06G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPLPIDDRTRHLAPPPGLAAAAPHHPPERLAEQRLAGGVERQHLLDQVGAGSVTASGRSSCMGGAWRRYKKQKRAGKRHGGVEGGVDEARLRSWRSSASWRAMEAPSTSASDAGGHHDMRGRRRTDKERLTTICH >ONIVA06G11180.1 pep chromosome:AWHD00000000:6:8307454:8309229:1 gene:ONIVA06G11180 transcript:ONIVA06G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSELLRPAFGEASPSLGRFVINPHSCSYRWWHMFLIMLVLYSAWASPFELSMEKTASIALVVTDLVVDVFFAIDIALSFFVAYRDTSTGLLITDRRKITMRYLKRPCFALDVASTIPLQIIYQLVTGKRQGLWGLLNLLRLWRLRRVNKLFARVEKDIRFNYLWTRLIKLLCVTLFALHFAACIYLWMAFNYKIKELTWIGSQIHSFEDRSVWFCYTCAVYWSITTLATVGYGDLHATNIGEMLFSIVFMLFNMGLTSYIIGNITNLVVRETSNTFKMRDMVQRVSEFGSMNRLPEAMREQMLASVQLRFRTDEQLQQEMLSELPKAVRSSVMKHMFKSAIESCYLFQGVSDSLIVQLVAEMKAEFFPPKANVILENETSTDCYIIISGEVEALTTLADGTEKHVKRIGPRGMAGEIGVMFSIPQPFTIRSMSLTHVVRISHIHLLQAVRPNTADGYIVFFNFIQYLESLKVQTKDVAFVSDHLWNGNSMVLGRATEVAVDESKEAAHKMLPCKEPKRVVIHEQLPNATSTTLHPSPGKLVLLPDSMQELMKLSEKKFGKAVRGILTVEGAEVEDIEVIRDGDHLFFS >ONIVA06G11170.1 pep chromosome:AWHD00000000:6:8299911:8303172:-1 gene:ONIVA06G11170 transcript:ONIVA06G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLAAAAAALLAMCACATRARGADDYTAFVYAGCSQARYDAGTQYAADVDTALSALTNSAGYTAYANYTSPSAASSTGLVGVYQCRSDLPAAICGGCVRSAATKLASLCNSAAGAAVQLRACFVRYGNDSFLGKQDTTVLFKKCGGEGGGDTGVVAMRDAALGALVAAAAPAGDGSYRAGAAGYVQAMSQCVGDLGAKACTDCVSAASSQLKAGCGYASAGEVYLGKCYARFWSNAGTGDNNGGGVSGGGGGIGGGGNGINGGGGAVGGGNGYAYGFVPHTYSDHDESGKTLAIIIGLVAAVALVIVFLSFVRRAGGVGGKS >ONIVA06G11160.1 pep chromosome:AWHD00000000:6:8289792:8291810:-1 gene:ONIVA06G11160 transcript:ONIVA06G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPNSIVSFLVLLLFHVLILSHESSAAAAAAGGDSDHFRYDGFAGAPLDLDGMAMVEPDGKLMLTNVTSQMKGHAFHPAPLRFVAPPPKPNATAPAAARSFSTTFVFAIAAEYVTVSGNGLAFFVAPSKNLSAALPSQFLGLFNSENNGNASNRVFAVELDTIRNQEFGDINGNHDVTLAPVEVPRPRRPLLSVAVDLSPVVAAADGAAYVGLSSSTGPHKTRHYVLGWSFAMDGPAPPLDYAKLPKLPRASTKRRSMVLKVLVPVAAPLLALAVFVAVASVLLWRRRRRRHAEVREDWEVEFGPHRFAYKDLVRATRGFDGKRLLGVGGFGRVYRGVLPASGTEVAVKVVSLSHDAEQGMRQFVAEVASVGRLRHRNVVPLLGYCRRRGELLLVYDYMPNGSLDRWLHGQSAPPLGWAQRVRAIRGVAAGLLYLHEGWEQVVVHRDVKASNVLLDGEMDARLGDFGLARLYGRGAADPRTTRVVGTLGYLAPELAHTRRVTPATDVFAFGSFVLEVACGRRPIEHGGATGDDGDDGEFVLADWVLDRWHKGDIAGAADARLRGDYDHEEAALVLKLGLLCTHPAPAARPPMRLVVQVLDGDAPLPELAPTYRSFITLAIVQNADGDDSGAASCPSSSTVTTTSVDDGAFSVHSGDRMQKIETCSLIETL >ONIVA06G11150.1 pep chromosome:AWHD00000000:6:8284686:8285126:-1 gene:ONIVA06G11150 transcript:ONIVA06G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37670) TAIR;Acc:AT5G37670] MADLFFGGPFRRILYGRPFPPDWASASATAAMDWVETPTSHVLRINVPGLGKDDVKVQVEDGNVLTVRGAAPHAAAEKEREREKEVVWHVAERGRPEFAREVALPAEVRVEQIRASVDNGVLTVVVPKEPAPAQPRTRPIAVSSKL >ONIVA06G11140.1 pep chromosome:AWHD00000000:6:8278898:8280000:1 gene:ONIVA06G11140 transcript:ONIVA06G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNJ9] MATAGISFSPVGPVPFEDVDGELPASERPAPEGIDDGDDHLADMVSSLPSKMEVNLPLKLRLYRGFWLAEIHVPAAVALRRRFVPRPDDVIVASLPKCGTTWLIALTFATMARHMHHPPTSAPASASSHPLHRLNPHQCLPFLEGLFARGEEAKLDALPSPRLINTHMPLAILPSATLATTTANSSGNRGGYKIIYICREPKDMIVSMWHYTRQLMPDVSFAETLSPTATTTELLRDPAGNVRKMARFVGLPFSEAEEEAGTVEAIVELCSLDRMRGFEANRTGYVDAQRKIPRETLFRKGVVGDCVNHMTPEMARRVDDIVADKFSGTGLTFK >ONIVA06G11130.1 pep chromosome:AWHD00000000:6:8274418:8275176:-1 gene:ONIVA06G11130 transcript:ONIVA06G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLGNTSIDQRRAQTLRHLVVGEELGRRSTRRSSARRRQVNVASGAASHRVAAAWRRSDGEARGVATRGGASAGGSRRAVVVGEGDERVLVPEAPPAPGDEAGPGGGGGGGEAEGDEEEDVVGERAEAVLPSAADHRVVVVVTGGGGELHALGGGDLAVAGLRARGPRGGHVAVDVDVVHGGGRRRAPWRRRVSEREECSETRRQDGRRAGDVDRSI >ONIVA06G11120.1 pep chromosome:AWHD00000000:6:8271431:8274260:-1 gene:ONIVA06G11120 transcript:ONIVA06G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASSGGEDGRHHRSVYLRVRCACFAMTSVPPLPSSKTTANPNPPATIAFHAYATHRARLSRLLLDGCHDRPKMTYSRGLGRLPASTLRGCAFGHGGAIAALMLFDEMPDRASAVRHLPLQLDINNHQSPCVLLSKSMWKTGVIVAVFYCFLKQLAEFSDTDQQTVRGQDARNNETEPILPRKRVVFSYGATEEQPESSMCSSEDTCSDNVCKICYDAPRSCFFIPCGHGFACFTIAEDKNQACPICRRLIHRVRRLVEPLGKDFQ >ONIVA06G11120.2 pep chromosome:AWHD00000000:6:8271431:8274260:-1 gene:ONIVA06G11120 transcript:ONIVA06G11120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASSGGEDGRHHRSVYLRVRCACFAMTSVPPLPSSKTTANPNPPATIAFHAYATHRARLSRLLLDGCHDRPKMTYSRGLGRLPASTLRGCAFGHGGAIAALMLFDEMPDRASAVRHVRGDAYLLTKEGIDHWKTNSACVIVAVFYCFLKQLAEFSDTDQQTVRGQDARNNETEPILPRKRVVFSYGATEEQPESSMCSSEDTCSDNVCKICYDAPRSCFFIPCGHGFACFTIAEDKNQACPICRRLIHRVRRLVEPLGKDFQ >ONIVA06G11120.3 pep chromosome:AWHD00000000:6:8271683:8274260:-1 gene:ONIVA06G11120 transcript:ONIVA06G11120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASSGGEDGRHHRSVYLRVRCACFAMTSVPPLPSSKTTANPNPPATIAFHAYATHRARLSRLLLDGCHDRPKMTYSRGLGRLPASTLRGCAFGHGGAIAALMLFDEMPDRASAVRHVRGDAYLLTKEGIDHWKTNSACVIVAVFYCFLKQLAEFSDTDQQTVRGQDARNNETEPILPRKRVVFSYGATEEQPESSMCSSEDTCSDNVCKICYDAPRSCFFIPCGHGFACFTIAEDKNQACPICRRLIHRVRRLVEPLGSSCGE >ONIVA06G11110.1 pep chromosome:AWHD00000000:6:8266634:8269987:-1 gene:ONIVA06G11110 transcript:ONIVA06G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 [Source:Projected from Arabidopsis thaliana (AT1G10170) TAIR;Acc:AT1G10170] MSSSDRRRGGNGGGGGGPVAVPSSRAVWRPRSTAPDIPPPPRAAAPAPDRIGPILPSPNPASEDRPQQQQRRPRRRNHGGGGQRRGPPQERPSAAPPPPPPQQQQRQQHAAPTPTRAAAPAPARVAATKAAAGGDGAVPQLVQEIQDKLARGAVECMICYDMVRRSAPVWSCGSCFSIFHLPCIRKWARSPASAADASDPDSSWRCPGCQSVHAVPARELAYTCFCGRRREPPNDLFLTPHSCGEPCSKPLEKADPAVKADDAAATRCPHVCVLQCHPGPCPPCKAFAPDRLCPCGKQTIVRRCADRTTPVTCGQRCDRLLPCRRHRCEKVCHTGPCGDCNVLISARCFCGKKTETLLCGEMELKGNLSEKDGVFSCSEACSHMLSCGNHACQDICHPGPCGECELMPGKVTACHCGKTRLLEKRASCLDPIPTCDKVCDKKLPCGVHRCKVTCHEGDCPPCVVRVEQRCRCGSSGQMVECYKVLEEEFRCNKPCGRKKNCGRHRCSECCCPLSKPLARLEGGNWDPHLCQIPCGKKLRCGQHGCQLLCHSGHCPPCLETIFNDLTCACGRTSIPPPLPCGTPTPSCPHQCLVPQPCGHPATHQCHFGDCPPCVVPVMRECIGGHVVLRNIPCGSKDIRCNQPCGKNRQCGMHACNRSCHPSPCDPPPANGDASSSTGGRASCGQVCGAPRRECKHTCTAPCHPSSPCPDLRCEFPMTIACSCGRITATVPCGAGGTANGDNMFEVSIIQKLPMPLQPVESDGRRVPLGQRKLSCDEDCAKMERKRVLAEAFDITPPNLDALHFGENSNASDLLSDLFRREPKWVMAIEERCKFLVLGKTRGNSSGNLKVHVFCHMTKDKRDAIRVIADRWKLSVQAAGWEPKRFITIHPTPKSKAPARILGSKPGVFVAASHPFFDPLVDMDPRLVVAMLDLPRDADVSALVLRFGGECELVWLNDKNAVAVFNDPARAATALRRLDYGSAYQGAAVFLPSSSAQPGNVWVAGQKDGVAATKSSANPWKKATASEPDPSSGDWTGVLGQAPGSVWRRGGDTVAQVMGTSNRWNALESDAATSSRPVEESKPAPRTDAVSSAGPSTAPPVSKMQPEVEVDDWEEACE >ONIVA06G11100.1 pep chromosome:AWHD00000000:6:8261535:8262134:1 gene:ONIVA06G11100 transcript:ONIVA06G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPIKKQQQLLPAAVVAPPPAKTTLKTLLDAFADMEEEEEEKKQRLPPGAVAVSRTSSSSVASSVRRAKKPTTLLDAYEVDCIRRELEGLILRHNAAAAAKKEAAEAESDDAKSEHRRRHHHHHHKTTSAAKNANPAAAPPRSPAKKAPSGGAGVRMLGRHAVAVCGVSVPVPVSVAGAAGGRRRRRGGGHRREVEKV >ONIVA06G11090.1 pep chromosome:AWHD00000000:6:8252164:8253450:-1 gene:ONIVA06G11090 transcript:ONIVA06G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVASPPLLASFFLSPPHPTSAVAAACCSRRNTSCAHPPSPGGLEAAVAEVKAAPDPVPALISLQWFPCSIRRGGPPAIDYIDRRCFLQSISNVSSISMDRVIEASRGRQATNANAMLSRVDLLCEIFRSENLCVLVLICLHCFLNCLTVRRGWSEYVQQPVLPANLANVLVCFNVALAPGALMTTFLIHQGEMTRMVTIADKARLVNGVCGVGRREAVGDWRVQRLVGGGAHRQVPATAVVRSEPERDGRRRLPHILPCRAPRRLVDDRQ >ONIVA06G11080.1 pep chromosome:AWHD00000000:6:8235555:8236079:1 gene:ONIVA06G11080 transcript:ONIVA06G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTRVGARSWRGSAPAPSRDGGSLVALTAHDGRARDVGGGGGQRAEGRGGAASARRKEAGMPGGGARAPGSGKGRRWQPEHGGKRRRRRRTGRKEGGEPGVGAVTVGSDEDDDGSAAARSGRLGGDGAPQLPAGTGSTLAAFSLSGAMEEREEIREKREERTDGWVPHVIGSN >ONIVA06G11070.1 pep chromosome:AWHD00000000:6:8229316:8234067:-1 gene:ONIVA06G11070 transcript:ONIVA06G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVEEGNAVAPRGPARRRGTVRASLDADEFIALMHGSDPVRVELTRLENELRDKERELGEAQTEIRALRLSERAREKAVEELTDELEKMFEKLKLTESLLDSKNLEVKKINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLDAERTVEIAMAKAAMVDDLQNKNQELMKQIEICHEENKILDKLQRQKVAEVKKLSLTVKELEEAVLRGGATANVVRDYQRQVQEVNDQKKTLECELARAKVTANRVAVVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRLPTEGKSFSNGPSRRLSLGGADNMSKLSPNGLLARRSPSFHSRSSLSSSSSLVLKHAKGTSKSFDGGTRSLDRSKINGNGAHLLNRSTDAVRDCETNDSWKGNADEGTIENTNSNTDESNKETANNKSAEMVSGFLYDMLQKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEQEVKARRLGSSKGTGSSQVLSGSRSSSRSGLTRNYQ >ONIVA06G11060.1 pep chromosome:AWHD00000000:6:8222484:8223542:-1 gene:ONIVA06G11060 transcript:ONIVA06G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTNLTNTISAVHLLKINGYSVTRALGCSEYISSRRLAAGGYDWEVLYYPRYYEHGVYWIALRLMFMSKECKHEVKAALKCQLVHEAQIYLPSGSKSVSSKYTGQRDCGPALLLVKQDDLPGSNYFIGDSFVVECTITVLREPQEAVTNVSPNVSNPCCDLQMHLGELLLSEKGADVTFVVAGESFLAHKIILAARSPVFMAEFFGPMKESSSQCVEIKDIEASVFKAMLHFIYTGTSPELDQQHVVSDSEQDITTMTQHLLVAADRYGLDRLKLICQDRLHDDINVETVATTLAFAEQHSCTQLKDRCIEFIISSRANLDAVMATEGYKLVIASCPSVLSTLLRAAVGR >ONIVA06G11050.1 pep chromosome:AWHD00000000:6:8215362:8221155:1 gene:ONIVA06G11050 transcript:ONIVA06G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMEEMEIAGGGMAAAAVQRAVRCLGRGVDMAGDLRLKHCKDEGGCLVARSGEKAAAVAVPGVGVVAGVPADVKFGKGDRIRFKSDVLEFNKMSELFNHRSSLPGKIPSGLFNSCFDFGSDSWASDAGDTRCLAFDGYFISLLDLRLDCRPLALAGHVVADVPAAWDPSAIASFIEKYGTHIIVGLSMGGQDVVYVKQDKSSPLSPSVIKEHLDKLGDQLFTGTCTLPPSHCKSRDHKFKVPEAFNVFDAQMTRQRIEGMTAPMSCKEGVTVIYSKRGGDTAASNHSEWLPTVPLMPDAINFKLVPITSLLKGVAGVGFLSHAINLYLRYKPPVAELRYFLDFQHHRLWAPVLSDLPLGLCSNRQGTNPALHFSLVGSKLHVIVPKLPITGMRLHLEGKKNNRLGIHLQHLSTTPTFIAGGWSGRPPAWRGSEAIADERYYEPVQRRMFAHVCTVPVKHDPRWLAAGDGGGGRPAAYVVSGAQLHVKAHESTSVLHLRLLYTELPGHSVVQSRWAHGGGGGGAARMSGVKGSFLSMSFASMAAAAAEKEQQKQAAARLNVDSGVFAGGPPAPVGAQRLLKFVETSQVTMGPQDCPGYWLVTGAKLDVDKGRISLHVKFSLLAPVS >ONIVA06G11050.2 pep chromosome:AWHD00000000:6:8215362:8221155:1 gene:ONIVA06G11050 transcript:ONIVA06G11050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMEEMEIAGGGMAAAAVQRAVRCLGRGVDMAGDLRLKHCKDEGGCLVARSGEKAAAVAVPGVGVVAGVPADVKFGKGDRIRFKSDVLEFNKMSELFNHRSSLPGKIPSGLFNSCFDFGSDSWASDAGDTRCLAFDGYFISLLDLRLDCRPLALAGHVVADVPAAWDPSAIASFIEKYGTHIIVGLSMGGQDVVYVKQDKSSPLSPSVIKEHLDKLGDQLFTGTCTLPPSHCKSRDHKFKVPEAFNVFDAQMTRQRIEGMTAPMSCKEGVTVIYSKRGGDTAASNHSEWLPTVPLMPDAINFKLVPITSLLKGVAGVGFLSHAINLYLRYKPPVAELRYFLDFQHHRLWAPVLSDLPLGLCSNRQGTNPALHFSLVIVPKLPITGMRLHLEGKKNNRLGIHLQHLSTTPTFIAGGWSGRPPAWRGSEAIADERYYEPVQRRMFAHVCTVPVKHDPRWLAAGDGGGGRPAAYVVSGAQLHVKAHESTSVLHLRLLYTELPGHSVVQSRWAHGGGGGGAARMSGVKGSFLSMSFASMAAAAAEKEQQKQAAARLNVDSGVFAGGPPAPVGAQRLLKFVETSQVTMGPQDCPGYWLVTGAKLDVDKGRISLHVKFSLLAPVS >ONIVA06G11040.1 pep chromosome:AWHD00000000:6:8206148:8211076:1 gene:ONIVA06G11040 transcript:ONIVA06G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37530) TAIR;Acc:AT5G37530] MGERARQWLLVAGAGAAVGALSTAAVMRILSRSKRREGYVRSLLESNGVASGGAGSSVGTRVVATSDLLDDEVVSEQLTRNIQFFGMESQKKVTGSFVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIEAKVQLYDPSCEDEILSGQPDFVLDCIDNIDTKVALLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVITQLAKLDFQTEPIVNMDLDHYRILHHRLLEHEELIYGSAEQVLVDAEEVMYIVKELWRGRSARDQNLKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFNEADAHESTTLDQIKEEEPEFYNMVSRVLKQAEAEFAL >ONIVA06G11030.1 pep chromosome:AWHD00000000:6:8180295:8187332:-1 gene:ONIVA06G11030 transcript:ONIVA06G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGIGSKRRVEDDDGENMPGRKKKEEEEEEDDDGEEEYEVDVVRDRIGSSRGSRLALFGSDLRLGRFRPRRRHRRRVSPVDGDDGIFQDFVIDPDNKWYRLWTRFILVWAVYSSFFTPLEFGFFRGLPRNLFFLDIAGQIAFLIDIVLRFFVAYRDPDTYRMVHNPTSIALRYCKSSFIFDLLGCFPWDAIYKACGSKEEVRYLLWIRLTRAMKVTEFFRSMEKDIRINYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDYSYSHFREIDLTKRYMTSLYFAIVTMATVGYGDIHAVNVREMIFIMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKDIREQIKGHLRLQYESSYTEASVLQDIPVSIRAKISQTLYKPYIESIPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGALEGVGIGEDGQEETILMLEPESSFGEIAVLCNIPQPFTVRVCELCRLLRLDKQSFTNILEIFFVDGRRILSNLSESSEYGSRIKQLESDITFHIGKQEAELTLRVNNAAFYGDMHQLKSLIRAGADPKNTDYDGRSPLHLAACKGFEDVVQFLLHEGVDIDLSDKFGNTPLLEAVKQGHDRVATLLFSKGAKLSLENAGSHLCTAVARGDTDFVRRALAYGGDPNARDYDHRAPLHIAAAEGLYLMAKLLVDAGASVFATDRWGTTPLDEGRRCGSRTMVQLLEAAKSGELSRYPERGEEVRDKMHPRRCSVFPHHPWDGGERRREGVVVWIPHTIEGLVSSAQEKLGLAGSGEGLRLLGEDGARVLDVDMVHDGQKLYLVGGGGGDDGGTEARQ >ONIVA06G11020.1 pep chromosome:AWHD00000000:6:8174445:8177437:1 gene:ONIVA06G11020 transcript:ONIVA06G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRAVIQTSKARRLRDQDLNGARPSSGAAGKAGV >ONIVA06G11010.1 pep chromosome:AWHD00000000:6:8171524:8171817:1 gene:ONIVA06G11010 transcript:ONIVA06G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGSGKVKKAAGGRKAGGPRKKAVTRSVKAGLQFPVGRIGRYLKNRRPVDLISAAPSPLAAPTLFPTASVTPSTLIPIASVTPPPPPHRPSSLRQ >ONIVA06G11000.1 pep chromosome:AWHD00000000:6:8169914:8170555:-1 gene:ONIVA06G11000 transcript:ONIVA06G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVNCRCSRVVYVGNIAFHASEAELRDACELIGPVRSLRLAAADPATSKRKGYAFVEYADDETARSALRNLHGHLLRGRELRVGLAARPSTRRRGVGDAEREPVGMEDAVHAASLVVSGRPLASVTRYLAARSRQEVREMVAALEATEQLKIPGLGTAMEQAQRLLEMFAADEEEVARKKLKRASDEEHAKQSKVVGVDGVVKASSRIVPCF >ONIVA06G10990.1 pep chromosome:AWHD00000000:6:8168481:8170643:1 gene:ONIVA06G10990 transcript:ONIVA06G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLIGFADGGVRVVVVRTVVSRRIGINVVFPYTSFCTPARIDQKQGTMREEALTTPSTPTTLLCFACSSSLARFSFFLATSSSSAANISSSRCACSMAVPSPGIFSCSVASSAATISRTSCLDRAARLRRALRAVSSSAYSTKA >ONIVA06G10990.2 pep chromosome:AWHD00000000:6:8167537:8168481:1 gene:ONIVA06G10990 transcript:ONIVA06G10990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPQQPEHRGNSLTDLNDDLLSEIFFHIPPGDPGVLVRLSVVCKSWRRLITDRDFLRGYRAIHRAPPILGFFCVEFGSAILVPTTAFSSIIPSLLVSDPMTGADRLLDLPERWRNIHWSEQQHWMWTNIRWSAAVLCAVDGCDHLDCHGGDPFRVALVGTDAAGTTHAALYSSETEARSGPASIDHHPNAIVKARRPSVLVGNALYFLCNNNTSIVEFDMATMTLSVIPSPPLPEDVHGALLMTAEGGGLGLGSDPTSTCGRSRWMNGSTSKMSGTSRRCSPGVQSP >ONIVA06G10980.1 pep chromosome:AWHD00000000:6:8153495:8154655:1 gene:ONIVA06G10980 transcript:ONIVA06G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPLPPPQQTESRPPPELRDDVLAEIFSRIPPDDPAILVRVSAVCKPWRRLLSGRIFLSRYHALHRAPPILGFFCEEKALTGPFSSFVRTTSFRPIIPDRGGGGGDGWLIPCDSRHGRALFITQPPLQLLVLDPITGMERPLAAVLCAVDGCGHHDCHGRAYRVALVGTDVAGGATHAAVYSSETYAWSDPTSIDHHPNARVQARRPSVLVGNARLYFLCDNNTSIVEFDMATMTLSVIPSPPLAGPGHEEVCGALLVTAEGGGLGFAAILKQSRTLHQWSKEEATNQWKHLEHVRDLEQLLPYTVGVHLHDPFSRMSNLLVGFADGVIVVRTHDGVFTVELGSSRPPKKVSRRSAIVAAFPYLSFCTPGTSS >ONIVA06G10970.1 pep chromosome:AWHD00000000:6:8146583:8151124:-1 gene:ONIVA06G10970 transcript:ONIVA06G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARRLAPALRRRRRRSLCSSSSAGSPAAAAPTAASLLAELLSDPAPSASALALLRDTPSLSAPLYSLLAAPSHELTRASLALLLALPARHRVPPPSAPLLSAILSKLLARFSSPEPAARFLAASLAAGAPAPDVFAFNSILAALARARDVPGMARIYALMQGCASVRPDVVTYGILVNGLCKAGRVGDALRVLDGMSRQDSDIRPDVVTLNTVVDGLCKSGRVQEALAFVEQRMSSVHGCPPNTVTYNCLIDAFCRVGNISMAYELVEKMENEGVPQNIVTLNTIVGGLCRVGRTGAALEFFREKRTVWPEGKGNAVTYSTLVGALLHTNNVGMAMELFHEKMSEGHSPDAIMYFTMISGLTQAGRLEDACSMASSMKEAGFKLDTKAYNILIAGFCRKKRLHEAYELLQEMKEVGLRPDVCTYNTLLSGSCKAGDFAAVDELLGKMIDDGCQPSVITFGTLVHGYCKVGKIDEALRILRSMDESGIHPNNVIYNTLIDFLCKRGDVDLAIELFDEMKEKSVPANVTTFNALLKGLRDKNMPEKAFELMDQMREERCFPDYVTVDVLMEWEVKIRKWKPSILEMKLE >ONIVA06G10970.2 pep chromosome:AWHD00000000:6:8148145:8151124:-1 gene:ONIVA06G10970 transcript:ONIVA06G10970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARRLAPALRRRRRRSLCSSSSAGSPAAAAPTAASLLAELLSDPAPSASALALLRDTPSLSAPLYSLLAAPSHELTRASLALLLALPARHRVPPPSAPLLSAILSKLLARFSSPEPAARFLAASLAAGAPAPDVFAFNSILAALARARDVPGMARIYALMQGCASVRPDVVTYGILVNGLCKAGRVGDALRVLDGMSRQDSDIRPDVVTLNTVVDGLCKSGRVQEALAFVEQRMSSVHGCPPNTVTYNCLIDAFCRVGNISMAYELVEKMENEGVPQNIVTLNTIVGGLCRVGRTGAALEFFREKRTVWPEGKGNAVTYSTLVGALLHTNNVGMAMELFHEKMSEGHSPDAIMYFTMISGLTQAGRLEDACSMASSMKEAGFKLDTKAYNILIAGFCRKKRLHEAYELLQEMKEVGLRPDVCTYNTLLSGSCKAGDFAAVDELLGKMIDDGCQPSVITFGTLVHGYCKVGKIDEALRILRSMDESGIHPNNVIYNTLIDFLCKRGDVDLAIELFDEMKEKSVPANVTTFNALLKGLRDKNMPEKAFELMDQMREERCFPDYVTVDVLMEWLPVIGETDRLKRFMQQGEHTASKRIVSDRTSAYSTICHHSVCKELQEKERRC >ONIVA06G10960.1 pep chromosome:AWHD00000000:6:8144766:8145041:-1 gene:ONIVA06G10960 transcript:ONIVA06G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTRMMIVRRWAPVIAVAAALVVLSVLGTAAEAARPLVDGGVDGWVAAAGGGGAAASIVETLRRLYLQQLGGPGASCGTNSPNNGCPP >ONIVA06G10950.1 pep chromosome:AWHD00000000:6:8130400:8130639:-1 gene:ONIVA06G10950 transcript:ONIVA06G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVIRRRLAAVVAAVLLIGVAFLAVSGEAARPLGCEPAAVSAGGVVQLLLRQMYLQRLAAGPSCGTNSSNGGCPHRP >ONIVA06G10940.1 pep chromosome:AWHD00000000:6:8122930:8125851:-1 gene:ONIVA06G10940 transcript:ONIVA06G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLNSIPELVSGENEPPVHHLLVDDEDKSETYFPINPTDTRMEIPTINLDDTFDYENMYTAGDAGSLQEHNVANDEMQVMASDFVGQVSDIPEHYILPRWTMVKEPELPPVTSIGEQMQLPPESLKLIRYTNLCTKFTQIAKDASSNEKAYRMALQRMSSMTDDLAAMKQSRKKQKKAQPAPADPARGVSDIPSASTNPTLQINDPPPSYKSKGDQFQQDASLGSMQRRQRHQLGKA >ONIVA06G10930.1 pep chromosome:AWHD00000000:6:8118450:8126874:1 gene:ONIVA06G10930 transcript:ONIVA06G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFNPATNQLVFPGRGAIDVNEESVKSVLGIPIGDKDVSYEMESEATEFVLNLFGINDGISPSLTSLGIQLEKLKLADDKYLRMWIIYAISSVLAPTTATTVSPRCYPSVVDAGNIKNLNWCKFVISILQKAAKAGKNTNSACLLYMMILYLDSLSFKNLNVPVEGYRATVWTNELINQTILVDTSADGSFGALPMIFQHEGTNLFGSNVDFLTFINSNVPNTMQQQENDRIVIAVKNLCEGFSGLVTKFVRQISGLDFVDPRGSQPRKMRMNRKKRAQRPKRVQQDEDLDVTSSDDEDFVADEEVDDTEEDEYTDEDGDSDDDEDEGEEDDDDEGEEDDDEDRSENDDNDGAETGRSGEQADAATNVIGCKGDDTNEGIGSGGKDVDDVTGCKGDDKNEGIGSGGKGTDDVIGKGKQVDEGIGFGDKEKHEEKQAPKAVAQNVPESEKQPVQKAEKYPFLTTTIDSHEVPNFNLGFDSSQEVVQTPKGQEAAGTSRGKEFTGIITNEDYGSFTTEDYEKEPIACEVEEETPVPHEYNKRVVKLAKFKRSPFIDYENKKQFMVSRVINEVYDDICKNGGRTKLRRNSRKIIDTGEYYIYLGDLANSVKPMGSLDNNTCELALIVLSADIKDNSKRIFPARIGGYLLDSQLDRNELKKHFDQTRANRLDHKELKLGNGNDKAGHYFMVCLNLKAERFEVYDSLRGEDDEELISASHLVVASIKTIQTYPCFVFANKNSRDCGFYMLKFVELWDGKQLPAFEPSDIPNIKKLLTHKMLSFQENHVQWMQVLWGKEPDPTLKH >ONIVA06G10920.1 pep chromosome:AWHD00000000:6:8116639:8118381:1 gene:ONIVA06G10920 transcript:ONIVA06G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLLFPPVAPASAESAEPTAKARSRRRRREADGMGKRKAIVQKGMLGKPEPSKKTRGVSQRAKKRSIGDDHADDTEQPPPKRSRSKQESSRASPMKLIKLYPHMTGE >ONIVA06G10910.1 pep chromosome:AWHD00000000:6:8111370:8111603:-1 gene:ONIVA06G10910 transcript:ONIVA06G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMRQPRIVVLVILAAIMALLVASSSARPLGGGGGVVVSGESILQLLRRVYLQQLGSGASCGTNSSNGGCPPPSGS >ONIVA06G10900.1 pep chromosome:AWHD00000000:6:8104050:8104331:-1 gene:ONIVA06G10900 transcript:ONIVA06G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAAAMLMLSLLLLGSSRSWPPVAAAARPLLQGDGGEVVAPPAGGGVGVLVLPSSSPSLRHWLPVLEMKQGASCQTNDPNNVNCPPKPPK >ONIVA06G10890.1 pep chromosome:AWHD00000000:6:8090191:8091540:1 gene:ONIVA06G10890 transcript:ONIVA06G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNG9] MSRATRVAGRLMPEISLLRRGRKSAARGGDDAEVEVSVPANFVCPISLEMMRDPVTAPTGITYDRESVEGWLARGHDTCPVTGRPVRLADLVPNHATRRMIQDWCVANRARGVERVPTPRVPVGEDDAEEVVAGLSAAARRGDAAACGAAAATARALGRESERNRRCLAGAGAAHALSSAFGLLAGEEPVVEGAVAGALGEILAALTVFFPLDEECRSCIASPPSLKSLASLLSHGGELAARVSAAVVLRELASSGDRHALEAIARAHGMCDALVGLVTSPVSSQATKAALVTAYYLVSSGDRAAARFAELGVVPAAVELLVDADKGTSEKALAVLDAALCADAGVESARAHALTVPVLVKKMFRVSDMATDFAVSALWRLCRAGAGAAPCRAEALRVGAFQKLLLLLQVGCAGVTKERASELLKMLNGSRGSVECIETVDFKGLKRPF >ONIVA06G10880.1 pep chromosome:AWHD00000000:6:8079445:8084976:1 gene:ONIVA06G10880 transcript:ONIVA06G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNG8] MDSLQKSHDLCLKRKLVDDCLSKDFKYRRVEEDNVSSNVESRPLPGSPSQSCCIQPNLAKDCVNYLKSGLPSRIAFYKQGAWCDFPQKIMESLVEGFKADKSSAVVVMDDQSLLVDFLSMTMVNLKTRKQRSVSWLDGTGKWFFPSAFFDEGVDESRKLNMGSEGSGQGLTEGMVPKAPNELVKQAVVETSSPVLQNSCIPDILRKKIVPVERGSESFQFVQNLFLSGMGSFASPKNLLHIHRYSAEDVTAQCRLESFARQMRLTRKKIGYADVRYGWLGSRKQDIVGILINGFISTGQTSHSSDMRTGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRAILGNMGTIKPGSQDEFLSIYDSGVDNCSNPSYYVIWPSKLGTHISLEYLISFRLTPEIQDYLLHLKGLWLCPPPKEVEVDLSTLQPENKITREEMVKKIIIIVGEQLLLDSLTKLNYNPSEWYKSCSEVNCHPLSIKLDPISNSRININSASGRASNDSQAPSVQPQKCGPVDSTVVSMALKREQFSIPSMCSESFSSHCTKSQDSAVRMRPDDTLVRRALISDSVNGCDSVGPTVESHCHSLLSQNFDSEGHASHVVSMFGNSAARLHSSAPCMTTEAQVFVAPSRAYENSSSLNAEGSDAVISSIAPQVHAPSIPPQNCPRTSVAPHLCLPRSMAPHLRVLRKISKVHSTNMPEAYYSSAATMVPVICKPPLFGITQKGHGVHTSSMMCTTPNVVLHGPDHPAKLADTERNAPSLINGALRREVQVQRPNQGVDASSIITQAADTLVALSAHATFGLSRYNLFWLPPASVTAFLLQRVIEQED >ONIVA06G10870.1 pep chromosome:AWHD00000000:6:8052088:8055006:-1 gene:ONIVA06G10870 transcript:ONIVA06G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAERLLDAPERWRNIHWSATQWSAAVLCAADVCDHLDCHGGDAFRVALVGTDVAGTTHAALYSSATDAWSGPASIDHHPDAFVRARRPSVLVGNALYFLCDNNTSIVEFDMATMTLSVIPSPPLPEDVHGALLMTAEGGGLGFAAVLERSNLHLWSKSMDQWEHLEDVRDLKTLLPRGSISMMNNVLIGFADGGVRVVVVRSYHGPFIVELGSTGPARVALRRSGIYAVFPYTSFCTPARIDQKQGTMREEALTTPSTPTTLLCFACSSSLARFSFFLATSSSSAANISSSRCACSMAVPSPGIFSCSVASSAATISRTSCLDRAARLRRALRAVSSSAYSTKA >ONIVA06G10860.1 pep chromosome:AWHD00000000:6:8051932:8052779:1 gene:ONIVA06G10860 transcript:ONIVA06G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVNCRCSRVVYVGNIAFHATEAELRDACELIGPVRSLRLAALDPATNKRKGYAFLIGPVRSLRLAAADPATSKRKGYAFVEYADDETARSALRNLHGHLLRGRELRVGLAARPSTRRRGVGDAEREPVGMEDAVHAASLVVSGRPLASVTRYLAARSRQEVREMVAALEATEQLKIPGLGTAMEQAQRLLEMFAADEEEVARKKLKRASDEEHAKQSKVVGVDGVVKASSRIVPCF >ONIVA06G10850.1 pep chromosome:AWHD00000000:6:8034756:8036298:-1 gene:ONIVA06G10850 transcript:ONIVA06G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAKVRRAGPTLPATGAVDHLTPPFSVNTVLSSPAGAASSDTLALAHIIARVPVEWTDRQTAHHTTPLLPPSIQHPNCCYHLPHLRRPRSLAPSSASYLTAGGSGCITSCGVVRAAR >ONIVA06G10840.1 pep chromosome:AWHD00000000:6:8031673:8034709:-1 gene:ONIVA06G10840 transcript:ONIVA06G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNG4] MRWRRVGDVVAVALLLGAVAAAAAAAARHDYEEALRKSLLYFEAQRSGRLPHGQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLLEYGADVAAAGELAHALDAIKWGTDYFIKAHTKPHELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRRRPGSDVAGETAAAMAAASIVFRQSNPHYSHLLLHHAQQLFEFADTYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRAAYLDYAVDNADEFGGTGWAITEFSWDVKYAGVQILAARLLMRGEHEERHRGTLERYREKAEHYVCACMGRNAAGGADANVERSPGGMLYVRQWNNMQYVTNAAFLLSAYSDYLASAGDGDGDGGGGVATCAGGGGAGAGEVFAAAREQVDYVLGSNPRGMSYLVGYGERFPARVHHRAASIVPYKDSKEFIGCAQGFDDWFGRRGANPNVVVGAIVGGPDRRDRFRDDRENYMQTEACTYNTAPMVGMFAMLNRLSRQESPSTTTTTTATTSNPEMGLSVNR >ONIVA06G10830.1 pep chromosome:AWHD00000000:6:8021587:8030464:1 gene:ONIVA06G10830 transcript:ONIVA06G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSWRFWSLLPPSLSLFSRSRLAQIHRPHVSRASSPIPSPRRFQTRVASALVDDDPSDDSAPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASSLRHSLQDRLSKGASSGSSRGRVEGINLKLRTSTAPPLKLVDLPGIDQRAVDDSMINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDADGTRTVGVISKVDQAEGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQAAGSENSLETAWNAEAETLRSILTGAPKSKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKVVASFEGKFPERIKQLPLDRHFDLSNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDSFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKPQDADQPMGKRASSPQTGSEKDTKDKSAKDKDKDKSGQQDKDAKEGSSVQVAGSSGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPPKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESTADKVEWVNKIRAVIQSKGGSFKGPNTDGGSMRQSNSDGALDTMARRPADPEEELRWMSHEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSVHDNRAASYANDISEAESPRTPNRPGEDWRSAFDSASNGPSSGSESRSRSADGRRGRYENGDVTSGANSGSRRTPNRLPPAPPKY >ONIVA06G10820.1 pep chromosome:AWHD00000000:6:8009580:8014543:-1 gene:ONIVA06G10820 transcript:ONIVA06G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNG2] MAAAAVAANGGGDGAQASNAPAPTRLASVYSEVQTSRLKHALPLPSVLRSPFALADGPASSAAGNPGEIAKLFPNLFGQPSVSLVPSPEPASTRPLKVGVVLSGGQAPGGHNVICGIFDYLQEYAKGSVMYGFKGGPAGVMKCKYVELTADYVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTVNKLDLDGLVVIGGDDSNTNACLLAEYFRGKNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNVALIGEEVAAKKETLKSVTNYITDIVCKRAELGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLQPESRQLFDFLPKTIQEQLLLERDPHGNVQVAKIETEKMLIAMVETELEKRKAEGKYPAHFRGQSHFFGYEGRCGLPTIFDSNYCYALGYGSGALLQCGKTGLITSVGNLAAPVEEWTVGGTALTSLMDVERRHGKYKPVIKKAMVELDGAPFKKFASLRDEWSLKNHYISPGPIQFSGPGSNDANHTLMLELGAEA >ONIVA06G10810.1 pep chromosome:AWHD00000000:6:8002349:8004820:1 gene:ONIVA06G10810 transcript:ONIVA06G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLFPSPSLLLTLLASSRAFSSIVVGPSMAVRPTSIAIGAASIDSIISTVCELELEMWYFPISCESFEPSGSQLLKRPGRIRLHRQALLLFKQADLPSLLSSYIVQVVIHYFDKQSAEFINSAAGAITVSYVMQLLTGRICIMCFLQRDNVLGVCKEHDYLGGSFQQ >ONIVA06G10800.1 pep chromosome:AWHD00000000:6:8000163:8000451:1 gene:ONIVA06G10800 transcript:ONIVA06G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPKRQSDNKGKKKSGRQEIWRKRNNREFRNEKLPLQRIIDRVTDEILFWHAGGAKGIKSLMPNEQGGTTYNLNKATVKSDMLDIP >ONIVA06G10790.1 pep chromosome:AWHD00000000:6:7989925:7991040:1 gene:ONIVA06G10790 transcript:ONIVA06G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNF9] MPARAAAAAAAVALLLAVCAQAAALPRFAEAPEYRNGEGCPAAATAAAGVCDAGLVHISMTLDAHYLRGSMAAVYSLLKHASCPESLFFHFLAEEEEVGGGGDLRRAVAASFPSLRFEIYAFRAEAVAGLISASVRAALESPLNYARNHLADLLPRCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTPAFWSDPGLGRRVFAGRRRPPCYFNTGVMVIDLRRWRAGNYRHRIERWMEIQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVRGSCRPLHDGPVSLMHWSGKGKPWDRLDAGNPCPLDHTWKSYDLYVAGDDGAAASSPASGPALSSTSTTWPALVFSW >ONIVA06G10780.1 pep chromosome:AWHD00000000:6:7980046:7984137:-1 gene:ONIVA06G10780 transcript:ONIVA06G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEATEFVLNLLGINDGISPSLTSLGIQLEKLKLANDKHLRMWIIYTISSVLAPTTATTVSPRCHPSVVDAGNIKNLNWYKFVISILQKATKAGKNTNSACLLYIMILYLDSLSFKNLNVPVEGYRATVWTNELINQAILADTSADGSFGALPEKDRIVIAVQNLCEGFSGLVTKFVRQISGLDFVDLRGSQPRKMRMNQKKLAQRTKRVQQDEDLDVTSSDDEDFVADEEEVEDTKEDEYTDEDGDSDDDEDEGEEDDDDEGEEDDDEDRSENDDDDGAETGRSGEQADAATDVTGCKGDDTNEGIGSGGKDVDGVTECKGDDTNEGTASGGKGADDVIGKGKQVDEGIGFGDKEKHEEKQAPNAVAQNVPESEKQPVQNVEKYSFLTTTIDSHEVPNFNLGFDSSQEVVQTPKGQEAAGTSQGKEFPGIITNEDYGSFTTEDYEKVGREADEAIASKSATKSPVAEVISKEPIACEVEEETPVPHEYNKRVVKPAKFKRSPFIDYENKKQFVVSRVINEVYDDICKNGGRTKSRRNSQKIIDTREYYIYLSDLANSVKPMGSLDNNTCELALIVLSADIKDNSKRIFPARIGGYLLDSKLDRNELKKHFDQTRANRLDHKELELGNGNHKAGHYFMVCLNLKAERFEVYDSLRGEDDEALISAYHLVVASIKTMWDRFYMRSSKKTIQNYPLIFIDGPKQDNIQTYPCFVFANKNSRDCGFYMLKFVELWDGKQLPAFEPCDIPNIKKLLTHKMLSFQGNRVQWMQVLWGKEPDPTLKNQHISYSHIN >ONIVA06G10770.1 pep chromosome:AWHD00000000:6:7976886:7979849:1 gene:ONIVA06G10770 transcript:ONIVA06G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLNSIPELVSGENEPPVHHLLVDDEDRSETYFPINPTDTSMEIPAINLDDTFDFETMYTAGDAGSLQAHNVANDEMQVFEANDEMQIFEGDYEMQVFEEMSDRGDTMHTSVATGATTATANTISSEDSRTDDSEAHAITGYSVRTQVPYLTLTFNSEEEARAHYNKYAKCVMTSDFVGQVSDIPEHYILPRWTMVKEPELPPVTSIGEQMQLLPESLKLIRYTNLCTKFTQIAKDASSNEKAYRMALQRMSSMTDDLAAMKQSRKKQKKAQPAPADPARGISDIPSASTNPTLQINDPPSYKSKGDQFQQDASLGSMQRHQLGKA >ONIVA06G10760.1 pep chromosome:AWHD00000000:6:7968243:7968817:-1 gene:ONIVA06G10760 transcript:ONIVA06G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSAGISSFSEDQQRRRRPTASLTSILTVQQLSTDIQGLDGLIASPDPIGFQVGSFAKSYLMQELGVPESRLWELAITDYSSTLQSGIVAAIVDELPYVELFLSINCQFRTVEQEFTKSGWGFAFHHDSPLAVDLSTAAEEEEGDVAAEEEEAGERGRCGGNPI >ONIVA06G10750.1 pep chromosome:AWHD00000000:6:7963406:7966798:1 gene:ONIVA06G10750 transcript:ONIVA06G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNF5] MAAAVVLLRRLRGVTAAPRRAAAALPLTTSVRGVSDSTEPLTIETSVPYKSHIVDPPPREVATTARELATFFRDMSAMRRAEIAADSLYKAKLIRGFCHLYDGQEAVVVGMEAATTRADAIITAYRDHCAYLARGGDLAALFAELMGRRGGCSRGKGGSMHLYKKDANFYGGHGIVGAQVPLGCGLAFAQRYRKEAAVTFDLYGDGAANQGQLFEALNMAALWKLPVVLVCENNHYGMGTAEWRASKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKQHALENGPIILEMDTYRYHGHSMSDPGSTYRTRDEIAGIRQERDPIERVRKLLLAHDFATTQELKDMEKEIRKQVDTAIAKAKESPMPDPSELFTNVYVNDCGLET >ONIVA06G10740.1 pep chromosome:AWHD00000000:6:7962043:7963131:1 gene:ONIVA06G10740 transcript:ONIVA06G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVGNKKSKGTFCAFCHPSLLLLIVAIQFLMIYSPTLDQYMVMLTTDEFIPEPHLRCDFSDNKSDVYEMEGAIRILGRELEVFLVAPRLASISGRSGVNTTGLDANATRWKIQPYTHKGESRVMPSITEVTLRLVTVDEAPPCDEWHDVPVIVYSNGGYCSN >ONIVA06G10730.1 pep chromosome:AWHD00000000:6:7957420:7958474:-1 gene:ONIVA06G10730 transcript:ONIVA06G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQAPPSEQEEDSPLENSGSMKDEMIHLYLNNSTMALAIPREACLLGAPRCHGIGMLVGVFGICKEQEQVREAILEERLMLTTFLLTYSEPHAGKGVLGVQEDIDEYRRKLAAILYISPSNKFRNHAQAISEEI >ONIVA06G10720.1 pep chromosome:AWHD00000000:6:7956195:7956982:1 gene:ONIVA06G10720 transcript:ONIVA06G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMELWFTLSGEPTRSMRMHMLLEDSFCPYFSSSNFELRQLYSESRSHQKGLVVGDLASSAIRSPEDADSGAKQTIGTVSGGTCRPQERMILTHHFVLRSIRF >ONIVA06G10710.1 pep chromosome:AWHD00000000:6:7952945:7954282:-1 gene:ONIVA06G10710 transcript:ONIVA06G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B12D protein [Source:Projected from Arabidopsis thaliana (AT3G48140) TAIR;Acc:AT3G48140] MASSRWVRPEVYPLFAAMGVAVGICGFQLFRNITGNPEVRVNKVGRAAGVLENHEEGRRYAEHGLRNYVRDKTPEIMPAINKFFTEPTK >ONIVA06G10710.2 pep chromosome:AWHD00000000:6:7952945:7954140:-1 gene:ONIVA06G10710 transcript:ONIVA06G10710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:B12D protein [Source:Projected from Arabidopsis thaliana (AT3G48140) TAIR;Acc:AT3G48140] MGVAVGICGFQLFRNITGNPEVRVNKVGRAAGVLENHEEGRRYAEHGLRNYVRDKTPEIMPAINKFFTEPTK >ONIVA06G10700.1 pep chromosome:AWHD00000000:6:7945846:7951676:1 gene:ONIVA06G10700 transcript:ONIVA06G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAADAPPPPPEVAPPAPAPAPAPAPYQPPRLAVADGAGGGGGGGGKPCRHHAYSRKQKSLGLLCTNFVALYDREDVESVGLDDAARRLGVERRRIYDIVNVLESIGMLVRRAKNRYTWIGFGGVPAALAELKEMSLRAVSSVASPSLDETSAANVSDDEDDDKLDDAEGDAESEKLSLSQSIDNPSDKPDAPPCKLRSEHRKEKSLGLLTQNFVKLFLTMEIETISLDEAAKRLLGEGHAANNMRTKVRRLYDIANVLSSLNLIEKTQQADSRKPAFRWLGQAKRNEGVTVALPPTKTLPNKRAFGTDLTNIDNKRGKLDSTMENRGKPTQDGGNLFNNLQRQLGQENRSDFVYGPFHPAGARKQEHGNRTVQEKERKSIQDWENLASSFRPQYQNPGLNDLFGHYMEAWRSWYSDLRRDRAS >ONIVA06G10690.1 pep chromosome:AWHD00000000:6:7935805:7942658:1 gene:ONIVA06G10690 transcript:ONIVA06G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, class IIc [Source:Projected from Arabidopsis thaliana (AT5G22800) TAIR;Acc:AT5G22800] MEAAALLSPTATSRSPLPLLSTAPAAHRLHVLLPLSGRRRRLCLRSSPRPRGSLGCAGDCVVRSMGSSRERGVLVKTSSSSASVESATQEVGAASSGEWSGDAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKEAITWAWELTTKEFGLPPERLWISVFQDDDEAFSIWHNEVGVPKERIKRLGEDDNFWTSGATGPCGPCSEIYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMALVSYTTADDAMKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRLIGIRGDGHGNSEGAFLPSLAEVAISLSTEIDPDVESRRKSILGELQREELRFVQTLERGEKLLDELLDEALSSAGNNGGKPCLSGKDVFLLYDTYGFPVEITAEIAGERGVIVDMKGFDMEMENQRKQSQAAHNVVKLSVGNETEIVKNRTPFYAESGGQVGDNGFLYVYGEEDAKQKAVIEINDVQKSLGNIFVHKGTIKQGSVEVGKEIDAAVDAKLRQGAKAHHTATHLLQSALKSIIGSETSQAGSLVAFDRLRFDFNFHRPLSEEELMKIESLVNQWVSSATHLETKVMDLQDAKNAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCARDNYMRRLCSSLKVKAEDVNGRVETILEELRTTRNEVSSLRSKIAVLKAASLANKATTIDNTRVVVENMGDVDADGLKSAAEYLVDTLEDPAAVILGSSPGDGKVSLVAAFSPGVVKMGIQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPGALEKARDEIVAAISSKSS >ONIVA06G10690.2 pep chromosome:AWHD00000000:6:7935805:7942658:1 gene:ONIVA06G10690 transcript:ONIVA06G10690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, class IIc [Source:Projected from Arabidopsis thaliana (AT5G22800) TAIR;Acc:AT5G22800] MEAAALLSPTATSRSPLPLLSTAPAAHRLHVLLPLSGRRRRLCLRSSPRPRGSLGCAGDCVVRSMGSSRERGVLVKTSSSSASVESATQEVGAASSGEWSGDAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKEAITWAWELTTKEFGLPPERLWISVFQDDDEAFSIWHNEVGVPKERIKRLGEDDNFWTSGATGPCGPCSEIYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMALVSYTTADDAMKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRLIGIRGDGHGNSEGAFLPSLAEVAISLSTEIDPDVESRRKSILGELQREELRFVQTLERGEKLLDELLDEALSSAGNNGGKPCLSGKDVFLLYDTYGFPVEITAEIAGERGVIVDMKGFDMEMENQRKQSQAAHNVVKLSVGNETEIVKSGQVGDNGFLYVYGEEDAKQKAVIEINDVQKSLGNIFVHKGTIKQGSVEVGKEIDAAVDAKLRQGAKAHHTATHLLQSALKSIIGSETSQAGSLVAFDRLRFDFNFHRPLSEEELMKIESLVNQWVSSATHLETKVMDLQDAKNAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCARDNYMRRLCSSLKVKAEDVNGRVETILEELRTTRNEVSSLRSKIAVLKAASLANKATTIDNTRVVVENMGDVDADGLKSAAEYLVDTLEDPAAVILGSSPGDGKVSLVAAFSPGVVKMGIQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPGALEKARDEIVAAISSKSS >ONIVA06G10690.3 pep chromosome:AWHD00000000:6:7935805:7942658:1 gene:ONIVA06G10690 transcript:ONIVA06G10690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, class IIc [Source:Projected from Arabidopsis thaliana (AT5G22800) TAIR;Acc:AT5G22800] MEAAALLSPTATSRSPLPLLSTAPAAHRLHVLLPLSGRRRRLCLRSSPRPRGSLGCAGDCVVRSMGSSRERGVLVKTSSSSASVESATQEVGAASSGEWSGDAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKEAITWAWELTTKEFGLPPERLWISVFQDDDEAFSIWHNEVGVPKERIKRLGEDDNFWTSGATGPCGPCSEIYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMALVSYTTADDAMKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRLIGIRGDGHGNSEGAFLPSLAEVAISLSTEIDPDVESRRKSILGELQREELRFVQTLERGEKLLDELLDEALSSAGNNGGKPCLSGKDVFLLYDTYGFPVEITAEIAGERGVIVDMKGFDMEMENQRKQSQAAHNVVKLSVGNETEIVKSIPDTEFLGYDSLSATAVVKGLLVNGNSVNVVSEGSDVEIFLDRTPFYAESGGQVGDNGFLYVYGEEDAKQKAVIEINDVQKSLGNIFVHKGTIKQGSVEVGKEIDAAVDAKLRQGAKAHHTATHLLQSALKSIIGSETSQAGSLVAFDRLRFDFNFHRPLSEEELMKIESLVNQWVSSATHLETKVMDLQDAKNAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCARDNYMRRLCSSLKVKAEDVNGRVETILEELRTTRNEVSSLRSKIAVLKAASLANKATTIDNTRVVVENMGDVDADGLKSAAEYLVDTLEDPAAVILGSSPGDGKVSLVAAFSPGVVKMGIQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPGALEKARDEIVAAISSKSS >ONIVA06G10680.1 pep chromosome:AWHD00000000:6:7926380:7931896:-1 gene:ONIVA06G10680 transcript:ONIVA06G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi alpha-mannosidase II [Source:Projected from Arabidopsis thaliana (AT5G14950) TAIR;Acc:AT5G14950] MPFFSGGGGGRSGALLPTTSKPKGHHHLRSKGLSSPAASRRRGAHSASSSASSSSRRRVLYVAAAAFAALFLLAFFRLGLPSSRPAATSPARLRPRLTRRPAFRRDSAAAEAAAAAVAARIGREAHVDITTKDLYDRIQFLDVDGGAWKQGWEVSYKSDEWDGEKLKVFVAPHSHNDPGWRLTVEEYYEKQSRHILDTIIESLSKDSRRKFLWEEMSYLERWWRDAPRKKQEAFTKLVRDGQLEIVSGGWVMNDEMMEGNMWLNDTIGVVPKNSWSIDPFGYSATMAYLLRRMGFHNMLIQRTHYELKKELAMNKNLEYLWRQNWDIDETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRSFSYESCPWRFDPVETNANNVQERAMKLLDQYRKKSTLYRTNTLLIPLGDDFRYVSTEEAEAQFRNYEKLFDYINSNPHLKAEVKFGTLEDYFSTLRDEAERINYTRPGELVSSELPGFPTLSGDFFTYADRNQDYWSGYYVSRPYFKAVDRVLEQTLRASEILSSFVLGYCQKLQCVKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDIHDRSDPTLLSHFEPVQERSKYDVQPVYKVLNPHGGKAHSVVLFNPLEQTRDEIVMVVVSNPDVSVLNSNGSCLRSQISPEWQYVSGEKVSTGQHRLYWRASVPALGLETYYVATGYDDCAKATPAVVKAFTTAGQFPCPEPYVCSKLEGKTVEMKNSYHSLSFDVRHGLLQTVTRNKDGEHTDVGEEIGMYRSHGSGAYLFKPIGEAQSIVEEGGYFILSEGPLVQEAHSLPKTQWHKSPISHSTRIYSCGDSIQDMLIEKEYHVELVGHVFNDKELIVRFKTDIDNQGVFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSLGNRFSVHSKQSLGAASLKNGWLEIMLDRRLTQDDGRGLGQGVVDNRPMNVIFHLLRESNVSALPKTHSLLTLQPSLLSHRVGAHLNYPMHAFVSKKAQEKSFKLAQQTFAPLTSPLPCDVHVVNLKAPQPLKFHHAEAVEARFALLLQRRGWDASFCRRGGLNCTTIGEEPVNLFYMFKDLAVLDVKATSLNLLHDDPEMLGYLEQIGDVAQEGNVLISPMDIQAYKLDLQPPSSQEE >ONIVA06G10670.1 pep chromosome:AWHD00000000:6:7916307:7919132:-1 gene:ONIVA06G10670 transcript:ONIVA06G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNAKLYHRYTALKKRKLLDEGLDQKRAADINELRQAMKDWVAELQSENERLVAKLTQKEQQLVEAQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAEMTIENQTPISPAKKTPKSNSRERNIRSIEKAIVPRNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHLLAESMVGMKFSVKNETEGFSLSVSHEASGYNFTLTWVDQPGGSEWSYQYSSLGTLDRIAMGWMKEDIKFSSTMCPVFFKQISHILRQC >ONIVA06G10670.2 pep chromosome:AWHD00000000:6:7916307:7919090:-1 gene:ONIVA06G10670 transcript:ONIVA06G10670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNAKLYHRYTALKKRKLLDEGLDQKRAADINELRQAMKDWVAELQSENERLVAKLTQKEQQLVEAQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAEMTIENQTPISPAKKTPKSNSRERNIRSIEKAIVPRNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHLLAESMVGMKFSVKNETEGFSLSVSHEASGYNFTLTWVDQPGGSEWSYQYSSLGTLDRIAMGWMKEDIKFSSTMCPVFFKQISHILRQC >ONIVA06G10670.3 pep chromosome:AWHD00000000:6:7916307:7918949:-1 gene:ONIVA06G10670 transcript:ONIVA06G10670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWVAELQSENERLVAKLTQKEQQLVEAQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAEMTIENQTPISPAKKTPKSNSRERNIRSIEKAIVPRNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHLLAESMVGMKFSVKNETEGFSLSVSHEASGYNFTLTWVDQPGGSEWSYQYSSLGTLDRIAMGWMKEDIKFSSTMCPVFFKQISHILRQC >ONIVA06G10660.1 pep chromosome:AWHD00000000:6:7906961:7909413:-1 gene:ONIVA06G10660 transcript:ONIVA06G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGGEEDGNETPYADSDEEDLVEEVGSDGSSQLKPTSIQGMSAWPQDDREPLNAPGYIKMPDWPKTARRREMHEPPKPTKMSRFGSVMRCTRCHQVGHNKSSCAKNNAPAAGTSSAQPMETQSQQMVLSNTPGSSAQSKKRKAATVTTTSTTIQSRSKKSKNKAPNETQELVRVNASAKVSTEHGGSARVDLHAIVPHSQGSTTASVKVTSGRAFVLVSAQESSNSKAKKKFGGALLLMPPWQSDKL >ONIVA06G10650.1 pep chromosome:AWHD00000000:6:7905759:7906221:1 gene:ONIVA06G10650 transcript:ONIVA06G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSDGSSSSSRRCSASPVSYRVGPLNYQPAVMCRCRCPAKATWWISWSIDNPGRQYYKCQNARVRGGCDFWVWCDGPTTSFIKELLNDLRDAVTGLRRENEHLRRENKDLQRDAEENRAKRVEQRKAI >ONIVA06G10640.1 pep chromosome:AWHD00000000:6:7868143:7873360:-1 gene:ONIVA06G10640 transcript:ONIVA06G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCPLLHSTAVAIYLAAVAALPSPSSSAPFSVDIDLAAVAALPSPSTGAPFSTAPVPPASPCIRRPLLQRVGSRRSPPRHQQSHVDLLRVPLWQPPPWLVDVEAAANTTCEEMEDKSMDMDPREARLKLNRNLEQSKMTRGSTQIRNQH >ONIVA06G10630.1 pep chromosome:AWHD00000000:6:7837305:7838344:-1 gene:ONIVA06G10630 transcript:ONIVA06G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSGLATRRGGSGGAPILPPFLLDLSIGGRGRAEEELMVVAIADNVGPSPPRSGRKRGAGQRRRRTEEESRRPQGEGLGEADGGAHGYGCGSMWIYHYEDGDAACENDFLRPLCTSGHFPRLEKLFMAAWENDFPSSGPSLGTAKVRALVSLGVCCSIHHPSRQPRRQSHFDLHTDQRHLSPRTRKHGGGQRRMIREGGMAVVTSIAGRHCEKLRWPMTPLSMLPMSIPPPRPSLQADPPDHRCWQIHFRGPDTCRASLVFLVVLPRRVGEEVAPPRSGEVMPGRGEVLPGRGKAILPGGEGERW >ONIVA06G10620.1 pep chromosome:AWHD00000000:6:7832121:7834264:-1 gene:ONIVA06G10620 transcript:ONIVA06G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNFEMWGAVWSALASLVFLWPMLQNHVPAGLRHWLTAMADKLASHLSPYLHITISEYGDHRFRRSDFFLAVEAYLSHACARRARRLKADLGRDARSVQVSVDDHQEVTDSFRGATLWWYPSSKSNKSSVISFYPGEDERRLYRLVFHRRHRDLVLDGYLPHVLAEGRAVTVRNRQRRLFTNNASTSWNPYRRGKGVWSHVPFEHPASFDTLAMDPGDKDAIVDDLVAFRDGKDYYAKVGKPWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLYIETTGKSIIVIEDIDCSIDLTGKRKKSSGDNKASDGGGEGSDDKPKLPTEADKDDGGSKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIEMSYCGFEAFKVLASNYLGVEQHELLGDIRRLLEEADMSPADVAENLMPMSKRKKRDPDACLAGLVEALNMAKEEAQANKAAKEDEEAKAAKGIEEMKTKEQATTNVGSYRAAADPWSCKPCILAECS >ONIVA06G10610.1 pep chromosome:AWHD00000000:6:7825288:7828307:1 gene:ONIVA06G10610 transcript:ONIVA06G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRGSIAFFGTYRPPVPLDIFSCPANPPPQSAKDELLLTDGESYNQNGQPIPAAALKELLTFLGKKNPKLASECGATPEDAEKGRVTGLVFVSERDRGLETLHVALRFVAGGKQVKVLSLGNIYGADTFGGVRMEDSGCVAGGFKVGRTTVGHSLVYVSTKAPVKARRTPWTVVYRTDLADGKTERLTPLGQYDLSPAVSPSGKMVAVANFQQNRWNGEIENLKTDIVVMNVDKRAQGSSDRKVLIKDGGWPTWGSDNVIFFHRGFDTTPPSNTARWGVFRFDIAAGKEERVTDESIDAMTPAAISETQVAVATVREKSKQVLMKVERVVTQYRHIEIFDTASKTSVPITQKTRPEGDHYNPFVLDGGTRVGYHRCRTDKLLKVQNQKSTPTTSIQRRFDKVQPPESHADVGLFRVTGVFPSVSKNGKKLAFVDNEFKAVWLADGRGLRVVYKVRATKSVFSTSWNQNDDLDTLYVCEGPAFSIDKPVQIMRIPNVSREDYENMETFPLTDEEYNSAFPSTNAEGTKLVFRSSRNRVAGGERQHKNLYIIDAEKGEAAGVVPLTDGPWTDTHCSWSPREGCDWIVFSSTGRPEKDIVKGKDEPEKDHGLDPGYFAVYLVNAKDIKKGEVPVPVRVIHSAPTIAGHINHPVFSPDMKSIVFAADLAAVSADPISMPHFTHSVRPYGDIFSVNLRDTTDMAKNRDIQEFHRITHSRYEYSTPTWTGIADDEEDPNAKWKMLESLPNFTPWCPNSVLTLFAVITYVYAYRR >ONIVA06G10600.1 pep chromosome:AWHD00000000:6:7809732:7811532:-1 gene:ONIVA06G10600 transcript:ONIVA06G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDDEKPATTPIPNGGSKQGLVTMNIPTYSKKDVALTADSVTAVVEIKATSSTAVREGLDLVAVVDVSGSMRGHKIESVKKALQFVIMKLTPVDRLSIVTFESSAKRLTKLRAMTQDFRGELDGIVKSLIANGGTDIKAGLDLGLAVLADRVFTESRTANIFLMSDGKLEGKTSGDPTQVNPGEVSVYTFGFGHGTDHQLLTDIAKNSPGGTYSTVPDGTNLSSPFATLLGGLVTVVAQDVRLTLTPKTADGDLDKMEVADGTDYTQTTDAKGEITIKFGTLFSGETRKVAVNFTLNESPDTEEYNATLAVARHSYAAQEAPQPAQNIVRLRKPEPTTPGSDDGIEERSVQAEVVRRRHADLIGKASELANGQKLGDARETIMDAQNALGDILLDDGDRMVNALQAELLRLLEYMETQALYDKLGHPYALATIISHRRQRAAGRGDEEVISLYVTPRMIAYLAQAKKFEENPEAPVPSADEDVKQEMAANPLAAISAPLGFYLENAIKALQAIQKIIAANTI >ONIVA06G10590.1 pep chromosome:AWHD00000000:6:7805346:7806436:-1 gene:ONIVA06G10590 transcript:ONIVA06G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSIFSYT >ONIVA06G10560.1 pep chromosome:AWHD00000000:6:7753522:7759103:-1 gene:ONIVA06G10560 transcript:ONIVA06G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HND4] MAMKCFLFFAFLVAFFPGAAVGAGLKVGFYNKTCPSAERLVQQAVAAAFKNNSGVAPGLIRLHFHDCFVRGCDASVLIDGNDTEKTAPPNNPSLRGFEVIDAAKAAVEAACPRVVSCADILAFAARDSVALTGNVTYKVPAGRRDGNVSIAQDALDNLPPPTFNATELVGRFANKSLTAEDMVVLSGAHTIGVSHCDSFTPRLYNFTGVGDADPAISPAYAFLLRAVCPSNSSQFFPNTTVDMDVITPAALDNKYYVGVTNNLGLFTSDHALLTNATLRASVDEFVKSETRWKSKFVKAMVKMGGIEVKTGTTQGEVRLNCRVVNKRSANAELELAAMDDGHQVAAS >ONIVA06G10550.1 pep chromosome:AWHD00000000:6:7752120:7752473:1 gene:ONIVA06G10550 transcript:ONIVA06G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSVGAVLRAGLAVGLLLLAAGAAACHGARAVPGEPEPEPATYRPQNVYGFGGFYPGPNINWVFPGPNGVTPQVGFGGMPGSSSSVFPGAGGASPLTPGGGGGVIGIHGATKKP >ONIVA06G10540.1 pep chromosome:AWHD00000000:6:7740269:7751686:1 gene:ONIVA06G10540 transcript:ONIVA06G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;protein tyrosine kinases;ATP binding;protein kinases [Source:Projected from Arabidopsis thaliana (AT5G18525) TAIR;Acc:AT5G18525] MVCSPEKAGAACPECLERRILSGLPGSCFSFVHGLHESPLPFASAAVVQIASDGAEECNGSQQSTGYFVLVGLHGGKALLDIQECENNSLENGSQIDLRGKESTDADDNHRKQSIISTITKLTPTQYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLNFTGFSEFNDIHPSGHVRHPNILPVLGIVETYDCCYMLHPKFPYTLENIMHYSPEALWSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAIFMSDSLWPYLSISDICPVKQNCGFAESKRPALTLCCFEEDCSSRDIYSGFNLSSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPDENSDIGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSRQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFMKPHPKRLTITPHSTYHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMQSQIDYLEEFEQANVFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVLSVAPDFDFCSFLECFESDDSSPTGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGVLPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTEFALALLKEFVKCLSVQATKDLILHIIQKILQAGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFSLMDGLSALEGLVSVLPVKTILRELLQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHESSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKPSTDHSGSSENMKGQRFRPGNYTSSEPAPTKLVAEIGKNGRNMTVSNQGSRLEHGSSSDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDEFTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSAWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDGFLKICH >ONIVA06G10540.2 pep chromosome:AWHD00000000:6:7740269:7751492:1 gene:ONIVA06G10540 transcript:ONIVA06G10540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;protein tyrosine kinases;ATP binding;protein kinases [Source:Projected from Arabidopsis thaliana (AT5G18525) TAIR;Acc:AT5G18525] MVCSPEKAGAACPECLERRILSGLPGSCFSFVHGLHESPLPFASAAVVQIASDGAEECNGSQQSTGYFVLVGLHGGKALLDIQECENNSLENGSQIDLRGKESTDADDNHRKQSIISTITKLTPTQYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLNFTGFSEFNDIHPSGHVRHPNILPVLGIVETYDCCYMLHPKFPYTLENIMHYSPEALWSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAIFMSDSLWPYLSISDICPVKQNCGFAESKRPALTLCCFEEDCSSRDIYSGFNLSSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPDENSDIGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSRQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFMKPHPKRLTITPHSTYHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMQSQIDYLEEFEQANVFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVLSVAPDFDFCSFLECFESDDSSPTGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGVLPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTEFALALLKEFVKCLSVQATKDLILHIIQKILQAGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFSLMDGLSALEGLVSVLPVKTILRELLQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHESSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKPSTDHSGSSENMKGQRFRPGNYTSSEPAPTKLVAEIGKNGRNMTVSNQGSRLEHGSSSDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDEFTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSAWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDGFLKICH >ONIVA06G10540.3 pep chromosome:AWHD00000000:6:7740269:7751492:1 gene:ONIVA06G10540 transcript:ONIVA06G10540.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;protein tyrosine kinases;ATP binding;protein kinases [Source:Projected from Arabidopsis thaliana (AT5G18525) TAIR;Acc:AT5G18525] MVCSPEKAGAACPECLERRILSGLPGSCFSFVHGLHESPLPFASAAVVQIASDGAEECNGSQQSTGYFVLVGLHGGKALLDIQECENNSLENGSQIDLRGKESTDADDNHRKQSIISTITKLTPTQYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLNFTGFSEFNDIHPSGHVRHPNILPVLGIVETYDCCYMLHPKFPYTLENIMHYSPEALWSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAIFMSDSLWPYLSISDICPVKQNCGFAESKRPALTLCCFEEDCSSRDIYSGFNLSSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPDENSDIGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSRQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFMKPHPKRLTITPHSTYHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMQSQIDYLEEFEQANVFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVLSVAPDFDFCSFLECFESDDSSPTGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGVLPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTEFALALLKEFVKCLSVQATKDLILHIIQKILQAGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFSLMDGLSALEGLVSVLPVKTILRELLQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHESSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKPSTDHSGSSENMKGQRFRPGNYTSSEPAPTKLVAEIGKNGRNMTVSNQGSRLEHGSSSDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDEFTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSAWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDGFLKICH >ONIVA06G10540.4 pep chromosome:AWHD00000000:6:7740269:7750636:1 gene:ONIVA06G10540 transcript:ONIVA06G10540.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;protein tyrosine kinases;ATP binding;protein kinases [Source:Projected from Arabidopsis thaliana (AT5G18525) TAIR;Acc:AT5G18525] MVCSPEKAGAACPECLERRILSGLPGSCFSFVHGLHESPLPFASAAVVQIASDGAEECNGSQQSTGYFVLVGLHGGKALLDIQECENNSLENGSQIDLRGKESTDADDNHRKQSIISTITKLTPTQYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLNFTGFSEFNDIHPSGHVRHPNILPVLGIVETYDCCYMLHPKFPYTLENIMHYSPEALWSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAIFMSDSLWPYLSISDICPVKQNCGFAESKRPALTLCCFEEDCSSRDIYSGFNLSSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPDENSDIGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSRQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFMKPHPKRLTITPHSTYHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMQSQIDYLEEFEQANVFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVLSVAPDFDFCSFLECFESDDSSPTGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGVLPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTEFALALLKEFVKCLSVQATKDLILHIIQKILQAGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFSLMDGLSALEGLVSVLPVKTILRELLQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHESSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKPSTDHSGSSENMKGQRFRPGNYTSSEPAPTKLVAEIGKNGRNMTVSNQGSRLEHGSSSDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDEFTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSAWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDGFLKICH >ONIVA06G10540.5 pep chromosome:AWHD00000000:6:7740269:7750724:1 gene:ONIVA06G10540 transcript:ONIVA06G10540.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;protein tyrosine kinases;ATP binding;protein kinases [Source:Projected from Arabidopsis thaliana (AT5G18525) TAIR;Acc:AT5G18525] MVCSPEKAGAACPECLERRILSGLPGSCFSFVHGLHESPLPFASAAVVQIASDGAEECNGSQQSTGYFVLVGLHGGKALLDIQECENNSLENGSQIDLRGKESTDADDNHRKQSIISTITKLTPTQYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLNFTGFSEFNDIHPSGHVRHPNILPVLGIVETYDCCYMLHPKFPYTLENIMHYSPEALWSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAIFMSDSLWPYLSISDICPVKQNCGFAESKRPALTLCCFEEDCSSRDIYSGFNLSSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPDENSDIGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSRQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFMKPHPKRLTITPHSTYHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMQSQIDYLEEFEQANVFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVLSVAPDFDFCSFLECFESDDSSPTGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGVLPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTEFALALLKEFVKCLSVQATKDLILHIIQKILQAGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFSLMDGLSALEGLVSVLPVKTILRELLQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHESSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKPSTDHSGSSENMKGQRFRPGNYTSSEPAPTKLVAEIGKNGRNMTVSNQGSRLEHGSSSDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDEFTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSAWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDGFLKICH >ONIVA06G10530.1 pep chromosome:AWHD00000000:6:7737743:7738965:1 gene:ONIVA06G10530 transcript:ONIVA06G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQASSSVTAELLQQEAPYPSTSDVDWSQLPADLLVHIFGMLDIPDIFSSGVVCRAWCASFLEARRLGICSGNPGPCLVFSSGDRDPTVATLYSLTTGKEYYVTMPDPPFRSRYIVGSSHGWLITADERSNLLLVNPATQAQIAMPPPETIANVKIHCNADGVPDGYDLLTMDMSSRDVDTEAETEFHSWEEGRFSMGGLSCQQIHPVETAQVGGTHWTWIDVDEQCWDYHDVLYNDDDRLFYAVQGNGDVHAIDTNGPSPMLRVLLDTKNTVVDCTRYIVRLEYGDLLEVCRDCKYVNDDRRTEELIVYKVDLVEKELVKQKDFEGRVLFIGFNSSFFLRVEDFPMLTPNSVYCTDDSMENIYSERFGCREVGAFHLEDSSFTDYCLLARG >ONIVA06G10520.1 pep chromosome:AWHD00000000:6:7730300:7732657:1 gene:ONIVA06G10520 transcript:ONIVA06G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSSSSVTGELLEQEAPPSTPGLDWSQLPADLLIRILGTLEIPDIFSSGVVCRSWHASYLEARRLGICSNNPGPCLVFSSSDRDPSVATLHSLTTGKDYYVTMPDPPFRTRYIVGSSHGWLITADERSNLLLVNPATQAQIAMPPPETIANVKIRCNGEGMLDGYDLFTMDMSSRDFDDEAEPIDLSWEEGRFYFYMRVVLSADPSSGNCTVMILHLLHNLLSFARVGATHWTWINVNELCWNYHDVLYNDDDRLFYAIRGNGDVHAINTNGPSPILRVVLDAKNSLINCAKYIVLSESGDLLQVWRYYHYVNNNKERRTRELVVYKVDLVEHKLVELKDIEGHALFIGFNSSFFLRVEDFPMLTTNSVYCTDDTVHYIYHSRFGFREVSAFHLENSSFTDLVPIGSRLNWPPPAWFRPSYSKESKVLCCVTS >ONIVA06G10510.1 pep chromosome:AWHD00000000:6:7726223:7726603:-1 gene:ONIVA06G10510 transcript:ONIVA06G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPPPPSSPSPSGCVAARAYGGGGAGCAPCFAIFSHHHKLFYSQPSPPRAKLRLVGRIDGRKEEAAHRSRRQASSQEEAAAKLASAVEAPAKKQKLAMERKEIDLERHYQSTESGITTAKFKLQT >ONIVA06G10500.1 pep chromosome:AWHD00000000:6:7713906:7718695:1 gene:ONIVA06G10500 transcript:ONIVA06G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAVTGELLEQEAPSSSTSGVDWSQLPADLLVCIFGVLEVPDIFSSGVVCRAWHASFLEARRLGICSSNPGPCLVFSSGDRDPSVATLHSLTTGKNYYVTMPDPPFRTRYIVGSSHGWLITADERSNLLLVNPATQAQIPMPPPETIANVRIRCNEPDDLSWEEGRFYFYMRVVLSADPSSGNCTVMILHLLCNLLFFARVGDTHWTWINVNELCWNYHDVLYNDDDRLFYAIRGNGDVHAINTNGPSPILRVVLDAKSSLVDCAKYIVLSELGDLLQVWRYHHYVNNNEERRTSELVVYKVDLVEHKLAELEDFEGHALFIGFNSSFFLREEDFPISTFDPGIDWSQLPADLRIRIFGTLEIPDIFSSGVVCRSWHASYLEACCLSICSSNPGPCLVFSSCDRDPSVATLHSLTTGKDYYVTMPDPPFRARYIVGSSHGWLITAYERSNLLLVNPATQAQITMPPPETIANVRIHCNGEGVPDGLIFLQWICHHEILILKQSRMIFPGKKAGFTSKRGVGDTHWTWIDVDELYCCYHDVLYKDDDRLFYAIRDTGDVHAIDTNGPSPMLRVVLDTKNSLVNNTKYIVLSESGDLLQVRRYYKHVNNDRRTRELIVYKVDLVEQKLAELKDFDGRALFIGFNSSFFLRAEDFPMLTPNSVYCTDDSMEYIYCNWKEVENNSNKLDAESKVNTSDDTTSAATLVISGWGLVKSDANFTKVNKATHVASLPLHFPFCACSLLLEGTQICGYYAGFPVRLS >ONIVA06G10500.2 pep chromosome:AWHD00000000:6:7713906:7718695:1 gene:ONIVA06G10500 transcript:ONIVA06G10500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAVTGELLEQEAPSSSTSGVDWSQLPADLLVCIFGVLEVPDIFSSGVVCRAWHASFLEARRLGICSSNPGPCLVFSSGDRDPSVATLHSLTTGKNYYVTMPDPPFRTRYIVGSSHGWLITADERSNLLLVNPATQAQIPMPPPETIANVRIRCNEPDDLSWEEGRFYFYMRVVLSADPSSGNCTVMILHLLCNLLFFARVGDTHWTWINVNELCWNYHDVLYNDDDRLFYAIRGNGDVHAINTNGPSPILRVVLDAKSSLVDCAKYIVLSELGDLLQVWRYHHYVNNNEERRTSELVVYKVDLVEHKLAELEDFEGHALFIGFNSSFFLREEDFPMLTPNSVYCTDDTVTPSIWKIAQITMPPPETIANVRIHCNGEGVPDGLIFLQWICHHEILILKQSRMIFPGKKAGFTSKRGVGDTHWTWIDVDELYCCYHDVLYKDDDRLFYAIRDTGDVHAIDTNGPSPMLRVVLDTKNSLVNNTKYIVLSESGDLLQVRRYYKHVNNDRRTRELIVYKVDLVEQKLAELKDFDGRALFIGFNSSFFLRAEDFPMLTPNSVYCTDDSMEYIYCNWKEVENNSNKLDAESKVNTSDDTTSAATLVISGWGLVKSDANFTKVNKATHVASLPLHFPFCACSLLLEGTQICGYYAGFPVRLS >ONIVA06G10490.1 pep chromosome:AWHD00000000:6:7709637:7720552:-1 gene:ONIVA06G10490 transcript:ONIVA06G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRGRRILLSAQSLMIVRRMAYNSIMTATASFTLSPTPNDFHFDFLLLPYFRPQRTGAYA >ONIVA06G10480.1 pep chromosome:AWHD00000000:6:7708725:7709640:1 gene:ONIVA06G10480 transcript:ONIVA06G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEELPDAILDAAYPIPYHPPLSRRRWLVAALRLRAAAEADGGVGFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNQQA >ONIVA06G10470.1 pep chromosome:AWHD00000000:6:7705753:7708753:-1 gene:ONIVA06G10470 transcript:ONIVA06G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Craniofacial development protein 1/Bucentaur (InterPro:IPR011421); Has 333 Blast hits to 324 proteins in 149 species: Archae - 0; Bacteria - 18; Metazoa - 117; Fungi - 96; Plants - 49; Viruses - 0; Other Eukaryotes - 53 ( /.../: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G30490) TAIR;Acc:AT5G30490] MASGSSSGDMGSSGAKDVILDEPLLTSGSAESSQQRSTDVDTKSRVEDIWKKMNSGMPAKMPKPVMNKLSTPAKEKKSTTGNNWMSVLGLSPSKASTNDQGSKNGQKQAQQETSEDAKKLAASALAAVRDAASAAAGRGKVEITEVRDFAGKDIEIKKLVDADSREAIEKAKAAGAAPSALDHILEQIKKKQKLSVLDKTKKDWGEFKEENKGMEEELDQYKKSSNKYLDKVSFLQRADYREFERERDARLSMMSKRKSDTRED >ONIVA06G10460.1 pep chromosome:AWHD00000000:6:7701453:7704887:-1 gene:ONIVA06G10460 transcript:ONIVA06G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAACCYRPAAAGRASLDTFATSSPSLRPSSSGVFFISSSSSRWWMRRRRGEGKVKISSSSRARAKPMLRPALFSPVAMEWQECSTEIEVDVPCSVAYQCYSERETIPQWMPFISSVKILEDKPDQSRWTLKYEILGRDVEFSWLARNMTPTKNQKIHWRSLEGLQNRGAVRFFPKSSSSCRVQLTVAYEVPEILAPVASALKPFLEGLLMQGLERFATFAKERYSKIPQP >ONIVA06G10450.1 pep chromosome:AWHD00000000:6:7696994:7703735:1 gene:ONIVA06G10450 transcript:ONIVA06G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HNB8] MALAILARRRAAEALLRRPLGAAGVSALRASYAAVAGEESDVVVVGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKSSFAHHGVKFSNLEVDLPAMMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLSDGGSTVVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGDGVKLTLEPAAGGEQSVIEADIVLVSAGRVPYTAGIGLESVGVETDKAGRILVDKRFMTNVNGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKALGIPYRVGKFPLLANSRAKAIDDAEGLVKVVAEKETDKILGVHIMAPGAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTFTKAIHI >ONIVA06G10440.1 pep chromosome:AWHD00000000:6:7695005:7699022:-1 gene:ONIVA06G10440 transcript:ONIVA06G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEKRRERRRRRWKGKRNGKRLPGGARADRRWPVGEIGRINLAVVAGGDEHLNDGRTPLRGTMPRCGSAELHQKPNSITSSLGGASTTISSTSDE >ONIVA06G10430.1 pep chromosome:AWHD00000000:6:7689947:7694570:-1 gene:ONIVA06G10430 transcript:ONIVA06G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGPSPVASGLLLQLPAQIEMVYIPGEFHDLAEQLQILRSKIKLEHREFICAGLLAIVELKAPPHLEDVTPWCPNNIAGAVEGMFQRDTRDQKNSNTAMAVLPSSIAADCTMARSLSKRWRQAGSKQDGRTPAAAAS >ONIVA06G10420.1 pep chromosome:AWHD00000000:6:7683110:7688657:1 gene:ONIVA06G10420 transcript:ONIVA06G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G06440) TAIR;Acc:AT3G06440] MRKCTGVLLILTLAVLLLLLSPSPSTAPPPAATAAGGPAARLLPTLPGLSRLYPPPANSTAHHSWRLLRPLLLRSDALPGTAAGVLEAADAWRNLTLAVAASAAGGKDGRRQGDLDVSCRSSVEGDLGGVGARGVKIPCGLAEGSAVTVVGVPKPGAAWFRVEMVGGGGEVVVSVNVSLGVAEMVVEQSSWTREEGWGLSERCPPVGDADRNSSSLLSLVDGLVRCNQQAGVSGLQGRNNTMANVTANEHENEKRPKGRANFGGSFSIIEGEPFTATLWAGAEGFHMTVNGRHETSFAYRERLEPWSVAEFKVSGDLELLSVLANGLPVSEEVDMASVELMKAPPLSKKRIFLLIGVFSTGNNFKRRMALRRTWMQYEAVRSGEVAHKNEQVNMEILKEAQIYGDIQFMPFVDYYTLITLKTIAICMFGTKVVPAKYIMKTDDDAFVRIDEVISSLKKSDPHGLLYGLISFQSSPHRNKDSKWFISPKEWPVEAYPPWAHGPGYIVSRDIAKFIVHGHQERTLQLFKLEDVAMGIWIQQYKNSGQKVNYVNDDRFYSEGCDSDYVLAHYQSPRLMMCLWEKLQKEYQPMAKIGVQMVHWGMAGK >ONIVA06G10420.2 pep chromosome:AWHD00000000:6:7683110:7688657:1 gene:ONIVA06G10420 transcript:ONIVA06G10420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G06440) TAIR;Acc:AT3G06440] MRKCTGVLLILTLAVLLLLLSPSPSTAPPPAATAAGGPAARLLPTLPGLSRLYPPPANSTAHHSWRLLRPLLLRSDALPGTAAGVLEAADAWRNLTLAVAASAAGGKDGRRQGDLDVSCRSSVEGDLGGVGARGVKIPCGLAEGSAVTVVGVPKPGAAWFRVEMVGGGGEVVVSVNVSLGVAEMVVEQSSWTREEGWGLSERCPPVGDADRNSSSLLSLVDGLVRCNQQAGVSGLQGRNNTMANVTANEHENEKRPKGRANFGGSFSIIEGEPFTATLWAGAEGFHMTVNGRHETSFAYRERLEPWSVAEFKVSGDLELLSVLANGLPVSEEVDMASVELMKAPPLSKKRIFLLIGVFSTGNNFKRRMALRRTWMQYEAVRSGEVATKVVPAKYIMKTDDDAFVRIDEVISSLKKSDPHGLLYGLISFQSSPHRNKDSKWFISPKEWPVEAYPPWAHGPGYIVSRDIAKFIVHGHQERTLQLFKLEDVAMGIWIQQYKNSGQKVNYVNDDRFYSEGCDSDYVLAHYQSPRLMMCLWEKLQKEYQPMAKIGVQMVHWGMAGK >ONIVA06G10410.1 pep chromosome:AWHD00000000:6:7673755:7678548:1 gene:ONIVA06G10410 transcript:ONIVA06G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVFTRSLLMYFNKIVRSLRPLVHSPPPQPAAADNIVRWPSDDELLPAPDTTTTSVVTTVCKVEGGLLMSPSTFPYFMLVALEAGGGGGGLLRGLLLLLLYPALRLLGHDRAIRVMAMVSFAGVRKDGFRLGSSTLPRLLLEDVSAEVFEAAVRRRRCVCVSGMPREMVEPFLREYLGVDAVVAPEVRAFGGYYLGLMESDGEVVRRLDMEEVIGGGEKEETCGDGDGRVVVGIGGRGRSFSRIFQKYCKEVYVATKSARRRWRPLHPRRYAKPLIFHDGRTAFRPTAAATLAMFMWLPLGAPLAILRTAVFLLLPFSISVPLLAALGMHSRRISSSSLPPAAAAAHNLFVCNHRSLVDPLYVSAATGRTDLSAATYSISRLSEILAPIRTFRLTRDRATDRAAMQAHLSLPGGGGGGLVVCPEGTTCREPFLLRFSPLFTELGADVQPVALHSAVSMFHGTTAGGWKLLDPLYLLMNPTPAYVVQLLDPVAVGGEGGGGGPELANEVQRRIAEALGYTRTALTRRDKYLALTGNDGGVDRRRVAGSHHHQEGVLNG >ONIVA06G10400.1 pep chromosome:AWHD00000000:6:7652005:7654622:-1 gene:ONIVA06G10400 transcript:ONIVA06G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTASAAARRSTRQPSTMTTTMSRRTVAAVAVSVVLLLLSSCLPCCSEARLHYHRRQHRRAPHRGHHRAAAAAAAATNGGSHISQPPAALPPDFDSGESPAETPGLPPAGVEDAPPRRSPREKPCPTMQPPVKPPEELSPVGAPRSRVRAMPPSPSLSPPAKAPSHSHAKTPSMPPAERPALPPTKAPAAISPATPPQLSPAKAHSTHHHAKPPSLPPAEPPVPSPSPEHPPRHSPSKPPAYAPAKPPTALRPAIPPAAMPKPPSVAPVQPPQRPPAPATKPPPSFPPQLAPTMPPPAHAPAETPAPPTTPPALPPATTAPSPKNSSSSPPPPCTGGGGGISNVFDVRAFGATGNGSSADGDTRAFRAAWKAACSAESATVLVPSDGVFTITSTIFAGPCKPGLTFQIDGVLMPPDGPASWPAADGRRQWIVFYRADGMTLSGKGTIEGNGEEWWNLPCKPHRGPNGSTLPGPCESPALIKFVASSDVSVQGLRMENSPQFHLKFDGCSRVLVDGLVVSSPASSPNTDGVHVENTSSVRILNSRISNGDDCVSIGGGCSGVRVENVTCVHGHGISIGGLGARGARACVSNVTVRGARVVDSDNGVRIKTWQGGAGSVSGVVFDAVQMVNVRGCIVIDQYYCDAHGGAGAGCANQTAAVRVDGVAYRGIRGTYNPRGGGGAPVRFACSDTVACTGITMTDVELLPAGGGDEGGGASAGAKLADPYCWNAYGVMETLTQPPVHCLQEGRPESLQDQLASC >ONIVA06G10390.1 pep chromosome:AWHD00000000:6:7646536:7651842:1 gene:ONIVA06G10390 transcript:ONIVA06G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAETSEASTAGLALAEANINWQRLDKTRFHVIGAILFTAQQGVLHPTAVVKTRMQVAEGGLSHMSGFSVFRRILRSDGIPGAFRGFGTSAVGALPGRVFALTSLEVSKEMAFKYSEHFDMSEASRIAVANGIAGLVSSIFSSAYFVPLDVICQRLMAQGLPGMATYRGPFDVISKVVRTEGIRGLYRGFGITMLTQSPASALWWSAYGGAQHAIWRSLGYGIDSQKKPSQSELVVVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGRGRPSVMKTTRVLLEEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKPE >ONIVA06G10390.2 pep chromosome:AWHD00000000:6:7646536:7651842:1 gene:ONIVA06G10390 transcript:ONIVA06G10390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAETSEASTAGLALAEANINWQRLDKTRFHVIGAILFTAQQGVLHPTAVVKTRMQVAEGGLSHMSGFSVFRRILRSDGIPGAFRGFGTSAVGALPGRVFALTSLEVSKEMAFKYSEHFDMSEASRIAVANGIAGLVSSIFSSAYFVPLDVICQRLMAQGLPGMATYRGPFDVISKVVRTEGIRGLYRGFGITMLTQSPASALWWSAYGGAQHAIWRSLGYGIDSQKKPSQSELVVVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGRGRPSVMKTTRVLLEEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKPE >ONIVA06G10380.1 pep chromosome:AWHD00000000:6:7635486:7642269:-1 gene:ONIVA06G10380 transcript:ONIVA06G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGMTPEQIYGQNVYVPATANPYPYGYTEVGSPTEWYNNQSSLGYDGQDIYFPGFQTEGTQCMYYAAPDNGSVHPSYSPYPINSSFIVDGSYLPLEYVGDAADQTCQIVPSPYYVPTILPYAHDNVLGNTTAPLHPPVYVPTLPSYTVTSTNHALPSVPPVATKNDIIANPPIQSTIVASKQFLDHASDPKVQLRNPIPLKKELADGSMMPVKYPHTSQAFSNFPERRSAAKHSPQEKFSVNNGSGFVGSNVQRWAAAEKFEPNSNLSGRIGSASPKMKLSNVDGLGGADKPCGQKSSAIIAKSYTSRLSVGDPEGTIVIRCNQYNSNDLRVDYPFAKFFVIKSIGEDDVHKSIKYGVWSSSSSGNSKLDIAFKDANRIAKRNSTKCPVFLFFSVNGSGLFCGMAEMVGPVDFHKDMDFWCQDKWTGSFPVRWHIVKDVPNYTLQHILLQNNENKPVTHSRDTQEIPYVPGISMLKILKAIKVKECLLDDFMKYEEDEARSKHYFRRSKLSHNAPDFVPVAQRRKDVTDVRQPKSGNVLIDRTPVIQNMSVKPQGSNAIKPQDQCLQVVEKQASDDGKENRHQENRNVRQANDKVVKTGTKQPQASTVKTSVDGKQQYWKKVEFPRQNPNSAVHGSSKAHEKHLNESKAPEKHSNGANCSSGTVSLKTAREETIVARVSSLAISSQNSSVDVVKIGSMPVLVNKANV >ONIVA06G10370.1 pep chromosome:AWHD00000000:6:7628217:7631612:-1 gene:ONIVA06G10370 transcript:ONIVA06G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGLVTAGGGGGGKVMSLRLQYYVVLGGVTAVVLLACLRYTPTAAAVAAVGYGFWGNGDGASLAAAGAAATTVGGTGTSAAATTGGGGAGGGRSPSRVVIFNFGDSNSDTGGMAAAMGLNIALPEGRTYFRRPTGRISDGRLVIDFICESLNTPHLSPYLKSLGSDFSNGVNFAIGGSTATPGGSTFSLDVQLHQFLYFRTRSIELINQGVRTPIDRDGFRNAIYTIDIGQNDLAAYMNLPYDQALYGHGGRKFWVHGTGALGCLPQKLSIPRDDDSDLDGNGCLKTYNAAAREFNAQLGAACRRLRQRMADAAVVFTDVYAAKYDLVANHTLHGIERPLMACCGNGGPPYNYNHFKMCMSAEMELCDMGARFASWDGVHYTEAANAIVAARVLTGEYSTPPVRFASLVNSTAVPNDG >ONIVA06G10360.1 pep chromosome:AWHD00000000:6:7622032:7622400:1 gene:ONIVA06G10360 transcript:ONIVA06G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVEGTDSMVTVLGTARSAFTIVKKRVVEVKEVDPAAAATTTTAATMTTTEPQEGSSGSNPLPSSRSGRQWLGARVVDPEARDGGVATGGGARSQEAGGGFGDGSGTAAHPKAELKRGWRI >ONIVA06G10350.1 pep chromosome:AWHD00000000:6:7616793:7617974:-1 gene:ONIVA06G10350 transcript:ONIVA06G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGESLNIGYLSPYLKALGSDYSNGANFAIAGSATLPRDTLFSLHIQVKQFLFFRDRSLELISQGLPGPVDAEGFRNALYMIDIGQNDVNALLSYLSYDQVVARFPPILDEIKDAIQTLYDNGSRNFWVHGTGALGCLPQKLSIPRKNDSDLDSNGCLKTYNRAAVTFNAALGSLCDQLSTQMKDATIVYTDLFPLKYDLIANRTKYGFDKPLMTCCGYGGPPYNYNITIGCQDKNASVCDDGSKFVSWDGVHLTEAANAIVAKGILSSDYSRPKIKFDQFCKV >ONIVA06G10340.1 pep chromosome:AWHD00000000:6:7615167:7616329:1 gene:ONIVA06G10340 transcript:ONIVA06G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIAVVVLLVCTFMALQAMAADAYYDNNGGGGGDDSVTMQMFEEWMAKFGKTYKCHGEKEHRFAVFRDNVRFIRSYRPEATYDSAVRINQFADLTNGEFVATYTGVKPPPPATHPHPHPEEAPRPVDPIWMPCCIDWRFKGAVTGVKDQGACGSSWAFAAVAAMEGLMKIRTGQLTPLSEQELVDCVDGGGDSDGCGGGHTDAAFQLVVDKGGITAESEYRYEGYKGRCRVDDMLFNHAARVGGYRAVPPADERQLATAVARQPVTAYVDASGPAFQFYGSGVFPGPRGTAAPKPNHAVTLVGYCQDGASGKKYWIAKNSWGKTWGQQGYILLEKDVASPHGTCGLAVSPFYPTV >ONIVA06G10330.1 pep chromosome:AWHD00000000:6:7608912:7610095:-1 gene:ONIVA06G10330 transcript:ONIVA06G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPHLGLVLSITCLLLQLLLVAANPPPLRRPSCDKSDREMRFMFSQWMSKYSKRYSCPEEQEKRYQVWKANTDFIGAFRSQTEISSGVGAFAPQTVTDSFVGMNLFGDLASGEFVRQFTGFNATGFVAPPPSPSPIPPRSWLPCCVDWRSSGAVTGVKLQGSCASCWAFAAVAAIEGLHRIKTGELVSLSEQVMVDCDTGSNGCGGGRSDTALGLVASRGGVTSEERYPYAGARGGCDVGKLLSDHSASVSGFAAVPPNDERQLALAVARQPVTVYIDASAPEFQFYKGGVYRGPCDPGRMNHAVTIVGYCENIGGDKYWIAKNSWSSDWGEQGYVYLAKDVWWPQGTCGLATSPFYPTA >ONIVA06G10320.1 pep chromosome:AWHD00000000:6:7601903:7605109:-1 gene:ONIVA06G10320 transcript:ONIVA06G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVATTTLLLTSHEAKPAKAPSIHDAIEVYIGATGARQLLKAMLLAFAWAFDAQQVFMSVFTDAEPPWHCTGVVDAVAAVAGDSGSSCSSPATSASPCALPLGTWEWDRLAKTSVVSDWALNCSGGGPALVSLPASSFFIGNLVVVLPYSLICAPMCDRGSGVHVRVHGRGPALVSLPASSFFAGNLAGGFLLATLADTHLGRRKMLLLSLVTMSVAAALTAFLPNVWVYSALRFVSGFGRSMVGTSAMVLSTELVGKRWRNTVSAAGFVFFSVGFVSLPALAYTFREASWRNMYESPRWLLVRGRKQEAIEAVRQIASLNGGGGGITTSSFSMLDACAVELGDGGEGMFATLHSIWERRWALRRLAAITAASFGVGMVYYGMPLNVGSLSPSNLYLSVAYNAVAELPSSILAWLLTGRWFNRRGSVVALTTASGLCSLAACVPAVVLPDGARMAAEVASFFASCTALAACVPAVVLPDGARMAAEVASFFASCTAYDMMLMYTIELFPTSVRNSAVGLVRQAVALGGVVAPVLVALGRETTSYWSSSFGLFGLAVGCLGLLVTCLPETRGRRLSDTMEEEEAAILSSSGASDMENNGELV >ONIVA06G10310.1 pep chromosome:AWHD00000000:6:7593728:7596851:-1 gene:ONIVA06G10310 transcript:ONIVA06G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRWGIRHRPPTTGLTASAVAMACRLAVSSASALVPLRHRLLPLVCAARRDPRRRDHIALAGAAVAGESAVWGRAPPNADVVGAIGEVGGDAKEDIVGEILPPPNSPCPCCRLRSLPCTCVHRSSPSPVIAAPATKREKANSAYRMQFVGCIQDNRSDFFWKAKVENKCKFFCWLMIHRKILTADKLQLRGWDNSHICPLCGVEPETATHFLMECAFAK >ONIVA06G10300.1 pep chromosome:AWHD00000000:6:7592452:7593691:-1 gene:ONIVA06G10300 transcript:ONIVA06G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAFTFDGDMLGWWSACRREMAKEHRRNVDGLFIYTTWGIWLQRNARIFNGTYSTVMQVVDSIIAMCKAYIGAHEKDETISGLHMFPRVSDGSLRRSFLLPGDAATRRGSLHRPRWRGPTWAKARQRSSSRACGKATEEERQHTAARVVAAEQRHGPRRQVEDGKLDVSIVEPGRASFISRQLLNVMANLFDPSTMRSSLATVRHGSREQNSGFALASGASITQVDIDGEMLVP >ONIVA06G10290.1 pep chromosome:AWHD00000000:6:7580675:7583817:1 gene:ONIVA06G10290 transcript:ONIVA06G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIWTLNLFCDGAFNGPMHVQKNMDRNIICYFNLVGLIVDIGYLDCDFIYFLHFIIFTNINMDVENARMTYIMKRREYHNKKDGRGVASMVAIESDWQVDEMCKQFESEKRQGISQSNTLHGPKTELVTDLGDAIAEVDDLCVLVNDAVSDSSNPSLVDEDFLQLYNNDLEGKLKEIRRQKEDPDERCEGDTDVEDIFPLTINLLDTRSTPIARRKGKETAIQHDNPPSPLANKTIHTESSHVQEPRFVANFQDGFGIRVPNSAIVGRGGGRASKMPSRRGRGRGRSTSELGRVMNWLGGGGCSNTVGHE >ONIVA06G10280.1 pep chromosome:AWHD00000000:6:7574483:7579692:-1 gene:ONIVA06G10280 transcript:ONIVA06G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGVVVAVVAVVAVTMAVAVAAGEISDDGGDQPSPSPSPSASCARRPVVFAFGDSNTDTGGIAAGMGYYFPLPEGRAFFRRATGRLCDGRLVIDHLCESLNMSYLSPYLEPLGTDFTNGANFAISGAATAPRNAAFSLHIQVQQFIHFKQRSLELASRGEAVPVDADGFRNALYLIDIGQNDLSAAFSAGGLPYDDVVRQSLYYNGAKNLWIHGTGPLGCLPQKLAVPRADDGDLDPSGCLKTLNAGAYEFNSQLSSICDQLSSQLRGATIVFTDILAIKYDLIANHSSYGFEEPLMACCGHGGPPYNYDFNVSCLGAGYRVCEDGSKFVSWDGVHYTDAANAVVAGKILSADYSRPKLPFSYFCSA >ONIVA06G10270.1 pep chromosome:AWHD00000000:6:7563966:7566019:-1 gene:ONIVA06G10270 transcript:ONIVA06G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKATKKVHTALQPMMILVADLGCSSGPNTLMFVSTVIRAIAEYYNRIGQCPVDVQFFLNDLPSNDFNHLFKSLEQIDNFVAKDQNRQATTLPQYYVAGLASSYYRRLFPKNSVHLFHSSYALHWRSKMFEMKNIKEPLNEGNIYISKTTPISTVKLYQELFEKDFSNFLELRSNELISGGQMLLTFLGRKNEDVSDGDQCTLHGLMEKKKLDNFNMPVYMPSTHEVKTIIMRSKLFIINQIQLSESNWDPYDDDLEGEVVLYPAQSGLNVARSLRPVFGRLFTTYFGESVQDVLFSRIASNVSKYLDKRKGKHNVIALSLARTYGDGVP >ONIVA06G10260.1 pep chromosome:AWHD00000000:6:7556832:7557188:1 gene:ONIVA06G10260 transcript:ONIVA06G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPEQRSYEESGGGVFQRRPRCGGGECRVSWFQPPNLATWEAEGPWAAVVRAAHASGGCWEGWRRKRWTAAVAEPEIGMRQRRGREAVSQRFGRDVSAAAGVAEDSGCSGGQQGA >ONIVA06G10250.1 pep chromosome:AWHD00000000:6:7551006:7558455:-1 gene:ONIVA06G10250 transcript:ONIVA06G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGDGENSYAKNSKLQEKTLVATKPMIQKAIQELYSAVLPRTMLVADMGCSSGPNTLNFIFEVIKATSEYCQMIGHRPVDLQFFMNDLPGNDFNYLFKSLEQLDNLVAKDQNREAAILPKYYVVGLPRSYYTRVFPDKSVHLFHSSYSLHWRSQMFQESNNGEFLNEGNIYIAKTTPKSVIKLYQELFYDDFSKFLELRYQELVSGGQMVLSFLARKKDDLYDGNLSVLYGLISQALQSLVMEGLVEKEKLDSFNIPNYEPAIHEVKTVVISSKLFTINKIYVFESNWDPYDDSSDQGQATNVNPIKSGLNVAKCIRAVLEPLIASHFGESILDVLFSRFARNVTQHLEKRKGKHSVIVLSLSKRKN >ONIVA06G10240.1 pep chromosome:AWHD00000000:6:7538170:7540361:-1 gene:ONIVA06G10240 transcript:ONIVA06G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIEGDLHMTSGEGEGSYAKYSRRQTIVIDETKPVIEKAIIEVYKAILPKTMVIADLGCSAGPNTMFFMSNVINIIADHCSKLDEHDPIELQFFLNDLPGNDFNQLFRSLEKIKTSTTMYHKGDSLPSYYISGLPKSYYSRLFPRQSVPEGLEAGGKSLSNKDNIYISSTTTPLVVKLFKEQFRKDFSLFLKLRHEELVNDGHMVLIFFGRKDEDVYNGSLSHIFGCVAKSLESLVCKGLVNKEKLESFNLPIYGPSDDEVMEIVMESHMFDLVHMKLFEANWDPYDDSVDDVVHDIASSSQNITTGIRSVLESLIASHFGESILDVLFQEFRPLVAQHLEREKTKYAVIVMSLKKI >ONIVA06G10230.1 pep chromosome:AWHD00000000:6:7532386:7536596:1 gene:ONIVA06G10230 transcript:ONIVA06G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRTTFVDLDDMEALQSVLDQGNVTMFYADSLTNPHLKCVDVRRVAELCHQRGALVCIDSTLASPINQKLLTLGADVVLHSHHQVIGAGWETPWVTDFTTGMGVGFLNWHPNAAYMIIRGLKTMALRVEAQNRTALRVARLLESHPKVERVNYPWLESSPWHGVARRQMTGAGGVISFEVASDMRGAMRFVDALELPFIATSLGGCESLVQQPAIMGKSDAEKAENGIKDNLVRFSFGIEKFEDLKDDILQALEKI >ONIVA06G10220.1 pep chromosome:AWHD00000000:6:7528847:7529297:-1 gene:ONIVA06G10220 transcript:ONIVA06G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALLASGAASAVDPASVACSVGGKRDGVHGGSGIGGMRGGIAGVRGNVSGGRGDVNGRSHNISSIICVGGGRDSVGYRSRRGW >ONIVA06G10210.1 pep chromosome:AWHD00000000:6:7508018:7509832:-1 gene:ONIVA06G10210 transcript:ONIVA06G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVVLFYYFRVKRAAQCLVLLAGAAGAAEPRTRQGDYLDRLRGSPLSIVLLAAVSAEDRTAPSVSDGRHAAPATVQAALGTKEADRMVRLLGQPNGVCFAQYAGYVTVDETAGRALFYYLAKADGGSATSSKAPLLLWLNGGLGCSSLGYGTMEELGPFHVKSDGETLSARMRWPPVSSHHVALTFAPLLL >ONIVA06G10200.1 pep chromosome:AWHD00000000:6:7501978:7504224:-1 gene:ONIVA06G10200 transcript:ONIVA06G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETKFVLDKAIQELYATLLANTMVVADLGCSSGQNTLHFVSEVINIFTKHQNNLGQSDTVDLQFFLNDLPGNDFNHLFRTLNTFTFKGASNHRGDILPAHHIYGAPGSYYTRLFPPQTVHLFHSSLSLHWRSQVPEQLNGKQKSYLNEENIYITKTTPLHMVKLFKEQFIKDFSLFLKLRHEELMDGGRMVLTIYGRKSEDPYIGDVNDIFGLLGKSLQSLVAEGLVEKEKLDSFNLPMYGPSVGELEEIVNRDNLFDMDHMHLFECNWDPYDDSQGDIVHDSASSGINVANCVRAVTEPLIASHFGEGILSALFTDYAHRVASHLEMEKTKFININMNVGNARMTYIVKRRK >ONIVA06G10190.1 pep chromosome:AWHD00000000:6:7484714:7486671:-1 gene:ONIVA06G10190 transcript:ONIVA06G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47830) TAIR;Acc:AT3G47830] MDRAWADALAHGPARYGPLQEPCLIVAGPGHDVRPIRSPIDGHTPTPHLVAGNRHLHGAAGQAAQASEMTRKPKRKPPPSPEPYHDHPSPSPAQCLAVRDALLAFHGFPDEFAPFRRRRRRLGLDTSPDPEEDTDGDPSPPPTVLDGLVTTLLSQNTTDAISRRAFAALKAAFPTWDQVVDEEEGKRLEDAIRCGGLAATKAARIRAMLRGVRERRGKICLEYLRDLSVDEVKTELSRFKGIGPKTVACVLMFYLQKDDFPVDTHVLRITNAIGWVPATASRERAYLHLNSKIPDDLKFDLNCLFVTHGKLCQSCSKKLGGQKTTGSNSMCPLASYCCTEEKMKQ >ONIVA06G10180.1 pep chromosome:AWHD00000000:6:7470779:7474900:-1 gene:ONIVA06G10180 transcript:ONIVA06G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITDRRAPTRPQGQGQANRCHVYSEWLQLTGPTLHQHPLSCGPHGPTTRYTYYATSSPHHHLTPTPPSSHFRRPRSPPRRVAPPPHATAARARLPLAFHSASASAATARARRGGGLRRDGDEGAVSLRLLPRPQQRSSHCRPPPLGARSERDAAVAVAAVKSREGLTRRRRRGQGGGGGHGGFESEKGDPAATAAQRRDPYEVLGVGRNATDQEIKSAFRRMALKYHPDKNGDDPVASDMFQEVTFSYNILSDPDKRRQYDTSGFEAIEADSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGNSVHRKVEKQSAHFYSVDITEKEAKMGLVCRVKSTDRSKFKLLYFELEENGGLSLALQEDSVKTGKVTSAGMYFLGFPVYRFEQNNLAAAAKDPDSAFFKRLDSFQPCDINELKPGTHFFAVYGDNFFRSVNYTIEVVCGESFPAEKEKLQSVEAKILTKRAELSKFETEYREVLAKFTEMTSRYTQEMQAIDNLLKERNEIHASYTNNSPLKRSSSRSKAKSPSKFSKGEEENSQRKEKKVKDQPTGGCRSADEDSNEKKTKERFPKKKWLNIPFKIDRRKPC >ONIVA06G10170.1 pep chromosome:AWHD00000000:6:7459243:7469101:-1 gene:ONIVA06G10170 transcript:ONIVA06G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HN88] MDTHLVVVLALLAAAAAAEAKMSADYYSKTCPRADRIIADVLAQKQISNPTTAAGVLRLFFHDCFVGGCDASVLVASTAAARSERDADVNLSLPGDAFDALARAKAALEVECPGVVSCADLLAVAERDLVTMTGGPYYPLRLGRKDGLSSSPSAPDAEIPHANLTVSRLVAVFAAKGFTVQDLVALSGAHTLGFSHCKEFAARIYGGSGGGADPTMNPALAKRLQEACRDYRRDPTIAAFNDVMTPGRFDNMYFVNLRRGLGLLATDQELYGDARTRPHVERYAANETAFFADFARAARRLSHHGVKNGANGEVGGGMNLPDLRVMWLGLAARGTMRWSGDDVVWSSLLLKPFVLIPSAPRRRHHHHLSLPTPPHRRLPSSSSSSRHASPASSSFAVTRAARELFDGSADRPPGGVGRGGARRREYRVEQGEAPPAAAAAAAVRGVSPYVVPAAAVLALSFVIWRVVQNLLPGKTKDQSSGESTPSGIMWSFAAGSNLSTSASFNAEKESRKNLNKFYKEIRTLKNVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNGITAVGIEAFDGILQINTALKSLNLSGNAIGDEGAKCLSDILVENVGIQKLLLNSTNIGDEGAKAISDMLKKNKTIRTLQLSNNTIEYSGFASIAEALLENNVLRSLFVNGNYGGPLGASSLAKGILGNKTLRELHLHGNGFGNEGVRALMSALSAHKGKTTVLDIGNNNITSEGSLHVAEFIKRTKSLLWLSLYMNDISDEGAEKVADALKQNKTISTVDLGGNNIHSKGVSAIAETLKDNSVVTTLELSYNPIGPEGVKALCDVLKFNGKIQTLKLGWCQIGVSGAEFVADCLKYNTTLSTLDLRANGLGDDGAICLARSFKIINESLTSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLANNFFTKFGQVALSEARDHVYEMSEKEIDIFF >ONIVA06G10160.1 pep chromosome:AWHD00000000:6:7454884:7456401:-1 gene:ONIVA06G10160 transcript:ONIVA06G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HN87] MVFQVQPPWLFLLHLIAIAVLLLAVDSVLPPAPAPPPAVATVFDDNYVATYGGDGYHLVNQGTQISLTLDKSSGAGFRSKLMYGSGFFHMRIKVPAGYTAGVVTAYYLASEPDRDVQDEVDFEFLGDKDGNPITLQTNVFVGGHGDREQRLRLWFDPAADFHDYSILWNPFHLVIFVDETPVRVLKNLTSRGPEFEFPAKPMRPRGSVWDASDWATDGGRTKVDWARAPFTAAFQGFAVDACAAAAGGGVSSDDCGSPDTWWWNGGEYRRLTAAQQAAYDGVRGNLTYDYCTDKSKKRPVPPPECSFT >ONIVA06G10150.1 pep chromosome:AWHD00000000:6:7438806:7450174:-1 gene:ONIVA06G10150 transcript:ONIVA06G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAWGGTTQKCDSCGRTVYPVEELAADGRVYHRPCFRCTHCKATLQFSNYSSVEGVLYCKPHYDQILKSTGSLEKSFEGTSKSAKAEKSNGNKGQPNRFSSMFVGTQDKCVVCNKTVYPLEKVNLNGSSYHKSCFRCTHGGCTLSPSNNVTHEGKLYCKTHHSQLFMVKGNFSNFEDSTPNAKVDIEKQPEHEDATKNPGGPGQGDGLTEKPLESELTPEKPSQDDIVAEKQSQSSVDVPKQSESSTTVQRSEEGERVTKGESNSHVVSKKPLESSVEKPFQSSAVNLQPSGSSAAVRKPWQRNLPTDKPLLSNTTTEKSTPSSAAIEESLPSNGVDAKQPESSTASTVVKRPWQRRVATENLPQSISPSDKPSSTSADDVKPSENSKLIRKPWQRAVAAEAQIQNSGPTEKSSLTNDTKPSESTTSIKRPWEHKVVNEKPLQNNIDTEKPLQNNTDTEKSSSSAIDVKLAETSTTPTVPQEHSGITEKPSQTSADDVKPSESTAAVVKKQWQRNIGFQKQPQSSVTDAKTPESRGMGKRLWQRNVPTEKQSQSGASVVTPSQVSVASTNPLQSSVVVKKPWQRSVSREKEPEKDISSNKPLQNRVLAEEAEKTNVTADNKSQIIQDKKNNGATTENSSQISESAKKLPLTANKSQADTSTEKLSESDILAVASSQITEPSKKPSENTAENEKPSQTDIATDELPLTQSVEAMSEPSPSDAAHQEISEREILTDKLPESTMAVEKLSQTATLTEKPSKEDAAEKLPQTNEPSEQPHESEETAEKPLQNEANAESTTKQSDLSLEKPPQVDANVGNPTEPESDATSGVNSSDAQIRPTAEQLVGPQGIVSAEKTSDQILEANTDPAADQSSESQDVAPAKVATEQPLENQKAAASEQPLEPQHEAYEENPQEHNSDATAKESSEPERDTASDQLAEQPSESRTAGEKATLRESDVVTEDPAEPQIDAAAERSAEVLSESQTGVETPVLHQSDRTNEVPSEPQTDEASDKSTEQPLEPENDASVEDEKPPEIESDDVHDKPSESTPDTETLPHNTPKSSISISEAADGNVSEEAPPQSSASIETPSESAIAVEEPKHDDEASIKPSEDSSALEEPPQDDSASVEPSENTITLEKASEEDEGSVKPSEGNDALEKTLEEDEASAKLPEDSTTFEKPSEKDDAGTKQSEDPVTLEKEPEEDKGSVEPTQDNAGLEKQLEEDEASAKPSEDSVVLDKPSVEDDDSAKPSEDSMDLEKPEAHKPSEEEDGATKSSPEDAAVEEAPLQVSEEGRRREFSMAPKRKPPARAAAAAAAKLDPDGMFRGVSAFVVPHAVQSRRLEVAAPPSNPSQPPPTLSPVLRVSWLSSSVQVWKQRLAQMGGRVQEKLAAKGGGGAVTHVLAADAKALLRELDAAWLHRFRGSVVSFEWLEECLKSGERLPEHKFAINYEEEFKPKKEGGAAGSGVLQSAKRSKISSDGPENRKETAGGNRESRDAIAHPNEDSDVVKGPSTCTSSQSASGDSKETIASQNAFKAEEASSGESSTYAPPDLNRNITEIFGKLINIYRALGDDRRSFSYYKAIPVIEKLPFKIESADQVKDLPAIGKSLKDHINEIVNTGKLSKLEHFENDEKVRTVSLFGEVWGVGPATALKLYDKGHRTLDDLQKDDSLTSAQRIGLKFFDDIKQRIPRHEVSEMEKLLQEVGTDILPGVIIVCGGSYRRGKSSCGDMDIIITHPDGESHVGFLPKFVQRLKDINFLREDLIFSIHSIEGTDCGVDTYFGLCTYPGRELRHRIDLKKVYPRNRHAFGLLAWTGNDVLNRRLRILADSKGYILDDTGLYLATPGSGGKRGGRSDAIINCDTEKDVFDTLGFPWLEPHERNL >ONIVA06G10140.1 pep chromosome:AWHD00000000:6:7436067:7438402:1 gene:ONIVA06G10140 transcript:ONIVA06G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative thiol-disulphide oxidoreductase DCC [Source:Projected from Arabidopsis thaliana (AT1G24095) TAIR;Acc:AT1G24095] MLTRTLAGRVRPLLAAAGLRGYAPRAAADLVVVGHEEPPPRTASSASAAATVSIAATAPTVLQPRVLIYDGVCHLCHRGVKWVIKADKHAKIRFCCLQSKAAEPYLRLVGMDREDVLRRVLFIEGPEAYYEGSTAALKVASYLPLPYSALSSLLIIPAPLRDAIYDYIAKNRYDWFGKDDECIVTKNKELLERFIDREEMLGGGPSNSF >ONIVA06G10130.1 pep chromosome:AWHD00000000:6:7431512:7433247:-1 gene:ONIVA06G10130 transcript:ONIVA06G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G40160) TAIR;Acc:AT5G40160] MGMGQRPRPSGRRPPGGGAASPRSLPPRCSPGSAPRGGKSSEKKRSFEELLLTPEEMAILDQNETPDITKISSPKWHPLHSYALALQIPLMDSLLDSGVDINLLDKDGFTPLHKAVIGKKEAVISHLLRRGANPHVRDRDGATPLHYAVQVGALQTVKLLIKNRVDVNVADNDGWTPLHLAIQSRNRDIAKILLVNGADKTRRTKDGRTALDISLCFGRDFKSYDLAKLVKLVPANRKM >ONIVA06G10120.1 pep chromosome:AWHD00000000:6:7429116:7431102:1 gene:ONIVA06G10120 transcript:ONIVA06G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N-7) methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G24840) TAIR;Acc:AT5G24840] MASGDGANGGGGGGQGKFPRKRFYRARAHSNPLSDSHFPIPISPDEVDLSQHYPRYFPSGEGEARQGDAAVPRIRFADVGCGFGGLLVGLSTLFPDTLMIGMELRDKVTEYVKERILALRASNPGKYDNISVVRTNSMKYIPNYFRKAQLSKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEAIPEEETKADPVVKLLSTATEEGQKVARNGGQTFQAIFRRISLQE >ONIVA06G10110.1 pep chromosome:AWHD00000000:6:7424132:7429396:-1 gene:ONIVA06G10110 transcript:ONIVA06G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDASGVVGEGSGRGVGREYEPEREREPSRVGRAGDSPDRSAAAAAASWAEAGLAASLEPRGLGLGCWRGKWNEAGEMGWAARVGLGPKLQPSSDEMGQVPRRPRAHRLWSAATAATAGGDLGSGGAAMECGGGNTAGDDLGFDRSSGGMRQQRGQARSEERGGGVFARAKIMMDG >ONIVA06G10100.1 pep chromosome:AWHD00000000:6:7413091:7416949:-1 gene:ONIVA06G10100 transcript:ONIVA06G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYKHVGDDARGSSAGVVCCVDVVDDDVDALLCGEDAGELEREGEPAQGSSPSSSLSCAAAAAAAADDDDEDEDEHGVHGEVVQVTPGGEEHCYDYDYDVDVPVGAELVMPACSPPRTAVHRPGWSESVSWILKVRSVHGFQPATAYLAVSYMDRFMSSRSLPDHGWASQLLCVACLSLAAKMEESSAPPLLDLQIEGTRFIFEPRTIQRMELIVLVELDWRLRSVTPFAFVDFFACKVGSSGRSSRILALRACQIILSAIHELEFLNHCASSMAAAAVLFAVNESPAAMSHRSSVSSESAASWCIGLTEERISSCYQLLQRALNATARKRKRHPMILAACSSVTSSSSRSKRRKLDGHFGED >ONIVA06G10090.1 pep chromosome:AWHD00000000:6:7404055:7406482:1 gene:ONIVA06G10090 transcript:ONIVA06G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVVQHPGGRVERLYWATTAAEVMRANPGHYVALVTLRVAEEKRPPPPPPPPPARAERRGTGTGTVRVTRVKLLKPRDTLLLGQAYRLITVDEVTRALQAKKEEKSRRAAAQHHHLESKPAAAAAVAAGVRINSGGDDHTQLDENLDQHDRDGQRSSSATHSRHRQWRPSLHSIAEMQLVVRQVLEICQVRCQHLNSSSPAMNKVW >ONIVA06G10080.1 pep chromosome:AWHD00000000:6:7397079:7399032:-1 gene:ONIVA06G10080 transcript:ONIVA06G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFSCPYLIYCSKLERSHNSTVSRLLQNCKALFGWAGNGWDQGPIPMDHPGEETISAHVKLYVRLSPSVNFSPAHHGVSLCCPPGKKSPTLQRSGKIPREERRRNEDPDATAGAARSGGAQLSPPAATFSPRWEHCSWVTEAHGGISAKIKHLHSICSLALSWLVLFILKKQLNCNHFDYFVTLSCMHL >ONIVA06G10070.1 pep chromosome:AWHD00000000:6:7393248:7395825:-1 gene:ONIVA06G10070 transcript:ONIVA06G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLNTKIRKFQQMVSVELNGKKCSELSSGEGQQVTDWSEVIELEGSFEELNGNVSNADSERSLFEEQYEKDDHDKVTGKECHRKGFTFLRSTGKFESSDEGSTFSDDETILELLPLGPSTSRILVASTSVNDGQNIYASDQPLSTLPPLPDLEIPQRKGPLCIDGDANESDNDDTATTSSQGSYIELHTIQDEYVIGGPSWEAMIVPITGLALEEEEELMIEGQILLANDQKANCLITLFDEEERAMVRMLAALGQPLLTMGTFPTSRSFLLNLPLIFVCKEKDTECSICKSTLATKYRCHVHASLVNTYSICNAY >ONIVA06G10060.1 pep chromosome:AWHD00000000:6:7390348:7391592:-1 gene:ONIVA06G10060 transcript:ONIVA06G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGFSSGDAERGLRGRGGGRERTSARVRGTGGDSAAVADGDGNRHRGVAGKGGGSLYEGRKGLERLRECESLPSTTRRDVFRCSAAAALTGGDARLDGVNCPDARGPCALTTAAGATPPEWAERRPAVSVDYDRGQREARAEVEGVGAVAFPARHRLRVEGSRWQRDWKVLEAAARVLAIPRAEAGAVDAVLNCWTGRFARGNFPLLIRVRASSHALKYFMN >ONIVA06G10050.1 pep chromosome:AWHD00000000:6:7385987:7386256:-1 gene:ONIVA06G10050 transcript:ONIVA06G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQLGSQLGAAAIGVGRRCCDPDAPPLVRCRYARAPSGVGNQGREAAREKIEWEGEVRVSLFPFADMGYWANGPTSRGEDGGGMGWC >ONIVA06G10040.1 pep chromosome:AWHD00000000:6:7380611:7382565:-1 gene:ONIVA06G10040 transcript:ONIVA06G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLLLRLASLPGCPVPTAIRVLRRHLQFDPAHAEHFIAFLVSAGRWREAADHLAAAVNDDCFVSAKGRTKRQLLLDLCHLLAQHPEEVTGLPVDAILRGSVRRFPDEADALWTCLAGHYARVGLHGKARDVFEEGVATATTVKDFRLVFEAYLHFENAMIDVELGEHGDAEENTLGQGCWLADRDDGNMALARLERLLERRPELLLRQNPHDVQAWHARAKLFDEDPARKVATYVEAVKTVDPAKATGKPPPHTLWLAFAKMYEDRGLLDSAREVLRRATQASFKAADHLAAVWCEWAEMELRQHNAIELIRQATSEPSLEVRRQVAAGVGETVVQTKLHRSLKLWCFYADLMETHGSPESTCAVYDRMHELGIITPLLVLRHASLLQEHKRFEDAFRVYERGVRTFKYPHGEAIWAAYLTKFVERHGASKPQRVRDLFDDAVRHAPAEKKAAVYMQYARFEEDFGLAKRVLKLVYEAYVARSTALFGVLKAREIYHQAILHGGGLPDADARVLCLQFADLEIGLGEAHRARALYVYASGFTDPTAHPDFWRRWNDFEVRHGDECTFREMLRVKRTVAAANAGAGAVAQLAEQVLADDAMEQMDAAVAAPKRPLLACAAQQADHASGFDEQCKRRRLVYV >ONIVA06G10030.1 pep chromosome:AWHD00000000:6:7379216:7379840:-1 gene:ONIVA06G10030 transcript:ONIVA06G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVAGPARQRCAQKITLKSNLPEFVTESFHRSKPLLTDLMELGVLAVPFSTDGNTPDLRFDETDDDDADDAAAFFFKKQANVSPDSPVYLSLRPDSRVRGSDVGYPPWQAFVAQLPPVKGMWSDLLNGMDGRVSPWASNRPWRAGS >ONIVA06G10030.2 pep chromosome:AWHD00000000:6:7379216:7379564:-1 gene:ONIVA06G10030 transcript:ONIVA06G10030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPFKSIAASCRLLDGQKKQANVSPDSPVYLSLRPDSRVRGSDVGYPPWQAFVAQLPPVKGMWSDLLNGMDGRVSPWASNRPWRAGS >ONIVA06G10020.1 pep chromosome:AWHD00000000:6:7379045:7379882:1 gene:ONIVA06G10020 transcript:ONIVA06G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKSKLWSATGGGALGARAPWPIARPRGYPPIHPVKKVRPHPLYRWQLRHESLPRWVPDVAATDATVRPEREIPARAVQFRAAYAKTSAISSNKFRKVQLTQGNQKKAAASSASSSSVSSNRRSGVFPSVENGTASTPSSMRSVKSGLLRWNDSVTNSGRFDFRVIFWAHLCLAGPATAADDIYDEEESEKEEEL >ONIVA06G10010.1 pep chromosome:AWHD00000000:6:7366425:7375791:1 gene:ONIVA06G10010 transcript:ONIVA06G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDHGDLDDVGSGWLEVKKKHRSSSKFTLQRSSGGSNDNKISNSSSQSQTNFGSDSAKWCDRLQCSPQITKVNVCVNEPGSVVTMVVHGEECAHADASNLKSELSVSASDHAIEKPKKLLVTEEISEPPNVGKIDCADSPTPHQSSNCSSGLAKSSGFYDHVKGPPMTDSIGVLSNTSVRFGDFDEVPGLALPADACRNNSSSQKHIHIGDATEFINECKDESELKTEPNSCKTIVETSPVIVQGAETLTEDESKVLDICEITDNRLDVSGSPSLDDTVSLSCANNDLEVPVKSSSVASTESQTVLHAPTSADFGGETAGSKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESILVLEEAISDFQELKSRAEHFDNTKKSTTLPKEGMPMAVKADHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQLELARKQAGITTESFASSSSGEVSGSSSKLTTASATVGSISLKVESQVKLSDTEKKIAGERQNRDTIKSGRSPPQNMPSSSAKSRKGSLEPISEVEKHNFRKDKELPENKFDKLRSTDTAKRTTVHLEKEKQNAAPRKSLDAWKEKRNWEDILKSPVRSSRVSHSPGVGRKVPERARVLHDKLMSPEKKKRSALDMKKEAEEKHARALRIRSQLESERVQRLQRTSEKLNRVNEWQAVRSSKLREVMNARHQRSESRHEAYLAQVAKRAGDESTKVNEVRFITSLNEENKKFLLRQKLHDSEMRRAEKLLVIKTKQKEDIAREEAVLERRKILEAEKMQRLAEIQRKKEEAIIRREEERKASSAAREARAAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKYYLEQIRERASMDFRDQPSPFQRRFPSKDNQNRSSSANSGEDSQIISSANTAESGVKSFNSTQMKRRIKKIRQRLMALKHDFVEPLIGENTGIVHRSALGTAKAKLSRWLQDLQRLRQARKEGAASIGLIVSDMTKYLEGKDLELHASRQVGLLDFIASALPASHTSRPGACQVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSVSLENYIKVAASNSGSSNIQSSKTSTEYMESVGEVLDGFFWTVTVIVGHVYLNDQQLQMQGGLIELIVAYQIIHRLRDLFALYDRPQVEGSPLPSSILFGLNLLAVLTSKPGNFSTIDWESCKCRILAGNLVQEYEYLCSQDIGMGNQLMISDQSGDVKLPSTKSDLLKCDECDPSELIKENKSLDHHKFNIPGDNISVYEASKDSGSMPEMQSSDTLEVHSVIPCQGDAADGTLERKKGSTTCLHDSPGKDNEINLNQPVVLVLSAMAETGLVSLPSLLTAVLLQANNRSSSEQASAILPSNFEEVATGVLKVLNNMACLDITLLQCMLARSDLKMEFFHLISFLLSHCMNKWRVPNDQVGLLLLESLLLLGYFSLFHAGNQAVLRWGKSPTILHKVCDLPFVFFSDPELMPILATALIAVCYGCDQNRSVVQQEISTEMLRSLIKSCKTPGLAASDSILLDGWGTNSSSDNTQILLDTRNPQGDISIRSNRKSARPVLGKGVSGVIRLSRNKGQRDGRGARIGDDGPLKQRAGETSSNFMLHRKIPASFLDKAEEFFCNENDTAANITN >ONIVA06G10000.1 pep chromosome:AWHD00000000:6:7363250:7364908:-1 gene:ONIVA06G10000 transcript:ONIVA06G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTNFVQNHHLQNSQFFLLPKRQLVTYALYALIPLALLHYLLFNPVATAKKPVVVVVQATDDASVIASSHHEHVKVNAKQLPVPPSDQGDEVFKKNAIAGEVAAAAACDYSDGEWVPDARPPLYNGTSCATIKDGQNCMAHGRPDTGYLHWRWRPRRCDLPAFSPEAFLGWLRGKHLAFVGDSLARNQAESLVCLLASRSTPELVHRDGEENRFRRWAFREHDATVSIFWSPFLVKAAEKAERAGVRHNNVFLDAFDERWMSGLGGLDAVVLSIGHWFLIPGIYHDAGEVVGCHDCAEFNHTETPFFAVFRQAVHRTLAEITRRHVLAAGAGTSKSKSKVVAFTTFSPAHFEGEWDKAGACNKTRPYKNGEKEAGYTEAEMRKTVVEEVAAADAAAAGGGGAGLRFAALDVTTLANLRPDGHPGPYMRGDPFAGGGGGARVQNDCVHWCLPGAIDTFNEILLQTITR >ONIVA06G09990.1 pep chromosome:AWHD00000000:6:7361385:7362030:-1 gene:ONIVA06G09990 transcript:ONIVA06G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLASPRHGHGTNDGVGCLKSLCHSEETLLMETMTEKLKVTTSALGAQRTGVG >ONIVA06G09980.1 pep chromosome:AWHD00000000:6:7356408:7357766:-1 gene:ONIVA06G09980 transcript:ONIVA06G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREPPRQGHGATRLSCPTPATTTTTTTSNLCSFLNRAVSAWLVCAVLSLFLFNLLWFYPVDAPWNVVISGEGKRPSMAMAGGGGEEARCDYSEGRWVAAPGRARRYNGTACNVKESERCVGNGRPDTGYLDWRWQPASCELPAFDVAAFVGAARGKHVAFVGDSMARNQAESLVCLLATAFPYTLVYRDPHPRERKFWRWAFPAHNVTVSVYWAPFLARSTGKTDDYRKPRNDVYLGALAERWSADADTMDVVVISQGHWFWIPTVYHDAATGEVVGMHNVTGLKNTGDIGLFAPYRRTLRMALERLVGSGAGNRTRARTVVVATFSPSHFEKAWDDPTTCARTRPYDDGEKEVGADERELRSIAMEEVAAAAARRGAAAGGGESRVEVLDVTKLATMRPDGHPGVYMHRDPFARGVPKRLQVDCLHFCLPGPVDTFNEILLQLLISKRR >ONIVA06G09970.1 pep chromosome:AWHD00000000:6:7341084:7356194:-1 gene:ONIVA06G09970 transcript:ONIVA06G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTLYPLFYAYDEPPLRHHHGGVQPIRDIFTSLIYVALCLALLYLLCLTPRGSPENAVSALLRHVTIASSGEGRGGGGGGGGCDYSEGRWVAAAGHARRYNGTACDVKGSEDCARNGRPDTGYLDWRWRPASCELPAFDAAAFLAAARGRHVAFVGDSMARNQAESLVCLLAAAAFPYRLVYRDREPGTRKFWRWAFPTHGVTVSVYWAPFLAMAAGRPENFSVQHNLVYLDTLAERWSADADTMDVAVISTGHWFWNPTVYYHHNGGEVLGVHNLPELNHTEIGFFSPYREAIRMSLERLLGSAAAGRRGRTVVVTTFSPAHFEKEWDDPATCARTRPYEDGEKEVGGIEGELRNIAIEEAAAAAAAARSRVEVLDVTRLATMRPDGHPGVYMHRDPFARGVPERLQSDCLHFCLPGPMGAYQPLQHHHGGGAAGYFLPRTAVTWLAAACLSLALLHLLCCSPPGGHQAVFSPLLQYFNGNGTYSSNISSSGVEERSSAAASCDYSVGRWVRAPGHARRYNGTACNVKPEQDCVGNGRPETGYLDWRWQPASCELPAFDAAAFLAAARGRHVAFVGDSMARNQAESLHCLLAAAFPHEVVAQDAERYKRQFTRWSFPSHGVTLSTYWAPFLVRSGGKPFNYTMPYNLVYLDELGNRWDADAGTMDVVVLTAGHWFWNPAVYHRRGEVVGVHAHPELNATEIGFTSPYREAFRRALERLGSDGRRRTVVLGTFAPPHFDGKPIFDPTACTRTEPYRDGEKEVGSIEREMRSIVFEEAAAAAAAAATMRVEVEDVTRLATMRPDGHPGVYMHRDPFAGGGARPERMQTDCLHSCLPGPVDTFNEILLQILSRQRKFIPNMWEGDERACATHRQGVMPCERKDERGENKSGGLHMGPTVFFFISYI >ONIVA06G09960.1 pep chromosome:AWHD00000000:6:7329207:7334606:-1 gene:ONIVA06G09960 transcript:ONIVA06G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPHQGKLRRDVKEEALDDGDAAARAEASPFHKRSRLALQHWSTDGGSVSNQQSSQHGFLDEPSPLGLRLKKSPSLVDLIQMKLVQAGKAKDVQHSGTASASEKLKASNFSGSVLRIGSWEWVSRYEGDLVAKCYFAKHKLVWEVLDGGLKSKIEIQWSDICAMKVVSPENEPGILEIALSRQPLFFRETNPQPRKHTLWQATSDFTGGQASIHRRHFLQCPPGMMNKHVEKLVHCDPRLYSLSQQNDINLDNPYFESKSSIFEDAEGIKGQDFEHKDDGDQLAPQRFTELLPPHSASGRIDTEARQLSGTPDKLLQHFPCSVSGTQVIKQDAASGDCERQESIYNWNGIKVPGIRRSITQIIGDGDGDGSMGKLTFDELTRQLLNDSQITNAADERMLMSRVNSLCSLIQRDSGSGQTNPSSSIHGDNEMQERKPQPYALPVSADSGSNTSLPPRQESFGDLLTHLPRISSFPHFL >ONIVA06G09960.2 pep chromosome:AWHD00000000:6:7329207:7334606:-1 gene:ONIVA06G09960 transcript:ONIVA06G09960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPHQGKLRRDVKEEALDDGDAAARAEASPFHKRSRLALQHWSTDGGSVSNQQSSQHGFLDEPSPLGLRLKKSPSLVDLIQMKLVQAGKAKDVQHSGTASASEKLKASNFSGSVLRIGSWEWVSRYEGDLVAKCYFAKHKLVWEVLDGGLKSKIEIQWSDICAMKVVSPENEPGILEIALSRQPLFFRETNPQPRKHTLWQATSDFTGGQASIHRRHFLQCPPGMMNKHVEKLVHCDPRLYSLSQQNDINLDNPYFESKSSIFEDAEGIKGQDFEHKDDGDQLAPQRFTELLPPHSASGRIDTEARQLSGTPDKLLQHFPCSVSGTQVIKQDAASGDCERQESIYNWNGIKVPGIRRSMSKSEIANHIGNHIYRQMYSGNLPAVHRGDSTSSKVTLDGITRFLLGSTQIIGDGDGDGSMGKLTFDELTRQLLNDSQITNAADERMLMSRVNSLCSLIQRDSGSGQTNPSSSIHGDNEMQERKPQPYALPVSADSGSNTSLPPRQESFGDLLTHLPRISSFPHFL >ONIVA06G09960.3 pep chromosome:AWHD00000000:6:7329207:7334606:-1 gene:ONIVA06G09960 transcript:ONIVA06G09960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPHQGKLRRDHWSTDGGSVSNQQSSQHGFLDEPSPLGLRLKKSPSLVDLIQMKLVQAGKAKDVQHSGTASASEKLKASNFSGSVLRIGSWEWVSRYEGDLVAKCYFAKHKLVWEVLDGGLKSKIEIQWSDICAMKVVSPENEPGILEIALSRQPLFFRETNPQPRKHTLWQATSDFTGGQASIHRRHFLQCPPGMMNKHVEKLVHCDPRLYSLSQQNDINLDNPYFESKSSIFEDAEGIKGQDFEHKDDGDQLAPQRFTELLPPHSASGRIDTEARQLSGTPDKLLQHFPCSVSGTQVIKQDAASGDCERQESIYNWNGIKVPGIRRSMSKSEIANHIGNHIYRQMYSGNLPAVHRGDSTSSKVTLDGITRFLLGSTQIIGDGDGDGSMGKLTFDELTRQLLNDSQITNAADERMLMSRVNSLCSLIQRDSGSGQTNPSSSIHGDNEMQERKPQPYALPVSADSGSNTSLPPRQESFGDLLTHLPRISSFPHFL >ONIVA06G09940.1 pep chromosome:AWHD00000000:6:7298569:7301190:-1 gene:ONIVA06G09940 transcript:ONIVA06G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSALDLRPSRMIIQEGCPRSAQTRLHPRSPPSWTQGFRLAASLLPCLLAELEDASSVQIKHSSHYARMVALYTILCMVGEEANKK >ONIVA06G09940.2 pep chromosome:AWHD00000000:6:7298569:7301190:-1 gene:ONIVA06G09940 transcript:ONIVA06G09940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSALDLRPSRMIIQEGCPRSAQTRLHPRSPPSFTSLGQFQASSVQIKHSSHYARMVALYTILCMVGEEANKK >ONIVA06G09930.1 pep chromosome:AWHD00000000:6:7296917:7298118:1 gene:ONIVA06G09930 transcript:ONIVA06G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCGIGTGVTILRHNDYYDYVLVELFSHQDTRTHLASNKWIQKEVLLPIPASNQDKDDVTWPPTYSFRADMVFAVLTTSLCWVDLRTGILVCDHIDKLSTGTDDDDDHLLLFFISLPEECVMKPSLLSWKRSAEEHRTMICRDPETILFISMDNYIQGLPIGDTVLTTWTLKFPLTNHWTWEKHSAPSLFVGDLLNDLPVLKLKNPRMIGRRCTLPTARLTITEYERKHEQGHWGVTGFCTRSALTWMVERSWSGLLLKVATQKIFAAEFNCCLQQRSWSSTFKSLSDEEVVDEDDENWK >ONIVA06G09920.1 pep chromosome:AWHD00000000:6:7291005:7291202:1 gene:ONIVA06G09920 transcript:ONIVA06G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGGWRAHWGGLRRADRGRKTATAMGKEDAGDGGGRRRRRGRKTAAAMGEEGLPASSSSPRRR >ONIVA06G09910.1 pep chromosome:AWHD00000000:6:7280221:7291573:-1 gene:ONIVA06G09910 transcript:ONIVA06G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT5G24670) TAIR;Acc:AT5G24670] MRRRRLGASPRCPGVPRPAPPPSTPRTATPPGAPHEEGLRQICPYHGEREEGGESRRGESNGVGCSRRRRAATREERAPSVLPLAATRRGRRRRLPCELVFMAWELTEVPGNPTPSLRGSTVEVIAAKIEPKLANSLIRQLSQACPLENLRHVKRVRRCCEYGEKSELSIILCLATGPEHCSEMFPQDVKKIVGTYELNTFIAKVARFPATSKEEWEEQCKLWPTSYHPPHDLDGVSGFKECELPSIFNCMRTALRLSEVGNAAVVVDPSTMQIIAKATDQTLQHDSLKSNKCAELNSDSPFSSLEVTEKKGSRLFLSNSNVSKCNSLNMEASCLNPWGWMKPRPSEQKSLPCEGGFPWHPLRHAAIVAIENAAERDKVMFPSIISSTKPNSDGNMEYYSVNESAKRLKVDRNDDKKIAHEAICDDLSETRPYLCTGFDIYLVWEPCSMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHRYSVFRLR >ONIVA06G09910.2 pep chromosome:AWHD00000000:6:7280629:7291963:-1 gene:ONIVA06G09910 transcript:ONIVA06G09910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT5G24670) TAIR;Acc:AT5G24670] MTYFRRHSQESDRATADAPSSTRRLPTLSRRPAARAATLHSPHRDASRSSTRSLPCELVFMAWELTEVPGNPTPSLRGSTVEVIAAKIEPKLANSLIRQLSQACPLENLRHVKRVRRCCEYGEKSELSIILCLATGPEHCSEMFPQDVKKIVGTYELNTFIAKVARFPATSKEEWEEQCKLWPTSYHPPHDLDGVSGFKECELPSIFNCMRTALRLSEVGNAAVVVDPSTMQIIAKATDQTLQHDSLKSNKCAELNSDSPFSSLEVTEKKGSRLFLSNSNVSKCNSLNMEASCLNPWGWMKPRPSEQKSLPCEGGFPWHPLRHAAIVAIENAAERDKVMFPSIISSTKPNSDGNMEYYSVNESAKRLKVDRNDDKKIAHEAICDDLSETRPYLCTGFDIYLVWEPCSMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHRYSVFRVKVPESYSNSSGDCSDKC >ONIVA06G09910.3 pep chromosome:AWHD00000000:6:7280629:7291573:-1 gene:ONIVA06G09910 transcript:ONIVA06G09910.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT5G24670) TAIR;Acc:AT5G24670] MRRRRLGASPRCPGVPRPAPPPSTPRTATPPGAPHEEGLRQICPYHGEREEGGESRRGESNGVGCSRRRRAATREERAPSVLPLAATRRGRRRRLPCELVFMAWELTEVPGNPTPSLRGSTVEVIAAKIEPKLANSLIRQLSQACPLENLRHVKRVRRCCEYGEKSELSIILCLATGPEHCSEMFPQDVKKIVGTYELNTFIAKVARFPATSKEEWEEQCKLWPTSYHPPHDLDGVSGFKECELPSIFNCMRTALRLSEVGNAAVVVDPSTMQIIAKATDQTLQHDSLKSNKCAELNSDSPFSSLEVTEKKGSRLFLSNSNVSKCNSLNMEASCLNPWGWMKPRPSEQKSLPCEGGFPWHPLRHAAIVAIENAAERDKVMFPSIISSTKPNSDGNMEYYSVNESAKRLKVDRNDDKKIAHEAICDDLSETRPYLCTGFDIYLVWEPCSMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHRYSVFRVKVPESYSNSSGDCSDKC >ONIVA06G09900.1 pep chromosome:AWHD00000000:6:7264768:7266526:1 gene:ONIVA06G09900 transcript:ONIVA06G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFFFPLLPFLCFSFLSSSLLSQAEPEPVPPRANPTPRLRTLALSSCRPARATRPHTLPRGRTTNERRRDTSSFPPPYLLQNADVGPVVGVSEISAPGGDDLYDCIEPEGCKTCTLP >ONIVA06G09890.1 pep chromosome:AWHD00000000:6:7259608:7260555:-1 gene:ONIVA06G09890 transcript:ONIVA06G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQRSTPPFYNFLKEGFLLPSRNRSLFVAVFLLVVASTSVLLLVNDLAVQPIAVEILLDAKALNTTDPMSPDFTKLVKEIQDDTRELMIATAAYSLFAVVIGFAIRIIILFGAVATFSGEQHTFGTLLGKARTQLKGPLLTLAFVFVLEIAYVALLVAMAGLLAFLMVKKYYVPFLLLSLFVLVGFIFLVYFSVLCSFSVVVSVAEPWCHGAGAFGRAWRLVKEKKRRAVLFVAAISVLAAIVSAVYKLSMAGARSSIVAGLLLGLVYAILMGAVELFGVCSLTAFYYECKGSNEVVATDQYVRVSTDEQPKP >ONIVA06G09880.1 pep chromosome:AWHD00000000:6:7256795:7259193:1 gene:ONIVA06G09880 transcript:ONIVA06G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIMQQLAAAATATALCPEPSLMAMTTAGADGGRHRDAAWQEATPPRGLRQPRPPLAHPPPLPLVRPRLPPLLPPVLHPRPLQLAAGPPGFPPRGPRRRREPWRGRPGTGRGRSDGSGGGGDGASVYGAAGQGEEPAEAPACASMDATRLSSNAAGKRAGVGQDGFVRPGGMAVPATAAKMAPPSKVFFKEA >ONIVA06G09880.2 pep chromosome:AWHD00000000:6:7256795:7259193:1 gene:ONIVA06G09880 transcript:ONIVA06G09880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIMQQLAAAATATALCPEPSLMAMTTAGADGGRHRDAAWQEATPPRGLRQPRPPLAHPPPLPLVRPRLPPLLPPVLHPRPLQLAAGPPGFPPRGPRRRREPWRGRPGTGRGRSDGSGGGGDGASVYGAAGQGEEPAEAPACASMDATRLSSNAAGKRAGVGQDGFVRPGGMAVPATAAKMAPPSKVFFKEA >ONIVA06G09880.3 pep chromosome:AWHD00000000:6:7258238:7259193:1 gene:ONIVA06G09880 transcript:ONIVA06G09880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIMQQLAAAATATALCPEPSLMAMTTAGADGGRHRDAAWQEATPPRGLRQPRPPLAHPPPLPLVRPRLPPLLPPVLHPRPLQLAAGPPGFPPRGPRRRREPWRGRPGTGRGRSDGSGGGGDGASVYGAAGQGEEPAEAPACASMDATRLSSNAAGKRAGVGQDGFVRPGGMAVPATAAKMAPPSKVQVKEEPVDSAFIHPAGKRAGVGQDGFVRPGGMAVPATAAKMAPPSKVQVKEEPVDSDMRTGWARYGFF >ONIVA06G09870.1 pep chromosome:AWHD00000000:6:7250278:7252455:1 gene:ONIVA06G09870 transcript:ONIVA06G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSANFSSCFTFLKEALVLPTQNPKLFTPVFLLIALPSFLVLSTNVLFVQPLSMDMAELAIKLQTTDPSSAEYRMILEELKHDVTQLILVVVAVELVALVLGFVNQCVGFFAASSTYSGDRYSLPELLRKAMKGNLKGPLITIAMVTVLRVTYMALLGVLIYSVMQVQRHYLIKVLSVQVLLFVLCFLAFLYFNVVGMVSVAVSVGDTERRGIRALRQAWRLMTRVRRKEGLVLVVAICLLSIAVSPVNLVAAAYTKNMVLGLCLLVVYALLSGAEQLFYFAAATVYYCQAMDSKGEAMDYAYAKIPTVIKTTTALIVMLITTRVLDKQHHERFHSPGITSSVDMFCSLSPEAGKRNRRIYCIQLSDRVLMDASGDGATGMMSCSPSLIWPEMHELNCRWAV >ONIVA06G09870.2 pep chromosome:AWHD00000000:6:7251711:7252455:1 gene:ONIVA06G09870 transcript:ONIVA06G09870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSIRPASPPASICSAPFLLFFLFYTSFTPMEAGKRNRRIYCIQLSDRVLMDASGDGATGMMSCSPSLIWPEMHELNCRWAV >ONIVA06G09860.1 pep chromosome:AWHD00000000:6:7245907:7249115:1 gene:ONIVA06G09860 transcript:ONIVA06G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASMAPQRWSVVAMAVKAAGSVRSGPHLRFLAWTSLRAPYYASKIATNLDYNFRLQGSLSARLSSCQARSTNLVAQLKNSMLRIRDGGRARQDGVDDEAGEEEEEPKLEHDKHEGHCMAGSAATSNLGSEI >ONIVA06G09850.1 pep chromosome:AWHD00000000:6:7234384:7236073:-1 gene:ONIVA06G09850 transcript:ONIVA06G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVEHSGSSLPKKLATFALCAIFTLSLIYFSPPLIISSTTNLLSQFQTRARARTTDLSTHLPGVAVWKQCDYSDGKWVWDGDHGGAAGGGGSRYDSENCDMKMTYKCVINGKPDGGYLHWRWQPASCNLPALDPAAFLRLLRGKRLAFVGDSTARNQAEALVCHLATAARPVTVRRDEERLGRKFWRWAFPSPHDVNVSTYWSPFLVRSEGHSEDYGMAHEVVVLDALTEPWASDLAAMDVMVISAGHWFPHSAVYYDDGEIVGVHGRPDMNRTEMSAPSVYRKVLRRTLEHVINATMADKLELVVVETIAPAHFDGRYSWNHRDACSRQRPYDGDVDGEAKVGDTEAELRKAVLEEVAAAATAARRRRPGLRFEVLDVTRLAAMRPDAHPGVYIYKNAYGGGPVPETAANDCLHWCAPGPVDTFNDILMQMIAGG >ONIVA06G09840.1 pep chromosome:AWHD00000000:6:7232145:7232663:1 gene:ONIVA06G09840 transcript:ONIVA06G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAKSGQPHPLANCGPTHYPSHISRSPLPSLSPPAQPIPTGSVPGHNRRRCLRPCPHPAPPPLSPAKSGAAASIAANVPSHNRHHSLCRVPPPAAPPPPRRRLMPRPPRRHPPPISSPLTSPPQPWWPRSSAVRSTSAACSPPLPALAPSYSPSASSPAYHILSGFTTTTQ >ONIVA06G09830.1 pep chromosome:AWHD00000000:6:7232130:7234330:-1 gene:ONIVA06G09830 transcript:ONIVA06G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHAALHGLCVCVDGGSDHENPKLSWHGFYVAVALFGGEVDGGGGGVVLLGGGGEAGEDVVGGGGRRRGVGGRQRRKGRGARGGGGAHGGGAWPPWLGRRGERRGYGRRMTTRRPRHQTTTRRRGGRRRRDAAEGVMPVVAGDVGGYGCGSAGLGWGQRRRCRMWTRTEATAAVVAGDGAGGDGLCGRRERRKRGARYVGGIVRGATVGQRMWLARFGQFHGIFS >ONIVA06G09820.1 pep chromosome:AWHD00000000:6:7211336:7222903:-1 gene:ONIVA06G09820 transcript:ONIVA06G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAAAGGMAPLPPSSSPPSCKAGGGGGSPRWSLLHGGGGEWGVVVRRNVVKSSLLLLLVFSTFFVFSVLRSSQTSLVPPPPAAAAGPGEPALAQSGHDVAGDDGGEHVAVAVNNVAAETQSTPDDISLPSTNSSAAAVPTTTNKAEQQQTGANNMEEKCDMSMGKWVREPKGPVYTNTTCPTLPDFKNCQKHGKDPGHLYWRWQPHGCDLPRFSPDRFLAAAETPTEVYRDAHDKFQTWRFAAHEFTLMVMWTEFYVHAEPVVGADGKPTPSFDIHLDRLSANWTRRLPELDYAVISGGNWFNRPNYLWEGGRRVGCVKCGGAANLTDVGVPYAVRRVVRAAVEGIARCTGCKAGLVAFLRTFSPDHFEHGAWFSGGYCNRTRPLEEDEVSPDSAAWELRRVQREEVMRVKETAAAAAAASGNARRFEVLDVTKAMMLRADGHPGAAIDKRWQKNIVSDCLHWCMPGPVDIHGTGKWMLQQQRSEAVEEEKKKQPWAVGKNAALFAFFVVVLPTVMILAGVSHTPAAAAATTRLGWTMLGAVQKRAEENNNSCDTSVGRSVRDPSGPAYTAATCPTLPASKNCHKYGKDPGHLYWRWRPDGGCDDLPRSSPARFLAAVRGKRLAFIGDSLARNHMESLLCLLSQAEAPTKVSADDDGVREWRFPAHGFTLMAITTRFLARADEVLGGDGRPTASFDVHLDAPDPVWASRRLRELDYAVFSTGNWFFRVNYFSEGGRRVACSGCSGDADAGELADFGVAHAVRRVVRAALEATARCGDGDCKRGLVAFVRTYTPSHFEHGSWFDGGYCNRTRPLEEDEAPSWDQSIGWDVRRAHIEEVTSARKTTPATTTRFEVLDVTKAMMLRADGHPGRHYDKRSAGGGANDCLHWCIPGPIDMWNDVLLHKIAETASPPATNLRCGPRALEKTFT >ONIVA06G09820.2 pep chromosome:AWHD00000000:6:7211336:7220316:-1 gene:ONIVA06G09820 transcript:ONIVA06G09820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAIGEVFLSIERIHGTGKWMLQQQRSEAVEEEKKKQPWAVGKNAALFAFFVVVLPTVMILAGVSHTPAAAAATTRLGWTMLGAVQKRAEENNNSCDTSVGRSVRDPSGPAYTAATCPTLPASKNCHKYGKDPGHLYWRWRPDGGCDDLPRSSPARFLAAVRGKRLAFIGDSLARNHMESLLCLLSQAEAPTKVSADDDGVREWRFPAHGFTLMAITTRFLARADEVLGGDGRPTASFDVHLDAPDPVWASRRLRELDYAVFSTGNWFFRVNYFSEGGRRVACSGCSGDADAGELADFGVAHAVRRVVRAALEATARCGDGDCKRGLVAFVRTYTPSHFEHGSWFDGGYCNRTRPLEEDEAPSWDQSIGWDVRRAHIEEVTSARKTTPATTTRFEVLDVTKAMMLRADGHPGRHYDKRSAGGGANDCLHWCIPGPIDMWNDVLLHKIAETASPPATNLRCGPRALEKTFT >ONIVA06G09820.3 pep chromosome:AWHD00000000:6:7220420:7222903:-1 gene:ONIVA06G09820 transcript:ONIVA06G09820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAAAGGMAPLPPSSSPPSCKAGGGGGSPRWSLLHGGGGEWGVVVRRNVVKSSLLLLLVFSTFFVFSVLRSSQTSLVPPPPAAAAGPGEPALAQSGHDVAGDDGGEHVAVAVNNVAAETQSTPDDISLPSTNSSAAAVPTTTNKAEQQQTGANNMEEKCDMSMGKWVREPKGPVYTNTTCPTLPDFKNCQKHGKDPGHLYWRWQPHGCDLPRFSPDRFLAAAETPTEVYRDAHDKFQTWRFAAHEFTLMVMWTEFYVHAEPVVGADGKPTPSFDIHLDRLSANWTRRLPELDYAVISGGNWFNRPNYLWEGGRRVGCVKCGGAANLTDVGVPYAVRRVVRAAVEGIARCTGCKAGLVAFLRTFSPDHFEHGAWFSGGYCNRTRPLEEDEVSPDSAAWELRRVQREEVMRVKETAAAAAAASGNARRFEVLDVTKAMMLRADGHPGAAIDKRWQKNIVSDCLHWCMPGPVDMWNEMLLQRLTEISTLDQDASIFEAP >ONIVA06G09810.1 pep chromosome:AWHD00000000:6:7209630:7210958:-1 gene:ONIVA06G09810 transcript:ONIVA06G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGKWERCIGGRSKPMSRQRTQEALRRAPGDSEVDRKEKPWAARWKASLIATCLVALPALVFLAVGGGMPSAVTVLGGAGAARAMAECDVSRGRWVREPRGPSYTNVTCSTVADYVNCQKFGKDPGYLYWRWRPDGCELPRFSPATFLAAVRGKRLAFIGDSLARNHMESLLCLLSQAETPTDMHAGAFVDAFRRWRFPEHDFMLMAVWTEFLVHAVPVVAGRRTGPFDVHLDRINADWTRRLPELDYAVISNGNWFFRANYLWEGGRRVGCVDCGEPGLAHFPMAYAVGRVVGAALDAIAGCADCKRELVALVRTYTPDHFEHGSWFSGGYCNRTRPLEEEEVSSGAIAWELRAAQIEEVRKAREKVTTTMRTRRRFGVVDVTPAMMARADGHPGEHHRRWRGRNANDCLHLCLPGPIDMWNDVLLRRLAELLPPSDAR >ONIVA06G09800.1 pep chromosome:AWHD00000000:6:7204963:7208941:1 gene:ONIVA06G09800 transcript:ONIVA06G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLVGTKLDLREDKHYLLDHPSLVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIKPPTKQRDRKKKKTRRGCSFFCKGVMSRRRLVCFK >ONIVA06G09790.1 pep chromosome:AWHD00000000:6:7194575:7201320:1 gene:ONIVA06G09790 transcript:ONIVA06G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVEDDEFWKGVSPLEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVINHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKSDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLISQKYTGEKAHVKILRNSKVLEFNIKLATHKRLIPAHIKGRPPSYYIVAGFVFMVVSVPYLRSEYGKDYEYDAPVKLLDKHLHAMAQSPDEQLVVVSQVLVADINIGYEEIVNIQVLSFNGKPVKNLKHLATMVEDCNEEYLKFDMDYDQLVVLEAKTAKAATQDILTTHCAISV >ONIVA06G09780.1 pep chromosome:AWHD00000000:6:7184119:7191616:-1 gene:ONIVA06G09780 transcript:ONIVA06G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSDDVQSNSSKSWRFGGPAFHMHIEDGKTLMSKCNTAAAARGEERRGASKKAWKAVSTSINSSGGETVAI >ONIVA06G09770.1 pep chromosome:AWHD00000000:6:7177749:7178161:-1 gene:ONIVA06G09770 transcript:ONIVA06G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIFGKGEGLYNIFGAHELLIFGAVLASNLDQNEGLMTEARSMGHGTKGPIPKGISGKKESSPLHWKILPV >ONIVA06G09760.1 pep chromosome:AWHD00000000:6:7168076:7169995:-1 gene:ONIVA06G09760 transcript:ONIVA06G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLSPVAVTHLLQHTLRSLCTSGDDSQWVYAVFWRILPRNYPPPKWDLPGGAYDRTRGNRRNWILAWEDGFCNFAATSAACGDGAAAAYAAAECEETKQVGVAGGGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFKEPQEQEINLISSWSNPADSHPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKVAEDLSYVVALRRKFGYLESIPGVLLPHPSSSAAAFPGGPPDAAGWPAGMMVSPPVPPELYVDPYGGAAAGAGPPPSMQIMPSMSSLEALLSKLPSVVPAAAAPSPPPGSSSMPPTGAAAASSAPPKEEAAEDDYVHCHGMDMATSSTNGGGESTGGAPLPSSYFVNVGVKPSEGF >ONIVA06G09750.1 pep chromosome:AWHD00000000:6:7156547:7165990:-1 gene:ONIVA06G09750 transcript:ONIVA06G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGQRIRAIIVVAWAIDRREIDLTEPDRDHGERGEELSIKVVGHNLGALLAVLAANELHACLATDTERDITDHHRLPLPIAVVSFNDPNIDNRVFIDHLQN >ONIVA06G09740.1 pep chromosome:AWHD00000000:6:7153910:7154915:1 gene:ONIVA06G09740 transcript:ONIVA06G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRGLCPDAYSYAKDD >ONIVA06G09730.1 pep chromosome:AWHD00000000:6:7148351:7151825:-1 gene:ONIVA06G09730 transcript:ONIVA06G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Defective-in-cullin neddylation protein (InterPro:IPR014764), Protein of unknown function DUF298 (InterPro:IPR005176), UBA-like (InterPro:IPR009060); BEST Arabidopsis thaliana protein match is: Domain of unknown function /.../8) (TAIR:AT1G15860.2); Has 857 Blast hits to 855 proteins in 202 species: Archae - 0; Bacteria - 0; Metazoa - 482; Fungi - 154; Plants - 139; Viruses - 0; Other Eukaryotes - 82 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G12760) TAIR;Acc:AT3G12760] MHKLGRGSRDKVQQFMTITGASEKVALQALKASDWHLEGAFDFFYSQPQISLMNSRHLEDLYNRYKEPDVDMIMVEGVSQFCTDLQVDPQDIVMLVISWHMKAATMCEFTRQEFIGGLQSIGVDSIEKLREKLPSLRAEIKDDHKFREIYNFAFAWAREKGQKSLALETALGMWQLLFAERHWPLIDHWCQFLQVRHNKAISRDTWSQLLEFVKTIDPQLSNYDEEGAWPYLIDEFVEYLTENGFVQLRK >ONIVA06G09720.1 pep chromosome:AWHD00000000:6:7142935:7144227:-1 gene:ONIVA06G09720 transcript:ONIVA06G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSRTERVGGGGGAMVEVAFASSAGSSAAAPRRLRGELVVRDAIPYAGVAPPPPALPLPQPQLQPQVQATTSGGGGGGKISPAVLFIIVILAVVFFISGLLHLLVRLLMKKQHRRGGGVSRSAAGDDAGGGGDAALQRQLQQLFHLHDSGLDQAFIDALPVFAYREIVVGGGGDGDKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLHCIDTWLLSNSTCPLCRGVLFVPGLTENNPMFDFDEGLEEGRLSEDCDNGFGYPGHKATEGMQTPGTEKRVFPVRLGKFKNVGTQGPVEGGGIGNANGAVLRREEGESSSSSLDARRCFSMGTYQYVLGTSELRVSLQPDRIRNGGGGVTRARPTGLSSVNAEIMEGKKICARNKGESFSVSKIWQWSNLKGKLPTGSDECSEAGSLPWMKRGGIGDTSNM >ONIVA06G09710.1 pep chromosome:AWHD00000000:6:7135303:7135935:1 gene:ONIVA06G09710 transcript:ONIVA06G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGHGGAMAVSAAAAGMDEADAAFFSRRGNRCCCFWGPWASSSYSRAGGPAAAAEEWWHRVGGGGGERRRWWRRGVDALMKVREWSELVAGPRWKTFIRRFRRSPRHHHHGGGGGGGGGGRKLNYDPLSYALNFDEGHGGACSPEGDYAGYRDFSTRFVAPPPPAAASAKSSMDFGGRDAPPLFHHPPPQQPHPHPHPPSPSAARG >ONIVA06G09700.1 pep chromosome:AWHD00000000:6:7134786:7135046:-1 gene:ONIVA06G09700 transcript:ONIVA06G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLQKWNPDVSVVRRIHGCEVWWRNHCCPVLLRRVTDIWGIREWDMAVSGPTGLASYHLTKMWGQGVGPEDSGDTVEKWPLHGTH >ONIVA06G09690.1 pep chromosome:AWHD00000000:6:7123544:7124651:-1 gene:ONIVA06G09690 transcript:ONIVA06G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAGYMYTVRFGGLIRSETFVPPSSPSSQKLLSNPNPSPLPTRSRRRRHRHRLPLELVKPEPPPSSQARGASGYRRCLPIDFLRVRCPTVAAISVSFPIPRRLWFLQDLCPHRAPTSTRPADIFGSTNERITVHQTSKKYMGSDGTFLREGIYEFNGKILSISPYSSSCLAFLTYLHCSPIFSYIRTICMSPHQSCCI >ONIVA06G09690.2 pep chromosome:AWHD00000000:6:7123943:7124651:-1 gene:ONIVA06G09690 transcript:ONIVA06G09690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAGYMYTVRFGGLIRSETFVPPSSPSSQKLLSNPNPSPLPTRSRRRRHRHRLPLELVKPEPPPSSQARGASGYRRCLPIDFLRVRCPTVAAISVSFPIPRRLWFLQDLCPHRAPTSTRPADIFGSTNERITYVCHVC >ONIVA06G09680.1 pep chromosome:AWHD00000000:6:7111799:7114323:-1 gene:ONIVA06G09680 transcript:ONIVA06G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT5G16710) TAIR;Acc:AT5G16710] MAVLLRTTTSATTATSGSSSSATALLATTFRRGGRRLLLLPATRGSAPRRAALLTARASAEPLEVCAKASLTVPDRLGDCPFTQRVLLTIEEKHLPFLKISPEGKVPIVKLEEQWVADSDVITQAIEEKYPEPSLATPPEKASVKDPNDGTEQALLSELTSFDSYLKDNGPFINGETISAADLSLAPKLYHMEIALGHYKNWSVPDSLSHVKKYMKTIFSMDSFVKTIALQEDVIAGWRPKVMG >ONIVA06G09670.1 pep chromosome:AWHD00000000:6:7096716:7097198:-1 gene:ONIVA06G09670 transcript:ONIVA06G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSSTISAVGVLGTSFLSTTIVDHLRGGRPWEPLPSTPSSSTLSAAGSSGATAAAVIDLICAGELGSRRRRCCPRRGRLRAAPPLPRSGEEGHRRCLWRWRRVEGQLRAADGGCVGPRAPPPSAPSFIAGAADARHPSRLSARRGEEERWKGRGKSDI >ONIVA06G09660.1 pep chromosome:AWHD00000000:6:7087389:7090635:1 gene:ONIVA06G09660 transcript:ONIVA06G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0E0HN26] MPTPSHLPHPHWLPRLPPLLHPPTRRTLNPASPPHTTHRRREEVVVFLGCKMITGADFYHVMTAMVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTLWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDADVVSLDGRRDMIETEAEVKEDGKIHVTVRRSNASRSDVYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGVRTGATPRPSNYEEDAAAPNKAGSKYGQYPAPNPAMAAPPKPKKAANGQAKGEDGKDLHMFVWSSSASPVSDVFGNGAEYNDAAAVKEVRMAVASPRKADGVERDDFSFGNRGVAERDAEAGDEKSVAAAVSGEHGKPGLTPAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIILKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYSVHPDILSTAVIFGMLIALPITLVYYILLGL >ONIVA06G09650.1 pep chromosome:AWHD00000000:6:7063774:7069692:1 gene:ONIVA06G09650 transcript:ONIVA06G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G66430) TAIR;Acc:AT1G66430] MALHAAPPPPPPFTAGRLPTTSRRPCFSAGRIFRCSLPAAAARPRNAAFLAPLRTSSAVCTKAVSNSDGTPGTSSSPHVVCFGELLIDFVPTVNGVSLAEASAFKKAPGGAPANVAVGIARLGGSSAFIGKVFQPSSFSFKIKRLFFHYTLFNIQHMQVGDDEFGYMLADILKENNVNNQGLLFDAHARTALAFVTLRNDGEREFMFYRNPSADMLLEEKELDLDLIRKAKIFHHGSISLITEPCKTAHIAAAKAAKDAGVLISYDPNLRLPLWSSADDARDGILSIWETADVIKISEEEVSFLTKGEDPYDDSVIKKLMHPNLKLLLVTEGPEGCRYYSKEFNGRVGGLKVNAVDTTGAGDAFVAGILSQLSVDFSLLQDEGRLKEALKFANVCGALTVTERGAIPALPTRQQVVDALTKVVA >ONIVA06G09640.1 pep chromosome:AWHD00000000:6:7044480:7053875:-1 gene:ONIVA06G09640 transcript:ONIVA06G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGTARQYCDSSSFLSLFSSLLFHSPPHPKPCWIHRPTTTSIGSGGGGDGGGSGMKNFLRKLHIGDSAGDGASSLAPPPPVSKKGGGGGGGGGGGGAQHEHKHGSGISSWLSSVTGRPQTQPSPSPSPSRPFAADAVVEAEAAALASSVEVRRLEVEEEEEKARRESREESVRKREMEKEKQEAELEEYHMQLALEMSAREDPEATQIEVAKQISLGSCPLQSSPAEVVAFRYWSFSALSYDDKILDGFYDIFVIGDEPTLPTIPSLTKLHQQPFSHASKTEAVLVNRAQDTKLVQLEQKALIMAVEVRSKTPEFVGHNLVQRLATLVSDYMGGPVIDPESFLSKYQNVSSSLRASIRSAVMPLGELTIGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDDGALSIVKFNDGREYIVDLMSDPGTLIPSDGAGLGREFEDSLFADSHHVNKDDCNTQLGSSFSEVSSSMYGSFENESLEKVSTPSNFGHSDPYGITTGQTGSQGSAVSGSFGELSISTSTSENLPVIHESRNTDHTMSTQSKDKSSAANNSSSSSPSSSEVGGAPAVRRMKVKDVSEYMISAAKENPQIAERIHAVLLENGVVPPPDLFSEESREQPKDLIVYDTSLFQTKDEMIKRMNELESTTNADLCHGPSVPHPPGHELQTKAVPYRIPLDLKPIQGLGTYHPSDSRNSTGSSHMYEPSAPPQEDPLQLIKQMPVAAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATTAAVNKQYEYLEPGCQLLSLPSSSGANELIPKGRHDFWDNQFEIDHGQTSVPEKEKDLVEVPQEAERVSDKSVGTESSRSDIALDGVAEFEIQWEEITLGERVGLGSFGEVYKGEWHGTEVAVKKFLQQDISSDALDEFRTEFQIMKRLRHPNVVLFMGAVTRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERRRLRMALDVARGMNYLHNCSPVVVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELFTLLQPWEGMNPMQVVGAVGFQQRRLDIPAHVDPTIAEIIRRCWQTDPKMRPSFSEIMSSLKPLLKNTPANQPQRQRVQRADG >ONIVA06G09630.1 pep chromosome:AWHD00000000:6:7037676:7040550:-1 gene:ONIVA06G09630 transcript:ONIVA06G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYYRGGGGARDHADEPDDFDEYDPTPYGGGYDLFITFGRPLPPSDETCYPCSAPSTSYDAPHYSADEPSPYAHHSKPQPAYGFRPQHEQQQQPSYASSGYRPQHEQQQSYGSSGYGSKPQPAYGFRPQAEEENTYGSGYGSGYGGGGRKQQEEESYGSGYGRKPQVEESYGSGYGTKPQQEESYGSGYGSGYGTKPQQEESYGSGYGRKPQQEESYGSEYGSGYGRKPQAESYGSGYGSRPQQGGEEYGSGGYGRKAQEESYGSAGYGGRKTEEESYGGGSGYGYGKKAQEESEGTYGSGGYPKPKPYGQEETQGSYGYGYGEKPAYESGGYNKPSYGGGDEYQGGYGRKKHDDNDSDDEKKQRYQKHHHHRRQEYDD >ONIVA06G09620.1 pep chromosome:AWHD00000000:6:7035691:7036173:1 gene:ONIVA06G09620 transcript:ONIVA06G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAVAASRLVSSCGPCWLDVAVESSGAAPEEICRARSRLHFQDRARFQGKRRNITKLKGMAVEDDGLAVDGAHERVARRQRQAKAVGMEAGSESAPVAWAKQAYG >ONIVA06G09610.1 pep chromosome:AWHD00000000:6:7030394:7032717:-1 gene:ONIVA06G09610 transcript:ONIVA06G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPAAAMTRAEEESRRRAATRLPRLLRGVVSGMLTGIFAVAGGLTGAVTGALAGRASDGGVLRGAGLGAFAGAVLSIEILEASRAYWCQDRSSSPGSLSMGDFVKQLIHARFVQEQNEASGHITYRWQVGIADVVNGAVHEILGDVPSGEGLSKYSLMKLPYHVVIDHNNGSIGESLSCPICLQDVVVGETVRRLPKCSHTFHQPCVDKWLVGHGSCPLG >ONIVA06G09610.2 pep chromosome:AWHD00000000:6:7030394:7032717:-1 gene:ONIVA06G09610 transcript:ONIVA06G09610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPAAAMTRAEEESRRRAATRLPRLLRGVVSGMLTGIFAVAGGLTGAVTGALAGRASDGGVLRGAGLGAFAGAVLSIEILEASRAYWCQDRSSSPGSLSMVGIADVVNGAVHEILGDVPSGEGLSKYSLMKLPYHVVIDHNNGSIGESLSCPICLQDVVVGETVRRLPKCSHTFHQPCVDKWLVGHGSCPLG >ONIVA06G09600.1 pep chromosome:AWHD00000000:6:7022936:7023322:-1 gene:ONIVA06G09600 transcript:ONIVA06G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAPAIALVMVAYCAALWAAELVGSTAGIFLPDSGAVALLLTVAVLFFLAVALLQLQVAATGGDDDDAPSSVRVQCSRNHRGNVAVRRLAVVIYLHGYGRSLHYCRAVHGRVPVYVFFLHHEVHA >ONIVA06G09590.1 pep chromosome:AWHD00000000:6:7015066:7018228:1 gene:ONIVA06G09590 transcript:ONIVA06G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFQEEEAPPRQQQQPASAAAAAGSGDEVLAAELERRGGAIPFLQAAIDVARRRSDLFRDPSAVSRVTSMASAARAVVEAEERKAREAKRKAEEAERKAAEAERKAKAPAEPKPESSAGKDSMEVDKKEEGNVRKPNAGNGLDLEKYSWIQQLPEVTITVPVPQGTKSRFVVCDIKKNHLKVGLKGQPPIIDGELFKPVKVDDCFWSIEDGKSLSILLTKQNQMEWWKSVVKGDPEVDTQKVEPENSKLADLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQDMLKKFMAQHPEMDFSNAKIA >ONIVA06G09590.2 pep chromosome:AWHD00000000:6:7015066:7018966:1 gene:ONIVA06G09590 transcript:ONIVA06G09590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFQEEEAPPRQQQQPASAAAAAGSGDEVLAAELERRGGAIPFLQAAIDVARRRSDLFRDPSAVSRVTSMASAARAVVEAEERKAREAKRKAEEAERKAAEAERKAKAPAEPKPESSAGKDSMEVDKKEEGNVRKPNAGNGLDLEKYSWIQQLPEVTITVPVPQGTKSRFVVCDIKKNHLKVGLKGQPPIIDGELFKPVKVDDCFWSIEDGKSLSILLTKQNQMEWWKSVVKGDPEVDTQKVEPENSKLADLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQDMLKKFMAQHPEMDFSNAKIA >ONIVA06G09580.1 pep chromosome:AWHD00000000:6:7014032:7014299:1 gene:ONIVA06G09580 transcript:ONIVA06G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGANRVHELPDNDAEWETAVAVKLMCSGYKELASSLRNKKFCKGVRVHTFSLPRHHEVYVVG >ONIVA06G09570.1 pep chromosome:AWHD00000000:6:7009188:7011895:1 gene:ONIVA06G09570 transcript:ONIVA06G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HN15] MEGSEEHGETSKAPLSRGVSKGVFILDVILRFVAIIGTLASAIAMGTTNQTLPFFTQFIRFKAQYSDLPTLTFFVVANSIVCAYLILSLPLSIVHVIRSRAKYSRLILIFFDAAMLALVTAGASAAAAIVYLAHKGNARANWLAICQQFDSFCERISGSLIGSFAAMVVLVLLIFLSAIALARR >ONIVA06G09560.1 pep chromosome:AWHD00000000:6:7004308:7008395:1 gene:ONIVA06G09560 transcript:ONIVA06G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELSGKTTTATTKKMKAAFEARREDKPLGRPSLRPEELRRDRSSSKPVVLAVSQAIGGELDGDGLRGGEEMESLRKWKRKCPNISCDVTRLLG >ONIVA06G09550.1 pep chromosome:AWHD00000000:6:6995218:7000984:-1 gene:ONIVA06G09550 transcript:ONIVA06G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEEGEGKHLQGQQASLTGADEAITADPSNANLQVGTKPSMSKEHAMRFPLVGSAMLLSLCTFYSSFSRKTWSMLFSLPSLALLLSAPPPPPLHRPVPITDRRLHSPAAATASASPSRCHLHLPPSPSLATSLCAFASPSHGLHLLCRYCRVTFSWRLCLCPRTVAIAVASAAVSASATAMTVAAASFVAIANGHCFALRCCCHRRNSAGDRTDVRQGNQHGGKKRGFEELVEQVNRTDELLVELMLGNTCWCIELSKIRSATNGKMVLNEVQTEVYYDKNGITSVMASEYRRHVI >ONIVA06G09550.2 pep chromosome:AWHD00000000:6:6994599:7000984:-1 gene:ONIVA06G09550 transcript:ONIVA06G09550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEEGEGKHLQGQQASLTGADEAITADPSNANLQVGTKPSMSKEHAMRFPLVGSAMLLSLCTFYSSFSRKTWSMLFSLPSLALLLSAPPPPPLHRPVPITDRRLHSPAAATASASPSRCHLHLPPSPSLATSLCAFASPSHGLHLLCRYCRVTFSWRLCLCPRTVAIAVASAAVSASATAMTVAAASFVAIANGHCFALRCCCHRRNSAGDRTDVRQGNQHGGKKRGFEELVEQVNRTDELLVELMLGNTCWCIELSKIRSATNGKMVLNEVQTEVYYDKNGITSVMASEYRRDY >ONIVA06G09540.1 pep chromosome:AWHD00000000:6:6988924:6996191:1 gene:ONIVA06G09540 transcript:ONIVA06G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGADGPTAAAAAAVRWRGGESLLLLLLRWPSSAELVAAWGAARASAVAPALAAASAACLALSAMLLADAVLMAAACFARRRPDRRYRATPLGAGAGADDDDDDEEAGRVAYPMVLVQIPMYNEREVYKLSIGAACGLSWPSDRLIVQVLDDSTDPTVKTWYDKLRKTLVQQAHPAQADMGLVELECKSWGNKGKNVKYEVRNTRKGYKAGALKEGLLRDYVQQCNYVAIFDADFQPEPDFLLRTIPYLVRNPQIGLVQAHWEFGTAGVWRISALEEAGGWKDRTTVEDMDLAVRAGLKGWKFVYLADVKVKSELPSNLKTYRHQQHRWTCGAANLFRKVGAEILFTKEVPFWWKFYLLYSFFFVRKVVAHVVPFMLYCVVIPFSVLIPEVTVPVWGVVYVPTTITLLHAIRNTSSIHFIPFWILFENVMSFHRTKAMFIGLLELGGVNEWVVTEKLGNGSNTKPASQILERPPCRFWDRWTMSEILFSIFLFFCATYNLAYGGDYYFVYIYLQAIAFLVVGIGFCGTISSNS >ONIVA06G09540.2 pep chromosome:AWHD00000000:6:6988924:6996191:1 gene:ONIVA06G09540 transcript:ONIVA06G09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGADGPTAAAAAAVRWRGGESLLLLLLRWPSSAELVAAWGAARASAVAPALAAASAACLALSAMLLADAVLMAAACFARRRPDRRYRATPLGAGAGADDDDDDEEAGRVAYPMVLVQIPMYNEREVYKLSIGAACGLSWPSDRLIVQVLDDSTDPTVKTWYDKLRKTLVQQAHPAQADMGLVELECKSWGNKGKNVKYEVRNTRKGYKAGALKEGLLRDYVQQCNYVAIFDADFQPEPDFLLRTIPYLVRNPQIGLVQAHWEFGTAGVWRISALEEAGGWKDRTTVEDMDLAVRAGLKGWKFVYLADVKVKSELPSNLKTYRHQQHRWTCGAANLFRKVGAEILFTKEVPFWWKFYLLYSFFFVRKVVAHVVPFMLYCVVIPFSVLIPEVTVPVWGVVYVPTTITLLHAIRNTSSIHFIPFWILFENVMSFHRTKAMFIGLLELGGVNEWVVTEKLGNGSNTKPASQILERPPCRFWDRWTMSEILFSIFLFFCATYNLAYGGDYYFVYIYLQAIAFLVVGIGFCGTISSNS >ONIVA06G09530.1 pep chromosome:AWHD00000000:6:6985534:6986193:-1 gene:ONIVA06G09530 transcript:ONIVA06G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPGPKITLATLACGPANLFPALRPTSPFLAHTGSVFPPPRPPSLSLLEHQSRRWNEQKPQQSQEPLRQIKIQTWQLVFLNLPAIHLHSPHIWRSLSRLQRFSPSALSCRHLRLQAQLVWRHRRAPLDLHASTVWWSLEVVDPKGKSYYSNEEDIRLVCVLYPS >ONIVA06G09520.1 pep chromosome:AWHD00000000:6:6979112:6984349:1 gene:ONIVA06G09520 transcript:ONIVA06G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0HN08] MSSAVVASSTTFLVALASSASRGGPRRGRVVGVAAPPALLYDGRAGRLALRAPPPARPRPRRRDAGVVRRADDGENEAAVERAGEDDDEEEEFSSGAWQPPRSRRGGVGKVLKRRGPVPPVGRYGSGGDAARVRGAAAPAPAPTQDAASSKNGALLSGRDDDTPASRNGSVVTGADKPAAATPPVTITKLPAPDSPVILPSVDKPQPEFVIPDATAPAPPPPGSNPRSSAPLPKPDNSEFAEDKSAKVVESAPKPKATRSSPIPAVEEETWDFKKYFDLNEPEAAEDGDDDDDWADSDASDSEIDQDDDSGPLAGENVMNVIVVAAECSPWCKTGGLGDVAGALPKALARRGHRVMVVVPRYGDYAEAQDVGIRKYYKAAGQDLEVKYFHAFIDGVDFVFIDAPLFRHRQDDIYGGNRQEIMKRMILFCKAAVEVPWHVPCGGVPYGDGNLVFLANDWHTALLPVYLKAYYRDNGMMQYTRSVLVIHNIAYQGRGPVDEFPYMELPEHYLDHFKLYDPVGGEHANIFGAGLKMADRVVTVSPGYLWELKTTEGGWGLHDIIRENDWKMNGIVNGIDYREWNPEVDVHLQSDGYANYTVASLDSGKPRCKAALQRELGLEVRDDVPLIGFIGRLDGQKGVDIIGDAMPWIAGQDVQLVLLGSGRRDLEVMLQRFEAQHNSKVRGWVGFSVKMAHRITAGADVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVSAFDPFEDTGLGWTFDRAEPHKLIEALGHCLETYRKYKESWRGLQVRGMSQDLSWDHAAELYEEVLVKAKYQW >ONIVA06G09510.1 pep chromosome:AWHD00000000:6:6975047:6975694:1 gene:ONIVA06G09510 transcript:ONIVA06G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVEYATKTDVPAPPSVCCDGFKSLVEMAPICLCHGINGNIGKFMPAPIDLTRMMSLPATCGVTPPVEALTKCFRNGTRATVDACSYSCCCSISISRAISLIYSNKMDAHKLGAIK >ONIVA06G09500.1 pep chromosome:AWHD00000000:6:6966506:6968320:1 gene:ONIVA06G09500 transcript:ONIVA06G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74460) TAIR;Acc:AT1G74460] MACKKQKSKLMAFSLAMVVVVLLLLGRCRGDVVQFIFGDSLSDVGNNDYLTKSLARAALPWYGIDFDTGMPNGRFCNGRTVADIVGDKMGLPRPPAFLDPSLDENVILKRGVNFASGGGGILNETSSLFIQRFSLYKQIELFQGTQEFMRRKVGKAAADKLFGEAYYVVAMGANDFINNYLLPVYSDSWTYNGDAFVRYMVTTLEAQLRLLHSLGARRLTFFGLGPMGCIPLQRILTSTGACQEPTNALARSFNEQAGAAVARLSSSLANATFRFGEAYDYFQDIIDRPAAHGFNNSRAPCCSLGRVRPTLTCTPLSTLCKDRSQYVFWDEYHPTDRANELIALETLRKLNITVSANNSTST >ONIVA06G09490.1 pep chromosome:AWHD00000000:6:6955955:6965211:1 gene:ONIVA06G09490 transcript:ONIVA06G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MDKTTTSDLVLDNDNIGSNAGSAQEPLTTNGKTSGVRNRYKQTVKRGRKGSQISPSKTYPLRSSHSNVRVLRSASKKKNETPIVPTNDNTAVQRVAKKRKRSKPLRPAPSRVLRSTSEKKNKAHNELLNDGAGVQPAEKKRKVGRPPKGGTPKDDYLMIRKRVRYVLNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKVEILRCKSRIREAFRNLDSLLSEGKLDESMFDSAGEISSEDIFCAACGSKDVTLKNDIILCDGICDRGFHQYCLNPPLLAEDIPQGDEGWLCPACDCKIDCIDVLNELQGVKLSIHDSWEKVFPEAASFLNGSKQIDASDLPSDDSADNDYDPTLAQGHKVDEEKSSGEDGGEGLDSDDSSSEDSESSEKEKSKTSQNGRTVDDLGLPSEDSEDGDFDPAGPDSDKEQNDESNSDQSDESDFTSDSDDFCAEIAKSCGQDEISGPSSSQIRTVNRTDGSSFDGEPNAENSNLAFMETELEQDMVVPISSKRQVERLDYKKLYNEAYGKASSDSSDDEEWYGNSTPEKGNLEDSETDSLAESPQGGKGFSRRAPVRYHNNEHTPQNVSPGGSVSDQQTEVLCSNSNGSTAKNRHFGPAINQKLKAHFKEDPYPSRATKENLAQELGLTFNQVTKWFSSTRHYARVAATKKENNIENHTAENNNNTNTVDSIQLRGSNDIVSVDRNDMVSEERTGQSNLNEGTPLRSDTSCGLSVAVTPMVHPENQGNDSSSNVRTPNAKNAEKLIPGLENSDEARRKAVQRELRKMKTGR >ONIVA06G09490.2 pep chromosome:AWHD00000000:6:6955981:6965211:1 gene:ONIVA06G09490 transcript:ONIVA06G09490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein HAT3.1 [Source:Projected from Arabidopsis thaliana (AT3G19510) UniProtKB/Swiss-Prot;Acc:Q04996] MDKTTTSDLVLDNDNIGSNAGSAQEPLTTNGKTSGVRNRYKQTVKRGRKGSQISPSKTYPLRSSHSNVRVLRSASKKKNETPIVPTNDNTAVQRVAKKRKRSKPLRPAPSRVLRSTSEKKNKAHNELLNDGAGVQPAEKKRKVGRPPKGGTPKDDYLMIRKRVRYVLNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKVEILRCKSRIREAFRNLDSLLSEGKLDESMFDSAGEISSEDIFCAACGSKDVTLKNDIILCDGICDRGFHQYCLNPPLLAEDIPQGDEGWLCPACDCKIDCIDVLNELQGVKLSIHDSWEKVFPEAASFLNGSKQIDASDLPSDDSADNDYDPTLAQGHKVDEEKSSGEDGGEGLDSDDSSSEDSESSEKEKSKTSQNGRTVDDLGLPSEDSEDGDFDPAGPDSDKEQNDESNSDQSDESDFTSDSDDFCAEIAKSCGQDEISGPSSSQIRTVNRTDGSSFDGEPNAENSNLAFMETELEQDMVVPISSKRQVERLDYKKLYNEAYGKASSDSSDDEEWYGNSTPEKGNLEDSETDSLAESPQGGKGFSRRAPVRYHNNEHTPQNVSPGGSVSDQQTEVLCSNSNGSTAKNRHFGPAINQKLKAHFKEDPYPSRATKENLAQELGLTFNQVTKWFSSTRHYARVAATKKENNIENHTAENNNNTNTVDSIQLRGSNDIVSVDRNDMVSEERTGQSNLNEGTPLRSDTSCGLSVAVTPMVHPENQGNDSSSNVRTPNAKNAEKLIPGLENSDEARRKAVQRELRKMKTGR >ONIVA06G09480.1 pep chromosome:AWHD00000000:6:6946829:6953027:1 gene:ONIVA06G09480 transcript:ONIVA06G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCDFRCGPHAREEAAYEPRARKTRHAGAGARACAWLELGKKALGRSDRHGVRPCSRLAAYVVKASVRGCVTAYRGHDPLRPRPSRQFSHQPTKPHRDRDSDVSATGQSRKIEGKSHLHPAAGARVGRLVRPWRGLEAASSSSSSSSPLRQWPRRAPAATAASAQSFKFPCASASPLPPAGLGAHCAARCFISLSLRGWLPPQASGSGGLASDELGVVWRWCLAWLVGLLTSDQLAKTVLSDEEMARYVILDSPLAEKSLQYVFQQNNTTPLHWLDVPNPPAVQNPQNISQEAGVAWRELNAALEYDESAVSVNGSTHQKSKEKQCPYSIRRMNATRSGDRFVLKIPCGLIQGSSITIIGTPGGLLGSFKIELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEDRCPSPGSDAKDIAKVDELEKCGSMVGNDQKQAWATKLKSNVSSIQPAWKKNTEPKKYFPFRQGYLAIAILRVGAHGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSGKAAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILLSLDRVNISHGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEEGYVVAHYQEPRDMMCLWDKFLKTKRGTCCKE >ONIVA06G09480.2 pep chromosome:AWHD00000000:6:6947132:6953027:1 gene:ONIVA06G09480 transcript:ONIVA06G09480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWHGGFVIVSLFIILMLRYVILDSPLAEKSLQYVFQQNNTTPLHWLDVPNPPAVQNPQNISQVISTELLASNLSITRNFSDRELQSLHSWNHLKDLVSHAHILPDGVEAIKEAGVAWRELNAALEYDESAVSVNGSTHQKSKEKQCPYSIRRMNATRSGDRFVLKIPCGLIQGSSITIIGTPGGLLGSFKIELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEDRCPSPGSDAKDIAKVDELEKCGSMVGNDQKQAWATKLKSNVSSIQPAWKKNTEPKKYFPFRQGYLAIAILRVGAHGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSGKAAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILLSLDRVNISHGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEEGYVVAHYQEPRDMMCLWDKFLKTKRGTCCKE >ONIVA06G09470.1 pep chromosome:AWHD00000000:6:6941648:6944147:-1 gene:ONIVA06G09470 transcript:ONIVA06G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDEEAIVAIGSSITTSVALLANVAKHGDLQRRLQAVVVIMEIVTFTK >ONIVA06G09460.1 pep chromosome:AWHD00000000:6:6935034:6938336:-1 gene:ONIVA06G09460 transcript:ONIVA06G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 6 [Source:Projected from Arabidopsis thaliana (AT5G15250) TAIR;Acc:AT5G15250] MSPTAMSLTTTTSRLPIGRAQGGGVAKEKRTTPPPAKITPPPSSSSEAAGLSRRRLLQSAGLGLGLGLTAARPARAEATAPEEVTSNRMSYSRFLEYLDAGAVKKVDFFENGTVAVAEVDDAAALSRVHRVKVQLPGLPAELVRKLRDKGVDFAAHPVEPSAGVMLLDLLVNFGFPLLFVASLLWRSPTMNNPGGGPSLPFGLGKSKAKFQMEPNTGVTFDDVAGVDEAKQDFQEIVQFLKFPEKFTAVGARTPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFDRAKASAPCLVFIDEIDAVGRQRGAGIGGGNDEREQTLNQLLTEMDGFGGGDGGVVVIAATNRPEILDAALLRPGRFDRRVSVGLPDVRGREEILLVHGANKRLDPGVSLAVVAMRTPGFSGADLANLMNEAAILAGRRGKDRITVSEIDDSIDRIVAGLEGTSMTDGKSKMLVAYHEIGHAVCATLTAGHDEVQKVTLIPRGQARGLTWFLPGEEDPALVSRQQIFAGIVGGLGGRAAEEVVFGEPEVTTGAAGDLQQVTRVARRMVTAFGMSEIGPWALAEPAAQGGDVVLRMLARSSMSERLAADIDAAVRTIVDEAYEVAKAHVRRNRAAIDQLVDVLVEKETLGGDEFRAILSEHVDIGKERRETAARTEQLATA >ONIVA06G09450.1 pep chromosome:AWHD00000000:6:6930181:6935837:1 gene:ONIVA06G09450 transcript:ONIVA06G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRPPPVPAAAHRRATATAAGAAPAPAPAAADDLVMRHNRSLAALLRAGRYGAARRLFDALPARSVVTWNSLLAGLARRPDARAAREFFDAMPVRDAVSWNTLLAAYSASPHPDHLAAARRLFDEMPQRDVVTWNTLLGAYARRGLMDEARRLFDEMPQRNAASWNTMVTGFFAAGQVVKALDVFDAMPAKDSASLSTMVSGFTKNGMLHEAEELLTKRLSVTDMDKAVDAYNTLIVAYGQAGRFSDAKRLFDMIPKGQYQHNMLKRKGFERNVVSWNSMMICYIKAGDVCSARALFNEMPDKDLVSWNTMISGYTQASDMKEAEKLFWEMPDPDTVSWNLIIQGFMQKGEAEHARGFFDRMPERGTISWNTMISGYEKNGNYISSVKLFSKMLEVGEIPDRHTFSSVLAACASIPMLGLGAQIHQLVEKSFVPDTAISNALITMYSRCGALNDAEAIFKQMHTKKDLVSWNALIGCYEHHGRATKALQLFKEMRRAKVMPTHITFVSLLSACVNAGLVSEGRMVFDTMVHEYGIVARIEHYAALVNLIGRHGQLDDALEVINSMPMAPDRSVWGAFLGACTAKKNEPLAQMAAKELSTINPDSSAPYVLIHNLHAHEGKWGSAAVVREEMERQGVYKQPGYSWIDLEGKMHVFISGDTWHPNAQEIFSVLEDWQWHNPMSLEMNQLTQVKLIAKRNNQYIFSGCSPLKSLSKEWSPAKNIASWWPYCTSLWPDSYLLEEEALFSSLSFPSFHPQPVYSTVMQSNVLQDELGVIFEDDVLKYWDEMEQSENKVEKSEKGLPLLYYGDENGAASKIMRDDVRSEEKALTFELVSQYFYMPITQAARELNVGLTLLKKKCRELGIPRWPHRKMKSLQTLINNVQVLQEASKANNEEQLRMLVEMLQEERRLLEQKPYVQLEEKTKRLRQACFKANYKKRRLLALEAGEP >ONIVA06G09440.1 pep chromosome:AWHD00000000:6:6924860:6928638:-1 gene:ONIVA06G09440 transcript:ONIVA06G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSSQKHGNDDVDTGAEAAMDQLAGRSSSSPAPEKTRRRPEKSGTVWTATAHIVALLIGSSVLAVAWTFAQLGWVAGPAVVVALSVVTYYSSALLADCYRDDDPVHLGGGGAVHGEYIAAVRSYLGPKSVTFCGIIQYGVLWAAMVGYTITSSSSMSAVRRVNRFHRNWLAAGDGDGGGGGGGATGVRYMVVFGAFQLLLSQLPSLENVAWLSVIAVATSFGYSSICLGLCAAKWASHRGGVRGTLAGAAAGSPGEKVFNVLLADTVRTPPSESKTMKRASLYGLAMSAVFYLVLGASGYAAFGDDAPSNILTGAAFHEPFWLVDVANACVVVHFLGAYQVIAQPVFARLEAYVGGRWPESRLVTASYELRLRVPAWTSAPPTAVTLSPARMALRAAVIVATTAVAAMMPFFNAVLGFIAALGFWPLAVYLPVSMHIARVKIRRGEARWWALQGASAALLVVAVGMGVASVRDMVQRLNEAAPFKTTG >ONIVA06G09430.1 pep chromosome:AWHD00000000:6:6920178:6920915:-1 gene:ONIVA06G09430 transcript:ONIVA06G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAHDGSCCNQREVRGCGGADARKEAASAHGSLGGGSGDGKWEPKQRERWQRQRLGGRLAGEQWSGGAQVGGAVVAAACGRASGGSDGGGSGNEADLEMVQRRGGLGDRSDSAEARQHWIYRLRWCSASGKERTVVAVLLLILNGKDSGRRWWLSVSSKERTEAAALLLLAPNREDGDAVEGCGAVMLLLFDPNEEDDDERRRGAHRPGRGKSSPVWAWEFDRLAYPP >ONIVA06G09420.1 pep chromosome:AWHD00000000:6:6914151:6917392:-1 gene:ONIVA06G09420 transcript:ONIVA06G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLELGRPLSAAAAAAYPPPLRRSINDDDVDDDGKPKRTGTEWTASAHIVTAVVGSGVLSLAWSTAQLGWVAGPATLVVFAVITYYTSVLLADCYRAGGDQVSGKRNYTYMDAVESYLGGRQVWFCGLCQYVNLVGTAIGYTITASISAAAVYKSNCFHKNGHSADCSVFTTSYMVVFGVVQVFFSQLQSLHEVAWLSVLAAVMSFSYSAIAVGLSLAQTISDVDLSHKIWQALQALGNITFAYSYSLVLIEIQDTIRSPPAESKTMRKANALAMPVITAFYTLCGCLGYAAFGNAAPGNMLTGFGFYDPYWLVGLANACIVVHLVGAYQVMSQPVFTAVESWASSRWPRCGFFVTGGGGTRLISVNAFRLAWRTAYVVACTAVAAVVPFFNDVLGLLGAVGFWPLTVYFPVEMYIRRRKLERSSKRWVALQSLNAVCFVVTLASAVASVQGIAESMAHYVPFKSKL >ONIVA06G09410.1 pep chromosome:AWHD00000000:6:6909270:6912563:1 gene:ONIVA06G09410 transcript:ONIVA06G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNTPPKSGTSFLKTCFNGVNALSGVGILSMPYALSQGGWLSLAIFITIAAICFYTGILLQRCIDSSSLVKTYPDIGELAFGRKGRITVAAFMYLELYLVAIDFLILEGDNLEKLFPNASFFSSFHRIAGGTRQGFVLLFALLVLPTTWFRSLDLLAYVSLGGVLASAILVASVLWVGAADGVGFREGGVAVRWGGVPTAMSLYAFCFSGHAVFPMIYTGMRNRRMFPHVLLICFIICTLAYGVMGVIGYLMYGGSLRSQVTLNLPARKLSSSIAIYTTLINPFTKFALLITPIAEAIEGVLGLGTATTGGKPAQYRAAAVSVSVRTALVVSTTAVALAVPFFAYVVALTGSFLSATATMLLPCACYLRISSRASGKLGVLEIVACVGIIVLGLGVIVIGTYSSLKQIVQSF >ONIVA06G09400.1 pep chromosome:AWHD00000000:6:6873824:6877796:-1 gene:ONIVA06G09400 transcript:ONIVA06G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAPSRTNSRVNYSNEIHDLSTVQSVSAVPSVYYPEKSFADIFPPNLLKKVISEVVATFLLVFVTCGAASIYGEDMKRISQLGQSVVGGLIVTVMIYATGHISGAHMNPAVTLSFAFFRHFPWIQVPFYWAAQFTGAMCAAFVLRAVLYPIEVLGTTTPTGPHWHALVIEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAGPVSGGSMNPARTLAPAVASNVYTGLWIYFLGPVVGTLSGAWVYTYIRFEEAPAAAGGAAPQKLSSFKLRRLQSQSMAADEFDNV >ONIVA06G09390.1 pep chromosome:AWHD00000000:6:6868880:6869197:1 gene:ONIVA06G09390 transcript:ONIVA06G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETDEEEEADSPSLVRTGGGGLSVSAGNGDEREELEIPLRKVLEMAGSSRLGTTLAEELEPILMPRDIVVAPPGRGGRQSGEEESAAVATLMKRRGGRSGGVRR >ONIVA06G09380.1 pep chromosome:AWHD00000000:6:6838261:6839040:1 gene:ONIVA06G09380 transcript:ONIVA06G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTAAVAAAAQPKEVKLYGAWGSAHAAMARNALELKGVRYEYVEEDLERKSETLLLRLNPAHAGKVPVLVVVDDDGGGGGCPLAESLVILEYVDEVWPQAPRLLPPPSSPRARAAARFWARFFHGEVSPLSRAAAVLAPTPEERAEAVREMKARMAVMEAGFERDFPSSVVGGPFVHGATPGLLDVILGSCAAGTRAISAMAGEEVVEPDALPHVHASMAAFDERVAGFGTSVAHELLLARLLEREERRRAAASASA >ONIVA06G09370.1 pep chromosome:AWHD00000000:6:6827663:6830237:-1 gene:ONIVA06G09370 transcript:ONIVA06G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMZ1] MAGGRAFRPSAPRRAAFAALLTLLLLATLSFLLSSPPPTHASHRSSYLGASPPSRLAAIRRHAADHAAVLAAYAAHARRLKEASAAQSLSFATMSSDLSALSSRLASHLSLPEDAVKPLEKEARDRIKLARLLAADAKEGFDTQSKIQKLSDTVFAVGEHLARARRAGRMSSRIAAGSTPKSLHCLAMRLLEARLAKPSAFADDPDPSPEFDDPSLYHYAVFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFARRPPPLGVHVQLLAYSDFPFLNETSSPVLRQIEAGKRDVALLDYLRFYLPDMFPALQRVVLLEDDVVVQKDLAGLWHLDLDGKVNGAVEMCFGGFRRYSKYLNFTQAIVQERFDPGACAWAYGVNVYDLEAWRRDGCTELFHQYMEMNEDGVLWDPTSVLPAGLMTFYGNTKPLDKSWHVMGLGYNPSISPEVIAGAAVIHFNGNMKPWLDVALNQYKALWTKYVDTEMEFLTLCNFGL >ONIVA06G09360.1 pep chromosome:AWHD00000000:6:6817446:6824110:-1 gene:ONIVA06G09360 transcript:ONIVA06G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPNVTAKVKAAAAGGADGGSSKGSPSTPASLRVTKLVKGKAKVKAKASREKAAAPAAAAGKEAASLGDAGGNADASPAAPALRPAVVADVDGASKGSPSTPASVKKTSRLNKVKAKAKAAAAAAASGSPSVGAAGGNADASPAAPAPRSATVADGSAARVISTPAAATAEASTPKRRLKLKPKLAEANANANAVVATKNGVGADNNDGDAIKKRKREIAGERTSNVKERRKEEEGSKKEERLDSKGGGLIFMCNARTKPECFQSRLFGYPRGKIGIVEKIRPGMRLFLYDFDLKLLYGVYKAVSKGGLDLVRDAFSGKFPAQVKFKIDKDCLPLPESRFKDAIRENYSAKSKFNPELNSRQVHRLIALFESVSVPQPAPQKPLEEMHHYEGKTQPHQYEERRSSLPVMHVPPPKDLYRATRFDPHPVDYRIDHSLSNAHDGPHIHYQQTLVARESQRVPLDIEPRLVPHALEPRHGPSIPEIQHVPHAYYRHLAPSDVPYYRSQVDPLPDRVAARTVADPFLSRDYTAVPATRSDGAARVEELRRIGDIASLGARVEELYRPGELAARGSRVEELYRPGEIVARGSRVEELYRPGEVAAHSARMEDLYRPGEIPIRGARVEDLYRPGEISARAVRVEDLYRSDQRITHAVDLPLRAPYPTAHYEVPNPAYSDISQRYAFTRLNAPVLSEVTYVWTYGRAVRLAVTAVPF >ONIVA06G09350.1 pep chromosome:AWHD00000000:6:6811619:6813496:-1 gene:ONIVA06G09350 transcript:ONIVA06G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSDELLGTFVPIAVYWLYSGLYIVLDAMGMDDYRLHPKGEEATKNVVSKWTVVKGVLVQQSFQIAVSLLLFTIIGDESGTVRKQPPALVIALQFIIAMFVMDTWQYFMHRYMHVNKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLIAGMTPKTAIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLENRKGGGFEARPIKLNVAEQSKTD >ONIVA06G09340.1 pep chromosome:AWHD00000000:6:6805919:6807502:1 gene:ONIVA06G09340 transcript:ONIVA06G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIDKNGDAFSGEEFPVVISTAVSCEKAEQAETNEGIKLSSLSDIDQEVAAGKGEESGVGPGDTADGDVAHGCRPT >ONIVA06G09330.1 pep chromosome:AWHD00000000:6:6793114:6794316:1 gene:ONIVA06G09330 transcript:ONIVA06G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTGDGGGGGRRPNFPLQLLGKKEEQTCSTSQTAGAGGGGVVGANGSAAAAQGELQVRTKAAAPPPKRTSTKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSIPSHLRLAGLAGPRFGGGARAADAWDRVVGLGFGGAADAPSSATSSSTSPLLLSFHSGSVGLDVSPPSASTSPAAADLSRKRRWEQEMQQQQQYQQQMAGYTQSQIPAGTVWMVPSSNAQAAGGGAPPGGGGESIWTFPQSGSGGGGGAATVYRGVPSGLHFMNFPATPMALLPGGQQLGLAGAGGGGEGHPGILAALNAYRAQAAQPDAGAAAQNGAQGSSQHRQHQHHGGGGGGGDERHESMSASDS >ONIVA06G09320.1 pep chromosome:AWHD00000000:6:6785237:6792294:1 gene:ONIVA06G09320 transcript:ONIVA06G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGCFFFFGTRFLGPSKKWASWALGDLKRVVQRPNDDVLDLQMLAQRSSASLRVAAAAVAPWRWWRRSTGSRRLAETAGADDQAAAAAAAGEPWRRIAAWTWLLGLLYIVEVKI >ONIVA06G09310.1 pep chromosome:AWHD00000000:6:6782035:6785057:-1 gene:ONIVA06G09310 transcript:ONIVA06G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMY5] MGSGSFLKLLSNNFDVLAGPLVSLAYPLYASVRAIETKSPVDDQQWLTYWVLYSFITLFELTFAPVIEWLPFWSYAKLFFNCWLVLPCFHGAAYVYDHFVRPMFVNRQIVNVWYVPRKENLSKPDDVLSAAERYIEQNGPEAFEKLISKSTRPSTSKRSTKRSILEEVESEHMARTERESWGENPFYDKNYRY >ONIVA06G09300.1 pep chromosome:AWHD00000000:6:6778307:6779876:1 gene:ONIVA06G09300 transcript:ONIVA06G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRSSVSEEEINELISKLQSLLPSSRRRGANQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMAGMDHNSPGAEIIRSLLR >ONIVA06G09290.1 pep chromosome:AWHD00000000:6:6759512:6760657:1 gene:ONIVA06G09290 transcript:ONIVA06G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKHALHGGVPAAAAAERRMCSSRRSVAVRSAAVSFAAGVGDGVMLVKGGGGGGGVGYTSATVGQEKRCRRAPPRTPTKTPLRAPEEINVWELMAGLDYDEEEEEEEEVVVVDGHGGERQVKSAPGSPAFDPDVLAAFRKAVEELPPESPPRDAAADDDDKKGEIQKFPGVVRARIILFQKEIDAKLAKKAPPPPPPPPESARRVVVYLTSLRGIRQTYEDCCATASILRSYGVRVDERDLSLHAGYKDELRAALGDGAGGGGGVPGQGRPLPQVFVDGCHVGGAEDVRRMHESGELTGTLLKACDTAAAAVAAVGKGGRQLAPPSEPCGGCGGVRFVPCDACSGSCKVFVADDEDGGAFRRCPECNENGLVRCPVC >ONIVA06G09280.1 pep chromosome:AWHD00000000:6:6749344:6750339:1 gene:ONIVA06G09280 transcript:ONIVA06G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVKIGQWGGYGGSAQDITVTPIKLTGMTIRSGNAIDSISFSYSGIDGQEHVVGPWGGNGGHATTIMLGPTEHVIEVSGTHGKFGPVADVVTYLKIVTDITTYEFGVRSGTDFSVPLQGGAHVVGFFGRFGVLMDAIGIYTRP >ONIVA06G09270.1 pep chromosome:AWHD00000000:6:6732213:6744092:-1 gene:ONIVA06G09270 transcript:ONIVA06G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRGLLRPQLLRTHETVGALVVGLGVPGGSAVARRPPPLPFGDGRRRPSSRFYCSKGGVGSAEAAVGSGGGGSSSSSSEQEHARLGERDQKEWLSGERFVTGCRRRESPFLTKRERFRDQFLRRVVPWEKATLSWRSFPYYVDEDARQLLSDCVAAHLRHKDVALEYGSRLQSSGGRILLQSLSGTELYRERLVKALAHELRVPLLVLDSSVLAPYDFGEDCSESEEEDDHAESEDEGSVSEVEDEGDDDEEKSGESDDDDAIKSVEDLKKLVPCTLEEFAKRVASAQGSSSTSESSDTAESPEDGKRPLQKGDRVKYVGGSVLVEADHRINLGQIPTQEGGTNAYTSINGRTLSNGQRGEVYEINGDQAAVIFDPSEDKLSDDKKDEASKEHLAKPAVCWVDTQDIELDHDMQAEDWHIAIEALREVLPSLQPAIVYFPDSSQWLSRAVPRSNRREFVEKVEEVFDQLTGSLVLICGQNITEAAPKEKEPKTLVFHNLARLSPLTSSLKRLVGGLKARKPSKSNDISKLFRNKFFIPLPKDDEQLRVFNNQIEEDRKIIISRHNLVEMHKVLEEHELSCEDLLHVKSEGIILTKQRAEKVIGWARSHYLSSVTCPSIKGDRLIIPRESLDLAIGRLKAQEASSRKSSEKMKILAKDEFERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSNLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDSQNRMKILKILLAKENLESDFRFDELANATEGYSGSDLKNLCIAAAYRPVHELLEEEKGGVSGTKTSLRPLKLEDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGERVGPKH >ONIVA06G09270.2 pep chromosome:AWHD00000000:6:6732958:6744092:-1 gene:ONIVA06G09270 transcript:ONIVA06G09270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRGLLRPQLLRTHETVGALVVGLGVPGGSAVARRPPPLPFGDGRRRPSSRFYCSKGGVGSAEAAVGSGGGGSSSSSSEQEHARLGERDQKEWLSGERFVTGCRRRESPFLTKRERFRDQFLRRVVPWEKATLSWRSFPYYVDEDARQLLSDCVAAHLRHKDVALEYGSRLQSSGGRILLQSLSGTELYRERLVKALAHELRVPLLVLDSSVLAPYDFGEDCSESEEEDDHAESEDEGSVSEVEDEGDDDEEKSGESDDDDAIKSVEDLKKLVPCTLEEFAKRVASAQGSSSTSESSDTAESPEDGKRPLQKGDRVKYVGGSVLVEADHRINLGQIPTQEGGTNAYTSINGRTLSNGQRGEVYEINGDQAAVIFDPSEDKLSDDKKDEASKEHLAKPAVCWVDTQDIELDHDMQAEDWHIAIEALREVLPSLQPAIVYFPDSSQWLSRAVPRSNRREFVEKVEEVFDQLTGSLVLICGQNITEAAPKEKEPKTLVFHNLARLSPLTSSLKRLVGGLKARKPSKSNDISKLFRNKFFIPLPKDDEQLRVFNNQIEEDRKIIISRHNLVEMHKVLEEHELSCEDLLHVKSEGIILTKQRAEKVIGWARSHYLSSVTCPSIKGDRLIIPRESLDLAIGRLKAQEASSRKSSEKMKILAKDEFERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSNLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDSQNRMKILKILLAKENLESDFRFDELANATEGYSGSDLKNLCIAAAYRPVHELLEEEKGGVSGTKTSLRPLKLEDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS >ONIVA06G09260.1 pep chromosome:AWHD00000000:6:6724041:6726628:-1 gene:ONIVA06G09260 transcript:ONIVA06G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLAMQSRAAVGVGAGPGVGRRGRAVIRVGKRPTAASLRVGGPAGPAAAKPLAPLYCLKASRGHDSLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVAKILAEVLGYSFFDSDKLVEQAVGMPSVAQIFKEHSEAFFRDNEAMKYMKKGLSVWLDVPLDALARRIAQVGTASRPLLDQPSSDPYTAAFSKLSMLAEQRGDAYANADARVSLEEIAAKQGHDDVSKLTPTDIAIEALLKIENFVTEHSTSSGPVGDLIVDSQNRRTKAL >ONIVA06G09250.1 pep chromosome:AWHD00000000:6:6721723:6723305:1 gene:ONIVA06G09250 transcript:ONIVA06G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCHVISLPSSSLYHTLLFSVLWASRPTAGEEAATMTREDCSSTAAWSPHSLLSTVCHGIGLVGGERGARIPLRLVLRYCSLSRAWAAALSSDAFIDHYLRLAKRRSQALHPAGIGVRRHGECVVARDSHEGGDDVFWEDRSGDIWKAAAGKELPLLGLGGTGGAQAALASVLPATTSSAAGSPLPPRARWPRVALSYLLREANRRRGCGEKGFGEQGGRLTAMQLSMLLAAAAAALPWEWRPNMPTVSTYTHLDYHVFDLHWSSG >ONIVA06G09240.1 pep chromosome:AWHD00000000:6:6718418:6722588:-1 gene:ONIVA06G09240 transcript:ONIVA06G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKLVGREICDLAVDLSNLSQELRKGVQSSMSVVHAADAQLHQLTTSAPQGNQRVTSNRKRAAGEPLLASTVRELRELIAELHSGFGVAVSIAGLLTWASNFVSKRPKNRS >ONIVA06G09240.2 pep chromosome:AWHD00000000:6:6718416:6722588:-1 gene:ONIVA06G09240 transcript:ONIVA06G09240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEAAALPTTINGTIHSLLDECKKAAESLEKSFGSAREKLPETMASVKLVGREICDLAVDLSNLSQELRKGVQSSMSVVHAADAQLHQLTTSAPQGNQRVTSNRKRAAGEPLLASTVRELRELIAELHSGFGVAVSIAGLLTWASNFVSKRPKNRS >ONIVA06G09230.1 pep chromosome:AWHD00000000:6:6712687:6716948:-1 gene:ONIVA06G09230 transcript:ONIVA06G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRLLLLLALLLCRLAAVLPTSEVEALQGFMAGFVGSNAAFQSWDASAPNPCTWFHVTCGPGNQVIRLDLGNQSLSGELKPDIWQLQALQSFRELYGNSISGKIPSELGRLASLQTLDLYLNNFTGEIPYELGNLSKLSNLDLSHNNLSGIIPTNGSFSHFTPISFSNNPRTFANSSDSPSNNSGAAVPSGRSSASSIGTIAGGAAAGAAMLFAAPIVVFAWWWRRKPHVQFFDLLEEETPEVHLGQLRRFTLRELQVATDNFSQTNLLGRGGFGKVYKGRLLDGSLIAIKRLNEDRIGTGERQFLMEVEIISMAVHQNLLRLQGYCMTPTERLLVYPYMENKSLETRLRECSDSQQPLDWPTRRKIALGSARGISYLHEGCDPKIIHRDVKAANILLDEKLEAVVGDFGLARIMDYKVSHVVTGVMGTLGHIPMEYLTAGRTSDKTDVFGYGIMLFELISGKRGFDLVGLANEENARVHDWVKKLLEEGRLEVLIDPNLLEIYNGGEQGVREEVRLLVQIALLCTQESAPSRPRMSTVVTMLEDGIAEHWDAWQRKTIVQASLQGGQGVSEARNDSVANLPPDTLSGPR >ONIVA06G09220.1 pep chromosome:AWHD00000000:6:6710698:6711876:1 gene:ONIVA06G09220 transcript:ONIVA06G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHLRKAYVSLLLQLAYPHPTAGISPLSSLRRLLLFSTTAAPVSPEPFAVEDYLVATWGLTGAQAHKASKKLSHLRSPSKPDAVLAFLSDLGLPPRKIAAVAAADPRFLCADVESNLARRVDELGSLGLSRSQIARLVPLALTCFRSSSVGTNLGFWLQIVGSFDKILKALRMNSSLLGSDLEKVVKPNLELLKQCGMSDVASFPLNTSRLFTANPNYLRDAVARVEELGLDRSSRMFRHGLIAVALTSKESVARKIQLMEELGFSRDELLMIIRKAPQLVASSEEKIRQAAEFLKRDVGLEGRYIAHRPVLFLYSLERRLLPRHHLLKVLRTKGLLDCELDYYNTAAMSERKFVRKFVDPYKCHIPGLADAYTSSCAGETANGVASLLGV >ONIVA06G09210.1 pep chromosome:AWHD00000000:6:6703423:6707327:1 gene:ONIVA06G09210 transcript:ONIVA06G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLDWFYDVLASIGLWQKEAKILFLGLDNAGKTTLFYMLSQENLAVHQPTQHPTSEELSIGRIRFKAFDLGGHRIARRVWRDYYAQVDAVVYVVDAADRCRFAESKMELDALLSDDALAGVPFLVLGNKIDIPYAVPEQELCYYLGLTGLTTGKGNVNLAGTGVRPVEVFMCSVVRRMGYGDGFRWMSQYIK >ONIVA06G09200.1 pep chromosome:AWHD00000000:6:6697872:6698051:-1 gene:ONIVA06G09200 transcript:ONIVA06G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCEVGRDGVVVVAGRAVSAQFATAGADPSSGAATTATATMDASAGGGGTPCRRPAPTG >ONIVA06G09190.1 pep chromosome:AWHD00000000:6:6694958:6696799:-1 gene:ONIVA06G09190 transcript:ONIVA06G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLRERILSLLLQPASPRPIAGIFPPPCPLRRLLSTTAPVSPKPFAVDEYLVATCGLTRAQAAKASEKLSNLRSPSNPDAVLAFLSDLGLSRPDGIAAAVAADPRLLCADVGSSLARRVDELGGLGLSRSQIARLLPLAGRCFRSSSLATRLAFWHPVFGSFENILKALKMNAALLGSDLDKVAKPNLAFLAQCGINASDVTRTTLSLYSCRLFTVNPRFLQDAVARVEELGVARGWRTFHRVLSTVAFLSRETIASKMQLLDDLGFSQDDFLVIVRRAPQVLRLSDGRIRRSVEFLIRDVSLEQSYIAQRPTLLAYSLERRLLPRHCLLKVLKAKGLLNCDLSYYCIAAMSEEKFVQSCHSSHIMCLPEVDLMVLEVELELPLPDTLLPAAA >ONIVA06G09180.1 pep chromosome:AWHD00000000:6:6692233:6694025:-1 gene:ONIVA06G09180 transcript:ONIVA06G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFRRRILTLLLQPASPRPIAGIFLPPCSLRRLLSTTAPVSPKPFAVEDYLVAGCGLTRAEAVKASAKISHLSSPSNPDAVIAFLSDLGLPRPAIAAAIAADPRLLCADVEKNLAKRVGELGDLGLSRSQIARLLPLAGWCFRSSSLATNLAFWLPVFGSFDKILKALRMNKNLLRPGVQKSAKPILAFLEQCGINASDVARSSTMYSSRLLTANPEYLRDAVARVEELGLDRSSRRFHRGLVAVALVSKETAARKIRLMEELGFSQDDLLVIMRKLPNFVALSEKKIRRAVEFLKRDVGLEGRYIVQRPVLLAYSLERRLLPRHCLLKVLRTKGLLNSELDYYYTAALSEKKFVNKFVHPYEDHIAGLADAYASGCSEERNGVASLLSLQTEMDAREIENGEDFLVRKKEGIVDYCQMILIDYAGANNAGEE >ONIVA06G09170.1 pep chromosome:AWHD00000000:6:6689212:6690381:-1 gene:ONIVA06G09170 transcript:ONIVA06G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHLQRRIVSLLLLHPASPHPVAAISLGRLLSTTAPVSSKPFAAEDYLVAACGLTRAQAARASERISHLRSPSKPDAVLAFLAGLGIPRPDIATAVAADPRLLCAGVEGNLAKRVAELGDLGIPRSQIARLVPLAKIPFRSSSLATNLAFWLPVFGSLDSILRALRKNSSLLSANLDKVVKPNLAFLKQCGINARDVASNPNLYSSRLFTSNPMKLRDAVARVEELGMVRGSRVFHRGLIAVAFLSKEAVAAKTRLLVELGFSQDDVSVIFRKMPSFLTASEKRIRRAVGFLKGDVGLEERYIARRPVLLLYSLERRLLPRYYLLKVLRTKGLLDCKLCYYSIAALGEKKFIERFVHPYEDHIAGLADAYGSICSGKVANGVAPLLGL >ONIVA06G09160.1 pep chromosome:AWHD00000000:6:6677329:6689151:-1 gene:ONIVA06G09160 transcript:ONIVA06G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIKRRSAAAGNRRDAMIHLRRCVLSSLLRPPCLTAATHANSLLSLHLRRLLSGAAEPFAVEDYLVESCGLTRARAEKVSGKLSHLRSPSKPDAVLAFLSGLGLTRPDIAAAVASDPRLLCARVDRTLDARVAELGGIGLSRSQIARLIPLARGGFRIKSLGSKLAFLVTVPGGCQDELWVIKPGTRLFALAVVKFAILSQEKITKKSGLFKKLGWSQEDLSLAAKNMPSILAMGEKRLRQRMKFLTEDVGLEIPYIAQRPALMFYSIERRLLPRHCLINVLKRNGLLKINYDFYSTALISNEKFLDKFVHPYVESVPGIGDAYASSCAGCGVDQLKLLSKNKIIVRTTKPHYKEVGTGGDDGSGRAVLVAPTRLRKVIIGRLARPTALEANGREAGVLMAGMPGEGGGRGWPVLEHWPVNCGWIEGGQQV >ONIVA06G09160.2 pep chromosome:AWHD00000000:6:6686307:6689151:-1 gene:ONIVA06G09160 transcript:ONIVA06G09160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIKRRSAAAGNRRDAMIHLRRCVLSSLLRPPCLTAATHANSLLSLHLRRLLSGAAEPFAVEDYLVESCGLTRARAEKVSGKLSHLRSPSKPDAVLAFLSGLGLTRPDIAAAVASDPRLLCARVDRTLDARVAELGGIGLSRSQIARLIPLARGGFRIKSLGSKLAFLVTVPGGCQDELWVIKPGTRLFALAVVKFAILSQEKITKKSGLFKKLGWSQEDLSLAAKNMPSILAMGEKRLRQRMKFLTEDVGLEIPYIAQRPALMFYSIERRLLPRHCLINVLKRNGLLKINYDFYSTALISNEKFLDKFVHPYVESVPGIGDAYASSCAGCGVDQLKLLSKNKIMC >ONIVA06G09150.1 pep chromosome:AWHD00000000:6:6675082:6676260:-1 gene:ONIVA06G09150 transcript:ONIVA06G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLRRSFLSRLLHPPCPTPANPLPLHRLLSSAAAAAPIPPEPFAVEDYLVDSCGLTRARAKKASGKLSHLRSPSNPDAVLAFLSGLGLSRPDIAAVVVNDPLFICARVDKTLATRVAELTDLGLSRSQIARLIPVVRSLFRCKSLAPRLAFLLTVFGSFDRCLEVIKTNYGVLSSNVEAVIKPNLAVLKECGISIADRPSYAFASRVISRPTKHLEEAVVLANEFGAKQGTRVFTNAVMIFGILGQEKLAKKLEFFKKLGWSQDDLSLAVRSMPHILAMKEERMRRGMKFLTEDVGLEIPYIARRPALTMYSIERRLLPRHCLINVLKGNGLLKADYDFYNISVISNDDFMEKFVQPYVESVPGLGDAYASSCTGCGVHQLKLLSKRKTKC >ONIVA06G09140.1 pep chromosome:AWHD00000000:6:6662708:6669458:-1 gene:ONIVA06G09140 transcript:ONIVA06G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron carriers;protein disulfide oxidoreductases [Source:Projected from Arabidopsis thaliana (AT4G08550) TAIR;Acc:AT4G08550] MENGDKDEKNVAVTEGSTNSEEKDQDEDLLRRTEMLNAKEAINSSNENSGNDSEAQIHVRDDSQKEFNEKMNKEGSSDPMEPTDSSQTEEDILAEDKSEEPVFDGTEVAEMEDLRRSSNQSAELDSDAHGSVLNERATAIKNFVKEKGAIAVSTFIRRLSGKKDENEFSVEDEKNEGSESISSGNIGSDAEPKSKEVQPKSEERTTWNPLNLIKIGRDFDTFMTGEAGHENVPDLIEQPTGKGRIIIYTKLGCEDCKMVRSFMRQKMLKYVEINIDIFPSRKMELENNTGSSTVPKVYFNDLLIGGLTELKKMEESGILDDRTDALFKDEPSSAAPLPPLPGEDDESGSGKIDELATIVRKMRESITLKDRFYKMRRFSSCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKYFYRHVLDEDVFEDGNHLYRFLDNDPIIMSQCYNIPKGIIDVEPKPIVEVASRLRKLSETMFEAYVSEDGKHVDYRSIQGCEEFKRYVRTTEELQRVETHELSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSMSAIQNGILRGNQRPPYNLAKPFGQKDQRSKVALPYAEPLVHFALVCGTKSGPALRCYSPGNIDKELVEAARDFLRNGGIVVDPEAKVASVSKILRWYSTDFGKNETEVLKHAANYLEPAESEQFLELLANTQLKVLYQPYDWSLNI >ONIVA06G09140.2 pep chromosome:AWHD00000000:6:6662710:6667940:-1 gene:ONIVA06G09140 transcript:ONIVA06G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron carriers;protein disulfide oxidoreductases [Source:Projected from Arabidopsis thaliana (AT4G08550) TAIR;Acc:AT4G08550] MENGDKDEKNVAVTEGSTNSEEKDQDEDLLRRTEMLNAKEAINSSNENSGNDSEAQIHVRDDSQKEFNEKMNKEGSSDPMEPTDSSQTEEDILAEDKSEEPVFDGTEVAEMEDLRRSSNQSAELDSDAHGSVLNERATAIKNFVKEKGAIAVSTFIRRLSGKKDENEFSVEDEKNEGSESISSGNIGSDAEPKSKEVQPKSEERTTWNPLNLIKIGRDFDTFMTGEAGHENVPDLIEQPTGKGRIIIYTKLGCEDCKMVRSFMRQKMLKYVEINIDIFPSRKMELENNTGSSTVPKVYFNDLLIGGLTELKKMEESGILDDRTDALFKDEPSSAAPLPPLPGEDDESGSGKIDELATIVRKMRESITLKDRFYKMRRFSSCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKYFYRHVLDEDVFEDGNHLYRFLDNDPIIMSQCYNIPKGIIDVEPKPIVEVASRLRKLSETMFEAYVSEDGKHVDYRSIQGCEEFKRYVRTTEELQRVETHELSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSMSAIQNGILRGNQRPPYNLAKPFGQKDQRSKVALPYAEPLVHFALVCGTKSGPALRCYSPGNIDKELVEAARDFLRNGGIVVDPEAKVASVSKILRWYSTDFGKNETEVLKHAANYLEPAESEQFLELLANTQLKVLYQPYDWSLNI >ONIVA06G09130.1 pep chromosome:AWHD00000000:6:6657607:6661965:1 gene:ONIVA06G09130 transcript:ONIVA06G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGGGGMEAVEVRFRLDDGSDIGPSMHDQATTVTALKEFVLARWPQGKEIAPRTVNDVLENNRTLAESRNLAAESPEGPITMHVVVRRSRPERRVKQPPKARPPERIGCGCTIL >ONIVA06G09120.1 pep chromosome:AWHD00000000:6:6645407:6650668:1 gene:ONIVA06G09120 transcript:ONIVA06G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVVITNESIEDCHMLLDHVILDHGDASINTCHVEFVNYFNHEKYKANAWIFHALLVCNVTELKILVWFDDEFLKDDQSGYHLQAVKKCPAPVVHVQRYALVKQGSEGHEYAAEQLTVAMKPVRVAMPLLITKMTCVLSQIWRYIWKFMPNVVIMNESIEESHMTDKVGDLTVYIRICVPNLVSLSLLRFEGWTPLFESMPYLLSVAMIFKDAFMYSNCWDCGKEAREGCYAIGINKNGFLLLNHLSHTSHLSIAICHILLKTTSVSAIAVVAAMIAGAI >ONIVA06G09110.1 pep chromosome:AWHD00000000:6:6641502:6642278:-1 gene:ONIVA06G09110 transcript:ONIVA06G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSAARLGDGLVGLQTLIAPDAAAAASRPASGYVATRTLKASPRAVGCPGGGEGDGEREEEEEGEEGGCWVMYGWRRRLRRLPPTIPSLRRAGNAPWALARARTADGRLVISTEPAPPRGRVVATKAEGRLVLDLVERGDSPPPPPPRRRSCFSIVHQEPVSPAAAAAACDDDDYGVEEASAAERASARRVIPIITGAPAPAMLSAVGYAFSPSLSLHPAVAPLPPLVCSEGCYEDVIRASSSLPKMPLILPRMVH >ONIVA06G09100.1 pep chromosome:AWHD00000000:6:6622167:6633848:-1 gene:ONIVA06G09100 transcript:ONIVA06G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAWRFNGTNGGADLEHKVQESEPPTPVSVMRMVKNRANVEDEETLSSVAQCIEQLRQGSSSTQEKENSLKQLLDLLETRDTTFGAVGSHAQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTDGFWSATVQCGGVDILIKLVASGQANTLANACNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETYIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNSESISASDPLVVEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCRTLADSDAKRLLVGLITMAGTEFQDDLTKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDVQTDKILMAASSCLAAIFLSIKQNKDVAAIGRDALAPLVSLANSTVLEVAEQATRALANLFLDHELSLQVSFEEIIFPITHVLREGSIDGRTHAAAAIARLLQCRPINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSEIPGCISSVARRVIGSNMLKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRHSKENNNSDETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLMEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLLRSDEQAYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAIDCPPNMMLMAEAGILEALTKYLSLSPQDATEEATTDLLGILFSCAEIRHNEAALGTVNQLVAVLRLGGRNSRYSAAKALESLFIADHVRNSESARQAIQPLVEILSTGMEREQHAATSALVRLLSDNPSRALTVADVEMNAVDVLCRILSSDSSAELKGDAAELCCVLFANTRIRSTSAAARCVEPLVALLVCEANPAQLSVVRALDRLLDDEQLAELVAAHGAVIPLVGLLFGKNYTLHEAVARALVKLGKDRPGCKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADIGPEGQYSTLQVLVNILEHPECRADYNLTPRQTIEPVISLLNSSPPAVQQLAAELLSHLILEENLQKDTITELAIPPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWGACAAEIFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPPRLTKIVSTGATPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPENFKIRVDMGSSCASDIKQENSSYGWKHVQNFQRSHWTGGDTWWNHWQCEPLKLPEEGPDDSEKEKKPEVWPHNLVEATYCEH >ONIVA06G09100.2 pep chromosome:AWHD00000000:6:6622600:6633848:-1 gene:ONIVA06G09100 transcript:ONIVA06G09100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALAWRFNGTNGGADLEHKVQESEPPTPVSVMRMVKNRANVEDEETLSSVAQCIEQLRQGSSSTQEKENSLKQLLDLLETRDTTFGAVGSHAQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTDGFWSATVQCGGVDILIKLVASGQANTLANACNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETYIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNSESISASDPLVVEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCRTLADSDAKRLLVGLITMAGTEFQDDLTKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDVQTDKILMAASSCLAAIFLSIKQNKDVAAIGRDALAPLVSLANSTVLEVAEQATRALANLFLDHELSLQVSFEEIIFPITHVLREGSIDGRTHAAAAIARLLQCRPINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSEIPGCISSVARRVIGSNMLKVKVGGCALLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRHSKENNNSDETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLMEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLLRSDEQAYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAIDCPPNMMLMAEAGILEALTKYLSLSPQDATEEATTDLLGILFSCAEIRHNEAALGTVNQLVAVLRLGGRNSRYSAAKALESLFIADHVRNSESARQAIQPLVEILSTGMEREQHAATSALVRLLSDNPSRALTVADVEMNAVDVLCRILSSDSSAELKGDAAELCCVLFANTRIRSTSAAARCVEPLVALLVCEANPAQLSVVRALDRLLDDEQLAELVAAHGAVIPLVGLLFGKNYTLHEAVARALVKLGKDRPGCKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADIGPEGQYSTLQVLVNILEHPECRADYNLTPRQTIEPVISLLNSSPPAVQQLAAELLSHLILEENLQKDTITELAIPPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWGACAAEIFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPPRLTKIVSTGATPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPENFKIRVDMGSSCASDIKQENSSYGWKHVQNFQRSHWTGGDTWWNHWQCEPLKLPEEGPDDSEKEKKPEVWPHNLVEATPFTT >ONIVA06G09090.1 pep chromosome:AWHD00000000:6:6617581:6618988:-1 gene:ONIVA06G09090 transcript:ONIVA06G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLGKRHRNNSSMRRTTSMSGFAVAEEEEQQGRQPPARAARGGGGGGSAAPSPGWGAMQRRHSGDFAVAETAAFLKACGICNRRLGPGRDTFIYMGEVAFCSHECRQQQMNLDELNEKKCFQRESGGGSDKSGNSGAVAAA >ONIVA06G09080.1 pep chromosome:AWHD00000000:6:6587909:6592145:1 gene:ONIVA06G09080 transcript:ONIVA06G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENIQNRQVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSGRLSHFSGRRRIEDVLTRYINLPESDRGGTIQNREYLINMLTQLKCESDVTEDLTNTSSKAPVNSNIEELQQEIRRCQHQMQLTEEQLRMFEPDPARSASMEDVEASEKFIAGILSRVEERKRHLLCSMGSFDVTASTSAMQHLYLPQQHQHGDITDNGFGSDEVASWVSEGMPPTTSSVASIFAGTSDSMMSFRDQAVYDTMRQDACVDQTVVPEMGMCHVDQQNQSDDWQAYTSAEFLNALIPPTPFPLDDEDTMGPMLASSPLLMPGIHDQQPPEEDMATAGCSQAPANDGNGLYAAEDIAPVNVG >ONIVA06G09080.2 pep chromosome:AWHD00000000:6:6587909:6592145:1 gene:ONIVA06G09080 transcript:ONIVA06G09080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENIQNRQVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSGRLSHFSGRRRIEDVLTRYINLPESDRGGYYLINMLTQLKCESDVTEDLTNTSSKAPVNSNIEELQQEIRRCQHQMQLTEEQLRMFEPDPARSASMEDVEASEKFIAGILSRVEERKRHLLCSMGSFDVTASTSAMQHLYLPQQHQHGDITDNGFGSDEVASWVSEGMPPTTSSVASIFAGTSDSMMSFRDQAVYDTMRQDACVDQTVVPEMGMCHVDQQNQSDDWQAYTSAEFLNALIPPTPFPLDDEDTMGPMLASSPLLMPGIHDQQPPEEDMATAGCSQAPANDGNGLYAAEDIAPVNVG >ONIVA06G09070.1 pep chromosome:AWHD00000000:6:6584690:6586909:-1 gene:ONIVA06G09070 transcript:ONIVA06G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIRQQFGFGFVCASRSSVVEPVQLHSNGNSDHTPKKSSESSLILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICSIPIDVIFTSSLIRAQMTAMLAMMQHRRKKVPIIVHNESEQAHLWSQVYSEETRKQSIPVITAWQLNERMYGELQGLNKQETADRFGNEQVHKWRRSYDIPPPNGESLEMCAERAVAYFKEHVVPQLTAGKHVMIAAHGNSLRSIIMQLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVCAYTRKLAQYRQKLDSMV >ONIVA06G09060.1 pep chromosome:AWHD00000000:6:6580567:6581549:1 gene:ONIVA06G09060 transcript:ONIVA06G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKNTAAPAPEKKYKGVRLRQWGKWVAEIRLPNSRERVWLGSYDTPEKAARAFDAAFVFLRGAGAADAAGLNFPDSPLPVVARTRDLREVYAFAVSHANRPPPVAGETAATALAAMAALEHNEVRENIAPSSPLSAVQVAAPPAGSFDWSQLMANSPPLYSPIVIGSHAYDDLAVWPTTQPVEEFSEEDNENEELKVYILRQK >ONIVA06G09050.1 pep chromosome:AWHD00000000:6:6577487:6578326:1 gene:ONIVA06G09050 transcript:ONIVA06G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLLRDMEAGVLQPAAPPAQEVAHGVFQLNTKVEALRYMAGALGTPRDTPSLRGRLRGTRAGIKRLATSTSQALRQAAAAADDDESVSSCSKLAMDFEAAVNEYQKIERRIAAVERQETAAAARRSPPPPAPGFNHINNNGDHTFPEQKQTQLAVLRDINLLDSEIELHEAIIAEREQGILEVQQEIADIHEIFRDLAVLVHDQGECIEIVTANIEMTEAATSQAEVQISKAAGIRGEEKEELLTGAGTEDNSPSKCLLLAVLGLFLFIVGLVLIS >ONIVA06G09040.1 pep chromosome:AWHD00000000:6:6567766:6571301:-1 gene:ONIVA06G09040 transcript:ONIVA06G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCMHPPHQANHPRRFSSSIHGNLSERGRSNGRGVGGNGTPNLHASSCCRTPDRRRQESACIMSSNMFGKKGWDSNGMDTSGSVCRSSSDINYINQRARLKSASLNCVGSPPRKNNNATQYRMFVATWNVGGRTPNKRLNLQDFLQVEESPDIYVLGFQEIVPLTAGNVLVLEDNEPAARWLALIHQALNMPQEPADGDEPSPLTPPPSSSTTTSESSNGAWTRRRDAVSRSASGNLFFHTPSLKMLSNSYRVDSALVKTCNCSPEHSSVRRRAAEVRESVYLADAPAPAGETAAPAADDDDAPTTEAQCEAGCGGGGGMSYCLIASKQMVGLFLSVWVRKELVEHVGHLRVDCVGRGIMGWLGNKGCIAISMTLHHTSLCFVCSHLASGEKEGDELRRNADVAEILKSAHFPRACRPAPAAARRVPERILDHDRMIWLGDLNYRMSLSYDETRTLLEDNDWDALLEKDQLLIEREAGRVFRGWNEGKICFAPTYKYTHNSDAYAGETAKSKKKRRTPAWCDRILWQGDGIEQLQYLRGESRFSDHRPVCGVFAVEVDGGGGGDGDGGGAAGKIMKGYYSLNARIGGDRSQCHQGDVS >ONIVA06G09030.1 pep chromosome:AWHD00000000:6:6562429:6565858:-1 gene:ONIVA06G09030 transcript:ONIVA06G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWPSPWLPLLLVGALLAFEDWLATPTCSGGSTAPAPAPAPGDLRVMMVSDLMLLGSDATYADRFFRNHVMSKLFAKSIETLRPDMIVVLGDISAMGFQLKESKWIDVIDQFKGILGQYSDLPLHIALGDKDVGGCANLDDSFVHHMAKHLPGLDSSGCGTFEIGNVSFVSLNSVALLCGNNPLRISVEKVIEKENNHFQQKMVNEAGHFSLGSIEREGFNWRQNSMESGSGPVVLLHFPLYKFSEGTISEPPVSSKELMAGGLINYMPSLQIQLNMFFKRLNQDYTHYDGTREVAVPAMTWKTTGVPGFVISTFGRKGIMTVRYCLIVPEWYVMAGYSVFLFLTALSA >ONIVA06G09020.1 pep chromosome:AWHD00000000:6:6549389:6550655:-1 gene:ONIVA06G09020 transcript:ONIVA06G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNRREGSGTLTDGVDLSQLQQIDDAKPTCQTPADREYASGGAADLVDLTSRPHWEGEAAVGRRRWLEEGETAMTTTCASRGRWRVCDGNDAMRVEEDGEERRGNAHEGRRTARRRQRRRMREEEPRRRAWRRAEGGGRVRVRGWGATGLGFGASRPNPHRPFRIQRFHGLSRQFNYIDGSHHDTWGVVLLDMEQRVLKEEIVTLLPVERGIAMMRFVLGLLHIDMILYAGVYRDTLEKEATHEDLLIPNTGNFVEMPYDVDCMERMPTNTSVLATSPKI >ONIVA06G09010.1 pep chromosome:AWHD00000000:6:6531590:6532681:-1 gene:ONIVA06G09010 transcript:ONIVA06G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDLYKYQLSSSSSSSSSDQELMKALEPFIRSASPTSTNTSTSTSTPLFYSSSSISTTTTTPFSYSSPLPQESYYLPASSSYAAIVPPPTTTTNTTTSFSELPPLPPSSSSFASPANAAAVGLAHLGPEQIQQIQVQFLMQQQLQQRGMAASASASAAASYLGPRAQPMKQAGAAAAAAGGKMYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDKAAFRLRGDAARLNFPTLRRGGAHLAGPLHASIDAKLTAICHSLAAAPPASSKKAAAAAAHPDSPKGSASTTTTTSEGDESAISACSPPLPPPPPPPPPALPEMANLDFTEAPWDESDAFHLYKCPSWEIDWDSILS >ONIVA06G09000.1 pep chromosome:AWHD00000000:6:6522582:6526108:-1 gene:ONIVA06G09000 transcript:ONIVA06G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEVEEVVVAVPPFVPVGCSTTVPPERELDANTGVEREEARRPVTTPDVDGGDDNAIGHHGRGAVGMADHELGLVYRCILARESAYPYLGLRLDMWCSYLKLSFSEILIGVEYEFIEM >ONIVA06G08990.1 pep chromosome:AWHD00000000:6:6508116:6510358:-1 gene:ONIVA06G08990 transcript:ONIVA06G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMU7] MTNQDVVMPDIAAAAAMPGSSGRAPLFACRGAAAVSASSMLGGGGAAYQAAVVAHVAPVPAIRPCASWVVEAMRASSPTRPAAAAAVDAEYDAWTQRKHPSALGSFEQVAAAASGKRVVVFLDYDGTLSPIVADPDMAFMSDEMRAAVRDVAEHFPAAIVTGRCVDKVQSFVGLPELYYAGSHGMDIKGPSSNEEEDTKILLQPAREFLTVINKAYKALMEKTKSTPGARVENNKFCLSVHFRCVDEKRWNPLAEQVKAVLRDYPELKLTQGRKVLEIRPSIMWDKGKAVEFLLKSLGFDDDRRDVLPVYIGDDRTDEDAFKVLRKRGQGLGILVSKCAKETDASYSLQDPTEVMEFLVRLVQWKLRRSSSAMRPRV >ONIVA06G08980.1 pep chromosome:AWHD00000000:6:6491707:6492908:1 gene:ONIVA06G08980 transcript:ONIVA06G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLKDTLFPDDPFGGLGGMPHAQRAWRVASYFVPALDWGAGYSAASFWYDLLADVHRFVLPAAVGVRGDGELEEPRGGAGGHVVAAGGVHHRRQGEGFRRPTAVHVARLHVGLLHRCPAGHSWIPQAGDPDGFHVTAGDHRVHGRHGGRDHAAAAQGHPRHDALTTKKVSAFVVGAITLIVAPFAVPSASFTRDIGRAVAECAEHDGGHGRGEAEAAREVGDRRGRSGTRGGSEDAEVEEEEEREHREGGAARRGGERGENCAREREEGKDRVMTWQR >ONIVA06G08970.1 pep chromosome:AWHD00000000:6:6489768:6490283:-1 gene:ONIVA06G08970 transcript:ONIVA06G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKISIFFFLLALAAGVQGETGGVGGGGGNVEYNCVYTVFVRTGSAWKGGTDSTIGVEFAGADGRGVRIADLERWGGLMGAGHDYYERGNLDVFSGRGPCLPAAPCWMNLTSDGAGAHHGWYCNYVEVTATGPHRGCAQRRFDVEQWLATDASPYRLTAVRDQCRGHAAA >ONIVA06G08960.1 pep chromosome:AWHD00000000:6:6485277:6489797:1 gene:ONIVA06G08960 transcript:ONIVA06G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMU4] METVAPHHTTCHKPPGDRLTSSSSPTPRSSGSPLLPRRRALDPPRLRLRVAHLEIPRMATLTVPSAVPPVADDCDQLRKAFQGWGTNEALIISILAHRDAAQRRAIRRAYADTYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEVARKWHPGSGSRVLVEIACARGPAQLFAVRQAYHERFKRSLEEDVAAHATGDFRKLLVPLISAYRYEGPEVNTKLAHSEAKILHEKIQHKAYGDDEIIRILTTRSKAQLIATFNRYNDEYGHPINKDLKADPKDEFLSTLRAIIRCFCCPDRYFEKVIRLAIAGMGTDENSLTRIITTRAEVDLKLITEAYQKRNSVPLERAVAGDTSGDYERMLLALLGQEQ >ONIVA06G08950.1 pep chromosome:AWHD00000000:6:6479733:6483248:-1 gene:ONIVA06G08950 transcript:ONIVA06G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein [Source:Projected from Arabidopsis thaliana (AT4G39870) TAIR;Acc:AT4G39870] MGYLPSLGGKAAHLVSDLATVILNPVSERESQRHPSHLPEATEVQENIYEDDDDDDSVKNSEIPNGPDTSSFRAFLMSFMSSSNSSSDSIEIIPEHNMNMEYPTLTPVGKGSNGRKGLFSRGKHSIGKIINKAGRIGGFRQKPSYSIDGETVQTEYDAPGLELKGSKESASHDKLPAMSEPSMLLSETMRTVLYTSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSMLCAGYSLLIVGDRKGAVFGGLVEAPLQPLIKKKYQGTNNCFVFTNIAGRPVIYRPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLLNGSSSTSETFNNPCLSRSREFEVKDVELWGFVNASKYDEMLTICRTEKQGIWNL >ONIVA06G08950.2 pep chromosome:AWHD00000000:6:6479733:6483248:-1 gene:ONIVA06G08950 transcript:ONIVA06G08950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein [Source:Projected from Arabidopsis thaliana (AT4G39870) TAIR;Acc:AT4G39870] MGYLPSLGGKAAHLVSDLATVILNPVSERESQRHPSHLPEATEVQENIYEDDDDDDSVKNSEIPNGPDTSSFRAFLMSFMSSSNSSSDSIEIIPEHNMNMEYPTLTPVGKGSNGRKGLFSRGKHSIGKIINKAGRIGGFRQKPSYSIDGETVQTEYDAPGLELKGSKESASHDKLPAMSEPSMLLSETMRTVLYTSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSMLCAGYSLLIVGDRKGAVFGGLVEAPLQPLIKKKYQGTNNCFVFTNIAGRPVIYRPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLANCHLSLCVTTHIYLCSLNGSSSTSETFNNPCLSRSREFEVKDVELWGFVNASKYDEMLTICRTEKQGIWNL >ONIVA06G08940.1 pep chromosome:AWHD00000000:6:6475841:6477157:1 gene:ONIVA06G08940 transcript:ONIVA06G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDENGLKKGPWTPEEDEKLMSYIQKHGHASWRVLPELAGLNRCGKSCRLRWTNYLKPDIKRGNFSREEEQTILQLHSILGNKWSAIAKHLPGRTDNEIKNFWNTHLRKKLIKMGIDPITHRPRTDFFQSLPQLIALANLRQIIERQPWDGHIEGLQTVAVQAAKLEYMQSLLHSAVSIVTSPTTTTSSLNTFTTELEQTNHLCPPQVPSSSVPELAVQVPHSQMPSTSFDQEIGKTNLFSNNIVNGNEWCSMEADNSSQKSLLVPENSIPPLIDMPVQNFCNTISTPNCDGGNSIPLSSWSEILLDEELMGEFA >ONIVA06G08930.1 pep chromosome:AWHD00000000:6:6469017:6469286:1 gene:ONIVA06G08930 transcript:ONIVA06G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEETVLQRPFPAAGGDGEAATTVFLAAATATEEGRRRPVDPMIWGDERRMKRELVAWAKAVASMAMAANGGAGSSPSTTPPPSPSMR >ONIVA06G08920.1 pep chromosome:AWHD00000000:6:6468374:6479080:-1 gene:ONIVA06G08920 transcript:ONIVA06G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLRASQPKAPPSPPPLSPHGHRRRPDAFRRRGFRRGRLGGAEGEGDGTDKADEGDQAEEAVVDPKSRMPRAPSSASPARWSSTGELPALNVAIPRLSLDNLAEVGKCNELREGLKEVCSWAVAAEINTKLKKTLGVNMIHKFHFQSKSPKIFADVCNRTNANHVTMHSWLGTHVFCDRSPEKIRCLRPACRAARRSLGRQILTSRAAEGALATNRNPKEQRGLGAHGLPSRTTLF >ONIVA06G08910.1 pep chromosome:AWHD00000000:6:6463168:6463347:1 gene:ONIVA06G08910 transcript:ONIVA06G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGKVGEASAGNSSLTASRAHIGPLGEWRRTPSVQPIRWTSVDSPRLSSTSDAQARV >ONIVA06G08900.1 pep chromosome:AWHD00000000:6:6457817:6460213:1 gene:ONIVA06G08900 transcript:ONIVA06G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWPVLPLLLLAVAVAGAGDAAPVCTRPSAAEAIVGSPEACRSPLRRPLGVTEGDDAILARAVNLLHANKEDFAAVLFYASWCPFSLECRLRFEKLACIFPTIRHLAIEESTVRLRTRYRYGIHGYPTLFLINSTVRVRYHGPRTVKSLAAFYNDVSGINPSMDPAVGDDNIEPKRDCEQEKCLFWSARTPENILQPDTYLTLAASFVILRLLYLFYPKITAFVKRTWSRRTLFTCLEQGKHKFNRVYPSKQGNLHDGARHATAWASKSLASVSIGEPSTS >ONIVA06G08890.1 pep chromosome:AWHD00000000:6:6445874:6450377:-1 gene:ONIVA06G08890 transcript:ONIVA06G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPSSSTSGSPGAAAAAARPTMPYRSRFGDTTLTKVFVGGLAWETPSERLRRHFEQYGEILEAVVIADRLTGRSKGYGFVTFREAEAARRAVQDPNPMIAGRRANCNIASLGPPRPAQPPRGRASPGAQFQAPPPAFQGPPYIARGTPPPPAQMMPQPQHHGPPATIYHPSQSWYWYPPDYQYQQGLMNSQVLQSYYAAALQAQAQAQLYGMAASPTAPSPYQYLGYMPAPAPAVTPTAVLPPAQQQITGPPPPFVQQPAQHVTAPPPFVHHPTAAAQIQGSFVPLPSLPHNFRLQLPPNAMSILPPTPTGM >ONIVA06G08880.1 pep chromosome:AWHD00000000:6:6438400:6443928:1 gene:ONIVA06G08880 transcript:ONIVA06G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQATLPAAAARRPHALLVPFPSSGFINPMFHFARLLRSAGFVVTFVNTERNHALMLSRGRKRDGDGIRYEAIPDGLSPPERAGAQDDYGFGLLHAVRANGPGHLRGLIARLNTGRGGGGAGDSPPPPVTCVVASELMSFALDVAAELGVAAYMLWGTSACGLSCGLAVRELRRRGYHPRTPVPMCDSPSSSSCSSLSLALAMGERMRRAAHAMLFPFPCSGHINPTLKLAELLHSRGVHVTFVNTEHNHERLLRRRGGGGALRGREGFRFEAVPDGLRDEESAAPDSTVRLYLSLRRSCGAPLVEVARRVASGGGVPPVTCVVLSGLVSFALDVAEELGVPAFVLWGTSACGFACTLRLRQLRQRGYTPLKDESYLTNGYLDTPIDWIAGVPTVRLGDVSSFVRTLDPTSFALRVDEDEANSCARAQGLILNTFDDLESDVLDALRDEFPRVYTVGPLAADRANGGLSLWEEDAACMAWLDAQPAGSVLYVSFGSLTVMSPEELAELAWGLADTRRPFLWVIRPGLIAGAGAGDHDVVTNALPDGFVAETKGRCFIAEWCAQEEVLRHRAVGGFLTHSGWNSTTESICAGVPMICWPGFADQYINSRYVRDEWGIGLRLDEELRREQVAAHVEKLMGGGGDRGKEMRRNAARWKAAAEAATAKGGSSYGGLDKLVEQLRLGQ >ONIVA06G08870.1 pep chromosome:AWHD00000000:6:6426431:6435372:1 gene:ONIVA06G08870 transcript:ONIVA06G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCMMIPIIVLILVSMAANALADDRRQLQLMQDPAAGDVLSYHGGAVLSGDIPVSIVWYGKFAPSQKDIVVDFVQSLTSTSSSSQRAATPSAAQWWSTLATVYLSNATTGGGGKPAAATRVVLSGQVSDEEYSLGKTLTLVQVFQLAAGAAPKRGAVVLVLTDPDVVVEGFCSVRCGVHGSDAGAGYAYAWVGNAERQCPGQCAWPFAAPPYGPQGSPLGAPNGDVGTDGMVVTLASTLAGAVTNPFGDAYYQGDKDAALEACTACAGVYGSGSYPGYAGKVLVDEANGGSYNAIGGGGKRFLLPAIYNPATTGCCSTTVVAMVAALVVMSLAGVSMAARRVPALLKSHVGDGISYHGGAVLGGDIPVTLVWYGKFKPAQKAIVVDFLLSLTATPPNATTPSAAQWWGAIAAGYLSSNATNVTTAARVVLANQTSDEEYSLGKSLTLVEVFQLAAGVVPDRGDLVVVLTDRDVAVEGFCSARCGVHGSDSGAGYAYAWAGDAERQCPGQCAWPFAKPPYGPKGEAALVPPNGDVGADGVVATLAGVLAGAVTNPFGDGYYLGDKDAALEACSACAGAYGSDSYPGYAGKVLVDETTGGSYNAVGAHGRKYLLPAVYDPATSRCTTLV >ONIVA06G08850.1 pep chromosome:AWHD00000000:6:6415554:6416528:-1 gene:ONIVA06G08850 transcript:ONIVA06G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQSLLLAMMLVVAGLAVSAMADRKLMSLVKPQPNQLTYHNGAVLSGDIPVSILWYGRFTPAQKTVVTDFVLSLAAPLQAAPAPSVSQWWGSIHRLYLSKAVAVGKNGGAHGGGGGGRAKNARVVLSGQVSDEGCSLGKSLKLSQLPTLAARARPGKGGVALVLTAQDVAVEGFCMSRCGTHGPVSRAGAAYAWVGNSATQCPGQCAWPFHQPVYGPQAAPLVPPSGDVGMDGMVINVASMVAGAVTNPFGDGFYQGERGAALEAATACTGVYGKGAYPGYAGALLVDKATGASYNAHGAHGRKYLLPALFDPDTSACSTLV >ONIVA06G08840.1 pep chromosome:AWHD00000000:6:6413255:6414199:-1 gene:ONIVA06G08840 transcript:ONIVA06G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVTKALMRPLLCYIIVVAVAPAGCAAFNPRMLFLVKPDPIVLKDHHGVVLSGNVTVNVLYYGRFTPAQRAVVAGFVRSASAAQHPRVPSVAAWWSTTSLYRGGGARLRLGMQVMDERMSLGRSLSLDNVTALARAAGHHRGAVTAVLTAPDVLVAPFCMSRCGVHGHGGGVGAHGRARYAYLWAGNPAQQCPGQCAWPFHQPVYGPQAPPLVPPNGDVGVDGMVISLAALLAGTVTNPFGDGYYQGDAGAGMEAATACAGVFGSGAFPGYPGKLLKDPVTGASYNAVGLAGRKYLLPALWDPTTSQCKTLV >ONIVA06G08830.1 pep chromosome:AWHD00000000:6:6407168:6411366:-1 gene:ONIVA06G08830 transcript:ONIVA06G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphotyrosyl phosphatase activator (PTPA) family protein [Source:Projected from Arabidopsis thaliana (AT4G08960) TAIR;Acc:AT4G08960] MSNPESNPPPPSATSCPPPGSAAHAGHAPLCRSCGAPTTTPVPPPWSASSDSPPPAYRPIRMPAINAPTNTAAIVLSPVPQPLPVPPASPPFAFQVPAKRITSPDDIARFHDSVHGRHFLGFVAALSASVHGRKLSDPLPSPPSPVVSALLELISALSAFVASTPPLPHNSRYGNPAFRLWHVKLSDSANQLIAPIMATAGSPDLAGAEAELAPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGLITEADYPAVVLRVFAAYLDLMRTLQDTYLLEPAGSHGVWGLDDYHFLPFIFGAAQLIDHKYMKPKSIHNPDILDNFSKEYMYLACVAYVKKVKKGPFAEHSPMLDDISGVPHWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWED >ONIVA06G08820.1 pep chromosome:AWHD00000000:6:6395169:6396954:-1 gene:ONIVA06G08820 transcript:ONIVA06G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSEHRCWDELLPDALGLIFRKLSLKDVLTVVPRVCKSWGRVVAGPYCWQEIDIQEWSQQQSKPDQLKRMVRMLVARSGGSFHRISVSGLPGDPLFTFIGDHARSLKTMELPRSDISDSLVENVAPRLSNVTFLDISSCTKIGARALEAFGKHCKSLIGLRRVMHPTDVVGRASQHDEARAIACNMPKLRHLEIGYMLIATKAVVEIASQCHDLKFLDLRGCWNVDDKLLQESYPGLKVVGPYVDDCYENSFWEECSDDSDDSIYWELMDDDYYAAGSDDEGIWDDGQGLEGLEVRFYGGGFSESHAGFDWPPSP >ONIVA06G08810.1 pep chromosome:AWHD00000000:6:6389939:6393949:1 gene:ONIVA06G08810 transcript:ONIVA06G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHEVYGQEIPLDGEDVDMGAPGDEAAKMQELDEMKRRLKEMEEEANALREMQTKVAKEMQGLDPNASSSESKEEMDARSVYVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFLEVEAVQEAVKLNESELHGRQIKVAPKRTNVPGMKQPRGGRGFGGHPYMRPYGAPFYNPYGYGYPRFRRPRRPYF >ONIVA06G08800.1 pep chromosome:AWHD00000000:6:6386086:6386969:-1 gene:ONIVA06G08800 transcript:ONIVA06G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVALKGRPLATLLRQLLAADAPPAATGRPVAAAPAASGKPVTAPAAATATNAASRRLYNTEGAPLRRYDVVDESGTDSGDEYDATDDGRRLTVPFFFSASDVLDPFGAPTSLGRLLALMEDAAVATAAAPGTNGLATAAARRGGWWVAKEDDDAVHLKVSMPGLGKEHVKVWAEQNSLVIKGEGEKDPEDDADAAPPRYTRRIELPADAFKMDKIKAEMKNGVLRVAVPKLKEEERKDVFQVNVE >ONIVA06G08790.1 pep chromosome:AWHD00000000:6:6380708:6385330:1 gene:ONIVA06G08790 transcript:ONIVA06G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G22460) TAIR;Acc:AT1G22460] MPLRRKVRGAAAAGRRAAVRWWLLSLAATGATVTAAAALLAVALHVSSSAAASGPASSSGVPYRLSKQPREAGELRWEQEFAPPQLASPQSRKLDGAADDAGEKRWWLPAPSRGFTPCVAPSPAYKSPGPSRGYLLVLTNGGLNQMRAGISDMVAVARMLKATLIIPELDKKSFWHDKSNFSDVFDEEYFIHSLANDVKVEKKLPKDLVKAPKFVRYFKSWSGIDYYHDEIYPLWEHRQVIRAAKSDSRLANNYLPPDIQKLRCRAFFQALRFAPPIEALGNLLVERMRSFGPYIALHLRYEKDMLAFSGCTHGLSQTESEELAMIRENTSYWKVKDIDPLDQRSHGYCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHVVDLLSRFPIMMNKEKLASAEELRPFRQYASQMAALDYIVSVESDVFIPSYSGNMARAVGGHRRFLGHRKTIIPDRKALVRLFDKVDGGLLNEGERLSRRIIDIHRKRQGSPRKRKGPVSGTKGSDRFRSEEAFYENPLPDCLCQPESPASDASVVSI >ONIVA06G08790.2 pep chromosome:AWHD00000000:6:6380708:6385330:1 gene:ONIVA06G08790 transcript:ONIVA06G08790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G22460) TAIR;Acc:AT1G22460] MPLRRKVRGAAAAGRRAAVRWWLLSLAATGATVTAAAALLAVALHVSSSAAASGPASSSGVPYRLSKLDGAADDAGEKRWWLPAPSRGFTPCVAPSPAYKSPGPSRGYLLVLTNGGLNQMRAGISDMVAVARMLKATLIIPELDKKSFWHDKSNFSDVFDEEYFIHSLANDVKVEKKLPKDLVKAPKFVRYFKSWSGIDYYHDEIYPLWEHRQVIRAAKSDSRLANNYLPPDIQKLRCRAFFQALRFAPPIEALGNLLVERMRSFGPYIALHLRYEKDMLAFSGCTHGLSQTESEELAMIRENTSYWKVKDIDPLDQRSHGYCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHVVDLLSRFPIMMNKEKLASAEELRPFRQYASQMAALDYIVSVESDVFIPSYSGNMARAVGGHRRFLGHRKTIIPDRKALVRLFDKVDGGLLNEGERLSRRIIDIHRKRQGSPRKRKGPVSGTKGSDRFRSEEAFYENPLPDCLCQPESPASDASVVSI >ONIVA06G08780.1 pep chromosome:AWHD00000000:6:6376912:6379207:1 gene:ONIVA06G08780 transcript:ONIVA06G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYRASTDSHPVFLTLLPACPAENILLGFIGFPMNSGKSDDTAHERISIRNPSASHFHEWSSPKGIHITEQRTEEEEVALPRTPRVSIGGGLWACGRPGLLLFAAAIELASRCGHAGVAQRLHFVAVRRRMTWGTRMPGITGCCRLNTADSRANQGKMGRRFSSVTFPMIFWSHISVTQCHREYHGGMSPNLNPVIDLFHGANIIDAVVQYYGTNDIDIIPLISPCTLSHLYERDGDKFVDTEGLFVQRFLK >ONIVA06G08770.1 pep chromosome:AWHD00000000:6:6375435:6376625:-1 gene:ONIVA06G08770 transcript:ONIVA06G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASPSRGKWPSCRAQSCVFHACPREPYGHGNSSASPGIPSIQYIYELLITNQIASLFDGSKFALAFVRGLDLFNLEHAYLCPGIKIYCIGEHLAIEMYILIWSSRLPMMYLGLDHY >ONIVA06G08760.1 pep chromosome:AWHD00000000:6:6352704:6359005:-1 gene:ONIVA06G08760 transcript:ONIVA06G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFYLLSLPLTVGMVVATLRYFAGPAVPLHVLATVGYAWLCSLSFIVLVPADISTTITGSQEGDVGFFWSWTYWSTFFLSWSIVPTLQGYEDAGDFTVKERLKTSIHKNLVYYKIIGSIGLVGVILIITMRHDWAGGIMGFAMACSNTFGLVTGAFLLGFGLSEIPKNIWKTADWTRRQKFLYHRIANMAGKFDNAHQEYCHAIAVVQATSKQMTKREPLRPFMDIIDDMLAQMLRDDPLFKPSGGKLGEDDMDYDTDENTMASLRRQLRRANEEYYRCKSKYTSYVMEALELEDTIKNYEQRDANEWKYVSGLRESRSCTLGSFLDFIEFIWRCILRKQLLKVLAVILGCISAAILLAEATLLPSDVDLSLFSVLTNVVGKQEVLVQVVAFIPLMYMCICTYYSLFRIGMMVVYSLTPRQTSSVSLLMICSMVARYAAPISYNFLNLIHLGGNSKTTFEKRMGNIDDVVPFFGRSFNRIYPLIMVVYTLLVAGNFFRYVLEFFGSWKRFRFWTEQEEDHTDGFDPSGVLILQKERCWIEQGHKVGELVAPLARNFTGIYKDVESGNVQQDEETAGMKATTLPSEKEGRLQSKYASNVALKYSSIREQNSSHQAVKQAQTETQSTSVVPETGNSETPSSVSKEPDSSAGIASRWTLMKTGFQNFKANMSSKKFLPLSLSSTQSSSSGSLDEIFEGLKRHSSNASVDYLDDDDGI >ONIVA06G08750.1 pep chromosome:AWHD00000000:6:6351167:6351712:1 gene:ONIVA06G08750 transcript:ONIVA06G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGKSKEADAARCRRHPKHRHAAGVCPFCLRDRLSRLSAEVASAASPSPSSASSSGSSSSLCSSTGEGSYSAASATQVPPVGRRTRLGMLMRQEEQRETTATAATVLGAAGHDKKEVPPAEEEKKTARRSGFWARLQQQLHHGSWHRKADGCSLAHSKAVSEKAAAAAAAPAKRPPALF >ONIVA06G08740.1 pep chromosome:AWHD00000000:6:6347996:6350976:-1 gene:ONIVA06G08740 transcript:ONIVA06G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWSESAHAPVWLLLVRLDHFGVMGPAAGGSLSSPMNVCVPCTIYSHAQASAPTSVHATPQRACDLGRPGDAAPPRSYLLFNFSVVDDRARGGNTVVGHLHALRRCSRRGRTGAGNPRCHGRFPIAFVSPCPGSVARRWSRVPLAQWRCGLESAAASRGGEMTRPPHDTSLIHRAHLKK >ONIVA06G08730.1 pep chromosome:AWHD00000000:6:6339226:6347566:-1 gene:ONIVA06G08730 transcript:ONIVA06G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MPPPAEEFAVDDLDEFESRLDSFLNRFHADDLRRILLPDPDGKLHFPLVIDFAELLEFDPEVAHQLYDYPKDVLELFDAAAQRALDKFDAAARRADKTLDSQRKAGDETMEKKFVHVRVNTSGSALECPEASPSIGKVRVKHRGTLLTLKGTVIRSGGVKMIEGERKYQCRKCKCRFTVHPELEAGNRITLPASCKSKSAKGCGGANFQLIEDSITCHDYQEIKIQENIQLLGVGSIPRSMPIILMDDLVDIVKAGDDVVVTGRLSAKWSPDIKDVRSNLDPMLIANFVRRTNELKSDLDIPVEIINKFEEFWAASRATPLKGRNSILKGICPQIYGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLNTRTTVFGATNPKGQYDPNESLSVNTTLSGPLLSRFDIVLVLLDTKNKKWDKIVSSHILAENTEEKKGKTSDPEVMWTLSMLRRYIHYVKQHFKPVLTKEAERVISSYYQRQRQSGTRNAAHARLMFRNDVTKLDAIAAILCIESSMTTSAIVDTAGNALHSNFTENPDQECILKCDSIAYLSKNIKYLTDEISN >ONIVA06G08720.1 pep chromosome:AWHD00000000:6:6336106:6338524:1 gene:ONIVA06G08720 transcript:ONIVA06G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLALVALLLVSCAVVAAAATKYTVGDTSGWAMGADYTTWASDKKFKMGDTLVFNYAGGAHSVDEVSAADYAACTASNALQSDSSGTTTVTLKTAGKHYFICGIAGHCSNGMKLVVDVAAASPAPAPKAPSTTPTTPSTTPATPASPGTSSGLTPTTPATVLAPPAKQSAGAAGLRAGSWAMLGLAGLAAVQLGLF >ONIVA06G08710.1 pep chromosome:AWHD00000000:6:6331905:6332343:-1 gene:ONIVA06G08710 transcript:ONIVA06G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDFSSFKNRHFVDTVWMEENCYLISSGALRDSSATPSLSLDARFVDHSVWMHASWMRGPVHFDVIFNLNKF >ONIVA06G08700.1 pep chromosome:AWHD00000000:6:6329751:6330320:1 gene:ONIVA06G08700 transcript:ONIVA06G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERWPVVVEAKALIRASLLDHLNQEGGVHLGQEQQPAAAPRLIFAHRAPCGWSQRIGWSPPVMEIVCYFSFARRLYDMNENMMDVCYCATSSPSLYHAATSFHYSIVSYHSPPDYPLHYLS >ONIVA06G08690.1 pep chromosome:AWHD00000000:6:6309044:6310387:-1 gene:ONIVA06G08690 transcript:ONIVA06G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRPRHRHHALPLALALAAPLLLLAAADGQPNESRDKNNGGGGGGFMAPSGGGGGMGSQSPSFSAPMVVLLVALIAAFFFIGFFSIYIRRCGGEASTGPTIPAAALAALSRQEQRSRRQRGLDPAVVESFPTMKYAEARELRDGGKDAVLECAVCLSEFDDDEELRLLPKCSHAFHPDCIGEWLAGHVTCPVCRCNLAPDAAAAEANVVSGEVDGEQQQQQQQEEEVVVAIDVDREGEEEDEERRREAMELERIGSQRRAVRSRSGRPLPLPRSHSTGHSLATTRLGDAGDLERFTLRLPEHVRREMVAAAGEESLRRTAVREGRVGGGARSARIGRSDRWPSFIARTFSSRIPFWSASRRVLDAAEAGADAAATTTTTTPTSTARTKRDKTATAADGSVSSAKGSVRFDCLGGGGGGGGPSNRVVAFANDDEEDDDEKPIARQV >ONIVA06G08680.1 pep chromosome:AWHD00000000:6:6291182:6300274:-1 gene:ONIVA06G08680 transcript:ONIVA06G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) TAIR;Acc:AT1G28210] MKRSILGRQPTYAVETQNLFLLPQSTIPLPSPPRRLVEAARAASGIFSNPPLLPRSSSSSSSSHSLALGTSAPRASSTRLHGPRARPAARSPRAPPPPWMGRLGWLRLASRSLALRSGEVAAQGSKWIRHSTPCSSSGIYIGDKCYGRFMLTSFTLSRSFHATGQHSSPEKDYYKILGVPKDASQEEIKRAFHSLAKRYHPDTNRGNTAAKRTFQEIRDAYEANAHANDIEVEVNLSFRDAVKGCMKQVSFSAKNLCDSCDGRGYLANAKMYICPSCRGAGRVSINPFTSICTSCRGFGKVIKDYCLTCKGSGVVDGMKYGLILAIQFMYQRLDIVVDVEPYLEVASDPVFVRDGADIHVDKKISFTQAMLGGKVEVPTLDGTAEVKIPKGVQPGQVIVLRGKGLPNQAGYLGDQHVRFRIHFPSMVNERQRALLEEFAVEEATKEQSSFSAGNWWELVENMKGQTFLLGLGFLVLVHLLLTKTVN >ONIVA06G08680.2 pep chromosome:AWHD00000000:6:6291182:6300274:-1 gene:ONIVA06G08680 transcript:ONIVA06G08680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) TAIR;Acc:AT1G28210] MKRSILGRQPTYAVETQNLFLLPQSTIPLPSPPRRLVEAARAASGIFSNPPLLPRSSSSSSSSHSLALGTSAPRASSTRLHGPRARPAARSPRAPPPPWMGRLGWLRLASRSLALRSGEVAAQGSKWIRHSTPCSSSGIYIGDKCYGRFMLTSFTLSRSFHATGQHSSPEKDYYKILGVPKDASQEEIKRAFHSTLRDPSKREQYDMVFEHDVDAHANDIEVEVNLSFRDAVKGCMKQVSFSAKNLCDSCDGRGYLANAKMYICPSCRGAGRVSINPFTSICTSCRGFGKVIKDYCLTCKGSGVVDGMKYGLILAIQFMYQRLDIVVDVEPYLEAMLGGKVEVPTLDGTAEVKIPKGVQPGQVIVLRGKGLPNQAGYLGDQHVRFRIHFPSMVNERQRALLEEFAVEEATKEQSSFSAGNWWELVENMKGQTFLLGLGFLVLVHLLLTKTVN >ONIVA06G08680.3 pep chromosome:AWHD00000000:6:6291182:6300274:-1 gene:ONIVA06G08680 transcript:ONIVA06G08680.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) TAIR;Acc:AT1G28210] MKRSILGRQPTYAVETQNLFLLPQSTIPLPSPPRRLVEAARAASGIFSNPPLLPRSSSSSSSSHSLALGTSAPRASSTRLHGPRARPAARSPRAPPPPWMGRLGWLRLASRSLALRSGEVAAQGSKWIRHSTPCSSSGIYIGDKCYGRFMLTSFTLSRSFHATGQHSSPEKDYYKILGVPKDASQEEIKRAFHSLAKRYHPDTNRGNTAAKRTFQEIRDAYEANAHANDIEVEVNLSFRDAVKGCMKQVSFSAKNLCDSCDGRGYLANAKMYICPSCRGAGRVSINPFTSICTSCRGFGKVIKDYCLTCKGSGVVDGMKYGLILAIQFMYQRLDIVVDVEPYLEAMLGGKVEVPTLDGTAEVKIPKGVQPGQVIVLRGKGLPNQAGYLGDQHVRFRIHFPSMVNERQRALLEEFAVEEATKEQSSFSAGNWWELVENMKGQTFLLGLGFLVLVHLLLTKTVN >ONIVA06G08670.1 pep chromosome:AWHD00000000:6:6287022:6289649:1 gene:ONIVA06G08670 transcript:ONIVA06G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPAEIPPAAAAAAEAPAVPRSDDPPAASDPSSPPPPPPPVAVAAATADPPPPAQPQGQKTVTWSEKLTSESPTYVAAATAEAAESSQYVSRGPASSSSKGAVEAMKETLSRWGKSVGETTKMVESLSRDTWQHFKTGPSFTEAAMGRLAQGTKVLAEGGYEKIFRQTFEVLPEEQLKISYACYLSTSAGPVMGVMYISTAKIAFCSDNPLSYKAGNKTEWSYYKARIVVIPLHQLRAANPSVSKVNPAEKYIQVVSVEGHEFWFMGFLMYDKAVCSLQEAMNSAREMQP >ONIVA06G08660.1 pep chromosome:AWHD00000000:6:6283967:6284491:1 gene:ONIVA06G08660 transcript:ONIVA06G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPFHLDQFLTQMKKGKHHIKGLQNPRNEDADHLKSVGLSFLLVDAGQLGVAECSVGGPNQRGL >ONIVA06G08650.1 pep chromosome:AWHD00000000:6:6282396:6283956:1 gene:ONIVA06G08650 transcript:ONIVA06G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLPDPSHGGGCGGAPPSHDHTEDEWFKERYGGGGGGGDAPRSSRAVNPVPPYGRRSALAPRRKEDFGDGGAFPEVHVAQYPLDMGRRGGDGDGEQRGSSGGVLSLTVDGSGGRVEFDAVVRQGENAGKTVYSSPGDVLPKINAAAADADDDEQAAVEETTARTSAALRAIVEKRLSAVQPSNTLASNHDPEFIKYTPARQTSAFNSGAAERIIRMGGDPAGPARAAQKDHDEWKVPPSISSWKNPKGYSIPLDKRAALDGRGLHDVQVSDAFAALAEALYAAEQKAREAVETRAKVHTEMKMREKEKAEQHLLQLATKARAEMLGAAPPAPSERSKAAAERDAIREERRRERRLEARAAAAAASKKSAATRDRDRDVSERIALGMANTGGGGGEVTYDQRLFNQEKGMGSGFAGDDQYNVYSGRLFAAQPALSTLYKPSKHGEEDPDAYGDADEHLGKIAKTRRFVPDKAFTGAPASVAAGQEGEARRV >ONIVA06G08640.1 pep chromosome:AWHD00000000:6:6274166:6276640:1 gene:ONIVA06G08640 transcript:ONIVA06G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDFAASILLCAEDNTAILDLGEESEEISWVVGVDASLGDLSMDFPLQSDDCIEALLGREEQQHIPMEGYLQRLLLQPDELDLVAVRSDAIDWIWKVHELYKFGPLTAVLSVNYLDRFLSVFDLPVCDAKYVFETRTIKRMELAVLNALKWRMQAVTACSFIDYYLHKFNDDDTPSTSALSRSVDLILSTCKVAEFLVFRPSEIAASVALVALEEHETSMFERVATCYKNLKKERVLRCYEMIQDKIIMRNIMRQSAGSVFSIPKSPIGVLDAAACISQQSEDTFVGSPATNYESSASSKRRRICR >ONIVA06G08630.1 pep chromosome:AWHD00000000:6:6265031:6265736:-1 gene:ONIVA06G08630 transcript:ONIVA06G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGQRRDDGRRRHGGGEAHTLSDAAAAEEEEVRREPGGGGQVVHAELGALVIEVVVLCEGMCQRWWWRRRSDVLQHVKRRRRRAHGGGVAASVGEHDYGEAAAAATGECGCGWGEADADDSAALGFVVGGGSRHGRSKAGNAEQKGGGGLLPCRLLAAGAHRCGARPRLHLRAHLMSRVMETDTSSAWLLGSSLRVDTLVQEGFSAPST >ONIVA06G08620.1 pep chromosome:AWHD00000000:6:6262220:6264564:-1 gene:ONIVA06G08620 transcript:ONIVA06G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVCTNAFATAIAAGRFPLPRGRSPPYAAAAVPALRSRRCLPTRGLLRLRCARGVDWTDPSFVAVAEKPDAGAEAWKALASAGGGGIEEEEEEDGPFEAINGEGGYSVEESVVLPPFEQSLVAAVADSVGDDALSQALSSKLDFKETSTFVMYGSGAFIAGWILSAVVSAIDSIPLFPKILQIVGLGYTVWFSTRYLLFKENRDELFVKVDDLKRKITGYGDE >ONIVA06G08610.1 pep chromosome:AWHD00000000:6:6238049:6253479:-1 gene:ONIVA06G08610 transcript:ONIVA06G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGRWAWDVPGFEPPQPVVGAAAGMPLAPPTAMPRAPPTAMVARAAGADGAVVPVADRLDQLADSVQDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARITPLIHEKKRLFNDLLTLKGNVKVFCRSRPLFEDEGSSVVEFPDDFTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPLVQSALDGYNVAIFAYGQSRSGKTHTLVEGSSHDRGLYLRSFEELFDLSNSDTTSTSHFNFYITACELYNDQVRDLLSDSISPVPKVRMGVQESFVELVQEKVENPLEFSNSLKAALENRSANSLKAMVSHLIVTIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDANRDNLTDFLHVSKSLSALGDALASLSVKKEPVLSGNSRITQILADSLGSSSKTLLIVHVSPSASNLSRTLSTLSFSARAKNAELSLGNRDTIKKWKDVANDSRKELHDKEKEVLDLRQEVLGLKLSLKEANDQCTLLFNEVQKAWRVSSTLQADLKSENLMLAEKHRIEKEQNNQLRDQISRLLEVEQEQKIKMHERDLTIQSLQAKLKSIESQLNEALNSSDARSTIGSESASVISTPKMMESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLAEKSGLGSSPQAPSPSNKQTNAQGRDIGRSDSTKSQSSDVFPLPVSQDKAGNGGAIVKSSNELTKTTPAGEYLTSALMDFDPNQFEGVAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVKILYIRSLLARSPELQSIKVSPVERFLEKSHTSRSRSSSRGSSPGRSPVHHHHDHGSRTSLIDEHVHGFKVNIKPERKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFAIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDVAGASGQLELLSTAIMDGWMAGLGTARPPSTDALGQLLSEYTKRVYTSQLHHLKDIAGTLATEVADDPAHVSKLRSALESVDHKRRKIMQQMRTDTVLLTKEEGGSPIRNPPTAAEDARLASLISLDNIIKQVKEVMRQSSARPLRKSKKKALLESLDDLLAQMPSLLDVDHPCAQKQIMEARKVVESLQEDPDEPATDLNSNTLGESEVSQWNVLQFNTGTSAPFIIKCGANSSCELVIKADQKIQEPKGDEIIRVVPKPSVLAEMSFEEIKGVFEELPEAISLLALARTADGTRARYSRLYRTLANKVPALKDIVAEMEKGGVFKDVRS >ONIVA06G08610.2 pep chromosome:AWHD00000000:6:6238049:6253479:-1 gene:ONIVA06G08610 transcript:ONIVA06G08610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGRWAWDVPGFEPPQPVVGAAAGMPLAPPTAMPRAPPTAMVARAAGADGAVVPVADRLDQLADSVQLAREDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARITPLIHEKKRLFNDLLTLKGNVKVFCRSRPLFEDEGSSVVEFPDDFTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPLVQSALDGYNVAIFAYGQSRSGKTHTLVEGSSHDRGLYLRSFEELFDLSNSDTTSTSHFNFYITACELYNDQVRDLLSDSISPVPKVRMGVQESFVELVQEKVENPLEFSNSLKAALENRSANSLKAMVSHLIVTIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDANRDNLTDFLHVSKSLSALGDALASLSVKKEPVLSGNSRITQILADSLGSSSKTLLIVHVSPSASNLSRTLSTLSFSARAKNAELSLGNRDTIKKWKDVANDSRKELHDKEKEVLDLRQEVLGLKLSLKEANDQCTLLFNEVQKAWRVSSTLQADLKSENLMLAEKHRIEKEQNNQLRDQISRLLEVEQEQKIKMHERDLTIQSLQAKLKSIESQLNEALNSSDARSTIGSESASVISTPKMMESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLAEKSGLGSSPQAPSPSNKQTNAQGRDIGRSDSTKSQSSDVFPLPVSQDKAGNGGAIVKSSNELTKTTPAGEYLTSALMDFDPNQFEGVAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVKILYIRSLLARSPELQSIKVSPVERFLEKSHTSRSRSSSRGSSPGRSPVHHHHDHGSRTSLIDEHVHGFKVNIKPERKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFAIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDVAGASGQLELLSTAIMDGWMAGLGTARPPSTDALGQLLSEYTKRVYTSQLHHLKDIAGTLATEVADDPAHVSKLRSALESVDHKRRKIMQQMRTDTVLLTKEEGGSPIRNPPTAAEDARLASLISLDNIIKQVKEVMRQSSARPLRKSKKKALLESLDDLLAQMPSLLDVDHPCAQKQIMEARKVVESLQEDPDEPATDLNSNTLGESEVSQWNVLQFNTGTSAPFIIKCGANSSCELVIKADQKIQEPKGDEIIRVVPKPSVLAEMSFEEIKGVFEELPEAISLLALARTADGTRARYSRLYRTLANKVPALKDIVAEMEKGGVFKDVRS >ONIVA06G08600.1 pep chromosome:AWHD00000000:6:6235486:6235755:-1 gene:ONIVA06G08600 transcript:ONIVA06G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPLPPPAQPPPPPGAAGPDGAAALPPPPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDLTCNNESLRSRQIHPLDMSHLT >ONIVA06G08590.1 pep chromosome:AWHD00000000:6:6231669:6235287:-1 gene:ONIVA06G08590 transcript:ONIVA06G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYYILDGSIYQAPQLCSVFASRIGYASHLKSFYYGMFKVGENWAWYVETEPDTAASESKTQKEAIDLKELKRVDHILMSLQRKLQPAPPPPPFPEGYVPSEQEKASDDLLASEALPPQIEHAPWNTPSPAALRRSVVCYRAAFC >ONIVA06G08580.1 pep chromosome:AWHD00000000:6:6223433:6231278:-1 gene:ONIVA06G08580 transcript:ONIVA06G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWERGEEKMRRNGDVSHVHENAAARVRLDKVWGVHKNAAGTSVVAPNRRGDKRRHTHRTVVVALGSARFV >ONIVA06G08570.1 pep chromosome:AWHD00000000:6:6213568:6223160:-1 gene:ONIVA06G08570 transcript:ONIVA06G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIIDKYTTHSKNLGKTDKQPSIDLNFFLIILLRTYTNSYAYIHLLLQLEHSKCSSLNEQLAEASLQLRQMRGEELEGLSVEELQQMEKNLEAGLQRVLCTKDQQFMQEISELQRKGIQLAEENMRLRDQMPQVPTAGLAVPDTENVLTEDGQSSESVMTALNSGSSQDNDDGSDISLKLG >ONIVA06G08560.1 pep chromosome:AWHD00000000:6:6193327:6199126:-1 gene:ONIVA06G08560 transcript:ONIVA06G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGAEPAAVASAAAAEVEVKNPRCFMDVSIGGEIEGRIVIELYASVVPRTAENFRALCTGEKGVGAVTGKHLHYKGSCFHRVIKGFMVQGGDITAGDGTGGESIYGLKFEDENFVLKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSVEHAPVGEADRPTSDVEIVDCGELPEGADDGVVNFFNDGDTYPDWPNDLDEKPMEVSWWMDAVESAKAFGNNNFKKQDYKAALRKYRKALRYLDACKLKLGDLKGALLDADFALRESEGNAKAFFRQGQAHIALNDIDAAVESFKHALELEPSDGGIKRELAAAKKKIADRRNQERKAFARMFQPSGKSDKDNEGITRESAQLTQKTLTPYLDTRKKNTFPRECLGGVSVTRR >ONIVA06G08550.1 pep chromosome:AWHD00000000:6:6190490:6191605:-1 gene:ONIVA06G08550 transcript:ONIVA06G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVPAAARAERFVVGDAARWTWVFMYDPPNATTHAHSVYMMRNAADYQSCNLKAAKLVANVMQGAGSGYEFVLRKRKPHYFVCGERGGIHCTMGQMKFIVKPKSSACRDD >ONIVA06G08540.1 pep chromosome:AWHD00000000:6:6186593:6190419:-1 gene:ONIVA06G08540 transcript:ONIVA06G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLAYVDLLLLLLHATFWEFWFDIRTSLGTVLGDATARKVLHARNNVAHPSATAARPIARRCRPSQPSFSAAPLPLLSSTREGDARPSTNAACFRRLWGFAGTATCPHIPFPEDYCEPTEPCNNITCPQLCGKNARAYCKPGESVSSCCCHKQSNGDDKERDE >ONIVA06G08530.1 pep chromosome:AWHD00000000:6:6181773:6185385:-1 gene:ONIVA06G08530 transcript:ONIVA06G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNIKDTNRVMFLASLLLMAMVYSCAAQDDWCHAIVPCDSVTCPEYCQKRGYVYPYTTYCRPGQYYPICCCRQLTKPPNGDLRVLPNARAVLIRAVADAPGRREEQALHCLACKLGLAGDAVLATALLTRYARRGLLDPARRLFDEMPRRDAVAFNAMLAALVASRRMADARELFERMPDRTPASWNTMVTCYCKAGDLGSAREVFEASLGATASNVVSWNTMIDGYCKAGRMDAAQELFDCMGCSFSSSPSPDVVTWNTMMAGYLRRGDPATAIAMFRWLMRQTVQQQTLMMPTTVTIATVVTACTQAGDFAFGRRVHHYIRQLGTRIDAVLSNALIDMYFKCGSVDRALDVFATMPDGPNLFCWNTVIAGLGMNGRGEDAVRAFHDMVGRSRTCRGIIRPDGVTFVALLSACSHSGLVAEGRRFFAEMVPVHGVEPREEHYGCMVDLLCRAGLLGDAVRVVRAMPVRPNAKILGCLLLHARRLSSASEEDGVRVGEWVADRISELDLDDGAAYGLSNMYASLQRWDHVEMHRIKVNAAVRHKQPGQSSCMI >ONIVA06G08520.1 pep chromosome:AWHD00000000:6:6162208:6179378:-1 gene:ONIVA06G08520 transcript:ONIVA06G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAPAKVAAAAAIPLLTPYKMGQHELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLIAEATDISPTAQGYPETPGIYTQQQVEAWKPIVDAVHRKGSLFFLQIWHVGRVSTTDFQPNGQAPISSTDKQITPDDSGMVYSKPRRLRTDEIPQIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRTDEYGGSLENRCRFAVEVIDAVVAEVGAHRVGIRLSPFVDFMDCFDSDPVTLGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKQFNGTFIAAGGYDRKEGNKVVADGYADLVAYGRLFLANPDLPRRFEINAPLNKYDRSTFYTQDPVVGYTDYPFLEEIDEDVDQSIPDEIACAHTWQSRRPPTPINTTKHQPHWKQSNTQHNRAAAAAARNRAISRRTNKLLRAKMVHAPAKEASAAAAAIPLLTPYKMGQHELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLIAEATDISPTAQGYPETPGIYTQQQVEAWKPIVDAVHRKGSLFFLQIWHVGRVSTTDFQPNGQAPISSTDKQITPDDSGMVYSKPRRLRTDEIPQIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRTDEYGGSLENRCRFAVEVIDAVVAEVGAHRVGIRLSPFVDFMDCFDSDPVTLGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKQFNGTFIAAGGYDRKEGNKVVADGYADLVAYGRLFLANPDLPRRFEINAPLNKYDRSTFYTQDPVVGYTDYPFLEEIDEEFATALSYNISIPMLSSQLLSKAAQVYNVIEDEHIVQGGTKGQHIHPQTTRTVVFFPQPSSLLSLQTSMASSPPVLKCCCRFPVTVKTSRTDRNPGRRYLVCAREKSGYQSIPEEIACALQDKMVHAPAKEAIPLLTPHKMGQLELSHRVVLAPLTRCRSYGHVPQPHAAVYYSQRATNGGLLIAEATVISPTAQGYPDTPGIYTQQQIEAWKPIVDAVHRKGALFFLQIWHVGRVSTTDFQPNGQAPISSTDKQITPDDSGMVYSKPRRLRTDEIPQIVDDFRRAARNAIEAGFHGVEIHGAHGYLLEQFMKDSANDRTDEYGNKVVADGYADLVAYGRLFLANPDLPRRFEINAPLNKYDRFATVLSYSISIPMSSAELLSKVAQVYNVIEDEHIVQGSTSLSDDRRSVAGGDLRPVESGGGRRAAASGGARR >ONIVA06G08500.1 pep chromosome:AWHD00000000:6:6148651:6150096:-1 gene:ONIVA06G08500 transcript:ONIVA06G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMP5] MDTGRSKSSSRLHLVIFPWLAFGHLLPYLELAERVASRGHRVSFVSTPRNLARLPPVSPAAATRVDLVALPLPRVQGLPDGTECTNDVPSGKFELLWKAFDALAAPFAEFLGAACDAAGERPDWIIADTFHHWAPLVALQHKVPCAMLLPSASMMAGWATRSSEPAGASIFHVLGAVEERREGVPRYEWGQKARFFIDHGASGMSVAKRCSLAMERCTLAAMRSCPEWEPDAFQQVAAGLKNKPLIPLGLVPPSPDGGRRRAGMTDNSTMRWLDVQPAKSVVYVALGSEVPLPLEQVHELALGLELAGTRFLWALRKPHGVDLSDVLPPGYQERTKSHGHVAMGWVPQITILAHAAVGAFLTHCGRNSLVEGLLFGNPLVMLPIFGDQGPNARLMESNKVGSQVRRDDMDGSFDRHGVAAAVRAVMVEEETRRVFVANAIRLQEIVVDKELHGRYIDEFIQQLVSQGADGSCNTAALVPSS >ONIVA06G08490.1 pep chromosome:AWHD00000000:6:6142888:6146241:-1 gene:ONIVA06G08490 transcript:ONIVA06G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAQAATEAAIPLMAPYKMGRSLRIPNGQAPISSTDKQITPDDSHMVYSKPRRLRTDEIPQIVDDFRVAARNAIEAGFDGVEIHGAHGYLIDQFMKDSANDRTDQYGGSLENRCRFAVEVIDAVVAEVGADRVGVRLSPYIDFMDCFDSDPEALGSYMVQRLNKYPGLLYCHMVEPRMAIVEGRRKITHGLLPFRKQFNGTFIASGGYDREEGNKVVDDGYADLVAYGRLFLGNPDLPRRFELNAPLNKYDRSTFYTHNSVVGYTDYPFLEEKKEDSATRPHSHTNQS >ONIVA06G08490.2 pep chromosome:AWHD00000000:6:6141356:6146241:-1 gene:ONIVA06G08490 transcript:ONIVA06G08490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAQAATEAAIPLMAPYKMGRMGRFELSHRVVLAPLTRNRSYGNVPRPHAVLYYTQRATSGGLLVTEATGVSATAQGYPDTPGIWTQQQVEAWKPIVDAAPISSTDRQITPDDSGIVYSKPRRLRTEEIPQIIDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRSDEYGGSLENRCRFVVEVIDAIVAEVGAHRVGIRLSPFIDYMDCVDSDPVALGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKLFNGTFIAAGGYDREEGNKVIADGYADLVAYGRHFLANPDLPKRFAINAPLNKYNRSTFYIQDPVVGYTDYPFLDEKDEGAATYA >ONIVA06G08490.3 pep chromosome:AWHD00000000:6:6141356:6142876:-1 gene:ONIVA06G08490 transcript:ONIVA06G08490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAEKDAAAAAAAEIPLLTPYRMGRFELSHRVVLAPLTRNRSYGNVPRPHAVLYYTQRATSGGLLVTEATGVSATAQGYPDTPGIWTQQQVEAWKPIVDAAPISSTDRQITPDDSGIVYSKPRRLRTEEIPQIIDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRSDEYGGSLENRCRFVVEVIDAIVAEVGAHRVGIRLSPFIDYMDCVDSDPVALGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKLFNGTFIAAGGYDREEGNKVIADGYADLVAYGRHFLANPDLPKRFAINAPLNKYNRSTFYIQDPVVGYTDYPFLDEKDEGAATYA >ONIVA06G08480.1 pep chromosome:AWHD00000000:6:6120780:6141330:-1 gene:ONIVA06G08480 transcript:ONIVA06G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLVISSQPKAFIKKSSRAKFRSKQERGRSVEKGRGEMVNQAAMPLLTPYKQAGGKIDLSHRVVLSPMTRCRSYGNVPQPHAALYYTQRATRGGLLITEATGVSDTAQGYPETPGVWTQEHVEAWKPIVDAVHRKGALFICQLWHVGRVSTNDYQPNGQAPISSSDIQITPDGSGIVYSKPRRLRVDEIPQIVDDFRRAARNAIEAGFDGVEIHGANGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVIDAVVGEIGAHRVGIRLSPFLDFMDCVDSDPEALGSYMVEQLNKHEGFLYCHMVEPRMAIVDGRRQIQHGLLPFRKAFKGTFIAAGGYDREEGNKVIENGYTDLVSFGRLFLANPDLPKRFELDAPLNKYDRNTFYTQDPIVGYTDYPFLDEDQNNSQSPINRDKMVQHHQAAANDDHQAIPLLTPYKQAGGKIDLSHRVVLSPMTRCRSYGNVPQPHAALYYTQRATRGGLLITEATGVSDTAQGYPETPGVWTREHVEAWKPIVDAVHRKGALFFCQLWHAITPDGYGMVYSKPRRLRTDEIPQIVDDFRLAARNAVEAGFDGVEIHGANGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVIDAVVGEIGAHSVGIRLSPFLDYMDCVDSDPEALGSYMVEQLNKHEDFLYCHMVEPRMAIVDGRRQIQHGLLPFRKQFNGTFIAAGGFELDAPMNNYDRNTFYTQDPVVGYTDYPFLDEHHHDDDDDSNAPSA >ONIVA06G08470.1 pep chromosome:AWHD00000000:6:6112329:6118226:-1 gene:ONIVA06G08470 transcript:ONIVA06G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQASAAAEKAVVAPYGSWESPISAAAVSAAGRTVEGLAVAGDGRLLWVETRPEEGGRAVLVKEAAEPGGDAVDVTPEGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNSAQPLTPDYTGSVLRYADGVFDPHFCRYVTIMEDHRKDSSNPVTTIAAVTISERDANEPTVLVSGNDFYAFPRIDPIKRRMAWIEWSNPNMSWDKAQLWVGYFSEKGEVHNKICIAGGDPTLVESPTEPKWTSKGELFFITDRESGFWNIYKWDEESNLTVQLYSLDAEFSKPMWIFGVSSYGFLGKDDTSNKIVCCYRQNGRSCAGVLDHDSGSFSELDIPFSSVTNIVSGDGFFYVEGASATLPVSIAKVTLDEKRKTATNFSIVWSSSEDVMQYASYFSLPEFMEFPTVVPGQKAYAYFYAPHNHIFQGSSDEKPPLLVRTHGGPTDEARGVLDLGVQYWTSRGWAFVDVNYGGSTGYGRKFRERLLGQWGVVDVNDCCSCATFLVETGRVDAQRLCVTGESAGGFTTLACLAFRQIFKAGNRKAYFERSPINFVDRFSCPIILFQGLEDTVVSPVQATTIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGHFKVADGITPIKIDNFDEPSL >ONIVA06G08470.2 pep chromosome:AWHD00000000:6:6112329:6118226:-1 gene:ONIVA06G08470 transcript:ONIVA06G08470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQASAAAEKAVVAPYGSWESPISAAAVSAAGRTVEGLAVAGDGRLLWVETRPEEGGRAVLVKEAAEPGGDAVDVTPEGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNSAQPLTPDYTGSVLRYADGVFDPHFCRYVTIMEDHRKDSSNPVTTIAAVTISERDANEPTVLVSGNDFYAFPRIDPIKRRMAWIEWSNPNMSWDKAQLWVGYFSEKGEVHNKICIAGGDPTLVESPTEPKWTSKGELFFITDRESGFWNIYKWDEESNLTVQLYSLDAEFSKPMWIFGVSSYGFLGKDDTSNKIVCCYRQNGRSCAGVLDHDSGSFSELDIPFSSVTNIVSGDGFFYVEGASATLPVSIAKSPARRLMLISMPRTIIFSKGRQMRNLRYWSEPMVDLQMKHVEFWILVFSTGQAEDGHLLMLTMGEAQVETGRVDAQRLCVTGESAGGFTTLACLAFRQIFKAGNRKAYFERSPINFVDRFSCPIILFQGLEDTVVSPVQATTIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGHFKVADGITPIKIDNFDEPSL >ONIVA06G08460.1 pep chromosome:AWHD00000000:6:6104133:6111612:-1 gene:ONIVA06G08460 transcript:ONIVA06G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLASISPARLSASAPHLLLRALPRIRLRRPLASAPRMSSSSSSTTAASTAAAAADGGGTGEKPVAAPYGSWRSPITADVVSGADKRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEDDKPVDIIPQEFAARTLAQEYGGADVPVPLTPDYGGPDVSYADGVFDPHFSRYVTVIEEPKVLISGNDFYAFPRIDHNNKRMAWIEWSHPNMPWDKSELWVGYFSESGDLTKRVCVAGSNPMLVESPTEPKWSPKGELFFLTDRGSGFWNIYKWVEHTNEVISVYTLDAEFTRPLWVFGISSYGFLGESNHIVFSYRQHGRSYLGVLDSDIGSVSLLDTPFTDLSNVVTGNDYFYIEGASATVPMSIAKVALNEDRTKVVSFSIIWSSSSDVVQYSSFFSAPEFVEFSTSSTGQKAYAYFYPPSNPNFQGLPDEKPPLLVKTHGGPTAETRGILDLSVQYWTSRGWAYLDVNYGGSTGFGREYRERLLGKWGIVDVDDCCSCARVLVESGKVDERRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYTDNLVGNENAYYERSPINFVDKFTCPVILFQGLDDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGNFKVADDITPIKIENFD >ONIVA06G08460.2 pep chromosome:AWHD00000000:6:6104131:6111612:-1 gene:ONIVA06G08460 transcript:ONIVA06G08460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLASISPARLSASAPHLLLRALPRIRLRRPLASAPRMSSSSSSTTAASTAAAAADGGGTGEKPVAAPYGSWRSPITADVVSGADKRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEDDKPVDIIPQEFAARTLAQEYGGADVPVPLTPDYGGPDVSYADGVFDPHFSRYVTVIEEPKVLISGNDFYAFPRIDHNNKRMAWIEWSHPNMPWDKSELWVGYFSESGDLTKRVCVAGSNPMLVESPTEPKWSPKGELFFLTDRGSGFWNIYKWVEHTNEVISVYTLDAEFTRPLWVFGISSYGFLGESNHIVFSYRQHGRSYLGVLDSDIGSVSLLDTPFTDLSNVVTGNDYFYIEGASATVPMSIAKVALNEDRTKVVSFSIIWSSSSDVVQYSSFFSAPEFVEFSTSSTGQKAYAYFYPPSNPNFQGLPDEKPPLLVKTHGGPTAETRGILDLSVQYWTSRGWAYLDVNYGGSTGFGREYRERLLGKWGIVDVDDCCSCARVLVESGKVDERRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYTDNLVGNENAYYERSPINFVDKFTCPVILFQGLDDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGNFKVADDITPIKIENFD >ONIVA06G08450.1 pep chromosome:AWHD00000000:6:6098883:6102725:-1 gene:ONIVA06G08450 transcript:ONIVA06G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49590) TAIR;Acc:AT1G49590] MTEYWVSQGNKWCDFCKIYIANNPLSIRTHEIGKRHKDNVTKRLATMQKEGAAKEKEQQQAARALQQIEAKAKKSYQKDLENSQRNVDGDTSAAPGDGWEFDSTSGYYYDKSTGLYFDSNSGFYYSDGLGKWVTQEEAYAWAKTSQANAGQSSSSQTKPTASVATVPTVKGGQAPGLVVKKPLNPMRTVKGAPSAIAVNKRKREDGKPKVISKEEEAALKAREAARKRMEDREKPLMGLYRSRREYDLRLELHLSCCK >ONIVA06G08450.2 pep chromosome:AWHD00000000:6:6099452:6102725:-1 gene:ONIVA06G08450 transcript:ONIVA06G08450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49590) TAIR;Acc:AT1G49590] MTEYWVSQGNKWCDFCKIYIANNPLSIRTHEIGKRHKDNVTKRLATMQKEGAAKEKEQQQAARALQQIEAKAKKSYQKDLENSQRNVDGDTSAAPGDGWEFDSTSGYYYDKSTGLYFDSNSGFYYSDGLGKWVTQEEAYAWAKTSQANAGQSSSSQTKPTASVATVPTVKGGQAPGLVVKKPLNPMRTVKGAPSAIAVNKRKREDGKPKVISKEEEAALKAREAARKRMEDREKPLMGLYRSY >ONIVA06G08440.1 pep chromosome:AWHD00000000:6:6090012:6091259:1 gene:ONIVA06G08440 transcript:ONIVA06G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVRPASPPPRHHDFRFDSPAASPYATALSSPRGRLATATFLTAPPSPDPFEAIMAAQQQPETPRLTRANPFDLFQHFSSAPASPRRAAAIYAHFAEGGNGGGRDDGEDEEEEEDDDDEGFRPRAKYTVNASSVPFDWEERPGTPKAGLGGGGGGAAWDTDFEFGTVVDKAAPEENLTTADELFEKGKIRPLKAPLPKTADELFDKGKVRPLKPPPGLLDGGSVASSPRSPMSRGGGMWSPRRRSRVGSGVDFDPFAAALLEATKAPSPSPSPLGVAASGSPAKKADQFTTRPASKSAGWRRWRLSDLLLFRSSSEHGRVTKDPIFKSSPARHPDSPVKKASARPTTTPGKANGKADTASKPRKHAGDKNAAAAAEGILGSVRLSPLQRLARGLRGSSWYHGHGGMAKLGTKG >ONIVA06G08430.1 pep chromosome:AWHD00000000:6:6079219:6085502:-1 gene:ONIVA06G08430 transcript:ONIVA06G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGLPSRLFRLMASSSSGDGGFSRPSSTDEGPMPIYSWPDKQRPRVCILGGGFGGLYTALRLESLVWPNDKKPQVMLVDQSDRFVFKPMLYELLSGEVDVWEIAPSFTELLKNTSVQFVKDSVKLLRPSDHFRRDSGGSCTARLVLALGAEAKIDVIPGSAEYAIPFTTLDDALKVESQLKMLERRRFGKNSPDIQVAIVGLGYSGVELAATISERLKNKGIVQAINVQTTICPSAPPGNRDAALKVLESRNIQLFLGYFVNCIREASASEDSSSMVTDAKEVNGDHKKLLLELQPAQRGIQSQVLEADMVLWTVGSTSQIPRLQPPDAPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRSDAAITASFIEGLTLEGPLGHAARKIVYCLRMPTDEHRVKVGISWFTKTAVDSLASLQNAVANSFPSPDPATNRSPSAMDPDSEVAFDFQPYLCQYKSGRVFRPGGDPTVPAGTDPVTRVVSRDIHAGAARARVYLPPGAAVSTEKLPVVVYFHGGGFVTGSPARPSTHAYLNDLVARAGAIGVSVYYRLAPENPLPAAYEDAWAAVRWAATRGDGADPWLLDHADLSRLFLAGCSAGANIAHNMAVRCGGGGALPDGVILRGLVVVHPYFTGKEAVGAEAAFGPDVREFFDRTWRFVFPETSGLDDPRVNPFVDDATRAAAAAIPCERVQVCVAEQDVLLKERGLWYHRELKASGYGGEVELFESKGVGHAFHFVGMAGSDQAVELLERNVEFIKK >ONIVA06G08420.1 pep chromosome:AWHD00000000:6:6077339:6078328:1 gene:ONIVA06G08420 transcript:ONIVA06G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAGADEEVDFEFFPIIRRYKGGRVERFMNIPPLPAGTDPATGVTSKDVVVDPAVGLWARLFLPPGGGAPQGKLPVVVYYHGGAYVVGSAADPFTHSYLNGLVAEAGILAVALEYRLAPEHHLPAAYDDSWEGLRWVASHANGGGGVEPWLLEHGDFSRVFLAGASAGGNIAHYVAARAGEHGGLGLGIRGLLVVHPYFSGAADICAEGTTGKAEKAKADEFWRFIYPGSPGLDDPLSNPFSDAAGGISAARVAADRVLVCVAEKDSLRDRGVWYYESLKASGYAGEVDLLESMGEGHVFYCMDPRCERAREMQARILSFLRK >ONIVA06G08410.1 pep chromosome:AWHD00000000:6:6057843:6058811:1 gene:ONIVA06G08410 transcript:ONIVA06G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDADADAVAVELLPFIRVYVSGRVERLLGTDTVAASLDEPTGVASKDVTVDPATNLSVRLYLPPAVAAGERLPILVYFHGGGFMVESATSPTYHRYLNALASRARVVAVSVEYRLAPEHPLPAAYDDSWAALAWAVATAAAPGAVDPEPWLAAHGDASRVFIAGDSAGANIAHNVAMRAAAAPLPGGAGITGVLLMHPYFWDASNTMGPALEDRIRREWRFMCGSPDVRVDDPRLSPTVQQGAPSLAALPCRRVMVAVAGDDFLAGKGRAYHAALVASRWHGEAELVDTPGEDHVFHLTRPGTAAAAKMMDLVVDFVTR >ONIVA06G08400.1 pep chromosome:AWHD00000000:6:6056881:6057290:1 gene:ONIVA06G08400 transcript:ONIVA06G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTSRGRFDLTKIVDAIERHRFNQVYDVGADNIMRAVEVTQEAITVWHVEAESAANGVGLIKLMGWSASHIVLHTMLISRRLLPHPGGGLNKLP >ONIVA06G08390.1 pep chromosome:AWHD00000000:6:6051544:6055634:1 gene:ONIVA06G08390 transcript:ONIVA06G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLGPAAAAALGGRSAARWCTYRRVTVAVCLGNLVAVLLVVRSLYSAPGYFASAPRRVAVKYSEEQIRLVEESIRIRRAAVSVELVEAVKKLEKVFAREEKRRKELPLELKQKVSYEILERMRDLGENSNTTEQREALESWRLEKLKDIRSASAQNLSMSDLSNEESRMLKRALELNWRMLMEDIGLWIPVSVWHTEHDDKPENEPEEEEIIAGPPLPPECNAQVHTDYGGAAVRWGLTHHKESAADCCQACLDQAKRARPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDRYSESYRDAHPSAPVVVPWMSGVITV >ONIVA06G08380.1 pep chromosome:AWHD00000000:6:6044456:6044803:1 gene:ONIVA06G08380 transcript:ONIVA06G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTGGGGGGGGSAGSRRPLGFLKNAAKHKHGFVQLLLMGSVLMMSLRTLGQKHRRRDLIYDNADLRREHDDLSLRMRDVQDALRREADADESGALASHLRRIFAAHPASTDDK >ONIVA06G08360.1 pep chromosome:AWHD00000000:6:6029718:6031866:1 gene:ONIVA06G08360 transcript:ONIVA06G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLKSAQLLEQMRLHMATDAGKDIAKKVGLVYQFNIAPKKIGVDEEIFVVDLKKGEVTKGPYEGKPDATFSFTDSDFLSIATGKMNPQIAFIRGAIKIKGSISAAQKFTPDIFPKPSKL >ONIVA06G08360.2 pep chromosome:AWHD00000000:6:6029718:6031616:1 gene:ONIVA06G08360 transcript:ONIVA06G08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLKSAQLLEQMRLHMATDAGKDIAKKVGLVYQFNIAPKKIGVDEEIFVVDLKKGEVTKGPYEGKPDATFSFTDSDFLSIATGKMNPQIAFIRGAIKIKGSISAAQKFTPDIFPKPSKL >ONIVA06G08350.1 pep chromosome:AWHD00000000:6:6020756:6026053:1 gene:ONIVA06G08350 transcript:ONIVA06G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPADGSALARQGSIYSLTFDEFQSALGSAGKDFGSMNMDELLRNIWTAEESQAIAPAAAAASAAAVVGDAQQQQQPIQRQGSLTLPRTLSQKTVDEVWRDIMGLGGGDDEDPAAAAAAAAPAQRQPTLGEMTLEEFLVRAGVVREDMGQTIVLPPQAQALFPGSNVVAPAMQLANGMLPGVVSVAPGAAAAMTVAAPATPVVLNGLGKVEGGDLSSLSPVPYPFDTALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEQKAELQKKQVEMIQKQKDEVMERITQQLGPKAKRFCLRRTLTGPCCCICSVCCCCVDMSSRLQFSDSVLYPSLRGFSFSDLHVI >ONIVA06G08340.1 pep chromosome:AWHD00000000:6:6010119:6010976:-1 gene:ONIVA06G08340 transcript:ONIVA06G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRFVALSFIVLLSIGLSNAARVARYASAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQSSGSGGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGAYAQGGGGGGGGGGGQNGGSGYGSGFGSGYGQASGYGPYGGNAYAQGGGQGGGGGGGQNGGSGSGSGSGSGYGQAGGYGPYYGPYGGGGAYAQGGGQGGGGGGGQNGGSGQGSGSGSGYGQAGGYGPYYGGYWPYGGGYAQAGGQGGGGGGGQSVPGGSGSGSGSGSGSGSAGVRYP >ONIVA06G08330.1 pep chromosome:AWHD00000000:6:6007925:6009270:1 gene:ONIVA06G08330 transcript:ONIVA06G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATPTSTISPAARGKSAAIDADECIQWLDSKDPSSVIYVSFGSIARTDPKQLIELGLGLEASAHPFIWMVKNAELYGDTARELFPRFEISGVGTVNADPVARHGRWLRDALRVNSIMEAVATRLPMVTWPHSVDQLLNQKMAVEVLGIGVGVGLDESVTEGHCGGEGGGGEGNREHT >ONIVA06G08320.1 pep chromosome:AWHD00000000:6:6001755:6005176:1 gene:ONIVA06G08320 transcript:ONIVA06G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDCRRRDVTLGDEFMVLQPENGGARSLADLLLSCKVGENKAVRCGRPGAEVAPPWHRWIIAVSLLAQMLLRLSKGVMAKVGRAVEYWMNLVSENDNVLGLIRNALHGKVKTPDRNSPNYRSFIGLLDTRIDLDEKIKPGDSNYHAALCIMAAKLAYENELVIKDAVEKNWKMTFLEFFNCWNDFQNDYTTQAFMFTDKPEDAELVVVAFRGTQPFDMEQWSTDVDISWYEIPGVGKVHGGFMKALGLQNNAAAGKKPSWPAEIAPPSAAKNSEKTKSFAYYAIRARLRAFLAANPRARLVVTGHSLGGALAALFPVVLALHGGEADAAALGRLDGVYTYGQPRVGDAALGEWVAAASALEGKHLRFVYCNDVVPRVPYDDAAFLFRHFGRCVYFDAAYRARAMAEEPNKNYFSPAFALAKHANAAWELARGLAIGRVAGGEYAEGWAMRAARVVGLVFPGLPPHAPQDYVNATRLAGASLRKLLD >ONIVA06G08310.1 pep chromosome:AWHD00000000:6:5986809:5991033:1 gene:ONIVA06G08310 transcript:ONIVA06G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYSTAGARPYTAGGGGAAFRLPAAAAPGGVGGGAPIEIQAPREKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGILAKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLGDGLPKFIKSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEQIYKHAVPVPKSECSKSFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPATSDAGLKAVSA >ONIVA06G08300.1 pep chromosome:AWHD00000000:6:5981461:5986464:-1 gene:ONIVA06G08300 transcript:ONIVA06G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGNGGGVRRRRWNLYWCYVCRRALRVVVPSATSDVYCPRCFGRFLHEIDLPVPRVSPPAEDQFFQPPFLPYDGPRRWVLYTGGGGGGDYGGADVTARRRRLPSPPPAPGTRRQDGAGDGDPPPPAPAIDPGEYFAGPDLNALIDALTQDDRPGPPPAPESAIESLPTVHISPDHLPADGGSECPVCKEEFELGEAARELPCKHAYHSDCIVPWLRLHNSCPVCRQEVPPPPEPDGESPGIDGGGDDGGGGGGEPAEPPRPAMAGWDPIALLAIALRPDLNGWENSHGRSESEADDDEVAGGSGMPRMLARDAVLRFAVRLFIVIVVSSRSSSADDGGGGGGVDFIYQGFQHAANLTMDGSAKVLHGGALQLTNDSNRLVGHAFHAAPVRFLDDGAGGGGGVVSSFSTAFVLDIVTVGSGGGHGLAFVVAPSITLPGASPEIYLGILGPHTNGNASDHVFAVEFDTVMDLEMNDTNGNHVGVDVNSLVSVVSEPVAYYAGDGSTKVPVQLESAQQIQAWIDYDGGSSILNVTVAPATVTERPRRPLISTKLDLLPIFKENMYVGFSSATGKLASSHYILAWSFRTNGVARSIDLRRLPKVPRQSSPPPRLLIIKFAAVACAGTLTLIAAAMVAVLWLRRRAALADTLEEWELEHPQRIPYKELYKATKGFKESELLGAGGFGQVYRGVLRRRSGEAVAIKRISNGTRQGMREFVAEVASLGRMRHRNLVELRGWCKHDQDLLLVYEFMPGGSLDARLFGTAAAAAAAAVKAPPPPLLTWAQRFAILKGVAHGLLYLHEEWEHVVVHRDVKANNVLLGAGDTGAARLGDFGLARLYEHGATPATTRVAGTLGYMAPELTFTSRATTATDVFSFGALLLEVACGRRPIEPAAGEADGDVLLVRWVRDRALDGDGGGDVLRAVDPRLEGCYDEEEARLVLWLGLMCSQARPEARPSMRQVCRYLDGEEMLQEDATPAAIFSGADSSDLFGGSFVVSMTSSSAGGTMSASSLQGGR >ONIVA06G08290.1 pep chromosome:AWHD00000000:6:5978336:5979879:1 gene:ONIVA06G08290 transcript:ONIVA06G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATSKCQTRHTHTEQQQQQHQTARHNERSLAFQAIKRFDMVKTAASNGAAAARRVGGGGDGKRAAYKGVRMRSWGSWVSEIRAPSQKTRIWLGSYSTAEAAARAYDAALLCLKGSAAADLNFPVRLPFDLPAAAMSPKSIQRVAAAAAANANANASSSCSAAVFAGVDDSGGASASEASTPACSSSDGAASPSPVSSPETVISDVDVDYSLLADIEAFFQSPKCMEYAMMDPCSAFFAPPPPPAMAMEEECGWEEEGDIALWSFSSLD >ONIVA06G08280.1 pep chromosome:AWHD00000000:6:5968386:5975259:1 gene:ONIVA06G08280 transcript:ONIVA06G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HML8] MKKNEAESLKPHSNLTMPPLRRRHRRRLLAAVVFLLLSAVVAHPAAGAFTELESAQIGRFQDYLRIRTAHPSPDYAGAAAFLLPYAASLGLRAATLHFTPCKSKPLLLLTWPGTDPSLSSLLLNSHLDSVPAEPQQWLHPPFAAHRDAATGRVYARGAQDDKCLPIQYLEAIRGLRDAGFAPTRTLHISLVPDEEIGGADGFEKFAQSEEFRDLNVGFMLDEGQASLTDEFRVFYGDRLVWRLIVKATGAPGHGSKLFDGAAVENLMDCVETIAGFREAQFGMVKSGKRGPGEVVSVNPVYMKAGTPSPTGFVMNMQPSEAEVGFDFRLPPTEDVEHIIRRIKEEWAPAHKNLTYKKGPTRDLAGRPMVTPTNASNPWWSVFEQAIISAGGKLAKPEILSSTTDSRFIRQLGIPALGFSPMTNTPILLHDNNEFLEDKVFLRGIKVYEHIIRALSSFKG >ONIVA06G08280.2 pep chromosome:AWHD00000000:6:5968386:5975411:1 gene:ONIVA06G08280 transcript:ONIVA06G08280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HML8] MKKNEAESLKPHSNLTMPPLRRRHRRRLLAAVVFLLLSAVVAHPAAGAFTELESAQIGRFQDYLRIRTAHPSPDYAGAAAFLLPYAASLGLRAATLHFTPCKSKPLLLLTWPGTDPSLSSLLLNSHLDSVPAEPQQWLHPPFAAHRDAATGRVYARGAQDDKCLPIQYLEAIRGLRDAGFAPTRTLHISLVPDEEIGGADGFEKFAQSEEFRDLNVGFMLDEGQASLTDEFRVFYGDRLVWRLIVKATGAPGHGSKLFDGAAVENLMDCVETIAGFREAQFGMVKSGKRGPGEVVSVNPVYMKAGTPSPTGFVMNMQPSEAEVGFDFRLPPTEDVEHIIRRIKEEWAPAHKNLTYKLMQKGPTRDLAGRPMVTPTNASNPWWSVFEQAIISAGGKLAKPEILSSTTDSRFIRQLGIPALGFSPMTNTPILLHDNNEFLEDKVFLRGIKVYEHIIRALSSFKG >ONIVA06G08270.1 pep chromosome:AWHD00000000:6:5963959:5965705:-1 gene:ONIVA06G08270 transcript:ONIVA06G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLANYPTWKAIVLVFSLRIAADQCWCGHSAYKKLVREKDSKVLNTEAFNTVVVWPDCLSNLEGTK >ONIVA06G08260.1 pep chromosome:AWHD00000000:6:5958110:5961137:1 gene:ONIVA06G08260 transcript:ONIVA06G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HML5] MGVGRALSDAKPYVAMVLLQVGFAGMYIVSVASLKRGMNHFVLVVYRNLVATVLMAPFALLLERGVRPKMTVRIFLKIMGLAILEPVLDQNLYYMGAKLTSAGFASALVNILPAVTFLLAVLLRMEKVRLRSLHSQAKIAGTVFTVAGAVLMIMYHGPVVQFPWSSSASGSAGHHVDGAAAAAATASSASSWLNGTVMLVGSCVCWSGFFILQNNTLQSYPAELSLTALICVLGSAMSGAVALVAERRDMSVWVIGFDTRLFTAVYSGIVCSGVAYYVQGLVTRARGPVFVTAFQPLCMIITAVLGSTILKEEITLGSVIGAVIIVVGLYALIWGKGGDHADNGKPPTAAAAAAPEKGLPLTTLQANGDGDGKLAVLVADVEMPAVKDVY >ONIVA06G08250.1 pep chromosome:AWHD00000000:6:5949765:5952987:1 gene:ONIVA06G08250 transcript:ONIVA06G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAASPGDARPRCTALQNLPLTLLVSDLALLHCVPLLPPPPLRSPLTRSPDGRRRPRWCPPSLRRSRRRRRLCGCLCHLENVSKIECACIFRFITMDKNVW >ONIVA06G08240.1 pep chromosome:AWHD00000000:6:5942740:5943016:1 gene:ONIVA06G08240 transcript:ONIVA06G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDTPVGDELRRGMTRPPVTSSVASTVEDAAVSDELRRGAWMTEDAAAGDELRRGADGGGCGCRRQAPAWDVDGGTTGDDTLVPDS >ONIVA06G08230.1 pep chromosome:AWHD00000000:6:5942422:5942727:1 gene:ONIVA06G08230 transcript:ONIVA06G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGGGATAPMPAPSTHYPARDRELLAGSFGAGAREEEPSADATADEEVEREGVALAASLAWSTTSMYLASSLRRRRKRPPATSSDVAWTVEDVTVSDEL >ONIVA06G08220.1 pep chromosome:AWHD00000000:6:5933308:5934201:1 gene:ONIVA06G08220 transcript:ONIVA06G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRPPARAAATEAAADAGLPLHPSSPRSKKHSSSSRRAAAGDRRPAARAPNPSLSPRGGGGGAPSRKSERRRRPRSLALAVHGHASTSGGPGLVWNDADEVALLTAAVAFRARNGFAPRLPDMGALFESLRGSISSHIDQAKVYYKLKRNKSKFLHAPPQATTTTPHDRRVRALSAELWGSELAPPAVEGDADAAEAADERDAEEGYIGGNLHVSVRLPVVSEVLGDYWRKNGRVLSGVSLERGLALVGPEEGRMAEAKWKRQLEVETQTQGRRHDLAKEVCAMLIDAVRGLGP >ONIVA06G08210.1 pep chromosome:AWHD00000000:6:5931474:5931764:-1 gene:ONIVA06G08210 transcript:ONIVA06G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGSRGFDPARLDGVLALFGGEARAALAAAEEEHEAAARGTEAAVEAARGHLDDVMDAAVGKYRGSSGDADALSAATAAMDVAFNATTSNTRRS >ONIVA06G08200.1 pep chromosome:AWHD00000000:6:5921308:5930315:-1 gene:ONIVA06G08200 transcript:ONIVA06G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDRDAEKAATTTRGPVTDTVGPTRQWVTEREEAGNPSPSPPPPGGFPTPTAVAPRRRAPPPPPPPPADADAASSSRGPGDDEGSGRAGASQEAGGGGCGVAPYEQARKALALRSPFDGDEAVGRDALLPARVARWAAVGDVRKKHKKAQQPEAAAAAAAAAVEQQPKPSSGSKEFWDLMEPYFREINLDDFEALMQAPLLGFNGPLDPCFLVPFVGSGKEFGENYDPSCVVVEDESSHLNSNLGKDSDELESSIVRSKQDSHGSSDFVGGNMDPVINNGANDEHGEQDMQEVVLQEEQPMEIEQDHGRSDAIALPPDTEESDVSLNWLLGARDRFVLTSERPNKKRKLLGADAGLERLVQLPPLEGEAGTTCDVCCLGECGTSSNRMLHCSSCKVSVHQKCYGVHVVPDQSWLCAWCKSIRSARRQTRSDAGRTVLMPCVLCPKEKGALKPVKRDSGQIADGGNLKFVHLFCSLWTPEVVVEDLNSMEPVTNVGDIQENRTKLVCSLCKVMHGACIRCSHGACRACFHPICARESKHQMEIWGKTGNTNVEMRAFCLKHSTVQETISIQNDRICAEEDTSQIELDDASLATQKIQQLRLTRNNKDKFTSSMIASSCSSSLKQTTELATSPSTARSVESQETQITDMAVDRPIGDRCLVSNSGDVSTALRKLIDQGMVNVGDIESELGVSSESLEAALVPETSTFSPGLKLKIIKLLQNTIRVPSVQEKCSKEGSLALQGESKSLTDTQICSELEEGISSFDHCCPEGDNTNKDWADSVENGFHNCGEDCISGKCFLNQDGSRCYVHPFIERKLRILWDHIFKQNKHPIHCHEQSTCDPHDRIAGSSSTKLEQLADIAVADQVSKAKSSGILEHSPHDDIEGELLHLQSRLLDDVGGAKQRNEDLVLKIVQSLSHELDSFNKRKWDHIIANQFLRDLREAKKRGNTERRHKEAQAIMAAAAPCILPTSRNTPVRKVAECDVLSAKQESVPVAVPAKQEVHSPKQESIPKFNTGSSRVSQLISVQQANDSSPNSKVSADANIGSFDLAKFSKKNALPCDICMRSETVLNRIFVCSSCKAAVHLDCYRSVTNPTGPWKCELCQEMPSDVVAGSQSDCDGSKPCLLQCDLCHGTSGAFRKTIKGRCIHAFCAEWLLESTFTRGQYNAVDGMESLPKDKDTCAICHRNVGSCLKCSTVDCQITFHPTCARDAGFYMDTKTIGSTLEHKAYCGKHGIEQRKADLLQLHGPEEVKNMKQMRVDLEVLRLICERVVKREKLKKDLVVCGHDTLAARRNSIAYSTRTSYCGSGPGASSESATTSVNNSYSGLMQRTDDVAVDSIISRKPTVRFSLNNSDADRNTADSSTSSISYKQKLDDRESLADKNLPKKPATAMQISEEGETKSSDKKNQRPPKSIVYTRRSALSKKRQLSQNVEGPGG >ONIVA06G08190.1 pep chromosome:AWHD00000000:6:5917803:5919054:1 gene:ONIVA06G08190 transcript:ONIVA06G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEVGDGLGQRRPRGYHQKHPRRSPPEKENMVTEKLDGPFSNIVGMKNTWRKTKPVGPWEDIKKRNGNFSRGVRGWWVNMLFISLSLLVGECDIYDA >ONIVA06G08180.1 pep chromosome:AWHD00000000:6:5915139:5916503:1 gene:ONIVA06G08180 transcript:ONIVA06G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQLVTLLVLIALPARAASAPGAGAGGVVVARVTHADAGRGLAMPEIVRRMAHRARARRRLLSAAEAAPVRARVRAGLGAGGGIVTNEYLVHVSVGTPPRPVALTLDTGSDLVWTQCAPCLDCFEQGAAPVLDPAASSTHAALPCDAPLCRALPFTSCGGRSWGDRSCVYVYHYGDRSLTVGQLATDSFTFGGDDNAGGLAARRVTFGCGHINKGIFQANETGIAGFGRGRWSLPSQLNVTSFSYCFTSMFDTKSSSVVTLGAAAAELLHTHHAAHTGDVRTTRLIKNPSQPSLYFVPLRGISVGGARVAVPESRLRSSTIIDSGASITTLPEDVYEAVKAEFVSQVGLPAAAAGSAALDLCFALPVAALWRRPAVPALTLHLDGGADWELPRGNYVFEDYAARVLCVVLDAAAGEQVVIGNYQQQNTHVVYDLENDVLSFAPARCDKLAASL >ONIVA06G08170.1 pep chromosome:AWHD00000000:6:5903791:5907442:1 gene:ONIVA06G08170 transcript:ONIVA06G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWPAAEAAGALVVAILAAAAGGAAGKTTIEPCAGADTCAALLGYTLYADMKVSEVAALFGADPRAVLAANALDFASPGAANRILPAGLPLRVPTRCACSDGVRKSVAVRYSARPADTLASVADVVFAGLASADQIRTANGLSAEDPDAPLDAGATLVVPLPCACFNSTDNNLPAVYLSYVVRVGDTVQSIAATHATTVTDISNVNAMGSPIVAPGDILAIPLPACASMFPNSASDYGLLVANGTYALTAGNCVQCSCGPGDLKLYCTPASLTASCSSMQCPNSNLMLGNVTAQSTSGGCNVSSCSYAGLVNGTIATSLSSGLQPTCPGPHQFPPLRATPIAVNQGSYLAPSPAPGAGEAGGDIPGFPGSSNVSPANGPSGSVSQAASVNRPQQIVALILSVALYFQM >ONIVA06G08160.1 pep chromosome:AWHD00000000:6:5898531:5903201:1 gene:ONIVA06G08160 transcript:ONIVA06G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVMPKQRAMEAEQREEAMEMSGLELWKHEKPASMVVFLPPPPPPPPLVPAAAAAAAAACGEEATLVPPLNFAMVDDGIFRSGFPAAANFRFLKSLNLRSIVYLCPEPYPETNAEFLAKNGIKLHQFGIEGRKEPFVNIPDDKIREALKVVLDVRNQPLLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYQRFAAAKARSTDQRFMELFDISSLKHLTASHC >ONIVA06G08150.1 pep chromosome:AWHD00000000:6:5866455:5873310:-1 gene:ONIVA06G08150 transcript:ONIVA06G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor SPT5 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMK4] MMPRSSYGPKHRAGPLHPTARIVPTRIAGNPRGGRVGTTPPRPFPLPRDENLAAEKSAMARRARDDDDDDEVEEEDEEEAYDLEDEEEEEDDEDDYEAEARGGGKASRSSSSRGGGGGGGGGRKRSREDNFIDDSAIEDDEEDDDDDDGGGRPKKKGGGGGVRGFFDEEAQVDEDEEEEDDGEGEDDFINDAGADLPDEDVVRGSRHRSMPMRDEEEDIDEIERQVRERYARSTHIEYGEEAAEVEQQALLPSVKDPKLWMIGHERETAICLMQKFIDRSDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDAWVRMKLGIYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALASKLEGREAVKKKAFVPPPRFFNIDEAREMHIRVERRRDKDSGEYFEMIDGLMFKDGFLYKTVSIKSISTQNIQPSFDELEKFRKPGDDMNGDMSSLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHIRPKISDLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRTSAKDRSNNMISSKDVVRVVEGACKGKQGPVEHIHKGILFIYDRHHLEHAGFICAKAQSCLLVGGSAGGRRYGYVRSKAWCFEISSKHFAITGKAAPKRTSYELFGGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGVLVRVELDSLMKIVTVKRDDIADTPTVATPFREPRYPLGGETPMHPSRTPLHPYQTPMRDPGATPIHDGMRTPMRRGWAPMSPPRDNWEEGNPATWGSSPAYQPGTPPARPYEAPTPGSGWANTPGVSYNDAPTPRESNYGNAPSPYVPSTPVGQPMTPNSASYLPGTPGGQPMTPGNVGMDIMSPIIGGEGEGNWLLPDVLVNVLRAGDDGPGVVREVLADGSCRVALGSSGNGEIVTVLPTELEVIRPKKSDKIKIMNGNFRGYSGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAS >ONIVA06G08150.2 pep chromosome:AWHD00000000:6:5866455:5873310:-1 gene:ONIVA06G08150 transcript:ONIVA06G08150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor SPT5 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMK4] MMPRSSYGPKHRAGPLHPTARIVPTRIAGNPRGGRVGTTPPRPFPLPRDENLAAEKSAMARRARDDDDDDEVEEEDEEEAYDLEDEEEEEDDEDDYEAEARGGGKASRSSSSRGGGGGGGGGRKRSREDNFIDDSAIEDDEEDDDDDDGGGRPKKKGGGGGVRGFFDEEAQVDEDEEEEDDGEGEDDFINDAGADLPDEDVVRGSRHRSMPMRDEEEDIDEIERQVRERYARSTHIEYGEEAAEVEQQALLPSVKDPKLWMIGHERETAICLMQKFIDRSDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDAWVRMKLGIYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALASKLEGREAVKKKAFVPPPRFFNIDEAREMHIRVERRRDKDSGEYFEMIDGLMFKDGFLYKTVSIKSISTQNIQPSFDELEKFRKPGDDMNGDMSSLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHIRPKISDLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRTSAKDRSNNMISSKDVVRVVEGACKGKQGPVEHIHKGILFIYDRHHLEHAGFICAKAQSCLLVGGSAGGRRGNVWYGYVRSKAWCFEISSKHFAITGKAAPKRTSYELFGGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGVLVRVELDSLMKIVTVKRDDIADTPTVATPFREPRYPLGGETPMHPSRTPLHPYQTPMRDPGATPIHDGMRTPMRRGWAPMSPPRDNWEEGNPATWGSSPAYQPGTPPARPYEAPTPGSGWANTPGVSYNDAPTPRESNYGNAPSPYVPSTPVGQPMTPNSASYLPGTPGGQPMTPGNVGMDIMSPIIGGEGEGNWLLPDVLVNVLRAGDDGPGVVREVLADGSCRVALGSSGNGEIVTVLPTELEVIRPKKSDKIKIMNGNFRGYSGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAS >ONIVA06G08140.1 pep chromosome:AWHD00000000:6:5864833:5866070:1 gene:ONIVA06G08140 transcript:ONIVA06G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIAARFMDKVEAAAAAAEEEGDVGGEEVEALPEPPDDAGPVAWPMPEFCPLTIDGLVKESFMEALRKDAAEQALRDAEAVEAARSPESRPSSSKRQRAATASPQQQQQQPSSSSSSSSRSPYRNILQVFQQCKQDVT >ONIVA06G08130.1 pep chromosome:AWHD00000000:6:5842365:5845432:-1 gene:ONIVA06G08130 transcript:ONIVA06G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDEPEGSDSQRRRKRYHRHTPRQIQQLEAMFKECPHPDENQRAQLSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAIREALKNVICPTCGGPPVGEDYFDEQKLRMENARLKEELDRVSNLTSKYLGRPFTQLPPATPPMTVSSLDLSVGGMGGPSLDLDLLSGGSSGIPFQLPAPVSDMERPMMAEMATRAMDELIRLAQAGDHIWSKSPGGGVSGGDARETLNVDTYDSIFSKPGGSYRAPSINVEGSRESGLVLMSAVALADVFMDTNKWMEFFPSIVSKAHTIDVLVNGMGGRSESLILMYEELHIMTPAVPTREVNFVRYCRQIEQGLWAIADVSVDLQRDAHFGAPPPRSRRLPSGCLIADMANGYSKVYAMDNVPHAMVFWFRLADDVAHARVTWVEHVEVEEKSPINVLYRDLVLSGAAFGAHRWLAALQRACERYASLVALGVPHHIAGVTPEGKRSMMKLSQRMVNSFCSSLGASQMHQWTTLSGSNEVSVRVTMHRSTDPGQPNGVVLSAATSIWLPVPCDHVFAFVRDENSRSQVSHPLSPPLMSLTHSLCPPLLLLQWDVLSHGNQVQEVSRIPNGSNPGNCISLLRGLNASQNSMLILQESCTDASGSLVVYSPIDIPAANVVMSGEDPSSIPLLPSGFTILPDGRPGSAAGASTSSAGPLAAARGGGGGGAGGGSVVTVAFQILVSSLPSSKLNAESVATVNGLITTTVEQIKAALNCSAHGHHP >ONIVA06G08120.1 pep chromosome:AWHD00000000:6:5810501:5821015:-1 gene:ONIVA06G08120 transcript:ONIVA06G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVGRLAARGHPRAATSPPPSLSLSSQVPTRAKRRARGESEVKLLRGARASSSSLPSRGPGSAGRRRSHPPRFVAPRRINAEMSGQERDDVPMLELQRFPTRSVSMCIPVRDDIYEDSIISHSGPIFTPAPTQYTSVAIPSGNRDMLDKLPRPKVKSKPHVVTPEEVGISNCPYDQHVLKNKHLMMYSEPLGLCDNPDCVDCPRACKNKRHFQRSLAPFDNKFHNILYGYGDRWKKKAGHYLSYIPIMKPHDKAVHRWNQFFVISCLLAIFNDPLFFFLLSVDKDYKCIVFNWNFAIALAVGRSVTDAIYFLHMLLQFRLAYVAPESRVVGTGDLVDEPMKIAMRYLRGVFVLDLFVVLPLPQVMILLVIPRYVGLSSANYAKNLLRATVLLQYVPRIIRFVPLLGGQSTNGFIFESAWSTFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDSCAASNISKALCNNCTDCGITGINRTNWLNNSDLTGCFDTKSGNFPYGIYQQAVLLTTEPGLKRYIYSLFWGFQQISTLAGNLIPSYFVWEVIFTMAIIGLGLLLFALLIGSMQNFLQALGKRRLEMQLRRHDVEQWMSHRRLPEDLRRRVRSAERFSWVATRGVNEEELLSNLPEDIQRGIRRHFFGFLKKVRLFNLMDNATWDAICDKLRQNLYITGSDILYQGGPVEKMVFIVRGRLESISADGNKSPLQEGDVCGEELLSWYLEQSSVNRDGGKIKLHGMRLVAIRTVRCLTNVEAFVLRARDLEEVTSQFSRFLRNPLVLGTIRYESPYWKNLAANRIQVAWRYRKRRLKRAEMQRLQ >ONIVA06G08120.2 pep chromosome:AWHD00000000:6:5810501:5820357:-1 gene:ONIVA06G08120 transcript:ONIVA06G08120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGGWLAGCWVPCKEMSGQERDDVPMLELQRFPTRSVSMCIPVRDDIYEDSIISHSGPIFTPAPTQYTSVAIPSGNRDMLDKLPRPKVKSKPHVVTPEEVGISNCPYDQHVLKNKHLMMYSEPLGLCDNPDCVDCPRACKNKRHFQRSLAPFDNKFHNILYGYGDRWKKKAGHYLSYIPIMKPHDKAVHRWNQFFVISCLLAIFNDPLFFFLLSVDKDYKCIVFNWNFAIALAVGRSVTDAIYFLHMLLQFRLAYVAPESRVVGTGDLVDEPMKIAMRYLRGVFVLDLFVVLPLPQVMILLVIPRYVGLSSANYAKNLLRATVLLQYVPRIIRFVPLLGGQSTNGFIFESAWSTFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDSCAASNISKALCNNCTDCGITGINRTNWLNNSDLTGCFDTKSGNFPYGIYQQAVLLTTEPGLKRYIYSLFWGFQQISTLAGNLIPSYFVWEVIFTMAIIGLGLLLFALLIGSMQNFLQALGKRRLEMQLRRHDVEQWMSHRRLPEDLRRRVRSAERFSWVATRGVNEEELLSNLPEDIQRGIRRHFFGFLKKVRLFNLMDNATWDAICDKLRQNLYITGSDILYQGGPVEKMVFIVRGRLESISADGNKSPLQEGDVCGEELLSWYLEQSSVNRDGGKIKLHGMRLVAIRTVRCLTNVEAFVLRARDLEEVTSQFSRFLRNPLVLGTIRYESPYWKNLAANRIQVAWRYRKRRLKRAEMQRLQ >ONIVA06G08110.1 pep chromosome:AWHD00000000:6:5806845:5808291:1 gene:ONIVA06G08110 transcript:ONIVA06G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQCVSLQSSDPSTSTAATQNAAARSREGGGGDGVGRRLHQLHHHGNVDLGKKSSGVARRRLALLQQENGVDCSDSKGPGEEHGGAGDAHRSVPLPCTGGEVGSKSEPAAAVAPAVIVDVKEEKSVGNGGGGGGAKKRRGGGAPAVLMEGSRCSRVNGRGWRCSQPTLVGYALCEHHLGKGRMRSVTGGGGGRGGASQLGRTEHRPPATARNPAAAAAPPPKADEPGPNHIAHH >ONIVA06G08100.1 pep chromosome:AWHD00000000:6:5798147:5802156:1 gene:ONIVA06G08100 transcript:ONIVA06G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLWKQSGLAGMACAADVGAAGAGRRARLAVYVLAVAFAAFTAYVAVSSSSPPPAGEGASWFGGVYASTAPYRAQVSGFFSSIFPTGSSTPSPEQQPPPPRRGEGGGQVSSHGIDEHAQVRSGAAHSVPVDPAASTKHSGSGGGGGAASNNGGGGSAPPPGNLAGSGTPPAKGSGGDGGGAPANNSTSGGAPANSAVEQSSPAGDGGGSPSTASSSAGKSSSANTGEESVDKSNKQSGSGGEAPSNGDAVSDKKNSTAKADTEVAVKASSDNSTGTGSSAKGESNVGSNSSAGSGNGVASSVSSAAVNSTAVKTDAKDVVVATSTDSAGSVSDVKADLSNRSDTPPASGSGHSNHTSDVTVSPAKGNAKDGGADTNKASGNVASTSNQTASTAMVAKKVGGSPSKNQTSVASTNSKNQNQTSAGVASGGSSGTTSKQEETTSQGSVGSSKDYPAQAINSKTSNYSEVLVKGNGSSTKQASQKQPDKKVDWIKEMASCDMFHGNWIRDESYPLYPEGSCPHIDEPFDCYLNGRPDRAYQKLRWQPSSCNIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEASGRHEFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWEMKVSNGKKKETLRLDIVEQSSPKYKDADFLIFNTGHWWTHEKTSLGKDYYQEGNHVYSELNVVDAFHKALVTWSRWIDANVNPKKTTVLFRGYSASHFSGGQWNSGGSCDKETEPIRNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKRNLTEDERRSPERYQDCSHWCLPGVPDSWNELLYAQLLIKQHQMLQQ >ONIVA06G08090.1 pep chromosome:AWHD00000000:6:5783987:5784310:-1 gene:ONIVA06G08090 transcript:ONIVA06G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRMRRRPTGEELVGRRDGAQRAVGRSTGEKKGDALWAAGRRTTADGRGTSGKKGGVRQVAGEGLWPTGEKLAGRQGEGLRSTGEELARRRVFAATRRPIQVTANL >ONIVA06G08080.1 pep chromosome:AWHD00000000:6:5782182:5782481:1 gene:ONIVA06G08080 transcript:ONIVA06G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMAEAAASMSPELAAALAKVAVFAVVQALVYLILRKSSGVFSPDRTAATGSRSLSFRPMRSMSVRRFLAALSDVPVGVTEDGGSPALPHRGPADLAE >ONIVA06G08070.1 pep chromosome:AWHD00000000:6:5771683:5777573:1 gene:ONIVA06G08070 transcript:ONIVA06G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRVDLSGAEIRVDPAGGAAADDGGSPPVFLPRQPAAPPLLALDIGGTLIKLVYTASCGGGGAELRFAKFERRRMQECFDFVRAQGLVHRNGSTMGSSKENIALKASGGGAYKYTEDFREKLGVCLDKVDEMDSVVSGANFLLQSVPGAAFTHMNGKKSSVDISPNNLFPYLLVNIGSGVSILKVTGNRKFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLASSFGKVITSKKKLTDYRPEDLASTLLSAFTYNIAQISFLVASILRLRRVFFGGSYIRGHKSTMQNISYAIDFWSQSKMQAVFLQHEGYLGALGALMSYGDSGDKNMNLEEMKEEENIHESATPIDETSTDEHNDGNIFPYLLVNIGSGVSMIEVTGNGKFERIIGSHLGGGTILGLARLLTGCSSYDEFLELSQRGNNLAVDLTVGDIYGEHGYPKIGLPASTTAASFGKVSSSRLSEYKVEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYICGHEKTMDKISHSLKSKGQVQTTFLCHEGFLGTLGAFWSYENMGIDGLAAHDVIREVLLGAPYTGQLPSLPLTHQQDNGEDTTFEGEVERLRHDNAVLKAELERLQRENTELKAKLRLFNQPTTWFKSYERLG >ONIVA06G08070.2 pep chromosome:AWHD00000000:6:5771683:5778213:1 gene:ONIVA06G08070 transcript:ONIVA06G08070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRVDLSGAEIRVDPAGGAAADDGGSPPVFLPRQPAAPPLLALDIGGTLIKLVYTASCGGGGAELRFAKFERRRMQECFDFVRAQGLVHRNGSTMGSSKENIALKASGGGAYKYTEDFREKLGVCLDKVDEMDSVVSGANFLLQSVPGAAFTHMNGKKSSVDISPNNLFPYLLVNIGSGVSILKVTGNRKFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLASSFGKVITSKKKLTDYRPEDLASTLLSAFTYNIAQISFLVASILRLRRVFFGGSYIRGHKSTMQNISYAIDFWSQSKMQAVFLQHEGYLGALGALMSYGDSGDKNMNLEEMKEEENIHESATPIDETSTDEHNDGNIFPYLLVNIGSGVSMIEVTGNGKFERIIGSHLGGGTILGLARLLTGCSSYDEFLELSQRGNNLAVDLTVGDIYGEHGYPKIGLPASTTAASFGKVSSSRLSEYKVEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYICGHEKTMDKISHSLKSKGQVQTTFLCHEGFLGTLGAFWSYENMGIDGLAAHDVIREVLLGAPYTGQLPSLPLTHQQDNGEDTTFEGEVERLRHDNAVLKAELERLQRENTELKAKLVKSGKPNTFYH >ONIVA06G08060.1 pep chromosome:AWHD00000000:6:5761200:5761712:1 gene:ONIVA06G08060 transcript:ONIVA06G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGYYSYYNGHQPAPYYYGYAQPARVAGGGGSQRPSAHALLLVATLLLVAVTTLYARCEEAVESLLDQLRVLLILSPLLLIVAVQVWAASAATAADRRGAGGGLMYLLAQLMGMGDGGGSPYGRWHGGGAGASSSPWGVALVLVLVLFLVSYQSSFQSWWFPLLSRR >ONIVA06G08050.1 pep chromosome:AWHD00000000:6:5745125:5758878:1 gene:ONIVA06G08050 transcript:ONIVA06G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMI9] MASRHRRGAFPAGAKAAPEAEAAKESVAVAVRFRPLSPREVRRGEKIAWYADGETVARSEQSNLAYAYDRVFGPTTTTRHIYDAVAQYVVNGAMKGINGTIFAYGVTSSGKTHTMHGDQISPGVIPLAVKDIFNIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDLQGTIVEGIKEEAVLSPVHALSLIAAGEELRHVGSTNFNLLSSRSHTIFTLTIESSPRGQSNEAEAVTLSQLNLIDLAGSESSRVETAGVHQKEGSYINKSLLTLGKVISKLTDEKATHIPFRDSKLTRLLKSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKHIEIQTTQNKIMDARSLIKKYQNEIRQLKEELEQLRRSIRTGTPIEDTMQKKHHLLETKDCNVKLQSRLEQGEEAKAALLERIEHLTELILVSAKASRTTKLSHCPRRRHSFGEEELAYLPYERQDIILDNESNMLFVPIEGFGEKFKSSPKEETENQKGHLNWLNLRKCDSGSTNLTSSDGENPSSTKSLPALSTPLGIGFFNVTSEQRMSDYMLAENVPANLLCVGHGEFPSDSLPVQETPLVSRKTSDHVDILREQFNILSGEVALHQSVLKRLSEEAGKNAMNEQIEMEMKVVNDEVKLNKQKIASLERRISNSMSDSRGMHDNLELSLPYIEIPEQLNEKAFQLEASECQEFLLSERTTFQHNTGIVQETGSQAHKGKPLPSDVSDEFLKKASQAEIDELKQRVSELTEAKSQLDSCNHKLLEESTYAKGLASVTSVELKALSVKVTKLMKQNERLSSELASGRNQRRGSHGPRGARRENHTKRYEPARRGDMNALEAMLKEKDQRQAELHTKIEESKQKEAFLEKELANMWTVLANLKKTRGIDQEDFDSKYNGSWA >ONIVA06G08050.2 pep chromosome:AWHD00000000:6:5745125:5758878:1 gene:ONIVA06G08050 transcript:ONIVA06G08050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMI9] MASRHRRGAFPAGAKAAPEAEAAKESVAVAVRFRPLSPREVRRGEKIAWYADGETVARSEQSNLAYAYDRVFGPTTTTRHIYDAVAQYVVNGAMKGINGTIFAYGVTSSGKTHTMHGDQISPGVIPLAVKDIFNIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDLQGTIVEGIKEEAVLSPVHALSLIAAGEELRHVGSTNFNLLSSRSHTIFTLTIESSPRGQSNEAEAVTLSQLNLIDLAGSESSRVETAGVHQKEGSYINKSLLTLGKVISKLTDEKATHIPFRDSKLTRLLKSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKHIEIQTTQNKIMDARSLIKKYQNEIRQLKEELEQLRRSIRTGTPIEDTMQKKHHLLETKDCNVKLQSRLEQGEEAKAALLERIEHLTELILVSAKASRTTKLSHCPRRRHSFGEEELAYLPYERQDIILDNESNMLFVPIEGFGEKFKSSPKEETENQKGHLNWLNLRKCDSGSTNLTSSDGENPSSTKSLPALSTPLGIGFFNVTSEQRMSDYMLAENVPANLLCVGHGEFPSDSLPVQETPLVSRKTSDHVDILREQFNILSGEVALHQSVLKRLSEEAGKNAMNEQIEMEMKVVNDEVKLNKQKIASLERRISNSMSDSRGMHDNLELSLASECQEFLLSERTTFQHNTGIVQETGSQAHKGKPLPSDVSDEFLKKASQAEIDELKQRVSELTEAKSQLDSCNHKLLEESTYAKGLASVTSVELKALSVKVTKLMKQNERLSSELASGRNQRRGSHGPRGARRENHTKRYEPARRGDMNALEAMLKEKDQRQAELHTKIEESKQKEAFLEKELANMWTVLANLKKTRGIDQEDFDSKYNGSWA >ONIVA06G08050.3 pep chromosome:AWHD00000000:6:5745125:5758878:1 gene:ONIVA06G08050 transcript:ONIVA06G08050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMI9] MASRHRRGAFPAGAKAAPEAEAAKESVAVAVRFRPLSPREVRRGEKIAWYADGETVARSEQSNLAYAYDRVFGPTTTTRHIYDAVAQYVVNGAMKGINGTIFAYGVTSSGKTHTMHGDQISPGVIPLAVKDIFNIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDLQGTIVEGIKEEAVLSPVHALSLIAAGEELRHVGSTNFNLLSSRSHTIFTLTIESSPRGQSNEAEAVTLSQLNLIDLAGSESSRVETAGVHQKEGSYINKSLLTLGKLICTVTPASSNSEETHNTLKFAHRAKHIEIQTTQNKIMDARSLIKKYQNEIRQLKEELEQLRRSIRTGTPIEDTMQKKHHLLETKGFGEKFKSSPKEETENQKGHLNWLNLRKCDSGSTNLTSSDGENPSSTKSLPALSTPLGIGFFNVTSEQRMSDYMLAENVPANLLCVGHGEFPSDSLPVQETPLVSRKTSDHVDILREQFNILSGEVALHQSVLKRLSEEAGKNAMNEQIEMEMKVVNDEVKLNKQKIASLERRISNSMSDSRGMHDNLELSLPYIEIPEQLNEKAFQLEASECQEFLLSERTTFQHNTGIVQETGSQAHKGKPLPSDVSDEFLKKASQAEIDELKQRVSELTEAKSQLDSCNHKLLEESTYAKGLASVTSVELKALSVKVTKLMKQNERLSSELASGRNQRRGSHGPRGARRENHTKRYEPARRGDMNALEAMLKEKDQRQAELHTKIEESKQKEAFLEKELANMWTVLANLKKTRGIDQEDFDSKYNGSWA >ONIVA06G08040.1 pep chromosome:AWHD00000000:6:5740253:5741038:1 gene:ONIVA06G08040 transcript:ONIVA06G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHGMHGVAAAAPDATIRLFGRDVVSNDDAVVVVVDGQLPKEEAEEEAGGGAAAAAGETRRFECHYCRRNFPTSQALGGHQNAHKRERQHARRAHLEASLAAAHYLGQSAHLVYGGAALFGYGGHAAAVSPQYGPVWASSAVAPPGLYATSMGMARPAAYGAGVDVSALWRASSSSSSSPPMMGSGGGGAFGTVAGGGRHGEAAAAALVGCRAGKDENVVMSVVTSLPSLPSWQLPAPEKMGRSELGQEAGVVSLELRL >ONIVA06G08030.1 pep chromosome:AWHD00000000:6:5738407:5738895:1 gene:ONIVA06G08030 transcript:ONIVA06G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLAAAATPGSGTGGPKGGAGRISVGVELGAREKVEAGKDEAGDLSSGRHSGRGAPTTGRWWPPDPSCSSQIWRGCGGDGDGGGDGVGRGREGGCGGPYAATTWCSAATAAVSLRSAPLGRIWKVAGGGRRRLTCDGWRRQLATVAMVVVAAVGSDGVG >ONIVA06G08020.1 pep chromosome:AWHD00000000:6:5728398:5729140:1 gene:ONIVA06G08020 transcript:ONIVA06G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPRGFHDDSAATSDKIGSKLLRDLTATCRLSRGCPILLRTHRTTSTSQTSLATLTQPGRLASTSYHLLPLVPLATDLALTSSSLPPLACLAPNRDTRSR >ONIVA06G08010.1 pep chromosome:AWHD00000000:6:5727097:5727504:1 gene:ONIVA06G08010 transcript:ONIVA06G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAREKGRAAAGRGGVRGCGGGEAAAETQVKGEGGGRVRRLRLRRGARRSDGRPAMRRRRHGVGGRAAAPWPQLGGNRSWFKLPMLSSQQASYVSEDQQHEVDDGDGDEVLIPGLPARFTYAELEEANVPDLSVF >ONIVA06G08000.1 pep chromosome:AWHD00000000:6:5721575:5726213:1 gene:ONIVA06G08000 transcript:ONIVA06G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRSGAHLHRDPTMNPGLGSARCPRCLSLLTPNSSGEGDWAITSVLHDATAVAGSGAGAMLSAVHGFNTGIPFVQKHVKGPKWLQLLVGVPPLLLFSGTSALFGAYALPTFAQLTVTSYYAASSASHYAVSQITRQIERSHLSDTNGNSR >ONIVA06G07990.1 pep chromosome:AWHD00000000:6:5711507:5720277:1 gene:ONIVA06G07990 transcript:ONIVA06G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYTGSVTKGAKPTRLGRRASFDAISAAITTTTTTTTRSSGRSGDLAAAAAMAGGGRAGTEKGAAAGRGQTAIQSTIQSIKEVVGGHSDADIYAALRECNMDPNETTQKLLNQDPFHEVKRKRDKKRESAGQKTVPDASAQAEHNSQWTKPRTQRVENDQRRAYSHGQASGPNREFRVVRDNRHGVVENRPELGHKGSPNVKVSDRSVPVVQTGRNHPPATTSEGQITQGAKHSYNSDVHQVKRQAQGTAQKYVKPHLKNSQDEQHPPTSDPAHTRPNLKAAEGAVGSVRRHVGVVNVQRQPSGRSSSHLHVQSGGSHVNNQRGNFVPGGPSGRHFMSKNMQSVHRTALDSVHRGRSGGRSFVASSSKYQQGPASNQKVTQPAKEWKPKSTKKSSNIDTDNNNGTDVITTSASNTENSNVLDENALCEKTSQACIHEVEHVIIPEHLRVPEYEQTGLRFGSFSPGSGADQVSSSESPSESEEQEHVQEPVQLVVEDDSLRAGHDEVDVEARSSQLNLSTLTAEISLPPSEDSVEMNGEEVENDDGLGLVQSDTPLAPVDGQNMQSASNLTTFSTYGHEDPNMHPSNEAQLYRLVEPNVHPQVMASPAQGYPSENPEADNAVQVFRIPESNVHSQVLPSTSEAISSQIVSSSPVAISQQLQHMSQPQQAAQLYPPVHMQHYPNFMPYRHHIYSPVYVPPMAMPNFPTNIPYPSNGNNYLQMPGGGSHLAAGGMNSPGVGVIGGAVGVDDVNRIKYKDNNLYAPSPQVETSDIWIQTPREMPTLQCPPYFNLSGLYHAQQPSSIVSPHPMVHQQVQSAIGPNGGVGVATPAPQVGAYQQPQLGHWRPGF >ONIVA06G07990.2 pep chromosome:AWHD00000000:6:5711507:5720219:1 gene:ONIVA06G07990 transcript:ONIVA06G07990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYTGSVTKGAKPTRLGRRASFDAISAAITTTTTTTTRSSGRSGDLAAAAAMAGGGRAGTEKGAAAGRGQTAIQSTIQSIKEVVGGHSDADIYAALRECNMDPNETTQKLLNQDPFHEVKRKRDKKRESAGQKTVPDASAQAEHNSQWTKPRTQRVENDQRRAYSHGQASGPNREFRVVRDNRHGVVENRPELGHKGSPNVKVSDRSVPVVQTGRNHPPATTSEGQITQGAKHSYNSDVHQVKRQAQGTAQKYVKPHLKNSQDEQHPPTSDPAHTRPNLKAAEGAVGSVRRHVGVVNVQRQPSGRSSSHLHVQSGGSHVNNQRGNFVPGGPSGRHFMSKNMQSVHRTALDSVHRGRSGGRSFVASSSKYQQGPASNQKVTQPAKEWKPKSTKKSSNIDTDNNNGTDVITTSASNTENSNVLDENALCEKTSQACIHEVEHVIIPEHLRVPEYEQTGLRFGSFSPGSGADQVSSSESPSESEEQEQLGDPMPIAVQEPVQLVVEDDSLRAGHDEVDVEARSSQLNLSTLTAEISLPPSEDSVEMNGEEVENDDGLGLVQSDTPLAPVDGQNMQSASNLTTFSTYGHEDPNMHPSNEAQLYRLVEPNVHPQVMASPAQGYPSENPEADNAVQVFRIPESNAISSQIVSSSPVAISQQLQHMSQPQQAAQLYPPVHMQHYPNFMPYRHHIYSPVYVPPMAMPNFPTNIPYPSNGNNYLQMPGGGSHLAAGGMKYGVSQYKPVPAGSPSGYGNYTHPAGFTFSSPGVGVIGGAVGVDDVNRIKYKDNNLYAPSPQVETSDIWIQTPREMPTLQCPPYFNLSGQATSGAFVPNPGNASFNATAQSSHAQFPGLYHAQQPSSIVSPHPMVHQQVQSAIGPNGGVGVATPAPQGKGIASLNR >ONIVA06G07990.3 pep chromosome:AWHD00000000:6:5711507:5720277:1 gene:ONIVA06G07990 transcript:ONIVA06G07990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYTGSVTKGAKPTRLGRRASFDAISAAITTTTTTTTRSSGRSGDLAAAAAMAGGGRAGTEKGAAAGRGQTAIQSTIQSIKEVVGGHSDADIYAALRECNMDPNETTQKLLNQDPFHEVKRKRDKKRESAGQKTVPDASAQAEHNSQWTKPRTQRVENDQRRAYSHGQASGNTAESHGPNREFRVVRDNRHGVVENRPELGHKGSPNVKVSDRSVPVVQTGRNHPPATTSEGQITQGAKHSYNSDVHQVKRQAQGTAQKYVKPHLKNSQDEQHPPTSDPAHTRPNLKAAEGAVGSVRRHVGVVNVQRQPSGRSSSHLHVQSGGSHVNNQRGNFVPGGPSGRHFMSKNMQSVHRTALDSVHRGRSGGRSFVASSSKYQQGPASNQKVTQPAKEWKPKSTKKSSNIDTDNNNGTDVITTSASNTENSNVLDENALCEKTSQACIHEVEHVIIPEHLRVPEYEQTGLRFGSFSPGSGADQVSSSESPSESEEQEHVQEPVQLVVEDDSLRAGHDEVDVEARSSQLNLSTLTAEISLPPSEDSVEMNGEEVENDDGLGLVQSDTPLAPVDGQNMQSASNLTTFSTYGHEDPNMHPSNEAQLYRLVEPNVHPQVMASPAQGYPSENPEADNAVQVFRIPESNVHSQVLPSTSEAISSQIVSSSPVAISQQLQHMSQPQQAAQLYPPVHMQHYPNFMPYRHHIYSPVYVPPMAMPNFPTNIPYPSNGNNYLQMPGGGSHLAAGGMKYGVSQYKPVPAGSPSGYGNYTHPAGFTFSSPGVGVIGGAVGVDDVNRIKYKDNNLYAPSPQVETSDIWIQTPREMPTLQCPPYFNLSGQATSGAFVPNPGNASFNATAQSSHAQFPGLYHAQQPSSIVSPHPMVHQQVQSAIGPNGGVGVATPAPQVGAYQQPQLGHWRPGF >ONIVA06G07990.4 pep chromosome:AWHD00000000:6:5711507:5720277:1 gene:ONIVA06G07990 transcript:ONIVA06G07990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYTGSVTKGAKPTRLGRRASFDAISAAITTTTTTTTRSSGRSGDLAAAAAMAGGGRAGTEKGAAAGRGQTAIQSTIQSIKEVVGGHSDADIYAALRECNMDPNETTQKLLNQDPFHEVKRKRDKKRESAGQKTVPDASAQAEHNSQWTKPRTQRVENDQRRAYSHGQASGNTAESHGPNREFRVVRDNRHGVVENRPELGHKGSPNVKVSDRSVPVVQTGRNHPPATTSEGQITQGAKHSYNSDVHQVKRQAQGTAQKYVKPHLKNSQDEQHPPTSDPAHTRPNLKAAEGAVGSVRRHVGVVNVQRQPSGRSSSHLHVQSGGSHVNNQRGNFVPGGPSGRHFMSKNMQSVHRTALDSVHRGRSGGRSFVASSSKYQQGPASNQKVTQPAKEWKPKSTKKSSNIDTDNNNGTDVITTSASNTENSNVLDENALCEKTSQACIHEVEHVIIPEHLRVPEYEQTGLRFGSFSPGSGADQVSSSESPSESEEQEQLGDPMPIAVQEPVQLVVEDDSLRAGHDEVDVEARSSQLNLSTLTAEISLPPSEDSVEMNGEEVENDDGLGLVQSDTPLAPVDGQNMQSASNLTTFSTYGHEDPNMHPSNEAQLYRLVEPNVHPQVMASPAQGYPSENPEADNAVQVFRIPESNAISSQIVSSSPVAISQQLQHMSQPQQAAQLYPPVHMQHYPNFMPYRHHIYSPVYVPPMAMPNFPTNIPYPSNGNNYLQMPGGGSHLAAGGMKYGVSQYKPVPAGSPSGYGNYTHPAGFTFSSPGVGVIGGAVGVDDVNRIKYKDNNLYAPSPQVETSDIWIQTPREMPTLQCPPYFNLSGQATSGAFVPNPGNASFNATAQSSHAQFPGLYHAQQPSSIVSPHPMVHQQVQSAIGPNGGVGVATPAPQVGAYQQPQLGHWRPGF >ONIVA06G07990.5 pep chromosome:AWHD00000000:6:5711507:5720277:1 gene:ONIVA06G07990 transcript:ONIVA06G07990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYTGSVTKGAKPTRLGRRASFDAISAAITTTTTTTTRSSGRSGDLAAAAAMAGGGRAGTEKGAAAGRGQTAIQSTIQSIKEVVGGHSDADIYAALRECNMDPNETTQKLLNQDPFHEVKRKRDKKRESAGQKTVPDASAQAEHNSQWTKPRTQRVENDQRRAYSHGQASGPNREFRVVRDNRHGVVENRPELGHKGSPNVKVSDRSVPVVQTGRNHPPATTSEGQITQGAKHSYNSDVHQVKRQAQGTAQKYVKPHLKNSQDEQHPPTSDPAHTRPNLKAAEGAVGSVRRHVGVVNVQRQPSGRSSSHLHVQSGGSHVNNQRGNFVPGGPSGRHFMSKNMQSVHRTALDSVHRGRSGGRSFVASSSKYQQGPASNQKVTQPAKEWKPKSTKKSSNIDTDNNNGTDVITTSASNTENSNVLDENALCEKTSQACIHEVEHVIIPEHLRVPEYEQTGLRFGSFSPGSGADQVSSSESPSESEEQEHVQEPVQLVVEDDSLRAGHDEVDVEARSSQLNLSTLTAEISLPPSEDSVEMNGEEVENDDGLGLVQSDTPLAPVDGQNMQSASNLTTFSTYGHEDPNMHPSNEAQLYRLVEPNVHPQVMASPAQGYPSENPEADNAVQVFRIPESNVHSQVLPSTSEAISSQIVSSSPVAISQQLQHMSQPQQAAQLYPPVHMQHYPNFMPYRHHIYSPVYVPPMAMPNFPTNIPYPSNGNNYLQMPGGGSHLAAGGMKYGVSQYKPVPAGSPSGYGNYTHPAGFTFSSPGVGVIGGAVGVDDVNRIKYKDNNLYAPSPQVETSDIWIQTPREMPTLQCPPYFNLSGQATSGAFVPNPGNASFNATAQSSHAQFPGLYHAQQPSSIVSPHPMVHQQVQSAIGPNGGVGVATPAPQVGAYQQPQLGHWRPGF >ONIVA06G07990.6 pep chromosome:AWHD00000000:6:5711507:5720277:1 gene:ONIVA06G07990 transcript:ONIVA06G07990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYTGSVTKGAKPTRLGRRASFDAISAAITTTTTTTTRSSGRSGDLAAAAAMAGGGRAGTEKGAAAGRGQTAIQSTIQSIKEVVGGHSDADIYAALRECNMDPNETTQKLLNQDPFHEVKRKRDKKRESAGQKTVPDASAQAEHNSQWTKPRTQRVENDQRRAYSHGQASGPNREFRVVRDNRHGVVENRPELGHKGSPNVKVSDRSVPVVQTGRNHPPATTSEGQITQGAKHSYNSDVHQVKRQAQGTAQKYVKPHLKNSQDEQHPPTSDPAHTRPNLKAAEGAVGSVRRHVGVVNVQRQPSGRSSSHLHVQSGGSHVNNQRGNFVPGGPSGRHFMSKNMQSVHRTALDSVHRGRSGGRSFVASSSKYQQGPASNQKVTQPAKEWKPKSTKKSSNIDTDNNNGTDVITTSASNTENSNVLDENALCEKTSQACIHEVEHVIIPEHLRVPEYEQTGLRFGSFSPGSGADQVSSSESPSESEEQEQLGDPMPIAVQEPVQLVVEDDSLRAGHDEVDVEARSSQLNLSTLTAEISLPPSEDSVEMNGEEVENDDGLGLVQSDTPLAPVDGQNMQSASNLTTFSTYGHEDPNMHPSNEAQLYRLVEPNVHPQVMASPAQGYPSENPEADNAVQVFRIPESNAISSQIVSSSPVAISQQLQHMSQPQQAAQLYPPVHMQHYPNFMPYRHHIYSPVYVPPMAMPNFPTNIPYPSNGNNYLQMPGGGSHLAAGGMKYGVSQYKPVPAGSPSGYGNYTHPAGFTFSSPGVGVIGGAVGVDDVNRIKYKDNNLYAPSPQVETSDIWIQTPREMPTLQCPPYFNLSGQATSGAFVPNPGNASFNATAQSSHAQFPGLYHAQQPSSIVSPHPMVHQQVQSAIGPNGGVGVATPAPQVGAYQQPQLGHWRPGF >ONIVA06G07990.7 pep chromosome:AWHD00000000:6:5709268:5711591:1 gene:ONIVA06G07990 transcript:ONIVA06G07990.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRKVAGGAPSDGGVLFQEIWNCPYSMETLPSHGEDIDGGASPSVSMLSEVAARRRITIVGGSIPERSSGRLFNTCCVIGPDGQIKAKHRKLHLFEIDIPGDITFRESDTFTAGQEPTIVDTDVGRIGIGICHDIRFPELAMLYRSRGAHLICYPSAFNMSTGQLLWDLMQKSRAVDNQLFVVTCSPARDPNAESDYMIWGHSSLIGPFGEVIATAGHEEATVVGEIDHSMIQTIRDNLPLEMQRREDLYSTHWLMSGENLQATRHAPLDQMHNCNEVKMVLQPWK >ONIVA06G07980.1 pep chromosome:AWHD00000000:6:5698487:5701581:-1 gene:ONIVA06G07980 transcript:ONIVA06G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPNHAKPAAPANADLVLLIPPDHPQPQLHPNHHQPQQPPMTPTTPLPEAAKPPQNPEKTAASSPHAPSSRPPLPPASAALLRRRSSLTKPKSRFVEPAAPPSSAAAAAASSTSSHASPAHPAAAAGGGSGAASTPHTPAEADDEEEVFPKEVRRKSSARCRRRMKLSVELLVLVLFLALLVVSLVVRPLKGAGFWGLEIWKWCVMVICVFSGHLVSHWVVTLVVFLVERNFLLRNKVLYFVFGLKKSVQVCLWIGLVLIAWSQLFDRDVGRSAKTARILNYVSRFLASVLIGSVIWLVKTFLMKVVASTFHRKAFFDRILENVFDQYVLQTLSGPPVMELAENVGREGSGLGRVSFTKPKEEKGSPGVIDVMKLRKMSQEKVSAWTMKGLMAAIGSSRLSTISNTIESFDDVDGMEQKDKEINNEWEAKAAASAIFKNVARPGYKHIEEVDLLRFFNKEEVDLVLQRFEGAFETRKIKKSALKNWVVKAYLDRKSLAHSLNDTKTAVMQLHNLIRVLVIIIIIIITLLLMGIATTKILLVISSQLLVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGIQMVVEEMNILTTIFLKNDNEKVYYPNSVLSTKAISNFYRSPNMYDTINFAIDVSTSIERIGALKSRIKGYIDSKPTHWCPIHTVNLKDILDVNKINMSLCVQHTMNFQNIRERNLRRSELVMELKKLFEEMSITYHLLPQKVELSFVGPNPIPIALPQSR >ONIVA06G07970.1 pep chromosome:AWHD00000000:6:5695419:5695736:-1 gene:ONIVA06G07970 transcript:ONIVA06G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVAASASQNLNRRRDVLAPVTMSFLDDKDDKAFLLALAPTKEAAVASSDSKSRRLYMTSSPTSTSPPLAAVLQGSYLIHVERRYLASLGPASAPSSERVGET >ONIVA06G07960.1 pep chromosome:AWHD00000000:6:5664923:5669055:-1 gene:ONIVA06G07960 transcript:ONIVA06G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLPLLIGVVLAFLLLFVLVNVKNSCRSWWPPPEKEKKKLRLPPGPWQLPLVGSLHHVLLSRHADLPHRALRELAGKYGPLMMLRFGAVPTLVVSSAEAAREVLKTYDAAFASRYLTPTLAVLSRGGRDILFSPYCDLWRQLRRICVHELLSARRVQSLRHVREDEAARLVRSVAAECAARGGAAVVSVGELISRAVNDSVVRSAVGARSARRDEFVRELDESVRLSGGFNLADLYPSSWLARRLSGAMRETERCNRSLMAIMDDIIREHGDGEEDLLGVLLRLQRNGDVQCPLTTDLITNVVLDMFAAGSETSSTTLEWALTELVRNPHIMEKAQSEVRDIFRGENKLTEEMMDKLSYLRLVIRETLRLHLPVPFLLPRQCREPCSVMGYDIPVGTKVLVNAWAIARDNQYWDDPEVFKPERFENNRVDFKGIDFEFIPFGAGRRICPGIALGLANIELMLASLLHHFDWEFLDRDRNDEIDLSETFGITVKRKSKLMVYATQLVGECAPGGAGAVVPISEKISRMVNDSVVRPAIGSRCARRDEFLHVQARGLRQARGRVQLGRPVPIVVASELAQRRAAEIFSAGSETAQRHKAQTEVREKFRDKTN >ONIVA06G07950.1 pep chromosome:AWHD00000000:6:5657218:5660944:1 gene:ONIVA06G07950 transcript:ONIVA06G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAADVAEDTASVYSGKLTLYVFLTCGVAATGGLIIGYDIGISGGVTSMDTFLGKFFPSVLHQEQTAQGTSQYCKFNSQPLTAFTSSLYLAALVASFFVASFTRALGRKWSMFGGGVSFLAGATLNGAARNVAMLIVGRILLGIGVAFCGLSTPIYLSEMAPPRLRGMLNIGLQLMITVGIFSANLVNYGAAKIRGGWGWRVSLGLAAAPACVIAVGSLFLPDSPSSLINRGRHEQARRVLRRIRGTDEVDDEYGDLIAAASEIEVYSGCSARRRPWRDVLQRRYRPQLAMAVLIPFFQQLTGINVIMFYAPVLFKTIGLGGDASLMSAVITGLVNIVATFVSIATVDSLGRRKLLFQGGCQMLVSQVIIGTLIGVVFGTSGDGNISRALAVCIVVFICVYVAGFAWSWGPLGVLLPSEIFPLEVRPAGQSISVAVNMLCTFAVAEAFLPMLCHMRFGLFYFFSGWVLVMTLFVSAFLPETKGVPIEKMTVVWRTHWFWGRFYCNQDADAHVQVANSKV >ONIVA06G07940.1 pep chromosome:AWHD00000000:6:5651830:5656934:1 gene:ONIVA06G07940 transcript:ONIVA06G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRHGVGGRAAAPWPQLGGNRSWFKLPMLSSQQASYVSEDQQHEVDDGDGDEVLIPGLPARFTYAELEEANREFFKPLTMAADRFLHFASLVSVVVLAAGSRSPGGVAALPRRGQLVDGGDNDKNKCVYMLYYMGTGWIWKAGTDAAIRVELTAADGSGFAVRDLERWGGLMGAEHDYYERATAASPPDRRTSSPRVVAAAASADTASPCRRPPFLPCLHRQCHVAT >ONIVA06G07930.1 pep chromosome:AWHD00000000:6:5630530:5632210:-1 gene:ONIVA06G07930 transcript:ONIVA06G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTLLLLALISFFFLVKLIARYASPSGRESALRLPPGPSQLPLIGSLHHLLLSRYGDLPHRAMRELSLTYGPLMLLRLGAVPTLVVSSAEAAAEVMRAHDAAFAGRHLSATIDILSCGGKDIIFGPYTERWRELRKVCALELFNHRRVLSFRPVREDEVGRLLRSVSAASAEGGAACFNLSERICRMTNDSVVRAAFGARCDHRDEFLHELDKAVRLTGGINLADLYPSSRLVRRLSAATRDMARCQRNIYRIAESIIRDRDGAPPPERDEEDLLSVLLRLQRSGGLKFALTTEIISTVIFDIFSAGSETSSTTLDWTMSELMKNPRILRKAQSEVRETFKGQDKLTEDDAAKLSYLQLVIKETLRLHPPAPLLIPRECRETCQVMGYDVPKGTKVFVNVWKIGREGEYWGDGEIFRPERFENSTLDFRGADFEFIPFGAGRRMCPGIALGLANMELALASLLYHFDWELPDGIKSEELDMTEVFGITVRRKSKLWLHAIPRVPYISTY >ONIVA06G07920.1 pep chromosome:AWHD00000000:6:5583147:5583561:1 gene:ONIVA06G07920 transcript:ONIVA06G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSTVDVTEAEVIDLETMPPVGVPGGEVIDLESAECRSVATMAKFNSHSSGFHPKQQGHMKISLSPQSKFFGWIK >ONIVA06G07910.1 pep chromosome:AWHD00000000:6:5561411:5561978:1 gene:ONIVA06G07910 transcript:ONIVA06G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERLSSTRGQIPSRGHVVGGGDGSEGDSQIWRVPVGVGQGRTPSGSGNDEVAWWSFSDLCGHSVASTVPSVYTWHLVGSVEDTMVEGDFEVKAFLGLSMLARATPSGTVYLLEDFAIGSFIQLHIKGENLRFVWIGRCCRFVGVSFLEASF >ONIVA06G07900.1 pep chromosome:AWHD00000000:6:5549615:5551534:1 gene:ONIVA06G07900 transcript:ONIVA06G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRGGHRQTRGAPEAHARLPRHAPPAGGHGRGGRGQEGPIAIAAGHGRGHRGGGHGGPASPRHHLRGRGQAGPNSADHAASRGRGRRSGDATSASSRHGGGHADHAVRDVRRGGDVSTQQHHHRGRGQASPSSADHAASRGRGRCGGDANSSSPRVAGQASRFADHAASPGRGCGRWGWDSPLFVGGGARGVGVDLGLQARDGGTPNDGGHGAARGSERCGPPSASDQDSVPFVGTGARGVGVDLELQARGGRRPPRADRHREVWVPVLTTANANLDLFFHGGDEGEAVAAAADAPRPPARGGGGDQDAAVAGAADAPRPPAIGGGAVGREPGRRRIRRERNARRAALNDGGAEAPARAAAGVPAEADADLSPTNKKLQSLYSSLLKKKELIQELLQGLRGVEECARAQAESPNRETIRSIVSTVLSHLASYDRVDAEATELRAQLQHPVPRPPELDGHPRDSLPPSVTRRLSRD >ONIVA06G07890.1 pep chromosome:AWHD00000000:6:5547050:5548686:-1 gene:ONIVA06G07890 transcript:ONIVA06G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRLFLLARDSTTPAMTAVTQRSAQLHQNLTRWQFFCRRIPKSSASPCHAATLLMEMQSQALLFYLQSPDGEVAQGGIGLELTGEGIISGGGGIPAPGKKVAISMLIAPLIRFLEGKWRGYRGKVGGTMARTIVPHDSWRNNGNVAVLNSARSRTSGHP >ONIVA06G07880.1 pep chromosome:AWHD00000000:6:5526352:5529935:-1 gene:ONIVA06G07880 transcript:ONIVA06G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclophilin 38 [Source:Projected from Arabidopsis thaliana (AT3G01480) TAIR;Acc:AT3G01480] MAAALAFPTCCCCRRPSLRPSAGRRGRRPVARCALPSSEKNSFSWKEYAISVALSAGLITGAPTLGWPAHASPLEPVIPDVSVLISGPPIKDPGALLRYALPIDNKAVREVQKPLEDITDSLKIAGVRALDSVERNVRQASRALSNGRNLILGGLAESKRANGEELLDKLAVGLDELQRIVEDRNRDAVAPKQKELLQYVGTVEEDMVDGFPYEVPEEYSSMPLLKGRATVDMKVKIKDNPNLEDCVFRIVLDGYNAPVTAGNFLDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTGKVRTIPLELMVDGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMARDEFDDNSASSQIFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLANPSYKIEFLDR >ONIVA06G07870.1 pep chromosome:AWHD00000000:6:5522685:5523904:-1 gene:ONIVA06G07870 transcript:ONIVA06G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLQMLKRKKQQQLVVWQTRWDERLLDSAGDSMGRTFLSMVLIVQELQSFALQQMREAMLGDNQQGVLARVHGEMHASFVWLFQDIFAGTLALMVSPMLLANFIVHSMGRL >ONIVA06G07860.1 pep chromosome:AWHD00000000:6:5520158:5522067:-1 gene:ONIVA06G07860 transcript:ONIVA06G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPKCPTERKKADHLQLIPCGAPAGSCRGGGGSCRITMCTKIDTYKKRQRGQQQIDFELKTYHRKRQRRRGHQQTVELPDEIVREVLIWLPWSLQEDTRAAATLLYRRHFPAGEFELVLSMAHCDGLVLLPTKTKAYVFNPVIRDVLALPESNRNMRQRDICPPIGLGFDASTGKYKVARSFYRSREYNPMGIAAMGFEVFTINGEESCWRETLVDPPYPVLYSKIVTHCKGCLFYYIDKKNQQNPPQALLRFSLQDETFGVTPLLTDTYPQVEDDEVTITELGGQLCATFFCNTLQQVCIYMSGDVMDPDWNCWHIINFMNCYPIASLGSGRILLRRGSLVFCTNLKSYSIEDKLDIDDIRYLGPNEDNLGHAWEDLCWYDILPYTESLVPIIPRATLQAL >ONIVA06G07860.2 pep chromosome:AWHD00000000:6:5520158:5521459:-1 gene:ONIVA06G07860 transcript:ONIVA06G07860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEFKTYKKRQRGQQQIDFELKTYHRKRQRRRGHQQTVELPDEIVREVLIWLPVKSLARFKSVCKAWLSIISESCFIRDSIFAAFSTDIRFYQWSLQEDTRAAATLLYRRHFPAGEFELVLSMAHCDGLVLLPTKTKAYVFNPVIRDVLALPESNRNMRQRDICPPIGLGFDASTGKYKVARSFYRSREYNPMGIAAMGFEVFTINGEESCWRETLVDPPYPVLYSKIVTHCKGCLFYYIDKKNQQNPPQALLRFSLQDETFGVTPLLTDTYPQVEDDEVTITELGGQLCATFFCNTLQQVCIYMSGDVMDPDWNCWHIINFMNCYPIASLGSGRILLRRGSLVFCTNLKSYSIEDKLDIDDIRYLGPNEDNLGHAWEDLCWYDILPYTESLVPIIPRATLQAL >ONIVA06G07860.3 pep chromosome:AWHD00000000:6:5521584:5522067:-1 gene:ONIVA06G07860 transcript:ONIVA06G07860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPKCPTERKKADHLQLIPCGAPAGSCRGGGGSCRITMCTKIDSRCKTNTLSGKDVDSKNNTETMFEFIYQ >ONIVA06G07860.4 pep chromosome:AWHD00000000:6:5520158:5522067:-1 gene:ONIVA06G07860 transcript:ONIVA06G07860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPKCPTERKKADHLQLIPCGAPAGSCRGGGGSCRITMCTKIDTYKKRQRGQQQIDFELKTYHRKRQRRRGHQQTVELPDEIVREVLIWLPVKSLARFKSVCKAWLSIISESCFIREHLQCSKLKRYWNPSSFLITPHIPLKPGDSIFAAFSTDIRFYQWSLQEDTRAAATLLYRRHFPAGEFELVLSMAHCDGLVLLPTKTKAYVFNPVIRDVLALPESNRNMRQRDICPPIGLGFDASTGKYKVARSFYRSREYNPMGIAAMGFEVFTINGEESCWRETLVDPPYPVLYSKIVTHCKGCLFYYIDKKNQQNPPQALLRFSLQDETFGVTPLLTDTYPQVEDDEVTITELGGQLCATFFCNTLQQVCIYMSGDVMDPDWNCWHIINFMNCYPIASLGSGRILLRRGSLVFCTNLKSYSIEDKLDIDDIRYLGPNEDNLGHAWEDLCWYDILPYTESLVPIIPRATLQAL >ONIVA06G07850.1 pep chromosome:AWHD00000000:6:5501391:5504822:-1 gene:ONIVA06G07850 transcript:ONIVA06G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrogen regulatory PII-like, alpha/beta [Source:Projected from Arabidopsis thaliana (AT2G33740) TAIR;Acc:AT2G33740] MPLLPSPLGSLSAAATAAPRRAAAAAGLSPLLLRRRAPIAGALLFLSLGAFAGVRSLSSSASARMESTSTTVPSIVVYVTVPNKEAGKRLAGSIISEKLAACVNIVPGIESVYWWEGKVQTDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPIKGGNLKYLEWLKNSTRES >ONIVA06G07840.1 pep chromosome:AWHD00000000:6:5497617:5500085:1 gene:ONIVA06G07840 transcript:ONIVA06G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPLRPFHLLAATPPRLSPLLPLHSTRRTRLRLPPDLRARELGASFPARAAATAERVASSSSSLPSRVAWACGYPARTADDDCDGDEGELVSEGGATRPTCSPIWFESKEVMVLPGSKESQNYDSNNQKVHPQPIDENMNQNMGSMDTMIGRIFNNISSLKSAYIQLQEAHTPYDPDKIQAADQLVIEELTKLSELKHAYREKNPKPVAATPQDARLLSEIQEQQNLLKTYEVMVKKFQSQIQTRDTEITHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDDEDNYFSIELTPSLFTSAVDNAYQSIHDFSKPLINMMKAAGWDLDAAANAIEPAVVYTRRAHKKYAFESYICQRMFGGFQEESFSVKAANITVSNEAFFHQFLAVRAMDPLDVLSQNPDSVFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKVKVFQVKKGSDFSEIHMESVVKNIILDEGAERPKVGLMVMPGFLIGTSVIQARVYLSGVKSAD >ONIVA06G07820.1 pep chromosome:AWHD00000000:6:5482115:5492010:-1 gene:ONIVA06G07820 transcript:ONIVA06G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRATAASTTRWRVTAAADPAAATPRADLAARRRRRPPPARIQRRLAHARIWRCDGGGGGQPTRGSGGATLSRKGEWKSMVWTVEVQWRVYTIQHVGIVQAGSKDSTRRGQEKPRTLNLDCFSCGALPVDRKCTTTAIAQPRDGVKQKKRTLKDEERGRDEPFFCSAATAAIAGGGGEQGEIRDELRPRQIRTGGRN >ONIVA06G07810.1 pep chromosome:AWHD00000000:6:5481753:5484177:1 gene:ONIVA06G07810 transcript:ONIVA06G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPIASAQVSWALSSSTFQPGPFISSNCPSRLVFLVVLQVCFLLSSISEFLPPAARRSGERGVSAGGLPQSGVLPQSGWTSSFESESRKNSPSRTPPPHRIGQKNPSESVPSPRANLTGAQLVADFSLFPPTSGDGRGRRRAEESRGALPVDGQRAAAEAVQVQDWWKREISEDH >ONIVA06G07810.2 pep chromosome:AWHD00000000:6:5481785:5484177:1 gene:ONIVA06G07810 transcript:ONIVA06G07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding LGPILVHLSARPIYIQQLPVTPRLPRRLASLLPSLFHLRIPSPRRSPEERGAGRSAARRSGERGVSAGGLPQSGVLPQSGWTSSFESESRKNSPSRTPPPHRIGQKNPSESVPSPRANLTGAQLVADFSLFPPTSGDGRGRRRAEESRGALPVDGQRAAAEAVQVQDWWKREISEDH >ONIVA06G07800.1 pep chromosome:AWHD00000000:6:5478729:5479933:1 gene:ONIVA06G07800 transcript:ONIVA06G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGASCWWRMECAREWKAGRERDQMKAGASVEAMTSERSGKIGGRCSGDDATSLVSEKTRVRFGAAAQIRHGCRSINGSRREEERDVWGYLLASRGSVGAFGVVAATTALEKGEKGGKGKGGDGRKSERIEAAAVLPFSRVAVFPSCGCRRRPPAAVVLLATVAAPPLSTLPPRLPASRRFASPRKRDDEKEEGDDVDYADMWGPRGSHANSDAT >ONIVA06G07790.1 pep chromosome:AWHD00000000:6:5471398:5474414:1 gene:ONIVA06G07790 transcript:ONIVA06G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSGRCLFTATQWQELEHQALIYKYMAAGAPVPPDLLLHLRHRAAAAAAADVDTVPSLAFPPHHLGWGCYGAAAAQYGRRVEDPEPGRCRRTDGKKWRCSREAYGESKYCEKHMHRGKNRSRKPVEMPPPAAAAVYRPSALSISPPPHDADAPSYGAGAGAPLQLHLDSFHASTSPPPSYHITPLFPVSGGYGWSSSKEHCLTLGGVADLSLNKPADHHHHDATSATTTEKPLRDDGRTLWDSTQLSISIPTAAASSPDLAIASAASRYHNNVTHGAVGQSGQDAWRRLTSRMGSPNGLGGRRRPLSKYCEKHMHRGKNRSRKPVEMPPPAAAAVYRPSALSISPPPHDADAPSYGAGAGAPLQLHLDSFHASTSPPPSYHRYAHTSSAPLFPSSAAGYGGGWSLSKEHCLTLGGAAADLSLDKPADHHHDATSATTEKPLRRFFDEWPRSDDGRTPWDGTQLSISIPTAVAASPDLAIAGAASRYHSNGDHLRTSE >ONIVA06G07780.1 pep chromosome:AWHD00000000:6:5458741:5469286:1 gene:ONIVA06G07780 transcript:ONIVA06G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERAFNQSDWPKKKKLKSPDLHQRHGCGGIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLQHRGKLVEALEIKIDFNSRLANHLSNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFELLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIDDELKFDRPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEEKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQEAAPLIEQLELDFNDDGYISDVETEALRSLPKCTYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDEKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAARELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGVRAAGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCDLAEYARGALARLRRMCPGVGIPVRFRIGKKKEDCGPKRIRKPGTKEVRFEDLSEDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQGLLSSCSNLEWLSIFRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVADIVFKGDITFQYALTVLPVVFPCVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >ONIVA06G07780.10 pep chromosome:AWHD00000000:6:5461136:5463521:1 gene:ONIVA06G07780 transcript:ONIVA06G07780.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPYDPDWPKKKKLKSPDLHQRHGCGGIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPTLRFDSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLSNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFELLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIDDELKFDRPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEEKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQEVCSLNALFAKTPTF >ONIVA06G07780.2 pep chromosome:AWHD00000000:6:5458741:5469286:1 gene:ONIVA06G07780 transcript:ONIVA06G07780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPTLRFDSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLSNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFELLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIDDELKFDRPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEEKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQEAAPLIEQLELDFNDDGYISDVETEALRSLPKCTYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDEKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAARELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGVRAAGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCDLAEYARGALARLRRMCPGVGIPVRFRIGKKKEDCGPKRIRKPGTKEVRFEDLSEDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQGLLSSCSNLEWLSIFRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVADIVFKGDITFQYALTVLPVVFPCVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >ONIVA06G07780.3 pep chromosome:AWHD00000000:6:5458741:5469286:1 gene:ONIVA06G07780 transcript:ONIVA06G07780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERAFNQSDWPKKKKLKSPDLHQRHGCGGIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPTLRFDSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLSNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFELLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIDDELKFDRPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEEKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQEAAPLIEQLELDFNDDGYISDVETEALRSLPKCTYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDEKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAARELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGVRAAGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCDLAEYARGALARLRRMCPGVGIPVRFRIGKKKEDCGPKRIRKPGTKEVRFEDLSEDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQGLLSSCSNLEWLSIFRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVADIVFKGDITFQYALTVLPVVFPCVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >ONIVA06G07780.4 pep chromosome:AWHD00000000:6:5458741:5469286:1 gene:ONIVA06G07780 transcript:ONIVA06G07780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERAFNQSDWPKKKKLKSPDLHQRHGCGGIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLSNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFELLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIDDELKFDRPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEEKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQEAAPLIEQLELDFNDDGYISDVETEALRSLPKCTYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDEKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAARELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGVRAAGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCDLAEYARGALARLRRMCPGVGIPVRFRIGKKKEDCGPKRIRKPGTKEVRFEDLSEDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQGLLSSCSNLEWLSIFRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVADIVFKGDITFQYALTVLPVVFPCVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >ONIVA06G07780.5 pep chromosome:AWHD00000000:6:5461136:5469286:1 gene:ONIVA06G07780 transcript:ONIVA06G07780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPYDPDWPKKKKLKSPDLHQRHGCGGIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLQHRGKLVEALEIKIDFNSRLANHLSNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFELLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIDDELKFDRPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEEKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQEAAPLIEQLELDFNDDGYISDVETEALRSLPKCTYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDEKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAARELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGVRAAGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCDLAEYARGALARLRRMCPGVGIPVRFRIGKKKEDCGPKRIRKPGTKEVRFEDLSEDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQGLLSSCSNLEWLSIFRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVADIVFKGDITFQYALTVLPVVFPCVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >ONIVA06G07780.6 pep chromosome:AWHD00000000:6:5461136:5469286:1 gene:ONIVA06G07780 transcript:ONIVA06G07780.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPYDPDWPKKKKLKSPDLHQRHGCGGIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPTLRFDSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLSNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFELLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIDDELKFDRPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEEKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQEAAPLIEQLELDFNDDGYISDVETEALRSLPKCTYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDEKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAARELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGVRAAGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCDLAEYARGALARLRRMCPGVGIPVRFRIGKKKEDCGPKRIRKPGTKEVRFEDLSEDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQGLLSSCSNLEWLSIFRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVADIVFKGDITFQYALTVLPVVFPCVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >ONIVA06G07780.7 pep chromosome:AWHD00000000:6:5463557:5469286:1 gene:ONIVA06G07780 transcript:ONIVA06G07780.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLVCGNFMQVFSAQVLKDVAASPAAPLIEQLELDFNDDGYISDVETEALRSLPKCTYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDEKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAARELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGVRAAGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCDLAEYARGALARLRRMCPGVGIPVRFRIGKKKEDCGPKRIRKPGTKEVRFEDLSEDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQGLLSSCSNLEWLSIFRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVADIVFKGDITFQYALTVLPVVFPCVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >ONIVA06G07780.8 pep chromosome:AWHD00000000:6:5458741:5463523:1 gene:ONIVA06G07780 transcript:ONIVA06G07780.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPTLRFDSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLSNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFELLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIDDELKFDRPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEEKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQEVCSLNALFAKTPTF >ONIVA06G07780.9 pep chromosome:AWHD00000000:6:5458741:5463523:1 gene:ONIVA06G07780 transcript:ONIVA06G07780.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERAFNQSDWPKKKKLKSPDLHQRHGCGGIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPTLRFDSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLSNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFELLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIDDELKFDRPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEEKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQEVCSLNALFAKTPTF >ONIVA06G07770.1 pep chromosome:AWHD00000000:6:5448108:5456967:-1 gene:ONIVA06G07770 transcript:ONIVA06G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGAHGVETLKRYRYSGQDHSVVAKYVLQPFWSRCVTLFPLWMPILISQIYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGRFTFCFWVIAAVPFYLATWEHFFTNTLILPLINGPTEGLMLIYLSHSFTFLTGAEWWAQDFRKSIPLLSWVPLPFIPDIPLYIIVMILMILFAVIPTIGSNVSNVQKVVEARKGSMVLALAMLLPFIALLTGVAVWSYLSPSDIMRNQPHLLVIGTGFAFGYLVGRMILAHLCDEPKGLKTGMCMVNHLRGSIKRYIIITIARIPLVDELLVLFLYCAYTVGLYLHLAVSVVHEIKDALGIYCFRITRKEA >ONIVA06G07760.1 pep chromosome:AWHD00000000:6:5435658:5436936:-1 gene:ONIVA06G07760 transcript:ONIVA06G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGEKWSSVYGSADGEVFWRTESSAVTMEWAMAELLRCPDAIATATDELDRVVGRARWVAESYLPDLPYVDAVVKGALRLHPVGPLLVPHHAMEDTVAAGNDVPVGTHVLVNVCAIARNPTSWPDRLDVFLPERFLPGSGAGFIGTRRMATLRYHCERNNNRCERQKAAFSEDIK >ONIVA06G07750.1 pep chromosome:AWHD00000000:6:5424765:5425283:1 gene:ONIVA06G07750 transcript:ONIVA06G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTVATAAVAPKPSRADGVEEGGGGPRALPSQMALRTATTVAAAAPEPSRHGWGRGQRWRWLPSPLLQMASRMVAPEPPVVNSVQDGVGSRALPRRMARQRWLPNPPAWMVSREAAAVPEPSLVDGIEDEGGDAGGGPRALPSQMASRTATTVAAAAPEPSRDGWGRGQR >ONIVA06G07740.1 pep chromosome:AWHD00000000:6:5422620:5424617:-1 gene:ONIVA06G07740 transcript:ONIVA06G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGTNMWVPPSPCHSIAQHKPYSYPLSLRQRPQLRKEERENPRNQPRAASHKGALLQSIHLLIFHILRFL >ONIVA06G07730.1 pep chromosome:AWHD00000000:6:5415702:5422572:-1 gene:ONIVA06G07730 transcript:ONIVA06G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPAAASYRALVALLLVAVAVADDGSTLLEIKKSFRNVDNVLYDWAGGDYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAVGRLKGIVSIDLKSNGLSGQIPDEIGDCSSLKTLLDGDIPFSVSKLKHIESLILKNNQLIGVIPSTLSQLPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLEGSISPDICQLTGLWYFDVKNNSLTGPIPETIGNCTSFQVLSLQGNMFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNKLTGPIPPELGNMSTLHYLELNDNQLSGFIPPEFGKLTGLFDLNLANNNFEGPIPDNISSCVNLNSLNLSSNFLSGSIPIELSRINNLDTFNLSNNGLVGFIPAEIGNLRSIMEIDMSNNHLGGLIPQELGMLQNLMLLNLKNNNITGDVSSLMNCFSLNILNVSYNNLAGVVPTDNNFSRFLGNPGLCGYWLGSSCRSSGHQQKPLISKAAILGIAVGGLVILLMILVAVCRPHSPPVFKDVSVSKPVSNVPPKLVILHMNLSLLVYEDIMTMTENLSEKYIIGYGASSTVYKCVSKNRKPVAVKKLYAHYPQSFKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMENGSLWDVLHEGPTKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTANNAVMETVDPDIADTCKDLGEVKKVFQLALLCTKRQPSDRPTMHEVVRVLDCLVRPDLPPKSAQQLAMPQPPAVPSYINEYVSLRGTSVLSCANSSCTSDAELFLKFGEVISQNTE >ONIVA06G07730.2 pep chromosome:AWHD00000000:6:5415702:5422572:-1 gene:ONIVA06G07730 transcript:ONIVA06G07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPAAASYRALVALLLVAVAVADDGSTLLEIKKSFRNVDNVLYDWAGGDYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAVGRLKGIVSIDLKSNGLSGQIPDEIGDCSSLKTLLDGDIPFSVSKLKHIESLILKNNQLIGVIPSTLSQLPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLEGSISPDICQLTGLWYFDVKNNSLTGPIPETIGNCTSFQVLSLQGNMFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNKLTGPIPPELGNMSTLHYLELNDNQLSGFIPPEFGKLTGLFDLNLANNNFEGPIPDNISSCVNLNSLNLSSNFLSGSIPIELSRINNLDTFNLSNNGLVGFIPAEIGNLRSIMEIDMSNNHLGGLIPQELGMLQNLMLLNLKNNNITGDVSSLMNCFSLNILNVSYNNLAGVVPTDNNFSRTLWILAWFFVPFIWPSTETQSQLFVTYILFAALISKAAILGIAVGGLVILLMILVAVCRPHSPPVFKDVSVSKPVSNVPPKLVILHMNLSLLVYEDIMTMTENLSEKYIIGYGASSTVYKCVSKNRKPVAVKKLYAHYPQSFKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMENGSLWDVLHEGPTKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTANNAVMETVDPDIADTCKDLGEVKKVFQLALLCTKRQPSDRPTMHEVVRVLDCLVRPDLPPKSAQQLAMPQPPAVPSYINEYVSLRGTSVLSCANSSCTSDAELFLKFGEVISQNTE >ONIVA06G07720.1 pep chromosome:AWHD00000000:6:5409287:5411140:-1 gene:ONIVA06G07720 transcript:ONIVA06G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWFNLAFPKDFGGVDLTETRALNAALLAKWLVKIESHIRVCVWNFSGENTCNTMGFSNMILTFNLNSGSNRKL >ONIVA06G07710.1 pep chromosome:AWHD00000000:6:5404326:5406980:1 gene:ONIVA06G07710 transcript:ONIVA06G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITMESGRGHGGCGGDLFGLGGALRPAAASPAASSAGWGSDSRVGMVHCEDAAAAGEEEESDGEVESSYRGPMDTMDALQQALPRSRRRRGTKFDNSKSSFLVSAKDDVLSSQHTKPEVPSPKKRKGLLPSSVDKNKSQSKELSPVDDATSSPTNCRKALYPAVVDSSPGKNRGYDERECCKNRLCHCLQTKSINVMDAFASPPIALLPELTSVQTKFVVISLNEVAELTDVISPSEKRRKN >ONIVA06G07700.1 pep chromosome:AWHD00000000:6:5396120:5400721:1 gene:ONIVA06G07700 transcript:ONIVA06G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADRLMLSNLDHDLGYLHKFPSDFPMSHDLGLSLFTHAGTMVGSSLRQHRQICSSGNLIVQEAFDRLNKFARAFCYWLSRVSNPKNLRRLMSMEGPSSRACQSHINHLSSRMQNLAVLQFGYLVREEHAVQLLLANFASTTLGRLWNDFQQQHACNVLTLAGAMAIVPPLENISLKTLAESMALGNIKDYVSRPMDKPYLEDKCIKSRSVAVPSTIFQGDAIEPKTGIKFPAFLEDDSSPSTTVLVGMGFKGVKVMRVKNLDLYAFGLYLQPNTISEKLGPKYASVPTINLKDNPDFYDDLLRENLPMRVRLVLHYNGLSIGAVRDVFEKSLGLRLQKMNPNTDYHCLKTFGSYFNEDIPIPAGTKIDFCQTSDGQLITEIDGRQIGAVKSKDLCRALFGMYIGDSPVSLQAKKDIAQNVAGLIGKC >ONIVA06G07690.1 pep chromosome:AWHD00000000:6:5390136:5394221:1 gene:ONIVA06G07690 transcript:ONIVA06G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMD1] MRGGLVASARLLPRPLVRWFLQRRAQQDINENMLGGKKVKIIFVLGGPGSGKGTQCSNIVEHFDFIHLSAGELLRAEINSGSENGTMIDTIITEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVTLVTYFQLLEISISPEFVLFFDCSEEEMERRLLGRNQGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIDATKPAPEVFEDVKAIFTHMA >ONIVA06G07690.2 pep chromosome:AWHD00000000:6:5390136:5393375:1 gene:ONIVA06G07690 transcript:ONIVA06G07690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMD1] MRGGLVASARLLPRPLVRWFLQRRAQQDINENMLGGKKVKIIFVLGGPGSGKGTQCSNIVEHFDFIHLSAGELLRAEINSGSENGTMIDTIITEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVTLVTYFQLLEISISPEFVLFFDCSEEEMERRLLGRNQGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIDATKPAPEVFEDIIFLLK >ONIVA06G07690.3 pep chromosome:AWHD00000000:6:5390136:5393707:1 gene:ONIVA06G07690 transcript:ONIVA06G07690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMD1] MRGGLVASARLLPRPLVRWFLQRRAQQDINENMLGGKKVKIIFVLGGPGSGKGTQCSNIVEHFDFIHLSAGELLRAEINSGSENGTMIDTIITEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVTLVTYFQLLEISISPEFVLFFDCSEEEMERRLLGRNQGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIDATKPAPEVFEDFLN >ONIVA06G07690.4 pep chromosome:AWHD00000000:6:5390136:5393707:1 gene:ONIVA06G07690 transcript:ONIVA06G07690.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMD1] MRGGLVASARLLPRPLVRWFLQRRAQQDINENMLGGKKVKIIFVLGGPGSGKGTQCSNIVEHFDFIHLSAGELLRAEINSGSENGTMIDTIITEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVEEMERRLLGRNQGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIDATKPAPEVFEDFLN >ONIVA06G07680.1 pep chromosome:AWHD00000000:6:5382280:5386594:-1 gene:ONIVA06G07680 transcript:ONIVA06G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKAKRSVAIVGAGASGLAACKHLLARGFRPVVFESGEAAGGPAAAAAEEFPRHDEVAAYLDAYARRFGVLERVRFGSKVVSAEYAGVPEEEAAAWERWSGNGEAFGDGRGEWLLTVQHRKSENLQTYKFDFVILCIGRYGVASVPTFPPKGVPEAFHGQVLHSMDYSSMDHTAAAELIRDKRIAVVGSGKSAFDTVAQCADVNGLVWGVNFQNLTTSRLAEVMVHKPGEGLLLSLLATMLTPLRWLLSKLTEMYYKRHTPMQRHGMVPGYSFSQSILACRLGILPKRFYDRVDDGSIVLRRCDPSFSFCAGGLVLDVATGDHIVDADVVILATGFQADRQLRDIFVSPWFSKIVAESSDATMAIIGYAESAANIYPYEMMAKWVAHLLDGAFQLPSIARMEKSVVEWVCWAHDMRRCSGNYFRKSCIGTITTWYNDQLCRNMGYNPRRKKGIVAEWIEPYGAADYADI >ONIVA06G07670.1 pep chromosome:AWHD00000000:6:5380583:5382115:-1 gene:ONIVA06G07670 transcript:ONIVA06G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMC9] MVKFQKRVAIVGAGASGLTACKHALAKGFRPVVFEAAGDGVGGVWRRTLASTRLQTPAFAYRFSDFPWPADVSGAEVFPRHDQVVEYLAAYARRHGVTECVRFGCKVLTAEYAGVPDEEAAAWERWSGNGEAFGDGSGEWLLTVQHPGSEATQVIKFTCYLNFFVKFIYV >ONIVA06G07660.1 pep chromosome:AWHD00000000:6:5369873:5372111:1 gene:ONIVA06G07660 transcript:ONIVA06G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKKISELTTKGQTWSIKAKILRMWDSVNFATDEIMSFDMLLMDEEGETIHATIWKNLIDNFRPMISENSIYAFSNFKNSTNPRPKDSREIELLLLDGAKIRVTLWGQLAHSLNEDVIGNHTVVVVTSTTVQEFNGLSLRSSSATRLYTDINIPETWKIISRHSDEQNLPKLMEVDKSTQGTLEEQMFYNRKTLQQITNMGHDDTKSQDFICTTKATIDHLQDVTWWYMSCNDCNKKVVKKIDKYYCEKCKMYPENTKPRDHTTTANCTLFDDVAQRMLNTSISSLLNSLDGRCEEVPTIIQQLCGRTFIFQLKLNIENLTQGKSNYIVRRTFVPDDKLEMQHLDDKAKTTLEKKTTQKKT >ONIVA06G07650.1 pep chromosome:AWHD00000000:6:5364721:5367450:1 gene:ONIVA06G07650 transcript:ONIVA06G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWCPDDAYACLSLLLLLLLPAAMATSYSSLCSFPAEAADLVVTAGEHQSIADRLNLPLPSDGYFSGGDKLLFASDDQRLPRSFSFFTRRAARTTDPAITHLVATVTLSGYRFFGGRSWRTNVSAHSVSFDLEGYYSNDSASAALCMVGSGSRARDDGLGVVIIPDVALRLRLPRPATLTRPFVTGRLEGPDFGAVTLVAYAEGDYKYGEAASCPTPPGAVRSESKVFDGNFTCDRLGALLRGSYTMEYAEGRAPSGFPLRQRLRSMHISEIYCGENGAVRAYMVFDFDDASSDAILLGIHEAPWRRGFQADGDEALVADGFWKPSQGRLCLRACRTVRSTVRESDCGIRIHFWFPAVWSIQQRSFVAGMIRNTRSDDDGDTNKMSGAISVSRTGFRGDLSDIKYHYTRVEDAKNYYHSNPELSKERNGRFPGNYSYRDFAFSLYITTHGGYGYASPVTLGSAMVDGGTLTADDAFSRHAVAEMIKQRLLSVSYEFDIHLYRRVNSSRAWNVSRVPDRWRVSAEGVYDTKSGTLCMVGCRVINSSSDCQILVTVQLPALGGEDGTGSISSLRKKSDTLFFETLGFAAYGAQPAIEAAQAISRVDTERIMLVTSMTLSCVFLVLQLRHARKNPDALPATSITMLAVLALGYMIPLVVNYEAMFVDDGGSRNRHFIELARSGRRWLELNEFVLRLSTMVAFVLQLRLLLLALSARSTAGASGGGDDRWAAERSTLWICLPLYIAGAILIWIPHIGDGHDHQPLSQMKSAIHVPPPPPPPLSDDLLSYAGLILDGFLLPQIVSNAFSASRVNAISPWFYVGGTAIRAAPHAYDGLRARGYVQRWIPSYIDVYAGPRDGLFSVAWDVVIPCGAAALAVLLFFQQRLGGDFLCCVKRRKPGGSYEIVSTSTL >ONIVA06G07640.1 pep chromosome:AWHD00000000:6:5361766:5364333:-1 gene:ONIVA06G07640 transcript:ONIVA06G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGKLAISRAQGSDKTHIACVPDAGTASVIAGNGAAKIAVGSGSGSPADLLLWPPPPPPLRPPVYSTGGLLTQTPPPPQKPPTQAPHQSSQVAVLPMLQKASTSDEEIRSVEATGSSGHSSQKHEEGKGWYKFDDECVRPITEDSIKTPAAYLCFMDQGNISMMISDSVLSPELCCLTNNRRLLLPSVLFSLIALDKYSDISQEHLFCLDISQNQTRLPLSLPSKPINSFLCKTFVQPIPIPVLHPLEHK >ONIVA06G07640.2 pep chromosome:AWHD00000000:6:5361766:5363305:-1 gene:ONIVA06G07640 transcript:ONIVA06G07640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSHYMVLPMLQKASTSDEEIRSVEATGSSGHSSQKHEEGKGWYKFDDECVRPITEDSIKTPAAYLCFMDQGNISMMISDSVLSPELCCLTNNRRLLLPSVLFSLIALDKYSDISQEHLFCLDISQNQTRLPLSLPSKPINSFLCKTFVQPIPIPVLHPLEHK >ONIVA06G07640.3 pep chromosome:AWHD00000000:6:5361766:5363231:-1 gene:ONIVA06G07640 transcript:ONIVA06G07640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETIFFNVLITSKAGWFLQHEEGKGWYKFDDECVRPITEDSIKTPAAYLCFMDQGNISMMISDSVLSPELCCLTNNRRLLLPSVLFSLIALDKYSDISQEHLFCLDISQNQTRLPLSLPSKPINSFLCKTFVQPIPIPVLHPLEHK >ONIVA06G07640.4 pep chromosome:AWHD00000000:6:5363261:5364333:-1 gene:ONIVA06G07640 transcript:ONIVA06G07640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGKLAISRAQGSDKTHIACVPDAGTASVIAGNGAAKIAVGSGSGSPADLLLWPPPPPPLRPPVYSTGGLLTQTPPPPQKPPTQAPHQSSQVAVRRKSASVGQYDTSMLKSLPEIHKLKEMKILSHYMVVWKPF >ONIVA06G07640.5 pep chromosome:AWHD00000000:6:5363765:5364333:-1 gene:ONIVA06G07640 transcript:ONIVA06G07640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGKLAISRAQGSDKTHIACVPDAGTASVIAGNGAAKIAVGSGSGSPADLLLWPPPPPPLRPPVYSTGGLLTQTPPPPQKPPTQAPHQSSQVAVRRKSASGPTQKLPTRRHRI >ONIVA06G07640.6 pep chromosome:AWHD00000000:6:5363765:5364333:-1 gene:ONIVA06G07640 transcript:ONIVA06G07640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGKLAISRGTASVIAGNGAAKIAVGSGSGSPADLLLWPPPPPPLRPPVYSTGGLLTQTPPPPQKPPTQAPHQSSQVAVRRKSASGPTQKLPTRRHRI >ONIVA06G07630.1 pep chromosome:AWHD00000000:6:5361415:5361753:-1 gene:ONIVA06G07630 transcript:ONIVA06G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGAEKVARAGETDGEGGARRRRRGRGRRTVTEEAMEAARTGEVDGDEGGWRRRHGQGRRTVTEEAEKAVRPGEATALERKDMPMALEATREMDGDGVGDGGRGTGDGEG >ONIVA06G07620.1 pep chromosome:AWHD00000000:6:5353835:5358328:1 gene:ONIVA06G07620 transcript:ONIVA06G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPVPPSMAEGSSKNSPTKGSLSLGDLDAVEVLPASATAGWSSARQKRKWSQVSCDFYHVSRDTREVSCETYRVPDNFNYVSRDTHESGSSKDVAGPSSIALKNVDPSDGVGAFPKAMSLADYLELEGSDINLNINTYYYLVALGEGGIVVEGQTSAEKPHQHKQMKHVDPKIDEKYVEFKQFDIVGDHSDHFYSNPRERKVQVVNEPGKDWVKRIQHEWKVLEKDLPDNIFVRVYEDRLELLRAVIIGPSGTPYHDGLFFFDVYFPPQYPRNPPLVIYHSGGLRLNQNLYACGRVCLSLLNTWPGDGCEKWNPSYSTLQVLVSIQALVLNAKPYFNEPGFESYANTPRAEKKSIAYNQETFLLSCKTMLYSLRNPPKHFDDFIIGHFHKYGHSILIGCNAYMDGAQVGSIIGGVKAIDKGNKGCSTKFKGSLKKLFEELMMEFIGIGVDCHEFMIDTTLKL >ONIVA06G07610.1 pep chromosome:AWHD00000000:6:5347903:5350279:1 gene:ONIVA06G07610 transcript:ONIVA06G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSTLFSLSSLSASLPSPAQPAPASLSLRAVSPRARLSASYAAFPIGGLGAWAAATPASSGRWRRRGLEVVCEAAKTGTATGRRPDSVKKRERQNDRHRIRNHARKAEMRTRMKKVLKALEKLRKKADATPEDIIQIEKWISEAYKAIDKTVKVGAMHRNTGNHRKSLLARRKKAIEILRGWYVPNAEPAATS >ONIVA06G07600.1 pep chromosome:AWHD00000000:6:5333127:5346610:-1 gene:ONIVA06G07600 transcript:ONIVA06G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:two-pore channel 1 [Source:Projected from Arabidopsis thaliana (AT4G03560) TAIR;Acc:AT4G03560] MRERGEMREAKAPLIAEAAEHISHSHGSGSSGTGSHTSGGGGGWRGSRQYQRRSDALAYGDRYQKAAALVDLKPLWCRGYSQHACDQRDLYFLGQLPYLSKTESLIYEGLTLVILVMDIFYPLSYEGLNLFWKNTINKLKVLLLFILACDILVFAFSPQPFRVAPYIRVAFLIMNIRELRMCAVTLVGMVGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKTVFSSYGTTLYQMFILFTTSNNPDLAKQVSQADCTRKSILEKAFGIIDATGQGYLNKEQCLSLLDELNKYRSLPKTSREDFELIFAELDQSGDFKVTSEEFATLCNTIAIKFQKEPPPSYLEKYPSFYHSALCERLKSFVRSPLFEYIVIFVLLMNLVAVIIETTLDIENSSSQKVWQEVEFVFGWIYVIEMALKIFSLGFGAYWMEVIGETLTFAFPSKLSFLSNGEWIRYLLLGRMLRLTRILLQIFGGIVYAGNPTLEETDLFSNDYLLFNFNDYPSGMSYRQLTGSYWSLIYFVSFYLISVLLLLNLIVAFVLEAFFAEMELEKDGEADIQDPTLEGRNRRRSVR >ONIVA06G07600.2 pep chromosome:AWHD00000000:6:5333127:5346610:-1 gene:ONIVA06G07600 transcript:ONIVA06G07600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:two-pore channel 1 [Source:Projected from Arabidopsis thaliana (AT4G03560) TAIR;Acc:AT4G03560] MRERGEMREAKAPLIAEAAEHISHSHGSGSSGTGSHTSGGGGGWRGSRQYQRRSDALAYGDRYQKAAALVDLKPLWCRGYSQHACDQRDLYFLGQLPYLSKTESLIYEGLTLVILVMDIFYPLSYEGLNLFWKNTINKLKVLLLFILACDILVFAFSPQPFRVAPYIRVAFLIMNIRELRMCAVTLVGMVGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKTVFSSYGTTLYQMFILFTTSNNPDLAKQVSQADCTRKSILEKAFGIIDATGQGYLNKEQCLSLLDELNKYRSLPKTSREDFELIFAELDQSGDFKVTSEEFATLCNTIAIKFQKEPPPSYLEKYPSFYHSALCERLKSFVRSPLFEYIVIFVLLMNLVAVIIETTLDIENSSSQKVWQEVEFVFGWIYVIEMALKIFSLGFGAYWMEGQNNYWRDSNICLPIEAFFSFKWRMIFGGIVYAGNPTLEETDLFSNDYLLFNFNDYPSGMSYRQLTGSYWSLIYFVSFYLISVLLLLNLIVAFVLEAFFAEMELEKDGEADIQDPTLEGRNRRRSVR >ONIVA06G07590.1 pep chromosome:AWHD00000000:6:5328138:5330986:1 gene:ONIVA06G07590 transcript:ONIVA06G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRGRKHLCFILLLVLSANTTTLSTALSGPYSSRCASPSPAADQHTGVDDASALLRSFRITSGIFSGEGAETLFSPRSYYSVVGQHSFTDSFARRSFSLLPHAVSRTTEPSVIHLTATLTLFGSRVQLFESDLTRESAKEGHSISFYLDGYYSSATTQLCMVGKGSDLSIDGSVKHYMDVALRLRIPSISSLTDPFVTGILEGADFEPFSLLTYAEGSSYRYSENTSCLPLPVPEAAAAARRAIQTTPDGNFSCDTLKARLAASYRLEYGRAHAVSFPSLHEPRLHVNQLHCTAGGAVRAYAVFSNDTANMWGFRDFFSSQEAAVVADGHWDSDTNRLCLRACLVARSSPATPSASTELEVRECGIGMSFWFPAVWTVRDRSVTAGVLWNATQLNSSDNHASVGSDVALITASSFEEWKGNLSDVNYNYSFTMLEEAKKHYLKTGPSDSKKNSKGSFPGNYSHSYRDFRFPFFLEGETGSGTAYPVAIGSAMVDGDRLAAEHSFSRHAAAQLEQGTLVNVSYGVTYYVAPKNWSSFGQLKDRYIRAEGVYDPTTGSLCMVGCGELNGSMDCQILITVQFSSFGNGTGFSHGRGRISSLRNSTDRLYFPRRDITLFGMYSHEVSKSIWRMDTETVVVVISTTLTCVFTVLQILHTKRNPSAAASTSITMLAVQALGLVTPLVVNSELLVMNKRRQLGGLDGDGWLRLNELMLRVPTLIAFALQLRLLQLAWSGRTTAACSSEGETSPAPAPAAERKVLRTCLPLYLLGAAVTAVVHVVNVRAAREAGLVDRRFAPAEVTTLWADLASYAGLVLDGFLLPQVVFNAASGSRSRVRAISPWFYAGGTVIRAAPHAYDAFRAVSYAATHVYASSRDDFFGVAWDIVVPLGAALLAFVLFLQQRLGGDLLLRSRNRRRPCDYQLVSTFQR >ONIVA06G07580.1 pep chromosome:AWHD00000000:6:5315062:5317966:1 gene:ONIVA06G07580 transcript:ONIVA06G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKKSPRPCCHVSFLLLQLVLLSVIVFPATAAAASTFRCSNPSPVPNEVPEGNDARELLRSFQITTGYFSGGDRLFAPDDDSTYIPRSFALSPYKVARTTDPAILEVAATLALYGPSSDHGSGGGARRRRHRYLVSQLVASFVLHGYYSSASGELCVVGGSGSYSVDGGSVEHLRDVNLHLRVPNAPSLADPFVTGLLDGADFETISLVAYVENDRYVYSEKRPSCPPPMPAHAARGALQALEANFSCSHLRELFVSSYRLENTSSDASSPAASTFQFPLSHGGLRMLVNQMHCTANGSVRAYVVFSNYTDSERRWRRDMVINNRFLVKEEAVVADGYWDSTTSRLCLRACRVAHSSAAETELKVGEQCGLGMSFWFPAVWTIRDRSIVAGLLWNANQEESGGNKHAGASLSGVMSVSSIDGDGYNRRRSNLTDVKYNYTMVEKAKKQYLSCKFSKRKTGRFPGNSSMYSYSDFRFDFIETLGAGGQASPVTIGSVMVDGDQLAAEYMFFRHAMGEMNKSRTTVVRMDHSQLLNVSYDISYRVRSANSKARKNSSSLFSHPLSIERREISAEGVYDPKTGILFMVGCQEINGSSTDCQILVTVHFASLDAKGNGKQIGESIWRMDLEIIMAVVSATLSCVFAALQIRHARANPASAPSATSVAMLAVLALGHVTHLALNVDALFVSRRTHYIPISADGWLELNEVMLRVPTLIAFALHLCLLQLVWSSRRSAPRAIAEKWSAAERRSLWICLPLYLLGGLLAGAVHVINNGRAAAENSLVVRVAGDSGTLWDDLASYAGLVLDGFLLPQVILNALSRSRARAISAWFYVGVTVLRAAPHVYDALRARGYVPSVRPSSTYVYASPRDDLFGVAWDVAVPLGAASLALLLFLQQRLGGAFFVRGRRFGEYEMVPTTVSSHQEGDKKMDHERGDVIAN >ONIVA06G07570.1 pep chromosome:AWHD00000000:6:5300197:5302899:1 gene:ONIVA06G07570 transcript:ONIVA06G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKNTISYAYPLCFIFILSTTTLSVAISTSYSSRCSSPSPASDYHTDYVDTLALLRSFQISIGYFSSGGNSLFSADDDYVNPRSFSFVPHGVFRTKDPTIIHLTATLVLSGPRSSTYIGHRHHRYSITQTISFILDGYYSFTSNDLCMVGFGTNYAADGSIKLHEDSVLRLRVPRPSKLTNPLVTGHLEGTNFETISLVAYDESDNYVYSENALCPPFMLENSMLEQAQAVKENFNCDQLKTHLRRLYKLEYMVDDSLAPRGYNIWSHATRMYINHGRFMVVEEALVADGYWDPTTGQLCFNACPIVRSVSGLSHTDFVVQDCKIKMSFRFVDVWTIRDRSVIAGMLWNSSQGIVNNSRAIPGIISVSGIQEHWENISHVKYTYTVVDEAKKHYISSGLSNKKKKIKGSFPGNGTYSYHDLEFRFIANHVGSGDAYPMTIGSMMVYEDRLAANDSLSDPMVVGMKHELLNVSYDIRYYAPPENWIRPKNGSYSISLHERRISAEGIYDRKRGTLCMIGCREINSSTDCLVLITVQFSSLDAKTQGHGVGAISSLREKNDRLFFEKIDITLYGMYTEQLFEAILRMDMESIMLVLSTTLSCVFTILQILHTKKNPEVPPATSITMLITLALGYLTPLVLNFEALFLSRRKQYVPFFRNNRVELNEVMLRVPTLIAFVLHLRLLQLVWYGRKPDHQSKAETFSIAKRKALQICLSLYFLGGILAGIIHIINVHTRRESPVVVRISQEPATIWEDLVSYAGLILDGFLLPQIIFNRLSGSRVQAISPWFYIGGTLIRAMPHVYDLSRAQNYIPSLRSSYIYANSHDDLFSAAWDVIIPLGAALLALVLFLQQRLGGASLISLQGSRLGSYEMVSTI >ONIVA06G07560.1 pep chromosome:AWHD00000000:6:5294336:5296688:-1 gene:ONIVA06G07560 transcript:ONIVA06G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HMB2] MGHAAADELIRGKRVAVVGSGKSAFDTVAECAAANGGRYPCAMICRSGRWMVNGGFVWGVSLGHLFCNRLAELTVHKPREGLALALLAILLTPLRWLLSKLAETYFKMQIPMEKHGMVPEESFAGSMSGCRLGVLPDKFYDRVEEGSILIKRARSFSFCTDGLVLDDDDTGERVDADVVVLATGFRGDQKLTDMFVSATFKQQIVAAPLYRQCVHPRIPQMAVIGYTENLTSIYTFEMMAKWVAHLLAGAFRLPSVVRMEASAAEWDEHLVMRRHGEGGGGKPCLGGVSTWYNDEMCRDMGYEPRRKKGILAEWLQPYGPADYAGIL >ONIVA06G07550.1 pep chromosome:AWHD00000000:6:5283803:5286315:-1 gene:ONIVA06G07550 transcript:ONIVA06G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLFACFGRGGEEAEEEAGKRPALRRRRTVNLRSLSLEDLSRTLAKTNLHAFTLDELKAATKNFSTSNFLGEGGFGPVYKGFVDGELRPGALESQHVAVKYLDSDGVQGHREWLAEVVYLGMLSHPHLVKLVGFCNQDDHRMLVYEYMPRGSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDKDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTARSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRADRLHRIMDPSLELQYSARAAHAAAKVAHQCLQSVPKSRPCMRDVVDALEPLLAVDDDVPMGPFVFTVGGEEAAAAAAGSSAAGDAGDDEPARGSRRGKKHVTSAVHAESPLRDGRYASRVKRPESPPSVI >ONIVA06G07540.1 pep chromosome:AWHD00000000:6:5282326:5282846:1 gene:ONIVA06G07540 transcript:ONIVA06G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATQENGGQTYEALLFTGTTKKASRAGSSTRDCPRTLSLRISPISNTVPNDILNGTRIRTTSPTRVEGMAASTSCALCTVTMPYWGIGGGGGGGGGGRCSNRVSKRWLIVPPPLLPRSTSLSPS >ONIVA06G07530.1 pep chromosome:AWHD00000000:6:5276125:5278707:-1 gene:ONIVA06G07530 transcript:ONIVA06G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSCRLCSVACLATPRIAVARSLAGAKDDANILRPADSYNSERRRRRRQQGSEDFKNGLCDQHVLCRLNAIFNSSRGRTRGLDDQIVMAGGTAHCDAFLLTASLLGRGRTGGGEPGNGEGVEAPELGKKSSALLPLLSLSDCANNADDDTAWIQEERGGGGDEELRLRCGRKGCGERLPFLSSPSAASCDDADADADTDDDATLI >ONIVA06G07520.1 pep chromosome:AWHD00000000:6:5269769:5275174:-1 gene:ONIVA06G07520 transcript:ONIVA06G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARSLPSYPERSVLRLVAPSEVHTVHPAAATYPCHLGPSGDSRSSEESGCQLGEQLEDRRTGMENNLIRRTKRLKRCHQQPFKQSRAKVQFADLPEVICCAQFSQKLPLKDVVRTSVLSRNWRHMWTCCTKLRFDGVMVSRDNINAILQQHSGKIVEEFEVKFEFDSKLVDHLNGLVDFVVSSQTKKMAFDLVPTNPVGLLDRYRFPFERFDNGSISRLQHIQLSFASLELPPHFSGFLNLRNLDLHLIRSTQKDLQDLLSQCFNIEWLSLVRCHIGGEIKVTHPLPRLLYLRVTYCDITKLQLNAINLKAFMYDGMRHPIDLGHALVLKEASLHFFGSVHLEDALTTLPSMLPCVQSLSLDAYVPLTTLPSVPPCVQPLCLDVYVPLEVSSLLKNTCKFSHLKYLQLKLRLYYHDSGNILSLASFLRASPCIEKLEIHPIRRLPQGEYGYLKNMHITGFVASTGELEFLLHVVESASILEVLTIEAAGMLGKDIDYEGRLKVEELTRRYLDGIICESWLPSWLASIVSMNALEAIVLPPCVALAIRRPRSGVWDYIWVNVSELAVEELSVPEYLEFMEQLVDGQKLTFSEVGAGQRWRRSQSGSVSRVGAGLDGSAKGAGGGGSSSSLPVGTLALPGAPPLLCGEFLGWIEAATCQRGKPRLLKQCHLVPDLARRLAGGGSEGSWASSQGWRFVEVSRWRGVGAVWWQSCRWQDWKLAGGGASVGCGGSHVPPMFRWWIRIGRWTTAVGDSERKLSPIFFGPTVTSLSGVVTLLRALLRYPSSLGKELWVKTLSKDDDGVFRRRNPREGVVLESSCRGGVVGPVAIGLA >ONIVA06G07520.2 pep chromosome:AWHD00000000:6:5268821:5269766:-1 gene:ONIVA06G07520 transcript:ONIVA06G07520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVTRLLPDVIGTTCSQWLEKVIGTEHTDILRCCKRNYEGNAGQIYHFSCQLTADHAMTHRLHIMTSTFQEIARSKDTVRQYESHIAFTLPALAIRQSDPDHRILRWKFTRECKQSDENGFHKAYHISIGINLQTHCRLPSDGLISQRKSQNLNFQT >ONIVA06G07510.1 pep chromosome:AWHD00000000:6:5265837:5266451:1 gene:ONIVA06G07510 transcript:ONIVA06G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSITAFFGLLVSMYATGFFPYVDLQSGGESCFVRAPPLDAAVHTMLLAAAAAMVAFHTSVALIYTCIGGGGGGAVDRMIRPQVVYLILFLSSGVLHVFLAPQPGAIDGGQDLLPLAVAVVHVLRPAAAATTFFLSMTLIYTHVRAVGRGEGGAGAAATAAGNVPIATTTVELLAKLVLAAALVTVVLTLTSTVLAASYAD >ONIVA06G07500.1 pep chromosome:AWHD00000000:6:5254112:5254306:1 gene:ONIVA06G07500 transcript:ONIVA06G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRPVPPSAAAAGGWSPELLRTSPGRRRVALGRPSVRAVFPYCSRSVERKKTKVEDDLQPSH >ONIVA06G07490.1 pep chromosome:AWHD00000000:6:5245810:5250968:1 gene:ONIVA06G07490 transcript:ONIVA06G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFGHFAPPSRDAAVQLMLLRLLVAAAAMGAFNTAVALIYARLYNAGGGGVNGRITRGVYLVLFASSGVLHVFLVPQLEPGVIVNGAQELLPPLAVAVAHVLLPTAAAATFFLSMMLIYAHVGAGGGAVGDMMAIPTTTVKLLTKLWRKLFRPEEESKLKTKGGTDEANDDKIKTSKSRSGIVVMFAFPVYSCCRSSTGCV >ONIVA06G07490.2 pep chromosome:AWHD00000000:6:5243571:5250968:1 gene:ONIVA06G07490 transcript:ONIVA06G07490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTELSVRALLPYVCSHVSQWRKLFRPEEESKLKTKGGTDEANDDKIKTSKSRSGIVVMFAFPVYSCCRSSTGCV >ONIVA06G07480.1 pep chromosome:AWHD00000000:6:5230687:5231451:1 gene:ONIVA06G07480 transcript:ONIVA06G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRNTIVVAMGAGALGGPDALRLLLGFAGRSPLVDILVVVFVIAAVTAPALGTILLARFFRKPRAGAGGTGAAVDDPFAKMTLAVSLAVAVLVSATLILLPLFQSGGLGALAFAGAALVVGACAARARGVLLANAHGGAPATERFAKATLMVSLAAVFSLLVLCIAVGILDAPAQRLLAFAFKNPPATVAVCVATATIIGATVVTLFFRKAKNADAAAAAAPTAMAMALFHNHKMILVMVTPFVVIFFLCS >ONIVA06G07470.1 pep chromosome:AWHD00000000:6:5224698:5224919:1 gene:ONIVA06G07470 transcript:ONIVA06G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTPAARPRPAPTTSGVEDAAAGDELRHGGRGRRRALAWGMVAWTAEDLRGDRDDAGDELRRGAWTAEDPAW >ONIVA06G07460.1 pep chromosome:AWHD00000000:6:5224361:5226627:-1 gene:ONIVA06G07460 transcript:ONIVA06G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWPSVTPAQGRSPAWGEKDAATGDAAAGDVAAGDVVAGFAAWCSIRVAGWCALRRRPNSVTGDVAVDDVVAGLSPRAPAPLPSIHGATVNAHPRLHLRGRPVRPPPAPQLRREPSLVGSFVAGRRSRCESGPSSYPSDTLGEQRDKMVCKELVNLVMAGCGVWISFVKPDDTRHDIDEDHDTSQVSDDSYHVSGDTREVSCDTYQASRFRGIVVGGHVLPRPRSTLNSNLVAGSRILPRPWSTSELVADDRVLLTFVVHASAGCCVLHAGARLRRRRHHHAGSSAVHAPRRSSSPASSLSPRRSSAVHATMPHARARRRPRPPCRSSSPAAASSTPEVVGAGRGRAAGVSLICAAPTVAYTLTSEGSGQGAILLGYYPNAIPMCHVVDFETSP >ONIVA06G07450.1 pep chromosome:AWHD00000000:6:5212538:5212873:1 gene:ONIVA06G07450 transcript:ONIVA06G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLAMAIVLSLGCAMVGAPEALRLLLDLAGQSSPITGVVIIVGVICAVTATVLGAMLLVRFIRVAGNAPDTTTERFARVTLTVAVAVAFLVAACLVAVPTVPSATFARA >ONIVA06G07440.1 pep chromosome:AWHD00000000:6:5200897:5201247:1 gene:ONIVA06G07440 transcript:ONIVA06G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLVMAIVLSLGCAVAGGPEALRILLGLSGRSPVADIAICVFLICAATAPVLGTVLLARYFRAAGKADGVGGAPAPAVDPFARVTVAVALAVVFIVAACLLVVPSAGRDPGCAA >ONIVA06G07430.1 pep chromosome:AWHD00000000:6:5191745:5192074:1 gene:ONIVA06G07430 transcript:ONIVA06G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDIVTAIVFALAAAVLGGPEALRLLQDVSGKNPAADVAIIVGAICAVTSAVLGAMLLVRFIRVAGDAPDRATERFARVTLTVAFAAIFLVAGCLLAAPAADKFASSA >ONIVA06G07420.1 pep chromosome:AWHD00000000:6:5187533:5188300:1 gene:ONIVA06G07420 transcript:ONIVA06G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVPMIIVLAMGGGALGGPEALRLLLTFAGRSPLVDIGIVVFVIAALTAPALGTMLLACFYRTPRGARGAAAAAAADLLAKMTLAVSMAVALLVSASLLVLPLFQSGNVVVGLLALAVPAFVVGASAARVRGVAHLCRARNASGAVTDAGRAAVTTLTVSLAAVCILLGSCVAVGGLDAYHLFTSFALKNPIIHAPTGVATAAVVGTTLLALFFRKAQNAAAAAAAAAAAPLPATERAAKIISDGANPGGGCT >ONIVA06G07410.1 pep chromosome:AWHD00000000:6:5170902:5174784:-1 gene:ONIVA06G07410 transcript:ONIVA06G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAIEHANMVLSPISLSGWILSNFQCQLKQEDKWAKFMSNFLSGSENLLSGTLVLWRCNKAAAAAARRKKFTRTQHLEQQHGEGRQADDDGGPCHGPRKIGEAAPQRRRRHRRRDAGVELHDAEVERRRDGYGQDGYSHAEDRVGVVVVARGGGGCGGARRETAGQDPEDLGEGDSN >ONIVA06G07410.2 pep chromosome:AWHD00000000:6:5171737:5174784:-1 gene:ONIVA06G07410 transcript:ONIVA06G07410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAIEHANMVLSPISLSGWILSNFQCQLKQEDKWAKFMSNFLRVQVGIPRTEEQSVVVHA >ONIVA06G07400.1 pep chromosome:AWHD00000000:6:5170890:5171234:1 gene:ONIVA06G07400 transcript:ONIVA06G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAILVAVTFAQILGILTGRFAPGATATAAAAGYDDHPHPVLRMAVSVLTVTVPATFYLGVMQLYARVAPPVAPAPLRRRLADLAWSMAWTTVVVGLPPLAVLLLELDLTGHHA >ONIVA06G07390.1 pep chromosome:AWHD00000000:6:5158666:5160844:1 gene:ONIVA06G07390 transcript:ONIVA06G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLDAAALLLLCLAVGCAGFLGPIIEALENCSFTNLQTSTGSAAAVQRLATATLAAAAVAALLVAGAVLLPRVPTGAC >ONIVA06G07380.1 pep chromosome:AWHD00000000:6:5151335:5152531:-1 gene:ONIVA06G07380 transcript:ONIVA06G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEGLVWGRGDLFFSLTLSPSNPTAWMELERVGGSSSSLTVGRRRGAGAGRASKAFDGTNFGRKLCLRAGNNDVCGCRSPLGQTEEMISFFINSDSTLRLTLPIETGRKQQGWMKDTSKSCHDRAELFSSYLLTCSSDIFTNTDKEQLLQLFNTGPGRTTGRSSKISLRLQLLDSARAGGDAREEYGSGEEHCHGSCGGGESCGGEPPCGHRVEATVRRRRSTAAEPADVRKQEQTSELESDENGKEESTCDDWLGAAVSERRRGGLAWRGEERLERSEGRKRI >ONIVA06G07370.1 pep chromosome:AWHD00000000:6:5147791:5151307:-1 gene:ONIVA06G07370 transcript:ONIVA06G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGYQGKTTERVDPKEGNQIQDGSRPLWNLVVGGGVEVEILDRFKTGRNLKERTTILPVVQIAAEEKQRRTWGMGHKN >ONIVA06G07360.1 pep chromosome:AWHD00000000:6:5147484:5147702:1 gene:ONIVA06G07360 transcript:ONIVA06G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVDLAVFLLCVAVGCAFTVAPITDALDEFAFTGNRAAAAALLRLALPAAYFVGIILVYHRAMSTRRRTL >ONIVA06G07350.1 pep chromosome:AWHD00000000:6:5144521:5144841:1 gene:ONIVA06G07350 transcript:ONIVA06G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPEVAIVALCVAEGCARLLKPILDFLANGMGPRSAAADAAIVVALLALVFAYLVCVFLVYLSVTTPSAVAAAVKLFLVTAFTLVFARPAIASVVVVVAGGGLQ >ONIVA06G07340.1 pep chromosome:AWHD00000000:6:5136585:5141058:1 gene:ONIVA06G07340 transcript:ONIVA06G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein-coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) TAIR;Acc:AT1G48270] MAASAAAAAAVSQALRDRDVLDAVGTSAAALSLAGSSFIVLCYLLFRELRKFSFKLVYFLAVSDMFCSLFTIMGGPSNAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGSIFHLYVWGTSLATTVLRSIGSDYGRPGTWCWIQQGSMGKACLALGNLLSSIVGCHSLQWVNRMINNATRMAVGISDRSIQSDVRADKKAFNRWGYYPLILIGSWAFATINRVHDFANPGHKIFWLSILDVGFAGLMGLFNSIAYGLNSSVRRAIAERLDMYLPERFKRSLPTLTRFKSQQENELTSLIVDASNT >ONIVA06G07340.2 pep chromosome:AWHD00000000:6:5136585:5141058:1 gene:ONIVA06G07340 transcript:ONIVA06G07340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein-coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) TAIR;Acc:AT1G48270] MAASAAAAAAVSQALRDRDVLDAVGTSAAALSLAGSSFIVLCYLLFRELRKFSFKLVYFLAVSDMFCSLFTIMGGPSNAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGSIFHLYVWGTSLATTVLRSIGSDYGRPGTWCLALGNLLSSIVGCHSLQWVNRMINNATRMAVGISDRSIQSDVRADKKAFNRWGYYPLILIGSWAFATINRVHDFANPGHKIFWLSILDVGFAGLMGLFNSIAYGLNSSVRRAIAERLDMYLPERFKRSLPTLTRFKSQQENELTSLIVDASNT >ONIVA06G07330.1 pep chromosome:AWHD00000000:6:5134569:5134952:-1 gene:ONIVA06G07330 transcript:ONIVA06G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDVVEVARRAMLFRMPRRRRAASASASASAATATAGRMRRKKVAVVRLGGGGGGTKRRLFGALRRLRVRWLAAMYRRALRRLRVCYANAVRDLIDGAALAGALRAPVGIEYSHAAAFGPAATVGF >ONIVA06G07320.1 pep chromosome:AWHD00000000:6:5128585:5134207:-1 gene:ONIVA06G07320 transcript:ONIVA06G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKTYLSAPGAAPSSAAGSPPEYGAGHPLRRVRAPLLPRIFTADSVQETLGLDFPHPSKPRVLLAASGSVAAIKFESLCRSFSEWAEVRAVATKASLHFIDRTSLPSNIILYTDDDEWSTWKKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWNNPFTSRHLETINLLGYKVYGSMMVPTLNEKHSMNGFNIRPSYSLPSVS >ONIVA06G07320.2 pep chromosome:AWHD00000000:6:5132199:5134207:-1 gene:ONIVA06G07320 transcript:ONIVA06G07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKTYLSAPGAAPSSAAGSPPEYGAGHPLRRVRAPLLPRIFTADSVQETLGLDFPHPSKPRVLLAASGSVAAIKFESLCRSFSEWAEVRAVATKASLHFIDRTSLPSNIILYTDDDEWSTWKKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWNNPFTSRHLETINLLGISLVPPITKRLACGDYGNGAMAEPSVIDSTVRLACKRQPLNTNSSLVIPAGRNLPSS >ONIVA06G07310.1 pep chromosome:AWHD00000000:6:5122263:5122652:-1 gene:ONIVA06G07310 transcript:ONIVA06G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARCECCGFTEECTPRYIAAVREEYMGRWVCGLCAEAVGDEIRRAGAGKATITTAEALDRHVAFARSAAPRAGGAAAEDDLVAAVARLLRRCLDSPPASPAAPPPPPQGRKVAAGSGCPGGGDDD >ONIVA06G07300.1 pep chromosome:AWHD00000000:6:5113195:5118238:-1 gene:ONIVA06G07300 transcript:ONIVA06G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPAIYHYQLIDRRKDLALAPPGFRGSRHRPGRRGGGRGVFSVRVLDGEEKQGVVSNGDTRSMLPNKVTALNPNAAEFVPSCIRPSFESSAVSDVSKADLRASGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQEPEELSLAGLSLNAPPFYGTTASRFSREHDLSSQANKSLELGLTSLLYEDNSQASFPTMGSSNWEQNFVGDLHFTNGNQGLHYDSESAAGFSDSFASDYAAATDDVLDPLAYLASQFPDFSSESLAELYYANGCDFNHTIEILTQLEMQVDATSNPTLTPRTPNFSTGDFPALPTVEDQNGFSKGNADILSIFNGRSSPSVSTGTGDFVSAVRKLASQNSGHWKYKKGPEYGNGVSTVSVPKQYSSTTKTSSGNKFQSVSSARAAPWLETGDAVANMYSESRGEARDFARIRNACFEQARQAYLIGNKALAKELSMKGQTYNTQMKASHEKAREAIYRQRNPSSQRGSDRLIDLHGLHVNEAIHILKVELGALKSTAKATGERMQVMICVGTGHHTKGSRTARLPIAVEQFLLEEGLHYTQAQPGLLRVVVF >ONIVA06G07300.2 pep chromosome:AWHD00000000:6:5113195:5118238:-1 gene:ONIVA06G07300 transcript:ONIVA06G07300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPAIYHYQLIDRRKVVSNGDTRSMLPNKVTALNPNAAEFVPSCIRPSFESSAVSDVSKADLRASGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQEPEELSLAGLSLNAPPFYGTTASRFSREHDLSSQANKSLELGLTSLLYEDNSQASFPTMGSSNWEQNFVGDLHFTNGNQGLHYDSESAAGFSDSFASDYAAATDDVLDPLAYLASQFPDFSSESLAELYYANGCDFNHTIEILTQLEMQVDATSNPTLTPRTPNFSTGDFPALPTVEDQNGFSKGNADILSIFNGRSSPSVSTGTGDFVSAVRKLASQNSGHWKYKKGPEYGNGVSTVSVPKQYSSTTKTSSGNKFQSVSSARAAPWLETGDAVANMYSESRGEARDFARIRNACFEQARQAYLIGNKALAKELSMKGQTYNTQMKASHEKAREAIYRQRNPSSQRGSDRLIDLHGLHVNEAIHILKVELGALKSTAKATGERMQVMICVGTGHHTKGSRTARLPIAVEQFLLEEGLHYTQAQPGLLRVVVF >ONIVA06G07300.3 pep chromosome:AWHD00000000:6:5113197:5116164:-1 gene:ONIVA06G07300 transcript:ONIVA06G07300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNKVVSNGDTRSMLPNKVTALNPNAAEFVPSCIRPSFESSAVSDVSKADLRASGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQEPEELSLAGLSLNAPPFYGTTASRFSREHDLSSQANKSLELGLTSLLYEDNSQASFPTMGSSNWEQNFVGDLHFTNGNQGLHYDSESAAGFSDSFASDYAAATDDVLDPLAYLASQFPDFSSESLAELYYANGCDFNHTIEILTQLEMQVDATSNPTLTPRTPNFSTGDFPALPTVEDQNGFSKGNADILSIFNGRSSPSVSTGTGDFVSAVRKLASQNSGHWKYKKGPEYGNGVSTVSVPKQYSSTTKTSSGNKFQSVSSARAAPWLETGDAVANMYSESRGEARDFARIRNACFEQARQAYLIGNKALAKELSMKGQTYNTQMKASHEKAREAIYRQRNPSSQRGSDRLIDLHGLHVNEAIHILKVELGALKSTAKATGERMQVMICVGTGHHTKGSRTARLPIAVEQFLLEEGLHYTQAQPGLLRVVVF >ONIVA06G07290.1 pep chromosome:AWHD00000000:6:5106307:5112175:-1 gene:ONIVA06G07290 transcript:ONIVA06G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18110) TAIR;Acc:AT3G18110] MPLMAVASQPFLSTSTSTSSRHIRRATVATAAAAAPDDFDYPLADPSVRWPHLRFPHLPSPRFPAAPVARPSEGGEEEEAAAGPSSAAASAAALEPLDARAHRGRVKKLSKLALRRARDWRARVAGLADRVLALAPGAPVGDVLDGARPAPDELAFVVRAVGVASWRRALDAFEWLVASGGGRAPGPRVVAVVLGVLGRARQDALAEDVFLRFAREGATVQVFNAMMGVYARSGRFDDARQLLDAMRDQDIEPDLVSFNTLINARAKSGCLAAGVALELLHEVRQAGLRPDAITYNTLISACSQGSNLDDAVAVFEEMIASECWPDLWTYNAMVSMHGRCGKAQEAELMFKELVEKGFQPDAVTYNSLLYAFAKEGDVERVERVCEELVKAGFRKDGITYNTMIHMYGKMGRLDLALGLYDEMRAIGCTPDAVTYTVLVDSLGKMDRISEAGKVLEEMADAGLKPTLVTFSALICAYAKSGRQDDAERTFDRMVESGVKPDRLAYLVMLDVFARSDETRKLMVLYRAMIKDGYKPDDGLYQVLLAALAKGNEHDEIEGVIQDMEAVFEMNPLVISSILIKAECISQGASLLKRACLQGYEPDGKSLLSILDAYEKMGKHEKGLSLLEWIRQHVPNSHNLISECSIMLLCKNGKIVDAIQEYSRKQMLKRGSFGQDCDLYEYLITYLEEAELFPEACQVFCDMQFLGIVPSQKIYQSIIYTCCRLGFPETAYQLMDDAARSDISLNILSCRVAMIEAYGKLKLWQQAENFVKGLKQESGVDRRIWNALIHAYAESGLYEHARAIFDIMIKKGPLPTVESVNGMMRALIVDGRLDELYVVVQELQDLDIKISKSTVLLMLEAFAKAGDVFEVMKIYNGMKAAGYLPNMHLYRIMISLLCHNKRFRDVELMVAEMEGAGFKPDLVVLNTLLLMYTGTGNFDRTIEVYHSILEAGLEPDEDTYNTLIVMYSRNFRPEEGFTLLYEMGKRGLTPKLESYKILLAASGKAKLWEQADLLFEEMRTKGYRLNRSIYHMMMKIYRNARNHSKAEHLLSAMKEDGIEPTIATMHILMTSYGTSGHPDEAEKVLNSLKSSNLEISTLPYSTVLDAYLRNRDYSLGITKLLEMKRDGVEPDHQVWTSFIRAASLCEQTDDAILLLKSLQDCGFDLPIRLLTERTSSLFTEVDSFLEKLGTLEDSASLNFVNALEDLLWAFERRATASWIFQLAVKRSIYHHNIFRVEEKDWGADLRKLSAGAALVALTLWLDQMQGAPESPKSIVLVTGEGEYNMVSLRKTIRAYLLEMGSPFLPCRSRSGRFVVKAYSLKMWLKDSPFCLDLELKDAPALPKTNSMKLTEGYFMRAGLVPVFKDIHERLGEVWPKKFSRLALLSEESRDEVIKADIKGRKEKLEKMKKQGLAIAKRSKRGPRRGKFVKQQSTQEVLK >ONIVA06G07280.1 pep chromosome:AWHD00000000:6:5100054:5100839:1 gene:ONIVA06G07280 transcript:ONIVA06G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKFVALSFVVLLSIGLSNALPRKYASAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQASGPGGYASGGGGGGGGGGGGGNGGSGYGSGSGSGYGQAGGYGPYGGYAQGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGAYAQGGGGGGGQNGGSGYGSGSGYGQAGGYGPYGGGYAQGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGYAQAGGQGGGGGGGQSGPGGSGSGSGSGSGSGSAGWHP >ONIVA06G07270.1 pep chromosome:AWHD00000000:6:5095039:5097120:1 gene:ONIVA06G07270 transcript:ONIVA06G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLLLLLLAAAAAAAVAVVAVAQTNMADAEALMQLKKSFTNSSSLSSWLITNTDGDKSPCAPGSHEWHGVVCSRGKVTGLRLNGLRLGGTVDVGALVGFHNLRSVSFAGNNFSGPLPAVDRLTSIKSMFFSDNQFTGVLPDDFFSKLSHLKKLWLDHNELSGAIPASIAQATSLLELHLAHNAFSGELPPLPPPALKVFDISWNDLEGVVPEAFRKFDAGRFGGNQYLCYVPTSDRPCKRVQAAAASSSKRSPMAFVTLLVSVVVVALVLCLCCNRSSRVHDFDPAHRGGDGLDERPPVYMVKQFSTTGKRSASWLGKRTGSSLRGHRRAASAAKADELGGGAGELVIVNNCKGVFGLTDLMKAAAEVIGSGGHGSAYKAVMANGVAVVVKRARDMNRATKDAFEAEMKRLGAMSHANLLPPLAYHYRRDEKLLVYEYIPKGSLLYVLHGDRGMDYAGLDWPTRLKVAVGVARGTAFLHGELAGHEVPHGNLKSANILLAPDFEPLLVDFGYSGLINHMQSPNSMIARRAPECAAGHPVGAKADVYCLGIVLLELLTGKFPSLYLQNAKGGTDLVMWATSAIADGYERDLFDKAITSAWKFALPDMARLMRVAVDCVETDADKRPDMKVAAARVEEVVAAAMATVRERHQAAGGESSRSSSHAQYVRDGSMQRITSVGEQSSRRGSNDYSS >ONIVA06G07260.1 pep chromosome:AWHD00000000:6:5089717:5093838:-1 gene:ONIVA06G07260 transcript:ONIVA06G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKMKPPFKPPEKAVQVLNKKSEAYQKKKRKKRSEEDGTVGLSKHPRPPGSGDSAAAISSALPAGDGHRVASPFNRLDPFLFFRYFGFALVSGFLDKMVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYYFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLRNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >ONIVA06G07260.2 pep chromosome:AWHD00000000:6:5089717:5093838:-1 gene:ONIVA06G07260 transcript:ONIVA06G07260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKMKPPFKPPEKAVQVLNKKSEAYQKKKRKKRSEEDGTVGLSKHPRPPGSGDSAAAISSALPAGDGHRVASPFNRLDPFLFFRYFGFALVSGFLDKMVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYYFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLRNEFERVNGSQIETAARPTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >ONIVA06G07260.3 pep chromosome:AWHD00000000:6:5089652:5093838:-1 gene:ONIVA06G07260 transcript:ONIVA06G07260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKMKPPFKPPEKAVQVLNKKSEAYQKKKRKKRSEEDGTVGLSKHPRPPGSGDSAAAISSALPAGDGHRVASPFNRLDPFLFFRYFGFALVSGFLDKMVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYYFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLRNEFERVNGSQIETAARPYAFIKFGLDSEVCPCCHCDRCSFDALLVEEQDMVTALNEGSWACITTLVQEKPKI >ONIVA06G07250.1 pep chromosome:AWHD00000000:6:5085153:5087759:1 gene:ONIVA06G07250 transcript:ONIVA06G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: CHD5-like protein (InterPro:IPR007 /.../Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G16444) TAIR;Acc:AT4G16444] MSLAATFVFLLVSALQMLDQVLDLVKKRGSITDDQLKLRLEITQILKEASALSTPSTFAQAAKLKRLAAAKEKELAKLQQQDIKGKQSLYNQYGRVMLFSKVLIYGLLILWFWSAPVTTVPKHLLQPFGRMFSWRGVDAATGRVVVGIIPWLLLTSRVSKLLCQKLAPIFLHP >ONIVA06G07240.1 pep chromosome:AWHD00000000:6:5079416:5085001:-1 gene:ONIVA06G07240 transcript:ONIVA06G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSEKKTAAEVVAMLDLQRHPDGGFILETFRDPSISLPKSALPPRYKVDRAVSNAIYFLLPAGEIVKLHRIPCAETWHYYMGEPLTVFEVHDDGQFKMTVVGPDLRHGQKPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDSELHYSFVGVTCAPAFQIEDDEMATRESMKALAPKAEAFINYLKAEQRREESMALTSAEKKTAAEIVAMLDLQRHPDGGFYLETFRDPSISLPKSALPPRYLVPTSKEIQKGRDKVDRSVSSAIYFLLPAGEIAKLHRIPCAETWHYYMGEPLTVFEVHDDGQIKMTVVGPDLRHGQRPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDPELHYSFVGVTCAPAFQFEDNEMATRETMKTLAPKAEAFINYLDSQCRNPEA >ONIVA06G07240.2 pep chromosome:AWHD00000000:6:5079551:5085001:-1 gene:ONIVA06G07240 transcript:ONIVA06G07240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSEKKTAAEVVAMLDLQRHPDGGFILETFRDPSISLPKSALPPRYKVDRAVSNAIYFLLPAGEIVKLHRIPCAETWHYYMGEPLTVFEVHDDGQFKMTVVGPDLRHGQKPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDSELHYSFVGVTCAPAFQIEDDEMATRESMKALAPKAEAFINYLKAEQRREESMALTSAEKKTAAEIVAMLDLQRHPDGGFYLETFRDPSISLPKSALPPRYLVPTSKEIQKGRDKVDRSVSSAIYFLLPAGEIAKLHRIPCAETWHYYMGEPLTVFEVHDDGQIKMTVVGPDLRHGQRPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDPELHYSFVGVTCAPAFQFEDNEMATRETMKTLAPKAEAFINYLVPSD >ONIVA06G07240.3 pep chromosome:AWHD00000000:6:5079551:5085001:-1 gene:ONIVA06G07240 transcript:ONIVA06G07240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSEKKTAAEVVAMLDLQRHPDGGFILETFRDPSISLPKSALPPRYKVDRAVSNAIYFLLPAGEIVKLHRIPCAETWHYYMGEPLTVFEVHDDGQFKMTVVGPDLRHGQKPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDSELHYSFVGVTCAPAFQIEDDEMATRESMKALAPKAEAFINYLKAEQRREESMALTSAEKKTAAEIVAMLDLQRHPDGGFYLETFRDPSISLPKSALPPRYKVDRSVSSAIYFLLPAGEIAKLHRIPCAETWHYYMGEPLTVFEVHDDGQIKMTVVGPDLRHGQRPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDPELHYSFVGVTCAPAFQFEDNEMATRETMKTLAPKAEAFINYLVPSD >ONIVA06G07240.4 pep chromosome:AWHD00000000:6:5079416:5085001:-1 gene:ONIVA06G07240 transcript:ONIVA06G07240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSEKKTAAEVVAMLDLQRHPDGGFILETFRDPSISLPKSALPPRYKVDRAVSNAIYFLLPAGEIVKLHRIPCAETWHYYMGEPLTVFEVHDDGQFKMTVVGPDLRHGQKPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDSELHYSFVGVTCAPAFQIEDDEMATRESMKALAPKAEAFINYLDSQCRNPEA >ONIVA06G07240.5 pep chromosome:AWHD00000000:6:5079551:5085001:-1 gene:ONIVA06G07240 transcript:ONIVA06G07240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSEKKTAAEVVAMLDLQRHPDGGFILETFRDPSISLPKSALPPRYKVDRAVSNAIYFLLPAGEIVKLHRIPCAETWHYYMGEPLTVFEVHDDGQIKMTVVGPDLRHGQRPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDPELHYSFVGVTCAPAFQFEDNEMATRETMKTLAPKAEAFINYLVPSD >ONIVA06G07230.1 pep chromosome:AWHD00000000:6:5073393:5077574:1 gene:ONIVA06G07230 transcript:ONIVA06G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASTTQPAPEKERRYKGGKWVAEIRLPNSRKRIWLGSYDSPEKAARAFDAAFICLRGGEAITGLNFPESPSAVVARTTDPREVLAFATSHACQSAVVVGCRHCARRRSSGGGGDRLRSPQTWANAAPPPPVQVAGGSFDLSQLPLYSPMTTPAIEHWEKDNVEGDIPVGSEMFVETSSNSIYIGAKSAAQAAAEMRKYKGVRLRQWGKWAAEIRLPSSRRRTWLGSYDTPEKAARAFDAAFICLRGVQAIAGLNFPVSPPPPPSATARTGDLREVYTFAVSHANQPSAEAPAAIVVPAQVATADSDPDDAVRGNVTPPPVQVAAGSLDWSQFMANPPPMYSPTATAGSQAMWPVTAPAAESDGEDDELATTCLWSFDA >ONIVA06G07220.1 pep chromosome:AWHD00000000:6:5057953:5060788:1 gene:ONIVA06G07220 transcript:ONIVA06G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTALEHMATNLASHLRARGSHICEPSSYAPIKRANGTSPAPVERKYRGVRLRQWGKWVAEIRLPNSRKRIWLRSYDSPEKAARAFDAAFICLRGGEAIAGLNFPESPPTVVARTSDPREVLAYATSHANRLSSDATIAQEEAALVAGGSFDWSQLPLYSPMTTPTAEHWEEDNVEATTSDNLWSFDF >ONIVA06G07210.1 pep chromosome:AWHD00000000:6:5050822:5075673:-1 gene:ONIVA06G07210 transcript:ONIVA06G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNRTSRARWEGEEGRGAGRRRGNKRGGESTVSCDALELADQLAVAGDVYREAAGGCNVCDGGAAGQQPPPVVMASGNNDRHGMSSATTSRIGLRSQDEIGVQPNARNYYRCSAAGCAASRSGWSATATTRALRRHQLRRRPPPPAPLREPRGHGGCGRGLVGTLMVATIVVDVCCCCFSGASAAEPIEDYVKTSADG >ONIVA06G07200.1 pep chromosome:AWHD00000000:6:5045564:5050731:-1 gene:ONIVA06G07200 transcript:ONIVA06G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSADAPLRRRVPDEGQLCSYVDTAPQRDELDFEFLGNRTGESYSYIIQTNLDARSHEHPN >ONIVA06G07190.1 pep chromosome:AWHD00000000:6:5044922:5045554:1 gene:ONIVA06G07190 transcript:ONIVA06G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSATARKYRGVRLRKWGKWVSEIRLPNSRERIWLGSYDTPEEAARAFDAAFVCLRGGGAGGEAAGNGINFPDSPPAVARTSDPQEVYAAAVSHANNRPPPPPSARATSSALPWEEAPVVAAQEAAADMAPDVVVLPSSPVNVLAAAGSFEYWSQQPLYSPTAASLDLQRWMTAAAAAEESIMEDDDDEGTSDGLWSFHYSPTRSKW >ONIVA06G07180.1 pep chromosome:AWHD00000000:6:5040626:5041099:1 gene:ONIVA06G07180 transcript:ONIVA06G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACREKLVGQLRGVGSEEVHRLWELVEDLVGSVAHEKRILSAAAHAGRTSLAPALLGGEAHRGLRTRGEARRRSHIRDGLGWLHARFQSFLTPLPPLPLSSVPISFPLTPFSPHALPPSLLPPGRSLQRCHLHCRRRPTCPLHCRRAGKASRCHCL >ONIVA06G07170.1 pep chromosome:AWHD00000000:6:5034648:5039738:1 gene:ONIVA06G07170 transcript:ONIVA06G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLSGAGVAAVAFTKNGASSFDGLRLAPPSVRVCSSRRPSRSLVVKAATVVTPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPSTAQSKPQGGEVVAVGEGRTIGDKKVEVSLQIGAEVVYSKYAGTEVQFNDTKHLILKEDDIIGVLETDDVKDMKPLNDRVLIKVAEAEDKTAGGLILTETTKEKPSIGTVVAVGPGPLDDEGKRQPLSVSAGSTVMYSKYAGSEFKGADGTNYIVLRVSDVMAVLS >ONIVA06G07160.1 pep chromosome:AWHD00000000:6:5026766:5033745:1 gene:ONIVA06G07160 transcript:ONIVA06G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HM59] MKDQGSSGVSPAPGEGEKKAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDNIPGYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYDRDAMLASELGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELIAKDLHDISWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRATRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPVRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLADDFALKDVQSALFPGLSLVQWMAMQQNPQMLTAASQTVQSPYLNSNALAMQDVMGSSNEDPTKRLNTQAQNMVLPNLQVGSKVDHPVMSQHQQQPHQLSQQQQVQPSQQSSVVLQQHQAQLLQQNAIHLQQQQEHLQRQQSQPAQQLKAASSLHSVEQHKLKEQTSGGQVASQAQMLNQIFPPSSSQLQQLGLPKSPTHRQGLTGLPIAGSLQQPTLTQTSQVQQAAEYQQALLQSQQQQQQLQLQQLSQPEVQLQLLQKIQQQNMLSQLNPQHQSQLIQQLSQKSQEILQQQILQHQFGGSDSIGQLKQSPSQQAPLNHMTGSLTPQQLVRSHSALAESGDPSSSTAPSASRISPINSLSRANQGSRNLTDMVATPQIDNLLQEIQSKPDNRIKNDIQSKETVPIHNRHPVSDQLDASSATSFCLDESPREGFSFPPVCLDNNVQVDPRDNFLIAENVDAMMPDALLSRGMASGKGMCTLTSGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVTDAGMPSQGLWNNQTQRMRTFTKVQKRGSVGRSIDITRYRDYDELRHDLACMFGIQGQLEDPYRMDWKLVYVDHENDILLVGDDPWEEFVGCVKSIKILSAAEVQQMSLDGDLGGVPPQTQACSASDDANAWRG >ONIVA06G07150.1 pep chromosome:AWHD00000000:6:5011766:5014565:-1 gene:ONIVA06G07150 transcript:ONIVA06G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HM58] MQSLLLPTFAAASAAPPRRGRVPPAGRASVSVRASASAAAVAPRRETDPRKRVVITGMGLVSVFGNDVDAYYDRLLAGESGIGPIDRFDASNFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALESAGLALGSKSMDKIEKTRAGVLVGTGMGGLTVFSDGVQNLIEKGHRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADVMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDQDRDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIKQSLADAGVAPEEVNYINAHATSTLAGDLAEVNAIRQVFKDPSEIKINATKSMIGHCLGAAGGLEAIATVKAITTGWVHPSINQFNPEPAVEFDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFKP >ONIVA06G07140.1 pep chromosome:AWHD00000000:6:5006401:5009823:-1 gene:ONIVA06G07140 transcript:ONIVA06G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGDDDLWAKAAELERQFEGYKRRVAERRSSSAAAAAAADRHDGDGDGGAVEVVAVGKGRRYDAYVRRRDEKLRQGWRARMERKEAEMKALWARLDVDRRRDGDLAAGNGKQQKPGNLEARPAASPATPRSSSATKATLSRPRTTPRTTTPSPAGAAASPRLSSSNPDARRRAPPQPEPPSTPRKENRVPSAAAASTAAATATPRLRALSRSRSSLKESASSVRDSPRRAPPPPRRSHDGDAGDRPKQQPEPVHAATTTADDAVAPAARSCQSQQQVVLAEIKAAAAFRLRRSGNGAAQGRQPAASPRPVITRQLDGRRKPSDRNSDVEAKNFNLDEGIGEDDDDDTAQSSVEIGSLKITGDSDTEPSYVYITKDIDDEAMNTSQPQPLVASDSNAEEPESLAPHQSEKETRHLEETAMAASSEATAKERPATDREDDSPQSSDQSFYSNVDSSFSHRSELELAASATDSPLHGSPSSTGPSTEQLLEADAAMLRKKREEEEEEEEDEAAAGEINSLLIPSTTTSSSSSVACPVTVQSPMEAVAGFKRFLTFGKKNAAAAVAPPADDSGVGHGWPSGDSGVRQRICSSDAASDDSDNSYVIPAHVTEMVMCSSILAKLCALFSCKACTTEGAHFIGKIPTSTSFVLLVLIVQVQRVLMLAIGDAVSRDNRC >ONIVA06G07130.1 pep chromosome:AWHD00000000:6:5003061:5004726:-1 gene:ONIVA06G07130 transcript:ONIVA06G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26060) TAIR;Acc:AT3G26060] MAFAASTACRPSLLLPRRQRSSPPRPRPLLCTPSTAAFRRGALSATTTPTPARAALPSTTGRNRIVCGKVTTTNNYPSSCRSRRSTMMHAAAWLLLQQLSPAAARVARAADDDSSVQVSKGSAAPNFTLRDQDGRAVSLSKFKGRPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDAASHKEFKKKYKLPFTLLSDEGNKVRKEWGVPADLFGTLPGRQTYVLDKNGVVQYIYNNQFQPEKHIGETLKILQSL >ONIVA06G07120.1 pep chromosome:AWHD00000000:6:5000272:5000895:-1 gene:ONIVA06G07120 transcript:ONIVA06G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEQPLEAVAFRLYSLPEAAAPAGAAAWTCLAAVLAAAAAAAAGIWRLRAAAPVVAAMGGASSREDGLEPESSPATAASEQARSSSERQPEPASSPSPKETYTAYFHDSCCVGCCDMDDDDDDGEEVLEEEEEDDDEPSETTPFEWEIVRSLPLSPTAAAEVRRYRDSAPLGGSVVRLWNHVAGGGVTAASPRRRGLAGGVVSAF >ONIVA06G07110.1 pep chromosome:AWHD00000000:6:4993171:4997960:1 gene:ONIVA06G07110 transcript:ONIVA06G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKARLDGGDTLCLVVLPLRRRRGREESGAAAAAHRPPEVKPAAAMLSRISQLGARIPRENRAAGKLPSSTTSYYRGHVQHSTLNSGLGPQVRRVGRCGREDRGARGSCVTCIGHSKPNPPQLGATV >ONIVA06G07110.2 pep chromosome:AWHD00000000:6:4993383:4997960:1 gene:ONIVA06G07110 transcript:ONIVA06G07110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRTRRSHVQHSTLNSGLGPQVRRVGRCGREDRGARGSCVTCIGHSKPNPPQLGATV >ONIVA06G07110.3 pep chromosome:AWHD00000000:6:4993158:4997412:1 gene:ONIVA06G07110 transcript:ONIVA06G07110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPINGPQGPVNLGPRVRFQTRRRRHSLSRRPPTSPPSWKGGERRRRRCPPATGSRKIAKQYNQLLSGCGREDRGARGSCVTCIGHSKPNPPQLGATV >ONIVA06G07110.4 pep chromosome:AWHD00000000:6:4993158:4997960:1 gene:ONIVA06G07110 transcript:ONIVA06G07110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYVMTNKHCSITFRRTSSFPQQQLVAIIMRAVRTRRSHVQHSTLNSGLGPQVRRVGRCGREDRGARGSCVTCIGHSKPNPPQLGATV >ONIVA06G07110.5 pep chromosome:AWHD00000000:6:4993383:4997960:1 gene:ONIVA06G07110 transcript:ONIVA06G07110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYVMTNKHCSITFRRTSSFPQQQLVAIIMRAVRTRRSHVQHSTLNSGLGPQVRRVGRCGREDRGARGSCVTCIGHSKPNPPQLGATV >ONIVA06G07110.6 pep chromosome:AWHD00000000:6:4993268:4997960:1 gene:ONIVA06G07110 transcript:ONIVA06G07110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYVMTNKHCSITFRRTSSFPQQQLVAIIMRAVRTRRSHVQHSTLNSGLGPQVRRVGRCGREDRGARGSCVTCIGHSKPNPPQLGATV >ONIVA06G07110.7 pep chromosome:AWHD00000000:6:4993158:4994857:1 gene:ONIVA06G07110 transcript:ONIVA06G07110.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGPINGPQGPVNLGPRVRFQTRRRRHSLSRRPPTSPPSWKGGERRRRRCPPATGSRKIAKQYNQLLSGSTQPAFSPSD >ONIVA06G07100.1 pep chromosome:AWHD00000000:6:4991750:4994437:-1 gene:ONIVA06G07100 transcript:ONIVA06G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRILDKKLDCLESLATPKHTQGGRRQVPALFSRGIRAPSWEILDNIAAAGFTNQHHHLPNPSSNNPRPYTNQPEPRANQVTEHTQGRGGGASEERRTFRWPVGSGGGAALLPSTTAAKWEDDETESVAAVESGSE >ONIVA06G07090.1 pep chromosome:AWHD00000000:6:4989701:4991731:-1 gene:ONIVA06G07090 transcript:ONIVA06G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar GTP-binding protein 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HM46] MVQYNFKRITVVPPGKDFIDIILSRTQRQTPTVVHKGYSISRIRQFYMRKVKYTQSNFYEKLSTVIDDFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKIAKDYLRLLKYGDSLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTLLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLENLSEEDMKLVMEMKAEAMKTLGHGGEANEEGVLLTMSTLTEEGVMAVKNAACERLLDQRVEIKMKSKKINDCLNRFHVAMPKPRDNKERPACIPQAVLDARASAAAAKEKKKLERKLEKDLENENGGAGVYSASLKKHYLLANDEWKEDILPEILDGHNVADFLDPDILQRCEELEREEGLRLEEEAAQEAFQIDGHELTEEQREILGQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRTFTTNRMGRQLSSMGFDPSAAMDRARSRSRGRKRERSLSRAASDGDDMDIDGQQSSKKLRALSRSRSRSKSRPPEEVVPGEGFKDSAQKKKAIKKAKDSVRNRNKEARRGEADRVIPTLKPKHLFSGKRSIGKTSRR >ONIVA06G07080.1 pep chromosome:AWHD00000000:6:4986175:4988701:1 gene:ONIVA06G07080 transcript:ONIVA06G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQVLGGRRQRERGGRRRGQGAIPGDPGRLLRALRLQQALPLRRRRLRRQRRRRRRRRSRSVGDGRFPRRDGADDEPGDACGELRGVAAAVRGHVPGRHRRRPLPVDAAAAVMAVASGGRQCTIAGGGGDFTQGREQAVLTGGDGHGLRFERPAGHFGLLFRGAMDVAGREHCRRRWRRQEEKAEAAAGEPQRVVGC >ONIVA06G07070.1 pep chromosome:AWHD00000000:6:4972735:4981437:-1 gene:ONIVA06G07070 transcript:ONIVA06G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFRRLLEKGRKRSRSSGEFEYSDGELTMSIPCGTDDFKYISSWTLTRTGLVDDGPFDQRRHLASMKISSQIEGFFDLSWPFAVRWRGSCEGEEKRQGILDLTTRTVTSLRVYLAPTANAPPRRGRRLRRAPIDARRAATAPIIARSPRRPRRVPARRAATAETPPRIPKARRFLRFGRGKEERCSDLIAAGRRPPRGDFGRPGNALPQAAGRGFRVPAGGGGGAVIC >ONIVA06G07060.1 pep chromosome:AWHD00000000:6:4963141:4972689:-1 gene:ONIVA06G07060 transcript:ONIVA06G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAEAEVEVEVEAGGCLLQSFELYEAESKFYILGTNTDKTSWKLLKIDRIEPSELNIDESSTVYSHSGYLDLLKVLDEDHRSTGGVKFVTKCFGIIGFIKFLGPYYMLIITEQRKIGAIFGHPVYQVTRTAMIELSNSESRAKFLNSKDEDRYKKLLQTIDLRKDFFFSHSYNIMRSFQKNFNDPKEGWDLYDTMFVWNEFLTRGVRNILKSTIWTVALVYGFFKQDKLAISGKDIMLTLVARRSRHYAGTRYLKRGVNDEGSVANDVETEQIIFEDMLGPKQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDKNYEATRLHFENLRIRYGNPIIILNLIKKRERRPRESILRSEFDKAIKIINNDLPGENHLRFLHWDLHKNSQRKSTNALQMLLKVAFEALNLTEFFYYQVPPARRAESSFNLHAPLKNGFGPHECDDSNNDDITDCIDNIDDMSQEDTCGSSDTSGNGTAEDIAEGNGSISVKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSIESPELDLDSPLAHHLMHFYERMGDTLAVQYGGSAAHNKIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYFQPCEGEPALWELEPVAGEGVLGENASKLMKRARSDGSILRKSNASMSSNGRNGVLKSSFIDSKSELQSPNNSSDAINEISSAPDNTVTVSKSRYTPTEPHVKHVSCELDYCNGSGDSNFLDIDWLSSSDNERPTTISTPDVNASADSVSAGVSSRRTEDHAAEIQAQGLSEHFVQWIDQGETFWGE >ONIVA06G07060.2 pep chromosome:AWHD00000000:6:4963367:4972689:-1 gene:ONIVA06G07060 transcript:ONIVA06G07060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAEAEVEVEVEAGGCLLQSFELYEAESKFYILGTNTDKTSWKLLKIDRIEPSELNIDESSTVYSHSGYLDLLKVLDEDHRSTGGVKFVTKCFGIIGFIKFLGPYYMLIITEQRKIGAIFGHPVYQVTRTAMIELSNSESRAKFLNSKDEDRYKKLLQTIDLRKDFFFSHSYNIMRSFQKNFNDPKEGWDLYDTMFVWNEFLTRGVRNILKSTIWTVALVYGFFKQDKLAISGKDIMLTLVARRSRHYAGTRYLKRGVNDEGSVANDVETEQIIFEDMLGPKQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDKNYEATRLHFENLRIRYGNPIIILNLIKKRERRPRESILRSEFDKAIKIINNDLPGENHLRFLHWDLHKNSQRKSTNALQMLLKVAFEALNLTEFFYYQVPPARRAESSFNLHAPLKNGFGPHECDDSNNDDITDCIDNIDDMSQEDTCGSSDTSGNGTAEDIAEGNGSISVKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSIESPELDLDSPLAHHLMHFYERMGDTLAVQYGGSAAHNKIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYFQPCEGEPALWELEPVAGEGVLGENASKLMKRARSDGSILRKSNASMSSNGRNGVLKSSFIDSKSELQSPNNSSDAINEISSAPDNTVTVSKSRYTPTEPHVKHVSCELDYCNGSGDSNFLDIDWLSSSDNERPTTISTPDVNASADSVSAGVSSRRTEDHAAEIQAQGLSEHFVQWIDQGETFWF >ONIVA06G07040.1 pep chromosome:AWHD00000000:6:4954994:4956902:1 gene:ONIVA06G07040 transcript:ONIVA06G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMLPASPKQLLIIMPKQGGAGSTRMMENYDHTNSNSRDCTCDKCHFLLAGYSCLQIHDPQVYRAVPPPSPKTAALPAGRLTSIYDYTNSTCSKCYCDIDNCGLLLAGYIVYGCLPCRYAGQQQPQRNGQRSDRLHFQRVISRN >ONIVA06G07030.1 pep chromosome:AWHD00000000:6:4923544:4928797:-1 gene:ONIVA06G07030 transcript:ONIVA06G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HM38] MAAKLARLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALIEADKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGHTNSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNHKGTTMMLNDRIQSLRGLQSSLRKAEEYLMGIPQDTPYSEFNHRFQELGLEKGWGDCAKRVLDTIHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRVEKVIGTEHTDILRVPFRSENGILRKWISRFDVWPFLETYTEDVANEIMREMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYFPYTEADKRLTAFHPEIEELLYSEVENDEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNAHLRDLANLVIVCGDHGNQSKDREEQAEFKKMYGLIDQYKLKGHIRWISAQMNRVRNGELYRYICDTKGVFVQPAFYEAFGLTVIEAMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFEKCKQDSTYWDNISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYIEMFYALKYRSLASAVPLAVDGESTSKTIQEQL >ONIVA06G07030.2 pep chromosome:AWHD00000000:6:4924432:4928797:-1 gene:ONIVA06G07030 transcript:ONIVA06G07030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HM38] MAAKLARLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALIEADKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGHTNSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNHKGTTMMLNDRIQSLRGLQSSLRKAEEYLMGIPQDTPYSEFNHRFQELGLEKGWGDCAKRVLDTIHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRVEKVIGTEHTDILRVPFRSENGILRKWISRFDVWPFLETYTEDVANEIMREMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYFPYTEADKRLTAFHPEIEELLYSEVENDEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNAHLRDLANLVIVCGDHGNQSKDREEQAEFKKMYGLIDQYKLKGHIRWISAQMNRVRNGELYRYICDTKGVFVQPAFYEAFGLTVIEAMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFEKCKQDSTYWDNISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYIEMFYALKYRSLASAVPLAVDGESTSK >ONIVA06G07030.3 pep chromosome:AWHD00000000:6:4924432:4928797:-1 gene:ONIVA06G07030 transcript:ONIVA06G07030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HM38] MAAKLARLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALIEADKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGHTNSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNHKGTTMMLNDRIQSLRGLQSSLRKAEEYLMGIPQDTPYSEFNHRFQELGLEKGWGDCAKRVLDTIHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRVEKDVANEIMREMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYFPYTEADKRLTAFHPEIEELLYSEVENDEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNAHLRDLANLVIVCGDHGNQSKDREEQAEFKKMYGLIDQYKLKGHIRWISAQMNRVRNGELYRYICDTKGVFVQPAFYEAFGLTVIEAMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFEKCKQDSTYWDNISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYIEMFYALKYRSLASAVPLAVDGESTSK >ONIVA06G07020.1 pep chromosome:AWHD00000000:6:4895413:4897937:1 gene:ONIVA06G07020 transcript:ONIVA06G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAESQMAEAASYEEQRRRQVEANKRKLEELRLHHLSAAVRESAAKPSPVKQRKRKARALPGAGEDAPLRRSGRVANLPEKPKYRDEFQDFEKRIRRSYGGKRRDLSNRVYATDEQRDYAINAAQELEEELGSDYPIFVKPMLQSHVTGGFWLSLPTHFSRKYLPKRDETIRLVDEEDDEFDTLYLANKRGLSGGWRGFSIAHKLVDGDCLVFQLIQRTKFKVYIIRASSYYETDD >ONIVA06G07010.1 pep chromosome:AWHD00000000:6:4886364:4890553:-1 gene:ONIVA06G07010 transcript:ONIVA06G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETLSWAEPECGDEFALCFLDEAACAAVSGAISTVSASRTGSPSCAWRGRRVPPPETVGVVLHVLMETGEGDNHQLA >ONIVA06G07000.1 pep chromosome:AWHD00000000:6:4883980:4885488:-1 gene:ONIVA06G07000 transcript:ONIVA06G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTRLSKRCAGRSNPIHRNSRDGTAASPALRPVMPMRARTMYTYHGELAVQGCRPTEEPHGEQRDGTMYTSTSHPAAEHINDLNSTQRHQPIYALGKMSVAQLVKLLMAEPVHLESSPTLDTGSIPVNLQHSNEPSSIFPKHNTRNDENKRSIFPMPHNTRNDEKIGNGITFELGSVDR >ONIVA06G07000.2 pep chromosome:AWHD00000000:6:4883980:4885165:-1 gene:ONIVA06G07000 transcript:ONIVA06G07000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRARTMYTYHGELAVQGCRPTEEPHGEQRDGTMYTSTSHPAAEHINDLNSTQRHQPIYALGKMSVAQLVKLLMAEPVHLESSPTLDTGSIPVNLQHSNEPSSIFPKHNTRNDENKRSIFPMPHNTRNDEKIGNGITFELGSVDR >ONIVA06G06990.1 pep chromosome:AWHD00000000:6:4866380:4869550:1 gene:ONIVA06G06990 transcript:ONIVA06G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDLIPPPRRVTAGDLWLEKTKKQQQQKKKNKGARRLPLRQEEEDDFEADFEEFEVDSGEWEVESDADEAKPLAAPRSGFAKGGLKNTTVAGADGPAARSAKRKRKNQFRGIRQRPWGKWAAEIRDPCKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDGAPVASQRSHAEPSSMNMPAFSIEEKPAVMSAGNKIMYNTNAYAYPAVEYTLQEPFVQIQNVSFVPAMNAIEDTFVNLSSDQGSNSFGCSDFSQENDIKTPDITSMLAPTITGVDDSAFLQNNASDAMVPPVMGNASIDLADLEPYMKFLIDGGSDESIDTLLSSDGSQDVASSMDLWSFDDMPVSAEFY >ONIVA06G06980.1 pep chromosome:AWHD00000000:6:4856548:4857111:1 gene:ONIVA06G06980 transcript:ONIVA06G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSASDSRNRALRGTRRFRAVGDRRVDLAWDLTRVRFPGSVDEPPSRRGAAPQPQQLCGWNGGAGLFSSSSSFGAYRWTDYVDVVRACMLQSWRLSFVHGSYEGRGRTVMMACHDVEMPFLRGIDMNRPALVAETTTARGGGAAAARRTRSPA >ONIVA06G06970.1 pep chromosome:AWHD00000000:6:4855935:4856255:-1 gene:ONIVA06G06970 transcript:ONIVA06G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAEDGDGERLRLFVSQVPCSMAEEEILTVDRAALVPTTPPSSATKLPCSRFEGASGSPDDNLDHPATAAEPRGGHGVVHGDGQRRPVGRKAAGPRRAGRRHRC >ONIVA06G06960.1 pep chromosome:AWHD00000000:6:4845450:4850840:-1 gene:ONIVA06G06960 transcript:ONIVA06G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAGSYMWPGNSGSENYNFVDGSSESYAEEGSLPPSGYFMGAGSDRSLKITENERNPTMLANGCLPYNTQAHPLSGQILPKGELPNNLLDLQQLQNSSNLRSNSIPPGVLQCNSSGTFDAKLDTPGLAELPHALSSSIDSNVNLDAFGFQGAQNVAMLNKTSLPNGNPSLFDNAAIASLHDSKEFLNGGSIPSFGTVLQALGAGGLKAAQQEQNIRNIPLPTFTSGSHLAVTDAQGPPLPSKIPPLIHDHNSEYPINHSSDVEPQANSAPGNSANAKPRTRARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGPPDMPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMAAAAAIPPEK >ONIVA06G06960.2 pep chromosome:AWHD00000000:6:4845450:4850840:-1 gene:ONIVA06G06960 transcript:ONIVA06G06960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAGSYMWPGNSGSENYNFVDGSSESYAEEGSLPPSGYFMGAGSDRSLKITENERNPTMLANGCLPYNTQAHPLSGQILPKAPTLCSAFQNVSSFMEPVNLDAFGFQGAQNVAMLNKTSLPNGNPSLFDNAAIASLHDSKEFLNGGSIPSFGTVLQALGAGGLKAAQQEQNIRNIPLPTFTSGSHLAVTDAQGPPLPSKIPPLIHDHNSEYPINHSSDVEPQANSAPGNSANAKPRTRARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGPPDMPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMAAAAAIPPEK >ONIVA06G06960.3 pep chromosome:AWHD00000000:6:4845450:4850840:-1 gene:ONIVA06G06960 transcript:ONIVA06G06960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAGSYMWPGNSGSENYNFVDGSSESYAEEGSLPPSGYFMGAGSDRSLKITENERNPTMLANGCLPYNTQAHPLSGQILPKGELPNNLLDLQQLQNSSNLRSNSIPPGVLQCNSSGTFDAKLDTPGLAELPHALSSSIDSNGSDISAFLADVHAVSSAPTLCSAFQNVSSFMEPVNLDAFGFQGAQNVAMLNKTSLPNGNPSLFDNAAIASLHDSKEFLNGGSIPSFGTVLQALGAGGLKAAQQEQNIRNIPLPTFTSGSHLAVTDAQGPPLPSKIPPLIHDHNSEYPINHSSDVEPQANSAPGNSANAKPRTRARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGPPDMPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMAAAAAIPPEK >ONIVA06G06960.4 pep chromosome:AWHD00000000:6:4845450:4850840:-1 gene:ONIVA06G06960 transcript:ONIVA06G06960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAGSYMWPGNSGSENYNFVDGSSESYAEEGSLPPSGYFMGAGSDRSLKITENERNPTMLANGCLPYNTQAHPLSGQILPKGELPNNLLDLQQLQNSSNLRSNSIPPGVLQCNSSGTFDAKLDTPGLAELPHALSSSIDSNGSDISAFLADVHAVSSAPTLCSAFQNVSSFMEPVNLDAFGFQGAQNVAMLNKTSLPNGNPSLFDNAAIASLHDSKEFLNGGSIPSFGTVLQALGAGGLKAAQQEQNIRNIPLPTFTSGSHLAVTDAQGPPLPSKIPPLIHDHNSEYPINHSSDVEPQANSAPGNSANAKPRTRARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGPPDMPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMAAAAAIPPEK >ONIVA06G06950.1 pep chromosome:AWHD00000000:6:4838886:4839548:-1 gene:ONIVA06G06950 transcript:ONIVA06G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSLHPSSSMASIPRLIGTRKAALRFRAPAHGGTSSSQLSIAAATANKKVFEDQLRGIVCYRDDKGEMVCEGYDEGPRLGMRLPEKACFPWPMEVQITDFIELATFRVFEDADVLQIKNDQKRQI >ONIVA06G06940.1 pep chromosome:AWHD00000000:6:4835223:4837909:1 gene:ONIVA06G06940 transcript:ONIVA06G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily [Source:Projected from Arabidopsis thaliana (AT2G26440) TAIR;Acc:AT2G26440] MAAISPRLVVFVAVLLLATATTTQCHRHGKRHRHHAVAAKGAAAAAATPGVTDVHAVCRTTPHQDSCLASTAAHLDAVSAEVVAAASAISVQLLPPNILSVALASLRGALAAVSSLSPALSSALSPPSSGASPLRRGAAQDCLELHAATLASLSRSASLLASPGEGLPAVRAHLAAALANKATCLDGLDGAAPSSGLLASLDDAYAHVTNSLSLVAGRRGGGGSAASFAAAVANIIHHNRRLLDDDDNDDYNGGNDDDDNSNNSGENTVVITVAKDGSGNYRTVGEAVAAAPNNSAARTVIRVRAGTYEENVEVPPYKTNIALVGDGRGATVITGSRSAADGWTTFRSATFGVSGEGFMARDVTFRNTAGAAKGQAVALRVSADMAAAYRCSVEGHQDALYAHSFRQFYRECAVSGTVDLVFGDAAAVLQACELVAGAPVAGQSNVLTAQARGDPNEDTGFSVHNCTVVASPELLASGVSTRTFLGRPWRPYARAVVMDSYLGPLVDRAGWVEWPGAEPGRAETVYFGEYGNGGPGAAMDGRVGWAGFHDMGYDEAAQFSVDNLISGDQWLAATSFPYDDDV >ONIVA06G06930.1 pep chromosome:AWHD00000000:6:4825674:4829525:-1 gene:ONIVA06G06930 transcript:ONIVA06G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGCLKRLQKEYHSLCKEPPPQIVARPLPNDILEWHFVLEGSAGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDTLTTGSIRSTEGEKRRLAKASLAYNCESKNCPHFRKMFPEYVEKYNQQKQMEQTVAEPETQENPAPAPSPAVQQQAAVVANKAKPAAEAAGEQKQKKRVPFWMMLVMFSVFGAVMALPLMQL >ONIVA06G06920.1 pep chromosome:AWHD00000000:6:4821600:4824850:1 gene:ONIVA06G06920 transcript:ONIVA06G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33140) TAIR;Acc:AT4G33140] MLARGAVRSLFDRLRPPPHHRRRRSSPAAIMPAAAAAHRGCFCSLSHSNLCGEGSVIQRKGFLDLGMGRRFAPGSALGSKGCLNWQDRGRSKRGVDGGEAVRIKAQVLAPQRQLLHDPEVLPLEEVAAKSLNGNGACRRGKPLGFPEHAAPTKMVVAVDVDEVLGSFLAALNKFIADRYSLNHSVSEYHVYEFFKIWNCSRERANFLVHEFFTTHYFQDGIHPIPGARDALQNLSSFCSLSVVTSRQDAIKNHTLDWIDKYYPGLFEQIHFGNHFALQGQSKPKSEICRSFGAQVLIDDNPRYALDCAEDGMRVLLFDYHNSYPWCKTGVDESHPLVTKVHNWQEVEEKLLSWVVPEF >ONIVA06G06920.2 pep chromosome:AWHD00000000:6:4821525:4824850:1 gene:ONIVA06G06920 transcript:ONIVA06G06920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33140) TAIR;Acc:AT4G33140] MLARGAVRSLFDRLRPPPHHRRRRSSPAAIMPAAAAAHRGCFCSLSHSNLCGEGSVIQRKGFLDLGMGRRFAPGSALGSKGCLNWQDRGRSKRGVDGGEAVRIKAQVLAPQRQLLHDPEVLPLEEVAAKSLNGNGACRRGKPLGFPEHAAPTKMVVAVDVDEVLGSFLAALNKFIADRYSLNHSVSEYHVYEFFKIWNCSRERANFLVHEFFTTHYFQDGIHPIPGARDALQNLSSFCSLSVVTSRQDAIKNHTLDWIDKYYPGLFEQIHFGNHFALQGQSKPKSEICRSFGAQVLIDDNPRYALDCAEDGMRVLLFDYHNSYPWCKTGVDESHPLVTKVHNWQEVEEKLLSWVVPEF >ONIVA06G06910.1 pep chromosome:AWHD00000000:6:4813502:4814440:1 gene:ONIVA06G06910 transcript:ONIVA06G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTSSSSGGGSGPLVVSNGVLLAAVIFLFMVVVFVFLLYLYAKRYLGANPLLAPVSPSSRFLFLDASPFPRRGLPAAALRALPVTVYAKPAAARGEEALECAVCLSEVADGEKVRMLPKCDHGFHVECIDMWFHSHDTCPLCRAPVGPDAGGEGLPRVPREEPAAMDFPMFPTNVLFWGTDHDDVANAGDRHPFHPPPPPPPLMAAPSTSSSASARRKESLVIDIPSRSAAAAAVDSVTSSSASTPLPASRMADDMRSPVSARLRSLRRLLSRGKQAVVGPSFSPRGGGDIEQGLAGAPPKTPKTPPPAN >ONIVA06G06900.1 pep chromosome:AWHD00000000:6:4807408:4808208:-1 gene:ONIVA06G06900 transcript:ONIVA06G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPLTSSEIRNAIAREPFPISVAVTTTNRGLVRLSRRERGGSRDERRWVLYAADASLLMGKSQIWRDETRQAGHRDEAASRRRGRQQPVIRREMPGLLRHISRSVAPRTNTGMSCHWQRPGIAAGRAEKKKGERKAACRVRGWD >ONIVA06G06890.1 pep chromosome:AWHD00000000:6:4793871:4805621:1 gene:ONIVA06G06890 transcript:ONIVA06G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G26370) TAIR;Acc:AT1G26370] MGFKAREGGGLLDFLHAPPSAHITSDGCVLRPSHRRCPSGGHSTNGPYSPFPIFPSPPSLHERDGKTAARGGGETHRARAAASRRGSDHHGRAGARYEVKRWLRRSSSYSSPSPDLQAEAKALRRKEGASGLRLASDRPAATVAGFRRARDSAAAASDMPSFPSSGASPQKQQHNARRRQLIRQQRKSLPIASVEKRLIEEVRKNDTLIVVGETGSGKTTQLPQFLYDAGFCQDGKVIGITQPRRVAAVTVAKRVAEECNDQLGKKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRSIYANKNGKILPDIQDQSQYFTLKACQGTKIDPLKLIIMSASLDAKCFSDYFGSAKAVHIQGRQYPVDILYTYQPESDYLDATLVTIFQIHLEEGLGDILAFLTGQEEIESLDRLIQERARQLPPQRSKIWTTPIYSSLPSEQQMNAFKPAPAGTRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCYRLFQESEFDKLVDSTVPEIKRCNLANVVLQLKALGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGRQMARLPLDPMYSKALIVASEFKCLEEMLIVVSMLSVESIFFSPREKLEEARAARKSFESSEGDHITLVNVYRAAKECLEKSKNANAKEKTMEKALNRWCRENFINYRSLRHACDVHSQIQGHVQQMGLNVSSCGDDMVLFRRCLTAAFFLNAAMRQPDGSYRALATSQSVQIHPSSVLFQKKPDCAIFNELVRTSQNYVKDLTRIDPVWLAELAPQYYATED >ONIVA06G06890.2 pep chromosome:AWHD00000000:6:4794355:4805621:1 gene:ONIVA06G06890 transcript:ONIVA06G06890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G26370) TAIR;Acc:AT1G26370] MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >ONIVA06G06880.1 pep chromosome:AWHD00000000:6:4788103:4790036:-1 gene:ONIVA06G06880 transcript:ONIVA06G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HM17] MSYLQKPSYYTISLVVVLLLPFTILFASFLLPFSAYLRGPPPIAAGSVVAGGCRHGAADGGGGGGGGGGVRPEISILVGVHTMAKKHSRRHLVRMAYAVQQTAALRGAARVDVRFALCARPMPQEHRAFVALEARAYGDVMLIDCDESPDKGKTYDYFAGLPAMLSSGGGGGGGGEGRPYDYVMKVDDDTYLRLDELAETLRRAPREDMYYGAGLPFLDKESPPFMLGMGYVLSWDLVEMYDFKGVKPEDFLEDTIGVHQLKQDLRWAQTLEHFNVTWLDPSSKMTNSLLS >ONIVA06G06870.1 pep chromosome:AWHD00000000:6:4785429:4786073:1 gene:ONIVA06G06870 transcript:ONIVA06G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQRSAAVVPVPPDRRGFIPLADWIRDLGAAFPRINLDSLVPPAPQPHPLVVREERPSPSLVAGLAVEEAREERPSPSLVAGLTVEEARKSVFLSVAFD >ONIVA06G06860.1 pep chromosome:AWHD00000000:6:4784076:4784648:-1 gene:ONIVA06G06860 transcript:ONIVA06G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCRAFGGDAVPVHLPIDWLVLNGFLKRERGEHGVGGGGEGGGCRQRWPVRRLRMRKQSHLDDLTSQVAHLRRDNAHVAAALSLTTQGLLAVDAENAVLRTQAAELAARLASLNDILCSHRLTAETAMAETNNNKKKIYLPLGAILSFRKNTRHFQSENNKIMRSVSYGKFHIFCSVLEQFHVFSVSLS >ONIVA06G06850.1 pep chromosome:AWHD00000000:6:4782416:4784211:1 gene:ONIVA06G06850 transcript:ONIVA06G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HM14] MAHVAVVTFPFSSHAAVLLSFARALAAASPASTFSFLSTAASFAHLRKTAAAGDLLPGNMRFVEVADGAPPPDAPPPRRMAVFMEAAEAGGVVEAGLEAARAAAGGVAVSCVVGDAFVWMAADAAAKVGARWVPVWTGASSALVAHLRTDALRDDVGDEAASRADELLTSHPGLESYRIRDLPDGVVSGDLNYVINLLLHRMAQRLPRAATAVALNTFPGLDPPTVTAALTAVLPTCLPLGPYHLLATAPANDDDPNGCLAWLDRQAPRTVAYVSFGTVASPRPDELRELAAGLEASGAPFLWSLREDSWPLLPPGFLERTKQHAAAGLVVPWAPQVGVLRHASVGAFVTHAGWASVMEGASSGVPMACRPFFGDQRTNARSVSHVWGFGTAFDGAMTRGGVATAVASLVGGEDGRRMRARAQELQAKVASAFVEPDGSCRKNFAKFVEIICAS >ONIVA06G06840.1 pep chromosome:AWHD00000000:6:4775447:4778382:-1 gene:ONIVA06G06840 transcript:ONIVA06G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLYRTFRCFCTRKDIQCKDVLLDDHDVAKSITEFCAVAAIEKLVVGATARGGFRFKADIPTTISKGAPDFCTVYVINKGKVSSVRNSTRQAPRVSPLRSQIQNMAAAAAKPEPATAMAPTPQKWSSSSRGHDHLETPKVDSYIRSPFARGPMGGATRKSYADLSHLSMPDSADISFVSSGRRSVEHNPVPARLSAASAESYDHSFETSRTPWGGDSFGGNDHTSFSQSSTSSFCSIGMDDVETEMKRLRLELKQTMDMYSTACKEALNAKQKAMELQRWKAEEEQRTHDARLTEESAMALIEREKAKAKAAMDAAEASQRIAELEVQKRITAEKKLLKEAEDRKNRGGGGGGMSHEIRYRRYSIEEIEHATDRFNDARKIGEGGYGPVYKGHLDHTAVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGGGGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPNVADNVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIITAKPPMGLTHHVGRAMERGALADMLDPAVPDWPVEEAQCLAEMALRCCELRRKDRPDLGSAVLPELNRLRALGEDNMQFCGAIRGGVGGGLSSWAIHNTQDRCSAQGRVNHRCLREDQTFDTSTVEKSRSICSEIYSFVVPRWHCCCGHCDLQVRVIRAALALMR >ONIVA06G06830.1 pep chromosome:AWHD00000000:6:4767926:4769606:-1 gene:ONIVA06G06830 transcript:ONIVA06G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVESSTAPGVAVAAAVVLVVLTWLWTALVQLVWRPYAVARAFGRQRIRGPAYRLFVGSDGEANAMRAATRGDVLDRRCHDIVPRVMPHYRAWMSRYGKVFVSWSGATPALCVGDYAMVKQILSDRTGLYGKPEPGPSILALIGNGLIFIGGDDWARHRRVVHPAFAMDKLKMMAKTMADCAREVIREWEARATADGERRVQVEVGGQLQELTADVISHTAFGSSYREGKEVFVAQRELQSIAFSAINSTRFPGSQYIPTKTNLRRRHLAKKVRGTLMAIIRERQAAAAKEDSGHGNDLLGLMLEANATDACGSGGENSMTMDEIVDECKTFFFAGHDTTSHLLTWAMFLLGTHPEWQHRLREEVLRECGGGGDTEALPNGDALNKLKLMTMVLYETLRLYGPVSLMARTATADAELGGVRVPKGTMTMIPMAILHRDADVWGAGAGEFDPLRFRGGVNKAAAHAGALLAFSLGQRSCIGQDFAMMEAKTTLAMILRRFAFEVSPEYVHAPLDYLTLQPQCGLPIILKLLD >ONIVA06G06820.1 pep chromosome:AWHD00000000:6:4762287:4764075:1 gene:ONIVA06G06820 transcript:ONIVA06G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDASTGPAVIVAVAVVVVVVSTLLWTAMAQLVWRPYAVGRALGQQGVRGPAYRLLVGNIGEANEMRAAASGGVLDRRCHDVVPRVLPHYRAWMSRYGKVFVSWTGPFPALCVGDYAMAKEILADRTGLYAKPDPGASILALFGNGLAFVNGDDWARHRRVVHPAFAMDKLKMMAKTMAECARKVIQAWGARAAAAADGERMVQVEVGEQFQELTADVISHTAFGSSYRQGKEVFVAQRELQYIAMSALNSVRIPGSQYIPTKANIRRRQLAKKVRGTLMAIIRERQATAAAAKEDRGYGNDLLGLMLEANAAAGGGEKSMTMDEIVDECKTFFFAGHDTTSHLLTWAMFLLGTHPEWQQRLREEVLRECCGGGGGDTEALPNGDALNKLKLVKSSTKLKAGAVIAGDEQHMTMVLYETLRLYGPVSMLVRTATADAELGGVRVPKGTMTMMPVAILHRDADVWGADAGEFDPLRFRGGVNKAAAHAGALLAFSLGQRSCIGQDFAMMEAKTTLAMILRRFAFEVSPEYVHAPLDLLTLQPKCGLPMVLKLLDQ >ONIVA06G06810.1 pep chromosome:AWHD00000000:6:4760838:4761428:1 gene:ONIVA06G06810 transcript:ONIVA06G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVPPWTLSPTHRSREVEDEADRDDGEAAVWGTEGHRPQIEEAVVDVRAPPGTTPMPTPARKRTATASPLGAMPAPAPERKGMAAASLPGATPTPTPATERKGTTAASPRGTQSTTPARKGLAVASPPGKPLSTPGRKRNFVAGDWRGGREKERGNNFPPTRARQRRPRLGRKNGSLQMSNDIYGYGNLDYWDVG >ONIVA06G06800.1 pep chromosome:AWHD00000000:6:4755613:4756542:1 gene:ONIVA06G06800 transcript:ONIVA06G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPNPPPVRRPRWRRDLTVRTPRADVAMSLAAPPPPPPPPSLDTTVEPPLAEMELVCLVDSGEAGEVWLVRHRGTRREYALKVLYERWAAAAGGDADDDDHSSLVRCHGATRRSGGGGEEHRIVLLEHMRGGSLSGRRVADERALAGVARQALSGIAHLHRRGVVHGDIRPSNLFVDSSGRVKIAGFGADRAIDRTANGGSCRASLSPAAYMSPDHAGGGGGGYAGDIWSFGLTILELYTGSFPLVEQGQSIPLTCYSDGPPEAPATASPEFRSFVGCCLQMNPAKRPSAVQLMDHPFVTSSVFSQE >ONIVA06G06790.1 pep chromosome:AWHD00000000:6:4748362:4749375:1 gene:ONIVA06G06790 transcript:ONIVA06G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGARRRPDLTLPMPQRDAPTSLAVPLPLPPPATTTTSAPPAGGAMHPPASAGAAPPPPLEELERVRRVGSGAGGTVWMVRHRGTGKEYALKVLYGNHDDAVRRQIAREIAILRTAEHPAVVRCHGMYERGGELQILLEYMDGGSLDGRRIADERFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYMGKFPFGENLGKQGDWAALMCAICYSDPPEPPAAVSPEFRSFVGYCLQKNPAKRPSAAQLMQHPFVAGPQPQPLAAPPPSS >ONIVA06G06780.1 pep chromosome:AWHD00000000:6:4743728:4747283:1 gene:ONIVA06G06780 transcript:ONIVA06G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT1G55915) TAIR;Acc:AT1G55915] MEVGDLHKVWEIRALKRKPDEPAARALLDRVAKQVQPIMRRRKWRVKVLSEFSPKNPRLLGLNVGGGVEVKLRLRRAGRDYDFIPYEEVLDTMLHELCHIERGPHDAQFYKLWDELRKECEELVAMGITGSGQGFDGTGRRLGGFTVHPPPPSLRQATLAAAQKRARNGALLPSGPRKLGGNNEIMSALSPIQAAAMAAERRMYDDLWCGSHDQSGIDDSEDVVILEDTPNLPTQLGKSTKDGFSSSSENPSTSLGFPTAAQSGSSSCRITTDAGDSSLWECVACTLLNQPLAPICEVCSAAKPKTTKAKYATWSCKFCTLENSTKIDKCSACDQWRYSHGPPAATYCPSYD >ONIVA06G06770.1 pep chromosome:AWHD00000000:6:4735777:4736328:-1 gene:ONIVA06G06770 transcript:ONIVA06G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRDVGGRRGKRRRAVARRIPFPSSLSLSLSLGAQGREVGGGASGGGGRMLSNRESARRLRMRKQRHLDDLTAQVAHLRRDNAHVTTALGLTTQGLLAVDAENAVLRTQAAELAARLASLNDILSSPCLTAEAAMAETNNKKKKIYLPLGVILAFRKNTRHFQPGNNKIMHSVPVNFTYF >ONIVA06G06760.1 pep chromosome:AWHD00000000:6:4716715:4731277:-1 gene:ONIVA06G06760 transcript:ONIVA06G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGLLFGSRRAAASSAATCSRRSLNVAAVVFVVFFFPLLIVAAAAGNTTAAARVAVDVGVILDLATALGKKSMLSMEMALEDVYAAHPEFATRVALRARDSRGDVVAAASAAIDLIRNENVAIVIGPQSTLQAEFVTYLANKTKVPVITFSATGDAVTRYHVPYFIRACSKDSYQVASIAAFVKAYEWRNVVLVYEDNNYGVGILPSITDALQGVGVNVINRSAFPAYSPNNHIDVELYKLMTMQTRVFIVHMLPARASRLFARAKALGMMTKGYVWIVTDSIGIVLDVLPQHSIESMEGIVGFRPYIADSTRITDFSSRFTTLFRTKYHPNTDIRMAKPTIFQLWAYDVAWAVATATEKVHRTRSLNPTFHPLGNIGKNLVDDLPALPAGPELLNSILQGEFDGLAGQFRLIDRHLQVPTYEIVNVIGEKTRVIRFWSPDSGLTMSTNSTTIHGDAKFSTSSSELKNIIWPGDSTTVPKGWDFPVNAKILRIGVPLRHDFKTFVNVEINPNTNRSTVSGYSIDMFEAAVKKLPYALRYEYIPYDCAGSYDQLVSQVFFKKFDAAVGDVTIIANRTRYVDFTMPYTESGVSMLVLSKSDDEPTTWIFLQPLAKDLWIATMIFIFFTGLVVWVIERPINRDFQGSKWKQCITAFYFAFSTLTFSHGQKIQSIQSKIVVSYTASLSSMLTAERLQPSVTDLKQLLANGDSVGHQNGSFVQSILKKLKFDDHKIKVYSTQEEYAKALRMGSKHGGVSAIFDEIPYLNSFCSKYGREFQMVGPIDRTSGFGFVLPKGSPLVPDLSEAILSLTEEPERLKIEKTWFMDSSLDYYGSHSKGSSRISFQSFQGLFIIVGCLLGAVLLINFSKFLYDKCKEMRGFGSDRVHRGERVVCFGEAQPQPPLIVMARRLAMAAAATVAVLLVVWSSPAAAAGGDVSVALEAYRLDPNGSGSRTGAITAAHGDAPVFQHACAHAGRLRHTKPRNRRAMAPSIQLGGETKRTNAGVRRDLGALPRGYGKELKIAVPWKPGFKAFLNVTDRSVGGYCIDVFEAAVKKLPHHLSYKFVVFNGSYDELVQRVSSGNYDAAVGDVTITAERTIHADFTMPYTESGVSMLVLMENDSKSTIEWVFLKPLTRELWVATVIFFLFTGIVIWMIERPRNLEYQGSSSRQFSTALYFSFSTLTFSHGHIIKSPLSKIVVSYTASLSSILTAKKLRPSETDLEQILFDGDYVGYQRGSFVESFLIKQGFSKRRLRPYTKKQEYAEALRKGSMNGGVSAIVFPPGFPLVHNLSTAILDVTGGDEGSQIEAKWFGTTAAPPSYAIPNTDSTPLTLRSFSVTPELEILMCEVLMRMVEMEVAKNVTQHKTSWVMAMWMIDLTMKSELTVPRISMGSVSNVLTAKSLDQFRMALCPQIPARPDEY >ONIVA06G06750.1 pep chromosome:AWHD00000000:6:4712027:4713819:1 gene:ONIVA06G06750 transcript:ONIVA06G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGLSLRSSLGGRGQRRDLSGGTPAVGAISGTDEAGRSRSGPFAAKSGKGGTGSATSGSRRRPWWIWGGESNLLRLEWDEIDSTAETAAKSAATLQVKTESSWTGNGDVFVAMAFLKALSRTSPFLSFAIFSLFGRWQALVVIIRLGYGGNKDWGRREILFELAKSLFCLLRPGKSPSSVEKIEQRESPFSEA >ONIVA06G06740.1 pep chromosome:AWHD00000000:6:4703141:4706034:-1 gene:ONIVA06G06740 transcript:ONIVA06G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHRRLAMAAAFVILLTVWSSPAMAMAAAAATEVHSLGLNCNETERINAVVRRNLGGLPEVYHKKLKIAVPLKHGFRAFVNVTDQGVTGYCIDLFEAAVNKLPYRLIYEFVVFDRSYDELVQSVSSGINDAAVGDITIIADRASHVEFTMPYTESGVSMLVLAENESE >ONIVA06G06730.1 pep chromosome:AWHD00000000:6:4701434:4703029:-1 gene:ONIVA06G06730 transcript:ONIVA06G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASFSSILTVKRFQPSVTDLDQLLKNGDYVGYQEGSFVNSFLTRRGFGERRLRSYTKKQEYAEALRKGSKNGGVSAIVDEIPYLTAIVSDPHYQKEFQMLKRIYKTPGFGFVFPPGFPLVHNLSTAMLDVTSGDEGSRMETKWFGAEAVSPSNAIPNTDSAPLTLRSFSGLFIITGSPCQFLPITPKLEILMCKVLMWVVETMHMKNLIKHRTAWVALWLIYTSMKFRIDSSQDIHGSVERADGEEPRPIQNGPVPTNSTQTMKKLLIRFQPTR >ONIVA06G06730.2 pep chromosome:AWHD00000000:6:4701803:4703029:-1 gene:ONIVA06G06730 transcript:ONIVA06G06730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASFSSILTVKRFQPSVTDLDQLLKNGDYVGYQEGSFVNSFLTRRGFGERRLRSYTKKQEYAEALRKGSKNGGVSAIVDEIPYLTAIVSDPHYQKEFQMLKRIYKTPGFGFVFPPGFPLVHNLSTAMLDVTSGDEGSRMETKWFGAEAVSPSNAIPNTDSAPLTLRSFSGLFIITGSPCQFLPITPKLEILMCKVLMWVVETMHMKNLIKHRTAWVALWLIYTSMKFRIDSSQDIHGSVERADGEEPRPIQNGPVPTNSTQTV >ONIVA06G06720.1 pep chromosome:AWHD00000000:6:4672826:4679376:-1 gene:ONIVA06G06720 transcript:ONIVA06G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRQRRRLLAVAAAFLSLLALRNAAAAASPAPVRVGVVVDMTSGEGRRSLAGISMAVEDFHRRRHRPGSAAVVELRVRDSRGDDGAAAARAAEDLIKNAQVQAIIVTTEADTAVVARLRRHHRVPILTFPISGGAPPPSSHHPPHHATATAPPFGADHTSARAALTGILTAIFSSARRAAGSPPHGRRYNAGAPTGRRLDRRRLAGRRSSSGEVLRIAVPRKTGFQAFVDVRIDPDTKRQNITGYCIDVFNAAMARVRPRRKYEFHVFDGSYDDLVRNVSSGKFSAAVGDVTITADRENLVEFTMPYTSSGVSLLVPEENDSKPIQWIFVKPLTRDLWLATIGFFFYTGFVVWMIEQPRNPEYQGSSVRQLSTASYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLILVQSYTASLSSMLTAKRLRPSVKSLDQLLLTGDYVGYQNGSFVGSLLKKRGFMPSRLRSYGTQKEYAEALRKGSMNGGVSAIVDEIPYLTSFLSNPQYQKEFQMVNRFYKTPGFGFVFPLGSPLVHDLSTAILNLTGEPEGSKIEEKWFGSSEQSTGGDANPSSSSSSSDSNPLTLQSFSGLFIISGCISALMLLISVVNRVICAKCAKEARVHDVEHGGSTSSSSTEQSRPLQIVVDSNPEPDQAVQEVANDGCQDAQLMQASVGNERHHPVQNCINGPVPEHHPQMEMNTG >ONIVA06G06710.1 pep chromosome:AWHD00000000:6:4645351:4666701:1 gene:ONIVA06G06710 transcript:ONIVA06G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDEGLSLRVTLHSGDPDILFTDCSSGPSVIFPWESLTSSRTRVVELGRWRYKSSNAISMQVRLFLPASLRRSTTTPTVTVTGAGAAVAAFRDDDVSSKTSSEHVQ >ONIVA06G06700.1 pep chromosome:AWHD00000000:6:4642181:4643284:1 gene:ONIVA06G06700 transcript:ONIVA06G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFLNLIVDNRIPGAKSLRCIDLTLARYKLFNTTTPAALTLNGNGNGLKKRMEKICLPSPIFNLGAPGERIHMFPALERRAFSLDQSGRGLLLEADTSRLVVMPNLHKPKLEPIALYIPGAEIDLDDLDGGGGGTLFIMDRIAKPQEADYLFEALVYRMICSSYLSKSWDCQLLPPPPPYVVKCGVDFLKIISYGLVKGGSEICISIDGVGTYCFDTVKHTWIEVGKWMLPFQGKFEYVHELKLWFGFTPNDGHFAVADLSAMDEYLQPQIRHCWNELDESLIQGWKQIRDPQLVNLGSAKFCIARFFHTGDFGDGLSGQNVSVLTGVEFTHANVDHENIGLIKHKSRCHKSSCGEETITAVF >ONIVA06G06690.1 pep chromosome:AWHD00000000:6:4637128:4637802:1 gene:ONIVA06G06690 transcript:ONIVA06G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDRFFAALEGPKLDKLRRAHGVCCVASVIGGAGASVGQNVAIPALFPVSAFGICLGMSSQEILWKTVATSTPMRFLHITTKVNQVLWCAFCRAHVRHRGHLRLQGGLLLQGHPPMARSYQFRPLILSI >ONIVA06G06680.1 pep chromosome:AWHD00000000:6:4635881:4639382:-1 gene:ONIVA06G06680 transcript:ONIVA06G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSCPRPPRVACKLTMPPPLGNFGSVLWPGTASAVRNLIGVDVATVFHRISWLLMPRQIPNADTGNRAGMATALQCGEEAINLRHGLDVVAADGIAVATLAPSMAIVESSTGPAVAVGRRRRRRGGSGLLVVLTWLWTALVQLVWRPYAVARAFGRQGIRGPAYRLFRRRGERDARGDVLDLRCHDIVPRVLPHYRAWMWRYGKVFVSWSGATPALCVGD >ONIVA06G06670.1 pep chromosome:AWHD00000000:6:4635236:4635877:-1 gene:ONIVA06G06670 transcript:ONIVA06G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQILSDRTGLYGKPEPGPSFLALIGNGLAFVNGDDWVRHRRVVHPAFAMDKLKMMAKTMAECAREVIRAWEARAAAGERRVQVEVGQKFQELTADVISHTAFGSSYREGKEVFVAQRELQSIAFSTIYSIRFPGSECIATKTNLRRRHLAKKVRGTLRRSYASAPGRRRRQGGQRIWQRPPRLDAGGQRGGRRRRRREEPTRKNIFAGDQK >ONIVA06G06660.1 pep chromosome:AWHD00000000:6:4622384:4627005:-1 gene:ONIVA06G06660 transcript:ONIVA06G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLAEDLIKNAQVQAIIVTTEAHTAVVARLRRRHRVPILAFPISGGAPPPSHHPPHHATATAPPFGADHTSAKAALTGILTAIFSSARRAGGSPPHGRRYNAGAPTGRRLDRRRLAGRRSSSSSSSGEVLRIAVPRKTGFQAFVDVRITRTPRDRTSPDTASTSSTPPWPEYGLAGSQIIKSPLSKIVVVIWCFVVLILVQSYTASLSSMLTAKRLRPSVKSLDQLLLTGDYVGYQNGSFVGSLLKKRGFMPSRLRSYGTQKEYAEALRKGSMNGGVSAIVDEIPYLTSFLSNPQYQKEFQMVNRFYKTPGFGFVFPLGSPLVHDLSTAILNLTGEPEGSKIEEKWFGSSEQSTGGDANPSSSSSSSDSNPLTLQSFSGLFIISGCISALMLLISVVNRVICAKCAKEARVHDVEHGGSTSSSSTEQSRPLQIVVDSNPEPDQAVQEVANDGCQDAQLMQASVGNERHHPVQNCINGPVPEHHPQMEMNTG >ONIVA06G06650.1 pep chromosome:AWHD00000000:6:4619545:4621053:1 gene:ONIVA06G06650 transcript:ONIVA06G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTARDELTAVARDGDGVRGRRPAAPDLARGVAAATANPHPAAVSLTLSATSFGSENLIERNQLLSEESWEKQERKGRDSKQEDEAAAAGFIARDGEEAAARASARKPRPRPSSRRAPTSRTVVHVAAVKDEMAQQKLRHRAIVHAILHYWMRPFITTLKPIVSNFLDSLIRCRVAVNDNMKWP >ONIVA06G06640.1 pep chromosome:AWHD00000000:6:4611732:4613346:-1 gene:ONIVA06G06640 transcript:ONIVA06G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASFSSILTVKRFQPSVTDLDQLLKNGDYVGYQEGSFVNSFLTRRGFGERRLRSYTKKQEYAEALRKGSKNGGVSAIVDEIPYLTAIVSDPHYQKEFQMLKRIYKTPGFGFVFPPGFPLVHNLSTAMLDVTSGDEGSRMETKWFGAEAVSPSNAIPNTDSAPLTLRSFSGLFIITGSPCQFLPITPKLEILMCKVLMWVVETMHMKNLIKHRTAWVALWLIYTSMKFRIDSSQDIHGSVERADGEEPRPIQNGPVPTNSTQTIKKTTDLLPAYQMNDTLKF >ONIVA06G06640.2 pep chromosome:AWHD00000000:6:4611720:4613346:-1 gene:ONIVA06G06640 transcript:ONIVA06G06640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASFSSILTVKRFQPSVTDLDQLLKNGDYVGYQEGSFVNSFLTRRGFGERRLRSYTKKQEYAEALRKGSKNGGVSAIVDEIPYLTAIVSDPHYQKEFQMLKRIYKTPGFGFVFPPGFPLVHNLSTAMLDVTSGDEGSRMETKWFGAEAVSPSNAIPNTDSAPLTLRSFSGLFIITGSPCQFLPITPKLEILMCKVLMWVVETMHMKNLIKHRTAWVALWLIYTSMKFRIDSSQDIHGSVERADGEEPRPIQNGPVPTNSTQTD >ONIVA06G06630.1 pep chromosome:AWHD00000000:6:4586613:4587899:1 gene:ONIVA06G06630 transcript:ONIVA06G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFLNLIVDNRIPGAKSLRCIDLTLARYKLFNTTTPAALTLNGKISESERPQDSTPWAGADNNEKEAAATLKIGTIQLPTPIMNFRSSAVYLSWYINCIPLAGRKVLCTDQSGRACLFDADTRKVDTLPSLHKPKCLPYSIFIPSADDKDDHDDNSNGGGSVYIMDTCLNHIPRDNIQLSSQFEAFVYRRSTLTSFTKSWQCQRLPPPPFVCDPKYKHASPHKITSYAVVDGSSHICISVDGAGTYCLDTVKHTWIQIGEWTLPFIGKVEYVPELKLWFGICANDWKQFGAADLSTILSTMDSQPQLVGSWKELEAPQEWTEMQHPHLVNLGSGRFCVARFYHSWTPTAGLFGSDLGELFFTVLTGTDVVQCVVHDGNGTGNASCNDSCNNPYGSNGKVELRMIKHNSKCHMSYGTDGNIKVLF >ONIVA06G06620.1 pep chromosome:AWHD00000000:6:4583040:4583404:-1 gene:ONIVA06G06620 transcript:ONIVA06G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSSMINDAAVGDITITADRASQVEFTMPYTESGVSMLVLAKNESESTTKWVFLKPLTKELWFATMILLPIHCLGYFDD >ONIVA06G06610.1 pep chromosome:AWHD00000000:6:4581899:4583026:-1 gene:ONIVA06G06610 transcript:ONIVA06G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQGSRTRQFCTALYFAFSTLTFSHGQIIKSPLSKIVVVSWCFVVLVLVQSYGASLASILTVKRFQPSVTDLDQLLCNGDYVGYQEGSFVHSFLTRRGFSEGRLRSYSKKQEYAESLRKGSKNGGVSAIVDEIPFLTAIVSDPHYENEFQMLKRIYKTPGFGFVFPPGFPLVHNLSNAMLDVTSGDEGSQAVSPSNAIPNTDSTPLTLQSFSGLFIIYGFMSALMLMISISMSVLAQYTKIRVSDVQSPGVDDGNGAHEGSNQAQNSMGNGFVADRPLREIRIDDSSQDIHGSV >ONIVA06G06610.2 pep chromosome:AWHD00000000:6:4581899:4583026:-1 gene:ONIVA06G06610 transcript:ONIVA06G06610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQGSRTRQFCTALYFAFSTLTFSHGQIIKSPLSKIVVVSWCFVVLVLVQSYGASLASILTVKRFQPSVTDLDQLLCNGDYVGYQEGSFVHSFLTRRGFSEGRLRSYSKKQEYAESLRKGSKNGGVSAIVDEIPFLTAIVSDPHYENEFQMLKRIYKTPGFGFVFPPGFPLVHNLSNAMLDVTSGDEGSPLMLMISISMSVLAQYTKIRVSDVQSPGVDDGNGAHEGSNQAQNSMGNGFVADRPLREIRIDDSSQDIHGSV >ONIVA06G06600.1 pep chromosome:AWHD00000000:6:4570926:4573851:-1 gene:ONIVA06G06600 transcript:ONIVA06G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEREKEESGDGDDQIRPLRAVAAVRRPPAGEGRRASSHGGSDQIWRRLSARRWWLGRVRREATAVAGEGEEGGSGERRGRNRRQQEQPPLLLCHSTPHHHSHHHPSIHLQPKLNHTNRHRHRHCRAWSPVPAAEGEGDQGKREKVS >ONIVA06G06590.1 pep chromosome:AWHD00000000:6:4568257:4570880:-1 gene:ONIVA06G06590 transcript:ONIVA06G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWVLAAAAALALWAAAAEGARSPAARVHRHLKRLNKPAVKSIESPDGDIIDCVHLSHQPAFDHPLLKNHTLQMRPAYHPEGLYDDDKRSVASDNAGEKPMLQLWHQKGRCPEGTVPIRRTKKDDLLRASSLRRYGRKRHTAVNPLSIDPNMLNEGGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFIQINSEIAMGASIFPISNIAGSQYDISILIWKDPKEGNWWMQFGREYVLGYWPSFLFSYLADSASMVEWGGEVVNSEPDGTHTSTQMGSGRFPEEGFGKASYFKNIQVVDSSNQLKAPKGVGTYTEQSNCYDVQNGNNGDWGTYFYYGGPGKNSNCP >ONIVA06G06580.1 pep chromosome:AWHD00000000:6:4554616:4568194:-1 gene:ONIVA06G06580 transcript:ONIVA06G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCAKIGSRILTGTAQVLNQTKDWKNRKSNHFQGREEYLTGSMPTRQPDGKTSFNHSLVVGTP >ONIVA06G06570.1 pep chromosome:AWHD00000000:6:4553488:4563293:1 gene:ONIVA06G06570 transcript:ONIVA06G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT3G05350) TAIR;Acc:AT3G05350] MAIEAARLSPSLAAAAILGRRGPPPVALPLRRALPLLLPPLRRRLCFVAAAGGDGRAVALPSSELRKRRGGASSSSASPGGEDEKLRSLRRLLARPDVAIDAYIVPSQDAHQSEFIAECFMRRAYLTGFTGSAGTAVVTKDKAALWTDGRYFLQAEKELSHDWTLMRSGNQGVPTTSEWLNEVLPSGCRVGIDPFLFSFDAAEELKDAISEKNHELVLIKDLNLVDEIWGESRPEPPKERTRVHGIKYAGVDVPSKLSFVRSQLAENGCNAVVISLLDEVAWLLNMRGSDVPNSPVFYSYLIVEDTAATLFVDNNKVSEDVLEHLEKAGVKLKPYEAILSDVERLAENGAKLWLDSSSINAAIVNVFRSSCERYVKKRGKAGRQIGKESSQGDPATGSSGVQNGTVNALYKVSPATLAKAVKNEAEVEGMKSSHLRDAAALAEFWCWLEGQVRESVPLTEVQVAEKLLEFRQKQDGFIDTSFDTISGYGANGAIIHYRPTPESCSSVGSDNLFLLDSGAQYIDGTTDITRTVHFGEPTPRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLLLVKEVNLPNSFGGVSYLGFEKLTFVPIQSKLVDLSLLSPSEINWINEYHDEVWEKVSPLLSGHSLDWLRKNTRPL >ONIVA06G06560.1 pep chromosome:AWHD00000000:6:4545782:4549891:1 gene:ONIVA06G06560 transcript:ONIVA06G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDGQVTKDSSDQLEQEAPKTEDSKAEDSKTEDSSPFVISAVPLWENIVNVKGGVNLTVEEAKDPKFWSRICVHNMARLSREATTFRRILESLFRYFGNNSSWSPENGLALCVLLDMQLLVENAGQNMHLMLSLLIKHIEHKTMVKQQEMQLSIVEVAATLAEQSIAQASAATIGAISDLVRHLKRTFHITLGSKDSELVKWNEKFRKAIDDCLGQLAKKVTDAGPVLDMMAVMLENIASTPVVARSTAAAVYRTAQIIASVPNITYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVAPSIQASVSGQAKKHDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGDSSGLHSISEESSNAKRSNLPVSQSRRRSMKIPNFSMKRGPSMAMRAPSVALRAPSISLRVTSMSLRAPSMSIKADHGSSSHPEDEMESVLLKLSSRQITLLLSSIWAQATSPENTPANYEAIAHTYSLLLLFSGAKASVFEALTQSFQVAFSLRGYSLTEPDSLPPCRRRSLFTLATAMIIFSSRTFNVLPLIPICKQMLNERTGDPFLRLVDESKLQAVKDSVDDPSKIYGSPEDNTNALKSLSAIELSESQSRECIVSTIMNNITNMLEAELHSVKNQLLSDFSPDDMCPTSTHFFEATGDNSSPGSHDNDHHPEAVLIDLGNDHDIFGEASESTAASANAVPVTDLLSIDQLLETVCLALLNSFSMFLLYSSDEVTWHE >ONIVA06G06550.1 pep chromosome:AWHD00000000:6:4529232:4531748:1 gene:ONIVA06G06550 transcript:ONIVA06G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAVSFAPPHPMAPSPSPSLAPPLLPPRRQIQPPPWSRAPDADELRRKDRGEVLNSYAAWAACMHLFYGLFKTVLFHTSDFMGKLAKLKHLRYIKLGEMLEDYQVRQAKGYTDLENIENEEEAKNAKLEEKRHLDSLSLEWSEDDGTNSREDCSIILDNLEPNSILKNLKISGYVGAKIPYWIAKASVNNLISLDLGGCKNWKKLPSLAEFLLLKHLRLDNLQLPSEFLLLNQLRATAAAGSMRDGNLQNSYCSSIFARPRRQARCATASVDGGGVTTSMRRRRDGLDGRRRDNLDVTAARRPRWATAARRSRWVTAARRPRCDDGTAQTAGTDDLIQQKEERRAYFCLPDHTLLNQRLD >ONIVA06G06540.1 pep chromosome:AWHD00000000:6:4513319:4518777:-1 gene:ONIVA06G06540 transcript:ONIVA06G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIVACNASARFDPLSQSYRQYQLQSHLLLQQQVLSPCSEFVRQQYSIVATPFWQPATFQLINNQVMQQQCCQQLRLVAQQSHYQAISIVQAIVQQLQLQQFSGVYFDQTQAQAQALLAFNLPSICGIYPNYYSAPRSIATNPTTMKIIFVFALLAIVACNASARFDALSQSYRQYQLQSHLLLQQQVLSPCSEFVRQQHSIVATPFWQPATFQLINNQVMQQQCCQQLRLVAQQSHYQAISSVQAIVQQLQLQQVGVVYFDQTQAQAQALLALNLPSICGIYPNYYIAPRSIPTVGGVWY >ONIVA06G06530.1 pep chromosome:AWHD00000000:6:4504814:4507128:1 gene:ONIVA06G06530 transcript:ONIVA06G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAVEAKVAGGGERREFKGKITWYVWLCGIIAATSGLMFGYDVGISGGVTAMDGFLIKFFPSVYARKHRARENNYCKFDDQRLQLFTSSLYLAALAASFAASRLCTRLGRRRTMQLASVFFLGGTALCAGAANLAMLIVGRICLGVGVGFGNQAAPLFLSEIAPAHIRGALNILFQLDVTIGILIANVVNYFTSSAHPSTGWRYSLGGAGVPAAVLFLGSLVITETPTSLVERGRRDAGRATLERIRGTRDVGDELDEIARACEAAAALSAEESAYRRLRRRESRPPLVIAVAMQVFQQFTGINAIMFYAPVLFQTMGFKSNGSLLSAVVTGGVNVVSTLVSIVAVDKIGRRRLLLQACGQMLIAQHVKANGNPGEKWAVAIVVLICVYVSSFAWSWGPLGWLIPSETFPLATRTTGFSFAVSSNMLFTFLIAQAFLSMMCRMKAFIFFFFAIWIVIMAAFVFWLLPETKGVPIDEMVDTVWRRHWFWKRFFTDAGDGRIGNC >ONIVA06G06520.1 pep chromosome:AWHD00000000:6:4497965:4504301:1 gene:ONIVA06G06520 transcript:ONIVA06G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sterol methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G13710) TAIR;Acc:AT5G13710] MQPHDKTHARERSHPILPTSLLAGRSSRLRRPPPPPPSPSGGAPVIDAAMSRSGAMDLASGLGGKITKDEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYNLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGVKPGMKVLDVGCGIGGPLREIAKFSLASVTGLNNNEYQITRGKELNRVAGVSGTCDFVKVIWDKDLAEDSPVPWYLPLDPSRFSLSSFRLTTVGRAITRTMVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGKDKARSLKETLWRSKKHLMKLRSLKSSYAYEEMLKCV >ONIVA06G06510.1 pep chromosome:AWHD00000000:6:4486206:4491175:1 gene:ONIVA06G06510 transcript:ONIVA06G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGSAAYGDGDGEKPSAQLGQPLLPPPNQPYYAFPAAAYAPPPPPPPPPTLVFVPVTSPVLVRLRRLRPRRVSPSTPSVLPLYSAPPPPPPAPRPLPPRVLRPHAPAPPLPRAPRRPRLPPLPGLRLDRFRVNPPPLPAVDLHLALRLRVRNPGLVLPLRYRAVSAAVSYRGHLLGSAAARPGSGELGARGTTYADAEVWVDAGRVVDDVIDLIGDLAAGSLPLEIVTEVVGAVRVFRFDIPVKGLITCSVKVSPDTQKIISQDCY >ONIVA06G06500.1 pep chromosome:AWHD00000000:6:4483575:4485134:-1 gene:ONIVA06G06500 transcript:ONIVA06G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMARVFLLLLLPLSSSAATAVAAPPPPRSQAQTADGNGVLVNGNFAMSPRKMNATVIVGRDSLPGWALRGRVEYVSGGPQPGGMYFAAAPGAHALRLGARASAAQAVAVRPGAAYALTFAATRACARDGEREEALRVAVSPSFSAPGDVPVRTLYGAGAADAWAWGFRAAERNAQVEFSNPAAADDHDGDDGLNCGPLLAAVAFKELPAPMPSKDNLIRNGDFEAGPAAIPNSTAGVLLPPKRKDVTSPLPGWIVESLRPVRLVDAPHFAVPQGQRAVELVAGREGAVAQVIRTAPGRAYNLSFAVGDARDGCEGAMLVHAVVVAGGGGNATAKAAAAAVPYASRGGGGARQASLRFVASGRRARVTFYSSYYHTSAGDGVSPCGPVLDQVKVQPLMTKA >ONIVA06G06490.1 pep chromosome:AWHD00000000:6:4477256:4481216:1 gene:ONIVA06G06490 transcript:ONIVA06G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G41820) TAIR;Acc:AT2G41820] MPPPAAFLFLACVLGASVAVAAAVAADGDGDAMRELRRALAPPDWGAAGEDGKGSYYCAWRGVACAGGGGGAVVAIDLPRRGLRGDFSAVAGLRALARLDLSFNALRGGVPGEALGGLPGLEFLDLSMNHLSGGVPPSLAGAVGLRFLNLSNNALSGGIPDELRSLRALTELQISGNNLTGAIPPWLAALPALRILSAYENSLSGPIPSGLGLSSKLQVLNLHSNALEGAIPSSLFDLGNLQVLILTVNRLNGTIPDTIGRCSALSNVRIGNNRLAGAIPASIGDATSLTYFEADSNELTGGIPAQLARCANLTLLNLAYNRLAGEVPDVLGELRSLQELIVSSNGLSGEFPRSILRCRNLSKLDLSYNAFRGGLPESVCNGSRLQFLLLDHNEFSGGIPVGIGGCGRLLELQLGNNNLTGEIPAEIGRVKSLQIALNLSFNHLVGPLPRELGRLDKLVALDLSSNEISGEIPGDMRGMLSLIEVNLSNNRLSGAIPVFAPFQKSAASSFSGNTKLCGNPLVVDCGPIYGSSYGMDHRKISYRVALAVVGSCVLIFSVVSLVVALFMWRERQEKEAEAKMAEAGEVVVAAPQVMASNMFIDSLQQAIDFQSCVKATFKDANVVSNGTFSITYKAVMPSGMVVCVKKLKSVDRAVIHHQTKMIRELECLSHINHPNLVRPIGYVIYEDVALLLHHHMPNGTLLQLLHNVDNPDGDNQKPDWPRLLSIAIDVAEGLAFLHHVATIHLDISSGNVFLDSHYNALLGEVEISKLLDPLKGTASISAVAGSFGYIPPEYAYTMQVTVPGNVYSFGVVLLEILTSKLPVDEEFGEGMDLVKWVHSAPARGETPEQIMDPKLSTVSFAWRKQMLAVLKVAMLCTERAPAKRPKMKKVVEMLQEAKNS >ONIVA06G06480.1 pep chromosome:AWHD00000000:6:4473980:4474792:1 gene:ONIVA06G06480 transcript:ONIVA06G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCGCAVARLSLPHRSSSDGSGRRGSTVAGLPLLTNPTMKMMVASCLVADPKTLVLGVACPPPVTLGAADDITHHLRKSFFVLLAWQNVILYIYGNCLVSTGQKFPVSRGDKLGQLQLATTPWN >ONIVA06G06470.1 pep chromosome:AWHD00000000:6:4467984:4468280:-1 gene:ONIVA06G06470 transcript:ONIVA06G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVEGSKVDEDGDEVGEEGHAGSLCSIVADVKEPEADEVDNDGIEAGRAQGDGVAEDSNEVSGESDDENNGGDNKGVLTTEAGECVRDAKVLDIGGR >ONIVA06G06460.1 pep chromosome:AWHD00000000:6:4465759:4467397:-1 gene:ONIVA06G06460 transcript:ONIVA06G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGGGERGGERGGFGRGFGRGGRGDRGRGGRGGRRGPRQEEEKWVPVTKLGRLVKENKIHKIEEIYLHSLPVKEHQIVEQLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRETRFIKTPFQEYTDLLARPKGLVIEAPAEKIEA >ONIVA06G06450.1 pep chromosome:AWHD00000000:6:4454000:4454235:-1 gene:ONIVA06G06450 transcript:ONIVA06G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITYLMQQLHLPLVHDSFAGRLWTIAAAGVFSQLGMLGLVGSALVPALCPAPCCAAAAAS >ONIVA06G06440.1 pep chromosome:AWHD00000000:6:4443551:4449129:-1 gene:ONIVA06G06440 transcript:ONIVA06G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPPPYLRSFSWFLLIPPLPSLTLLSLTRLGFSLLDLRGRSSRARRRLRRARGEGARGNCTTRKKGATKNALGDPASTKTSRQPRRAAQAAASEKKVNDLITSSAKKKKSVGAPSKKNRASKGGRKLISACDAANSENEVSQVVSGIPHDQKQSDDNVDGRPCNSIFSPAYHLQKECGASNFAKGLEHKGDTLGSVSSVEERTTHAQGRKEVTTSRSESTSHAVKTCVGSDHHTLNAQSAFCNTPLEEDEFSELGNLSSEVSAIYLAMQQSKLECIDEHSQDSISTEGYVDPEDTEEYDDFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEPCEDADFAFPVYFNFREHTIYVRCRPYLKEFLERVANLFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRDSCVYVEGNYLKDLTVLGRDLTRIMIVDNSPQAFGFQLDNGIPIESWFDDPNDQELLKLLPFLESLVGVEDVRPYIARKFNLREKVATASSLSMDLQM >ONIVA06G06440.2 pep chromosome:AWHD00000000:6:4443549:4449020:-1 gene:ONIVA06G06440 transcript:ONIVA06G06440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSKLECIDEHSQDSISTEGYVDPEDTEEYDDFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEPCEDADFAFPVYFNFREHTIYVRCRPYLKEFLERVANLFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRDSCVYVEGNYLKDLTVLGRDLTRIMIVDNSPQAFGFQLDNGIPIESWFDDPNDQELLKLLPFLESLVGVEDVRPYIARKFNLREKVATASSLSMDLQM >ONIVA06G06430.1 pep chromosome:AWHD00000000:6:4440721:4453768:1 gene:ONIVA06G06430 transcript:ONIVA06G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLIVSASLLALFFFLHSADADVGSNVFSIQSYGAHGNGRHDDTKALGDTWAAACSSAKPAVLLIPKGKKYLIKHTTLSGPCKSSISLMVKGTLVASPERSDWSKETIRHWILISGVTGLTVTGGGTIDGNGKIWWQNSCKTNSKLPCTEAPTALTFYSCKNLKVEYLKVVNSQQIQISVEDCTDVMVSRLSITAPETAPNTDGIHITRSRDVEVTDCMIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDNVRLYGTTNGARIKTWQGGKGSAKNIVFQNMVMDNVWNPIIIDQNYCDSSTPCKQQKSAVEVSNVLFKNIRGTSASEEAIMLHCSSSVPCHGITLENVNLTVKGGIDLKHEHPWTLEDVENVHAKVNMNASFHLTSGDDSLRGRSLESKKVVFDVRKHGAYGDGQHDDTKALAKAWAAACSSSQPSIVLIPKGKRYLTKHITLSGPCKSSITFMIEGTLVAPPKRSDWSKETIRHWIMFNGVSGLTVAGGGTVDENGKIWWQNSCKTNAKLPCTEAPTALTFYSCSNLKVENLKLLNSQQIHMSVEDCTDVRISSLTITAPGTSPNTDGIHITRSKNVQVTGCIIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDTVRLYGTTNGARIKTWQGGWGYAKNIVFQNMIMENVSNVVFKNIRGTSASKEAIKLHCSRNVPCQGITLKDVTIKGGGSDAKSTCGNAKWKKSGIALCFQ >ONIVA06G06420.1 pep chromosome:AWHD00000000:6:4435481:4437332:-1 gene:ONIVA06G06420 transcript:ONIVA06G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRKRRRVDGGGGNGGNYPLVATPNDGVLPVDLLNAVLLRLPARPACRLRAVCRPWRAVLSDPRFAAAHAARHPDPHLVVAACDRLDAGGIELVDVYLVGASGDVAKRVPAGRCDTDAVSSARDGVALLVGNDRRLRVLDAAASAAVSLVPDGEHHPINCSFTLGRAASSSGEHKVLRIGTVVHGEPQVCAVLTLAVAGGRGQNARWREAPSPPLVVRTRRGDVAVAGGVAYFLLRRAYLADWIAAFDLEAEQWRPALVGGPPLPAWRPTRPDRPRVTLAELGGSLVVAIDDHRAATLDLWFLLAAGDGEQHWSKQYTVTMPYHRRPWRCDGESAEPVVVLDDGRIVFWVWAGGSGGTRHGGGVMRVYDPITGGHTDVATAARNPVLFESILLMVRSRVHTLL >ONIVA06G06410.1 pep chromosome:AWHD00000000:6:4432584:4434798:1 gene:ONIVA06G06410 transcript:ONIVA06G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKTMYNAEKRGKRQVLIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >ONIVA06G06400.1 pep chromosome:AWHD00000000:6:4424272:4431912:-1 gene:ONIVA06G06400 transcript:ONIVA06G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQDCSGNITRGGMVPMTNKENIGKHFILKVENLKVVNSQQIQISVEDCTDVKMSRLSITAPETAPNTDGIHITRSRDVQVTDCTIKTGDDCMSIEDGTKNLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDNVRLYGTTNGARIKTWQGGKGSAKNIVFQNMVMDNVWNPIIIDQNYCDSSTPCKQQKSAVEVSNLLFKNIRGTSASEEAIVLHCSNSVPCHGITLSQSHCQGSNAKSTCQNAEWKKSGVSVHCPVVSKIDLELMACNVLLFSAYVVVMSFFLCSVHAKVNMNASSLTNGDDSLRGKSSLESKKAVFDVRKHGAYGDGQHDDTKALSKAWAVACSSLQPSIVLVPKGKRYLTKHITLSGPCKSSITFMIEGTLVAPPTRSDWSKETIRHWIMFNGVIGLTVDGGGTVDGNGKIWWQNSCKTNAKLACTESPTALTFYSCSNLKVENLKLLNSQQIHMSVEDCTNVRISGLTITAPGTSPNTDGIHITRSKNVQVTGCTIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTIGTVRLYGTTNGARIKTWQGGRGYAKYIVFQNMIMENVWNPVIIDQNYCDSATPCKKQTSAVQISNVVFKNIRGTSASKEAIKLDCSRNVPCQGITLNDVKLTVKGGGGDAKSTCRNAKWKKSGTVVPQPCASTTTV >ONIVA06G06400.2 pep chromosome:AWHD00000000:6:4424272:4432072:-1 gene:ONIVA06G06400 transcript:ONIVA06G06400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIGKHFILKVENLKVVNSQQIQISVEDCTDVKMSRLSITAPETAPNTDGIHITRSRDVQVTDCTIKTGDDCMSIEDGTKNLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDNVRLYGTTNGARIKTWQGGKGSAKNIVFQNMVMDNVWNPIIIDQNYCDSSTPCKQQKSAVEVSNLLFKNIRGTSASEEAIVLHCSNSVPCHGITLSQSHCQGSNAKSTCQNAEWKKSGVSVHCPVVSKIDLELMACNVLLFSAYVVVMSFFLCSVHAKVNMNASSLTNGDDSLRGKSSLESKKAVFDVRKHGAYGDGQHDDTKALSKAWAVACSSLQPSIVLVPKGKRYLTKHITLSGPCKSSITFMIEGTLVAPPTRSDWSKETIRHWIMFNGVIGLTVDGGGTVDGNGKIWWQNSCKTNAKLACTESPTALTFYSCSNLKVENLKLLNSQQIHMSVEDCTNVRISGLTITAPGTSPNTDGIHITRSKNVQVTGCTIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTIGTVRLYGTTNGARIKTWQGGRGYAKYIVFQNMIMENVWNPVIIDQNYCDSATPCKKQTSAVQISNVVFKNIRGTSASKEAIKLDCSRNVPCQGITLNDVKLTVKGGGGDAKSTCRNAKWKKSGTVVPQPCASTTTV >ONIVA06G06390.1 pep chromosome:AWHD00000000:6:4389993:4396113:1 gene:ONIVA06G06390 transcript:ONIVA06G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLW2] MDGDADAVKSGRHGSGQACQICGDGVGTTAEGDVFAACDVCRFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGEDTDADDVSDYNYPASGSADQKQKIADRMRSWRMNAGGGGDVGRPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKLKQDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLVVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGSFLSSLCGGRKKASKSKKKSSDKKKSNKHVDSAVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLIYCVLPAICLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTCGINC >ONIVA06G06390.2 pep chromosome:AWHD00000000:6:4389993:4396113:1 gene:ONIVA06G06390 transcript:ONIVA06G06390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLW2] MDGDADAVKSGRHGSGQACQICGDGVGTTAEGDVFAACDVCRFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGEDTDADDVSDYNYPASGSADQKQKIADRMRSWRMNAGGGGDVGRPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKLKQDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLVVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGSFLSSLCGGRKKASKSKKKSSDKKKSNKHVDSAVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLIYCVLPAICLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTCGINC >ONIVA06G06380.1 pep chromosome:AWHD00000000:6:4383555:4388403:-1 gene:ONIVA06G06380 transcript:ONIVA06G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATELTDTQQLLRAVSPASSRQQQNIHDRHNSELGPPRYTDSFILLFFIAPRIAEEMRPHPMVVRVLKVMTSIIPTWRVVPTNDVIDLAYRMQGKRDEIRGNPLCYKGRPRLKTAYELLRVSILIESTILPHVSLPFLILHGAADRVTDPSVSDLLYRSASTTDKTFHLYTGMWHALTSGELPHNIDAVFRDIIDWLHHRTSPTSASYVQDHDLSTSFEAERKAKHDDTIHCGKQTS >ONIVA06G06370.1 pep chromosome:AWHD00000000:6:4378594:4379618:-1 gene:ONIVA06G06370 transcript:ONIVA06G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPPFPNLLPPNPLPLLCFHSSPPPRTPRPWPPPPSDPIDTDQPVAMLGSDQLEHRPAPSAPRAEPDDVADDVEVEAFRDIHPEPSPPHLPPPPLRQPSWDAASHRSLSSSGAGGGGGGGGDVELFATMSREFTAMVAAGSSSAPSPDVPGDAPAASADLNLLQLARIGENEPAAEANALAIVPAAADSGPAPVEQVKKEEVEAKVAAWQAEEVAKINNKFKREEVVINGWESQQIDKATAWLAKIERKLEEERAKATEKARNEAAAARRKAEERRASAEARRGRKTAEVLDRANFCKAAGRVPSKRSFFSF >ONIVA06G06360.1 pep chromosome:AWHD00000000:6:4373760:4374262:1 gene:ONIVA06G06360 transcript:ONIVA06G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHPWEPRAEAAGVRHVRGTSCAVGPLLAAVVEETVVAAAVMVSCLASRCACTDVTAAENGAGVLSSAAATTPQLQPCSTYFVRLRRRRQGRHG >ONIVA06G06350.1 pep chromosome:AWHD00000000:6:4365441:4368563:-1 gene:ONIVA06G06350 transcript:ONIVA06G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKSALASVGAFEIMEADDDINIFVKDMNVNDGERHPRGEKRRRVGNDQKVKVDDREQHWQNYLKVLSKTSKECEGLLKNEYTKFQATHDKFCKEKAAHMQTFKGELYRFLYPLHVDLLIHDGDGCLLIGVISTRDLVWPSPLISFSRWRPDGHCRRLGASEAWMDKVVKLMEVGADAGDTADRGGWEQRYIQRMQATPIGGGRGGRLAYIGDATTGLGEGDDRDGRKRRSDEHWQKKRPWRKPEERGAPPSMDDGSTVSDSASSPATN >ONIVA06G06340.1 pep chromosome:AWHD00000000:6:4361271:4365378:-1 gene:ONIVA06G06340 transcript:ONIVA06G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARFISAQRIDRASKRQAPKEEGGGAAPAESMAMRHRARSAPSSPLTPSSTTRAKNIFGFSVSLILINLASIMERILALHYDRPTVLAIGTVFWALSTGAVGVSQHFRQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGNDYWGLAGWRVAFIMVALVSLIIGILVYLYATDPRKIPGNHLLDDDDYERLHLASKDVLPPPSIWRDSLVATRSVMKVRTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNNSSAALNSLFAIGCATGAFLGGVIADRLSRHFPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWSAYAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDSKTVNLANGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKRDRENAKLSSFKDQELV >ONIVA06G06340.2 pep chromosome:AWHD00000000:6:4361271:4365378:-1 gene:ONIVA06G06340 transcript:ONIVA06G06340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARFISAQRIDRASKRQAPKEEGGGAAPAESMAMRHRARSAPSSPLTPSSTTRAKNIFGFSVSLILINLASIMERADENLLPAVYKEVSAAFNAGPADLGYLTFLMNFLKSIASPLAGILALHYDRPTVLAIGTVFWALSTGAVGVSQHFRQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGNDYWGLAGWRVAFIMVALVSLIIGILVYLYATDPRKIPGNHLLDDDDYERLHLASKDVLPPPSIWRDSLVATRSVMKVRTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNNSSAALNSLFAIGCATGAFLGGVIADRLSRHFPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWSAYAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDSKTVNLANGSAEGAYALSRGLLTMMIVPFGVCVLFYSPLYLVFKRDRENAKLSSFKDQELV >ONIVA06G06330.1 pep chromosome:AWHD00000000:6:4355710:4359842:-1 gene:ONIVA06G06330 transcript:ONIVA06G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dolichyl-diphosphooligosaccharide-protein glycosyltransferase 48kDa subunit family protein [Source:Projected from Arabidopsis thaliana (AT5G66680) TAIR;Acc:AT5G66680] MAAPRHHHLALAVALALLVVTAAAADEGGPRGRRVLVLVDDLAVRSSHSAFFASLQGRGFDLDFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRFGGSVDQNSILEFIDAGHDMILAADSSASDLIRGIATECGVDFDEDPEAMVIDHINYAATDAEGDHTLIAGDDLIQSDVILGSKKIEAPVLFRGIGHAVNPSNSLVLKVLSASPSAYSANPKSKLASPPSLTGSAISLVSVMQARNNARVLISGSLDLFSNRFLKSGVQKAGSKIRHEKAGNEQFVTETSKWVFHERGHLKAVNVKHNKVGETNEPGMYRINDDLEYSVEIYEWSGTSWKPYVADDVQVQFYMMSPYVLKTLSTDKKGVFSTSFKVPDVYGVFQFKVEYQRLGYTGLSLSKQIPVRPYRHNEYERFITSAYPYYAASFSTMGAFFIFSFVYLYHK >ONIVA06G06320.1 pep chromosome:AWHD00000000:6:4350934:4356018:1 gene:ONIVA06G06320 transcript:ONIVA06G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPQITVSDGRLAVRGRTVLTGVPENVTAAHASGAGLVDGAFVGADAGEAKSHHVFTFGTLRECRFMCLFRFKLWWMTQRMGSSGRDVPLETQFMLIEVPATAAGDGHDGGGDGEPVFVVMLPLLEGKFRAALQGNDDDELQICIESGDKAVQTEQGVNMVYIHAGTNPFDTITQAIKAVEKRMQTFHHRDKKKMPSFLDWFGWCTWDAFYTDVTADGVKQGLRSLANGGAPPRFLIIDDGWQQIGTEDDDTDEHPAVAVQEGAQFASRLTGIKENVKFQSKNGGAGEDTPGLRMLVEEVKGEHGVRQVYVWHAMAGYWGGVAPAPAMERYEAALAYPVQSPGVTANQPDIVMDSLSVLGLGLVHPRKVLDFYDELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVALTRAYNRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTIHVASVAYNTVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFDLLRKLVLPDGSVLRARLPGRPTRDCLFSDPARDGESLLKIWNLNKCGGVAVVYAHRARELVRLPRGAALPVTLGALEYEVFHVCPVRAIAAAPGGAAVAFAPVGLLDMFNAGGAVEECAVDAAAAVALRVRGCGRFGAYFSRRPARCALDGADVGFTYDGDTGLVAVDLPVPEQEMYRWNLEIHV >ONIVA06G06320.2 pep chromosome:AWHD00000000:6:4350934:4354360:1 gene:ONIVA06G06320 transcript:ONIVA06G06320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPQITVSDGRLAVRGRTVLTGVPENVTAAHASGAGLVDGAFVGADAGEAKSHHVFTFGTLRECRFMCLFRFKLWWMTQRMGSSGRDVPLETQFMLIEVPATAAGDGHDGGGDGEPVFVVMLPLLEGKFRAALQGNDDDELQICIESGDKAVQTEQGVNMVYIHAGTNPFDTITQAIKAVEKRMQTFHHRDKKKMPSFLDWFGWCTWDAFYTDVTADGVKQGLRSLANGGAPPRFLIIDDGWQQIGTEDDDTDEHPAVAVQEGAQFASRLTGIKENVKFQSKNGGAGEDTPGLRMLVEEVKGEHGVRQVYVWHAMAGYWGGVAPAPAMERYEAALAYPVQSPGVTANQPDIVMDSLSVLGLGLVHPRKVLDFYDELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVALTRAYNRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTIHVASVAYNTVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFDLLRKLVLPDGSVLRARLPGRPTRDCLFSDPARDGERVAKKTRVHDAAPGTLTGAVRADDVDAIAQVAGGDGGGWDGEAVVYAHRARELVRLPRGAALPVTLGALEYEVFHVCPVRAIAAAPGGAAVAFAPVGLLDMFNAGGAVEECAVDAAAAVALRVRGCGRFGAYFSRRPARCALDGADVGFTYDGDTGLVAVDLPVPEQEMYRWNLEIHV >ONIVA06G06310.1 pep chromosome:AWHD00000000:6:4344481:4352458:-1 gene:ONIVA06G06310 transcript:ONIVA06G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSHEINWGDSHHMVIKMAKGQVVVDEQAIASGNPQLIKHNVTAQWSSCYCTILGRELRRGGWWGELVGGFDRWEGPLTEENWTQKPYNSTVN >ONIVA06G06300.1 pep chromosome:AWHD00000000:6:4318922:4322452:-1 gene:ONIVA06G06300 transcript:ONIVA06G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQTDGGGDSRYVLSELPSDIVIHVEEARFYLHKFPLLSKSSLLQRLIIEASQNGTDEVYIHDIPGGVKIFEICAKFCYGMVVTLNAYNVVAARCAAEFLGMTEDVDKSNLVFKIEVFLNSGIFRSWKDSIIALQTTDALLPWSEQLKLAARCIDSIASKATSNPCNVVWSYTYNRKSASSDEIVEARKNSQPVPKDWWVEDLCELDVDLYKRVMVAVKSRGRITSDVVGEALKAYASRWLPECFDAAAIDDDAYSMAYNHLLETIVWLLPSDKGSSCCSCRFFLKLLKVAVLIGSGEMLKEELMDRVILQLHKASVCDLLIPARPPALTTYDIQLVLTLVGRFMRRAGVTEDGIFLNNLDQAMFETDVDDESLLALSKIVDGYLAEVASDPNLSVSSFVAVATSMPDAARATHDGLYTAIDVFLKLHPNLPKAEKRKISSLMDVKKLSKEACIHAAQNDRLPLRVVVQVLFFEQLRAAAGGNNPAAAAASGGIARRLVEEEDDDDDDVGGGGGGDWSKSRALPTPTPSLLKKQLGSLKLAAAGDEGGGGDDGRRLARVSSVANQSSRLSLSSRSRRMFDRLWAGGKPPGGEVVSKSSDTSGSSQSPRSSAKPPASKSSSSSSRNRRYSVS >ONIVA06G06290.1 pep chromosome:AWHD00000000:6:4313720:4317672:1 gene:ONIVA06G06290 transcript:ONIVA06G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRRLFHRRPPQGLVEISDNIFVFNHCFSTDFFEEDELKPYIRGILKQLIGRYSIDSFMVFNFEGSKKDNQIACIFSDFDMSVMGYPRNYEGCPLLTMEMIHHFVRSSESWLSLGQDNFLLIHSEQGGWPVLAFALAALLLYLRRYNNERKALEMVYKQAPPGLVEHFSPLNPAPSQMRYLKYVSRRHMSPEQWPPADRMINLNCVIIRGVPNFDGKGGCRPILQIYGPDPFAPNDKSTKVLFSTPKTSDSVQLYTQEDSDIIKFNARCPVQGDVVMECISLDENFEHEVMVFRVMFNMAFIEDNLLLLDRDQIDILWDTKLRFPVDFRVEVIFSEMDTITSLNSSQLSSEDKENFSRVEDAFSHLDWSTKSDDVTTNATEQNGSNNEHDGFDAVSLQETENSNSTSEHSLLGTRSVQVIQMETEHNHSSAPTFDIVKDPVADTHSLPETYSLALKSQEHKLFEDSSPRELPKLDTTKNNPNSDLPSANSRDSEAAGDAAVAEWSDTNTDTFLSDTPSSSSPSSPQKFDEDSMEAGIVEIQTQTAEPQRC >ONIVA06G06280.1 pep chromosome:AWHD00000000:6:4303128:4307944:-1 gene:ONIVA06G06280 transcript:ONIVA06G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVAAAAAAAEGVLHRRIEFHLARRSHSVVAVGGGGFRMETLNPDAGDRAAAGAAQGVGVAAGGEGEARRAEKGEVVGGGLDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTAGFCALCALQNHVKTALQSTGKIVTPSQIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLRSQVKCTQCSHCSNKFDPFLDLSLDIGKATSLVRALQNFTAEELLDGGEKQYQCQRCRKKVVAKKKFTIDKAPYVLTIHLKRFSPFNPREKIDKKVDFQPMLDLKPFISDSKGADFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGMWHNLDDNQVRQVREADVLRQKAYMLFYVRDRVGNPTPRKDNITANMPARRTIPEKISGLSDMIQSGVIEAKLNGSSSPYGDKRLHGISNGNSIKTSREHYLKKDGKTEAPKASENNGLASTQKASAPQIDGATLSAQSKQITSTGHREELQPKVDGLTDTSSLGNGNAILSERNKQTSQHQNPFSMPASHGKDTGAGLAAQTFPTKDAIVSNGVVPSSRDPISSEKVCGLQKSIKQDDKTVKELPISENNIVSGLERVNARKQTSSEVSMKVAAADSCNSNTPKRVDLKSKKLVRYPVMNMWLGPRQVMLGSLKVQKKKKCNRTRRRSVVCEDMANATCSGNNTSEQQASTSTTTSSETVQCTPRGRKRAYDSDSPKNNNQKQNKQDVIGADTGSGELNMDKRNVISETAASAELPKLGPGSSANQEHSRNNVHAKLGVPRHFTVLTRDLAEVTVPCWDDVAVSNAEARESKHSESKSIGYVLDEWDEEYDRGKTKKIRNSKEDYGGPNPFQEEANYISQRNMKQRTYQPKSWKKHAHVRR >ONIVA06G06280.2 pep chromosome:AWHD00000000:6:4303128:4307944:-1 gene:ONIVA06G06280 transcript:ONIVA06G06280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVAAAAAAAEGVLHRRIEFHLARRSHSVVAVGGGGFRMETLNPDAGDRAAAGAAQGVGVAAGGEGEARRAEKGEVVGGGLDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTAGFCALCALQNHVKTALQSTGKIVTPSQIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLRSQVKCTQCSHCSNKFDPFLDLSLDIGKATSLVRALQNFTAEELLDGGEKQYQCQRCRKKVVAKKKFTIDKAPYVLTIHLKRFSPFNPREKIDKKVDFQPMLDLKPFISDSKGADFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGMWHNLDDNQVRQVREADVLRQKAYMLFYVRDRVGNPTPRKDNITANMPARRTIPEKISGLSDMIQSGVIEAKLNGSSSPYGDKRLHGISNGNSIKTSREHYLKKDGKTEAPKASENNGLASTQKASAPQIDGATLSAQSKQITSTGHREVSSSDRSASLTHVIVNQAVAMVPSQELQPKVDGLTDTSSLGNGNAILSERNKQTSQHQNPFSMPASHGKDTGAGLAAQTFPTKDAIVSNGVVPSSRDPISSEKVCGLQKSIKQDDKTVKELPISENNIVSGLERVNARKQTSSEVSMKVAAADSCNSNTPKRVDLKSKKLVRYPVMNMWLGPRQVMLGSLKVQKKKKCNRTRRRSVVCEDMANATCSGNNTSEQQASTSTTTSSETVQCTPRGRKRAYDSDSPKNNNQKQNKQDVIGADTGSGELNMDKRNVISETAASAELPKLGPGSSANQEHSRNNVHAKLGVPRHFTVLTRDLAEVTVPCWDDVAVSNAEARESKHSESKSIGYVLDEWDEEYDRGKTKKIRNSKEDYGGPNPFQEEANYISQRNMKQRTYQPKSWKKHAHVRR >ONIVA06G06270.1 pep chromosome:AWHD00000000:6:4295328:4296785:-1 gene:ONIVA06G06270 transcript:ONIVA06G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPLPPPPRLAAVSSPSPAASIRAHLARAAGAGGGVESCQSPRSLLSRILQRGGDGGGGGGGGKFGCRVRLPRRYSSTSAAGAGAEAKDTASEQDAPARVKVVGRAPDLSLDTPRSSCTLDEGRNGKKKQEEEIMSMNLGLGASLVLLLSKGAVELNKMVELRAQMEALVSEIRKETQSKHKDSAAAATAAARSSSQESDGRSTTAVKDPIARAAVSDDAMSNCSGGGGGGGGRAAVVMHRMEAELQVELSRLQCGGVAAAHGEKRGAPPTMHGLEVKTTTKSNVSDSPPRSCVVDDDDDVAEGGNGGEVVEEDDDDEEDEEYDEEGEEEEEEYGGGGGDKSPPHGGVSARALERRLYELLQKRQQERIVELEAALDATQRRLHEKEREVVWWRDAAKLVTHRRDESRRFARS >ONIVA06G06260.1 pep chromosome:AWHD00000000:6:4290946:4292803:-1 gene:ONIVA06G06260 transcript:ONIVA06G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDTKDMLKNVDWKTVGGGSVTTDPSQPVVKKRLPKKIRQVPECYFLPRRSLPSALAIYGAVCAAGVGAGMLLERTVPLSGKWANDLIATSEEFSLLLCSAAKAASQK >ONIVA06G06250.1 pep chromosome:AWHD00000000:6:4282050:4284259:-1 gene:ONIVA06G06250 transcript:ONIVA06G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSRDTVAGGGGEGTQDDFFDQMLSTLPSAWADLGGGGGGAAGKSPWEVDPAAAAAASQVFDESALLASRLRHHQIGGAGGGGGEKPVMLQLSELHRQAGGGEEDGSGAFSPLPLFTDRTNVPPREEMEGGFKSPNAAAGGEHALFNGFGVHGGSGGAGQPPFGQGGSMSGQSFGGPAASGGTAPVTSSGGGGTAPPRQQRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSEGNSNGSSNGSGGKASKGGTGGEGGGGGGGGGGGGTGGGMRVTEQQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSASLLSRPSIRHAGAPPQTMLDAAGPTSPAAMSNGDDPRHAKADGGAGGTQ >ONIVA06G06240.1 pep chromosome:AWHD00000000:6:4279267:4280319:-1 gene:ONIVA06G06240 transcript:ONIVA06G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRATTTRAVVLRLDDLSLPPRRLTVPSRLPVSHLLRALPQPLLESSSFYLTADGRPLLLSAPVASLPPSGSVQLRLRALRGGGGDGGATGAESRDCYLSMYLAKKPDKADPNEARLSRFTCCALSGEPLAAPAVADRLGNLFNKEALVEALLHKRLPKALSHIRGLKDMIPIHLHPKPDADAAGEEVRFQCPVTGLDFNGKYQFLALRKCGHVLSVKALKEVKTSACLVCHKEFDEADKMPLNGTEDEVAALRLRMEEERGKVKEKKEKKVGNGLSGSKHAAAAVMAGGAEKLENGKKGEAPSLKRFKAGDHAPAYANKEVYASIFTSSKKSDFKETYSCRSLPLGRN >ONIVA06G06230.1 pep chromosome:AWHD00000000:6:4257416:4273437:-1 gene:ONIVA06G06230 transcript:ONIVA06G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSEKRPLYTLDESDDDLPPRGGGGKGRDRHSDGPTERIEREDAKEDACQKCGENDNLVPCSTCTYAFHRKCLVPRLNITSDKWSCPECVSPLTEMEKILDCEETKPDASEETSSSESGSKKKPVKRYLIKWKGISHLHCTWVSESEYLETAKIYPRLKTRLNNFHKQMDSTDKSDDDYSAIRPEWTTVDRILATRKSSTGEREYYVKWKELTYDECTWENDSDIAVFQPQIERFNEIQSRRKKSTDKCKSVTREIRQYKESPKFLSGGTLHPYQLEGLNFLRYSWYHNKRVILGDEMGLGKTIQSIAFLGSLFVDKLGPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGSAASREIIRKYEFYYPKEKPKKLKKKKSSPSNEDKKQSRIKFDVLLTSYEMINMDSTVLKTIEWECMIVDEGHRLKNKDSKLFGQLKEYHTKHRVLLTGTPVQNNLDELFMLMHFLEGDSFGSIADLQEEFKDINQDKQVEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTSKQKEYYKAILTKNYEVLTRRSGGHVSLINVVMELRKLCCHAFMTDEPEEPANSEEALRRLLESSGKMELLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKIGGAERQIRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKMVLEHLVVGRLTKGTNIVQEELDDIIRHGSKELFDDENDEAGKSCQIHYDDAAIDRLLDRDQADGEEPVEDEEEDEFLKGFKVANFEYIDEAKALAAKEEEARKKAEAEAANSDRANFWDKLLKDRYDVQKVEEHTTMGKGKRSRKQMAAADEDDITGLHDMSSEDDDYSYDDDVSDNDTSLQSGLAGRRGPYSKKKQRSNVDSLPFMEGEGRALRVYGFNQIQRTQFLQTLMRYGFQNYDWKEFTPRLKGKSVEEIQRYAELVMIHLLEDINDSGYYADGVPKEMRTDETLVRLANISLVEEKVAAMEQGKITKLFPSYLLYEFPSLVGGRVWKAEQDLLLLKALIKHGYARWQYISDDRDNGIFEAARQELRLPTANELISSHSNNETNGNLESTQEGQSNPTSMIHYRDTQRKIVEFIRKRYHLLERCLNLEYAVIKTKTPVPDDLAEQDFPGGHRPAVPDYSEMLRELPVLEPISKEVAPEGTTDQSQVSHLYNKMCFVLEDSAVPALNSHFGDKAASSGLANSLHKFEAVCEDVSRILRSHENGTTPKEEVMLDASSKETTSPKDPATEVPSSASKEATPPVQDPVIEAVKEEPPTVKAEDKMEIDS >ONIVA06G06220.1 pep chromosome:AWHD00000000:6:4253071:4256794:-1 gene:ONIVA06G06220 transcript:ONIVA06G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARRSKRPPWSRTVAVQVALCVAMYAAFSLGEPRLHRNRGRGGGGGVEASLGRGGRGGVSFLSVAGGARPAAEQARLLRQMESIAKAYKVKFVVDVAQLGEEDPLWQNIIGNFLKRVMMPYDQSLEIIGMDTGSLQEPIHDGKIRASSREQFKWLEQSIAATSSNWKIVVGYDPFFVCAEAHTLETTKLYEPLQRIFAKYGVNAYISTGGHCGYFRQDNSMLYIGNPSPDDLTSSDGFLLHIVNLLEMESLLINLEGKVVERFVVNHHRLEAL >ONIVA06G06210.1 pep chromosome:AWHD00000000:6:4251188:4252648:1 gene:ONIVA06G06210 transcript:ONIVA06G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMHARFEVSDVVGGDGSSSGGSSPASVSGPSDSDGSSCSSSSAADELFPDARELPSTSASVPVLAGVGFHPISPTSLSVLSDIDVHMQRMARLLPAFASPAAAPRAQALGRWLAGFDVGWVLEMDAGGGRLPRREVGRRVRVWAQALSTMDRVFRLRHREARNPANEAAAAQLAALGELASASAGAMLRLATAVAALGASPSALLAALDVYVPVSEAYPGLARMFSWSTAAADAALAALVDAARRCVRGLPASIRSHYPWRMPQGGEVHPCVGFWMGYFRCMLRNRVSLYLVLAGGDGGETATTPALAPGGEGGLVADLISRLEAVLEEKSGELAFPGLRQVFMLNNTHAIVRRAVRSDLAMFLPPGWARAREERMEGYVKSYLDASWAPVVSRLAAAATKPAAVSVLRRRRDPLAAFNSALENACSAQRCWKVPRPVLRHVLRRTVSEHVVPAYRRCLEAAETPAAARTVEELERQLSELFEG >ONIVA06G06200.1 pep chromosome:AWHD00000000:6:4248962:4249765:1 gene:ONIVA06G06200 transcript:ONIVA06G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNEKDCSNKSSGKAMVRRSNSKSPAASPTRLGDLPDKLLEHILLRLASPVWLAHAAATCKRWRRIVTNNEFPFHHDCPLPYPVAGHYHSRRRPDGRRGRLTFAPSSSAAALGVNARRHFSLDFLPGGCSSWEPVDSSASLLLLLAATSSTRRRVFPDLVVCEPVTRRYKLIPRMEEVKYQRCLGVFLQGCYPGNVDKWGRAYTSMSSYRVTCVVYMEYNGVCDGTGTVRACVFDQNGSNRWKRRPARWYMAKPSWYMAKCGVAAP >ONIVA06G06190.1 pep chromosome:AWHD00000000:6:4243504:4243853:-1 gene:ONIVA06G06190 transcript:ONIVA06G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLEAICSVFTIANTLHVEGNMASFSHRNLDAKRHIRVMLSRILQSLVVSMLKLKNTYTSGHFDDTIMEKAHA >ONIVA06G06170.1 pep chromosome:AWHD00000000:6:4233969:4241828:-1 gene:ONIVA06G06170 transcript:ONIVA06G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVEEVSKWRRRCCYFWILFPLAVIATCMTITVVTFCSTTMYMTEVMGEATKGAMDSALMHIAGNMRPLLEANRSVFTIANTLHVQGNMASFSHVGPKLFLAFSMQPLLAQISYAAVDGAAFAYYRAGGGDGEARAMFARPNGTWFTQAVDPATGRPVGNATAAAPHQQLPPNVTRLLLDGGGGGASLADGWARPGVRMLFLSAPVGGGGGAVSAAVAVDDVVLRGAAGLRQLRDLGMYYAVAGNGGATAAPPAPEPAAYRSLLGDGAAAEEMALFSSVKCTASAIDAPPKLDVHGVKSDKYRFACTNFDISGVQMGFRVVLRKSAMVGVFRRGGVTMVAVACAAAAAATVACVLMARALRRAVAREAALGADLARHRDALRQAERKSMNKSNAFASASHDIRSALAAVAGLVEVSRPEANPNIVDNLNQMELCTNKLLDILNSILDTTKVESGKVQLEEVEFNMADVLEESVDMANVVGINKGIEVIWDPCDFSVMKCDNIIGDSKRFKQILDNLLGNAMKFTQEGHVILRAWANRPIARGSIGAPSRFAYRSLENNFFSFFFGAKEDRVSQNSFNPLQNDPNSVEFYFEVVDTGIGIPKEKRESVFENYVQVKEGHGGTGLGLGIVQSFVRLMGGEISIKEKEPGERGTCFGFNVLLKTSGNQAAQEDIEEGPSTVSELDIRASVFRETNCFKGWHCILFVHGDETRRVLQAWMESIGMKVWMVPGVESISSTLEKARSSRDDCDVDRCFSSKEMVSQVLPTTLRNNNIMARNLGEHHPLGMLLIVDVSNGQLENIQRQARDFTQMRSQVPCKFVCLTDLRTSYKDFRRFEEMSCDLILRKPVHGSRLYSLLMTLRDVQSSPMHRSSLVGHENYVTRHQDSENIVALAEVGRLDQGLKTEEDRPLDGMHVLLVEDTLVLQTIQRKMLNQLGAIVELAGDGAKAVDMFRDAIERASVSEEHSVPLPYDVIFMDCQMPRMDGYEATRRIREEESRYGIRTPIIALTAHSMEDDLQKAIDVGMDLHMTKPIERRRIVEAVHGVCKGKN >ONIVA06G06160.1 pep chromosome:AWHD00000000:6:4228346:4234118:1 gene:ONIVA06G06160 transcript:ONIVA06G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLT7] MLLGALRMEEKKGLMGRERDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTSTNQAITALKLLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFGNRERNNLDFSKECNKPQSADTDHGPYQPTCGSSDQNGRSSRKRKELHGEDDDEGDDNDYQENDEPSAAKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLGAVASQQASIVAAFGGRDPSFLHMGAFEGLQSYQPFAPSAALPSFNPHGLLTRTSAAAAFGLQELAAPSSTIQTATGNVTVGHCLEENQQANLAQGLTAAIGQPQLQQNWIHQEGNGLSDVFSGSSLTNTLSSTLQRVPSSSLPPQELLECKQAKVSMPPSIRIPPSSSALLERTLGVSTNLGDSSISQQGALPIDGGFSADRLPLHSSFDGAVATKLDTSLAASQREIGQQGKFSVSMLVSPSDNLALAKNAKTGASSSGSTIILPLDTARHSDYLQFGGASNSLQKMDGQKQDHIQSSNIIWSSMPSTQLPSDTQIHNTQNQRLDSGSFNHNIGAHLADQTNASASILPQMKFDTRISEEKMKQKNTYDLGSSKLQGGFNSSGCNFDGLLNSIIKVEKDDLPFMDNELGCDLFPLGACI >ONIVA06G06150.1 pep chromosome:AWHD00000000:6:4225083:4225604:-1 gene:ONIVA06G06150 transcript:ONIVA06G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLPFPSSRPPLSLSLSRGDAGAGSGQRHEREQRARLAASGDRRGWRRAVVRGADGRWAVVAEVGMMETAVVAMVETGVGRFQGPSMAALLVLILRLRSRSEEVISSQISTYYSGDDSDSDGTDDDWEEEEGSEASSSSEEKGRRWERRIGFYEGAADNDGCFPWGGAVVQT >ONIVA06G06140.1 pep chromosome:AWHD00000000:6:4197759:4222290:-1 gene:ONIVA06G06140 transcript:ONIVA06G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPEKIRRLPELKKKGRSTNRKARAPLPSRLKGGDTSRHHQNTVEQRQNNRSCRAASDPVDREIVNYTVEDHAPVAQLGRSINTLTRTRAATSTDEGEGNDTTVAAVAEADEVGGDSCSGQGQRQRHTEATSTVDKDEGSGGRSGQRRQRRRTRTCATMAARPWTWTLRRRQRRVARRFQQTAANKLKVTLIENCLPKRLFCGRKLGSSRIRVQPFEYPPPHSGP >ONIVA06G06130.1 pep chromosome:AWHD00000000:6:4197144:4204863:1 gene:ONIVA06G06130 transcript:ONIVA06G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DA1 [Source:Projected from Arabidopsis thaliana (AT1G19270) UniProtKB/Swiss-Prot;Acc:P0C7Q8] MGWLTKFFRGSTHKISEGQYHSKPAEETIWNGPSNSAVVTDVPSEFDNEDIARAISLSLLEEEQRKAKAIEKDMHLEEDEQLARAIQESLNVESPPRARENGNANGGNMYQPLPFMFSSGFRTCAGCHSEIGHGRFLSCMGAVWHPECFRCHACNQPIYDYEFSMSGNHPYHKTCYKERFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTSECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKTGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKVMEMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDVEEGICQVLAHMWIESEIIAGSGSNGASTSSSSSASTSSKKGGRSQFERKLGDFFKHQIESDTSMAYGDGFRAGNRAVLQYGLKRTLEHIRLTGTFPF >ONIVA06G06130.2 pep chromosome:AWHD00000000:6:4199158:4204863:1 gene:ONIVA06G06130 transcript:ONIVA06G06130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DA1 [Source:Projected from Arabidopsis thaliana (AT1G19270) UniProtKB/Swiss-Prot;Acc:P0C7Q8] MHLEEDEQLARAIQESLNVESPPRARENGNANGGNMYQPLPFMFSSGFRTCAGCHSEIGHGRFLSCMGAVWHPECFRCHACNQPIYDYEFSMSGNHPYHKTCYKERFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTSECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKTGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKVMEMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDVEEGICQVLAHMWIESEIIAGSGSNGASTSSSSSASTSSKKGGRSQFERKLGDFFKHQIESDTSMAYGDGFRAGNRAVLQYGLKRTLEHIRLTGTFPF >ONIVA06G06120.1 pep chromosome:AWHD00000000:6:4192216:4196185:1 gene:ONIVA06G06120 transcript:ONIVA06G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAKRIYDAATNAPKEHAVILLAHNGPTGLGSRMEDICGRDWVAGGGDHGDPDLEQAISDLQRETGVSIPLVVFGHMHKSLAYGRGLRKMIAFGANRTIYLNGAVVPRVNHAQSSRQPAISTSEKTGLEGLTGLMVPTSRAFTIVDLFEGAVEKISEVWVTVGDARTELEQELVLYKQPREHI >ONIVA06G06110.1 pep chromosome:AWHD00000000:6:4170990:4187623:-1 gene:ONIVA06G06110 transcript:ONIVA06G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:callose synthase 5 [Source:Projected from Arabidopsis thaliana (AT2G13680) TAIR;Acc:AT2G13680] MTGPRGGRGEDPPRTSSGAAASASASSGVPNEPLTPTSMLMSGQQAGLNRRGSRSAAMATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSVGRGVRQFKTALLQRLEKDNSPSLAKRVKKTDACEIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIVRLHRDVQEKKDIYTPFNILPLDAASASQSIMQMEEIKAAVAALRNTRGLTWPSTFEPERQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILLLANLDDRAVDEVMAKLFSNYRKWCNFLSRKHSLRSPQGAQPQEIQQRNILFLGLYLLIWGEAANIRFMPECLCYIFHNMAYELNGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIKKESGKSKHGKTPHSAWCNYDDLNEYFWTTDCFSLGWPMRDDGDFFKSVHDSRPVTTAGSSSQKGSTKSTGKMNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYTLSQILQKDLLYSLSSIFVTAAFLQFLQSILDFVLNFPGHHKCKFLDAMRNILKIIASAAWAVILPFFYISTASKVNLPIKDLDKWFQYVKGVPPLYILAVAVYLIPNILSAALFLLPCFRRWIENSDWRIVRLLLWWSQIKPLIKPTKDIMNVHNIHYEWHEFFPNASYNVGAVMSLWAPVLLVYLMDTQIWYAIFSTISGGVSGALGRLGEIRTLGMLRSRFHSLPGAFNTFLVPSDKRRNRRFSLSKRFAEVSPSKRTEAAKFAQLWNEVICSFREEDLISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLLVIGENEKRIIGIIIKDIEANIAKNTFLANFRMSALPVLCKKFVELVSALKERDASKFDNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKDSVPRRQLFAGTGTKPAIVFPPPISAQWDEQIKRLYLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRIRKMLSFSVMTPYYSEETVYSRNDLDLENEDGVSIIFYLQKIFPDEWNNFLERIGCQRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYRRALKLQAFLDMASESGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGEKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFHENHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLELAIMKQARMRGNTALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYKATGRGFVVRHVKFPENYRMYSRSHFVKGLELMLLLVVYQMYGDVATDSTAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWSKWISSRGGIGVPANKAWESWWEEEQEHLQSTGFFGRLSEIILSLRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSIGTLAILFTLLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGILIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQS >ONIVA06G06110.2 pep chromosome:AWHD00000000:6:4170990:4187623:-1 gene:ONIVA06G06110 transcript:ONIVA06G06110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:callose synthase 5 [Source:Projected from Arabidopsis thaliana (AT2G13680) TAIR;Acc:AT2G13680] MTGPRGGRGEDPPRTSSGAAASASASSGVPNEPLTPTSMLMSGQQAGLNRRGSRSAAMATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSVGRGVRQFKTALLQRLEKDNSPSLAKRVKKTDACEIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIVRLHRDVQEKKDIYTPFNILPLDAASASQSIMQMEEIKAAVAALRNTRGLTWPSTFEPERQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILLLANLDDRAVDEVMAKLFSNYRKWCNFLSRKHSLRSPQGAQPQEIQQRNILFLGLYLLIWGEAANIRFMPECLCYIFHNMAYELNGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIKKESGKSKHGKTPHSAWCNYDDLNEYFWTTDCFSLGWPMRDDGDFFKSVHDSRPVTTAGSSSQKGSTKSTGKMNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYTLSQILQKDLLYSLSSIFVTAAFLQFLQSILDFVLNFPGHHKCKFLDAMRNILKIIASAAWAVILPFFYISTASKVNLPIKDLDKWFQYVKGVPPLYILAVAVYLIPNILSAALFLLPCFRRWIENSDWRIVRLLLWWSQIKPLIKPTKDIMNVHNIHYEWHEFFPNASYNVGAVMSLWAPVLLVYLMDTQIWYAIFSTISGGVSGALGRLGEVSPSKRTEAAKFAQLWNEVICSFREEDLISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLLVIGENEKRIIGIIIKDIEANIAKNTFLANFRMSALPVLCKKFVELVSALKERDASKFDNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKDSVPRRQLFAGTGTKPAIVFPPPISAQWDEQIKRLYLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRIRKMLSFSVMTPYYSEETVYSRNDLDLENEDGVSIIFYLQKIFPDEWNNFLERIGCQRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYRRALKLQAFLDMASESGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGEKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFHENHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLELAIMKQARMRGNTALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYKATGRGFVVRHVKFPENYRMYSRSHFVKGLELMLLLVVYQMYGDVATDSTAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWSKWISSRGGIGVPANKAWESWWEEEQEHLQSTGFFGRLSEIILSLRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSIGTLAILFTLLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGILIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQS >ONIVA06G06110.3 pep chromosome:AWHD00000000:6:4170990:4187623:-1 gene:ONIVA06G06110 transcript:ONIVA06G06110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:callose synthase 5 [Source:Projected from Arabidopsis thaliana (AT2G13680) TAIR;Acc:AT2G13680] MTGPRGGRGEDPPRTSSGAAASASASSGVPNEPLTPTSMLMSGQQAGLNRRGSRSAAMATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSVGRGVRQFKTALLQRLEKDNSPSLAKRVKKTDACEIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIVRLHRDVQEKKDIYTPFNILPLDAASASQSIMQMEEIKAAVAALRNTRGLTWPSTFEPERQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILLLANLDDRAVDEVMAKLFSNYRKWCNFLSRKHSLRSPQGAQPQEIQQRNILFLGLYLLIWGEAANIRFMPECLCYIFHNMAYELNGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIKKESGKSKHGKTPHSAWCNYDDLNEYFWTTDCFSLGWPMRDDGDFFKSVHDSRPVTTAGSSSQKGSTKSTGKMNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYTLSQILQKDLLYSLSSIFVTAAFLQFLQSILDFVLNFPGHHKCKFLDAMRNILKIIASAAWAVILPFFYISTASKVNLPIKDLDKWFQYVKGVPPLYILAVAVYLIPNILSAALFLLPCFRRWIENSDWRIVRLLLWWSQIKPLIKPTKDIMNVHNIHYEWHEFFPNASYNVGAVMSLWAPVLLVYLMDTQIWYAIFSTISGGVSGALGRLGEVSPSKRTEAAKFAQLWNEVICSFREEDLISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLLVIGENEKRIIGIIIKDIEANIAKNTFLANFRMSALPVLCKKFVELVSALKERDASKFDNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKDSVPRRQLFAGTGTKPAIVFPPPISAQWDEQIKRLYLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRIRKMLSFSVMTPYYSEETVYSRNDLDLENEDGVSIIFYLQKIFPDEWNNFLERIGCQRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYRRALKLQAFLDMASESGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGEKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFHENHGVRQPTILGVREHIFTGRVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLELAIMKQARMRGNTALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYKATGRGFVVRHVKFPENYRMYSRSHFVKGLELMLLLVVYQMYGDVATDSTAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWSKWISSRGGIGVPANKAWESWWEEEQEHLQSTGFFGRLSEIILSLRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSIGTLAILFTLLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGILIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQS >ONIVA06G06100.1 pep chromosome:AWHD00000000:6:4166321:4167217:-1 gene:ONIVA06G06100 transcript:ONIVA06G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSSSSSPSPAPAPAPALALASSSSTASTPRAPRQQLPRGASSSSSSSPVYLNVYDVTPANGYARWLGLGVYHSGVQGMYALLSSPSILYAYGAHDGAGSGIFEVAPRRCPGYAFREAILVGTTELTRAEVRAVMADLAADFPGDAYNLVSRNCNHFCDAACRRLVRARIPRWVNRLAKIGVVFTCVIPGNGAAVRRKGDPQATATAPGGKASIRSRSARQGADAAAPPRPKTFFRSLSVGGGGGGGKNVTPRPLSTSPSPTPPAPPAFTTAT >ONIVA06G06090.1 pep chromosome:AWHD00000000:6:4154877:4155929:1 gene:ONIVA06G06090 transcript:ONIVA06G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLACFAARGGGGAGASSSSSPAPAASATSVYWTHLGTVTLTWSRGQLGLVLAAELHLAGEGAAPALRFLLRPLLPWRRRGCKRFAGGGHAVAFTWDMSRARLAGRRPEPVARYSLHVCVDGELVLAAGDLALLAPSAGFLLTRRENAVAAGGGEAYATTVAVAGGRHEVSIAVEDAVMWVAIDGEKALQVRRLRWKFRGSERLDLPRGRVRVSWDLHGWLFAADAAAVFVLRFETADVADTSKIDMEHDAGMLALRQSSFNRKHHHHGGGGGAAESWCSSDSDRRGWRRGPFRSGSDSSPAVSVASTSAASSAGSMATVADWATAEEAAMNDGGGFSLVVHLWKTKKRR >ONIVA06G06080.1 pep chromosome:AWHD00000000:6:4144367:4145345:1 gene:ONIVA06G06080 transcript:ONIVA06G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFPHDVADEAAPPPPPHSAAAASSSFLSAALVAKLHRFNLASVQAAQRGNSNDDDSTTSSSAAASSRAVIPSLPAAAGALGNAAATAEWSGGFLEEQYVDQMIEELLDSNFSMEISC >ONIVA06G06070.1 pep chromosome:AWHD00000000:6:4134214:4137688:-1 gene:ONIVA06G06070 transcript:ONIVA06G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTSPSHKTFQIKKKLAKKMRQNRPIPYWIRMRTDNTISAGTGAAPSSGSELGEERRTRCRTPLPWEEEEEGEARAYGLRRVRFEYCC >ONIVA06G06060.1 pep chromosome:AWHD00000000:6:4131109:4134121:-1 gene:ONIVA06G06060 transcript:ONIVA06G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLS4] MASSLSLDDINDDSVDLSKAPVAEVFQKLKCDRKGLTGAEGESRLRLYGPNKLEEKKESKLLKFLGFMWNPLSWVMEIAAIMAIVLANGGGRPPDWQDFVGIVSLLIINSTISYIEEANAGDAAAALMAGLAPKTKLLRDGRWEEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVNKHPGQEVFSGSTVKQGEIEAVVIATGVRTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIISIGAGMAVEVLVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVCSKGVDKDMVLLYAARASRVENQDAIDTCIVNMLDDPKEARAGIQEVHFLPFNPVDKRTAITYIDGNGDWHRVSKGAPEQIIELCNMAADAEKKVHALIDSYADRGLRSLGVSYQQVPEKSKDSGGDPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSTTLLGDKNSQVNGLPIDELIERADGFAGVFPEHKYEIVKRLQEMSHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLIAIIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDCWKLNEIFLTGVVLGTYMALVTVLFFYLAHDTNFFTDVFGVTSIRESERELMAALYLQVSIISQALIFVTRSRSWSFVERPGFLLLFAFFAAQMVATAIAVYARWDFCRIQGIGWRWGGAVWQFSVVTYLPLDVLKFIIRYALTGGKAGDSAQKKASSPPPTSQP >ONIVA06G06050.1 pep chromosome:AWHD00000000:6:4124379:4127263:1 gene:ONIVA06G06050 transcript:ONIVA06G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT2G22650) TAIR;Acc:AT2G22650] MPPPPSSLPPRVLPNLVSCSRRAVTSAATAAAAAYSTAVAAGGGGGGGRPLRYAVLGAGFAGLSVAWHLLKHSPKGSRVRVDIYDESGIGGGASGVSGGLLHPFYGRVLNSGKSVWICCVARSKRMEPLGQMELAKMRPLSGEGNSLGIIRPPTSEKTADILLESSLQSCSLQVLDSDEAQCLIPGLCVPLNFAVYMPLALNINPKKYLQVALFFACQNMSDEASLSSSEQKECKLYNEHVDDLQQLAGDYDSVIICLGARASSLPELTNKLPLRTCRGVIAEFQLPSDTIETYGSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENYSSSVSDDEALNAMEELLPKASAVYPGITKWKFVQARAGIRAMPPLTANGSLPLLGCLNDVIGKRSNCSFWLVGGLGARGLLFHGLAGKLTAKAVISCDENLIPPEFTCWKEP >ONIVA06G06050.2 pep chromosome:AWHD00000000:6:4124379:4127263:1 gene:ONIVA06G06050 transcript:ONIVA06G06050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT2G22650) TAIR;Acc:AT2G22650] MPPPPSSLPPRVLPNLVSCSRRAVTSAATAAAAAYSTAVAAGGGGGGGRPLRYAVLGAGFAGLSVAWHLLKHSPKGSRVRVDIYDESGIGGGASGVSGGLLHPFYGRVLNSGKSVWICCVARSKRMEPLGQMELAKMRPLSGEGNSLGIIRPPTSEKTADILLESCSLQVLDSDEAQCLIPGLCVPLNFAVYMPLALNINPKKYLQVALFFACQNMSDEASLSSSEQKECKLYNEHVDDLQQLAGDYDSVIICLGARASSLPELTNKLPLRTCRGVIAEFQLPSDTIETYGSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENYSSSVSDDEALNAMEELLPKASAVYPGITKWKFVQARAGIRAMPPLTANGSLPLLGCLNDVIGKRSNCSFWLVGGLGARGLLFHGLAGKLTAKAVISCDENLIPPEFTCWKEP >ONIVA06G06050.3 pep chromosome:AWHD00000000:6:4124379:4127263:1 gene:ONIVA06G06050 transcript:ONIVA06G06050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT2G22650) TAIR;Acc:AT2G22650] MPPPPSSLPPRVLPNLVSCSRRAVTSAATAAAAAYSTAVAAGGGGGGGRPLRYAVLGAGFAGLSVAWHLLKHSPKGSRVRVDIYDESGIGGGASGVSGGLLHPGIIRPPTSEKTADILLESSLQSCSLQVLDSDEAQCLIPGLCVPLNFAVYMPLALNINPKKYLQVALFFACQNMSDEASLSSSEQKECKLYNEHVDDLQQLAGDYDSVIICLGARASSLPELTNKLPLRTCRGVIAEFQLPSDTIETYGSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENYSSSVSDDEALNAMEELLPKASAVYPGITKWKFVQARAGIRAMPPLTANGSLPLLGCLNDVIGKRSNCSFWLVGGLGARGLLFHGLAGKLTAKAVISCDENLIPPEFTCWKEP >ONIVA06G06050.4 pep chromosome:AWHD00000000:6:4124379:4127409:1 gene:ONIVA06G06050 transcript:ONIVA06G06050.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT2G22650) TAIR;Acc:AT2G22650] MPPPPSSLPPRVLPNLVSCSRRAVTSAATAAAAAYSTAVAAGGGGGGGRPLRYAVLGAGFAGLSVAWHLLKHSPKGSRVRVDIYDESGIGGGASGVSGGLLHPGIIRPPTSEKTADILLESSLQSCSLQVLDSDEAQCLIPGLCVPLNFAVYMPLALNINPKKYLQVALFFACQNMSDEASLSSSEQKECKLYNEHVDDLQQLAGDYDSVIICLGARASSLPELTNKLPLRTCRGVIAEFQLPSDTIETYGSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENYSSSVSDDEALNAMEELLPKASAVYPGITKWKFVQARAGIRAMPPLTANGSLPLLGCLNDVIGKRSNCSFWLVGGLGARGLLFHGLAGKLTAKAVISCDENLIPPEFTCWKEP >ONIVA06G06040.1 pep chromosome:AWHD00000000:6:4120391:4123959:1 gene:ONIVA06G06040 transcript:ONIVA06G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLMPEDASLLLDHVVGDPSVPAAAANAALAALPFPSRPTPRLLRASLLRRLAADPVSAAALDSLQLLASLPSSPSPAAPAAAAAAHLAVAAYLAVSAPDFDAAAGALFGRPGGRARRAVEEGGAAALASDEADAVADQFEAAVGNSFSQTVLRGLWGDRAAAEERVRELLAVEWAAIGQSRLEMAAERIVGDGAIETWRAADEVTRAKYRLLAGEQRAREIEGKLGETIPQGNQISTPEVHKVMDALKSSCANLHSVVEDPLPAAKAAADEVLAARMDKAVDLNAGEVSNQPTACDIAGPSAPADNLDAPRKGTAASLMDWNPTARTFQWEDSPDPDGSCSPIHRPQLPSPRRTTFSPLQPADNKAKRRKARKWCALEEETLRKGVEQYGNGNWKDILTNNPDVFIGRKAMDLKDKWRNMMR >ONIVA06G06030.1 pep chromosome:AWHD00000000:6:4112980:4118281:1 gene:ONIVA06G06030 transcript:ONIVA06G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRGVGMGLGDPSSPSARAGAAAEEEAGAGKVKLLCSFGGRIAPRQGDGALRYVGGQMRLISVPRVASFGELMRKVEAVDDAGGAGGGGGGGVLVKYQLPGEDLDSLISVSCTEDYENMMEEYEKLAAAAPDGSAKLRVFLFPASGSEAAAAGASGSGSHLAAAVDESGQRYIDAINCVSAESVAAMRRKESVASAGSSAHNSEASEHGGAVEGMSPQAAVPPPSLPPEYLYSGGNKYHGAFPDSLGFSAVTASSPAMGIQAQNHIMVRTEPLPPQPHQVASYAPSHQPPQVASYAPHQQPQVASYAPQQQQQPQVASYIPQMAQSFREPQQVQYINAQQLGVHGVPQSVNFVPVQMSPYMPSIPVTNSMPTSAAQVGTMKPASPASEPVLENVHNTRPMQATGDQSYRVLQPLSQLPPLPPVHLQTSDAHRYGVQTVMTSSASTPLVTTSGTIPMVISSATMPALRYDDCTMCQKALPHAHSDNMIQEQGTPHGVNNPDAAPVFYSLHQENVTKQHIPGATAGTPANYIVEPRSEVTAGMMQTEQNFAANNHVLQPTSFPDASGLVPNTRVASRLAFAGNPPQPRTEDPVMYQHQQQNSYSMQPSLIPVNGVISNPQGIDASAFKNSNNQVPDPFREYGHDLPHDYVRAINAQMQGVHLGPIAPPESSVQGKPASPHGAIGDGKLEKPSHVNIGGGSIYKSQAGGYHLGITNAFSAPAEDNLVRHTEQSSSAFDSQHLHSEIGHQLNVLQNVPVSNNLGVPAKPHISNERFLARPASAGVQVPVEHSPLRPAEMLNHVVSAPPNGNSQIPLQATAGIDSVEATRDPAYTDSLFSNQDPWNAVGNASVAPPRLNKLAKEPAVSGDPYVEGHGLAINSSNAATLLEEGNLPLIQDRTFKDIYPEPSQMSKGYGEETIKRQLQAVAEGVAASVLQSPLPEKPTVFSGDHTDKQGAVIDPKLEDAVNNQSDKTSQGVKVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRTDFWNEADKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKIFDRRRRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPQHPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPESCDPRWRSLMEQCWSSEPSERPSFTEVGKRLRAMATPSTKAQPQK >ONIVA06G06020.1 pep chromosome:AWHD00000000:6:4108394:4110192:-1 gene:ONIVA06G06020 transcript:ONIVA06G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDKAFGAHGRDASFGSWWKKCFGAKARAEERARVRAGQADPAQAILGNLETWCKRGQVSKYQKWETVARCTIWKKAASSA >ONIVA06G06010.1 pep chromosome:AWHD00000000:6:4100675:4101546:-1 gene:ONIVA06G06010 transcript:ONIVA06G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15580) TAIR;Acc:AT2G15580] MVIMAAMLPGVECARRRRLRQGGGAGADAAAAGGGTRRSSFCVHAAGHGGGQTCGGAAANHSGKQRSSVMELIHGWSLDSNAREAKERLDQKLRSQRESVIKRHHSTGSIKLNRGATGGGGGGGRSTATAAMGVQREVYSRKGVMRRLMRWSRLRWDAAEQAECAVCLDEFAAGDVLAHLPCDHRFHWACALPWLEAGAAPRSCPFCRAAVDTPPPPPPPACSS >ONIVA06G06000.1 pep chromosome:AWHD00000000:6:4096817:4097167:-1 gene:ONIVA06G06000 transcript:ONIVA06G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRKLTAGFNAPSWRYRHCRLDQATCVRMGRLVASPSSPSSNRSNLTDAVLRCSSSRCIALRRRRLPLVRGPASAIASHTSRNVASPTAGRASASVGLHRRRPPLRAPSSYLYLA >ONIVA06G05990.1 pep chromosome:AWHD00000000:6:4095967:4096473:-1 gene:ONIVA06G05990 transcript:ONIVA06G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLVALFLLSSYTVVLVRSSRPIADNEVDQTNWSAAEVATATVKADGGHRRGVVARYLVAERSIGGMVASREPATMVRRSPWKPPSPIGHVPVAWEKGKPPCLGVGCSQIKG >ONIVA06G05980.1 pep chromosome:AWHD00000000:6:4091577:4092230:-1 gene:ONIVA06G05980 transcript:ONIVA06G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLVAFLLLSLYAVLSVCSSRPIGGVEVIWSTGAATTTMKADGGQSHCRRGGGTSVVVRYLVARRTVMGMEVPSRESTMMRRLPDREPARRPRMPPSPMPNKPVSSGMPPSLGDGCGCVFAAPFACQALVASEIL >ONIVA06G05970.1 pep chromosome:AWHD00000000:6:4089895:4090432:-1 gene:ONIVA06G05970 transcript:ONIVA06G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPILVAFLLLALYAVVSVDSSRPLEGGVETIWTAAAADQGDGGVAPPPRHHCKGGSGGGAAAVARSMIAVMPRRQPVRAPPSPKPSMAMTSYMPPCSGGVPGCRTPRMG >ONIVA06G05960.1 pep chromosome:AWHD00000000:6:4085798:4086148:-1 gene:ONIVA06G05960 transcript:ONIVA06G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRMQLAWLLVATLLLASSACSWSRPLAAGSDGVGGEKLVSAARRSLGSRTPPAPPAPLPNKTKSYVMPVPGSPPAV >ONIVA06G05950.1 pep chromosome:AWHD00000000:6:4083663:4084035:-1 gene:ONIVA06G05950 transcript:ONIVA06G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRALLAWLLVAALLMAGSLTCSSSSSSRLLGDADGGDSKAWAGAGDVVREYHGGGEKAAATARRSLGLRATKPMPPAPIPNRMKANAMPVSPPARIG >ONIVA06G05940.1 pep chromosome:AWHD00000000:6:4080353:4080825:-1 gene:ONIVA06G05940 transcript:ONIVA06G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRPLLSLAWLLVAALFLLQSSPTSSSSSPPPLPPPPSGAAAGEDNGGGSAIWAGVVAADTGGGGGEKAAQRRSLRRVDLHRTPPPPTSNDGGIESISPPPPPEQDGQFFSSTGYPTRPPPAS >ONIVA06G05930.1 pep chromosome:AWHD00000000:6:4074505:4077408:-1 gene:ONIVA06G05930 transcript:ONIVA06G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCRCSRGALPLLLISLSAAYLTYTALLSSRSLLPLPTASFPGATASRRLASGRPTAAAAFHTAVTASGSLYNTWQCRVMYYWFKRAREAGGGGGAEMGGFTRILHSGKPDAFVDEIPTFVADPLPAGTDQGYVVLNRPWAFVQWLQKADIQEEYILMAEPDHLIVKPIPNLSRDGRSAAFPFFYIEPKKYENVLRKFFPEHEGPITKIDPIGNSPVIARKESLARIAPTWMNISIAMKKDPETDKAFGWVLEMYAYAVASALHGVGNILHKEFMIQPPWDLEIGDAFIIHYTYGCDYDMKGKLTYGKIGEWRFDKRSYDSKPPPRNLPLPPNGVPQSVVTLVKMVNEATANIPNWDSYAAA >ONIVA06G05920.1 pep chromosome:AWHD00000000:6:4070309:4072645:-1 gene:ONIVA06G05920 transcript:ONIVA06G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G13100) TAIR;Acc:AT2G13100] MEAAAPASHAAAARGRLRSRQYAVLGLTFAAYASFHASRKPPSIVKAVLSADWAPFSGPRGPHRLGELDVAFLSAYAAAMFAAGHLADRADLRRLLAAAMLASGATSAALGAAYFLGVHSLAFFLAAQVASGVVQSAGWPCVVAVVGNWFGHASSRGTIMGVWNSHTSVGNIAGSVLSAAVLEFGWGWSFLVPAFVIAALGVVVLVFLIAHPMDAGLDIEAIEVEMNGGSGEEVELLGEDKKEDEDVLEVEAVAELPRAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRHNAVAGQFLSHKASGILSVVFDIGGVLGGISAGLLSDKIGARAVTSALFLFLSIPALILYRTYGSISMHHNIGLMFLAGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIVSISLALVFLIRLAKDEIVSKISARH >ONIVA06G05910.1 pep chromosome:AWHD00000000:6:4059310:4065614:-1 gene:ONIVA06G05910 transcript:ONIVA06G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGTQPTSLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHVERPSKEKYIREIFYSISASRPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNLAYFKDDSSAGAWDFSAWIRTYALYLEERLECFRVLKYDVETDPPKTRDLETGDLLDHLPALQQLLFRLLACQPQGASSYNVIIQHALSMVALESVKIYTAISDGTINLVDKFFEMQRSDAVRALDIYKRATNQAERLSEFYEVCKTIHIGRGEKFLKIEQPPASFLVTMEEYVTEAPTVAQKDKVLAIEYKKEAEEEEKPASPPPAPEPEPEQEPEPEPEPVKEEAPKEEPTDLLGLNEPNPAAAEIEEKNALALAIVPIDDVPKVAPAQNGVTGWELALVTTPSSNETAITSSKKLAGGLDLLTLDSLYDDANRRASQPTSYNPWDVNPGVAGAGAAPMMQQPMMHDPFYASSGYAAPHNVQMAAMAQQQQAFMLQQQMMMAAAAAAAAAPPPQVFHHHHQQQQHLQTNPANPFGNPFAAAAAAHHPYGAAAAAGNGYTGLI >ONIVA06G05900.1 pep chromosome:AWHD00000000:6:4053469:4056349:-1 gene:ONIVA06G05900 transcript:ONIVA06G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFRGVRDDLTELGRHILDIACFLHPLLGPAHLTVDSPPATPTHRHHHHRRSPSPRPATPPSPSILAGILADLAEIGGSFTGGFARRAALPEPAFSSASASASATTAESPRAASSTASSPSPPPAAAAAADVADDVVGAAQALAARPEAWIDFPVLALDENSIISDIQRDHMEAIEKLVPDLASLRARLCPSYMDIDVFWKIYFTLLESNLTEHTSEVDENVPGSVHHVNEIESDSAPNVCEIESVKSTQEGYQSPDDRVLIKTRSNQSIDQWVFAKSKSEQSMDQWSEIPSDVESSRDGRRYISGEELSDADSAHIVVMDKYMDSLLSDRRSLHYASSSVRRDSVRRKPASSTDYSHRPPQPTPPASLSKKESWDVIEDSEFEILDS >ONIVA06G05890.1 pep chromosome:AWHD00000000:6:4031669:4033254:-1 gene:ONIVA06G05890 transcript:ONIVA06G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYAVVARGTVVLAEHSAAATNAGAVARQVLERLPGGGADSHVSYTQDRYVFHAKRTDGITALCMADEAAGRRIPFAFLEDIHGRFVKTYGRAALTSLAYGMNDEFSRVLSQQMDYYSNDPNADRINRMRGEISQVRTVMIDNIDKVLERGDRLDMLVDKTANMQGNTIRFKRQARRFRNTTWWRNVKLTYVIFL >ONIVA06G05880.1 pep chromosome:AWHD00000000:6:4019902:4029738:1 gene:ONIVA06G05880 transcript:ONIVA06G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEEEGRWEPTAAAAEEEEMGVEAEEAMEDGEVEGEVGQQQQEEEGNGDAGKSEEHGSAGGRMVWKKKHHHHHQPRTPVAAESRATWRGGKGGGKGRGGGGGGGNLQPDNLNRFNKPGVYGGAIIICNHMTKREFFEKKLFGLPGYAATFIKKIRVGMLLFVFELGERKLYGVFEATSNGALDILPNAFTSLRKPRPAQVLFRRIWFCKPLAETEFSSAIKGNCLYPQMSFFGISYQQVLNLVHLFASKRIELQPYQKPKSRVIYDYKISLAHLGREFSPRTHNKTFSSHSSSTFCNNRFSLPRSSYLYTKQNAKHDACKYESPLHSPLKSVIFKAPDVKGESLEPNPDYIPLELDDSKSDSDADPSDSLETVSFYPTLEGCISYEDQDLKPFNGKFNGNDGHHSHVLIRGLNSECETDRNSVFSRNVKERQSSLAKGGKGCKRKAIVEFDEQSSPRRGCTMKRVSFSFSGEEISVTSEKSLHRPTAFAELRNTRESSAEEGKQEVGCVVQKARSKGEDVSAKIKLMGLSLPEALRTNRVHSCSSNSQSLVTQTAVSLIPMNFRMGKAHSKEGSTYDGAIFLCNRLTRRECFEKKLFGLYAHCADFIQKVKVGATLFLYDTDQHKLHGIRFKRIWFCKPLMESELHDAIQNNFTSKNKLRYGLSHQQVVRLLHLFSSRNRLQPRQNQNLQDELPKESEMSSLVNQTDIQSSSNSSSHGSFKSPCQTCSSSTHGERAATLSHKLADPMPLMHRGLKPYTSGAVKSKDSSRFPLHIGANTDIVTVPVSQEAMDDRSSDDYIPLPQEENTLEGIDDLSDLLEDESYSSESQGTIDSEEHGTFHQACAIKEDECYPPAVNFKLRSDSEGRSSVFSRLLGKPRTLGPRKKSSAKAFPSMSSGHLPQRKKQWRKKQSKPFPCDRDGVLGTHQANKLRRIPALDYSFVWDDGSRSTNSFGRKPSDIQTSLELCEHGNKWDMCTKEHSRSNEFKRLFVPEAIRKLIRPYDKELSIPPVFPGVHDGNEVNSKEEVNDSSLDLKRRGKDDQDFGDENDNVEEATRKKRRLADASFSQEEYLSGGVLVPKGTEDMDMLAISDGNCKDKSICLSPRDTCAEMARACLQTKVVLQDEQQKNIQDCCEEVAGVTSLILEHSESMDLLPKRNCRNMKTCLNIETKSQVASGNLETRSSLEDTQKQSVRSCHGVINGDKILLMENFETMDVLPNHDEDCLAKSTFVRNDSNHLETEMPMQEKQFPSVQNCCEALHGDNMLIQEKSENMLYKIDADSDCGKKKSVSFDKAYSNVAGSSLETHVPMQEPLRIASSCCEIVNADQVCAGEVGKNSFSLDENGGYVTCPCNTTWALENAMAMDTVESIHGDIGNNSNNSAACRSMGSDYMEEVHQLVTNCSEISAAIPESSGTLNNFAKCCGDSANKNSLLDQTSENVSTDHQEVSMLPQDQHYHSCSGDTSSALEYSDTNAGDGDSEHRNSFDQKGGESMYPVTGVLLQAEQHQKLQGEPESSSSHEISNSGSFVVCAEDSRSKSGLSADRMVTDLETNSESRTGFFNTSSSECGENFSASASSSENAQQKLSGSAVSAEVARLQHDPGE >ONIVA06G05870.1 pep chromosome:AWHD00000000:6:4016729:4019667:1 gene:ONIVA06G05870 transcript:ONIVA06G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLDLVKTMAASGFLLRGPAAPSAVPLWGRSGRGGGGGLAFSASSSNGAAVPSSLSDSEKKGPVVMEIPLDKIRRPLMRTRANDPAKVQELMDSIRVIGLQIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRPSLED >ONIVA06G05860.1 pep chromosome:AWHD00000000:6:4008841:4009269:1 gene:ONIVA06G05860 transcript:ONIVA06G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVELGEARSVIARVSNAYNSGAGSSNSTGDTKHCRIMRELQARFPSRTMVEVIDLYINLTVETTVQLQDAGATAAAVVHPTFGLANDNLGMPVVNNNNGMVFGGAPMKEGVVAMNSGDGEVVNQDIGFCHFARAKKTQ >ONIVA06G05850.1 pep chromosome:AWHD00000000:6:4004012:4005958:-1 gene:ONIVA06G05850 transcript:ONIVA06G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSHCFAVAFALSALLAGLALAMDDATFGMEWTAAELGEARSVIARVSNAYDSGAGSSNSAGDTKHDRIMRELQARFPSRTMVQVIDLYLNLTAETAAQAGAAQPQDAGDAAVVHPTFGLANDNFGMPVANNNDDGVDAGMVFGGAPMEEGAVAVNGGDGEVVNPDNADDDVLWTDYEHRLFLTGMRVYGRGDWRNIARYFVRSKTPEQVSMYADNYFHMMEIAAAMEADGDDDDDHHENNNNNNLGGGQLHAVVGAVEHHENYNNNNLGGGQLNAVVGAVGHGPGAGHIAPATSSNNNVASAAANNNVDAPFWVPLLYNPEIEQRMMEMQAQSQKAWDDQQMKMAEAATDPKEGAADK >ONIVA06G05840.1 pep chromosome:AWHD00000000:6:3994557:3995309:1 gene:ONIVA06G05840 transcript:ONIVA06G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLP9] MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGVLAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILLNTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYSGWKAAAVGANSQAAQSMLKQDYRDGMTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEAMGKLLAKAGLSQPAPEA >ONIVA06G05830.1 pep chromosome:AWHD00000000:6:3990409:3992871:1 gene:ONIVA06G05830 transcript:ONIVA06G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPVRMKAVVYALSPFQQKVMPGLWKDITTKIHHKVSENWISATLLLAPIVGTYEYAMYYKEQEKLSHRY >ONIVA06G05820.1 pep chromosome:AWHD00000000:6:3985335:3989942:1 gene:ONIVA06G05820 transcript:ONIVA06G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cystathionine beta-lyase [Source:Projected from Arabidopsis thaliana (AT3G57050) TAIR;Acc:AT3G57050] MSAAAAAAAIPTSLGRLFHLRPTPNPSRNLSGSSAQPLLRLSYHPRLTLSRRMEAPAAIADSHGGGDLSASAVGAEALGAVAAPDFDVEMKEPSVATILTSFENSFDGFGSMSTPLYQTATFKQPSATDNGPYDYTRSGNPTRDVLQSLMGKLEKADQAFCFTSGMAALAAVTHLLKSGQEIVAGEDIYGGSDRLLSQVAPRHGIVVKRIDTTKISEVTSAIGPLTKLVWLESPTNPRLQITDIKKIAEIAHYHGALVLVDNSIMSPVLSRPLELGADIVMHSATKFIAGHSDLMAGILAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHPRVKKVNYAGLPDHPGRSLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFNVTVSFGSVKSLISLPCFMSHASIPSAVREERGLTDDLVRISIGIEDADDLIADLDHALRSGPA >ONIVA06G05810.1 pep chromosome:AWHD00000000:6:3953547:3956075:1 gene:ONIVA06G05810 transcript:ONIVA06G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPWRLPNIVQELAAGVQEPPSRYLQDLAGGDQLAGAEIPEPIPTIDLGRLSGSDGADEAAKLRDILHEYTLKIKTVKNDILLALAKLLELDEDCLLNQFSDRAITTARFNYYSPCPRPDLVLGLKPHSDLCALTVLLTDKEVGGLQVLRDGTWYSVPAVRDYSLLINIGVTLEIMTNGTFRAPLHRVVTNAERERMSVAMFYAVDGEKEIEPVAELLGLKQQSARYRGIKGKDLLIGHYEHFSRGGRVVDSLKI >ONIVA06G05800.1 pep chromosome:AWHD00000000:6:3949195:3951776:1 gene:ONIVA06G05800 transcript:ONIVA06G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRLSRYHRSCKSLLPMCLGHQASTWSTSKTALPSPAPTCLSPSLSSTSAGCLPPPPPTTTAAVGHGIEPSFLSEVMKVTRGFYELPLEEKQKYSNLANGNEFKHEGYGNDMVVSEKQILDWCDRLYLLVEPESRRDHSLWPTQPPSFRDVLHEYTVRCREITSLVLARLARLLGLREGYFVDMFDEDATTYARFNYYPRCLRPEDVLGLKPHSDGSVITVVSVDDTVSGLQVLRQGVWYDVPVVPNALLINMGDGMEIMSNGLLKSPVHRVVTNAERERVSVVMFYALDPEKELEPAPEMVDDEKRPRQYAKMKIKDYLSGFYETFARGTRVIDTVKMSE >ONIVA06G05790.1 pep chromosome:AWHD00000000:6:3942123:3944062:1 gene:ONIVA06G05790 transcript:ONIVA06G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHHWNIVKIPPIVQELAAGVHEPPSQYMVGEKDRPAIAGSDMPEPIPVVDLSRLFASNGYGNDMVVSEKQILNWCDRDVLREYTVRCREITSLVLKKLAKLLGLSEGYLVDMFDEKAMTYARFNYYPRCPRPDNVFGLKPHSDASVITIVAIDDSVSGLQLLRQGVWYDVPIVPNALLINVGDGIEIMSNGLFKGPGHRVVTNAESERVSLAMFYTLDPEKELEPVPELVDDEKRPRQYVKVKTKDYVTGLFETLARGTRVIDTVKISDNLNEIVS >ONIVA06G05780.1 pep chromosome:AWHD00000000:6:3931985:3941109:1 gene:ONIVA06G05780 transcript:ONIVA06G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNKVHFASSEMEVGSGGFGGGGRWWPCGTMEAAAASNRFHFAAVAAVTGGGGATRLLPAVPRQYLSYIRMEGSMEDVRSTLLVQELAGMRSKAVPRQYIVQQEDQPTIAATASVPIVDLGRLSQPDGDANEAVKLRQVMESWGLFMVTNHGIEDALMDNVMNVSREFFQQHLGEKQKYTNLIDGKHFQLEGYGNDQVKSDTQILDWLDRLYLKVDPADERNLSVWPKHPESFRDVLDEFLIKCDGVKNSLLPSMAKLLKLDEDYFVRQFSDRPTTIARFNYYPQCPRPDLVYGMKPHSDATILTILMVDNDVGGLQVLKDGVWYDVPTKPHTLLINLGDHMEMGDGGILDVVTTMVASFSEPRLCGVAIMSNGIFKSSVHRVMTNPEKERISVVLFYFMNLEKEIEPALELIDERHPARYKRVKIMDYLAGLFEHFLQGTRVIDTVKI >ONIVA06G05780.2 pep chromosome:AWHD00000000:6:3933484:3941109:1 gene:ONIVA06G05780 transcript:ONIVA06G05780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSMEDVRSTLLVQELAGMRSKAVPRQYIVQQEDQPTIAATASVPIVDLGRLSQPDGDANEAVKLRQVMESWGLFMVTNHGIEDALMDNVMNVSREFFQQHLGEKQKYTNLIDGKHFQLEGYGNDQVKSDTQILDWLDRLYLKVDPADERNLSVWPKHPESFRDVLDEFLIKCDGVKNSLLPSMAKLLKLDEDYFVRQFSDRPTTIARFNYYPQCPRPDLVYGMKPHSDATILTILMVDNDVGGLQVLKDGVWYDVPTKPHTLLINLGDHMEIMSNGIFKSSVHRVMTNPEKERISVVLFYFMNLEKEIEPALELIDERHPARYKRVKIMDYLAGLFEHFLQGTRVIDTVKI >ONIVA06G05770.1 pep chromosome:AWHD00000000:6:3924320:3928714:1 gene:ONIVA06G05770 transcript:ONIVA06G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLP1] METLASAMRRENRRFKPPSSSSASASAALSSGRVPLVMAFLSCLAWLYVAGRLWQDAQTRMILSGLLEKSSGNLPKVLSVEDKLRNLGCIGIGRKIAEAEMDLTKAKSEGYLWGNGTATGSSDKKKLLAVIGVYTGFGSRLKRNTFRGSWMPRGDALKKLEEKGVVIRFVIGRSANRGDSLDRNIDDENRRTKDFLILESHEEAAEELPSKVKFFFSAAIEAWDAEFYVKVDDNINLDLAGLIEMLEARRGSQGLYMGCMKSGGVVSEEGQQWYEPEWWKFGDSKTYFRHASGALFILSNNLARYININSASLQSYAHDDISVGSWMMGLNTTYVDDDRLCCGSSRQEKVCSHA >ONIVA06G05760.1 pep chromosome:AWHD00000000:6:3921122:3923841:-1 gene:ONIVA06G05760 transcript:ONIVA06G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLRRRIHRGHKTLLPRAFSSSSGEGMFPPPTSDPASADQRTTKLSSHFAEIRGHLNATPPSSPPRRIPESPPPDDVRRSLHLFRNPHPSSGATSAAAANPSPSFADVFRARPAPPTSRATGADAFPFSALRESLNKNLGTSPTASAVPLPGATASSPDWSSILSSRQRHDGKPLPESVFGRETRGEARRGRDGKVEEQQFIRLYSDNELGKKLSELRPPVGKDGKEWFSVEELSRRLKKLREMDREERALQSGLGTDVLRDAIVTLQTKDLKTNNFAIGECLMKLMDNLFVTDDAVVTFGLLVAAAQSMSALMAFGSQATPAYLLGKPQQELVERYFHPDHMSSAEKMKQELQSVRDEFKMSENDCGSARVQVAQLTTKIKHLSTTLHKKDKHSRKGLQEMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKPPDYKSKRSSSGKTKAKRKIKRKMKA >ONIVA06G05750.1 pep chromosome:AWHD00000000:6:3917995:3920209:-1 gene:ONIVA06G05750 transcript:ONIVA06G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSVKKCLIILALAAAAAIAGFLSVAGAGRRRSSSSSPARRLSNGLAAERARMAMARAASPTVERELDAARAAIRRAARRRRHGDLAGGEGRSSSNVSSAKWLSFFGDADHARLERVYRNPAAFYRSYVEMERRFKVYVYEEGEPPIAHEGPCKNIYAVEGRFIEELELMAPPLGGVRTWDPARAHAFFLPLSVSQMVQLAYRPLSYDLSPLRTIVADYVAGPHASRGHPELYANAIRALCNANTSEGFRPDKDVSLPEINLYDGDMPPELLSPAPPPPRPFLAFFAGGRHGHVRDLLLRHWKGRDPAVFPVYEYDLPSIPVSVSGDTDTDGGGEGGNPYYWYMRRSRFCLCPSGHEVASPRVVEAIHAGCVPVVVADGYAPPFADVLRWEAFSVAVAVADVPRLRELLERIPAPEVERLRDGVRLVKRHFMLHQPPERLDMFHMILHSVWLRRLNLRLNSH >ONIVA06G05740.1 pep chromosome:AWHD00000000:6:3908621:3911402:-1 gene:ONIVA06G05740 transcript:ONIVA06G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTVAVKAGSRPPWVGLGAAVWVQVAGGASSTFALYSHALKVALAADQRRLALLGVACDVGENLGLLPGVLCNRLHPALLLLVGAAACLLGYGSTWLAVSASGPALPYWLIWFALCLAANSGAWLGTAVLVTNMRNFPLSRGAVAGILKGYAGLSAAVYTVIYTGVLHDSASNFLLFVTLGVPVVCLVTMYFVRPCEPSLVENSSEQVHFLFTQLSSVLLGVYLVAATILDHFVTLTDAVNYVLLVIMVLVLFVPLTVPLKMTLFPSNRRKGQSDSSECSSSSADHDHTESLLPSSSASNLGNIEDDDSMDIDILLAEGEGAIKQKRRRPKRGEDFRFREALLKADFWLLFAVYFIGVGSGVTVLNNLAQVGIAAGVADTTISLALFSFGNFFGRLGGGAVSEYLVRSRTLPRTTLITCTQVMMIIIYLLFALGHHATLHVSVALLGICYGAQFSVMVSTSSELFGLKHFGKIFNFISLGNPLGALLFNSLAGYVYDQEVERQHATTMDTDIACHGPNCFRLTFCVLAGVASLGTLLSIVLTVRIRPVYQMLYAGGSFSQPRSSAH >ONIVA06G05730.1 pep chromosome:AWHD00000000:6:3906344:3907054:1 gene:ONIVA06G05730 transcript:ONIVA06G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLCRAGLHPDVAFEYARLFARNELAADDLRHLDHGLLATMGVPIAKHRLEILKLARRESPPSSSSSSSLTAVRLPWRATRLLAAAARRAALSLATRLRSVARRDRAAVAVAPRPPPPPQLWKPPRARAPPPPSATRNGGRKMALLRHLSKPMLTNHSSGGGGGGKRTRTTNGAATTYKAAAPAAASAAAAAITGCFAANPDAYSYSDDEFDLYDDGEDMRWESMFQDLKPT >ONIVA06G05720.1 pep chromosome:AWHD00000000:6:3899131:3901944:-1 gene:ONIVA06G05720 transcript:ONIVA06G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRFLGGLCFLALLRLGGAAEAVVGVAGVDGRRAIAATDEDFVCATMDWWPPDKCDYGTCSWGLASLLNLDLSNKILLNAIRAFSPLKLRLGGSLQDKLVYGTGDGGGPCAPFVKNTSEMFGFTQGCLPLHRWDELNAFFQKSGARIVFGLNALNGRVPLPDGSMGGPWDYTNAASLIRYTASKGYKIHGWELGNELSGSGVGTKVGADQYAADVIALKSLVDTIYQGNPSKPLVLAPGGFFDAGWFTEVIVKTRPNLLNVVTHHIYNLGPGVDTHLIEKILNPSYLDGMVSTFSNLQGILKSAGTSAVAWVGESGGAYNSGRHLVTDSFVFSFWFLDQLGMSAKYDTKSYCRQSLIGGNYGLLNKETFQPNPDYYSALLWHRLMGTKVLSATFNGTNMIRTYAHCAKDSPGITLLLINLSGNTTSQVSVTSEGAHANTVKKHSRKTRHLAAGSMREEYHLTAKDGSLQSQVMLLNGRALVADENGEIPRLEPVKVDAAQPIAVAPYSIVFAHIHNFPAPACRKVKLGWVAKLAHLKMK >ONIVA06G05710.1 pep chromosome:AWHD00000000:6:3893587:3902429:1 gene:ONIVA06G05710 transcript:ONIVA06G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLKKSDISHQFSPHTRHGEAILHITPYNTSQIKSSSPFSLLASPPHLTSPHSRRYIITRLPSSQESVQSERRVTSSPPPPPPPRLRCNRSRVRVFVVGAAAMAILSALGTEVFIPVAAAVGVAFAVAQWLLVARVKVNPAHAAAAAASGGSKNGGYGDYLIEEEEGLNDHNVVVKCHEIQTAISEGATSFLFTEYQYVGIFMSIFAVVIFLFLGSVEGFSTKSQPCTYSKDKYCKPALFNALFSTASFLLGAITSLVSGYLGMKIATFANARTTLEARKGVGKAFIIAFRSGAVMGFLLASSGLVVLYIAINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSVGIIVCLITTLFATDFFEIKAVKEIEPALKKQLIISTALMTVGIAIISWLALPAKFTIFNFGAQKEVTNWGLFFCVAIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSIAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNSIPGLMEGTGKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKWF >ONIVA06G05700.1 pep chromosome:AWHD00000000:6:3875185:3876028:-1 gene:ONIVA06G05700 transcript:ONIVA06G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHNATPTPTPMPMLPWLPAAAASTATPPRRRQLLQFLPRWHRRPIPGCRRRGPRGAPGRPQPSPLAPISSIPSTMASTQWMTRLIAIPQCEVLGPKVSSLQMTRTDPSPRKLLSSMPAVHLSKTPSPSPTRLLE >ONIVA06G05690.1 pep chromosome:AWHD00000000:6:3871033:3875089:-1 gene:ONIVA06G05690 transcript:ONIVA06G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPCIWCCLVLLTLVVCDSCLHEERKHLMDICDAFLWPAGNPPDWSSRDCCRWERVTCSSITGRVTALDLDAAYPSWYGLLNCSMFLPFRELQNLSLGNAGIAGCMPGAGFEVWSNLRQLEILDLSENELNDSSIMPLVGLASLRSPFLGGNAIKNDFIVQRLSKMKLDILDLSWNGIFGNISRAVCNMTSLRELHLNGNFFFGVLPSCIRNLTFLRVLDLSNNLLTARFPTISFANMTLLEQLSLSHNQLEGLLLLNSFSNHLQLKYLRLSSNSASFQVQTENPEANISSQLQVLELSNCNLNANSGVIPSFLSHQHGLYLIDVSNNNLSGHFPTWLLENNIYLSYLSVKHNSFVGSLILPSTVNQNLSWLDASYNRLSGDLPVDINITFPNLSYLNLSKNFFQGIFPSAVSHLENLSTLDLSYNNISGEITASFPTTMSMNHLVLNDNNISGEIPTSICTNVNLGVVDFSNNKLTGSIPNCIASNHLLFILNLRGNHLTGSIPTGLSSLLNLQFLDLSKNHLSGPLPSLPNLTYLHLSENELNGTFPLVWPFGANLKTMDLRYNQFSGAIPRCIDETFPELRILLLKGNMFEGMVPNQLEGPIPESIGDIVWLRALNFSNNSFSGPVPMSLSNLSNLESLDLSHNRLSGQLSPQLAGLKSLEVFSVAYNNLSGPTLGTKGQFITFGQSSYEGNPYLCGPPLLKSCSTVPTPSIPQHGQDEDDDDKVGDVVLFCGTALFYVVGFWTSLAVLFFKRSWRCSLFLAVDRFSDPLMFQLAMLSRRIHSTN >ONIVA06G05680.1 pep chromosome:AWHD00000000:6:3850220:3853891:-1 gene:ONIVA06G05680 transcript:ONIVA06G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEESEGAERLDFGEPAAAAAADAGKSKSKSPDELPSPRMERVCENTTAADFKQNKSGNFVPNIRSGDWSDIGGRQYMEDTHVCIADLAKNFGYQSVDNEAISFYGVFDGHGGKDAAHFVRDNLPRIIVEDADFPLELEKVVRRSFVHADNQFAKTTLSSGTTALTAMIFGRTLLIANAGDCRAVLSRCSTAIEMSVDHRPCSLSEKLRVESLGGYVDDGYLNGLLGVTRALGDWHLEGMKEAGNPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDVFSNQNMVDFARRRLQEHNDVKSCCREIVEEAIKRGATDNLTAVLVSFHLEAPPQVRVSRPGRVARSISAEGLNSLRTLLRNQ >ONIVA06G05670.1 pep chromosome:AWHD00000000:6:3848740:3849604:-1 gene:ONIVA06G05670 transcript:ONIVA06G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQIYATLYIPSETWMQLSRSRYYTSIADMSAAITRWDHGFASEANDKIEMKTSLPLVAAVLALFLMAATVQSIRLDAESHSAFSKQTVNNTSGDNVVAVVVAKTDGEPSGEMEKAISEEKSRVGHELPEIHVDYYGPRGHNPRHH >ONIVA06G05660.1 pep chromosome:AWHD00000000:6:3844011:3845771:1 gene:ONIVA06G05660 transcript:ONIVA06G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDHHPGGRDGAPLVFDELRWVIQIRRSLQEDGGDDDDDNGIPVSVFNVPKQLQAHKPEAYVPQFIALGPYHHWRPELYEMERYKLAAARRAQRHLREGVKLEHLVEQFARAERKVRAHYHRYLDFSGETLAWMMVVDGAFLLEFLQIFAAAEAAASGGGGKPELRRVSSRMAHLVDFAGRKSAHNLILRDMLMLENQIPLFLLRKLLEPQCSSAEEAGELLGRMVTGLMKELCPFKMMDNFPAIDVAKHAHLLELLYHLLVPKPSDDAAAAADGHDEGYDIEEQPVDGGGGGGEEKQQSAGCEYVKQLLAAVWGIVSSLKSGPMQYVAKPISFAVKAPWKMLTVVPGFSAMKHPVESFFMSGGGGDPSSSSTAAGQDHHHAISRPPLIEEIMIPSVTELAAAGVQFAPTNGDATTVSFDAKTATLHLPVVTLDGSTTEVVLRNLVAYEASAATGPLVLARYTELMNGIIDTGEDVAALRRRGVVLNRMKSDGEAARLWNGMSRSVRLTKVAAMDAAVEGVNRYHGARWRVKARRFMRRYVFGSWQLLTFLAAVLMLLLTTLQAFCSVYTCSRWFGAVAVAPPP >ONIVA06G05650.1 pep chromosome:AWHD00000000:6:3834636:3835318:-1 gene:ONIVA06G05650 transcript:ONIVA06G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRHPDRLLAAWANDLQRPGETRRNTERGAMSLTRWHDAAQIRLGDGSRERKRPVAHAQMQLPALIFPNSHHHNRCTAEDIEKDGVAAHYTNFLNMAYVTAQPGNTII >ONIVA06G05630.1 pep chromosome:AWHD00000000:6:3826088:3826648:1 gene:ONIVA06G05630 transcript:ONIVA06G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREATVFMPPPPPPPPPTQPQPPQAAAAAVRATVGGRGGGGGRQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAVAAARAYDTAVFYLRGRSARLNFPDQLDGAGGGGGSGGGAEDHRELTAAAIRKKAAEVGARVDAQHSVVGAAAPVPLQPPQPPPPQRRRTKNPDLNREPTPDTSDDE >ONIVA06G05620.1 pep chromosome:AWHD00000000:6:3815733:3819082:1 gene:ONIVA06G05620 transcript:ONIVA06G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein [Source:Projected from Arabidopsis thaliana (AT5G52010) TAIR;Acc:AT5G52010] MEAEWHIPSSPPNPKSASRLLPSLSITTPRPTPNQTPCGRDLAALAMPPPLSFLHLAANPTPLHASPLRRARLRHRLSLRTDHPSRRAVASASASAGENPSTPGGPIADVEMVRGKDGVWTARPPTVVVLWDLDNKPPRGPPFPAATALRDAASLLGRVVSVSAFANRHAFSHVPAWVADERRERRAMDRAERAGAASPPVPYSCAVCGRRFPTRPDLTRHFRQLHQRERNKKLSRLRSLKGKKRQKFRERFISGNTKYDDAARELLTPKVGYGLAAELRRAGVHVRTVSDKPQAADHALKRQVKHSVACGVDWLVLVSDDSDFTDTVRKARAADLRTVVVGDGCRALGSVADIWLPWDRVENGEVDEDMLRNGTHMGFRDEEEDEQDDDEFIVDWDTSDLDGVVDDIVATRTKLFGATTMSAFADEEIMDGILGVGINGGDMLWSSDDEDEDGLVLYCYSANQKASSHRHKHS >ONIVA06G05610.1 pep chromosome:AWHD00000000:6:3809883:3814969:1 gene:ONIVA06G05610 transcript:ONIVA06G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVNPQPSTNVAGGLDFEIGRGARPIWAGFHWAECIEGPPVRRVHVRVSKTRCTQPRSGAPPDLPWTGSIVSAVDRRQPSPPHVAGLRRGRRSVVGKRRASSVPRLPPPLTPSSSWLGPDLRWGSAATAPRLAPPPPPASPPRRYSGLRHLPLRALLREMDSSRDSEEERPQRKDNGEETENGEDGGNALSLKDVANELLGCVVHSEEEAYKLYCDYGHRIGFSVRKGKQSYFIGTKNIRTKDYYCSKEGLKYDEPVTEANFNRPDTRTNCKAMIRFRVDEKGRWTVIRFVPTHNHQLAKPGERHMLRSAKSLAVGKSGVIDPQASTESHPINGFSHMIGSDIVEPPGYTIRDCYNHVSMQGITIIEAGDSQSLVNYFKRRTNEEGMFYWDVQVDQEGRMTNFFFRDAKSRNDFDCFGDACMQGPNSETELEESWATMLNEYKLQENSWLSDLYRSRNKWCSAFNKDTFDGGINSSQWGEVSNNTFTGISDESTPLTRFALLLEKVFKGLRRNESEEDFRCSQTAPVRAIKHSTVLKQAAESYTHKIYKLFEAEFLDGCGATSCHEREKALDVFFEIRSLRSQAAKDVSKRDKKKKKSSKGPSTKKAKPTPATSSAVPELLAQTNVHQFQSAQDAQGNTTIGRPLYYQAFSSAPMQPNQIYMHPNMHTMPLCAPQQDFSAYSAMRPNSNFGGAKNV >ONIVA06G05600.1 pep chromosome:AWHD00000000:6:3806703:3809674:-1 gene:ONIVA06G05600 transcript:ONIVA06G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67140) TAIR;Acc:AT5G67140] MVMRPRPQRAAKFQAMGARRVASSSREPWRPRPWRKYKQSRPPPFSPPRRARGEATEPKRAMAGGVVIGVAPPAAAEEPEPHVERLPADLLAHVLSLLPSFHDLAMAGGVSRRWRRAVERSLAGRRRMSFAGQRTGDDSTARFVRAAVNLRDLDISRSCWGCQITDQGLIRISTADCVKNLTSISLWGLAGITDNGVIQLVSRAHSLQHLNIGGTFITDESLYAVAKSCINLKSIIVWSCRHVTEAGLVALVGGCRRLECINVGGMRVPPESFAGLLAIRPALQIRSIPQILNANVQVS >ONIVA06G05590.1 pep chromosome:AWHD00000000:6:3792711:3795128:-1 gene:ONIVA06G05590 transcript:ONIVA06G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLM4] MAMRGVHIFTTSISFLLMLTTALAEDKKSYLARGSSVSTEDDTKTILVSPNGDFACGFYKVATNAFTFSIWFSRSSEKTVAWTAKRDAPVNGKGSKLTFRKDGGLALVDYNGTVVWSTNTTATGASRAELQNSGNLIVMDSEGQCLWESFDSPTDTLLPLQPMTRDTKLVSASARGLPYSGLYTFFFDSNNLLSLIYNGPETSSIYWPNPAFLSWDNGRTTYYSSRHGVLDSDGWFIATDQLNFEASDHGQKDVMRRLTLDYDGNLRLYSLNMTTGKWSVTWMAFCQVCEIHGVCGKNSLCIYKPDPRCSCLEGFEMVKPGDWSQGCSYKANATLIWNNNANSESNHGFIFKKIPHTDFYGYDLNYSKPVTLWQCKRMCLDNADCQAFEYHKGIGKCFLKALLFNGRKSQDHYNDFYLKLPKATPYSQLLASKPSHACVMTEKEAYPSSQMLTGNNSNIKFGYFLSSALTLLVVEMTLITVGCWAANKWGRRPEIQDEGYTIISSQFRRFNYKELEKATDCFQEMLGSGGSGAVYKGILDDKRKVAVKKLNDVIHGEQEFRSELSIIGRVYHMNLVRIWGFCAEKTNKLLVSEFAENGSLDRVLSDNLGLFPVLQWSQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDKDFEPKIADFGLVKLVSRGSNTETQSKVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGNRVSRWVVDGEEEVEMAVKRTADVLKEKLASEDQSWLLDFVDCRMNGEFNYSQAATVLKIAVSCVEEDRRRRPSMSSVVEILLSLVE >ONIVA06G05580.1 pep chromosome:AWHD00000000:6:3791898:3802957:1 gene:ONIVA06G05580 transcript:ONIVA06G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVELLLSSYVAHVMEKNTAASGQLMTSSAEATPSSLKRPAGRTKFQETRHLVFRGVRWRGCAGRWVCKVRVPGSRGDRFWIGTSDTAEETARTHDAAMLALCGASASLNFADSAWLLHVPRAPVVSGLRPLAARCATRCLQGHRRVPAPGRGSTATATATSGDAASTAPPSAPVLSAKQCEFIFLSSLDFHMLFSHSSAALYKPREASLPRRRSQSGDPRRARDRSKLLHRSHDMAEAASAAAAATTEQANGSSGGEQKTRHSEVGHKSLLKSDDLYQYILETSVYPREHECMKELREVTANHPWNLMTTSADEGQFLNLLLKLIGAKKTMEIGVYTGYSLLATALAIPDDGTILAMDINRENYELGLPSIEKAGVAHKIDFREGPALPVLDQLVEEEGNHGSFDFVFVDADKDNYLNYHERLMKLVKVGGLVGYDNTLWNGSVVLPADAPMRKYIRYYRDFVLELNKALAADHRVEICQLPVGDGITLCRRVK >ONIVA06G05580.2 pep chromosome:AWHD00000000:6:3791898:3802957:1 gene:ONIVA06G05580 transcript:ONIVA06G05580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSHSSAALYKPREASLPRRRSQSGDPRRARDRSKLLHRSHDMAEAASAAAAATTEQANGSSGGEQKTRHSEVGHKSLLKSDDLYQYILETSVYPREHECMKELREVTANHPWNLMTTSADEGQFLNLLLKLIGAKKTMEIGVYTGYSLLATALAIPDDGTILAMDINRENYELGLPSIEKAGVAHKIDFREGPALPVLDQLVEEEGNHGSFDFVFVDADKDNYLNYHERLMKLVKVGGLVGYDNTLWNGSVVLPADAPMRKYIRYYRDFVLELNKALAADHRVEICQLPVGDGITLCRRVK >ONIVA06G05570.1 pep chromosome:AWHD00000000:6:3780995:3783406:-1 gene:ONIVA06G05570 transcript:ONIVA06G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLM1] MRGVSIFTTSISFLLALTIALAEDQRSSLARGSSISTQDDTTTILVSPNGHFSCGFYKVATNAFTFSIWFSRSSEKTVAWTANRDAPVNGKGSKLTFRKDGSLALVDYNGTVVWSTNTTATGASRAELDDSGNLVVMDPAGHRLWKSFDSPTDTLLPLQPMTRDTKLVSASARGLPYSGLYTFFFDSNNILSIIYNGPETSSIYWPNPYERSWENGRTTYNSSQYGILNQEGMFLASDKLQFEASDLGDKDVMRRLTLDYDGNLRLYSLNATNGKWSVSWLAFPRLCEIHGLCGINSFCTYMPSLQCSCLEGFEMTEPSDWSQGCRRKENITVKGDHNANNNTEQKFIFVEIPKTDFYGYDFNYTPSVALPVCKQICLNDDGCEAFAYRKGKGECFPKALLINGKKFPDPSNDIYLKFSKEASSSQLLASKPSHICKVTEKDAYPSSQMFEGSNSKFKFGYFLSSALTLLVVEVILVTVGCWATYKWGRRPEIRDEGYTIISSQFRRFSYKELEKATGFFQEELGSGGSGAVYKGILDDNRKVAVKKLNDVIHGEQEFRSELSIIGRVYHMNLVRIWGFCAEKTHKLLVSEFVENGSLDRVLSNHQSVFPVLPWSQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDKDFEPKIVDFGLVKLLNRGPSTNILSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGNRVSRWVVDGEEEVELAVKRTVDILKEKLASGDQSWLLDFVDCRLNGEFNYSQAALVLNTAVSCLDEDRRKRPSMNSVVEILLSLME >ONIVA06G05560.1 pep chromosome:AWHD00000000:6:3773135:3775591:-1 gene:ONIVA06G05560 transcript:ONIVA06G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLM0] MRGVYIFTTIFFLLMPTIALANHRKPFLARRSSISTQAFIARRSSISTQDDTTTILVSPNGDFSCGFYRVATNAFTFSIWFSRSSEKTVAWTANRDAPVNGKGSRLTFQKDGTLALLDYNGKVVWSTNTTATRADRAELLNNGNLIVMDPEGQHLWRSFDSPTDTLLPLQPITRNVKLVSASARGLLYSGFYNFLFDSNNILTLVYNGPDTASIYWPNPSFDQPWKNGRTTYDSLRYGVLNQTGYFVSSDLFKFEASDLGDHVMRRLTLDYDGNLRLYSLNETSGNWSVSWMAFSRVCQMHGVCGTNAVCNYIPELHCSCLQGFEVIDPTDWSKGCKRKVDITAIWDKGNRHNITSNSTSQDFSVRKITATDFWGYDTAYTQLIPYSNCRNMCLTANNCQAFGYRKGTGECYPKYSLFNGRRFPDPYNDLYLKVPKGVPFREESDSRPTHSCGVTEKLAYPSSQMFEEVTSNFEFGYFLSSVLTLLLIEVVLIIVGFSVVRKWETRPEITDEGYAIISSQFRRFSYKELQKATNCFQEELGSGGSGVVYKGVLDDERKVAVKILNDVIYGEQELRSELSVIGRIYHMNLVRIWGFCVEKTKRLLVSEYSENGSLDRLLFDYHNLFPVLKWSQRYNIALGVAKGLAYLHHECLEWIVHCDIKPENILLDKDFEPKIADFGLVKLLKPEAAQMPSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGSQVSRWVVDGKEEVGLAVKRNVDTLREKLASEDQSWLLEFVDSRLDGEFNYSQAATVLKIAVLCLEEDRRMRPSMDTVVEVLLSLVE >ONIVA06G05550.1 pep chromosome:AWHD00000000:6:3771883:3781170:1 gene:ONIVA06G05550 transcript:ONIVA06G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSIAIRSKWAGHNIPLGSAQMMRMPLELRHGPRSSSAPGDGEERRPPPSRRIWGTPLPPLPMAAAVPVPWSPESEFDGAEEAPSGARCRKESPHAAAALITVQMMPEWKDSRFDERIGAAPTMRTWCRINHLEALEARTMEFRDKPEYSSPLADADTSFTFLVIGCNGRSVSVGESKLLHRCWPSGSMTIRFPLLRSSARSARVAVVLSRRAKVPSFWKVSLEPFPFTGASRFAVQATVFSDDLENQMEKNPQEKSPLGDTRMVVVSSWVEMDERLAMKAWVEMDERLARKGSRQQAAGSSSREHEKEITVAKMQKRLMSTTPNGPDIIPHLDQPIAPLSPYCRRNESAVNHSSSSWRRRSRGRWCPGVAGGGAERPRARLCAAAAGERAARILLPGGGGTARRGEPFAMIKISKVSDKYTYDEYYYSLHFTIQQNPAAMIDPH >ONIVA06G05550.2 pep chromosome:AWHD00000000:6:3774641:3781170:1 gene:ONIVA06G05550 transcript:ONIVA06G05550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRDCQPPHDMIAKIRGFKLKKKPEYSSPLADADTSFTFLVIGCNGRSVSVGESKLLHRCWPSGSMTIRFPLLRSSARSARVAVVLSRRAKVPSFWKVSLEPFPFTGASRFAVQATVFSDDLENQMEKNPQEKSPLGDTRMVVVSSWVEMDERLAMKAWVEMDERLARKGSRQQAAGSSSREHEKEITVAKMQKRLMSTTPNGPDIIPHLDQPIAPLSPYCRRNESAVNHSSSSWRRRSRGRWCPGVAGGGAERPRARLCAAAAGERAARILLPGGGGTARRGEPFAMIKISKVSDKYTYDEYYYSLHFTIQQNPAAMIDPH >ONIVA06G05550.3 pep chromosome:AWHD00000000:6:3771883:3782165:1 gene:ONIVA06G05550 transcript:ONIVA06G05550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSIAIRSKWAGHNIPLGSAQMMRMPLELRHGPRSSSAPGDGEERRPPPSRRIWGTPLPPLPMAAAVPVPWSPESEFDGAEEAPSGARCRKESPHAAAALITVQMMPEWKDSRFDERIGAAPTMRTWCRINHLEALEARTMEFRDKPEYSSPLADADTSFTFLVIGCNGRSVSVGESKLLHRCWPSGSMTIRFPLLRSSARSARVAVVLSRRAKVPSFWKVSLEPFPFTGASRFAVQATVFSDDLENQMEKNPQEKSPLGDTRMVVVSSWVEMDERLAMKAWVEMDERLARKGSRQQAAGSSSREHEKEITVAKMQKRLMSTTPNGPDIIPHLDQPIAPLSPYCRRNESAVNHSSSSWRRRSRGRWCPGVAGGGAERPRARLCAAAAGERAARILLPGGGGTARRGEPFAMIWDGLEANSCEDDASLENFR >ONIVA06G05550.4 pep chromosome:AWHD00000000:6:3774641:3781170:1 gene:ONIVA06G05550 transcript:ONIVA06G05550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRDKPEYSSPLADADTSFTFLVIGCNGRSVSVGESKLLHRCWPSGSMTIRFPLLRSSARSARVAVVLSRRAKVPSFWKVSLEPFPFTGASRFAVQATVFSDDLENQMEKNPQEKSPLGDTRMVVVSSWVEMDERLAMKAWVEMDERLARKGSRQQAAGSSSREHEKEITVAKMQKRLMSTTPNGPDIIPHLDQPIAPLSPYCRRNESAVNHSSSSWRRRSRGRWCPGVAGGGAERPRARLCAAAAGERAARILLPGGGGTARRGEPFAMIKISKVSDKYTYDEYYYSLHFTIQQNPAAMIDPH >ONIVA06G05550.5 pep chromosome:AWHD00000000:6:3771883:3783682:1 gene:ONIVA06G05550 transcript:ONIVA06G05550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSIAIRSKWAGHNIPLGSAQMMRMPLELRHGPRSSSAPGDGEERRPPPSRRIWGTPLPPLPMAAAVPVPWSPESEFDGAEEAPSGARCRKESPHAAAALITVQMMPEWKDSRFDERIGAAPTMRTWWEVPCVLL >ONIVA06G05550.6 pep chromosome:AWHD00000000:6:3771883:3776079:1 gene:ONIVA06G05550 transcript:ONIVA06G05550.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSIAIRSKWAGHNIPLGSAQMMRMPLELRHGPRSSSAPGDGEERRPPPSRRIWGTPLPPLPMAAAVPVPWSPESEFDGAEEAPSGARCRKESPHAAAALITVQMMPEWKDSRFDERIGAAPTMRTWW >ONIVA06G05540.1 pep chromosome:AWHD00000000:6:3770105:3770577:1 gene:ONIVA06G05540 transcript:ONIVA06G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCLLASRSSSIDAGLVHDNKVSIVEKLCSICLSGCCVGAPYNFAISRRAKVPSFWKVSLEPLPFTGASRFAVQATVFSDDLENQMEKVKALVATL >ONIVA06G05530.1 pep chromosome:AWHD00000000:6:3769119:3770771:-1 gene:ONIVA06G05530 transcript:ONIVA06G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKILTVAVRGFCIFTTVISFLLMLPTAVAKDQKSFLTRRSSISTQDDTTAILVSPNDDFSCGFYKVATNAFTFSIWFSRSSEKTVAWTANRDAPVNGKGSRLTFQNDGTLALLDYNGKVVWSTNTTATQANRAELLNNGNLVVMDLQGQHLWRSFDSPTDTLLPLQPITRNVKLVSASARGLLYSGFYNFLFDSNNILTLVYNGPDTASIYWPNPSVHLPWKNGRTTYDSRRYGVLNQTGRFVSSDLFKFEDSDLGDHVMRRLTLDYDGNLRLYSLNETSGNWSVSWMAFSRVCQMHGVCGFEVIDPSDWSKGCKRKADMTVIWDKGNRTNTNNTISRDFSFRKNTGTDFWGYDMDYAESVPFSNCRNMCLANAKCQAFGYRRGTGLCYPKYTLFNGRSFPDPYNDIYLKVPKGVPFTKESDSRLTHSCGVTEKLAYPSSQMLEDVPSKFVFGYFLSSLLTVLLIEVVLIVAGFSVVKKWETIPEITDEGYMIISSQFRRFSYKELHKATNCFQEEREWWIRCGLQGSPR >ONIVA06G05520.1 pep chromosome:AWHD00000000:6:3763478:3764590:1 gene:ONIVA06G05520 transcript:ONIVA06G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPTTPLLLLLLIHHLTAAAAALLVLLDPPSPSARKRRRLDVEELDPVPPPSLQPEPEPLPLPPTSPDHYPLAFRLVAGASSRVLSLAAGFRGDRTDLEVLKLSSLYQELEQGKVLDHGQYLAGDGDGYPLLPWLMVPFRGPAVPGSPEAEFNAAHDAMCRKARRTVRSLKGWGAIARLRDEESPRAAVACIGTCAMLHNVLLAREDHSALAPEETDLPPAVQRRADDGDAAGVEDLEIHRRASAFRGALAATMRRR >ONIVA06G05510.1 pep chromosome:AWHD00000000:6:3758282:3762168:1 gene:ONIVA06G05510 transcript:ONIVA06G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRGRGGFDELVLLHQQQEQRRRREQQQEEEEEEEVRRQMFGAVVGGLAAFPAAAAALGQQQVDCGGELGGFCDSEAGGSSEPEAAAGARPRGGSGSKRSRAAEVHNLSEKRRRSKINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGALEPAQASQMFAALGGNNVTVAHPGTVMPPVNQSSGAHHLFDPLNSPPQNQPQSLILPSVPSTAIPEPPFHLESSQSHLRQFQLPGSSEMVFHGEIMPKHHLSSHQESLPAFVKISVHFTNTHTPLVFVLFADFCLHPLKGNEMNSIRKESSMLNTNNFDVKNDNEMDVVAGGIEIRCERRMT >ONIVA06G05510.2 pep chromosome:AWHD00000000:6:3758282:3762168:1 gene:ONIVA06G05510 transcript:ONIVA06G05510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRGRGGFDELVLLHQQQEQRRRREQQQEEEEEEEVRRQMFGAVVGGLAAFPAAAAALGQQQVDCGGELGGFCDSEAGGSSEPEAAAGARPRGGSGSKRSRAAEVHNLSEKRRRSKINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGALEPAQASQMFAALGGNNVTVAHPGTVMPPVNQSSGAHHLFDPLNSPPQNQPQSLILPSVPSTAIPEPPFHLESSQSHLRQFQLPGSSEMVFHGEIMPKHHLSSHQESLPGNEMNSIRKESSMLNTNNFDGDVVAGGIEIRCERRMT >ONIVA06G05500.1 pep chromosome:AWHD00000000:6:3756389:3756675:1 gene:ONIVA06G05500 transcript:ONIVA06G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAHAIPLEVAGKPSSLRRSPLMPSLWSAAPGGHVIHFVDDALTLVKPPVTPSCHHRRTTAKLRACLVIETPLTGDAFTLVAVPEALPMFT >ONIVA06G05490.1 pep chromosome:AWHD00000000:6:3755695:3756312:1 gene:ONIVA06G05490 transcript:ONIVA06G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRDENVGAPLDGARTPSLSLPSHVSAVHRTGEQVAIRCHVRTKGLVMLPRRNTPPQHRERRPRSHDAALAGVAMPNASPTVFGGVVIFLTPWRRRSTGHPRLAGGDFHLTASSSARVIILLTRAVVESGHTILHLVEICWVNPEKAISVPPCRSMLRIIRTAGNVVKLPSLPSSWCRGVFKPCCSGPPIVIGTGRRRLSGRS >ONIVA06G05480.1 pep chromosome:AWHD00000000:6:3750095:3752715:-1 gene:ONIVA06G05480 transcript:ONIVA06G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLK6] MDAAALDDLIRRLLDARGGRTARPAQLADAEIRKLCAAAKDVFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRIWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLKNMDQIRNIARPVDVPDHGLLCDLLWSDPDKEIEGWGENDRGVSYTFGADKVAEFLQTHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSDKKGKAGTGNMSKPGTPPRKIKINII >ONIVA06G05470.1 pep chromosome:AWHD00000000:6:3731696:3746792:-1 gene:ONIVA06G05470 transcript:ONIVA06G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPLPPTPPPSQQQQPQPQGKEQQQQMAVAMNARRLVMIGDRLRTHFRGGGGTVLEPPDLAHLVYAFARGIDFALSSGDVPTVASEIPSILKKVYLVGKDQFLQSSVMVLMISCKNACSEKWFQPTDCTEILRMANELSGKFCTPVSQPDNDSIVIQIISTIMPRYYPQLKFERLVTSLEAKVGYDVLMADFFIHKNVPREEKINLIVVQKEDLDASSCIANPPHVSFLVNGKGVDKRTNVSMETGPQFPTDITRMLKYGANIIQAIGYFNANYIIAVAFLNKLESFDAPNLNDYAQPVAADPPDSDLLEGPSRVSLKCPISFRRIKTPIKGRLCKHYQMNLRKPTWRCPFCNTPSNFTDLRIDQKMVKSLFFHKILQETGEDTIDVLVFADGSWKAISTNDERSDRHSSDVIQQSRDTMDTDATADDVIDLINEDNDGDVPMSFTSASEDVKPFLNCQDLSVADYLSDLPMNTVSQAEDLYAGGASRGNNERGNATSTSGQNSSLPSTGGLGSSSFGTLESILPHNILHPVITDAVSPSLDTSNSVVPRQHVAQGTCSDIVPSQPRIDPQLRLEIARPPIPRNVAREPTGIQALPVQPQRVRPNIYNCPPPFPQSSPASAYQVHQVTNADSVITAMSTGIGSLSRAPDAAPLLQHQSTQQEIRATQNYHQGQFIGLTAPQNFMGTRPPPGVPGQAIGANAHGAPPAQQSHHVHRLVSNLMNQLGQATVAQPSTAPQVLPSQPGGTSAVNPQIRGHLFPAQQRSQAMRPQAVPRPTISQAPPRAQSPFLPTTARPPSTPPPIGTSDDLPELPVDESWRPTGQMRGSLTGEAYSVAIGRYNPSVNIAGQQTSQVTSQARPAGPDARSKLFMRYFCAGNGRRAYDKVAFPNSTIERSGVLVSAATGAMKSVLAKLTAFLGEEYKNAKGVHDDLVFLQSELTTMNIVLQKLADVDQLDDLSKDWRNRVRDLAYDIEDCIDLSVHRLRGGSSAGESGLGAKVARMAKKIGAFRQIARQIQQLKARVMEVSERRNRYTLHGLVPTSSDASSSTKVDVRLCALWTETGRLVGIDGPRDDIIGRLMEQKQESSSSLSVHPGVRMVSIVGCAGLGKTTLAKQVYDKIKGEFECKAFVSVSQKPNIKELLLNISTQVGKSTNMSDDVVNLIDNLREHLKQKRYIVVVDDIWNLEPWKFIGEAFVKTSPGSIIMVTTRVKEVAISSSSSHGGFVYHMKHLDEAHSKRLFYKRIFGCEEQCPPEFEQASKEILKRCDGIPLAIISISSFLADPESLYNWNEVKKIISSPLPGNEHVETMQSVLALSYYNLPHDIRSCLLYLSSFPEDCLIVKDRLVSRWIAEGFINARPGENLYEAGLRYFNLLINRSLIQPWNEYNGEVMTCRVHDVILNFIVSKSVEENFLTLLDPSDLVPVQHSNCYKVRRLSLQGTYCQEEFASRMKLIKPHVRSLACSVDCTGLHPLSEFKVARVLDLEGCRSLINNHLANIEKLVHLRYLSISITVVSLLPANIGRLQHLETLDIRDTQVEELPQSIVLLHRLVRLFVSRLVKFPAEGVSKMQALEQLIGLSPFNQPRSFFKELGELTKLIVLEVHWKPRHIRDSNEAQVEHEKSYEKIFTSSLNALDRHNLRSLNFFVVAGRFLFDSWFPALQNLRRLRILNALQMITIPSSIRLVTKLEQLELENVSVTQDDLDMLGDLKALESLTLFVSDTRGSWLTVSNHGFQSLKFARIANVLFMPDSMPNLKDLHIDIRLEHAGENDSIFQHLPNTLCRVHAWISGNPPLRDVVSKLEEKILNIANTHPNRPSLTTATYIKEH >ONIVA06G05460.1 pep chromosome:AWHD00000000:6:3724305:3728591:-1 gene:ONIVA06G05460 transcript:ONIVA06G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLVSAATGAMNSVLAKLAAFLGDEYKHARGVRDDLAFLHSELTTMNKALHALADADQLNELSKDWRDRVRDLAYDIEDCVDLSVHRLRGGGGGESGLAARMARVAKKIGSFRQIASQIQQLKARVVEVSERRNRYTLHGLVPTTSSDASSSTTKVDARLCALWTETKHLVGIDGPRDDVISRLVQQDDDVRMVSIVGCAGLGKTTLARQVYDRIKAEFECKAFVSVSQRPNIKELLLNISTQVGKSTNTWDDVANLVDNLREYLKQKRYIIVVDDIWSPEPWNFIGEALVKTSHGSIIILTTRVKEVAISSSSSHGGFVYQMKHLDGAHSKRLFYKRIFDCEEKCPPNFELASEEILKRCDGIPLAIISISSFLADHESLYHWNEVKKIISSPLPGNEYLETMQSVLALSYYNLPHDIRSCLLYLSAFPEDCEIAKNSLVSRWIAEGFINARPGENVYEAGLRYFNVLINRSLIQPWNEHYGEVLTCRVHDVILNFIVSKSVEENFLFLLDPSSLVPLQHSNCCKVRRLSLQGNYCQEEFASRMMPIKPHVRSLICSVDYTGFHPLSEFKVARVLDLDGCQSLTNNHLANIEKLVHLQYLRIRGRVTVLPANIGRLQHLETLDIRGSEVKELPPSIVLLQRLARLSVSQDVKFPAEGVSKMQALEELTGLTLFCQPGSFLKELGELTKLRVLVVYWKAYHARDSDEAQAEHKMSCKKIFTSSLNALDRHSLHSLDFVVFMERFLFDPWFLALQNLKRFGVESTPRMINIPSWIRLAAKLEKLELSKAYVTQDDFEMLGDLKALEYLALPCSDTRGSWLTISNHGFRCLKFAFLCNVLFMPDSMPNLKDLRIDIVLDEVGENDSVFEHLPSTLCRVNVDIIGNPPSTPRDVASELEEKILNNRMMAFASMAHPLAWSWSWHRQHLYVPYLYRFY >ONIVA06G05450.1 pep chromosome:AWHD00000000:6:3719058:3723021:-1 gene:ONIVA06G05450 transcript:ONIVA06G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61540) TAIR;Acc:AT3G61540] MAVAAVAIRSAFPTTAAAAAAPALRPAFLGVARAAASSRRRRILLPAWPVAAMSSSSSSSAAAAHKAGAWYAVPDLSLRDHRFAVPLDHSSPSPSAPTITVFAREVVAAGKEDLPLPYLLFLQGGPGFESPRPTEASGWMKKACEEYRVVLLDQRGTGLSTPLTTSSLSQITSAAEQVEYLKHFRADSIVKDAEFIRLHLVPDAKPWTVLGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGSACTADTVYRACFKQVQQQNEKYYARYPQDIQVIHELVRYLNESEGGGVSLPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLFERVWDPILVPGAKKTISYYFLKEFERWLGFDQNPLYALLHESIYCQGSPSKWSAHKIGSECESLFDPIKAIKEGRPVYFTGEMVFPCIFDEIHALRPLKETAHMLAHKEDWPPLYDVNVLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWITNEYMHSGIRDGGSHVFDHLMGLLNGKKPLF >ONIVA06G05450.2 pep chromosome:AWHD00000000:6:3719058:3723021:-1 gene:ONIVA06G05450 transcript:ONIVA06G05450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61540) TAIR;Acc:AT3G61540] MAVAAVAIRSAFPTTAAAAAAPALRPAFLGVARAAASSRRRRILLPAWPVAAMSSSSSSSAAAAHKAGAWYAVPDLSLRDHRFAVPLDHSSPSPSAPTITVFAREVVAGESRLPTVPRNARARPPLLPIQLRCPYTEPPRDRSVGSGGRAGKEDLPLPYLLFLQGGPGFESPRPTEASGWMKKACEEYRVVLLDQRGTGLSTPLTTSSLSQITSAAEQVEYLKHFRADSIVKDAEFIRLHLVPDAKPWTVLGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGSACTADTVYRACFKQVQQQNEKYYARYPQDIQVIHELVRYLNESEGGGVSLPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLFERVWDPILVPGAKKTISYYFLKEFERWLGFDQNPLYALLHESIYCQGSPSKWSAHKIGSECESLFDPIKAIKEGRPVYFTGEMVFPCIFDEIHALRPLKETAHMLAHKEDWPPLYDVNVLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWITNEYMHSGIRDGGSHVFDHLMGLLNGKKPLF >ONIVA06G05440.1 pep chromosome:AWHD00000000:6:3710155:3720081:1 gene:ONIVA06G05440 transcript:ONIVA06G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SITE-1 protease [Source:Projected from Arabidopsis thaliana (AT5G19660) TAIR;Acc:AT5G19660] MALERRLAYAALIPFLLLALPILPSDSPSGGGGGGAGGGGGGGETLDPPAAKYVVRFVEYRPADEHREYLEDGLRGAARPPPAASWRWVERRNPAAAFPTDFAVLEIRDACRAAVVDAVSALGRVRDVHADASYSRGVLSADRPRQQGKLFTAMSFEGEEGGGDREVGCSTDSNNSSSAGWRRKLLVQRSQVTSLFGAERLWGRGFTGRKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIMGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEEHRKSILNPATMKQALVEGASRLSGPNMYEQGAGKIDLWQSYEILKSYQPRASIFPNTLDFTDCPYFWPFCRQPLYAGAMPVVFNATILNGMGVIGYVKDPPVWQPSEDVGNILSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGKVTLSIYSPAAHGESSPRSSSCVLYLKVKVVPTPVRSRRILWDQFHNIKYPSGFVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFSEEIQKLKDDVVHKGLGVVVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANVPALNELLAPFGIAFGDKVLSGDFSINGEQTHYASGTDIVQFPAGGFLHSFQLQDNSKISQDNSRSADTQNTPDKSKLSSILGMMEAGKGRVAVYGDSNCLDSSHMVTNCYWLLRKLVEFTGNRIKDPVLFSESAQLKFPVFESIHQLSRRPDVNFSTYSTVVGNELICHQDSRFEVWGTKGYGTQQTGTTRKLPEYQKSEAYNISTPIASDSTPDEAGLQRNISTPIASKFDKRMDYFGFLGHEENSGSLYAVQFVHTLSNSKIDIGMLVASQWMVPCFAATACLMLYLSCRVQQKRRRRKKGSTAARLSSMDRMV >ONIVA06G05430.1 pep chromosome:AWHD00000000:6:3703203:3709490:1 gene:ONIVA06G05430 transcript:ONIVA06G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRVVAAAAVLLLFAVVAVARLDLDDDGDDSEVLDELLAVDEEEERGELGGGGEAAAAEAVRRAQSMVLVLDNDNARRAVEENAEVLLLGYAPWCERSAQLMPRFAEAAAALRAMGSAVAFAKLDGERYPKAASAVGVKGFPTVLLFVNGTEHQFTGLHTKDAIVTWVRKKTGAPASRIQSKDSAEEFLKKDQTFAVGLFKNFEGAEYEEFVKAATSENEVQFVETNDRNVAKILFPGIASEEQFLGLVKSEPEKFEKFNGAFEEKEIIQFVELNKFPLITVFTDLNSGKVYGSPIKLQVFTFAEAYDFEDLESMIQEVARGFKTKIMLIYVDTAEEKLAKPFLTLYGLEPEKPTVTAFDTSKGTKYLMEAEINAKNLQDFCLSLLEGTLPPYFRSEPVPEEKGPIEKVVGRTFDSSVLESPQNVFLEVHAPWCVDCEAISKNVEKLAKHFNDLGQTNLKFARIDASVNEHPKLQINNYPTLLLYPAQDKSNPIKLSKKSNLKDMAKFVKEKLQIADVETVAAGDIVKDELYVENAGISPNTK >ONIVA06G05430.2 pep chromosome:AWHD00000000:6:3704884:3709861:1 gene:ONIVA06G05430 transcript:ONIVA06G05430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRVVAAAAAAAAEAVRRAQSMVLVLDNDNARRAVEENAEVLLLGYAPWCERSAQLMPRFAEAAAALRAMGSAVAFAKLDGERYPKAASAVGVKGFPTVLLFVNGTEHQFTGLHTKDAIVTWVRKKTGAPASRIQSKDSAEEFLKKDQTFAVGLFKNFEGAEYEEFVKAATSENEVQFVETNDRNVAKILFPGIASEEQFLGLVKSEPEKFEKFNGAFEEKEIIQFVELNKFPLITVFTDLNSGKVYGSPIKLQVFTFAEAYDFEDLESMIQEVARGFKTKIMLIYVDTAEEKLAKPFLTLYGLEPEKPTVTAFDTSKGTKYLMEAEINAKNLQDFCLSLLEGTLPPYFRSEPVPEEKGPIEKVVGRTFDSSVLESPQNVFLEVHAPWCVDCEAISKNVEKLAKHFNDLGQTNLKFARIDASVNEHPKLQINNYPTLLLYPAQDKSNPIKLSKKSNLKDMAKFVKEKLQIADVETVAAGDIVKDEL >ONIVA06G05430.3 pep chromosome:AWHD00000000:6:3703203:3709861:1 gene:ONIVA06G05430 transcript:ONIVA06G05430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRVVAAAAVLLLFAVVAVARLDLDDDGDDSEVLDELLAVDEEEERGELGGGGEAAAAEAVRRAQSMVLVLDNDNARRAVEENAEVLLLGYAPWCERSAQLMPRFAEAAAALRAMGSAVAFAKLDGERYPKAASAVGVKGFPTVLLFVNGTEHQFTGLHTKDAIVTWVRKKTGAPASRIQSKDSAEEFLKKDQTFAVGLFKNFEGAEYEEFVKAATSENEVQFVETNDRNVAKILFPGIASEEQFLGLVKSEPEKFEKFNGAFEEKEIIQFVELNKFPLITVFTDLNSGKVYGSPIKLQVFTFAEAYDFEDLESMIQEVARGFKTKIMLIYVDTAEEKLAKPFLTLYGLEPEKPTVTAFDTSKGTKYLMEAEINAKNLQDFCLSLLEGTLPPYFRSEPVPEEKGPIEKVVGRTFDSSVLESPQNVFLEVHAPWCVDCEAISKNVEKLAKHFNDLGQTNLKFARIDASVNEHPKLQINNYPTLLLYPAQDKSNPIKLSKKSNLKDMAKFVKEKLQIADVETVAAGDIVKDEL >ONIVA06G05430.4 pep chromosome:AWHD00000000:6:3700931:3703966:1 gene:ONIVA06G05430 transcript:ONIVA06G05430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAPSSPPRSPKRGGGVGVGGANYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGTLRDGSRKAGGERKALNDKGWPECNVIEEEGPYEDLAGDTGLSRRCQIVLGFLCFVLLFTVFCLIIWGAARPYEPDVVVKSLTMDDFYAGEGTDHSGVPTKLVTLNCSLHIAVYNPASMFGIHVTTGPIRLLYSEISIGVGQPRKSHRLVTAVVHGNKVPLYGAGGGLMLSSSGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPIVVDAKKTKPIKFSKKACAVYKI >ONIVA06G05430.5 pep chromosome:AWHD00000000:6:3700864:3703966:1 gene:ONIVA06G05430 transcript:ONIVA06G05430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSESDVTSLAPSSPPRSPKRGGGVGVGGANYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGTLRDGSRKAGGERKALNDKGWPECNVIEEEGPYEDLAGDTGLSRRCQIVLGFLCFVLLFTVFCLIIWGAARPYEPDVVVKSLTMDDFYAGEGTDHSGVPTKLVTLNCSLHIAVYNPASMFGIHVTTGPIRLLYSEISIGVGQPRKSHRLVTAVVHGNKVPLYGAGGGLMLSSSGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPIVVDAKKTKPIKFSKKACAVYKI >ONIVA06G05420.1 pep chromosome:AWHD00000000:6:3691767:3697582:1 gene:ONIVA06G05420 transcript:ONIVA06G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25770) TAIR;Acc:AT5G25770] MAVALTLALTAMWPPPRLAAPATGGHVTVTARKQRGRRLSGKSTTTTTTASLGCGSKPNNIRGATAAAGGGSKMEAAAAGLRASFLDVLISRRRNLQVPLTVEPGSPVKRPLYQGRPPMGRSEAMESCPRKGVVSSKEKLVEENFYLITESGEQGRVPVLLLKLNDTTPKRKPVIVFLHSSYKCKEWLRPLLEAYASRGYISVAIDSRYHGERANNNSTYIDALKSAWRNGDAMPFILDTVWDLIKLGDHLSEREDVDPCRIGITGESLGGMHAWFAAVVDTRYSVVVPIIGVQGFRWAIDNNKWQARVDSIKPLFEEARIDLGKSEIDTEVVEKVWDKIAPGLDSQFDAPFSLPVIAPRPLLLLNGAEDPRCPVLGLQEPVSRAAKAYEEVGSADKFMFIAEPGIGHQMTANMVKEASDWFDRFL >ONIVA06G05410.1 pep chromosome:AWHD00000000:6:3682102:3686453:-1 gene:ONIVA06G05410 transcript:ONIVA06G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDDDEREAEARREKEAGNAAYRKLYLETAVRHYTRGALLDPRDISFLTNRAAAYLLMSKYKECVRDCDEAVEKGRELRADNKLVARALARKASALLKLAACAADYEPAIRALQQSLAEHYSEETLAKLGEAEEARKEIEERERLDQEAADHHRDRGNDFFKQKRYQEAVMHYTEAMKKNPKDPRVFSNRAQCHIYLGALSEGLEDADKCIELDPTFLKGYLRKAKVQLLMGNYEIALATYVEGLKCDPNNLEVLDGLRRCATCIKRANGGDARAEDLREILGDMHLNDDLCNKLQKSMDEAAVLKKEASDERLKRIESERLARTLEDLYLSQVQQRKETEESLSRVQQEFEQLKIHQDEVTVELQKVNEQNENLLGQLSDSREHFEWLLSEHDQLLRERDNAVREVEELRQKRGQMLSVLVTAMHCEFSSSEVESATENFSNSLKIGEGGFGCVYKGILRNMTVAIKVLRPDSLQGQSQFEQEVSILSRVRHPHLVTLLGACSESSTLVYEFLPNGSLEDFLMCLDKRQTLTWQARIRIIAEICSALIFLHKNKPHPVVHGDLKPANILLGVNLVSKLSDFGISRLLIQSSTNNTTLYRTMHPVGTPLYMDPEFLSTGELTPQSDVYSFGIVVLRLLTGKPPVGIKKIVEDAMEKGDLNSVIDTSVGEWPHLLIEQLAYLALRCTELSRRCRPDLSGEVWAIVEAIRDATLSSPSSSRSAQDQNSPPSYFICPISQDIMDDPHIAADGFTYEAEAIRSWLCNGHDTSPMTNLRLEHEELVPNRALRSAIQEWLQQHSMSL >ONIVA06G05400.1 pep chromosome:AWHD00000000:6:3669321:3675348:-1 gene:ONIVA06G05400 transcript:ONIVA06G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGRLFEFSTSSCMYKTLERYRSCNYNLNSCEASAALETELSNYQEYLKLKTRVEFLQTTQRNLLGEDLVPLSLKELEQLENQIEISLMNIRSSKNQQLLDQVFELKRKEQQLQDANKDLKRKIQETSGENMLHISCQDVGPSGHASEANQEFLHHAICDPSLHIGYQAYMDHLNQ >ONIVA06G05390.1 pep chromosome:AWHD00000000:6:3665320:3668950:1 gene:ONIVA06G05390 transcript:ONIVA06G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAGWGIFCDVEFARRDAGGFGRAKQNGHQELHLVDHPFNNVTDGHEYYSPSAGGSFLPFATYYDLGHEYYPQGGEKDAVVVDRASPTIRKASPHLPLFTPKSEVSHLIGGGVVGSYKAFEMNSRLIRRKRASGKSLKKANVVKGQWTLEEDRKLVKLVEQFGLRKWSHIAQILPGRVGKQCRERWHNHLRPNIKKDTWSEEEDIVLIQTHKEVGNKWAEIAKHLPGRTENSIKNHWNATKRRQFARRRSRASSKNPKSGTLLQNYIKSLGIGPIKSSVRQAPPESTAVSSSSPASTQKLAEVNGKIRPDSNPSNQMVTQGILTMDENSYIQTNSCEELLVSTYDDLCLDMCDHLFETKDETPYQVYNIDDDVDMNYIFNHIDYANKIGHEIDMEMAWDDDVLQDDESAGSSPLETPAGLAQINTVHVKEEMDLIEMVTRTQSCG >ONIVA06G05380.1 pep chromosome:AWHD00000000:6:3661147:3665017:-1 gene:ONIVA06G05380 transcript:ONIVA06G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G28830) TAIR;Acc:AT4G28830] MKLKQLEGLLGDLQQFTAPKVELEQYATGPHIASRMLYTAENSFDDITGKVVADFGCGCGTLSVASSLLDAEHVVGIDIDPQSLELAQENAADLELDIDLIQCDIKNLNLRGLLVDTVVMNPPFGTSRKGADMEFISMGMKVATRAVYSLHKTSTREHIKKVALRNCNAISAEVLCELRYNLPRTYKFHKQNEVDIAVDFWRFVPRARDEGSTACSVTNLKQRRMHR >ONIVA06G05370.1 pep chromosome:AWHD00000000:6:3654570:3659232:-1 gene:ONIVA06G05370 transcript:ONIVA06G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELTKALKSVSSDLLDRFIDSVYKFSEQPYLNEGNFGPVNEIGDEVFIDDLNGEVPKDFPEGVYIRNGPNPLNASQTAAESIFGPTSYMYYEGHGMLHAIYLSKSNLGEWRISYKNKYVDTDTFELERKKNKIAFLPSAEGEPYATLVAFLLNTVRFGKPVKDSANTSIFQHAGRAFAATENHLPYEIDINNLRTLGPYNINGAWDQPFTSHPKKICGSGELVTMGTNIEKPHYVLGVISSDGERLLHKVDLKFEEGKLIHDIGVTAQFIQNDMGGISRIGVMPRFGDADSIIWFDVENHCSYHLFNCFEDGNEVVIRGCRTLDSVLSSASHDDDKSKCSGRAFLQPDKNSEGFDPSVDGTLFSRPYEWRLNLKSGTTKEGYLTDEKVAMNFPVINEDFVGVKNNYGYAQVVDSVATSEIGLFKYNRIAKVHFDRQDKENKQLKSVEYHVLKEKTFCSGVQFVAKENGIDEDDGWIITYVHDELTNVSQVYIIDAKRFAEEPVLKITLPQRVPYGFHGNFFYK >ONIVA06G05360.1 pep chromosome:AWHD00000000:6:3651966:3660489:1 gene:ONIVA06G05360 transcript:ONIVA06G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16330) TAIR;Acc:AT4G16330] METAAAAPPRVQALADAGVSLLPAQYVQPPELRPDPTTTTHRRAPTAASLSVPVVDLSSPGAGDAVRRACAEWGAFHVVGHGVRPGLLDAMRGAGLAFFRSPMEEKLRFACDQARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPDSRRDPTRWPDFVPGYRDTVVKYSDSMKDLAQKLLRIVSESLNLPPSYIEEAVGEVYQNITVSYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGLWIPVPSLPDGILVILADQTEIITNGRYKSAVHRAIVNADRARLSVATFYDPSKSRKICTAPQLVSKEHPQKYRDVIYGDYVSSWYSKGPEGKRNIDALLIEQ >ONIVA06G05360.2 pep chromosome:AWHD00000000:6:3651966:3654749:1 gene:ONIVA06G05360 transcript:ONIVA06G05360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16330) TAIR;Acc:AT4G16330] METAAAAPPRVQALADAGVSLLPAQYVQPPELRPDPTTTTHRRAPTAASLSVPVVDLSSPGAGDAVRRACAEWGAFHVVGHGVRPGLLDAMRGAGLAFFRSPMEEKLRFACDQARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPDSRRDPTRWPDFVPGYRDTVVKYSDSMKDLAQKLLRIVSESLNLPPSYIEEAVGEVYQNITVSYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGLWIPVPSLPDGILVILADQTEIITNGRYKSAVHRAIVNADRARLSVATFYDPSKSRKICTAPQLVSKEHPQKYRDVIYGDYVSSWYSKGPEGKRNIDALLIEQ >ONIVA06G05350.1 pep chromosome:AWHD00000000:6:3648580:3649957:1 gene:ONIVA06G05350 transcript:ONIVA06G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFHDGHHVWLRSRANGLYLCADDDRSGVSLQQDRASVHAAWAVHILHLDGGDILMLHSAANGRYLAAYRAEGSWNVERLNLNRLPSLTFSWYALGSRYGDDVLLRHFKSMFFLRALFRRDRISNSGAVGLCAMDRGTTTMQWVVEAIPPRESIPTLPDPLPPSSLSGVYRIWYVRANPDGIISPNDWRLFLFYGRSVRNLSALLSIELGIRRPSDAILCVRAGFFGRLTPLVTNLPHNNMLLNLDIVVITAGTSGEISFYSDRFTYLHVHSFCLESLVHIALRYISRNAVACFMTNSVLIPF >ONIVA06G05340.1 pep chromosome:AWHD00000000:6:3645705:3646723:-1 gene:ONIVA06G05340 transcript:ONIVA06G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETETERESSAVQVSELADDPEPRCMSLEETKQLIGYMNTIVDSLLKIVDSGYSPYPVEEIHEIIRDIREEGCAASRILAASQPATQCPLPSRSRIKHHAHSTSIRPDLCIKMHTCAVYRYGNGIGSGGARSGNPRPVLGLYRLPTYSNLKQGSRM >ONIVA06G05330.1 pep chromosome:AWHD00000000:6:3642230:3643481:1 gene:ONIVA06G05330 transcript:ONIVA06G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFHDGHHVWLRSRVHGTYLRAGEDGSGVSLHEGRASVHAAWAVHILHLDGGDILMLHSAANGRYLAAPRTGWSWNSVDLRDLNQLPSFTVGWFAVTAGSGDYVMLRHSSSGLFLRADGGNLLCNSVGVVVDMFDFRRREIRQWVVEAIPPRDSMPILPNPSPTAFSWCRIWYVRASPQGNFRREDWRSLLFHGRSVFHLRNRLASQLRIRESSDAILCVRAGSTGRVTPLVTDLPRNTLVIDIVVITAGTNGEISFYSDRLHIYMFMVLLKS >ONIVA06G05320.1 pep chromosome:AWHD00000000:6:3638238:3642678:-1 gene:ONIVA06G05320 transcript:ONIVA06G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTHCLISLRRKSNMSTTTPTLLHRSSEEEPATTVTQHDSPEPAVTANHPTVNDGSWLRSRRSTLFHDHPVLGAARYRPFAALWSMRMSTSPASRWCVCTVHAACTDARPWPMETPLPSSSARRYVPWARLRSHTWCPSWNCSIASLRRAAEAAAAGLLMSCLSGRRAAEAEAEAARRLVSCALRREERSLLRLGALSVSAKAAAI >ONIVA06G05310.1 pep chromosome:AWHD00000000:6:3634591:3640859:1 gene:ONIVA06G05310 transcript:ONIVA06G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFQDRHHVWLRSREHGLYLHADLADGSSVYLHPYRATARAAWAVHVLHHFDGRMLMLHSAANGRYLAATTSPWAATAARFGLGGGNRVTLRDLDRLPMFAAGWFPIVSASGDVLLGHASDRFLRAIDRGDGNGVTVEVSDSRRPNTPWVVEAIPPIESIPRLPHLVGIGHIARAIRFVRAERASTDGTFPHVAWACFEFTGRSLFNLRTELARRLNFAVVSDVIMCVRAGLFGRLTPLITDLPPNNVTMEIIVVTAGTIDANELRFPNAMADARAAAAAIAARRGVGQNPIRRWASARRRAPLLGPPLLLCCRGDRMSSVLTRTRGKAHISSMAFTGAVVVDDDAGRAVGQQLALVASGETGMTSSSMWDQRAHLSEEPELRPHLAPSRNKLLSSRRRAQETKRRAASASASAARRPERQDIKSPAAAASAARRREAMEQFHDGHHVWLRSRAQGTYLRADDDGRGVSMGQGRASVHAAWTVHTHHLDAGDVDILMLHSAANGRYLATGLGWTRRRLLSGNRASIILRDLDQEVFPPACWFAIRSGWGDDVLLRHCSWRFLRADDRKWNWNRNGTGVIADMIDGRRLARWQWVVEAIPPRNSIPRPPNPSPSFGFFARRIIFRRLTHNDLQWVWIWFTGRSALHLWNQLSRRMGFEPDPNSTMCVRAGTYGRLTPLVTDLPRNNATMVIFVLPPESLAGLGLTCPNVHAA >ONIVA06G05300.1 pep chromosome:AWHD00000000:6:3625518:3632512:-1 gene:ONIVA06G05300 transcript:ONIVA06G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARESLAKSLEAQVSDSTSGALLLRCNQLHIAATKQLIASLVAINDTLEELVDAGFKPLPVDEFLKMIRDIGDAGETMAAESMEQIDASLKLLLASLPQEDDDNGGCGGAAGEEGIGGDGTQLQISRLYVYTKAKLSDSPSGSLLLRCNLLHLATTRQLIASLRVIYDTLEEFVDAGFIPLHSDDFLEMIRDIRDAGETLAADSLDQIDASLAALFASLPPEDDDNGGGAGEEGIGGEDTSHGTQLQISCRL >ONIVA06G05290.1 pep chromosome:AWHD00000000:6:3623110:3623415:-1 gene:ONIVA06G05290 transcript:ONIVA06G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREASAARSSVQLSELPDPETSRIRVEETKQLVADMQSIIEALLVFVDAGCSPVPVDEIHEMIRHMREVGCPLVRRSLDQIRRDTEALLAAAAALEPRP >ONIVA06G05280.1 pep chromosome:AWHD00000000:6:3618914:3623517:1 gene:ONIVA06G05280 transcript:ONIVA06G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGEGDGEGASIRRGRGRVMGSEGEGEGEGEGEEVKWAMLFTLPIPVTDEELPPTRDLAMSKQPPPLISFLCLPQRSLGEAAHCFVEIVCADSAGRLLLSAKPRPAPAATTSAALPPRQGVIIICDATNLKVMRLPLPVGATCDDNERGFPCLAVLSDPRDEEDGDAYIVVLLHADASGAFQEVLCYESKTGGVLDTQTHDQDWSDTETHDQDWSEKLLSCNSQQPPRGWHRHSHSHRAIPLDGEVCWIDAAYGLVLCEVLLEDPRLRYVQLPEGCTMDEDDDMGSPAVVEKLRRRCIGVSDGKLRYLQIDSSGQSIAVWTLMTLKDVTFWEHTFSVDLVSLRADKSFQEAGLNPHIFPSVAGIHPIDTSTIFLVQNSIIFSVSSDTTTSSIKVGDHHKFLLNENEITPSLFLLPWLVHDPADLLPQETPPRSNCSKRWLRALKLKQALKRGIVWLSDNHEVVTHVGDVIDFLTIPAGGSFRSAAICVKKVGSYCSKTGKILKFHNSRLHANNPVKALPMEDFQIINDVDEDVESLSMEGIHIVKNVNEARRFINQWISCQQPHSCIMDVAKSVGVEKCINILTYLGGENATFMLMNSPTFLEKTGNNLRKSSDLKEWVYLKE >ONIVA06G05280.2 pep chromosome:AWHD00000000:6:3618914:3623517:1 gene:ONIVA06G05280 transcript:ONIVA06G05280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGEGDGEGASIRRGRGRVMGSEGEGEGEGEGEEVKWAMLFTLPIPVTDEELPPTRDLAMSKQPPPLISFLCLPQRSLGEAAHCFVEIVCADSAGRLLLSAKPRPAPAATTSAALPPRQGVIIICDATNLKVMRLPLPVGATCDDNERGFPCLAVLSDPRDEEDGDAYIVVLLHADASGAFQEVLCYESKTGGVLDTQTHDQDWSDTETHDQDWSEKLLSCNSQQPPRGWHRHSHSHRAIPLDGEVCWIDAAYGLVLCEVLLEDPRLRYVQLPEGCTMDEDDDMGSPAVVEKLRRRCIGVSDGKLRYLQIDSSGQSIAVWTLMTLKDVTFWEHTFSVDLVSLRADKSFQEAGLNPHIFPSVAGIHPIDTSTIFLVQNSIIFSVSSDTTTSSIKVGDHHKFLLNENEITPSLFLLPWLVHDPADLLPQETPPRSNCSKRWLRALKLKQALKRGIVWLSDNHEVVTHVGDVIDFLTIPAGGSFRSAAICVKKVGSYCSKTGKILKFHNSRLHANNPVKALPMEDFQIINDVDEDVESLSMEGIHIVKNVNEARRFINQWISCQQPHSCIMDVAKSVGVEKCINILTYLGGENATFMLMNSPTFLEKTGNNLRKSSDLKEWVYLKE >ONIVA06G05280.3 pep chromosome:AWHD00000000:6:3618914:3622109:1 gene:ONIVA06G05280 transcript:ONIVA06G05280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGEGDGEGASIRRGRGRVMGSEGEGEGEGEGEEVKWAMLFTLPIPVTDEELPPTRDLAMSKQPPPLISFLCLPQRSLGEAAHCFVEIVCADSAGRLLLSAKPRPAPAATTSAALPPRQGVIIICDATNLKVMRLPLPVGATCDDNERGFPCLAVLSDPRDEEDGDAYIVVLLHADASGAFQEVLCYESKTGGVLDTQTHDQDWSDTETHDQDWSEKLLSCNSQQPPRGWHRHSHSHRAIPLDGEVCWIDAAYGLVLCEVLLEDPRLRYVQLPEGCTMDEDDDMGSPAVVEKLRRRCIGVSDGKLRYLQIDSSGQSIAVWTLMTLKDVTFWEHTFSVDLVSLRADKSFQEAGLNPHIFPSVAGIHPIDTSTIFLVQNSIIFSVSSDTTTSSIKVGDHHKFLLNENEITPSLFLLPWLVHDPADLLPQETPPRSNCSKRWLRALKLKQALKRGIVWLSDNHEVVTHVGDVIDFLTIPAGGSFRSAAICVKKVGSYCSKTGKILKFHNSRLHANNPVKALPMEDFQIINDVDEDVESLSMEGIHIVKNVNEARRFINQWISCQQPHSCIMDVAKSVGVEKCINILTYLGGENATFMLMNSPTFLEKTGNNLRKSSDLKEWVYLKE >ONIVA06G05280.4 pep chromosome:AWHD00000000:6:3618914:3622183:1 gene:ONIVA06G05280 transcript:ONIVA06G05280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGEGDGEGASIRRGRGRVMGSEGEGEGEGEGEEVKWAMLFTLPIPVTDEELPPTRDLAMSKQPPPLISFLCLPQRSLGEAAHCFVEIVCADSAGRLLLSAKPRPAPAATTSAALPPRQGVIIICDATNLKVMRLPLPVGATCDDNERGFPCLAVLSDPRDEEDGDAYIVVLLHADASGAFQEVLCYESKTGGVLDTQTHDQDWSDTETHDQDWSEKLLSCNSQQPPRGWHRHSHSHRAIPLDGEVCWIDAAYGLVLCEVLLEDPRLRYVQLPEGCTMDEDDDMGSPAVVEKLRRRCIGVSDGKLRYLQIDSSGQSIAVWTLMTLKDVTFWEHTFSVDLVSLRADKSFQEAGLNPHIFPSVAGIHPIDTSTIFLVQNSIIFSVSSDTTTSSIKVGDHHKFLLNENEITPSLFLLPWLVHDPADLLPQETPPRSNCSKRWLRALKLKQALKRGIVWLSDNHEVVTHVGDVIDFLTIPAGGSFRSAAICVKKVGSYCSKTGKILKFHNSRLHANNPVKALPMEDFQIINDVDEDVESLSMEGIHIVKNVNEARRFINQWISCQQPHSCIMDVAKSVGVEKCINILTYLGGENATFMLMNSPTFLEKTGNNLRKSSDLKEWVYLKE >ONIVA06G05270.1 pep chromosome:AWHD00000000:6:3600543:3608300:-1 gene:ONIVA06G05270 transcript:ONIVA06G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCGSPICSEQDVVSCAMKETLDSSTCVNHLVVISIVAVLTVALVHQLLMKIPKSRASARQLVAFNSLLQLAAVVFTGCLGLLNLGLGLWMVGISFNQETSIYRPHWWLVILAQGFSLILTSFSFSIRPRFLGATFVQFWSLLLTICAAFICCCSVVYMVGEKEITIKACLDVLLLPGALILLLYAIRHSRDEEGYETTENALYMPLNTERDHGTADSESHVTPFAKAGFFSVMSFWWLNPLMKMGYAKPLEEKDMPLLGSTDRAQNQYLMFLEMMNRKKQLQSHATPSVFWTIVSCHKSGILISGFFALLKVVTLSSGPLLLKALINVSLGEGTFKYEGIVLAVTMFVCKFCESLAQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQIWTTSVQLCIALAILYNAVGLATVSSLVVIIITVLCNAPLAKLQHKYQSKLMEAQDVRLKAMSESLVHMKVLKLYAWESHFKKVIEGLREVEYKWLSAFNLRKAYNSFLFWSSPVLVSAATFLTCYLLRVPLNASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRVVKFLDAPELNGQCRKKYIAGTEYPIALNSCSFSWDENPSKHTLRNINLVVKSGEKVAICGEVGSGKSTLLASVLGEVPKTEGTIQVCGKIAYVSQNAWIQTGTVQENILFGSLMDEQRYKETLEKCSLEKDLAMLPHGDSTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGKIIRSAPYQDLLEYCQEFQDLVNAHKDTIGISDLNNMPLHREKEISMEETDDIHGSRYRESVKPSPADQLIKKEEREIGDTGLKPYILYLRQNKGFLYLSICVISHIIFISGQISQNSWMAANVQNPSVSTLKLIVVYIAIGVCTLFFLLSRSLSIVVLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFFFMFSISASLNAYSNLGVLAVITWQVLFISVPMIVLVIRLQRYYLASAKELMRINGTTKSSLANHLGESISGAITIRAFEEEDRFFAKNLELVDKNAGPCFYNFAATEWLIQRLELMSAAVLSFSALVMVILPPGTFSPGFVGMALSYGLSLNMSLVFSIQNQCNLANQIISVERVNQYMDITSEAAEVIKENRPAPDWPQVGKVELRDLKIKYGQDAPLVLHGITCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKVVEYDKPTKLMETEGSLFRELVKEYWSYASSGNV >ONIVA06G05260.1 pep chromosome:AWHD00000000:6:3598329:3600915:1 gene:ONIVA06G05260 transcript:ONIVA06G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASSSSSLLLLMFLAFLDHGAAVANLSSIEAAVRDRAFQLFRRTSEIVAVDVPAVLAGAGVEASATRVRSSALWADGVNATVPGLAVAVPPRVVPAPFARRVAIVFVRFLGDASSWLFDAPPGYELAAPVVALLAFDASGPNGGVALRALGAPVRVEFRDISPASGFNATAARCLTFSSGGGKVVAAHAVAMEPGPSCVVSGTATGHYGVAVRVETPPPPPPPRPPPVRERWWVWKVGATAGGVAAASFLAVTVVGAVRWRRRRRREEMERRAMCGEELGRMAVRGSRMPSAKMNTHGQKLHACTSTTYCSNFG >ONIVA06G05250.1 pep chromosome:AWHD00000000:6:3594534:3597520:1 gene:ONIVA06G05250 transcript:ONIVA06G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREIWASGPSDLREVLCASLCDGGFGLEGERRRRRWGMAAAATATLRWVLQMHRDVPRAARFYSEGLDFSVNVCTLRWAELQSGPLKLALMHTNDSISTKFGQDGVSINCSQALLFSLWKGSKLVYSVQMCSNLASQRIYSSMLSFTVPDINSTVTKLLSLGAELDGPIKYEIHGKVAAVRCIDGHMLGLFEPA >ONIVA06G05240.1 pep chromosome:AWHD00000000:6:3591232:3594102:-1 gene:ONIVA06G05240 transcript:ONIVA06G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKSKGPKFAAVKKIITKKTIQKYKEDVLNPKKKDNEKEKLGRNVSSALFFSYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITRHRYSIERLPEATIGGGNVFCAGGSLYQIRLLC >ONIVA06G05230.1 pep chromosome:AWHD00000000:6:3587972:3589554:-1 gene:ONIVA06G05230 transcript:ONIVA06G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQGRRDSATPSLGAEGGESFTSPAETRLCGVRFKCSMKCLTESGHGDSFAGEVTDMTTCRCSLLRTGND >ONIVA06G05220.1 pep chromosome:AWHD00000000:6:3584271:3587829:-1 gene:ONIVA06G05220 transcript:ONIVA06G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIFSVAEGTVRSLLSKLSSLLSQESWFVRGVHGDIQYIKDELESMNAFLRYLTVLEDHDTQVRIWMKQVREIAYDAEDCIDQFTHHLGESSGIGFLYRLIYILGKLCCRCRIAMQLQELKARAQDVSERRSRYEVMLPKTTLQGAGPRLTRHASRHLDPQLHALFTEEAQLVGLDEPRDKLVRWVMEADPCRRVLAIVGFGGLGKTTLARMVCENPMVKGADFHCCPLFIVSQTFNIRTLFQYMIRELIQRPNKAMAVAGGKHGHTMDGNMDGMERWEVAVLAEKVRQYLLDKRYIVIFDDIWTISAWESIRCALPDNKKGSRVIITTRNEDVANTCCSGPQDQVYKMQRLSDAASRELFFKRIFGSADISSNEELDEVSNSILKKCGGLPLAIVSIGSLVASKTNRTKEEWQKICDNLGSELETNPTLEVAKQVLTLSYNDLPYHLKACFLYLSIFPENYVIRRGPLVRRWIAEGFVNQRHGLSMEEVAESYFDEFVARSIVQPVKIDWSGKVRTCRVHDMMLEVIISKSLEENFASFLCDNGHPLVCHDKIRRLSIHNSHNSVQRTRVSVSHVRSFTMSASVEEVPMFFPQMRLLRVLDLQGSSCLNNSTLNYICKFYQLKYLTLRKTNIGKLPRLIGNLKYLETLDIRATRIKRLPASASNLSCLKHLLVGHKVQLTRTTSVKCFRPDSGLEMTAGVVKNMMALQSLAHIVVKERPAVLSEIGQLQKLQKLNVLFRGVEENWNAFLQSLVKLTGSLRSLSIHILDEKEHSSSLEYLALIAESPPLFIRNFSLKGKLQRLPPWIPSLRNVSRITFRDTGLHAEAIGVLGDLPNLLCLKLYQRSYADDHIFFAHGNFLKLRMLVIDNMENIRNVHFEKGSVPNLEWLTIAFLREPKDGITGLENLLKLKEIEFFGDIILSMVTKVASCMKAHPNRPRVIGDKWNNVTEYA >ONIVA06G05210.1 pep chromosome:AWHD00000000:6:3579189:3583048:-1 gene:ONIVA06G05210 transcript:ONIVA06G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIVSLTEGAVRGLLRKLAGVLAQESSPAQRVHGEVQYIKDELESMNAFLRSVSTSPEDAAGHDDQVRVWMKQVREIAYDAEDCIDVFVRGRSHPAASGGDEGRLVASLRRFVRLLAGALGVGGGDRSVAAQLRELKARARDAGERRTRYGVSLAAAAVRGGGGSSSSGRLDPRLHALFTEEAQLVGIDGPREELVGWVMEEEPRLRVLAVVGFGGLGKTTLARMVCGSPRVKGAADFQCSPPLVVVSQTFSITALFQHLLRELIQRPRKAMAAVAAAAAAGGDLVAYDALQGMERYIVILDDIWSSSAWESIKCAFPDNKKGSRIIVTTRNEDVANTCCCRPQDRIYKIQRLSDAASRELFFKRIFGMADAGAPDDDELKQVSDSILKKCGGLPLAIVSIGSLLASKPNRSKEEWQKVCDNLGSELESNPTLEGTKQVLTLSYNDLPYHLKACFLYLSIFPENHVIKRGPLVRMWIAEGFVTQRHGLSMEQIGERYFDEFVSRSMVHPVRIDWSGKVRSCKVHDIMLEVIVSKSLEENFASFFCDNGTELVSHDKIRGLSIRSSSYSSAQRTSNSVAHVRTFRMSPSIDNIPFFFPQLRLLRVLDMQGSRCMSNKNLDCICRFFQLKYLSLRNTSVSILPRLIGNLNHLETLDIRETLIKKLPSSAANLTCLKHLLAGHKEQLTRTSSVKFLRPSSGLKMSHGVIRNMAKLQSLVHVEIKEHPSVFQEIALLQNLRKLSVLFYGIEVNWKPFLELLNMLSGSVRSLSIDIFDAQGNISISSLEMLSSLVSPPIFITSFSLTGKLGSLPPWVASLRSVSRLTLRRSQLRADAIHVLGGLQNLLCLKLYHKSYADDRLVFPQGGFSRVKLLIVDNLVNLEKLHFNEGSMPNLERLTLSFLREPKDGISGLNNLLKLKEVEFFGNIVSSVVSKVVSCVKDHPNHPRVVGDKWNIVTVYN >ONIVA06G05200.1 pep chromosome:AWHD00000000:6:3572660:3576494:-1 gene:ONIVA06G05200 transcript:ONIVA06G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVFSLTEGAVRSLLCKLGCLLTEDTWLVQGVHGEIQYIKDELECMNAFLRNLTISQIHDDQVRIWMKQLQELKARAQDVGERRSRYGVELAKATHEEAHPRLTRHASLHIDPQLHALFAEEAQLVGIDEPRNELVSWLMEEDLRLRVLAIVGFAGLGKTTLARMVCGSPVVKSADFQCCPLFIISQTFNIRALFQHMVRELIQEPHKAMAIAGCKHGLITDDYLEGMERWEVAALTKNLRRYFQDKRYIVILDDIWTVSAWESIRCALPDNLKGSRIIVTTRNADVANTCCSRPQDRIYNIQRLSETTSRELFFKKIFGFADDKSPTDEFEEVSNSVLKKCGGLPLAIVNIGSLLASKTNRTKEEWQKVCNNLGSELENNPTLEGVKQVLTLSYNDLPYHLKACFLYLSIFPENYVIKRGPLVRKWIAEGFVSQRHGQSMEQLAESYFDEFVARSIVQPVRTDWTGKLKYLSLRNTNVSKLPHLLGNLKHLETLDIRATLIKKLPASAGNLSCLKHLFAGHKVQLTRTASVKFLRQSSGLEVATGVVKNMVALQSLVHIVVKDKSPVLREIGLLQNLTKLNVLLRGVEENWNAFLESLSKLPGPLRSLSIHTLDEKEHSLSLDNLAFVESPPLFITKFSLAGELERLPPWIPSLRNVSRFALRRTELHADAIGVLGDLPNLLCLKLYHKSYADNCIVFCHGKFVKLKLLIIDNLERIEKMQFDAGSVPNLERLTLSFLREPKYGISGLENLTKLKEIEFFGDIILSVVTKVASCVKAHPNHPRVIGDKWNIVTEYA >ONIVA06G05200.2 pep chromosome:AWHD00000000:6:3572660:3576494:-1 gene:ONIVA06G05200 transcript:ONIVA06G05200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVFSLTEGAVRSLLCKLGCLLTEDTWLVQGVHGEIQYIKDELECMNAFLRNLTISQIHDDQVRIWMKQVREIAYDSEDCIDEFIHNLGESSEMGFFGGLISMLRKLACRHRIALQLQELKARAQDVGERRSRYGVELAKATHEEAHPRLTRHASLHIDPQLHALFAEEAQLVGIDEPRNELVSWLMEEDLRLRVLAIVGFAGLGKTTLARMVCGSPVVKSADFQCCPLFIISQTFNIRALFQHMVRELIQEPHKAMAIAGCKHGLITDDYLEGMERWEVAALTKNLRRYFQDKRYIVILDDIWTVSAWESIRCALPDNLKGSRIIVTTRNADVANTCCSRPQDRIYNIQRLSETTSRELFFKKIFGFADDKSPTDEFEEVSNSVLKKCGGLPLAIVNIGSLLASKTNRTKEEWQKVCNNLGSELENNPTLEGVKQVLTLSYNDLPYHLKACFLYLSIFPENYVIKRGPLVRKWIAEGFVSQRHGQSMEQLAESYFDEFVARSIVQPVRTDWTGKVRSCRVHDLMLDVIVSRSIEENFASFLCDNGSTLASHDKIRRLSIHSSYNSSQKTSANVSHARSFTMSASVEEVPFFFPQLRLLRVLDLQGCSCLSNETLHCMCRFFQLKYLSLRNTNVSKLPHLLGNLKHLETLDIRATLIKKLPASAGNLSCLKHLFAGHKVQLTRTASVKFLRQSSGLEVATGVVKNMVALQSLVHIVVKDKSPVLREIGLLQNLTKLNVLLRGVEENWNAFLESLSKLPGPLRSLSIHTLDEKEHSLSLDNLAFVESPPLFITKFSLAGELERLPPWIPSLRNVSRFALRRTELHADAIGVLGDLPNLLCLKLYHKSYADNCIVFCHGKFVKLKLLIIDNLERIEKMQFDAGSVPNLERLTLSFLREPKYGISGLENLTKLKEIEFFGDIILSVVTKVASCVKAHPNHPRVIGDKWNIVTEYA >ONIVA06G05190.1 pep chromosome:AWHD00000000:6:3564946:3571915:1 gene:ONIVA06G05190 transcript:ONIVA06G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tyrosylprotein sulfotransferase [Source:Projected from Arabidopsis thaliana (AT1G08030) TAIR;Acc:AT1G08030] MAFGGLALALALLVVSVNLLQVASSDGDHARCEGVVKGWAASVAGSEGKDGDKLSLRDLLFFLHIPRTGGRTYFHCFLKKLYTNAEECPRSYDKLRFDPSHPDCKLVVSHDDYSFMSKLPSERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSAKLMTSRVLTKSRAVSTLDIWPWKYLVPWMREDLFARRDARGIDKVRSSHRVNAYDVEDMVMPLHQYINDPVAHEIIHNGATFQITGLTNNSYLSGAHEVRHCVRKHPDLGHFVLQVAKSRLDRMLYVGLTEEHEESARLFAHMVGAQVLSQSGALNLDIKDNQPTGNDSHSSTLDPEDEETNEHLNSTHGSQNNRALNAADTVKNDHGKGNLTVGKLMEAYEGCISKLRKSQSNRRKISLRKVEGANFSKEARWQVPEAVLEQIISLNSLDMELYEHAKKIFTQEHLMLKIQQSTVIQHKQLTDQKGWIEMVCSSWSCSPWKVVLFGLGVTITIALIILALTTRRRTFKLKVLVVDKLPQMSNETIDCFARKRERNTRCHYVSLN >ONIVA06G05180.1 pep chromosome:AWHD00000000:6:3558494:3561744:1 gene:ONIVA06G05180 transcript:ONIVA06G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDIHLLLSILADGEEQARQLGEPAAAADDEYHGGGRGEEYYRGVARQLQGTLARAMGIARAIEAAAFAGGGGGGGASGSRGTTGDRSDSPRSADESSGRTARDAAVAQQERHHDTIKRRKGLPRWTEKFRVPDASLEATPDDGFSWRKYGQKDILGAKFPRGYYRCTYRNAQGCPATKQVQRSDADLAVFDVTYQGAHTCHQKQRRAAAAGDQPPPPPPQADPSVELLVNFRHGLKVETNGLAPPPPPPPTTTTNFHDDQHFCFPSMPPFHAGVGPPPPPDDALGGGGCNNFSSPPFVSPAGSAAGESYFSMEHSYEPRGGGGHFVMSRGDSSELHEVVSAAASSSAVVDPAAAAGGFDYPLYHGEVDPHLPFPPLFGHASMYGQYRDA >ONIVA06G05170.1 pep chromosome:AWHD00000000:6:3548509:3557314:1 gene:ONIVA06G05170 transcript:ONIVA06G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHLVESEKAAENAGPTYRNVLAKDAGLLRPPPGVESCWDVFRNSVEKYPDSPMLGRRRVVDDGKAGEYVWMTYKEVYDVVMKLAASISKSGISKGESCGIYGANCPEWIISMEACNALGVSCVPLYDSLGAGAVEFIVCHAEIQIAFVEERKIAELLKTCHATSKYLKTIISFGGVTNDQKEEAKNHGMSIFSWEEFLIMGGDHHFDLPEKKKSDICTIMYTSGTTGDPKGVMISNESLLVNITGADCVTRSIGEPFDHDDVYMSYLPLAHIFDRIFEELFISHGSKIGFWRGDVKLLVDDIAALKPTVFCAVPRVLDRIYSGLTGKISSGGILKKALFNIAYKLKLDSMRKGIKHEKAAPFFDKLVFSKVKERLGGKLRFIVSGGAPLSVAVEEFLRVVTCASVVQGYGLTETGAASFVAIPNDFSMVGTVGPPVQHLDARLESVPEMGYDALSSIPRGEVCVKGSVLFSGYYKREDLTQEVMIDGWFHTDDDYMPNTMYNTGDVGEWQPNGSLKIIDRKKNIFKLSQGEYVAVENLENVYGVLQEIDSIWIYGNSFESFLVAVINPNQQVLEHWAEQNGISGSLSELCENSRAKEYILSELTKIAKEKKLKGYEFIRAVHLDPLPFDMERDLITPTYKKKRPQLLKHYQGTIDALYKMAK >ONIVA06G05160.1 pep chromosome:AWHD00000000:6:3546484:3547211:1 gene:ONIVA06G05160 transcript:ONIVA06G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLG4] MSISMTSTAAAVAVALLVVAGGAGQAAAGTSDLCGLAETAFGECTAYVAGGEPAVSRRCCRALGDIRDLAATAAERRAVCACILSEMLAAGDGRVDSGRAAGLPAACNVRVGFIPTSPNFNCFRVR >ONIVA06G05150.1 pep chromosome:AWHD00000000:6:3545082:3545450:1 gene:ONIVA06G05150 transcript:ONIVA06G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSHLRRAFAPALSHRRAHPAPFLSRLAFLSTSASPDQAAAAAKKGEAAAGGAGKGAAAGEEEEEKKKGGDAGGARKEGEDGGGGGGEYVNEDTGEIGGPRGPEPTRYGDWERGGRCSDF >ONIVA06G05140.1 pep chromosome:AWHD00000000:6:3539354:3542263:1 gene:ONIVA06G05140 transcript:ONIVA06G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRDRDPLVVGRVVGDVLDAFVRSTNLKVTYGSKTVSNGCELKPSMVTHQPRVEVGGNDMRIFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTAASFGQEVMCYESPRPTMGIHRLVFVLFQQLGRQTVYAPGWRQNFNTKDFAELYNLGSPVAAVYFNCQREAGSGGRRVYN >ONIVA06G05130.1 pep chromosome:AWHD00000000:6:3523751:3525426:1 gene:ONIVA06G05130 transcript:ONIVA06G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRDDPLVVGRIVGDVLDPFVRITSLSASYGARIVSNGCELKPSMVTQQPRVVVGGNDMRTFYTLVMVDPDAPSPSNPNLREYLHWLVTDIPGTTGATFGQEVMCYESPRPTMGIHRLVFVLFQQLGRQTVYAPGWRQNFNTKDFAELYNLGSPVAAVYFNCQREAGSGGRRVYP >ONIVA06G05120.1 pep chromosome:AWHD00000000:6:3481974:3486388:1 gene:ONIVA06G05120 transcript:ONIVA06G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLG0] MAAAILLRRVPPARAQATALIAARSISDSTAPLTIETSVPFTSHIVDPPSRDVTTTPAELLTFFRDMSVMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRSDSIITAYRDHCTYLARGGDLVSAFAELMGRQAGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYRKEETATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHAIANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLILAHDLATAAELKDMEKEIRKEVDDAIAKAKESPMPDTSELFTNVYVKGFGVESFGADRKELRATLP >ONIVA06G05110.1 pep chromosome:AWHD00000000:6:3477038:3480603:1 gene:ONIVA06G05110 transcript:ONIVA06G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVMAAVPSSSPADAAEAVVMTEAVPSLPQRQQPVFVEGRGGKLRDHAYSRKQKSLGLLCSNFVALYNRDDVESIGLDDAARRLGVERRRIYDIVNVLESVGILVRKAKNRYSWIGFGGVPMALRELKMSDDEDEDKMGDADGDTESEKLSQPVDNPSDNKPGAPRCRLRSDHRKEKSLGLLTQNFVKLFLTMEVDTISLDEAAKLLLGEGHAENSMRTKVRRLYDIANVLSSLNFIDKIQQADSRKPAFRWLGSAGKPKAENGVTIAVPPPGKTISNKRAFGTELTNIDINRSRLDSTIPKKAKLTQSGGEILKNCKLSVQKQLGQGSKGGFVYGPFHPAGARKQELDNGNKGHTDNVQNWESLAASFRPQYQNQALGDLFAHYVEAWKSWYSEFAQGSSMMQQHFGMPVINQFL >ONIVA06G05100.1 pep chromosome:AWHD00000000:6:3470521:3476028:1 gene:ONIVA06G05100 transcript:ONIVA06G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGRARGGEPLKLRVNRCLLKLSDRDTEAMAAAELEAIARALAADELGAFVSAVSDARPTDKTPLRRHALRALALVAAAHPRDAVAPLVPRILAAALRRVRDQDSSVRAALVDTARAAAAASASASPALRPLTDALLHEQDQCAQTAAALATAAAVEASAPTADLASYIHKLQPRLLKLLRSNAFKAKPALISLIGVSASAAGAAEVTASVPCLRDTIASDDWAARKAAAEALAALALEHKDLLVSYKSSCITMFEARRFDKVKIVRDSMNRMIEAWKEIPDVEEDECSSGSASDGRYPVASSTRRNSLPASRSPPPDASPSVNKRHSSSSARNKKQSPPSYRKAGQAKNRDYKVDIAVTPDATPIKVVTEEKLLKGGNVRSRLEARRMLFQDGDDRASKVAGLKAGSRVVPYEEGGNMEEISEIGGGSERFQTGYKDEGLSEIRSQLLQIENQQSSLLDLIQKFMGKSENGMNSLETRVHGLEMALDEISRDLAASSGRTSNSEAHVNSCCILNPKFWRRHDASRYSSSFSVSDGRNSSEGSRTSYKWGRQKFGVQGGFVTNPLAEPNISSAARTATAQEGRRKDLTLPKSRMG >ONIVA06G05090.1 pep chromosome:AWHD00000000:6:3435721:3444742:1 gene:ONIVA06G05090 transcript:ONIVA06G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLKVTILVVAFVLSAGVHISAAAAAAAGQREEVHLVPAVYVFGDSTVDVGNNQYLPGNSPLQLPYGIDFPHSRPTGRFSNGYNVADFIAKLVGFKRSPPAYLSLTPQTSRQLMRGYRGANYASGGSGILDTTGTTVVTLTKQIVYFAATKSKMMSNGGGDGNSSSASASAIDDLLSKSLFLISDGGNDLFAFLRQSNRTASQVPSFYADLLSNYTRHVQALYSLGARRFGIIDVPPIGCVPSVRVTSPAGATRCVDAANDLARGFNSGLRSAMARLAGSGALPGMRYSVGSSYNVVSYLTANPAAAGFKVVNSACCGGGRLNAQVGCGAPNSTYCGNRNGYLFWDGVHGTQATSRKGAAAIYSAPPQMGFASPINFKQLFKPILGLLGTRSESEQVGESHEGVFLRYALFFRGRGAKTQRQNNPAGRGANSPVASRASRHELRNRKPSHASAAGMAMLLRRRLPLARLLRPLQAEAAASTTSSPPPLQNRPAAASPSHALGSRLGFLNGVPGAPGAREASAFTTAGFLAAGAAAALASLPVAYADANEVGVVDSAVSSDAAVKPVNPDAAVSSDVAVGEDLAHKERKRIMELIQSRGMPHGSYPQFDVAVKGQKVVVKFNVPSTCSLSDLIVDLVTHIGLEAEQGGGGSEMLLRAWNSVAARQITLNPHKKTTSNGDDNEDDLCVLIFEPLVGSQYSVSSYEVEFIKRGGFSLRELEALTSVLKLVGQKDVKQSSGKGNKSYTTRKGNGQRSKHVPSMEKTISDLEGMGVRVYGFDETSSIPMDGSGTVMWENIAGYEPQKREIEDTILLALQSPEVYDEIARATRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQTRAEISAQYAKHLTKSELFQFSLATEDKLLEGARYRWIYWDVRKRYQGYLPASRKTLGIKGDKYQKMIRGSRVFLQSKSMLHVLNNAEDLCRIEQHDKTYNSRDEVTVGNAFCNVCWPPWVAVLEDR >ONIVA06G05090.2 pep chromosome:AWHD00000000:6:3435721:3444742:1 gene:ONIVA06G05090 transcript:ONIVA06G05090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLKVTILVVAFVLSAGVHISAAAAAAAGQREEVHLVPAVYVFGDSTVDVGNNQYLPGNSPLQLPYGIDFPHSRPTGRFSNGYNVADFIAKLVGFKRSPPAYLSLTPQTSRQLMRGYRGANYASGGSGILDTTGTTVVTLTKQIVYFAATKSKMMSNGGGDGNSSSASASAIDDLLSKSLFLISDGGNDLFAFLRQSNRTASQVPSFYADLLSNYTRHVQALYSLGARRFGIIDVPPIGCVPSVRVTSPAGATRCVDAANDLARGFNSGLRSAMARLAGSGALPGMRYSVGSSYNVVSYLTANPAAAGFKVVNSACCGGGRLNAQVGCGAPNSTYCGNRNGYLFWDGVHGTQATSRKGAAAIYSAPPQMGFASPINFKQLFKPILGLLGTRSESEQVGESHEGVFLRYALFFRGRGAKTQRQNNPAGRGANSPVASRASRHELRNRKPSHASAAGMAMLLRRRLPLARLLRPLQAEAAASTTSSPPPLQNRPAAASPSHALGSRLGFLNGVPGAPGAREASAFTTAGFLAAGAAAALASLPVAYADANEVGVVDSAVSSDAAVKPVNPDAAVSSDVAVGEDLAHKERKRIMELIQSRGMPHGSYPQFDVAVKGQKVVVKFNVPSTCSLSDLIVDLVTHIGLEAEQGGGGSEMLLRAWNSVAARQITLNPHKKTTSNGDDNEDDLCVLIFEPLVGSQYSEVEFIKRGGFSLRELEALTSVLKLVGQKDVKQSSGKGNKSYTTRKGNGQRSKHVPSMEKTISDLEGMGVRVYGFDETSSIPMDGSGTVMWENIAGYEPQKREIEDTILLALQSPEVYDEIARATRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQTRAEISAQYAKHLTKSELFQFSLATEDKLLEGARYRWIYWDVRKRYQGYLPASRKTLGIKGDKYQKMIRGSRVFLQSKSMLHVLNNAEDLCRIEQHDKTYNSRDEVTVGNAFCNVCWPPWVAVLEDR >ONIVA06G05090.3 pep chromosome:AWHD00000000:6:3435721:3444742:1 gene:ONIVA06G05090 transcript:ONIVA06G05090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLKVTILVVAFVLSAGVHISAAAAAAAGQREEVHLVPAVYVFGDSTVDVGNNQYLPGNSPLQLPYGIDFPHSRPTGRFSNGYNVADFIAKLVGFKRSPPAYLSLTPQTSRQLMRGYRGANYASGGSGILDTTGTTVVTLTKQIVYFAATKSKMMSNGGGDGNSSSASASAIDDLLSKSLFLISDGGNDLFAFLRQSNRTASQVPSFYADLLSNYTRHVQALYSLGARRFGIIDVPPIGCVPSVRVTSPAGATRCVDAANDLARGFNSGLRSAMARLAGSGALPGMRYSVGSSYNVVSYLTANPAAAGFKVVNSACCGGGRLNAQVGCGAPNSTYCGNRNGYLFWDGVHGTQATSRKGAAAIYSAPPQMGFASPINFKQLFKPILGLLGTRSESEQVGESHEGVFLRYALFFRGRGAKTQRQNNPAGRGANSPVASRASRHELRNRKPSHASAAGMAMLLRRRLPLARLLRPLQAEAAASTTSSPPPLQNRPAAASPSHALGSRLGFLNGVPGAPGAREASAFTTAGFLAAGAAAALASLPVAYADANEVGVVDSAVSSDAAVKPVNPDAAVSSDVAVGEDLAHKERKRIMELIQSRGMPHGSYPQFDVAVKGQKVVVKFNVPSTCSLSDLIVDLVTHIGLEAEQGGGGSEMLLRAWNSVAARQITLNPHKKTTSNGDDNEDDLCVLIFEPLVGSQYSEVEFIKRGGFSLRELEALTSVLKLVGQKDVKQSSGKGNKSYTTRKGNGQRSKHVPSMEKTISDLEGMGVRVYGFDETSSIPMDGSGTVMWENIAGYEPQKREIEDTILLALQSPEVYDEIARATRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQTRAEISAQYAKHLTKSELFQFSLATEEKRYQGYLPASRKTLGIKGDKYQKMIRGSRVFLQSKSMLHVLNNAEDLCRIEQHDKTYNSRDEVTVGNAFCNVCWPPWVAVLEDR >ONIVA06G05080.1 pep chromosome:AWHD00000000:6:3432648:3437969:-1 gene:ONIVA06G05080 transcript:ONIVA06G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNYMGRINRPRTITGHKPANKDQIRIYHDSQCGRPVVGEVIERPHTQEGFMVVTGVMVPFGGEHYRSQHTITNSQCHGVYVSRSPIPLSFQALAAHARAHCCSALCRPRINGETRTYDVTMRGTLTCVRPYAAFMEDWAFGHGARAAAVAELERLEASS >ONIVA06G05070.1 pep chromosome:AWHD00000000:6:3430242:3431557:-1 gene:ONIVA06G05070 transcript:ONIVA06G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVCLACSHGYVPRFSSATLQKETRPVFPLQSSVPNIFYGTDTSRQQLQFLTTTPSPSSLPSSLPTEVDTVEEMKRLLVRGLFSSPSRGLLGKSPVRPALCNDALLSRFLSTEKDENTVTEIGEKARSTAEQFLKVAKEKTDEVSEKTKETMHETKEAVVGESDDEKEKFKQRVEEGSLQEFNWPSSNQKGIGKKRKGSDGDDLWL >ONIVA06G05060.1 pep chromosome:AWHD00000000:6:3428484:3428762:1 gene:ONIVA06G05060 transcript:ONIVA06G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSFGTSWADQWDYGGDPSPRAAARRDGHGGGKKQGGVEKTKAAAATGLRKVKEGTAHGFQWIKDKCQKKNAGGGKKQQADEESGIAGY >ONIVA06G05050.1 pep chromosome:AWHD00000000:6:3420902:3422020:1 gene:ONIVA06G05050 transcript:ONIVA06G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTSPRLALLVVVAAAAFVFVSPAMAFPMGLPATASPFPNPWSAFQNLSGCHAGEEREGLGRLKDYLSHFGYLPPPPSSSPYSDAFDDSLEAAIAAYQRNFGLNATGELDTDTVDQMVAPRCGVADVINGTSTMDRNSSAAALRGRHLYSYFPGGPMWPPFRRNLRYAITATSATSIDRATLSAVFARAFSRWAAATRLQFTEVSSASNADITIGFYSGDHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAEAWVASGDVSTSSSFGTAVDLESVAVHEIGHLLGLGHSSVPDSIMYPTIRTGTRKVDLESDDVLGIQSLYGTNPNFKGVTPTSPSTSSREMDGSAAAAGIRPWSGFVGLVVPAVVLLLAP >ONIVA06G05040.1 pep chromosome:AWHD00000000:6:3414899:3416110:-1 gene:ONIVA06G05040 transcript:ONIVA06G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASQPSLRSLPSLDVHDLNTSPSLHQFIATIKGHSSASAYVSALAVDGDSLYIASSDGSIRLWALDGARRSQEEQQQDDGCSSSSSSSTTVADTDSSVKSLLATGNGGLLLSSHQDGKIRAWRAGSRRRDGETRPQLVLRAVLPTAVDRLRTCLLPWSYVEIRRHRRCTWVHHVDAVTALAVSPDGALLYSASWDRSIKVWRLPGFRCVESIAAAHDDAINALAVSPDGRVYTGSADKKIKAWTRGPGQRKHALVGTMERHRSAVNALALGANGKVLYSGACDRSVVVWESAGGGDGGMEATGTLRGHARAILCLAAAGELVCSGSADRTVRVWRRGGAENNGYTCLAVMESHGAAVKSLALVRGGRDDDGSCSSEGSSALVCSGALDGDVKIWSVFIPCL >ONIVA06G05030.1 pep chromosome:AWHD00000000:6:3411602:3413641:1 gene:ONIVA06G05030 transcript:ONIVA06G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTWPAFLLAGAWGRAAAAAVAVAVRSGGGGGQERGQVKDLQSLLVPEGAHHPPHAALSSLSLSPPRVFHHIHVTACALRPQVAAAIWSKPGDGEGPRVLLYYMSLRGTYEDYHAVRTILWGLRAAIDERDLSMDSAFLLELAALLP >ONIVA06G05020.1 pep chromosome:AWHD00000000:6:3404789:3408502:-1 gene:ONIVA06G05020 transcript:ONIVA06G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKFGSFKSEKRSSAGAAAGAGAAAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNADDPVASDKFQEATFSYNILSDPDKRRQYDSSGFEAIEADSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVGISQLELGQSVFRKVEKQSAHFYSVDITDKEAKMGLVCRVQSTAKSKFKLLYFEPEENGGLSLALQEDSVKTGKVTSAGMFFLGFPVYRFEQNNSVAAAKDPDSAFFKRLDGFQPCEVNELKAGTHFFAVYGDNFFKSATYNIEVVCAEPFSTEKEKLRCVEAKILAKRSELSKFESEYREVLAKFTEMTSRYAQEMQTIDELLKERNAIHASYTNNTTLQRSSSSNKGKTSSKESKSDDDQTVKKEKKSKSKSMEGSRSDDDGPRKEKKPKERLRRKKWFNIHLKVDKRRPC >ONIVA06G05000.1 pep chromosome:AWHD00000000:6:3394856:3399312:-1 gene:ONIVA06G05000 transcript:ONIVA06G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT1G27520) TAIR;Acc:AT1G27520] MRSPPRPRRPVPARLVAAAVLLSALAGGAAAGAAIAGDGYGRGRRLYMRNKVLEMFYHAYDNYMTYAFPHDELKPLTKSFTDSLSELGNLNLEHLPNDYNGSALTLVESLSSLVVLGNLTEFERGVLWLSENLTFDVDARINLFECNIRLLGGLISGHILAKEHSSHLKDGPYQDQLLHLAENLGSRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSRLTGDSRYEAAALRALRKLWSMRSSLNLVGTTLDVLTGKWIEYSSGIGAGVDSFYEYLIKAYVLFGSEEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANISHREFYNVWQRFGVLPERYLLDFGMLHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLRNQNYIFTTEGHPLPIRSTWHEIIPTTHVSSNWTFVKDDSQPFRVSALSSQVCPETIFRQSVGSPWESACHVPDVLPTHRCRTDDDCGVEAVSCRRRTCSMAGYCGLWLGVY >ONIVA06G05000.2 pep chromosome:AWHD00000000:6:3394856:3399312:-1 gene:ONIVA06G05000 transcript:ONIVA06G05000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT1G27520) TAIR;Acc:AT1G27520] MRSPPRPRRPVPARLVAAAVLLSALAGGAAAGAAIAGDGYGRGRRLYMRNKVLEMFYHAYDNYMTYAFPHDELKPLTKSFTDSLSELGNLNVLNLVVLGNLTEFERGVLWLSENLTFDVDARINLFECNIRLLGGLISGHILAKEHSSHLKDGPYQDQLLHLAENLGSRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSRLTGDSRYEAAALRALRKLWSMRSSLNLVGTTLDVLTGKWIEYSSGIGAGVDSFYEYLIKAYVLFGSEEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANISHREFYNVWQRFGVLPERYLLDFGMLHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLRNQNYIFTTEGHPLPIRSTWHEIIPTTHVSSNWTFVKDDSQPFRVSALSSQVCPETIFRQSVGSPWESACHVPDVLPTHRCRTDDDCGVEAVSCRRRTCSMAGYCGLWLGVY >ONIVA06G04990.1 pep chromosome:AWHD00000000:6:3369711:3394517:1 gene:ONIVA06G04990 transcript:ONIVA06G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFMSEEELRLLGGDVAAVAERADAAIRELRQQVDTVRAEADAAAIAAEQTCALLEQRYDTLSAEADRFRAELAELAAASERRAADLASSQSEIHQLRIQAIAKDGEIERLKVEISEVHKSKSQSLELIEQRDAEIREKDGIIQNYHDKIVNLADSSASKGARIQEVEAKFTHLQATCNRITQEKDLLEKHNLWLDEELKEKVKNLAELRKSNMDEEARMSAKVAELERETSESSSSLRRSKERVSELEQRVSYMEKELCSTKDAAAANEQRLAAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETSAKRDLEMEATYLKQKLEKCESDLENTRKSSELSFTPLVAADPCDLAGSPMKEMAFFDPANQNDLMIVPKVPTGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHRRMVEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDHSIAQKEIDDLQKQIAVLLKECQDIQLRCGSILPNVGDGALSTSTSTGVPEVENNIHEHMTFNDINGLVQQNVQLRNQVHLLSADLDKRDMELRESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKSRSNVEHIPKNLEDDGRKDLMVLFEGSQEVSRKAYEQVSERAKSLDEELTKLRTELLSLRSERDKAVLEAEFARERLNGFTAELEHQRKEANSISLRNAELMHLVVDYEKRLRENSESMKAVEENSRKLLMEMSILKNEKEILAKSEKKALEEVHDLTTRVHRLQATIDTIHATEEVQENARSIERRNQEEYIKRLERDWAEVKKELQEQRDHVRVLTLDKKNAFDGCLKQVEDMRKELQNSWKAATDAESRAAVAEAKCSDLETKLKSRKTIFRDGGRDILSATEENDELFQLKEELEKYKEEAQANKSYMLQYKEIANSNESALKQMESALQDFKTESETIKKSLEDEITKLRTKISELEKCYIMKCEEAASAIEAKEKDTTSLMKEISVLRNEVSEKVIQIEKLETELASSKRALDEQYKRWRSAQDNYERQVILQSETIQELTSASKELSSLQQEIVVLRQTVETQKAENDGLRTLGEQEKIELVKGKDEALQKYNELNDQNKILHNQLEALHIRLAEKERNIAGLSSQRIDSHGEDDLHSVIGYLRRSKEIAETEISLLKQEKSRLQIELESALKSTKEAQDLLRSQTDSARTSMLKDEEFKSLQFQVRELNLLRESNIQLREENKHNFEECQVDAEICKKEIEMQKTEIANLNQKISELVENSRGVDLSTYETMKDELQNIKATLRENSAELERTKKLLSEKDSVIRNLEEKLAGCLSELDAREKKLNDVEASLKSEIDRHRKININIKRKLDASAKEKEELTKEKQSLSKQLEDLKSSQKTTTENSNEQAIKEKDFRIQTLEKVLEKERDDNKKEKAFRRRNEKVFTTAIQNMNQERKQVEESIEKHRQAVKEVIEHYAGISSQIPSGSAIDEQLRSYFLAIKAVEESPSPFQDGAASQTPSVESADVDASAATAGRQVATPPRPAQVKVVEERAVSTLPKPSTEVRRPGGRRPLVRPSLERVEEPQADIDTTVVEGSTEKGGLLMERETPGGVSALQPSSRKRLIPSPQMRDDASQGETTDANPPLKKPKEGSSQGTSELKTEQSPHEDVMAPVPVLPSTELDEQQPGEEMDTDQSSLPVEEVEETREDDLGDKDDMETHMDASMDIQGQDAETGIDNDATTVEDVPVKSEAVMESLEEDLKTEDGKEEGQFTATTDVEDEREEGELPDEPEQPDSTPPVLDVGEQAGDSFRAASPAGPTEKSDVDMPEETGEGDGTMESDQSPVPQSGGADASPSQMADASPSPAREPSPNPNPATAAEGVETRSTRTINLTERARQNRQARILRSATQQNARGRGNQSLTYRKDGGRGTRGRGGRGQS >ONIVA06G04990.2 pep chromosome:AWHD00000000:6:3369711:3394517:1 gene:ONIVA06G04990 transcript:ONIVA06G04990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFMSEEELRLLGGDVAAVAERADAAIRELRQQVDTVRAEADAAAIAAEQTCALLEQRYDTLSAEADRFRAELAELAAASERRAADLASSQSEIHQLRIQAIAKDGEIERLKVEISEVHKSKSQSLELIEQRDAEIREKDGIIQNYHDKIVNLADSSASKGARIQEVEAKFTHLQATCNRITQEKDLLEKHNLWLDEELKEKVKNLAELRKSNMDEEARMSAKVAELERETSESSSSLRRSKERVSELEQRVSYMEKELCSTKDAAAANEQRLAAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETSAKRDLEMEATYLKQKLEKCESDLENTRKSSELSFTPLVAADPCDLAGSPMKEMAFFDPANQNDLMIVPKVPTGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHRRMVEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDHSIAQKEIDDLQKQIAVLLKECQDIQLRCGSILPNVGDGALSTSTSTGVPEVENNIHEHMTFNDINGLVQQNVQLRNQVHLLSADLDKRDMELRESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKSRSNVEHIPKNLEDDGRKDLMVLFEGSQEVSRKAYEQVSERAKSLDEELTKLRTELLSLRSERDKAVLEAEFARERLNGFTAELEHQRKEANSISLRNAELMHLVVDYEKRLRENSESMKAVEENSRKLLMEMSILKNEKEILAKSEKKALEEVHDLTTRVHRLQATIDTIHATEEVQENARSIERRNQEEYIKRLERDWAEVKKELQEQRDHVRVLTLDKKNAFDGCLKQVEDMRKELQNSWKAATDAESRAAVAEAKCSDLETKLKSRKTIFRDGGRDILSATEENDELFQLKEELEKYKEEAQANKSYMLQYKEIANSNESALKQMESALQDFKTESETIKKSLEDEITKLRTKISELEKCYIMKCEEAASAIEAKEKDTTSLMKEISVLRNEVSEKVIQIEKLETELASSKRALDEQYKRWRSAQDNYERQVILQSETIQELTSASKELSSLQQEIVVLRQTVETQKAENDGLRTLGEQEKIELVKGKDEALQKYNELNDQNKILHNQLEALHIRLAEKERNIAGLSSQRIDSHGEDDLHSVIGYLRRSKEIAETEISLLKQEKSRLQIELESALKSTKEAQDLLRSQTDSARTSMLKDEEFKSLQFQVRELNLLRESNIQLREENKHNFEECQVDAEICKKEIEMQKTEIANLNQKISELVENSRGVDLSTYETMKDELQNIKATLRENSAELERTKKLLSEKDSVIRNLEEKLAGCLSELDAREKKLNDVEASLKSEIDRHRKININIKRKLDASAKEKEELTKEKQSLSKQLEDLKSSQKTTTENSNEQAIKEKDFRIQTLEKVLEKERDDNKKEKAFRRRNEKVFTTAIQNMNQERKQVEESIEKHRQAVKEVIEHYAGISSQIPSGSAIDEQLRSYFLAIKAVEESPSPFQDGAASQTPSVESADVDASAATAGRQVATPPRPAQVKVVEERAVSTLPKPSTEVRRPGGRRPLVRPSLERVEEPQADIDTTVVEGSTEKGGLLMERETPGGVSALQPSSRKRLIPSPQMRDDASQGETTDANPPLKKPKEGSSQGTSELKTEQSPHEDVMAPVPVLPSTELDEQQPGEEMDTDQSSLPVEEVEETREDDLGDKDDMETHMDASMDIQGQDAETGIDNDATTVEDVPVKSEAVMESLEEDLKTEDGKEEGQFTATTDVEDEREEGELPDEPEQPDSTPPVLDVGEQAGDSFRAASPAGPTEKSDVDMPEETGEGDGTMESDQSPVPQSGGADASPSQMADASPSPAREPSPNPVQAGAPPEQQNPTPPNPVQAGASSEQQNPATAAEGVETRSTRTINLTERARQNRQARILRSATQQNARGRGNQSLTYRKDGGRGTRGRGGRGQS >ONIVA06G04980.1 pep chromosome:AWHD00000000:6:3364506:3366555:1 gene:ONIVA06G04980 transcript:ONIVA06G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGRVERLCWSTSAAEVMRANPGHYIALVTLRVAEERQDGDGGARRTVRLTRVKLLKPKETLLLGHAYRLITTHEVTKAVQARKEEKVRKAQQQMEESRQKLQSKARAAASAAAEADEAAEENDNDSDNFDDEAALDASLDQVGLHGSEW >ONIVA06G04970.1 pep chromosome:AWHD00000000:6:3353105:3359230:1 gene:ONIVA06G04970 transcript:ONIVA06G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGAAPAPKHDELTPHPVKDQLPGVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGNNEDKAVVIQTLLFVAGINTLLQSFFGTRLPAVIGGSYTFVVPTISIILAGRYANEPNPHTKFLRIMRGTQGALIVASALQIIFGFSGLWRNVARYLSPLSAAPLVMLVGFGLYELGFPSVAKCVEIGLPELILLVIFAMYLPHAIHMMKSIFDRFAVLFTIPIVWLYAYLLTVGGAYRNAPPKTQFHCRTDRSGIIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPLPPSVLSRGIGWQGIGILLDGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPPIFAALYCIFFAYVGSAGVGFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTHSRWFNDIVNVIFSSKAFVAGFVAYLLDNTIHRHDSSVRKDRGHHWWDKFRSYRTDTRSEEFYSLPFNLNKFFPSV >ONIVA06G04960.1 pep chromosome:AWHD00000000:6:3346230:3350830:1 gene:ONIVA06G04960 transcript:ONIVA06G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEEFAIGVLISVKTTLGEEFEGQIVSFDRPTNLLVIHILSKSLPIRSQEGVGRAERGERRNVRVLKANYIREFSVVGKADDPLDPAGCVLDLAAIHAREEAALRQAEIEAERIGVGVTPEAQSIFDALSKTYILLRLPVQWDKTDIVVMKEVRVCNPYLPENVSGGTSAANERVKKVLDFERKRLHVRVPGQF >ONIVA06G04950.1 pep chromosome:AWHD00000000:6:3334124:3340025:-1 gene:ONIVA06G04950 transcript:ONIVA06G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGAGGRRGGIGGGDDARLCMGGAKAAVRVAARVGVSFGTCGQGSSSTTGTGTSFSSSPLLCSSAPDDLVSTCADDSPSSSAQEGASHDDGREFRVGDCALFQAVEVPPFIGLIRWIEKKEEGFPKLRVSWLYRPADIKLNKGTQLCAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCRRVYDIDNKCLWWLTDQDYINERQEEVNRLLHRTKLEMHAAIQSGGRSPKRLNGPSSAQQKSGSDGAQNCGLSKGKKRDRGEQGTDPAKRDRERPLKAEDGESGNFKVENLKSEITKITEKGGLPHAEAVEKLVHLMQLDRTERKIDLPGRVILADIIAATESPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEAGSPKETDKPMEDLVLALLRALAKLPINLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFPEISSAGNRRSGSSESSLKSPVSQLSSSKALTSKPVAADAAAKSSPVISGSSKLQHMQPGNAVTNLKEQPSKSTGGTCGSELPAVKEEKSSSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGVAYKTSGSSSRVHRRTNNGLLGSGIQKEAAVARSSSLDHSSVQEKVSQSGTACEKGADIQSDQGNSHRLIVRFPNPGRSPARSASGGSFEDPSFTGSRASSPVADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPRAMLTDDSKTTEGAGRDVPVSRVACSSYANEKGICSSETGLTKLFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSPSPRNSSANEQGCEGDNIGKLKVECDVSLPQHAGPSNEVEKVISEKSEKSVGLVGKELHREDVNMLSVNNLLSQGDKGTTSAGSSPLPEIDSKAKTENREVEDTDKCSHPPSRGDDGVKSNAKQPIGIKIDTNSNIKSSTTSEYRSAFTVRGKVEDGCTTSSDVGSTLGDQCKLAVSNRKMLPAEEPLCGGDKQAHGLLKPTDQKHLLGLPDYSEHIDKSGDSTANKLKLKPSFSSSTVEVNKADGLLVGSNTVLKEDEKKEHPADVTKLAVATGVKHGPENGISSKESKDNSSESSSHTRPGGTVSQETEHSAQRSSKKSSDDAGGKDDLVSSDDGSSFSAKTRSNATAKLDFDLNEGIPGDEGHLSEPATSPAVCSSAIHLPRPSPFVSPISSGLPAPIAAPAKGPFVPPENLIRLKSETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAAGKNRPALDIDLNVADEQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSVGFELDLNRADEVAENGQIVSNTNHRVEVPLLSRPLPGVFSSSDANNSRDFDLNNGPTLDEAGTEHAPRSLSSKNTSSIPFLPQVASMRMNSAEMSNISPWFASANACAPVAIKSFLPSRGEQPHPVETAAGSGTQRIITSMADGVQHGSDPSRTPVISTSPTMMFHPPAYQYAGFPFTPSVHLQAPGFSIGSTSYANSASGGIPYFPTIAPTLVGPAGALPAQHTRQYAINLPEGSSTVGHDNNRKWGRQGLDLNSGPGSVDVEVKDDRVTLPVRQNFIAPPHAFVDEHTRMYQMPPGVGIKRKEPEGSWDAERSSYKQLSWQ >ONIVA06G04950.2 pep chromosome:AWHD00000000:6:3334124:3340025:-1 gene:ONIVA06G04950 transcript:ONIVA06G04950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGAGGRRGGIGGGDDARLCMGGAKAAVRVAARVGVSFGTLTRVEAAAPPPAQGQASPPPRSSVPPPLTTSYPPAPTTPPAAAHKKERVDSPRPASSDSFLKDGREFRVGDCALFQAVEVPPFIGLIRWIEKKEEGFPKLRVSWLYRPADIKLNKGTQLCAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCRRVYDIDNKCLWWLTDQDYINERQEEVNRLLHRTKLEMHAAIQSGGRSPKRLNGPSSAQQKSGSDGAQNCGLSKGKKRDRGEQGTDPAKRDRERPLKAEDGESGNFKVENLKSEITKITEKGGLPHAEAVEKLVHLMQLDRTERKIDLPGRVILADIIAATESPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEAGSPKETDKPMEDLVLALLRALAKLPINLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFPEISSAGNRRSGSSESSLKSPVSQLSSSKALTSKPVAADAAAKSSPVISGSSKLQHMQPGNAVTNLKEQPSKSTGGTCGSELPAVKEEKSSSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGVAYKTSGSSSRVHRRTNNGLLGSGIQKEAAVARSSSLDHSSVQEKVSQSGTACEKGADIQSDQGNSHRLIVRFPNPGRSPARSASGGSFEDPSFTGSRASSPVADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPRAMLTDDSKTTEGAGRDVPVSRVACSSYANEKGICSSETGLTKLFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSPSPRNSSANEQGCEGDNIGKLKVECDVSLPQHAGPSNEVEKVISEKSEKSVGLVGKELHREDVNMLSVNNLLSQGDKGTTSAGSSPLPEIDSKAKTENREVEDTDKCSHPPSRGDDGVKSNAKQPIGIKIDTNSNIKSSTTSEYRSAFTVRGKVEDGCTTSSDVGSTLGDQCKLAVSNRKMLPAEEPLCGGDKQAHGLLKPTDQKHLLGLPDYSEHIDKSGDSTANKLKLKPSFSSSTVEVNKADGLLVGSNTVLKEDEKKEHPADVTKLAVATGVKHGPENGISSKESKDNSSESSSHTRPGGTVSQETEHSAQRSSKKSSDDAGGKDDLVSSDDGSSFSAKTRSNATAKLDFDLNEGIPGDEGHLSEPATSPAVCSSAIHLPRPSPFVSPISSGLPAPIAAPAKGPFVPPENLIRLKSETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAAGKNRPALDIDLNVADEQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSVGFELDLNRADEVAENGQIVSNTNHRVEVPLLSRPLPGVFSSSDANNSRDFDLNNGPTLDEAGTEHAPRSLSSKNTSSIPFLPQVASMRMNSAEMSNISPWFASANACAPVAIKSFLPSRGEQPHPVETAAGSGTQRIITSMADGVQHGSDPSRTPVISTSPTMMFHPPAYQYAGFPFTPSVHLQAPGFSIGSTSYANSASGGIPYFPTIAPTLVGPAGALPAQHTRQYAINLPEGSSTVGHDNNRKWGRQGLDLNSGPGSVDVEVKDDRVTLPVRQNFIAPPHAFVDEHTRMYQMPPGVGIKRKEPEGSWDAERSSYKQLSWQ >ONIVA06G04940.1 pep chromosome:AWHD00000000:6:3322037:3322681:1 gene:ONIVA06G04940 transcript:ONIVA06G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQLQEHKGVQKQTMERYYSSRHCSFYRQQLEDEMHLWLLNLSSQSQAEILVGSQEEQRDL >ONIVA06G04930.1 pep chromosome:AWHD00000000:6:3321411:3334084:-1 gene:ONIVA06G04930 transcript:ONIVA06G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSCMWYLAGRRESARRDCWRGRCGAMHGRRQGGGEGCGNRRLLVRGMWPATRVEAATPPAQGPASPPPRLPVPPPLTTPCLPAPTTPPAAAHNQDWVDSPRPVSPDSFVKDGREFRVGDCALFRAVDVPPFIGLIRWIEKQEEGYPKLRVSWLYRPADIKLNKGLQLDAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGAELPAGTSSFVCWRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRQEMHTAVQQGGRSPKRLTGPSASQQLKAGSDGAQNCGSSKGKKRERGEQGIDQIKRDRDRTLKTDDTEPGNLKGDNMKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATENPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPNLKSPVSQLSSSKALTVKPGASDTTVKSSPLISGSSKLQHIQPGNAVTNLKEQPCKSSGGTCSPELPTVKEEKSCSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGIAGRTSGSSSRVHRRTNNGILGSGGQKEATVARSTSLDRSLLPEKASQSVTACEKGTDTPSDQGNGHRLIVRFPNPVRSPARSASGGSFEDPSFTGSRASSPVLADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPYAMLTDDRSRTTEEAGKDACASRVVCSDANEKAACSSENGGRNSFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSASPRNSPGNEEGCEGDNIGKLKVQSDMGLSRHAGPTNDAEKIISDKGEKIGAGLVAKEEQHLGDAKDNKVTSSGLPSPPGIDVKAVESPAKTENHEVRTTDKGGDGDKSVTKQPVDIKIDAKLNIYPSTTSELRGGDKQSHGLLKSTDQKNRQCLPDNSEAIDRSGDSAAVKLDVEPSFSSSTVEVNKADGLLVGNAVVREDEKKEQPSSTSADATKLAVPAGVPFGPENGISSKELKDNSSESSSHARPGTTVSQDTERSARRSSKKSSDDASGNEDLVSSDDGSSFAAKIRPSATAKLDFDLNEGIPGDDVHQSEAETSPAVCSSAINLPCLSPFISPMSSGLPAPIKVAATAKGPFVPPENLLRFQPETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAADKHRPALDIDLNVADEQFLEEDISQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENHFVSNTPHRVEVPLLSSRPLPAIFSSADTNGSRDFDLNNGPTLDETGTEHAARSLSSKNTSSIPFIPQVAGGRMNSAEMSNISPWFASAHPYAPVAAQPFLPSRGEQPHPVETAAGSGTQRIITSLADGVQRGSDPCRAPVISTSPTMVFHPPAYQYAGFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSIAPTLLGPAGALPAQHTRQYAINLPEGSSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFGEEHTRMYQMPSVGIKRKEPEGSWDAERSSYKQLSWQ >ONIVA06G04930.2 pep chromosome:AWHD00000000:6:3325631:3333741:-1 gene:ONIVA06G04930 transcript:ONIVA06G04930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDGNGIGPGPQETSEAAGYGRRLRSRLWRRSGDGAAGRRESARRDCWRGRCGAMHGRRQGGGEGCGNRRLLVRGMWPATRVEAATPPAQGPASPPPRLPVPPPLTTPCLPAPTTPPAAAHNQDWVDSPRPVSPDSFVKDGREFRVGDCALFRAVDVPPFIGLIRWIEKQEEGYPKLRVSWLYRPADIKLNKGLQLDAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGAELPAGTSSFVCWRVYDIDNKNDRKR >ONIVA06G04930.3 pep chromosome:AWHD00000000:6:3321411:3333741:-1 gene:ONIVA06G04930 transcript:ONIVA06G04930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDGNGIGPGPQETSEAAGYGRRLRSRLWRRSGDGAAGRRESARRDCWRGRCGAMHGRRQGGGEGCGNRRLLVRGMWPATRVEAATPPAQGPASPPPRLPVPPPLTTPCLPAPTTPPAAAHNQDWVDSPRPVSPDSFVKDGREFRVGDCALFRAVDVPPFIGLIRWIEKQEEGYPKLRVSWLYRPADIKLNKGLQLDAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGAELPAGTSSFVCWRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRQEMHTAVQQGGRSPKRLTGPSASQQLKAGSDGAQNCGSSKGKKRERGEQGIDQIKRDRDRTLKTDDTEPGNLKGDNMKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATENPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPNLKSPVSQLSSSKALTVKPGASDTTVKSSPLISGSSKLQHIQPGNAVTNLKEQPCKSSGGTCSPELPTVKEEKSCSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGIAGRTSGSSSRVHRRTNNGILGSGGQKEATVARSTSLDRSLLPEKASQSVTACEKGTDTPSDQGNGHRLIVRFPNPVRSPARSASGGSFEDPSFTGSRASSPVLADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPYAMLTDDRSRTTEEAGKDACASRVVCSDANEKAACSSENGGRNSFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSASPRNSPGNEEGCEGDNIGKLKVQSDMGLSRHAGPTNDAEKIISDKGEKIGAGLVAKEEQHLGDAKDNKVTSSGLPSPPGIDVKAVESPAKTENHEVRTTDKGGDGDKSVTKQPVDIKIDAKLNIYPSTTSELRGGDKQSHGLLKSTDQKNRQCLPDNSEAIDRSGDSAAVKLDVEPSFSSSTVEVNKADGLLVGNAVVREDEKKEQPSSTSADATKLAVPAGVPFGPENGISSKELKDNSSESSSHARPGTTVSQDTERSARRSSKKSSDDASGNEDLVSSDDGSSFAAKIRPSATAKLDFDLNEGIPGDDVHQSEAETSPAVCSSAINLPCLSPFISPMSSGLPAPIKVAATAKGPFVPPENLLRFQPETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAADKHRPALDIDLNVADEQFLEEDISQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENHFVSNTPHRVEVPLLSSRPLPAIFSSADTNGSRDFDLNNGPTLDETGTEHAARSLSSKNTSSIPFIPQVAGGRMNSAEMSNISPWFASAHPYAPVAAQPFLPSRGEQPHPVETAAGSGTQRIITSLADGVQRGSDPCRAPVISTSPTMVFHPPAYQYAGFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSIAPTLLGPAGALPAQHTRQYAINLPEGSSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFGEEHTRMYQMPSVGIKRKEPEGSWDAERSSYKQLSWQ >ONIVA06G04930.4 pep chromosome:AWHD00000000:6:3320466:3325601:-1 gene:ONIVA06G04930 transcript:ONIVA06G04930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAVQQGGRSPKRLTGPSASQQLKAGSDGAQNCGSSKGKKRERGEQGIDQIKRDRDRTLKTDDTEPGNLKGDNMKSEIAKITEKGGLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATENPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPNLKSPVSQLSSSKALTVKPGASDTTVKSSPLISGSSKLQHIQPGNAVTNLKEQPCKSSGGTCSPELPTVKEEKSCSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGIAGRTSGSSSRVHRRTNNGILGSGGQKEATVARSTSLDRSLLPEKASQSVTACEKGTDTPSDQGNGHRLIVRFPNPVRSPARSASGGSFEDPSFTGSRASSPVLADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPYAMLTDDRSRTTEEAGKDACASRVVCSDANEKAACSSENGGRNSFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSASPRNSPGNEEGCEGDNIGKLKVQSDMGLSRHAGPTNDAEKIISDKGEKIGAGLVAKEEQHLGDAKDNKVTSSGLPSPPGIDVKAVESPAKTENHEVRTTDKGGDGDKSVTKQPVDIKIDAKLNIYPSTTSELRGGDKQSHGLLKSTDQKNRQCLPDNSEAIDRSGDSAAVKLDVEPSFSSSTVEVNKADGLLVGNAVVREDEKKEQPSSTSADATKLAVPAGVPFGPENGISSKELKDNSSESSSHARPGTTVSQDTERSARRSSKKSSDDASGNEDLVSSDDGSSFAAKIRPSATAKLDFDLNEGIPGDDVHQSEAETSPAVCSSAINLPCLSPFISPMSSGLPAPIKVAATAKGPFVPPENLLRFQPETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAADKHRPALDIDLNVADEQFLEEDISQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENHFVSNTPHRVEVPLLSSRPLPAIFSSADTNGSRDFDLNNGPTLDETGTEHAARSLSSKNTSSIPFIPQVAGGRMNSAEMSNISPWFASAHPYAPVAAQPFLPSRGEQPHPVETAAGSGTQRIITSLADGVQRGSDPCRAPVISTSPTMVFHPPAYQYAGFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSIAPTLLGPAGALPAQHTRQYAINLPEGSSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFGEEHTRMYQMPSVGIKRKEPEGSWDAEQATACGTWALSKSSDVMFVLFTFLEPEAVLQRLCTRIRNYYFHVIPQQLYTNSQLLIRATAPVQ >ONIVA06G04920.1 pep chromosome:AWHD00000000:6:3316457:3320377:1 gene:ONIVA06G04920 transcript:ONIVA06G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDSTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPIVLVGTKLDLREDKHYLLDHPGMIPVTTAQGEELRKQIGAAYYIECSSKTQQNVKGVFDAAIKVVIQPPTKQREKKKKKSRQGCSMLNMFRGRKMSCFKS >ONIVA06G04910.1 pep chromosome:AWHD00000000:6:3303230:3307758:1 gene:ONIVA06G04910 transcript:ONIVA06G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSSTSKGKSKRGRKHKAAAENHAPASPVAATAADNPAPAAAGRRGRKSRRHEAPADADGSRPPSPPRRGEAKPVANGGGDAVVEAGGPVGWDEVARVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVDDDEFWEGVLPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGKCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKALVKVLRNSKVHEFKIKLATHKRLVAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHAMAQSPDEQLVVVSQVLVADINIGYEEIVNTQVLAFNGQPVKNLKNLVSMVENCKDEFLKFDLEYDQDILTTHCIPSAMSDDLKT >ONIVA06G04900.1 pep chromosome:AWHD00000000:6:3296946:3298150:1 gene:ONIVA06G04900 transcript:ONIVA06G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEFQDMGFNADAVGGNEGMGVRDGKGYAFVHIATGFSHCPHPLYLKQMQQCRRGHHQKQKQKALECKKLQKPKDETL >ONIVA06G04890.1 pep chromosome:AWHD00000000:6:3290018:3292221:-1 gene:ONIVA06G04890 transcript:ONIVA06G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNPLAVTQLLQHTLRGLCTQGDSQWVYAVFWRILPRNYPPPKWDLQGGVYDRSRGNRRNWILAWEDGFCNFAASACDQEDTPAAAGYTDYAAAGHEVKGLQPELFFKMSHDIYNYGEGLVGKVAADHGHKWVSQEANEHEINLVTSWNNPADSHPRTWEAQFQSGIKTIALIAVREGVVQLGSMKKVAEDLSYVVALRRKFGYLESIPGVLLPHPSSAAFPGAGGLQDAAWAPSPTMDLYDPYYGAHAAAAQMHHIVPSMSSLEALLSKLPSVGPTAAPGAIRGAIGGGSVAKEELDDAMDAAGNGGGESTSAATTPLVPYYVDVAKPDEGF >ONIVA06G04880.1 pep chromosome:AWHD00000000:6:3274962:3283823:1 gene:ONIVA06G04880 transcript:ONIVA06G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60930) TAIR;Acc:AT5G60930] MEGSEAAQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVFGSTGSPSSLIFEQCVHPLIDSLFRGYNATVLAYGQTGSGKTYTMGTNYTGEANCGGIIPQVMETIFKKADALKDGTEFLIRVSFIEIFKEEVFDLLDASHAALRLDSGSVAKATAPARVPIQIRETGNGGITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSQSSRSHAIFTISMDQKKTSSASDKLSNDDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTAMIACISPADSNAEETINTLKYANRARNIQNKAVVWSFSLKINRDPVTAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQQKVSLLELKNSELNHELKERELSYEQLAQSALAAQLEKDQLMLKIESARNGKSWDDIENTDTDQDVEVMKRYILKIQQLESELTRQKFSSTCKNDLHDRFAMDKDLLLDDLGSGCEVGTPDASSAVNFRITPVPAGEADEEKERDHSSMQDKLDKELQELDKRLQQKEAEMKEFAKSDTSVLKQHYEKKLNEMEQEKKALQKEIEELRHALTNITSSTDESAQKLKENYLQKLNTLESQVSELKKKQEAQQQLIRQKQRSDEAAKRLQEDIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKLVLQRKTEEAAMATKRLKESLEAKKSTRDTYGSASGSGIQALMRAIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERAFNGKGRWNHVRSLPDAKNTMNYLFQLASSSRCQQLDKEVMCKEKEHLICDLKEKVVALNGRIRQLETQVKDLNNQNMLLFTAISEAKNPVGTSRKGTVGSEDGQHYDMRKSIRASHSLHYSKNSFLWSDDMDISDSEKSEGSDADWEASDADYGASDADWECSKKVRRRRQTVSSHLNPNPGSGTTQKSAKSEMASQEKSTSLDLAPQCCSCSKYSSCKTQKCECRASGSHCGGDCGCITSRCSNRVDMKEEKEGGGVVEVSSSDDVDDAKVQEIVKEGVMLLENSMSEKEAQETKSRKPLADIGNGVVKQTGAKPKQRKNWRKSTVQLVPSAPPLPPTAPQNTEPVPRNRDIPLRLPRAMSSPAVDSIPLTDRNAAKPDESMSSNKENVTAVRARSPARPRKNANEKENHLR >ONIVA06G04880.2 pep chromosome:AWHD00000000:6:3274962:3283823:1 gene:ONIVA06G04880 transcript:ONIVA06G04880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60930) TAIR;Acc:AT5G60930] MEGSEAAQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVFGSTGSPSSLIFEQCVHPLIDSLFRGYNATVLAYGQTGSGKTYTMGTNYTGEANCGGIIPQVMETIFKKADALKDGTEFLIRVSFIEIFKEEVFDLLDASHAALRLDSGSVAKATAPARVPIQIRETGNGGITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSQSSRSHAIFTISMDQKKTSSASDKLSNDDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTAMIACISPADSNAEETINTLKYANRARNIQNKAVVWSFSLKINRDPVTAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQQKVSLLELKNSELNHELKERELSYEQLAQSALAAQLEKDQLMLKIESARNGKSWDDIENTDTDQDVEVMKRYILKIQQLESELTRQKFSSTCKNDLHDRFAMDKDLLLDDLGSGCEVGTPDASSEADEEKERDHSSMQDKLDKELQELDKRLQQKEAEMKEFAKSDTSVLKQHYEKKLNEMEQEKKALQKEIEELRHALTNITSSTDESAQKLKENYLQKLNTLESQVSELKKKQEAQQQLIRQKQRSDEAAKRLQEDIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKLVLQRKTEEAAMATKRLKESLEAKKSTRDTYGSASGSGIQALMRAIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERAFNGKGRWNHVRSLPDAKNTMNYLFQLASSSRCQQLDKEVMCKEKEHLICDLKEKVVALNGRIRQLETQVKDLNNQNMLLFTAISEAKNPVGTSRKGTVGSEDGQHYDMRKSIRASHSLHYSKNSFLWSDDMDISDSEKSEGSDADWEASDADYGASDADWECSKKVRRRRQTVSSHLNPNPGSGTTQKSAKSEMASQEKSTSLDLAPQCCSCSKYSSCKTQKCECRASGSHCGGDCGCITSRCSNRVDMKEEKEGGGVVEVSSSDDVDDAKVQEIVKEGVMLLENSMSEKEAQETKSRKPLADIGNGVVKQTGAKPKQRKNWRKSTVQLVPSAPPLPPTAPQNTEPVPRNRDIPLRLPRAMSSPAVDSIPLTDRNAAKPDESMSSNKENVTAVRARSPARPRKNANEKENHLR >ONIVA06G04880.3 pep chromosome:AWHD00000000:6:3274962:3283823:1 gene:ONIVA06G04880 transcript:ONIVA06G04880.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60930) TAIR;Acc:AT5G60930] MEGSEAAQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVFGSTGSPSSLIFEQCVHPLIDSLFRGYNATVLAYGQTGSGKTYTMGTNYTGEANCGGIIPQVMETIFKKADALKDGTEFLIRVSFIEIFKEEVFDLLDASHAALRLDSGSVAKATAPARVPIQIRETGNGGITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSQSSRSHAIFTISMDQKKTSSASDKLSNDDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTAMIACISPADSNAEETINTLKYANRARNIQNKAVINRDPVTAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQQKVSLLELKNSELNHELKERELSYEQLAQSALAAQLEKDQLMLKIESARNGKSWDDIENTDTDQDVEVMKRYILKIQQLESELTRQKFSSTCKNDLHDRFAMDKDLLLDDLGSGCEVGTPDASSEADEEKERDHSSMQDKLDKELQELDKRLQQKEAEMKEFAKSDTSVLKQHYEKKLNEMEQEKKALQKEIEELRHALTNITSSTDESAQKLKENYLQKLNTLESQVSELKKKQEAQQQLIRQKQRSDEAAKRLQEDIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKLVLQRKTEEAAMATKRLKESLEAKKSTRDTYGSASGSGIQALMRAIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERAFNGKGRWNHVRSLPDAKNTMNYLFQLASSSRCQQLDKEVMCKEKEHLICDLKEKVVALNGRIRQLETQVKDLNNQNMLLFTAISEAKNPVGTSRKGTVGSEDGQHYDMRKSIRASHSLHYSKNSFLWSDDMDISDSEKSEGSDADWEASDADYGASDADWECSKKVRRRRQTVSSHLNPNPGSGTTQKSAKSEMASQEKSTSLDLAPQCCSCSKYSSCKTQKCECRASGSHCGGDCGCITSRCSNRVDMKEEKEGGGVVEVSSSDDVDDAKVQEIVKEGVMLLENSMSEKEAQETKSRKPLADIGNGVVKQTGAKPKQRKNWRKSTVQLVPSAPPLPPTAPQNTEPVPRNRDIPLRLPRAMSSPAVDSIPLTDRNAAKPDESMSSNKENVTAVRARSPARPRKNANEKENHLR >ONIVA06G04870.1 pep chromosome:AWHD00000000:6:3258668:3259858:-1 gene:ONIVA06G04870 transcript:ONIVA06G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAASLRSLAPLSAYRSPSHGIHAVVRDSSAYTTRPPPPPPTADGGGNGGRISPAVLFIIVILAVIFFISGLLHLLVRLLMKKQHRRGGAENAAPSPHSRHVGRDAAMDRQLQQLFHLHDSGLDQAFIDALPVFAYRDIVGGDKEPFDCAVCLCEFDGEDRLRLLPVCGHAFHLHCIDTWLLSNSTCPLCRGTLYVPGLTIESLMFDFDERLEEGRLSEECEDGFQSSRQKKPMDEEQTVTEKRVFPVRLGKFKNVGNTGVGGVDNGNAAGIVSREPGESSSSSLDTRRCFSMGTYQYVLGASELRVALQPGRNKNGVGSRLKGRATGISSVNAEIMEGKRICAKSKGESFSMSKIWQWSNVKGKLPAGSDNCSETASFPWMKRDATGDKSNM >ONIVA06G04860.1 pep chromosome:AWHD00000000:6:3254855:3255352:1 gene:ONIVA06G04860 transcript:ONIVA06G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLGGGGGASGMDEAEAAFFARRGRRCCCFPWPSSASSHQRVGGAEEESWWQRAVDAVLKVREWSELVAGPRWKTFIRRFGRGGGGPRPHNYGRKLNYDALSYALNFDEGHGASPEGDYTGYRDFSARFAAPPASAKSSMDLGGRDAPPLFNPPPPHDGAGRA >ONIVA06G04850.1 pep chromosome:AWHD00000000:6:3248951:3250472:1 gene:ONIVA06G04850 transcript:ONIVA06G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIRERRSVDVDDSVISDGKRLQNLHCESSHFQMHQLPEKGSRAICFQPLKIPASPTNIHYQLRWKGKLSTSGSCKHSETEKLLYRGLSKSERYTSTFWMVCMDNQSIKKLDNNYMHAAQQYCP >ONIVA06G04840.1 pep chromosome:AWHD00000000:6:3238779:3242011:1 gene:ONIVA06G04840 transcript:ONIVA06G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLC3] MITAADFYHVMTAMVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAANIASIVVDPDVVSLDGRRDAIETETEVKEDGRIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFGAADAFGVRTGATPRPSNYEDDASKPKYPLPASNAAPMAGHYPAPNPAVSSAPKGAKKAATNGQAKGEDLHMFVWSSSASPVSDVFGGGAPDYNDAAAVKSPRKMDGAKDREDYVERDDFSFGNRGVMDRDAEAGDEKAAAAAGADPSKAMAAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIVLKSISILSDAGLGMAMFSLGLFMALQPHIIACGNKVATYAMAVRFLAGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYSVHPSILSTAVIFGMLIALPITLVYYILLGL >ONIVA06G04830.1 pep chromosome:AWHD00000000:6:3220845:3228396:-1 gene:ONIVA06G04830 transcript:ONIVA06G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFFRKLHIGEGSGDGASSSPPPPPSSRKGSGGVGGNHHPHAEQRQPSASAVSSWLDSVPGRPQPPTPSTPSEAEGSPFSSSVGSGAGAGAGAEERRQSVAAERRRSQEEEWERRRSQEEEAVREMRRSQEEDEVEERVIRESSEAEERKRVREKEDDDLEEFQLQLVLEMSARDNPEEMEIEVAKQISLGFCPPQSSTAEALAARYWNFNALGYDDRISDGFYDLYVTGNGPASITMPSLKDLRAQSLSHRVNWEAVLVHRGEDPELMKLDQTALIMSLELRESKPSEFVGNDLVQKLAGLVARHMGGTFFDSEGMLVKYQKMMRYLRTSIGSVVVPLGQLKIGLARHRALLFKVLADNIGIPCRLLKGRQYTGSDDGALNIVKFDDGREFIVDLVADPGTLIPSDGAVLSTEFEESSFSNNHHFNKDNDIRQLGSSNSLSNSACSSFECELLDRRSTWINVGPSDSDGATTSQTSKNNQQNTLSDSFGILSVSTFTSENRPITNESRSTDDIAAAKNKERSSVTINSSSTSPSPSSPEVGSTPAVRRMKVKDISEYMINAAKENPQLAQKIHEVLLENGVVAPPDLFSEDSMEEPKDLIVYDTTLFQSKDEMKKRMNELGSREYADRGHGPLLPHHPGHELPSKVPHRAPLDSLKPVEGLGIDHPPDIQDNTSFISQYEPSAPPQEASSQLTKQLPVTAAAVATAAVVASSMVVAAAKSNNDVNFDVPVAAAATVTAAAVVATTAAVSKQYEHLEPGNQLHSLPSPSEGNESIEKSADEFWDKQNFEIDHGQENTLDQEKDSAEVRQDAERTSDKSSGTESAKSEITLDDVAEFEIQWEEITIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVRIIKRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSKMKNKTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNAMQVVGAVGFQNRRLDIPDNTDPAIAEIIAKCWQTDPKLRPSFADIMASLKPLLKNMTAQAPRQRVQQTDE >ONIVA06G04820.1 pep chromosome:AWHD00000000:6:3214934:3215641:-1 gene:ONIVA06G04820 transcript:ONIVA06G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFWGSGHPADEVADFDEYDPTPYGGGYDIALTFGRALPPSDEICHPISTASSSSSSYDRPQQGRRPPAEETHLSAGHGRRPDDDEATHGGGYRKPKPAYGDDEQQRRHTSGGGRKKHGGDDDDGSGDERKPRYKKHDDDDDGERKPRYKKRDDDDDDDDYERKPRYKKRDDDDDDSDGERKQRYEKNNRRRHDYDD >ONIVA06G04810.1 pep chromosome:AWHD00000000:6:3205989:3209559:-1 gene:ONIVA06G04810 transcript:ONIVA06G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAEDALEESGVAVYLPRLLAGVISGALTGLFALAGALTGAVTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWCSDRLGSHGTSSMADFIEQLLQARFVQEQIVPSGYATHRWQVSISDFGHDDLYDIFGDCSSKGLSRESLNKLPHYVVTDQTRNSFGEDLSCTICLQDIVTGETARRLPNCSHTFHQPCVDKWLVGHGSCPPVHVGSPATPRSCSDGRIDNLIGLPKIRQDPLSWSPCLPVVPIPHSHPSNYAKASTDQLTAESICEYCKK >ONIVA06G04810.2 pep chromosome:AWHD00000000:6:3205989:3209559:-1 gene:ONIVA06G04810 transcript:ONIVA06G04810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAEDALEESGVAVYLPRLLAGVISGALTGLFALAGALTGAVTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWCSDRLGSHGTSSMADFIEQLLQARFVQEQIVPSGYATHRWQVSISDFGHDDLYDIFGDCSSKGLSRESLNKLPHYVVTDQTRNSFGEDLSCTICLQDIVTGETARRLPNCSHTFHQPCVDKWLVGHGSCPESICEYCKK >ONIVA06G04800.1 pep chromosome:AWHD00000000:6:3203020:3205757:1 gene:ONIVA06G04800 transcript:ONIVA06G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTIDLREEDEAAAAAAMKAIAGRSDEEVLAAVLARKGGPVPFLQAAIDAAAAVAATKAIAGRSDEEVLAAVLARKGGPVPFLQAAIDVAQRRSDLFLDPSAPGVVAEMAVEAQAKAEAEERRKRAKGEPRKAEEMLKEEEPMKAEEMLKEEEPMKADEMLKEEEPMKAEEMLKEEPRTPMREAGRDKVERAAVVERVRDPKPNAGNGLDLEKYSWTQERPEVTITIPVPQGTKSSLVTYEIMKNHLKVGLKGCSFIIDGELFEPVKVNDCLWTIEDGNTLSILLTKENQKEWWTSVIKGDPELDPRDMKVPELRDCDVEAKETIVRILSHGLPKAMNFCPVMHNRFSYH >ONIVA06G04790.1 pep chromosome:AWHD00000000:6:3200857:3201627:1 gene:ONIVA06G04790 transcript:ONIVA06G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLB7] MEGKAAVTTSTEHGDGEASKTAARTVVSGSSRGGAASRALSVADLILRVVAVVAIVDSAIAMGTTNQTLPFFTQFLRFKAQYSDLPTLTLFVVANSAVTAYLVLSIPLSVVHIIRSRASYSRLVLIFLDSVMLALVAAVASASAAIVYLAHKGNVRANWFAVCQQFDSFCERISGPLIGSFAAMAVLLLLVLLSAAALARR >ONIVA06G04780.1 pep chromosome:AWHD00000000:6:3197301:3198374:-1 gene:ONIVA06G04780 transcript:ONIVA06G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH dependent flow 6 [Source:Projected from Arabidopsis thaliana (AT1G18730) TAIR;Acc:AT1G18730] MALPLLKSSPHFSALHSANRAQLHGRCRATLGGFHDPRSNSSRCKKSAGRGSVKANASPLDVVTLMVTMVEHVDLQRDYVVHKSIWHLSDAALKSVYTFYAFFTDPFYDSEAYRGQGGDGTVHWYYDRQEDLEASAREELLREELLEEIEQRVGGLRELEEAAKEEQLTN >ONIVA06G04770.1 pep chromosome:AWHD00000000:6:3194545:3197275:-1 gene:ONIVA06G04770 transcript:ONIVA06G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCMIMARCGCILSLDRLESAGPWLMQAIVIGSLPTCAGEVAASRSRAAGAADGHAYGANRPASSSGVIETETIRRCTVPSFSIDNPLPSKNESAIALNQTWNYGRTSLAS >ONIVA06G04760.1 pep chromosome:AWHD00000000:6:3187696:3189982:-1 gene:ONIVA06G04760 transcript:ONIVA06G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGAAALTPATGLGSRPSLTVKTSRVCTTAALPPLCRCGRRHLIGSTSATALLPLLALPSPAASPVDPEVMLERVHPARPEWYEKFYATAMDKFMKPYEAEIAQYKSKLFSQLMTAGKNILELGVGTGPNLKYYANADGVNIVGVDPNKHMEEYARAAAVSAGLPPSNFTFRRGVGEALPAEDNSMDAVVGTLVMCSVSDVEMALREIKRVLKPGGLYIFIEHVAAPDGSFLRFVQGALNPLQQFVSDGCHLTRETGEIIRDAGFSSLDLNTTRLSTAFILSPHVYGVACK >ONIVA06G04750.1 pep chromosome:AWHD00000000:6:3177946:3179581:-1 gene:ONIVA06G04750 transcript:ONIVA06G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRDVLAVVLVAALLPPALSRGLWLGHHGLGHGHGRWRAPHVGGHGQGQGPQQHAPLGGGGWSSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEVRCGAGGGGSHSCLPGSVVVTATNFCPPNNALPSDDGGWCNPPRAHFDMSQPVFQRIALFKAGIVPVSYRRVACQKKGGIRFTINGHSYFNLVLVTNVGGAGDVHAVAVKSERSAAWQALSRNWGQNWQSAALLDGQALSFRVTTGDGRSVVSNNAVPRGWSFGQTFSGAHTQSTVSQSETNPRNRRT >ONIVA06G04740.1 pep chromosome:AWHD00000000:6:3167315:3171817:1 gene:ONIVA06G04740 transcript:ONIVA06G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDLALRAGGDRLLVADTVAAVVESLVQAWRQVRMELLVPLLRGAVVACMVMSVIVLAEKVFLGVVSAVVKLLRRRPARLYRCDPVVVEDDDEAGRASFPMVLVQIPMYNEKEVYQLSIGAACRLTWPADRLIVQVLDDSTDAIVKELVRKECERWGKKGINVKYETRKDRAGYKAGNLREGMRRGYVQGCEFVAMFDADFQPPPDFLLKTVPFLVHNPRLALVQTRWEFVNANDCLLTRMQEMSMDYHFKVEQEAGSSLCNFFGYNGTAGVWRRQVIDESGGWEDRTTAEDMDLALRAGLLGWEFVYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILAAKKVSFWKKLYMTYDFFIARRIISTFFTFFFFSVLLPMKVFFPEVQIPLWQLILIPTAIILLHSVGTPRSIHLIILWFLFENVMALHRLKATLIGFFEAGRANEWIVTQKLGNIQKLKSIVTVTKNCRFKDRFHCLELFIGGFLLTSACYDYLYRDDIFYIFLLSQSIIYFAIGFEFMGVSVSS >ONIVA06G04740.2 pep chromosome:AWHD00000000:6:3167315:3171817:1 gene:ONIVA06G04740 transcript:ONIVA06G04740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDLALRAGGDRLLVADTVAAVVESLVQAWRQVRMELLVPLLRGAVVACMVMSVIVLAEKVFLGVVSAVVKLLRRRPARLYRCDPVVVEDDDEAGRASFPMVLVQIPMYNEKEVYQLSIGAACRLTWPADRLIVQVLDDSTDAIVKELVRKECERWGKKGINVKYETRKDRAGYKAGNLREGMRRGYVQGCEFVAMFDADFQPPPDFLLKTVPFLVHNPRLALVQTRWEFVNANDCLLTRMQEMSMDYHFKVEQEAGSSLCNFFGYNGTAGVWRRQVIDESGGWEDRTTAEDMDLALRAGLLGWEFVYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILAAKRTASYESFLSRGADSFVAADPHTYSYHSTPFSWDSKVRSVLFTLFCVCVTSYYDQKDGTSHELHVLQNVMALHRLKATLIGFFEAGRANEWIVTQKLGNIQKLKSIVTVTKNCRFKDRFHCLELFIGGFLLTSACYDYLYRDDIFYIFLLSQSIIYFAIGFEFMGVSVSS >ONIVA06G04740.3 pep chromosome:AWHD00000000:6:3167315:3171817:1 gene:ONIVA06G04740 transcript:ONIVA06G04740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDLALRAGGDRLLVADTVAAVVESLVQAWRQVRMELLVPLLRGAVVACMVMSVIVLAEKVFLGVVSAVVKLLRRRPARLYRCDPVVVEDDDEAGRASFPMVLVQIPMYNEKEVYQLSIGAACRLTWPADRLIVQVLDDSTDAIVKELVRKECERWGKKGINVKYETRKDRAGYKAGNLREGMRRGYVQGCEFVAMFDADFQPPPDFLLKTVPFLVHNPRLALVQTRWEFVNANDCLLTRMQEMSMDYHFKVEQEAGSSLCNFFGYNGTAGVWRRQVIDESGGWEDRTTAEDMDLALRAGLLGWEFVYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILAAKKVSFWKKLYMTYDFFIARRIISTFFTFFFFSVLLPMKVFFPEVQIPLWQLILIPTAIILLHSVGTPRLDQCCLPFFVCVSPATMIKRMVHLMNSMCFRSIHLIILWFLFENVMALHRLKATLIGFFEAGRANEWIVTQKLGNIQKLKSIVTVTKNCRFKDRFHCLELFIGGFLLTSACYDYLYRDDIFYIFLLSQSIIYFAIGFEFMGVSVSS >ONIVA06G04730.1 pep chromosome:AWHD00000000:6:3167992:3171816:-1 gene:ONIVA06G04730 transcript:ONIVA06G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYKYSLAAAAAAVAIAGDSIVSAACSTRFLSPRVLFTQPEAPGGPRVAIRNPQGFDGPTLTMASVESSRTCGAKVTPVNESYSQELPVLC >ONIVA06G04730.2 pep chromosome:AWHD00000000:6:3167248:3171816:-1 gene:ONIVA06G04730 transcript:ONIVA06G04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYKYSLAAAAAAVAIAGDSIVSAACSTRFLSPRVLFTQPEAPGGPRVAIRNPQGFDGPTLTMASVESSRTCTINRSAGHCSRKWQSSELIESHVTSFSLYMGIWTRTMGKDARPASSSSSTTTGSHLYSLAGRRRSNFTTALTTPRNTFSASTITDMTMHATTAPLSSGTSSSILTCRHACTKLSTTAATVSATKSLSPPARNARSPACNRRSCTGEVPLGVGDKKSRTLVLVGSEGTKCNIDVLLPCIAAAASWPLCSFRHLIEEAAEQVVVQWWLRHEWP >ONIVA06G04720.1 pep chromosome:AWHD00000000:6:3161289:3166670:1 gene:ONIVA06G04720 transcript:ONIVA06G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLA6] MAHPSTHNQSETAIPNERRAVCLPSNLFSLVVVSSSHLSDSRAPHDTTHLGHSPPSVASGLHPLRPPGTTPARSRVSGVWWHLYGGTGLRLHWERRGLVRDGAVVCSASAAGGEDGVAKAKAKSAGSSKAVAVQGSTAKADHVEDSVSSPKSVKPAVAKQNGEVVSRATKSDAPVPKPKVDPSVPASKAEADGNAQAVESKAALDKKEDVGVAEPLEAKADAGGDAGAVSSADDSENKESGPLAGPNVMNVIVVASECSPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEAKDLGVRKRYRVAGQDSEVSYFHAFIDGVDFVFLEAPPFRHRHNDIYGGERFDVLKRMILFCKAAVEVPWFAPCGGSIYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYTRSVLVIHNIAHQGRGPVDDFATMDLPEHYIDHFRLYDPVGGEHSNVFAAGLKMADRAVTVSHGYLWEIKTMDGGWGLHEIINHNDWKLQGIVNGIDMAEWNPEVDEHLQSDGYANYTFETLDTGKKQCKEALQRQLGLQVRDDVPLIGFIGRLDHQKGVDIIGDAMPWIAGQDVQVVMLGTGRPDLEEMLRRFESEHNDKVRGWVGFSVQLAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFADTGLGWTFDRAEANRMIDALGHCLNTYRNYKESWRGLQARGMAQDLSWDHAAELYEDVLVKAKYQW >ONIVA06G04720.2 pep chromosome:AWHD00000000:6:3161503:3166670:1 gene:ONIVA06G04720 transcript:ONIVA06G04720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0HLA6] MSGAIASSPAATLFLAGSSSSSPRRRRSRVSGVWWHLYGGTGLRLHWERRGLVRDGAVVCSASAAGGEDGVAKAKAKSAGSSKAVAVQGSTAKADHVEDSVSSPKSVKPAVAKQNGEVVSRATKSDAPVPKPKVDPSVPASKAEADGNAQAVESKAALDKKEDVGVAEPLEAKADAGGDAGAVSSADDSENKESGPLAGPNVMNVIVVASECSPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEAKDLGVRKRYRVAGQDSEVSYFHAFIDGVDFVFLEAPPFRHRHNDIYGGERFDVLKRMILFCKAAVEVPWFAPCGGSIYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYTRSVLVIHNIAHQGRGPVDDFATMDLPEHYIDHFRLYDPVGGEHSNVFAAGLKMADRAVTVSHGYLWEIKTMDGGWGLHEIINHNDWKLQGIVNGIDMAEWNPEVDEHLQSDGYANYTFETLDTGKKQCKEALQRQLGLQVRDDVPLIGFIGRLDHQKGVDIIGDAMPWIAGQDVQVVMLGTGRPDLEEMLRRFESEHNDKVRGWVGFSVQLAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFADTGLGWTFDRAEANRMIDALGHCLNTYRNYKESWRGLQARGMAQDLSWDHAAELYEDVLVKAKYQW >ONIVA06G04710.1 pep chromosome:AWHD00000000:6:3151618:3153758:-1 gene:ONIVA06G04710 transcript:ONIVA06G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl diphosphate reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74470) UniProtKB/Swiss-Prot;Acc:Q9CA67] MTSLSSSAAAARATFVMPSSVRGGMSRGRRMARLVTRAAASSPKLPSGRRLRVAVVGGGPAGGAAAEALAKGGVETVLIERKLDNCKPCGGAIPLCMVSEFDLPLDLVDRKVRKMKMISPSNVAVDIGGTLAPHEYIGMVRREVLDAYLRSRAEGAGAEVVNGLFLRYEAPKEPNGSYVVHYNHYDSSNGKAGGEKRTFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIPDDKMKYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKPDIKKFQAATRLRAKDRIEGGKIIRVEAHPIPEHPRPKRVAGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRRYLAEFDRLYWPTYKVLDVLQKVFYRSNAAREAFVEMCADDYVQRMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVTL >ONIVA06G04700.1 pep chromosome:AWHD00000000:6:3149455:3151215:1 gene:ONIVA06G04700 transcript:ONIVA06G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWRFYGGHQFQSYSFHEEENLFQDWSLDYLLLGEDEPFFTHHFSTSVHSNFVQDELYTLFDGDILSIWGDMKEDAYHRSDKDGGEKEEKLDHEKAMELQLQRLPSGRQSGEKTLTFELVSQYFCLPIKQAAQELNVGLTLLKRRCRVLGIPRWPHRKVKSLETLIKNVQELGMETGQDEDNTRNAVEMLQQTKKLIEQSPDAKLDDWTKMLRQACFKENYKRRRLLAIEG >ONIVA06G04690.1 pep chromosome:AWHD00000000:6:3144033:3147816:1 gene:ONIVA06G04690 transcript:ONIVA06G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAAPRLRAAAWGSLSPPAPVRAQFVSLPLSLPGRYASLRLRASAAAAAKEGAEEMGFEEMAAGTRRRYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLSGGALVPVEGPEAPLFALEINPEKAREEFRAASQKNGGTGVKDFMDGMGLGVLAEQLGELKLGELLDTPPPGLDEAIAISKVMQFLEAQEYSMFRRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRSKIASATSAIKSVFGQEVQQQDAANKLEQLRERMVQVRELFRDTESTEFIIVTIPTVMAISESARLHSSLRNESVPVKRLIVNQILPPSSSDCKFCAIKRKDQTRALDMIRNDPELMGLNLIQAPLVDMEIRGVPALKFLGDIVWK >ONIVA06G04680.1 pep chromosome:AWHD00000000:6:3133686:3137010:-1 gene:ONIVA06G04680 transcript:ONIVA06G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPEVSTRGGGLIARASPSTLCSPTGDAYQGKKRHRGNVALVVVHGGDDARFYALDENTKSRLMSYACKHCTQWCSDK >ONIVA06G04670.1 pep chromosome:AWHD00000000:6:3130339:3133439:-1 gene:ONIVA06G04670 transcript:ONIVA06G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNSRTNSRANYSNEIHDLSTVQNGTMPTMYYGEKAIADFFPPHLLKKVVSEVVATFLLVFMTCGAAGISGSDLSRISQLGQSIAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVIHPVDVIGTTTPVGPHWHSLVVEVIVTFNMMFVTLAVATDTRAVGELAGLAVGSAVCITSIFAGAISGGSMNPARTLGPALASNKFDGLWIYFLGPVMGTLSGAWTYTFIRFEDTPKEGSSQKLSSFKLRRLRSQQSIAADDVDEMENIQV >ONIVA06G04660.1 pep chromosome:AWHD00000000:6:3115750:3117906:-1 gene:ONIVA06G04660 transcript:ONIVA06G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMRSSDIEKQDEVMLPGFRFHPTDEELVRFYLRRKIQQKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPQKKPLEKIIPPNDSWAICRIFKKTNSTAQRALSHSWVSPPLSSTNEAYTAPVSQTTQRSQHISDNTSSVMTDAISSTIQFTGSSYLPSTVPSCHNPLSMIDSNSRPDASVPLSSPVAEHQTMGILSAIPLDITAGIDIASMVFNASSFTLPNMDRMTANIEFGQPQKCNSSSSMTNRCVVDMPDVANNINSGPRSINFNLQGTLSDDWRMTLPWESLPCTTEVSTNFQSTKCYT >ONIVA06G04650.1 pep chromosome:AWHD00000000:6:3114960:3125964:1 gene:ONIVA06G04650 transcript:ONIVA06G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVSMSARRRELAAGGGRPAVGREGTVAVHATMDKIGTELRLHMRRTTTTLALHKEVEVDGPWTAVDIVSNIRHVDHTSVGHAAAAVALLWLAKLYRTEKRMKMEVTNLTWEIPWIILVDVQLPDELNGKRLLLDFPSKVESDELFIRWMKPEASELCGTIPKTSETSKFQHGVLEWSFELVTDLPTVHGRRVPAPDARKVEQLTATASSYTDGREAIHDDMRQQQQT >ONIVA06G04650.2 pep chromosome:AWHD00000000:6:3114960:3126504:1 gene:ONIVA06G04650 transcript:ONIVA06G04650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVSMSARRRELAAGGGRPAVGREGTVAVHATMDKIGTELRLHMRRTTTTLALHKEVEVDGPWTAVDIVSNIRHVDHTSVGHAAAAVALLWLAKLYRTEKRMKMEVTNLTWEIPWIILVDVQLPDELNGKRLLLDFPSKVESDELFIRWMKPEASELCGTIPKTSETSKFQHGVLEWSFELVTDLVSQAYLPLSSTAAA >ONIVA06G04650.3 pep chromosome:AWHD00000000:6:3114960:3125964:1 gene:ONIVA06G04650 transcript:ONIVA06G04650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVSMSARRRELAAGGGRPAVGREGTVAVHATMDKIGTELRLHMRRTTTTLALHKERTEKRMKMEVTNLTWEIPWIILVDVQLPDELNGKRLLLDFPSKVESDELFIRWMKPEASELCGTIPKTSETSKFQHGVLEWSFELVTDLPTVHGRRVPAPDARKVEQLTATASSYTDGREAIHDDMRQQQQT >ONIVA06G04640.1 pep chromosome:AWHD00000000:6:3112542:3114120:-1 gene:ONIVA06G04640 transcript:ONIVA06G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HL96] MAGGGGGGRASAQRRAALAALITLLLLASLAFLLSATGTASAPNSAPFRLAAIRRHAEDHAAVLAAYAAHARKLSAASASQTESFLSISGHLSSLSSRISLSTVALLEKETRGQIKRARALAGAAKEAFDTQSKIQKLSDTVFAVDQQLLRARRAGLLNSRIAAGSTPKSLHCLVMRLLEARLANASAIPDDPPVPPPQFTDPALYHYAIFSDNVLAVSVVVASAARAAAEPARHVFHVVTAPMYLPAFRVWFARRPPPLGTHVQLLAVSDFPFLNASASPVIRQIEDGNRDVPLLDYLRFYLPEMFPALRRVVLLEDDVVVQRDLAGLWRVDLGGKVNAALETCFGGFRRYGKHINFSDPAVQERFNPRACAWSYGLNVFDLQAWRRDQCTQRFHQLMEMNENGTLWDPASVLPAGLMTFYGNTRPLDKSWHVMGLGYNPHIRPEDIKGAAVIHFNGNMKPWLDVAFNQYKHLWTKYVDTEMEFLTLCNFGL >ONIVA06G04630.1 pep chromosome:AWHD00000000:6:3100412:3107710:-1 gene:ONIVA06G04630 transcript:ONIVA06G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSANPNAKGKAAASSSGLPSPKTTPRGVRPKAFKKKAKADPEMQKVAAAEAAATAETASAPPLKPAEVSPAAAVAKENGGQRMSRKEKTKMKEGDQMKEDKKGRIKGKEKKDDKARERKGEAGFIFMCSAKTKPECFQNGVFGLPKGKIDVVEKIRPGAKLFLYDFDLKLLYGIYKAKTKGGLDLVRGAFHGKFPAQSSFKHAIKENYNSKGKFTQELSLKQVHRLLELFKPISLPQSSIQYVKERHRRLDVSEGRLPHYVEGRRLPRHVEEMHHLRHVEERRLPYDHEERRLPSAPRAIVEATDREAFLARDYRVPEEIVARSDHVDELYRSYRLATRAMDLHQGPSYVTAAYENPGPAYSESIHQMPVSSTRPNVPGAPVSSLYSFAGAPAYR >ONIVA06G04620.1 pep chromosome:AWHD00000000:6:3097569:3099176:-1 gene:ONIVA06G04620 transcript:ONIVA06G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGASDELLGTFVPIAVYWLYSGLYLALDGVERLDVYRLHPREEEAAKNVVSRGTVVRGVLVQQAFQVAVSLTLFAVIGDESDIEQKQPSALVILLQFAIAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHALFNNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEHRKGGGFESRPVKLNIAEQTKTD >ONIVA06G04620.2 pep chromosome:AWHD00000000:6:3097567:3099176:-1 gene:ONIVA06G04620 transcript:ONIVA06G04620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGASDELLGTFVPIAVYWLYSGLYLALDGVERLDVYRLHPREEEAAKNVVSRGTVVRGVLVQQAFQVAVSLTLFAVIGDESDIEQKQPSALVILLQFAIAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHALFNNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEHRKGGGFESRPVKLNIAEQTKTD >ONIVA06G04610.1 pep chromosome:AWHD00000000:6:3088806:3091818:-1 gene:ONIVA06G04610 transcript:ONIVA06G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT2G02910) TAIR;Acc:AT2G02910] MPERRLPISTPAAAGPRRHPRRQRRHCRLLVLPAFALALVSLAYLSFSSHPNLPFHDVPCQIEFLPSVDDLVEPGIYNNFTGFSLNYISKENVSSSNGIFEPLFGGHQSLQEREETYYARNQTLHCGFVQGPEDYPNTGFDLDENDKIYMASCRVVVSSCIFGSSDYLRRPTKSKIGLYSKKNVCFVMFLDELTLGTLSSEGTGPDETGFIGLWRIVVVEKLPYKDMRRAGKVPKFLAHRLFPFAMYSIWLDSKLRLNADPMLIVEYFLWRNKAEYAISVHYDRTCVSEEVLQNKRLNKYNHTAIDEQFYFYQSDGLVKFNESGREPVLPSCSYHYLMI >ONIVA06G04600.1 pep chromosome:AWHD00000000:6:3082387:3087156:1 gene:ONIVA06G04600 transcript:ONIVA06G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPPKKRNFKIELFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLERTMTWRLKEISKSIEAAQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPQSRRTPVHELGLNLWRDHIIHSPMIHSRLLDTLLDLIHRERMGEMINRGLMRSITKMLMDLGAAVYQDDFEKPFLDVTASFYSGESQEFIECCDCGNYLKKSERRLNEEMERVSHYLDAGTEAKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDKYDDLARMYNLFRRVFDGLSTIRDVMTSYLRETGKQLVTDPERLKDPVEFVQRLLNEKDKHDKIINVAFGNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMIDFYAKKSEELGDGPTLDVHILTTGSWPTQPCPPCNLPTEILAICDKFRTYYLGTHSGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNSTDGLTYKDIEQDTAIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFMPNPVVIKKRIESLIEREFLERDKADRKLYRYLA >ONIVA06G04600.2 pep chromosome:AWHD00000000:6:3082387:3087156:1 gene:ONIVA06G04600 transcript:ONIVA06G04600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPPKKRNFKIELFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLERTMTWRLKEISKSIEAAQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPQSRRTPVHELGLNLWRDHIIHSPMIHSRLLDTLLDLIHRERMGEMINRGLMRSITKMLMDLGAAVYQDDFEKPFLDVTASFYSGESQEFIECCDCGNYLKKSERRLNEEMERVSHYLDAGTEAKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDKYDDLARMYNLFRRVFDGLSTIRDVMTSYLRETGKQLVTDPERLKDPVEFVQRLLNEKDKHDKIINVAFGNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMIDFYAKKSEELGDGPTLDVHILTTGSWPTQPCPPCNLPTEILAICDKFRTYYLGTHSGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNSTDGLTYKDIEQDTAIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFMPNPVVIKKRIESLIEREFLERDKADRKLYRYLA >ONIVA06G04590.1 pep chromosome:AWHD00000000:6:3039370:3039648:-1 gene:ONIVA06G04590 transcript:ONIVA06G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVADGHTSMLSMVDSASTTDSTTLPRRFGSDIFGVVTTTAIGRGMTAAATTSRRLFHTRRGSRHLSPTLICGSVINDKGRDRSGGLSSSV >ONIVA06G04580.1 pep chromosome:AWHD00000000:6:3031169:3032395:-1 gene:ONIVA06G04580 transcript:ONIVA06G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGDAGGGRRPNFPLQLLEKKEEQPCSSSAAGGGTGPSSAGGNGNNGSGPGGAGGEMQLRKAAPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSAPAHLRALPSPAAAARFGSRADAWDRVVSLGFPSEGPASSSSSPSPLLLNFHSGSVGLDVQPSPSAAAAAADLSRKRRWEQEMQQQQQQQQQQQQQQQQQYQQQMAGYTQSQMPGTVWMVPSNSTQGGGPSGGGGGGGGGSGESIWTFPQMSSAAAAAAVYRGSVPSGLHFMNFPAPMALLPGQQLGLGPVGGGGGGGGGGEGHMGILAALNAYRTQAATDAAGQQGGGGGGGGSSQQQHGGGGGGGERHQSISTSDS >ONIVA06G04570.1 pep chromosome:AWHD00000000:6:3020467:3022419:-1 gene:ONIVA06G04570 transcript:ONIVA06G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HL87] MGSGSGSFLKVVVKNLDVLAGPIVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFSPVLEWLPLWSYAKLFFNCWLVLPYFNGAAHVYEHFVRPMVVNQQIVNIWYIPRKDESDRPNDVISAAQRYIEQNGSKAFESLVNKFKASNTRRSILEEVEAERRAKAELEAEARDENPFFNQNYRY >ONIVA06G04560.1 pep chromosome:AWHD00000000:6:3015435:3022590:1 gene:ONIVA06G04560 transcript:ONIVA06G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLKEEKAAGESGDDEKAERSSPINLNSLPATAACAAAAPDEDGLHSAVESGAKDSNTSKGVESLGTGHKKIPKREVVDEVDVQTCAEGKNDSVVPSSSKNPINDKNAKANVAENGQSADGIPEDQRVTILSVVKKDEPADDVRDSVNPVTVVGYRDEKGGTSGTAGTTAVRPAGTRSSSFHGVTSQIIPVLSLEGGTHQSSTDSAGIDGVENMKLICGTVRAEWKGGEEREGKGLFFSLNISYYAGSYDTEEKAARSYDVAALKYWGQNTKLNFSVSEYERELEDIRDMSREECVTYLRRRSSCFSRGASIYRGVTRRQKDGRWQARIGLVAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYMEKGMHCIEGAGLKLLASKPE >ONIVA06G04550.1 pep chromosome:AWHD00000000:6:3012064:3012746:-1 gene:ONIVA06G04550 transcript:ONIVA06G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVGKECKRPRCALVGVGGHGSDVADEPGGVRARRTSTARLSVPTSIAFYDIQDRLGVNRPSKSIEWLICAALVARLLLRPPQRRPAPHPRRPSSGRPSEEQARTRKATVAASPRKSGEKRRKTRSGCAAWKAERITKLRVWREGWMPRREASTSAASAGQSWIRKLSTPGSGDSCSCSDAKPAKRRWAEKAGEVVAREAGGAERGGGGRR >ONIVA06G04540.1 pep chromosome:AWHD00000000:6:3010951:3012436:1 gene:ONIVA06G04540 transcript:ONIVA06G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIGGGAARAGCHHRHHRRPPPPRSAPPASRATTSPAFSAHRRFAGFASEHEHESPLPGVDSFLIQLWPADAAEVLASRLGIHPSLHTLNFVMRSAFHAAHPDLVFRLFSPDFLGDAATVAFLVRACSSEGRPLDGLRG >ONIVA06G04530.1 pep chromosome:AWHD00000000:6:3004297:3005975:1 gene:ONIVA06G04530 transcript:ONIVA06G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRGSISEEEINELISKLQSLLPNSRRRGSSQASTTKLLKETCNYIKSLHREVDDLSDRLSDLMATMDHNSPGAEIIRSILRS >ONIVA06G04520.1 pep chromosome:AWHD00000000:6:2991673:2997301:1 gene:ONIVA06G04520 transcript:ONIVA06G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family [Source:Projected from Arabidopsis thaliana (AT3G47700) TAIR;Acc:AT3G47700] MEAAVLPRPADITPELRRFLDSRFRSQADLAAAADVEAEIRGLYAELEASVSDLSVRLAAAAAAYSSSCSAAGTALSNVRGCLAALNASTSEPGVTEAVEVGSEEMLFEQLSSLAKEVARVELVRDYAETALKLDSFVGDVEDAVSSSVTGKLKSRAESSLKTYHVPIGYLKTIEDILSSVTKTRPQWTRLVSAVDHRVDRSLALLRPQAIVDHRALLASLGWPPSLSGTNFSSINSGKPSEIVNPLFSMKGDLESKYSESFLSLCSLQELQKRRKARQLEGHIVNNQLRQPLWVIEELVNPIAAAAQRHFSKWVEKPEFVFALAYKITRDFVDSMDEILQPLVDKANLVGYSCREEWISGMVITLSTYLVKEIFPKHIELLQEISSADASSKQSQARVSWLNLIDLMISFDKQTQALISSSGLLLSVKDDDNWQRISVLSVFCDRPDWLEIWAEIERQDTHDKLRLSMENEKNWNTRFQGTVLEYGSDDYKSPAITGVIQKGLSLLIDRARPIPNIALRAEFIKISTSPLLSEFLGWMLQRCQEAEGLTALADDNALLKVSQSINAARYLESTLTEWCNDVFFLEMENIDGRSECIFQVEINQLKDFRVQWTEKISTVILRDFDARSRDYLKNKRQWQEKSEGLTLSRAFVECLDYMQGRIAKLEDGLNVLDFVTVWRTVASGVDQLLFSGIFSGSTKFSNGGVERLHGDLSILFAAFSAWCMRPEGFFPRLSEGLKLLDIDEKQLRDGACTDNNRLREYGIRRLTAAEVEKIIKNRIYES >ONIVA06G04510.1 pep chromosome:AWHD00000000:6:2982114:2984852:1 gene:ONIVA06G04510 transcript:ONIVA06G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRSLPSSCDQESRLAYMTYHLLEITRSKRVSDYGSIASVNRNFHSLVRSGELYKERRQLGIAEHWVYFSCNVQEWEAYDPYRSRWMTLPKMPHNECFMCSDKESLAVGTELLVFGKEILSHIVLSYSILTNSWSPGVDMNAPRCLFGSASFGEKAIVAGGMDAQGRVLCSAELYNSETKRWITLPCMNKARRMCSGVFMNGKFYVIGGMASNKEVLTCGEEYDLEKGTWRVIENMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRKYNIKDNTWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVMGGGMIELHSWIPREGPLRWNMIGSKPSGNFVYNCAVMGC >ONIVA06G04510.2 pep chromosome:AWHD00000000:6:2982114:2984852:1 gene:ONIVA06G04510 transcript:ONIVA06G04510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQSCLISRSLPSSCDQESRLAYMTYHLLEITRSKRVSGTPRIEPDSVAAVAVLTKRPKSARNHECEQLDCQGSNDQGFSDSSTLISSIGRDNSISCLARCSRSDYGSIASVNRNFHSLVRSGELYKERRQLGIAEHWVYFSCNVQEWEAYDPYRSRWMTLPKMPHNECFMCSDKESLAVGTELLVFGKEILSHIVLSYSILTNSWSPGVDMNAPRCLFGSASFGEKAIVAGGMDAQGRVLCSAELYNSETKRWITLPCMNKARRMCSGVFMNGKFYVIGGMASNKEVLTCGEEYDLEKGTWRVIENMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRKYNIKDNTWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVMGGGMIELHSWIPREGPLRWNMIGSKPSGNFVYNCAVMGC >ONIVA06G04500.1 pep chromosome:AWHD00000000:6:2967366:2968475:1 gene:ONIVA06G04500 transcript:ONIVA06G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSRHAFRGGVRGGKTAYARSRSGPAAVHHTVSLKSSTLGSLSLERDRDEEMMKWRDDGGAAKTTPPPQQMARRQRQLVLATTAPAKTPAREPEVINVWELMEGLDDKDEEGDVRGEERRGQSTPGSPEFDPDIIAAFRKALDEVPPAGECPGDEVCVKKREIQRFPGIVRERVSAFQKRIDAKLAKMAPPPPSPSPPPEPEPQLPPPPPDSDRKVVLYLTSLRGIRKTYEDCWATKSILQGYGVLVDERDLSMHAGFKEELHAALGAPGSLPQVFADGRHLGGAEEVRRMHESGELSKALGDCEMAPPAAAGKGIALDACSGCGGVRFVPCEECSGSCKVFLEELDTFRRCPDCNENGLVRCPLC >ONIVA06G04490.1 pep chromosome:AWHD00000000:6:2964028:2964620:1 gene:ONIVA06G04490 transcript:ONIVA06G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRSRNTLLRRFSNFTGLSPAATLPLYSVADLPIYSAATLCRFAPPPPSPCSTIHRLHLVPISCRGQRHPETALGVSERGYCGNGGGGGWNGDGSAAVSYSWVRARGDCGGGWNGDTDEIDLGRHHEQELTHLDPLSLTLNPLCLTDLKNDQGHIGHNTHDFVC >ONIVA06G04480.1 pep chromosome:AWHD00000000:6:2960437:2961516:-1 gene:ONIVA06G04480 transcript:ONIVA06G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGNMLADLSSVNGYHEGLPMVLAHIATYAALALPPTVDARHHSRRGQEDLDHLVIFGAMNPATSANGSAAIIDPPSRTVQWASSHAYASCPSLLAGGEAIHMLNSHHGPGSIKLNRAVAMTAAQLNNGTGRALGGQSAPQFGTPCGKGNEEKEMTDQQVVKDTNDLHHANKQILIKARGRG >ONIVA06G04470.1 pep chromosome:AWHD00000000:6:2956887:2957382:-1 gene:ONIVA06G04470 transcript:ONIVA06G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGGLAKKRKIQRAGESMSRREGWEAELLILTRSPFQIRPKIVETQHQKLPTPQGKSGPA >ONIVA06G04460.1 pep chromosome:AWHD00000000:6:2956672:2956878:1 gene:ONIVA06G04460 transcript:ONIVA06G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGLGGRGTGHVVRRRRRRRSGWRDGEIGIRRATKTVAQGCAVTARRETETPRQSSSVLPPALGLG >ONIVA06G04450.1 pep chromosome:AWHD00000000:6:2946849:2956643:-1 gene:ONIVA06G04450 transcript:ONIVA06G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVNENARRLLRECTASHLRHNGVTSEYGSRLQSSGGRILLQSLPGTELYRERLVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPALMFHNLSRLSSLPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSSVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >ONIVA06G04450.2 pep chromosome:AWHD00000000:6:2946849:2956643:-1 gene:ONIVA06G04450 transcript:ONIVA06G04450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVNENARRLLRECTASHLRHNGVTSEYGSRLQSSGGRILLQSLPGTELYRERLVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSSVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >ONIVA06G04450.3 pep chromosome:AWHD00000000:6:2946849:2956643:-1 gene:ONIVA06G04450 transcript:ONIVA06G04450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVKERLVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPALMFHNLSRLSSLPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSSVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >ONIVA06G04440.1 pep chromosome:AWHD00000000:6:2935430:2937839:-1 gene:ONIVA06G04440 transcript:ONIVA06G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVGLALQSRAAGFGGSDRRRSALYGGEGRARIGSLRVAEPAVAKAAVWARGSKPVAPLRAKKSSGGHETLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVGKIMSEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMKRLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHDDVSKLTPTDIAIESFHKIENFVIEHTVDNPVGDSQADSRAQRIQTL >ONIVA06G04430.1 pep chromosome:AWHD00000000:6:2932788:2933418:-1 gene:ONIVA06G04430 transcript:ONIVA06G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWKHFRIYTILPFIGFFAVTIFFFNSTIPIILKICGLNASDMWGNNAEAQPLAFDLGHVDWICFVAFAGMAAGLVIYSYKPSFFICHCF >ONIVA06G04420.1 pep chromosome:AWHD00000000:6:2930399:2934544:1 gene:ONIVA06G04420 transcript:ONIVA06G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLVYRATVSCQGSKKFIVVSTAGKKHLVSQMKHIFKIIAMLVAVSAIWIALLETATVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDILEAKEFLSKKVKISHIITVHTKPCPYEPAITLCSRQNPTYYYLEVVATNDETSLLEAGSSSSPTLRLLGAPACKSSQWFVVRN >ONIVA06G04420.2 pep chromosome:AWHD00000000:6:2930399:2933929:1 gene:ONIVA06G04420 transcript:ONIVA06G04420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLVYRATVSCQGSKKFIVVSTAGKKHLVSQMKHIFKIIAMLVAVSAIWIALLETATVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDILEAKEFLSKKVKISHIITVHTKPCPYEPAITLCSRQNPTYYYLEVVATNDETSLLEAGSSSSPTLRLLGAPACKSSQCIGMRLWREELMFRDLLMVKAVLVGRGPRRREREERGCVRRRREGGRRAPDPARGRVVGRRIGVGVGRRLLDPASPPPEGAAVRGGARRRPPRAVAHTCRCPLLPSPSHMPATASMAGIEE >ONIVA06G04420.3 pep chromosome:AWHD00000000:6:2930399:2934544:1 gene:ONIVA06G04420 transcript:ONIVA06G04420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLVYRATVSCQGSKKFIVVSTAGKKHLVSQMKHIFKIIAMLVAVSAIWIALLETATVPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDILEAKEFLSKKGVDNPTYYYLEVVATNDETSLLEAGSSSSPTLRLLGAPACKSSQWFVVRN >ONIVA06G04410.1 pep chromosome:AWHD00000000:6:2916712:2928460:1 gene:ONIVA06G04410 transcript:ONIVA06G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) TAIR;Acc:AT1G71820] MSEYYSAARNVSLERTRQISHGHAHDSHPFASLLPAPLVINHRGPTKQNTTPSSRSPSPIPASPRRAAPSSSSSSHPAEHSPAASVSCASAAAGRGRGAAVGVGRGRAVMEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGINALALSQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDVGGMMSISVEAAAARDSLSDDKELIRTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDRSWETFEKALWGHVTNFFRLSKDSPQTLVRAIRVVEMQEILDQQVAEEAAEAEGAGAMATIANQRRTANRKGGGATSTPRSTQDKSKVQGKGYKDKCYEYIRKAVEARFDKLLTELVFSEDLMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANDIPNINILKVTGWVVKYQENLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKSTEDGKLYTPAAVDLFRILTEQVQIVTENSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALINNNLRCYELSSELSSSTLEALPQNYAEQEAVLQTVSVIFEDPGVQDLLVKVYQKDWMEGMVTEYLVATFADYFGDVKQYIEERSFRRFVEACLEQTIVVYVDHLLTQKGHIKEETIERMRLDEEVLMDFFREHINVTKVETRVRILADLRELASAESLDSFTLIYTNILEHQPDCPPEVVEKLVGMREGIPRKEAKEVVQECKEIYENSLVDGNPQKSGFVFGKLKCLTARKGIWSKLGQ >ONIVA06G04400.1 pep chromosome:AWHD00000000:6:2908413:2910770:1 gene:ONIVA06G04400 transcript:ONIVA06G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HL65] MGTAKLPALLWLLAGVVLALAVNPAHGAKTRHYDFFITETNYTRLCHEKSILTVNGQFPGPTIYARKGDLVIVNVHNNGNKNITIHWHGVDQPRNPWSDGPEFITQCPIRPGGNFTYQVILSEEEGTLWWHAHSDFDRATVHGAIVIHPKRGTTFPFKKPDKEIPVILGEWWNDDIEHVLDKAQLLGGDVDPSNANTINAQPGDMFPCSRDDTFKVAVQQGNTYLLRIINAGLTNDMFFAIAGHRLTVVGIDARYTKPLTVDYIMIAPGQTMDVLLEAKRTLGSNSRYYMAARTFITLPLDTIPFNNSTATAIVEYTDSVTARPIGPPEFPVQLPAIKDENAAMAFVTQLRSLGTQEHPVHVPTHVDEHMLIDIDINVLPCDPTNMVEKCKEGPQGNRFAASLNNVSFQSPAIDVLDAYYYSSGHGVYEEDFPNKPTAFVDPPVNNGSGPLMTKRGTKVKVLEYGTVVEVVFHDLSSENHPMHLHGFAFYIVGRGNGTFDESRDPATYNLVDPPFQNTVSVPRSGWAAIRFRADNPGVWFMHCHFDRHVVWGMDTVFIVKDGKTPQAQMLPRPPNMPQC >ONIVA06G04390.1 pep chromosome:AWHD00000000:6:2903696:2904895:-1 gene:ONIVA06G04390 transcript:ONIVA06G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAMLLAPMPLLLLASTPLAAVHLPARCRLRLQLLSRAAPEAASATTTTTTAPDNHFSVEEYLISNCNLTQPQAHKASKSIAHLKSRSNPDAVLAFLADFGLSPKEVAAIVASNPRILCARIDRSLAPICSELRAVGLSPSQIARLAQITGRYFLCRSFVSKVRFWLPLFGSSERLLQASDWNYWLLTSDLEKVVEPNVSFLKECGLSAHDISKLLVAAPRLVTMHPDYVKDAVRRAIQLGVAPGSQMFRHALSTAGCIGQDKIDAKVAVLKESLGWSQEEVNLAVSKAPRILVASEERLRRNAEFLIDEVGLQPQYVARRSVLLMYSLERRLVPRHLVVKLLKERGLIEQDRCFFNAVAPTEEKFLEKFVVPFEGCVPGLADAYESACAGKTPVQAE >ONIVA06G04380.1 pep chromosome:AWHD00000000:6:2901416:2903673:-1 gene:ONIVA06G04380 transcript:ONIVA06G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYTLAGFWHDQRSRCPDAQDGTRSRALFLLPVKTEAQISLTTGHDTAVRSRRDPSPPRRRAGAMQRLQKQLLPALRAAFPLPASHFSSRLLSASTATLSPTRFVDEDALVAACGLTGAEALKASKRLQKVPSNLDAALTFLAFLADFRLSKDDIAAASSRYPRFLHLKVDETLTSQVARLRDIGLSTPEIGRLITIAPCILSNPRTISRLEFYLSFLGSYPRVHSALRNNSSLLRRNNIESEVKPNIAFLEQCGLTTCDIAKILMSGSRILIMQPEHVKEIVACADKFGMPRESAGFRYALMAVTGISPVRVSAKLDFLRMVIGCSDAQLHIAVSRFPLILTYSEVKLSRSLEFLKAEVGLEPQYIVLRPALLGYSIQKRLMPRYHVMKVLNEKGLLKKDTDFYSMVKIVEESFFKKFLLPYHRSVPGLEKAYLAAREGKMFPEI >ONIVA06G04370.1 pep chromosome:AWHD00000000:6:2898256:2899352:1 gene:ONIVA06G04370 transcript:ONIVA06G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVALAQERRGPKGQGSAAGRVVDADQTSRVTAGISNSEVISSICFRTLMSMRLDPSRPYWMGEAAGRRVTEVRRRVAISSGGGEWCAAEWWTRGVRLGLAWLCAGLGGGEAREEERGLGGGWTGGICWSPDRTVEALERAAWQEVCGRRWDDADKERMIVSRLIETGG >ONIVA06G04360.1 pep chromosome:AWHD00000000:6:2894562:2896352:-1 gene:ONIVA06G04360 transcript:ONIVA06G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39620) TAIR;Acc:AT4G39620] MLAYPTTSSPWPPRHHGAAAAPAARRHMAAAAARGKRRGAGAAAAEGADEAAEAADLVRFFLRRTSGGKERLVAVLDRHVKVVRTEHCFLLFEELGRRDGWLQCLEKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCRPDTSVYNSLIGAHLHSRDKSKALAKALGYFEKMKTIDRCQPNIVTYNILLRAFAQAGDTKQLDILFKDLDESPVSPDIYTYNGVMDAYGKNGMITEMESVLVRMKSNQCRPDVITFNILIDSYGRKQAFDKMEQVFKSLLRSKEKPTHPTFNSMITNYGKARLREKAECVLDKMTKMGFKPNYVTQECLIMMYAYCDCVSRARQIFDELVSSQNNVHLSSVNAMLDAYCMNGLPMEADQLLDSVIKKGAVPSASTYKLLYKAYTKANDKKLIQKLLKRMNSQGIVPNKKFFLDALEAFGNTDKKPRTVPSKNSASKPDVESANNSGTDTSSKPNLSVWQVAA >ONIVA06G04350.1 pep chromosome:AWHD00000000:6:2886926:2895869:1 gene:ONIVA06G04350 transcript:ONIVA06G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVSALKEFILARWPQDKEITPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPPQPDKNSEKQLANSPKQNRCGCTILPSDSGRSPISTVGFRRKARASPGLRLRAASPLARTQPRRPRRRKTLPPSALSLSGSASRAPWKRPPVAGARPPVGDPTALYKRDRLERPDPSLARGFLRLSVRVPVRYGILGPPPLSPPREEADRPVADSLHRFLVWWSSALKTAYARFDLFQATRIPQRVICASNSYSSNYRSTSTSSPMNSNEMLGAQETVSTEGNNSCSSVQSTPDIEKKYVHRVYDAIAPHFSSTRFAKWPKVAGFLNSLRPGSVILDAGCGNGKYLGFNPDCLFIGCDISPPLIDICAGRGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTDARRRKAIEELIRVVRKGGLVLITVWAVEQEDKSLLNKWTPLCEKYNEEWVDPSSPPVRNQSNTVLESISETDEHTGAVKQRNDDLKISNDGLDDNADVTCSNSNIDEHHKTQQEYFVPWHLPFHRAEIGGASAAALENGFAKRDDKKGTVVYNRYYHVFVEGELQRLVAGINNAVVVDQFYDKSNWCIVLEKL >ONIVA06G04350.2 pep chromosome:AWHD00000000:6:2886926:2895869:1 gene:ONIVA06G04350 transcript:ONIVA06G04350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVSALKEFILARWPQDKEITPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPPQPDKNSEKQLANSPKQNRCGCTILPSDSGRSPISTVGFRRKARASPGLRLRAASPLARTQPRRPRRRKTLPPSALSLSGSASRAPWKRPPVAGARPPVGDPTALYKRDRLERPDPSLARGFLRLSVRVPVRYGILGPPPLSPPREEADRPVADSLHRFLVWWSSALKTAYARFDLFQATRIPQRVICASNSYSSNYRSTSTSSPMNSNEMLGAQETVSTEGNNSCSSVQSTPDIEKKYVHRVYDAIAPHFSSTRFAKWPKVAGFLNSLRPGSVILDAGCGNGKYLGFNPDCLFIGCDISPPLIDICAGRGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTDARRRKAIEELIRVVRKGGLVLITVWAVEQEDKSLLNKWTPLCEKYNEEWVDPSSPPVRNQSNTVLESISETDEHTGAVKQRNDDLKISNDGLDDNADVTCSNSNIDEHHKTQQEYFVPWHLPFHRAEIGGASAAALENGFAKRDDKKGTVVYNRYYHVFVEGELQRLAAIRKRS >ONIVA06G04350.3 pep chromosome:AWHD00000000:6:2891190:2895869:1 gene:ONIVA06G04350 transcript:ONIVA06G04350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIFSRIATRIPQRVICASNSYSSNYRSTSTSSPMNSNEMLGAQETVSTEGNNSCSSVQSTPDIEKKYVHRVYDAIAPHFSSTRFAKWPKVAGFLNSLRPGSVILDAGCGNGKYLGFNPDCLFIGCDISPPLIDICAGRGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTDARRRKAIEELIRVVRKGGLVLITVWAVEQEDKSLLNKWTPLCEKYNEEWVDPSSPPVRNQSNTVLESISETDEHTGAVKQRNDDLKISNDGLDDNADVTCSNSNIDEHHKTQQEYFVPWHLPFHRAEIGGASAAALENGFAKRDDKKGTVVYNRYYHVFVEGELQRLVAGINNAVVVDQFYDKSNWCIVLEKL >ONIVA06G04350.4 pep chromosome:AWHD00000000:6:2886926:2891579:1 gene:ONIVA06G04350 transcript:ONIVA06G04350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVSALKEFILARWPQDKEITPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPPQPDKNSEKQLANSPKQNRCGCTILPSDSGRSPISTVGFRRKARASPGLRLRAASPLARTQPRRPRRRKTLPPSALSLSGSASRAPWKRPPVAGARPPVGDPTALYKRDRLERPDPSLARVRDRGFSGCPCGCPSGTGSSALLRCLRRGRRQTGRSLTRCIDFWFGGVQP >ONIVA06G04340.1 pep chromosome:AWHD00000000:6:2881268:2881951:-1 gene:ONIVA06G04340 transcript:ONIVA06G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQRLPCRARDRDSLGLRSLLVADAAGYGLGRVVTRTIVAVHQETTTSCKGDQCNGCSDDDEEEDVHDGEDGCWVAYGRRGMMRRLPPPLPSLRGAMRRTCTKDGRLVVTEAPAGARRRHEYIRARRRGGRVTMQLVESKDFYPCPSPAEEDDDDDDIVNVTQAVSDTSTAAATVGECDPGHMQKAPATAPPPPSPPSIGCFEDVVKYHSIGSTSLHQIVRLRMVH >ONIVA06G04330.1 pep chromosome:AWHD00000000:6:2869505:2874810:-1 gene:ONIVA06G04330 transcript:ONIVA06G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT5G10080) TAIR;Acc:AT5G10080] MRVPVLLPLLLLLLAAAAAVAAAEAATLSARMVHRLSDEARLAAGARGGRRWPRRGSGDYFRALVRSDLQRQKRRVGGKYQLLSLSQGGSIFPSGNDLGWLYYTWVDVGTPNTSFLVALDTGSDLFWVPCDCIQCAPLSSYHGSLDRDLGIYKPSESTTSRHLPCSHELCSPASGCTNPKQPCPYNIDYFSENTTSSGLLIEDMLHLDSREGHAPVNASVIIGCGKKQSGSYLEGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKKDDSGRIFFGDQGVPTQQSTPFVPMNGKLQTYAVNVDKYCIGHKCTEGAGFQALVDTGTSFTSLPLDAYKSITMEFDKQINASRASSDDYSFEYCYSTGPLEMPDVPTITLTFAENKSFQAVNPILPFNDRQGEFAVFCLAVLPSPEPVGIIGQNFMVGYHVVFDRENMKLGWYRSECHDLDNSTMVSLGPSQHNSPEDPLPSNEQQTSPAVTPAVAGRAPSSGGSTTLQNLLANSNMLLLLTMSVFFIS >ONIVA06G04320.1 pep chromosome:AWHD00000000:6:2868057:2868894:-1 gene:ONIVA06G04320 transcript:ONIVA06G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSIKRLLSMLLSAVSGGQRDKGKRMQRRRRQQQQLQITVELRVRMDCERCERQVRRALAGMRGVQHVEVSRRQQKVTVTGSVDPHEVLRRVQSTGKKAEIWPQYPTYGSAAAAAAAVVHCSLGPPHDRWAPACHPRNMDAAMGAEHIANLFSDDNPNACSLM >ONIVA06G04310.1 pep chromosome:AWHD00000000:6:2862392:2863720:-1 gene:ONIVA06G04310 transcript:ONIVA06G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKMLGKRQRSQGTMHRTTSMASVPAAAKQGRRHVVEGPPRAPPASLLAGGGPATGAAADHGGVETAAFLKNCALCGRDLGPGKDTYIYRGEVAFCSKECRECVIEYYERKERNCSLTSIKDTPAVSGASGSDQSGASGSETVAAA >ONIVA06G04300.1 pep chromosome:AWHD00000000:6:2851239:2852967:-1 gene:ONIVA06G04300 transcript:ONIVA06G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein 16-2 [Source:Projected from Arabidopsis thaliana (AT4G39710) TAIR;Acc:AT4G39710] MAPPPISSSLSLMASNPIPSPPVAKPGRPLTVAACSNSSSSSSSSSPSSTSCSAAWSLPVATSAGRRGLLALGAGFLASPGLLCPAGDAGATRIEYYATVGDKLCDMNVVKSGLGYCDVEVGTGAQPPRGQLINVHYTARFTDGIVFDSTYKRGRPLTMRLGAGKILRGLEQGISGGGGVPPMLVGGKRKLMIPATLAYGPEPAGCFSGDCNIPGNTTLLYDIFLVGFYKFSSKFWRFIQEAGLRTDEEKGCDMPKPRRRKQMRHRSSLGLQYAEYYICNLYQEVKGYITNRSSDILSNWSYVF >ONIVA06G04290.1 pep chromosome:AWHD00000000:6:2845071:2849684:1 gene:ONIVA06G04290 transcript:ONIVA06G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSIPNGGRITCHIIPTHCDGLLPSPRTTWPCSCATRPPRSSLCCRPAVAAAHAHGLQNQRRRLASTAWRNRYVVVRCFYCKSHNDPPVYNIGHEIFTLDTGAGDGWQRMQDQSQEAFDAVPSSLGCTACDNNNRLADLTGELCYVHRVRTSVATHEVWMAAAVDDDDQEWWLRYRVDL >ONIVA06G04280.1 pep chromosome:AWHD00000000:6:2840081:2842102:-1 gene:ONIVA06G04280 transcript:ONIVA06G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSQHALVSVKSLCTGANFGFEKRTSKVRFVLVGRCCSGTRKLGLVCASNSHSSVMEPAQLPLSPESGNTPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVDEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIVVHSESEQAHRWSKIYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIVPQLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTRSLAQYRQKLDNMFQ >ONIVA06G04270.1 pep chromosome:AWHD00000000:6:2836005:2838839:-1 gene:ONIVA06G04270 transcript:ONIVA06G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHNSPCDIPKPASVDEFVKNGKKKKSFMSSIFRKKGRSGTGSSDKKLLSRRDIVFDRHCATKIESLTLSCLDSPHRQFDTREYRVFVGTWNVAGKPPNSSLNLEDFLQIEGLPDIYVLGFQEIVPLNAGNVLVIEDNEPAAKWLGLIYQALNKPQDQSSGDELSPPETSDSRQGGGSGSRDSIPKSSSGGMLFFQKPSLKMLSKNYRVDSALVKTCTCLTDPSTMQRRAREMREFLYRIEASPPPSLASAAAAADEDGGPDAGGELARSSVNYCLIASKKMVGIFLSVWVRRELVQYIGHLRVDSVGRGIMGRLGNKVLDAVLLCLCSLFGSLDSWRRHGWLSCLQGCIAMSMTLHQTSVCFVCSHLASGEKEGDEVRRNSDVAEIIKSTQFPRICKVPGQRIPDKILDHDRVIWLGDLNYRVALSYDETKTLMGENDWDTLLEKDQLMIERQAGRVFKGWKEGKIYFAPTYKYKQNSDSYAGETAKSKKKRRTPAWCDRILWHGQGIEQLQYIRGESRFSDHRPVCSVFVIEADVDNGSMIRKGYSTLDSRIHFESPIPQRHSFYDDF >ONIVA06G04260.1 pep chromosome:AWHD00000000:6:2831850:2837025:1 gene:ONIVA06G04260 transcript:ONIVA06G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASGVNNGDGKEAALFEQRLSKIGEVRAALGQLSGKAALYCSDASIARYLVARNWEVKKATKMLKKTLKWRSEYKPDEIRWDEIANEAATGKIYRTDYFDKSGRSILVMRPGVQNTKKAKGQIRYLVYCMENAILNLPHDQSQMVWLIDFAGFSLSNISLHVTKLTADVLQGHYPERLGVAILYNAPKFFESFWKIASPILEPKTFNKVKFVYPDRPETNKIMEDLFNMEELESAFGGKNQATFNINDYAARMREDDIKMPLFWSPENSALASEPYVMVNKDMAQEGSSGLKSEETASEKREETDTESENREETESESERAEIDSVSGKREETVAVSDKREEKETESENGKAAVTSSNGVELTSLPGEGKGITPAD >ONIVA06G04250.1 pep chromosome:AWHD00000000:6:2826131:2830731:1 gene:ONIVA06G04250 transcript:ONIVA06G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRARALLHAPAPLLLLLALAAAAAAVAVASGPPFSCGAPSSAAFCNPRLPIEQRADDLVSRLTLEEKISQLGDQSPAVDRLGVPAYKWWSEALHGVSNAGRGIHLDGPLRAATSFPQVILTAASFNPHLWYRIGQVIGTEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTVTGKYAAVFVRGVQGYALAGAINSTDLEASACCKHFTAYDLENWKGVTRYAFDAKVVTAQDLADTYNPPFRSCVEDGGASGIMCSYNRVNGVPTCADYNLLSKTARGDWRFYGYITSDCDAVSIIHDVQGYAKTAEDAVADVLKAGMDVNCGSYVQEHGLSAIQQGKITEQDINRALHNLFAVRMRLGLFNGNPKYNRYGNIGPDQVCTQEHQNLALEAAQHGVVLLKNDANALPLSKSQVSSIAVIGHNANDATRLLGNYFGPPCISVTPLQVLQGYVKDTRFLAGCNSAACNVSSIGEAAQLASSVDYVVLFMGLDQDQEREEVDRLELSLPGMQENLINTVANAAKKPVILVLLCGGPVDVTFAKYNPKIGAILWAGYPGEAGGIAIAQVLFGEHNPGGRLPVTWYPKEFTSVPMTDMRMRADPSTGYPGRTYRFYRGNTVYKFGYGLSYSKYSHHFVANGTKLPSLSSIDGLKAMATAAAGTVSYDVEEIGTETCDKLKFPALVRVQNHGPMDGRHPVLLFLRWPNGAADGGRPASQLIGFQSLHLKSMQTVHVEFEVSPCKHFSRATEDGKKVIDHGSHFMMVGDDEFEMSFTP >ONIVA06G04240.1 pep chromosome:AWHD00000000:6:2782156:2828557:-1 gene:ONIVA06G04240 transcript:ONIVA06G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYMLKEVTYLRYYNILWNYPISDRTKRQPLVKGERTTSSDFERSDWCVPMVRLGAFNQLEYGRHPSPPPSMRLTPPHGLTRSRL >ONIVA06G04230.1 pep chromosome:AWHD00000000:6:2779999:2782053:-1 gene:ONIVA06G04230 transcript:ONIVA06G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSSSAARRVVVVVCAAMVVAAAAAQKYNAVYNFGDSITDTGNLCTNGRPSQITFTQPPYGETYFGSPTCRCCDGRVVVDFLGNFDSFVFLVCLLRRADGGGWWLLQRSTSADFKKGANMAITGATAMDANFFRSLGLSDKIWNNGPISFQIQWFQQISSSVCGQNCKSYLANSLFVFGEFGGNDYNAMLFGGYSADQASTYTSQIVDTISNGVEKLIAMGAVDVVVPGVLPIGCFPIYLTIYGTSSSSDYDSLGCLKKFNDLSTNHNNQLKTKISALQSKYKSARIMYADFYSGVYDMTCCGSGGGKFNYNNNARCGMSGASACSNPASHLSWDGIHLTEAAYKQITDGWLNGPYCSPAILHS >ONIVA06G04220.1 pep chromosome:AWHD00000000:6:2773627:2779192:1 gene:ONIVA06G04220 transcript:ONIVA06G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HL44] MPSSPKVFSSATTSRRATLRRILSTPAFSAACLLFGLAGFLAAALSFSWSPGSAPRARCPDSSRPLSVSVAWDRRPGDASAGAVAAAGAAVDLPASHATGSRGRHKVMAFVGIFTGFGSVGRRRALRRTWLPADRQGLLRLEEATGLAFRFVIGKSNDKSKMAALEREVQEYDDFVLLDLEEEYSKLPYKTLAYFKAAYALYDSDFYVKADDDIYLRPDRLSLLLAKERSHTQTYIGCMKKGPVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGSWMLAMNVNHENTHALCSPECTESSIAVWDIPKCSGLCHPEVKMLELHRRKECTGGPSAVSESDDR >ONIVA06G04210.1 pep chromosome:AWHD00000000:6:2770439:2772419:1 gene:ONIVA06G04210 transcript:ONIVA06G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGALAAAAVDVVGSVLVVCCLCWCAVQPALAGGVGGGGGDGGMRCKYNAMFVFGDSLADTGNICVNKSAAATLLLTFAQPPYGMTYFGHPTCRCSDGRLVVDFLAQELGLPLLPPSKRSGGGGDFRRGANMAIVGATALDFDFLKSIGLGYPIWNNGAMNVQLQWFHHLLPSICATQPQGCRAYLSKSLFLFGSLGGNDYNAMLFFGFTVDQARNYTPKIVDTIITGKLIAMGAAEIVVPGVMPVGCFPLYLTMLRSSNESDYDEHGCLRPLNDLAIHHNALLQARLAGLQARYRSAAAAAPAPVRIMYADYYTMVAQMLHTPARFGFRSGMTACCGAGGGEYNYEFEARCGMKGAAACRDPSRHVCWDGVHTTEAANRLVAGGWLRGPYCHPPILHH >ONIVA06G04200.1 pep chromosome:AWHD00000000:6:2763068:2767835:1 gene:ONIVA06G04200 transcript:ONIVA06G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVVVAFAVASAMFVAVSGQKFNAIFSFGDSMSDTGNLCVNGPPAGLTLTQPPYGETFFGRATCRCSDGRLVVDFLAEKFGLPLLPPSKRGGSDFRRGANMAIIGATTMDSGFFQSLGIGDKIWNNGPLNTQIQWFQQLMPSICGSSCKTYLSKSLFVLGEFGGNDYNAQLFGGYTPEQAAGQSGTIVDGIGKGVEQLIGLGAMYVVVPGVLPVGCFPIYLTLYGTSNAGDYDQYGCLTRFNTLSSRHNSLLQAKVSSLQSKYPWARIMYADFYSHVYDMVKSPSNYGFSTNLRACCGAGGGKYNYQNGARCGMSGAYACSNPSSSLSWDGIHLTEAAYKQIADGWVNGPYCHPPIMS >ONIVA06G04190.1 pep chromosome:AWHD00000000:6:2755616:2756772:-1 gene:ONIVA06G04190 transcript:ONIVA06G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIVLFGDSITEEAFGEGGWGAHLANHYSRSADVVLRGYSGYNTRWAAMVAARAVVAGAAGVAAPPAAVTVCFGANDASLPGRASALQHVPLPEYRDNLRAICALLAAAWPSVVVILITPPPVHDAARVRYQYGGDCAGLPERTNESAGAYARACVEVAAECGLRVIDIWSKMQRFPGWESSFLRVVFEEVVFALKDASLGLEALPADLPLFCDMDPNNPVKSFDE >ONIVA06G04180.1 pep chromosome:AWHD00000000:6:2742834:2743202:1 gene:ONIVA06G04180 transcript:ONIVA06G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDDKLTAILKKLEMLDVLEARWTTCFFGKEDSMTSFGDGLTSDFMAPATSSTQVVDTMLTSLTTAPSTQPFPLAALAMEQEVAVQQKMVLSIMMTRPAVAPSPRAKMAADLWKKQETQQI >ONIVA06G04170.1 pep chromosome:AWHD00000000:6:2739975:2742421:1 gene:ONIVA06G04170 transcript:ONIVA06G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCFFPIRRAAGANKLRRKGFSKIDGNSQQEEEEKEADDLDRDLGMMREELEREVLNTWLWGKDMDSILNEESEWQGTLPGWACPREDNYQSESEFSVDPDECDSFDGYWYEFRYEDGNPYYAAEREERWENQVMEQMKFTVSIAKQRQNDFFCPIFEGSLHVEGPCHLDPDILSTEHLLPQLPKWKNRWVNGYNHRNEPCRRAIQVYDLNVSSPHDEPMEIYGIFVFRDVRNNQQRNHVFQYSRDKPYKLRPGSNKIRPLIWPPRGIYAVGPMLIEYYLVIKGYCVQWGQFMIFDGKLSVGKLPPVTLCVDGDGILLLRFFPSNDKSFSHGGGVNDLLEHVTEDVLFGSMSFVPQNEGSSTASGGCSSCMDGLEISATAKWSPLFEQSD >ONIVA06G04170.2 pep chromosome:AWHD00000000:6:2739909:2742421:1 gene:ONIVA06G04170 transcript:ONIVA06G04170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLREEEEKEADDLDRDLGMMREELEREVLNTWLWGKDMDSILNEESEWQGTLPGWACPREDNYQSESEFSVDPDECDSFDGYWYEFRYEDGNPYYAAEREERWENQVMEQMKFTVSIAKQRQNDFFCPIFEGSLHVEGPCHLDPDILSTEHLLPQLPKWKNRWVNGYNHRNEPCRRAIQVYDLNVSSPHDEPMEIYGIFVFRDVRNNQQRNHVFQYSRDKPYKLRPGSNKIRPLIWPPRGIYAVGPMLIEYYLVIKGQERKDDKVLIDGHSMYAPSFYSELHRYRWHIDTGHCGTVALEMVALDKAVLGTLELEWGQFMIFDGKLSVGKLPPVTLCVDGDGILLLRFFPSNDKSFSHGGGVNDLLEHVTEDVLFGSMSFVPQNEGSSTASGGCSSCMDGLEISATAKWSPLFEQSD >ONIVA06G04170.3 pep chromosome:AWHD00000000:6:2739908:2742421:1 gene:ONIVA06G04170 transcript:ONIVA06G04170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGFSKIDGNSQQEEEEKEADDLDRDLGMMREELEREVLNTWLWGKDMDSILNEESEWQGTLPGWACPREDNYQSESEFSVDPDECDSFDGYWYEFRYEDGNPYYAAEREERWENQVMEQMKFTVSIAKQRQNDFFCPIFEGSLHVEGPCHLDPDILSTEHLLPQLPKWKNRWVNGYNHRNEPCRRAIQVYDLNVSSPHDEPMEIYGIFVFRDVRNNQQRNHVFQYSRDKPYKLRPGSNKIRPLIWPPRGIYAVGPMLIEYYLVIKGQERKDDKVLIDGHSMYAPSFYSELHRYRWHIDTGHCGTVALEMVALDKAVLGTLELEWGQFMIFDGKLSVGKLPPVTLCVDGDGILLLRFFPSNDKSFSHGGGVNDLLEHVTEDVLFGSMSFVPQNEGSSTASGGCSSCMDGLEISATAKWSPLFEQSD >ONIVA06G04160.1 pep chromosome:AWHD00000000:6:2732295:2732576:1 gene:ONIVA06G04160 transcript:ONIVA06G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSSTLRSPLVRAAVVLMLLLVVMSAAVSRGEPDHDHVQLQLAVITGRRMLVVAGSNTATMISSQTAVAAAMPYSESKRSSPGGPDPQHH >ONIVA06G04150.1 pep chromosome:AWHD00000000:6:2725612:2730015:1 gene:ONIVA06G04150 transcript:ONIVA06G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73170) TAIR;Acc:AT1G73170] MHLGVSLVPPLPLHFPRRAPYGFRSAAPRRIAVCPLLSVGRRRRLGAPPRAARGGGEGPEEEMRRLLELLPGELRRRVEGHPELPALVEVVMDLGRPPLARFPSGDFLLSQSPISFDDLRHATSQVGDFGADNRAGISRTLHRISAIRNRKGAIIGLTCRVGRAVPGSANLLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYRKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQDMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVATAHGITIENLIMNPSLDMLVGGVQSVTLGDEEANRRGVQKTVLERKGPSTFTCAAEIVSKIELRVHRSLEDTVDALLAGKMPNVEIRKVGSKGPVQEVYVQKERLDLGPSEGATQLDTDSLSNARRSLDSAFNLDPAEGHIGRSTEAEPDLNLYAYGISESTALQAIKQLELEDIVTLTYNISEADAVIALQSKLKKNTQIQAVVKSQDIPVFFTKTNSLVQIRRALRALVDDHTDGLMDFEDTEEVRSSEETDALEEARLAIEQVVIPKGESVQLLPRPPSIIASQVDLVESFKLKWESIGQEPNACLRILPQFVGVEEGGKSVKQEAATELTDSDNSDDMDYKQNGVSRLPFLPE >ONIVA06G04140.1 pep chromosome:AWHD00000000:6:2723850:2725329:-1 gene:ONIVA06G04140 transcript:ONIVA06G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein [Source:Projected from Arabidopsis thaliana (AT1G28680) TAIR;Acc:AT1G28680] MESSPPPPPQMRVRVMETVHLRPPPADDAASFALSGLDTDRNVLDVTFRTLRFFPPPSLELDPLAVLPRAFAAALGMFVPLAGRIGDGGRVVWSAADAVPLVLAAADDVSVADVDTDSPGSDLLERLVPGDGDGDGVAGSPALALQVTRFSCGGVALGMRVAHALCDGAGATKFLSAAARFARGAQEPAAVAPVWEREDRLGPRRPPRVVKPFERVLSLDDAAAAVHGPYGAAGDAQGQIARECFHVSDARVEELRAQLAGEAGIKLTTFEFLAAFIWRARTKARRTSPDEVVKMVYSMNISKLLTPPLPDGYWGNVCVPVYVALTAGELVAQPLADTAAMVKKSKQEVDDEYVRSYIDFHELHRGGGVTAGRGVSAFTDWRRLGHSEVDFGWGSPAAVLPLSWRLLGSTEPCFFVPYGAADERRRRGFKVFVAVPAMATHCFREEMQELSLQRHCLRSKEKL >ONIVA06G04130.1 pep chromosome:AWHD00000000:6:2705650:2707194:-1 gene:ONIVA06G04130 transcript:ONIVA06G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGRGDREGEDVDSWVKKESEWEEAGDGEEDDYSDETEYDDDEESSDEDDDYFSMENRDVRFALEEKWESQIMEQMKFFVSTADPFGIMTIWKGSLHVDGPCQSLDPNLLSMNNFMPQLPLRRKKKNNEEPCRRAIQVFGLTVSSPDNAIQEIYGMFAFRDIRNSQERNFIFEYPRDRPFTLKPGSDKVQPLIQPPRGIYAIGPVVMEYHLMIKGQEEQEDRVLVDGYSIYCPSFYKERSRFHWHIDTGHCGAIDLKMAAVPNAVLATVEIEVIRLGGPHYDSLAIVVALSIIKGMYLVFDGKVSVGKLLPFTVCINREMHLKLFVYGYSSSQIGHGDCSPDGVVSDYDNDGFFSASEDVYYDVLNFIPQFGTYKKMSHNLEDMDVSVTVTWSSLY >ONIVA06G04120.1 pep chromosome:AWHD00000000:6:2701144:2722718:1 gene:ONIVA06G04120 transcript:ONIVA06G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISKKKSKRGKSKHAKVAGQVPNGPNSTNQKSNNGPSSSSDPEDNAALEEWAATRIQNAFRCYKAKKTLRCLKGVKRLHIIGQTNPVNKQTAATLNYIQSWNKLQAEIRNRRAFMVTEGRNRKKKQENQMKLEAKLQNLQVEWNGGSDTMEEILGRIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFNYEVGKGGWGWSWMDRWIAARPWEPRSLVHPENLKKGQAKKENASTNPSALKLQGSISLSNNINDRKAPKKKVQKAKAAGPPKAKPKDMKGGQQKKQQQLELPLPLSSPSQSAALRAARRAPRAAAGPPRRRDDRLLLSGVVDVSQSPSALPSPPPSAVAPGAGPPPLRRARSYPRRTSRDPGNGEAILPATSRHTRRRGDPGWQAVILDPRPRDEATPGARRVTMDYDQADGLYDFPADDPTKKTLRCLKGVKRLHIIGQTNPVNKQTAPTLNYIQSWNKLQAEIRNRRAFMVTEGRNRKTKQEN >ONIVA06G04120.2 pep chromosome:AWHD00000000:6:2701144:2713691:1 gene:ONIVA06G04120 transcript:ONIVA06G04120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISKKKSKRGKSKHAKVAGQVPNGPNSTNQKSNNGPSSSSDPEDNAALEEWAATRIQNAFRCYKAKKTLRCLKGVKRLHIIGQTNPVNKQTAATLNYIQSWNKLQAEIRNRRAFMVTEGRNRKKKQENQMKLEAKLQNLQVEWNGGSDTMEEILGRIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFNYEVGKGGWGWSWMDRWIAARPWEPRSLVHPENLKKGQAKKENASTNPSALKLQGSISLSNNINDRKAPKKKSSPSPPDQKKPVAASPPDQKKPVALSPPDHKKTVTPSPPDQKKPVARVQKAKAAGPPKAKPKDMKGGQQKKQQQLELPLPLSSPSQSAALRAARRAPRAAAGPPRRRDDRLLLSGVVDVSQSPSALPSPPPSAVAPGAGPPPLRRARSYPRRTSRDPGNGEAILPATSRHTRRRGDPGWQAVILDPRPRDEATPAWHNIIIVVIA >ONIVA06G04120.3 pep chromosome:AWHD00000000:6:2701451:2713691:1 gene:ONIVA06G04120 transcript:ONIVA06G04120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISKKKSKRGKSKHAKVAGQVPNGPNSTNQKSNNGPSSSSDPEDNAALEEWAATRIQNAFRCYKAKKTLRCLKGVKRLHIIGQTNPVNKQTAATLNYIQSWNKLQAEIRNRRAFMVTEGRNRKKKQENQMKLEAKLQNLQVEWNGGSDTMEEILGRIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFNYEVGKGGWGWSWMDRWIAARPWEPRSLVHPENLKKGQAKKENASTNPSALKLQGSISLSNNINDRKAPKKKSSPSPPDQKKPVAASPPDQKKPVALSPPDHKKTVTPSPPDQKKPVARVQKAKAAGPPKAKPKDMKGGQQKKQQQLELPLPLSSPSQSAALRAARRAPRAAAGPPRRRDDRLLLSGVVDVSQSPSALPSPPPSAVAPGAGPPPLRRARSYPRRTSRDPGNGEAILPATSRHTRRRGDPGWQAVILDPRPRDEATPAWHNIIIVVIA >ONIVA06G04120.4 pep chromosome:AWHD00000000:6:2701144:2722718:1 gene:ONIVA06G04120 transcript:ONIVA06G04120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISKKKSKRGKSKHAKVAGQVPNGPNSTNQKSNNGPSSSSDPEDNAALEEWAATRIQNAFRCYKAKKTLRCLKGVKRLHIIGQTNPVNKQTAATLNYIQSWNKLQAEIRNRRAFMVTEGRNRKKKQENQMKLEAKLQNLQEILGRIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFNYEVGKGGWGWSWMDRWIAA >ONIVA06G04120.5 pep chromosome:AWHD00000000:6:2713529:2722718:1 gene:ONIVA06G04120 transcript:ONIVA06G04120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRVTMDYDQADGLYDFPADDPTKKTLRCLKGVKRLHIIGQTNPVNKQTAPTLNYIQSWNKLQAEIRNRRAFMVTEGRNRKTKQEN >ONIVA06G04120.6 pep chromosome:AWHD00000000:6:2720867:2722718:1 gene:ONIVA06G04120 transcript:ONIVA06G04120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDANDFEEWAATRIQNAFQCYKTKKTLRCLKGVKRLHIIGQTNPVNKQTAPTLNYIQSWNKLQAEIRNRRAFMVTEGRNRKTKQEN >ONIVA06G04110.1 pep chromosome:AWHD00000000:6:2694568:2695161:-1 gene:ONIVA06G04110 transcript:ONIVA06G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASAAAFTDNPAATATVAARHGVDGGGSRVVVPLFLVTVSLFFVTYQLFGVAAAAGAMVLDVCAVALAALNVTVTRARRFPFLRLSLGGEEGHGGGGGAGGGGVAPAAAGMDAAAIMALPAAFGYKREQTAAAAGGWAQCSICLGLARVGEAVRRLPSCGHLFHAGCVDEWLRAHATCPLCRAAVCAAGPEPELPV >ONIVA06G04100.1 pep chromosome:AWHD00000000:6:2691389:2691634:-1 gene:ONIVA06G04100 transcript:ONIVA06G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRFLAMKPMGWCGIRRRWKKLSGGRRDGGSEDGSPVEIDANQAGIEVDRRLGKELEGDNGESVWQEGSKDGEDEDRHDS >ONIVA06G04090.1 pep chromosome:AWHD00000000:6:2682243:2687423:-1 gene:ONIVA06G04090 transcript:ONIVA06G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HL24] MAGYVTIILLLLLPAAVSGAASGGGGCIGAMVDDTSRAGKEEKLAMEMAMEDFTVSGVDVGSPAVAVVLCTMASNGDPVRAASAALSLINERGARALVGLHSWQEAAFVAEIGRQAMVPVLSFAAAAAPSTSRRWPFLVRVARGQHAQMRAVAAVVGSWQWRRVAVLYEDADYGGGAGVFPHLADALRAVGSEVDRRVPVPASPSGDALRRSLGDLMGGQCRVFVVHTSAKVAVALFAEASRMGMMATGYVWIVTDAIAGAIDSLDAAAVSTMQGVIGVRNHISMDTNSKNTRDRLIARLRKRFRSQYPGDDGGGGGGGDNDKTRGPHYPALLAYDTIVAVASAMRKTNATAPTRATDPNPSSPDSGETIKIAVSSNGTELLREVKSVRFRGVSGEFGFVDGEFSPPVRFQLINVAAPRYHELGFWSPEHGFSKSAGGCSHRGGDGGGECEPSMRFLGPVIWPGKPWDVPRGWAPPANGSPFTVAVPEKAAFPDFVKVTRHHGRGGDDDDEPSFEGFSIDVFKAAVEHLPYNFHYKFVSFNGTYDSLMQHDYMKSYDILVGDTSISSGRYKFVEFSQPYTESGLVMVVPFSADTWDRSWIFLRPFSPAMWLLIAAVGLYNGVAIWLMERRHNGDYRDGVWKQVTIVLWLSLAALLSPGEEERRLRSSLSKASMAVWLLVAVVLATNYTASLSSLMTAQRLGREAAVTAESLRSAAGAVVGCTEGSVVGRYLEEVLMFPGHRVRRLAGDEEHRRALVSGEVKAAFLRVSHAKLLLAKYCNELMTTGPVYHVAGLGFVFPKGSPLLADISQAILEVFENGTIQRLETAMLSAYNCTAAAAAAAMDGGAGDLYRLGPENYWGLFLMTLFASTASLAAYGVFFHHDTSCGSGGGGGGGGAVITGGHRKQGDGRKDSATVDPGGSSHGDEAPSSASVTAGHGGKDTEMVVISMA >ONIVA06G04080.1 pep chromosome:AWHD00000000:6:2678298:2682368:1 gene:ONIVA06G04080 transcript:ONIVA06G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVGGRRRRRPAVEERYTRPQGLYPHPDIDLKKLRRLIVEAKLAPCFPGSDDPRADLEECPICFLFYPSLNRSKCCAKGICTDEDAHLVPADAVSLAQFLCPYCKMASYAVEYRGVKTKEEKGTEQIEEQRVIEAQIRMRQQELQDDAERMKKKQAAALTDVVTTAQVEHCDTGGASTTVKSSGQGSDMLSSQVQHAELLLKTSERLKQMRNNNFDMDPDEVMLVEALWLSLQDQEASGNPTCGNTVSSVHPPRSFEGSMTIPAEAASSSSAFACAVAALAEQQQMYGEASSTATCHTSRCDILSRSDRSFTEDLSINGSGSSGARSEEPSSNKMHQTREGMEYSNERWSEMAEASSSFTGSDLTTEAGAANSGGSDTGAGSIPDSFEEQMMLAMALSLADARAKASSPGLTWR >ONIVA06G04080.2 pep chromosome:AWHD00000000:6:2678298:2682368:1 gene:ONIVA06G04080 transcript:ONIVA06G04080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVGGRRRRRPAVEERYTRPQGLYPHPDIDLKKLRRLIVEAKLAPCFPGSDDPRADLEECPICFLFYPSLNRSKCCAKGICTECFLQMRTPTSCRPTQCPYCKMASYAVEYRGVKTKEEKGTEQIEEQRVIEAQIRMRQQELQDDAERMKKKQAAALTDVVTTAQVEHCDTGGASTTVKSSGQGSDMLSSQVQHAELLLKTSERLKQMRNNNFDMDPDEVMLVEALWLSLQDQEASGNPTCGNTVSSVHPPRSFEGSMTIPAEAASSSSAFACAVAALAEQQQMYGEASSTATCHTSRCDILSRSDRSFTEDLSINGSGSSGARSEEPSSNKMHQTREGMEYSNERWSEMAEASSSFTGSDLTTEAGAANSGGSDTGAGSIPDSFEEQMMLAMALSLADARAKASSPGLTWR >ONIVA06G04070.1 pep chromosome:AWHD00000000:6:2673814:2676065:1 gene:ONIVA06G04070 transcript:ONIVA06G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASRPDRLPSLSLSLSLAQSPPPPPSAPRTLPSPLLSLSHTRRRRSPLLSLAHPPPAPSIRRRFPSSDRRHRRPLTPLLLTPQERYRLASSISDNAAARRRRSPPQEQRRSASPISSDDIPLEAEEAAIHNRQRQCDAAAASRSTAAYPYSCHRSSSAERFRAPFATPTPSLICLLSPDKYP >ONIVA06G04060.1 pep chromosome:AWHD00000000:6:2658466:2658672:1 gene:ONIVA06G04060 transcript:ONIVA06G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQPHPVPAPPRPAPAAELLAIATFPAAAAAGSGERWATATGRAAVPPDLGEESEVGGGDGEGCSVV >ONIVA06G04050.1 pep chromosome:AWHD00000000:6:2657177:2658460:-1 gene:ONIVA06G04050 transcript:ONIVA06G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKWICYSISDEDLHGRWQGCAEHVVVHSRLRRLWRHGTRSVASSSSAVTPRRRARPAARPPRLRNYSSSSSLLGYHAGTMYQGYQVSSISRYQGTRYWYLPIPGYRYQRETKHGLKEVDLAWMLGNSSPLILLRGWI >ONIVA06G04040.1 pep chromosome:AWHD00000000:6:2650051:2652186:1 gene:ONIVA06G04040 transcript:ONIVA06G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPFSADGNGAAELAGSIAALLWPEDKGGGGGGGGGSLLVEPRSVLDCRGSPSPPNSTSTLSSSHGSGAADSISTGVAAVSESSAAAAEATRWAAPGEHGGGGGGELPPIPGALDVGFVAEESWDAMLGDAAAAAGQEQTFLNWIMAAPGDMEPQAPGLSQQQLLANAAGFGFPLQHHPGGVSSPAALASDLSSSGRRSLTSSSGSNSKATSAFGLLSPEAALQPPPATTAPFHNGADMKPPLLGLPSPTLLLNQHQPTPASTLFMPFPSFSDHQQQPLLQPPPKRHHSVPDNLFLLHNQPQPPPPAPAQCLPFPTLHSTVPFQLQPSMQHPRNAMKSTAAAAAAQQQHLLDELAAAAKATEVGNSIGAREILARLNQQLPPIGKPFLRSASYLKDALLLALADGHHAATRLTSPLDVALKLTAYKSFSDLSPVLQFANFTVTQALLDEIASTTASCIRVIDFDLGVGGQWASFLQELAHRCGSGGVSLPMLKLTAFVSAASHHPLELHLTQDNLSQFAADLGIPFEFNAINLDAFDPMELIAPTADEVVAVSLPVGCSARTPLPAMLQLVKQLAPKIVVAIDYGSDRSDLPFSQHFLNCLQSCLCLLESLDAAGTDADAVSKIERFLIQPRVEDAVLGRRRADKAIAWRTVLTSAGFAPQPLSNLAEAQADCLLKRVQVRGFHVEKRGAGLALYWQRGELVSVSAWRC >ONIVA06G04030.1 pep chromosome:AWHD00000000:6:2644385:2644892:-1 gene:ONIVA06G04030 transcript:ONIVA06G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKLTAAVAALLVVVFFALFVGSCEARRLRALGRVSSLKPSSSPPTPYKDAASMKLHGSDPTNQKKDLSSTSMDHHMASGDDAKAKDGVAMASPGAVQTTIVVRVSNRLSHQERRVDTAFHLDYAGPRTHPPSHN >ONIVA06G04020.1 pep chromosome:AWHD00000000:6:2640540:2641708:1 gene:ONIVA06G04020 transcript:ONIVA06G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRWCGRAEDSAVEGVKCGAATTRTQIGYNPNPPLSLDSLCVRFSNRPRGRGASPVRKPPCRYTRSVRRQVSLWRRAWRGQGAVTSRPFRTPIPKLNGVPSFLRSYPGVSLYHLLYVKRRGQAWTLGRLSNRGRVPASPTG >ONIVA06G04010.1 pep chromosome:AWHD00000000:6:2638650:2639063:1 gene:ONIVA06G04010 transcript:ONIVA06G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVLVFLLAINLLFFTTANACGCACGKCPTPPPPALPPPPPPTPTTPSYHNKCPVNTLKFGACADVLGAISGEVGQVPAQPCCSLISGLADLEAAVCLCTAIKANVLGVVVNIPVKLSLLVNYCGKCVPSGYTCA >ONIVA06G04000.1 pep chromosome:AWHD00000000:6:2629342:2638571:-1 gene:ONIVA06G04000 transcript:ONIVA06G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIWLVARHIKENPCGNARARASSELVLSWLARVGLGASRRGLRGARTHQHRRQLGRPHALVTTGLIAHWLHGPIESEVSVARDLTDCTVALACGRGTLCDVSAGDQMMT >ONIVA06G03990.1 pep chromosome:AWHD00000000:6:2625770:2628555:-1 gene:ONIVA06G03990 transcript:ONIVA06G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKITGFGGCGGGSGGRRTRVGDGGRRRRWPPVGFSFCSRAAAASVRRHAEAGRGRRGLGRGGGGGSPDNYTSCTRRRRISACVAAELGVDLTKVAAGTRARSSSAFLAAAQEQAAWLTPPPRLGVLCSGAVPIDAEAAMDLVLMAARLMPAREKGLGGGRMNCRRGKGFFHGRIWNFYECCVREVTGGQAPTPTALAPRSVQNDMLDE >ONIVA06G03980.1 pep chromosome:AWHD00000000:6:2624508:2628992:1 gene:ONIVA06G03980 transcript:ONIVA06G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPLFLSLPSPPPPPLPHLLPSHRPAAALTLAPALSSRRVSSVCPVASQRHSDYFDPRAPPPPPPRDGYGGPAYSPPAAQGGQQNGRVFSTYSIYKGKAAMSLDPRPPQFVPLDSGAYKVVKEGFVLLQFAPAVATRQYDWTRKQVFSLSVWEMGSLLTLGPTDSCEFFHDPFKGRSDEGKVRKVLKVEPTPDGNSRFFNLSVQNRLLNIDENIYIPITKGEFAVIVSTFNYIIPHLMGWSTFTNSIKPEDSRAYTRPQSGPEYEWRSLSQCSTWTGAMGATEDFVRRVVWNRDQTWSLVPKQSNAQPCSVESTRRQKNARSERCGRRCLAARDLAHAALVEIPDSPMEKSLPTPAIHPPTTESFLPRRHQPRRHEDQIHGGLGIDWNGAGAATNKITKENQVTQNHTRKIKNTERRGEAAASTTPPVLEPPPRTLRRSAPSSRPPPWSGRRRALPPRTPRSAAAVCKRGNILGINLNLPVDLSLILNYCGKRVPTGFKCF >ONIVA06G03980.2 pep chromosome:AWHD00000000:6:2624508:2628714:1 gene:ONIVA06G03980 transcript:ONIVA06G03980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPLFLSLPSPPPPPLPHLLPSHRPAAALTLAPALSSRRVSSVCPVASQRHSDYFDPRAPPPPPPRDGYGGPAYSPPAAQGGQQNGRVFSTYSIYKGKAAMSLDPRPPQFVPLDSGAYKVVKEGFVLLQFAPAVATRQYDWTRKQVFSLSVWEMGSLLTLGPTDSCEFFHDPFKGRSDEGKVRKVLKVEPTPDGNSRFFNLSVQNRLLNIDENIYIPITKGEFAVIVSTFNYIIPHLMGWSTFTNSIKPEDSRAYTRPQSGPEYEWRR >ONIVA06G03980.3 pep chromosome:AWHD00000000:6:2628566:2628992:1 gene:ONIVA06G03980 transcript:ONIVA06G03980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRTCASRQPRLSEKQSRHRRRREESRRRPPVAEAEGNILGINLNLPVDLSLILNYCGKRVPTGFKCF >ONIVA06G03970.1 pep chromosome:AWHD00000000:6:2618604:2621486:-1 gene:ONIVA06G03970 transcript:ONIVA06G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYGLVKDELLHGIGGGQGRLYCEVKPTAAPAVITAAGGGAKSVKRRKREPSAAAMSAVTVAGNGKEAGGSNAANKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEDAAARAYDLAALKYWGPTTYTNFPVADYEKELKLMQGVSKEEYLASIRRKSNGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPPSSSSAAGTPHHHGGGMVVGADRVLAPAQSYPISAAADDDVAGCWRPLPSPSSSTTTALSLLLRSSMFQELVARQPVVEGDDGQLAVVSGDDADADADVKEPPPESEYGEVFASDEAAAAAAYGCSMYELDDSFALIDDSVWNCLI >ONIVA06G03960.1 pep chromosome:AWHD00000000:6:2598442:2615510:1 gene:ONIVA06G03960 transcript:ONIVA06G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSLAMDRGEDDHELPRQDHHNDMATSSESVNQLEDDGTEEMDVDDYIGGGGGGEALIGMKTVEAPDDGSNCPICLDGGGGGEKTTTEAWVETPCGHRFHSRCLETWAQVKLGTCPMCRRELTAAAAAATTTAGEDVHVLVADPMVADPLLARSVRVVSVTHVRPEQTAGEMPPAAGDDDVVRLSFYDVMFVSMMPIQRLFFYEGAALPPFPSLVGSLRSSLAAALAAFLPLAGKLTFRAALGDVVLDYSAAAVSPGVKLVEAEYGSSDEISAFDAMRRLAGDVEHNVEAFMELVPELEVEQLPAPVLAVQVTRPASRNDDGDDAVGVVAVGVSVHHAVADGQSLWQFMKAWSAAAMVGSPAAPGLLSPTFDRALIRHPRSEELANKFLQLSSPTLPEVKLSRVAADVIKGQRTRTFLLRADQITSLKRRISLRIAAGEPPREAAEAPVTAYVAIASLVWTSVVRAKPHDAADDAYLMVTADCRRRLRPPIDPGYFGNCVAACYARANVGALRRRGGDNGEDDEGLARAAAAIGAAIREQLEDPLGGDIEGWLQFHLSLPAARLTAVGSSHRFMAYETDLGWGAPSRVELVSPFARELVMLLGAPGGGVQVSVSLDEAHMDAFETSWFQTAAGDAAVGAAWPPPNTVELSFLDSFQVARGAIQRLFFYEGDDLPPFQSIVGALQSSLAAALPVFLPLSGKLAYLPESGDVVIDYSPDAVSPGVRFVEAEYSGSVDDMRRLAGDDEHQIEAFLQLVPELEVSMLPAPLLAVQVTRPRDDNVGGGGAGGAVAVGVAIHHGVADGQSVWQFIKAWAAAARGGSPAAGPGLVPPTFDRSMIRHPKVDGHQLSRTFLHKMSPALPVVTPLPMGVDMSQQRRRTFLLNAGEIEYLKQRISESDAGREQLRNRLSTYVAISSLAWTSIVRAKSLDAADKVYFMVSADCRRRLRPPADKGYFGNCVTTCVAKAISGDLSAGSDDGLAGLARAAAAIQRAIREGLEVPFGNSERWLDGATATTPPVRSFTRSGSSHRYMAYETDFGWGAPSRAELATVYGEEVVMMLGAADGGVQVSVVLRRALMDAFATNFRRQLVASSMSSLVRVLAVSHVLPDEVAAGGAWPPPPPPPHVVELSFLDNLQVSKAAIQRLFFYDGGSLPPFESVVRSLQSSLAAVLAVFLPLAGKLAYLPEPGDVVIDYSPDAVSPGVKFVEAEYSGSVDDMRRLASDDEHHTEAFLQLVPELEVSMLPAPLLAVQVTRPRDDHAGGGGGAVAVGVAIHHGVADGQSVWQFIKAWAAAARGGSPAGQGLVPPTFDRSRIRHPTADSHELAHTILHKMSPALPMVTPRSKPADMAQQRRRTFLLSAGEIQSLKQRISESETGGELLHNRLSTYVAISSLAWTSIVRAKCGALDAAADDVYFMVSADCRRRLRPPADEGYFGNCIAIAIARASAGELLDDDGLAGLARAAAAIQAAIRDELELEDPVGGAESWAERLAAIPRGRLTAAGSSHRFMAYETDFGWGAPSRVELVTVYGNELVAMLGGAADGGVQVSVVLGRALMDAFADNFRRQVVACPNSTVSRSRHH >ONIVA06G03960.2 pep chromosome:AWHD00000000:6:2596543:2599126:1 gene:ONIVA06G03960 transcript:ONIVA06G03960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSVRVVNVTHVLPAGASAAAPPHAGGGGGDVIELSFLDTMFLALTPLKRLFFYEAAEPSFPAMVSSLQSSLAATLAVFAPLAGKLAYRPSHDDVVIDCSVAAVSPGVVFIEAEYHDDDDAVVDMRRLAGDEEHHTEAFKRLVPEMDVGRLPAPLLSVQVTRPAAAGGGGSGGVVAMGVSIHHVVGDGQAVWQFMRAWSTASREGSPPAAAATIPVVFDRKTALRHPNDEEIARVFLRVFAPALPLVDCSLFPEPDVTRQWRKTYLLRPHQIQSLKQRMLAKTKEQLILMAAPTTHVAVLSLYWTSLVRAKFTSTGGGGAGDGDVYFMIPGDLRRRLRLPVGDGYFGNCVKPCYASAAVGDLRGGDGLVHAAAAFQSAIRGRLECDDPLADDVERWSELERKVPKERIAQASASHRFMAYETDFGWGAPRRVELVSVYRMDVVALVAAPPAAGGGVQVSVALDRAHMEAFDTYFLQNSDTKSDSPSI >ONIVA06G03950.1 pep chromosome:AWHD00000000:6:2593879:2595924:1 gene:ONIVA06G03950 transcript:ONIVA06G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HL06] MDIYTTSHHSTIMAASSVTGSRRLCILFYLLTVVATVVTAASAHTAHNATADEEYWEKRAEEARSFNRAAYVSDPVATLNRFNADVLRATTRRSLARYTGPCMATNPIDRCWRCRDDWATDRKRLARCVRGFGHRTVGGAAGKIYVVTDASDDEMVIPRKGTLRYGVIQDRPMWIVFARDMIIQLRQELIVNHNKTIDGRGAQVHITGAQITLQGVQHVIIHNVHIHHSVPHGGGMIRDSKRHYGLRTRSDGDGISIMSSSNIWIDHVSMSNCSDGLIDAVSGSTAITISNGHFTKHDHVMLFGASNSDAQDEVMQITVAFNHFGKGLVQRMPRCRFGFFHVVNNDYTHWLMYAIGGNMNPTIISQGNRFIAPDDVNAKEVTKREYTPYDEYKEWVWKSQGDVMMNGAFFNESGGQNERSYDQLDFIPAKHGKYVGQLTKFAGTLNCHVGMPC >ONIVA06G03940.1 pep chromosome:AWHD00000000:6:2589611:2590458:-1 gene:ONIVA06G03940 transcript:ONIVA06G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIMVGYTLDKDYRPYPSSDPPKTLANQIVVGLVAWPALYGDSLSRLFIYYTNDSGAQTNCFNLDCDGFHLQNSSFALGSSWSDSLSQHGGERYGVTLSIHRVSQINLVLLSSTVSVLK >ONIVA06G03930.1 pep chromosome:AWHD00000000:6:2566397:2573678:1 gene:ONIVA06G03930 transcript:ONIVA06G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MAISRRLLLSLFLLRAFSSLPAQAAARGASHPSGTSGNYGSFLRNLLQDNPMITEELVRGYMSNSELEIAVHAIGSRYPNISRIYSIGKSVNGVALWVIEISDKPGQKEAEPAFKYVGNVHGDEPVGREVLIKLANWLCDNYLKDPLATLIVKNMHLHILPTMNPDGFALRRRGNANNVDLNRDFPDQFFPNNDEINYRQPETRAIMNWVKQEHFTASASLHGGALVANYPWDGSRDQSKQYYGCPDDKTFRYMASVYSQSHYNMSLSKEFKGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDVKWPKAAELPVIWEQNRMSMLNLAASLVKTGVHGRIFAADTGHPIPGSLTIKGIGSEIRASRTYGDYHRMLAPGENYEVMASMEGFRTKATRIVVEEKAVSLDFILDRDGANGQVVRNDLGCPCDDDKLFHVQGARLELYLFVLLIIIALYVLFKRKTTSKFTIHRHSPKRPIAV >ONIVA06G03920.1 pep chromosome:AWHD00000000:6:2560319:2565870:1 gene:ONIVA06G03920 transcript:ONIVA06G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT5G39900) TAIR;Acc:AT5G39900] MAGAAALRRSARRVVRPGAYALSRALQHPERLLSSQASPDRGGVLGSELGLYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYRHANNQLPASDQPDAPSYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTDSYYDEYKGVICHVAVVDGVLHKGDKIASAATGRTYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSIVEPLPGFKPARHMVFSGLYPADGSDFDALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEHGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRIAACWEPTVIATIIIPKRRGEQQEYTFIDAQRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVDKLKKFIERQMFEITIQAAVGSKVITRETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >ONIVA06G03920.2 pep chromosome:AWHD00000000:6:2560319:2565870:1 gene:ONIVA06G03920 transcript:ONIVA06G03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT5G39900) TAIR;Acc:AT5G39900] MAGAAALRRSARRVVRPGAYALSRALQHPERLLSSQASPDRGGVLGSELGLYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYRHANNQLPASDQPDAPSYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLSQVLPAVIERIPSPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGVLHKGDKIASAATGRTYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSIVEPLPGFKPARHMVFSGLYPADGSDFDALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEHGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRIAACWEPTVIATIIIPSEYVGPVIMLCSERRGEQQEYTFIDAQRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVDKLKKFIERQMFEITIQAAVGSKVITRETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >ONIVA06G03920.3 pep chromosome:AWHD00000000:6:2560319:2565870:1 gene:ONIVA06G03920 transcript:ONIVA06G03920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT5G39900) TAIR;Acc:AT5G39900] MAGAAALRRSARRVVRPGAYALSRALQHPERLLSSQASPDRGGVLGSELGLYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYRHANNQLPASDQPDAPSYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLSQVLPAVIERIPSPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGVLHKGDKIASAATGRTYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSIVEPLPGFKPARHMVFSGLYPADGSDFDALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEHGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRIAACWEPTVIATIIIPKRRGEQQEYTFIDAQRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVDKLKKFIERQMFEITIQAAVGSKVITRETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >ONIVA06G03920.4 pep chromosome:AWHD00000000:6:2560319:2565868:1 gene:ONIVA06G03920 transcript:ONIVA06G03920.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT5G39900) TAIR;Acc:AT5G39900] MAGAAALRRSARRVVRPGAYALSRALQHPERLLSSQASPDRGGVLGSELGLYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYRHANNQLPASDQPDAPSYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLSQVLPAVIERIPSPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGVLHKGDKIASAATGRTYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSIVEPLPGFKPARHMVFSGLYPADGSDFDALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEHGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRIAACWEPTVIATIIIPSEYVGPVIMLCSERRGEQQEYTFIDAQRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVDKLKKFIERQMFEITIQAAVGSKVITRETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >ONIVA06G03920.5 pep chromosome:AWHD00000000:6:2560319:2565868:1 gene:ONIVA06G03920 transcript:ONIVA06G03920.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT5G39900) TAIR;Acc:AT5G39900] MAGAAALRRSARRVVRPGAYALSRALQHPERLLSSQASPDRGGVLGSELGLYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYRHANNQLPASDQPDAPSYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLSQVLPAVIERIPSPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGVLHKGDKIASAATGRTYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSIVEPLPGFKPARHMVFSGLYPADGSDFDALSHAIEKLTCNDASVSVTKETSTALGMGFRCGFLGLLHMDVFHQRLEQEHGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRIAACWEPTVIATIIIPKRRGEQQEYTFIDAQRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVDKLKKFIERQMFEITIQAAVGSKVITRETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >ONIVA06G03910.1 pep chromosome:AWHD00000000:6:2553154:2557812:-1 gene:ONIVA06G03910 transcript:ONIVA06G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKZ7] MHMLATHRVRAKDIIMMMQMQRGEEFSMTIAWIFIYQFIHNNNERPPPSRGPAASAFSSTSSPSSPWSPRLRRGGVLGEEAHNATADEAHWERRAEEARSFNRAAYVSDPVATLNRFNADVLRATTRRRSLARYTGPCMATNPMDRCWRCRGDWATDRKRLARCVCGFGHRTVGGAAGKIYVVTDASDDEMIIPRKGTLRYGVIQDRPTWIVFARDMIIQLRQELIVNHNKTIDGRGAQVHITGAQITLQGVQHVIIHNVHIHHSVPHGGGMIRDSKRHYGLRTRSDGDGISIMSSSNIWIDHVSMSNCSDGLIDAVSGSTAITISNGHFTKHDHVMLFGASNSDAQDEVMQITVAFNHFGKGLVQRMPRCRFGFFHVVNNDYMHWLMYAIGGSMNPTIISQGNRFIAPDDVNAKEVTKREYTPYDEYKEWVWKSQGDVMMNGAFFNESGGQNERSYDQLDFIPAKHGKYVGQLTKFAGALNCHVGMPC >ONIVA06G03910.2 pep chromosome:AWHD00000000:6:2557815:2559249:-1 gene:ONIVA06G03910 transcript:ONIVA06G03910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKZ7] MAGSVVTRSPLCSILFYVLATAAAATATATLVGNITADEEYWAKRSEVARSFNRAAYVSDPVAVLNRFNEDVLNTTTATAAAARRSLMRRYRRRGPCTVTNPIDRCWRCRKNWASRRKRLAKCAMGFGHKATGGLAGKIYIVTDAGDEHLVIPRRGTLRHAVIQERPLWIVFARSMVIRLAKELIVTSDKTIDGRGAQVHVTGAQITVQAVSNVIIHNLHIHNSVPRSGGLIRDSKHHFGIRGESDGDGISVMGSSNIWIDHVSMSNCSDGLIDITDGSTAITISNSHFTKHDHVMLFGASDDSPKDKIMQVTLAFNHFGKGLVQRMPRCRFGFFHMVNNDYTHWLMYAIGGNMNPTIISQGNRFRASDDMKLKEVTKREYTSYDEYKEWVWKSQDDLFLNGAFFNESGGRNERRYNRLDLIQARNGRYVGRMTRFAGTLPCRVGKKC >ONIVA06G03900.1 pep chromosome:AWHD00000000:6:2551541:2552866:-1 gene:ONIVA06G03900 transcript:ONIVA06G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFAMDDGEAYLRDMTAITELLDGDMTAITESLDGDMTAIAELLDQARSYSFADLQSHDPPPAAAAAVNDDDDNVSGLMMAMMKTVDAPAGGGDGGDCPICLNNGGGEEWKETACGHRFHGRCVARWARVGRKGMSCPMCRRDMMSPAVDLLVRDIRALYGDEELSDVRELLEDDLPDN >ONIVA06G03890.1 pep chromosome:AWHD00000000:6:2546442:2551133:1 gene:ONIVA06G03890 transcript:ONIVA06G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair protein [Source:Projected from Arabidopsis thaliana (AT1G80420) TAIR;Acc:AT1G80420] MPESSSDPNNGRGKSSKRNLPSWMGSKDGEENPGKKKHMATHEKDGVVFVLSGFVNPERGTLRSQALDMGAEYRPDWTSDCTLLVCAFANTPKFRQVESDNGTIVSKDWILESHSQRKLVDIEPYLMHVGKPWRKNKELVESDEDQKKPHKEHQKQVDRSHIKTSPSAGIEAKHSDVTSKQFSPTKIKQWAKNDLAQTISWLESQEEKPEPNELKAIAAEGVITCLQDAIESLKQGNDVKGVAEQWSFVPHVINELAELDGRRKEGSLSKEQLSQLAIKCKKIYQAEFAHMHDNDKKHQSKPRSDDAQYDSDDTIEMTEEEIDLACRQLPGVCGRQ >ONIVA06G03880.1 pep chromosome:AWHD00000000:6:2537897:2546225:-1 gene:ONIVA06G03880 transcript:ONIVA06G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKZ3] MPLRLEIKRKFAQRSERVKILSSLYSGSVCIWDYQSQTMVKSFEVSELPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEFIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFSKSFQEKKTIRPTFSAERIFGGILLAMCSSDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLESGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDIERANDILPSIPKAQYNNVAHFLESRGMLEEALEIATDADYRFDLAVQLGKLEVAKAIAMEAQSESKWKQLGELAMSTGKLDMAEECLVQAKDLSGLLLLYSSLGDAEGIEKLASQAKEHGKNNVAFLCLFMLGKLEDCIQLLIDSNRIPEAALMARSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPSEYPNLFEDWQVALTVEKNVASRRVHYPPADEYLNHAEKSDMTLVEAFKRMQVIEDEETEDALDENGEPDEEVLEENKVEESTDEAVEVDADEPEETVLVNGKEGEEQWGTNNEGTSAN >ONIVA06G03880.2 pep chromosome:AWHD00000000:6:2537897:2546225:-1 gene:ONIVA06G03880 transcript:ONIVA06G03880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKZ3] MPLRLEIKRKFAQRSERVKILSSLYSGSVCIWDYQSQTMVKSFEVSELPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEFIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFSKSFQEKKTIRPTFSAERIFGGILLAMCSSDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLESGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDIERANDILPSIPKAQYNNVAHFLESRGMLEEALEIATDADYRFDLAVQLGKLEVAKAIAMEAQSESKWKQLGELAMSTGKLDMAEECLVQAKDLSGLLLLYSSLGDAEGIEKLASQAKEHGKNNVAFLCLFMLGKLEDCIQLLIDSNRIPEAALMARSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPSEYPNLFEDWQVALTVEKNVASRRVHYPPADEYLNHAEKSDMTLVEAFKRMQVIEDEETEDALDENGEPDEEVLEENKVEESTDEAVEVDADEPEETVLVNGKEGEEQWGTNNEGTSAN >ONIVA06G03880.3 pep chromosome:AWHD00000000:6:2538327:2546225:-1 gene:ONIVA06G03880 transcript:ONIVA06G03880.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKZ3] MPLRLEIKRKFAQRSERVKILSSLYSGSVCIWDYQSQTMVKSFEVSELPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEFIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFSKSFQEKKTIRPTFSAERIFGGILLAMCSSDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLESGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDIERANDILPSIPKAQYNNVAHFLESRGMLEEALEIATDADYRFDLAVQLGKLEVAKAIAMEAQSESKWKQLGELAMSTGKLDMAEECLVQAKDLSGLLLLYSSLGDAEGIEKLASQAKEHGKNNVAFLCLFMLGKLEDCIQLLIDSNRIPEAALMARSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPSEYPNLFEDWQVALTVEKNVASRRVHYPPADEYLNHAEKSDMTLVEAFKRMQVIEDEETEDALDENGEPDEEVLEENKVEESTDEAVEVDADEPEETVLVNGKEGEEQWGTNNEGTSSA >ONIVA06G03870.1 pep chromosome:AWHD00000000:6:2514447:2533352:-1 gene:ONIVA06G03870 transcript:ONIVA06G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGIPEVTGMGSLSQRERESQLTQHLAEYLASNAGTRIKHMPDARDRGLERSRRAGVQDDIGPASWKCGTVAVPKRSRTSILRDRGCAGPVLRYIAHAHSHRAGPTRFRTFGLRDRADPAHPVCGIAFPRCHAALSSCSHVPNARRRPQGLRIQVPNAFCLAADVDSHLKRHMCPKLRIFAAQ >ONIVA06G03860.1 pep chromosome:AWHD00000000:6:2508565:2514234:-1 gene:ONIVA06G03860 transcript:ONIVA06G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transporter 3;4 [Source:Projected from Arabidopsis thaliana (AT3G15990) TAIR;Acc:AT3G15990] MVVNNKVDSLSYDVEAPPAQAPTTPAVVSAPPTPRGEAPAMTTTAAVELHKVSVPERRSTAKALRQRLAEVFFPDDPLHQFKNQSSARRLVLALQYFFPIFHWGSDYSLRLLRSDVVSGLTIASLAIPQARTRNLITHTHSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLRQAVSPDQEPILYLQLAFTSTFFAGVFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIIHFTSQMGFVQVMHSVFKHHDEWAWQTILMGVAFLAVLLTTRHISARNPKLFWVSAAAPLTSVIISTIISFVSKAHGISVIGDLPKGLNPPSANMLTFSGSYVGLALNTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGVMNMAGSCASCYVTTGSFSRSAVNYSAGCKTAVSNIVMASAVLVTLLFLMPLFHYTPNVILSAIIITAVIGLIDVRGAARLWKVDKLDFLACMAAFLGVLLVSVQMGLAIAVGISLFKILLQVTRPNMVVKGVVPGTASYRSMAQYREAMRVPSFLVVGVESAIYFANSMYLGERIMRFLREEDERAAKCNQCPVRCIILDMSAVAAIDTSGLDALAELKKVLEKRNIELVLANPVGSVTERLYNSVVGKTFGSDRVFFSVAEAVAAAPHKTQP >ONIVA06G03850.1 pep chromosome:AWHD00000000:6:2501796:2504993:-1 gene:ONIVA06G03850 transcript:ONIVA06G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQCPLVTQAHPWAYIIDIVHSEQKRFVFTSDVRGLEPHYYFDSLLPRVPLPILRQVTGHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSARDRERSSDGERARSSPRRRRSRSHERDHDSERDRSDRDRGRHKDREHDRHAREDRDRDYRRSSYSSRNVDRQGRERRDRDSDRHGRSSARRSRSRSRSPNRGRTEGENHRSSPFGRPPEPSNLVKLKDLYGDATNTKDDTGDDKARRDSGTEEVIRLGGARWR >ONIVA06G03850.2 pep chromosome:AWHD00000000:6:2501796:2504993:-1 gene:ONIVA06G03850 transcript:ONIVA06G03850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQCPLVTQAHPWAYIIDIYYFDSLLPRVPLPILRQVTGHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSARDRERSSDGERARSSPRRRRSRSHERDHDSERDRSDRDRGRHKDREHDRHAREDRDRDYRRSSYSSRNVDRQGRERRDRDSDRHGRSSARRSRSRSRSPNRGRTEGENHRSSPFGRPPEPSNLVKLKDLYGDATNTKDDTGDDKARRDSGTEEVIRLGGARWR >ONIVA06G03850.3 pep chromosome:AWHD00000000:6:2501796:2504993:-1 gene:ONIVA06G03850 transcript:ONIVA06G03850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQYYFDSLLPRVPLPILRQVTGHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSARDRERSSDGERARSSPRRRRSRSHERDHDSERDRSDRDRGRHKDREHDRHAREDRDRDYRRSSYSSRNVDRQGRERRDRDSDRHGRSSARRSRSRSRSPNRGRTEGDDKARRDSGTEEVIRLGGARWR >ONIVA06G03850.4 pep chromosome:AWHD00000000:6:2501796:2504993:-1 gene:ONIVA06G03850 transcript:ONIVA06G03850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQYYFDSLLPRVPLPILRQVTGHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSARDRERSSDGERARSSPRRRRSRSHERDHDSERDRSDRDRGRHKDREHDRHAREDRDRDYRRSSYSSRNVDRQGRERRDRDSDRHGRSSARRSRSRSRSPNRGRTEGENHRSSPFGRPPEPSNLVKLKDLYGDATNTKDDTGDDKARRDSGTEEVIRLGGARWR >ONIVA06G03840.1 pep chromosome:AWHD00000000:6:2498057:2500348:1 gene:ONIVA06G03840 transcript:ONIVA06G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRCAARRAPALAAAAAEALERACCSSDAAAVVSGNNRLMAEHLRAGRLEAAREVFDGMPRRDVVSWNTIMAVQARAGSHGRAVGAFLEMRRQGFRPDHTSFSTALSACARLEALEMGRCVHGLVFKSCSSGNVFVGASLITMYANCGVVSCLEQVLDGVESPNVALWNALISGLVMNHRVGDARKAFDRMPVHNVVSWTAMIKGHFTVHEVDMAFQLFKLMPVKNSVSWCVMIGGFVTHEKFSEAVELFNSLMMNGEEVTNVILVKIVNAFAGMKSIRGGRCIHGLAVKSGFAYDLVLEASLVLMYCKSLDITEARLEFDKMEGNHVGSWNAMLCGYIYSDKIDEARKLFDSMNNRDKISWNSMINGYINDGRIADATELYSKMTEKSLEAATALMSWFIDNGMLDKARDMFYNMPQIDVMSCTTLLFGYVKGGHMDDALDLFHMMQKRTVVTYNVMISGLFHQGKITEAYKLFNESPTRDSVTWSCLVAGLATNGLIHEALQFYKKMLLSNIRPSESVVSSLISCLSNYSMMVHGQQFHATTIKIGLDSHLLIQNSLISLYCKCGEMIIAQSIFDLMAKRDKVTWNTIIHGYALNNLGQNAVEMFESMTKAQVDPDDITFLGVLSACNHMSLLEEAKYFFNAMTCTYGILPNIMHYACMVDLFCRKCMIKEAEGLVKSMPFEPDSAIWTSLLSGCRLTGNDKLAEHAASQLIAIDPCTKMPYLHLISVHGLTNKSTVIDSLRSQIKSTATEKDVGYSWI >ONIVA06G03830.1 pep chromosome:AWHD00000000:6:2490109:2494985:1 gene:ONIVA06G03830 transcript:ONIVA06G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKY4] MAGSLAASAFFPVPGSSPAASARSSKNTTGELPENLSVRGIVAKPNPSPGAMQVKAQAQALPKVNGTKVNLKTTSPDKEDIIPYTAPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKKPDMLADTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVERYPSWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGQTILRATSVWVMMNKNTRRLSKMPDEVRAEIGPYFNGRSAISEEQGEKLPKPGTTFDGAATKQFTRKGLTPKWSDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSLTTVSGECDDGNTESSIQCDHLLQLESGADIVKAHTEWRPKRAQGEGNMGFFPAESA >ONIVA06G03820.1 pep chromosome:AWHD00000000:6:2477447:2479416:-1 gene:ONIVA06G03820 transcript:ONIVA06G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPAQQDMSYYDHCTKRHEEKGCLYACWAELCLFQPIRTDHSNMSLLFQRKSQKQRDKMYNAPMAQEMSYYEHVQRRHEEKGCLYACIFTALCCFCCYETCECCLDCLCCCCN >ONIVA06G03810.1 pep chromosome:AWHD00000000:6:2472396:2478279:1 gene:ONIVA06G03810 transcript:ONIVA06G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe superoxide dismutase 3 [Source:Projected from Arabidopsis thaliana (AT5G23310) TAIR;Acc:AT5G23310] MAAFASALCVLPSPPAAVPRRLRSREQRQGCRSRRYSKVVAYYALTTPPYKLDALEPYISKRTVELHWGKHQQDYVDSLNKQLATSMFYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGSPGRGVLQQIEKDFGSFTNFREEFIRSALSLLGSGWVWLVLKRKERKFSVVHTQNAISPLALGDIPLINLDLWEHAYYLDYKDDRRMYVTNFIDHLVSWDTVTLRMMRAEAFVNLEMQPFCSTTWLQSTDIFVFYSVNCNQ >ONIVA06G03810.2 pep chromosome:AWHD00000000:6:2472398:2478312:1 gene:ONIVA06G03810 transcript:ONIVA06G03810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe superoxide dismutase 3 [Source:Projected from Arabidopsis thaliana (AT5G23310) TAIR;Acc:AT5G23310] MAAFASALCVLPSPPAAVPRRLRSREQRQGCRSRRYSKVVAYYALTTPPYKLDALEPYISKRTVELHWGKHQQDYVDSLNKQLATSMFYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGSPGRGVLQQIEKDFGSFTNFREEFIRSALSLLGSGWVWLVLKRKERKFSVVHTQNAISPLALGDIPLINLDLWEHAYYLDYKDDRRMYVTNFIDHLVSWDTVTLRMMRAEAFVNLGEPNIPQQQRQSRQHSQDWK >ONIVA06G03810.3 pep chromosome:AWHD00000000:6:2472396:2479688:1 gene:ONIVA06G03810 transcript:ONIVA06G03810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe superoxide dismutase 3 [Source:Projected from Arabidopsis thaliana (AT5G23310) TAIR;Acc:AT5G23310] MAAFASALCVLPSPPAAVPRRLRSREQRQGCRSRRYSKVVAYYALTTPPYKLDALEPYISKRTVELHWGKHQQDYVDSLNKQLATSMFYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGSPGRGVLQQIEKDFGSFTNFREEFIRSALSLLGSGWVWLVLKRKERKFSVVHTQNAISPLALGDIPLINLDLWEHAYYLDYKDDRRMYVTNFIDHLVSWDTVTLRMMRAEAFVNLEMQPFCSTTWLQSTDIFVFYSVNCNQ >ONIVA06G03800.1 pep chromosome:AWHD00000000:6:2467022:2472186:-1 gene:ONIVA06G03800 transcript:ONIVA06G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate synthase 3 [Source:Projected from Arabidopsis thaliana (AT5G11380) TAIR;Acc:AT5G11380] MSRAQRSTPRSKSQTGARVAAAATREQQRPLTLSRLRLPRRRSCACGGGGWPAKEYCKFQAFGRHSLRRMGGRYLHSPPAVNLICEESTFVRSTSCCLHSCLCLRCKTSNLKPCRTLVFNMPSRRFNRRLAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSSKVELKQLAGEVRSEISFIMSRKCQPCNTGHSVVELAIAIHYVFNAPMDKILWDAGQHTYAHKILTGRRSLFHTIKQRKGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDLGGRKNRIVTVISNWTTMAGQVYEAMGHAGFLDSNMVVILNDSRHTLLPKADSQSKMSINALSSALSKVQSSKGFRKFREAAKGLSKWFGKGMHEFAAKIDEYARGMIGPHGATLFEELGLYYIGPIDGNNIDDLICVLKEVSTLDSTGPVLVHVITENEKDSGGEFNSEITPDEEGPPDSSQDILKFLENGLSRTYNDCFVESLIAEAENDKRIVVVHGGMGIDRSIQLFQSRFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVEDVDMQKIPVRFAITSAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELIDMVATAAMVEDRPICFRYPKGAIVGTSGTLAYGNPLEIGKGEILAEGKEIAFLGYGEVVQRCLIARSLLFNFGIQATVANARFCKPLDIDLIRMLCQQHDFLITVEEGTVGGFGSHVSQFISLDGLLDGKIKWRPIVLPDRYIEHASLTEQLDMAGLTAHHIAATALTLLGRHRDALLLMK >ONIVA06G03800.2 pep chromosome:AWHD00000000:6:2467022:2472186:-1 gene:ONIVA06G03800 transcript:ONIVA06G03800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate synthase 3 [Source:Projected from Arabidopsis thaliana (AT5G11380) TAIR;Acc:AT5G11380] MSRAQRSTPRSKSQTGARVAAAATREQQRPLTLSRLRLPRRRSCACGGGGWPAFGRHSLRRMGGRYLHSPPAVNLICEESTFVRSTSCCLHSCLCLRCKTSNLKPCRTLVFNMPSRRFNRRLAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSSKVELKQLAGEVRSEISFIMSRKCQPCNTGHSVVELAIAIHYVFNAPMDKILWDAGQHTYAHKILTGRRSLFHTIKQRKGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDLGGRKNRIVTVISNWTTMAGQVYEAMGHAGFLDSNMVVILNDSRHTLLPKADSQSKMSINALSSALSKVQSSKGFRKFREAAKGLSKWFGKGMHEFAAKIDEYARGMIGPHGATLFEELGLYYIGPIDGNNIDDLICVLKEVSTLDSTGPVLVHVITENEKDSGGEFNSEITPDEEGPPDSSQDILKFLENGLSRTYNDCFVESLIAEAENDKRIVVVHGGMGIDRSIQLFQSRFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVEDVDMQKIPVRFAITSAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELIDMVATAAMVEDRPICFRYPKGAIVGTSGTLAYGNPLEIGKGEILAEGKEIAFLGYGEVVQRCLIARSLLFNFGIQATVANARFCKPLDIDLIRMLCQQHDFLITVEEGTVGGFGSHVSQFISLDGLLDGKIKWRPIVLPDRYIEHASLTEQLDMAGLTAHHIAATALTLLGRHRDALLLMK >ONIVA06G03790.1 pep chromosome:AWHD00000000:6:2462779:2467234:1 gene:ONIVA06G03790 transcript:ONIVA06G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 10 [Source:Projected from Arabidopsis thaliana (AT1G04870) TAIR;Acc:AT1G04870] MASLPNGAASASAASSAAGGGPAVVDKEVDFANYFCTYSYLYHQKEMLCDRVRMDAYHSAVFRNAHHFRGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANDVADIVEVIQGSMEDVVLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPIRSDLAENKMEDLEIAMHDWNLFVEDTESYYGVNMNVLTKAYRAEHEKYYLKSAIWNNLHPNQVIGQAAVIKEIDCLTATVDEIREVRAQVTMPIKLDMTRLAALAGWFDVHFRGSKQNPATQEVELSTAPDVNGGTHWGQQVFLLTPPLKVNEGDNVKVSFTMVRSKENHRLMDMEFTYELHESSGKQLPAITTKIYLE >ONIVA06G03780.1 pep chromosome:AWHD00000000:6:2459673:2462164:-1 gene:ONIVA06G03780 transcript:ONIVA06G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRATSVLLHHRSALTRRSPAIGGGVLPRALFFSTLDAAQARTRVEDVMPIATGLEREEIAAELQGKKRFDMDAPVGPFGTKEAPAVIQSYYNKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQYFSLEVIGEGGDPDGHDDDDDHHHH >ONIVA06G03770.1 pep chromosome:AWHD00000000:6:2457301:2458686:-1 gene:ONIVA06G03770 transcript:ONIVA06G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20050) TAIR;Acc:AT5G20050] MESKTAKIVAGAAAAVLLVLELALYLRFRLSRPFFLSTAVIVSAALSAAAAALLHHAAGERRRAARMARRLPSMEDERIRVEYSYFRKVAGLPRKLTLESLAAATDGFQYAVGRGSSGTVYKGILDDGTAVAVKRIDGGADHADKEFKSEVSAIASAQHAHLVRLVGFCLVPRGPRFLVYEYMEHGSLDRWIFSPHSGDRRRRRYLPWAARYQVAVDVARALAYLHHDCRSKVLHLDVKPENILLDDGFRGVLSDFGLSKLVGKEQSRVVTTVRGTTGYLAPEWLLGVGITEKSDVYSYGLVLLEMVGGRRNLMQAENGDDGSSASPRWTYFPKIAGDMAREGRVMEVLDRRVVESGEAVEEAAVRRLVHVALWCAQEKAGARPTMARVVEMLEGRGAAAEAVEAPPPSDMIVVDLLALDPAARGPGPFGLPPPAAASDGGMQVTSSGISNSFALSYLSGR >ONIVA06G03760.1 pep chromosome:AWHD00000000:6:2455864:2457185:-1 gene:ONIVA06G03760 transcript:ONIVA06G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVWGSEKNSPNPCWVHSLTVGLRLRVTKEETNPFGLPMTTTAPPLIDLKPGYISAMSTTTTVPLPL >ONIVA06G03750.1 pep chromosome:AWHD00000000:6:2450118:2454594:-1 gene:ONIVA06G03750 transcript:ONIVA06G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGGGGGGGGKEAKGKVMGPLFPRLHVNDAAKGGGPRAPPRNKMALYEQFTVPSHRFSGGGGGGGVGGSPAHSTSAASQSQSQSQVYGRDSSLFQPFNVPSNRPGHSTEKINSDKINKKISGSRKELGMLSSQTKGMDIYASRSTAEAPQRIAENTIKSSSGKRLADDDEFMVPSVFNSRFPQYSTQENAGVQDQSTPLVAANPHKSPSTVSKSSTKCYNTVSKKLERIHVSDVKSRTPLKDKEMEAAQTSKNVEVEKSSSFHASKDMFESRHAKVYPKMDKTGIINDSDEPHGGNSGHQATSRNGGSMKFQNPPMRRNEISSNPSSENTDRHYNLPQGGIEETGTKRKRLLEQHDAEKSDDVSRLLEQHDAENIDDVSDSSVECITGWEISPDKIVGAIGTKHFWKARRAIMNQQRVFAVQVFELHKLVKVQKLIAASPHVLIEGDPCLGNALLGSKNKLVEENLKAQPLLVATIDDVEPSLQQPEVSKENTEDSPPSPHDTGLGSGQRDQAATNGVSKSNRRATPVASDNKQNNWGVQLQPPQNQWLVPVMSPSEGLVYKPYSGPCPPAGSILAPFYANCTPLSLPSTAGDFMNSAYGVPMPHQPQHMGAPGPPSMPMNYFPPFSIPVMNPTAPAPVVEQGRHPSMPQPYGNFEQQSWISCNMSHPSGIWRFHASRDSEAQASSASSPFDRFQCSGSGPVSAFPTASAQNNQPQPSYGSRDNQTNVIKVVPHNSRTASESAARIFRSIQMERQRDD >ONIVA06G03740.1 pep chromosome:AWHD00000000:6:2447310:2447915:-1 gene:ONIVA06G03740 transcript:ONIVA06G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPVRRQVQVGESAIGDELVDQYGHFNFQAAADEPDHVPVVDLREHDDLLHEFLGVCHVEEVGALHGDDIVVPENALVDNAMTTGAKLLSAVESGDTDDEEDDADANTWKWMITYHKLD >ONIVA06G03730.1 pep chromosome:AWHD00000000:6:2444303:2448978:1 gene:ONIVA06G03730 transcript:ONIVA06G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELARGIPIILEWIAGNETCKEAALKESYACVANNSKCIDVIEAPGYRCNCTQGYEGNPYLKDGCRDINECNATRFPNSCKGICTNTDGSYDCKCPLGTHSGDPKNKECVPQVKLVIGICISIIFLIICISTLLIKIQRMKLEKEKQRFYDQNGGHILYQKIISGQVNTVEIFTEEVLKNATNNFDSGQKLGAGGHGIVYKGILRDNNVVAVKRSNFLHVTDAEEFVQEIIMLSQINHRNVVRLIGCCLEVEVPILVYEFISNGTLSYLIHGDSRRYASLKLRLRIAQESAEALAYLHLSTNRPIIHGDVKSLHIMLDDSYTVKVTDFGASRWLSNEAVEQIAMVQGTRGYLDPEYLQERKLTEKSDVYSFGVVLLELITGKKAIYRHDGDGDFESLAGSFLRAMEEERVENILDTSLAGASMEALPLLQEVAKVGSMCLSAKGKERPSMAEVTDMLKAVRIAWRDLLVSSEYNVTEVFVDSSEAPPSGNPSSAVFWTPDMQSLEVETLR >ONIVA06G03720.1 pep chromosome:AWHD00000000:6:2441260:2441840:-1 gene:ONIVA06G03720 transcript:ONIVA06G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRAHLEQRLALAKRCSREANIAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGAGRPHPAFFRP >ONIVA06G03710.1 pep chromosome:AWHD00000000:6:2418908:2422111:1 gene:ONIVA06G03710 transcript:ONIVA06G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEEFYASLFDSAKGGDAVKSRGQMIERKIEVLEDMAAKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSVGEWDAFRSIDMDVEARLVQQMKQSSTKQKNHLDRDELVALNSWHHIDRQTREAIKRNFLPDLLEIYEERVRTFIEDTSGKDMLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTVRDGKLWKTTTIKKRSGTGAPSRITLVSFLRMKKKSH >ONIVA06G03700.1 pep chromosome:AWHD00000000:6:2415231:2439997:-1 gene:ONIVA06G03700 transcript:ONIVA06G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAFIIRQADKPANVTCHVPLRFISTLKKSVCATARAAAASACLMSLQIAVSIDALSPLSPQASRRSRFRLRDFAKPMLCACAKYNFAQGKRTALEYYFAKLKPRRRADDDRRASDTTVAEHRHAIKKPPWKLYTFYGDVRVVSNPDVKPIQGADVDPDGYAKAARNLKSSNTLVYLNIHVNTPEGIPFTDTCPAECRNGQFLTYMQNIDQSLDLTPWWRSKKAFDFFHMKTGDKFNEQPTTLPSIPTRNAAGRNAPNAN >ONIVA06G03690.1 pep chromosome:AWHD00000000:6:2406068:2414918:-1 gene:ONIVA06G03690 transcript:ONIVA06G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNGMGREEEGNSPMGRRLRAVSAHLLPPASTTTTTGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRNAKTPLRLIDRFPDTPDIATLHDNFVYKWMTYGEASTNRTAIGSGLIYHGIPEGACIGLYFINRPEWIIVDHACAAYSFVSVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTSTGGKMSSRPFRPPKPEDVATICYTSGTTGTPKGVVLSHRNLIANVAGSSVVIKYISYLPLAHIYERVNQIALLHYGVAIGFYQGDNLKLMDDLAALRPTVFSSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIMNGRNPSPMWDKLVFNKIKARLGGRVRLMSSGASPLSADVMEFLRVCFGCLVIEGYGMTETSCVIATMDCDDRLIGHVGPPNPSCEIKLVDVPEMNYTSEDQPYPRGEICVRGTTIFFGYYKDEIQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAVVAVEPEVLKAWAASEGIQYEDLRQLCADTRARAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKIKRPQAKAYFAKEIADMYAQLREAESTKSKL >ONIVA06G03680.1 pep chromosome:AWHD00000000:6:2397994:2399830:1 gene:ONIVA06G03680 transcript:ONIVA06G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTIENGGSGGDGSAAAAAAEGSAIWKSELVPQLQLPPGYHFVPTDEELVDFYLRGKIEGRDPPRRFISEENIMRYDPQKLIEKYKGYGEDRWYFFMVREPSKTKKKDEPNRKVVVDGVEEGSWSATGSVVQIHSTKETNRKAIIGSKRVLTYKSARSAENDMWSMHEYVLAGKSQMGQYVLCAIQLKQTYEREEKAREEQKNDNKRNKKAARRKNMQQQPTACQAQDEQQETAPTPGEETIVDPDQFMDIAHSMHMMFGGVDQDAPPFMPSLIAPCNNDDGMLQLQPLQLQNPNPAMLYSNQLEPSYIGDQSMFTPCCCDRNCISCRQLQFYQQQQAEDGSVAFGEADLYQQHDRALGNTGVYPDNVWVDGNMADYAQRQIYNDQDNGGVLMQGPEDSATFPDNFLMLDEMAAGSDDASGFDYEVDQSMAVVPHVADQTVDDIMSSLLN >ONIVA06G03670.1 pep chromosome:AWHD00000000:6:2393069:2397355:-1 gene:ONIVA06G03670 transcript:ONIVA06G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMDAERIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRNKDC >ONIVA06G03660.1 pep chromosome:AWHD00000000:6:2392316:2392804:1 gene:ONIVA06G03660 transcript:ONIVA06G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRWWWWFTWSRRVVRRNQTPPSPSPPPADEDVLPFEEYPPPSSDEEEEEEINHPTPQTSNEAADRRPGLLPASRKAIQGLREVTAAGAGEDECAVCLQDFEAGDKLRMMPCCHTFHQRCIFDWLRLSCICPLCRHTLPTQNVEDDRLGRAAHTVAGSTG >ONIVA06G03650.1 pep chromosome:AWHD00000000:6:2385727:2391618:-1 gene:ONIVA06G03650 transcript:ONIVA06G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGADLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPEAEDGKDTQSRSTKEDQAVSFRTAAAKSVYQWIIKPQSIIKSNEMFLPGRMAFIYNMEDGLTNDIPTTLHRSKADCSVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGKNSLASGDYDEVARPGQTNGSALKHQFEKDMPPPPPPRNNNLSKNEKPSVPVARADEDDIFVGDGVDYSVPNKEMSQSPVSEDMDESPHNHQKQSYFTEEKPIYGPIPPSDPAQAWPQPNAYDAIQAQMVAAGYQGEWSGYQYGEQQMAYPEQYMQQSAQDCDVLADPNITQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDAREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDMPSGKKQRA >ONIVA06G03650.2 pep chromosome:AWHD00000000:6:2385727:2391618:-1 gene:ONIVA06G03650 transcript:ONIVA06G03650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGADLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPEAEDGKDTQSRSTKEDQAVSFRTAAAKEDGLTNDIPTTLHRSKADCSVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGKNSLASGDYDEVARPGQTNGSALKHQFEKDMPPPPPPRNNNLSKNEKPSVPVARADEDDIFVGDGVDYSVPNKEMSQSPVSEDMDESPHNHQKQSYFTEEKPIYGPIPPSDPAQAWPQPNAYDAIQAQMVAAGYQGEWSGYQYGEQQMAYPEQYMQQSAQDCDVLADPNITQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDAREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDMPSGKKQRA >ONIVA06G03640.1 pep chromosome:AWHD00000000:6:2383886:2385103:1 gene:ONIVA06G03640 transcript:ONIVA06G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G44810) TAIR;Acc:AT2G44810] MAASISGARTCYPSAAVARRGGGGGRCCSVVRSSLATSSPATCKPSGMMRIGRQWTELQGARDWEGLLNPLDGALRGELVRYGEFVRAAYASFDFDGGAPSSYGSCRFPTSSLLRRSGLPETGYRVTGILHAASTSAPGWLSCRSSYIGYVAVCDDEDEIERLGRRDVVIAFRGTATCGEWVDNFKSTLTHLPPRSGDGEAAPPMVESGFWRLFTTPGEAHGSLQQQVRGEVQRIVSEYGGEGMPPLSITVTGHSLGAALAVLTAYDITTNSPMQRHGGGDDDDGEAPMVTAVSFGGPRVGNAAFRRRLEESGGKVLRVVNSNDVVTKVPGFPVDGDDCGGGAREGDAPARRKPRLPRWLVSKMGWEYSDVGRELRLCSQGDTARNVVASHDLDLYLKLVAACTY >ONIVA06G03630.1 pep chromosome:AWHD00000000:6:2380785:2382523:-1 gene:ONIVA06G03630 transcript:ONIVA06G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPHGPRPDTPLKKHVLKISPIRKFSHGVVASPARWEMKLSATFRSAKIPRALPPKSGEPAAAASASCAPGSSPAEAAKGKAASGWCVYLIASSRIPRTYVGVTTDFPRRLRQHNGELKGGAKASSAGRPWNLACLIEGFVNRSEACEFESKWKNISRKMARKRSEPSMTSLLQHREMALSRLKTDLACSHLKIKWHSS >ONIVA06G03620.1 pep chromosome:AWHD00000000:6:2377056:2381104:1 gene:ONIVA06G03620 transcript:ONIVA06G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVAAYDALPAPPSPPSPALPPPFQPPPPDTPISDATPSAAETPNLPDTPASASPFSDAALAADASDADASAVAAPPDDDGTNPLGGAMKHMALAPPAPPSKKSKKKNSNSVWTRPNSRKGKKKAKQPANALAGGSAGANGRLPKPSSGDDELVLTPAPRFAAERNDDAPDLPVLLSRVFKSDKVEVSDDRLTAGSTKGYRMVRATRGVAAGAWYFEVKVLHLGSTGHTRLGWATNNADIHAPVGYDVFGFGYRDMDGTKVHKAWRANYADQGYGEGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKAAEQKTPDPVPGSEICYFKNGVCQGTAFVDIPGGRYYPAASMYTLPDQPNCEVRFNFGPNFEFFPEDFGGRSVPQPMNNVPYRPYQLANEVPAENGTAEKTIKLQ >ONIVA06G03610.1 pep chromosome:AWHD00000000:6:2370678:2372263:1 gene:ONIVA06G03610 transcript:ONIVA06G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKGRGLLGGFLPRPVFPISLLRQEVWLRRLRRRRGGCNRVRAGIVSSPSEDSSASASHILYRVGPFDYELAVACKSRTKAARPDEQFHQRIVTKDGRLKASELDQMGERRPVAGKRT >ONIVA06G03600.1 pep chromosome:AWHD00000000:6:2367003:2370304:1 gene:ONIVA06G03600 transcript:ONIVA06G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHYYLNLDFDVSDTKPLPDYCFSEAWEVWEAHADQSDYEREQAVSRFYEEKEKEIKAAYSEALSDEDDEEKDGEICQLLGYEEEHGQVSAGMEDIPENHVKKGCTYASPEYLAELTQKILLEINVNEDLGNLSLLESWKVMRTRKLLKQVLCHEMKHARNEGGQVFEGELEHKKARMAESSQNKGEDEETLLPVGLTPDDI >ONIVA06G03590.1 pep chromosome:AWHD00000000:6:2355370:2362608:-1 gene:ONIVA06G03590 transcript:ONIVA06G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSSPRELTGKKKLTKHDDEALRFIELAENGTDPATIDASEAKLTNVLLGRTILHIAVPRNSGGYFGHVLAKLEPSIDMKDNLGLTPLQLSILLGKYAAMQKLIDFGADIHIHSLYGGPIHAAVALDDEIALEALMRKSVNVDDIIDGGITPLACAAYNGSVTSMKTLLQAGANVQISKPIGKAIVADLTSSESMVQILLDSGANADAIDEVMFEDPPIIAAAKRKQMNVVQLLLSSSTPIEGVDWSLNGIIAYTESVTFKAEDDVHTAARVTALRERMFNALENINYLLADICCKALRNDVSTTEWDRLRNLNLLYLSHSFHGQKPVLSSDAIVNMALVYQKQDKGKEVMCLKAALALNPQNERAESLLSRLWEASIRKLKYSTILRGSVVPSGGTFDGPAAATGNAAGGDPITLTPSLSVSSSTSNTIYQYEDGDDDIDSVLDDVDTDDDDVDEASLGEPSHSDQLLPSGDFYQGDLRGDLPHGAGKYLWTDGSMYEGSWRGGRAAGRGKFSWSSGAIYEGDLAGGYMHGQGTYIGELGDTFAGLWANNLRHGRGTQAYVNGDVYDGHWRDGLQDGHGRYIWRGGHEYIGTWKAGEMHGRGTVIWADGDRYDGAWEDAKPKGQGTFRWSDGGMYIGLWCQESGETQGKGVYYPPSGGPAVPLPREPKEVITKLLEELEMSEGKTVSLLPSQKVLTWPGVEPVTKKPVWLPPEVAADQGMWRPPEVGADQGRRSSRRNNMSSDIDSLVEGEDGGEESRNDRSWVRTPSCMRAPTMPKPGKKQGETISKGHKNYELMLNLQLGIILDVPIIATHFSAERTMSLRGGGESAGSDSPCRREEAKSRTPRPQPGSAGERSAAASAEMSSRGGSKSCGGDSLQPGEAGEHGVAPSAAAVETSSEVAKVRGQAATPYSAAPFDESMLVQHSTGKAMGNREADVAAVGLGPKNSGLKICPARSLVDNCIMKT >ONIVA06G03580.1 pep chromosome:AWHD00000000:6:2346925:2351509:1 gene:ONIVA06G03580 transcript:ONIVA06G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAADDAPPSPPPSAFPPNRTETLPTDATPSAADTPNLPDTPASASAEPDTPFSDAALAADASDADASAVAAPPDDDGANPLGGAMKHMALAPPPPPSKKSKKKNSNSVWTRPNSRKGKKKAKQPANALAGGSAGANGRLPKPSSGDDELVLTPAPRFAAERNDDAPDLPVLLSRVFKSDKVEVSDDRLTAGSTKGYRMVRATRGVAAGAWYFEVKVLHLGSTGHTRLGWATDRIRILCLHTNQSMHSIRLDKKKRVRREKKQQEARNDGLDAQATKDNISGNNNRDFEQYGVINTFTQLFMRLMLTETHELPDGMHALVAAWSNGIHALFCFVSVIMFQIPYNKHVESLHYRGGGGVDVHVSRWIVLDGGYYLTARWCLHGVKAEDPNPHQQNYADIHAPVGYYVFGFGYRDMDGTKVHKAWRSNYADQGYGEGHVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKAAEQKTPDPVPGSETCYFKNGVCQGTAYRMQLARRRAVAGPELGGPRQERQRTGSGRSELKTWFSRLLHAGAAGSAAALAAAGNGLSSRRLRSSSAVEMRERERRRAREQQPRCGEVAGGTAAECVAVFCYFPFAVVELIVLAVVRVPAALCRRAVQRKRRGHAAAVRGPRRGEARSTVNSSRHTVVDVKHEAWEICLTPVQVQKLAFRCMSVLIDLILQSTRNKDKETVVKSVNYS >ONIVA06G03570.1 pep chromosome:AWHD00000000:6:2344536:2353358:-1 gene:ONIVA06G03570 transcript:ONIVA06G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREKAGDNDARVMDFFDAAAGAGAGGVLAAMLFLKGGVGGRAFVAASLGKGGWHGGGGWRRWVAERSSLRRVIGAATLRDTVAPLLVPCYDLATAAPFPLLPRWSRSSSPVPTPSKGQLRLQRTTTVVVVDPLPSHREGTSVQSSNIHRPRQSNGSHAHPLNLANETCPSEPMEAQQLAAHALAKSDEAVSSPAAAVHTAAATAIMIKITMNNWVKTTSMLNRCTTVYRSGGGGRRRVPPRPAMVPLRQTLQDTNDYNTRKKHPNQSARATAYASTD >ONIVA06G03560.1 pep chromosome:AWHD00000000:6:2344079:2344300:1 gene:ONIVA06G03560 transcript:ONIVA06G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >ONIVA06G03550.1 pep chromosome:AWHD00000000:6:2342428:2343338:-1 gene:ONIVA06G03550 transcript:ONIVA06G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIMAPVQEQDKQLVVDLVSTAVDQLARMCSTGEPLHRQRGAEMMAVEEHARMFRCEILMASMDYQGTAIKYLIIAHTRKPSRRSNGKYLVDTIKSQNHETMDHQKDAKD >ONIVA06G03540.1 pep chromosome:AWHD00000000:6:2337251:2338699:-1 gene:ONIVA06G03540 transcript:ONIVA06G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKV1] MANAANQHTCDGPQPSAPTHFLIVAYGIQSHINPAQNLAHRLARIDASSVMCTLSIHASAHRRMFSSLIASPDEETTDGIISYVPFSDGFDDISKLSILSGDERARSRCTSFESLSAIVSQLAARGRPVTCIVCTMAMPPVLDVARKNGIPLVVFWNQPATVLAAYYHYYHGYRELFASHASDPSCEVVLPGMQPLCIRSLPSFLVDVTNDKLSSFVVEGFQELFEFMDREKPKVLVNTLNVLEAATLTAVQPYFQEVFTIGHLVAGSAKERIHMFQRDKKNYMEWLDTHSERSVVYISFGSILTYSKRQVDEILHGMQECEWPFLWVVRKDGREEDLSYLVDNIDDHHNGMVIEWCDQLDVLSHPSVGCFVTQCGWNSTLEALELGVPMVAVPNWSDQPTIAYLVEKEWMVGTRVYRNDEGVIVGTELAKSVKIVMGDNEVATKIRERVNSFKHKIHEEAIRGETGQRSLQIFAKTIIESD >ONIVA06G03530.1 pep chromosome:AWHD00000000:6:2335108:2335881:-1 gene:ONIVA06G03530 transcript:ONIVA06G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEPLPSAAGDATADETPPGRAVCSSGCGRPSTVCLCPYLPATPLPTSTTVVILHHPHALRRNPLSTLPLLARSLSNLRLIPGRRLLPSSAPVISRSPVLLLFPSPDAADLASWCRSTPPPARANPTLLLLDGTWKQAKEMHAASLPFLSSFAVPVSLPVDCGVDGDSMFEGELVVKKEPHKGCVSTMEAVARALRLLEPEGRGEEIEETMVGVLRAMVAFQAEHLQHRPMKPRVKMRKKKDIKREEEMKRDARLE >ONIVA06G03520.1 pep chromosome:AWHD00000000:6:2328869:2332628:-1 gene:ONIVA06G03520 transcript:ONIVA06G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLPPPASRLWEASIRKLKYSTILRGSVVPSGAAFDGAAAAATGDSSSPDNETTQTALEDEERRKAPVKLGIGMPSRVENVVKNPESESQLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFSEDLQ >ONIVA06G03510.1 pep chromosome:AWHD00000000:6:2318949:2328139:1 gene:ONIVA06G03510 transcript:ONIVA06G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLMVDGDAGRRAPAPATSPAAEEAEFLWELRKYVLLLATLAATVTYTAGLDPPGGFWTDNVGELLAGDPVLQKTYPRRYKAFFYCNATAFVASLVIVNLLLVRFLCRRRWWLRALQAAMILDMFGLMGAYAAGSSREAAMSAYILVLVILVCSYVSAHVLLYGLTAQVSGPDAPERVERARKYLLIFATLAATVAYQAGLSTPGGFWLGSLENQHLAGDPMLRGNHPYRFMVFFYFNTTAFVASLVTIMLLMSRTVSRHGFRSSALWVCVGAAMVGLMGAFAAGSCRSFKTSIYVIALVGAVLLYIAIQAMVFFSEPVKDWLHRAGETLQKCLKLDELEQRNQQQITLSNQGNGDAYLLLKKSRMYLLLLGILAASVFFYCNATSFMASLVIMVLLLIKRVSNTQPALLALHAAMILDLFGLMGVYAAGSCRRVTTSAYILALLVGVSAYIVVLVVVSVGVARWMKKSCRISMIPKNRITSWNSPVAFAAGSCRKVKTSVYVFVLVLAVLLCIAFQVALVVSGSLRRLVNSLLSKLGAPLEEDAGERLPHTAADEPRDLWDEKLPKYLLLLAALAAAVTYQAAMSPPGGLWDDGQTEHIVGDPVLLTNYARRYKAFFYCNATSFMASLVIMVLLLIKRVSNTQPALLALHAAMILDLFGLMGAYAAGSCRRVTTSAYILALLVGVSAYIVVLVVVSIGVARWMKKVMDKVGEKLTHCFSFEDL >ONIVA06G03500.1 pep chromosome:AWHD00000000:6:2316608:2317032:1 gene:ONIVA06G03500 transcript:ONIVA06G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLPLISEPPGPLFQAPEKRENGDRVDWVFCNAVRHVDDWVRVAKCDDKDARYEDCEKMIHVFDKDGDGRIRLDEFRAV >ONIVA06G03490.1 pep chromosome:AWHD00000000:6:2314618:2315491:-1 gene:ONIVA06G03490 transcript:ONIVA06G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRWLRDYLASVSEEMDRRRLQARAGIDDHRLESLHRPRLGETREEACAVCLQDFGEKDEELRMMRARTRSISAASSVGLDSCPVCRSAMSSYNDVLEELHSELEQWIQVLSNDSTHVSGDTCKYHVILIRYNAIFYHVSRDTHEVSCETCQVSDDFNHVSRDTHEVSCDTCHVSDDFYHVSHDTREVSDDFYHVSHDTCEVSDDTYQLGIPLGEEHPDTQWRSQDLKMGGAIYET >ONIVA06G03480.1 pep chromosome:AWHD00000000:6:2312445:2313053:-1 gene:ONIVA06G03480 transcript:ONIVA06G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDASAVARALPRDFPPIENLSFEGFPRYTFKIGNRIHCTNLMYRPGSRTLLTQEEAHEIIREGLRSDIRRGRIQLNADFLQQLVDQVRDHILQRQRRGTATAMDGVVEVEDAYRNGGFGAIPASSKAMAELQEAMASDARERGCAVCLEDFEAGEKLRRMPCSHCFHATCILDWLRLSHRCPLCRFPMPTQDQSYLAVRG >ONIVA06G03470.1 pep chromosome:AWHD00000000:6:2308668:2309183:1 gene:ONIVA06G03470 transcript:ONIVA06G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPASRRHYDSLVARYGDQDALADRFGGQNDGPDAWWSNLRVRMSQDHLLRHEARRRAATLEAFEDRENSHDGAFGCGDRASAKAMVTLHQPNLGETREQDCAVCLEPFEEGNTLRMMPCFHSFHQRCIFSWLRISRICPVCRFTLPSQADFESEKAEKERVSASPEDGNN >ONIVA06G03460.1 pep chromosome:AWHD00000000:6:2303860:2306195:1 gene:ONIVA06G03460 transcript:ONIVA06G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAGGAGVTGVAAGGESGLWWCWKFRGRSYGGGTAELGEAAADGDGDVLGEGGGEAGVGDEAAELGLEVGGVGLTGASVARASPSPETGSAARRRRRRGLAKPLPAALRATARSANRSPGPPAGQCGGRNCRRRSALLLSRLAPATSRVVAAVSSPTRRSPFSYRLQLVISVLIPTLQQLTGINVVMFYAPVLFKTIGFAGTASLMSAVITGLVNMFATFVSIATFVLGTLIAVKFGTAGVANISRGYAIVVVLCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVMFIMAFTFIIAQIFLMMLCHLKFGLPSPSPPFPSPPSPPPLPTSPSPRQHRGWAIFVPHGGHARPLAALQRVSEEKRERKGEKDGVDPDMWGPRGSHADLVTT >ONIVA06G03450.1 pep chromosome:AWHD00000000:6:2299358:2299814:-1 gene:ONIVA06G03450 transcript:ONIVA06G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPQMLSLQPQHAQGHKVMERLRRALGDVRRRHCRPLLGAAEAAGVRRMREKETEASSAARRGARAV >ONIVA06G03440.1 pep chromosome:AWHD00000000:6:2293754:2296413:-1 gene:ONIVA06G03440 transcript:ONIVA06G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVSKRCSPEEEVIADILFALPRGPRPVLLRRRGEINRTGGDSTRDGDSAEKSRTGRGHEIRGRAGGVEAEAARGGYSREDLATKGGSVGSRDGGGEAFQSKREGALRYRCTIEDLSTSKGPGGGGGYDYELVGRGGVAAAERDTRCRYSVQDLSTVGKSGGMYDGGNGPGFKEGAQETVDCVQGFKTHPELGLPANDSGTDTETVDVSVSEELIRLQTTISKPKTNTATDDSADDSAAEIEAFDVSEELIRFQTVVSKPWDAIWREAPLVFCDSFLCPTGDRSGLSQSELKDAVASILLNHKGVVSYFRIDSSRSLNLQTLETWFNILSEKKVKEMVLFNCSGPQKLIEFPMDSLDGSQVEVLRICFFRIPEVYAFDLSKLHLLDFSYCKFDTEHLLHFVEACPNIRELHLGYYDGNVRIRSDKLEIFQVWCSTMKSVNIEHAPELRKLTIAASPGKYSSSLSVRVINSLYLEHITCNISNQWITINGSNIQTDDKVLLNVRKLYIGLSMSKRRQREQLSNILNCLTHLEDLTIWRMDTVANNEDYDAALEDWSPKLRVKTCLKSLQICKMEGYQGGKLESDFASAVLVRANRLKRLIIESDKEDVFKKAVGILQKTTEASQEKLPLEAKLIRQVANDVFNSKFGWNAGTRRLGA >ONIVA06G03430.1 pep chromosome:AWHD00000000:6:2288366:2288763:-1 gene:ONIVA06G03430 transcript:ONIVA06G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISSPLLHRASRFLRPQPRRSLPPFTAVTLASRYLHPSRVAHCFPPPPLSLSPPAPLVDPRDVR >ONIVA06G03420.1 pep chromosome:AWHD00000000:6:2287734:2288333:-1 gene:ONIVA06G03420 transcript:ONIVA06G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDASAVARALPRDFPPIENLSFEGIPRYTFKIGNRIHCTNLMYRPGSRTLLTQEEAHEIIRERLRSDIRRGRIELDADFLQQLVDQVRDHILQRQRRGTATAIAMDGVVEVEDAYRNGGFGAVPASSKAMAELQEAMASEARESDCAVCLEDFEAGEKLRRMPCSHCFHATCILDWLRLSHRCPLCRFPMPTQDQSY >ONIVA06G03410.1 pep chromosome:AWHD00000000:6:2283055:2285669:1 gene:ONIVA06G03410 transcript:ONIVA06G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVFLPLFQPLNFLLCDKHNTHSTCPAEHSETKARTELTHGDVDVVIAALGLRVNREGDECSLVEDEALVLLEEKQASWGELEEAFSVFDVDGDGFISPLELQNVMRRLGLQHDAGYEECERMLKVFDRDGDGNNGMINFDEFKVMMQGVTKKIPSWMMRKTLMQCMEAGHFGKDAMDMMRLEHALPCGSSPAESGQQDGGAELLGNFEEDDYIWSMPCSHTFHHLCILGDRSCRASRVSVVMAALGLRVNGEGDERSLVEDEALVLLEEKQASWEELEEAFSVFDGDGDGFISPLELQNVMRRLGLQRDAGHEECERMLKVFDRDGDGMINFDEFKVMMQGVV >ONIVA06G03400.1 pep chromosome:AWHD00000000:6:2282985:2284568:-1 gene:ONIVA06G03400 transcript:ONIVA06G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPRGLRFLLREWGSCRVTDPAGTVAQDAEVMESVGAGHRPDVVILLEILEAHRAAPLHHLAAHSRPVKNRRGVHVPAASYPWRPCQNNSLHHDFKLVKIDHSIISIAVSVENLEHSFTLLISSVVLQTQPSHHILQLQGTYESIAVNVEHAESFLQFSPARLLLFQYFILYQGTLVALPVDTEPKRCYYHIDIAMSELCSCFGFRMFSWACAVCVVLVTEKKIQRLEQRNTFVIWTSRLLVNIFAQKHSDKNENNK >ONIVA06G03400.2 pep chromosome:AWHD00000000:6:2282985:2285916:-1 gene:ONIVA06G03400 transcript:ONIVA06G03400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGTPQIDMRPHISKVFDNSLHHDFKLVKIDHSIISIAVSVENLEHSFTLLISSVVLQTQPSHHILQLQGTYESIAVNVEHAESFLQFSPARLLLFQYFILYQGTLVALPVDTEPKRCYYHIDIAMSELCSCFGFRMFSWACAVCVVLVTEKKIQRLEQRNTFVIWTSRLLVNIFAQKHSDKNENNK >ONIVA06G03390.1 pep chromosome:AWHD00000000:6:2273681:2277307:-1 gene:ONIVA06G03390 transcript:ONIVA06G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactono-1,4-lactone dehydrogenase [Source:Projected from Arabidopsis thaliana (AT3G47930) TAIR;Acc:AT3G47930] MVNLALMDKVLDVDAKKKTVTVQAGIRVAELVDTLREHGLTLQNFASIREQQVGGIIQVGAHGTGARLPPIDEQVISMKLVTPAKGTIELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLIEHTFVSSADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVQCNPPSRWRTPKFTSKYGKDEAIQHVRDLYRESLKKYRTKAESNDPEVDQLSFTELRDRLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSETCFPAGTLAKPNMKDLDYIEELLQLIEKEDIPAPAPIEQRWTACSRSPMSPASSSQEDDIFSWVGIIMYLPTSDARQRKEITEEFFNYRSKTQTNLWDGYSAYEHWAKIEVPKDKDELAELQARLRKRFPVDAYNKARMELDPNKVLSNAKLEKLFPVTEVQHEK >ONIVA06G03380.1 pep chromosome:AWHD00000000:6:2263975:2271843:-1 gene:ONIVA06G03380 transcript:ONIVA06G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSPYQQSFTTIESAESATAATSIETAESTTAARDVSPPAAAHDVSPPSAAHDVSPPSAAHDVSPPAAVSAPPPAVVPAAARDAPPPAVAMAAEMEADDVDVHEVPECIASMIDRGSVESHRLFLARRTAMEMLRDRGYSVPEAEIARTLPEFRAWWAEKPGIERLAFTTTLVSDPSKKVQLVFCPPEPVKIATIREIYLQTKEENLSRLVLILQSKILSRAREAIKEIFKFKVDIFQATDLLVNITKHVLKPKHEVLSADQKAKLLKEYNVEDSQLPRMLETDAVARYYGFDKGTVVKVIYDDKDKSPNVVITAGFEQLLYMSLEGFGNREILRFLMNNTHTEQTSEGVEIKIEGSKLPPIPENVVAHVVGVPEGSGESLEFEQDTTKQGEIKKAVHNLLKLPDSVDDCEPIADEKKRDRKHKTIMNGKIGVDYLHDVMEEAKETKDVELEVKCFFFITFHTLLLPEKDGYLTLHQMQAGMNLARIQRINWRKVLLNHLKDGVKSWWKSQAKSTKPGAKSTKPGGVASVYISGPMTVLLLYYLDFLKSKSESDVSQTPRICYYGESMVTDLVQETMQKDGKRFDNLKFRTTEETCYSRSYNECEKVKVQKVSGKQKKNKRTRLGKNKGEKGDKEEKGTHVNPAVRRKEVVKRYGERAEKDSGAKNDMVAHIPALEDFVKSSLEHMPRYLHSDAIKIVKQNHSSIVEMYEKIMKTQSQMPSKMESLVDRYKDLDEFYSKHIMSKADWEQKHFGNKAAVDINGITISEHDFVKSMKLNGWISNFIVDVQCSIWREEEEWKDKIILSQSAVNELLGLTQIRGYVEKELSNLAKKKQIFVPILVRALDGSGLHWYLLVVDIENGIRSDCGFYMLLYMERFGRMKIDDINENDVLMYRKKLVKDIYENPNNNPKDVSDEVIAQDEYHILDDTICLSPPPSDLRNSPPRPNLTVSPMSHEDGRNDGPLPPSSPGTSDGNKNLEDAQPQEEADRSSVGIAENAEAGNQANHARTSDGNQKVDDAQAQGKANRSSEGSSLDTRTDNERSRTSDDEARGNKVDEGEKGVPSSPPRREQNPSHALDKDIVYYSRKKKEKKRKEKSRSSLDNDLIQLGKRCRIATTRTAIKTTRREWPDDAIVKEEQVILNFGAIAMTGSEIEQSFQKVKCAGDITSLFIKYLENNTYPDDSRIFVPPLYKGKGGMKKKIKDALGGSNEKKSAVEFPHRFIYCPVLFSGEWIVVCFQLKNMKQIGILCNNGGDEIKNFALDLGKKLNKVLGDCNYKTVSFDEKSLSFFPSCVQPNDSVFAAMYFLEHFIGVAGVLNKLTWDEKMRDQYIKSYSRGILTCLIQHKENTADVPDGILALFE >ONIVA06G03370.1 pep chromosome:AWHD00000000:6:2259024:2271116:1 gene:ONIVA06G03370 transcript:ONIVA06G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRVGLKVMVDVGTTDLCHDTSPLTRVLSIDHLSTLRMLEPAVHVPGGPFQPPPEHVLERPFGESPVRYREGPLEYEPAVLCQCGVKMGRFVSWQNFLGRRFYKCVWWDKDPAVIRIRIVLQGTSLTGSVGLPLSLGIVHLLCMECDEEEALNFQLNIFGLLGFFHHIIVLLKFKALTRTFRNTNNMCHHILRDGGRTNIPEAMCSVYT >ONIVA06G03360.1 pep chromosome:AWHD00000000:6:2254172:2257091:1 gene:ONIVA06G03360 transcript:ONIVA06G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPFTRPPALSPFFSLALLEVVTSQLLLAGILATNAPTNPVYMATRQQPARRAKSRSDSGRQTKKIKATAAAAVVLFLCGRGQQQLPSAQSPPPPHLAAVFCRISPLPRHRVPIHSERPILSGSASSSSGLNMGDAHLWTHDEEIALFREAHVLLTTQQFSRKSQLNEELARVLNGCFPEVAIKFTSNAIKNKLTCNRAQIECSAIVARLFFSGTTSGSETTNSVAADSVTVNNGRIPTIQNVNNRRIPTIQECIILAESLEGLTPIEKADAPELMRLDLAAREAFMSFNDEQVRLLWIKKLIGPVLLGLLVHGDGDEDLNL >ONIVA06G03340.1 pep chromosome:AWHD00000000:6:2233547:2236851:-1 gene:ONIVA06G03340 transcript:ONIVA06G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDAGQESYHAMSKSRPPDQYWMDGQDLCRKPAWKSATRAKSPPIDFEAHETEEEDRIIIAAHAIHGNKRACIAKLLDGRTDNAIKNHLEFYSEAALRAKEELAGDELHLSVVIVPAIPALGSGCARSSVIHVISTDARERRRFGRWAISSARLPVEWIPSSERSPSLVSATALSSSAFAFAAALHVLPPPLRPSLAVIAIDRTTIYEDAGRRRTLHLHHAATPSPHLTPSLL >ONIVA06G03330.1 pep chromosome:AWHD00000000:6:2229045:2229593:-1 gene:ONIVA06G03330 transcript:ONIVA06G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVTRAYLDQKLALAKRCSREATLAGAKAATVASAVPTLASVRMLPWAKANINPTGQALIICTAAGMTYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGHPHPAFFRP >ONIVA06G03320.1 pep chromosome:AWHD00000000:6:2224907:2225519:-1 gene:ONIVA06G03320 transcript:ONIVA06G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVTRAHLDQRLALAKRCSREANLAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >ONIVA06G03310.1 pep chromosome:AWHD00000000:6:2219759:2221861:-1 gene:ONIVA06G03310 transcript:ONIVA06G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTSIFRCLGFLVVFCPTHEAIESNPSPAGATKYCGITILICWTLRLRRSVSEAAIAGLKAAAVTAVCTAIPTFASVRMSKWAKANLGNPVAKTVIITYAAGMAYFIAGEKKVVELSRKHSLEAAKARAKSEYTLTKSN >ONIVA06G03310.2 pep chromosome:AWHD00000000:6:2219759:2220538:-1 gene:ONIVA06G03310 transcript:ONIVA06G03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKATDSSQLIEQKFAMAKQSSHEAAIAGLKAAAVTAVCTAIPTFASVRMSKWAKANLGNPVAKTVIITYAAGMAYFIAGEKKVVELSRKHSLEAAKARAKSEYTLTKSN >ONIVA06G03300.1 pep chromosome:AWHD00000000:6:2212285:2212967:-1 gene:ONIVA06G03300 transcript:ONIVA06G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQRKPGDWNCKSCQHLNFSRRDYCQRCHTPRQDLPLGDGYVPGGVLTSLDIRPGDWYCNCGYHNFASRASCFKCGAIVKDLPAGQGGGVANGDFARALDSSAVRAGWKAGDWICTRPGCNVHNFASRIECYRCNAPREADTCSLI >ONIVA06G03290.1 pep chromosome:AWHD00000000:6:2206579:2209251:-1 gene:ONIVA06G03290 transcript:ONIVA06G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 19 [Source:Projected from Arabidopsis thaliana (AT5G20070) TAIR;Acc:AT5G20070] MSIHLRAHAFAASPLRGLSASTTAVSPSAAADALRSLLDAGAGAADAAHPHPHPHLSKILPFRRGRPLARSHDSPPPPAAAAAAPPPPPAWRLAWLPPARVPDVPSDAFVFLGAHGEEEGKEAAAYWAVDVSERDGEGAGDGSAFVDLRTLMVATDWRDKDAMGDLAIAGHARALLEWHSTAKFCGACGSRAVPAEAGRRKQCSNESCKKRIYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIQVGEVIYHSSQPWPVGPSTMPCQLMVGFFAYAKSLEIHVDKKELEDAQWHSREDVKKALTFAEYEKAQRTNALKVNQICKGVEKRQSISADLKIESEEPAPMFVPGPYAIAHHLISSWVFEGAPKAPSSFSNL >ONIVA06G03280.1 pep chromosome:AWHD00000000:6:2203739:2205381:-1 gene:ONIVA06G03280 transcript:ONIVA06G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVVAGVESQERRGGSAGTGTVTVFVVLSCVTAGMGGVIFGYDIGIAGGVSSMEPFLRKFFPEVHRRMEGDVRVSNYCKFDSQLLTAFTSSLYVAGLLTTFAASRVTAGRGRRPSMLLGGAAFLAGAAVGGASVDIYMVILGRVLLGVGLGFANQAVPLYLSEMAPPSRRGAFSNGFQLSVGVGALAANVINYGTEKIRGGWGWRVSLALAAVPAGLLTLGALFLPETPNSLIQQGKVERCDVEQLLKKIRGADDVADELDTIVAANSATAGVGGGGLRMLLTQRRYRPQLAMAVMIPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSAVVTGVVGVGATLLSMFAVDRFGRRTLFLAGGAQMLASQVLIGGIMAAKLGDDGGVSRAWAAALILLIAAYVAGFGWSWGPLGWLVPSEVFPLEVRSAGQSVTVATSFVFTVFVAQAFLAMLCRMRAGIFFFFAAWLAAMTAFVYLLLPETKGVPIEEVAGVWRGHWFWSRVVGGDGEEEERNNGGKL >ONIVA06G03270.1 pep chromosome:AWHD00000000:6:2200815:2201210:1 gene:ONIVA06G03270 transcript:ONIVA06G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELKQLNYSLQDADQSIIVQTMTVAESRRSRRLKTSPNVGGRPPRRLRSQATTSMIRCSSCTSLTILLTGATSSVNGSNQMPTSKISMIDRSIGFSLSSSSDPVSSWILAFLLAMIMMNSNSNKNLQFC >ONIVA06G03260.1 pep chromosome:AWHD00000000:6:2188972:2194770:-1 gene:ONIVA06G03260 transcript:ONIVA06G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEAGGAGEDELEMEAPSVSTVAIAVNGSRNSKHALKWALDKFVPEGKVLFQILHVRPTIKMVPTPMGNFIPITQVREDVATAYKKEVEWQANNMLLPYKKMCAQRKVEAEAVLLESDDVPTAISEEISKFSVCKLVLGSSSSIFRRKNKGSKTATKICECIPSFCTAYVVSKGKLSSVHSATSDAIGTPESISSSTVSSPSSRSFSSSVPSEWGDTYGSANVSFHQPSLSSQRDQAIANMNKLSNRRASPSGSGGSEISNHDDTVLTSSHSINSETRFSSSSSGNSIYKSFNRDRSFDNSDQASVSDMATNLKHSHDQEYLKLEIERLRVKLRHLQKLNELAQKESLDANQKLHKLGIQDIEDEIKLKETELTEEKVRRLIRKKEREEQEVARREDQLRNENAESEATKQSNGNQEGDENKTGERIFVRCFDEYNRYTWEEIKASTSSLSEDLMIGRGSYGTVYKAKFHHTVAAVKVLNSPEGCGTQQLQQELEVLGKIRHPHLLLMLGACPEHGCLVYEFMENGSLDDMLQRRNNTPPLTWFDRFRIAWEVATALMFLHSSKPEPIIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMDQYLSTMIKNTAPVGTFCYIDPEYQRSGVVSMKSDVYALGIVILQLLTAKSPMGIAHVVETALEDGHFVDILDAAAGQWPLNEAQELAFLALKCAEMRRRDRPDLSDHVLPALERLKDVATKAREMAFNGHQTAPPSHFICPILQEVMADPYVASDGYTYDRKAIELWLSMNDKSPMTNLRLPHKSLIPNHSLRSAIIDWRTKNLM >ONIVA06G03260.2 pep chromosome:AWHD00000000:6:2188974:2194729:-1 gene:ONIVA06G03260 transcript:ONIVA06G03260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEAGGAGEDELEMEAPSVSTVAIAVNGSRNSKHALKWALDKFVPEGKVLFQILHVRPTIKMVPTPMGNFIPITQVREDVATAYKKEVEWQANNMLLPYKKMCAQRKVEAEAVLLESDDVPTAISEEISKFSVCKLVLGSSSSIFRRKNKGSKTATKICECIPSFCTAYVVSKGKLSSVHSATSDAIGTPESISSSTVSSPSSRSFSSSVPSEWGDTYGSANVSFHQPSLSSQRDQAIANMNKLSNRRASPSGSGGSEISNHDDTVLTSSHSINSETRFSSSSSGNSIYKSFNRDRSFDNSDQASVSDMATNLKHSHDQEYLKLEIERLRVKLRHLQKLNELAQKESLDANQKLHKLGIQDIEDEIKLKETELTEEKVRRLIRKKEREEQEVARREDQLRNENAESEATKQSNGNQEGDENKTGERIFVRCFDEYNRYTWEEIKASTSSLSEDLMIGRGSYGTVYKAKFHHTVAAVKVLNSPEGCGTQQLQQELEVLGKIRHPHLLLMLGACPEHGCLVYEFMENGSLDDMLQRRNNTPPLTWFDRFRIAWEVATALMFLHSSKPEPIIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMDQYLSTMIKNTAPVGTFCYIDPEYQRSGVVSMKSDVYALGIVILQLLTAKSPMGIAHVVETALEDGHFVDILDAAAGQWPLNEAQELAFLALKCAEMRRRDRPDLSDHVLPALERLKDVATKAREMAFNGHQTAPPSHFICPILQEVMADPYVASDGYTYDRKAIELWLSMNDKSPMTNLRLPHKSLIPNHSLRSAIIDWRTKNLM >ONIVA06G03260.3 pep chromosome:AWHD00000000:6:2189069:2194729:-1 gene:ONIVA06G03260 transcript:ONIVA06G03260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEAGGAGEDELEMEAPSVSTVAIAVNGSRNSKHALKWALDKFVPEGKVLFQILHVRPTIKMVPTPMGNFIPITQVREDVATAYKKEVEWQANNMLLPYKKMCAQRKVEAEAVLLESDDVPTAISEEISKFSVCKLVLGSSSSIFRRKNKGSKTATKICECIPSFCTAYVVSKGKLSSVHSATSDAIGTPESISSSTVSSPSSRSFSSSVPSEWGDTYGSANVSFHQPSLSSQRDQAIANMNKLSNRRASPSGSGGSEISNHDDTVLTSSHSINSETRFSSSSSGNSIYKSFNRDRSFDNSDQASVSDMATNLKHSHDQEYLKLEIERLRVKLRHLQKLNELAQKESLDANQKLHKLGIQDIEDEIKLKETELTEEKVRRLIRKKEREEQEVARREDQLRNENAESEATKQSNGNQEGDENKTGERIFVRCFDEYNRYTWEEIKASTSSLSEDLMIGRGSYGTVYKAKFHHTVAAVKVLNSPEGCGTQQLQQELEVLGKIRHPHLLLMLGACPEHGCLVYEFMENGSLDDMLQRRNNTPPLTWFDRFRIAWEVATALMFLHSSKPEPIIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMDQYLSTMIKNTAPVGTFCYIDPEYQRSGVVSMKSDVYALGIVILQLLTAKSPMGIAHVVETALEDGHFVDILDAAAGQWPLNEAQELAFLALKCAEMRRRDRPDLSDHVLPALERLKDVATKAREMAFNGHQTAPPSHFICPILQEVMADPYVASDGYTYDRKAIELWLSMNDKSPMTNLRLPHKSLIPNHSLRSAIIDWRTKS >ONIVA06G03250.1 pep chromosome:AWHD00000000:6:2186216:2188245:1 gene:ONIVA06G03250 transcript:ONIVA06G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLGLSLGLGLASQGSLTSSTTTTSSPGAGSSSPWAAALNSIVGDVRRDQAAAHAAAAVGVGVGGEEMYQGRASTSPDSAAALSSASGKRERELERSGSGVDDDDGADGAGGRKKLRLSKDQAAVLEECFKTHSTLNPKQKVALANRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCERLADENKRLEKELADLRALKAAPSPASASAMQPSSSAAATLTMCPSCRRVATAGAPHQPNHQQCHPKSNTTISSSSTAAAAVAVAGGNVLPSHCQFFPAAAAAADRTSQSTWNAAAPLVTRELF >ONIVA06G03240.1 pep chromosome:AWHD00000000:6:2184548:2185055:1 gene:ONIVA06G03240 transcript:ONIVA06G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKVLLLGICVTARSSEERDAADELLQSMNWSAVRSNILVDDTNAKHSLPPQTVPGQQRRSAV >ONIVA06G03230.1 pep chromosome:AWHD00000000:6:2171508:2173272:1 gene:ONIVA06G03230 transcript:ONIVA06G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGLDLGLSLGLGLTTAATWPAAGFCLNSGMAEQEVIRRDDVVAAAAAEDERFACSPGSPVSSGSGKRGSGSGSGSGDEVDDAGCDVGGGARKKLRLSKDQAAVLEECFKTHHTLTPKQKVALAKSLNLRPRQVEVWFQNRRARTKLKQTEVDCEHLKRWCDQLADDNRRLHKELAELRALKATPTPPAAAPPLTTLTMCLSCKRVANAGVPSPAAAMFPGHPQFLCGFRDHAGAASSSYGGASSGLAKAVRAAR >ONIVA06G03220.1 pep chromosome:AWHD00000000:6:2160968:2164650:-1 gene:ONIVA06G03220 transcript:ONIVA06G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGGGGGGSAAARPAIGKITDAACISSERDALLAFKAGFADPAGGALRFWQGQDCCAWSGVSCSKKIGSVVSLDIGHYDLTFRGEINSSLAVLTHLVYLNLSGNDFGGVAIPDFIGSFEKLRYLDLSHAGFGGTVPPRLGNLSMLSHLDLSSPSHTVTVKSFDWVSPLTSLVYLDLSWLYLAASSDWLQATNTLPLLKVLCLNHAFLPATDLNALSHTNFTAIRVLDLKSNNFSSRMPDWISKLSSLAYLDLSSCELSGSLPRNLGNLTSLSFFQLRANNLEGEIPGSMSRLCNLSHIDLSGNHFSGDITRLANTLFPCMNQLKILDLALNNLTGSLSGWVRHIASVTTLDLSENSLSGRVSDDIGKLSNLTYLDLSANSFQGNMINRELPKSLKHMKALELLDMSSNQLEGCIPDLPSSVKVLDLSSNHLYGPLPQSLGAKEMYYLSLKDNFLSGSIPTYLCEMVWMEQVLLSLNNFTGVLPNCWRKDSALRIIDFSNNNIHGEISSTMGHLTSLGSLLLHRNKLSGPLPTSLKLCNRLIFLDLSENNLSGTIPTWIGDSLQSLILLSLRSNNFSGKIPELLSQLHALQILDIADNNLSGPVPKSLGNLAAMQLGRHMIQQQFSTISDIHFMVYGASGAVLYRLYAYLYLNSLLAGKLQYNGAAFYIDLSGNQLAGEIPIEIGFLSGLTGLNLSGNHIRGSIPEELGNLRSLEVLDLSRNDLSGPIPQCFLSLSGLSRLNLSYNDLSGAIPFGNGLETFAESTYFGNAGLCGPPLSRSCLYHKRKHKLNFDLETYLSALLGFAFGFCIVFVIMIPNMVA >ONIVA06G03210.1 pep chromosome:AWHD00000000:6:2157305:2163020:1 gene:ONIVA06G03210 transcript:ONIVA06G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNPSLSVFHATMLGIMMTKTMQNPNANPNRALKYVSKSKFSLCFLLWYKQLLDSGGPHRPALPKYVLSAKVSSPFPNGIAPERSL >ONIVA06G03200.1 pep chromosome:AWHD00000000:6:2119564:2124596:-1 gene:ONIVA06G03200 transcript:ONIVA06G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWDGVPARERRQMEEILQLDMEELNVEMVDDDGSSSEEANGGDDGADAFLRDTDGEGVASTSGQFTFNTSLASLHTYLGEVDDTRGRVSLLDGGTILSLPMLYLQGVVLFPGATLPLRLIQGRFVAAVEKALRQVDAPCTIGVFNFQVLMYKRHSTRHYANASVGTTAEIRQLGRMDDGSLNVVARGQQRFRLKRHWMDVDGVVWGDVQIIEEDTPLRTPRGAFAQLASCNSLRQHTSSPVISLDVSPIKQRDHVDSELDCGTPSPKSTASNHSAIDSRMCHSDSQSSSSMRSSNEDGIFMHEQFYSQELHPLKGSAAVQSGENTNMGEEDFCLTSLRSLSSAGTRDTKEQRQYILPKQHFQAPLSFWPRWAYQMYDSYALARRAADLWRQIITHPSMDDYVRKPDLLSFSIGSKLPVSESVRQKLLEIDGISYRLRREIQLLKAFNLIKCRCCKSLIAKRSDMVVMSTDGPLGAYVNPHGFVHETITVSRATGLALDGNPSTVHSWFPGYSWTIASCTDCESNIGWLFKATKKNLRPRSFWGIRSSQIADDAQELDQDE >ONIVA06G03190.1 pep chromosome:AWHD00000000:6:2115113:2117994:-1 gene:ONIVA06G03190 transcript:ONIVA06G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKR3] MAVSVSWSWAPRFLACVAVAVLLVTSGLGGAAAGAGGRRHGHTKGLRPGKAAAKPYYPVNATAVEAIERQFTRWVRSMVGRRHSTFQRALYRGLLPTRTLVVDKNPAAGNFTSIQAAVDSIPLINLARVVIKVNAGTYTEKVTISPLRAFVTIEGAGADKTVVQWGDTADTVGPLGRPFGTFASATFAVNAQFFVAKNITFKVSTTTTLQMRHHLCRGVAHSFRLSRGQNTAPVPRPGALGKQGVALRISADNAAFLGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEGCHVHAIARNYGALTAQNRMSILEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMTVFYGQYKCTGPGSNYAGRVAWSRELTDQEAKPFISLSFIDGLEWVKL >ONIVA06G03180.1 pep chromosome:AWHD00000000:6:2112147:2113805:-1 gene:ONIVA06G03180 transcript:ONIVA06G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWQGRADEDRRSGINTAAAVAGALGTGHGHVNPMWQQLPRRRRPCKFVLRKGSMRCRLHICENDGGWLCCKVCAIHSTIHSTMHILQLGIMIFLLNNYYYVSQKKISVAAS >ONIVA06G03180.2 pep chromosome:AWHD00000000:6:2112147:2113805:-1 gene:ONIVA06G03180 transcript:ONIVA06G03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWWQGRADEDRRSGINTAAAVAGALGTGHGHVNPMWQQLPRRRRPCKFVLRKGSMRCRLHICENDGGWLCCKISVAAS >ONIVA06G03170.1 pep chromosome:AWHD00000000:6:2105513:2108516:1 gene:ONIVA06G03170 transcript:ONIVA06G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASARATPFGSCCHLGERGQAGGIFSFPLRASLRLRWLGWLGSSLSHTSSPAASALNRRLARCFAWESSTGWAGWADRLIHHGPRDEVVLTGKKEGGDRDRKEHSAAGGANGGVAPPPMERRRWSFAKPRSSVTDGSRRPSVTAVVAGELSQVRPCSCGQQREVEAAVMIQKAFRGYLARRALRALKALVKIQALVRGYLVRKQAATTLQRLQVLMRLQASSRAIKMASSRKSVEQERIVVQMQGGRVKTLTLPVVHRRRVSDGGDINFDRSPRIVEMDTCQLRCRSSRITSRYAADPPPDGTPGSVPLSSPHLYCYKPPPSRHLQAEEHEHDARAQPKTTHNTPRLAAALPAGYHGPASPAKGRVVSPRYMADTASSVARARCQSAPRQRHGAAGEPRPSLARAGSRKSRPDSAISLKSSEMSRHEDSEFSDDVTRDYYLDQLW >ONIVA06G03170.2 pep chromosome:AWHD00000000:6:2105877:2108516:1 gene:ONIVA06G03170 transcript:ONIVA06G03170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMRWFKKVLTGKKEGGDRDRKEHSAAGGANGGVAPPPMERRRWSFAKPRSSVTDGSRRPSVTAVVAGELSQVRPCSCGQQREVEAAVMIQKAFRGYLARRALRALKALVKIQALVRGYLVRKQAATTLQRLQVLMRLQASSRAIKMASSRKSVEQERIVVQMQGGRVKTLTLPVVHRRRVSDGGDINFDRSPRIVEMDTCQLRCRSSRITSRYAADPPPDGTPGSVPLSSPHLYCYKPPPSRHLQAEEHEHDARAQPKTTHNTPRLAAALPAGYHGPASPAKGRVVSPRYMADTASSVARARCQSAPRQRHGAAGEPRPSLARAGSRKSRPDSAISLKSSEMSRHEDSEFSDDVTRDYYLDQLW >ONIVA06G03170.3 pep chromosome:AWHD00000000:6:2105877:2108516:1 gene:ONIVA06G03170 transcript:ONIVA06G03170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMRWFKKVLTGKKEGGDRDRKEHSAAGGANGGVAPPPMERRRWSFAKPRSSVTDGSRRPSVTAVVAGELSQVRPCSCGQQREVEAAVMIQKAFRGYLARRALRALKALVKIQALVRGYLVRKQAATTLQRLQVLMRLQASSRAIKMASSRKSVEQERIVVQMQGGRVKTLTLPVVHRRRVSDGGDINFDRSPRIVEMDTCQLRCRSSRITSRYAADPPPDGTPGSVPLSSPHLYCYKPPPSRHLQAEEHEHDARAQPKTTHNTPRLAAALPAGYHGPASPAKGRVVSPRYMADTASSVARARCQSAPRQRHGAAGEPRPSLARAGSRKSRPDSAISLKSSEMSRHEDSEFSDDVTRDYYLDQLW >ONIVA06G03160.1 pep chromosome:AWHD00000000:6:2101753:2102991:-1 gene:ONIVA06G03160 transcript:ONIVA06G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVAANNEGEEKGMAMAVASSIICHLVNPQPALGSSLLGECRGAASSATRDRAYCGHPLVTTSGSLLPVWIEASLGEGRGCGAWEIEGRGSTAKEHIAGDGLMEGVGMPTNSSSSSERKYEKFPLALISSSDTPYACHMLVRSPRHATSSSPLRYQFAESAAEKPRFKNEVLLPLRLAVPTRRHPCYRCRRGLPRRRRHCFRSVDRHAHMCRGCASLPLKPQPRLGVGAGGGGYVYNNERR >ONIVA06G03150.1 pep chromosome:AWHD00000000:6:2099328:2101055:-1 gene:ONIVA06G03150 transcript:ONIVA06G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKADQTAASPSLNPGSLTLAGRDQLDPPLVPLQCCNCEPCATRGTPTTPLPQVQSASPVPRLWADSPRVRVCGPRRTVLRAASSTGSRRIEEVLRIGAKRRAVNVCWIAVVGETIDALIVRSIRSLWRCCGLLTTVLVATGTMFSPLAGPPVGREEVDGASRERGRCGGWRQATREQAAAPGGEETGGAEVAGVEPWSRASVADDGGGAAMAGIVPTGGATSRAAAAACAGSDSCVSSSSWRRRRRRHQGS >ONIVA06G03140.1 pep chromosome:AWHD00000000:6:2098950:2099300:-1 gene:ONIVA06G03140 transcript:ONIVA06G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPELALMEAEVELVGWRGEAGVGVALSEASRCGNVEQSAWRRWASGGGQDGRRRQSMGEEGKMGDGGRAVLKASVRGRQADCSMLPHRDASDKAMTTPASPRLAATTKLTPTPDF >ONIVA06G03130.1 pep chromosome:AWHD00000000:6:2094398:2095821:-1 gene:ONIVA06G03130 transcript:ONIVA06G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSVTKIQHRKFSLKMQSPDVSQQPQEQTARTLFFSQDHCREEGTHQLPRIYSEPIAGHSVPRIDEAKLVSRIIAFD >ONIVA06G03120.1 pep chromosome:AWHD00000000:6:2087976:2088173:1 gene:ONIVA06G03120 transcript:ONIVA06G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVGQSGRLISDDKALGRRRVIVDDDDDDVAAEVKESFFIYLDFSKTSLSMNLQQLRHSGQRSS >ONIVA06G03110.1 pep chromosome:AWHD00000000:6:2087483:2088306:-1 gene:ONIVA06G03110 transcript:ONIVA06G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTFLSRIVKQKQRNFVYLCDPNIRSNMSGISDPVPPPCPSTCLKNYADRSGGVAASSSRGKSWRSRGKDADVAFEVGGGGGVRFAAHRCVLAARSKVFKAELFGAMKEGDAACVVRIDDMEPQVFRALLFFVYTDSLPEMRKEEEEAICQRLLVAAEVYGMERLKLICESKLCKYIDVGTVASIMALVDQYHCHGPWPHEGLLRFCQLSRQSNGGVSH >ONIVA06G03100.1 pep chromosome:AWHD00000000:6:2085803:2086770:1 gene:ONIVA06G03100 transcript:ONIVA06G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPTVKASMSYLSSPSPAACSSIFFFVVVIISGNESVYAKKSNALNTRASMPSKRTMPVLWPTTTSFVVVAPKSSRSKTDDRASSTHRCDANLSPPTSNTTSAPVSPRRRSPRSDGGPSTSTLPGATSAGRNSVTTTSHRTAL >ONIVA06G03090.1 pep chromosome:AWHD00000000:6:2085625:2086670:-1 gene:ONIVA06G03090 transcript:ONIVA06G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSASIVQANVTSGYNLLTIDGYMATTPIPTGVYMTSSAFAIGGHQWRIRYYPNGKNSGCADYISFDLILDENVAAPLHERERGEGPSRFVKRRILERSRRRHLKNDSFTVRCDVVVTEFRPAEVAPGSVDVDGPPSDLHRHLGDLLRGETGADVVFEVGGERFASHRCVLDARSSVFDLELFGATTTKEVVVGQSTGIVRFDGMEARVFKALLFFAYTDSLPEMMTTTKKKMEEQAAGDGDDRYDIDAFTVGKVIALAEQHDCRVLRKLASISSCVVLDFRRMYCWAPATAAPSAAPFLLDKSCLKASSKSGS >ONIVA06G03080.1 pep chromosome:AWHD00000000:6:2081653:2084253:-1 gene:ONIVA06G03080 transcript:ONIVA06G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLCFVTLLAFCFLAPAALMLGYYHGSPELVVVGSGCSRLVETNSFFVQDIKARTEGGSPENGLVLYGMPVAPPLGVPAAWSEARRAVVPANSHMEWVYFLNRGSEIEVAYSVRSETESSRPICMIIARGKESFLQWAENPSANETTLSWHLVHGNGTIKQTINLSSEYFIALGNFNNQDVTGLNSDGHYVKLSYGPRWIVYIIGLVLLAVALLIMYDILNMLFGPGPGGGDARASLLSSSSAAAASKEEDDASLGSSYDSVSHDGDGEDDDDDVEERGGDGGGGEGRHLCVVCCDARRDCFFLPCGHSATCHACGTRGGRELPVVPEETEESEEDLLRLSCPRAQAWGFSEPVERRREKKNGMDAHRGARTHDHKVKSLALYRLS >ONIVA06G03070.1 pep chromosome:AWHD00000000:6:2062370:2079355:1 gene:ONIVA06G03070 transcript:ONIVA06G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGFSVKDLEEGSMSGVVDCLLVLRESVSSGLRDGTSKAPLRKKWRVPETGEPLVPGVAQGKTSPGEDKRNGLPDPKSQQKTPIFNGRKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNVIIKTREDKYHSKIKALEILVNGTNEENQMAINRLQIIKEEKSKIEEKRKLGEQDVARLMKEKEISENTIASLKKEMEVMTSMHEQQLQKIELTAKQMEEHLTTKIKEVESLLVQSNKKIEEVEVASLLKSQLWNKKEGIFQKYMNSQQLYVKGLRISSWSIKNEMHALEMELRDEMSNFGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQVRDLLSNDIAQKHLAYGAPLNLMDLSSQMRAYTQLNQHQMF >ONIVA06G03070.2 pep chromosome:AWHD00000000:6:2062370:2079355:1 gene:ONIVA06G03070 transcript:ONIVA06G03070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPTGVAASPRCSLFRWKRKLPNQLGSAKAVRSVGDECSPRVMQSSAAAVEMRRNPEELQYVNNGYLDEQFCQVEDLQDEANPNFAEEVVSLFFKDSARVMLNFEQAIEKHPKDFARWDAHMQQLKGSCSSIGASRVKNECTSFRNFCGEENAEGCTRSFQKVKREHAVLRQKLESYFQIAMADRRAEVIEWLNALLPEYCLPLDSSDDELRELLSDGTVLCHIVNALIPGVLEESWGAYASSDQHAGHVKKFLAVVADMGLPGFSVKDLEEGSMSGVVDCLLVLRESVSSGLRDGTSKAPLRKKWRVPETGEPLVPGVAQGKTSPGEDKRNGLPDPKSQQKTPIFNGRKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNVIIKTREDKYHSKIKALEILVNGTNEENQMAINRLQIIKEEKSKIEEKRKLGEQDVARLMKEKEISENTIASLKKEMEVMTSMHEQQLQKIELTAKQMEEHLTTKIKEVESLLVQSNKKIEEVEVASLLKSQLWNKKEGIFQKYMNSQQLYVKGLRISSWSIKNEMHALEMELRDEMSNFGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFSSQAEVFSDIQPLIRSVLDGFNSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQANRAVGSTALNERSSRILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSPSFAVNINGASMPKNSNSDLRSVLSITTNQQSQLSDPQSYAEVNRDGGPTSYTDITPTCLDEADFEDNASEDGFSGGTDYSVGCAAGASVFPNSCSDRTADTSIRRISSRIARFSLTKNGQPATSRPKPKDTAPKTPNQTRVQSSQLIGGSSLRASKRWQK >ONIVA06G03070.3 pep chromosome:AWHD00000000:6:2071500:2079355:1 gene:ONIVA06G03070 transcript:ONIVA06G03070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDGDFEGLQAADRRAEVIEWLNALLPEYCLPLDSSDDELRELLSDGTVLCHIVNALIPGVLEESWGAYASSDQHAGHVKKFLAVVADMGLPGFSVKDLEEGSMSGVVDCLLVLRESVSSGLRDGTSKAPLRKKWRVPETGEPLVPGVAQGKTSPGEDKRNGLPDPKSQQKTPIFNGRKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNVIIKTREDKYHSKIKALEILVNGTNEENQMAINRLQIIKEEKSKIEEKRKLGEQDVARLMKEKEISENTIASLKKEMEVMTSMHEQQLQKIELTAKQMEEHLTTKIKEVESLLVQSNKKIEEVEVASLLKSQLWNKKEGIFQKYMNSQQLYVKGLRISSWSIKNEMHALEMELRDEMSNFGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQANRAVGSTALNERSSRILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSPSFAVNINGASMPKNSNSDLRSVLSITTNQQSQLSDPQSYAEVNRDGGPTSYTDITPTCLDEADFEDNASEDGFSGGTDYSVGCAAGASVFPNSCSDRTADTSIRRISSRIARFSLTKNGQPATSRPKPKDTAPKTPNQTRVQSSQLIGGSSLRASKRWQK >ONIVA06G03070.4 pep chromosome:AWHD00000000:6:2071500:2079355:1 gene:ONIVA06G03070 transcript:ONIVA06G03070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDGDFEGLQAADRRAEVIEWLNALLPEYCLPLDSSDDELRELLSDGTVLCHIVNALIPGVLEESWGAYASSDQHAGHVKKFLAVVADMGLPGFSVKDLEEGSMSGVVDCLLVLRESVSSGLRDGTSKAPLRKKWRVPETGEPLVPGVAQGKTSPGEDKRNGLPDPKSQQKTPIFNGRKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNVIIKTREDKYHSKIKALEILVNGTNEENQMAINRLQIIKEEKSKIEEKRKLGEQDVARLMKEKEISENTIASLKKEMEVMTSMHEQQLQKIELTAKQMEEHLTTKIKEVESLLVQSNKKIEEVEVASLLKSQLWNKKEGIFQKYMNSQQLYVKGLRISSWSIKNEMHALEMELRDEMSNFGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQANRAVGSTALNERSSRILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSPSFAVNINGASMPKNSNSDLRSVLSITTNQQSQLSDPQSYAEVNRDGGPTSYTDITPTCLDEADFEDNASEDGFSGGTDYSVGCAAGASENFITNSSIQSHKEWTTSNIQTKTKGYCSEDSKSNESSIEPIDRRLFTEGI >ONIVA06G03060.1 pep chromosome:AWHD00000000:6:2058641:2061268:-1 gene:ONIVA06G03060 transcript:ONIVA06G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDSKRTRSAPPREPLEMTEDMTPRSKRRKFVPFGEGAVLPEEMLTEVFLRLPIKSILRFRAACHSRYAMLSSQEFCQLYITRTEAMPPQPKLLFISPTANFNSTAVYTCSPSKPIDDLLFTLDDVRGNYVEVAPSPCHGLTLLYNAVAPAYYVFNATTRAITRLPPYQDVIHATAGVGFDARTKEFKVVRLFGGKSHEIHSVKCEIFILGGEEGDHWRPTAGGVPFRFCSFALSAIRNAVANKLQPVFVNGFLHWLINPSFLLKAPRAAIISFSLTDETFRWIRSPPFEVSRVHLAELDDQLCMVRDLRNGLPTGSMFEIWRLNDYNSGDWSLDYRIGLTGNLPRDLLEPRIVKVIGSFGSYRSSKKIIIATSKHKVCAYDPVSRTLETIVSIMETCSAYQNEKSDIRFSSFTKSFTPVHRTRKEIGFSTPLSKATKEILLRLPAESILKLELVCKQWRGLIKNEGFVHAYFEHKNMDRRPKIMLVGKGSEKSVFNFIPLSKWLQEANLGTMFLDKKVVCSKPCHGLNLVSIEMKDYLFNPCTGYRMIYWNRDHQLYQEWSNLSSDRCKDYNPFVVGNKNVGMGFCQVTQSHAVVGIFYYWKNYESRQYYLTCFMWSCSSQGQPLPDPPLPVNDMPPVSLDGVLYWMSEPRLGQSYERAIVFFNIAAKIFDVIPCPSCIAIWDSRNPCHAFVVELQGMLCAVLSNPVADKLDIWKWDHGLWSIAYAINLKFWLDYSLATNVVVPLAVDPIDGKVLLNTRRKLGLYNPCKQTIENLLALDQASQQQNPGVNRNFHIARCQDVPKKFSQWKLSLAPCEKFANPSSASSGKNPFYSRDQSKELNSVSQKIMPLVPMLYEEGLAYYPTTPKLRVLCD >ONIVA06G03050.1 pep chromosome:AWHD00000000:6:2038704:2045165:-1 gene:ONIVA06G03050 transcript:ONIVA06G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02100) TAIR;Acc:AT1G02100] MDAAAAAAVAAGGGGGGGGGGSVAARSSPAAVQATNDDAAASKLSCVNKGYMKDDYVHFFVRRTTKRAPIINRGYYARWSVLRKLLHQFLGAGNGSNDQNRKQILSLGAGFDTTFFQLQDEGIAPYLYVELDFKEVTSKKAAIINHYSQMKEKLGPEASISIEKGEVRSAHYKLFSADIRDIPKLDSVIQMAEMDPTLPTFIIAECVLIYLDPASTSSIVIWASDKFSTAIFFLYEQIHPDDAFGEQMIINLESRGCPLLGINATPTLSHKENLFLDHGWQRAVAWDMLKIYNDFIDSEERRRIERLELFDEFEEWHMMQEHYCVAYGINDAKSSRRRLLLKLSTRRRPAGDPPPREATGIRDTPPSSNTRIPHTIPPPHLTLGANPWPHHPAPMGGGSGGLAEAAVGRAAALAPRESAPRRTSPTLLPPPRRLRCKQRWRAPPTWVLMRARWLI >ONIVA06G03040.1 pep chromosome:AWHD00000000:6:2035745:2038639:-1 gene:ONIVA06G03040 transcript:ONIVA06G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALISLLPLLLVASKITAAAACIGKERDALFDLKATLRDPGGMLSSWVGLNCCNWYGVTCNNRTGHIIKLNLANYNISKEDALTGDISPSLVHLTHLMYLNLRSNDFGGARIPAFIGSLKNLRHLDLSFANFGGKIPPQLGNLSKLNYLDISFPYNNFSSFTSSSSVDNLLWVSQLSSLVYLDMSLWNLSVASDWLQSLNMLASLKVLRLSGTNLPPTNQNSLSQSNFTVLNEIDLSGNNFSSRFPNWLASIYTLSLINLDYCELHGSIPESVGNLTALNTLYLADNSLIGAIPISKLCNLQILDLSNNNLIGEIADLGKAMTRCMKGLSMIKLGNNNLSGSLSGWIGSFPNLFSVDLSKNSLSGHVHTSISQLTELIELDLSHNSLEDVLSEQHLTNLTKLKKLDLSYNSLRISVGANWLPPFQLYELLLGSSPLQSQVPQWLQTQVGMQTLDLHRTGTLGQLPDWLWTSLTSLINLNLSDNLLTGMLPASLVHMKSLQFLGLSSNQLEGQIPDMPESLDLLDLSNNSLSGSLPNSVGGNKTRYILLSSNRLNRSIPAYFCNMPWLSAIDLSNNSLSGELPNCWKNSTELFLVDFSYNNLEGHIPSSLGSLTFLGSLHLNNNRLSGLLPSSLSSCRLLGFLDIGDNNLEGSIPEWIGDNIQYLMILRLRSNRFTGSIPSELSQLQGLQVLDLANNKLSGPLPQGIGNFSEMASQRSRHIIPMQISGDSFGGSLYHNESLYITIKGEERLYSKILYLMKSIDLSNNYLTGGIPAEVGDLVGLKNLNLSKNFLSGHIPETIGNMSSLESLDLSWNRLSGIIPESMTSLHLLSHLNMSYNNLSGMVPQGSQGSQLQTLGDEDPYIYAGNKYLCIHLASGSCFEQKDNHVDQAEHNDVHDIWLYIFSGLGFGVGFSSVWWLLVCSKAVGKRYFQFVDSTCEKVIHWMILLEKKVNKKTVGKSSVL >ONIVA06G03030.1 pep chromosome:AWHD00000000:6:2031254:2034352:-1 gene:ONIVA06G03030 transcript:ONIVA06G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKP1] MDASLMGSPSPAAGDAPTSGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYGLLDHEWKESMSQEEAEKFVVKVVSLAIARDGASGGVVRTVTINADGVSRKFHPGDKLPLWHEEMEPQNSLLDILAAGNPDPMVQ >ONIVA06G03020.1 pep chromosome:AWHD00000000:6:2028293:2032087:1 gene:ONIVA06G03020 transcript:ONIVA06G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHAGARRRGGAGVVSLIVVVVAVLSAAAIAAEGVDAAVFDDAHLLPRPLVIELPTTTSSSSPALAEEGEGEAVPAEVRCASWRLAGEANNLAPWKSLPEECAAYVREYLTGVAYRSDLEVVAREASAYARTARVGDDGRDAWVFDVDETLLSNLPYYADHGYGLELFDHREFDKWVERGEAPAIPSSLKLYNEVRDLGFKTFLLTGRSEGHHGVTVDNLKKQGFHDWDKLILRAPADRKKTATIYKSEKRKEMEEEGYRILGNSGDQWSDLLGFSTSARSFKLPNPMYYIP >ONIVA06G03010.1 pep chromosome:AWHD00000000:6:2022460:2027036:1 gene:ONIVA06G03010 transcript:ONIVA06G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAMDRIAGRLAAVDGLYYPTTFLLADPPPSAPDRKAALLALLSRDAPLFLERYGGSLSRDELAAFDALAGDYEVGWHLRRLRAASEGGPSASRVRNRRRAYLDRLVREGEYFSEEAMREREPYLHHEYLGRFQDPTGRAMARPGERWSETLMRRAEEAVIVEKIRGEQIRRGVDPSEWVGGGAEEAMEEQEEEEEEEEEEEEEEESEEEQGMDMEEKGRGADNSSENPSVTEVVDSNKTAGASKQTLSAEDMEDQLEQFTSLMQQKFLSGEDSEHMDYSRIDNDEMLDDHWSKEANYDAEEKYFEED >ONIVA06G03000.1 pep chromosome:AWHD00000000:6:2021280:2021501:-1 gene:ONIVA06G03000 transcript:ONIVA06G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGFFCTCSGGVEGGRSSADGGGGGAADGCGRRAEPADNDATPRELGGICIKHSLAGSRGNRQGATDED >ONIVA06G02990.1 pep chromosome:AWHD00000000:6:2018731:2020199:1 gene:ONIVA06G02990 transcript:ONIVA06G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNREKRHKWMDGFQEKLNSGANFLEEMNSVVSLVKKQRINDPSMMNWSLQLKDAIDEVEFTRTARTTRIAKESPCALLRLFFSEGATPVAAWRRGRGRWAAAAEWMRRRYAATGSGARWDGGDDRKGRREGNPNPRFGRGGERRKGSVLTGEKVAVAVGSRRAPLPPPPPLEMIYSRAGAQGKRRDGPG >ONIVA06G02980.1 pep chromosome:AWHD00000000:6:2004329:2005381:1 gene:ONIVA06G02980 transcript:ONIVA06G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPRSITIVTPEDLHVLATLDEPRSISLVSIPAIRLAAEFVVAITPKVDYDGWVCNKLEDLRRVRRFDDLLTDLQKRILPMLGNNPDDKAALRNLRTCGYAMWSVRQHAHPSLHNLVGFYSNTVTRKARQALDPYKAYRIKQEWLHAMALRVEESRSAFMPFDSDYVPPSPPMPTIVVSSLVDVHGVRFAIDPHRVELGAVDAVRLAPEYLHILLEKVEQEGWICPTLPALRHVARFANLLTDLQDRVLPGLLNDHTDPAVLRKLRTCGCGMKKLRAVAKGPLLRLTRLFSNCLTRHARDALDARKDFRISADWIDKIAVRVDRCLTIPLHLHHHLEDPFVDHLHDLP >ONIVA06G02970.1 pep chromosome:AWHD00000000:6:1994999:2015230:-1 gene:ONIVA06G02970 transcript:ONIVA06G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLDLMRLMSIQRQRDQERRRRQAQAPPRDGLIALRDKRKGSPCQQDGDSQGAADIEIPSLPEDIWRLIHSLMPMRDAARAACVSHSFLSSWRCHPNLNFSSEALGLSKNAYGNEELAGLFYSKVNHILKRHSGIGVKKLTIKVYSDYSGKGSSYLNNWLQIAVKPGIEELIIALTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLTRLYLCRVRITENELGCLLSHSLALEQLEIRYCNRIVCLKVPCLLQRLISLKVFGCDKLKLIENEAPNVSIFAFQGDKTELKLGETLQIKSLCMVRSGYVYHARAELPSIMPNLESLAVQSCKETAFAPKLCSKFLCLRHLSIGLIGFFPAYDYLSLASYIYAAPSLETFDLNVMQRNVQNLRSIREEKHHNLKSVTVTSFISVKSLVELTCHILESTASLECLTLDASQTGFRCDTPGSKISKCPPLDRDIIMEGHRGVLAIRRYIQPRVEF >ONIVA06G02970.2 pep chromosome:AWHD00000000:6:1994999:2015230:-1 gene:ONIVA06G02970 transcript:ONIVA06G02970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLDLMRLMSIQRQRDQERRRRQAQAPPRDGLIALRAKRKGSPCQQDGDSQGAADIEIPSLPEDIWRLIHSLMPMRDAARAACVSHSFLSSWRCHPNLNFSSEALGLSKNAYGNEELAGLFYSKVNHILKRHSGIGVKKLTIKVYSDYSGKGSSYLNNWLQIAVKPGIEELIIALTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLTRLYLCRVRITENELGCLLSHSLALEQLEIRYCNRIVCLKVPCLLQRLISLKVFGCDKLKLIENEAPNVSIFAFQGDKTELKLGETLQIKSLCMVRSGYVYHARAELPSIMPNLESLAVQSCKETAFAPKLCSKFLCLRHLSIGLIGFFPAYDYLSLASYIYAAPSLETFDLNVMQRNVQNLRSIREEKHHNLKSVTVTSFISVKSLVELTCHILESTASLECLTLDASQTGFRCDTPGSKISKCPPLDRDIIMEGHRGVLAIRRYIQPRVEF >ONIVA06G02970.3 pep chromosome:AWHD00000000:6:2006941:2015230:-1 gene:ONIVA06G02970 transcript:ONIVA06G02970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLDLMRLMSIQRQRDQERRRRQAQAPPRDGLIALRAKRKGSPCQQDGDSQGAADIEIPSLPECSTNGLIALRDKRKGSPCQQDGDSQGAADIEIPSLPEDIWRLIHSLMPMRDAARAACVSHSFLSSWRCHPNLNFSSEALGLSKNAYGNEELAGLFYSKVNHILKRHSGIGVKKLTIKVYSDYSGKGSSYLNNWLQIAVKPGIEELIIALTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLTRLYLCRVRITENELGCLLSHSLALEQLEIRYCNRIVCLKVPCLLQRLISLKVFGCDKLKLIENEAPNVSIFAFQGDKTELKLGETLQIKSLCMVRSGYVYHARAELPSIMPNLESLAVQSCKETAFAPKLCSKFLCLRHLSIGLIGFFPAYDYLSLASYIYAAPSLETFDLNRNVQSVSIFAHPADLRSIREEKHHNLKSVTVTSFISVKSLVELTCHILESTASLECLTLDASQTGFRCDTPGSKISKCPPLDRDIIMEGHRGVLAIRRYIQPRVPSTVKLTVLEPCSCHSTEL >ONIVA06G02970.4 pep chromosome:AWHD00000000:6:1994999:2015230:-1 gene:ONIVA06G02970 transcript:ONIVA06G02970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLDLMRLMSIQRQRDQERRRRQAQAPPRDGLIALRAKRKGSPCQQDGDSQGAADIEIPSLPEDIWRLIHSLMPMRDAARAACVSHSFLSSWRCHPNLNFSSEALGLSKNAYGNEELAGLFYSKVNHILKRHSGIGVKKLTIKVYSDYSGKGSSYLNNWLQIAVKPGIEELIIALTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLTRLYLCRVRITENELGCLLSHSLALEQLEIRYCNRIVCLKVPCLLQRLISLKVFGCDKLKLIENEAPNVSIFAFQGDKTELKLGETLQIKSLCMVRSGYVYHARAELPSIMPNLESLAVQSCKETAFAPKLCSKFLCLRHLSIGLIGFFPAYDYLSLASYIYAAPSLETFDLNRNVQSVSIFAHPADLRSIREEKHHNLKSVTVTSFISVKSLVELTCHILESTASLECLTLDASQTGFRCDTPGSKISKCPPLDRDIIMEGHRGVLAIRRYIQPRVEF >ONIVA06G02970.5 pep chromosome:AWHD00000000:6:1994999:2006870:-1 gene:ONIVA06G02970 transcript:ONIVA06G02970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDTPIDNAASYRRALAMLNLYSWLNLVLRFLTWDLARSVQLDIRVALNSGEIMEMINERVLQVVVKMKWYSETPVDANCNLIDPIGRDAEVLPGVERVTSVAGEAVAEEARQAEERTLGDGTQLLHPTPAEVPTGDDERPAERDPLTTMGMLDLMRLMSIQRQRDQERRRRQAQAPPRDLRSIREEKHHNLKSVTVTSFISVKSLVELTCHILESTASLECLTLDASQTGFRCDTPGSKISKCPPLDRDIIMEGHRGVLAIRRYIQPRVEF >ONIVA06G02970.6 pep chromosome:AWHD00000000:6:2006941:2015230:-1 gene:ONIVA06G02970 transcript:ONIVA06G02970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLDLMRLMSIQRQRDQERRRRQAQAPPRDGLIALRAKRKGSPCQQDGDSQGAADIEIPSLPEDIWRLIHSLMPMRDAARAACVSHSFLSSWRCHPNLNFSSEALGLSKNAYGNEELAGLFYSKVNHILKRHSGIGVKKLTIKVYSDYSGKGSSYLNNWLQIAVKPGIEELIIALTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLSGLRSLTRLYLCRVRITENELGCLLSHSLALEQLEIRYCNRIVCLKVPCLLQRLISLKVFGCDKLKLIENEAPNVSIFAFQGDKTELKLGETLQIKSLCMVRSGYVYHARAELPSIMPNLESLAVQSCKETAFAPKLCSKFLCLRHLSIGLIGFFPAYDYLSLASYIYAAPSLETFDLNRNVQSVSIFAHPADLRSIREEKHHNLKSVTVTSFISVKSLVELTCHILESTASLECLTLDASQTGFRCDTPGSKISKCPPLDRDIIMEGHRGVLAIRRYIQPRVPSTVKLTVLEPCSCHSTEL >ONIVA06G02960.1 pep chromosome:AWHD00000000:6:1993630:1994781:-1 gene:ONIVA06G02960 transcript:ONIVA06G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLNVPAPRRGGGRRISCHCTPSLLNLLMFLALLSTNALALLAFFSSSSSSSSSPAASAATAAASTISDHVAAIAREIDTSSSSHLPHRADGLPPELLLFLSPHALPLGRDARTGLTHMPASVAHSCFRSPATLSLLAAFMSYDPHAACPRNATLQQHRLLSKACEPLPRRRCLSGGPRAALPASNMGVDGRRWVRPRHDYEFLLDDVLRLGATRIRIGLDVAGGAANFAARMRDRGVTVVTTVLDNAGKPMNEFVAARGLFPLLLSPAHRFPFYDGVFDLVHVGTNALDEGGAPSMGNSGMEEALEFFMFDVDRVLRVGGLLWIDSYLCQSEERRQLVVNLIKRFGYKKLKWMVGEKAGTGSAKTALYLSALLQKPARD >ONIVA06G02950.1 pep chromosome:AWHD00000000:6:1990238:1991251:1 gene:ONIVA06G02950 transcript:ONIVA06G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFPGDVGPVMLAPPPPLPFFPIAAVQSGLGFMHMSAPPEIVTARSKLNSDAPSFSPRSPAASAAAAADEVEVRDVWAANLEEEMRSIGALLPTYPVVSMDTEFPGTVHDVATPRHLRTPRESYAVVKRNVDELHLLQLGLALSGPAGRCPVAWQFNFAGFDARRDPHSGSSVAMLAAHGVDFAALRRHGIDHGDFARAFGRSKLACGRLTWAAFSGSYDFAYLVKVLTGGRPLPSTLEGFMAKVSKIFGPAVLDVKHLAKFCGGGGGIRGGLEHVAAALGVHRAAGRAHNAGSDSLLTSDVLHAMVDRFFPNYGVLNHAGAIDGLVKCSNLYYKY >ONIVA06G02940.1 pep chromosome:AWHD00000000:6:1983943:1988359:1 gene:ONIVA06G02940 transcript:ONIVA06G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSASAGGEADAMALVQGYNADELAIAGEFLTTWLPFLSAGLCASCADSLRSRVSSLLPPQAEESPSSPPPRIDQIEPSGWESDPATAHPQHLPFEPSGWDSDPPQPPPEQEQQKQKPQPAEKPRMSWADMAQEDELAAAAEEDAAAAAADDGEEGSEAGRPGVQLTREQRELRRFRNVVRRKDFMCFERVNGRLVNILAGLELHCGVFSAAEQKRIVDYVYDLQEMGKRGELGDRTYTEPQRWMRGKGRVTIQFGCCYNYATDKNGNPPGIIRTIASDPMPSLFKIMIKRLVRWHVLPTTCIPDSCIVNIYDPGDCIPPHIDSHDFVRPFCTVSFLSECNILFGSTLKIAGPGEFTGSLPIPLPVGSVLILNGNGADVAKHCVPAVPTKRISITFRKMDPAKRPFNFRDDPELLNIISLETAVQETGRSSDEGKGKQPDVQIRNPSKAHRNKKSKVRTSPGKGGRGGILGDGPPQYAQAQVTGISSQQNFHGQPTISGSSAERERRPVGPLRESRYQQDAPGMQSNMDGIRERANWLAQERMHGNSMNSIDDGTESQERRQRMEHRQILMINRTINDDMDSLSIGSHESDQTRVSVRTLYNKPRRTRVNLDE >ONIVA06G02930.1 pep chromosome:AWHD00000000:6:1981931:1983671:-1 gene:ONIVA06G02930 transcript:ONIVA06G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase family protein [Source:Projected from Arabidopsis thaliana (AT2G18030) TAIR;Acc:AT2G18030] MARGSAAAAIAGVVWVLLLLVGVASGARLPGGSGGNRGREPRGGAAAAAVATETAVFALGSFWRSEAAFGCLPGVIRTSVGYAGGSKARPEYRNLGDHAECVKVEYDPRLIQYKKLLEVFWASHDPREVFGQGPDVGNQYRSIIFTNGSVEARLAGLSKEKEQAKDRRSVITTQIQPIGAFYPAEPEHQKFELKRKPFLLQLIGNLPEEELLTSTLAAKLNAYAAELCSPNTQNRINSKIDEIAKKGWPILRDI >ONIVA06G02920.1 pep chromosome:AWHD00000000:6:1976782:1981600:1 gene:ONIVA06G02920 transcript:ONIVA06G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE6 [Source:Projected from Arabidopsis thaliana (AT2G34900) TAIR;Acc:AT2G34900] MRGKKKGKKKRFRRVEAPVVSPFSLADGRRRRAWRRALDMVPGGGVPQGAEGGRAMAAAETAATAAAAGERAPGTEVDAFRRQVEDLVSKTDQLERRVNEVVGFYDGKKHGSGGRKAGRKDSSLSKGMPDLMRQFGTIVRQITSHEWAEPFLKPVDVVGLQLDDYYKIITKPMDFSTIQKKMEGKDDNKYNNVREIYSDVRLIFANAMKYNDERHDVHIMAKSLLEKFEEKWLQLLPKVENEERKQKDEESNGVPKVNISPEEAIAKLAKDTDNELIEINKQLEELRQMVVQKCRKMTTYEKRKLGAGLCHLSPEELTKALEMVAQDNPSFEAKGDELELDMDAQSETTLWRLKFFVREALERQANVASGRTDENAKRKREICNALARTASKRVKQQPN >ONIVA06G02910.1 pep chromosome:AWHD00000000:6:1963454:1973370:1 gene:ONIVA06G02910 transcript:ONIVA06G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHYADLSDSSSLRRALDHILPDEVYNLAAQSHVAVSFEVPDYTADVTATGALRLLEAVRLASKRIRYYQAGSSEMFGSTPPPQSESSPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWRMLQQDKPGDYVVATEESHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPAEVDSLQGDATKARKELGWKPKVGFQQLVEMMVDNDIELAKKEKVLVDAGYRDPKQQP >ONIVA06G02910.2 pep chromosome:AWHD00000000:6:1963454:1969112:1 gene:ONIVA06G02910 transcript:ONIVA06G02910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCRPLVSPPLPQRLAVASPLAPARPPPSSASSLRVVRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADQYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAIAGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDKLKEEREQQEGNEEEEDGEGANLSEEEEGDWDADEPDEEDIIYVK >ONIVA06G02900.1 pep chromosome:AWHD00000000:6:1955812:1962473:-1 gene:ONIVA06G02900 transcript:ONIVA06G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein [Source:Projected from Arabidopsis thaliana (AT4G01560) TAIR;Acc:AT4G01560] MAKERKRRQPADAPPPGDDDEGRDHRREKKPRKERPDPVLPSQIKNKDKRKEVHAKLKREKKAQKRKLARERGQAAQRAPPEKLVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQQTTPKVLITTCRFNSGRGPAFIEELMQVIPNSCYVKRGTYELKKIVEYANNRDFTSLVVVHTNRREPDALLIINLPAGPTAHFKLSKLILRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQEPNFRGRRVVTFHNQRDYIFFRHHRYIFEMKENKIASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKNGEYEWVHKPDMDTSRRRFFFPNMSCYPQDVSSNLLPYKGRYHPTAMGLHLIVPALLSIQELIIAGPMFFKAAIATSGAMTAPGSSYPRGWLADSCLLLGTAATHGLGVPSYPSKAICFCAYVRAGRGVRHRAVQRHMACSLPWPCHVICSSLVQWPFGY >ONIVA06G02900.2 pep chromosome:AWHD00000000:6:1956079:1962473:-1 gene:ONIVA06G02900 transcript:ONIVA06G02900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein [Source:Projected from Arabidopsis thaliana (AT4G01560) TAIR;Acc:AT4G01560] MAKERKRRQPADAPPPGDDDEGRDHRREKKPRKERPDPVLPSQIKNKDKRKEVHAKLKREKKAQKRKLARERGQAAQRAPPEKLVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQQTTPKVLITTCRFNSGRGPAFIEELMQVIPNSCYVKRGTYELKKIVEYANNRDFTSLVVVHTNRREPDALLIINLPAGPTAHFKLSKLILRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQEPNFRGRRVVTFHNQRDYIFFRHHRYIFEMKENKIASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKNGEYEWVHKPDMDTSRRRFFFPNMSCYPQDVSSNLLPYKGRYHPTAMGLHLIVPALLSIQELIIAGPMFFKAAIATSGAMTAPGSSYPRGWLADSCLLLGTAATHGLGVPSYPSKAICFCAYVRAGRGQCSGTWLAPSPGPAMLSAPVWSNG >ONIVA06G02900.3 pep chromosome:AWHD00000000:6:1956079:1962473:-1 gene:ONIVA06G02900 transcript:ONIVA06G02900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein [Source:Projected from Arabidopsis thaliana (AT4G01560) TAIR;Acc:AT4G01560] MAKERKRRQPADAPPPGDDDEGRDHRREKKPRKERPDPVLPSQIKNKDKRKEVHAKLKREKKAQKRKLARERGQAAQRAPPEKLVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQQTTPKVLITTCRFNSGRGPAFIEELMQVIPNSCYVKRGTYELKKIVEYANNRDFTSLVVVHTNRREPDALLIINLPAGPTAHFKLSKLILRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQEPNFRGRRVVTFHNQRDYIFFRHHRYIFEMKENKIASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKNGEYEWVHKWLSSDEQAGWQIAACCWVLLLHMAWGSHPIQVRPYVSARTSVQAGAYDTEQCSGTWLAPSPGPAMLSAPVWSNG >ONIVA06G02890.1 pep chromosome:AWHD00000000:6:1953681:1954040:1 gene:ONIVA06G02890 transcript:ONIVA06G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKGGAAGLKQILKRCSSLGRRQQEQKQVSEWEEEEEASGLPSDVPRGHFAVYVGERRRRFVVPLALLDRPEFRSLLRRAEEEFGFAGAGAGGLLVLPCEEVAFRSLTSSLHYSCTR >ONIVA06G02880.1 pep chromosome:AWHD00000000:6:1943513:1947509:1 gene:ONIVA06G02880 transcript:ONIVA06G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQASSSSSPATAAAPPPPQPAADPSPSAVPASEEALDPQTPAPPPQAQPEAVLTAAQKALRSKPTRPPEDSDKKNKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYIRSATNEDLSVIVKRVVFQLHPSFTNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEDTGPQSTKKPVVVETYDEIVFPEPTEAFFQRVQNHPAATVPRLPPGITLPPPGPMELVPHEKKRGDTKDHPLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDGMPQQSKAVSVQGQQFGHG >ONIVA06G02870.1 pep chromosome:AWHD00000000:6:1937292:1942920:-1 gene:ONIVA06G02870 transcript:ONIVA06G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSVEATSTVAAVTVGSQTRSQCTANDTTAPAKPAGAMPQLKLLVDKRSHRVLYAKAHKDAYYDISNENSKRVSKVAQIFEPFPLHWMDQTARSRQ >ONIVA06G02860.1 pep chromosome:AWHD00000000:6:1927542:1936748:-1 gene:ONIVA06G02860 transcript:ONIVA06G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKL6] MASGVRNGGGGGVGGRMSGKVANATPKAAAGKPRLSAAGGGAYRRTSSGPLPSAGGGGGRASSESGVSSRVRVAVRLRPRNADELAADADFGDCVELQPELKRLKLRKNNWESETYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILADITPETDTVSVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFVDLLRIGEAHRVAANTKLNTESSRSHALLMVNVRRAVKGKHEMDVSISGENGHSSSMVGSLRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEIERITAEAQLRVTEAEREYKISLENEKAKYHQEYLDSIKILEEKWKIHQQSPKKLIKETEPTSSEVGEVQNLLQNEKVLRQSAEDEANDLKNQVLHWKKMEAAATAEVVKLRKMLDTEASQKEKLDEEIAVLKSQLLQLSLDADETRRSLDRGDGSGKIFPGFDSLMSHSRNSQPREQSNGPKPPIAKLFEQVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVSLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVKCGHPDVLAQVARGIANFAKCESRAATQGNKVGKSLLIDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNSKDIISEGALWELVRISRDCSREDIRMLAYRTLTSSPTLQSEMRRLRIEC >ONIVA06G02850.1 pep chromosome:AWHD00000000:6:1916207:1917193:-1 gene:ONIVA06G02850 transcript:ONIVA06G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 16 [Source:Projected from Arabidopsis thaliana (AT2G42940) UniProtKB/Swiss-Prot;Acc:Q9SJG4] MDPVTAAAAHGGGHHHHHHFGAPPVAAFHHHPFHHGGGAHYPAAFQQFQEEQQQLVAAAAAAGGMAKQELVDESNNTINSGGSNGSGGEEQRQQSGEEQHQQGAAAPVVIRRPRGRPAGSKNKPKPPVIITRDSASALRAHVLEVASGCDLVDSVATFARRRQVGVCVLSATGAVTNVSVRQPGAGPGAVVNLTGRFDILSLSGSFLPPPAPPSATGLTVYVSGGQGQVVGGTVAGPLIAVGPVVIMAASFGNAAYERLPLEDDEPPQHMAGGGQSSPPPPQLPLPPPQQPILQDQLPHNLMNGIHLPGDAAYGWTSGGGGGGRAAPY >ONIVA06G02840.1 pep chromosome:AWHD00000000:6:1903161:1907159:1 gene:ONIVA06G02840 transcript:ONIVA06G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G12410) TAIR;Acc:AT1G12410] MALSAAAPANSSCFHPRAAAASAPSSLSVGTKVFVGLKAQTKLGSSESSCPNVTAGFYTAVNRRISLGLSNKRATRARISMMPVGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDSIDEEFSNQVLASMLYLDSVDNTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKGSRTGMPLCRISLQSPAGAARGQADDIENEANELIRIKNYLYGKLSEHTGHPVDKIHEDLSRVKRFDAEGALEYGIIDRIIRPSRIKKEGSTAQKKDLRNLGLG >ONIVA06G02830.1 pep chromosome:AWHD00000000:6:1891552:1902740:1 gene:ONIVA06G02830 transcript:ONIVA06G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRCRAAVAEIGPRSASTSVSSASVRLRVAPTDPGVGVGAASLLTAAAAAEDNVDVSKFVAVLSHSCLEISRLSDAASSNLYRQLLLFGHTAEGPNEALLEGEPQKTFAHSIPLLLEVYEIINGLVMILGNLLRQLDAICSVRDKNVRPLNSFKGLDLTTVFGSLGEGLMVFLLVDEILRHNGNTRSYLSLFSRMLDKVKSEVDVFSMSFEDVDFLDQVVHNLQKLFDIGFFQRLVQEDSPLCSSITLVRSNKKLLDTFYSFFSESSSEIIQRIGSLKELPIDRRTILHLLGLFLFFTTTTGEAPDKKSMNLLVEIFQLVPVVYVEGGKRIVLSDLIRFHCSPSLSLLPPIKEACEAFGIMKNSYLARLNEMHSRDIQAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSMLDLHMHLEVPLRREKAKSLCQMIVSLKAIGDLFHMKGSSLVRSLPHIINIIQSDIEQLIISLKTKLQNEIAKGSQAVKTGFLSSLIRGGTDTETRLIDSLSLVLMSLQLLEGGGSSQRQLTLSITMDILHSLGYLDIELVGVRKLISKFSILSNFWSLIDERTNCSFLYWRKEMLVTWLSMVYGDACKLSWLQNIIDAFSDGMSLLTLGNVGTVTLQHYEEEIENALRKEVVAPLCRDIETDLRLHVHSTHLKGSVFVNPTKTGVRNLSWYLRMKPLRLPSKFVDIKLLVENHLNSAFYTYSVMSNYDNRMYAEMHQLGELKYGVELEDFHLTVDTADQDFDLKQSMENLDSFSEAYSYNIVKQMFIENDLGGQGRKNLRVLCVDHIASSAAMCNLQRISAYIDSIFVFLNRMFVDLHALLQSNIEIDLLRDFKQSENTGVSGAHPATQGDMKFALGKLGLGDHALDLLEQVQAAVTRIGSVLGLMMVLTAGRTRYLNNMSRYVRKPKFDLRYTTSCKLLGWDDDIVEIGKVLDMGTRNNDPSDDRIQPFSILATNFSKVELALPYSHFIDRNEVYRKLQSNKLHEMKDFFQIVPSVIAHMMECRLLLKDKLLRRGHEDKRYTHTYDGFLLGVAFVLKVLEQDNSFDELNWFASTKAKLEGEAKDRDDKKTDRNTSGASFVSLKLWRSSPPVRTEQQKGGVDKGTRYMQEIELIECLFRLARTVLR >ONIVA06G02830.2 pep chromosome:AWHD00000000:6:1891552:1902740:1 gene:ONIVA06G02830 transcript:ONIVA06G02830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRCRAAVAEIGPRSASTSVSSASVRLRVAPTDPGVGVGAASLLTAAAAAEDNVDVSKFVAVLSHSCLEISRLSDAASSNLYRQLLLFGHTAEGPNEALLEGEPQKTFAHSIPLLLEVYEIINGLVMILGNLLRQLDAICSVHEILRHNGNTRSYLSLFSRMLDKVKSEVDVFSMSFEDVDFLDQVVHNLQKLFDIGFFQRLVQEDSPLCSSITLVRSNKKLLDTFYSFFSESSSEIIQRIGSLKELPIDRRTILHLLGLFLFFTTTTGEAPDKKSMNLLVEIFQLVPVVYVEGGKRIVLSDLIRFHCSPSLSLLPPIKEACEAFGIMKNSYLARLNEMHSRDIQAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSMLDLHMHLEVPLRREKAKSLCQMIVSLKAIGDLFHMKGSSLVRSLPHIINIIQSDIEQLIISLKTKLQNEIAKGSQAVKTGFLSSLIRGGTDTETRLIDSLSLVLMSLQLLEGGGSSQRQLTLSITMDILHSLGYLDIELVGVRKLISKFSILSNFWSLIDERTNCSFLYWRKEMLVTWLSMVYGDACKLSWLQNIIDAFSDGMSLLTLGNVGTVTLQHYEEEIENALRKEVVAPLCRDIETDLRLHVHSTHLKGSVFVNPTKTGVRNLSWYLRMKPLRLPSKFVDIKLLVENHLNSAFYTYSVMSNYDNRMYAEMHQLGELKYGVELEDFHLTVDTADQDFDLKQSMENLDSFSEAYSYNIVKQMFIENDLGGQGRKNLRVLCVDHIASSAAMCNLQRISAYIDSIFVFLNRMFVDLHALLQSNIEIDLLRDFKQSENTGVSGAHPATQGDMKFALGKLGLGDHALDLLEQVQAAVTRIGSVLGLMMVLTAGRTRYLNNMSRYVRKPKFDLRYTTSCKLLGWDDDIVEIGKVLDMGTRNNDPSDDRIQPFSILATNFSKKLQSNKLHEMKDFFQIVPSVIAHMMECRLLLKDKLLRRGHEDKRYTHTYDGFLLGVAFVLKVLEQDNSFDELNWFASTKAKLEGEAKDRDDKKTDRNTSGASFVSLKLWRSSPPVRTEQQKGGVDKGTRYMQEIELIECLFRLARTVLR >ONIVA06G02830.3 pep chromosome:AWHD00000000:6:1891552:1902740:1 gene:ONIVA06G02830 transcript:ONIVA06G02830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRCRAAVAEIGPRSASTSVSSASVRLRVAPTDPGVGVGAASLLTAAAAAEDNVDVSKFVAVLSHSCLEISRLSDAASSNLYRQLLLFGHTAEGPNEALLEGEPQKTFAHSIPLLLEVYEIINGLVMILGNLLRQLDAICSVRDKNVRPLNSFKGLDLTTVFGSLGEGLMVFLLVDEILRHNGNTRSYLSLFSRMLDKVKSEVDVFSMSFEDVDFLDQVVHNLQKLFDIGFFQRLVQEDSPLCSSITLVRSNKKLLDTFYSFFSESSSEIIQRIGSLKELPIDRRTILHLLGLFLFFTTTTGEAPDKKSMNLLVEIFQLVPVVYVEGGKRIVLSDLIRFHCSPSLSLLPPIKEACEAFGIMKNSYLARLNEMHSRDIQAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSMLDLHMHLEVPLRREKAKSLCQMIVSLKAIGDLFHMKGSSLVRSLPHIINIIQSDIEQLIISLKTKLQNEIAKGSQAVKTGFLSSLIRGGTDTETRLIDSLSLVLMSLQLLEGGGSSQRQLTLSITMDILHSLGYLDIELVGVRKLISKFSILSNFWSLIDERTNCSFLYWRKEMLVTWLSMVYGDACKLSWLQNIIDAFSDGMSLLTLGNVGTVTLQHYEEEIENALRKEVVAPLCRDIETDLRLHVHSTHLKGSVFVNPTKTGVRNLSWYLRMKPLRLPSKFVDIKLLVENHLNSAFYTYSVMSNYDNRMYAEMHQLGELKYGVELEDFHLTVDTADQDFDLKQSMENLDSFSEAYSYNIVKQMFIENDLGGQGRKNLRVLCVDHIASSAAMCNLQRISAYIDSIFVFLNRMFVDLHALLQSNIEIDLLRDFKQSENTGVSGAHPATQGDMKFALGKLGLGDHALDLLEQVQAAVTRIGSVLGLMMVLTAGRTRYLNNMSRYVRKPKFDLRYTTSCKLLGWDDDIVEIGKVLDMGTRNNDPSDDRIQPFSILATNFSKKLQSNKLHEMKDFFQIVPSVIAHMMECRLLLKDKLLRRGHEDKRYTHTYDGFLLGVAFVLKVLEQDNSFDELNWFASTKAKLEGEAKDRDDKKTDRNTSGASFVSLKLWRSSPPVRTEQQKGGVDKGTRYMQEIELIECLFRLARTVLR >ONIVA06G02820.1 pep chromosome:AWHD00000000:6:1885275:1890005:-1 gene:ONIVA06G02820 transcript:ONIVA06G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTIQSVKARQIFDSRGNPTVEVDVGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVSNVNTIIGPALIGKDPTEQVDIDNFMVQQLDGTSNNWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYSEKDKTYDLNFKEDNNDGSHKISGDSLKDVYKSFVSDYPIVSIEDPFDQDDWATYAKLTDEIGQQVQIVGDDLLVTNPTRVAKAISEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGDAAVYAGEKFRAPVEPY >ONIVA06G02810.1 pep chromosome:AWHD00000000:6:1880933:1885987:1 gene:ONIVA06G02810 transcript:ONIVA06G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVVIPEFVLQAALSVLFLLSGHWAMFLLSAPMVYYNYTLYQRRQHLVDVTEIFNHLGREKKRRLFKIVGHLEKQDK >ONIVA06G02810.2 pep chromosome:AWHD00000000:6:1880933:1884481:1 gene:ONIVA06G02810 transcript:ONIVA06G02810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVVIPEFVLQAALSVLFLLSGHWAMFLLSAPMVYYNYTLYQRRQHLVDVTEIFNHLGREKKRRLFKIVGLIILLFLSLFWMIWTVLLEEDE >ONIVA06G02800.1 pep chromosome:AWHD00000000:6:1877661:1878080:1 gene:ONIVA06G02800 transcript:ONIVA06G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMIVLGHGDVLDDAGDATPPLSSGGGGETERMWATSQDGWVITYDAETLATALCSNGGGGGGAGRRKMVVVLPSFGRTPPADGSCCTLSGDPTDGGGFTVVIIEPPEGSALWYCHVGSSSAASAKWHKVEYDVETLLS >ONIVA06G02790.1 pep chromosome:AWHD00000000:6:1875741:1877057:1 gene:ONIVA06G02790 transcript:ONIVA06G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVLTTTTAPPTLCCTAQAKHTYATEHTQLRRESGHGAERRAGDVVEPRRRGGDGGGGAEAAADAAAAERDGGAEPVARPRRARRGLYRGGPRPRRRRRVRARGPPPAAPFRRRRRRRRGGRVRAAFLQVLPRKSKAGGEAGGSGVSQLLPLRAENARCLIFNLLSFLLSICFSALLLN >ONIVA06G02780.1 pep chromosome:AWHD00000000:6:1867265:1872076:-1 gene:ONIVA06G02780 transcript:ONIVA06G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQQAVPIHRGRRSARRRWPLAVRRPPSPAARLLPESSSTTAGALDLLRYGRNFRRASPPPRPPLVNSAEPSSAQVLREPDVKDEEDMLRRMYKGLDQPRLRFRFFLVETPVRFGPNNYACTLVSKSNFKLFTS >ONIVA06G02770.1 pep chromosome:AWHD00000000:6:1860305:1863730:-1 gene:ONIVA06G02770 transcript:ONIVA06G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGRRSARRRRPPSPAARLLPESSSTTPGALDLLRYGRNFRRASPPLRPPLVNSAEPSSTQALREPDVSFSVGLLSNTASKEPITAHTSSSSSPHTVQHDDTETSTPSQCCLWSSPGSSILVRRPCGWYFVFYIRMDPGGCFHMYPDVGCGPYQSLSEVDDAINQHLHDLWIPEMGEELDRLPPMEKMIRQTMYWPDGRRKRCKSAGYFEKDKCHLIQALVDKYNDDHNLLGDSAFELKDFLQHGVIYEDERWYQHLNFTVKLKGANGFDCGMDNLFFAEISHMQGEVDWVISCCCEIKPNANGHCYGCRNNGYVGMKHPNNDAYSGGHLDGYLPFGVNSYARNNDEELSVKDEEDMLRRMYKGLDKPGGFKRPIPKFATRIVWKTEEEAGVEAG >ONIVA06G02760.1 pep chromosome:AWHD00000000:6:1855890:1858835:-1 gene:ONIVA06G02760 transcript:ONIVA06G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT5G51570) TAIR;Acc:AT5G51570] MVSAFFLLCGCVDQASVAVVEKWGRFLRLAEPGLHFFNPFAGEFVAGTLSTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKEHADDAFYELQNPQQQIQAYVFDVVRAIVPRMNLDDLFEQKNDVAKAVLQELEKVMGDYGYSIEHILMVDIIPDAAVRRAMNEINAAQRLQLASVYKGEAEKILLVKKAEAEAEAKHLSGVGIARQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTVFIPHGPGHVRDISEQIRNGMMEASCSNV >ONIVA06G02750.1 pep chromosome:AWHD00000000:6:1847884:1854867:-1 gene:ONIVA06G02750 transcript:ONIVA06G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:secretory 1A [Source:Projected from Arabidopsis thaliana (AT1G02010) TAIR;Acc:AT1G02010] MSMSGSDFGAPCDDPKIFRNICRDRILKDLLQPDKDKETKSSWKVLIMDKFTVRIMAYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKEKAYIFFSSPIPKELVSYIKNDSSVIPRIGALREMNLEFFAIDMQEFPCVRYRAPKGTDPMTTPKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGQKYIYEVSKAGSEPERKEALLEDHDPLWVELRHIHIADASERLYDKMNNFVSKNKAAQLHSRDGGEISTKDLQKIVQALPQYGEQVEKLTLHIEIAGKINKFIREYGLRDIGQVEQDLVFGDAAAKEVISILRSKQDMSPENKLRLLIIYAIVYPEKFEGDKGEKLMQLAKLPHDEMDAINSLRYLVGSDTKKASRPGGFSLKFDAQKELIEKLSKGALPLNEYPSMSEPSSTEQGSTQSAAATKPAQAQPMSRRSRRTPTWAKSRNSDDSQSSDSSVLRHGSSDFKRLGNRIFVFMIGGATRSELRTVHKLTMKLKREIVLGSSSIDDPPQFISM >ONIVA06G02740.1 pep chromosome:AWHD00000000:6:1846351:1847839:1 gene:ONIVA06G02740 transcript:ONIVA06G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPPPLPCLVVDNGETAATLYGVSDGEHRPCEAEELRRNRCWATSHGWVLCCDPATLSTFLWNPTGGDDDGGGGKIALPPFTQPPPPPNSQCALSREPTDAGAGRFTVVIVEPSGSYVLWYCHVVVGGGGSSSSPSPAAGWTRHEYDVGGTNVRVAGGHRFVRRSVAGLTACRGRFYYFHTATDYGVLDFSPAPVFGTVPMRAVDMAEKVAAGEAMAKASVHTLEIGGELYMAYIFFHGDDGSRVVDVGVYRMDFRRRRAVRVRSVGDRAIIAGSNIGGWCPAGGETGLRPNCVYWTSPYDKCLHCS >ONIVA06G02730.1 pep chromosome:AWHD00000000:6:1843842:1844540:-1 gene:ONIVA06G02730 transcript:ONIVA06G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTSRRPAAPSLFLVSYDDADGGRPTTTLYNVANGVHRPCDVDDELLRTKRSWATSHGSWVLTWDPATLATFLWNPQAAAAAGEVTSVALPSFGQAPPAIKACCAISTGEPTGAGGFTVVMVERGSNVLWYCHAGVAAASPASWAKHEYDIGGQRTICSFTPCGGKLYYLIKPGGSSYGVLEFSPDHHRPVFTAVRVRPTHLFATADLLVYSVFPVDVNGELHLVLQRKK >ONIVA06G02720.1 pep chromosome:AWHD00000000:6:1841186:1841563:-1 gene:ONIVA06G02720 transcript:ONIVA06G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGDDHRGGAAQVVQHHDDTEEYAELRTIAGQLRTLLCISLIEILSKHSDDEVYLGQRDTPEWTLDTAKEAFRQFGDRLVGIKARIAEMNRDPRLRNCTGPARFPYTLLSPNTSDGYHQPGAKL >ONIVA06G02710.1 pep chromosome:AWHD00000000:6:1838247:1839284:-1 gene:ONIVA06G02710 transcript:ONIVA06G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSRQQPEALPCLVFDYGEDGGEQRRPTTLYSVADGVHRPCEFEELRDKRSWVTSHGWVLTWDPTTLATFLWNPHAAGRRRIVLPSFGQTTTTPPPADSFCALSGKPTDDDGGGGFTVVMVEPPGSCFLLFCHVGSSSSSPAAWVRHEYDIGTRKMDIEGRQRMKRSIHCITSCGGKLYHFIRSTAYGVLEFSPEPVFTTVRMKPASPFTTTDMFVASIFSVDVDGKLHLVFIFESGGGVVADVAVYRVDLEKRKHVRIGSIGDRAILVGGRRNDMGAAGWCRARRHGLLPNSIYWMNPGDRRLRVYELGKRTEEVRDPFKGVAESADGTWCRPYWMIPART >ONIVA06G02700.1 pep chromosome:AWHD00000000:6:1827768:1838816:1 gene:ONIVA06G02700 transcript:ONIVA06G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHGKARMARPKSDLHATPTRPDHAREAVAAAVVIEDERRLNWRIMVRVRGSGERRGGGEVGRFVRLAEPGLHLVNPLAGECVAGALSTRVQSNPSTSVSRPRPRPKLICAIQYRVVKEHADDAFYELQNPQQQIQAYAFDAQSLQLASVYKGEAEKILLVKKSEAEAKIPFW >ONIVA06G02700.2 pep chromosome:AWHD00000000:6:1827768:1839230:1 gene:ONIVA06G02700 transcript:ONIVA06G02700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSNPWRRALHHPAAPMSFLLPPTRMARSPMLPILTCFRFSRSTLYTATSATTPPPLSKMNTRWSLPSTSTEKMEATNMSVVVNGLAGFIRTVVNTGSGENSSTPYAVDLMKWYNLPPHDVMQWMDRFIRCRPSMSIFRVPMSYSCLTHAAGDDDEEPTWQNNRKQLPGGSTMTTVKPPPPSSSVGLPDSAQKESAGGGVVVVCPNDGRTILRRPAACGFHRKVARVVGSQVSTQPCEVTQLRLSRSSSNSQGRCTPSATLYSVVGRRCSPPSSP >ONIVA06G02690.1 pep chromosome:AWHD00000000:6:1824148:1826722:-1 gene:ONIVA06G02690 transcript:ONIVA06G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRNGRDDFFGGRDPFAGFGGFGRQRSLISGVFGGRDPFDDPFFNQPFGSGMHGPSLFGPMGGPFGDIRNDGFLEQAPPRGNGRKLIITELDEEEGENSGRQRQANHEPYVQEPDDEMQGGQLQPRRDFNRANEGQPQARTFTYQSSSVTYGGVNGAYYTASKTRRTGSDGITVEESKEADTTTKEATHRISRGIHDKGHSLTRKLKSDGNVDTTQILHNLHEARDTDELAGFEESWKGNARHHLAGLNQNAGTSNNNEPGNRGTSGRGRQSAWGWALPGREQGRDQRRNGERPKSRVIPIS >ONIVA06G02680.1 pep chromosome:AWHD00000000:6:1820147:1823062:-1 gene:ONIVA06G02680 transcript:ONIVA06G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLARRLLHASSPAAAGGEPGVLACRLASRAVVRFAGPEAGRFLRSLLTNDLLLSSSSQQRYAPTPNAPARAPPPAYAALLTPQGRFLYDLFLYRPPPPSQLLDRTGSAPLTGERPKGNQEDEGEDEPGEVLADVDAAEVDELLACFKRYRLRSKVEIDNVSKEFLCWQRFGRNVEHTGPSTQEPEAQSIGWGQGVDHAAESAAQGNGHGWEWFKDPRLDCLGYRGIFPANTIPPLVESDKEADERHYLLWRIENGVAEGSTEIPKGEAIPLEYNFAGLNAISFEKGCYIGQELIARTHHRGVIRKRLMPLIFEDENGQELKQAVAPGSEVVDKESGKKIGTVNTALGSRGMGLLRLEEALKQNSSLAIKDNRDVRVKAIKPDWWPVEWTQMLEQQSAVA >ONIVA06G02670.1 pep chromosome:AWHD00000000:6:1811883:1815582:1 gene:ONIVA06G02670 transcript:ONIVA06G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAQLLLLLLLAVLAAASSRNDEEARALMALKESLDPAGRVLGSWGRSGEPCGGSFVGVTCDSGGRVTAISLQGRGLSGTLPPAIAGLRRLTGLYLHYNGIKGAIPREIGSLSELTDLYLDVNHLTGPLPVEIAAMENLQVLQLGYNQLTGSIPPQLGKLNKLAVLALQSNQLTGAIPATLGDLTQLARLDLSFNSLFGSIPSKIAEVPLLEVFDVRNNSLSGSVPAGLRRLNGGFQYVNNKGLCGVGFSLLDLCLSSEDGLKPSKPEPFGPDGTVKTRQVPQSANTDNHCEGSGCSKSSNASVGVLVVGVVAVVIGAAFCGIFAFSYYRRQKQKIGSSLEVSDSRLSTDHYQQKEVCRRSASPLISVEYSNGWDPLSGGGVGSSGEVGDSFRFNLEEVECATQYFSEVNLLGKSGFAATYKGILRDGSVVAVKSLNKTSCKQEESDFLRGLKMLTVLRHENLVGLRGFCCSRGRGECFLVYDYMVNGCLSQYLDVKEGSGANVLDWPTRVSIIRGIAKGVEYMHSKKANKPSVVHQNISAEKILLDHHLTPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTEKSDVFAFGIVVLQVITGRRAVSQLKVSTVANDLDSLIDENLNGVFSRTEAAKLAAIAALCTSETASQRPTMEAVVQQLSNCH >ONIVA06G02660.1 pep chromosome:AWHD00000000:6:1805967:1809669:-1 gene:ONIVA06G02660 transcript:ONIVA06G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQQISQPDRHSTCEDHVGGTSGLADVSSLGEFLGATTLKESTASNPTSSLQAVPDDTIDAPQSGHCLSSSLGSIIWVQKPPHGRPGVYHIRKDRLGSFHTYPDLGGPFQSLNEAEDRLQLDCCPASFNVSEVNTSDHTELSLITVRFEERAGESYVDMIIRKALYWPDGTRKKCSKAEAFQNVNNNMNQLAKVILDMYNDDHNLLEDHAFELKGVINYEPIIESRRWFDHINFTATTKGLNGLDSDHLFFAEAMSLKGEKDYVVTCCSLISSDDNGNCYTCKIGNKSMKHPSDVNSYVGGHCYIKGIYDTIVSSDSEEDEDAEEQRLRKMFQGLDDPGVCEDLFD >ONIVA06G02650.1 pep chromosome:AWHD00000000:6:1789665:1804662:-1 gene:ONIVA06G02650 transcript:ONIVA06G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRSRASRRARRERDPCPCADVVFRSLLTDEAVQVCSDSRGHLASGRDPASPPLLQTQCEGHVGGTSCSGSAHAPQEPDVLSLGELLDATTLKESITCSPSSSPQAISKDTINSSPSVRCLSSPGSIIWVREPPEDRLGVYHIQMDRSGSFHTYPDLGGPFQSLNEAQDAISSHLNRIYPPVKFQERPGESYVDRMIREKLYWPDGTRKKCSKAQAFENVKNMMNQLAKDLSYELKEVVSFEPIFESHRWFDHINFTANTKGSKGLDRDHLFFAEAMSLEGQKDYVVTCCSLISSNDNGNCYTCKFGNRSMKHPNDVNSYVGGHCYITGIYDTEVSSDSEEDEDAEEQRLRKMYQDEDAEEQRLRKMYQDLDDPARHQIVLIICKRTRSSHANFSMGRAQFRPNSHLCWPLRLAPFRFHQALVPATPPSAGNAPAAGRRPAPSPSMASRTAGRERDPCEDEEVRVYSDSRGYFASGGRDVDVGPFPTSDELYAALDHHYHLRSKPCDPAPLPTQCEGLLRGASEPESAQALQEPDVLSVGELLGAIALKEPIISSPSSSPQAVPEDNIDAVPSGCCLSSSPGSVIWVHKPPEGRRGSYHIRMDRSGLFHTYPDMGGPFLSLNEAQDAFTSHLNILYPPLKFEERDGESIVDMMVRKILYFPDGTRRRYSKSQVTQDVHNDMRQLARGLAYELKDVIHFQPIMESCTWFDHLNFTALTKGDNELDYQIHLFFAELMCLVGQKDYIVTCCCALKSDDNGNCYNCKLQNVNLKHPNDTNQYAGGHEYLCGIYDTEEMSESEDEEKEEQRIRKLYEDLEEPGLHEKLFGDVEETVSRNSRGYFALGYSLPGEPFPSLHELLGAIYDHYHPQRESRDPAPPPLLPQCEEHVEGNTQLSLEQTLEEPEVATMEESPEPTTLGDPFTATTSSLPSAPHGTSEVSPSSRLRHHTSPESTIWTRDPADWPWIYHIRMDRGGSFHTYPALDGPFLNLYEAEDAINRHLESLKCPMFKEQDGVSPVERMIQKSLYWPDGTRKKYSRSQASQNVDKRRRQMVQVLLDKYNDDHDLVEDLAYELQDVVHYQLIVEGIKWFNHFNFTAKTSGADIDNLFFAEVMSLQGEEDWVVTCCCLIKSADNGICYGCKNDRNLDMKHPNDSDTYVGGHKDIVMPFETENWTESESDDDEDEEEEVKASRIRRMIEGLDDSDEPEDIFDPVFKP >ONIVA06G02650.2 pep chromosome:AWHD00000000:6:1789665:1804662:-1 gene:ONIVA06G02650 transcript:ONIVA06G02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRSRASRRARRERDPCPCADVVFRSLLTDEAVQVCSDSRGHLASGRDPASPPLLQTQCEGHVGGTSCSGSAHAPQEPDVLSLGELLDATTLKESITCSPSSSPQAISKDTINSSPSVRCLSSPGSIIWVREPPEDRLGVYHIQMDRSGSFHTYPDLGGPFQSLNEAQDAISSHLNRIYPPVKFQERPGESYVDRMIREKLYWPDGTRKKCSKAQAFENVKNMMNQLAKDLSYELKEVVSFEPIFESHRWFDHINFTANTKGSKGLDRDHLFFAEAMSLEGQKDYVVTCCSLISSNDNGNCYTCKFGNRSMKHPNDVNSYVGGHCYITGIYDTEVSSDSEEDEDAEEQRLRKMYQDLDDPARHQIVLIICKRTRSSHANFSMGRAQFRPNSHLCWPLRLAPFRFHQALVPATPPSAGNAPAAGRRPAPSPSMASRTAGRERDPCEDEEVRVYSDSRGYFASGGRDVDVGPFPTSDELYAALDHHYHLRSKPCDPAPLPTQCEGLLRGASEPESAQALQEPDVLSVGELLGAIALKEPIISSPSSSPQAVPEDNIDAVPSGCCLSSSPGSVIWVHKPPEGRRGSYHIRMDRSGLFHTYPDMGGPFLSLNEAQDAFTSHLNILYPPLKFEERDGESIVDMMVRKILYFPDGTRRRYSKSQVTQDVHNDMRQLARGLAYELKDVIHFQPIMESCTWFDHLNFTALTKGDNELDYQIHLFFAELMCLVGQKDYIVTCCCALKSDDNGNCYNCKLQNVNLKHPNDTNQYAGGHEYLCGIYDTEEMSESEDEEKEEQRIRKLYEDLEEPGLHEKLFGDVEETVSRNSRGYFALGYSLPGEPFPSLHELLGAIYDHYHPQRESRDPAPPPLLPQCEEHVEGNTQLSLEQTLEEPEVATMEESPEPTTLGDPFTATTSSLPSAPHGTSEVSPSSRLRHHTSPESTIWTRDPADWPWIYHIRMDRGGSFHTYPALDGPFLNLYEAEDAINRHLESLKCPMFKEQDGVSPVERMIQKSLYWPDGTRKKYSRSQASQNVDKRRRQMVQVLLDKYNDDHDLVEDLAYELQDVVHYQLIVEGIKWFNHFNFTAKTSGADIDNLFFAEVMSLQGEEDWVVTCCCLIKSADNGICYGCKNDRNLDMKHPNDSDTYVGGHKDIVMPFETENWTESESDDDEDEEEEVKASRIRRMIEGLDDSDEPEDIFDPVFKP >ONIVA06G02640.1 pep chromosome:AWHD00000000:6:1783968:1789762:1 gene:ONIVA06G02640 transcript:ONIVA06G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKQWCPSDEKGKAKISSFRFRWPPPTAAAEAELLVCFCECECECECSLQFGGNRSAVTAGAGARMEKNKYIGGGYLLSEEEALGGGQLIDEAKEMARKKDLELEAECFVSASTSRMLLVEVFSGELVHMDGELAVALNNVLSWAVGLMMCQGAGTSRMLLVTLEVFNGVTGSGTHGCCLLGCGQI >ONIVA06G02630.1 pep chromosome:AWHD00000000:6:1777727:1781004:-1 gene:ONIVA06G02630 transcript:ONIVA06G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGELGTEPGGGRGQSRMHVALRVLQEIGIAAEDPGLEGMRYKIYNWKMINKEDVKFKEIAEEIGIKARVMAAGFKISSNFRTTKPGEKNEDGVTRSHCVLVVGFGRREGQEYLVYQISAGIEFGEEGFGRVYLKDVLRMATLNVI >ONIVA06G02620.1 pep chromosome:AWHD00000000:6:1772647:1775912:-1 gene:ONIVA06G02620 transcript:ONIVA06G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAASPLRAALLLFLSSSPSPRLALPMNPSSSSSSRGAASYHSKAAAFASPQPRGGGGGRGGRRGGGRGRGGDGSDRIDALGRLLTRILRHMASELNLEMRTDGYVRVRDLLKLNLQTFAKIPLKSHTVDEIKEAVRRDNKQRFGLLEEDGELLIRANQGHTVTTVTSESLLKPILSADEVSVCVHGTYRKNLDSILHQGLKRMARLHVHFSSGLPTDGGVISGMRQSVNILIYLDVSMALQDGMKLYISDNKVILTEGFDGVVPVKYFEKIETWPGRAPIPFEK >ONIVA06G02610.1 pep chromosome:AWHD00000000:6:1766081:1771485:-1 gene:ONIVA06G02610 transcript:ONIVA06G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVAYAPPMKSGKIGFESSQEVQHRIRITLSSKSVKNLEKVCGDLVKGAKDKSLKVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSADVVKQITSITIEPGVEVEVTISDHWTISEECNNGKGHGNIRHFVHVDINSFQDQYPLEIIAINGIHARGRDPRLTSERAERRRILLESDLDPGS >ONIVA06G02600.1 pep chromosome:AWHD00000000:6:1765605:1771388:1 gene:ONIVA06G02600 transcript:ONIVA06G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoshikimate 1-carboxyvinyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKI5] MAATMASNAAAAAAVSLDQAVAASAAFSSRKQLRLPAAARGGMRVRVRARGRREAVVVASASSSSVAAPAAKAEEIVLQPIREISGAVQLPGSKSLSNRILLLSALSEGTTVVDNLLNSEDVHYMLEALKALGLSVEADKVAKRAVVVGCGGKFPVEKDAKEEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTECPPVRVKGIGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVKAEHSDSWDRFYIKGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTVTVQGCGTTSLQGDVKFAEVLEMMGAKVTWTDTSVTVTGPPREPYGKKHLKAVDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNITAIDTYDDHRMAMAFSLAACADVPVTIRDPGCTRKTFPNYFDVLSTFVRN >ONIVA06G02600.2 pep chromosome:AWHD00000000:6:1765605:1771541:1 gene:ONIVA06G02600 transcript:ONIVA06G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoshikimate 1-carboxyvinyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKI5] MAATMASNAAAAAAVSLDQAVAASAAFSSRKQLRLPAAARGGMRVRVRARGRREAVVVASASSSSVAAPAAKAEEIVLQPIREISGAVQLPGSKSLSNRILLLSALSEGTTVVDNLLNSEDVHYMLEALKALGLSVEADKVAKRAVVVGCGGKFPVEKDAKEEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTECPPVRVKGIGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVKAEHSDSWDRFYIKGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTVTVQGCGTTSLQGDVKFAEVLEMMGAKVTWTDTSVTVTGPPREPYGKKHLKAVDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNITAIDTYDDHRMAMAFSLAACADVPVTIRDPGCTRKTFPNYFDVLSTFVRN >ONIVA06G02590.1 pep chromosome:AWHD00000000:6:1758760:1761827:-1 gene:ONIVA06G02590 transcript:ONIVA06G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSVAAAHATIAARAGAAAPAPAPPERLGFRLSALAGRGLRSPLPPRRGAPSASASRRRHNNRVRAAAVETLEGQAATGALLEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFILSAGHGCMLQYALLHLAGYDAVLEEDLKQFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYCILGDGCQMEGISNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSARFEALGWHTIWVKNGNDGYDEIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGTKEVEATRENLGWPYEPFFVPEDVKSHWSRHVPQGAAFEADWNAKFAEYEKKYPEDAATLKSIVSGELPAGWADALPKYTPESPADATRNLSQQCLNALAKVVPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAMRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMLRPADGNETAGAYKIAVLNRKRPSVLALSRQKLAQLPGTSIEGVEKGGYIVSDNSTGNKPDFIVMSTGSELEIVAKAADELRKEGKTVRVVSFVCWELFDEQSAEYKESVLPEAVTARVSLEAGSTLGWQKYVGSKGKAIGIDKFGASAPAGKIYQEYGITAENVIATAKSL >ONIVA06G02580.1 pep chromosome:AWHD00000000:6:1754574:1757483:1 gene:ONIVA06G02580 transcript:ONIVA06G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHRRRRSARRLAVFSPVRSPARALFLCQRSSRRRQRRAPSPPLPPLLGTSTAADPSAQELQEPDALLSGELLSSTALKEPITASTSSSPQTVWRDGIDASTPSGSSPGSSILVRRPPGWYLVFYIRMDPGGRLHMYPDVGNGPYRSLPEVDDAINQHLHNLRIPEMGEELDRLPLIEIGGYEKDNRCLVQALVEKYNDDHNLLGDFAYELKEFLQIGVMYEDQRYYYHINFTTKTKGAHKSGCAMDNLFFAELSHMQGKDEWVISCCCVIKPAANGHCYGCRNDGKSGLKHPNNSDAYSGGHLDGCLPFGLNDSRSKYDGLNPEDEEAMLRSLYKGMDEPGYLEGLFA >ONIVA06G02580.2 pep chromosome:AWHD00000000:6:1754574:1757483:1 gene:ONIVA06G02580 transcript:ONIVA06G02580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHRRRRSARRLAVFSPVRSPARALFLCQRSSRRRQRRAPSPPLPPLLGTSTAADPSAQELQEPDALLSGELLSSTALKEPITASTSSSPQTVWRDGIDASTPSGSSPGSSILVRRPPGWYLVFYIRMDPGGRLHMYPDVGNGPYRSLPEVDDAINQHLHNLRIPEMGEELDRLPLIEIEKYNDDHNLLGDFAYELKEFLQIGVMYEDQRYYYHINFTTKTKGAHKSGCAMDNLFFAELSHMQGKDEWVISCCCVIKPAANGHCYGCRNDGKSGLKHPNNSDAYSGGHLDGCLPFGLNDSRSKYDGLNPEDEEAMLRSLYKGMDEPGYLEGLFA >ONIVA06G02570.1 pep chromosome:AWHD00000000:6:1741624:1758695:-1 gene:ONIVA06G02570 transcript:ONIVA06G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCPKLGIMKGEGCLHWSKCMWLEKKLKQDRQAIHIVFFFKETMKREREREEAYSGGSGGDGARRCRRRELRWQRKRARAGDLTGEKTASLRALLRRRWLLIAPPSGGFASAWGFEGFVPIRTTGGSELIVIYDIIDKIVSFKCPGLFDARNSEVATVQQVVSGVGQAERSRHGRHGSKTNQPK >ONIVA06G02560.1 pep chromosome:AWHD00000000:6:1739359:1740399:1 gene:ONIVA06G02560 transcript:ONIVA06G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXORDIUM like 3 [Source:Projected from Arabidopsis thaliana (AT5G51550) TAIR;Acc:AT5G51550] MAMHRFSLCCVVLLVLAPLAAVAWRPWPPRNGSGEVEGIGASKKFEGSSDFVKLQYHMGPVLAADITVHPIWYGRWPAEQKRTIRAFLRSLSPPGSGSGDGGIPSPSVAAWWRTVRLYTDQTSANVSGVVRLGREKCDARASRGGRLTRLDIQSVVRDAVTARTRPLPVDSSGVYLVLTSPEVVVENFCGQVCGFHYFTFPSVVGYTLPYAWVGNSAARCPEVCAYPFAIPSYVGGGRRAEAPPNGDVGVDGMVSVIAHELAELASNPLANAWYAGEDPSFPTEIADLCEGIYGTGGGGAYTGQLLTDGRSGASYNVNGVGGRKFLVQWVWNPILSYCSGPNALDQ >ONIVA06G02550.1 pep chromosome:AWHD00000000:6:1735244:1735525:-1 gene:ONIVA06G02550 transcript:ONIVA06G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFSILSASPADIAAGGYWARLSWRRKADDQAVDGRRQPQEQQQQKQRGEGSSPSQREERRRPREAPPLPPRFAPEFDGIDCFETIVMH >ONIVA06G02540.1 pep chromosome:AWHD00000000:6:1734152:1734415:1 gene:ONIVA06G02540 transcript:ONIVA06G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSSPAEIAAPGYYTRFSWRTTSAGKQQKAAEKALTRQQEGEKQQAGRSSPAERKPEARPRFAPEFDGINCFETIVPF >ONIVA06G02530.1 pep chromosome:AWHD00000000:6:1730808:1731092:1 gene:ONIVA06G02530 transcript:ONIVA06G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERFLTSLVFCECEAPGMDVYAYAAGTSLTAAVNKVSTASPGGRVVGPAVSAAAPSSEAKKDAAGKAPRRLLQAAYSPAFDGLNSFETIVMH >ONIVA06G02520.1 pep chromosome:AWHD00000000:6:1723774:1728929:-1 gene:ONIVA06G02520 transcript:ONIVA06G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPPRLQIDAADAHHTHHQVIVVPRRILPLRRRRIPRPRRLLRCNPCVAGDPDGSSRHHHRCYVFIKENKGKLEIRNSTVPISSRLYFECQQTYSCIMADITNKLLLPNYRRTIDDKLFQRKECPYALAVSTVSGIPIVLLHTSPQLLAPPNPISNHLNARGWKPSWRNGKGRMMTGKLSFHVPGGIMRGFFLMVLSSRRHFSYAGFEQQPKKFVSPKILLLNIEQELKYEKENAEISLHLLKCPIVVKGDNTLAWMMPRFVGFEGKNVEYSTRSAYQIQFLGEIRFIWEGKAEGKCKFFMWLTAQRKILTTDKLQLRG >ONIVA06G02520.2 pep chromosome:AWHD00000000:6:1723774:1728929:-1 gene:ONIVA06G02520 transcript:ONIVA06G02520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPPRLQIDAADAHHTHHQVIVVPRRILPLRRRRIPRPRRLLRCNPCVAGDPDGSSRHHHRCLLPLYSCIMADITNKLLLPNYRRTIDDKLFQRKECPYALAVSTVSGIPIVLLHTSPQLLAPPNPISNHLNARGWKPSWRNGKGRMMTGKLSFHVPGGIMRGFFLMVLSSRRHFSYAGFEQQPKKFVSPKILLLNIEQELKYEKENAEISLHLLKCPIVVKGDNTLAWMMPRFVGFEGKNVEYSTRSAYQIQFLGEIRFIWEGKAEGKCKFFMWLTAQRKILTTDKLQLRG >ONIVA06G02520.3 pep chromosome:AWHD00000000:6:1723774:1728929:-1 gene:ONIVA06G02520 transcript:ONIVA06G02520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPPRLQIDAADAHHTHHQECPYALAVSTVSGIPIVLLHTSPQLLAPPNPISNHLNARGWKPSWRNGKGRMMTGKLSFHVPGGIMRGFFLMVLSSRRHFSYAGFEQQPKKFVSPKILLLNIEQELKYEKENAEISLHLLKCPIVVKGDNTLAWMMPRFVGFEGKNVEYSTRSAYQIQFLGEIRFIWEGKAEGKCKFFMWLTAQRKILTTDKLQLRG >ONIVA06G02520.4 pep chromosome:AWHD00000000:6:1723774:1728929:-1 gene:ONIVA06G02520 transcript:ONIVA06G02520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPPRLQIDAADAHHTHHQVIVVPRRILPLRRRRIPRPRRLLRCNPCVAGDPDGSSRHHHRCLLPLYRGMPIRSGRLYCIWDPHRAPPYVPGGIMRGFFLMVLSSRRHFSYAGFEQQPKKFVSPKILLLNIEQELKYEKENAEISLHLLKCPIVVKGDNTLAWMMPRFVGFEGKNVEYSTRSAYQIQFLGEIRFIWEGKAEGKCKFFMWLTAQRKILTTDKLQLRG >ONIVA06G02510.1 pep chromosome:AWHD00000000:6:1722488:1722787:1 gene:ONIVA06G02510 transcript:ONIVA06G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIAMSVVGAGPGNVFGPGMSAGALESFVPRRQAGASDKAAAAASAAGEGTRRGATRPAEQATAAAEGRRAGGDGGGARFDPARDGLLYCFETISPH >ONIVA06G02500.1 pep chromosome:AWHD00000000:6:1715144:1719189:1 gene:ONIVA06G02500 transcript:ONIVA06G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKH0] MSALTTSQLATSATGFGIADRSAPSSLLRHGFQGLKPRSPAGGDATSLSVTTSTRATPKQQRSVQRGSRRFPSVVVYATGAGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVAEIKVADRYERVRFFHCYKRGVDRVFVDHPSFLEKVWGKTGEKIYGPDTGVDYKDNQMRFSLLCQAALEAPRILNLNNNPYFKGTYGEDVVFVCNDWHTGPLASYLKNNYQPNGIYRNAKVAFCIHNISYQGRFAFEDYPELNLSERFRSSFDFIDGYDTPVEGRKINWMKAGILEADRVLTVSPYYAEELISGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYITAKYDATTAIEAKALNKEALQAEAGLPVDRKIPLIAFIGRLEEQKGPDVMAAAIPELMQEDVQIVLLGTGKKKFEKLLKSMEEKYPGKVRAVVKFNAPLAHLIMAGADVLAVPSRFEPCGLIQLQGMRYGTPCACASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPSDVKKVAATLKRAIKVVGTPAYEEMGPAKNWENVLLGLGVAGSAPGIEGDEIAPLAKENVAAP >ONIVA06G02490.1 pep chromosome:AWHD00000000:6:1697109:1698536:-1 gene:ONIVA06G02490 transcript:ONIVA06G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLMRMSNKCMRPTALEASTYDFISSLPDELLQHVLSFMTAREAVQTGVLSSRWRHIWKSLQCLNIKGSEFTSEAAYVNFMDNLVLRRGCVPLDSLLLCNSYGRVSLNHDRANLWFGYALRSNVREINIQEKHFEYSNLDHSSFISSHLKILCLNYVSISDLFIENLFSVCPALQDLVMVDCCVYATRFSSSSLKNLTFISHSPDNGDLVHDDFKDLVIDTPSLVSLHLEYLPFLAPCLLNASSVEKAYFRLDDMSFPCFHMKYNILSALSNVKNLKLLIGQYNDDAFQLMQNEVLKRDLWRCRTFNSLKKLVAHFDPLASMLTNLGETLHLGVIGGLAWEQWMSYPQSEMPDMSFICEHLKKVKITCANDDKRVPAIVNAILVNANSLPEIVIKPYTRLD >ONIVA06G02480.1 pep chromosome:AWHD00000000:6:1691065:1695743:-1 gene:ONIVA06G02480 transcript:ONIVA06G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPLVCGSCSRPPSQLGHWCHGCFCLGSGPSRSRRSRAPPCGGRRKRKRSALLRAACGRAVVCRFGLLAGRRTPVRGAEEASPSDGCRTPVKNGGQREQGHSPPPRERDMSQSSRRTSVCDRISALPDELLHHVMTFLTAKEAVQTCVLSRRWQNVWASVGYLNVDSCNFITVKHFKKFVDNLLLQRSCALLDMFCIHTSYDSSDDSLDYSDIHPWVCHALRCNVKTLGILNYCDGKLLSVDGYPVPFTSLHLKSVYLCKFSIDNRFVEKLFSGCPELLHLELRHCAIKATMFCSATLKILTITAADRTQDDPEGFQHLVINMPNLICLHVEEIANRNLRLLDISSFTLNRFSFGHSDVDCTILSALSNAARLHLMSSSIYEDVVQKVLLRDLPRCGIFSNLTSLALGEWFFSDGCYPLLYLLRHSPNIEKLSLHLVKHGAYAYDHDTNSANATADLDPTCEGTGQQLTVKSIGKSKSFAHKAIEESTSL >ONIVA06G02480.2 pep chromosome:AWHD00000000:6:1691065:1695717:-1 gene:ONIVA06G02480 transcript:ONIVA06G02480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPITTRSLVPRLLLLGFRAISISPESRAAVRRSSEAEAERAPPRERDMSQSSRRTSVCDRISALPDELLHHVMTFLTAKEAVQTCVLSRRWQNVWASVGYLNVDSCNFITVKHFKKFVDNLLLQRSCALLDMFCIHTSYDSSDDSLDYSDIHPWVCHALRCNVKTLGILNYCDGKLLSVDGYPVPFTSLHLKSVYLCKFSIDNRFVEKLFSGCPELLHLELRHCAIKATMFCSATLKILTITAADRTQDDPEGFQHLVINMPNLICLHVEEIANRNLRLLDISSFTLNRFSFGHSDVDCTILSALSNAARLHLMSSSIYEDVVQKVLLRDLPRCGIFSNLTSLALGEWFFSDGCYPLLYLLRHSPNIEKLSLHLVKHGAYAYDHDTNSANATADLDPTCEGTGQQLTVKSIGKSKSFAHKAIEESTSL >ONIVA06G02480.3 pep chromosome:AWHD00000000:6:1691065:1692402:-1 gene:ONIVA06G02480 transcript:ONIVA06G02480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSRRTSVCDRISALPDELLHHVMTFLTAKEAVQTCVLSRRWQNVWASVGYLNVDSCNFITVKHFKKFVDNLLLQRSCALLDMFCIHTSYDSSDDSLDYSDIHPWVCHALRCNVKTLGILNYCDGKLLSVDGYPVPFTSLHLKSVYLCKFSIDNRFVEKLFSGCPELLHLELRHCAIKATMFCSATLKILTITAADRTQDDPEGFQHLVINMPNLICLHVEEIANRNLRLLDISSFTLNRFSFGHSDVDCTILSALSNAARLHLMSSSIYEDVVQKVLLRDLPRCGIFSNLTSLALGEWFFSDGCYPLLYLLRHSPNIEKLSLHLVKHGAYAYDHDTNSANATADLDPTCEGTGQQLTVKSIGKSKSFAHKAIEESTSL >ONIVA06G02480.4 pep chromosome:AWHD00000000:6:1693536:1695717:-1 gene:ONIVA06G02480 transcript:ONIVA06G02480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPITTRSLVPRLLLLGFRAISISPESRAAVRRSSEAEAERAPPVTLALPVAAPSCAGLGSSPAVARRCAARR >ONIVA06G02470.1 pep chromosome:AWHD00000000:6:1680626:1692285:1 gene:ONIVA06G02470 transcript:ONIVA06G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVTGAMSSLIPKLGELLKEKYNLQKNIRGKIESLSAELRRAQAVLRMVGEVPQDQQNELVKLWASDLREASYDMEDIIDAFLVHVDDDGPKPADPHVLRRLGKQVKKLYPVDNIVIPARPTPIDPRILNIEKMANNLVGIDEPRDELIKMLSQHERDNNLNTSNRKTKIVYVVGMGGLGKTTLATAVYEKIKVGFPLNAFVPIGQNPNMKAILWNILHRLGSEKYLNCPNIEMLTVQELIAVLSMPVGSLTQSSSNPLTFEACIAPKHPGFCPRQLGRASMFTMWRVVGLVSTLVYVGLTRTKFFYYSRFFIVIDDIWDKPSWQILESGLQDNDYGSKILVTTRKSEVATIISDVYNMKPLSHDNSKELLYTRTGSEGKSLDSSSTEACDKILKKCAGVPLAIITIASLLASRSGLDWSEVYRAIDFGEEDNYEMANTKRILSFSYYDLPSHLKNCLLYLSMFPEDYKIDKNHLIWMWIAEGFVPEKQNTNLGLYELGESYFNELINRSMIQPIENEFFGCIEGCRVHDMVLDLARSLTSEQNFVTVLDNDEQRKPESTNARRLALHRTSITSYRFVNMDMKKVRSFVATECNNGNNSVAPPRFQVLRVLSLDKCNGMEDYYIESILQYAGRLGHLRCLQLSSHTEFHRLPKELGDLKFLKILDLGDCGGTIEELPEELGLLTHLLCLRIPRRLEMLPAGLIGKLTSLQELCISLIEEVAVRHFVQELGNLRELRVLYAKFYIGLKDESMQRDFLQSLGCLHKVHTMNIYSIEVRECTRPDAAGSVSCPRLWQLSLPCIKFFSLPVWINSSTLGCLSHLDVKVQVVREQDMETLGRLPMLCYLKLDSQYTRLISIKKPADDGYFQKLRFFKTPHSFVRFDLHGCESSSGASSFMPRLEHIEFSVDVRFLKDAADLHAGLNNLLAGFNDFGRTSLKSVDARIHCKDALAAEVQEAEVALANAAHGHPNRPVLRTDMAYERMMRSPDDDKPTSWSDQKFFEIHVYPSSNDHHRYLSYLRLLKKPRLEKLIVNIYVSKDGMVGDVDEAVAAARNVVDHHINHPTLEINRMEERYSDQFPHMSSTLLLIIDSAPDHPPHGLIDEDIKCNLAAFERALRIVQSTSECPKENLLREPKISVCNLLYMQADKVYTLQVQGSEGDRISIYRKELAITIIEYAESFNVAPKGMADPWMDVRIIKGIIRAVIGGMDTEHIQQGTAALQEQIVNKLLKMFHCNEVARINIEVSHRCPDVLPPPR >ONIVA06G02460.1 pep chromosome:AWHD00000000:6:1672386:1673391:1 gene:ONIVA06G02460 transcript:ONIVA06G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAIRQLLVAALDQKRQGQPLPPLPFTIHDANVFTAGDHPSHLYYNLKSNAAEDGSVYVFSPGRKIPRPRNITGGYWKVMINQPESIIATADGAKIGRSRRWAFVNDWSVGCDVEGWAMEELRIAGGTTATTRPDDDLRLYRLYRFPRG >ONIVA06G02450.1 pep chromosome:AWHD00000000:6:1667462:1670425:1 gene:ONIVA06G02450 transcript:ONIVA06G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAVQWWEEWQLRVLVLGSLGVQYFLAIFGGRRKSPRFPPWYRFFIWLSYLASDALAVYALATLFNCKKKLQHDNNGSHDLEVTVAIYVFCQSWSSSADRRLLAAAILLFIVGIVKCFEKPMSLKAASFNELVSSNYDAELDIVVNREEMLESFVNEAKALLQRSDHSPPASQQDGWTKYIEDAESYRSFNDNMGQWALERAKCTWLLGWSLEKPFDEIVLIWHVATDFCFHKYHESFGPPNGPSFRVMSRAISNYMMHLLFANPKMLMAGSRSNLFTTAYRELESILHKEKNLPVDDEEKLTLKVIEKVEHKRNCFIHDAFRLARDLLLARGYKKMWDVIIDVWVEMLCFSAGRCRGYLHAKSLGSGVEYLSHVWLLLAHAGMKTFPERLQRRQLFHLPTEEPEDEREDGVIGPSDSQGSKNPHNLKEEGGHGVAPTDTSQGAESRKEKQDQHVAPSAPQGEGSIVPGLSEIIVVPPATG >ONIVA06G02440.1 pep chromosome:AWHD00000000:6:1658207:1671570:-1 gene:ONIVA06G02440 transcript:ONIVA06G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIVFLYAFVPLPPLEPLWERLHAGVCQQEPHMGENGLKFAKPGAFCSLKCPLSHVVIETPVALGVFYLGCDSTYGWAEKRQAEQKKGCAWLGVAISPMRAGTGVGFNPRGVGVGAPIMGRGGGGQTPAGDLRSPKDIHICIHTNHKILHSHMHIHILDIHIIHRNALWNKNDTIIFTSSTKITEYYIHILHIHIIHISKKTHFKIPQQFISVVVGSFSSSRHHDAKRQAGTGEEATRERKFHWKQRRHAMATRGQEGTCGTASGIARRGRPRGAGVSTLAGMRCDGGRRRTVRAAGEGEDAVRVDGTLGEGNLLVSIHGGGGGGEPREWRCRSTAVGGGSGGGRRRRRSTAAAVEESRGRAEGAAAVEENRGSGGGGGEPRERRCRSTAGAVAVGGGGGGGRWRRWADGGGKWRRRRWTTMATTTIVRSGRADKAGGVVKYGDHASKEASKQ >ONIVA06G02430.1 pep chromosome:AWHD00000000:6:1655119:1658054:-1 gene:ONIVA06G02430 transcript:ONIVA06G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSGVKWWEEWQLRILVLGSLGVQYFLVIFGGMRKFSRIPHCYRFFIWLSYLASDALAIYALATLFNRRNKLQPDNNDNSSRDLEVVWAPILLMHLGGQVFITAYNIEDNELSQYPSTCFASRGHHQLIGGYWQLQFCFSSLVLSNAFEKPLALKASCFNDLISSKDDAQRATATNREQELESFVKEAKDFVRATVGAPASSQGTTEEVPIPPPEQFIVPTKLFMDFSYPYSDRLANLKYFYTLSPKEVVVEIHRGLSKIFDLLYTKNKIWVKHDLDSYRNFNDHMGQWTLERSGCNFCFHMSNTTPSSKHKEIGRTISNYMIHLLFANPEMLMAGSRKNLFTTAYRELEDILQPEEDLHLDDEKKLTLTMIDKVKSKQGRYFIHIAWLLAERLMLLNDGEMWKLIAAMWVEMLCFSARRCRGYLHAKSLGSGMEYLSFVWLMLAHAGMETFPERLHRRQKIHLPEKKPLNLQEEDVAAPSGTSQGSESCKKKETHQGEGDNAPEITEIVVSP >ONIVA06G02420.1 pep chromosome:AWHD00000000:6:1642651:1644196:-1 gene:ONIVA06G02420 transcript:ONIVA06G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTVLEASTYDFISSLPDESLQHILSFMTTREAIQTCVLSTRWRHIWKSVQRLKIKASEFTSKMGFVNFMDNLVLHRGCVPLDSLCMNTLYQYGSVSLNHHNANTWVGYALRSNVRELHIREHYSEYFDLDHSSFKSSHLKILRLCYVCISDLFIEKLFSGCPALQDLVMVDCCVYATRFSSTSLKNLTFTSHSPDNGDSVHDDYKDLVIDTPSLVSLHLEYLPFLAPCLVNVSSVAKAYIRLDDMSFPCFDTKYNILSGLSNVTKLKLLNEEYDDEDEDEDEDDSFRSRQNEVLKRDLWRCQSFNNLKKLSVYDWCVDVDLSVLIYLLRCSPIIEKFTLHLGMIEGLAWEQWMSYQQEETPDLSFSCERLKKVKIICAQDDKRVPAIVNAILANANSLPEIVGSALGLGQMYEHGPLISD >ONIVA06G02420.2 pep chromosome:AWHD00000000:6:1644204:1645717:-1 gene:ONIVA06G02420 transcript:ONIVA06G02420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLLVHWCHCCLCRRRDVPVGLPPVALAGAPPAAAGRGISFTTYLSELSVRLGDKNV >ONIVA06G02410.1 pep chromosome:AWHD00000000:6:1639629:1639892:-1 gene:ONIVA06G02410 transcript:ONIVA06G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDLHSHLSPRPRLVNRDPGGPASFSVRLFRLSLSSGSPQAPSRDHLRAGHGVTSASARGSVASAATRTPPTPRGSGRCGCPPRR >ONIVA06G02400.1 pep chromosome:AWHD00000000:6:1613737:1615909:-1 gene:ONIVA06G02400 transcript:ONIVA06G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 103 [Source:Projected from Arabidopsis thaliana (AT1G63910) TAIR;Acc:AT1G63910] MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTAEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPAAAAAAATTTSPNNPPPCSTATSDHHHLPPPAFGGADHHLQLDAIINQNLISSLPPKLAGGDDSPPAVPGLPHHCPLFMFDTTTTGAGGAVSPPPPSSLIPTHLHHHHHPFIASFTAAMAADTPSYLPPLVDGMAAMGAAMDCSLEDGQTAAAMAATNGYYQHHQKHQQLEIELEEEEQRQLGHHHHQHHHEHEHENHQWDEEEAQHLLMWDQEVLTSSNLEAMQSGAHSLLFMGPNDHD >ONIVA06G02390.1 pep chromosome:AWHD00000000:6:1599590:1605655:1 gene:ONIVA06G02390 transcript:ONIVA06G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSRPAAMRLFGVTISPPPPPAEPDPSDRRDPSPRPAREDAMRKCKSMGNLAAAAASSAAAGGGGAGDAGGSGDGYLSDGGLLQSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMATDMSPAPNCPVLPPSMGKLHDMVTMTKQLQNSSLEGVSSSSTVNLAPQVARDLPPPIPSFKATNVDSSLSKMNHMDGFFRAPMLFRPIPRIAEGASSSTPATASIADLEFQANLTACSNALFASPRRKPKKADPPAEKDLDLTVTPPSQQTRASISSQNAVGVIQVV >ONIVA06G02380.1 pep chromosome:AWHD00000000:6:1591952:1596348:1 gene:ONIVA06G02380 transcript:ONIVA06G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLSNTNEIGRRETRGGSARWGLLISRRLSRRAPQNPSRAAAAAAAIAIAIAAAMDPSQPSGSSADASASSSSSSSAVDNLAAGMAAMSLQDRFELLRGIGEECIQEDELLNLLQKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDIKKIQTVGRYMIEIWRAAGMNLDGVEFLWSSEEINKRANEYWPLVMDIARKNNVKRIMRCCQIMGRNDSDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNLKIKQAFCPPTIVDGNPCLEYIKYIVFPWFETFEVVRKEENGGNKTFANMNELIADYESGALHPADVKPALAKAINQILQPIRDHFKNNSEAKVLLNTVKNYKVKKEETSSSPQAS >ONIVA06G02380.2 pep chromosome:AWHD00000000:6:1591952:1596756:1 gene:ONIVA06G02380 transcript:ONIVA06G02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLSNTNEIGRRETRGGSARWGLLISRRLSRRAPQNPSRAAAAAAAIAIAIAAAMDPSQPSGSSADASASSSSSSSAVDNLAAGMAAMSLQDRFELLRGIGEECIQEDELLNLLQKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDIKKIQTVGRYMIEIWRAAGMNLDGVEFLWSSEEINKRANEYWPLVMDIARKNNVKRIMRCCQIMGRNDSDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNLKIKQAFCPPTIVDGNPCLEYIKYIVFPWFETFEVVRKEENGGNKTFANMNELIADYESGALHPADVKPALAKAINQILQPIRDHFKNNSEAKVLLNTVKGDMVFGYVYDYDHVEKIVNCVCLWLTHDKKGFINSAPTSLA >ONIVA06G02380.3 pep chromosome:AWHD00000000:6:1591952:1596591:1 gene:ONIVA06G02380 transcript:ONIVA06G02380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLSNTNEIGRRETRGGSARWGLLISRRLSRRAPQNPSRAAAAAAAIAIAIAAAMDPSQPSGSSADASASSSSSSSAVDNLAAGMAAMSLQDRFELLRGIGEECIQEDELLNLLQKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDIKKIQTVGRYMIEIWRAAGMNLDGVEFLWSSEEINKRANEYWPLVMDIARKNNVKRIMRCCQIMGRNDSDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNLKIKQAFCPPTIVDGNPCLEYIKYIVFPWFETFEVVRKEENGGNKTFANMNELIADYESGALHPADVKPALAKAINQILQPIRDHFKNNSEAKVLLNTVKNYKVKKEETSSSPQAS >ONIVA06G02370.1 pep chromosome:AWHD00000000:6:1586133:1589071:1 gene:ONIVA06G02370 transcript:ONIVA06G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGGGGEAVEEGTTTRVGDLPEACLADVIALTSPRDACRLAAVSPSFRAASESDAVWDRFLPPDYRAIAPLPPAAAAAGGGKMRMKKGVYLGLCDKPVPVDDGSMMVWLEKESGAKCFALPARKLSLPWEDGEFSWRWTPHPLSRFEEVAQLVDCTCLDIYGRLPAAALTPATPYAAYLVFGTAAAAEGHRGLSFPDQETTVSAGGRVVARHAVCLRPDDAEARKFRGVGLAGAGVPVRRPARRGDGWSEMELGRVAADEVAGAGGDDVVASFEVLGWYPKRGLVVECMEFRPVV >ONIVA06G02360.1 pep chromosome:AWHD00000000:6:1577414:1581377:-1 gene:ONIVA06G02360 transcript:ONIVA06G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding;exodeoxyribonuclease IIIs [Source:Projected from Arabidopsis thaliana (AT4G17760) TAIR;Acc:AT4G17760] MSSSTSARDDEAPDLVCQIDCVHGMVDALSCVRWKRHQDAVLELSEHGIVLIVEESGCLQAKVYLKRELFMEYEYAAEGRPRFGLSLGLLVDCLNTFSAPGHSSPVEIRYPGPDMQLLLKSVGSPDSCMYAEIRTRIPDTISWDYHFEHAGNTPVTFTVKSAVLKESIEDLEWPGSSIQIQMQPDPPSVLFKGEGHGDLQIEFPYYANTDLLIAFQCDHETSYRYKYKFLRATTSNFPNSIVKENRGSKVTIGRGGMLKIQHLVSVARPGMQNFRNVAGGAQQPSRIAYIEFFVKPEEYEINDA >ONIVA06G02360.2 pep chromosome:AWHD00000000:6:1577414:1581377:-1 gene:ONIVA06G02360 transcript:ONIVA06G02360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding;exodeoxyribonuclease IIIs [Source:Projected from Arabidopsis thaliana (AT4G17760) TAIR;Acc:AT4G17760] MSSSTSARDDEAPDLVCQIDCVHGMVDALSCVRWKRHQDAVLELSEHGIVLIVEESGCLQAKVYLKRELFMEYEYAAEGRPRFGLSLGLLVDCLNTFSAPGHSSPVEIRYPGPDMQLLLKSVGSPDSCMYAEIRTRIPDTISWDYHFEHAGNTPVTFTVKSAVLKESIEDLEWPGSSIQIQMQPDPPSVLFKGEGHGDLQIEFPYYANTDLLIAFQCDHETSYRYKYKFLRATTSNFPNSIVKENRGSKVTIGRGGMLKIQHLVSVARPGMQNFRNVAGGAQQPSRIAYIEFFVKPEEYEINDA >ONIVA06G02350.1 pep chromosome:AWHD00000000:6:1556242:1561374:-1 gene:ONIVA06G02350 transcript:ONIVA06G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIATTACVWQEKAAAMGAGAGDVAASWRVAAVALLGAVNCVVSFVVFSFLDLLDMVLCVVYKVVDYAVEAEWKACYCSAAARDGAAAAIFVPPASASAAPGPKVVRLSPSSAKMQLEDVSDTLYVRPSLLSDATKKSGPAAPSLTVSPAIAELIRGKIGRAAPRPPRHAAPCWSDCDCKVCHSWSASSRSSHLYVHVQSPTTASGVETEDVVFVHGFISSSVFWTETVFPAFSEAAKGRYRMFAVDLLGFGRSPKPADSLYTLREHVEMIERSVLQRYRLRKFHVVAHSLGSVLALALAVKYPDAVQSLTLLAPPYFPVPEEEAGAATQYVMRRVAPRRVWPPIAFGASMACWYEHVSRTICLTICRHHRTWDRLFRLFTRNRMRTFLIEAFMCHTHNAAWHTLHNIICGSAGKMDSYLDVVAGQLACEVAVFHGRDDELLPVECTLAVGARVPRAHVTVYDHKDHITIIVGQEKLFATELEDIWRRSAAAAAAGDGE >ONIVA06G02340.1 pep chromosome:AWHD00000000:6:1552994:1553974:-1 gene:ONIVA06G02340 transcript:ONIVA06G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium-protoporphyrin IX methyltransferase [Source:Projected from Arabidopsis thaliana (AT4G25080) TAIR;Acc:AT4G25080] MARAAVSTAPLSRVHSPPPLIPRHPHSHSRVGLLHPQRKALTTAAALPPAADLPPLSLPAAAAAAAALAAAVSLSDPERRRRAQAEAAGGGDKEAVRAYFNSTGFERWRKIYGSATDGVNRVQLDIREGHARTVAATLSMLRDSPVPLAGATVCDAGCGTGSLAIPLASQGASVLASDISAAMVSEAQRQAEAAAMAASDTFRMPRFEVRDLESLEGKYDIVVCLDVLIHYPREEAKQMIRHLASLAEKRVLISFAPRTLYFDFLKRVGELFPGPSKATRAYLHSERDIEDALRDAGWRVANRGFISTQFYFAKLFEAVPIAAASQ >ONIVA06G02330.1 pep chromosome:AWHD00000000:6:1550780:1551361:-1 gene:ONIVA06G02330 transcript:ONIVA06G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTARFFLPVRPRPDATISGGRKPYLVAVSARPRRGGSRRNRSWGDGGGDDEDGAADDRIDANFFGDARDEPDPEPEDAAASGRRPSSPAPEQEPAGQLRGSDVLRALQRAAAAKEAKRRKRAGARPAARRQDAGERRGGELAAAGAARPIEIRREWATRIRELELRVKQLVDKHHHSPPPSRSEAWITALI >ONIVA06G02320.1 pep chromosome:AWHD00000000:6:1547336:1548697:-1 gene:ONIVA06G02320 transcript:ONIVA06G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein [Source:Projected from Arabidopsis thaliana (AT5G42090) TAIR;Acc:AT5G42090] MAAASPLAAAAALALLLLLLAAPAAAEIRETVIRSDPRSIIPLDEFGFSHSGVLELNVSGIAFDPPTSSELDLSQLGFFLSTLDAWVHVLRQLQDLDVTCALQADLVKLAYSFDRLRPPSNPAGVEVARSSSFSTAFLVSEPGQYTLVFANCLGGGLKVSMDVRSAMYNVDPPTGERSYLSAGATALPTIFGFFGVAYAALAAGWIAILLRKRAAVFRIHYFMLAVLVLKAVNLLAEAEDKSYIERTGTAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLADREKKVLMVVIPLQVVANIAQVVIDESGPYARAWVTWKQVLLLVDVICCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALMTITSYRYQWTSYVAKELATLAFYVFTGYKFRPEVHNPYFAIDDEEEEAAAEALKLDDEFEL >ONIVA06G02310.1 pep chromosome:AWHD00000000:6:1542443:1544260:1 gene:ONIVA06G02310 transcript:ONIVA06G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAGLPGVAYEIMRANGLTRAWQSAKKGWGFSTCNPTEWASDSMASKWQHPSAQAYVQAHETVQFAALSSDQLQPTVQMQRMGKQACLANKCKSRQHHQGIRLRLITKVIHGEDACNLALAKQEHDGKGKREPIAGLAFVRVTNMTKPTGNS >ONIVA06G02300.1 pep chromosome:AWHD00000000:6:1541323:1543541:-1 gene:ONIVA06G02300 transcript:ONIVA06G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDRLYGRCTWGRKFQATPEQKASSPRKKEIESRATAAATPGWIDDRHRF >ONIVA06G02290.1 pep chromosome:AWHD00000000:6:1526275:1528810:-1 gene:ONIVA06G02290 transcript:ONIVA06G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLKKKVASERIDLDVIRDVDLNKLEPWDIQERCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSSSNRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPSSASVNLPSYYSSSSSSSSPMHGVAGDQGAQEEGWVICRVFKKKNLVHHGGGAAAASHHAAAKLAAAAMEGSPSNCSTVTVSDHVKAQMLHSSTSDDALDHILQYMGRSGCKQETKPAAMSASSAAAAAALEQHLSTPQYGKFMKLPPLEHVAGGVGLLAAAGGGGEYCSAADASGIADWDTLDRLAASYELNGALSDVASGKNMAGFFDVVDQPAGAAAFSSGDGDLWSLARSVSSSLHADLTTMNNV >ONIVA06G02280.1 pep chromosome:AWHD00000000:6:1515147:1517618:1 gene:ONIVA06G02280 transcript:ONIVA06G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISNVVTNTYCASPTPLLRRRATAMALRVQLVLAVAVVVPALGVAAGGATLGVNYGQVADNLPPPQAAAMLLRSLNATKVKLYDADARVLSAFAGSGADFTVGLPDRLVPRLAADPSAAAAWVRANILPHIPATSITAVTVGNEVLTGNDSAMLRSLLPAMQSLHAALAACNLTSRVAVTTAHSLAVLSSSFPPSSAAFRRELLPYMAPLLAFLAKTGSPFLINAYPYFAYKGDPEHVDLNYVLFEANAGVGDPATGLRYDNMLHAQVDAVRAAICRANYGKAVEIRVSETGWPSRGDDDEAGATPENAARYNGNLMRLVAQGKGTPAAPGEALQVYVFALFNEDMKPGPASERHYGLFKPDGTPAYDVGVKAPTIGGSWKGRANGTSGGGAGGLVVAEGPGGADGAGQGTGFYTVSAAAHKVKRWRCWESLFATVVLVMASGLCWS >ONIVA06G02270.1 pep chromosome:AWHD00000000:6:1497983:1500091:-1 gene:ONIVA06G02270 transcript:ONIVA06G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKE0] MPALAVDAAAPVAHAFACDAARFPAPLLGPAAAAAAVAEKPDAAAWSADLSSALYNVDGWGAPYFFVNDDGDVAVRPHGAATLPGQEIDLAKVVAKAAGPRSGGGLGLPLPLLVRFPDVLRHRVEALNAAFDYAVRSTGYGGRYQGVYPVKCNQDRHVVEDIVEFGEPFRFGLEAGSKPELLLAMSCLAARGNPDALLICNGYKDDEYVSLALIARTMGLNTVIVLEQEEELDIVVDASRRLGVRPVVGMRAKLRTKHAGHFGSTSGEKGKFGLNAAQILSVVAKLKTLGMLDCLQLLHFHIGSQIPTTALLGDGVGEAAQIYCELARLGAAMRVIDVGGGLGIDYDGSHSAQTDMSVAYSLEEYAAAVVAAVGRVCDRKGVAHPIICSESGRALVSHHSVLVFEAFSASAPGRIDPATGYLLDELTDDCHADYRNLMAAAVRGDFDTCALYADQLKRRCADQFKDGVLGLEHLAAVDSLCEIVARGMGAAEPPRTYHINLSVFTSLPDMWAIGQMFPIIPIQRLGERPAVDGVLSDLTCDSDGKVDHFIGGRHSLPLHELPVHGTRGYYLGMFLGGAYQEALGGLHNLFGGPSVVRVSQSDGPHCFAVTRAAAGPSCADVLRSMQHEPEVMFEVLKQRTDGATAAALARAFGAMPYLSFDPEAAAMASGESSGMSSDSEGSAAGAAEEDDDEWEFMRGLTV >ONIVA06G02260.1 pep chromosome:AWHD00000000:6:1491677:1494490:1 gene:ONIVA06G02260 transcript:ONIVA06G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTQGDHLRKIKIVAQNFDNDSKSYGRRMERNTQVAKFVEHVQYRFLSSRGRVQIYMQRTALFTNPFSKSFKQLDLSSHRMSHLQKADYSDSISSAANAYPQNQSASDLPHNLGGKESNNPSNPSSLTKLTRFSNDVTADGHPYAVEKIREDSSGTVTQRQQLPLHLTRCEFYQSFLKAQISML >ONIVA06G02250.1 pep chromosome:AWHD00000000:6:1481738:1481917:1 gene:ONIVA06G02250 transcript:ONIVA06G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAATAVVTVSAIDVGDGEERPLCRNGSSCGGPMATHRPRWPWCQWPSESRMKRELIV >ONIVA06G02240.1 pep chromosome:AWHD00000000:6:1472764:1478719:1 gene:ONIVA06G02240 transcript:ONIVA06G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29860) TAIR;Acc:AT4G29860] MALAEAENPPRRRRPAPDPVAVLRGHRAAVNDVCFHPSLPLIFSGAADGELRVWDTASHRTVSSVWAHGGSAGVYSVAASTRLGNKIISQGRDGSCKCWEIEEAGLSRRALYTIRTSTYHFCKMSLVKSTCSTCCTQSGLISATGDIESQSTVTEERELGTCCKGPNIMAIAGQESSQNYLRAVSLFYFLMHNTECIHASVASHDAVPSSRKLSNPAVSQKYVLYKSLKQMFHRCGLCMAVQAFFPCGAAYVNILSSYEDGSTLLWDVRNPGLPVSSVKYHSESALSIAIDGLCNGGISGGADDKIVMFGLDHQKGAFILRKEIKLERPGIAGTAIRPDNKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSSDSKLLASCSADTTVALWELYPPKTDSQVGLKTRDEISQ >ONIVA06G02230.1 pep chromosome:AWHD00000000:6:1469895:1470413:-1 gene:ONIVA06G02230 transcript:ONIVA06G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3.3 [Source:Projected from Arabidopsis thaliana (AT4G40040) UniProtKB/Swiss-Prot;Acc:P59169] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONIVA06G02220.1 pep chromosome:AWHD00000000:6:1467099:1467914:-1 gene:ONIVA06G02220 transcript:ONIVA06G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEEVAAAAAAAGEAPPPPPPAVVEEAKEAVEAPKPEEAPKAEEGEEKKAEGEKEKEKAKKERKPRARKPRSAGPHHPPYFEMIKEAIMALDGNGKAGSSPYAIAKYMGEQHMGVLPANYRKVLAVQLRNFAAKGRLVKVKASFKLSAAEEKKATAAKAARSKAAKGVVGGAKRKRTPRPSAAAAKKPASSAEAKKAVPPARPARAKRARKAAPAKPMQPPKSIRSAISKKANKASA >ONIVA06G02210.1 pep chromosome:AWHD00000000:6:1460855:1463082:-1 gene:ONIVA06G02210 transcript:ONIVA06G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGHRENGRQRPDQYKGLHTQWMMPQTQRHLKDHQSMNLLALMNDRDNAIRERDHALAEKKAAIAERDMAFTQRDAAMAERNAAVVERDNALAALELARTNGLNMNNGNGFPQGSLSGSKNIHHHDQLSHAQSSPLQLADSPYDHAREMHISEAYPISTAPGSAGKAKRPKKNSSQASPLKRPSGVLRKTKKPSGDWKNVGMSGCGDDSAHASVMKNEWKDQNLGLNQVAFDESTMPAPACSCTGKLRQCYKWGNGGWQSSCCTMNISMYPLPVMPNKRHARMGGRKMSGGAFTKLLSRLAAEGHDLSTPVDLKDHWAKHGTNRYITIR >ONIVA06G02200.1 pep chromosome:AWHD00000000:6:1459039:1460071:1 gene:ONIVA06G02200 transcript:ONIVA06G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPNPPNRLPQRLEISLRFSSPPKRSNPSPRRRRHVGDAAHESRGHQVRGVLRPGAADGGELPGAVRERLLRRHHLPPQHQGVHDPGRRPDGHGEGGHLDLGEEVRRRVQGVAQAQRPRGDVDGEQRAQHQREPVLHHLRQAASPQRPLHRVRQGHPWIRGARPHGEGADGARRPPPRRDQAQPRHHPRQPSRQLILSTPSSLKSLEF >ONIVA06G02190.1 pep chromosome:AWHD00000000:6:1453843:1457750:1 gene:ONIVA06G02190 transcript:ONIVA06G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit 6, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0HKD2] MRRSGDGGAAKKKKKRSASAASERRPRADGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFFQLYIKRNRASPPPPPGSPTAASAAAVSPIHRSLSRGLLAPRAALPAISARGASVRDDDSLYYAGLRRCAADPYHPATNPSGIIQLGLAENYLSLDLVGRWMEEHAAEAASMAGGEDEDERELSIRGLAAYQPYDGILALKMALAGFMRQIMQGSVSFEPSQMVITSGATPAMEILSFCLADPGNAFLVPSPYYPGWDRDIKWRTGIELIPVPCRSTDNFNISITALEIAYNQAKKRGIKNIHLISDEVFAGSTYGSGKFVSVAEVVDDLEDFDKGRVHIIYGLSKDLSLAGFRVGVIYSYNESIVTAAAKIARFSSVSTPTQRLLVAMLSDQKFISDYLKVNRERLRKMYHLFVDALDQVGIECYKSSGGFYCWADMSKFIRSYSEKGERKLWDRLLEEAKVNVTPGSSCHCIEPGWFRCCFTTLSEHDIPVLVQRLRTITDSHKPNR >ONIVA06G02180.1 pep chromosome:AWHD00000000:6:1437908:1444168:-1 gene:ONIVA06G02180 transcript:ONIVA06G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDEDMRDVSSTSSSSCGGGEGAGFEEGMGEGVMVMEVRWFEVDLEYEFDAPRWFDLAVEESPVEAAAAQLWFASAPSYPPSPLIAKMLAEDLGLQSLRSTVDIDAAHCSKSSHECSNGAEQTIHRPHIPNEGRIPCHQVSANERKHGVRTIGKGTIPKRSTLMKPTASQLARQNRQIEVKNSTQSKKSVGVRSDRSTMSSNDCTYQAAKRQRLERGHLNKNVMTSSSDHAIAVPKLKITIPREPELATKLRAERSRILRAVPTNSKQLNKQAAQSISMTQASSIRKVVQPSGRNDHQHASVPHRGIGSNVPVCTANRPRHLDNICKTPDECRDDLFKFKARPVDKKILGSKGDIGVFQNAKRSTTVPKEFKLSTGRKGKQAPLSELFNKLTLTTEARRALDHRTADLPNYITTKIPFLHLNKGTDQGTVPAIPGNLPSPLPCS >ONIVA06G02170.1 pep chromosome:AWHD00000000:6:1429590:1436716:1 gene:ONIVA06G02170 transcript:ONIVA06G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAPWLWWWVVVVVGVAVAEAASGGGGGGDGEGKALMGVKAGFRNAANALVDWDGGADHCAWRGVTCDNASFAVLALNLSNLNLGGEISPAIGELKNLQFVDLKGNKLTGQIPDEIGDCISLKYLDLSGNLLYGDIPFSISKLKQLEELILKNNQLTGPIPSTLSQIPNLKTLDLAQNQLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPESIGNCTSFEILDISYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPDVIGLMQALAVLDLSENELVGPIPSILGNLSYTGKLYLHGNKLTGVIPPELGNMSKLSYLQLNDNELVGTIPAELGKLEELFELNLANNNLQGPIPANISSCTALNKFNVYGNKLNGSIPAGFQKLESLTYLNLSSNNFKGNIPSELGHIINLDTLDLSYNEFSGPVPATIGDLEHLLELNLSKNHLDGPVPAEFGNLRSVQVIDMSNNNLSGSLPEELGQLQNLDSLILNNNNLVGEIPAQLANCFSLNNLNLSYNNLSGHVPMAKNFSKFPMESFLGNPLLHVYCQDSSCGHSHGQRVNISKTAIACIILGFIILLCVLLLAIYKTNQPQPLVKGSDKPVQGPPKLVVLQMDMAIHTYEDIMRLTENLSEKYIIGYGASSTVYKCELKSGKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLNWDTRLRIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKCVPSAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMGLVRKAFQLALLCTKRHPSDRPTMHEVARVLLSLLPASAMTTPKTVDYSRLLASTTTAADMRGHDVTDIGDNSSSDEQWFVRFGEVISKHTM >ONIVA06G02160.1 pep chromosome:AWHD00000000:6:1412911:1426517:1 gene:ONIVA06G02160 transcript:ONIVA06G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G45500) TAIR;Acc:AT2G45500] MSFLRALADSLSSLLYSAAAKGGDMDGAAAAPSPAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDAAAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRSGAAAPVPKKVVTNNPVNRNDRAASTSFHRPTSQPSPTFNRGGQASSHQKSSSGGAKPVQRAGANYDDKLVEMINTTIVDRSPAVKWEDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSARLANENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKTQLKGQSFKLSSHDLERLAADTEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFKKAMTVIRPSLQKSKWDELEKWNEEFGSS >ONIVA06G02160.2 pep chromosome:AWHD00000000:6:1412911:1426517:1 gene:ONIVA06G02160 transcript:ONIVA06G02160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G45500) TAIR;Acc:AT2G45500] MSFLRALADSLSSLLYSAAAKGGDMDGAAAAPSPAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDAAAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRSGAAAPVPKKVVTNNPVNRNDRAASTSFHRPTSQPSPTFNRGGQASSHQKSSSGGAKPVQRAGANYDDKLVEMINTTIVDRSPAVKWEDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSARLANENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKTQLKGQSFKLSSHDLERLAADTEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFKKAMTVIRPSLQKSKWDELEKWNEEFGSS >ONIVA06G02160.3 pep chromosome:AWHD00000000:6:1412911:1421211:1 gene:ONIVA06G02160 transcript:ONIVA06G02160.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G45500) TAIR;Acc:AT2G45500] MSFLRALADSLSSLLYSAAAKGGDMDGAAAAPSPAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDAAAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRSGAAAPVPKKVVTNNPVNRNDRAASTSFHRPTSQPSPTFNRGGQASSHQKSSSGGAKPVQRAGANYDDKLVEMINTTIVDRSPAVKWEDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSARLANENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKTQLKGQSFKLSSHDLERLAADTEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFKKAMTVIRPSLQKSKWDELEKWNEEFGSS >ONIVA06G02160.4 pep chromosome:AWHD00000000:6:1412911:1420788:1 gene:ONIVA06G02160 transcript:ONIVA06G02160.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G45500) TAIR;Acc:AT2G45500] MSFLRALADSLSSLLYSAAAKGGDMDGAAAAPSPAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDAAAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRSGAAAPVPKKVVTNNPVNRNDRAASTSFHRPTSQPSPTFNRGGQASSHQKSSSGGAKPVQRAGANYDDKLVEMINTTIVDRSPAVKWEDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSARLANENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKTQLKGQSFKLSSHDLERLAADTEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFKKAMTVIRPSLQKSKWDELEKWNEEFGSS >ONIVA06G02150.1 pep chromosome:AWHD00000000:6:1406729:1410856:-1 gene:ONIVA06G02150 transcript:ONIVA06G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKDCRCSSMLPLLPLLLTSLAASAAVCLASALAIALLSIALYIIGVVASFAVLCAKEFAERAHDRPPLVGTVFRQLKNFDRMFDEHVNYATAHRTSRIVYPGHCEVFTSDPAVVEHVLKNSFSKYSKGDFLTTAMKDLFGDGIFATDGDMWRHQRKLASYEFSTKVLRDFSSDTFRRNAAKLAEKISCAAANRISINIQDLLMRATMDSIFKVGFGFELNTLSGSDESGIQFSKAFDEANSLVYYRFVDIMWKLKRYLNIGSEAKLKRNIQIIDSFVMKLIHQKREQMKIAADYKTKEDILSRFVLASEQDPGTMDDRYLRDIVLNFLIAGKDTTGNTLTWFFYLLCKNPIVQDKVALEIREFVEWSKEDNTIESFTKRLDEGAISKMHYLQATISETLRLYPAVPVDAKMADEDDVLPNGYRVVKGDGINYMIYAMGRMTYLWGEDAQEFRPERWLVNGVYQQESPFKFVSFNAGPRICLGKEFAHRQMKIMAATLIHFFKFRLEDESKEPIYKTMFTLHIDNGLRLLANLREISP >ONIVA06G02150.2 pep chromosome:AWHD00000000:6:1406729:1410143:-1 gene:ONIVA06G02150 transcript:ONIVA06G02150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQLTSLAASAAVCLASALAIALLSIALYIIGVVASFAVLCAKEFAERAHDRPPLVGTVFRQLKNFDRMFDEHVNYATAHRTSRIVYPGHCEVFTSDPAVVEHVLKNSFSKYSKGDFLTTAMKDLFGDGIFATDGDMWRHQRKLASYEFSTKVLRDFSSDTFRRNAAKLAEKISCAAANRISINIQDLLMRATMDSIFKVGFGFELNTLSGSDESGIQFSKAFDEANSLVYYRFVDIMWKLKRYLNIGSEAKLKRNIQIIDSFVMKLIHQKREQMKIAADYKTKEDILSRFVLASEQDPGTMDDRYLRDIVLNFLIAGKDTTGNTLTWFFYLLCKNPIVQDKVALEIREFVEWSKEDNTIESFTKRLDEGAISKMHYLQATISETLRLYPAVPVDAKMADEDDVLPNGYRVVKGDGINYMIYAMGRMTYLWGEDAQEFRPERWLVNGVYQQESPFKFVSFNAGPRICLGKEFAHRQMKIMAATLIHFFKFRLEDESKEPIYKTMFTLHIDNGLRLLANLREISP >ONIVA06G02140.1 pep chromosome:AWHD00000000:6:1404634:1410477:1 gene:ONIVA06G02140 transcript:ONIVA06G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGQRRREKNYRAAHGGESRLPPPPKQRELDALPSKLRRLIAIQEKHKGGEKGAVAGDSSGKQGESDAAKNKARKDKKTKKQNLEPTADSKAAEISGKDGPVGDENASVNENRRKRKRGKAVDLRFKELDEAVAVSKKQKRKKYLDEKKKKRKGDKMETLPEFPGREKVKFGEVVEAPPKLSFPKVKNALDATREMLRKEAIDNYRNIKGWTSRPGLQLPTLAENKSL >ONIVA06G02130.1 pep chromosome:AWHD00000000:6:1399202:1401457:-1 gene:ONIVA06G02130 transcript:ONIVA06G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 14 [Source:Projected from Arabidopsis thaliana (AT4G11980) TAIR;Acc:AT4G11980] MASSGDHAPQLCTAVAVPGAGAPVRVVAAPGLTEADFTSAVESSLFRQWLKNLQEEKGVLTYGRLNLRQILIQGVDMFGKRVGFVKFKADIIDEETKAKIPGIVFARGPAVAVLILLESKGQTYAVLTEQVRVPVGKFILELPAGMLDDEKGDFVGTAVREVEEETGIKLNLEDMIDLTALLNPDTGCRMLPSPLFSLDQLSPYPKGGCDEEIGLFLYRGHADEDTLRALQGKETGLRDHGELIKLRVVPYSQLWRATADAKALSAIALYEMAKREGLLPSSPTTSRRRGSSSSANL >ONIVA06G02120.1 pep chromosome:AWHD00000000:6:1380747:1398208:1 gene:ONIVA06G02120 transcript:ONIVA06G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAHAAAVVVGVLLYCCLCLFVGVVAGEHGGGGGDIKRQYKAMFSFGDSLTDTGNICVNMSAVNRTELTMAQPPYGITFFGHPTCRCSDGRLVVDFLAEGLGLPLLPPSKVIGGDFRRGANMAIVGGTALDFDFFESIGVGFPFWNYGSMNVQLRWFRDLLPSICATAAPQGISYLAESLFLFGSLGGNDYNAMVLFGFTIDQARNYTPKIVDQIASGVEKLIAMGAVDIIVPGVMPFGCFALYLTELKSSNKSDYDDYGCLKPLNELAIHHNSLLQTSLAAVQARHRRSPSSSPSSPSPAAAVRIMYADYYAVVAEMMQAPARLGFRSGIAACCGAGGGEYNWEYVARCGMRGAAACANPSSAVCWDGAHTTEAANRVIAGGWLRGPYCHPPILL >ONIVA06G02110.1 pep chromosome:AWHD00000000:6:1380082:1380363:-1 gene:ONIVA06G02110 transcript:ONIVA06G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFGLMQAKCLTSAKMYSDYNDDHSDNIASTTTRRENTIAAMVEMHSCTAKYWGVHHTSVPSKKVSRSWILTPKTTTTRMRTGGGGGGGGG >ONIVA06G02100.1 pep chromosome:AWHD00000000:6:1370346:1371003:-1 gene:ONIVA06G02100 transcript:ONIVA06G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSFSKTGEIQCKDHKSERSDSAPNPNPNPNPKCNTYAKIERTGGDEPEGGGGGGWRGRGCGIGSGGIFLGGRRRRRLYREVTLALRDAKADFSFLRARGLRTAASSAPSSAPPPPPPTTRSCSSSGIPSLSPNHPALTLLA >ONIVA06G02090.1 pep chromosome:AWHD00000000:6:1369978:1376553:1 gene:ONIVA06G02090 transcript:ONIVA06G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTSERHRKAGVPFPKLARPRREEKRGELAAAVASRAYKYPRPAAASRAPPPPPPSPQSALPRGGSPIFCCAAGLKREMVNFGKKLMADQIPEWKGYYINYKLMKKKVKQYGQQVQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGALASRIEKLGKQRAILAEQPDISAIAELREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLADLQERQGSYLSIYDQPSTALKDPIIDMINSSVDKLTRSTNFLRFLGQHALIVGEESPSTAEEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFRPLIFSSIVLFLGNVCYAMAYDMKSLTVLIIGRLLCGMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQSTLPGWVMAVAWLLYLVWLWISFKEPNRATEVNGTQQNPASVQRADIEQLENGLAQPLLRDSSKKDEDDDEEVDDSEEGTHDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFNWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQITLLVGIIFSFKITSTYSVVQYVVSALVTFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITAAGYLGIGKLLNVTLLPSLVICAASIASTFLTYNSLF >ONIVA06G02090.2 pep chromosome:AWHD00000000:6:1370163:1376553:1 gene:ONIVA06G02090 transcript:ONIVA06G02090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEEQLRVVGGGGGGAEEGAEEAAVRSPRARRKEKSALASRSASVTSRYSRRRRLPPRKIPPLPIPHPRPLQPPPPPPSGSSPPMVNFGKKLMADQIPEWKGYYINYKLMKKKVKQYGQQVQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGALASRIEKLGKQRAILAEQPDISAIAELREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLADLQERQGSYLSIYDQPSTALKDPIIDMINSSVDKLTRSTNFLRFLGQHALIVGEESPSTAEEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFRPLIFSSIVLFLGNVCYAMAYDMKSLTVLIIGRLLCGMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQSTLPGWVMAVAWLLYLVWLWISFKEPNRATEVNGTQQNPASVQRADIEQLENGLAQPLLRDSSKKDEDDDEEVDDSEEGTHDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFNWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQITLLVGIIFSFKITSTYSVVQYVVSALVTFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITAAGYLGIGKLLNVTLLPSLVICAASIASTFLTYNSLF >ONIVA06G02090.3 pep chromosome:AWHD00000000:6:1370163:1376553:1 gene:ONIVA06G02090 transcript:ONIVA06G02090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEEQLRVVGGGGGGAEEGAEEAAVRSPRARRKEKSALASRSASVTSRYSRRRRLPPRKIPPLPIPHPRPLQPPPPPPSGSSPPMVNFGKKLMADQIPEWKGYYINYKLMKKKVKQYGQQVQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGALASRIEKLGKQRAILAEQPDISAIAELREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLADLQERQGSYLSIYDQPSTALKDPIIDMINSSVDKLTRSTNFLRFLGQHALIVGEESPSTAEEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFRPLIFSSIVLFLGNVCYAMAYDMKSLTVLIIGRLLCGMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQSTLPGWVMAVAWLLYLVWLWISFKEPNRATEVNGTQQNPASVQRADIEQLENGLAQPLLRDSSKKDEDDDEEVDDSEEGTHDSRKPATSIGSAYRLLTPSVKYVVSALVTFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITAAGYLGIGKLLNVTLLPSLVICAASIASTFLTYNSLF >ONIVA06G02080.1 pep chromosome:AWHD00000000:6:1364912:1366102:-1 gene:ONIVA06G02080 transcript:ONIVA06G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATAAVGAATATAAGAATEKGGEAEAAPEGWVHSGDGRGDAAAWARLTRARGGAPGVVALARSTAAVASWSPDPVGKERRRRRRRWWASCLLRGVVDQRRGCLLHRRSDHGDGGLPERSLGSGGMAAAAKGSSTCSDRGRRSQVHLPRRFLFRPQPPKPCLRRCPCPLVTGVRSCPSPPSEPATEFPVPHSFFIRFPLLLPRRRSSARRRASPAVDLPGGQLLSVQDDIAATLTCGSHHAESACQPDQQRHLGRNRQQNHRGR >ONIVA06G02070.1 pep chromosome:AWHD00000000:6:1361560:1364684:-1 gene:ONIVA06G02070 transcript:ONIVA06G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKEVVVAAAAAAGGGAGAESGAEGSSSAGGSGGGGELAEALARRRLYREVTLALRTGLRDAKADFSFLRARGLRSLLGFLRSTASATDDSQLLLFRHSQSIPDLQVIPVLFQNSLHQPKEDPVVTLDHIFGVEPMKITSPPTDNEIALALRVLEGCCLLYSRCTALAHKYKAVKVILNILANRGPAEQGVCLDALISLMLDSPPNQMDFEEFSGLEKVAELLKDVQIEMWGVPTIANWACLCERKLSHTRTNENFVRRAVRITDMGSKSVWLHSRRGAEADGLANTSKESC >ONIVA06G02060.1 pep chromosome:AWHD00000000:6:1358366:1360361:-1 gene:ONIVA06G02060 transcript:ONIVA06G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPRPQNEPISISPTKSLTLFPPPSTRQLHAPTSPSSSFLRRAVDLPPLPGRRRRGVLHAACCSCLLPRPPLFFKRWPSVGGAAREVDAASSASRRSVGDGAVDGYKFGDQTTSDVRVCFKRADDQAEWFCCHSSVLSGNSKYFADWLSRNDIGSNNCIEVDCISADYEHYVKVLKLIYLPAESIIDSFESVRSAVGVLRASTLLKCELITRSCIEYLEAASWDEKEEEEILEVAQSLGSEEAVALLARLQAPNVSAVKNVFISAIRFATSMESPSPPFLDDLKTSAQEQIDFMLHEDDDTALVTMDEDVRSVVQEGLKKLFSTLKIGLDLLTSEYEQLPEQAEQRVLCSLADIDWMANVLTKIEMMNEFVSGWSEISGYVLSVVQDKKYSSGLWLVKAKLIEVTGKAFDAVGYGSVVFPASSRVHFLRMWLPFMQTTKRLLDEKSKDDAIPQMDADLFQNIEGAIVSLVLALPSGDQADILGEWMKNAEQFRYPDLTEAFEVWCYRSKTAKRRLVGGLNGSGNPTVSL >ONIVA06G02050.1 pep chromosome:AWHD00000000:6:1352900:1356322:1 gene:ONIVA06G02050 transcript:ONIVA06G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLRYLAGTAGASGFGSRATAEDATAACSDLRHITAIITGATSGIGAETARVLAKRGARLVLPARSLKAAAEARARLLDECPAAAGDVVVMPLDLSSLASVRRFAARFLALGLPLNLLINNAGKFADRFALSDDGVEMTFATNYLGHFLLTKLLMEKMAETAAATGVEGRIVNVSSTIHSWFAGDDAVGYIDAVTRRKIPYDPTRAYALSKLANVLHTRALADRLKEMKANVTANCVHPGIVRTRLIRERDGLVTNTVFFLASKLLKTIPQAAATTCYVAVHPAVAGVSGKYFADCNEASPSRLGSNADEAAKLWRFSDEVAAEEKEESVHAGSFRLQVQSSNADRGLAFA >ONIVA06G02040.1 pep chromosome:AWHD00000000:6:1344480:1345658:1 gene:ONIVA06G02040 transcript:ONIVA06G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLLPVPVPPSLLYAVAALALAAVAHLLHLPSLLLYALHTYIHPDAVPSSTPRAVLRPPGAAAGSGNPKQQRGGGGGGKAAASPFDEGSNSAQLYRLRLSHATLATRPRFADFHLALLLPLALLPPALLLPASAAGAASPLAPLPPVVFLFVALLRLVMLPSPRPAYLAAALGALLVATLLSSSPFAGALASLAALPATRFARSFWLGTDQPRSGLAVLASSAPARLLLYLAVLVSSAASILQCCGFLDSPELEVKLLAAAAGLQLLASRAAVQMYLNEAVFCWYQRLHVSRSPDTEYGRAKVFLHNHHLCAVATQLVAPPLLVLSLLALWRVQGKDYFEGVEELNWLVGWSVAMKEAALLAARWIVAVWSTVTVGTLVFYKRGWLFVL >ONIVA06G02030.1 pep chromosome:AWHD00000000:6:1341401:1342078:-1 gene:ONIVA06G02030 transcript:ONIVA06G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAMFRFMSKNGAGDGCGGGGGGGGGGVALEVTVLSAESLRLPPPSYYSLIPRRLRPYVTVSSAASACCTDVAAAASGEHSWNDTLVVPVGAEFLESRGGGGVHVAVYSEPACRLVGGATPLGWCRIPAADVLDGLRPPRALRRLSYSLRCPRTGGPARGVVHLAVRVLGDLVPPPPPQHAPSTPPAQPGWCRVAMGIPVSGTSAAVVGMPAWAAWGGEAAASR >ONIVA06G02020.1 pep chromosome:AWHD00000000:6:1338332:1340010:-1 gene:ONIVA06G02020 transcript:ONIVA06G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYPFSLPPELEASCSPPPPPELLRRLRRAGDPARLGGGGAAVVRKEAIPVRQSGHGISPAIPAESAGPLKTDGAGICSFIEAIRNLRRQRGNRWPRNDQSET >ONIVA06G02010.1 pep chromosome:AWHD00000000:6:1335005:1340866:1 gene:ONIVA06G02010 transcript:ONIVA06G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCVGLGERLAAAAKDGDAAEAQRLLAANPGLARCTTFGNLNSPLHVAAAKGHHEIAALLLENGADVNARNIYGQTPLMQACRFGHWEVVQTLLVWRVENLSGRTALHMAAAGGHVKCVRLLVADAAGDRDGYVNKAANGGVTALHLAALHGHVECVHLLIDERASLAAQTLPCAAPPMASIGAGSTPLHYAACGGEVKCCQILVSRGADRTAINCNGWLPIDAARIWGCNWLEHVLSPKSHLPIPKFPPSGYLSQPLPSLIAIAREQGLNLSSEVSDGFDEGADACAVCLERPCTVAAEGCDHELCVKCAMDLCSVIKSYDSAGIAGEIPCPLCRTGIASFRTTAAPPPPSLAGSPARRSRRNNSGGGGGEHEASNSGGSEKGYGSIDPDAGAVVPLYYAPPFAPSAILT >ONIVA06G02010.2 pep chromosome:AWHD00000000:6:1335005:1340866:1 gene:ONIVA06G02010 transcript:ONIVA06G02010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCVGLGERLAAAAKDGDAAEAQRLLAANPGLARCTTFGNLNSPLHVAAAKGHHEIAALLLENGADVNARNIYGQVWRVENLSGRTALHMAAAGGHVKCVRLLVADAAGDRDGYVNKAANGGVTALHLAALHGHVECVHLLIDERASLAAQTLPCAAPPMASIGAGSTPLHYAACGGEVKCCQILVSRGADRTAINCNGWLPIDAARIWGCNWLEHVLSPKSHLPIPKFPPSGYLSQPLPSLIAIAREQGLNLSSEVSDGFDEGADACAVCLERPCTVAAEGCDHELCVKCAMDLCSVIKSYDSAGIAGEIPCPLCRTGIASFRTTAAPPPPSLAGSPARRSRRNNSGGGGGEHEASNSGGSEKGYGSIDPDAGAVVPLYYAPPFAPSAILT >ONIVA06G02000.1 pep chromosome:AWHD00000000:6:1318630:1331513:1 gene:ONIVA06G02000 transcript:ONIVA06G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo sac development arrest 7 [Source:Projected from Arabidopsis thaliana (AT3G56990) TAIR;Acc:AT3G56990] MASNNGAGTLRSTSINGVKLYSITGNRYVAPWRRLDLIHDLRFETATTKIKVTPDEQYVIASGIYPPQVKVYELKELSMKFERHMISEIVDFQVLGDDYSKLAFLCADRSVCLHAKYGRHYSLRIPRMGRDMAYDCWSCDLLCAASSPDVYRINLEQGRFLASLSSQSPAINVVSRSNIHGLIACGGEDGVVECFDMRRKSSVGRINTAVSPEDFNQEVTSLQFDENQGYLMAVGSSTGKVAIYDLRMSSPLRVKDHMYGSPILSIKWHQTLNSTEPKLITADKHIVRVWDPNTGNNMTSIEPDGGTINDVCVFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKTENIVYEDFKFLTKDEMDRYDLSKYIDQGLVRAHMHGYVMKLQLYKKLLATSAVDPDNIQEKVKQKKIEEQRKSRITQVVKIPKVNRQIMDNILKEEEEMDADLENDEKSGIKKKKKKLEMNKALLTDPRFKEMFENKDFEIDEQSREYLALHPQTSLKEPRLIEEHFETVSDDEEQEDASSSDASAESDSDNGMQSSKRIRLYEVKDDRHAEAFLNSTSLANEDALPIGDRVAALERQRNSNALDEVKYGPGGSREISFIARGSRRRNEESDDEEPKDFKRRAVAEEEEEEEEEVVGEEVVVVVEEVEEEVVVVGEEAEAEAEAEGGAEAVDDEHLWARTVSLLGRKIPNPTVNFPDQIHAKTLSHLNPPLPWIIAAAAAAAGISLTFPLVGPSFRASQSSDPSVVAVVMLSLSRALGRRLFSSSSAAASDATAAAAAVVRKAQNPLEEFFEVERSTEEDKPPPHYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMSQRQMLHSENMRFPNPERVSKVKKSMCRIKHVLTERAIAEPDPRRSAEMKRMINAL >ONIVA06G02000.2 pep chromosome:AWHD00000000:6:1318630:1326694:1 gene:ONIVA06G02000 transcript:ONIVA06G02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo sac development arrest 7 [Source:Projected from Arabidopsis thaliana (AT3G56990) TAIR;Acc:AT3G56990] MASNNGAGTLRSTSINGVKLYSITGNRYVAPWRRLDLIHDLRFETATTKIKVTPDEQYVIASGIYPPQVKVYELKELSMKFERHMISEIVDFQVLGDDYSKLAFLCADRSVCLHAKYGRHYSLRIPRMGRDMAYDCWSCDLLCAASSPDVYRINLEQGRFLASLSSQSPAINVVSRSNIHGLIACGGEDGVVECFDMRRKSSVGRINTAVSPEDFNQEVTSLQFDENQGYLMAVGSSTGKVAIYDLRMSSPLRVKDHMYGSPILSIKWHQTLNSTEPKLITADKHIVRVWDPNTGNNMTSIEPDGGTINDVCVFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKTENIVYEDFKFLTKDEMDRYDLSKYIDQGLVRAHMHGYVMKLQLYKKLLATSAVDPDNIQEKVKQKKIEEQRKSRITQVVKIPKVNRQIMDNILKEEEEMDADLENDEKSGIKKKKKKLEMNKALLTDPRFKEMFENKDFEIDEQSREYLALHPQTSLKEPRLIEEHFETVSDDEEQEDASSSDASAESDSDNGMQSSKRIRLYEVKDDRHAEAFLNSTSLANEDALPIGDRVAALERQRNSNALDEVKYGPGGSREISFIARGSRRRNEESDDEEPKDFKRRGVQSLGLKQGKAERQRQRQRQREGQRPWMMSTCFTITLLTFC >ONIVA06G02000.3 pep chromosome:AWHD00000000:6:1326937:1331513:1 gene:ONIVA06G02000 transcript:ONIVA06G02000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo sac development arrest 7 [Source:Projected from Arabidopsis thaliana (AT3G56990) TAIR;Acc:AT3G56990] MLSLSRALGRRLFSSSSAAASDATAAAAAVVRKAQNPLEEFFEVERSTEEDKPPPHYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMSQRQMLHSENMRFPNPERVSKVKKSMCRIKHVLTERAIAEPDPRRSAEMKRMINAL >ONIVA06G01990.1 pep chromosome:AWHD00000000:6:1308008:1316783:-1 gene:ONIVA06G01990 transcript:ONIVA06G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSRILAAGHLLRGSRSRYDPSPVAAAAPIFRRPPTVPRPLPSPLLGGFGPNCWVYPGDGKYAPFGRLSCFMSDSTYPPPPRYVRGHAFSTSANAVAVGKSSDDKVKKDISKKDVDDQIADTQILKNLGKYLLLNDSPDFRFRLILSLGLLVGAKVINVQVPFLFKLAVDWLAALAGAETSLASFTEANATLLALFASPAAVLIGYGIARSGVSACTELRNAVFSKVTLRAIRSVSSTVFSHLHELDLRYHLSRQTGALNRIIDRGSRAINYILTVMVFNVVPTILEIGMVSSILAYKFGSTFAWITSVSVATYIAFTLAVTQWRTKFRTAMNKADNASSTVAVDSLLNYETVKYFNNEQFEVEKYDKYLKKYEDAALKTQSSLAYLNFGQNIIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIKDEPHAQPLQFKGGRIEFENVHFGYVPERKILKGATFTVPAGKSVAIVGTSGSGKSTILRLLFRFFDSSSGSIRIDGQDIREVTLDSLRKCIGVVPQDTVLFNDTIKHNIQYGRLSATDEEVYDVARRAAIHDTIMNFPDKYNTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLKTLSVDRTSIFIAHRLTTAMQCDEIIVLENGEVVEQGPHDFLLSKGGRYAELWSQQNNSDAIDAAAVCEIKTYFM >ONIVA06G01980.1 pep chromosome:AWHD00000000:6:1301714:1305567:-1 gene:ONIVA06G01980 transcript:ONIVA06G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLINYQHPDDANQAYFPKLVVVLGITVALLSILMLPADVANRQACRRAIYSGACSLTLPMKTLWLAVYIADAVLVFLVIPFAMFYYEGDQDKSVGKRLTSALLWVAVSAVVCGLILGILYGLVGKVDFTVRHLSSAVETFPNSFTSFSTGQPCISTSPKQCAAYTAPANSQTTWTMRATFPEYVVALATIVGSVLFTIFGGVGIACLPLGLIFSFVRRPKAVITRSQYIKEATELGKKARELKKAAEALHQEERSGKKGRKWRKNVKALGKELVLLEDDMKALEEMYPQGEQAEATWALTVLGYIGKLLFGAVGLIISIAWVAHIVIYLLIDPPLSSFLNEIFVKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN >ONIVA06G01980.2 pep chromosome:AWHD00000000:6:1301714:1305567:-1 gene:ONIVA06G01980 transcript:ONIVA06G01980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLINYQHPDDANQAYFPKLVVVLGITVALLSILMLPADVANRQACRRAIYSGACSLTLPMKTLWLAVYIADAVLVFLVIPFAMFYYEGDQDKSVGKRLTSALLWVAVSAVVCGLILGILYGLVGKVDFTVRHLSSAVETFPNSFTSFSTGQPCISTSPKQCAAYTAPANSQTTWTMRATFPEYVVALATIVGSVLFTEATELGKKARELKKAAEALHQEERSGKKGRKWRKNVKALGKELVLLEDDMKALEEMYPQGEQAEATWALTVLGYIGKLLFGAVGLIISIAWVAHIVIYLLIDPPLSSFLNEIFVKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN >ONIVA06G01980.3 pep chromosome:AWHD00000000:6:1301714:1305567:-1 gene:ONIVA06G01980 transcript:ONIVA06G01980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLINYQHPDDANQAYFPKLVVVLGITVALLSILMLPADVANRQACRRAIYSGACSLTLPMKTLWLAVYIADAVLVFLVIPFAMFYYEGDQDKSVGKRLTSALLWVAVSAVVCGLILGILYGLVGKVDFTVRHLSSAVETFPNSFTSFSTGQPCISTSPKQEATELGKKARELKKAAEALHQEERSGKKGRKWRKNVKALGKELVLLEDDMKALEEMYPQGEQAEATWALTVLGYIGKLLFGAVGLIISIAWVAHIVIYLLIDPPLSSFLNEIFVKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN >ONIVA06G01970.1 pep chromosome:AWHD00000000:6:1293214:1302428:1 gene:ONIVA06G01970 transcript:ONIVA06G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTMKLPLPAMAAVVALCAASYLLAVWTHPAPPLPASSLAAVPCNTRQPPAPAASKNDTALDFSIHHGASEEDAAEAGAPPSRRVPACDAGYSEHTPCEGQRWSLRQPRRRFAYRERHCPPPAERRRCLVPAPRGYRAPLRWPRSRDAAWYANAPHEELVTEKGVQNWIRRNGDVLRFPGGGTMFPHGADRYIDDIAAAAGITLGGGGAVRTALDTGCGVASWGAYLLSRDVLTMSFAPKDTHEAQVLFALERGVPAMLGIMATKRLPYPARAFDMAHCSRCLIPWSKYNGLYMIEVDRVLRPGGYWVLSGPPVNWERHFKGWKRTPEDLSSEQSAIEAIAKNLCWTKVQQMGDIAVWQKPINHVSCKASRNKLGGLGFCNSNQDPDAGWYVNMEECITPLPEVSGPGDVAGGEVKRWPERLTSPPPRIAGGSLGSSVTVDTFIKDSEMWRRRVDRYKGVSGGLAEKGRYRNLLDMNAGLGGFAAALVDDPVWVMNVVPTAAVANTLGVIYERGLIGTYQDWCEAMSTYPRTYDLIHAYSLFTMYKDRCEMEDILLEMDRVLRPEGTVIFRDDVDVLVKIKNIADGMRWESRIVDHEDGPMQREKILVSVKSYWTA >ONIVA06G01960.1 pep chromosome:AWHD00000000:6:1291247:1293059:1 gene:ONIVA06G01960 transcript:ONIVA06G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSTTMARSTTLLACCKLYISESRNDAALRAIEQAARGGGGGAVVVNRFTDDAYNRVGYTLVAPLTPSPAPPPLRHAVLGMVRAALEAIDFGAHAGTHPRLGAVDHICFHPLAHASLRHVADLTGAVAADIGDELQVPTFLYGAAHREGRTLASIRRQLGYFKPNSSGDQWRGAPETDALPVAPDAGPERPPRSKGVVVVGATSWVDNYNVPVHTGDVEAARRIARAVSERGGGLPSVQAMGLAHGGGVVEVACNLLDPARVGAEQVQGMVERLAAGEGLSVGKGYFTDFSQDKIVDLYFRSAANTEG >ONIVA06G01950.1 pep chromosome:AWHD00000000:6:1289445:1294520:-1 gene:ONIVA06G01950 transcript:ONIVA06G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSFMRYLTRSVTNRVGNNRPTSSETNYFYMTPPTMEWRAAPTLELISDVGGHGSGEVGDVAEGGVGEGVEADVVDGAEARVRAGVGAEVDGLQRRPDHAEHGVPERRRRRRGGERRDERVAHPVVGVVGEAVDDDGAAAAAARRLLDGAQGGVVAALGDHASNVVDLAIVVDVIIVFFSGDVEMTRDQRLFSTTFGEDDTCENKDWHVGAGRKATTVGEDVACENKAGLPRRCWEKGMSN >ONIVA06G01950.2 pep chromosome:AWHD00000000:6:1289445:1295275:-1 gene:ONIVA06G01950 transcript:ONIVA06G01950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRHYVDHQSTNEEKLHSGLGPPVSDYHHQRYLTRSVTNRVGNNRPTSSETNYFYMTPPTMEWRAAPTLELISDVGGHGSGEVGDVAEGGVGEGVEADVVDGAEARVRAGVGAEVDGLQRRPDHAEHGVPERRRRRRGGERRDERVAHPVVGVVGEAVDDDGAAAAAARRLLDGAQGGVVAALGDHASNVVDLAIVVDVIIVFFSGDVEMTRDQRLFSTTFGEDDTCENKDWHVGAGRKATTVGEDVACENKAGLPRRCWEKGMSN >ONIVA06G01940.1 pep chromosome:AWHD00000000:6:1287569:1289404:-1 gene:ONIVA06G01940 transcript:ONIVA06G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAEATSPSFLDPPNLGILIEPFFIPLSSSWMWSSSRSWSLLPWMAWTCSTSTLTGWLMRPHSRTITAGTARCPSLDPLSEWILLVGNSFRGSGKYCYVFMCRRGQQGKTNAKSAVSKSPTLGSVGRLVGVAGAALVSSFGKD >ONIVA06G01930.1 pep chromosome:AWHD00000000:6:1286085:1287221:1 gene:ONIVA06G01930 transcript:ONIVA06G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLHPLAEPTPIPKPPDRIAVAAAEARRKTRMPAAAAAKTLVPARGGGDMEEPLLAESSDRFSMFPIRYPQIWEFYKKAVASFWTAEEVDLSADARHWDAALSPDERHFVSHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDDVEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRGKLDESRVQEIVADAVDIEREFVCDALPVALVGMNGELMSQYIEFVADRLLMALGCKKMYNVANPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGGASCNHVFSIDEDF >ONIVA06G01920.1 pep chromosome:AWHD00000000:6:1283050:1285582:-1 gene:ONIVA06G01920 transcript:ONIVA06G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKTFIKTYRSIIEEISASVAALTWPHRVASGSRDLGRRFGAGAHASDTRVRWWEPNGNKRTGACCVTASTRIVGIRRLLLVVVVADEEAVRALPRHIPQRECERNQSEKQRRKKKKKTTRRRRRSRRRRRSLLGTSRSGE >ONIVA06G01910.1 pep chromosome:AWHD00000000:6:1280961:1283037:-1 gene:ONIVA06G01910 transcript:ONIVA06G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor [Source:Projected from Arabidopsis thaliana (AT1G63100) TAIR;Acc:AT1G63100] MLAGCSFSSSRHQMSTAQRFDILPCGFSKRSSRGDGAAPRVAGDARSGATTCSFRTHPAPPVTQSVSWGAKPEPGGNGNGAHRAVKRAHDEDAVEEYGPIVRAKRTRMGGDGDEVWFHQSIAGTMQATAAGEGEEAEEEKVFLVPSAAAFPHGMAAAGPSLAAAKKEEYSKSPSDSSSSSGTDGGSSAMMPPPQPPEFDARNGVPAPGQAEREALELMASPAGPTPMHRVAAYFTEALALRVVRMWPHMFDIGPPRELTDDAFGGGDDDAMALRILNAITPIPRFLHFTLNERLLREFEGHERVHVIDFDIKQGLQWPGLLQSLAARAVPPAHVRITGIGESRQELQETGARLARVAAALGLAFEFHAVVDRLEDVRLWMLHVKRGECVAVNCVLAMHRLLRDDAALTDFLGLARSTGATILLLGEHEGGGLNSGRWEARFARALRYYAAAFDAVDAAGLPEASPARAKAEEMFAREIRNAVAFEGPERFERHESFAGWRRRMEDGGGFKNAGIGEREAMQGRMIARMFGPDKYTVQAHGGGGSGDGEALTLRWLDQPLYTVTAWTPAGDGAGGSTVSASTTASHSQQS >ONIVA06G01900.1 pep chromosome:AWHD00000000:6:1280025:1280264:-1 gene:ONIVA06G01900 transcript:ONIVA06G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIQPTHISKRPARSGPSSRTRGKPRQAPATSSVAAALHTPPAGAVTIRFSKRPRASHLSSSCAARTPCPPPAAASGS >ONIVA06G01890.1 pep chromosome:AWHD00000000:6:1275414:1279759:-1 gene:ONIVA06G01890 transcript:ONIVA06G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYKYPMIPRGRRKGRIPSMEKKNVDNCCSKTCKTKTIADRKEGADHVDVTSTWLVRTTRRETKKEMRFP >ONIVA06G01880.1 pep chromosome:AWHD00000000:6:1270382:1281320:1 gene:ONIVA06G01880 transcript:ONIVA06G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHHQEVQEQGHGDGEEEEQDNSQITSPLLRSSMRLGSPEENSPVEQVALTVPVGDNPATPVLTFRIWVLGTASCVVLSFLNTFFWYRKEPLTVTAISAQIAVVPLGRLMAAALPERVFFRGRPWEFTLNPGPFNVKEHVLITIFANAGAGSVFAINVITAVRVFYGKRISFFVSLLVVLTSQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRSKGGMTRTQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWIFPKSVLAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANIAAGFFIYIYVITPIAYWINLYRAQNFPIFSDGLFTVTGQKYNISTIIDSQFHFDTKAYEKNGPLYISTFFSISYGLGFACLTATVVHVLLFHGSEIWQLSRSAFQDKKMDIHTKLMKRYKQVPEWWFISILIASVAITMFTCEYYIEQLQLPWWGVLLACALAIFFTLPIGIVTATTNQTPGLNIITEYIMGYLYPGQPVANMCFKVYGYIGPQQALAFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMDTIPNICNTELLPPGSPWTCPYDHLFYDASVIWGLIGPRRIFGGLGTYSAVNWFFLGGAIAPLLVWFAHKAFPGQKWILLVNMPVLIAGISQMPPATSVNYTAWVFVAFLSGYVVYKYRRDWWERHNYLLSGALDAGLAFMAVLLYLCLGLEKISLNWWGNDLDGCPLASCPIAEGITVQGCPVASA >ONIVA06G01880.2 pep chromosome:AWHD00000000:6:1270382:1281320:1 gene:ONIVA06G01880 transcript:ONIVA06G01880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHHQEVQEQGHGDGEEEEQDNSQITSPLLRSSMRLGSPEENSPVEQVALTVPVGDNPATPVLTFRIWVLGTASCVVLSFLNTFFWYRKEPLTVTAISAQIAVVPLGRLMAAALPERVFFRGRPWEFTLNPGPFNVKEHVLITIFANAGAGSVFAINVITAVRVFYGKRISFFVSLLVVLTSQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRSKGGMTRTQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWIFPKSVLAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANIAAGFFIYIYVITPIAYWINLYRAQNFPIFSDGLFTVTGQKYNISTIIDSQFHFDTKAYEKNGPLYISTFFSISYGLGFACLTATVVHVLLFHGSEIWQLSRSAFQDKKMDIHTKLMKRYKQVPEWWFISILIASVAITMFTCEYYIEQLQLPWWGVLLACALAIFFTLPIGIVTATTNQTPGLNIITEYIMGYLYPGQPVANMCFKVYGYIGPQQALAFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMDTIPNICNTELLPPGSPWTCPYDHLFYDASVIWGLIGPRRIFGGLGTYSAVNWFFLGGAIAPLLVWFAHKAFPGQKWILLVNMPVLIAGISQMPPATSVNYTAWVFVAFLSGYVVYKYRRDWWERHNYLLSGALDAGLAFMAVLLYLCLGLEKISLNWWGNDLDGCPLASCPIAEGITVQGCPVASA >ONIVA06G01880.3 pep chromosome:AWHD00000000:6:1274619:1278925:1 gene:ONIVA06G01880 transcript:ONIVA06G01880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHHQEVQEQGHGDGEEEEQDNSQITSPLLRSSMRLGSPEENSPVEQVALTVPVGDNPATPVLTFRIWVLGTASCVVLSFLNTFFWYRKEPLTVTAISAQIAVVPLGRLMAAALPERVFFRGRPWEFTLNPGPFNVKEHVLITIFANAGAGSVFAINVITAVRVFYGKRISFFVSLLVVLTSQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRSKGGMTRTQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWIFPKSVLAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANIAAGFFIYIYVITPIAYWINLYRAQNFPIFSDGLFTVTGQKYNISTIIDSQFHFDTKAYEKNGPLYISTFFSISYGLGFACLTATVVHVLLFHGSEIWQLSRSAFQDKKMDIHTKLMKRYKQVPEWWFISILIASVAITMFTCEYYIEQLQLPWWGVLLACALAIFFTLPIGIVTATTNQTPGLNIITEYIMGYLYPGQPVANMCFKVYGYIGPQQALAFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMDTIPNICNTELLPPGSPWTCPYDHLFYDASVIWGLIGPRRIFGGLGTYSAVNWFFLGGAIAPLLVWFAHKAFPGQKWILLVNMPVLIAGISQMPPATSVNYTAWVFVAFLSGYVVYKYRRDWWERHNYLLSGALDAGLAFMAVLLYLCLGLEKISLNWWGNDLDGCPLASCPIAEGVSSGKCIAKFLLIHSTAGNGISIILRRMQRSKNHAERL >ONIVA06G01880.4 pep chromosome:AWHD00000000:6:1270382:1273943:1 gene:ONIVA06G01880 transcript:ONIVA06G01880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYAAAADAARHHHHHQLPLSAAPAAGMPTPPPAASQVAAAGQPLKRPRPADFSDVPGAPEMAGYYSRDEERPGYRPARDTEALNASYERFLRTGQIQSYGAGAGAGPGAESIRPAAGGNAGYPVEDRPMMAGGGMEARNIGFGGGMPEPPLPPDASNTLFIEGIPTDCARREVSRILKSHAFFCLSLIFHLHKLWVCWSFTFPQDIFRPFVGFREVRLVSKEARHPGGDPILLCFVDFETASQAAIAMDALQGYKFDEHDRNSPHLRLQFARFTGPRGGSGPGGGRVRR >ONIVA06G01880.5 pep chromosome:AWHD00000000:6:1270382:1273943:1 gene:ONIVA06G01880 transcript:ONIVA06G01880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYAAAADAARHHHHHQLPLSAAPAAGMPTPPPAASQVAAAGQPLKRPRPADFSDVPGAPEMAGYYSRDEERPGYRPARDTEALNASYERFLRTGQIQSYGAGAGAGPGAESIRPAAGGNAGYPVEDRPMMAGGGMEARNIGFGGGMPEPPLPPDASNTLFIEGIPTDCARREVSRILKSHAFFCLSLIFHLHKLWVCWSFTFPQDIFRPFVGFREVRLVSKEARHPGGDPILLCFVDFETASQAAIAMDALQGYKFDEHDRNSPHLRLQFARFTGPRGGSGPGGGRVRR >ONIVA06G01870.1 pep chromosome:AWHD00000000:6:1263233:1263870:-1 gene:ONIVA06G01870 transcript:ONIVA06G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLDAHFFLLPPIKKQRLPPPPTNEYPHPSLSLSLAPPGCLALPEADLAAGDSARPPEQPARADSVAEEARMMH >ONIVA06G01860.1 pep chromosome:AWHD00000000:6:1253745:1263158:-1 gene:ONIVA06G01860 transcript:ONIVA06G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGDAKYNSYKAAGLRGAILEAAHVSCLEDRYALGPQLGWGQFGVIRSCSDMVTGEALACKSIAKDRLVSPDDVRGVKLEIEVMARLSGHPNVVDLKAVYEDEESVHLVMELCAGGELFHRLEERGCFSEHEAAALFRYLMEVVAHCHSKGIVHRDLKPENILLVSKSPSSPIKLADFGLATYIQPGRSLSGMVGSPFYIAPEVLAGGYNEAADVWSAGVILYILLSGIPPFWGKTKSKIFECIRSTELRFPSDPWDKVSDSAKELITEMLRRDPRQRLTAKQSIPGYKIMPTNPKILVDIAMRSISEERTPAHVRSRRRWRHAAAISSFSAFVAENAPSCALSGFSFGGVCEPCNAVFPSPVASMPSFSFFCGQEPGEPESSPDGDALGEKAHCDATVVALVSSSAPRTAEVLRAAVRANPSRAIGMNSRRNHTIGAGEREHLDVAVAESVIRWASCTNLSTTHSLRASLANGADLRGCTIRRCGREGYGVFSTAAEAGATDEVVMVVPLDLAITPMRVLQDPLVGPRCRALFEEGGVDDRLLVMLFLMVERLRPSSLWKPYLDMLPSTFGSSIWFTEDELAELEGTTLHRATVMQRKSLQTLFDNKVKGLVGELLKVDESGSSIEVRFEDFLWANSIFWTRALNIPLPRFYVFPESLDEKRANIGDDCGDSSLNAPQREEHCIGPFLALKIFHTNREILLLKAYSGLKGTGTAITAKNISGNDNPKSSNTESIWVEGLVPGIDFCNHNVKALATWEVDSMGHVTGCPSSMYLVLADKSFVKAETEICINYGNKGNEELLYLYGFVIDNNPDDYLMIHYPVEALRQVQSADIKMKLLEIQNAELRCLLPRSLLENGFFGSCSGENKENKNNTSPFSSYSWSGQRKVPSYIEKIVFSQEFISTLRTIALQEHELEHTASLLGEIGSNEDREPSSDELRSAIWEPFSFARMTELEEGTGTEASDSQLLEKFDLSDSEDATRSDESNETKSKVNIRSCIVYRRGQKQLTKLFLREAEHLLELSSKEEN >ONIVA06G01850.1 pep chromosome:AWHD00000000:6:1249828:1250472:1 gene:ONIVA06G01850 transcript:ONIVA06G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDEQEEYATVTSAPPKRPAGRTKFRETRHPVYRGVRRRGPAGRWVCEVREPNKKSRIWLGTFATAEAAARAHDVAALALRGRGACLNFADSARLLRVDPATLATPDDIRRAAIELAESCPHDAAAAAASSSAAAVEAAAAAAPAMMMQYQDDMAATPSSYDYAYYGNMDFDQPSYYYDGMGGGGEYQSWQMDGDDDGGAGGYGGGDVTLWSY >ONIVA06G01840.1 pep chromosome:AWHD00000000:6:1241828:1245864:1 gene:ONIVA06G01840 transcript:ONIVA06G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIPKTPETNRVAKITGGGGHNVLHIKVFLHWEPIFSKEPGEELDLERCFVVPDKLPVEARRQFTALDKIEVARLQNVTRIFRASHIRSVICHVTAKAWGDGNPPRFGELAWSTQIIRTCKTGAGTFPSARSKLLDVQRVESLSRSPLLINHDFASRLAAAISSKHHAAAAAAAAVEADLLRAERIASVSHQLYSMSSLESARADLALLILYLNKAEARDKICRAIQYGSKFVSNGQPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNALLSTFLFLDQIVWAGRTGIYKNKERAEFLSKIAFYCFLGSNTCTSIIEVAELQRLSKSMKKLEKELKHQELLKNEQYQMKLQKCNERRLALIKSSLDIVVAIGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPAPAKSK >ONIVA06G01830.1 pep chromosome:AWHD00000000:6:1222257:1228078:-1 gene:ONIVA06G01830 transcript:ONIVA06G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEMLVAAAVSQVARKINGIVGVAQGEVKLCCNFSDDLEGIKDTLVYLETLLKNAENNSFGSDRANLRHWLGQIKSLAYDIEDIVDGYYSSKEQFDGGSYAQKGSLFCSLSNPMLLKGSMVYKMKSKREMLQQRQQLPNQYHFLSHINSAVNFEEKQTTSYRNTDIAIVGRDADLDNLMDILMENSAEELSIISIVGPVGFGKTSLAQFVFNNTRTEVFSFRIWVHVSMGNINLEKIGRDIVSQTTEKIEGNMQLQSIKNAVQRVLNKYSCLIIINSLWGKDEEVNELKQMLLTGRHTESKIIVTTHSNKVAKLISTVPLYKLAALSEDDCLKIFSQRAMTGPGDPLFREYGEEIVRRCEGTPLVANFLGSVVNAQRQRHEIWQAAKDEEMWKIEEDYPQDKISPLFPSFKIIYYNMPHELRLCFVYCSIFPKGTVIEKKKLIQQWIALDMIESKHGTLPLDVTAEKYIDELKAIYFLQVLERSQNDAERSSASEEMLRMHNLAHDLARSVAGEDILVILDAENERNARYCDYRYAQVSASSLESIDRKAWPSKARSLIFKNSGADFEHVSEVLSVNKYLRVLDLSGCCVQDIPSPIFQLKQLRYLDDCIVQSFSLSPRSSQSCQRSEKAEQVRSRNSEISEITYEEPAEIELLRNNPSKDLASISHLNEDRIEEPEVVTEPSATRGMVQQIPGNQLSSPSSHLSSFASSSAPFASSSSDTSTSEHPVPNEEAAALTVPRSNEKCDNTPMPVKDGLISEDDAPVHLHQKPLQATAMAAI >ONIVA06G01830.2 pep chromosome:AWHD00000000:6:1222257:1228078:-1 gene:ONIVA06G01830 transcript:ONIVA06G01830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEMLVAAAVSQVARKINGIVGVAQGEVKLCCNFSDDLEGIKDTLVYLETLLKNAENNSFGSDRANLRHWLGQIKSLAYDIEDIVDGYYSSKEQFDGGSYAQKGSLFCSLSNPMLLKGSMVYKMKSKREMLQQRQQLPNQYHFLSHINSAVNFEEKQTTSYRNTDIAIVGRDADLDNLMDILMENSAEELSIISIVGPVGFGKTSLAQFVFNNTRTEVFSFRIWVHVSMGNINLEKIGRDIVSQTTEKIEGNMQLQSIKNAVQRVLNKYSCLIIINSLWGKDEEVNELKQMLLTGRHTESKIIVTTHSNKVAKLISTVPLYKLAALSEDDCLKIFSQRAMTGPGDPLFREYGEEIVRRCEGTPLVANFLGSVVNAQRQRHEIWQAAKDEEMWKIEEDYPQDKISPLFPSFKIIYYNMPHELRLCFVYCSIFPKGTVIEKKKLIQQWIALDMIESKHGTLPLDVTAEKYIDELKAIYFLQVLERSQNDAERSSASEEMLRMHNLAHDLARSVAGEDILVILDAENERNARYCDYRYAQVSASSLESIDRKAWPSKARSLIFKNSGADFEHVSEVLSVNKYLRVLDLSGCCVQDIPSPIFQLKQLRYLDVSSLSITALPLQISSFHKLQMLDLSETELTELPPFISNLKGLNYLNLQGCQKLQRLNSLHLLHDLHYLNLSCCPEVTSFPESIENLTKLRFLNLSGCSKLSTLPIRFLKSFASLCSLVDLNLSGFEFQMLPDFFGNIYSLQYLNLSKCLKLEVLPQSFGQLAYLKSLNLSYCSDLKLLESFECLTSLRFLNLSNCSRLEYLPSCFDKLNNLESLNLSQCLGLKALPESLQNLKNLQLDVSGCQDCIVQSFSLSPRSSQSCQRSEKAEQVRSRNSEISEITYEEPAEIELLRNNPSKDLASISHLNEDRIEEPEVVTEPSATRGMVQQIPGNQLSSPSSHLSSFASSSAPFASSSSDTSTSEHPVPNEEAAALTVPRSNEKCDNTPMPVKDGLISEDDAPVHLHQKPLQATAMAAI >ONIVA06G01820.1 pep chromosome:AWHD00000000:6:1218758:1220463:-1 gene:ONIVA06G01820 transcript:ONIVA06G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNREPVPARGFGSLVQIPDKIQNSLKVHFGRFLKKNGFGGGSEAEMLPMQVQGTCTTVAPEVRLDKQLQAWKNNPIWSDEPPEIKVTVPQGSLCNLNLKFKAGLPPDAVYNIIIDPENKRVFKNIKEVISRKVVLDEGPRQIVEVEQAAVWRFLWWSGVLSVHVFVDQNRTNHTVKFKQGRTGFMKKFEGCWKIEPLFVDKEACHPLDPCTLEEYDSCTNGTGRVGSSITLDQLIEPAMVPPPPFSWYLRGITTRTTEMLVNDLIAETARLRGLANNAIDKQYVEGKCDTSRDHLTEECNDIKERWRQRRKTGRHGNSLRLTAQ >ONIVA06G01810.1 pep chromosome:AWHD00000000:6:1216805:1217932:-1 gene:ONIVA06G01810 transcript:ONIVA06G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPQPFRFWLPYRSNVASSSRQQPRPSSGTAPAPPPPTPADRPPRASPAPARAMGEDISVHGESSDESDTIPVQSVDSPRWRGARRRPSVPDMELTLSRSPRAGEERTPRGGGEGGEGNDTKISISGFPRSRLFDGARAPYRREIEDGLKSLATRGREAPAASADGVQGYRVITLAGHNVGASMVLGGGGGGGREHGPSPTAAEAEGSARPAPAVAANVNSNVQSVNNSSMEASTCNAGDPGVRVDIKNARDEPVLVSPPKRQQEEEKPKEPVLVRRPPLAVPAAPQPENRVAAQPPPPRARRCLRALMMESGSDTEAAPKPKPKPKPSSCRFQCVADHKPPPPAATTTSNGGGGGGGGDGRSGEEGGKENAR >ONIVA06G01800.1 pep chromosome:AWHD00000000:6:1215074:1215602:1 gene:ONIVA06G01800 transcript:ONIVA06G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGARDAMESHFGVGSSSPSCLDGEPVVVVDSTSVEAAALDHVVITVNAGVGAHLHDVAHAGLHRGGGRAAADGEVGXXRRRIAGEVGGDSRNDGVRCTRRVSDGVEPAGRHCVRGQARERRLGRRRRRRQRCQMKRPDETTRLVGRG >ONIVA06G01790.1 pep chromosome:AWHD00000000:6:1210336:1213684:-1 gene:ONIVA06G01790 transcript:ONIVA06G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66530) TAIR;Acc:AT5G66530] MAASCALSLPSAFSVSVSSNSPRRFRRSRRVVAMASVGQKVYAPGVAVSEGNGGLPKIDLKSPHGSEAEIYLFGACVTSWKVPSGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGTMQQHGFARNMNWSISDSEANEGDPAVTLELKDDSYSRSMWDFSFQALYKVALHSTSLSTTLKITNTDDKPFSFNSALHSYFSASISGVSVKGLKGCKTLNKDPDPKNPLEGKEEREEVTFPGFVDCIYLGAPSELTLDNGLGDKIVISNANWSDAVLWNPHLQMEACYKDFVCVENAKIETLQLEPNQSWVAEQKIELI >ONIVA06G01780.1 pep chromosome:AWHD00000000:6:1206517:1211485:1 gene:ONIVA06G01780 transcript:ONIVA06G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT2G38660) TAIR;Acc:AT2G38660] MGGAAAAAVALLAATRRRPAAPPLAFLLPRVARAGLHEAAAPAAEEEKGRTRRRRRRSSSSRLLGPDIPDTWDPPLRAAARPPPPSGAGVDYESTATIIDGKSVAEDIRFQIAEEVRQMKNAVGHAPGLAVVLVGDRRDSESYVRYKIKGCEEVGIKSLLAELPGNCTEDVVVDSVSRFNEDPSVHGILVQLPLPQHMDEERILSAISLEKDVDGFHPLNVGNLALRSRKPLFVPCAAKACLELLLQSGIELMGKHVTVIGRSKVVGLPTSLLLQRHHATVSIIHAFTTNPEEITRQSDIVISAAGVANLVRGSWLKKGAVVIDVGTNPIEDPTSDYGYRLTGDVCFEEAVKVASAITPVPGGVGPVTIAMLLANTLDSAKLAYGLATESHEL >ONIVA06G01770.1 pep chromosome:AWHD00000000:6:1202625:1205247:-1 gene:ONIVA06G01770 transcript:ONIVA06G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02750) TAIR;Acc:AT4G02750] MLPSRHLRSAARQRSHRPPLAAGDASSSGRLDPEVIRSNKAITAHMRAGRVADAERLFAAMPRRSTSTYNAMLAGYAANGRLPLAASLFRAIPRPDTFSYNTLLHALAVSSSLADARGLFDEMPVRDSVTYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYVRNGRVEEARGLFNSRTEWDAISWNALMSGYVQWGKMSEARELFDRMPGRDVVSWNIMVSGYARRGDMVEARRLFDAAPVRDVFTWTAVVSGYAQNGMLEEARRVFDAMPERNAVSWNAMVAAYIQRRMMDEAKELFNMMPCRNVASWNTMLTGYAQAGMLEEAKAVFDTMPQKDAVSWAAMLAAYSQGGCSEETLQLFIEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHGRLIRAGYGVGCFVGNALLAMYFKCGNMEDARNAFEEMEERDVVSWNTMIAGYARHGFGKEALEIFDMMRTTSTKPDDITLVGVLAACSHSGLVEKGISYFYSMHHDFGVTAKPEHYTCMIDLLGRAGRLAEAHDLMKDMPFEPDSTMWGALLGASRIHRNPELGRSAAEKIFELEPENAGMYVLLSNIYASSGKWRDARKMRVMMEERGVKKVPGFSWIEVQNKVHTFSAGDCVHPEKEKIYAFLEDLDMRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILNIPPGRPIRVIKNLRVCGDCHNAFKYISAIEGRLILLRDSNRFHHFRGGSCSCGDYW >ONIVA06G01760.1 pep chromosome:AWHD00000000:6:1198903:1200583:-1 gene:ONIVA06G01760 transcript:ONIVA06G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKGFAERESTFFMETSALESMNVESAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKSGCCSS >ONIVA06G01750.1 pep chromosome:AWHD00000000:6:1196294:1197745:1 gene:ONIVA06G01750 transcript:ONIVA06G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGDHGRLPPHAPPPDSPPPAHHRDRLRESQQARLGGAHAAGGGAGGVGAGAGRDLGQHLGGDDAGVAVHRAGLADRRVGEQLAGAGRRGRRRPRLRRRQPRDGDGEVRRAPRLLPRLLHLLHPVGAVLRARELPHERARRRRGAAGGRRAARRGPRRQLLGGGAPRALPRHGAAHVGVRPRPDARLLRAHRRRAPPPRRQLHAAAPPPVHGEEHGRVAGDGAGGRREISRGKGRQSRQWQHGGVLHGYISLLIVRNSFHNSSYNFFL >ONIVA06G01740.1 pep chromosome:AWHD00000000:6:1184835:1193274:1 gene:ONIVA06G01740 transcript:ONIVA06G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAGKDKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGASKESTSSKSGAGKKKAAAGSDEDHSNSPTRSHDGDNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKKHEASHKEGASNGSTKHVVEEAKSSPYDDLVKEMKDNLSKGANAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAGGKESQVVKNAKPFVEWLQSADSESEEE >ONIVA06G01740.2 pep chromosome:AWHD00000000:6:1184835:1193274:1 gene:ONIVA06G01740 transcript:ONIVA06G01740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAGKDKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGASKESTSSKSGAGKKKAAAGSDEDHSNSPTRSHDGDNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKKHEASHKEGASNGSTKHVVEEAKSSPYDDLVKEMKDNLSKGANAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAGGKESQVVKNAKPFVEWLQSADSESEEE >ONIVA06G01740.3 pep chromosome:AWHD00000000:6:1185032:1193274:1 gene:ONIVA06G01740 transcript:ONIVA06G01740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAGKDKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGASKESTSSKSGAGKKKAAAGSDEDHSNSPTRSHDGDNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKKHEASHKEGASNGSTKHVVEEAKSSPYDDLVKEMKDNLSKGANAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAGGKESQVVKNAKPFVEWLQSADSESEEE >ONIVA06G01740.4 pep chromosome:AWHD00000000:6:1184568:1193274:1 gene:ONIVA06G01740 transcript:ONIVA06G01740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAGKDKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGASKESTSSKSGAGKKKAAAGSDEDHSNSPTRSHDGDNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKKHEASHKEGASNGSTKHVVEEAKSSPYDDLVKEMKDNLSKGANAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAGGKESQVVKNAKPFVEWLQSADSESEEE >ONIVA06G01740.5 pep chromosome:AWHD00000000:6:1184568:1193274:1 gene:ONIVA06G01740 transcript:ONIVA06G01740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAGKDKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGASKESTSSKSGAGKKKAAAGSDEDHSNSPTRSHDGDNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKKHEASHKEGASNGSTKHVVEEAKSSPYDDLVKEMKDNLSKGANAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAGGKESQVVKNAKPFVEWLQSADSESEEE >ONIVA06G01740.6 pep chromosome:AWHD00000000:6:1184568:1193080:1 gene:ONIVA06G01740 transcript:ONIVA06G01740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAGKDKKAMRRAEKERLKEGEAADEEMKKLKKDAKKKGASKESTSSKSGAGKKKAAAGSDEDHSNSPTRSHDGDNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKKHEASHKEGASNGSTKHVVEEAKSSPYDDLVKEMKDNLSKGANAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAGGKESQVVKNAKPFVEWLQSADSESEEE >ONIVA06G01730.1 pep chromosome:AWHD00000000:6:1175580:1184008:1 gene:ONIVA06G01730 transcript:ONIVA06G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGASPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESELKKYMADILSVLALTMSAEGERESLKYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMTTDSLKELVEQIVSFHMKHNAEPEAVDLLMEVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLSDSQVPLEVLVFAAISLGLVFVGSCNEEIAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLISMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILALAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRNNPEYHED >ONIVA06G01730.2 pep chromosome:AWHD00000000:6:1175580:1183860:1 gene:ONIVA06G01730 transcript:ONIVA06G01730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGASPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESELKKYMADILSVLALTMSAEGERESLKYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMTTDSLKELVEQIVSFHMKHNAEPEAVDLLMEVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLSDSQVPLEVLVFAAISLGLVFVGSCNEEIAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLISMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILALAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRNNPDG >ONIVA06G01730.3 pep chromosome:AWHD00000000:6:1175580:1184084:1 gene:ONIVA06G01730 transcript:ONIVA06G01730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGASPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESELKKYMADILSVLALTMSAEGERESLKYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMTTDSLKELVEQIVSFHMKHNAEPEAVDLLMEVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLSDSQVPLEVLVFAAISLGLVFVGSCNEEIAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLISMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILALAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRNNPEYHED >ONIVA06G01730.4 pep chromosome:AWHD00000000:6:1175580:1184084:1 gene:ONIVA06G01730 transcript:ONIVA06G01730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGASPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESELKKYMADILSVLALTMSAEGERESLKYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMTTDSLKELVEQIVSFHMKHNAEPEAVDLLMEVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEETIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLISMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILALAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRNNPEYHED >ONIVA06G01730.5 pep chromosome:AWHD00000000:6:1175580:1183860:1 gene:ONIVA06G01730 transcript:ONIVA06G01730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGASPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESELKKYMADILSVLALTMSAEGERESLKYRMIGSEGDIGSWGHEYHNAEPEAVDLLMEVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDDDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLSDSQVPLEVLVFAAISLGLVFVGSCNEEIAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLISMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILALAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRNNPDG >ONIVA06G01720.1 pep chromosome:AWHD00000000:6:1170587:1171186:1 gene:ONIVA06G01720 transcript:ONIVA06G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHPFPSWWGAKHYIMAAIAGTLAASAIVIVTSVVLSPTRISFSVTGGGASISRSAGDQAFLLNLTIAADNPSHRAGVQYLAFAVSLQQFTARNRMESVEATVQDGVPFYQPPASSMSVLVTALLVDKNFFGASHGGGGAGRGPPLTVVVRGQVRFKVWLAYSRPYDVAVECAPVDVSSGAGGGKTRPKSTMVKCIP >ONIVA06G01710.1 pep chromosome:AWHD00000000:6:1168204:1168884:-1 gene:ONIVA06G01710 transcript:ONIVA06G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSKLQPTATRFRWLNLARCTLASVVTVLAVVVIARAVVVLLRPEKLRLSVAGGRVSVSRMPAMKPLPRVNMSFVLRAFNPSGRASIEYTGITVALRAIDDGDAASPAAAAPIIAQFPFPDVPVAQQVAHEAAARVSLAAAEDVPLRYVKALFDGRGISAAIQVDGFLTTRMEIDGRISRSNGGVATTFYCLPVTIAVGDGDDDESRTRDTWCLDKSDVPAFVG >ONIVA06G01700.1 pep chromosome:AWHD00000000:6:1163147:1166702:1 gene:ONIVA06G01700 transcript:ONIVA06G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVTMDEHVCPQKMVAFVYNMTSPYGGDNSKETSVVAISALTFFLVAAFFDLNLFSRLSRVSAVLNPTVRLLLAASLNLFLPVMSYLFSEAKMNGGATAGSNNCKKTAKKVAADELLEGALVITRGTQGYSNIVTHAASVVWMGNLVFFTVKAPGKKAMFGILWVLCAAKLVQRVVINEMARRSSGHGKNPRLISSYMAATTPIPTDMAGAAALERCRYAVMGEENMVVKAGPRGYELDLDVAETDEVLTVGKIWRTREHPKLKRLCLSFALFKLLRRRLEDVPPMTKREAQECRTIIFDGLGSNATAAGDLAPEVTVFQVLKDELNLFTEYYHSVLPVVLASPYFFFVNYVLYPPVVFALCLMTIVLCGNGGIPYVINAMLTDSSFLSVGVGTMAKCLWSAVARSSRAFYTFIDVFICYILFIAVAYEEATETLVFLISDWFAVSLLHAYYCKATPPAAARFVLKLSRNLRHYPSRITMKQFSVLRCSSDVSTLPLPTAKLPKHTKRSILERFRDARPPQDGGGGAAVPLSKNSPAALSTEPFSRFAWACQGGGGGGVAEIILVWHIATTLLEAHHGPPHPTEHVAEERRSRKTAARLSRYCAYLVAFQPELLPDNKEGTRLVYGDVMNEQMKVAVGAAQLGYHVYLTSEWGRLDAVRKIADRLTATEIHRDSSAAAYASLTVLEKGAVLGKLLVEEADGADKAAAGWRAAVWEMVAGVWVELVAYMAPSSVEEHARAHEAGLVKGIEVITMLWALATHTGIARPDDEVPVEGSV >ONIVA06G01690.1 pep chromosome:AWHD00000000:6:1159500:1160159:-1 gene:ONIVA06G01690 transcript:ONIVA06G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQQQQEEEENWGNQYANFKWYDVVLSVVAVLVAVLAMAVLVEAVLVMRSNQYDLELKVRQGAVKVKLLQPPPWMRMNFTLAATNPITNTAATDVNTSLSVTDITVTSGNKTLTKFHVDRGNVSVGPGHTEYDASDSSFFDQLENNRKVTIELKVRGDTDTRITPLNEDAFDPPSRHVVFDCAGVSLTVLDDLSMIDHGGNKDDDVSCSYV >ONIVA06G01680.1 pep chromosome:AWHD00000000:6:1155972:1156607:1 gene:ONIVA06G01680 transcript:ONIVA06G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAPAAGSSSRAAKRYILLGLAATLVAAVVAGLVSAVLSPAAMKFSVVQVEHAFVGRNGSVGMNMTFTIAAATRGKRAGVRYSEVAVDLVHQEDLTLFTRSYSAVARNASTKLPFEQPQPGNATNITVPLFIGYKDWDNATTGREQLSVQVRATVHFIVGVAYTRAFRIAVLCPLNYSLNTTDPVVSYPNTTCAEAGLITKYHDPSIQ >ONIVA06G01670.1 pep chromosome:AWHD00000000:6:1153669:1153965:-1 gene:ONIVA06G01670 transcript:ONIVA06G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPPPTTETDSGQRRTHARFLAIKGAGKTSSPRTSRFTKPNPSSSDHRACQPESKTANSWHQRSDPSTSTAVASASMEMEQLTARFNDVVAVHGNN >ONIVA06G01660.1 pep chromosome:AWHD00000000:6:1150509:1151931:-1 gene:ONIVA06G01660 transcript:ONIVA06G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKESGVINEKNLEESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLASKGIYPAVDPLDSTSTMLQPRIVGNEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGNCRYGSLENRLLNDQWLTAVLWSGFARIVNNEIIILGNDAELGSDIDPEEAQQALEIAEANVSRAEGTKELVEAKVALRRVRIRVEAVNWIPPSN >ONIVA06G01650.1 pep chromosome:AWHD00000000:6:1148482:1149069:1 gene:ONIVA06G01650 transcript:ONIVA06G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFGGEFPVDDQPGEPGQPGEPGQPGGRGRGGRGGRGGRGGAGGRLGVRHGCRGRRGGGGARGQGCVGVGWYGGGEVVYVGEEAYIDGVRIPRGGKGGAGGNAGPGGVGGKGGPGGDGGPGGIGGRGGDGGCGGVGGRGRKGGRGGRGGRGGSGGFGGGDGGRGGRGGDGGEGRGGGRGGDGGEGGTQYIYIE >ONIVA06G01640.1 pep chromosome:AWHD00000000:6:1144879:1147113:1 gene:ONIVA06G01640 transcript:ONIVA06G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASYADKSNESSVVSTSVVMFILAAVFFNLNLFSGVSDVSAVLNPTVRIFLSSALNLFLPVMSYLFSEAKQAPLGVGDSTTTTRDAHSDDLSLLARVILTWMLLVELLRKKVEAILITTGMHVYSSLISHATSVAWLGNLVFFNLQAAGKKALFGVLWVLCAAKLVQRVAITEIGKRSFAHGKNARLISSYMAQLPKLLEVDEHVAADGSRMERCNFAVMGEENMVLKAGPHGYELDLGLAAAVVTVGKIWQTKQHPRLKRLCLSFALFKLLRRRFENLPPATMKETDECRDLILDGMCKDAQATGDVPAEVALFQVLNDEVNFLAEYYHSVLPVVLASPYFFVVNYLCFPVVVFGLCVMTIVLCGNGNVLYAFKSLTNDNYAVSSGILSLTKCLWKNVVRSPLVFFSIVDVSICYLLFIVVVYEEVWEFVVFLLSNWFIVSLLCTFSAKPRRRESPTFRGSVRCILWLRRNLSHYPSLITIKQFTVLSTCCLSPRLPTATLPRHAKLAILERFRGGDPLSNGGAVLTSMGGRHRRFSRLSWACQSGAVAEVILTWHIATSLLETKQQQQLPTSASRSRRTAARLSRYCAYLVAFRPELLPDDREGTERIYKDLKKGIKAALGGARGYYLSSERSRHETIRALRVDASAAADMTVLERGAVLGKQLVEDDEAGDGAVWEMLADVWVELVVYVSPSRAEEHARGHEAALAQGSELVTLLWVLATHTGIARPDHDGEIDQPAAPA >ONIVA06G01630.1 pep chromosome:AWHD00000000:6:1134782:1135429:1 gene:ONIVA06G01630 transcript:ONIVA06G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTTTKKLQVASSSPNTHKSYWSTKQYILVAVVGTLAATVIVIGISALLSPGEIDFSVTKASRMILPLDGGVELNLTVAAANPGWRAAVEYRGFDVKLHYTPFDGTPTLLNEDDASSVRTPFVQPPRNTTAIPVRVFVSGDYWVQNMMRGNDIPITAQVTATVRFLIGKACTRSYHIAVSCYLGLDLFKRPTVSFNHNNTADCVAAGPETV >ONIVA06G01620.1 pep chromosome:AWHD00000000:6:1132555:1133782:-1 gene:ONIVA06G01620 transcript:ONIVA06G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEKKEAAEEEEEEEKKFRWLDVVRYAAAGVVALLAVGVLVGAIVVVLRPDALVMKVIHGSMLVNLPPPSMTFTFQLEVDNPSGRDTMSFTDMSVAVLAVSVSGGGVISMANPFNLPNIKDLQPGKMMQVVTTQWTTNPEAEVGDYFVRRLSRGETMAVTLRVQGILITRLQVTEAIISYGCKYSPFRMWI >ONIVA06G01610.1 pep chromosome:AWHD00000000:6:1117545:1121225:-1 gene:ONIVA06G01610 transcript:ONIVA06G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLGLLLVLLVLCSSIIVAHGGDAAAGAYMVIATSTMKPKTFCSGHKVAPGDVPSPNSTWAPLHHPYGPCSPAPSSANSTAADVAASMADMVDDDQRRADYIQKRLTGATDDKQPMAFSSRTSQFVMSGQVVTNGGLGSVHHLKSLSTTATTNSAPDGTSAVTQTVIIDSGSDVSWVQCKPCPLPMCHRQRDPLFDPAMSTTYAAVPCTSAACAQLGPYRRGCSANAQCQFGINYGDGSTATGTYSFDDLTLGPYDVIRGFRFGCAHADRGSAFDYDVAGSLALGGGSQSLVQQTATRYGRVFSYCLPPTASSLGFLVLGVPPERAQLIPSFVSTPLLSSSMAPTFYRVLLRAIIVAGRPLAVPPAVFSASSVIDSSTIISRLPPTAYQALRAAFRSAMTMYRAAPPVSILDTCYDFTGVRSITLPSIALVFDGGATVNLDAAGILLGSCLAFAPTASDRMPGFIGNVQQKTLEVVYDGCSANAQCQFGINYGDGSTATGTYSFDDLTLGPYDVDRQGLPLRVRARRPGKHLQLRRLRHPGARRRRAYGRVFSYCIPPSPSSLGFITLGVPPQRAALVPTFVSTPLLSSSSMPPTFYRVLLRAIIVAGRPLPVPPTVFSTSSVIASTTVISQHHPAQHRPGCLAFAPTATDRMPGFIGNVQQRTLEVVYDVPGKAIRFRSAAC >ONIVA06G01600.1 pep chromosome:AWHD00000000:6:1104874:1106714:1 gene:ONIVA06G01600 transcript:ONIVA06G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFGGEFPVDGQPGEPCQPGELVAAAVVAATTVEAPADGTETPMVSVATAGTVAVARATVAMGTVAPIVSVAAAGTVAVAGATVAMAGKATAVAMAGTMRKAEKEAAKGTAEKAGHNICQLCPRMRRTHRAANSREQRTQAMTHTLRLQIVVDTHDRSTMATHAHTAFLSMSQ >ONIVA06G01590.1 pep chromosome:AWHD00000000:6:1100952:1103328:-1 gene:ONIVA06G01590 transcript:ONIVA06G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFNGTDAHCGGAVGAMGSYVYNLTSSYADQKNEVNIVATSLAMLLLAALLLAFDLLAGAATLRPAARLVLSVSLALFLPVTSYLFSEAKNDVPGAAAADAELPLRARLILAWMLLVELLRKKVEATVTGTKGAGGGGPASRAGRVAFLGYLVFFNVHGAGRKAVFGVLWRRPRRDDELMTSCKYAVTGEENLEREAGPNGYLVDLNKTVAGDDNADDAVVVTVGRVWSLAESDQLLVSNPKLKRLCLSYALFKLLRREFEETPLTAAEAADCRELIFRGLCNEGGAAADRAATLFQVFDDELGFVTEYYHSVLPVMLASPFFLLVNYTVFPVLVLGLCLMTVVLCGNGDIAFIAGSIKRDNYAVSFGLLRMTRCLLSRVLRSPSALFSSIDLSITFLLFLTILYEEAWELAVFLLSNWLTVSMVSDYAVKPPSLLRRAAIRGVQWVTHRMSRRNLRVKQYSVLWFCRLPLKLPAAAVPEEAKHSIVEYLAAYDAAVAPLSAGRSAVARNALRNASRLISSACESGSVAEVILTWHIATSLLEVRCPPQAEEAAETATRSSTVATRLSRYCAYLVAFRREMLPDDVDCTARVYGAMTTELKRELGLKGYYFSTDATRYGKMMAIAGGQEDDDESAAEETTVVRKGARLGKALMDEAAGGDEAAVWKLVADVWTEIVVYVAPARDAEQVRAHGEALARGGEFVTVLWALVTHTGIARPAASSV >ONIVA06G01580.1 pep chromosome:AWHD00000000:6:1099540:1100139:1 gene:ONIVA06G01580 transcript:ONIVA06G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRGHPRRCLLGALVGVIAATALVIAASFVLRPPPLAFSVTDARSGATDENKAAFLNLTLVAGNPSGRAAVEYEALDVMLWYGTTDYIETNTSLLLLGLAAADEAALLLQPPRNATAVEVTARTLDDRFVQEIVAGQGRRTGPFNVAVAAQVRFKVAGVVYTRPYNVRVSCSDVYFVVADNQSAAAAASSTPIDCHG >ONIVA06G01570.1 pep chromosome:AWHD00000000:6:1087949:1090393:1 gene:ONIVA06G01570 transcript:ONIVA06G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYSYCNNVLEKSVSGNFTYVDTTSEASMASASVFMFVLAGFFFNLNLFGGLSNVGAILGPRVRLLFTSSLSLFLPVMSYLFSEAKNTATVGVKDVIEARMNVGQQNADLSFMAGVILIWMLLVELIRKKVDEIAMRGYSGTIHRAGRVAWLGGLVFFNIHSSGRRAVFGVLWVLCATKLVQRIAFTEVGKRSYSCGKNPRIITSYMSSSSSDDDDDDSEPKPQPQLQPRHHQRLEMKKRIIQQQGSESEDAMLKLCRYIVMGEEDLDVKATADGYKVRDTRDSLVTVGDIWLCDDDERKFIGDELRRLSLSFALFKLLRRRFEHLPEMSAVETNECRDIIFKGLYGKEGAAAVFEVMNSEINFLIEYYHSVVPVVLASPFFFLANYFLLPVVVAGVCLMTVILCGGGDVQYIFGSINKDNSVLSSGILNTTICLLLTATKMASSFFGIINLAVTFLLYTIYVYEEVWEFFVFLLSNWFAVSLLCDYVAKRRNSAFRAFLRCVMRVRRCFSSHPRHSSSFKQFSALNLRWPPLNLAMPTALMQLLVSTKPVPIQVKHSILNSLAVHCGYVVHPHPPTPLPNDAAAAAAAHRLLISNGTSGLAAAAGRTDDQLQLPRACKSDSIAELILIWHIATGLLERTSPPKKMSESTRDHFIVATTLSRYCAYLVAFQPDLLPDYSEKVEELFVDMKTELKDRLGCYHYYFSQGRKRANAIVKGNDNNKKKKKKGSVHEGAELATLLQDQDYDNNSMWKLLAEVWTEMVVYVAPSNEEERIMAHKNVLWQGGEFVTVLWALMTHTGITRDRHEIAVQNHP >ONIVA06G01560.1 pep chromosome:AWHD00000000:6:1077396:1077989:1 gene:ONIVA06G01560 transcript:ONIVA06G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEDEGKSSSSSCNWHRQRHVIVLASLGGVLAATVVVITMSVVLQPPHIGFAVERATVVRSNDDNHDPVRLELTVKIAKNTTTGGNVGAKVMYKSVLISLEAISTTDAEIFDLEIPKKAPSPTYLKGTADLIINAELKLVGYSKQSSLANNSVNGVPVTVIIRALVSFEIGLVNTGSYEITFRRSDVVFNETKLS >ONIVA06G01550.1 pep chromosome:AWHD00000000:6:1073624:1074364:-1 gene:ONIVA06G01550 transcript:ONIVA06G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRGGGSVHEREKGSKNSSSSSTSGGRWWRRWINAARYVVALALTVVTMVVIVYAFKVEFREKQLEVTVKNGFVLVSKPPAAKSSKVVSLTLTVDAFNPSGHGRVFFTDVWAYLAANNKSGMSNIFIATPIKFGATVQPQLYRVIYITMNMTAENPSYRDYYDKLSSSNLSIIIPNAALRLEGTLRTEAYLGHETPGRSVVYCCPDITIGIAIAADSTDVPCKMQKASIDEQPDATLLQCVPIN >ONIVA06G01530.1 pep chromosome:AWHD00000000:6:1045368:1047574:-1 gene:ONIVA06G01530 transcript:ONIVA06G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVLPLLLLFVVLSGSTASVADQHAAGVDEINYIVLQTSSWLKPETVCSGLMSSPHPNITNWLPLTRPYGPCSSGSSAAPPAADLLWSDQHRADYIQWKLSAAAVGVSLATKPPPSKSDVQMSNMAEVNAGNDSPPQSKATALAATGGREQLPGVVQTVVLDTASDVAWLQCSPCPAPACYPQTDVLYDPSKSSASGIFSCNSATCRQLGPYANGCLNNQCQYRVQYPDGRSSSGTYIYDLLTLTPTASVPKFLFGCSHAVQGQFSGSAAGIMALGGGPESLVSQTASMYGRVFSHCFAATASRTGFFILGVPRVASWRYVLTPLLKIPALPPTYYMVRLQAITVAGQHIAVPPTVFAPGAALDSRTAITRLPPTAYQALRQAFRDRMTMYRPAPPKGPLDTCYDMTGVRTFALPRITLVFDRNAAVELDPSAVLYEGSGCLAFAAGPNDLVPGIIGYVQLQTLEVLYNIPAGLVGFRHAAC >ONIVA06G01520.1 pep chromosome:AWHD00000000:6:1039169:1039897:-1 gene:ONIVA06G01520 transcript:ONIVA06G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGKCTKFPWLGVARYAVTAVLAATVAATVVQAIRMVLRPVELDLSVTNGAVSVERPESPSAASLVKYKVTLRAYNPSGRAVVHFGGDNLVRLIYGAAAQTELAAFTLPAFVVPQQESHYVTKSAFLNASALPASLAARLYDGETDQVVVQAVASLSFTIGGARGVSAGKRGHNFTFHCWPVSISSYYEVSGGEASCSQDTTEAAVAGLTHDRCIGGPCPEPYKNSGNCSGNSTGTGSKG >ONIVA06G01510.1 pep chromosome:AWHD00000000:6:1033457:1038362:-1 gene:ONIVA06G01510 transcript:ONIVA06G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gametophytic factor 2 [Source:Projected from Arabidopsis thaliana (AT5G48030) TAIR;Acc:AT5G48030] MRLPGGARLALLLARRRALPSSSPAASASPFHASRAHGARWGDAFRTAAPAWRSPFSSPTSARLFHGTRPVAARDYYDVLGVSRNASQGEIKKAYYALAKKLHPDTNKGDSDAERKFQEVQRAYETLKDDEKRSLYDQVGPDQYEKASAGGGPGGAYEGGFGNPFEDIFGGGGGGGGMNDFFRNIFREREFSGHDAKVALEISFMEAVQGCTKTINFQTAVTCDTCKGAGVPPGTKPETCLACRGSGFIFMQTGPFRMQSTCTQCGGSGKTVKEFCKSCKGRKVVPGTKNIRLNIVPGTDDGDVIKLVRSGGADPDGGSPGDLYVTLKVREDPVFRREKGDIHVDAVLNVTQAILGGTVQVPTLSGDVVLKVKPGTQPGQKVVLRGKGIKTRNSSYYGDQYVHFNVNIPANLTPRQRVLIEEFAKEEQAEEEKDAKAAGASG >ONIVA06G01500.1 pep chromosome:AWHD00000000:6:1031642:1032414:-1 gene:ONIVA06G01500 transcript:ONIVA06G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAALEAIDFGAHAGTHPRLGAVDHICFHPLAHASLRHVADLTGAVAADIGDELQVPTFLYGAAHREGRTLASIRRQLGYFKPNSSGDQWRGAPETDALPVAPDAGPERPPRSKGVVVVGATGWVDNYNVPVRTGDVEAARRIARAVSERGGGLPSVQAMGLAHGGGVVEVACNLLDPARVGAEQVQGMVERLAAGEGLSVGKGYYTDYSQDKIVELYLKSAANTEG >ONIVA06G01490.1 pep chromosome:AWHD00000000:6:1028441:1033556:1 gene:ONIVA06G01490 transcript:ONIVA06G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:differentiation and greening-like 1 [Source:Projected from Arabidopsis thaliana (AT2G33430) TAIR;Acc:AT2G33430] MAAAAAAARRLLSRRATSFSASALLRRGGPGAPESLLRPTVAAVSRVGFLRGFARRPGGDGYSPMRSGGGGGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRSPERQRRVEPVPQRASDRPRYNDRTRYARRRENQR >ONIVA06G01480.1 pep chromosome:AWHD00000000:6:1019610:1027997:1 gene:ONIVA06G01480 transcript:ONIVA06G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSASRREAAAKSSELGRAGGVDPPRPSAAAMARSGELPKVSAAATAAVRHEGWMLRYGRRKIGRSFVRTRYFVLDNKLLAYYKKQPKDNMVPVKALQIDGNCRVEDRGLKTHHGQMVYVLCIYNKKEKENHITMGAHDIEDALVWKKKLELLIDQQQDTMTAKNRKAFASLDFDMEFGGPLSFSDRDSGQEILLYYRPEDEEEPRPTLLRRTTIGNGPPDSVHDWTKEPDIGLSDQNDTNHAYSRKNWRLLRCQNGLRIFEELVEVEYLARSCSRAMRAVGVVEATCESIFGLIMSMDVTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLNWFSMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHQNCGPQPGFVRAFIESGGFKISPLKCVNGRPRTQVQHLMQIDLKGWGVNYFSSFQYYSLLQMLNCVAGLREYFSQTDDIHPVPRIPVMSTMATVSKLKKDKKLQETDLKTKQADFGQVDNKNLDMIDEESEEDDDYQVPEANLEEAPTRSDSDAKYTDPIDLSCFSGIIRRDANEKSRNCWTVPDSKLFKVRSESFPHDKSKVPATKYLMELVAIDWLRDIKRMDHVARRKGCAAQVAAEKGMFTFVVNIQIPGSSHYSLVLYFVTRTLEKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYMRGQEYIEVDVDIGSSAVANGVLGLVFGVVTTLIVDMAFLIQANTYDELPEQLLGAARLSNIEPSSAIVPVLDK >ONIVA06G01480.2 pep chromosome:AWHD00000000:6:1019607:1027997:1 gene:ONIVA06G01480 transcript:ONIVA06G01480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSASRREAAAKSSELGRAGGVDPPRPSAAAMARSGELPKVSAAATAAVRHEGWMLRYGRRKIGRSFVRTRYFVLDNKLLAYYKKQPKDNMVPVKALQIDGNCRVEDRGLKTHHGQMVYVLCIYNKKEKENHITMGAHDIEDALVWKKKLELLIDQQQDTMTAKNRKAFASLDFDMEFGGPLSFSDRDNRKMKKSPGLLCFVGQLSGMALLIRYMIGPRSLILGCQIRMTPTMLTQERTGGYLDVRMARSCSRAMRAVGVVEATCESIFGLIMSMDVTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLNWFSMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHQNCGPQPGFVRAFIESGGFKISPLKCVNGRPRTQVQHLMQIDLKGWGVNYFSSFQYYSLLQMLNCVAGLREYFSQTDDIHPVPRIPVMSTMATVSKLKKDKKLQETDLKTKQADFGQVDNKNLDMIDEESEEDDDYQVPEANLEEAPTRSDSDAKYTDPIDLSCFSGIIRRDANEKSRNCWTVPDSKLFKVRSESFPHDKSKVPATKYLMELVAIDWLRDIKRMDHVARRKGCAAQVAAEKGMFTFVVNIQIPGSSHYSLVLYFVTRTLEKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYMRGQEYIEVDVDIGSSAVANGVLGLVFGVVTTLIVDMAFLIQANTYDELPEQLLGAARLSNIEPSSAIVPVLDK >ONIVA06G01480.3 pep chromosome:AWHD00000000:6:1019607:1027997:1 gene:ONIVA06G01480 transcript:ONIVA06G01480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSASRREAAAKSSELGRAGGVDPPRPSAAAMARSGELPKVSAAATAAVRHEGWMLRYGRRKIGRSFVRTRYFVLDNKLLAYYKKQPKDNMVPVKALQIDGNCRVEDRGLKTHHGQMVYVLCIYNKKEKENHITMGAHDIEDALVWKKKLELLIDQQQDTMTAKNRKAFASLDFDMEFGGPLSFSDRDSGQALLIRYMIGPRSLILGCQIRMTPTMLTQERTGGYLDVRMARSCSRAMRAVGVVEATCWSGPGIFVMYDIGGAMMMEVMNCGPQPGFVRAFIESGGFKISPLKCVNGRPRTQVQHLMQIDLKGWGVNYFSSFQYYSLLQMLNCVAGLREYFSQTDDIHPVPRIPVMSTMATVSKLKKDKKLQETDLKTKQADFGQVDNKNLDMIDEESEEDDDYQVPEANLEEAPTRSDSDAKYTDPIDLSCFSGIIRRDANEKSRNCWTVPDSKLFKVRSESFPHDKSKVPATKYLMELVAIDWLRDIKRMDHVARRKGCAAQVAAEKGMFTFVVNIQIPGSSHYSLVLYFVTRTLEKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYMRGQEYIEVDVDIGSSAVANGVLGLVFGVVTTLIVDMAFLIQANTYDELPEQLLGAARLSNIEPSSAIVPVLDK >ONIVA06G01470.1 pep chromosome:AWHD00000000:6:1015143:1016434:-1 gene:ONIVA06G01470 transcript:ONIVA06G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERLISSPLLPRPPPRAAFSRPPPSLAAAPPHHRAGGAASGYGSRPPLASLLLSRHHHHHQPPVLAANPAADVAAGEAVPPATATASRRFLQKVASAAAATLLATIALTLIQPAWAPPALASFHSAAKAGGGIFKSELLSSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSRVESAAVGALWGCGHDAGQVIFGLLFLSLKDRLHIEVIRTWGTRVVGLTLLVIGALGIREATEVPTPCVALENGGGGGGAHRGPLDALPATRKKITFATFATGIVHGLQPDALMMVLPALALPSRVAGAAFLGMFLVGTVVAMGSYTVLIGSCTEALKERVPRITEKLTWAASLVAISMGLGILISQSLGFSLY >ONIVA06G01460.1 pep chromosome:AWHD00000000:6:1008869:1013119:-1 gene:ONIVA06G01460 transcript:ONIVA06G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRAPAGAPSSSSSGGGGGGGGGGGPVIEMASLLRSNRPYAPLSTDDPSAASSRSAVTVGLPPAWVDVSEEISANMQRARTKMAELAKAHAKALMPSFGDGRDDQRAIEILTHEVTDLLKRSEKRLQKLSMKDSSEDSNVRRNVQRSLATDLQSLSMEFRKKQSTYLKQLRQQKEGQDGVDLEMNMNGSKSTFELGDDEFEDVGFTEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAASVEEGYKQLQKAERTQKKGGMVSACMHGGAVTLVFVCAAAKLEGFAWLLRWEPRIKR >ONIVA06G01450.1 pep chromosome:AWHD00000000:6:1000377:1002993:-1 gene:ONIVA06G01450 transcript:ONIVA06G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSAAAPGIGGYQPQRGAAVFTAAQWAELEQQALIYKYLVAGVPVPGDLLLPIRPHSSAAATYSFANPAAAPFYHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTAAPAPQSQPQLSNVTTATHDTDAPLPSLTVGAKTHGLSLGGAGSSQFHVDAPSYGSKYSLGAKADVGELSFFSGASGNTRGFTIDSPTDSSWHSLPSSVPPYPMSKPRDSGLLPEQERRSFGGGAGGMLGNVKHENQPLRPFFDEWPGRRDSWSEMDEERSNQTSFSTTQLSISIPMPRCGSPIGD >ONIVA06G01450.2 pep chromosome:AWHD00000000:6:1000319:1002993:-1 gene:ONIVA06G01450 transcript:ONIVA06G01450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSAAAPGIGGYQPQRGAAVFTAAQWAELEQQALIYKYLVAGVPVPGDLLLPIRPHSSAAATYSFANPAAAPFYHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTAAPAPQSQPQLSNVTTATHDTDAPLPSLTVGAKTHGLSLGGAGSSQFHVDAPSYGSKYSLGAKADVGELSFFSGASGNTRGFTIDSPTDSSWHSLPSSVPPYPMSKPRDSGLLPGAYSYSHLEPSQELGQVTIASLSQEQERRSFGGGAGGMLGNVKHENQPLRPFFDEWPGRRDSWSEMDEERPPSRQPSSRSPSRCPDVGPLSVIENFAACGSGVDLYPAFYRC >ONIVA06G01450.3 pep chromosome:AWHD00000000:6:1000377:1002993:-1 gene:ONIVA06G01450 transcript:ONIVA06G01450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSAAAPGIGGYQPQRGAAVFTAAQWAELEQQALIYKYLVAGVPVPGDLLLPIRPHSSAAATYSFANPAAAPFYHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTAAPAPQSQPQLSNVTTATHDTDAPLPSLTVGAKTHGLSLGGAGSSQFHVDAPSYGSKYSLGAKADVGELSFFSGASGNTRGFTIDSPTDSSWHSLPSSVPPYPMSKPRDSGLLPGAYSYSHLEPSQELGQVTIASLSQEQERRSFGGGAGGMLGNVKHENQPLRPFFDEWPGRRDSWSEMDEERSNQTSFSTTQLSISIPMPRCD >ONIVA06G01440.1 pep chromosome:AWHD00000000:6:992641:1001845:1 gene:ONIVA06G01440 transcript:ONIVA06G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKIIKEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKSRGKPFSETEVRNWCFQIFQALSHMHQRGYFHRDLKPENLLVTKELIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQASVYNSAVDMWAMGAIIAELFSLRPLFPGSNEADEIYKICSILGTPNQRTWAEGLQLAASIRFQFPQWLCSWDPQRRPTAVEVLQHPFFQPCFYIPPSLRFRSTNGYAATPPSVGAKGAVDQKNARRYSVGPLSNGRPAVNYSYLSANTPARAAGVQRKLELDHQVNMNSCQAPEGNYFTKDQGPRAPDIAEKLSQLTVGSNRVPSLASDKFVDMKARTHGNTMKRPLPPVGTRTWHAPADPFRRPYEMPGDRAFLPRKLVS >ONIVA06G01430.1 pep chromosome:AWHD00000000:6:983994:987993:-1 gene:ONIVA06G01430 transcript:ONIVA06G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSAVLVPVALRRRPPAHAARRVAVGGEGAGGSRSAPGVAGSSCRVSAALPSTPPPLPTPRMEMFFGSFLNESASQEYFSGHPNVERCPFLRNINGATTFSFSSALPVAARGAKGPIFEDGPGFDSAFKLFHGRDGIVPLSGKSYVPDENNSESVDAKPEPALPFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLNQSNKKPSNPNQNSMKQKGGNSSSHEAMSNEWLENGQCPLARSYRAMSGILPLVAKALQPPAGVKLKCPPAVVAVRAALARTELVKSLRPQPLPAKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIGMLRKSVNMPKTAMAFTIAASIVGQTIGSRAERIRLKALAAKSDADSTTVADMYPNKTGNCSDTEGKAWDPLAMKMMAGRASGGAAAPTPSMCF >ONIVA06G01430.2 pep chromosome:AWHD00000000:6:983994:986642:-1 gene:ONIVA06G01430 transcript:ONIVA06G01430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVRMEMFFGSFLNESASQEYFSGHPNVERCPFLRNINGATTFSFSSALPVAARGAKGPIFEDGPGFDSAFKLFHGRDGIVPLSGKSYVPDENNSESVDAKPEPALPFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLNQSNKKPSNPNQNSMKQKGGNSSSHEAMSNEWLENGQCPLARSYRAMSGILPLVAKALQPPAGVKLKCPPAVVAVRAALARTELVKSLRPQPLPAKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIGMLRKSVNMPKTAMAFTIAASIVGQTIGSRAERIRLKALAAKSDADSTTVADMYPNKTGNCSDTEGKAWDPLAMKMMAGRASGGAAAPTPSMCF >ONIVA06G01420.1 pep chromosome:AWHD00000000:6:982359:983078:-1 gene:ONIVA06G01420 transcript:ONIVA06G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGRFYGSSLPRPRFFSGGDRVEPPVAVTDPLMAWAHEAHWSMGGLSSKRLRLQGRIEGSIDKLRRRARRDARKAAKARAAGIKPRSLAALGSDDDDSSSDEEEEVEAQKQQIVDEPSESEEEQEEENEEEEEEEALATLAAPAKRKRARKLSDEFDRVATMQEGEAKKQKPAATSTPARTSPRRKAAEVALTPAPARASPRGKAAAEGATAAPARASPRRKAAARRTSPRMKH >ONIVA06G01410.1 pep chromosome:AWHD00000000:6:978558:983082:1 gene:ONIVA06G01410 transcript:ONIVA06G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGLSELFRNTSEDMFLKAMMENSMGVAAAAPSMEMMGFRNLSQGFREDSEELFNSWLMNGEASFLRIHKILSQYMKIPGFSSMSNRPRQPSRLSSEAAGFPNQQHEIAQEHFPTDNLIPQNLAVHSEFTMNHNQQQLKYYSFSPTSYCVLDFFQIVLNWLSPMTGMQQKRECKLVTYFWQRKRYAAMQSNMPPITTETIETANKLRQDLTNASTVNSAPMSNTPIQTPTFVSPSSSSTSPLDNPHMVAQDTITSVVSMLKDTLERKKLSSHANGDTSSGISFGFYDAQHFEQNILGGTDIFPLVTTSQIQDSVMLPKVERPTEQGSGNFVAPANQVWLGAASREPSQSGSSTAIPAHSAGFEVCDDLPPIGQAMTVCESTRTNAANGNGTADCRSKGKEFRERILKENLKDDRKKGSLTRMGSISSEQADKGDPTKKRRVERSRKMAEAKERSSTPVIPSDIQVVLKRCETLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEDLVEEKERLLEEIERIVSDTNT >ONIVA06G01400.1 pep chromosome:AWHD00000000:6:974442:976060:-1 gene:ONIVA06G01400 transcript:ONIVA06G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HK23] MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKFAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTDDMKAFKAYAKLRVERMNQRHIGARQKRAAEAEKEEKK >ONIVA06G01390.1 pep chromosome:AWHD00000000:6:971528:973656:-1 gene:ONIVA06G01390 transcript:ONIVA06G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATLVGVGGLSPALFSPSRPLSCSSSTSVSAPFILRAGGGGDARRHGLRRLVTPLRGSACRGESTNSRVLQCANEANVVTEDDIVNDGIDDETASDAEMDEDAEANGDESSDTDEDASVSWIEQQPLPYPSDALEPYISKETVEQHWGVHQNIHVERLNGMIGGSEWEGMSLGQMMLSSFNEGREAPHPLFFHAAQIWNHDFYWRSMQPGGGGKPPERLLKFINRDFGSYDGMIRQFMDAASTQFGSGWVWLCYKTSKLPHVKSRSPIPSDNYGRLVISKSPNAINPLVWGHSDRRSDYVSTFLEKLVSWETVESRLKKAVQRAIERDEYVSTKHIRKQLLARAKSRIRAMPQQVNGDAREQTSGQEKSLGV >ONIVA06G01380.1 pep chromosome:AWHD00000000:6:966986:968482:-1 gene:ONIVA06G01380 transcript:ONIVA06G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDLPRPSNSKKSTDANENETPSLPWEEFEEFAEKAKTLPDTISNEDKLLLYGLYKQATVGPVTTGRPGIFNLKDRYKWDAWKAVEGKSKEEAMADYITKVKQLLEEASASTS >ONIVA06G01370.1 pep chromosome:AWHD00000000:6:962066:963988:-1 gene:ONIVA06G01370 transcript:ONIVA06G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHVAPLLLLTLAAVAAAASEEAAAADTEAAAAVEAGLLVRHEAQLARLEELTESLAKSVQALESALARSVEPDPPPPAAAAAAPGDRRAPQGVAVTKRRPYWSERFHFAAAARLGDGAYAAAATALPYEDADGLTKYFAVGDSRGRVFVFSAAGDALLELEPGVSGEPPVTALLAYLSPRRTDCFLFAGHADGSIAAHRLIESSPHGDDWLTLAAASSRLLVRGLDAAPVLHLEAHHAGRARYVLSCDSGGRIRVFTENGTLYGTAIASSTPLAFVKQRLLFLTEAGAASLDLRSMSVRETPCEGLAEALNGSLPKAYSFDPSERFKAYGFTDAGDLVHVLLLGDIASLKCRVRAIKKAEIDNPVAIQTIKGYLLVASQDKILVYNTSTQYYGRVGAPRPLFATSIKDIKSVFAGSGGVMPASPAGKPLVAADREKLVILGLGDGYIAIYRSNFPVYKPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPEETFNTSVTAPTGSILNHSTSDRAFADSSTRAGERGYVDGTTRASDRSYVDATTRATDRAYAEATRGVDLRGGALRGAPRRYVSPTRYTGAAGIPYRPVSTEPVLRTTPELKYRGPGMEPPGFPKKRDTLFSSNQTVVDDHVD >ONIVA06G01360.1 pep chromosome:AWHD00000000:6:958386:960974:1 gene:ONIVA06G01360 transcript:ONIVA06G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAFKKSPRGPYRNRSLTRTREKPRSHRLLLSRNASPLLSSLLSPAAAAAESDPNQAKMTAGYIAGSLVGSFAIAYLCDTFVSDKKAFGGSIPKTVSDKEWWQATDTKFQAWPRTAGPPVIMNPISRQNFIVKST >ONIVA06G01360.2 pep chromosome:AWHD00000000:6:958386:960974:1 gene:ONIVA06G01360 transcript:ONIVA06G01360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAFKKSPRGPYRNRSLTRTREKPRSHRLLLSRNASPLLSSLLSPAAAAAESDPNQGTCSPTPAPLDLGLPDLSRICSLRSSPPWCCASPSDFSTYKLGFLTRSFVGPAVFAQRARLRFGGPSFIFSDEFVHESSRFEVVVFDRLQATDSSGMIDRSIAESTL >ONIVA06G01350.1 pep chromosome:AWHD00000000:6:957026:957546:1 gene:ONIVA06G01350 transcript:ONIVA06G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAHAVMGWAAVAFALFVLAITTADASSSQGPCAAYGGGSGGATFMRAGAALVLLSATAQAVAATAARAAANGARFLSGFFALVAHFAGAYTAAVLSELVPVVVAAAGGVCAASGYKHMLVAHYVVLDIPLIVFYLAGFVALINQYHLE >ONIVA06G01340.1 pep chromosome:AWHD00000000:6:953135:953452:-1 gene:ONIVA06G01340 transcript:ONIVA06G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPMSSSGPPSAVAVVVVAVAPHARAVAAIAAAARGATAAAASRAGAIATAAGSMGRCCHAGEKNWEEKEKEEEEEGNGDGRMICGFLCDFGDVNRETVGAYI >ONIVA06G01330.1 pep chromosome:AWHD00000000:6:948108:950494:-1 gene:ONIVA06G01330 transcript:ONIVA06G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVVVVCAVVGFLGVLSAALGFAAEGTRVKVSDVQTSSPGQCIYPRSPALALGLISAVALMVAQSIINTVAGCICCKRHPVPSDTNWSVALISFIVSWATFIIAFLLLLTGAALNDQRGEENMYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALSSAKSPPNWGPQQNQGIAMGQPVIPPQSSEPVFVHEDTYNRQQFP >ONIVA06G01320.1 pep chromosome:AWHD00000000:6:942140:948890:1 gene:ONIVA06G01320 transcript:ONIVA06G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPPLAGVGDRGSSSSSSHPPPPPPPKILLAKPPLPPPSSSGADDDGGGGGGAGRSRQATQPGSLSLVSDAWEVHTDKILPYLTENNDFMVIGVIGPTGVGKSTIMNELYGYDGSSPGMLPPFATQTEEIKAMAKHCTAGIDIRISNERVILLDTQPVFSPSVLIDMMKPDGSSAIPILSGDPLSADLAHELMGIQGINDLSMWDLILTVDLLKHNIPDPSLLTSSTTQDKENKNDNQSGIEDYIADLCFVHARLREQDFSPSKLMVLKRVLEKHFKSSSFSIGSSGATPQVSDSSVPSSMKIEDLSSNQQDIYLLPLRTPDNSTNFEYRTCPSMLGMLRDQILSRPSRSFSKNLTERDWLRSSAKIWDMKPEAMLKDSETRNKFSRNHQGLAIVIMGVSGCGKSTVAALLAETLGCSFIEADDYHSQANKAKMSKGIPLTDGDRIPWLEALRDAVRERLDHGEDVAVSCSALQQKYREILREGDCSFRSGSRSYSSCRVKFVCLEASAEVIADRIRRRSMEGEHFMPASLLQSQLDLLQIDEAEGITVVDATVRPNAIVHDTIARFREQLASTVC >ONIVA06G01310.1 pep chromosome:AWHD00000000:6:939084:939810:-1 gene:ONIVA06G01310 transcript:ONIVA06G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKYIAAGLVGSFAISYVCDHFIAEKKIFGGTTPHTVSDKEWWQATDKKFQAWPRTAGPPVVMNPISRQNFIVKDLDNNLYFVLVLL >ONIVA06G01300.1 pep chromosome:AWHD00000000:6:935794:936847:-1 gene:ONIVA06G01300 transcript:ONIVA06G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALSAAAAAAGRRMAGQTGFPLLASCRRGDQAHQNYSISAQAQPEEEQKAIHDGGGAAGAQVEAALNRKNVEVHPEEESVEDAWVPDHETGVFVPADEAAVSGTENHDHCGAAAAAAGGSPSVLDQAVFVREEDMEDVERPAVDMAAANHKPK >ONIVA06G01290.1 pep chromosome:AWHD00000000:6:932109:935616:1 gene:ONIVA06G01290 transcript:ONIVA06G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTCKRISNTPNSMPVLQGWADLPDDLLQCVLALLSSPSDLAAFIATCPNWHAAFRSAKSTLRTTLFRPLAIRSCASSGDDPVVWELFDPAKPTICIHRVTPPDFLAGMDYECCSYGHAIFSGNAPSLKDTTFAIVDVFTGTSVSPPPCPFFTFVNSCALTAPLDYHNSHFLVEAKHSLFAWRVGSDHWSQCSCPPNSKALEQFVPFKGQLYALEYQQLYTVKLEPQLSLEEVQVVWSVEMSEPDLCEPSLVVCDDMLILLAASIGEAFRLDLSSQPAMWVKMEEEELKEWAFFFDEKREAFRPRPPLSCKNPQRWGGIGYDSYSWFFQREKAFSGFQLFQFAENMHVQRHMLLYSWIHEDDFDGPEAFQDQMDDEVSYAAHKSQPVSVPTCQYLCFDFLTHPNSFSPHHRAPAMAPYPLPPPSPPQQQLPPASSSKPRRPPPHRSHGGYKNGTVSVDSGAPHDARGLRALIKALAAEHGEAAPAVHAHAAKLGLDGRRAVRDGLVELYLARGELASARALVDGFPAGRDVVSCTAMVTGHARHGFLDEAVVLFFAMADDRGVAIDAVAAAAAFSACAQIGDLALGREAHRRVAERKVAMDVVAWNALVDMYAKCGDAAAAHRWFRRMPVKKNVVSWNTMMSAFARAGELEEALALFQEMQAAAVRPDDATFVAALGACAQLGALDTGRWLHAYMGRMGHSADGVVGNALLDMYAKCGAVDQATEVFDGMARRDVYTYTSMILGLAMHGRGEDALSLFAGMQRAGVTPNEVTLLGVLTACCHAGLVEEGLQQLNAMPEPRIEHYGCVVDMLGRAGRLDEAEELIAAMPVHSDALIWSSLLAACRAHGDVERAERVMRRRVADADAGDYVLMSNTYASNGRHGEAVKVRGQMRRNEIDKVPGCSLIEIDGVVHEFKAIPANSIR >ONIVA06G01280.1 pep chromosome:AWHD00000000:6:925925:927100:1 gene:ONIVA06G01280 transcript:ONIVA06G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLRKLFDSFCTKEMKVLMLGLDAAGKTTILYRLHIGEVLSSIPTIGFNVEKVEYKNVAFTVWDVGGQDKLRPLWRQYFRNADALIYVVDSMDRERIGVAKEEFQAIIRDPLMLNSVILLLANKQDLKGAMSSSEVCQRLGAYEELKNRRWHCQGASALTGDGLHGGLDWLASTLRDVQTWGTSVRF >ONIVA06G01270.1 pep chromosome:AWHD00000000:6:917835:922008:-1 gene:ONIVA06G01270 transcript:ONIVA06G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFGATSTVGLMAAPTGIVSDKKPSSLSSVSSVSVASRPRNARLQRKCNFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVEELKKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFERGYISPYFVTDSEKMSAEYENCKLLLVDKKITNARDLINVLEEAIRGAYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLNKESTTIVGDGSTQEEVTKRVAQIKNLIEAAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAARVDAIKDNLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNDNFKFGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEPAPVTNPMDNSGYGY >ONIVA06G01260.1 pep chromosome:AWHD00000000:6:912135:916891:-1 gene:ONIVA06G01260 transcript:ONIVA06G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISVNDLAGFFFGTIAIRSGYPTDRPKPGGHGRSTVEKAIAVAGVYTLLRLASKVSKIIDGL >ONIVA06G01250.1 pep chromosome:AWHD00000000:6:902913:906652:-1 gene:ONIVA06G01250 transcript:ONIVA06G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPLPQPRSSMREALEKEDKEKAAAAKEKAAVPKNGGNGGGGKNGGGNGGGNGGAGAQPGEETTREIQVVREAYRREPAAPAYVMPEEPPAMVELVGWYLYGFCSYFITHLLLPVLFPAIITQVAFPASDFTPDTKYIVKGATCSIHEMSMYQRLTKHSIAIDGSRLSPLGWSGLSWAIGILIAAPILTQAAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIGASIIVAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLTLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPTTAFEPNFFTKLSYSMTLVRYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLVLWILYFLFPLISLPLLHPIQIIIRADAVRMQLLGFIICLFVSGAGFYFKSHRWRAAHIIVIALVQSTANGVLYSFGRILLLDASPPGKEGAFAVWYAFVRCIGAMIGFAAASAGPGRAGGSFAAAFLGSFLGIIVLIFGNVSNIGALKAAGHLKGMEDEKRLGMEKGEGMSAVADSGEGRGRV >ONIVA06G01240.1 pep chromosome:AWHD00000000:6:889634:890095:-1 gene:ONIVA06G01240 transcript:ONIVA06G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEKKKKKMIKVMSSDGETFEMTEAAASMTAAPTAAPASLSKIIEYCTKHAAVEGRSTAAAELKRFDEELIDVDTDTLYHLLMAGNLMGVEGVLELAVQRTAELIRGKSPEEIRDTFKIANDFTPEEEEEIIKENAWALH >ONIVA06G01240.2 pep chromosome:AWHD00000000:6:890104:893710:-1 gene:ONIVA06G01240 transcript:ONIVA06G01240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWHLIGNQSPNVGRLRDRSASEFAVDQFRHLSARVTSSMAAAAEEKNKKMIKVISSDGEAFEMTEAAASMSRILLHMIEDGCTGDGGAGITLPNVAGSALAKVIEYCTKHAIAAAEGSSSSRKAKEELKKFDVEFMEVGIDMLYDLIMAANFMGVEGLLSLAAQRTAELIKGKSPEQIREMFGIKNDHTPEEEEQIRKDSLDS >ONIVA06G01230.1 pep chromosome:AWHD00000000:6:881634:888963:1 gene:ONIVA06G01230 transcript:ONIVA06G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRQEMPPPTELSALGHSATCHSWRAALSSFPSVYTFNFPPLCLKLNTPNIRPLRVLLKDNLLSYCKWQLDDPSKRNISLRCSAPPDAPNRMRYLGCSYGYLIFSYHEKNCLFVDAYTGTKLKSPKLNFMGDRDIYYGILTAPLNSPNSHLILCSRSSIFYWQVGTNSWTKHVYGGEHILQIVLFKGEIFAMDVLGRLHTMQFAPELSIQEVAVLRREEMVTGPRSGPWLVACGEMLLMVDLSTDRDQLPRTFQVFRLEFSAETVECVKMERLENQALFVNLDGRDPTFSCTSPERWGGKSNWIYVAKPSGDSGEPWTAVELGQPVPSRIDRVPDFQVDNMWVVPSLIYDVNQ >ONIVA06G01220.1 pep chromosome:AWHD00000000:6:879404:881909:-1 gene:ONIVA06G01220 transcript:ONIVA06G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSKVSDASGSMPPATSVRQGWAELQEELLHYIVPLLGSFIDILAFAGTCHSWRAAFSSYPSKSAFRTLLPPLLVRPNVRVKSPCIPSTSNGPHKLLRSCQVIDLANRNTPLRCQIPRETLQKMLFAGSSHGQLICCRSGYCLVVDVFTGAEVSPPRLPFSKDHEEIYFCGTLTAPITSPNSHLLISNRSSLFDWPVGSDSWSELKLPVNRVDQIVEFNGQLIAVIEYKLYTLQLAPKLRLKKMKTLWWDDMSECPYLRPWLVVCDGMLLIVDHYITLSFGAPVNYRPYRLDMSAKPAKWVEVKKLENWALFIGGDARSPPFAFKNPERWGGRSNCLYYAHYSQPWSLHGLGDDADAVWDPTTDDNLVFKRNWYSQLQAFWVYPSMFYSDGDGQ >ONIVA06G01210.1 pep chromosome:AWHD00000000:6:877343:878513:-1 gene:ONIVA06G01210 transcript:ONIVA06G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDINKMMSSDGEISSMAERAASLLRQLIEDGCAAAKPLAEMVEYCAKHAAGEAQGKEEEVLDGESSDEEETDILRLEEVYQVLENNICSADKCAFLTSMKKKANFQGTMLS >ONIVA06G01200.1 pep chromosome:AWHD00000000:6:855285:856306:-1 gene:ONIVA06G01200 transcript:ONIVA06G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRQRRHLPHLTLPLDHFALRPPPAPQQQQQPAVAPSTSSDVRLSDFERISVLGHGNGGTVYKARHRRGCPAQQPLALKLFAAGDLSAAREAEILRLAADAPHVVRLHAVVPSAAGGVEEPAALALELMPGGSLAGLLRRLGRPMGERPIAAVARQALLGLEALHALRIVHRDLKPSNLLLGADGEVKIADFGAGKVLRRRLDPCASYVGTAAYMSPERFDPEAYSGDYDPYAADVWSLGVAILELYLGHFPLLPVGQRPDWAALMCAICFGEAPEMPAAASEEFRDFVSRCLEKKAGRRASVGELLEHPFIAERDAADAQRSLAALVAEAEQSGDL >ONIVA06G01190.1 pep chromosome:AWHD00000000:6:847533:851488:1 gene:ONIVA06G01190 transcript:ONIVA06G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRSPQHPAAAAPPPAVGGGGGGEVSGDGGASTANGPVVPKPSEVAPFLTKVYDMVSDPATDNVISWAEGGGSFVIWDSHAFERDLHRHFKHSNFTSFIRQLNTYGFRKVHPDRWEWANEGFIMGQKHLLKTIKRRKKSSQEAPSEIQKAPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRHYQQTSNLEVQNLIERLQVMEQNQQQMMALLAIVVQNPSFLNQLVQQQQQQRRSNWWSPDGSKKRRFHALEQGPVTDQETSGRGAHIVEYLPPVPETSGQVNPVEGAICSANSQPVPSPAVATPMDMQTSNVADTLGSSEEPFADNSTLHEWDDNDMQLLFDDNLDPILPPFENDGQMGPPLSVQDYDFPQLEQDCLMEAQYNSNNPQYVLLYMPDIFPFSSADFFGDQPPGASAPLASRNGVLRVYR >ONIVA06G01190.2 pep chromosome:AWHD00000000:6:847533:851488:1 gene:ONIVA06G01190 transcript:ONIVA06G01190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRSPQHPAAAAPPPAVGGGGGGEVSGDGGASTANGPVVPKPSEVAPFLTKVYDMVSDPATDNVISWAEGGGSFVIWDSHAFERDLHRHFKHSNFTSFIRQLNTYGFRKVHPDRWEWANEGFIMGQKHLLKTIKRRKKSSQEAPSEIQKAPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRHYQQTSNLEVQNLIERLQVMEQNQQQMMALLAIVVQNPSFLNQLVQQQQQQRRSNWWSPDGSKKRRFHALEQGPVTDQETSGRGAHIVEYLPPVPETSGQVNPVEGAICSANSQPVPSPAVATPMDMQTSNVADTLGSSEEPFADNSTLHEWDDNDMQLLFDDNLDPILPPFENDGQMGPPLSVQDYDFPQLEQDCLMEAQYNSNNPQYVLR >ONIVA06G01180.1 pep chromosome:AWHD00000000:6:843934:846619:1 gene:ONIVA06G01180 transcript:ONIVA06G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGEAGDQPSAKVFRRFVHDAIERPDEFILHPAAPLPPSVEIVRVMDVISNFVPHFLLKFAASEFNENVMKKAVHLQVGFLGGLTVEE >ONIVA06G01170.1 pep chromosome:AWHD00000000:6:830497:833535:-1 gene:ONIVA06G01170 transcript:ONIVA06G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLATGAADQAPAPAALGALRRRLPRVVTTAGLIDDSPLSPSTPSPSPRPRTIVVANHLPIRAHRPASPSEPWTFSWDEDSLLRHLQHSSSSPAMEFIYIGCLRDDIPLADQDAVAQALLESYNCVPAFLPPDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRALWQSYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVNMGQLKTVLALPETEAKVAELMATYSGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVDEVKGETYAMVRRINEAYGAPGYEPVVLIDEPLQFYERVAYYVVAEVCLVTAVRDGMNLIPYEYIVSRQGNEALDRMLQPSKPEEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPEKEKRMRHDKHYRYVDTHDVGYWATSFLQDLERTCKDHAQRRCWGIGFGLRFRVVSLDLSFRKLAMEHIVMAYRRAKTRAILLDYDGTLMPQAINKSPSANSVETLTSLCRDESNKVFLCSGFEKGTLHDWFPCENLGLAAEHGYFLRSSRDAEWEISIPPADCSWKQIAEPVMCLYRETTDGSIIENRETVLVWNYEDADPDFGSCQAKELVDHLESVLANEPVSVKSTGHSVEVKPQGVSKGLVARRLLASMQERGMCTDFVLCIGDDRSDEEMFQMITSSTCGESLAATAEVFACTVGRKPSKAKYYLDDTAEVVRLMQGLASVSNELARAASPPEDDDE >ONIVA06G01160.1 pep chromosome:AWHD00000000:6:824220:830905:1 gene:ONIVA06G01160 transcript:ONIVA06G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJZ6] MAPVEDGGGVEFPVGMKVLVVDDDPTCLAVLKRMLLECRYDATTCSQATRALTMLRENRRGFDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVIRKKFNENKEHEHSGSLDDTDRTRPTNNDNEYASSANDGAEGSWKSQKKKRDKDDDDGELESGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIANPFCPPASSGKVGSLGGLDFQALAASGQIPPQALAALQDELLGRPTNSLVLPGRDQSSLRLAAVKGNKPHGEREIAFGQPIYKCQNNAYGAFPQSSPAVGGMPSFSAWPNNKLGMADSTGTLGGMSNSQNSNIVLHELQQQPDAMLSGTLHSLDVKPSGIVMPSQSLNTFSASEGLSPNQNTLMIPAQSSGFLAAMPPSMKHEPVLATSQPSSSLLGGIDLVNQASTSQPLISAHGGGNLSGLVNRNPNVVPSQGISTFHTPNNPYLVSPNSMGVGSKQPPGVLKTENSDALNHSYGYLGGSNPPMDSGLLSSQSKNTQFGLLGQDDITGSWSPLPNVDSYGNTVGLSHPGSSSSSFQSSNVALGKLPDQGRGKNHGFVGKGTCIPSRFAVDEIESPTNNLSHSIGSSGDIMSPDIFGFSGQM >ONIVA06G01160.2 pep chromosome:AWHD00000000:6:824220:829354:1 gene:ONIVA06G01160 transcript:ONIVA06G01160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJZ6] MAPVEDGGGVEFPVGMKVLVVDDDPTCLAVLKRMLLECRYDATTCSQATRALTMLRENRRGFDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVIRKKFNENKEHEHSGSLDDTDRTRPTNNDNEYASSANDGAEGSWKSQKKKRDKDDDDGELESGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIANPFCPPASSGKVGSLGGLDFQALAASGQIPPQALAALQDELLGRPTNSLVLPGRDQSSLRLAAVKGNKPHGEREIAFGQPIYKCQNNAYGAFPQSSPAVGGMPSFSAWPNNKLGMADSTGTLGGMSNSQNSNIVLHELQQQPDAMLSGTLHSLDVKPSGIVMPSQSLNTFSASEGLSPNQNTLMIPAQSSGFLAAMPPSMKHEPVLATSQPSSSLLGGIDLVNQASTSQPLISAHGGGNLSGLVNRNPNVVPSQGISTFHTPNNPYLVSPNSMGVGSKQPPGVLKTENSDALNHSYGYLGGSNPPMDSGLLSSQSKNTQFGLLGQDDITGSWSPLPNVDSYGNTVGLSHPGSSSSSFQSSNVALGKLPDQGRGKNHGFVGKGTCIPSRFAVDEIESPTNNLSHSIGSSGDIMSPDIFGFSGQM >ONIVA06G01150.1 pep chromosome:AWHD00000000:6:806443:811514:1 gene:ONIVA06G01150 transcript:ONIVA06G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSAARALNSVSRAAFSWKPTGLPQQTLAAAVSRSGVGLHSGAKTTATLLPARAGEGRYFVVEGEETRVAAEVANAEAHSPLCTALRRGGARVRTVEHLLSAMEALGVDNCRVEVSGGDEIPLLDGSAQEWVMAIRGAGQCAAKDSSGQKLEKLAPEIHEPVYLQKSDCFIAALPSSRIRITYGIDFPKVPGIGCQRFATVLDANVYSSKIAPARTFCIFEEVEKLRSAGLIRGGSLENATVCSISGGWLNPPLRFEDEPCRHKILDLIGDFSLLAQNGNQGFPLAHVVAYKFTTGHPVPRLDLINQWLVMPCILISYGICWEGALWAKKTLLSNVESIWIPLLRR >ONIVA06G01150.2 pep chromosome:AWHD00000000:6:806443:811514:1 gene:ONIVA06G01150 transcript:ONIVA06G01150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSAARALNSVSRAAFSWKPTGLPQQTLAAAVSRSGVGLHSGAKTTATLLPARAGEGRYFVVEGEETRVAAEVANAEAHSPLCTALRRGGARVRTVEHLLSAMEALGVDNCRVEVSGGDEIPLLDGSAQEWVMAIRGAGQCAAKDSSGQKLEKLAPEIHEPVYLQKSDCFIAALPSSRIRITYGIDFPKVPGIGCQRFATVLDANVYSSKIAPARTFCIFEEVEKLRSAGLIRGGSLENATVCSISGGWLNPPLRFEDEPCRHKILDLIGDFSLLAQNGNQGFPLAHVVAYKAGHALHTDFLRHLLGRSAVGQENLAEQC >ONIVA06G01140.1 pep chromosome:AWHD00000000:6:794086:804747:1 gene:ONIVA06G01140 transcript:ONIVA06G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEGPRGLTPRGHASHPYSSYPNEVGTPEPIASREAEAGTKTEIINDRLSSEKD >ONIVA06G01130.1 pep chromosome:AWHD00000000:6:789934:790119:-1 gene:ONIVA06G01130 transcript:ONIVA06G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVNVTPCGKGKRAAAPGSPPPPPPPPPDHTTVVSNLQSIEIGFARVPRERAEVGLGL >ONIVA06G01120.1 pep chromosome:AWHD00000000:6:782361:789742:-1 gene:ONIVA06G01120 transcript:ONIVA06G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01690) TAIR;Acc:AT2G01690] MAADALSIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATSGEHDKISAVIALLTNDFTMSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLTSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPINEFVKLGGEQLVPYYADILGAILPCISDQEEKIRVVARETNEELCAIKADPTEGFDIGAILSIAKRELNSEHEATRIESLHWFSTLLVRYRAEFLAYLNDIFDPLLNALSDPSDAVVLLVLEVHARIAEESHHFHHLVSYLIHTFHNNHVLLEKRGALIVRRLCILLGAEKVYREFSTILETEGDLEFASTMVQALNLILLTSTELAELRSLLKKTLVDSCGKDLFQSLYASWCHSPMATISLCLLAQAYNHAISVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLLMLLPQQSAAFKILRTRLKTVPFSENLKRTSSTNPYSQILQVTEDGNRNQDTQSYNAINFPSRLHQFESMQQQHRVHLKNQLQSQKSASAIVLSQEIQRYEEAHSSSTSEIGRPPSRTSRGIS >ONIVA06G01110.1 pep chromosome:AWHD00000000:6:779633:780991:1 gene:ONIVA06G01110 transcript:ONIVA06G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGRITPLDPIHEEELICFYLRNKLDGLRDDIECVIPVFDIYSVDPLQLSEIHHEMLGSGGEEGEPWFYLCPRQEREVRGGRPSWTTPSGSWKAVGTPGVV >ONIVA06G01100.1 pep chromosome:AWHD00000000:6:771787:775980:-1 gene:ONIVA06G01100 transcript:ONIVA06G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJY7] MITSVSCHTRAMANLTDLVNLNLSDCSDKIIAEYIWVGGSGIDLRSKARTVKGPITDVSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDNILRHSAAKIFSHPDVVAEVPWYGIEQEYTLLQKDVNWPLGWPGPYYCAAGAEKAFGRDIVDAHYKACIYAGINISGINGEVMPGQWEFQVGPSVGIAAADQVWVARYILERVTEVAGVVLSLDPKPIPGDWNGAGAHTNFSTKSMREPGGYEVIKKAIDKLALRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTGMIAETTLLWKQN >ONIVA06G01100.2 pep chromosome:AWHD00000000:6:771787:775653:-1 gene:ONIVA06G01100 transcript:ONIVA06G01100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJY7] MANLTDLVNLNLSDCSDKIIAEYIWVGGSGIDLRSKARTVKGPITDVSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDNILRHSAAKIFSHPDVVAEVPWYGIEQEYTLLQKDVNWPLGWPGPYYCAAGAEKAFGRDIVDAHYKACIYAGINISGINGEVMPGQWEFQVGPSVGIAAADQVWVARYILERVTEVAGVVLSLDPKPIPGDWNGAGAHTNFSTKSMREPGGYEVIKKAIDKLALRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTGMIAETTLLWKQN >ONIVA06G01090.1 pep chromosome:AWHD00000000:6:769864:770693:1 gene:ONIVA06G01090 transcript:ONIVA06G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPEVETAVRLGAADPVPLWPDLASPPTDPVGTEEGEAGSQGEAAAGGVRGGAGRTRGLGPMSGGVRLWRCCARSKPVVVTGSSGGWLPLVTSVAFGSTQEALDLRGGRLSLGVEGMAWWSGDGCCAGWLCGVLMGNRWRKPCQAMGWHDDGDAIWRRSPPWRRRPGIDPS >ONIVA06G01080.1 pep chromosome:AWHD00000000:6:766639:769595:1 gene:ONIVA06G01080 transcript:ONIVA06G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPKMVTGWAARDANGLLSPFSYPLRAKGDEDVVVKILFCGICHSDLSTIKNEWGNAKYPVVPGHEIVGVVAEVGSSVARFAAGDTVGVGYIASTCRACANCRDGFENYCAGLVPSFNAALPDGATVHGGFSELAVVNQRYVVRIPGGGGGASPAPLDRLAPLLCAGVTVYCPMRRLGLDRPGVHLGVAGLGGLGHLAVKFGKAFGVKVTVISTSPWKEAEAVERLGADAFLLSTNAEQMKAAAGTMDGIIDTVSAVHDLTPLITLLRTHGQLVPVGSPGKPVQLALYPLQSDGKSVAGSMIGGMRDTQEMVDFAVEHGVAAEVEVIGMEDVNGAMERLQKGDVRYRFVIDVANTMARAR >ONIVA06G01080.2 pep chromosome:AWHD00000000:6:766639:769022:1 gene:ONIVA06G01080 transcript:ONIVA06G01080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPKMVTGWAARDANGLLSPFSYPLRAKGDEDVVVKILFCGICHSDLSTIKNEWGNAKYPVVPGHEIVGVVAEVGSSVARFAAGDTVGVGYIASTCRACANCRDGFENYCAGLVPSFNAALPDGATVHGGFSELAVVNQRYVVRIPGGGGGASPAPLDRLAPLLCAGVTVYCPMRRLGLDRPGVHLGVAGLGGLGHLAVKFGKAFGVKVTVISTSPWKEAEAVERLGADAFLLSTNAEQMKAAAGTMDGIIDTVSAVHDLTPLITLLRTHGQLVPVGSPGKPVQLALYPLQSGPSPVGPRIDWAGGPIRARWAVLMVCDVADGKSVAGSMIGGMRDTQEMVDFAVEHGVAAEVEVIGMEDVNGAMERLQKGDVRYRFVIDVANTMARAR >ONIVA06G01070.1 pep chromosome:AWHD00000000:6:757310:758881:-1 gene:ONIVA06G01070 transcript:ONIVA06G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLLLLVFLVSVALVVRTWSASRRSHEKARYATAELRPYPLVGHLPQFLANRHRILDWMTEVLSRQPTRTFVLRRPGGVRGVITANPANVERFLRSGFDNYPKGERFASLLHDFLGRGIFNADGEAWRSQRKAASYEFNTRSLRAFVARCVHGELHGRLLPLLRRAAAEGRAIDLQDALERFAFDNICRVAFDHDPGQLPDASGGGALAEADDGSTASGRFADAFRDAANLSAGRFRYAVPWFWRVKKALHIGSERRLRESIAIVHDFADRIIRSRREEIRAGLEKHDLLSRFMASHDESYTEVALRDVVISFLLAGRETTSSALTWFFWLLSSRPDVERRIREEVATVRARRGDGDVDRVGFDLDELREMQYVHAAITESMRLYPPVPVDSLHAQEDDVLPDGTAVEAGWFVAYNSYAMGRMESVWGKDAAEFRAERWLEDAAAATFRPESPFRYVSFHGGPRVCLGKEMAYIQMKSIIACVLQELELAVDGAYRPRQVTSLTLRMADGLPTRVKVRGN >ONIVA06G01060.1 pep chromosome:AWHD00000000:6:747376:751482:1 gene:ONIVA06G01060 transcript:ONIVA06G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPAPAVALAVLVLLSAVPGYFSDDLNTDAQALQALRSAVGKSALPSWNSSTPTCNWQGVTCESGRVTELRLPGAGLMGTLPSNVLGNLSALRTLSLRYNALTGPIPDDLSRLPELRAIYFQHNSFSGEVPASVFTLKNLVRLDLAGNKFSGEISPDFNKLNRLGTLFLDGNSFTGEIPKLDLPTLSQFNVSYNKLNGSIPRSLRKMPKDSFLGTGLCGGPLGLCPGETALTPAGSPEVQPAGGGAADAGGASSGTKKKLSGGAIAGIAIGCVFGVLLLLALIFLLCRKKSSSSTPATAVEKGRDLQMAPMDMEPKGQNGSATGNGAHVGAAAAAPAAATSAAVAAAAAAAKTGGATGGSKKLIFFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMESGSAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAHIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPSMSEVAARIDEIRRSSLGDRPATDSAGEGEEPSL >ONIVA06G01050.1 pep chromosome:AWHD00000000:6:741119:741951:1 gene:ONIVA06G01050 transcript:ONIVA06G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPPRPPHRSHRRVPAACCLFVLLLALVLLPSAAAKSSRRPITDNEIREKKSACYTDVENGLWGWACKSSATEKENCVLRCLSPECYDLIYGGDPLEEGELDYIRGHEYKYCMHK >ONIVA06G01040.1 pep chromosome:AWHD00000000:6:734716:739979:1 gene:ONIVA06G01040 transcript:ONIVA06G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT3G17830) TAIR;Acc:AT3G17830] MAAALIVPANPNPTPNPTSSLRPPSRAASSVLRFLRRDRARTHLTAAFGRGSPAAAAERGGKDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKDPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRGLDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQISTCLNCDGKGKAKSKLNAISGRATGDLYIFVHVNKKEGIHREGLDLFSDVTIDYTDAILGTTVKVAAELWLQLYLERVETIEGFKDLYIPPGTQPGERLKFAQLGAPDIKNPTIRGDHNFVINVKIPKSISNQERTLVQEIAALKETGCISVPGEETKNRENLGERNSHSSTGKRRSLWRSIRNLFRGDDGDTRFASISAQSVTPLWTPRRGSHPAVLLLEGFLMITVLLFVISRTRIIRSTPKRYDRPTEAKEADGET >ONIVA06G01040.2 pep chromosome:AWHD00000000:6:734716:739979:1 gene:ONIVA06G01040 transcript:ONIVA06G01040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT3G17830) TAIR;Acc:AT3G17830] MAAALIVPANPNPTPNPTSSLRPPSRAASSVLRFLRRDRARTHLTAAFGRGSPAAAAERGGKDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKDPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRGLDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQISTCLNCDGKGKAKSKLNAISGRATGDLYIFVHVNKKEGIHREGLDLFSDVTIDYTDAILGTTVKVETIEGFKDLYIPPGTQPGERLKFAQLGAPDIKNPTIRGDHNFVINVKIPKSISNQERTLVQEIAALKETGCISVPGEETKNRENLGERNSHSSTGKRRSLWRSIRNLFRGDDGDTRFASISAQSVTPLWTPRRGSHPAVLLLEGFLMITVLLFVISRTRIIRSTPKRYDRPTEAKEADGET >ONIVA06G01040.3 pep chromosome:AWHD00000000:6:734716:739979:1 gene:ONIVA06G01040 transcript:ONIVA06G01040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT3G17830) TAIR;Acc:AT3G17830] MAAALIVPANPNPTPNPTSSLRPPSRAASSVLRFLRRDRARTHLTAAFGRGSPAAAAERGGKDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKDPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRGLDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQISTCLNCDGKGKAKSKLNAISGRATGDLYIFVHVNKKEGIHREGLDLFSDVTIDYTDAILGTTVKVAAELWLQLYLERVETIEGFKDLYIPPGTQPGERLKFAQLGAPDIKNPTIRGDHNFVINVKIPKSIRFELRRKFGREKLPFIHREEKIPLAIYQEFVQGRRWGYEICFNQRTICYSIMDSATRISSSCSVARRIPDDHSALIRDKQNSYNQVDSKAV >ONIVA06G01040.4 pep chromosome:AWHD00000000:6:734716:739979:1 gene:ONIVA06G01040 transcript:ONIVA06G01040.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT3G17830) TAIR;Acc:AT3G17830] MAAALIVPANPNPTPNPTSSLRPPSRAASSVLRFLRRDRARTHLTAAFGRGSPAAAAERGGKDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKDPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRGLDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQISTCLNCDGKGKAKSKLNAISGRATGDLYIFVHVNKKEGIHREGLDLFSDVTIDYTDAILGTTVKVETIEGFKDLYIPPGTQPGERLKFAQLGAPDIKNPTIRGDHNFVINVKIPKSIRFELRRKFGREKLPFIHREEKIPLAIYQEFVQGRRWGYEICFNQRTICYSIMDSATRISSSCSVARRIPDDHSALIRDKQNSYNQVDSKAV >ONIVA06G01030.1 pep chromosome:AWHD00000000:6:730844:733599:1 gene:ONIVA06G01030 transcript:ONIVA06G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLIARLPTRRPHLSLRQRSYKLAPRITMASSSSSSPPSSDPSLETVAPHAAVTGERKLNPNLQEQLPKPYLARALAAVDPSHPQGTRGRDARGMSVLQQHAAFFDRNGDGIIYPWETFQGLRAIGCGYPVSIAGAILINLVLSYPTQPGWMPSPLFSIHIKNIHKGKHGSDSEAYDTEGRFDPSKFDAIFSKYGRTHPNALTKDELNSMIKANRNMYDFIGWITSAGEWMLLYSVAKDKEGLLQRETVRGAFDGSLFERLQDSKKSA >ONIVA06G01020.1 pep chromosome:AWHD00000000:6:716621:718739:1 gene:ONIVA06G01020 transcript:ONIVA06G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPQRYCPPLPLRSLARHCRSAQPAAHSLAAAPLPSVGRRVLIRLLGSSPSTSYAMSRRRRRQRRHHRRRVAPGDVDRISDLPDDLLHLILSYVSDDSAEVTRTSVLSRRWRRVWIHAQKLCFDDDRQSRWRRLANFGGFVDWAFAQRGDADIQSVIIFMSRLDSATPEQVNEWLRYAVRRVVKTFWFNACDSTPIGAWWAPPPRDHGHQLPTVELPSHGRTASINLNLSSYPFRLKLPASPAARYEALTDLSLSSAWFGEDEAVAGRRTLGDFISSCCPRLRKLEIIDPMRLPQLVLRAEALEELIVASTRDTQTMDVTAPNLRIFELHYFNSMTSVTSYGESIDLVVRITAPRLEEIAINNSTLEIEDNLDLRIHGLASVRRLKNLTLAMHGHNCCNTDYG >ONIVA06G01020.2 pep chromosome:AWHD00000000:6:718309:719550:1 gene:ONIVA06G01020 transcript:ONIVA06G01020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATHEVDDLTDNGAPRLYKARSMVIDACGLDQYLVTSVWSLLLMCPDLISLRICLRGWGDRSSKDQDTRTDNRNISLEFLEEVRLTSFTGTDEEMDLVRLLFGSSSSIKSMTISTPEKEIADTCSGDFLLDSDDDYPYYHRLLKIAPLSHLSRWHYKRFEYTWTRYATEDARAVDAEANSPSPRTVRAVNIGKGKKNQS >ONIVA06G01010.1 pep chromosome:AWHD00000000:6:716171:716489:1 gene:ONIVA06G01010 transcript:ONIVA06G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQKQNWHDQSRARSIMTCNTEWHGGSSTVIGDSFFKGFQSDKPGVSTVHEQNRYKQRRVRFF >ONIVA06G01000.1 pep chromosome:AWHD00000000:6:710955:714901:1 gene:ONIVA06G01000 transcript:ONIVA06G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFARADTMDDHDDPADDLDRISALPDDLLHILLSNLNNATTVTRTAVLSRRWRRVWTSAQALYFADMNPKRRRAIKPGQFGSFVDWAFAERGDADIQSLTIHMSYRKSATQDQINDWLRYAMRRAVKAFRFYYFSNARDGQDLQLLPIVELPSHARTASIVLFLGSSRLRLPASPAACYEALTELNLRWASFDEEEGASAGGRTLGDFLSTCCPRLRKLEMSSLKLLSRLVLRTESLEELRISYANDLQSLDVTAPNLRVFTHTFCWYGLTLDDFNARSVIKIVAPRLEEIAMDNQVFMNTPDLHIHGLTSVRRLCDLNLTVHGQYCSNRGYGLWLLKNCPNVKHVDLLLKSSVFTTDEELADLTDESAPRLHKVRSMVLKTSKLPHHHFTASVRSFLLMCPGLRSLCINITERGQGAWWGVDGGTRLWVPDPMSIAAVGSEVRCGASIDGRRGLGPALMAAAASCGVQGFEVACSLRDPAPASTAVASIGDRDTLANHPKLTLELLQEVTITGFTRTDEEIDLVSLLFGSSSSIMSVTIHATEKEDTEKVSLKNIMAEDDDNDDDTTTHQQLLEIPFTDHGCWRFQGDVPRDIMRLSVPAVCAAPPSHRARRRLLPSREYEVAVVHRARRRVKMLEQ >ONIVA06G00990.1 pep chromosome:AWHD00000000:6:708010:709697:1 gene:ONIVA06G00990 transcript:ONIVA06G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTRSYPMDVDDELADDLDRISALPDDLLHVILSILGDATMVTRTAVLSRRWRRVWTHAQKLSFVDTDPKIRAKPGHYETDDDHPLPILELPSNARTTSIELRLSSFRLRLPVSPSARYEALTELNLRSMCFDEEEAGARTLGDFVSTCCPRLRKLEIWGLKKLTQLVLCTEALEELDVNYSNDLQKLDVNAPNLRVLGIKLFIISLPLIDENSNKHLVVGIVAPMLEEIDMHIWADRLDMHIHDRTSVRHLRNLGLRMRGQYSCNTDYGLWLLKNCPNIEHLDIYLRHMFSMNGLIDLMDKGAPRLHKVRSMVVKTSYLWPEHRFVTCVRPLLLMCPGLRSFCVKISGRDKIPLFEDPNTLASQPNITMDFLHEASIIGFTGTDQEMHLVSFLFGCSTSITCMTILPECDDNDDPNRSQLLEIPFTGHGCWHFQRDKYTWKRTQYEGAQGKSCAY >ONIVA06G00980.1 pep chromosome:AWHD00000000:6:705232:707221:-1 gene:ONIVA06G00980 transcript:ONIVA06G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MA3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G22730) TAIR;Acc:AT1G22730] MESRPDDLVPPAMHKPAIQCAAAEDASLLRSPTVSSEEFMQFKRKATTILEEYFSTDDVAATANELRELRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYGDVIDRPQVYKGFGKLAESCDDLSVDTPDAVDILAVFVARAIIDDILPPAFLAKQLTCLPEGCKGAEVLHRAEKSYLSVPHHGEIILQRWGGSKSITVEEAKAKIADILEEYLAAGDIGEACRCIRGLKISFFHHDIVKRALTLAMERGGGAEGHILDLLKSASDEGIINESQITKGFNRLIDSVDDLTLDVPNARRLLKSMILKASSEGWLCASSLKPLGPEPKKAVVEDDAAVRQFKAKTLSIIKEYFLTGDIIEVMSSLEAENYACSSSYNAIFVKKLITSAMDRKSREKEMASVLLSSLGMPPEDVVSGFHLLIESAEDAALDNPAIVEDLTMFFARSVVDEVITPSNLEKMEEEAGRGKPGGSSTGLLALRNARALLGAKLSAERILRCWGGGATGKAGWELDDVKDKIGRLLQEYDCGGDIREACQCIKELGMPFFHHEVVKKALVAIMEKRGKDERLWGLLAECYGRGLITPNQMTKGFERVAGCVDDLALDVPDAGKQFCCYVERAKKGGWLDASFPNGVRS >ONIVA06G00970.1 pep chromosome:AWHD00000000:6:703374:703706:-1 gene:ONIVA06G00970 transcript:ONIVA06G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIVATGITGGALAQAALTKAAKPIKLGLLPLPSSEGEVLPATVAASGGGGEGKGGEAVAGVGCWRHAMSRGRRRGWVRRRARAAGEEPRPAAGGRGGGCRRWVRDQRR >ONIVA06G00960.1 pep chromosome:AWHD00000000:6:703013:703210:-1 gene:ONIVA06G00960 transcript:ONIVA06G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTSTSDSVDDDDKKLCPGEWCSLRLYLYLTLAAVPTASVSSCSAAASSSSSVSSASSSSGLCV >ONIVA06G00950.1 pep chromosome:AWHD00000000:6:702080:702903:-1 gene:ONIVA06G00950 transcript:ONIVA06G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLAPPCWRAQAPPVRSVSPVVPMSPMMNTSLSSRSSAPTLGMPSSFGVWGWEGRRVRE >ONIVA06G00940.1 pep chromosome:AWHD00000000:6:692378:700490:-1 gene:ONIVA06G00940 transcript:ONIVA06G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAGGAPPVTGDRYLDLLVRFVGRNAGALLDGSVTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVAPGPGRDPAPLSLVPFARLRVLELRGCDLSTSAARGLLDLRHTLEKLICYNSTDALRHIFTSRIMDIKDSPVWGRLLYVSCASNGLVLMDESLQLLPAVETLDLSRNQFAKVDNLRKCTKLRNLDLGFNHLRSISSLSEACGRIVQLVLRNNALTTLHGIKNLKSLMDLDLSYNIISNFSELEILGSLFLLQNLWLEGNPICCARWYRARVFSFLHNSESLKLDDKGMNTQEYWEKQVLFSSRQKQPAGYGFYFPAKDDHEDEDTSNSKMKKISRLALIVEEERSLCDEGVDQQTTPHESDSSKKDEVAGADNDIKITSLINTAELLKKEKSTDWLREFKEWMDENMENTEPDNLYIEFNSSNGRYEEQKKMQKAQKNSKDISDLVQTSEGGSSSNILESDLSFTDGACYSANGVTTESSHEGNIYQAPLKLHLNSSQQLPPLNFVAISHADSFCEMEDGTGNLHTNGVSSNLMNKLVEPSLSLTNSSPQSPPQYKEDILHRRLCMEEEVLQTSGDFNCAGSLGSGSSCSDDSSGDLCSCNSEDDCVAIRTKMGLSPKGQIARFSSVGDYEEKDGMEYFSGKKGLPDYSAEDVPNFTDSVEFGIKQLHDRYKSNGHLGEGSDHLVRQQSNQKFKMRIPPLFKNHNGTKLVFPKVNGDEMDNGVSVAGNGHLGCNLTNCTLCREHSLENHNSSILHKDNLCASANTVSCNTEKYKLIEDFFNLEIASDASEICEKTAFCGYIFQNGTGSDLVQREVALLRCSQNKLHVVLVDMAQDGQDTMLRVLGSYWMEDLENILIGLGLQALRVHMADNTTHLFLTRTSKEAEDILWLLTASNFPQLTSSISLQSWEKVQLKLLENCIHPSLEMGIFLYSLLMFWKNDTEEGSFVIRSLAVTEGSLFVCIENIHQFGSLPDDPDTPYFSLDACCFINDIQEVVVDHCDKRCLTLVLDNHAHEGRFCSNGSITNSQSKQPDEIYTVHTWKLKWFSEETVVKFISLLKALYSVSSSSSLPVKCTS >ONIVA06G00940.2 pep chromosome:AWHD00000000:6:692378:700490:-1 gene:ONIVA06G00940 transcript:ONIVA06G00940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAGGAPPVTGDRYLDLLVRFVGRNAGALLDGSVTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVAPGPGRDPAPLSLVPFARLRVLELRGCDLSTSAARGLLDLRHTLEKLICYNSTDALRHIFTSRIMDIKDSPVWGRLLYVSCASNGLVLMDESLQLLPAVETLDLSRNQFAKVDNLRKCTKLRNLDLGFNHLRSISSLSEACGRIVQLVLRNNALTTLHGIKNLKSLMDLDLSYNIISNFSELEILGSLFLLQNLWLEGNPICCARWYRARVFSFLHNSESLKLDDKGMNTQEYWEKQVLFSSRQKQPAGYGFYFPAKDDHEDEDTSNSKMKKISRLALIVEEERSLCDEGVDQQTTPHESDSSKKDEVAGADNDIKITSLINTAELLKKEKSTDWLREFKEWMDENMENTEPDNLYIEFNSSNGRYEEQKKMQKAQKNSKDISDLVQTSEGGSSSNILESDLSFTDGACYSANGVTTESSHEGNIYQAPLKLHLNSSQQLPPLNFVAISHADSFCEMEDGTGNLHTNGVSSNLMNKLVEPSLSLTNSSPQSPPQYKEDILHRRLCMEEEVLQTSGDFNCAGSLGSGSSCSDDSSGDLCSCNSEDDCVAIRTKMGLSPKGQIARFSSVGDYEEKDGMEYFSGKKGLPDYSAEDVPNFTDSVEFGIKQLHDRYKSNGHLGEGSDHLVRQQSNQKFKMRIPPLFKNHNGTKLVFPKVNGDEMDNGVSVAGNGHLGCNLTNCTLCREHSLENHNSSILHKDNLCASANTVSCNTEKYKLIEDFFNLEIASDASEICEKTAFCGYIFQNGTGSDLVQSYWMEDLENILIGLGLQALRVHMADNTTHLFLTRTSKEAEDILWLLTASNFPQLTSSISLQSWEKVQLKLLENCIHPSLEMGIFLYSLLMFWKNDTEEGSFVIRSLAVTEGSLFVCIENIHQFGSLPDDPDTPYFSLDACCFINDIQEVVVDHCDKRCLTLVLDNHAHEGRFCSNGSITNSQSKQPDEIYTVHTWKLKWFSEETVVKFISLLKALYSVSSSSSLPVKCTS >ONIVA06G00930.1 pep chromosome:AWHD00000000:6:689350:691881:1 gene:ONIVA06G00930 transcript:ONIVA06G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJW4] MLLVGVLLLLFFNLHLPTAAIDTLTLGQSLLWNQTLVSNGGNFELGLFSPGKSNKHYLGIWYKKISKKTVVWVANRERPILEPSSCHLELSVHGDLRLFSTAPSNTLLWSSNASASSSPSPPRTTVATLQDDGNLVVKSNATRSRSRSPSSTTTTHVAWQSFDHPTDTWLPGARLGYDRGRGVHSFLTSWTDSENPAPGAFSMVIDARGLAKFDLLAGGEHRYWTTGLWDGEIFANVPEMRSGYFTGVPYAPNASVNFFSYRDRLPGAVGNFMLDVNGQMRRRQWSETAGKWILFCSLPHDACDVYGSCGPFGVCSNATNPECRCPAGFEPRSSEEWRLENAAGGCVRRHPLECHGDGFLALPYTVRLPNGSVEAPAGAGNDKACAHTCLVDCSCTAYVHDGAKCLVWNGELVNMKAYAANENGQGDPGLAGAVLHLRVAHSEVPASSTEHSWKKSMVILGSVVAAVVLLLASLVTVVAVAAVLRMRRRRGKVTAVQGSLLLLDYRAVKTATRDFSEKLGSGSFGTVFKGALPDGTPVAVKKLDGLRQGEKQFRTEVVTLGMIQHVNLVRLRGFCCEGNKRALVYDYMANGSLDSHLFVMSGSSSGPDSKQVTLTWSQRYNVAVGVARGLAYLHEKCRECIIHCDVKPENILLDQEMAARLADFGMAKLVGRDFSSVLTTMRGTVGYLAPEWLAGTPVTAKADVYSFGLLLFELVSGWRNSTAPSSSSEGGPGIYFPVHAVVKLNEGDVAGLVDERVAKDADPKEVERLCKVAGWCIQDEEGDRPTMGLVVQQLEGIADVMLPPIPSRLHILAIENEWVRGVPEDERCSKSGSKPETEAIEEM >ONIVA06G00920.1 pep chromosome:AWHD00000000:6:681348:685586:1 gene:ONIVA06G00920 transcript:ONIVA06G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSFGFLGFSCGNMKKLFFFLFLLILAASVVHGEDGAYIGVNIGTAMTSVPAPTQITTLLRSQNIRHVRLYDADPAMLAALANTGIRVIVSVPNEQLLAIGNSNATAANWVARNVAAHYPSVNITAIAVGSEVLSTLPNAAPLLMPAIRYLQNALVAAALDRYIKISTPHSSSIILDSFPPSQAFFNRSLDPVLVPLLKFLQSTGSPLMLNVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVTETGWPHKGDPSNEPDATSDNADTYNSNLIRHVMNTTGTPKHPGVAVPTYIYELYDEDTRPGSTSEKYWGLFDMNGIPAYTLHLTGSGVLLANDTTNQTYCVAREGADEKMLQAALDWACGPGKVDCSALMQGQPCYDPDNVEAHATYAFNAYYHGMGMGSGTCYFSGVAVITTTDPSHGSCVYAGSGGKNGTSLLNGTSLAPSSNSTAGDSGAHRAIGDVSSFVRAVVAALLLSVVLLL >ONIVA06G00910.1 pep chromosome:AWHD00000000:6:680390:680878:-1 gene:ONIVA06G00910 transcript:ONIVA06G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTHLSATASGVGPWDDGDSGSQTGGQWARETRRGCGARSVTWRSNGQISRAPRPEATGGSSGERAREWFGRVVERRVGRGSGWIGCGAPCAGAALVAKTGHAARTHGTMASRGFRHQPQRSSSQAGLGEYKRLLGWDAALFFALLSAWATALDWYSNDDI >ONIVA06G00900.1 pep chromosome:AWHD00000000:6:670304:677494:-1 gene:ONIVA06G00900 transcript:ONIVA06G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDKEVGPPPPPCVDSDHEDLPLAERRRRLLRPPAESKPPAPERREASAAAAAAAEDSGGAAQQGWPGLPRGVEFNPTDSDLLWHLAAEVGNGQARRHPFINEFIKSVDETIGFGYTHPQDIPGIRQDGCASYFFHKNFKECANENSKCIRWQKSGNPISITLDGNLQGCKEVFVLYAYETDGNNPQITDWRLHQYHIESTEKDEGELVVSKIFYELEKNQFKWAEKSHAQSAQGASAIDDDSKEELQLDNHSFNMITENSSVQGNENKQKQTQTGTCPNLDKLSYFNVVSNMHIGNQINDHDEIEELDHMSLQERYRILMAENHSSSAVVSSEQCAIDGLENSCKPGTNGMIPKRIHEGTAFRDGMYSMLQEISSAPAIIGSIDNDNNRRLLTEGLSNNQQSHEAGCESGFLSTSSSAAPPQCQVVCSHDLLVNGKTLIYSRDPSSSSTPTFGDKNIQLEGTDDRTLLVDVKLEPALEGDFTEKITSSVQRTDPNHGTEGSNLVGSINSVSSAISKRISEAARSNPENSHVEGLLPSSRIKSEVTGSELPLVVCGLTSISIAELTAKKTNTLNHDGVLAYCSRKRKRRKTLRDPSEKTLEEDSLRNDEGTAYFSRQRRRRKTATDSIETALEEDAPGLLQILLDKGILVKEIKLYGVEEEDDMVPDCTESDFQDLENVITKLFPQRTSLLKSALRHEKGEKAIYCLTCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFIFKSHNRIVLERPEYGYATYFFEIVKSLPIQWQIQRMVTAMKLSGCGRTALIENRPLLIGEDLTEGEARVLEEYGWVPNSGLGTMLNYRDRVVHDRWNERSGTDWKTKIGKLLMNGYSEGHLVLSHFPTKVGKIEDDTEIKQEDPL >ONIVA06G00890.1 pep chromosome:AWHD00000000:6:666689:670593:1 gene:ONIVA06G00890 transcript:ONIVA06G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G25900) TAIR;Acc:AT3G25900] MAVAVEEIVRRAGGCAVIDGGFATQLEALGADINDPLWSAACLITKPHLIKEVHMQYLEAGADVIISSSYQATIPGFLARGMLLEEAEGLLRRSIELALEARDEFWKSTLRKSKPVYNRALVAASIGSYGAYLADGSEYSGSYGEDITAEKLKDFHRRRLQVLASAGPDLIAFEAIPNKMEAQALVELLEEENIQVPSWICFSSVDGKNLCSGESFAECLQFLNASDKVTIVGVNCTPPQFIEDIIRELKKQTKKAIAVYPNSGEIWDGRAKRWLPAQCFGHKSFDALAKRWQEAGASLVGGCCRTTPSTIRAVSKVLKGKTAYSATQI >ONIVA06G00880.1 pep chromosome:AWHD00000000:6:654306:665717:1 gene:ONIVA06G00880 transcript:ONIVA06G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQGERKIPLERGTSEPVEAAAATSPGAWHASSSMEASSVVSSPMRWPSVPVITKRPGCQILERFNDSAMEDAYEDLKMKYRAKRERQLKLVTLDPHVPRSCMGNQNLLPVRDSSTKTILEGAKVVISLSSYVDGTLMARSSGFFINWDEESKVCTVLTSARLICSKYSSMDQWLGSDEYSPDATVYAHLLDKDETTVPAALLHYDRHFNIALFKVDVDSCAKIPSFSSEVTCGQDIFALGRDDYLNLTINHGRVQFKGPSMFERHYYMYTGFMTGQSGIGGPIINFNGQVLGMTNVLGMGFIPSSVILHCLDMWKKFGYIPRLHIGMKLSAMKFLDPIHVEKISRKCNIDSGLIVKEVSYGSNAEKRGVRAGDIIQSMN >ONIVA06G00880.2 pep chromosome:AWHD00000000:6:654306:665790:1 gene:ONIVA06G00880 transcript:ONIVA06G00880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQGERKIPLERGTSEPVEAAAATSPGAWHASSSMEASSVVSSPMRWPSVPVITKRPGCQILERFNDSAMEDAYEDLKMKYRAKRERQLKLVTLDPHVPRSCMGNQNLLPVRDSSTKTILEGAKVVISLSSYVDGTLMARSSGFFINWDEESKVCTVLTSARLICSKYSSMDQWLGSDEYSPDATVYAHLLDKDETTVPAALLHYDRHFNIALFKVDVDSCAKIPSFSSEVTCGQDIFALGRDDYLNLTINHGRVQFKGPSMFERHYYMYTGFMTGQSGIGGPIINFNGQVLGMTNVLGMGFIPSSVILHCLDMWKKFGYIPRLHIGMKLSAMKFLDPIHVEKISRKCNIDSGLIVKEVSYGSNAEKRGVRAGDIIQSMN >ONIVA06G00880.3 pep chromosome:AWHD00000000:6:654332:665717:1 gene:ONIVA06G00880 transcript:ONIVA06G00880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQGERKIPLERGTSEPVEAAAATSPGAWHASSSMEASSVVSSPMRWPSVPVITKRPGCQILERFNDSAMEDAYEDLKMKYRAKRERQLKLVTLDPHVPRSCMGNQNLLPVRDSSTKTILEGAKVVISLSSYVDGTLMARSSGFFINWDEESKVCTVLTSARLICSKYSSMDQWLGSDEYSPDATVYAHLLDKDETTVPAALLHYDRHFNIALFKVDVDSCAKIPSFSSEVTCGQDIFALGRDDYLNLTINHGRVQFKGPSMFERHYYMYTGFMTGQSGIGGPIINFNGQVLGMTNVLGMGFIPSSVILHCLDMWKKFGYIPRLHIGMKLSAMKFLDPIHVEKISRKCNIDSGLIVKELEIELMQICEDHLDKGRGIGSSVDIQVGIFRMCKGSTCTISLRLNISNDVEVFARGKYIFSARDCTWVFDDVGTDLQS >ONIVA06G00880.4 pep chromosome:AWHD00000000:6:654306:665717:1 gene:ONIVA06G00880 transcript:ONIVA06G00880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQGERKIPLERGTSEPVEAAAATSPGAWHASSSMEASSVVSSPMRWPSVPVITKRPGCQILERFNDSAMEDAYEDLKMKYRAKRERQLKLVTLDPHVPRSCMGNQNLLPVRDSSTKTILEGAKVVISLSSYVDGTLMARSSGFFINWDEESKVCTVLTSARLICSKYSSMDQWLGSDEYSPDATVYAHLLDKDETTVPAALLHYDRHFNIALFKVDVDSCAKIPSFSSEVTCGQDIFALGRDDYLNLTINHGRVQFKGPSMFERHYYMYTGFMTGQSGIGGPIINFNGQVLGMTNVLGMGFIPSSVILHCLDMWKKFGYIPRLHIGMKLSAMKFLDPIHVEKISRKCNIDSGLIVKEVSYGSNAEKRGVRAGDIIQSMN >ONIVA06G00880.5 pep chromosome:AWHD00000000:6:654306:660481:1 gene:ONIVA06G00880 transcript:ONIVA06G00880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQGERKIPLERGTSEPVEAAAATSPGAWHASSSMEASSVVSSPMRWPSVPVITKRPGCQILERFNDSAMEDAYEDLKMKYRAKRERQLKLVTLDPHVPRSCMGNQNLLPVRDSSTKTILEGAKVVISLSSYVDGTLMARSSGFFINWDEESKVCTVLTSARLICSKYSSMDQWLGSDEYSPDATVYAHLLDKDETTVPAALLHYDRHFNIALFKVDVDSCAKIPSFSSEVTCGQDIFALGRDDYLNLTINHGRVQFKGPSMFERHYYMYTGFMTGQSGIGGPIINFNGQVLGMTNVLGMGFIPSSVILHCLDMWKKFGYIPRLHIGMKLSAMKFLDPIHVEKISRKCNIDSGLIVKEVSYGSNAEKRGVRAGDIIQSMN >ONIVA06G00880.6 pep chromosome:AWHD00000000:6:654332:665555:1 gene:ONIVA06G00880 transcript:ONIVA06G00880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQGERKIPLERGTSEPVEAAAATSPGAWHASSSMEASSVVSSPMRWPSVPVITKRPGCQILERFNDSAMEDAYEDLKMKYRAKRERQLKLVTLDPHVPRSCMGNQNLLPVRDSSTKTILEGAKVVISLSSYVDGTLMARSSGFFINWDEESKVCTVLTSARLICSKYSSMDQWLGSDEYSPDATVYAHLLDKDETTVPAALLHYDRHFNIALFKVDVDSCAKIPSFSSEVTCGQDIFALGRDDYLNLTINHGRVQFKGPSMFERHYYMYTGFMTGQSGIGGPIINFNGQVLGMTNVLGMGFIPSSVILHCLDMWKKFGYIPRLHIGMKLSAMKFLDPIHVEKISRKCNIDSGLIVKELEIELMQICEDHLDKGRGIGSSVDIQVGIFRMCKGSTCTISLRLNISNDVEVFARVADMNS >ONIVA06G00880.7 pep chromosome:AWHD00000000:6:654426:665555:1 gene:ONIVA06G00880 transcript:ONIVA06G00880.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQGERKIPLERGTSEPVEAAAATSPGAWHASSSMEASSVVSSPMRWPSVPVITKRPGCQILERFNDSAMEDAYEDLKMKYRAKRERQLKLVTLDPHVPRSCMGNQNLLPVRDSSTKTILEGAKVVISLSSYVDGTLMARSSGFFINWDEESKVCTVLTSARLICSKYSSMDQWLGSDEYSPDATVYAHLLDKDETTVPAALLHYDRHFNIALFKVDVDSCAKIPSFSSEVTCGQDIFALGRDDYLNLTINHGRVQFKGPSMFERHYYMYTGFMTGQSGIGGPIINFNGQVLGMTNVLGMGFIPSSVILHCLDMWKKFGYIPRLHIGMKLSAMKFLDPIHVEKISRKCNIDSGLIVKELEIELMQICEDHLDKGRGIGSSVDIQVGIFRMCKGSTCTISLRLNISNDVEVFARVADMNS >ONIVA06G00870.1 pep chromosome:AWHD00000000:6:648534:653295:1 gene:ONIVA06G00870 transcript:ONIVA06G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFRLNVLVQDHKTHEVLQSECFGSRYLSAAEERRRGSISAAVEAEAEEEVAEAVCRRRPAMRDDIGSGGTAAAAVMPVEMESEETSAAEGQRRETGSAMSVEAAVADREAAVLHTHTATGVGVNGGGVVGGGFSVGVGEEESLLGVAASVGGRRDPERQGLGLGGRTAARGRSGERWAAVTMVGAGAAMLGRCDDGGEAWRFFAGEARGAMVVRTAEEERVNSMKNLPHGGEGAREREEEMREREEG >ONIVA06G00860.1 pep chromosome:AWHD00000000:6:645822:655094:-1 gene:ONIVA06G00860 transcript:ONIVA06G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGEEAVGYAETGGGAVGHVETAGRMVHRLTALTLGINLPVGARRRPRSGARRKPTRRAQRRDDIPATEFEVEIGGGEGKGRVGGGGVGAQVAAYWPHAAGSGPGWRAEGRTGGAAATVMWDWGGRYDTEGVCAAVAEVGAEAEGEGGGRGSAPPSRRAPLPTAKNRHASPPSSHLPNIAAPAPTIVTAAHRSPLRPLAAIWNFFLHRLSDDYLPPPPQPTSPIRSPVAVPRPLKSPRTPSQPASLPPPPSLRYQYRHASPVSSDKLLRRPPPRPPPQPLPIWSHAVVPPPPKASPLPE >ONIVA06G00850.1 pep chromosome:AWHD00000000:6:623203:645434:-1 gene:ONIVA06G00850 transcript:ONIVA06G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan synthase-like 8 [Source:Projected from Arabidopsis thaliana (AT2G36850) TAIR;Acc:AT2G36850] MDNWERLVRAALKHQHRAPSAAASSAAGIGLASAVPPSLGKTTNIEHILQAADDIEDDDPNVARILCEQAYTMAQNLDPDSDGRGVLQFKTGLASVIKQKLAKKDGAPIDRQNDIQVLWNFYLQYKSRRRVDDMQREQERLRESGTFSTDMGSRAVEMKKIYATLRALLDVLEILIGQSPSDRLGRQILDEIRRIKRSDAALRGELMPYNIVPLDAPSSVANTIGFFPEVRAAIAAIQNCEDLPRFPSDALQLQLRHKDVFDLLQFVFGFQEDNVRNQRENVVLALANAQSRLGLLDVSEPKIDERAVTEVFLKVLDNYMKWCRYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANVRFLPECLCYIFHNMAKELDGILDSSEAERAKSCTITNDSASYLEKIITPIYQTMEAEAQNNNNGKAAHSAWRNYDDFNEYFWSRSCFNLGWPPAEGSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWIFLILMFQCLTIIAFHHGKIDIGTIKILLSAGPAFFILNFIECCLDVLLMFGAYKTARGFALSRLVIRFIWLTAVSTFVTYLYLKVLDEKNARSSDSTYFRIYVLVLGGYAAVRLVFALMAKIPACHRLSNFSDGSQFFQFFKWIYQIRPLVDPTNVIVTLRNLHYSWHDLVSSGNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPEAFAKTLSPLRISNGPVAQGPEITKMHASIFSPFWNDIIKSLREEDYISNSIMTKFSFREMDLLMMPSNCGNLRLVQWPLFLLTSKIMLANDYASDCKDSQYELWDRISRDEYMAYAVKECYFSAERILHSLVDGEGQRWVERLFRDLNESIAQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETADRAAGVTKALRELYEVVTHEFLAPNLREQFDTWQLLLRARNEGRLFSRIFWPKDLEMKEQVKRLHLLLTVKDSAANIPKNLEAQRRLQFFTNSLFMDMPAAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYPDEWNNFLERIGRGELSEDDFKESPSDMLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGYSAAEYIDTQGYEVSPDARAQADLKFTYVVSCQIYGQQKQRKAPEAADIALLMQRNEALRVAFIHEEDVSSDGRKEYYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRGKHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDYEISRQFRFLGNTALDAALNAQFLVQIGIFTAVPMIMGFILELGLLKAIFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKIFSDAWPFMQYHATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIIYIAYGYTRGGSSSFILLTISSWFLVVSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGENSWESWWDEEQAHIQTLRGRILETILSLRFLIFQYGIVYKLKIASHNTSLAVYGFSWIVLLVLVLLFKLFTATPKKSTALPTFVRFLQGLLAIGMIAGIALLIALTKFTIADLFASALAFVATGWCVLCLAVTWKRLVKIVGLWDSVREIARMYDAGMGALIFVPIVFFSWFPFVSTFQSRFLFNQAFSRGLEISLILAGNKANQEA >ONIVA06G00840.1 pep chromosome:AWHD00000000:6:616210:623034:-1 gene:ONIVA06G00840 transcript:ONIVA06G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTGEDVAIERKLMRVATHSASNMSVIAQQPSMSMSSRFSPSNVLDAGVCSHDMPSPPPLGVLVLH >ONIVA06G00830.1 pep chromosome:AWHD00000000:6:615123:617175:1 gene:ONIVA06G00830 transcript:ONIVA06G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEQDADVKKGPWTPEEDKLLVEYIGKNGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGGFSDDEERLIIHLHATLGNKWSSIATKLKGRTDNEIKNYWNTHLRKKLLSQGIDPVTHRPRTDLLAGLPNLLAAANLGGAAHQLPLDLNAIKLQADAAKFQILQGLLRVLASTTAPPPTAAVLPGTDLMTSILGATLAANSASILGQQQQLAGVDLSRLGQYNGNYDNLPPLTNDSCTQQTQPAMSSMSPDSLLNRISSGISGDMLGSPELCHGGDGLSSPELGQGGPSASNMTTSPMAPPPPMVAADDHQCNTNTPSGGGDGMSCEQTPASSTFDGLNLDDIDMEGCWAMTDILLAEQCPSWLISSNNASEMYISKNNTSEM >ONIVA06G00820.1 pep chromosome:AWHD00000000:6:598053:601530:1 gene:ONIVA06G00820 transcript:ONIVA06G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKPALAVLRKFFSAVRTVKVTNVSLSATVQDIKEFFSFSGDIEHVEMQSGDEWSQVAYVTFKDPQGAETALLLSGATIVDLSVIIAPAPEYQPPPTSSAPPMYSATSVPVSEDNNVVHKAEDVVSTMLAKGFTLGKDAVGKAKAFDEKHGFTSTAGAKVASIDRKIGLSEKFTIGTSIVNEKVKEMDQKFQVSDKTKSAFAAAEQKVSTAGSAIMKNRYVFTGASWVTNAFNKVAKAATDVGTMTKEKMAAEDQHKGSGPSGGHSYTPIQ >ONIVA06G00810.1 pep chromosome:AWHD00000000:6:591636:597344:1 gene:ONIVA06G00810 transcript:ONIVA06G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSDSDDSAPIPAGAISKLLIVIAMQTEALPLVNKFHLVEAEESIFPKGAPWIRYHGNYKGLHVDLVWPGKDPVLGVDCVGTVSAALVTYASIQSLKPDLIINAGTAGGFKAKGADIGDVYLASDVAFHDRRIPIPVFDMYGIGTRKTFATPNILKELNLKVGKLSTGDSLDMSPHDESAILNNDATVKDMEGAAVAYVADMFSTPAIFVKAVTDIVDGEKPTAEEFLQNLVAVTAALDKAVTEVVDFISGKCISDL >ONIVA06G00810.2 pep chromosome:AWHD00000000:6:595014:597344:1 gene:ONIVA06G00810 transcript:ONIVA06G00810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTDPTKLKAKPIIKPKVEPCDDDDELPPPPPPPASGSGEDWEATTPLAAGNPFFTALIAKSHLHPKFQMWIPPRFQHRLAEPEARTAAVLHSGGKSWATSYCGHLKMKKLDAGWSEFAVDNRLLVGDACVFELVAMGAAGGLEFQVQILRGGLPAEVVTSKGLTSDQPILIVD >ONIVA06G00800.1 pep chromosome:AWHD00000000:6:585706:592127:-1 gene:ONIVA06G00800 transcript:ONIVA06G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIESTYDDEELGDGAGGDRGRVVGVGGRRHGIGGCSLNPSCCCCRRRVVGEERETEEERLLRGLRRDPGELAPVTPASSGMIKPNQGIHGKLYLLALEDFYMEIGNGCKHRQIRFTEKFFLNDTVVHKLSI >ONIVA06G00790.1 pep chromosome:AWHD00000000:6:578442:584721:1 gene:ONIVA06G00790 transcript:ONIVA06G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTVGFVVGRLAEFVAKEAKVLQGVERDVVLLRDKLQWLHTFVQLADQRRRLNGNAYVDVWVQQTRDVSLEVEDVIDRFMVRVNLDQHLPLWSKCLKFLTACATQVTVRRELSGRIAMITARLNQISKHRRDYIHKYSSQTLLAPSMPVIDDGTTTVTASRLTPDHGDLGYPPPLGASEAVHVVLSGGASDEGSPALLAKSGGGRGIVLFMNDDEAMSLALPIFLGTKEWDEEVEIFGFDTERSSLLTYLVEGGKERSITSIVGESGMGKSTLARSVYDSSDLLNWSSVLDALPENNKGSRLVIITSLKESEGALNGLSCVRELRVNELPLGDRVSLFLRNACGSRKNPVIVSAGSRGLFGELESRTMENAYEDMLEITNGLPLAIVLLGRLLRRKEFPGQWNEVLKHLKLKKHCNPLAVRHIFLNNIRNANIHMDSRFPNLRYLCIRSPCLVELPSSIGNLINLQTLDIAKTSVKKLSASFWKISTLRHVIAERLDLPESVGALKNMQALMGLVCLHPWHGNISPLHNMVNLRKLKISGLTSSHSAALSDAFSKLELLIHLELIGTDIPSTLFTNFSMRRLQSLQLTGKMIMQTADTEQRCTLPSLTNLVLKNSKVNHGFIDMVGKLPCLAELRLLSRSYDGQQLTFSPASGFGNLTDLVIRNLPELSLLTIQSESLPKVRKITVGDCNKTRLNDLEGEQVLINLTEFKVINMPDNWGLQEGSTFINDKFFRVSAPQNNGAAQMPESRNRFDIWCRRLRQHLPCQGRGKQGPGLPHQAL >ONIVA06G00780.1 pep chromosome:AWHD00000000:6:565363:569874:-1 gene:ONIVA06G00780 transcript:ONIVA06G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLDLSLHISTPSCFSPSPAAASTTASSWPWTTKQQLPEEEEAAATTSTTTSSRSAPPLLLCNSDVFSTRGSSTTNTNHHADGGLANSQQLKAAARQPIHGIPVYHGHQQQQRRQLHHPYDVVGTRQSDGGRRLFSHHVGVGVTPSRTLSSSSSSPARLLPRLPPGRRSVRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKNTDRPVSNAEYKTERGLRDIYVEVTRSAEP >ONIVA06G00780.2 pep chromosome:AWHD00000000:6:565363:569497:-1 gene:ONIVA06G00780 transcript:ONIVA06G00780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPRQLDLSLHISTPSCFSPSPAAASTTASSWPWTTKQQLPEEEEAAATTSTTTSSRSAPPLLLCNSDVFSTRGSSTTNTNHHADGGLANSQQLKAAARQPIHGIPVYHGHQQQQRRQLHHPYDVVGTRQSDGGRRLFSHHVGVGVTPSRTLSSSSSSPARLLPRLPPGRRSVRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKNTDRPVSNAEYKTERGLRDIYVEVTRSAEP >ONIVA06G00770.1 pep chromosome:AWHD00000000:6:559732:562715:-1 gene:ONIVA06G00770 transcript:ONIVA06G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSNLTRCHLPLLLLFLLAGSSSMALPVHPAMDRVRWQVDKVNRRGHSIGLVMSYIDEATALESSGYFRPWHVLPFVDLYGRRYHIGSIRGVNVIYALTGQRRLNAAVTVQTLIDVFTVSGIVHYGTAGSSNDSMSFGDVSVPKFVAYTSAWTWKKFKSPKESDTELSFGDFTVPNGGENLLGALKFRNEELYSVGKPMKEVFWLPVDSAWFKIAEGLKVSLERCNDTFCLPTTPKVVCGLKGSSADMFLDNAEYRKFLFREFGVSTVDEESAAVVMTTTSPGIPVIVFRGVSDLAGGEPTWSSTSLMNLASINALKVAVEFIATVGKQKSTMSAGSANN >ONIVA06G00760.1 pep chromosome:AWHD00000000:6:556774:558978:1 gene:ONIVA06G00760 transcript:ONIVA06G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G11290) TAIR;Acc:AT1G11290] MVCSVAATASLLPSPPPKPTALAADDHHARLRAAAARSDLPAALAAFVAMSSAGAPPVLRTFTSLLKLCAARGDLATGRAVHAQLAARGLDSEALAATALANMYAKCRRPADARRVFDRMPVRDRVAWNALVAGYARNGLARMAMEMVVRMQEEEGERPDSITLVSVLPACANARALAACREAHAFAIRSGLEELVNVATAILDAYCKCGDIRAARVVFDWMPTKNSVSWNAMIDGYAQNGDSREALALFNRMVEEGVDVTDVSVLAALQACGELGCLDEGTRVHELLVRIGLDSNVSVMNALITMYSKCKRVDLASHVFDELDRRTQVSWNAMILGCAQNGCSEDAVRLFTRMQLENVKPDSFTLVSVIPALADISDPLQARWIHGYSIRLHLDQDVYVLTALIDMYAKCGRVNIARILFNSARERHVITWNAMIHGYGSHGFGKAAVELFEEMKSIGIVPNETTFLSVLSACSHAGLVDEGREYFTSMKEDYGLEPGMEHYGTMVDLLGRAGKLDEAWAFIQKMPMDPGLSVYGAMLGACKLHKNVELAEESAQKIFELGPQEGVYHVLLANIYANASMWKDVARVRTAMEKNGLQKTPGWSIIQLKNEIHTFYSGSTNHQQAKEIYSRLAKLIEEIKAVGYVPDTDSIHDVEDDVKAQLLNTHSEKLAIAFGLIRTAPGTTIQIKKNLRVCNDCHNATKLISLVTGREIIMRDIQRFHHFKDGKCSCGDYW >ONIVA06G00750.1 pep chromosome:AWHD00000000:6:540718:544854:-1 gene:ONIVA06G00750 transcript:ONIVA06G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGGGLRHSNSSRLSRMSYSGEDGRSQAPGGGGDRPMVTFARRTHSGRYVSYSRDDLDSELGNSGDMSPESGQEFLNYHVTIPATPDNQPMDPAISARVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAINGCDAKVMSDERGDDILPCECDFKICADCFADAVKNGGACPGCKDPYKATELDDVVGARPTLSLPPPPGGLPASRMERRLSIMRSQKAMTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENEVDNGGGGGGGGGLGGGDGQPAEFTSKPWRPLTRKLKIPAGVLSPYRLLILIRMAVLGLFLAWRIKHKNEDAMWLWGMSVVCELWFGLSWLLDQLPKLCPVNRATDLAVLKDKFETPTPSNPNGRSDLPGLDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRSDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKRQREAALDDVVEAVKIPKATWMADGTHWPGTWIQPSAEHARGDHAGIIQVMLKPPSDDPLYGTSSEEGRPLDFTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIMGPVYVGTGCLFRRIALYGFDPPRSKEHSGCCSCCFPQRRKVKTSTVASEERQALRMADFDDEEMNMSQFPKKFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRKMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVRTLNVTFLTYLLVITLTMCMLAVLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDEADDEFADLYIVKWTSLMIPPIVIMMVNLIAIAVGFSRTIYSEIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAINPPSQNSQIGGSFTFP >ONIVA06G00740.1 pep chromosome:AWHD00000000:6:527370:539626:1 gene:ONIVA06G00740 transcript:ONIVA06G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERHFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGAGHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRWKPLCSDDLLLFKTSVSFVDHLQEFLSAVLTCTTLVIPPPNDWRANPASLANLIKAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSTASVEDCQRRKRYKSSEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKIYCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLVNGLVIALNSHGSVVWKASVGGPIFAGACLSSGLPTQVLIPSRDGRLYSFDTTSGALLWKYEVGDPITASAFVDEVLTATSPGASERFACICTSSGQVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMGYQAAAAYFSYAFLRL >ONIVA06G00740.2 pep chromosome:AWHD00000000:6:527370:539626:1 gene:ONIVA06G00740 transcript:ONIVA06G00740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERHFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGAGHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSTASVEDCQRRKRYKSSEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKIYCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLVNGLVIALNSHGSVVWKASVGGPIFAGACLSSGLPTQVLIPSRDGRLYSFDTTSGALLWKYEVGDPITASAFVDEVLTATSPGASERFACICTSSGQVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMGYQAAAAYFSYAFLRL >ONIVA06G00730.1 pep chromosome:AWHD00000000:6:525291:526733:1 gene:ONIVA06G00730 transcript:ONIVA06G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G02360) TAIR;Acc:AT3G02360] MAVTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKVEGNLPVYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLISEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFSIKDDQGSGHLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEAAKVFQGDFSSNLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSMEKGWSLNLGELARIWKGGCIIRAIFLDRIKKAYDRNSDLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIARAAKM >ONIVA06G00720.1 pep chromosome:AWHD00000000:6:523683:523955:1 gene:ONIVA06G00720 transcript:ONIVA06G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMPWPATGPSRRWSGPRSDCQFATNSNSIWHVGPTTPVETQAHKSGSNFDPPTAAAAAISARRFGPTRQRDVLIAAGVKGKGDIWWAI >ONIVA06G00710.1 pep chromosome:AWHD00000000:6:514871:520203:-1 gene:ONIVA06G00710 transcript:ONIVA06G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAVGGSNWEEMVRRMLPPGTTIPEAPANLDYSIALEYDGPPVSYELPRIDPVDLPAIPTAQPVSGPLVPGRSNGVVAPVVRPVFMPPVHRKQDAHRAEPPPVAAQGRRRRSSESVDSAPQNEGFSDDDDSCSVSQESAHNFHGQRGGRTAAQEGRRAQVVTFGVTEDSRYESKEFDDVSEQYVAVTKKEKRGRTCSRCGKRKWESKESCIVCDARFCSYCVLRAMGSMPEGRKCITCIGQPIDENKRSKLGKGSRILSRLLSPLEVRQILKAEKECQANQLRPEQLIINGFPLNPDEMASLLSCQRPPQKLKPGRYWYDKESGLWGKEGEKPDRVVSTNLTFNGKLQPNASNGNTQVYMNGREITKIELRVLKIAQVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWESPVTRFACALFSLPVPPANSDEPKDEAPYSARTVPDYLDQKRIQKLLILGSPGAGTSTIFKQAKLLYDTRFTQEELDSIKLMIQSNMFKYLGILLEGRERFEEEALAGSNNPSSEDENMQHDGNKSNGSDSCIYSINAKLKKFSDWLLDIIAMGDLDAFFPAATREYAPIVEEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDIIYSEGVTQGNGLAFIEFTLDDRSPMSEMYTDNHEPHSQTLNKYQLIRVSAKGMNEGCKWVEMFEDVSMVIFSVALSDYDQLGAPSSGGNSPLVNKMIQSRDLFEATIRQPCFRDMPFVLVLNKFDLFEEKIGRVPLSTCEWFSDFCPLRTHHNNQSLAHQAFYYVAMKFKELYAACTDRKLFVWQARARDRLTVDEAFKFIREVLKWEDEKDGGGYYPDESFYSTTELSSSRLIRQE >ONIVA06G00700.1 pep chromosome:AWHD00000000:6:510220:514061:-1 gene:ONIVA06G00700 transcript:ONIVA06G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27270) TAIR;Acc:AT5G27270] MAAAIAGAAASTAVSITCSSSSYEDDGAATSWSLSSGTSSSSSSSQRQRPYRRLLHDEAQRLRRERRGQGSGAHTPRWVRRTPDQMARYVEDDRAGHVYGRHVVAAVRAARATASCSSPSSADMREAMASFVAKLTFREMCFVLHELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEVTFLEMLQAGCEPDAVACGTLLCAYARWGKLNDMLMFYAAVRRRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMLEANVAPNQFTYTVVIGSYAKEGMLEEAMDAFGEMKRRRFVPEEATYSLLISLCAKHGKGEEALGLYDEMKVKSIVPSNYTCASVLTLYYKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRMFEEIDKAGLLSDEQTYVAMAQVHMNVQNYDRALQVLDAMRARNVKPSQFSYSALLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMRLGHLDKARALILKMRKEALQFDEDLCVTVLEVCCKTSINKDTDNLTEVIQNEGSSSKVLNPTDSSTLSMMLKSLLDKPGGLSSVSQLIMKFAREGSTDEAKFLYEHLTELGAKPDDTAIATLIVQYGQAQQLEQAQKLFETASTSFPVGGSVYNAMVDALCRCGKTEEAYRLFMELIDQGHNGDAVTISILVTHLTKQEKFQEAENIIYRCLHDEAELDTVVYNTFIKSMLESGKLYSAVSIYDRMISSGIPRSMQTFNIMISVYGQGGKLEKAVEMFSAAQELGLPIDEKTYTNMLSFYGKAGKHHEASLLFSRMKEDGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKNNHVPDSHTYLALIRAYTEGKCYSKAEEAIQMMLRSNMTPSCTHFNHLISAFLKEGQIDEAQRMYNQMEEAGIPADLACCRTMMRMHLDHGYVDDGILFFETACRLLKPDSFILSAAFHLYEHSGRESEAGDILDAINMSGASFLRNLKVGSKLEQVRNDTHAS >ONIVA06G00700.2 pep chromosome:AWHD00000000:6:510220:514061:-1 gene:ONIVA06G00700 transcript:ONIVA06G00700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27270) TAIR;Acc:AT5G27270] MAAAIAGAAASTAVSITCSSSSYEDDGAATSWSLSSGTSSSSSSSQRQRPYRRLLHDEAQRLRRERRGQGSGAHTPRWVRRTPDQMARYVEDDRAGHVYGRHVVAAVRAARATASCSSPSSADMREAMASFVAKLTFREMCFVLHELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEVTFLEMLQAGCEPDAVACGTLLCAYARWGKLNDMLMFYAAVRRRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMLEANVAPNQFTYTVVIGSYAKEGMLEEAMDAFGEMKRRRFVPEEATYSLLISLCAKHGKGEEALGLYDEMKVKSIVPSNYTCASVLTLYYKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRMFEEIDKAGLLSDEQTYVAMAQVHMNVQNYDRALQVLDAMRARNVKPSQFSYSALLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMRLGHLDKARALILKMRKEALQFDEDLCVTVLEVCCKTSINKDTDNLTEVIQNEGSSSKVLNPTDSSTLSMMLKSLLDKPGGLSSVSQLIMKFAREGSTDEAKFLYEHLTELGAKPDDTAIATLIVQYGQAQQLEQAQKLFETASTSFPVGGSVYNAMVDALCRCGKTEEAYRLFMELIDQGHNGDAVTISILVTHLTKQGKLYSAVSIYDRMISSGIPRSMQTFNIMISVYGQGGKLEKAVEMFSAAQELGLPIDEKTYTNMLSFYGKAGKHHEASLLFSRMKEDGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKNNHVPDSHTYLALIRAYTEGKCYSKAEEAIQMMLRSNMTPSCTHFNHLISAFLKEGQIDEAQRMYNQMEEAGIPADLACCRTMMRMHLDHGYVDDGILFFETACRLLKPDSFILSAAFHLYEHSGRESEAGDILDAINMSGASFLRNLKVGSKLEQVRNDTHAS >ONIVA06G00690.1 pep chromosome:AWHD00000000:6:507394:508887:1 gene:ONIVA06G00690 transcript:ONIVA06G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEGVQQYSIMQSPSKVSEASGSAPPATSVIEGWAELPEGLLHSIVALLGSFLDLLAFTGTCHSWRAAFSSYPSKSNFRTILPPLLVRPNVRVKAPYSSNGHRKLRSCEVIDLANRNTPLRCQIPQETLQRMHFAGSSHGQLICCRRGYCLVVDVFTGAEVSPPRLPFSENCDEFYYCGILTAPITSPNSHLIISTQSSLFDWPVGSDSWSELKLPVNRVDQIVEFNGQLIAVIEYSLYTLQLAPILRLEKIKTLWWDNMNECPYMRPWFVVCGDMLLIVDHYISFSFGAPVLYRPYRLDMSTKPAKWVEVKKLENWALFIGGDARSPPFSFKNPERWGGRSNCLYYAHYSQPLSLHGLGDDADAVWDPNTDDNLVFKRNWYRQLQALWVYPSMFYSAGDGQ >ONIVA06G00680.1 pep chromosome:AWHD00000000:6:504058:506258:-1 gene:ONIVA06G00680 transcript:ONIVA06G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRRKRQRRRSRPPSPQAEPSPTELTALGQREVSVAERPFKKTCHSSVSTSSTMCEPHVWPGLMDSMLHQIIALLSSFQDLLAFSGTCRSWRAALSSFPSIYTFTFPPLHLKPDIPNSHPHCSSFRYTLLYKCRWQLGDPSKRTLSLRCSAPQNTPNRMRYLGCSYGYLIFSYYENCLLVDMYTGAKVKPPKLQSAGNKETYYGILTAPLNLPISHLLLCSRSSIFYWQVGTNSWSEHPFGGERILQIVLFKGEFFAMDFHHRLHTMRFAPQLSMQEVGVVWGEEMFVGVHFKPWLVISGDMLLMLDLSVGIHHSYGFPGTFQVFRLDFSAQTAKWMKMEKLENSALFVSLDRRNPTFSCTNPERWGGKSNCIYVAKPSEDSDEPWTAVELGQPIPGATHCVPYSHPLLRTEGHCSQLEYLWVLPSFINGVDQ >ONIVA06G00670.1 pep chromosome:AWHD00000000:6:486837:487791:1 gene:ONIVA06G00670 transcript:ONIVA06G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKGKDLISQLPDDILLHILSMPCEPPRWKHLHTKLPALSFIMSVLGAQGSSLSTQSRQRVDSMARTLRRRCAGPDRDTVKRLCLAYRKDVPMECRYADEFIALAAASSLELFLNCPKNLRNDDAGPWSLHLPAATACLSMESCWYSVRPPHVHGPGASALKSLTFKDSFMVLHPGYLQDTAFPSLEELHISGCTLSGSIEITSATMPRLKHLRIADVSVVSLGTAAAIAVLADELTTLRVSCHDGGKPDPPSSHEMLCVETLFRASFTEYSYFRLRAPKLRVFDWRCCYAKEVRVDAVDRHLSDVVIEL >ONIVA06G00660.1 pep chromosome:AWHD00000000:6:483441:483746:1 gene:ONIVA06G00660 transcript:ONIVA06G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKEKVKDKVSAVKAKGKVSKAKADEKKEVATARSHAERELAHERAKARVAAAKMELHQDKALHREEAIQHRLHKHGAGTTAGVRPTAAAPAPHPPPAS >ONIVA06G00650.1 pep chromosome:AWHD00000000:6:477310:482112:1 gene:ONIVA06G00650 transcript:ONIVA06G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EYES ABSENT homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) TAIR;Acc:AT2G35320] MDGVVAASADATRDEIKIMNVYIWDMDETLILLKSLLDGSYAGAFDGLKDHDKSVDIGKRWENLILELCDEHFFYEEIENYNEPFLSAVKEYDDGKDLTTYDFEADCFSSPYDDLNKRKLAYRHRAIGEKYTKGLEKILDHHMIKVWNDLYSATDKYTDGWLSSAHKLLEEAMGKSTAESTAKHSSINCIVTSGSLIPSLAKCLLYRLDDVVAFENVYSSWEVGKLQCFKWIKERYDGPNVRFCAIGDGHEECTAAQIMKWPFVKIEFHPDAPHRFPGLNLPTIHRIMDTVYDSSSNDG >ONIVA06G00650.2 pep chromosome:AWHD00000000:6:477763:482112:1 gene:ONIVA06G00650 transcript:ONIVA06G00650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EYES ABSENT homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) TAIR;Acc:AT2G35320] MDGVVAASADATRDEIKIMNVYIWDMDETLILLKSLLDGSYAGAFDGLKDHDKSVDIGKRWENLILELCDEHFFYEEIENYNEPFLSAVKEYDDGKDLTTYDFEADCFSSPYDDLNKRKLAYRHRAIGEKYTKGLEKILDHHMIKVWNDLYSATDKYTDGWLSSAHKLLEEAMGKSTAESTAKHSSINCIVTSGSLIPSLAKCLLYRLDDVVAFENVYSSWEVGKLQCFKWIKERYDGPNVRFCAIGDGHEECTAAQIMKWPFVKIEFHPDAPHRFPGLNLPTIHRIMDTVYDSSSNDG >ONIVA06G00650.3 pep chromosome:AWHD00000000:6:477728:482112:1 gene:ONIVA06G00650 transcript:ONIVA06G00650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:EYES ABSENT homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) TAIR;Acc:AT2G35320] MDGVVAASADATRDEIKIMNVYIWDMDETLILLKSLLDGSYAGAFDGLKDHDKSVDIGKRWENLILELCDEHFFYEEIENYNEPFLSAVKEYDDGKDLTTYDFEADCFSSPYDDLNKRKLAYRHRAIGEKYTKGLEKILDHHMIKVWNDLYSATDKYTDGWLSSAHKLLEEAMGKSTAESTAKHSSINCIVTSGSLIPSLAKCLLYRLDDVVAFENVYSSWEVGKLQCFKWIKERYDGPNVRFCAIGDGHEECTAAQIMKWPFVKIEFHPDAPHRFPGLNLPTIHRIMDTVYDSSSNDG >ONIVA06G00640.1 pep chromosome:AWHD00000000:6:470357:476823:1 gene:ONIVA06G00640 transcript:ONIVA06G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGMGMAAAWAAGDLWVLAAAVVAGVVLVDAVVRRAHDWVRVAALGAERRSRLPPGEMGWPMVGSMWAFLRAFKSGNPDAFIASFIRRFGRTGVYRTFMFSSPTILAVTPEACKQVLMDDEGFVTGWPKATVTLIGPKSFVNMSYDDHRRIRKLTAAPINGFDALTTYLSFIDQTVVASLRRWSSPESGQVEFLTELRRMTFKIIVQIFMSGADDATMEALERSYTDLNYGMRAMAINLPGFAYYRALRARRKLVSVLQGVLDGRRAAAAKGFKRSGAMDMMDRLIEAEDERGRRLADDEIVDVLIMYLNAGHESSGHITMWATVFLQENPDIFARAKVVDETLRCVNISFVSFRQATRDIFVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKMFNPSRWEGPPPKAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYKLKRANPKCRVRYLPHPRPVDNCLATITKVSDEH >ONIVA06G00630.1 pep chromosome:AWHD00000000:6:462327:464292:1 gene:ONIVA06G00630 transcript:ONIVA06G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPVLAGTVAATPLCRSRRRSLPTPLLIIGHPRPETQQNLWTKFYNTRTSSPSSSPPRTQERSERGGDSRTGGIDVVFGCDSAAIAGEEGADGGRRRRWRTWDEMVASVSIHYFICHMCRHAAARGSSAFFAASSASSSAAP >ONIVA06G00620.1 pep chromosome:AWHD00000000:6:453167:456872:1 gene:ONIVA06G00620 transcript:ONIVA06G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHANKNHIESFPPPGKKITIVFVIGGPGSGKGTQCAKIVKQFGFTHLSAGDLLREEAKYDTEQGTMIKNLMNEGKLVSSDLIVKLLFKAMRESGNDKFLVDGFPRNEENRHAYENIGRDDDNIDTIRRRFDVFQQQTLPVIQYYEKRGKLRKVDGNRQVDEVFEDVKAIFAQLNNQKIHGGQQASGLSRAQMNPLKRWFFDFFCGEQLTSISKFQVALGLKKKQETDSRNESDMISLRVCGGLWST >ONIVA06G00610.1 pep chromosome:AWHD00000000:6:444537:445448:-1 gene:ONIVA06G00610 transcript:ONIVA06G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVEKEGETGHLTSPTAPTLTTPTNQAFVNIITVLMMMSLMEEEAMAMETMATTTTKRMSVAEVSLVEAVLFDIDGTMCVSDPFHHRAFSELLQALGYNSGVPITPEFGMAHMAGRSNHQIGSFLFPDWPQHRLDAFFADKEALFARYAAEGLREVAGLTDLCRWAAARGLKLAAVTNAPRANADLMISILGLSDFFQVIVAAADDCDLPKPSPEPYLRALSLLGASPRHTLVFEDSVVGVQAGVAAGMPVIAVAEEAREAKVVAAGASLVIRDYKDHKLWAALDKLQAAAAAQSNGQLGA >ONIVA06G00600.1 pep chromosome:AWHD00000000:6:439818:445923:1 gene:ONIVA06G00600 transcript:ONIVA06G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 48C [Source:Projected from Arabidopsis thaliana (AT3G01610) TAIR;Acc:AT3G01610] MGKRARHGGSGRSHSPYFESQLRRLITDGGLSSSSAEDVAIALRSRFPEFRRHKLDPFTSAVRRALNSIPSDSHSDSADDSHASTPSQRRRRRPRHDAHATASSSTSLSDDAAHPPPPPIYDVTKSMLRTQYASQTPKRDTGSNQQLEIEIAAEKPRRFITSDGGAGGEAKPESAPPSEGGDRGGKGPTFSDLGGMESVIEQLMMEVVVPLCHPEVPRWLGVKPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRSLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEYHQQIGSGSGDVGSESAEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDEYARKKILMMLTRNLRLEGQLDLLKIARATSSFVGADLKALVDKAGNLAMKRIIDRRRAQFCQEHDENSKHDWWRQPWDANEIEGLSITMDDFEVRFPPVTCAAQEATKMVQPSLRREGFSSIPDVTWDDVGGLDSLRKEFDRYIIRCIKHPEEYKTFGLNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFIRAQTNTPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAGERKGVFVIGATNRIDVIDDAALRPGRFGKKHYVPLPGADERVSILRALARNKPISSSVDLGALARREECNNLTGADLASMVNEAAMAALEERLEFLENGESSMSSSSAIELPHFERALAKMQPSVSEQQRRHYEALCKKYSAS >ONIVA06G00600.2 pep chromosome:AWHD00000000:6:439818:445923:1 gene:ONIVA06G00600 transcript:ONIVA06G00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 48C [Source:Projected from Arabidopsis thaliana (AT3G01610) TAIR;Acc:AT3G01610] MGKRARHGGSGRSHSPYFESQLRRLITDGGLSSSSAEDVAIALRSRFPEFRRHKLDPFTSAVRRALNSIPSDSHSDSADDSHASTPSQRRRRRPRHDAHATASSSTSLSDDAAHPPPPPIYDVTKSMLRTQYASQTPKRDTGSNQQLEIEIAAEKPRRFITSDGGAGGEAKPESAPPSEGGDRGGKGPTFSDLGGMESVIEQLMMEVVVPLCHPEVPRWLGVKPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRSLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEYHQQIGSGSGDVGSESAEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDEYARKKILMMLTRNLRLEGQLDLLKIARATSSFVGADLKALVDKAGNLAMKRIIDRRRAQFCQEHDENSKHDWWRQPWDANEIEGLSITMDDFEEATKMVQPSLRREGFSSIPDVTWDDVGGLDSLRKEFDRYIIRCIKHPEEYKTFGLNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFIRAQTNTPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAGERKGVFVIGATNRIDVIDDAALRPGRFGKKHYVPLPGADERVSILRALARNKPISSSVDLGALARREECNNLTGADLASMVNEAAMAALEERLEFLENGESSMSSSSAIELPHFERALAKMQPSVSEQQRRHYEALCKKYSAS >ONIVA06G00590.1 pep chromosome:AWHD00000000:6:438493:439766:-1 gene:ONIVA06G00590 transcript:ONIVA06G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGPPCWIAIFLVAAFLPSAAAATTTTTNSLYPYIACSLAKRNQYQSHFLLLLFYVLHWLLRRSNGIFADGGGLLPFAPQNKIERLVEDEEIDAGHEDLGERAEGSGENRASLLHTICEHQVPKARRHYTLQISQNKCNAHILLFDMSQILVTSVLEEHHTTF >ONIVA06G00580.1 pep chromosome:AWHD00000000:6:431941:439275:1 gene:ONIVA06G00580 transcript:ONIVA06G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKMSQVDEWKPVIAMLFFDLISAVTTALLKKALAEGLDRLVLITLRQLVATIFLAPIAYFKERGKRPKLTLEILVYLFFSAALGAALSQYTFFYGLQYTTATFAITFTNMSPVITFLIAALLRVESLNMKNKAGAAKIIGTLMSFAGVMLLTLYKGVALTHQVVSSTKLTKKYPALYSSTAYMFLISSLQGGALTAAIQRRASVWVLTRTVEIVAVLYTGIMGSGVGYVLMTWCVEKRGPVFTSAFIPIIQIMVAIIDFFYLHENLYLGSVVGSILMILGLYILLWGKNRDTSAAASAKEAKEEEEDKEKQLKIHLMLNPDFWGRPPDQTLVESKGKASGFPEMWRAGCMEQWMPTVSMVATNVVIAIMTALIKQALNQGMNRLVLITFRQMVATVFLGPIAYFKERFEALNVKSRSGSAKISGTLVSLSGAMMLTFYKGSALTHTPSSSSSPASSSSHCQAEEHDTAHWVIGSVSMLANVVGFALWLMLQRKFTRKYPAIYSATAFMSLFSCLQAGALALSIQRSSISIWVLKGKIEIATVVYCGVVASGFGYLMLTYCVEKRGPVFTAAFSPLSQIFVAGIDLFILHEPLYLGSVLGSVLVIVGLYLVLWGKREETAAVSKDAIASPEKPVQDVEQQQEKV >ONIVA06G00570.1 pep chromosome:AWHD00000000:6:417745:418212:-1 gene:ONIVA06G00570 transcript:ONIVA06G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGGYSGVPRLLLHLLFLLTHLRRLSSCLLRLAGADIDDSPTAVDAEYDGSYSYSSQSSQLLELDDHSPALRFDALSSSLQPPLHVATCAVCLRDFHKSAQVRRAHRCRHVFHRACLDAWAHHGHRTCPLCRSPLLPSSAPPVLLPLPLPAS >ONIVA06G00560.1 pep chromosome:AWHD00000000:6:412975:415040:-1 gene:ONIVA06G00560 transcript:ONIVA06G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSYDDDVSSFVAAATRCSPAAAPPRPVRIPRVRVRCCEDTLGVPRSRRPTHDTELAQSQRFPELVTPYGAASYLPHQQRYPPPPRPRRIVLVRHGESEGNVDEAAYTRVPDPRIGLTPQGWRDAEDCGRRLRHLLSTGGGDDWKVYFYVSPYRRTLETLRGLGRAFEDRRIAGVREEPRLREQDFGNFQDRDKMRVEKEIRRRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGERNPDMNVVLVSHGLTLRVFLMRWYKWTVSQFEGLANLSNGGALVMQTGAGGRFCA >ONIVA06G00550.1 pep chromosome:AWHD00000000:6:408597:412910:1 gene:ONIVA06G00550 transcript:ONIVA06G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAHHHHHEHHHLLDMSSPPNASGAIISSFDHAAGLLSLHDVAAAADHHHHHLRGGGGGLQLPSPWSQQQVSLSLYNNAAGAAGSPPSSLVAHQQLAAAQPLMFQLRGSKYLGPVKALLAEFCSLDVEAMDGAKQQRPPNPNPKIGKWDDVEGSGSWGNLSLSSMDLLDLERRKARILSMVEEIKMRAVEVSFEAVAGGGAAQVYTKLAMRAMSRHFRCLRDALVGQVRALRNAMGESQRDAAGGVAAAAPGATKGDTPRLRVLDQCLRQQRAFQQSGAVDSFPWRPQRGLPERAVAVLRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEDMYKEETKPESSDGNNKLNPSAAGNKQQHRDDPKKNYTATTAEASLVQQSSYHLHLRSSGNPNSSSLMIPAAASTSIDHHHDSSHQLLGGHSYSSAAGLHHGHGGAVSLTLGLQQQQQPFAASMMHQHQQQQQQSFMVEAAEEEEDDVLPYRNLMESQLLHDFAGAS >ONIVA06G00550.2 pep chromosome:AWHD00000000:6:408597:412910:1 gene:ONIVA06G00550 transcript:ONIVA06G00550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAHHHHHEHHHLLDMSSPPNASGAIISSFDHAAGLLSLHDVAAAADHHHHHLRGGGGGLQLPSPWSQQQVSLSLYNNAAGAAGSPPSSLVAHQQLAAAQPLMFQLRGSKYLGPVKALLAEFCSLDVEAMDGAKQQRPPNPNPKIGKWDDVEGSGSWGNLSLSSMDLLDLERRKARILSMVEEMRAVEVSFEAVAGGGAAQVYTKLAMRAMSRHFRCLRDALVGQVRALRNAMGESQRDAAGGVAAAAPGATKGDTPRLRVLDQCLRQQRAFQQSGAVDSFPWRPQRGLPERAVAVLRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEDMYKEETKPESSDGNNKLNPSAAGNKQQHRDDPKKNYTATTAEASLVQQSSYHLHLRSSGNPNSSSLMIPAAASTSIDHHHDSSHQLLGGHSYSSAAGLHHGHGGAVSLTLGLQQQQQPFAASMMHQHQQQQQQSFMVEAAEEEEDDVLPYRNLMESQLLHDFAGAS >ONIVA06G00540.1 pep chromosome:AWHD00000000:6:397898:398847:-1 gene:ONIVA06G00540 transcript:ONIVA06G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWNNPAIFLAAALAVATAAQVVTAGFTTDLYWQQQPAPGAVTPYKTSDWHDGSATFYGDPSGMGDDFGGACGYVSNDIVSLYSTKTAALSTPLFADGNGCGQCYELRCVKSPWCNPGSPSVVITGTNLCPPNWYLPNDDGGWCNPPRHHFDMAPPSFLKLAQRVAGIVPVQYRRVPCQRTGGVRFCLQGNHYWLLLYVMNVGGAGDVSSLSVKTSGGGGAWIQAAHNWGITYQVFAALDNSDGLTVKLTTYSTPQQTIIVSDAISPWWITGLCYQGSNNFY >ONIVA06G00530.1 pep chromosome:AWHD00000000:6:391468:398013:1 gene:ONIVA06G00530 transcript:ONIVA06G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGQQRRRGGRREMRRIEDTTRRQVTFSKRRKGLLKKASELSVLCDAEVALLVFSPRGRFFHFASAPSLEGTIDRYISHTQEAPANKKPRELTVKNMKSQSETLAMEIDTVEAYTRAKTWNMLVARTAWPGDADGEEPKQHPFTKGKQMQKKLMDKISQLQQQEKILSEENALLLDQGKVQHAPIGAPAREMNQNQHVQDIDVDTELVIGRR >ONIVA06G00530.2 pep chromosome:AWHD00000000:6:391463:398013:1 gene:ONIVA06G00530 transcript:ONIVA06G00530.2 gene_biotype:protein_coding transcript_biotype:protein_coding LVQWRRGGRRAIYGEYHICQVFPGLGCFPLRGKSASSDIDRSVPGRRAGVDLSHTLHARTAYGGGGRAAEAARRAARDAADRGHDEAAGDVLEAAEGASEEGVGAVGAVRRGGGAARLLPARPLLPLRLRSLPGGDNRPLH >ONIVA06G00520.1 pep chromosome:AWHD00000000:6:384913:387138:-1 gene:ONIVA06G00520 transcript:ONIVA06G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQHGAVARGQAPEEKAAMAAAAVAGGAPGADREADRRRCRAGGEHSAAGALLRLHPGVPRPIPAAARRRGRRLPLGALLPPPPPTSARRTGERRFRTQPPIPHLEY >ONIVA06G00520.2 pep chromosome:AWHD00000000:6:385830:387138:-1 gene:ONIVA06G00520 transcript:ONIVA06G00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding LQGAGGWGGGRRGAPPAWPQAPRQRVVGAAGQGAPRRGAADGRRVRHACHRSLREASAAWRRCAGPSAGEEGYNGGGRCGGWPGCCCCSLPCVLSWWRQAKFTALAKLE >ONIVA06G00520.3 pep chromosome:AWHD00000000:6:384913:387138:-1 gene:ONIVA06G00520 transcript:ONIVA06G00520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQHGAVARGQAPEEKAAMAAAAVAGGAPGADREADRRRCRAGGEHSAAGALLRLHPGVPRPIPAAARRRGRRLPLGALLPPPPPTSARRTGERRFRTQPPIPHLEY >ONIVA06G00510.1 pep chromosome:AWHD00000000:6:380913:384595:-1 gene:ONIVA06G00510 transcript:ONIVA06G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAAIPPSGGDEGRPPPPTTALASRPVPRRAVPHRRQPLPQSRRRAAASSGQTSSWASPCFASPRAPAAVAIGCRTSFPASPRRPPPHLSPPCPNEMKNSYKKRNTINLILIRANRMRTRTDDRPYLKL >ONIVA06G00500.1 pep chromosome:AWHD00000000:6:379802:380413:-1 gene:ONIVA06G00500 transcript:ONIVA06G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVAWESRNLQLQRGGGGHGGGGGSGGGERREYMFEKVVTPSDVGKLNRLVVPKHYAEKYFPLGPVARTSPAGTVLCFEDARGGGGGGDSTWRFRYSYWSSSQSYVITKGWSRYVRDKRLAAGDTVSFCRAGARLFIDCRKRAASVSSSSLVPPALIKVQLPPSRPVVDEEEAACGRRCLRLFGVDLQLRADASPALDLQL >ONIVA06G00490.1 pep chromosome:AWHD00000000:6:373769:376615:-1 gene:ONIVA06G00490 transcript:ONIVA06G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJQ3] MAAVTAAAVSTSAAAAVTKASPSPAHCFLPCPPRTRAAHQRGLLLRAQVSTTDAAAVATAPAKKEKISKKQDEGVVTNKYRPKEPYVGKCLLNTKITADDAPGETWHMVFSTEGEIPYREGQSIGVIADGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSDVKITGPVGKEMLMPKDPNANIIMLATGTGIAPFRSFLWKMFFEKYDDYKFNGLAWLFLGVPTSSSLLYKEEFDKMKAKAPENFRVDYAVSREQTNAQGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAAKDGIDWADYKKQLKKGEQWNVEQLLEGGARPPASCPTAEDKGHLSQVQIPARCICVWQCNGFKI >ONIVA06G00480.1 pep chromosome:AWHD00000000:6:371851:374916:1 gene:ONIVA06G00480 transcript:ONIVA06G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJQ2] MGWWLGGGLPVIAMLALNVVAAVLVSLVKVAMDGGLNPLVLVTLQQLTAAIFLGPIAYFKERKSRPKLTLEIFAYLFVSAALGAALRQYMIFVALRYTTATFVTAFSNIAPVLTFLLAILTRSESLNLRRKTGIAKLVGTLISVAGAMVLTFYKGVAVTHTTKIHQATAAAAVAAEAAMSSRNWTLGTVAILGNCVCLSCWFLLHSRLAKKYPHVYSCNAFMCMFSFLQVAVVGLSTQRNVSVWIVRTKFHILTILYAGVVGCGLSFVLLTWCIEKRGPVFVAAFIPVVQIIVSVIDFTVLHEQLFLGRYGYSLLQHIYAPIVLGSVLVIGGLYLLLWGKRQEALHLPPKVAEHDKEQQQQQQQQQQQQQQQQVQL >ONIVA06G00470.1 pep chromosome:AWHD00000000:6:363314:366783:1 gene:ONIVA06G00470 transcript:ONIVA06G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVCCNIKKKKKKKKKKKRRRASHLAQTKQRDSTGRGGKGKRGELKKFGMAPPPPAALLLPSNHSYRPLLPRPIPHHATAFACASPSPSPPPRLRLRLRHAGPLRAAALPAIAIAPGDHWGNWAFLLSAAAFGTWSEESTSWGAALSGSLVSIMAGLAATATGLVTAGAPAQDAVMDYLLPATVPLLLLGADLRRVVSTTGDLLKAFLIGSVATTIGTTIAFLLVPMKSLGQDSWKIAAALMGSYIGGAVNYVAISEALGVSPSVLAAGVAADNIISALYFMTVFSLAAKIPAEPKTAQEGEAGSNGGESEGGRRMSVLHGGAAVALSFVICKAGSAISSQLGIQGGTLPCVTALVVALATAFPRLLGKLAPSGETIALILMQVFFTVVGANGNLVDAVTKAPSVFAFALVQVTIHLAIVLAAGKLMGFERKPLLIASNANVGGPTTAAAMATAKGWSSLIVPGILVGMFGISIATFVGIGFGMFVLRRICGA >ONIVA06G00470.2 pep chromosome:AWHD00000000:6:363631:366783:1 gene:ONIVA06G00470 transcript:ONIVA06G00470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVCCNIKKKKKKKKKKKRRRASHLAQTKQRDSTGRGGKGKRGELKKFGMAPPPPAALLLPSNHSYRPLLPRPIPHHATAFACASPSPSPPPRLRLRLRHAGPLRAAALPAIAIAPGDHWGNWAFLLSAAAFGTWSEESTSWGAALSGSLVSIMAGLAATATGLVTAGAPAQDAVMDYLLPATVPLLLLGADLRRVVSTTGDLLKAFLIGSVATTIGTTIAFLLVPMKSLGQDSWKIAAALMGSYIGGAVNYVAISEALGVSPSVLAAGVAADNIISALYFMTVFSLAAKIPAEPKTAQEGEAGSNGGESEGGRRMSVLHGGAAVALSFVICKAGSAISSQLGIQGGTLPCVTALVVALATAFPRLLGKLAPSGETIALILMQVFFTVVGANGNLVDAVTKAPSVFAFALVQVTIHLAIVLAAGKLMGFERKPLLIASNANVGGPTTAAAMATAKGWSSLIVPGILVGMFGISIATFVGIGFGMFVLRRICGA >ONIVA06G00460.1 pep chromosome:AWHD00000000:6:360086:363222:1 gene:ONIVA06G00460 transcript:ONIVA06G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEEEEGRQVQEVVAHVYDVASSGSSEGGGGGTAILHVNRFFKDAIGLGGIFHTAIQVYGDEEWSFGYCENGTGVFSCPPCKNPMYTYPWVNRFANAGDAALEVAENTAEKLKQAKKDIAGACKAATTYLTGASSSSPSNADDSGGSTNSSLFEGTWLRSIIGISMKPSRSLMCSDSSDSSDDEKSEDERESDCQQPSGDQIEEKKDATQEQAGK >ONIVA06G00450.1 pep chromosome:AWHD00000000:6:354694:357061:-1 gene:ONIVA06G00450 transcript:ONIVA06G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAGGNQGGKVLKKGKKKHAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKRQQKREEQQRLDDEGAAIAEAVALHVLIDEDSEEPCHLMLNNLRICNHWEDFVGFGFAPDSQGVDAYPSGKPTSVSHAYVPQLRWTNWGMSQTFSSWEQLTDCEAPLYQEALAQSDIHPGPIAIVSPLQKRGEDPFTIQGEAAAAAASSATESESGQWNQQ >ONIVA06G00450.2 pep chromosome:AWHD00000000:6:354694:357061:-1 gene:ONIVA06G00450 transcript:ONIVA06G00450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNLRICNHWEDFVGFGFAPDSQGVDAYPSGKPTSVSHAYVPQLRWTNWGMSQTFSSWEQLTDCEAPLYQEALAQSDIHPGPIAIVSPLQKRGEDPFTIQGEAAAAAASSATESESGQWNQQ >ONIVA06G00440.1 pep chromosome:AWHD00000000:6:348686:350798:-1 gene:ONIVA06G00440 transcript:ONIVA06G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTQPVPNASPLHVLRYVCVLLLAASATVAARRHGPAAPIAGQSMYLAPSCRAHTASLTDFGGVGDGTTSNTAAFKSAVDHLSQYSGEGGGGAMLYVPAGKWLTGPFNLTSHFTLFLHSDAVILGSQDMGEWPIIDPLPSYGRGRDKAGGRYASLIGGSNLTDVVITGANGTIDGQGAMWWSKFHSNKLKYTRGYLIEVMHSDTVVISNVTLVNSPAWNIHPVYSSNIVVQGVTILAPTHSPNTDGINPDSCSHVRIEDCYIVSGDDCVAIKSGWDEYGIAYGMPSQHIVVRRLTCVSPTSAVIALGSEMSGGISDVRAEDITAVNSESAVRIKTAVGRGAYVRDVFVRGMSLDTMKWVFWMTGNYKSHPDDGYDPNAIPVVDNISYQDVVATGVYKEAARLEGIQGAPFRGICIANVTATLSKSRKYPWTCTDIEGVSTGVTPAPCQPLQGAHDGACPFPTDTLPIDQLVMQQCAYSVPASI >ONIVA06G00430.1 pep chromosome:AWHD00000000:6:347532:348165:-1 gene:ONIVA06G00430 transcript:ONIVA06G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVIKLILVLLLSAVEFGWVQNASGRAEGTIVAIGGGGGEIGIGRQLLEHGACVDEEAVKDIGGEAELRENQATLLLPVAVPRASASHEVDVEVDFASESRAAGVDGRRQGDELAVLHGIELQLILCSAAEEGVVKGEDVHDVLHAPLFLRHWHRPSCTPTTNCSQN >ONIVA06G00420.1 pep chromosome:AWHD00000000:6:344672:347115:-1 gene:ONIVA06G00420 transcript:ONIVA06G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cwf15 / Cwc15 cell cycle control family protein [Source:Projected from Arabidopsis thaliana (AT3G13200) TAIR;Acc:AT3G13200] MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTTLKPRKEGQHTQEELQKRNLRDELEERERKHYSSKDKSYAEERDRRKSTSLLLEGSRREAEDKIVPREIDADDSDVEPRSDDESDEDDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEEAKMKEAELMRGNPLININNAGSFNVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >ONIVA06G00410.1 pep chromosome:AWHD00000000:6:341748:344216:1 gene:ONIVA06G00410 transcript:ONIVA06G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:septum site-determining protein (MIND) [Source:Projected from Arabidopsis thaliana (AT5G24020) TAIR;Acc:AT5G24020] MAFAPRLLLPSRCPPPASSPARHGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLSLSAVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRALHDLQLLCLSKPRSKLPLAFGSKTLTWVADALRRAANPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVKGEDMMSALDVQEMLGLPLLGVVPEDAEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKKAGFFSFFGG >ONIVA06G00400.1 pep chromosome:AWHD00000000:6:334784:341395:1 gene:ONIVA06G00400 transcript:ONIVA06G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPEPEPPKRNMRISYSRDFMISVGETDRCKKLPQGFDASLLSDLQEMSAGVLDRNKGYYTTPLGRSDGSGPYSYSSRGGSSGGRWETRSSGSSDRDGDLPDRDSSMQDRRNGNQYRRNWQNQEHDGLLGSGVLPRPPGYGGQVASKDRGNTYQPNRTSERYQPPRPKAAPFPRKDIDAMNDETFGSSEFSNEDRAEEERKRRASFEMMRKEQHKALQEKKNGPEIEKENSGHDIISLLQTPSERTATTAKSEKPDGSAISSAYQEDTTKTSSVLSASTARPLVPPGFSNAFVEKKLQPQSSNISLEPKVIDATSEGNILATAQFGGLVEGNQSASEITASKNKEKGIPDNIASVGKQHTLPSGGVTYSAEFASSILKGSGDWEGDAMDKYSIENEGKSKNIGSVRKDHSISILEQFFGSALSKGGTDLPPYVENQQMKNDDDVIVSSLPESSKFAHWFHDEDSKPAEDLSSNGLLSMIVKNEKPGQESIAHGPPLSDGAVQNLLPISPTHKLDVASTHPLFTPAAPAVGMLEQHNHADIEPAPIMMTCEDLEQAMLAQVATSSNSNQKNVVQEHQLVVDEPIATQKVAVDNHASQHLLSLLTKSTDNKGSSSFGLHIGSSDRSHNSDVTSNGGVSGIAPVNKAETAPTSEKNLTLEALFGAAFMNELQSKDAPVSIRGSATSGPNYEFAETGKTSIASSHEGYYPGEQVLPFGTIKDGVAPKESGTGNRNLALSGPSQGSASLDKKSLEIQLPEEDNLFTVNDSLDGQKPDIFPSVRSSRVEGLLPEKAVDDLNYRLQSLVPGDSEHVQVLGPDALGSHSHERRYQAESQNLYHLLQGRPPALAPRPMMDHIGNRNQQTSFDMTQAIQHDPHRSFSSNMNPMQQSLHAPRAPHVDPAAHHLMMQHISTPGNFPPEGLQRGVPPSQPVHHMPGYRPEMSNVNNFHMHPRQPNYGEFGLMMAGPSGPELRGNHPDAFERFLQMELTARSKQMHPAMAGHVPGGMYGPELDMNLRYR >ONIVA06G00400.2 pep chromosome:AWHD00000000:6:334705:341395:1 gene:ONIVA06G00400 transcript:ONIVA06G00400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPEPEPPKRNMRISYSRDFMISVGETDRCKKLPQGFDASLLSDLQEMSAGVLDRNKGYYTTPLGRSDGSGPYSYSSRGGSSGGRWETRSSGSSDRDGDLPDRDSSMQDRRNGNQYRRNWQNQEHDGLLGSGVLPRPPGYGGQVASKDRGNTYQPNRTSERYQPPRPKAAPFPRKDIDAMNDETFGSSEFSNEDRAEEERKRRASFEMMRKEQHKALQEKKNGPEIEKENSGHDIISLLQTPSERTATTAKSEKPDGSAISSAYQEDTTKTSSVLSASTARPLVPPGFSNAFVEKKLQPQSSNISLEPKVIDATSEGNILATAQFGGLVEGNQSASEITASKNKEKGIPDNIASVGKQHTLPSGGVTYSAEFASSILKGSGDWEGDAMDKYSIENEGKSKNIGSVRKDHSISILEQFFGSALSKGGTDLPPYVENQQMKNDDDVIVSSLPESSKFAHWFHDEDSKPAEDLSSNGLLSMIVKNEKPGQESIAHGPPLSDGAVQNLLPISPTHKLDVASTHPLFTPAAPAVGMLEQHNHADIEPAPIMMTCEDLEQAMLAQVATSSNSNQKNVVQEHQLVVDEPIATQKVAVDNHASQHLLSLLTKSTDNKGSSSFGLHIGSSDRSHNSDVTSNGGVSGIAPVNKAETAPTSEKNLTLEALFGAAFMNELQSKDAPVSIRGSATSGPNYEFAETGKTSIASSHEGYYPGEQVLPFGTIKDGVAPKESGTGNRNLALSGPSQGSASLDKKSLEIQLPEEDNLFTVNDSLDGQKPDIFPSVRSSRVEGLLPEKAVDDLNYRLQSLVPGDSEHVQVLGPDALGSHSHERRYQAESQNLYHLLQGRPPALAPRPMMDHIGNRNQQTSFDMTQAIQHDPHRSFSSNMNPMQQSLHAPRAPHVDPAAHHLMMQHISTPGNFPPEGLQRGVPPSQPVHHMPGYRPEMSNVNNFHMHPRQPNYGEFGLMMAGPSGPELRGNHPDAFERFLQMELTARSKQMHPAMAGHVPGGMYGPELDMNLRYR >ONIVA06G00390.1 pep chromosome:AWHD00000000:6:332710:334347:-1 gene:ONIVA06G00390 transcript:ONIVA06G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPQQLLDSSSPGPGPGPEVEDDGGRRVRKPYTITKSRESWTDPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQPLKLSYHNKLLI >ONIVA06G00380.1 pep chromosome:AWHD00000000:6:330197:332686:-1 gene:ONIVA06G00380 transcript:ONIVA06G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISPVARNFNANDVFSSWDSALAQSFSPRHTHESQSGTCPTSEAIEQEIMLPTLRAMPDFAQVYNFLGSIFDPETSGHLQRLREMDPIDVETVLLLMKNLSINLTNPNFEAHRKVLASHGSGMDQVKHENLGDLGSTHTLHLPFM >ONIVA06G00370.1 pep chromosome:AWHD00000000:6:325800:328263:-1 gene:ONIVA06G00370 transcript:ONIVA06G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJN8] MVQGASGGGWSSKVEEGVIVGGLLVVQCILAGYVVFVDHVLSLGANPLSLIVLGAVASSLFFLPFAVVLERKKWPSKISRTLMAQFVFIALGGTTVFQELMLLGIKKTTPAIASAMPNLSPGLIFIIAACFRLEKFDKGCKYTRAKILGTLVCLVGAMAMSFLQSPVSSSPQLTTTSYYDWILGCFYLFLAVVVLSMYTVLQAATLVSFPAPLTMCSVTSMMGAVFTAILQFIVDGKIDMGSPRIDETIISTIVLMGGGVVGGCVVFQTWCIGKRGPLLVSIFGPVQTVCSALLSALLFSQLLCLGSLAGMVLMFCGLYIVLWAKSKEGHSIIHLEGGDVEKALLS >ONIVA06G00360.1 pep chromosome:AWHD00000000:6:318630:324957:-1 gene:ONIVA06G00360 transcript:ONIVA06G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDSDDADADFFDKLVDDDDADTNRRLASDLTPPPSRPEPDQAAPPPPPQPHTAPPPPPPNAEPEAPPPPQLEVKAPAATLPPNPEAASPGSAKDVHTAVKQVQWSAFATNSGVGGDDPFGEFMGDDAFFGGNNTHTMTGDQPIQASLVPPTTSTIGSMDHQFSNQVDNIADSQPGWPATAAEFMDHNTSVQSDSTAAAAVDSTSTDPKYFESLYPGWKYDEATQQWYQVDSSYTAQGNADNLGALPVVGGDNVHQQQQQQQQQQFTVSYLHDSSQAGLETIAEEGSTMATSWTPNESNTGAVEYPSNMVFYAEYPGWYFDTNTQQWLSLESYQQGGVQAETTAAASAGYAGTGHNVAQTSDSYTGDCSHQGQQQHGSLGDNSLSDSFYGSNQHTENQTAQQANVESLESSKYYHADINTYAHSTSQYASSEDHQASYKGFGSSTSHQSVYKGFEPSVGHQSTFSSHHSGYNGSESSTVQQAAHQGFKPSTGNQNYKGFEPYSGHQLGYKGYDYSTGQTGHQEFGPSTDSQANHVAYQQLPSHYSSFDGAAKPQDSVPTANMPQMQTRADSDGCMNLPNNYLSTGSSVNFAQQQFIGSNALPQQFGYSSHEQRSSAGRPPHALVTFGFGGKLVVVRETISMSTNFDSGNQGNPCGTVSILNVSEIVSDRVDHPSIPSGSALSYFHALCRQPIPGPLVGGSAAAKDVNKWLDEITGGYDSSIREFQGGDDQKLLISLLKILCQHYGKLRSPFGSDPSQEGIDGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKFYVDTVKKMAYHHFVSGSPLRTLCLLIAGQPADVFNAENPVDGNYGNLHIPQRPVEAVNSKSMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLAAELNIESYSESSRMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLVEVGKVSDSLRYCQACLKVLKASGRAPELEAWKQLFSSLEERIRTYQQGGYGTNLAPAKLVGKLFTSLDKSLSRMMGTQPSALSPVPQGSLTERDSYSAPAATNFVNNQPVMAMSSLMSSVSEQSMSEMSGNTGPDRKVTHNRSVSEPDFGRTPNQKTMGLVSRSHHQAKLGEQNKFYYDKKLKRWVEEGADIPAEEPPLPPPPTKALFQNGIPDQSSNGPGSVSYTANGFSEARPLNPSGPSSGMPPMPPSQNQFSARGRMGVRSRYVDTFNKGGASATGPSYNKPATPSMNPLSGATFFVPTPATVASEQIPDPTVNVHQDQPSSTIALRESSASPPPSVQPIPVQSNIQRYPSMDNIMTPSGSGNGSSFSRSRAASWSGAYSEQLSGNAVSRSPDGQRTMMQSPLIPGQKQSHSRSSSNSSLQFNNGLGEDLHEVEL >ONIVA06G00360.2 pep chromosome:AWHD00000000:6:318630:324957:-1 gene:ONIVA06G00360 transcript:ONIVA06G00360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDSDDADADFFDKLVDDDDADTNRRLASDLTPPPSRPEPDQAAPPPPPQPHTAPPPPPPNAEPEAPPPPQLEVKAPAATLPPNPEAASPGSAKDVHTAVKQVQWSAFATNSGVGGDDPFGEFMGDDAFFGGNNTHTMTGDQPIQASLVPPTTSTIGSMDHQFSNQVDNIADSQPGWPATAAEFMDHNTSVQSDSTAAAAVDSTSTDPKYFESLYPGWKYDEATQQWYQVDSSYTAQGNADNLGALPVVGGDNVHQQQQQQQQQQFTVSYLHDSSQAGLETIAEEGSTMATSWTPNESNTGAVEYPSNMVFYAEYPGWYFDTNTQQWLSLESYQQGGVQAETTAAASAGYAGTGHNVAQTSDSYTGDCSHQGQQQHGSLGDNSLSDSFYGSNQHTENQTAQQANVESLESSKYYHADINTYAHSTSQYASSEDHQASYKGFGSSTSHQSVYKGFEPSVGHQSTFSSHHSGYNGSESSTVQQAAHQGFKPSTGNQNYKGFEPYSGHQLGYKGYDYSTGQTGHQEFGPSTDSQANHVAYQQLPSHYSSFDGAAKPQDSVPTANMPQMQTRADSDGCMNLPNNYLSTGSSVNFAQQQFIGSNALPQQFGYSSHEQRSSAGRPPHALVTFGFGGKLVVVRETISMSTNFDSGNQGNPCGTVSILNVSEIVSDRVDHPSIPSGSALSYFHALCRQPIPGPLVGGSAAAKDVNKWLDEITGGYDSSIREFQGGDDQKLLISLLKILCQHYGKLRSPFGSDPSQEGIDGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKFYVDTVKKMAYHHFVSGSPLRTLCLLIAGQPADVFNAENPVDGNYGNLHIPQRPVEAVNSKSMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLAAELNIESYSESSRMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLVEVGKVSDSLRYCQACLKVLKASGRAPELEAWKQLFSSLEERIRTYQQGGYGTNLAPAKLVGKLFTSLDKSLSRMMGTQPSALSPVPQGSLTERDSYSAPAATNFVNNQPVMAMSSLMSSVSEQSMSEMSGNTGPDRKVTHNRSVSEPDFGRTPNQGAGLDNAQSTSGSGSSRFGWLLQKTMGLVSRSHHQAKLGEQNKFYYDKKLKRWVEEGADIPAEEPPLPPPPTKALFQNGIPDQSSNGPGSVSYTANGFSEARPLNPSGPSSGMPPMPPSQNQFSARGRMGVRSRYVDTFNKGGASATGPSYNKPATPSMNPLSGATFFVPTPATVASEQIPDPTVNVHQDQPSSTIALRESSASPPPSVQPIPVQSNIQRYPSMDNIMTPSGSGNGSSFSRSRAASWSGAYSEQLSGNAVSRSPDGQRTMMQSPLIPGQKQSHSRSSSNSSLQFNNGLGEDLHEVEL >ONIVA06G00360.3 pep chromosome:AWHD00000000:6:318630:324957:-1 gene:ONIVA06G00360 transcript:ONIVA06G00360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDSDDADADFFDKLVDDDDADTNRRLASDLTPPPSRPEPDQAAPPPPPQPHTAPPPPPPNAEPEAPPPPQLEVKAPAATLPPNPEAASPGSAKDVHTAVKQVQWSAFATNSGVGGDDPFGEFMGDDAFFGDSTAAAAVDSTSTDPKYFESLYPGWKYDEATQQWYQVDSSYTAQGNADNLGALPVVGGDNVHQQQQQQQQQQFTVSYLHDSSQAGLETIAEEGSTMATSWTPNESNTGAVEYPSNMVFYAEYPGWYFDTNTQQWLSLESYQQGGVQAETTAAASAGYAGTGHNVAQTSDSYTGDCSHQGQQQHGSLGDNSLSDSFYGSNQHTENQTAQQANVESLESSKYYHADINTYAHSTSQYASSEDHQASYKGFGSSTSHQSVYKGFEPSVGHQSTFSSHHSGYNGSESSTVQQAAHQGFKPSTGNQNYKGFEPYSGHQLGYKGYDYSTGQTGHQEFGPSTDSQANHVAYQQLPSHYSSFDGAAKPQDSVPTANMPQMQTRADSDGCMNLPNNYLSTGSSVNFAQQQFIGSNALPQQFGYSSHEQRSSAGRPPHALVTFGFGGKLVVVRETISMSTNFDSGNQGNPCGTVSILNVSEIVSDRVDHPSIPSGSALSYFHALCRQPIPGPLVGGSAAAKDVNKWLDEITGGYDSSIREFQGGDDQKLLISLLKILCQHYGKLRSPFGSDPSQEGIDGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKFYVDTVKKMAYHHFVSGSPLRTLCLLIAGQPADVFNAENPVDGNYGNLHIPQRPVEAVNSKSMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLAAELNIESYSESSRMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLVEVGKVSDSLRYCQACLKVLKASGRAPELEAWKQLFSSLEERIRTYQQGGYGTNLAPAKLVGKLFTSLDKSLSRMMGTQPSALSPVPQGSLTERDSYSAPAATNFVNNQPVMAMSSLMSSVSEQSMSEMSGNTGPDRKVTHNRSVSEPDFGRTPNQVLLRLECFLDVFHFSSLKLELSQGAGLDNAQSTSGSGSSRFGWLLQKTMGLVSRSHHQAKLGEQNKFYYDKKLKRWVEEGADIPAEEPPLPPPPTKALFQNGIPDQSSNGPGSVSYTANGFSEARPLNPSGPSSGMPPMPPSQNQFSARGRMGVRSRYVDTFNKGGASATGPSYNKPATPSMNPLSGATFFVPTPATVASEQIPDPTVNVHQDQPSSTIALRESSASPPPSVQPIPVQSNIQRYPSMDNIMTPSGSGNGSSFSRSRAASWSGAYSEQLSGNAVSRSPDGQRTMMQSPLIPGQKQSHSRSSSNSSLQFNNGLGEDLHEVEL >ONIVA06G00360.4 pep chromosome:AWHD00000000:6:318630:324957:-1 gene:ONIVA06G00360 transcript:ONIVA06G00360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDSDDADADFFDKLVDDDDADTNRRLASDLTPPPSRPEPDQAAPPPPPQPHTAPPPPPPNAEPEAPPPPQLEVKAPAATLPPNPEAASPGSAKDVHTAVKQVQWSAFATNSGVGGDDPFGEFMGDDAFFGGNNTHTMTGDQPIQASLVPPTTSTIGSMDHQFSNQVDNIADSQPGWPATAAEFMDHNTSVQSDSTAAAAVDSTSTDPKYFESLYPGWKYDEATQQWYQVDSSYTAQGNADNLGALPVVGGDNVHQQQQQQQQQQFTVSYLHDSSQAGLETIAEEGSTMATSWTPNESNTGAVEYPSNMVFYAEYPGWYFDTNTQQWLSLESYQQGGVQAETTAAASAGYAGTGHNVAQTSDSYTGDCSHQGQQQHGSLGDNSLSDSFYGSNQHTENQTAQQANVESLESSKYYHADINTYAHSTSQYASSEDHQASYKGFGSSTSHQSVYKGFEPSVGHQSTFSSHHSGYNGSESSTVQQAAHQGFKPSTGNQNYKGFEPYSGHQLGYKGYDYSTGQTGHQEFGPSTDSQANHVAYQQLPSHYSSFDGAAKPQDSVPTANMPQMQTRADSDGCMNLPNNYLSTGSSVNFAQQQFIGSNALPQQFGYSSHEQRSSAGRPPHALVTFGFGGKLVVVRETISMSTNFDSGNQGNPCGTVSILNVSEIVSDRVDHPSIPSGSALSYFHALCRQPIPGPLVGGSAAAKDVNKWLDEITGGYDSSIREFQGGDDQKLLISLLKILCQHYGKLRSPFGSDPSQEGIDGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKFYVDTVKKMAYHHFVSGSPLRTLCLLIAGQPADVFNAENPVDGNYGNLHIPQRPVEAVNSKSMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLAAELNIESYSESSRMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLVEVGKVSDSLRYCQACLKVLKASGRAPELEAWKQLFSSLEERIRTYQQGGYGTNLAPAKLVGKLFTSLDKSLSRMMGTQPSALSPVPQGSLTERDSYSAPAATNFVNNQPVMAMSSLMSSVSEQSMSEMSGNTGPDRKVTHNRSVSEPDFGRTPNQVLLRLECFLDVFHFSSLKLELSQGAGLDNAQSTSGSGSSRFGWLLQKTMGLVSRSHHQAKLGEQNKFYYDKKLKRWVEEGADIPAEEPPLPPPPTKALFQNGIPDQSSNGPGSVSYTANGFSEARPLNPSGPSSGMPPMPPSQNQFSARGRMGVRSRYVDTFNKGGASATGPSYNKPATPSMNPLSGATFFVPTPATVASEQIPDPTVNVHQDQPSSTIALRESSASPPPSVQPIPVQSNIQRYPSMDNIMTPSGSGNGSSFSRSRAASWSGAYSEQLSGNAVSRSPDGQRTMMQSPLIPGQKQSHSRSSSNSSLQFNNGLGEDLHEVEL >ONIVA06G00360.5 pep chromosome:AWHD00000000:6:318630:324957:-1 gene:ONIVA06G00360 transcript:ONIVA06G00360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDSDDADADFFDKLVDDDDADTNRRLASDLTPPPSRPEPDQAAPPPPPQPHTAPPPPPPNAEPEAPPPPQLEVKAPAATLPPNPEAASPGSAKDVHTAVKQVQWSAFATNSGVGGDDPFGEFMGDDAFFGGNNTHTMTGDQPIQASLVPPTTSTIGSMDHQFSNQVDNIADSQPGWPATAAEFMDHNTSVQSDSTAAAAVDSTSTDPKYFESLYPGWKYDEATQQWYQVDSSYTAQGNADNLGALPVVGGDNVHQQQQQQQQQQFTVSYLHDSSQAGLETIAEEGSTMATSWTPNESNTGAVEYPSNMVFYAEYPGWYFDTNTQQWLSLESYQQGGVQAETTAAASAGYAGTGHNVAQTSDSYTGDCSHQGQQQHGSLGDNSLSDSFYGSNQHTENQTAQQANVESLESSKYYHADINTYAHSTSQYASSEDHQASYKGFGSSTSHQSVYKGFEPSVGHQSTFSSHHSGYNGSESSTVQQAAHQGFKPSTGNQNYKGFEPYSGHQLGYKGYDYSTGQTGHQEFGPSTDSQANHVAYQQLPSHYSSFDGAAKPQDSVPTANMPQMQTRADSDGCMNLPNNYLSTGSSVNFAQQQFIGSNALPQQFGYSSHEQRSSAGRPPHALVTFGFGGKLVVVRETISMSTNFDSGNQGNPCGTVSILNVSEIVSDRVDHPSIPSGSALSYFHALCRQPIPGPLVGGSAAAKDVNKWLDEITGGYDSSIREFQGGDDQKLLISLLKILCQHYGKLRSPFGSDPSQEGIDGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQKMAYHHFVSGSPLRTLCLLIAGQPADVFNAENPVDGNYGNLHIPQRPVEAVNSKSMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLAAELNIESYSESSRMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLVEVGKVSDSLRYCQACLKVLKASGRAPELEAWKQLFSSLEERIRTYQQGGYGTNLAPAKLVGKLFTSLDKSLSRMMGTQPSALSPVPQGSLTERDSYSAPAATNFVNNQPVMAMSSLMSSVSEQSMSEMSGNTGPDRKVTHNRSVSEPDFGRTPNQVLLRLECFLDVFHFSSLKLELSQGAGLDNAQSTSGSGSSRFGWLLQKTMGLVSRSHHQAKLGEQNKFYYDKKLKRWVEEGADIPAEEPPLPPPPTKALFQNGIPDQSSNGPGSVSYTANGFSEARPLNPSGPSSGMPPMPPSQNQFSARGRMGVRSRYVDTFNKGGASATGPSYNKPATPSMNPLSGATFFVPTPATVASEQIPDPTVNVHQDQPSSTIALRESSASPPPSVQPIPVQSNIQRYPSMDNIMTPSGSGNGSSFSRSRAASWSGAYSEQLSGNAVSRSPDGQRTMMQSPLIPGQKQSHSRSSSNSSLQFNNGLGEDLHEVEL >ONIVA06G00350.1 pep chromosome:AWHD00000000:6:306317:307399:-1 gene:ONIVA06G00350 transcript:ONIVA06G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-lactate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJN2] MKKASSLSELGFDADGPSFFRHLTLTDGDDGTLPRRRLIKISVIGAGNVGMAIAQTILTQDLADEIVLIDAVADKVRGEMLDLQHAAAFLPRVNIVSGTEVSLTRSSDLVIVTAGARQIPGETRLNLLQRNVSLFRKIVPAAAEASPESVLVIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLEVSAQDVQAYMVGEHGDSSVALWSSISVGGMPVLAHLQKNHRSAATAKKFDEAALEGIRRAVVGSAYEVIKLKGYTSWAIGYSVASIAWSLLRDQRRIHPVSVLAKGLVRGVPADRELFLSLPARLGRAGVLGVAAELVLTDEEERRLRISAETLWGYCHALGL >ONIVA06G00340.1 pep chromosome:AWHD00000000:6:269109:276463:1 gene:ONIVA06G00340 transcript:ONIVA06G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT4G16570) TAIR;Acc:AT4G16570] MPSCCCLLGLGFPSPPSALRILRRRMASRAFQLRLNPLTGDSEWLVVEEEEEEDHHPTPPPKQLLATTSYLDMLNDSARNRAYRRAIEAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGGETRGGSVSACESYLPMGKLMRRVLRANGMENRVKVFHKRSDELKVGDDLDSPADILVSEILDSELLGEGLIPTLQQAYDMLLAKNPKIVPYRATTYGQLVESTFLWKLHDLHNNEANAADGVWLTPGEMERIVSVKPQQHAMQCDALEDEIRLNPSKFLNLTFGNGQIVIVRPISRYEQHEMDMFMLLFHGGYFNWILLGQSSTQLLLDGRDNQVVRGRNADWCDHWKQCVWFMQGKGIPATEDQVLSLRARHNQTSISYQLNINDEACDRSSKGDHLTLLPERIALYGDKDWRSALINTIKNALTVKSSPTCVVADDSMFLALLISSMSPTSKVIAMYPGLRDKGAAYLRSVADANNFSIDQIQVIGKRASSITADDLKHKKVNLLVGEPFYLGSEGMLPWQNLRFWSVRTLLDSMLSEDAFIMPCKGILKLCAMSLPDLWRSRSSLKDVEGFDHSVVNETLGACGYLPGDQQGPCLPYYVWQCGYTKKLSKVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDERKSVVLTTGPDNRYWKQGVQLFSKPVEVNPGKSVMHVEASFDPSTGEITFSSSSTTCS >ONIVA06G00340.2 pep chromosome:AWHD00000000:6:269109:276463:1 gene:ONIVA06G00340 transcript:ONIVA06G00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT4G16570) TAIR;Acc:AT4G16570] MPSCCCLLGLGFPSPPSALRILRRRMASRAFQLRLNPLTGDSEWLVVEEEEEEDHHPTPPPKQLLATTSYLDMLNDSARNRAYRRAIEAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGGETRGGSVSACESYLPMGKLMRRVLRANGMENRVKVFHKRSDELKVGDDLDSPADILVSEILDSELLGEGLIPTLQQAYDMLLAKNPKIVPYRATTYGQLVESTFLWKLHDLHNNEANAADGVWLTPGEMERIVSVKPQQHAMQCDALEDEIRLNPSKFLNLTFGNGQIVIVRPISRYEQHEMDMFMLLFHGGYFNWILLGQSSTQLLLDGRDNQVVRGRNADWCDHWKQCVWFMQGKGIPATEDQVLSLRARHNQTSISYQLNINDEACDRSSKGDHLTLLPERIALYGDKDWRSALINTIKNALTVKSSPTCVVADDSMFLALLISSMSPTSKVIAMYPGLRDKGAAYLRSVADANNFSIDQIQVIGKRASSITADDLKHKKWGSHFILEVKECFRGKIYVSGSSVRTLLDSMLSEDAFIMPCKGILKLCAMSLPDLWRSRSSLKDVEGFDHSVVNETLGACGYLPGDQQGPCLPYYVWQCGYTKKLSKVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDERKSVVLTTGPDNRYWKQGVQLFSKPVEVNPGKSVMHVEASFDPSTGEITFSSSSTTCS >ONIVA06G00340.3 pep chromosome:AWHD00000000:6:269109:276463:1 gene:ONIVA06G00340 transcript:ONIVA06G00340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT4G16570) TAIR;Acc:AT4G16570] MPSCCCLLGLGFPSPPSALRILRRRMASRAFQLRLNPLTGDSEWLVVEEEEEEDHHPTPPPKQLLATTSYLDMLNDSARNRAYRRAIEAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGGETRGGSVSACESYLPMGKLMRRVLRANGMENRVKVFHKRSDELKVGDDLDSPADILVSEILDSELLGEGLIPTLQQAYDMLLAKNPKIVPYRATTYGQLVESTFLWKLHDLHNNEANAADGVWLTPGEMERIVSVKPQQHAMQCGYFNWILLGQSSTQLLLDGRDNQVVRGRNADWCDHWKQCVWFMQGKGIPATEDQVLSLRARHNQTSISYQLNINDEACDRSSKGDHLTLLPERIALYGDKDWRSALINTIKNALTVKSSPTCVVADDSMFLALLISSMSPTSKVIAMYPGLRDKGAAYLRSVADANNFSIDQIQVIGKRASSITADDLKHKKVNLLVGEPFYLGSEGMLPWQNLRFWSVRTLLDSMLSEDAFIMPCKGILKLCAMSLPDLWRSRSSLKDVEGFDHSVVNETLGACGYLPGDQQGPCLPYYVWQCGYTKKLSKVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDERKSVVLTTGPDNRYWKQGVQLFSKPVEVNPGKSVMHVEASFDPSTGEITFSSSSTTCS >ONIVA06G00330.1 pep chromosome:AWHD00000000:6:264060:268447:-1 gene:ONIVA06G00330 transcript:ONIVA06G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJM8] MALLLRHSPKLRRAHAILGCERGTVVRHFSSSTCSSLVKEDTVSSSNLHPEYAKKIGGSDFSHDRQSGKELQNFKVSPQEASRASNFMRASKYGMPITANGVVPSRCFSSGADLPPHQEIGMPSLSPTMTEGNIARWVKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEEDIGKFKDYKAPSSAESAAPAESKPQSEPTEPKKEKEQPKATKTEESFLSEDRTFSSPIARKLAEDNNVPLSSIKGTGPDGRILKADIEDYLASVAKGAKKETAAAPGLGYVDLPNTQIRKVTANRLLHSKQTIPHYYLTVDTRVDKLIKLRSELNPLQDTSGGKKISINDLVIKAAALALRNVPECNSSWMNDFIRQYHNVNINVAVQTEDGLFVPVIRDADKKGLATIADEVKQLAQRARGNSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQSAILAIGSAEKRVIPGAEGQFEVGSFMSATLSCDHRVIDGAIGAEWMKAFKGYIENPTTMLL >ONIVA06G00320.1 pep chromosome:AWHD00000000:6:258866:260311:1 gene:ONIVA06G00320 transcript:ONIVA06G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSCHPHNPTTLPLPEPDSSKSPEPTSVLYNRSSPSTSLGSFSSKPPEDPPPPPIAADDDCDWDAVVDMHMHMLAPAPAPDSSFLRWIMDTGYADADTFPDHPSFDSDLLQLPMPMPSDHPPQPLVDDLLDAARLLDAGDSTSAREILARLNHRLPSLPSPPGHAHPPLLRAAALLRDALLPPTALPVSSTPLDVPLKLAAHKALADASPTVQFTTFTSTQAFLDALGSARRLHLLDFDVGFGAHWPPLMQELAHHWRRAAGPPPNLKVTALVSPGSSHPLELHLTNESLTRFAAELGIPFEFTALVFDPLSSASPPLGLSAAPDEAVAVHLTAGSGTFSPAPAHLRVVKELRPAVVVCVDHGCERGALNLLRSCAALLESLDAAGASPDVVSKVEQFVLRPRVERLAVGGGDKLPPPLQSMLASAGFAALQVSNAAEAQAECLLRRTASHGFHVEKRQAALALWWQRSELVSVSAWRC >ONIVA06G00310.1 pep chromosome:AWHD00000000:6:254864:255199:1 gene:ONIVA06G00310 transcript:ONIVA06G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSPRRSRVDSSVDLIGDILLGDSSKKKLLHIRRPAGQPLVDDWDCLESMVRTFEAHCGPLGQYGMKHTRAFANMCNAALDHNHMAKAASKACHYLLIIILIDPTTTAK >ONIVA06G00300.1 pep chromosome:AWHD00000000:6:253560:254697:1 gene:ONIVA06G00300 transcript:ONIVA06G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGLKDQNIVVMMYDDIAYNPENPHKGDYNGNDVNKNNFLAVLLGKKSALTGAGSGPNDHIFVYYSDHGSPGYVCMPSGGNLHANDLSQALKNKNAAGAYKNLVVYVEACESGSMFEGQLLPSNIGVYAMTASNATENSWATYCDTPEYNTCLGDLFSVAWMEDADARRPGDPETLGQLYDIVAKRT >ONIVA06G00290.1 pep chromosome:AWHD00000000:6:246913:247281:1 gene:ONIVA06G00290 transcript:ONIVA06G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVKMAGVACVLVVGVLWLSAGQSAACDGHPCPTPAGKCPINTVKLGVCADVLDGLIHASTPPKEPCCPLIAGLADLDAAVCVCLAINANLLGLNLDVPVDLSLLLNYCGCKLPAGFKCA >ONIVA06G00280.1 pep chromosome:AWHD00000000:6:241994:244251:-1 gene:ONIVA06G00280 transcript:ONIVA06G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQIRSDQISRSPSLLHTPTPAAAEQRAPPPPATMSSHHRYALLLDDPFFPFPPPSSSSCPFLSPPAASSTCPFFALDSPFAADPFHLHPFLPTPPTSSLLDPFLLHTLTDRVSQLELALAARAPHPRPTSRKCTYVTESTGRKVKWTTEDKPRAGERVLKWEAELDSPYDDGFDRKWKWEAKAKTASAAATKLKWATHLKGKGCLEPWSHSYTWEEDFSATDDDDDEEIEDQLHHKALQDHSKLKTKAKDDKKKKKKDNNTVVVNKEQKKCPFSVKIEEIPPEEDNTAGCVAIRKAFALGNGKAKKKELSPQDAALLIQLNYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRHRMAHDHEERQRFTEKIIVLLLTVDALEGPDYMVRTAKKSMLDELEGMLEIVDPQPPGKQRSLTRRKFDLPEGGPITDEKMAGVNNAVKVIQKGKK >ONIVA06G00270.1 pep chromosome:AWHD00000000:6:233853:237878:-1 gene:ONIVA06G00270 transcript:ONIVA06G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALLAFVAVAGALVAQATDPYVFFDWDVSFITASPLGLPQKVIAINKQFPGPVMNLTTNYNVVVNVLNSLDEPLLITWDGIQQRKNCWQDGVLGTTCPIPPGWNWTYNFQVKDQIGSFFYFPPLSLQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKKSHTDLRKMLDDGKELGMPDGVLMNGKGPYRYNDSLVPAGIEHETIKVEPGKTYRFRVHNVGISTSLNFRIQNHNLALVETEGSYTMKQNFTNLDIHVGQSYSFLITMDQNASSDYYIVASARFVNESLWTKVTGVAILQYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRMNVSTGAARPNPQGSFHYGSINVSQVYKLRNEPPVTINGKKRTTLSGISFSPPDTPMRLADLYDKKGVYTLDFPTMPIDGPPVMKTSVINSTYKNFLEIVFQNNDTKVQTYHIDGYAFWVVGMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVMLSLDSPGFWNVRTENLDTWYLGQETYIRVVDPDGGYNVTEMVAPDNMLYCGLLKDKQKAQKPHGSSSSSSSTAPPNRYWLAVVVSLVAAVFVQ >ONIVA06G00260.1 pep chromosome:AWHD00000000:6:225971:227274:-1 gene:ONIVA06G00260 transcript:ONIVA06G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSMSSSSTQQQAQVPPGFRFHPTDEELVDYYLRKKVAARRIDLNVIKDVDLYKIEPWDLQERCRINGGSAAEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYATKQHSLLVGMRKTLVYYRGRAPNGHKSDWIMHEYRLETTETAPPQEEGWVVCRVFKKRLPTTRRDSDHDAPCGSWYVDEDAPGAFMSPMMITRSSILRPHQHHAGITLQEQHLHTTYKHRDLTTKIQQLQVPAAGHHLLNTMPHDLESSTSSFHSLLVSPDHHQINMHHAQADPFFDDMHAVDQATTTDWRVLDKFVASQLSNDATNKPADHYTDEGDILQVSDKQQEVAAADYASTSTSSSQIDPWK >ONIVA06G00250.1 pep chromosome:AWHD00000000:6:218536:218727:-1 gene:ONIVA06G00250 transcript:ONIVA06G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMEVGRRRRRIGVGGRSQKAAAAAGEIDVGIPSEIGWDQTDWAVRSFVVATCPGPARPGP >ONIVA06G00240.1 pep chromosome:AWHD00000000:6:218613:227516:1 gene:ONIVA06G00240 transcript:ONIVA06G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MGSTAAAGGNLRTALSYCVQQVRNYDYHHYLCLLHLPPAMRKAAFAFRAFNIETAKAMDVVSDPKTGLMRLLWWKDVVDKVFANKLVEHPVAQVLSSVVSEHKISKHWLKRSVEARINDANRDDYAIPETISELERYAEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVSKQGRIPYIPASIAEECGLLTREGGRSEVRMGDELPDAVFKVASVADAHLQKARELASSVPAEAIPVLLPGVPAQVLLDSLRRREFNVFDSRLSRGVHGISPLWYQIKLIWHSVRKKY >ONIVA06G00240.2 pep chromosome:AWHD00000000:6:218613:227516:1 gene:ONIVA06G00240 transcript:ONIVA06G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MGSTAAAGGNLRTALSYCVQQVRNYDYHHYLCLLHLPPAMRKAAFAFRAFNIETAKAMDVVSDPKTGLMRLLWWKDVVDKVFANKLVEHPVAQVLSSVVSEHKISKHWLKRSVEARINDANRDDYAIPETISELERYAEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVSKQGRIPYIPASIAEECGLLTREGGRSEVRMGDELPDAVFKVASVADAHLQKARELASSVPAEAIPVLLPGVPAQVLLDSLRRREFNVFDSRLSRGVHGISPLWYQIKLIWHSVRKKY >ONIVA06G00240.3 pep chromosome:AWHD00000000:6:218462:224189:1 gene:ONIVA06G00240 transcript:ONIVA06G00240.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MARNGRQEQEDNPPNHGTSSFTSQTEFMGSTAAAGGNLRTALSYCVQQVRNYDYHHYLCLLHLPPAMRKAAFAFRAFNIETAKAMDVVSDPKTGLMRLLWWKDVVDKVFANKLVEHPVAQVLSSVVSEHKISKHWLKRSVEARINDANRDDYAIPETISELERYAEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVSKQGRIPYIPASIAEECGLLTREGGRSEVRMGDELPDAVFKVASVADAHLQKARELASSVPAEAIPVLLPGVPAQVLLDSLRRREFNVFDSRLSRGVHGISPLWYQIKLIWHSVRKKY >ONIVA06G00240.4 pep chromosome:AWHD00000000:6:226286:227516:1 gene:ONIVA06G00240 transcript:ONIVA06G00240.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MGHGVEEMMACRRDLELLNLSSEVSVLVSGVEVLLLQRDAGVVLIPWLNLVEIDVLDDIEINPSCGHLLPKLNTWLGLAGRLETHECM >ONIVA06G00240.5 pep chromosome:AWHD00000000:6:218462:221568:1 gene:ONIVA06G00240 transcript:ONIVA06G00240.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MARNGRQEQEDNPPNHGTSSFTSQTEFMGSTAAAGGNLRTALSYCVQQVRNYDYHHYLCLLHLPPAMRKAAFAFRAFNIETAKAMDVVSDPKTGLMRLLWWKDVVDKVFANKLVEHPVAQVLSSVVSEHKISKHWLKRSVEARINDANRDDYAIPETISELERYAEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVSKQGRIPYIPASIAEECGLLTREGGRSEVRMGDELPDAVFKVASVADAHLQKARELASSVPAEAIPVLLPGVPAQVLLDSLRRREFNVFDSRLSRGVHGISPLWYQIKLIWHSVRKKY >ONIVA06G00240.6 pep chromosome:AWHD00000000:6:225583:227516:1 gene:ONIVA06G00240 transcript:ONIVA06G00240.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MPAWCWCGRNIDDLVIIIGDMKAPGASSSTYQLPQEIDVLDDIEINPSCGHLLPKLNTWLGLAGRLETHECM >ONIVA06G00230.1 pep chromosome:AWHD00000000:6:213386:218416:-1 gene:ONIVA06G00230 transcript:ONIVA06G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3754) [Source:Projected from Arabidopsis thaliana (AT2G46915) TAIR;Acc:AT2G46915] MPLLLLRAGAGAKPPLSFSVPPPPGDVRISSRPPTTATRCSSSSSPKLSSATVQFRSDSDPWNQPTVDDDGDFDLKGRKAIPGIHVPRQRYIAVSKPALLDALLSLFPSQPPTPSPTSAAAADFKRFARCLDALLHAEHKEMLEEMRTYYMLTHNHHQHTAAADDDDDDQPVLNGNANTTAGFFGITDNGTLLLTRSLGLRTLLGLSPDPDSHNRIAFATHFQRSFMNLLRNAQFEELSAQDLLLTYALNTDYLLTLPIYVDWKKAAESNAIIFRRGYATERQKGLLLVEKLDYLQSKLLQNIFFGFSKPLRKLGKWLNEALKRSTGNEGFQIWIEKLKVWLKEQTYAENSLSLIENSSWDKLRSDQLPDADLPIWIAAQRAVSRYEGILSPVGPRGRLLRRLLTWTGLIPSLPEATIKSDIDTKDLEGYVRPNFLPRITLANIWAPASRESCNNNLWEITKASFGVLFGKSTLQEPAFQELILLYTDEADQSKEREKSDMMPLQLKIFERIPIPDLPVRLDIATVIGLLAYVVNYKFESLASSPSAFLLDIVAFTALAILVFRVALGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQLFQKGFSLNECFVQYKEALLAYGMLLCRKKYQVSSRVSIRDTCEQFMYEKFKAKIEMPIDKAMETLLRLGLVIELPTDGGSRVIALPCSDAYEILKSRWDSLLEHKTEQG >ONIVA06G00220.1 pep chromosome:AWHD00000000:6:207087:211335:-1 gene:ONIVA06G00220 transcript:ONIVA06G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQSGSPKPRQPVFQRMRVTLTIGVIGLCVASYILGAWQGTSTTSIHPSIIYTKSQCGESILRTSSNSSGRSSSDARLDFQAHHQVSFNESSLVAEKFPPCQLKYSEYTPCQDPRRARKFPKTMMQYRERHCPRKEELFRCLIPAPPKYKNPFKWPQCRDFAWYDNIPHRELSIEKAVQNWIQVEGKRFRFPGGGTMFPHGADAYIDDINALISLTDGNIRTALDTGCGVASWGAYLIKRNIITMSFAPRDSHEAQVQFALERGVPAMIGVISTERIPYPARSFDMAHCSRCLIPWNKFDGIYLIEVDRVLRPGGYWILSGPPIHWKKYFKGWERTEEDLKQEQDEIEDLAKRLCWKKVVEKDDLAIWQKPINHIECVNSRKIYETPQICKSNDVDSAWYKKMETCISPLPDVNSEDEVAGGALEKWPKRAFAVPPRISRGSVSGLTTEKFQEDNKVWAERADYYKKLIPPLTKGRYRNVMDMNAGMGGFAAALMKYPLWVMNVVPSGSAHDTLGIIYERGFIGTYQDWCEAFSTYPRTYDFIHADKIFSFYQDRCDVTYILLEMDRILRPEGTVIFRDTVEVLVKIQSITEGMRWKSQIMDHESGPFNPEKILVAVKTYWTGQPTQKQFRLCMMGSPPMRKEGTRINNREEALHVLAPLDAAAETTERLEYQSMACSHQALFALMNAQVEFVTQLLQEESQCLDLVYLPLASPHLQVIYAMRQSIYVKLAPQ >ONIVA06G00220.2 pep chromosome:AWHD00000000:6:208415:211335:-1 gene:ONIVA06G00220 transcript:ONIVA06G00220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQSGSPKPRQPVFQRMRVTLTIGVIGLCVASYILGAWQGTSTTSIHPSIIYTKSQCGESILRTSSNSSGRSSSDARLDFQAHHQVSFNESSLVAEKFPPCQLKYSEYTPCQDPRRARKFPKTMMQYRERHCPRKEELFRCLIPAPPKYKNPFKWPQCRDFAWYDNIPHRELSIEKAVQNWIQVEGKRFRFPGGGTMFPHGADAYIDDINALISLTDGNIRTALDTGCGVASWGAYLIKRNIITMSFAPRDSHEAQVQFALERGVPAMIGVISTERIPYPARSFDMAHCSRCLIPWNKFDGIYLIEVDRVLRPGGYWILSGPPIHWKKYFKGWERTEEDLKQEQDEIEDLAKRLCWKKVVEKDDLAIWQKPINHIECVNSRKIYETPQICKSNDVDSAWYKKMETCISPLPDVNSEDEVAGGALEKWPKRAFAVPPRISRGSVSGLTTEKFQEDNKVWAERADYYKKLIPPLTKGRYRNVMDMNAGMGGFAAALMKYPLWVMNVVPSGSAHDTLGIIYERGFIGTYQDWCEAFSTYPRTYDFIHADKIFSFYQDRCDVTYILLEMDRILRPEGTVIFRDTVEVLVKIQSITEGMRWKSQIMDHESGPFNPEKILVAVKTYWTGQPTQKQ >ONIVA06G00210.1 pep chromosome:AWHD00000000:6:202769:209173:1 gene:ONIVA06G00210 transcript:ONIVA06G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEKPWELKEDVEIMTEEEEEDDSSNLLQARGRNKKHALINGDEQEEEEEEEEEEVVEEHKSVFFDPTQGLWKCRHCDWTYLLSGPSRNVILNHQGYCQITTNLESLVQSESFYSSPSKAFYAQNEHVTDDGKAVSEHVTEVSGKNEVTRVEQFVAKKEKAHETSSSKGKELETQENANSQETNENSNNSSLENRSPSNGSHEVCNSGETVTVANGKAGLKVITIIDKNQNGLANSNGSLHIANVSMNKTSVHEIEAEKDEDVIKGKVNIEEYDLEKILDEQETHDLFCPNCNSCITRRVILRKRKRTVRQTSPDEPPKKTQIAEPSANTSNQTVPERQGQESPDIFRCLSCFAFFIPTGCGFNIFRIFGRTEVNQEAQVQEAAASGQMSGSDNCASWLFSCFEPGDGPKKTDEGPEKEPLLPDKQDSNNGSASSVEGSTASVHSHGISVQQQESKRPLPAESSSQLQPSNTKKEDFGTVSFSGSSSVEAPSSSSASIINPGQTATVEAPYPGFLQTGETHVVIGQQDIVLQQNVPLPKPGDAAHLDKQKQETPPASHTFPTPGVKIPDANPAKFIPDVVRPMVDKPSRGIVIPPEAVESQTRPEHSSVQIGPDASMPLIDTPAPEQRDDWDILKAIVYGGLVESITSLSVVSAAASSGARTCKASSLLLILMAELRSIGDVDEREEQGGHYWLQLGRRSKYRLHVAMAMLSYLLFGLLPPLIYGLSFRGGDVREKKMVAVAAASLGCIALLAMGKAHVARRRSYVKSLLYYLSIGVSASGLSYVAGLLAHFALITHQTPPASSSWASY >ONIVA06G00210.2 pep chromosome:AWHD00000000:6:202769:211728:1 gene:ONIVA06G00210 transcript:ONIVA06G00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEKPWELKEDVEIMTEEEEEDDSSNLLQARGRNKKHALINGDEQEEEEEEEEEEVVEEHKSVFFDPTQGLWKCRHCDWTYLLSGPSRNVILNHQGYCQITTNLESLVQSESFYSSPSKAFYAQNEHVTDDGKAVSEHVTEVSGKNEVTRVEQFVAKKEKAHETSSSKGKELETQENANSQETNENSNNSSLENRSPSNGSHEVCNSGETVTVANGKAGLKVITIIDKNQNGLANSNGSLHIANVSMNKTSVHEIEAEKDEDVIKGKVNIEEYDLEKILDEQETHDLFCPNCNSCITRRVILRKRKRTVRQTSPDEPPKKTQIAEPSANTSNQTVPERQGQESPDIFRCLSCFAFFIPTGCGFNIFRIFGRTEVNQEAQVQEAAASGQMSGSDNCASWLFSCFEPGDGPKKTDEGPEKEPLLPDKQDSNNGSASSVEGSTASVHSHGISVQQQESKRPLPAESSSQLQPSNTKKEDFGTVSFSGSSSVEAPSSSSASIINPGQTATVEAPYPGFLQTGETHVVIGQQDIVLQQNVPLPKPGDAAHLDKQKQETPPASHTFPTPGVKIPDANPAKFIPDVVRPMVDKPSRGIVIPPEAVESQTRPEHSSVQIGPDASMPLIDTPAPEQRDDWDILKAIVYGGLVESITSLSVVSAAASSGARTCKASSLLLILMAELRSIGDVDEREEQGGHYWLQLGRRSKYRLHVAMAMLSYLLFGLLPPLIYGLSFRGGDVREKKMVAVAAASLGCIALLAMGKAHVARRRSYVKSLLYYLSIGVSASGLSYVAGLLAHFALITHQTPPASSSWASY >ONIVA06G00200.1 pep chromosome:AWHD00000000:6:194263:196956:-1 gene:ONIVA06G00200 transcript:ONIVA06G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutaminyl cyclase [Source:Projected from Arabidopsis thaliana (AT4G25720) TAIR;Acc:AT4G25720] MPRRRALPPHRRATATAMPPANLSISAPSAAAAPPPPLYLRRRPLIAAAALLFLLVIVVLAAAHPYGYAPWLANAAPAALLRRPVSTTFYSFDLLREYPHDPYAFTQGLLYGGNDTFFESTGLYHRSSVRRVDLKTGKVLVQHEMDGRLFGEGLTLLNDKLFQVVWMKNQGFIYDRHNFSKRESFTHKMSDGWGLATDGKVLFGSDGTSRLYQLDPKSIQVMKTVTVKYQDNEVPYLNELEYINGEVWANVWQTDCIARVSHEDGLVVGWIFLHELRQHLWNSGNTEIDVLNGIAWDEENQRLFVTGKLWPKIYEIKLRPVDGPQDGSVEKLCPKASFYR >ONIVA06G00200.2 pep chromosome:AWHD00000000:6:194263:196956:-1 gene:ONIVA06G00200 transcript:ONIVA06G00200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutaminyl cyclase [Source:Projected from Arabidopsis thaliana (AT4G25720) TAIR;Acc:AT4G25720] MPRRRALPPHRRATATAMPPANLSISAPSAAAAPPPPLYLRRRPLIAAAALLFLLVIVVLAAAHPYGYAPWLANAAPAALLRRPVSTTFYSFDLLREYPHDPYAFTQGLLYGGNDTFFESTGLYHRSSVRRVDLKTGKVLVQHEMDGRLFGEGLTLLNDKLFQVVWMKNQGFIYDRHNFSKRESFTHKMSDGWGLATDGKVLFGSDGTSRLYQLDPKSIQVMKTVTVKYQDNEVPYLNELEYINGEVWANVWQTDCIARVSHEDGLVVGWIFLHELRQHLWNSGNTEIDVLNGIAWDEENQRLFGSSDHGAVANPIQWLAVTGKLWPKIYEIKLRPVDGPQDGSVEKLCPKASFYR >ONIVA06G00190.1 pep chromosome:AWHD00000000:6:182946:196462:1 gene:ONIVA06G00190 transcript:ONIVA06G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-tRNA ligases [Source:Projected from Arabidopsis thaliana (AT3G48110) TAIR;Acc:AT3G48110] MAGLAPPPLLLLPLSSSLSPAAASHHPTSPPSRTRRRRRLLSAVASADGDAPSPVSVSASAATKGPSSSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQGVDHFKNIQYTKGITYGELFLENEKEMSAYYLEHANVDNIQKHFDDFEEEARSLLSLWLPIPAGFVGVTERARYFGRMRSLARQCAQLWVKTRENLGYPLGTYQESNLIYPHVSEKPSRKGVVGQPRAFVLEIGTEELPPHDVIEATKQLEKSLIQILEKRRLSHGKVRSYGTPRRLAVVVENLNMKQMEEEIELRGPPVAKAFDQEGRPTKAAEGFCRKNNVPIDSLYRRTDGKTEYIYARVKESARFADEVLTEDLPTIISGISFPKSMRWNSNIVFSRPIRWIFALHGDLIVPFCFAGISSGNQSCGLRNSSLANFKVEAAELYLHTLEKAGILIDMQERKQRILHDSSILAEGVGGDIIAPDSLVQEVINLVEAPMPIIGQYDVSFLALPKDVLITVMQKHQKYFPVTSKTTGNLLPCFITVANGAIKEEVVRKGNEAVLRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHERLGTMLDKMKRVENTVAEVALLLGINEKMIPAIKDAAALAMSDLATNIVTEFTSLAGIMARHYALRDGLSEQIAEALFEITLPRFSGDVFPKTDPGIVLAVTDRLDSLVGLFGAGCQPSSTNDPFGLRRISYGLVQILVENKKNFDLTKALTLVAEEQPITIDSGVIDEVVQFVTRRLEQLLVDEGINCEIVRSVLIERANCPYLASQTAIEMEAFSRTEDFPKIVEAYSRPTRIIRGKEIGSALEVDASVFEKDEERALWSAYLEVADKIHPGVDIKAFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLTKVASLPKGIADLSVLPGF >ONIVA06G00190.2 pep chromosome:AWHD00000000:6:182946:196462:1 gene:ONIVA06G00190 transcript:ONIVA06G00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-tRNA ligases [Source:Projected from Arabidopsis thaliana (AT3G48110) TAIR;Acc:AT3G48110] MAGLAPPPLLLLPLSSSLSPAAASHHPTSPPSRTRRRRRLLSAVASADGDAPSPVSVSASAATKGPSSSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQGVDHFKNIQYTKGITYGELFLENEKEMSAYYLEHANVDNIQKHFDDFEEEARSLLSLWLPIPAGFVGVTERARYFGRMRSLARQCAQLWVKTRENLGYPLGTYQESNLIYPHVSEKPSRKGVVGQPRAFVLEIGTEELPPHDVIEATKQLEKSLIQILEKRRLSHGKVRSYGTPRRLAVVVENLNMKQMEEEIELRGPPVAKAFDQEGRPTKAAEGFCRKNNVPIDSLYRRTDGKTEYIYARVKESARFADEVLTEDLPTIISGISFPKSMRWNSNIVFSRPIRWIFALHGDLIVPFCFAGISSGNQSCGLRNSSLANFKVEAAELYLHTLEKAGILIDMQERKQRILHDSSILAEGVGGDIIAPDSLVQEVINLVEAPMPIIGQYDVSFLALPKDVLITVMQKHQKYFPVTSKTTGNLLPCFITVANGAIKEEVVRKGNEAVLRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHERLGTMLDKMKRVENTVAEVALLLGINEKMIPAIKDAAALAMSDLATNIVTEFTSLAGIMARHYALRDGLSEQIAEALFEITLPRFSGDVFPKTDPGIVLAVTDRLDSLVGLFGAGCQPSSTNDPFGLRRISYGLVQILVENKKNFDLTKALTLVAEEQPITIDSGVIDEVVQFVTRRLEQLLVDEGINCEIVRSVLIERANCPYLASQTAIEMEAFSRTEDFPKIVEAYSRPTRIIRGKEIGSALEVDASVFEKDEERALWSAYLEVADKIHPGVDIKAFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLTKVASLPKGIADLSVLPGF >ONIVA06G00180.1 pep chromosome:AWHD00000000:6:176865:182460:1 gene:ONIVA06G00180 transcript:ONIVA06G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJK4] MEGGVGGEVDHLAGERATAQFDVEHMKVAWAGSRHAVDVADRMARLVASDPVFRKDNRTMLPRKELFKDTLRKAAHAWKRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPLAYRFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTKEGKYVHSDVPKQLLYGTMVFVRQTIVADASKALSRATCIAVRYSAIRKQFGPQTGGPETQVLNYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTHKLEAKDFSTLQEAHACTAGLKAVTTSATADGIEECRKLCGGHGYLNSSGLPELFAIYVPACTYEGDNVVLLLQVARFLMKTVSQLASGKQPVGTTAYMGNIQYLMQCKCGVNTAEDWLNPAAIREVFEARALRMAVNCAQNINKAPSQEEGFYELSPDLLEVAVAHIQLIIVTKFIEKLEQDIPGEGVKEQLRILCNVYALYLVHKHLGDFLSTGSITARQGALANEQLGKLYAQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNDTDVPDGYQEHLRPLLKQQLKLSRL >ONIVA06G00170.1 pep chromosome:AWHD00000000:6:169062:170215:-1 gene:ONIVA06G00170 transcript:ONIVA06G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGRPYGRRGGAAPSYVPRPRAPNPSPSSPAPAPARPPQQLRKPVFTTVERLRPQSHGHTLTARVLSARIILDNPPPRARLAECLVGDNTGTVLFTARNQQVDLVKPGTTVIFRNAKIDMFKGTMRLAVDKWGRIEVTDPASFQVKEDNNVSLVEYELVDVVDED >ONIVA06G00160.1 pep chromosome:AWHD00000000:6:166665:168159:1 gene:ONIVA06G00160 transcript:ONIVA06G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGHVGGAPAQLGGRGDGVGGGAVEIPNEAGVGGATGVEGLVGHRRLDPVAGARGGEGRAGELLGVESQRADQRAVLPARERPRHRLRRQAVAQSRQIYVTLVTRSTIMHAVQAGLTDTEAAADQGKE >ONIVA06G00150.1 pep chromosome:AWHD00000000:6:164606:167116:-1 gene:ONIVA06G00150 transcript:ONIVA06G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) TAIR;Acc:AT5G54080] MAMATATPAAQNEQQEKGGLEYVYLSGLGNSLSSEAVAGTLPRGQNSPLVCPLGLYAEQLSGTPFTAPRARNLRTWLYRIKPSVTHEPFHPRRPAHPRLIGDFDRTTTDTVATPTQLRWRPADVPPHHPPLDFIDGLYTVCGAGSSFLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGKLLITTECGKLLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASARDFLSPTAWFEQVHRPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSKFCPFNTVLFDHADPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRPDANEPSRLSGTLAFMFESALIPRVCQWALDSPSRDLDYYQCWIGLKSHFSHDNGGATSEEPCRK >ONIVA06G00140.1 pep chromosome:AWHD00000000:6:162152:163694:1 gene:ONIVA06G00140 transcript:ONIVA06G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAVVRVVSRRTVKPAAPRPRESIPLTSWDLSMLSTDYIQKGLVFPPPPPCLLVVDHLAAALSTTLNTYYPVAGRFVTHNHPEPEGGCSVSIDCDGQGVQIVHAVADAVTVADLLPPDAHVPPLLHSFFPLGDAVNYDGHHLPLFVVQVTHLVDGVFLAFVYNHALSDGTAFWDFLNAWAEIARASCLAAPTSPPPLFNRWSPSPGDGAPVVLPYADLSELIERLQPPLLCERMLHFSSESLVALKERARQELLAAGDTAGAAALTRFQALSSLLWRCITRARRLPAEQQTMCRAAINNRGRLQPALPREYFGNSIYAISTEKVQASELVERGHGWAAAVVGRAVAAHTDADIRARVAAWEVKPIIYTARYFDPSGVMMGSSPRFDMYGCDFGWGKALATRSGKANKMDGKASLYPGREGGGSIDAEVVLTPHHMAALDDDHELWAAVTPDHTLPLLLNNNKS >ONIVA06G00130.1 pep chromosome:AWHD00000000:6:158224:160333:1 gene:ONIVA06G00130 transcript:ONIVA06G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVGCECQLCGGRRGVVFCGAHGGRLCLQCDRALHQAHGGAGDHPRAPLCDSCNAAAAELRLNDGATLCGPCAYPYAYAYPYTYTYVYTGCPTPLEMMRLLHAAPPPPPATCSLQQRGGEGEELLPTLLSATATPNTATAAPMAMPPPPLQHHTTTSLIMMVRTIHKREERNRAKLRYNDKKKTRKFSKQIKYACRKAGADARKRVKGRFAKASSSSSSSSSIDHRL >ONIVA06G00130.2 pep chromosome:AWHD00000000:6:158998:160333:1 gene:ONIVA06G00130 transcript:ONIVA06G00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPPPLQHHTTTSLIMMVRTIHKREERNRAKLRFSKQIKYACRKAGADARKRVKGRFAKASSSSSSSSSIDHRL >ONIVA06G00130.3 pep chromosome:AWHD00000000:6:158224:158978:1 gene:ONIVA06G00130 transcript:ONIVA06G00130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVGCECQLCGGRRGVVFCGAHGGRLCLQCDRALHQAHGGAGDHPRAPLCDSCNAAAAELRLNDGATLCGPCAYPYAYAYPYTYTYVYTGCPTPLEMMRLLHAAPPPPFTTTRRRRRRATSNSLICDCDS >ONIVA06G00120.1 pep chromosome:AWHD00000000:6:143872:157447:1 gene:ONIVA06G00120 transcript:ONIVA06G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKASSPSPTSTARETRSSSARGHASPTPTPTLRRSTRETTSRFSSSSSSSANKHKGSPAKQSANNNTAKRKMNNAAADTSTRPIKKNKRLNAKSYLALFSTPQQTAKSPPAPAPPLLVQVDDDNASTVPMQDSGTLLEHEEADTQEQGYQSGLHKVPEVVLEETDVSKNKADEHASTSEPLIPVDLCSNDNASESSHGMEVKEQTVGCSNPCFVTDLPNRPCSIVHHEEVAKKTIEAGDPREIKGASTSNQALVTHSDGTDYNEYLCAVCRSRETPGILKSCDGKDCKNRYHDSCLDPPLQYVSLVSEGIESLWDVKEGAQNNKQYFVKYKNLAHVHNQWLPESDIIRTPGGQDLINKFCKRIQKEKTIRWKQEWAEPHRLLKKRPLMSEKEAEEFFNSLGDKFAYCNVEWLVKWKDLGYEYATWELETSSFLCTPEAKDLKRNYESRHEDARRGFDPAKINKGKQCLFQKLQKLPDGFPPGLDKDHLSSLNRLREFWHNSDGAICLDDQERVIKTILFSMSILPDVCQPLLIVSTSASLSLWEAKFNRLAPSINVVVYNGEKDVRKQIQDLEFYENGLVTFQVLLSHPDAILEDIQTMESIVWEAVMVDDCQSLRVSKCLEQLKHLSTNFRMVLLSFPLKESIPEYINLLSFLNPEGSVISSSSNGDFTDTGDILATLKEKFARHVAFERKADSSKFLEYWVPARLSRVQLEMYCYTLLSNSPALRSHSRTDSVGALRDILVSLRKCCDHPYLVDQSLQSSLTKGHSLTDILDIGVCASGKLLLLDKMLQEIRNQGRRVLISGGGAGNPMGDILDDFVRQRFGFESYERVERGLLVPKKQTALNMFNDKTKGRFIFLIDSRACVPSIKLSSVDAIIIYCSDWNPTNDLRVLQRISIESQSECVPIFRLYSSCTVEEKTLILAKHDHILDSNVQNVMPIVSHSLLSWGASFLFNRLEEFQKHDYSSKDSEDDGLFMNNVFLEFAAKLSTNVEASTKMENAVISRAQQSGSFYSRDIAVISEREGISAVDGDLPKFWTFWSNLLGGRSPHWQYISEPVQRNRRKIQNMEDQMRIPAEETDEAIMKRRKIGEIMDSSPKILPVKDNDAVLPENSTASSSHETSVDDTWQELGAESLQGTQKGLHTQLKPELSKLYELLELPNHQVSQEPKGILHAFNIALCWRAASLLKHKINRRESLALSVRNLNYECDEVLAEYVYEKLRILKKKFSRRASETSKQSQSTPVNNTSSYKQQTSPKLRSDGSICHQVTAIDGDLENVSHEEAPHDILTEEMILEQKELISVLETHREEHVLRDELLERITEKRINLIHMVFSLREKNIQDKQGNETTLLDMHKQKEVAKLRETCNLVVEHLRKGHIDSEDRDATVKLIIEWFTLLLYAFLNHMRCQHNKLKMQQSTSWNKELQLKEIFLQQAKSGHLDRSFDQQIPLPDSCFTLEEFSHFKEIVGNFPVGAATSANCQHSLASTMEIALVRSVSPSEVGNSEAAINGAVEVPVHTEKRPTSEVGLSQNRMDNDSDGIDSQGGPPLAVQHSLSSNPAIDNSNNLESSVASHRSEHLGDIAVEVNADNCGTTLADSPHLEAPTVAALPSQSALPMAMEVDIQTDHVVQSAQQNIVTGRVPQEEEREGSTTVTSAQPLQPEMRPSSPVSGILHERTNPDQRRESRQPEAAPSSVDPTQLFPVASLMFNHPPLGNEPLKNELHRLQVHMDSLNKIYELKKSQLQTECSQEIEKIKQKYDLLIKEQDSAHHQHRKTLDDLYGKVLLNQSLADDFRVKFVSTSAAQARAVSPPLCQTTRQTAGVSQQVPTRPSVAGSIALPVGSSSASRPSLQRHCAQPSHVDRSSSLGGSHSSSPSSQVVRPPPAILGSVVRATSTPFSHTPAARGNYGVGSEVARAPAPHLQFRLPRAHPTAPVNQQQRQLPAREHMFKDTVDSCEHPSKRKAVEFTISFPGEQFIIIVKLTSRSSTLKSSLGSKFKFKSSFECRHSGIAAKSTPTRVDSSTKGTAKGGTVRFEYSACCRLGFAAKSMSDSVSLDASLTSNLGLKDGETSTPRTRMDSHRTVDVVCLSDDEPEEH >ONIVA06G00120.2 pep chromosome:AWHD00000000:6:143872:158120:1 gene:ONIVA06G00120 transcript:ONIVA06G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKASSPSPTSTARETRSSSARGHASPTPTPTLRRSTRETTSRFSSSSSSSANKHKGSPAKQSANNNTAKRKMNNAAADTSTRPIKKNKRLNAKSYLALFSTPQQTAKSPPAPAPPLLVQVDDDNASTVPMQDSGTLLEHEEADTQEQGYQSGLHKVPEVVLEETDVSKNKADEHASTSEPLIPVDLCSNDNASESSHGMEVKEQTVGCSNPCFVTDLPNRPCSIVHHEEAKKTIEAGDPREIKGASTSNQALVTHSDGTDYNEYLCAVCRSRETPGILKSCDGKDCKNRYHDSCLDPPLQYVSLGIWLCTLCTKKRLQFGLFAVSEGIESLWDVKEGAQNNKQYFVKYKNLAHVHNQWLPESDIIRTPGGQDLINKFCKRIQKEKTIRWKQEWAEPHRLLKKRPLMSEKEAEEFFNSLGDKFAYCNVEWLVKWKDLGYEYATWELETSSFLCTPEAKDLKRNYESRHEDARRGFDPAKINKGKQCLFQKLQKLPDGFPPGLDKDHLSSLNRLREFWHNSDGAICLDDQERVIKTILFSMSILPDVCQPLLIVSTSASLSLWEAKFNRLAPSINVVVYNGEKDVRKQIQDLEFYENGLVTFQVLLSHPDAILEDIQTMESIVWEAVMVDDCQSLRVSKCLEQLKHLSTNFRMVLLSFPLKESIPEYINLLSFLNPEGSVISSSSNGDFTDTGDILATLKEKFARHVAFERKADSSKFLEYWVPARLSRVQLEMYCYTLLSNSPALRSHSRTDSVGALRDILVSLRKCCDHPYLVDQSLQSSLTKGHSLTDILDIGVCASGKLLLLDKMLQEIRNQGRRVLIVSQSGGGAGNPMGDILDDFVRQRFGFESYERVERGLLVPKKQTALNMFNDKTKGRFIFLIDSRACVPSIKLSSVDAIIIYCSDWNPTNDLRVLQRISIESQSECVPIFRLYSSCTVEEKTLILAKHDHILDSNVQNVMPIVSHSLLSWGASFLFNRLEEFQKHDYSSKDSEDDGLFMNNVFLEFAAKLSTNVEASTKMENAVISRAQQSGSFYSRDIAVISEREGISAVDGDLPKFWTFWSNLLGGRSPHWQYISEPVQRNRRKIQNMEDQMRIPAEETDEAIMKRRKIGEIMDSSPKILPVKDNDAVLPENSTASSSHETSVDDTWQELGAESLQGTQKGLHTQLKPELSKLYELLELPETVKCLCEELLDYILKNHQVSQEPKGILHAFNIALCWRAASLLKHKINRRESLALSVRNLNYECDEVLAEYVYEKLRILKKKFSRRASETSKQSQSTPVNNTSSYKQQTSPKLRSDGSICHQVTAIDGDLENVSHEEAPHDILTEEMILEQKELISVLETHREEHVLRDELLERITEKRINLIHMVFSLREKNIQDKQGNETTLLDMHKQKEVAKLRETCNLVVEHLRKGHIDSEDRDATVKLIIEWFTLLLYAFLNHMRCQHNKLKMQQSTSWNKELQLKEIFLQQAKSGHLDRSFDQQIPLPDSCFTLEEFSHFKEIVGNFPVGAATSANCQHSLASTMEIALVRSVSPSEVGNSEAAINGAVEVPVHTEKRPTSEVGLSQNRMDNDSDGIDSQGGPPLAVQHSLSSNPAIDNSNNLESSVASHRSEHLGDIAVEVNADNCGTTLADSPHLEAPTVAALPSQSALPMAMEVDIQTDHVVQSAQQNIVTGRVPQEEEREGSTTVTSAQPLQPEMRPSSPVSGILHERTNPDQRRESRQPEAAPSSVDPTQLFPVASLMFNHPPLGNEPLKNELHRLQVHMDSLNKIYELKKSQLQTECSQEIEKIKQKYDLLIKEQDSAHHQHRKTLDDLYGKVLLNQSLADDFRVKFVSTSAAQARAVSPPLCQTTRQTAGVSQQVPTRPSVAGSIALPVGSSSASRPSLQRHCAQPSHVDRSSSLGGSHSSSPSSQVVRPPPAILGSVVRATSTPFSHTPAARGNYGVGSEVARAPAPHLQFRLPRAHPTAPVNQQQRQLPVRLESTCSRTQLTPVSTPVNARQLSSQSVSPVSNSSSSSSSHPGPALSNPALAANSSSNPVLSAGTVALPPSPHPPESIAAPRGQQKGAPSGLNTVPVVGSGLPPSRSMSDSVSLDASLTSNLGLKDGETSTPRTRMDSHRTVDVVCLSDDEPEEH >ONIVA06G00110.1 pep chromosome:AWHD00000000:6:134812:140981:1 gene:ONIVA06G00110 transcript:ONIVA06G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) TAIR;Acc:AT3G54850] MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASSSSSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTEDHANDALTTRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKVTIGAAGAIPPLISLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMRQANEDSLKGNGH >ONIVA06G00110.2 pep chromosome:AWHD00000000:6:134812:140981:1 gene:ONIVA06G00110 transcript:ONIVA06G00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) TAIR;Acc:AT3G54850] MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASSSSSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTEDHANDALTTRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKVTIGAAGAIPPLISLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMRQANEA >ONIVA06G00110.3 pep chromosome:AWHD00000000:6:134812:140981:1 gene:ONIVA06G00110 transcript:ONIVA06G00110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) TAIR;Acc:AT3G54850] MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASSSSSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTEDHANDALTTRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKVTIGAAGAIPPLISLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMRQANEA >ONIVA06G00100.1 pep chromosome:AWHD00000000:6:131394:134029:1 gene:ONIVA06G00100 transcript:ONIVA06G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLLLRPPPCVATCTPPSPSPSSQWRRRRLTLAQPYCAVGLSFVSGRHLRRRRQSKRTSRGTGVYASLFGVGAPEALVIGVVALLVFGLKGLAECLDPWVVAGSDDKPEAAPYTSEELMKVIEEQLAASAAAAWNTQEPPPSQQKGCDIFFVPVLQLEAAATSESNDGAISRGSDGAGAAMSEPNRNISEKTETER >ONIVA06G00100.2 pep chromosome:AWHD00000000:6:131394:134029:1 gene:ONIVA06G00100 transcript:ONIVA06G00100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLLLRPPPCVATCTPPSPSPSSQWRRRRLTLAQPYCAVGLSFVSGRHLRRRRQSKRTSRGTGVYASLFGVGAPEALVIGVVALLVFGLKGLAECLDPWVVAGSDDKPEAAPYTSEELMKVIEEQLAASAAAAWNTQEPPPSQQKEAAATSESNDGAISRGSDGAGAAMSEPNRNISEKTETER >ONIVA06G00100.3 pep chromosome:AWHD00000000:6:131394:134029:1 gene:ONIVA06G00100 transcript:ONIVA06G00100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLLLRPPPCVATCTPPSPSPSSQWRRRRLTLAQPYCAVGLSFVSGRHLRRRRQSKRTSRGTGVYASLFGVGAPEALVIGVVALLVFGLKGLAEPEAAPYTSEELMKVIEEQLAASAAAAWNTQEPPPSQQKEAAATSESNDGAISRGSDGAGAAMSEPNRNISEKTETER >ONIVA06G00100.4 pep chromosome:AWHD00000000:6:126422:134029:1 gene:ONIVA06G00100 transcript:ONIVA06G00100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEEQLAASAAAAWNTQEPPPSQQKEAAATSESNDGAISRGSDGAGAAMSEPNRNISEKTETER >ONIVA06G00090.1 pep chromosome:AWHD00000000:6:111206:122478:1 gene:ONIVA06G00090 transcript:ONIVA06G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVVGGGGAAVLVALLVTVVLAVMRSAGSRSSKRGRLPPSPMALPIIGHLHLIRPPPHRAFDRILARHGPLVYLRLGPSTHCVVIGSADVARDFLKFEASIPERPPTAVTRQLAYGKAGFAFAPYGAYWRFVKRLCMSELLGPRTVELLRPVRAAELAGVLRAAQSAAERGEGVDMSHELVRMANNSIMRMVASALPGEMAEAARDCAKQVAELVGAFNAEDFVAVCRGWDLQGIGRRTNEVHARFDALLETIIAAKEEARRRSLGLGRRESSSKDLLDMLMDAAEDDTAEVKLTRDNIKAFVLDIFTAGSDTTATTVEWMLAELVNHPECMAKLRGELDAVVGRSRLVGEQDVARLPYLQAVLKETLRLRPPAVFAQRVTVEPVQVRGYTIPTDTQVFFNIFSIGRDATYWDQPLHFRPDRFLPGGAGATVDPKGQHPQLMPFGSGRRACPGMGLAMQAVPAFLAALVQCFDWAPPPSQPLPLDMEEAAGLVSARKHPLLLLPTPRIQPLPSFYS >ONIVA06G00090.2 pep chromosome:AWHD00000000:6:116069:122478:1 gene:ONIVA06G00090 transcript:ONIVA06G00090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALILDVARFKYPPHWVPLPLLWEAMNTTDDATGLLRGFMLISRHTAAPSLLYTVSCRDESWKSMAKYCMEDVPDLLKDESVDNVPALLSRLVKSLPANAGNLIKWVIEVRRQEEGGSGLSKEEEERLILKEMILQQVRDTELFRLVRELQFTKQPCCSCSYSSDDDSFTWIAASVCCQGAALLTGNLSSKDGFCCRETCFKCVQVDGDGPKTVVTGTAVSGVNEQSVDMLLPISTLETSVCNSNSSNEVVKYPSRTDILTVLLLALHPSTWVGIKDERLKAEFQSLISTDILHDDLKREILHLRRQLHYVRSCKEEEYGDPVPQSH >ONIVA06G00080.1 pep chromosome:AWHD00000000:6:101187:104228:-1 gene:ONIVA06G00080 transcript:ONIVA06G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGKVEMTPAVAAAAVLPVGFRFRPTDEELVRHYLKGKIAGRSHPDLLLIPDVDLSTCEPWDLPAMSVIKSDDPEWFFFAPRDRKYPGGHRSNRSTAAGYWKATGKDRLIRSRPAGPLIGIKKTLVFHRGRAPRGLRTAWIMHEYRTTEPHFQSGKNGSFVLYRLFNKHEQDDTHAPASNLDQQLSTSSQGNPQNGTPAVQPALASIMKDHQTLPSSGFSQLTEIQDASTSVHDKEQTVAHDDAFLDVLSQLPDLEPEQRYNGFPNITSPIRPYSDHPFVGNLDNTDATCCSSSINAPQTETSDANLEARAQSSSMVYSGVAEGSPLCNQDQLHSAFNPHMESQKSGAFCWAGLWTPYPQHWFDTIVEPGRSGMTFSDALKEQGQEQAPSMKHLTAQDLVDPQQGTAARRIRLVCSVERASVSQPVSSHLQSEYEAGSCCNTRNSSNNKKESVRSEDEAGSCCNTGSSSNNHSEENDDAASQIMDGEPMHIQCKEDTPIQVDHSVEVMDKLQGFSFHEEMLVHANQPRGTNLKQRLLRVESRNSNENNVPSLETREQQHAPHIQKWTSSVVRLGWGWQWTALFVMAGSLLLLVGVWKSLNHTT >ONIVA06G00080.2 pep chromosome:AWHD00000000:6:101187:104228:-1 gene:ONIVA06G00080 transcript:ONIVA06G00080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGKVEMTPAVAAAAVLPVGFRFRPTDEELVRHYLKGKIAGRSHPDLLLIPDVDLSTCEPWDLPAMSVIKSDDPEWFFFAPRDRKYPGGHRSNRSTAAGYWKATGKDRLIRSRPAGPLIGIKKTLVFHRGRAPRGLRTAWIMHEYRTTEPHFQSGKNGSFVLYRLFNKHEQDDTHAPASNLDQQLSTSSQGNPQNGTPAVQPALASIMKDHQTLPSSGFSQLTEIQDASTSVHDKEQTVAHDDAFLDVLSQLPDLEPEQRYNGFPNITSPIRPYSDHPFVGNLGEQDLSAHFGSTLSEQDLQSLLFSPNYTKMDKHPTGNVESNPTASSNNPNNNTLLMDSWRKNDSYQMLLIQRADNTDATCCSSSINAPQTETSDANLEARAQSSSMVYSGVAEGSPLCNQDQLHSAFNPHMESQKSGAFCWAGLWTPYPQHWFDTIVEPGRSGMTFSDALKEQGQEQAPSMKHLTAQDLVDPQQGTAARRIRLVCSVERASVSQPVSSHLQSEYEAGSCCNTRNSSNNKKESVRSEDEAGSCCNTGSSSNNHSEENDDAASQIMDGEPMHIQCKEDTPIQVDHSVEVMDKLQGFSFHEEMLVHANQPRGTNLKQRLLRVESRNSNENNVPSLETREQQHAPHIQKWTSSVVRLGWGWQWTALFVMAGSLLLLVGVWKSLNHTT >ONIVA06G00080.3 pep chromosome:AWHD00000000:6:101187:104228:-1 gene:ONIVA06G00080 transcript:ONIVA06G00080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGKVEMTPAVAAAAVLPVGFRFRPTDEELVRHYLKGKIAGRSHPDLLLIPDVDLSTCEPWDLPAMSVIKSDDPEWFFFAPRDRKYPGGHRSNRSTAAGYWKATGKDRLIRSRPAGPLIGIKKTLVFHRGRAPRGLRTAWIMHEYRTTEPHFQSGKNGSFVLYRLFNKHEQDDTHAPASNLDQQLSTSSQGNPQNGTPAVQPALASIMKDHQTLPSSGFSQLTEIQDASTSVHDKEQTVAHDDAFLDVLSQLPDLEPEQRYNGFPNITSPIRPYSDHPFVGNLGEQDLSAHFGSTLSEQDLQSLLFSPNYTKMDKHPTGNVESNPTASSNNPNNNTLLMDSWRKNDSYQMLLIQRADNTDATCCSSSINAPQTETSDANLEARAQSSSMVYSGVAEGSPLCNQDQLHSAFNPHMESQKSGAFCWAGLWTPYPQHWFDTIVEPGRSGMTFSDALKEQGQEQAPSMKHLTAQDLVDPQQGTAARRIRLVCSVERASVSQPVSSHLQSEYEAGSCCNTRNSSNNKKESVRSEDEAGSCCNTGSSSNNHSEENDDAASQIMVLLQMENQCIFSAKRIPLFKWIIQWKLWTSSKASPFMLISFNFPRAEEMLVHANQPRGTNLKQRLLRVESRNSNENNVPSLETREQQHAPHIQKWTSSVVRLGWGWQWTALFVMAGSLLLLVGVWKSLNHTT >ONIVA06G00070.1 pep chromosome:AWHD00000000:6:100085:102633:1 gene:ONIVA06G00070 transcript:ONIVA06G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPRFSMADDSGGEPSATTATTARDLAPMTSRLVCLDGELPVSKVERLMPSQQSIQGGSFLQQFLVVDQRDLELSSASLKVPVPDLVLSRHLKMSCHSCPVLR >ONIVA06G00070.2 pep chromosome:AWHD00000000:6:100085:103607:1 gene:ONIVA06G00070 transcript:ONIVA06G00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPRFSMADDSGGEPSATTATTARDLAPMTSRLVCLDGELPVSKVHRCLLSSDSSNNYHIATVDITADLARLRSHNSCLATGPPVCPRNVPCLIVCTDNPLLVVAALSWLDDDVCPSYILLPLSRRSSCSSPHMPPPCS >ONIVA06G00070.3 pep chromosome:AWHD00000000:6:100188:102633:1 gene:ONIVA06G00070 transcript:ONIVA06G00070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLSCYVSSATTATTARDLAPMTSRLVCLDGELPVSKVERLMPSQQSIQGGSFLQQFLVVDQRDLELSSASLKVPVPDLVLSRHLKMSCHSCPVLR >ONIVA06G00060.1 pep chromosome:AWHD00000000:6:97337:97801:-1 gene:ONIVA06G00060 transcript:ONIVA06G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJH9] MAALSSAAVTIPSMAPSAPGRRRMRSSLVLRASLGKAAGAAAVAVAASAMLAGGAMAQEVLLGANGGVLVFEPNDFTVKSGETITFKNNAGFPHNVVFDEDAVPSGVDVSKISQEEYLNAPGETFSVTLTVPGTYGFYCEPHAGAGMVGKVTVN >ONIVA06G00050.1 pep chromosome:AWHD00000000:6:90198:91220:1 gene:ONIVA06G00050 transcript:ONIVA06G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAHAQRFYCHQCDRTVPIPPPTSPDADVLCPFCGGGFVEELGEDINPNPNPNPNPSPFLPQHPFFPFASPSFDLRNPSDLAAFFGPPPPPPSPSPAARHFDPSNFLHDHFTGLLSGGATIQIVLEGSSASLPLGGAVAGPGGISLGDYFVGSGLEQLIQQLAENDPNRYGTPPAAKSAVAALPDVAVSADMMAADGGAQCAVCMDDFHLGAAAKQLPCKHVFHKDCILPWLDLHSSCPVCRFELPTDDPHHAHPTLGSHRPAAPASASASPSPAPPPRLAERRFRISLPWPLRAAFGGQAESSNPTNQDPVGGSTDASGSGNNNATGGHRGYDDLD >ONIVA06G00040.1 pep chromosome:AWHD00000000:6:88596:88886:1 gene:ONIVA06G00040 transcript:ONIVA06G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQETTSRPAGVESIEERPRGGELDAREVELDEPIADGEAVVEAELGRVCVHGRRGLAVVGDQVLDKGPEAKRRQWRSGELLAGALLRAAVAVLD >ONIVA06G00030.1 pep chromosome:AWHD00000000:6:78855:86228:1 gene:ONIVA06G00030 transcript:ONIVA06G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSPAPRAMKRELAFALQSLSAMSTSPGRTRSGRPLSSSSSSSAPAPAPKRRRRPDKPPDHDPAPAPAPDKDLLVSPHTPPMDAEAPKPIHLLNDKDKDKEGDDGSHQDTPTLQSPPRGSDAHPIPTELNVSAAVARPPQPTHAQPTELNAVAAAASALPMELDAAAAAAVPAESTELNAAATAVPAQPTEVNAAAEIVKPIGLNAVAAETAKPDMAMELQEPPTVTAANGRDVSHESFEQNLQHQVLDNALTDPSLLAESTATPASTAGLKPVRRFTRSLLKNKPEEEPTASKSQDPAVSMISEDNNEASVDLALAPEKPQRRFTRSLLKVKVEARSTNNLLQSKEAIDSTSDSSRSVKKMEMKMSKKVACLTKHPSNIRELLNTGLLEGMPVKYIIPSSKKAVLKGVIAGCNIRCFCLSCNGSKDVCSYFFEQHAGSNKKHPADHIYLGNGNSLRDVLRACESSPLESLEKTIRSSIDPIAKRSYNIFLHHKLKSLEAFCVNVALSQNNIKIPLPHLMLPDTKLQGFFVEEDTIKYKRDTGLHKLVFKVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNRVVSPSAFEAHAGEGTRRKPYDNIFTSNGVSLHELSMKISKDMELSERETDDLCRECGQGGDIFPCKMCPRSFHPACVGLSGVPSEWYCDNCSNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVPISDDLGGCALCKQKDFNNSVFDERTVILCDQCEKEYHVGCLQSQWQVDLKELPEGEWFCCNSCSEIRSSLDKIISDGALILAESDIDIIRKKHEMKGLSMDTNTDLRWRLLAGRKASEDGDLLLSAAVPIIHQSFDPIIEVQSGRDLIPEMVNGRRPKDGMPGQDYSGMYCAVLTLGTSVVSAALLRVMGGEVAELPLVATSKDLQGLGYFQALFSCIERMLISLKIKHFMLPAAQEAEGIWMNKFGFTKIPQEQSDAYLNGAHLTIFHGTSNLYKAIPSS >ONIVA06G00030.2 pep chromosome:AWHD00000000:6:78855:86228:1 gene:ONIVA06G00030 transcript:ONIVA06G00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSPAPRAMKRELAFALQSLSAMSTSPGRTRSGRPLSSSSSSSAPAPAPKRRRRPDKPPDHDPAPAPAPDKDLLVSPHTPPMDAEAPKPIHLLNDKDKDKEGDDGSHQDTPTLQSPPRGSDAHPIPTELNVSAAVARPPQPTHAQPTELNAVAAAASALPMELDAAAAAAVPAESTELNAAATAVPAQPTEVNAAAEIVKPIGLNAVAAETAKPDMAMELQEPPTVTAANGRDVSHESFEQNLQHQVLDNALTDPSLLAESTATPASTAGLKPVRRFTRSLLKNKPEEEPTASKSQDPAVSMISEDNNEASVDLALAPEKPQRRFTRSLLKVKVEARSTNNLLQSKEAIDSTSDSSRSVKKMEMKMSKKVACLTKHPSNIRELLNTGLLEGMPVKYIIPSSKKAVLKGVIAGCNIRCFCLSCNGSKDVCSYFFEQHAGSNKKHPADHIYLGNGNSLRDVLRACESSPLESLEKTIRSSIDPIAKRSYNIFLHHKLKSLEAFCVNVALSQNNIKIPLPHLMLPDTKLQGFFVEEDTIKYKRDTGLHKLVFKVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNRVVSPSAFEAHAGEGTRRKPECGQGGDIFPCKMCPRSFHPACVGLSGVPSEWYCDNCSNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVPISDDLGGCALCKQKDFNNSVFDERTVILCDQCEKEYHVGCLQSQWQVDLKELPEGEWFCCNSCSEIRSSLDKIISDGALILAESDIDIIRKKHEMKGLSMDTNTDLRWRLLAGRKASEDGDLLLSAAVPIIHQSFDPIIEVQSGRDLIPEMVNGRRPKDGMPGQDYSGMYCAVLTLGTSVVSAALLRVMGGEVAELPLVATSKDLQGLGYFQALFSCIERMLISLKIKHFMLPAAQEAEGIWMNKFGFTKIPQEQSDAYLNGAHLTIFHGTSNLYKAIPSS >ONIVA06G00030.3 pep chromosome:AWHD00000000:6:86233:87568:1 gene:ONIVA06G00030 transcript:ONIVA06G00030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDPPRLGMGAYSGPVRPVGDGDGGAAGETMLLWALGQPAAQRHNAFVRHGAHSLTLDACGRRLSLLQSPSSMSTPGVTGAVVWDSGVVLAKFLEHAVDSGLLTLRAARALELGAGCGLAGCVAALLGAHVLLTDLPDRLKLLRKNVDLNVGDDARGSARVAQLVWADDPHPDLLNPPLDYVLGSDVIYSEEAVDDLLLTLKHLSAPHTTIILAAELRNDAVLECFLEAAMADFQVGCIEQQQWHPDFRSTRVALFILLKKPPSQPDVLL >ONIVA06G00020.1 pep chromosome:AWHD00000000:6:16160:17153:-1 gene:ONIVA06G00020 transcript:ONIVA06G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCSGLWEAAADEAARRRCEAARDCPEQARERREGDPTLENTVPTPSSRKLKASASWANQPTPPRWLSSASAPPPSWAPPPSPRARQIRLLQGRVTRSEGRMGLDCGDSRRCDESDGWELHGADARGGANSARLPALAGDVNASVCEHAAPNRSQLANSQ >ONIVA06G00010.1 pep chromosome:AWHD00000000:6:3654:16126:1 gene:ONIVA06G00010 transcript:ONIVA06G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATTAEWRQSRAAAVAPIWPEYRCDGGGGIGFLSCREWKGDFSCLTAAGICEASTSDAVGSGSRHGNAGSPCPISNSCAIRTSQWGPKPARLLWVL >ONIVA06G00010.2 pep chromosome:AWHD00000000:6:7209:16088:1 gene:ONIVA06G00010 transcript:ONIVA06G00010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLALYPTPVPFAPPNGAQSQLVCSGCCNLLMYPAGAMSVCCTVRSTVTAVPAPDMHIIHSGIRNSTRVAMIVPAVRPLAKYTLELCVSTPPPVAAAAMECVLDEYATKHRISIDRFLQLRIFVKKAEYECNRLPAMIDAHLFRDYHLAFWFGLFCAWGQPQDGDLHLWLPHQCRCHLGGASGLP >ONIVA06G00010.3 pep chromosome:AWHD00000000:6:7209:16088:1 gene:ONIVA06G00010 transcript:ONIVA06G00010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLALYPTPVPFAPPNGAQSQLVCSGCCNLLMYPAGAMSVCCTVRSTVTAVPAPDMHIIHSGIRNSTRVAMIVPAVRPLAKYTLELCVSTPPPVAAAAMECVLDEYATKHRISIDRFLQLRIFVKVHDRFNASRIFLYMYSCSMKMLSFGVPCKEKAEYECNRLPAMIDAHLFRDYHLAFWFGLFCAWGQPQDGDLHLWLPHQCRCHLGGASGLP >ONIVA05G30310.1 pep chromosome:AWHD00000000:5:27999928:28001083:-1 gene:ONIVA05G30310 transcript:ONIVA05G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDEDLPLAGPQPNPAANDDEDPDGGHIWQMGHPQAGPGDWDDLVQQQNTANEQVEDAWGQDHPMGQIMEVTPDGIIDLAVANPRHDNVVVPFVPAIDKGKKVQESDQDAQVQRSLARLEKIAKNEYPKVPYFYPMKEINEKIDHLCKERGSMHQFLASNSIPATLYEPSPFKALVLPKKTIP >ONIVA05G30300.1 pep chromosome:AWHD00000000:5:27994744:27994992:-1 gene:ONIVA05G30300 transcript:ONIVA05G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRMAIVSLALVLVGLLLVATTANAKNAHADGLKKGGSEAEGRVVYADMRLVVPSDSDSAPAPAPASASDGPAPAPSPNN >ONIVA05G30290.1 pep chromosome:AWHD00000000:5:27980544:27985264:1 gene:ONIVA05G30290 transcript:ONIVA05G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANNGGLIWKQRKERPFAHLSIDRPANINMQSASCPAPALAPATGRPAARKTKQRLIRARTTTLQSSGLHHLFINLLPHDVAYVSSRSINLSSRQTAYIHLAHMEALPDIYPLTGLQIGDMQSYVSRAFLYFAPLSKKVFILVDNQPWRSSKQSRSARLWQFMVTKYRMSPFANSRALPCSGRNTSSATAAAAAAAAAADGECSMAARRWFEVVDLRLALHGFLVFEVSWRDVHGINYLNELLTDTSLALEARYMKKWEFYSAEQAAGCTKLWFLGRAPEAEALRGYLTTLYSLSQDNVVDNDDKDNNNINTSTSNMRRLIHQQIRRSSSSESDKKKEDADDEDDQAPSSSSSYTDTLILLRSRDSALPMKLRQIIMSDIRLLTLLESGLPSWVIFLQSYPLLCLLYRPWMRPLARTLYLLVSLATVIIGFYDLYKNVPLLKAAAARVCGPLFGWIETWDMVTRIQYLGTILFLRNHLRKCLQGMVALLRMARAVLRPLSAPLSAIAGPLLAACGEVCELLGDLAEALWAPLDAVLDCLNPLVQALLLPLRFAASLASCAGSLLSNTYNFGKDIWETVSSMFELNHMAEAQHSAFDVSLLKSLWNDLFSQIFRAIRSILNGWRPIHVHARPSAVWRDTIKTRTMLSNAISANDQSIVGCLRTCS >ONIVA05G30280.1 pep chromosome:AWHD00000000:5:27977219:27978932:1 gene:ONIVA05G30280 transcript:ONIVA05G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAEQRERQQQQHSCAASQQQQQRRWRKPQGQGSSGVARAQASPQAQEPETGLYSCGVGRVRAFEAARRRKLSWAVVLVPVLERRWRRWREGTSVQT >ONIVA05G30270.1 pep chromosome:AWHD00000000:5:27975042:27979293:-1 gene:ONIVA05G30270 transcript:ONIVA05G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVASPSSAPGPGAAGRPHPTYKEARFSLRYSPHPKIILMILRALKELPDPIISSRRAIAKYISDNFSGLPSHHDALLTVHLRRLRSQGLLLMSGHSYLLSTSATAARGRGRGRPPKKASSSAPPQKRGPGRPRKNTALFPVPVLEAKPGRGRPRKNPVPVASSTSSAAAAATALSLRVKRGPGRPRKNAAATPLRLGVKRGPGRPRKNATATPLSLGVKRGPGRPRKNAAAAASPVALPPASPLKRGVGRPRKNATPLVKPGPGRPSGFKRGPGRPRKNATPPVLSVPPTAAAVLGVKRGRGRPRKDKPLQSWSVLSGGAAMTKRGPGRPRKKRPLEAGGVVAAQVDTADGGEAGAVQNGGEVRCLLSDGASSMGNRGPGSPRKEVLLENEPTVSTLVGKRGRGRPKKEKPSAARPAETGDAKSMGIKRGRGRPRKDSSFQAVFAEAAGQVSRDVTAAQPEGDADLLARKEPETAAVVSVENKETRPADAGGVVVSEEKTSIDPVEAGSNDNSLRRWKEQLDVQTFDMTRCKILGGI >ONIVA05G30260.1 pep chromosome:AWHD00000000:5:27971841:27973514:-1 gene:ONIVA05G30260 transcript:ONIVA05G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKTDDQKLVIGTLSADKFPQIQFDLVFDKEFELSHTSKTANEEEEEKIIPAPRANGKVEGKENEQKKQGKTDSSASKSKAAVNDDDDDDDSDEDDSEDEDLSPEDDDDDSSEDDSSEDDEDESDEEETPKKPETGKRKVAEIVLKTPSSDKKAKIATPSGQKTGDKKGVHVATPHPAKQASKTPVNDKSKEKSPKSGGGSISCKSCSKTFNSEMALQSHSKAKHPAK >ONIVA05G30250.1 pep chromosome:AWHD00000000:5:27967681:27968459:1 gene:ONIVA05G30250 transcript:ONIVA05G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06120) TAIR;Acc:AT3G06120] MSHIAVERNRRRQMNDHLKVLRSLTPAFYIKRGDQASIIGGAIDFIKELQTLLQSLEAQKKRRQQPQAHLISPASISASGGGSPSPTPSPRSLITSCSPTAAAGSSAGSSSSISPKDENKQQLQLVAELAACCNSPMADVEARISGANVLLRTLSRRAPPVRIIALLESLHLEVLHLNITTMDDTVLYSFVLKIGLDCHLSVDDLAMEVHQSFMPPPAAHPDHHLHS >ONIVA05G30240.1 pep chromosome:AWHD00000000:5:27952199:27958088:1 gene:ONIVA05G30240 transcript:ONIVA05G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLSPLDEGSDAGCPPENLQCIGRPRRGSPLLFSIARSLPHPTSFAFPGAGASTTSGHLCGSAVVLYIASYYGVRATPCEESRGQDLPLSYPSVIFQPLRPHYLHPAHHDPRYSHGSLLVLVTLAGFDMIDMLAQSQGISPTRHHFKALFAEGMVEGVPVLLAKPQTLGHLLPIINYHLIVSLWHLMARTCPVEFFVYNLKEDSGAIMGIGCPPGQMDPKAFVLQKFNKTSLERIDSAIEEGVEILKLVVTKGL >ONIVA05G30240.2 pep chromosome:AWHD00000000:5:27952843:27958088:1 gene:ONIVA05G30240 transcript:ONIVA05G30240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMLAQSQGISPTRHHFKALFAEGMVEGVPVLLAKPQTLGHLLPIINYHLIVSLWHLMARTCPVEFFVYNLKEDSGAIMGIGCPPGQMDPKAFVLQKFNKTSLERIDSAIEEGVEILKLVVTKGL >ONIVA05G30240.3 pep chromosome:AWHD00000000:5:27953105:27958088:1 gene:ONIVA05G30240 transcript:ONIVA05G30240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIFAHSASAAPCEESRGQDLPLSYPSVIFQPLRPHYLHPAHHDPRYSHGSLLVLVTLAGFDMIDMLAQSQGISPTRHHFKALFAEGMVEGVPVLLAKPQTLGHLLPIINYHLIVSLWHLMARTCPVEFFVYNLKEDSGAIMGIGCPPGQMDPKAFVLQKFNKTSLERIDSAIEEGVEILKLVVTKGL >ONIVA05G30240.4 pep chromosome:AWHD00000000:5:27952342:27958088:1 gene:ONIVA05G30240 transcript:ONIVA05G30240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMLAQSQGISPTRHHFKALFAEGMVEGVPVLLAKPQTLGHLLPIINYHLIVSLWHLMARTCPVEFFVYNLKEDSGAIMGIGCPPGQMDPKAFVLQKFNKTSLERIDSAIEEGVEILKLVVTKGL >ONIVA05G30240.5 pep chromosome:AWHD00000000:5:27953105:27958088:1 gene:ONIVA05G30240 transcript:ONIVA05G30240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIFAHSASAAPCEESRGQDLPLSYPSVIFQPLRPHYLHPAHHDPRYSHGSLLVLVTLVRSIIAPDTISCDTPFRCRLGLI >ONIVA05G30240.6 pep chromosome:AWHD00000000:5:27955066:27958088:1 gene:ONIVA05G30240 transcript:ONIVA05G30240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCYMPTFILNLAKLGEAKTQVGLYDPLPLPEWFEVGPLAAYYKLPLNRVLVAFDGTDLPCGILRLQPKGGFGRHNGSVDFVTMCFNEVICHSKGIGCPPGQMDPKAFVLQKFNKTSLERIDSAIEEGVEILKLVVTKGL >ONIVA05G30230.1 pep chromosome:AWHD00000000:5:27939265:27952611:-1 gene:ONIVA05G30230 transcript:ONIVA05G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKVDPSSSSSAPPPPPPPPLRGDDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKVDTNNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKTEKKKMEEVAKIWEDSKGPVEELKKKKMSHTSNTKRINSHMAENMKRRQDITHKELQLKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVNVQDKVHASYLEGHVASYIWKSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMFEKKRQEETRRRVDIKRRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELVLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMITEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRQTGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRISISKKMRPKSDVCPSRAEAKELGNPRVTGPHQWNWSKDGKK >ONIVA05G30230.2 pep chromosome:AWHD00000000:5:27939265:27952611:-1 gene:ONIVA05G30230 transcript:ONIVA05G30230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKVDTNNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKKKLPWLKYDMKKKEYKEAQEKEKTEKKKMEEVAKIWEDSKGPVEGIFELRGLGICSDVLRYEDFLSKPFNLNGYIHKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLESFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMFEKKRQEETRRRVDIKRRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELVLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMITEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRQTGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRISISKKMRPKSDVCPSRAEAKELGNPRVTGPHQWNWSKDGKK >ONIVA05G30230.3 pep chromosome:AWHD00000000:5:27940213:27952611:-1 gene:ONIVA05G30230 transcript:ONIVA05G30230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKVDPSSSSSAPPPPPPPPLRGDDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKVDTNNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKTEKKKMEEVAKIWEDSKGPVEELKKKKMSHTSNTKRINSHMAENMKRRQDITHKELQLKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVNVQDKVHASYLEGHVASYIWKSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMIRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELVLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMITEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRQTGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRLLADPSACR >ONIVA05G30220.1 pep chromosome:AWHD00000000:5:27930152:27931309:1 gene:ONIVA05G30220 transcript:ONIVA05G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSMSTTTRLPLVAALLSAAACVAAAQPATAEAAPETPGVGIKVSFRPSVAIVVGIFTMIFSLTFLLLMYAKFCHPSSPVAAPAPTVVPAAAADDGVAKPVIESLPFFRFAALRGARQGLECAVCLARFDDADLLRLLPRCRHAFHLDCVDRWLESKASCPLCRARVDAEDAALGLKYASSARFVPAGGASESERFDGDQDLLGIFVERVPSSRMEPAAAAHCPDLDRYKHRIVVSDAVFKSRWSEINSSDLIALDTELLRSMETMEVEMEMELYKETEEEETDHDHQRKTLLAASGGRSSVDAAARARMISSSSSSGSVRSMSEMVSLPRLRAAMRERLAHEDRWVPIARRTARWFAGRESRHDEAAPVVDSPPASHSNSLV >ONIVA05G30210.1 pep chromosome:AWHD00000000:5:27907836:27910490:-1 gene:ONIVA05G30210 transcript:ONIVA05G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16860) TAIR;Acc:AT5G16860] MLLNLPKAVKPIGVRFLSGARAACVGVDVYPSHFASLLKECKSANTVHQIHQQIIASGLLSLPTPLLSVSLPALPSEPIISPRSLGTGVVASYLACGATDYALLVLERVTPSPAVWWNLLIREHIKQGRLDSAINVSCRMLRAGTRPDHFTLPHVLKACGELPSYRCGSAFHGLICCNGFESNVFICNALVAMYSRCGSLEEASMIFDEITQRGIDDVISWNSIVSAHVKSSNAWTALDLFSKMTLIVHEKPTNERSDIISIVNILPACGSLKAVPQTKEVHGNAIRNGTFPDVFVGNALIDAYGKCGLMENAVKVFNMMEFKDVVSWNAMVAGYSQSGNFEAAFELFKNIRKENIPLDVVTWTAVIAGYSQRGCSHEALNLFRQMIFSGSLPNCVTIISVLSACASLGAFSQGTEIHAYSLKNCLLTLDNDFGGEDEDLMVYNALIDMYSKCRSFKAAHSIFDDIPLEERNVVTWTVMIGGHAQYGDSNDALKLFVEMISEPYGVAPNAYTISCILMACAHLAAIRIGKQIHAYVLRHHRYDSSAYFVANCLIDMYSKCGDVDTARHVFDSMSQKSAISWTSMMTGYGMHGRGSEALDIFDKMRKAGFVPDDITFLVVLYACSHCGMVDQGLSYFDSMSVDYGLTPRAEHYACAIDLLARFGRLDKAWKTVKDMPMEPTAVVWVALLSACRVHSNVELAEHALNKLVEMNAENDGSYTLISNIYATAGRWKDVARIRHLMKKSGIKKRPGCSWVQGQKGTASFFVGDRSHPLSPQIYALLESLIDRIKAMGYVPETNFALHDVDEEEKNNLLVEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIVVRDPSRFHHFKNGSCSCGGYW >ONIVA05G30200.1 pep chromosome:AWHD00000000:5:27895760:27897682:1 gene:ONIVA05G30200 transcript:ONIVA05G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGETALGRVGAMGRGARWGGDECARASIGSAAVPICATARASDQENEMPEDPFEIVSIFMDDFSSTKRNIIGHVSGWLMSDSREDKIDDFVQEMEMTRFWPLERREVIAEVLLKNVDLKTKYHCPEKYENEERLADHKAQCSFRPVTCPNDGCRAKVSVRCMQDHDSACLFKILTCEQNCEKRLLRRDMDRHCVTVCPMRPMKCPFGCDSSFPERNLEQHCSEFLQAHLHKLLKAIHKKGFTDEGLKDHALLLEKHDNDGKLAKSRDIKVRNTSIYR >ONIVA05G30200.2 pep chromosome:AWHD00000000:5:27895760:27897864:1 gene:ONIVA05G30200 transcript:ONIVA05G30200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGETALGRVGAMGRGARWGGDECARASIGSAAVPICATARASDQENEMPEDPFEIVSIFMDDFSSTKRNIIGHVSGWLMSDSREDKIDDFVQEMEMTRFWPLERREVIAEVLLKNVDLKTKYHCPEKYENEERLADHKAQCSFRPVTCPNDGCRAKVSVRCMQDHDSACLFKILTCEQNCEKRLLRRDMDRHCVTVCPMRPMKCPFGCDSSFPERNLEQHCSEFLQAHLHKLLKAIHKKGFTDEGLKDHALLLEKHDNDGKLAKSRDVRSLTNVVKNLEAKIKDDSS >ONIVA05G30190.1 pep chromosome:AWHD00000000:5:27891592:27895619:1 gene:ONIVA05G30190 transcript:ONIVA05G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRIASPPPGKITAHSHPLRPPPAALLPCAAASAPPNPAGHRGRGNRTSLPVSHASLSSFSQNADALLSRSRRWSEHRHSNRRQSPSPCAPVVGARAVVGSSSTVAATGSPTPTARIVASVPIPPSREQSRGGEGRGGVPTCRGPAASRTVAHGAGDDSPPTSSSNTVTWPFVLHVHRALAAIHKNNIAAPNLWHPIDTYDRVALAHHTGRQGPERIGVGVKVS >ONIVA05G30190.2 pep chromosome:AWHD00000000:5:27892364:27895617:1 gene:ONIVA05G30190 transcript:ONIVA05G30190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKVISEILSMTVAHGAGDDSPPTSSSNTVTWPFVLHVHRALAAIHKNNIAAPNLWHPIDTYDRVALAHHTGRQGPERIGVGVKVS >ONIVA05G30180.1 pep chromosome:AWHD00000000:5:27875613:27876500:-1 gene:ONIVA05G30180 transcript:ONIVA05G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNQRKKLVIQDVPERQLSPDDADDEVNEYYTEGVTDVDNYIDSAFRSTRKNEAYIFIREENVVMNYGPATRDDKIISGLRYIGNTLQSLVGTAFAEHGIDAAFACHDNHGFLCARSEAMIFSANLCARINFAPRTTRDRIIQGPKTISQMFPFFKGTSFEKGIDAAFESTVTGEAYLFKGAEFALINYSRPILIEIRPIVDVFKCFRDCYLFATDIGAALASHVSKDVYLFKENDYLLFHLTPGETNHYIIGGPKEIVPRNWPSLKGILPRKNKALDIYESLQPNPVRDQDD >ONIVA05G30170.1 pep chromosome:AWHD00000000:5:27870396:27870713:1 gene:ONIVA05G30170 transcript:ONIVA05G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIFRTATIVSKIGQGEQGGALMFLCNGICTWRLPTSIMETWPQQDDVVDDAPVEEDGERRRGKVIVAWR >ONIVA05G30160.1 pep chromosome:AWHD00000000:5:27867564:27870364:1 gene:ONIVA05G30160 transcript:ONIVA05G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKDKSSGAARALELTDRSGNGGSSTDELPGWFVHCRLDSIEKDKMTLGNRRHTEIKDGAATSAFAKPVSRSVKAKLQFPVGRYLKKGHYAQRVGIGAPVYLAAVLEYLAAEASPIVYLFLYQSFQPSVVNRDLLMQVLELARNAARDNKKNRIIPRHVLLAICNDDELRSCWLASPSRMVVCCRTSTRCCSRKKTTEKSDKLAKDKATKSPKKQGEQMRDEQLRDGEVTAEIGTTTPTIGKDLIFRIAGEILKEDRGLEELRQQHLGGLLMARLSKELEVETLGMRDGGTEVRL >ONIVA05G30150.1 pep chromosome:AWHD00000000:5:27840824:27847823:-1 gene:ONIVA05G30150 transcript:ONIVA05G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14820) TAIR;Acc:AT4G14820] MQPRERLSRQLGELHAHFLRRGHPFPPAAHPDPDLDRAYISAIRAATAPRLALAAFACLRRVGLPAPGRRALPSLLRAIALARFPSTAGAAHGLAFRVGAEVDGFVGTALVRAYAACGRVEDARKVFDGMPDRDLVAWGARNYEEALLLFHSMKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDALIDAQVSCALMNMYASCADMEMAEKLYNRVSEKDIVLSTTMVYGYAKNGKVEIAHSIFNGMPEKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALDKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGAFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMKVQGTWKKKGCSWMELNGSGLSKKDYEKKKELAARFLEISTDLSNFEQKIEVSLYNVRLRKHQQLRDQQLLEMCNRHDERDVH >ONIVA05G30150.2 pep chromosome:AWHD00000000:5:27840824:27847823:-1 gene:ONIVA05G30150 transcript:ONIVA05G30150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14820) TAIR;Acc:AT4G14820] MQPRERLSRQLGELHAHFLRRGHPFPPAAHPDPDLDRAYISAIRAATAPRLALAAFACLRRVGLPAPGRRALPSLLRAIALARFPSTAGAAHGLAFRVGAEVDGFVGTALVRAYAACGRVEDARKVFDGMPDRDLVAWGARNYEEALLLFHSMKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDALIDAQVSCALMNMYASCADMEMAEKLYNRVSEKDIVLSTTMVYGYAKNGKVEIAHSIFNGMPEKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALDKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGAFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMKVQGTWKKKGCSWMELNGSGLSKKDYEKKKELAARFLEISTDLSNFEQKIEVSLYNVRLRKHQQLRDQQLLEMCNRHDERDVH >ONIVA05G30150.3 pep chromosome:AWHD00000000:5:27840824:27847823:-1 gene:ONIVA05G30150 transcript:ONIVA05G30150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14820) TAIR;Acc:AT4G14820] MKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDALIDAQVSCALMNMYASCADMEMAEKLYNRVSEKDIVLSTTMVYGYAKNGKVEIAHSIFNGMPEKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALDKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGAFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMKVQGTWKKKGCSWMELNGSGLSKKDYEKKKELAARFLEISTDLSNFEQKIEVSLYNVRLRKHQQLRDQQLLEMCNRHDERDVH >ONIVA05G30150.4 pep chromosome:AWHD00000000:5:27840824:27847823:-1 gene:ONIVA05G30150 transcript:ONIVA05G30150.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14820) TAIR;Acc:AT4G14820] MKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDALIDAQVSCALMNMYASCADMEMAEKLYNRVSEKDIVLSTTMVYGYAKNGKVEIAHSIFNGMPEKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALDKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGAFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMKVQGTWKKKGCSWMELNGSGLSKKDYEKKKELAARFLEISTDLSNFEQKIEVSLYNVRLRKHQQLRDQQLLEMCNRHDERDVH >ONIVA05G30150.5 pep chromosome:AWHD00000000:5:27840826:27843240:-1 gene:ONIVA05G30150 transcript:ONIVA05G30150.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14820) TAIR;Acc:AT4G14820] MLRRVKRKGLSKKDYEKKKELAARFLEISTDLSNFEQKIEVSLYNVRLRKHQQLRDQQLLEMCNRHDERDVH >ONIVA05G30150.6 pep chromosome:AWHD00000000:5:27843277:27847823:-1 gene:ONIVA05G30150 transcript:ONIVA05G30150.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14820) TAIR;Acc:AT4G14820] MQPRERLSRQLGELHAHFLRRGHPFPPAAHPDPDLDRAYISAIRAATAPRLALAAFACLRRVGLPAPGRRALPSLLRAIALARFPSTAGAAHGLAFRVGAEVDGFVGTALVRAYAACGRVEDARKVFDGMPDRDLVAWGARNYEEALLLFHSMKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDALIDAQVSCALMNMYASCADMEMAEKLYNRVSEKDIVLSTTMVYGYAKNGKVEIAHSIFNGMPEKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALDKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGAFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMKVQGTWKKKGCSWMELNGSVHQFAVGGEKILEMKGSF >ONIVA05G30150.7 pep chromosome:AWHD00000000:5:27843277:27847823:-1 gene:ONIVA05G30150 transcript:ONIVA05G30150.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14820) TAIR;Acc:AT4G14820] MFVSGQAPMQPRERLSRQLGELHAHFLRRGHPFPPAAHPDPDLDRAYISAIRAATAPRLALAAFACLRRVGLPAPGRRALPSLLRAIALARFPSTAGAAHGLAFRVGAEVDGFVGTALVRAYAACGRVEDARKVFDGMPDRDLVAWGARNYEEALLLFHSMKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDALIDAQVSCALMNMYASCADMEMAEKLYNRVSEKDIVLSTTMVYGYAKNGKVEIAHSIFNGMPEKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALDKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGAFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMKVQGTWKKKGCSWMELNGSVHQFAVGGEKILEMKGSF >ONIVA05G30150.8 pep chromosome:AWHD00000000:5:27843277:27847823:-1 gene:ONIVA05G30150 transcript:ONIVA05G30150.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14820) TAIR;Acc:AT4G14820] MLNNHRKVVLYIARNYEEALLLFHSMKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDALIDAQVSCALMNMYASCADMEMAEKLYNRVSEKDIVLSTTMVYGYAKNGKVEIAHSIFNGMPEKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALDKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGAFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMKVQGTWKKKGCSWMELNGSVHQFAVGGEKILEMKGSF >ONIVA05G30150.9 pep chromosome:AWHD00000000:5:27843277:27847823:-1 gene:ONIVA05G30150 transcript:ONIVA05G30150.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14820) TAIR;Acc:AT4G14820] MKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDALIDAQVSCALMNMYASCADMEMAEKLYNRVSEKDIVLSTTMVYGYAKNGKVEIAHSIFNGMPEKDVVSWSAMIAGYAESSKPMEALNLFHDMQRSGVKPDEITMLSVISACANVGALDKARCIHSFVENHSMCKILPIGNALIDMFSKCGSLTLALDVFNAMPQKNVVTWTSIITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGQAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGAFAAKKILELDPNHGGAQVLLSNIYAEYGNWNDVKEVRGVMKVQGTWKKKGCSWMELNGSVHQFAVGGEKILEMKGSF >ONIVA05G30140.1 pep chromosome:AWHD00000000:5:27830005:27837198:-1 gene:ONIVA05G30140 transcript:ONIVA05G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNHRARSAAAAGGGGGGPIDADWKYFLDNVREEGHSYAVRVPADGANPSYYLQYEKPLANGAASTSSRGGAARKRRRMEEEEESSSGEPASGPNIEEDYRVFLQNVRLVGHGGFVLELEGNVIRYDASGAALSSDESSDESVMGAPEPNPRRRKAKIVEEDHEEEEDVKNEVAVPSRKKDFTMVKEDQEKKGKRVVDLPARGEDGAMVAEEDRKKKLRKEFNFRSFHSKGKDDTTPVKNLKDKKKEVKNPKDKKVHGKKEVALSAKGKDCQLAEGVVIKVEEEDGQLQIVPAVEKLATTTRLTNLSDGHKTAPRIASGSHGVIWPIHINDREESDFRQRLIHVLNKPFSQGEYDKLFGMATIRNPLTRERRTRCGVKYYYSQHEKAKSYFDCYPDLAKQVEEASYPNRLALLRGLFFWLENIGQDDQFRPWRDDHKRYKIMSL >ONIVA05G30130.1 pep chromosome:AWHD00000000:5:27818418:27818861:-1 gene:ONIVA05G30130 transcript:ONIVA05G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNHQVPEAAGEIGSAGRTSVKGRRGIRRRPRSASASSSWGGGAAGCKKKKARVGDEEKKPSSTVPAVYDANADIEEEYRLFLENVRVYENDDFVVEYEGVVVRYGGEAVADHGAGTGAPPVKCLPDPNQLDGSVQLVSKSPCFV >ONIVA05G30110.1 pep chromosome:AWHD00000000:5:27792158:27794473:1 gene:ONIVA05G30110 transcript:ONIVA05G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPTTTLPPPAAATAMAVDLISQFNDDLLLHILGFLPAARDVARTSVLSRRWRHLWSIAPCLRFAVGDGDDDDNGGRDAHAARLLIAAVDAAVARRADVDILEVSFNYGPSRPWRSFYEQPACAADVTMPTMRLQLGNATLTVPVAGVVGAGAYSALTDFQLHKATLAGEGADNLGRLLSSSCSPRLRRLGLTFVVGLAELRLDAAGTLEELELVELPDLRRLDVDAPGLRLLRVDLFDMIFNHPDPAPVRISAPRFDGAAAVRHIKKLAFYYPVDDGMLDNATTIWLLNNCTAMDHLELDLVIDLPYKVSEWPIGEDMMNYVSELPRVTNLAISIYVGTGGHTIGATLTKLIAKCKNVEYISIGFNDWVENQTLLESVVLLSPAKGLGGPEKISLDHLRTVDIRNFKPSDDWIRVLQVLLANSPALDTMTVELDKTYSKEIQKEGKSVQFDVPCSKGFWASVSSQPDEHGFDSVVVKYKWTRGI >ONIVA05G30100.1 pep chromosome:AWHD00000000:5:27789356:27789694:1 gene:ONIVA05G30100 transcript:ONIVA05G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIRIVMKSFMSQANKVEGVIPYAQKVGLPESRSLYTVLRSPHIDKKSREQFSMHVKKQFLVQKAETHELQKKLFWLKRLRLLGAQYELQISFKTRLDKKLLQAALSSGC >ONIVA05G30090.1 pep chromosome:AWHD00000000:5:27770018:27785526:1 gene:ONIVA05G30090 transcript:ONIVA05G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVVELTMFACSPDYPEIGFTQEGLVMFFQFCPIRDLVLCGANIFDDDGMKALASAQFLETLELMDCKEITDAGMRLLADCPSLVNLTLRQCDGFSDVGVTEVVRARKLDSLIVEGCSQVSVKSVQGAAKSVHFERDCPGYGRLNRSSLMEIMKRVTRTSDLSSLSLVSKRLYTIDAEHRSTIRVGRGLWPAKEALLTLCSRFSNLRKVEINYHDWTRGDGNQIDNQGLLTLSTCCPLLTDLILSFCYYIDDSGLAYLTDCKKLVSLRLNSAKNITSSGLLVVAVGCKNLSGLHLINCNKISGNPEWLKYLGSDGSLEELVVKNCGGISQYDLLLFGPGWMKLQRFVFEVSNLYNILRLRDPSFVANYQHSYNFCCESLKDLRLRGIATVEEIGLCSLLGKCKSLQILCLHFVLGLTDSDMITLSQNCRNLKSISLQLEPVVGVGPQGRVFRMPLTDVSLKALALGCRMLQIVELAVYSRHTSYPEIGFSQEGLATLFQSCPIRELVLCGANIFDDEVMKALSSAQFLETLKLMDCKRITDAGMRLLANSSSLVNLTLQDCRGFTDDGVSEVVRSRNLDSLIVQGCRVSWKAVKGAAKSVRYDRNCPVYGRLKRQIAAKPEDCPAMSSMLSLEDLPDALLAEIVKRITNTSDLKSISLVSKRLYTIEAEQRSSIRVGSDLCPAIDALSALCSRFPNLLEVEMDYSGWKFHWNLLEKHIFSLHFPVLRDLTLYIDDIRMGCLASCKNLMSLRLNSVSAIGSCGLLSVAVGCKNLTSLHIIKCNHIVGSDKWLEYIGSAGSLEELVVKNCKRISQYDLLKFGPGWMKLKKFEFKFKRSFNTYEPRDPCYVDNYQYGYDFCCESLRDVTLATIVTKPEIGLRCLLTKCKALERLCLHYVIGISDHDIITISQNCSNLRSISLSQEMLLCEIPGGTGVMARTPLTDDSLNALALRSHMLEAVELMFYGCAPDWPSEIAFTQDGLVTLLQSCPIRHLVLRGANFFDDEGMEALSCAQFLETLELMQCVAVTDVGMRFLAQSPCLKNLTLQMCYEVTDDGVCEVAHARDLESLTVESCNQISVEALHGAAKSVHYKVDCPSYYDRYKD >ONIVA05G30080.1 pep chromosome:AWHD00000000:5:27740467:27743756:-1 gene:ONIVA05G30080 transcript:ONIVA05G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPADGLSTSKTNLDSPPSSRRRSWTPKRVMGAASLLHLLSLPRIRWSSSTEDDDKIELTRAEVESLRTEIADAEERESQLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCVYYYLKSTDLSPQESTLLCDIVEVGQLPNFVPEDEKTRYAFYIMTSQGLKFECSSMSEIQVDSWVRAIRGDCGLSDGGESRSKTSRQEVGSWF >ONIVA05G30080.2 pep chromosome:AWHD00000000:5:27740029:27742485:-1 gene:ONIVA05G30080 transcript:ONIVA05G30080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASLLHLLSLPRIRWSSSTEDDDKIELTRAEVESLRTEIADAEERESQLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCVYYYLKSTDLSPQESTLLCDIVEVGQLPNFVPEDEKTRYAFYIMTSQGLKFECSSMSEIQVDSWVRAIRGDCGLSDGGESRSKTSRQEVGSWYSTPV >ONIVA05G30080.3 pep chromosome:AWHD00000000:5:27740469:27742485:-1 gene:ONIVA05G30080 transcript:ONIVA05G30080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASLLHLLSLPRIRWSSSTEDDDKIELTRAEVESLRTEIADAEERESQLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCVYYYLKSTDLSPQESTLLCDIVEVGQLPNFVPEDEKTRYAFYIMTSQGLKFECSSMSEIQVDSWVRAIRGDCGLSDGGESRSKTSRQEVGSWF >ONIVA05G30080.4 pep chromosome:AWHD00000000:5:27742483:27743756:-1 gene:ONIVA05G30080 transcript:ONIVA05G30080.4 gene_biotype:protein_coding transcript_biotype:protein_coding METPADGLSTIHVDVPGLRNE >ONIVA05G30070.1 pep chromosome:AWHD00000000:5:27737136:27739571:-1 gene:ONIVA05G30070 transcript:ONIVA05G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJC6] MSKLCQSACKAARSLLSATAAASSPRTSLLAEGRNAALATLTNLGRKTLPTAYAYSYHHNSSAAAAGWLAAIPAAVYMLQDQEAHAAEMERTFIAIKPDGVQRGLISEILSRFERKGFKLVAIKLVVPSKEFAQKHYHDLKDRPFFNGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKAEIGLWFEPRELVSYTSNEEKWIYGVN >ONIVA05G30060.1 pep chromosome:AWHD00000000:5:27732710:27733106:-1 gene:ONIVA05G30060 transcript:ONIVA05G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAASGGGCCCWGRRRKEESKERVTMNGDSGGVQDAKSWLQYMCGVWAPPLSRSMGPLILQCHSPL >ONIVA05G30050.1 pep chromosome:AWHD00000000:5:27732675:27739980:1 gene:ONIVA05G30050 transcript:ONIVA05G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAMYPETFGFSAYPQQQQPPPDAASCIYTTALPLIADPPDILGNMAQPSLLSEYDLGGEGDLFKAPEPIIEEPVLSLDPVAAAISMMSGSENVMDETIEVADISDIQNDSLLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEEFPRETQVQLPAMEKEKPSVPECCSLQKSVSSGCLNSADWINGPARPNFLDFQGLDFETAFGLRRAYSEGDIQNLGASTPRPGNSGNAQLASCERLVTISDLKSEERKQKLSRYRKKKVKRNFGRKIKYACRKALADSQPRVRGRFAKIEEGDLLKPRK >ONIVA05G30040.1 pep chromosome:AWHD00000000:5:27710856:27713833:-1 gene:ONIVA05G30040 transcript:ONIVA05G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGPGVVVVALVVVVYLILLIRPAASFRVNVGVGIGGGIGIGNQNQNQDQNQNQNQKPYYDDSNNNNNYDEGEGEGGDDQEEGPEAAAPVGPGQSFTGGRGTYKYMAHEFLDAHNKVRAQYGLQPLKWSNKLARYARRWSAARRFDCVMMHSPESPYGENVFWGTGWGWRATDAVKSWAGESSVYDWRGQSCNPGQMCGHFTQIVWNDTKLVGCGRSECVAGGVFITCSYDPPGNWKGEKSCCCCYWRIGRLYSAGSVATVRRSIPVGVLARPIPQFLLSFGSLSVNDLGISRPSSSKYKRDFLFKLALLLLGRHHMINKSKGQVGN >ONIVA05G30030.1 pep chromosome:AWHD00000000:5:27707502:27711524:1 gene:ONIVA05G30030 transcript:ONIVA05G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALLRTILVTGGAGYIGSHTVLQLLQLGFRVVVLDNLDNASELAILRVRELAGHNANNLDFRKVDLRDKQALDQIFSSQRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLQVMAAHGCTKLVFSSSATVYGWPKEVPCTEESPLCAMNPYGRTKLVIEDMCRDLHASDPNWKIILLRYFNPVGAHPSGYIGEDPCGIPNNLMPFVQQVAVGRRPALTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDRIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAQTAKAEKELKWKAKYGVEEMCRDLWNWASKNPYGYGSPDSSN >ONIVA05G30030.2 pep chromosome:AWHD00000000:5:27707502:27712015:1 gene:ONIVA05G30030 transcript:ONIVA05G30030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALLRTILVTGGAGYIGSHTVLQLLQLGFRVVVLDNLDNASELAILRVRELAGHNANNLDFRKVDLRDKQALDQIFSSQRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLQVMAAHGCTKLVFSSSATVYGWPKEVPCTEESPLCAMNPYGRTKLVIEDMCRDLHASDPNWKIILLRYFNPVGAHPSGYIGEDPCGIPNNLMPFVQQVAVGRRPALTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDRIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAQTAKAEKELKWKAKYGVEEMCRDLWNWASKNPYGYGSPDSSN >ONIVA05G30020.1 pep chromosome:AWHD00000000:5:27701614:27702202:-1 gene:ONIVA05G30020 transcript:ONIVA05G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMILPLLLLLCWFLLLSVGDAMSQQLELVFNDDASASAPAGWLVSWTNGMGWYKGMPREFVDGHNQLRARYGLQPMRRGDCQIRPSTGNSFAESLYIGRNGWNARASDAVRCWGDQEHLYDRDTGKCTAGVDFHECGHFAFMVRPNFTRIGCARAECFNGGVFITCNYFKDEQHQPATPPTYS >ONIVA05G30010.1 pep chromosome:AWHD00000000:5:27699235:27702345:1 gene:ONIVA05G30010 transcript:ONIVA05G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGRSVVEEKKSILGVGGRGSRLVLLVFEVVAGDEDAAVEALGAGAADPGEVGPDHEGEVAALVEVDAGGAFAGVPVVEVLLVAPASDGVGSPGVPAVPPDVEALGEAVAGGGADLAVAAPASPACYPTSSAAARSARAAGCGRPRTPAASPCTNPSHWSTTPTTPLVPMLMRRR >ONIVA05G30000.1 pep chromosome:AWHD00000000:5:27695016:27698430:-1 gene:ONIVA05G30000 transcript:ONIVA05G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory particle non-ATPase 13 [Source:Projected from Arabidopsis thaliana (AT2G26590) TAIR;Acc:AT2G26590] MESTEPLQDIMCEFRAGKMSLDGTRVIPDTRKGLVRIGRGEEGLVHFQWLDRGQNLVEVDQIVFPEEAVFEKVTQSSGRVYILKFRHDSRKFFFWMQEPSADDDSQICRQVNAYINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSAIQPSDAVADPDAGLGLGDILKPDLVLPLMETLPIEQLASYLPEGPWTAGDILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPNKFTVASFLEALEDSVAKASGAGDKDSESQRGGGNDPMDES >ONIVA05G30000.2 pep chromosome:AWHD00000000:5:27695016:27698761:-1 gene:ONIVA05G30000 transcript:ONIVA05G30000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory particle non-ATPase 13 [Source:Projected from Arabidopsis thaliana (AT2G26590) TAIR;Acc:AT2G26590] MQIPHNKDSSDIMCEFRAGKMSLDGTRVIPDTRKGLVRIGRDQIVFPEEAVFEKVTQSSGRVYILKFRHDSRKFFFWMQEPSADDDSQICRQVNAYINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSAIQPSDAVADPDAGLGLGDILKPDLVLPLMETLPIEQLASYLPEGPWTAGDILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPNKFTVASFLEALEDSVAKASGAGDKDSESQRGGGNDPMDES >ONIVA05G30000.3 pep chromosome:AWHD00000000:5:27695016:27699440:-1 gene:ONIVA05G30000 transcript:ONIVA05G30000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory particle non-ATPase 13 [Source:Projected from Arabidopsis thaliana (AT2G26590) TAIR;Acc:AT2G26590] MRDPSLLPLPSSSPPQITSHRVGESLSSRPAAAAAADDDVQPSSVIPHLLDIMCEFRAGKMSLDGTRVIPDTRKGLVRIGRDQIVFPEEAVFEKVTQSSGRVYILKFRHDSRKFFFWMQEPSADDDSQICRQVNAYINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSAIQPSDAVADPDAGLGLGDILKPDLVLPLMETLPIEQLASYLPEGPWTAGDILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPNKFTVASFLEALEDSVAKASGAGDKDSESQRGGGNDPMDES >ONIVA05G30000.4 pep chromosome:AWHD00000000:5:27695016:27698430:-1 gene:ONIVA05G30000 transcript:ONIVA05G30000.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory particle non-ATPase 13 [Source:Projected from Arabidopsis thaliana (AT2G26590) TAIR;Acc:AT2G26590] MESTEPLQDIMCEFRAGKMSLDGTRVIPDTRKGLVRIGRDQIVFPEEAVFEKVTQSSGRVYILKFRHDSRKFFFWMQEPSADDDSQICRQVNAYINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSAIQPSDAVADPDAGLGLGDILKPDLVLPLMETLPIEQLASYLPEGPWTAGDILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPNKFTVASFLEALEDSVAKASGAGDKDSESQRGGGNDPMDES >ONIVA05G29990.1 pep chromosome:AWHD00000000:5:27684627:27692995:1 gene:ONIVA05G29990 transcript:ONIVA05G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSAAINVSMAVAFLLVFAFLRLQPINDRVYFPKWYLRGMRDSPVSSGAAVQKVVNLNMRSYLKFLSWMPAALKMPEDELINHAGLDSAVYLRIYLTGIKIFVPISILASLVLFPVNWTNDTLDSMKVVHSKIDKLSISNIPYGSNRFVTHLVMAYAVTFWTCYVLFREYEIITTMRLRFLASEKRRPDQFTVLVRNIPPDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKLQNWLDYYQLKYERNPSKRPTTKTGFLGCFGSEVDAIEYYKAEIEKIGKEEADERQKIMKDPQSAVPAAFVSFRSRWGAAVCAQTQQTSNPTVWITEWAPEPRDVYWNNLSIPFVSLTVRRLIVAVAFFFLNFFYVIPIAFVQSLASLEGIEKALPFLKPLIKIDVIKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLISQSSLERRSASKYYIFLFFNVFLGSIVTGSALDQLKAYIHQSANEIPRTIGVAIPMRATFFITYVMVDGWTGVAGEILRLRALIIFHLKNFFLVKTEKDREEAMDPGSICFDWCEPRIQLYFLLGLVYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQQYESGAQFWPSVHGRIIIALIVSQLLLIGLLSTKGFEETTPVLLVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTLERAREPTFDLKAYLANAYLHPVFKGREEEDNMSISEDVGMEEVIVPTKRQSRRNTPAQSKYEGSDTLSLPETVHER >ONIVA05G29990.2 pep chromosome:AWHD00000000:5:27684627:27692995:1 gene:ONIVA05G29990 transcript:ONIVA05G29990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSAAINVSMAVAFLLVFAFLRLQPINDRVYFPKWYLRGMRDSPVSSGAAVQKVVNLNMRSYLKFLSWMPAALKMPEDELINHAGLDSAVYLRIYLTGIKIFVPISILASLVLFPVNWTNDTLDSMKVVHSKIDKLSISNIPYGSNRFVTHLVMAYAVTFWTCYVLFREYEIITTMRLRFLASEKRRPDQFTVLVRNIPPDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKLQNWLDYYQLKYERNPSKRPTTKEADERQKIMKDPQSAVPAAFVSFRSRWGAAVCAQTQQTSNPTVWITEWAPEPRDVYWNNLSIPFVSLTVRRLIVAVAFFFLNFFYVIPIAFVQSLASLEGIEKALPFLKPLIKIDVIKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLISQSSLERRSASKYYIFLFFNVFLGSIVTGSALDQLKAYIHQSANEIPRTIGVAIPMRATFFITYVMVDGWTGVAGEILRLRALIIFHLKNFFLVKTEKDREEAMDPGSICFDWCEPRIQLYFLLGLVYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQQYESGAQFWPSVHGRIIIALIVSQLLLIGLLSTKGFEETTPVLLVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTLERAREPTFDLKAYLANAYLHPVFKGREEEDNMSISEDVGMEEVIVPTKRQSRRNTPAQSKYEGSDTLSLPETVHER >ONIVA05G29990.3 pep chromosome:AWHD00000000:5:27684642:27692995:1 gene:ONIVA05G29990 transcript:ONIVA05G29990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSAAINVSMAVAFLLVFAFLRLQPINDRVYFPKWYLRGMRDSPVSSGAAVQKVVNLNMRSYLKFLSWMPAALKMPEDELINHAGLDSAVYLRIYLTGIKIFVPISILASLVLFPVNWTNDTLDSMKVVHSKIDKLSISNIPYGSNRFVTHLVMAYAVTFWTCYVLFREYEIITTMRLRFLASEKRRPDQFTVLVRNIPPDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKLQNWLDYYQLKYERNPSKRPTTKEADERQKIMKDPQSAVPAAFVSFRSRWGAAVCAQTQQTSNPTVWITEWAPEPRDVYWNNLSIPFVSLTVRRLIVAVAFFFLNFFYVIPIAFVQSLASLEGIEKALPFLKPLIKIDVIKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLISQSSLERRSASKYYIFLFFNVFLGSIVTGSALDQLKAYIHQSANEIPRTIGVAIPMRATFFITYVMVDGWTGVAGEILRLRALIIFHLKNFFLVKTEKDREEAMDPGSICFDWCEPRIQLYFLLGLVYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQQYESGAQFWPSVHGRIIIALIVSQLLLIGLLSTKGFEETTPVLLVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTLERAREPTFDLKAYLANAYLHPVFKGREEEDNMSISEDVGMEEVIVPTKRQSRRNTPAQSKYEGSDTLSLPETVHER >ONIVA05G29990.4 pep chromosome:AWHD00000000:5:27685919:27692995:1 gene:ONIVA05G29990 transcript:ONIVA05G29990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSAAINVSMAVAFLLVFAFLRLQPINDRVYFPKWYLRGMRDSPVSSGAAVQKVVNLNMRSYLKFLSWMPAALKMPEDELINHAGLDSAVYLRIYLTGIKIFVPISILASLVLFPVNWTNDTLDSMKVVHSKIDKLSISNIPYGSNRFVTHLVMAYAVTFWTCYVLFREYEIITTMRLRFLASEKRRPDQFTVLVRNIPPDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKLQNWLDYYQLKYERNPSKRPTTKEADERQKIMKDPQSAVPAAFVSFRSRWGAAVCAQTQQTSNPTVWITEWAPEPRDVYWNNLSIPFVSLTVRRLIVAVAFFFLNFFYVIPIAFVQSLASLEGIEKALPFLKPLIKIDVIKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLISQSSLERRSASKYYIFLFFNVFLGSIVTGSALDQLKAYIHQSANEIPRTIGVAIPMRATFFITYVMVDGWTGVAGEILRLRALIIFHLKNFFLVKTEKDREEAMDPGSICFDWCEPRIQLYFLLGLVYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQQYESGAQFWPSVHGRIIIALIVSQLLLIGLLSTKGFEETTPVLLVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTLERAREPTFDLKAYLANAYLHPVFKGREEEDNMSISEDVGMEEVIVPTKRQSRRNTPAQSKYEGSDTLSLPETVHER >ONIVA05G29980.1 pep chromosome:AWHD00000000:5:27680595:27681828:-1 gene:ONIVA05G29980 transcript:ONIVA05G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAPFLFPLTLSLPPSLSSDGVVVRHEGVVNARGKVLVESNSYHPLRHRIADRIVRAASINKHAAHADDQGEDRPPVRCRQRASNAAVALDPTSSLFEAPFADVTVGLGPNGELRYPSGATGGGRVPVLRHKYMLQQLRRHAAEAGDPLWGLSGPRDSPDACGFFNDDGILPTRRPCAKKREK >ONIVA05G29970.1 pep chromosome:AWHD00000000:5:27672260:27677439:-1 gene:ONIVA05G29970 transcript:ONIVA05G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSWGGVVFAVAAAAAALLCSSDAADDVVSVSFSKTPPRVSRSASAVFTFQVLHTNGSGPCQDCLITCKVDGERAWECGRNSSSSNGTAVVRYSRLKDGNHTLAVCAGAGRPPPPTTTTCATYAWDVDTVAPTASVKAEAGFTSGSNVSVLVSFSEPCPGGGGFTCNATYCHLSVYGPGRVDPSSLQVLRPALQYSVHVTIPPELLYGRLILVMAKGFCTDAAGHHFIRTANSTFTLRFDRRSDSMNIGSSIPEKLLQIEGATRVVEATNDDKDLRVYLSFAEPVMNSSSQILAALTATDAILTPTNRSTLGNRRFGYLVKRTSNTAVVTVSCDGNSIISRQGTPVSSSEPYTFLYAGDIHCQNKFTQHPGFDKVCKACLQLHFLCGADSVPASSSSIAIVTTVIFTATAAFATLLTVSTSSLLASGVIQRPPSYLVSEPSRNLLRMACHIQVFALSRWLSINLPVEYYELSKGLEWSIPYMRLPWEGPSADPFVGYSTMPAIAYSEMLDRTALAADVLRRPPAAPGVAMTMPSTSPLDGKPLTAMEYRYLFENQDMKPEAQIIMKLQDLDGWKYFFRNIFWLAVIAAAFLLLHAALLLYLKMRHRHSHTHVGALVFPRLELMLVILAMPCVSQASAALIRGGTTAGLAVGIVLTGVLTAFLVALLLFLSLGVTTGRLLQYKEVHQEGREYHWYQEIVRRTLGPGKRGQWTWKDPARTACLVKLGPLFEDLRGPPKYMLSQIAGGSGGKRAAERIIVSDDENEDAEAPFLQKLFGILRIYYTFLESVKRVALGIVAGAHASSDHSSRAHAVVVLAIASFQLFFMVLKKPFIKKRVQLVEIVAVASEVLVFAACLRLVDSGGSAVAEGSGVGLAMLTVFAVALAAQVCNEWNALYRQVRLLSSDRRSFVEGAKAAWVGLLLLVLPSSALGEQLEKIKKQQEQPEAVALGGGGGGTERSWLGQLREMAKASFSKEGQGGEAEASGSRAKGGSRSMSSVASSSDSKAKGPENSHSQWSSKSKGLYKDLEAIFSNR >ONIVA05G29960.1 pep chromosome:AWHD00000000:5:27669946:27670223:1 gene:ONIVA05G29960 transcript:ONIVA05G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTAGKRRKSLVVRRTSLRLARRERRAAQGTLWRREASELLRREEEERLCAVRRRGDPGRRWCRTAISLAIVFDSIIIRDNGIKL >ONIVA05G29950.1 pep chromosome:AWHD00000000:5:27666090:27670213:-1 gene:ONIVA05G29950 transcript:ONIVA05G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRMMMESNTMAKEMAVRHHLLPGSPRRRTAHNLSSSSLRKSSDASLLHKVPCAALRSLLANLNDVLLTTRLFLLFPAVLLAIAATYLHFGQVWVFVLSLIGLVPLAERLSFLTEQIAFYTGPTVGGLLNATFGNVTEVIIALLALREGKIEVVKCSLLGSILSNLLLVLGTSLFLAGIANLRAHQPYDTKQAHVNTALLMLAVLCHSLPLMLRYAVTSGDHAIVSGDAALHLSRACSILMLIAYLAYLFFQLNTHRQLFEPQQVEDDDDDDLVIAQDDEPVLGFSSAMIWLALMTLLTALLSGYVVSTIEAASESWELSVSFISIILLPIVGNAAEHAGAVIFALKNKLDITLGVSLGSATQISMFVTGSLFLAILVTAFTLQEGESHYLKGLILVLCYAVISVCFFVIRRRSAGGTDGVHHLDVIV >ONIVA05G29950.2 pep chromosome:AWHD00000000:5:27666090:27670213:-1 gene:ONIVA05G29950 transcript:ONIVA05G29950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRMMMESNTMAKEMAVRHHLLPGSPRRRTAHNLSSSSLRKSSDASLLHKVPCAALRSLLANLNDVLLTTRLFLLFPAVLLAIAATYLHFGQVWVFVLSLIGLVPLAERLSFLTEQIAFYTGPTVGGLLNATFGNVTEVIIALLALREGKIEVVKCSLLGSILSNLLLVLGTSLFLAGIANLRAHQPYDTKQAHVNTALLMLAVEDDDDDDLVIAQDDEPVLGFSSAMIWLALMTLLTALLSGYVVSTIEAASESWELSVSFISIILLPIVGNAAEHAGAVIFALKNKLDITLGVSLGSATQISMFVTGSLFLAILVTAFTLQEGESHYLKGLILVLCYAVISVCFFVIRRRSAGGTDGVHHLDVIV >ONIVA05G29940.1 pep chromosome:AWHD00000000:5:27662028:27662861:-1 gene:ONIVA05G29940 transcript:ONIVA05G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYDEREFWQFSDQLRLHNFSSLSIADSIWSSPSPAAVDHSSNKLALANNSNNNATAKTYFNKSVGRPANNNFNFNYNSNSVVVDAFNGKKKAAVDAPAGGGGGGRNSNKKNSSSNDNKMSSRLKKSQLPASESVPKEEAIGGYIFVCNNETMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEATSFGGSNIDPGAWEDSKCPGGESRFPAQVRVATRKICEPLEEDAFRPVLHHYDGPKFRLELTVAEALSLLDIFAEKLFA >ONIVA05G29930.1 pep chromosome:AWHD00000000:5:27655718:27657510:-1 gene:ONIVA05G29930 transcript:ONIVA05G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLLCLLLLQLVGLVVAGGGRWRWQEEFLRLPSSDEATRWAVLIAGSNGFYNYRHQADMCHAYQIMRKGGVEEQNIVVMMYDDIAHNPDNPRPGLIFNHPSGPDVYAGVPKDYTGDDVNVNNFLAVLLGNRSALTGSGSGKVVASGPNDHVFVYYADHGGPGVLSMPADGEYLYADDLVKALKKKHAGGGYKSLVVYVEACESGSIFEGLLPSDISVYATTASNAEESSWGTYCPGDDHDAPAAEFDTCLGDLYSVAWMEDAEAHQEGRLAETLRQQYRTVKNRTSDEGTYTLGSHVMQYGDMALAPQSLDLYYMDTSPATANDHKLAAAGAKGSHSYTVSVNQRDADLLYLWRKYRRAGEGTAEKVEARERLVQEMGRRSRVDRSVEMIGGLLLGGAKHKQQVVRERAALVEHWECLRSMVRTFEDQCGSLGQYGIKHMRSFANICNAGVPHHAMAKAASLACPSPPPYSIQGTKSQRVGRGSHGKFIT >ONIVA05G29920.1 pep chromosome:AWHD00000000:5:27644692:27649039:-1 gene:ONIVA05G29920 transcript:ONIVA05G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNKFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDSPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRAIAPATGQSSAMAPIANNNRLSATEEGRRSGWSDMDAMRRQVPPPAINAGSLAKQKSPIGHEQSTSKDAMFSSSTFLGRSSGSSRRPAVSSSREPSTEADQSRSRTTDASPGAFQRSGAPRWSPQMVDSSDNRRTPSGRHPSNAKNYESTIRGIQGLNFDGDDRIQY >ONIVA05G29920.2 pep chromosome:AWHD00000000:5:27644694:27648642:-1 gene:ONIVA05G29920 transcript:ONIVA05G29920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQITWRRKNQGPLLVIKGFVPGSLVHTVMEPRIGNKFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDSPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRAIAPATGQSSAMAPIANNNRLSATEEGRRSGWSDMDAMRRQVPPPAINAGSLAKQKSPIGHEQSTSKDAMFSSSTFLGRSSGSSRRPAVSSSREPSTEADQSRSRTTDASPGAFQRSGAPRWSPQMVDSSDNRRTPSGRHPSNAKNYESTIRGIQGLNFDGDDRIQY >ONIVA05G29910.1 pep chromosome:AWHD00000000:5:27639895:27644362:1 gene:ONIVA05G29910 transcript:ONIVA05G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEAAGGNDAPAGNSQRMGFPFQCRSPSSHPGAQRLRASTAAHGDLDRKTSHNTATAAGSEHGNDSGTLVIEVDEVVGPAEILVDKANSNASYAKDVSSPIENKKPFSLSISYKRLDTSP >ONIVA05G29900.1 pep chromosome:AWHD00000000:5:27638805:27639814:-1 gene:ONIVA05G29900 transcript:ONIVA05G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAMAFAAPNKLDLGAGALPKCPECESDPTRTESSETQAGRPLLSPLLSPRLSSLLLLLLSFPPPLPPATPAPLHRPPPRPPPPPTHPFASLPSPLDRTSTPLRPCPVFSPANPNPSSARQSSCGEILLVVEHTLKNPEE >ONIVA05G29890.1 pep chromosome:AWHD00000000:5:27628124:27638757:-1 gene:ONIVA05G29890 transcript:ONIVA05G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIETCSMGGIFNYGVSVGNKSSPRSLAIEKAQEELRQEHDVREERKRELDFLEKGGNPLDFKFVHVASVSVQSTSLTEQIVEQNVISDAKGSFAFAASPRGDSVESNGRPGSSPCRETNTADNLMLFRGDKNDVVEEKIVKRGTKRTNAAQSKQPLPTDGHNNAKQAEDSVLSRLGVKSQAYVRRNRSKPCREITSVKSPTVPAKSSEPKDAKGEMQEKQADGHGARSVSGLKQAGQKRENATKSTASDEHVAMELDGIQTNRGSNCLVKNEASQSDGSSKAIEVLPNAYGNQQLGGCGEVVAAGEPVEIPDSTSNIILRTSYSSAKSTHHASETHAYDQKVEDGQLDKGFTSIHVDELDNSGISPVCAVESETVCTNLVDPHCEESIDMTHNHADGKSNQVDMKNVDEPQDLDTSRLSNKGIKESVQLEGPVLPKNSLPEKYGSDMETEIKTSGENLDQMAQKEHEDSILKKAHLIEVNLKRAGERSLYNMSLEKRTRGHWDFVLEEMAWMANDFMQERLWKNMAAARVCHWVVSKGRAKFEEAIIQRKQKAVTRSLVKGIMSFWRSAEALRTIGRTAVIQEHNSDMLDTTNHTGLKAEKSEGNKSSEAEEPNYPRQSRIQDYAVKFLEYNSQTSGSLVLAEAPPTPDRLNDFGTLKVSDLSEGSLFYTVAPSAMKVYRESVESLSVHHKKTGYIGLKDDYEASGCDSAADLPQENAYEDEGETCTYLPEAYDGGLLSQMGHRKHLGQQRINGARSYGISTHVPFEPYLESKLSNGKRPSSFLAVPTKRIRTAARQRIVSPYPAGIGGTTQVTSKTDVSSGDTSSYQDDQSSLHGGSLPWKNTDFESTVDFDRQLPYDGREVVTKANKKKKLKNPGYKTSQNAANSCALASAKGRICDQRSQADFFTQYDQKDFLKKKSDSEQFDSKWNIASHGGQHALKKLKLMRQGIDISQEASPVASQMSNMANSAKIIKIITNRDRGRKGKALKMASSGGWSNFEDQALVVLVHDLGQNWELVSDAINSIEQFKSVYRQPKGCKERYKGSARQLFQRLQGPIEEENLKAHFEKMILLMRQLHARRRKGNSQELKSIIQPHSSHVAALTQACPNNLSGGALTPLDLCDAVSSNLDASTPGSGYQSSYTIGLTPPNHHGSNGPTTPNSTLNSRLSGSPGTVLSNNFSPPSTFSASSRDAQKYGVPRCTSLQSDEQQKIHYNQILSGRNLQQTGGSVPGAFPPGVDRGARVMPGTHGMGMVSGLNRGMPAATVGFPRHSSPGKPNVVSPGNVLANSGQGAPNAVNVHPGAMSAPGNPTLRPHNPMQALHVSQGNTQAISSMNHSLSNAASSSPVQSFPIQQHQQTHQISPPSHMFGNPQHPQIQGMSHSNPQQQTYAMRLAKERNIQQRMVPQQQNDLPGASAVPSVQNGSHGQQQKQSPAALSAPCSQPQHQRQQAAQNPPDSCAHPNQPTNATQHKPKKSQQQPRQNQQQRNQGSQQAKLMKSLGRGNMLIPQTTVDTTPTNAVSASSKKQITENKLIQHGQGTLPGNKASNPSIPHPGNQHKLYSSPLPQSPKQLPDIGNQGVLQGSPSQALLTSQQLPLHPKSSLTTQQQQRHVHSSQNSIQRMMMHQNLQSNSDCRPDSQVVQVQNNQIVPTPPIPQSTESGSTGLSSISQQKHEVSNDSNAVNSTSMMLSSPQDTFAGGERLLPSSSHDVLERQMPGGLPMYGGQWHQEQSKQQLQPPNQQRPVVQGSVSVTP >ONIVA05G29890.2 pep chromosome:AWHD00000000:5:27627540:27638757:-1 gene:ONIVA05G29890 transcript:ONIVA05G29890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIETCSMGGIFNYGVSVGNKSSPRSLAIEKAQEELRQEHDVREERKRELDFLEKGGNPLDFKFVHVASVSVQSTSLTEQIVEQNVISDAKGSFAFAASPRGDSVESNGRPGSSPCRETNTADNLMLFRGDKNDVVEEKIVKRGTKRTNAAQSKQPLPTDGHNNAKQAEDSVLSRLGVKSQAYVRRNRSKPCREITSVKSPTVPAKSSEPKDAKGEMQEKQADGHGARSVSGLKQAGQKRENATKSTASDEHVAMELDGIQTNRGSNCLVKNEASQSDGSSKAIEVLPNAYGNQQLGGCGEVVAAGEPVEIPDSTSNIILRTSYSSAKSTHHASETHAYDQKVEDGQLDKGFTSIHVDELDNSGISPVCAVESETVCTNLVDPHCEESIDMTHNHADGKSNQVDMKNVDEPQDLDTSRLSNKGIKESVQLEGFIGSTSVKENSNHVQPEVSTTVPVKDESEAFDSAIVAQKDIVCLSPVHSMNKEESPGSEGRNSCLGNSNSIHPIVVGPVLPKNSLPEKYGSDMETEIKTSGENLDQMAQKEHEDSILKKAHLIEVNLKRAGERSLYNMSLEKRTRGHWDFVLEEMAWMANDFMQERLWKNMAAARVCHWVVSKGRAKFEEAIIQRKQKAVTRSLVKGIMSFWRSAEALRTIGRTAVIQEHNSDMLDTTNHTGLKAEKSEGNKSSEAEEPNYPRQSRIQDYAVKFLEYNSQTSGSLVLAEAPPTPDRLNDFGTLKVSDLSEGSLFYTVAPSAMKVYRESVESLSVHHKKTGYIGLKDDYEASGCDSAADLPQENAYEDEGETCTYLPEAYDGGLLSQMGHRKHLGQQRINGARSYGISTHVPFEPYLESKLSNGKRPSSFLAVPTKRIRTAARQRIVSPYPAGIGGTTQVTSKTDVSSGDTSSYQDDQSSLHGGSLPWKNTDFESTVDFDRQLPYDGREVVTKANKKKKLKNPGYKTSQNAANSCALASAKGRICDQRSQADFFTQYDQKDFLKKKSDSEQFDSKWNIASHGGQHALKKLKLMRQGIDISQEASPVASQMSNMANSAKIIKIITNRDRGRKGKALKMASSGGWSNFEDQALVVLVHDLGQNWELVSDAINSIEQFKSVYRQPKGCKERYKGSARQLFQRLQGPIEEENLKAHFEKMILLMRQLHARRRKGNSQELKSIIQPHSSHVAALTQACPNNLSGGALTPLDLCDAVSSNLDASTPGSGYQSSYTIGLTPPNHHGSNGPTTPNSTLNSRLSGSPGTVLSNNFSPPSTFSASSRDAQKYGVPRCTSLQSDEQQKIHYNQILSGRNLQQTGGSVPGAFPPGVDRGARVMPGTHGMGMVSGLNRGMPAATVGFPRHSSPGKPNVVSPGNVLANSGQGAPNAVNVHPGAMSAPGNPTLRPHNPMQALHVSQGNTQAISSMNHSLSNAASSSPVQSFPIQQHQQTHQISPPSHMFGNPQHPQIQGMSHSNPQQQTYAMRLAKERNIQQRMVPQQQNDLPGASAVPSVQNGSHGQQQKQSPAALSAPCSQPQHQRQQAAQNPPDSCAHPNQPTNATQHKPKKSQQQPRQNQQQRNQGSQQAKLMKSLGRGNMLIPQTTVDTTPTNAVSASSKKQITENKLIQHGQGTLPGNKASNPSIPHPGNQHKLYSSPLPQSPKQLPDIGNQGVLQGSPSQALLTSQQLPLHPKSSLTTQQQQRHVHSSQNSIQRMMMHQNLQSNSDCRPDSQVVQVQNNQIVPTPPIPQSTESGSTGLSSISQQKHEVSNDSNAVNSTSMMLSSPQDTFAGGERLLPSSSHDVLERQMPGGLPMYGGQWHQEQSKQQLQPPNQQRPVVQGSHQCGRRQRQRE >ONIVA05G29890.3 pep chromosome:AWHD00000000:5:27628124:27638757:-1 gene:ONIVA05G29890 transcript:ONIVA05G29890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIETCSMGGIFNYGVSVGNKSSPRSLAIEKAQEELRQEHDVREERKRELDFLEKGGNPLDFKFVHVASVSVQSTSLTEQIVEQNVISDAKGSFAFAASPRGDSVESNGRPGSSPCRETNTADNLMLFRGDKNDVVEEKIVKRGTKRTNAAQSKQPLPTDGHNNAKQAEDSVLSRLGVKSQAYVRRNRSKPCREITSVKSPTVPAKSSEPKDAKGEMQEKQADGHGARSVSGLKQAGQKRENATKSTASDEHVAMELDGIQTNRGSNCLVKNEASQSDGSSKAIEVLPNAYGNQQLGGCGEVVAAGEPVEIPDSTSNIILRTSYSSAKSTHHASETHAYDQKVEDGQLDKGFTSIHVDELDNSGISPVCAVESETVCTNLVDPHCEESIDMTHNHADGKSNQVDMKNVDEPQDLDTSRLSNKGIKESVQLEGFIGSTSVKENSNHVQPEVSTTVPVKDESEAFDSAIVAQKDIVCLSPVHSMNKEESPGSEGRNSCLGNSNSIHPIVVGPVLPKNSLPEKYGSDMETEIKTSGENLDQMAQKEHEDSILKKAHLIEVNLKRAGERSLYNMSLEKRTRGHWDFVLEEMAWMANDFMQERLWKNMAAARVCHWVVSKGRAKFEEAIIQRKQKAVTRSLVKGIMSFWRSAEALRTIGRTAVIQEHNSDMLDTTNHTGLKAEKSEGNKSSEAEEPNYPRQSRIQDYAVKFLEYNSQTSGSLVLAEAPPTPDRLNDFGTLKVSDLSEGSLFYTVAPSAMKVYRESVESLSVHHKKTGYIGLKDDYEASGCDSAADLPQENAYEDEGETCTYLPEAYDGGLLSQMGHRKHLGQQRINGARSYGISTHVPFEPYLESKLSNGKRPSSFLAVPTKRIRTAARQRIVSPYPAGIGGTTQVTSKTDVSSGDTSSYQDDQSSLHGGSLPWKNTDFESTVDFDRQLPYDGREVVTKANKKKKLKNPGYKTSQNAANSCALASAKGRICDQRSQADFFTQYDQKDFLKKKSDSEQFDSKWNIASHGGQHALKKLKLMRQGIDISQEASPVASQMSNMANSAKIIKIITNRDRGRKGKALKMASSGGWSNFEDQALVVLVHDLGQNWELVSDAINSIEQFKSVYRQPKGCKERYKGSARQLFQRLQGPIEEENLKAHFEKMILLMRQLHARRRKGNSQELKSIIQPHSSHVAALTQACPNNLSGGALTPLDLCDAVSSNLDASTPGSGYQSSYTIGLTPPNHHGSNGPTTPNSTLNSRLSGSPGTVLSNNFSPPSTFSASSRDAQKYGVPRCTSLQSDEQQKIHYNQILSGRNLQQTGGSVPGAFPPGVDRGARVMPGTHGMGMVSGLNRANSGQGAPNAVNVHPGAMSAPGNPTLRPHNPMQALHVSQGNTQAISSMNHSLSNAASSSPVQSFPIQQHQQTHQISPPSHMFGNPQHPQIQGMSHSNPQQQTYAMRLAKERNIQQRMVPQQQNDLPGASAVPSVQNGSHGQQQKQSPAALSAPCSQPQHQRQQAAQNPPDSCAHPNQPTNATQHKPKKSQQQPRQNQQQRNQGSQQAKLMKSLGRGNMLIPQTTVDTTPTNAVSASSKKQITENKLIQHGQGTLPGNKASNPSIPHPGNQHKLYSSPLPQSPKQLPDIGNQGVLQGSPSQALLTSQQLPLHPKSSLTTQQQQRHVHSSQNSIQRMMMHQNLQSNSDCRPDSQVVQVQNNQIVPTPPIPQSTESGSTGLSSISQQKHEVSNDSNAVNSTSMMLSSPQDTFAGGERLLPSSSHDVLERQMPGGLPMYGGQWHQEQSKQQLQPPNQQRPVVQGSVSVTP >ONIVA05G29890.4 pep chromosome:AWHD00000000:5:27628124:27638757:-1 gene:ONIVA05G29890 transcript:ONIVA05G29890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIETCSMGGIFNYGVSVGNKSSPRSLAIEKAQEELRQEHDVREERKRELDFLEKGGNPLDFKFVHVASVSVQSTSLTEQIVEQNVISDAKGSFAFAASPRGDSVESNGRPGSSPCRETNTADNLMLFRGDKNDVVEEKIVKRGTKRTNAAQSKQPLPTDGHNNAKQAEDSVLSRLGVKSQAYVRRNRSKPCREITSVKSPTVPAKSSEPKDAKGEMQEKQADGHGARSVSGLKQAGQKRENATKSTASDEHVAMELDGIQTNRGSNCLVKNEASQSDGSSKAIEVLPNAYGNQQLGGCGEVVAAGEPVEIPDSTSNIILRTSYSSAKSTHHASETHAYDQKVEDGQLDKGFTSIHVDELDNSGISPVCAVESETVCTNLVDPHCEESIDMTHNHADGKSNQVDMKNVDEPQDLDTSRLSNKGIKESVQLEGFIGSTSVKENSNHVQPEVSTTVPVKDESEAFDSAIVAQKDIVCLSPVHSMNKEESPGSEGRNSCLGNSNSIHPIVVGPVLPKNSLPEKYGSDMETEIKTSGENLDQMAQKEHEDSILKKAHLIEVNLKRAGERSLYNMSLEKRTRGHWDFVLEEMAWMANDFMQERLWKNMAAARVCHWVVSKGRAKFEEAIIQRKQKAVTRSLVKGIMSFWRSAEALRTIGRTAVIQEHNSDMLDTTNHTGLKAEKSEGNKSSEAEEPNYPRQSRIQDYAVKFLEYNSQTSGSLVLAEAPPTPDRLNDFGTLKVSDLSEGSLFYTVAPSAMKVYRESVESLSVHHKKTGYIGLKDDYEASGCDSAADLPQENAYEDEGETCTYLPEAYDGGLLSQMGHRKHLGQQRINGARSYGISTHVPFEPYLESKLSNGKRPSSFLAVPTKRIRTAARQRIVSPYPAGIGGTTQVTSKTDVSSGDTSSYQDDQSSLHGGSLPWKNTDFESTVDFDRQLPYDGREVVTKANKKKKLKNPGYKTSQNAANSCALASAKGRICDQRSQADFFTQYDQKDFLKKKSDSEQFDSKWNIASHGGQHALKKLKLMRQGIDISQEASPVASQMSNMANSAKIIKIITNRDRGRKGKALKMASSGGWSNFEDQALVVLVHDLGQNWELVSDAINSIEQFKSVYRQPKGCKERYKGSARQLFQRLQGPIEEENLKAHFEKMILLMRQLHARRRKGNSQELKSIIQPHSSHVAALTQACPNNLSGGALTPLDLCDAVSSNLDASTPGSGYQSSYTIGLTPPNHHGSNGPTTPNSTLNSRLSGSPGTVLSNNFSPPSTFSASSRDAQKYGVPRCTSLQSDEQQKIHYNQILSGRNLQQTGGSVPGAFPPGVDRGARVMPGTHGMGMVSGLNRGMPAATVGFPRHSSPGKPNVVSPGNVLANSGQGAPNAVNVHPGAMSAPGNPTLRPHNPMQALHVSQGNTQAISSMNHSLSNAASSSPVQSFPIQQHQQTHQISPPSHMFGNPQHPQIQGMSHSNPQQQTYAMRLAKERNIQQRMVPQQQNDLPGASAVPSVQNGSHGQQQKQSPAALSAPCSQPQHQRQQAAQNPPDSCAHPNQPTNATQHKPKKSQQQPRQNQQQRNQGSQQAKLMKSLGRGNMLIPQTTVDTTPTNAVSASSKKQITENKLIQHGQGTLPGNKASNPSIPHPGNQHKLYSSPLPQSPKQLPDIGNQGVLQGSPSQALLTSQQLPLHPKSSLTTQQQQRHVHSSQNSIQRMMMHQNLQSNSDCRPDSQVVQVQNNQIVPTPPIPQSTESGSTGLSSISQQKHEVSNDSNAVNSTSMMLSSPQDTFAGGERLLPSSSHDVLERQMPGGLPMYGGQWHQEQSKQQLQPPNQQRPVVQGSVSVTP >ONIVA05G29890.5 pep chromosome:AWHD00000000:5:27628527:27638757:-1 gene:ONIVA05G29890 transcript:ONIVA05G29890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIETCSMGGIFNYGVSVGNKSSPRSLAIEKAQEELRQEHDVREERKRELDFLEKGGNPLDFKFVHVASVSVQSTSLTEQIVEQNVISDAKGSFAFAASPRGDSVESNGRPGSSPCRETNTADNLMLFRGDKNDVVEEKIVKRGTKRTNAAQSKQPLPTDGHNNAKQAEDSVLSRLGVKSQAYVRRNRSKPCREITSVKSPTVPAKSSEPKDAKGEMQEKQADGHGARSVSGLKQAGQKRENATKSTASDEHVAMELDGIQTNRGSNCLVKNEASQSDGSSKAIEVLPNAYGNQQLGGCGEVVAAGEPVEIPDSTSNIILRTSYSSAKSTHHASETHAYDQKVEDGQLDKGFTSIHVDELDNSGISPVCAVESETVCTNLVDPHCEESIDMTHNHADGKSNQVDMKNVDEPQDLDTSRLSNKGIKESVQLEGFIGSTSVKENSNHVQPEVSTTVPVKDESEAFDSAIVAQKDIVCLSPVHSMNKEESPGSEGRNSCLGNSNSIHPIVVGPVLPKNSLPEKYGSDMETEIKTSGENLDQMAQKEHEDSILKKAHLIEVNLKRAGERSLYNMSLEKRTRGHWDFVLEEMAWMANDFMQERLWKNMAAARVCHWVVSKGRAKFEEAIIQRKQKAVTRSLVKGIMSFWRSAEALRTIGRTAVIQEHNSDMLDTTNHTGLKAEKSEGNKSSEAEEPNYPRQSRIQDYAVKFLEYNSQTSGSLVLAEAPPTPDRLNDFGTLKVSDLSEGSLFYTVAPSAMKVYRESVESLSVHHKKTGYIGLKDDYEASGCDSAADLPQENAYEDEGETCTYLPEAYDGGLLSQMGHRKHLGQQRINGARSYGISTHVPFEPYLESKLSNGKRPSSFLAVPTKRIRTAARQRIVSPYPAGIGGTTQVTSKTDVSSGDTSSYQDDQSSLHGGSLPWKNTDFESTVDFDRQLPYDGREVVTKANKKKKLKNPGYKTSQNAANSCALASAKGRICDQRSQADFFTQYDQKDFLKKKSDSEQFDSKWNIASHGGQHALKKLKLMRQGIDISQEASPVASQMSNMANSAKIIKIITNRDRGRKGKALKMASSGGWSNFEDQALVVLVHDLGQNWELVSDAINSIEQFKSVYRQPKGCKERYKGSARQLFQRLQGPIEEENLKAHFEKMILLMRQLHARRRKGNSQELKSIIQPHSSHVAALTQACPNNLSGGALTPLDLCDAVSSNLDASTPGSGYQSSYTIGLTPPNHHGSNGPTTPNSTLNSRLSGSPGTVLSNNFSPPSTFSASSRDAQKYGVPRCTSLQSDEQQKIHYNQILSGRNLQQTGGSVPGAFPPGVDRGARVMPGTHGMGMVSGLNRGMPAATVGFPRHSSPGKPNVVSPGNVLANSGQGAPNAVNVHPGAMSAPGNPTLRPHNPMQALHVSQGNTQAISSMNHSLSNAASSSPVQSFPIQQHQQTHQISPPSHMFGNPQHPQIQGMSHSNPQQQTYAMRLAKERNIQQRMVPQQQNDLPGASAVPSVQNGSHGQQQKQSPAALSAPCSQPQHQRQQAAQNPPDSCAHPNQPTNATQHKPKKSQQQPRQNQQQRNQGSQQAKLMKSLGRGNMLIPQTTVDTTPTNAVSASSKKQITENKLIQHGQGTLPGNKASNPSIPHPGNQHKLYSSPLPQSPKQLPDIGNQGVLQGSPSQALLTSQQLPLHPKSSLTTQQQQRHVHSSQNSIQRMMMHQNLQSNSDCRPDSQVVQVQNNQIVPTPPIPQSTESGSTGLSSISQQKHEVSNDSNAVNSTSMMLSSPQDTFAGGERLLPSSSHDVLERQMPGGLPMYGGQWHQEQSKQQLQPPNQQRPVVQGSVYAPLNPGPG >ONIVA05G29880.1 pep chromosome:AWHD00000000:5:27625855:27627023:-1 gene:ONIVA05G29880 transcript:ONIVA05G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKQPPPHAPPPPPPPPPVEGKPKPPPHAPPPPPPEAKKSFMRRMFPFLLAANVFVGAYMLMRTYQKDSGKKDTENDPTSSTPTSSPAAAEKPAEPIVAPIKVLTPISQDDQRQLYKWMLEEKRKIKPRDAAEKKKINEEKALLKEFIRAGSLPSL >ONIVA05G29880.2 pep chromosome:AWHD00000000:5:27625853:27627023:-1 gene:ONIVA05G29880 transcript:ONIVA05G29880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKQPPPHAPPPPPPPPPVEGKPKPPPHAPPPPPPEAKKSFMRRMFPFLLAANVFVGAYMLMRTYQKDSGKKDTENDPTSSTPTSSPAAAEKPAEPIVAPIKVLTPISQDDQRQLYKWMLEEKRKIKPRDAAEKKKINEEKALLKEFIRAGSLPSL >ONIVA05G29870.1 pep chromosome:AWHD00000000:5:27620572:27624538:-1 gene:ONIVA05G29870 transcript:ONIVA05G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit C (VATC) / V-ATPase C subunit / vacuolar proton pump C subunit (DET3) [Source:Projected from Arabidopsis thaliana (AT1G12840) TAIR;Acc:AT1G12840] MATRYWIVSLPVQTPGSTANSLWARLQDSISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFIEGVSHKIRRQIEELERAGGVESGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIVGSIQSQVSKIEDDMKVRGAEYNNVRSQLSAINRKQTGSLAVRDLSNLVKPEDMVTSEHLVTLLAVVPKYSQKDWLSSYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSSEAQESRKEELEKLMQDQEAMRASLLQWCYASYSENTIYVFSSWMHFCAVRVFVESILRYGLPPSFLSAVLAPSQKGEKKVRSILEELCGNVHSIYWKSEDDVGVAGLGGETEAHPYYVPCYLWPGKAVFWSNYYFGKQKTSEWFGPGS >ONIVA05G29870.2 pep chromosome:AWHD00000000:5:27620773:27624538:-1 gene:ONIVA05G29870 transcript:ONIVA05G29870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit C (VATC) / V-ATPase C subunit / vacuolar proton pump C subunit (DET3) [Source:Projected from Arabidopsis thaliana (AT1G12840) TAIR;Acc:AT1G12840] MATRYWIVSLPVQTPGSTANSLWARLQDSISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFIEGVSHKIRRQIEELERAGGVESGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIVGSIQSQVSKIEDDMKVRGAEYNNVRSQLSAINRKQTGSLAVRDLSNLVKPEDMVTSEHLVTLLAVVPKYSQKDWLSSYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSSEAQESRKEELEKLMQDQEAMRASLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPPSFLSAVLAPSQKGEKKVRSILEELCGNVHSIYWKSEDDVGVAGLGAYMIL >ONIVA05G29870.3 pep chromosome:AWHD00000000:5:27620572:27624538:-1 gene:ONIVA05G29870 transcript:ONIVA05G29870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit C (VATC) / V-ATPase C subunit / vacuolar proton pump C subunit (DET3) [Source:Projected from Arabidopsis thaliana (AT1G12840) TAIR;Acc:AT1G12840] MATRYWIVSLPVQTPGSTANSLWARLQDSISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFIEGVSHKIRRQIEELERAGGVESGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIVGSIQSQVSKIEDDMKVRGAEYNNVRSQLSAINRKQTGSLAVRDLSNLVKPEDMVTSEHLVTLLAVVPKYSQKDWLSSYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSSEAQESRKEELEKLMQDQEAMRASLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPPSFLSAVLAPSQKGEKKVRSILEELCGNVHSIYWKSEDDVGVAGLGGETEAHPYPGS >ONIVA05G29870.4 pep chromosome:AWHD00000000:5:27620932:27624538:-1 gene:ONIVA05G29870 transcript:ONIVA05G29870.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit C (VATC) / V-ATPase C subunit / vacuolar proton pump C subunit (DET3) [Source:Projected from Arabidopsis thaliana (AT1G12840) TAIR;Acc:AT1G12840] MATRYWIVSLPVQTPGSTANSLWARLQDSISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFIEGVSHKIRRQIEELERAGGVESGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIVGSIQSQVSKIEDDMKVRGAEYNNVRSQLSAINRKQTGSLAVRDLSNLVKPEDMVTSEHLVTLLAVVPKYSQKDWLSSYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSSEAQESRKEELEKLMQDQEAMRASLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPPSFLSAVLAPSQKGEKKVRSILEELCGNVHSIYWKSEDDVGVAGLGGETEAHPYVSFTINFI >ONIVA05G29860.1 pep chromosome:AWHD00000000:5:27618321:27619592:-1 gene:ONIVA05G29860 transcript:ONIVA05G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRRKRGESKPQAHDAGDTTPIDNILTSLDDAPPPPPPPRRELPLLQLNDHQEADDADASSSSSPHQQRRLWVKDRSRAWWELCSSADYPEADFRRAFRMSRPTFHFLCDALAAAVAKEDTALRAAIPVRQRVAVCVWRLATGEPLRVVSKRFGLGISTCHKLILEVCAAIRNLLMPRFLHWPDHPTSTAYKTRFEATSGVPGVVGAMYTTHIPIIAPKVSVAAYLNRRHTERNHKTSYSITLQGVVGPDGTFTDVCIGWPGSMSDEQVLRKSALHQRASAAAGSMSWVVGGASYPLTEWMLVPYAQRNLTWTQHAFNEKVGEVRRVATEAFVRLKGRWACLQKRTEVKLQDLPAVLAACCVLHNICETRGEDMDPDLRCDLPPDEEEDDTVLVQSESANKVRDDIAHNLLHRGLAGTAFF >ONIVA05G29850.1 pep chromosome:AWHD00000000:5:27611934:27614662:-1 gene:ONIVA05G29850 transcript:ONIVA05G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLALPAAPPAPTLSFTLLAAAAAVAEAMEEALGAALPPLTAAPAPGDDSACGSPCSVASDCSSVASADFEGFAELGTSLLAGPAVLFDDLTAAAAASVAVAEAAEPRAVGPTARSVFAMDCVPLWGLESICGRRPEMEDDYAVVPRFFDLPLWMVAGDAAVDGLDRASFRLPAHFFAVYDGHGGVQVANYCRKRIHAVLTEELRRAEDDACGSDLSGLESKKLWEKAFVDCFSRVDAEVGGNAASGAPPVAPDTVGSTAVVAVVCSSHVIVANCGDSRAVLCRGKQPLPLSLDHKPNREDEYARIEALGGKVIQWNGYRVLGVLAMSRSIGDKYLKPYIIPVPEVTVVARAKDDDCLILASDGLWDVMSNEEVCDAARKRILLWHKKNAATASTSSAQISGDSSDPAAQAAADYLSKLALQKGSKDNITVVVIDLKAHRKFKSKA >ONIVA05G29840.1 pep chromosome:AWHD00000000:5:27602117:27607217:-1 gene:ONIVA05G29840 transcript:ONIVA05G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEDTETAEDGKAGEGEVESAAAKKKKKKKEKEKEKKAATKEADAKKEEEKAVEAPKGKVDMKKLPKHVREMQEALARRQEAEERKKREEEERLRKEEEERLKKEEEERKAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSELQVADGAVPETKKRPIYDSKKKKGQQKTVETAKVVEEQPQEVNETINDEEEYVLVDQESQLQVEESEEKTEPDQDVEESKPEEEEDEDEWDAKSWDDIDVNLPKTSAFEEEEANPVAKKVAEPVQKQENSKAQSTVATVKKVANSNKGETEDGESSSANARRNRGASKKGPIKEDETKNGSDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTKELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKKCTNAPIGKALRQQNEDVKREFNMRLTDIVTQFKMQGVNTALYYKNKEMEDTYNIVPTSAISGEGIPDLLLLLVQWAQKTMEERLTFVDEVQCTVLEVKVVEGHGTTVDVVLVNGILHEGDQIVVCGMQGPIVTTVRALLTPHPMRELRVKGTYQHHKKIRAAQGVKISAQGLEHAIAGTALYVLKPDDDLDRLKDAVMEEMTRVRNRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEESGVRIFVADIIYHLFDQFTAYIKNLREEKKKESAEEAVFPCVLKIMPNCVFNKKDPIVLGVDVLEGIAKVGTPLCIPTKEYIDIGKIASIEINHKQVDMATKGQKVAIKIIGSNPDEQQKSFGRHFDMEDELVSRITRRSIDLLKENYRDDLSMDDWKLVVKLKSILKIP >ONIVA05G29840.2 pep chromosome:AWHD00000000:5:27602119:27607379:-1 gene:ONIVA05G29840 transcript:ONIVA05G29840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEDTETAEDGKAGEGEVESAAAKKKKKKKEKEKEKKAATKEADAKKEEEKAVEAPKGKVDMKKLPKHVREMQEALARRQEAEERKKREEEERLRKEEEERLKKEEEERKAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSELQVADGAVPETKKRPIYDSKKKKGQQKTVETAKVVEEQPQEVNETINDEEEYVLVDQESQLQVEESEEKTEPDQDVEESKPEEEEDEDEWDAKSWDDIDVNLPKTSAFEEEEANPVAKKVAEPVQKQENSKAQSTVATVKKVANSNKGETEDGESSSANARRNRGASKKGPIKEDETKNGSDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTKELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKKCTNAPIGKALRQQNEDVKREFNMRLTDIVTQFKMQGVNTALYYKNKEMEDTYNIVPTSAISGEGIPDLLLLLVQWAQKTMEERLTFVDEVQCTVLEVKVVEGHGTTVDVVLVNGILHEGDQIVVCGMQGPIVTTVRALLTPHPMRELRVKGTYQHHKKIRAAQGVKISAQGLEHAIAGTALYVLKPDDDLDRLKDAVMEEMTRVRNRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEESGVRIFVADIIYHLFDQFTAYIKNLREEKKKESAEEAVFPCVLKIMPNCVFNKKDPIVLGVDVLEGIAKVGTPLCIPTKEYIDIGKIASIEINHKQVDMATKGQKVAIKIIGSNPDEQQKSFGRHFDMEDELVSRITRRSIDLLKENYRDDLSMDDWKLVVKLKSILKIP >ONIVA05G29830.1 pep chromosome:AWHD00000000:5:27584850:27600668:1 gene:ONIVA05G29830 transcript:ONIVA05G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTSVTHSCVGNFTGPNQLNLIVAKCTRIEIHLLTPQGLQPMIDVPIYGRIATLELFRPHNETQDFLFIATERYKFCVLQWDGEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCVKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANSTFRAIPIKQSIIRAYGRVDPDGSRYLLGDNAGILHLLVLTHERERVTGLKIEYLGETSIASSISYLDNGVVYLVKLNLQADPNGSYVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAINDLLIQVTANSVRLVSCTSRELVDQWNAPEGFSVNVASANASQVLLATGGGHLVYLEIKDSKLVEVKHIQLEHEISCVDLNPIGENPQYSSLAAVGMWTDISVRILSLPDLELIRKENLGGEIVPRSVLLCTLEGVSYLLCALGDGHLFSFLLNASTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAIPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKHNQTSIEESETHFVRLLDHQTFEFLSIYQLDQYEHGCSIISCSFSDDNNVYYCVGTAYVLPEENEPSKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMSAVEMLDDEIYIGAENNYNIFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRLRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHEQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTSEARNFLDGDLIESFLDLSRNKMEEVAKGMGVPVEELSKRVEELTRLH >ONIVA05G29830.2 pep chromosome:AWHD00000000:5:27591518:27600668:1 gene:ONIVA05G29830 transcript:ONIVA05G29830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKAQVEQLLRYIVEEVPEDSEKKCSFKFPFIACEIFTCEIDIILRTLVEDEEEHPDIVVHLVDLIGTTSIMEVLIRLIGADETIYSNYADTLQWLENTDVLEMIVDKFSSSDSPEVHANAAEILSAVTRCAPPSLAAKICSPSFVGRLFRHALQESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGTLVTASPETVDGMLESLGDLLKLLDISSAENVLPTTYGCLQPPLGKHRLKIVEFISVLLTIGSETAEQELINQSAVKRSIDLFFQSQLIDHALNECNLVGKILAAERSSSLSTESNTPTLLSEGKVPPKIGNIGHITRIANKLIQLGNSNSIIQSHLQENSEWVEWQTTALVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGMYSNDDIEEAQVIERDDEDVYFDDESAEVVISSLRLGDDQDSSSLFTNSNWFTFDGDRGINDRLAASVPSSSPNSEETSLNTEETDEVQIGEDTSIEPQLESVSLENGPVEEAGDLADVSKQTDSNTEDEKLLCTEEEDLSKEAEESERHVDVRDGQVDIQAEDAAEGSCGDMGTGIAVDEPVSPSSEPNNASAGASPDTGDIHTAGSTGSNDSGAELHAKEDSQDGQKTDEPTTTE >ONIVA05G29820.1 pep chromosome:AWHD00000000:5:27579825:27583074:1 gene:ONIVA05G29820 transcript:ONIVA05G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQLGGGGKVAEPKDLAATDKDRPSSKKNRRRQKKPATSAAISPPVAAMQTLFDTSREVFQDSLPGFVPPPQAVARLAALLNDLKPHDVGIEPSMSCFKNADSKGPPRVTYLHFYDCPKFSFGIFCLPKSAVIPLHNHPGMTVFCKILFGSMHLKSYDWAKSAPDNDNNALETSDGARLAKVNTDAVFDASSETTVLYPENGGNLHCFTARTACAVLDVMGPPYNRADGRDCSYYDESPYLSSSGGDARYSWLKENHSTFEMKGVQMPQRLWTAIMGHYCYITECCSYSVK >ONIVA05G29810.1 pep chromosome:AWHD00000000:5:27569471:27572636:-1 gene:ONIVA05G29810 transcript:ONIVA05G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G49970) TAIR;Acc:AT1G49970] MALALRCPAAASPSPAKSTFPPSSSPPPSRLPRRPPASCRCYYYGDGGGFLKNYDHIPKQFREENLKDGLMDNYKNVPQFLYGLSPAQIEMFMNDDNPYDRQSQRVTEESISASRSYDEFGMYNLSGMHEGPAGYSMGMGMGTMSMGRAGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAFAIADFINRSKSKVYTINLSMAYGQAAMLLSLGVKGKRGVLPNSITKLYLPKVHKSGGAAIDMWIKAKELDTNTDYYLELLSKGVGKPKEELAEFLKGPRYFRAQEAIDYGLADTILHSLDGSFKPKDLTAQLAKAQEMRQSGKRPAAGAGRWSTPSVPR >ONIVA05G29800.1 pep chromosome:AWHD00000000:5:27567750:27568934:1 gene:ONIVA05G29800 transcript:ONIVA05G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKQGGGKQQAAAASPGPDQKDEELDPKFEWLDNANNFLLRLYLTGFKKEDFRVQVDGTGKLTVRGQRPAAGSKHNTRFHKVFQLPSNANIDDITGRFEASVLTITVPKRPAPTSSAPAPTSVQEIKQKAPTAKQEPQPQFDEAPNKKKQQQEEEEEAAKKKQQQLQEEEEATKKKQQEEEEEAAAKKTKKLQEEEAIAKHKPATTTERKQAEPTTAAPLPGHVVDRESLAEKVKRRAEEECAKAAAAAEEKTATALSRWRERVAGELEHLGDMRWAEGVVETARRNKDVIATAVAAFSLGFFLSHKFFCRR >ONIVA05G29790.1 pep chromosome:AWHD00000000:5:27566192:27566710:-1 gene:ONIVA05G29790 transcript:ONIVA05G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAPMGVAHLDPHSMEAIDSSSSVVIKEDEDDTVEHDDGFKRRAVCGGSSSAAGGGGWKVWRGEEQHDLWQIKDRRHGATSISTGIVVRPPSPEVKHALHLDTTLTHDTERRHTLIDLNLMSSNLRAADDEFLM >ONIVA05G29780.1 pep chromosome:AWHD00000000:5:27560319:27564305:-1 gene:ONIVA05G29780 transcript:ONIVA05G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT5G62740) TAIR;Acc:AT5G62740] MGGDDRLPPIVLSVWFYCVYLTSLSTGTPQGTAGSYLRTAAMGNLFCCVQVDQSTVAIREQFGKFDAVLEPGCHCLPWFAGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGQATTTSH >ONIVA05G29780.2 pep chromosome:AWHD00000000:5:27560319:27563365:-1 gene:ONIVA05G29780 transcript:ONIVA05G29780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT5G62740) TAIR;Acc:AT5G62740] MKGTAGSYLRTAAMGNLFCCVQVDQSTVAIREQFGKFDAVLEPGCHCLPWFAGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGQATTTSH >ONIVA05G29780.3 pep chromosome:AWHD00000000:5:27560317:27561816:-1 gene:ONIVA05G29780 transcript:ONIVA05G29780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT5G62740) TAIR;Acc:AT5G62740] MGNLFCCVQVDQSTVAIREQFGKFDAVLEPGCHCLPWFAGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGQATTTSH >ONIVA05G29770.1 pep chromosome:AWHD00000000:5:27554200:27558697:1 gene:ONIVA05G29770 transcript:ONIVA05G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRSVPARGRDSESSSRKTKAAASSSSTAGCWGRLPLLISSSGIMTSSPPDRSPPYLQTTAEPTLYAGTTNNSYKPFLPEEAFSGSISPSLVATDFQLRQFTYADLQHATGYFRPETFLGVGGFGRVYKGWIQVNETAHGKPRTGIPIAVKTLNCDGLQGHDEWVAEIHYLRNLKHPHLVKLIGFCMEGDQRQLVYEFMSRGSLENHLFIRSRTPLPWFLRVKIVLGAAKGLAFLHEQEMPVIFRDFKTSNILLDEDFNAKLSDFGFARDGPVGDMAHVSTRVLGTYGYAAPEYVLTGHLTSMSDVYSFGVVLLKVLSGKKAMERNLVEWAHNNANDRSIHRLIDPGLGSNFSMAGAQILARTARSCTRQNPRDRPLMSEVVHTLETLHTDQRANATTSYSYSQSQPPSPSANPSPSRSPMRSSASSPYGAPYPYGGIGGHGTRRAMA >ONIVA05G29760.1 pep chromosome:AWHD00000000:5:27542259:27545953:-1 gene:ONIVA05G29760 transcript:ONIVA05G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGPDKGRGAEAVVVVAVRAAARDISKAALVWALTHVVQHGDTILLLAVMPPPHNSGKKFWGFPLFAGGCASAHRSVLTQNSDVADLCNQMMLKLRDFYDPNKIITKLKVIPASPGGVATESKRAQASWVVLDKELKHEEKRCMEELQCNIVAMKRSRPKVLRLNLVRSPPKESKSPLPPLPELSDSVGETESSINEQRCPIREPAVTPSSSPESETAFGSTDVGTSSVSSSDPGTSPYSASETNSTFKKEATKDNFQHSDVNVSDSESEASTPPAASSLQPWMADILKGSASSRLAGNRPRRTRTPTADALLEKISKLDLLAEISAIRSRSDLNFRGNVRDVVSLSRSAPPGPPPLCSICQHKTPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQYKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRRNKETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEYAIDDLIDPRLGDRFSENEVLCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVESGCVSAPCSEAGSRSRRMLLQQEQQSSPAQQDSQIMVDGKPQSYVARRIAWDRDTQSLSHRF >ONIVA05G29750.1 pep chromosome:AWHD00000000:5:27537968:27541608:1 gene:ONIVA05G29750 transcript:ONIVA05G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNQFDLLVDVDNDDPSHLIAAAEKKAAAAAASPKLASSPAPAPAKLPTKPAPPAQAVREARNYGAPRDGAGRGGPGRGRGGGRGGRGGPRRDFGEGDANGFEGGYGGGGGFGDGGLARGEDGEGRQAERGRGPRQPYRGGGRRGGYSDGQSGDDYGRPRRAYERHSGTGRGYELKREGSGRGNWGTVTDEGLAQEVAEAVNTEEAPATAEDEKKPEDVPQSEVDKDKESPENEEEEKEPEDKEMTLEEYEKVLEEKRKALLALKAEERKVEVDKELQAMQQLSVKKANEEVFIKLGSDKDLKKKEKDEKDERTKKSLSINEFLKPAEGERYYNPGRGRGRGRGRGDRGGFYGGYNGNGGRRQAAAPVIEDQAQFPSLGGK >ONIVA05G29740.1 pep chromosome:AWHD00000000:5:27535992:27536957:-1 gene:ONIVA05G29740 transcript:ONIVA05G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCSGDYSTAGQRAGEEGGGGGGAGLRLFGVQLHAAAASSPASYLHKSYSMDCLRLQVSSPSSLQSSSSSPSPLTSSLLLSIDEGCERPAADGYLSDGPHGAAATMRERKKGVPWSEQEHRLFLAGLEKLGKGDWRGISRSFVTTRTPTQVASHAQKFFLRHNSAAKKTNNKRRSSLFDMVQDCDSGGRSLASSDPATRCNNNISASLSLQVSHHKSGDSAWPSSETPSVSEAQQGHGYGTSHHCSPLDLELGMSLSTTPSIGT >ONIVA05G29730.1 pep chromosome:AWHD00000000:5:27531089:27534466:1 gene:ONIVA05G29730 transcript:ONIVA05G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJ70] MGLQMMRGRPCAPCCSSSSSSSSSSSSSSSWMPSKHPHVPLSRRKISSESLRALALHLLLKRRKYQNGDISRISASSSGVLEITENKSNNKADAERKILDDALDRKPQIDWVEEDISSWMDKSYTSSNLEYNLLMQNIQVLESSLAGKDLVRLERDILVHIERLGALKSFNASISRATLTQTYESEFSLPGDIIKLDPEIPLEEQNVVVIVRSGKSQERKLKRMKASEKGSRVSVKTPSRKSKKSSSSQFIAEWKNYPGRRRSIVREQSALLVTIKECANLEKIRENLLKDGSEVSHASWAKAAGIDEALLRSRLQEGYCCRERLLVTTEWLVKYIAKTYAGMGTAFEDLLQAGKMGVLNGAEKFDSQKGCKFSTYVKYWIRKAMLALLAENSGVIQLPARMEGIIRKVREARRAIRYNTGRNPPDAEIAALIGVSVANVRLARKCSRRVVSLYTEIGVGQNAKFVEVTPDTSLEAPDEAMFRMQLRERLLHVLDRLPPREGHVLKLRHGLEDGKCRSLEQIGSIYHVSKEWIRKIEKSAMSKLRNDDSRPVHDTKHPRAGPQAHIYGPSVSAMMMTWL >ONIVA05G29730.2 pep chromosome:AWHD00000000:5:27531089:27534012:1 gene:ONIVA05G29730 transcript:ONIVA05G29730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJ70] MGLQMMRGRPCAPCCSSSSSSSSSSSSSSSWMPSKHPHVPLSRRKISSESLRALALHLLLKRRKYQNGDISRISASSSGVLEITENKSNNKADAERKILDDALDRKPQIDWVEEDISSWMDKSYTSSNLEYNLLMQNIQVLESSLAGKDLVRLERDILVHIERLGALKSFNASISRATLTQTYESEFSLPGDIIKLDPEIPLEEQNVVVIVRSGKSQERKLKRMKASEKGSRVSVKTPSRKSKKSSSSQFIAEWKNYPGRRRSIVREQSALLVTIKECANLEKIRENLLKDGSEVSHASWAKAAGIDEALLRSRLQEGYCCRERLLVTTEWLVKYIAKTYAGMGTAFEDLLQAGKMGVLNGAEKFDSQKGCKFSTYVKYWIRKAMLALLAENSGVIQLPARMEGIIRKVREARRAIRYNTGRNPPDAEIAALIGVSVANVRLARKCSRRVVSLYTEIGVGQNAKFVEVTPDTSLEAPDEAMFRMQLRERLLHVLDRLPPREGHVLKLRHGLEDGKCRSLEQIGSIYHVSKEWIRKIEKSAMSKLRNDDVHNELKDFCGF >ONIVA05G29720.1 pep chromosome:AWHD00000000:5:27525768:27530243:1 gene:ONIVA05G29720 transcript:ONIVA05G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDASGGDGPEQELKRAAAAAYNYEGDARWAEYWSNILVPPHLASRPDVVDHYKRKFYQRYIDRDLVVEPMSSTGSTQPSGPEVRIKFQISCTTAPTATNRQCYKSLTVLVVAGLGMLPILPKHLADRACKLSLLGTILSSAYSLYSTYGKPRAWNMPAVQGWLQAVLGTKDFIHLMFSLMLFTSQLHLKIAALPVFCWALDHVARFLRRNFARSSFYRSYLEEPCLWVETNNTTLSLLSSNAEIALGFLLTISLFSWQRSIIQTFMYWQVLKQMYHAPVTASYHQSAWAKIGRIVNPYIHRYAPFLNTPISAAQRWWFR >ONIVA05G29720.2 pep chromosome:AWHD00000000:5:27525768:27530243:1 gene:ONIVA05G29720 transcript:ONIVA05G29720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDASGGDGPEQELKRAAAAAYNYEGDARWAEYWSNILVPPHLASRPDVVDHYKRKFYQRYIDRDLVVEPMSSTGSTQPSGPEVRIKFQISCTTAPTATNRQCYKSLTKPRAWNMPAVQGWLQAVLGTKDFIHLMFSLMLFTSQLHLKIAALPVFCWALDHVARFLRRNFARSSFYRSYLEEPCLWVETNNTTLSLLSSNAEIALGFLLTISLFSWQRSIIQTFMYWQVLKQMYHAPVTASYHQSAWAKIGRIVNPYIHRYAPFLNTPISAAQRWWFR >ONIVA05G29720.3 pep chromosome:AWHD00000000:5:27525768:27530243:1 gene:ONIVA05G29720 transcript:ONIVA05G29720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDASGGDGPEQELKRAAAAAYNYEGDARWAEYWSNILVPPHLASRPDVVDHYKRKFYQRYIDRDLVVEPMSSTGSTQPSGPEVLKQMYHAPVTASYHQSAWAKIGRIVNPYIHRYAPFLNTPISAAQRWWFR >ONIVA05G29710.1 pep chromosome:AWHD00000000:5:27521772:27523343:-1 gene:ONIVA05G29710 transcript:ONIVA05G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAIESYKKAITTAASVAASVMLVRSVMNELVPYEVRDVLFSGLGYLRSQISSQHTIIIEETEGWSHNHVYNAVRAYLATRINNNMQRLRVSSMDESSEKMVVTMEEGEELVDMHEGTEFKWCLISRSISADPNNGNGSGQREVRSYELSFHRKHKEKALKSYLPFIIATAKAIKDQERILQIYMNEYSDSWSPIDLHHPSTFDTLAMDQKLKQSIIDDLDRFIKRKDYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANHLKFDIYDLELTGVHSNSELRRLLVGMTSRSILVVEDIDCSIELKQREAGEERTKSNSTEEDKGEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYKERLDQALMRPGRMDMHIHMGYCTPEAFRILASNYHSIDYHVTYPEIEELIKEVMVTPAEVAEALMRNDDTDVALLGLLELLKSKIKDASETKAESKDANKQTEENKDGKAMENKNDSSTDECT >ONIVA05G29700.1 pep chromosome:AWHD00000000:5:27518988:27520569:-1 gene:ONIVA05G29700 transcript:ONIVA05G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGDYEKYMATAAAVAGTAMVVRGVVSELVPDELREMLRSAARGIRARVSSTHTVVIDETEGLSTNQIYDAARTYLAARINTDMQRLRASRVDDAQGIMITMDQGEEMLDVHDGVEYTWRLVSRDTAAAATAHAAPYGIGGGGAANRRGRSRFEVKSFEVSFHKKHKEKALRSYLPFVIDTAKAMNDKHRNLKMHMIEYDAWTAVDLRHPSTFDTLAMDHSLKHSVMYDLERFVKRKDYYRRIGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTEVKSNSDLRRLLVGMSNRSILVVEDIDCTIDLQQRDEGEIKRAKPTYSGEENEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYRERLDPALLRPGRMDMHIHMGYCTREAFRVLASNYHNVENHAMYPEIEQLIEEVLTTPAEVAEVLMRNDDVDDALQVLAEFLKAKRNEPGETKAENKNGNQKINKYEQSMV >ONIVA05G29690.1 pep chromosome:AWHD00000000:5:27516776:27524650:1 gene:ONIVA05G29690 transcript:ONIVA05G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLDLPVPGGPYSSNDEWEGFLLVLPVEAQLIGADLTLAAAIAIVGLFTFLHGDNHLLGRFIHAADSQALHVVVDACCKVAEAGKQHITHLVWNQLVHDAADQHHRRCNGCSGCDGLLGAEELCTASFCSKYWSRRMPASVFQKRMPASIYHRVG >ONIVA05G29690.2 pep chromosome:AWHD00000000:5:27516776:27524650:1 gene:ONIVA05G29690 transcript:ONIVA05G29690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVQVADVSNLTAGITVYKKDKMNCMLDAYVFNNLGLSGFIPLCLQKLSKNLKRDLCNLRRRCQHLLNQLLDFWVHGMVFDIVVVASQNSESLSSAIPHVDVHVHPARAQQCWVKPLSVVCCEDDDPLLAAGGLGTLDLSFVPLLQVDGAVNVFNDEDGPVAHPNEQPPEVRVGLDLGELKIVDVKLEVVGHGGDEAGLAGARRKIWIPRVKFVDVPYCGHV >ONIVA05G29690.3 pep chromosome:AWHD00000000:5:27516776:27524650:1 gene:ONIVA05G29690 transcript:ONIVA05G29690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLDLPVPGGPYSSNDEWELFTFLHGDNHLLGRFIHAADSQALHVVVDACCKVAEAGKQHITHLVWNQLVHDAADQHHRRCNGCSGCDGLLGAEELCTASFCSKYWSRRMPASVFQKRMPASIYHRVG >ONIVA05G29690.4 pep chromosome:AWHD00000000:5:27516776:27524650:1 gene:ONIVA05G29690 transcript:ONIVA05G29690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHRPNALHCIATVLFFPQSHNLMRVEAMVAGNPVIGILTKERNISIIISHESLSDLRGRHHHLLDQLLDLWICDMIVDRVVVAGKNPESLWGAVPHVDVHVHPARPHKVGLGTFLPCLPLFQFDATVNVLNNKNGPAGHSD >ONIVA05G29690.5 pep chromosome:AWHD00000000:5:27516776:27524650:1 gene:ONIVA05G29690 transcript:ONIVA05G29690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLDLPVPGGPYSSNDEWEGFLLVLPVEAQLIGADLTLAAAIAIVGLFTFLHGDNHLLGRFIHAADSQALHVVVDACCKVAEAGKQHITHLVWNQLVHDAADQHHRRCNGCSGCDGLLGAEELCTASFCSKYWSRRMPASVFQKRMPASIYHRVG >ONIVA05G29690.6 pep chromosome:AWHD00000000:5:27518745:27524650:1 gene:ONIVA05G29690 transcript:ONIVA05G29690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLDLPVPGGPYSSFWSMASVSNVDGWWRSIGDHESEYSFMYIWRILSWSFMALAVAMMNGSSSPSSMVTTIFSEDSSMLLTRRRCMLLLMRVAR >ONIVA05G29680.1 pep chromosome:AWHD00000000:5:27507745:27514269:-1 gene:ONIVA05G29680 transcript:ONIVA05G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPGDPGDGVPSKRARTERDPYRVETMEFLVLVKSELPDDVYSHFVRSMIKIRRQRNMSIEKCKEIILEILDGQPEAIQVFEHFIQGYSPCRAKMRSKAHNFVERVKACPDISREDFHALLNVLAKYYKNEIKTSEEVLEKVERIIGNYPEFLEEFKIFVPHHLRAHLPNEKSCTSPKSSRVSETFVSFTLDAMNKLDGLRVKATNGRNQATPLKYTKDQNQNHEGRGYSLRHKQTKRTTGLIENPRKQGDDKSPHAEDDEEHKAEPLLQWSTSRENELPLKVDPSNCKHCTPSYCLLPKNCVTLQSSYQTELGRSILNDSLASVTSGREDCYKFRTKNQYEENMFKCEDDLFESDMLLQRFRATADFIEDLQYRFGSNVKIQEHLTPLHKRCIEQLYDDSGIDMLDALSESENTSSALAVILSRLNQKIGDFSEARLSLNKMCPDTVANNYYRSLDHCSPSFKQLDMERMSPKALLAEDKQISQIKSHTDIHIHEDVGVIINYAYSRSCTTEDKPMMNWTKLVKAFVSVKFQWPDLKDTVSRRNVCEHCGMSRDFLNNIPVAVLTNEFVFSSKEVESLRAKSNESTSSLDHFDAEVEEGEFIPDVENIQLRVRCLPTNNSMHSTYGHWSGSEEHKSSRDDSNNEVGSSEYFGRTSEECDANRGISCCTLAVLCRLLQVMYERLLVAKNLSEGASTHDSYAHFKEKLCSLIDGSTDNWNFEQHCLKFLGPNSYVLFTLDKLIDRVIKQICKIYPSREDSSVLQRQERSRRTFNILKDPALPARRTNSSKELLHHQNARGPSIELPKQGREEAKGGCESHGDTGKMKQNHFQRRKKRALENGPPSFSQPGSGNQAHN >ONIVA05G29670.1 pep chromosome:AWHD00000000:5:27500516:27501794:1 gene:ONIVA05G29670 transcript:ONIVA05G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIVVVAVAVVGVFVIVVQGGGSSKQRRAGAGVAVAVVVVLLLHAAPAAPAQGSLQGTRTCQMDTTSLAVLSEWPPSGSCCDALRYAIDEQPSDVSDRGLCCLCVYIVTMRLISVDLPYVYRVCRGKDAEAVAAWIALQPPPVYDCTGSGVEMMPGRQSSARVSTSRLI >ONIVA05G29660.1 pep chromosome:AWHD00000000:5:27487410:27489212:-1 gene:ONIVA05G29660 transcript:ONIVA05G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMWRANARVVERRGGREAEERGGVISVVLAHGYGASQAVWDKLVPSLSKSHNLLLFDWDFTSAGAGKDDDEYTFGRFADELIAVMEERGVGASGAVVVAHSMSAMAACIAAQRRPDLFAHIFLVCASPRYINLEEEGYVGGFEEAAIHGMLAAMESDFDGWVRSFLPNAAGDASAVEHLLKSFLAMDPTVALKLAKMIFLGDQREVLDGVKTPCTIVQVKADFAAPPSVAEYMHLRMKGAATAVEIIGSVGHFPQLVAPQQLLDILAGVLRLREAAAEAEHDDAGTVEIAGGIDVAI >ONIVA05G29650.1 pep chromosome:AWHD00000000:5:27483118:27485741:1 gene:ONIVA05G29650 transcript:ONIVA05G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAAGGATLCAAREEQELLATRLNVNGIRPPHCAAESILIYLTAPGLSMMPMRVMASDSIASVKLRVQTSKGFVVRKQKLVFDGRELARNDSRIMDYGVSHGNVLHLVIRISDLRLITVQTVHGNKFRFRVEPGRTVGYVKQQIAKNSTHDDDDHHSLVLQGEVLDDAHLIHDVCRTDGAVIHLLVHRSAKLAARPVDRDFEVSIVARNRNAAADAAQPTLHLQRDFAIEPVIVNPKAALPPVIENLVGAVLAGMEKGNAPIMSSEGTGGAYFMQDASGQEHVAVFKPVDEEPMAANNPRGLPPSPTGEGLKKGTRVGEGAIREVAAYILDHPPGGRRSFAGHHGSATVGFAGVAPTALVRCMHRSFKQPAASEQGPPLFKVGSLQAFVKNSGSCEDMGPRAFPVHEVHKICVLDIRLANADRHAGNILTCRDEQGHGLTLVPIDHGYCLPESFEDCTFEWLYWPQCREPFSEETVEYIRSLDAEEDIAILRFHGWEMPAKCERVLRVTTMLLKKGVDSGLAAFDMGSILCRETLTKESVIEEIIREVEDDVGDEAAFLQSVSQSMDRRLGELSKKKKVM >ONIVA05G29640.1 pep chromosome:AWHD00000000:5:27481079:27482506:-1 gene:ONIVA05G29640 transcript:ONIVA05G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVFLIAALLLLPLPAAFSKAVRTQSLLANPLSPDPITQEQQLSLAAPRTNASTLHFRLAHREHFALNATASDLLAHLLARDAARAAALLAAPNNATRPRRRGGFAAPLLSGLPQGSGEYFAQVGVGTPATTALMVLDTGSDVVWLQCAPCRHCYAQSGRVFDPRRSRSYAAVDCVAPICRRLDSAGCDRRRNSCLYQVAYGDGSVTAGDFASETLTFARGARVQRVAIGCGHDNEGLFIAASGLLGLGRGRLSFPTQIARSFGRSFSYCLVDRTSSVRPSSTRSSTVTFGAGAVAAAAGASFTPMGRNPRMATFYYVHLLGFSVGGARVKGVSQSDLRLNPTTGRGGVILDSGTSVTRLARPVYEAVRDAFRAAAVGLRVSPGGFSLFDTCYNLSGRRVVKVPTVSMHLAGGASVALPPENYLIPVDTSGTFCFAMAGTDGGVSIIGNIQQQGFRVVFDGDAQRVGFVPKSC >ONIVA05G29630.1 pep chromosome:AWHD00000000:5:27479170:27479376:1 gene:ONIVA05G29630 transcript:ONIVA05G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVASSVQRAEPWGVAFKKQIVPEPAATSLHEETEGGRVFLASRSRATRPPPIVHGEGRGSRQGGG >ONIVA05G29620.1 pep chromosome:AWHD00000000:5:27467259:27471825:1 gene:ONIVA05G29620 transcript:ONIVA05G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESTVGLQRGRCRGRKQKEEEGKKKKRAEASASSEGRKKGLVGPTSTATPINARHGKTDGFVLRSPHTSDTGTPLHPCLGRKTRATMTLAAAAEAEAPAPTHAFAIAAEEVAMEPLSTATAPAAMEEESSSSGGGGGVGERRSRFRRICVYCGSAKGKKPSYQDAAVDLGKELVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGIIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPVIDPYPKMLGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYNPLLSFIDLAVNEGFITEEARRIIISAPTAKELVMKLEDYVPEYSIGLVWEDQNQKQNNLVPELDSGITSS >ONIVA05G29620.2 pep chromosome:AWHD00000000:5:27467259:27471825:1 gene:ONIVA05G29620 transcript:ONIVA05G29620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRESTVGLQRGRCRGRKQKEEEGKKKKRAEASASSEGRKKGLVGPTSTATPINARHGKTDGFVLRSPHTSDTGTPLHPCLGRKTRATMTLAAAAEAEAPAPTHAFAIAAEEVAMEPLSTATAPAAMEEESSSSGGGGGVGERRSRFRRICVYCGSAKGKKPSYQDAAVDLGKELVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGIIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYNPLLSFIDLAVNEGFITEEARRIIISAPTAKELVMKLEDYVPEYSIGLVWEDQNQKQNNLVPELDSGITSS >ONIVA05G29610.1 pep chromosome:AWHD00000000:5:27457444:27462243:1 gene:ONIVA05G29610 transcript:ONIVA05G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKEAALLPTPTFFLAGESSRSTSTASPHAAAMEESPEGEPEWRRWSWRRRRWRSFQRRTARRAPWGRTLLLHPHFLLALPLSNPDPEPVGKLDVKDPGSPDLLSLVAAVTTATSQTRHPSRACTGDVQETEVKADLPRLHLTLRGSNRQ >ONIVA05G29610.2 pep chromosome:AWHD00000000:5:27457444:27462243:1 gene:ONIVA05G29610 transcript:ONIVA05G29610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKEAALLPTPTFFLAGESSRSTSTASPHAAAMEESPEGEPEWRRWSWRRRRWRSFQRRTARRAPWGRTLLLHPHFLLALPLSNPDPEPVGKLDVKDPGSPDLLSLVAAVTTATSQTRHPSRACTGDVQETEVKADLPRLHLTLRGSNRQ >ONIVA05G29610.3 pep chromosome:AWHD00000000:5:27457444:27462243:1 gene:ONIVA05G29610 transcript:ONIVA05G29610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELKEAALLPTPTFFLAGESSRSTSTASPHAAAMEESPEGEPEWRRWSWRRRRWRSFQRRTARRAPWGRTLLLHPHFLLALPLSNPDPEPVGKLDVKDPGSPDLLSLVAAVTTATSQTRHPSRACTGDVQETEVKADLPRLHLTLRGSNRQ >ONIVA05G29610.4 pep chromosome:AWHD00000000:5:27457444:27462243:1 gene:ONIVA05G29610 transcript:ONIVA05G29610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELKEAALLPTPTFFLAGESSRSTSTASPHAAAMEESPEGEPEWRRWSWRRRRWRSFQRRTARRAPWGRTLLLHPHFLLALPLSNPDPEPVGKLDVKDPGSPDLLSLVAAVTTATSQTRHPSRACTGDVQETEVKADLPRLHLTLRGSNRQ >ONIVA05G29610.5 pep chromosome:AWHD00000000:5:27457444:27461596:1 gene:ONIVA05G29610 transcript:ONIVA05G29610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELKEAALLPTPTFFLAGESSRSTSTASPHAAAMEESPEGEPEWRRWSWRRRRWRSFQRRTARRAPWGRTLLLHPHFLLALPLSNPDPEPVGKLDVKDPGSPDLLSLVAAVTTATSQTRHPSRACTGDVQETEVKADLPRLHLTLTMVLHKD >ONIVA05G29600.1 pep chromosome:AWHD00000000:5:27453537:27454283:1 gene:ONIVA05G29600 transcript:ONIVA05G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKGQEVSSGSTEYGGFRYVSVVDEQVAAAAAHGLHEDRGDGKVILVFHLGGRTAHATKFVIRDGTPSLIALRHDPFLGGDDFTARVVDHMADLIRDKHGGRDVRADAAALRRLTAECERAKKALSYQQETVVTMRLDDDDDLFSEPLTRSKLEELIGDLVGRAVDLVESCDASGGDVVGVDEILLVGGSTRIPMVRDLVKDYFHGKEASNEKGVEPDEAVIRGALLLSHPHQARYLDPCYDYWHSR >ONIVA05G29590.1 pep chromosome:AWHD00000000:5:27445026:27446151:1 gene:ONIVA05G29590 transcript:ONIVA05G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAALALLLPVLLLVGVDDIEPLPELLVNCTSRLCPAGIAVFLMGYAYAYARSRGNTAPLKKTTNSTTVLLKMMPLLLLLLLVAPGLGTAAGSHSCPPSIYGKYHCWLLLITFSR >ONIVA05G29580.1 pep chromosome:AWHD00000000:5:27424724:27426094:1 gene:ONIVA05G29580 transcript:ONIVA05G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATAREARMYGPALAVRRWEYINAGAYVFAALLLAVALAALSAGGGGGGGASRAALAVAAVALALVAAVNAHDLAAHLAGVDCRVGLVRYDAQLGLVELLVPALHVAGCVLAVVAMALLLSQGERETHAANTLLAAALVWLLGSILNSCQVYGRADGRAQLLQSSVQVPMLLGSLLFLVGAVVNRRRRPEPLVLVGRSWAWTMCVLGSVLWLVAAVFNMGKVFVMHQSDAPRLEKLRGGAQERLSRDREGRLPLNWEEAARSRRVALPAELR >ONIVA05G29570.1 pep chromosome:AWHD00000000:5:27421600:27424217:1 gene:ONIVA05G29570 transcript:ONIVA05G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sigma factor E [Source:Projected from Arabidopsis thaliana (AT5G24120) TAIR;Acc:AT5G24120] MASTVTTPSRPVSAGCHRRSPRRSAPVVLSLGGGPRRRTPSSTSCSALASPAKQGTAKLPPPQPTASRTAAADAERERERTDYNEVAAALESIYKLSPAVVEEKDADEEDEKSKQTKRKRKGRVGRSRNATVTVRSSRRRRRGQRMDLGKRVEMRRREEEEGGGGAGKVEDEERGFEEMLLREHAVSTDMGSLDWKRMKIPPVLTSAQSIRLFRIMQPMKAILEMKENLENEVQSEPNDAQLAEAMNMSVLQMRRHLEVGRAARNKLIKHNLRLVLYTINKYYPDMSNDERFDDICQAGANGLITAIDRFEPKRGFRISTYALFWIRHSIVRAITLSNFTRFPFAMESERQEIHRAREELAFELGRAPTEEEVMKKVGLSPARYRDVVRMTRPTYSLHARNRVTQEELINEVTDDDAIGVDTSSHNTLLRLAIDDLLDSLKPKESVVIRQRFGLDGRGKRTLSEIAGNLNISREMVRKYELKALMKLKHPTRVEYLRRYM >ONIVA05G29560.1 pep chromosome:AWHD00000000:5:27417929:27421087:1 gene:ONIVA05G29560 transcript:ONIVA05G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGSPLLRSPSPVMNTMPTPPSAAVFDVEAASGARRLGIKPAADAGAAFVLESKGKWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLVALTAVAAVTFYAYYLMSRVLDHCEAHGRRHIRFRELAADVLGSGWVFYLVVTVQTAINAGITIGSILLAADCLQIMYSDLAPNGPLKLYHFIIVVAVVLSLLSQLPSFHSLRYINLGSLLLSFGYTILVSAACIRAGALSDVPEKDYSLSSSNSEKTFNAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYTVVLFTFYLPAITGYWAFGSQVQSNVLQSLMPDKGPSLAPTWLLGLAVVLVLLQLLAIALVYSQVAYEIMEKSSADAARGRFSRRNVAPRVALRTAYVAACAFVAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNMALAPPRRSPVYLANVAIMVVFTGVGLIGAVASVRKLVLDAGQFKLFSGNVVD >ONIVA05G29550.1 pep chromosome:AWHD00000000:5:27410482:27413708:1 gene:ONIVA05G29550 transcript:ONIVA05G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAKEMKYRRRARVPEPFDYGQCGGDRSGVLDWGALKENPVELLRKLDELRDHITRSCEITDQPRERHRMSRRTASLRPSHAEPPPPLGRGPEHYRSRYTGRYGSGFPHSPNDQLHRSMHRDRYERQPSGRFRQWPERQWENSGYLGGNHHQSTCQCAQCLHGQRAVMQEEHIPMTRYFAGQQGSHLFDRSPSVSSELDRRSVASSLYSHFSVSKRRTEFFRKKAESFCRPVRSAAPFVVCSSCNQLLQLPPGKCTARKQIQVRCGSCSEIVSFKLKEVKIHPLVAPTSFPASKTVGSSSRQVNKSFGWYQHQDEGNSSFHKLQAQERWQQNKDLADNISVSSTSSYDRIDKECGSNRSSQLLSVSVRRSRLANIPKDILCQGDAYSQVETSAFNTGNLQAPVIEDKCVDPFSSRLKDCSGGDRTSKECSLNIMADSVDANVRDERSDVTYEQNSKDHKEGFGEETVSSRHEQKLKESTSGFCDDGSMGNIDKLRADNDDTSSLEDGDVSKKYEEKIKQDDNNFQSEFITERYSKCSKEDNNSVIQVETIATICKQDDLDDCYSELLSPNSEHAIMPSKLESSVNERTNSSSRVSSEAELDEVQSAATKNGDSKFFAGFLKKGLKDISLFNQSVDSAKVSINGHSISERVLRKAEKKSGPVGPGSYWYDYRAGFWGVMGHECSGIIPPFIKEFNYPMPKNCAGGNTGVIVNGRELHQKDFELLAGRGLPRISGKSYSVEINGNVIDETTGKKLRKLGKLAPT >ONIVA05G29540.1 pep chromosome:AWHD00000000:5:27405180:27406792:-1 gene:ONIVA05G29540 transcript:ONIVA05G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24140) TAIR;Acc:AT3G24140] MDKEVQQRSHLERFAALDEAPPPAPEETVVTGGEMVDYMLGQPPPTTPGPQSQVSFDKLTFSDVLQFADFGPKLALNQPAASDNGGGGGDDGDDDDDSYFLRFQSLPSLPAVPPPRGGAGAAHQVVDEQEGSKQTVDAGGVSESTTLVQQADGGGGRAEKAGEQGKSGRRKRPRTVKTSEEVESQRMTHIAVERNRRRQMNEYLRVLRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGGDAPAPPARPVADGAVLPAPMQQPPPATPPFFPPSIPFPASSGAGDGTGAGVAKVALDLDASGGGEVGGGVREEMAENKSCVADIEVRVVGVDAMIKILSRRRPGQLIKTVAALEEMHMSILHTNITTIDQTVLYSFNVKIAGDARFSAEDIAGAVHQILSFIDVNYTL >ONIVA05G29530.1 pep chromosome:AWHD00000000:5:27396671:27402904:1 gene:ONIVA05G29530 transcript:ONIVA05G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein [Source:Projected from Arabidopsis thaliana (AT2G46370) TAIR;Acc:AT2G46370] MTICSCEETINEFEMLTRDAARVQKDTLKKILEINASAEYLQNFGLGGRTDAESYKSCIPLCVHNDIEPYIQRIVDGDTSPVVTGEPITNLSLSSGTTHGKPKFIPFNDELLETTLQIYRTSYAFRNRKYPIGQGKALQFVYGSKQVITKGGILATTATTNLYRRQRYKEGMKDIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSEEVHSVFSTFAHSLVHAFQTFEEVWEDLCTDIRDGVLSKKVTAPSIREAVSKILKPNPELADSIYKKCIGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLISADYGASEGWVGSNIDPTVPPEQVTYAVLPQVGYFEFIPLEKPIGEETENSASIHYIESDPVGLTEVEVGKIYEVVITNFAGLYRYRLGDVVKIARFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEASKFLEGEKLEVMDFTSFVERSSDPGRYVIFWELSGDASDEVLSSCANALDLAFIDAGYTGSRKIKTIGPLELRILRKGTFKEILDHFLSLGGAVSQFKTPRFVNPSNSKVLQILSRNVTQSYFSTAYGF >ONIVA05G29520.1 pep chromosome:AWHD00000000:5:27384835:27385720:-1 gene:ONIVA05G29520 transcript:ONIVA05G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLQTRLRRRPPPPFAQHRLGPDLLPLQLAAAAVTTSPFAALHRLPGCRLDLQRAIPPHHQERKMPEAAEWNDENT >ONIVA05G29510.1 pep chromosome:AWHD00000000:5:27383007:27390404:1 gene:ONIVA05G29510 transcript:ONIVA05G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHMTRSRRQPGSRCSAAKGEVVTAAAALGQEERWTAAAAIGRDQAGVEQKVVVADVVGGSGGGGPCGLGEPHQELTTTNPVVVASTPQQKSRPKGINNQKNDDLEHQDDATKRREDIRMKSILELSKWNIVHANTDREEYRQV >ONIVA05G29500.1 pep chromosome:AWHD00000000:5:27375021:27378824:-1 gene:ONIVA05G29500 transcript:ONIVA05G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLILVVLQKITATLGGAALNAIKSKLGKEAGIFLEAENSMKEIESEFEVMQAFISQVDPYSENDQILKSWLKHVRKIASEVEDIIDEYAFLVGKMDDSENFMKKTFYHSKNVTAWKDISAQLNQVKARIQHLTTMKKRYGIKVAELGGGSSSNSITRQVYLSDSSYLSDEDDEAIIGNEAEVQKLTHFITEDGVGEDRTIISIWGMGGSGKTTLASSICRKKEIRKKFDCYAWVTVSPNYHIEDLLTKVMMQLGISDGTTDATHLMDKVNSYLRDKRYLIVLDDMWNRDSWLFFDRAFVKNRFGSRVIITTRIETVASLARENHTIKIGLLPQRESWKLFSKKACSKQNKGISTIPEGLVPWANKILERCQGLPLAIVAIGSLLSYREMEEQDWRVFYYQLNWQLTNNPELNWVSNVLKLSLDDLPSHLRNCFLYCGLFPEDYQIRRKWIIRLWVAEGFVEDRGTETTLEEVAEDYLKELTQRSLIQVTERNEFGRPKRFQVHDLVREMALAISRRESFALVCNQSDVTDIGDDVTKRVSVHIGGQVFQPSLASQHLRSFLLFDKHVPIPWIYTASSNFRLLRVLCLRYSLLEDIPDAITSLFNLHYLDFSRTRVRKIPKSVASLKKLQTLHLRFAYVRELPREITMLTRLRHLSVSNDLYGTSIPANISSLKHLQTLREVKANKDLAQNLGYLTQLRSLGITGVQQNHNADLWVSIKKMTILTKLAVATRGDNEFLSLQKLRPLRNLEKLYLTGRLAEGMLFPVSDGFQKLKVLTMCRSGLVQDPLGSLCQMVNLVYLNLQCAYDGESLVFSSGWFPKLKQLYLLNLRNLSSIQISEDSMASLTYLQLRELWNLKEVPEGIKHLRSLEHLYAQKMPKDFVEKLEGDCRTFVEHIASIECV >ONIVA05G29490.1 pep chromosome:AWHD00000000:5:27365267:27371857:1 gene:ONIVA05G29490 transcript:ONIVA05G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGQKQHNLVPSLYLAGGWLLLLLSASPCEARWLKDKKPVWVWLTAVVATVVAVVVAVVLIVIAYRMFRRTRQVAAAAAEEEDYREQKMFYGGYFAPARAVDDPMSINANMVRSPERMWQSPARSSRAPASPALMRAVASPPNSTRSAVGLAERHIAGLNRKTAPVASPSRPRTMNPLPGFSPALPVRLKEFSYQSLAEATSNFHKSNELGRGRSGKVFKGAFRVPENVDKDDSKIDVAIKRFDKDIDINQIRGDLKRKYSLKHRNLVTLLGYGLHKGRLYLVYDLMSCGSLDQRLYSDVETVGADDGQPSRHVMSWDERCNIIKDAALGLYQLHVSNAFHGSVKASNILLEPGREGRRARLGDFTYSKVAPPPQEAWTSTTPSLETDMLDFGALILEVVCGRRRSGCGVPNFTSLLDWVWALHAQGRLPAAVDEKLAGNFDSKHAVNLLLVGLACTHEPQRRPTIDEVSMVISGTKSPPEVATSMRPSREVHTSYDEIFRNIWSTRKA >ONIVA05G29480.1 pep chromosome:AWHD00000000:5:27358606:27360054:1 gene:ONIVA05G29480 transcript:ONIVA05G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPDALIGEIVKRVSSTSDLSSLSLVSKRLYKIEAESRHTIHIGCSLRPATDAIVSLCSRFHNLLKVDINYSGWTQDHGNQLDNHGLRILSSYCLSLSDITLSFCSYIDDTGLGYLAFCKKLITLRLNSATKITSSGLLAVAVGCKNLSALHLIDCNKISGAFEWLKYLGSDGSLEELKVKNCVGINQYSLLMFGPGWMKLQRFVFEFRNIYSIFEPKDPSYVANCQYRYDFSCENLKQLSLRRIVTVEEIGLRSLLGKCKSLEKLCLHFVLGLTDSDMITLAQNCSNLRSISLQLEPVFCEGPEGRVCRTPLTDESLKALAGCRMLQVVELTMFGCDYAYPEIGFTQEGLMMFFQSCPIRDLVLCGANIFDDEGMKALSSAQFLQTLELMDCVYITDAGMCLLADSPSLVNLTLRQSDGFSDVGVGEVVRARKLDSLIVEGCSRVSQKAVLGTAKSVRYERYYPGYGKLRRSSLSFGQD >ONIVA05G29470.1 pep chromosome:AWHD00000000:5:27354913:27356683:1 gene:ONIVA05G29470 transcript:ONIVA05G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNGSSVMISPVVQGKEQTDIMNNMQNHNIGNSTSVPVSGSCFNGTSFLSGNSVNAPPPPQMPTYSITGIRGNATF >ONIVA05G29460.1 pep chromosome:AWHD00000000:5:27346965:27348048:1 gene:ONIVA05G29460 transcript:ONIVA05G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGSSVMISPVVQGKFQQGIMNGMQNRDIGNSTSVPVSGSSFNGTSFLSGNSVNAPPAPEVPSYSITGIGGDATF >ONIVA05G29450.1 pep chromosome:AWHD00000000:5:27343666:27344868:1 gene:ONIVA05G29450 transcript:ONIVA05G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNGSSVMISPVVQGKMQQGVMNGMQNSNIGNSTSVPVSGSSFNGTAFLSGNYANAPAPARQVPSFSITGIGGNATF >ONIVA05G29440.1 pep chromosome:AWHD00000000:5:27341425:27342172:-1 gene:ONIVA05G29440 transcript:ONIVA05G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGVEAKPPVSGAVESIGGGDEVSHKRRGVGEEEFAKVVDEKIGHREEEFAKVDYIALVD >ONIVA05G29430.1 pep chromosome:AWHD00000000:5:27330450:27334238:-1 gene:ONIVA05G29430 transcript:ONIVA05G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQGKSSFWSGVGNSASSPLPLTNDDQIHRIRREEKKKSYKAAAASTSTPSPPPPHQIPPPIRSSPLLHRRRRRPPPASDPLLLGVSILGRVSVSWGRRRSGHREGIKKLRIAVGQRAFGMVMSHVGLVIGGASALITAHFMGEEAPEFRVESIALESKDCLQNAIDIGNKTYVISRSDDPKSSITIKILDKLTQTWVVPTVLGAPPNPTSSHSAVLVNNEKILIIEKGVPLNDSIWFLEVDTPFVKQQSKIKGTVVVAWSKGVIGEGQKPIVISGPSGVGKGTLIAKLMKEYPSKFGFSVSHTTRAPREKEIDGVHYHFTERSKIEEEISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLEACYENLKKLLSLDDDHEDSNDSFIKDGKETACYSILSKTNSEILLQSETNEAEKGTTNLISLDLSSLSGGAPGRTRGLKISPVN >ONIVA05G29430.2 pep chromosome:AWHD00000000:5:27330450:27334238:-1 gene:ONIVA05G29430 transcript:ONIVA05G29430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQGKSSFWSGVGNSASSPLPLTNDDQIHRIRREEKKKSYKAAAASTSTPSPPPPHQIPPPIRSSPLLHRRRRRPPPASDPLLLGVSILGRVSVSWGRRRSGHREGIKKLRIAVGQRGASALITAHFMGEEAPEFRVESIALESKDCLQNAIDIGNKTYVISRSDDPKSSITIKILDKLTQTWVVPTVLGAPPNPTSSHSAVLVNNEKILIIEKGVPLNDSIWFLEVDTPFVKQQSKIKGTVVVAWSKGVIGEGQKPIVISGPSGVGKGTLIAKLMKEYPSKFGFSVSHTTRAPREKEIDGVHYHFTERSKIEEEISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLEACYENLKKLLSLDDDHEDSNDSFIKDGKETACYSILSKTNSEILLQSETNEAEKGTTNLISLDLSSLSGGAPGRTRGLKISPVN >ONIVA05G29410.1 pep chromosome:AWHD00000000:5:27304949:27309294:1 gene:ONIVA05G29410 transcript:ONIVA05G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicarboxylate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G12860) TAIR;Acc:AT5G12860] MPCHFLAAAVLAVAAPPSQPPKPVLQGAAIKPLVATIGTGVLIWLVPPPAGVARNAWQLLSIFLATIVGIITQPLPLGAVALLGLGAAVLTRTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGSRVAYAFVSAFGGSSLGLGYALVFAEALLAPAIPSVSARAGGIFLPLVKSLCEACGSRAGDGTERRLGSWLMLTCFQTSVISSAMFLTAMAANPLAANLTAGTIGQGIGWTLWAKAAIVPGLLSLVFVPLILYLIYPPEVKTSPDAPRLAKERLEKMGPMSKEEKIMAGTLFLTVGLWIFGGMLNVDAVSAAILGLSVLLISGVVTWKECLGEAVAWDTLTWFAALIAMAGYLNKYGLISWFSETVVKFVGGLGLSWQLSFGVLVLLYFYSHYFFASGAAHIGAMFTAFLSVSSALGTPPLIAAMVLSFLSNIMGGLTHYGIGSAPVFYGAGYVPLAQWWGYGFVISIVNIIIWLGAGGFWWKMLGLW >ONIVA05G29400.1 pep chromosome:AWHD00000000:5:27291883:27294795:-1 gene:ONIVA05G29400 transcript:ONIVA05G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDCGGGGGAAKGGGVLGVAGGNNAAVVGGKVRKGLWSPEEDEKLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEDLIVNLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKISSSSASPATTTDCASPPEHKLGAVVDLAGGGGATDDVVVGTANAAMKSMWVDSSSSSSSSSSSMQSRPSIMAAAAAGRSYGGLLPLPDQVCGVDTSPPPPFFHDHSISIKQAYYGSTGAHHHHHAIAAMDGSSLIGDHHHHSSSILFGGASVPPLLDHQTILDDDDDHPNKTGSNTTAATLSSNITDNSNSNKNNSDNNNNISSSCCISLMNSSSNMIYWEGHHQQQQQQQHQMLQQQQQHMSRNVMGEWDLEELMKDVSSLPFLDFQVE >ONIVA05G29390.1 pep chromosome:AWHD00000000:5:27288417:27288689:-1 gene:ONIVA05G29390 transcript:ONIVA05G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRKRVGRVRWRRGGDGDGGGGGGLCEEETPGAERRGKDAGGGGEKATAWDSGWMDFAADVDMEGASERGGGEGWKWRRAEEATAMGGR >ONIVA05G29380.1 pep chromosome:AWHD00000000:5:27261551:27263891:1 gene:ONIVA05G29380 transcript:ONIVA05G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEYQFQDKLAFLFIVRSVPFAAVLHSVLPQLPSVVLERVRFGAGLLQVVKFALARGASLLHRQAVKCVLVEVQVRLGGSLLFSGHLGVSVLLFNFIARFGAGLLEVVKSPIVGTWKLLEEERVPVLR >ONIVA05G29370.1 pep chromosome:AWHD00000000:5:27258306:27259280:-1 gene:ONIVA05G29370 transcript:ONIVA05G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASAATEGTTTAPAVAERVSHSSGGSWGRPQLRWGQRWSVGMAAAGEELTPTAAIHARRRSKKPEDRIKNRHGPTCRMLQKDEGAKGEAYL >ONIVA05G29360.1 pep chromosome:AWHD00000000:5:27252174:27257470:1 gene:ONIVA05G29360 transcript:ONIVA05G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGDARRRKEEGEEDGFSSDGGDTTDAESSDERAGAGAGRRAVPGANPILSRLAVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVTTKGFKGSFADAASSAKIFAVLAGVQSLVACTLRQLRGKDDVLNAGVAGCCTGLALSFPGAPQTMIQSCLTFGTFSYIIEMLNKQEPALARPSITGTKDLKAGHRVLPPFTLPLPQEAMEGFSSFQNFLSKFQGK >ONIVA05G29350.1 pep chromosome:AWHD00000000:5:27226261:27237371:-1 gene:ONIVA05G29350 transcript:ONIVA05G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT SHOCK PROTEIN 89.1 [Source:Projected from Arabidopsis thaliana (AT3G07770) TAIR;Acc:AT3G07770] MSRGRSPEPLDFFIWTVEDVGLWLEEINLGSYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVLLCKHFRNNGDEDTSKSGLPERGARSTQAMVGAVMPIGGVREGGQAEPAVPSRLPEAGALVPIHRLKSLRIRRSTPPSSSSWWRRHCNRTPLTWPLGVYIVSVQCSRSTRVSRTFCRIQARGVAEEMLGASRRSVCTAAAAAAAGSRRRAAAGVASAVSGDSSVSSSSAPPRSVINGEPGVPQLQKRLLSVLAAPKLTRTDNAASLKLREGSLVGRRYESSAAAVDSSDTPPVEKHEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPDLIKDGAGLDIRIQTDKENGIITITDTGIGMTRQELVDSLGTIASSGTAKFLKALKESQEAGVDSNLIGQFGVGFYSAFLVSDKVAVSTKSPKSDKQYVWEGEAESSSYTIREETDPEKLLPRGTRLTLYLKREDKGFAHPEKIQKLVKNYSQFVSFPIYTWQEKGYTKEVEVDEPVEAKEGDDETKTEVKKKTKTVVEKYWDWELTNETQPIWLRNPREVSTEEYNEFYKKTFNEYMDPLASSHFTTEGEVEFRSILYVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVRGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYERFWENYGKFLKLGCMEDKENHKRIAPLLRFFSSQSNEELISLDEYVENMKPEQKDIYYIAADSLSSAKHAPFLEKLNEKEYEVLFLVDPMDEVSVTNLNSYKDKRFVDISKEDLDLGDKNEEKEKEIKQEYSQTCDWIKKRLGEKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDMSSLDFMRSRKVFEINPEHEIIKGLNAACRANPDDPEALKAVDILFETSMISSGFTPDNPAELSGKIYEMMSTAMASKWASHAQPAEMNLQRDSPVSSEPIEAEVIEPELVDDSQKK >ONIVA05G29340.1 pep chromosome:AWHD00000000:5:27219171:27222457:-1 gene:ONIVA05G29340 transcript:ONIVA05G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGANFHQQQPQQPPPPTSSAAAAAGAATVAQGMLPPRRPTGLQTSLSLASSEQVGSPEMQEPGSNSDQGHDSATESASSRETWPAEPNHGSAAAAVAASTAASGGGGVVGGIGVGVRIVDKEKEVGNGIPKLQVIRGSSRLDRMSLREVARERVDLVAEKMKVMPEEHLEDMKNELRSILEGTGGSHHIEEFLYLQKFVQSRGDLTQTMLSMAHHVQLEILVAIKTGIQAFLHPSVTIPQNRLVEVFLYKRCRNIACQSALPAEECRCNVCSNRNGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIRDGQIGMGQSIKSGTGHAEMLFRCQACQKTSELLGWVKDVFQQCAPGWDRDALLRELEFVCKIFRLSEDSKGRKLFRKCADLIERLRNSPADAINPRMILQVLQELELDSPKSTENEEIGRLITPQEACNRIAEVVHEAVKKMELVAEEKMRLYKKARIAVEACDRELDEKARELQELKAERLRKKQQVDELESIVRLKQAEAEMFQLKANEARQEADRLQSIALAKSERAEQDYASLYLKRRLEEAEAEKQFLFEKIKYQETQRPMQASGSGAGDSSQTPMLSKIHDLLKNVRSIPPKTEGHQ >ONIVA05G29330.1 pep chromosome:AWHD00000000:5:27215291:27215887:-1 gene:ONIVA05G29330 transcript:ONIVA05G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HJ18] MAAAMGLERKAKVAEVALRCAVCALAALAAALVGTGSQTRTFFSLEKKARFTDMKALVLLVAAHGAAAVYSLLQLARCAAAAAWKGGSNGGAAVVAWSVFSCDQAVAYALMAATAAALQSSVVGKRGQPELQWMPVCGLYGAFCRRVGEGLAAAVAAGLAAVLLAAVSAFNLFRLYGGGGGGRKSSAGAVSGNGANTW >ONIVA05G29320.1 pep chromosome:AWHD00000000:5:27212101:27212421:-1 gene:ONIVA05G29320 transcript:ONIVA05G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMRGSDGAGAPNQEGLPPRRPTATATATATGSNGELAHAMGVDLDLSDKLAGLDVRSHRYAFLAKDDARWV >ONIVA05G29310.1 pep chromosome:AWHD00000000:5:27209179:27210835:-1 gene:ONIVA05G29310 transcript:ONIVA05G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKSAKFQKNITKRGSVPETTVKKGNDYPVGPMVLGFFIFVVIGSCKLWPFTFLFLSV >ONIVA05G29300.1 pep chromosome:AWHD00000000:5:27201199:27203050:-1 gene:ONIVA05G29300 transcript:ONIVA05G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDVEAPLLAASSKPAAAAVASPPRRNKYPFFCAVLASMTSVLMGYNVAVTSGAQIFMAEDLGVSDAQIEVLSGAINIYSLVGALLAGWTSDRLGRRLTIVLTNGFFLAGPLVMSLAGGYAALMAGRFVAGIGVGYALVIAPVYAAEISPASSRGLLSSLPEIFINGGVMLSYVSNFAFSGLPVHLSWRLMFAAGVVPTVFLAAGVLTMPESPRWLAMKGRRGEARVVLDRTSDTPAEAEQRLQEIEDVVAAAGSVAGNGGGGGAWKEVATKPGVRRVLAIVLTLQFFQQASGIDSVVLYGPRVLAAAGVASNTLLLGLNVVFGVAKASSILVAMALTDRVGRRPLLLASTGGMTASLVALGSVFAAFGGARDDAAVAAGAAVAVVVAFVCAFSVGIGPLAWVYSSEILPLRLRGQGAGVGTAMNRVVSGVVTMTFISLYGAITMAGAFYLYAAIAAASFVFIYACLPETRGRSLEDMEELFHTK >ONIVA05G29290.1 pep chromosome:AWHD00000000:5:27199709:27201098:-1 gene:ONIVA05G29290 transcript:ONIVA05G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEAGWARPERATAGRSAEEQRGGRRRVSGRSDGVSWAERPVSGWSGGGGEGGEEERRRVVGGAAGRKAEEKSRQWPERRGALTGGR >ONIVA05G29280.1 pep chromosome:AWHD00000000:5:27198785:27199428:-1 gene:ONIVA05G29280 transcript:ONIVA05G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSREILTESSRCRLVAVFVWSLVKALNKAGLEASVRAYGSSGVVSRWPSPYIVASSVLLMASFFEWLFPPLQCLAVAAVVAGAPPMASAGMSSLMGMLPAKAVLVTTGDVVSVRDVRVGDVVAVRAGEIVPVNG >ONIVA05G29270.1 pep chromosome:AWHD00000000:5:27177620:27186417:-1 gene:ONIVA05G29270 transcript:ONIVA05G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGNRDDITLSIPITEMINTMIRLPIYMREGNNKGLFEPRVISIGPYHRGHESTLIMETHKEKVLEGFFQRPGNASREDYIAYVTANCFQQALRCYSGNTDGYTAEMLMRDGCFIIELLLRWDKKEDVHDNYVRVMSNSIYYDLLLVDNQLPFFVLTMIFAKVKGHINEYHTTRLLDLIVGFFNHEGQFFWANFDQPNSSNACQVPRLLEDVCQHTPPSVPLGIPGANELQDYGVRFHVSKNKLAKMFDVTFKRKTMRIPHFKINFGSKILLANLFAYDQIICHRSNQTTNQPTRNQTTDQPGNNVGPVTSYVVLMNALINAREDVVVLQREGILDNLLSNEEEVASFFNNLGRCTLVDAVYDKLGMDIPDNVLARWESQREIIIECCDEKA >ONIVA05G29260.1 pep chromosome:AWHD00000000:5:27173746:27175101:-1 gene:ONIVA05G29260 transcript:ONIVA05G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISIPITEMANTIIRLPIYMREGNNKGLFEPRVISIGPYHRGHEISTLNMEAHKEKVLEGFFQRQGNVSREDYIADVKTNCFQQARRCYSGNTDGYTPEMLMLDGCFIIELLLRWNKKEDVHDNYVRVMSNSIYYDLLMVDNQIPFFVLTRIFDKVKRHTNENPDTRLVDLVIDFFNHKGQFSWANLDQLDSSNVCQVRHLLDLQYRLVVGNNTRNNNNEEPMLNNGCPFSLCGNIRHSPRSMPLGIPGANELQDYGVRFNMNKNKQAKMFDVTFKRKTMRIPHFKINFGSKILLANLFAYDQIICHRSNQTTNQPTRNQTTDQPGNNVGPVTSYVVLMNALINDREDVVVLQREGILDNLLSNEEEVASFFDNLGRCTLVDVSKHHYTSMFNDVNKYWKNKLSCCRYFAIFSMKHCKNPWTCLSLLGAILLLLISSTSMIFAILKYARK >ONIVA05G29250.1 pep chromosome:AWHD00000000:5:27165175:27166455:-1 gene:ONIVA05G29250 transcript:ONIVA05G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNLVQLPIYMREANSGLFEPRVVSIGPYHHGNKSTSNMEVHKNRFCRSFLQRLGNVSHQDAIDHCIEGAFQCYSGNVGLYTADMLTRDGCFIVELLLQWREGDHAHVDNHMRLMSNGIYYDLLLVDNQIPFFVLDRLFNEFRRHMGANPVFNNDSQLVDLVTEFFNNRQGQFSWANLDQLRLPDATNQQIRHLLDLQYKLVINNNMGIEPNNRNCPFSLCINICPNTIVPRGIPAASELQDNGVRFRVRGLSEQVKMFDATFQGKIIRIPRFQINFGSKILLANLFAYDQMKGEPADNGAAVGPVTSYVVLMNALINTREDVAVLQRKGILDNLLSNEDEVASFFNELGRCALVDVSNHRYTSMFEDVNRYWRNGFCCKYFAICCMRHCKNPLTCLSLLAAFLLLSFSCTSMVFAILKYYTRG >ONIVA05G29240.1 pep chromosome:AWHD00000000:5:27155951:27157064:-1 gene:ONIVA05G29240 transcript:ONIVA05G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGWGVVAAVEVADSVDDSGRLGGRQRRKGGSGLGVQERRRILKSTAALWAAEA >ONIVA05G29230.1 pep chromosome:AWHD00000000:5:27138516:27140891:-1 gene:ONIVA05G29230 transcript:ONIVA05G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLITSLLFSLPQQWQPVVLTGLLPVIVSLVLLARKGRLKMPPGPEQVPLLGNLHQLAGPQPHRALRDLARVHGPVMRLRLGKASAVVLTSAEAAWEALRGHDLDCCTRPVSAGTRRVTYGMKNVAFAPYGAYWREVRKLLMVELLSARRVKAAWYARHEQVEKLLSTLRRAEGKPVALDEHILSLSDGIIGRVAFGNIYGSDKFSQNKNFQHALDDVMEMLSGEGSSAEDLQLPAAVGRLVDRLTGFAARRERIFRQLDSFFEMVIEQHLDPNRAPPENGGDLVDVLIGHWKKNEPRGTFSFTKDNVKAIIFSTFVAGIDTNAATILWAMSELARKPRVLKKVQAEIRAAVDVNGRVQPDDITKLSYLRKVVKETLRLHPPTPLLLPRETMRHIQISGYDVPAKTRIYVNAWAIGRDPASWPDEPEEFNPERFEANEIDFKGEHPELMPFGTGRRICPGMAMAMANVEFTLANLLFAFQWSLPEGTTPDNVCMEEEGRLVCHRKTPLVLVPTVYRHGLE >ONIVA05G29220.1 pep chromosome:AWHD00000000:5:27119273:27130877:-1 gene:ONIVA05G29220 transcript:ONIVA05G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSHHPEFASCTANDDEHHLDEFELELVVQDVQRQQNNGSANTDQHERENLLLLDDSSKSGALKRRLFFDNLLKNGLVKLLGLETERAKINVLEDVSGIIKPCRLTLLLGPPGCGKSTLLRALSGKLDKSLKVTGDISYNGYQLDEFVPEKTAAYISQYDLHIPEMTVRETLDFSSRCQGVGRRPKILKEVSARESAAGIIPDADIDIYMKAISVEASKRSLQTDYILKILGLEICADTMVGDAMIRGLSGGQKKRLTTAEMIVGPARAYFMDEISNGLDSSTTFQIISCFQQLTNISEYTMVISLLQPTPEVFDLFDDLILMAEGKIIYHGPRNEALNFFEECGFICPERKEVADFLQEILSCKDQQQYWSGPNESYRYISPHELSSMFKENHRGRKLEEPIVSPKSELGKEALAFNKYSLQKLEMFKACGAREALLMKRSMFVYVFKTGQLAIIALVTMSVFLRTRMTTDFTHATYYMGALFFSILMIMLNGTPEISMQIRRLPSFYKQKSYYFYSSWAYAIPASVLKVPVSILDSLVWICITYYGIGYTASVSRFFCQFLMLCFVHQSVTSLYRFIASYFQTPTASFFYLFLALTFFLMFGGFTLPKPSMPGWLNWGFWISPMTYAEIGTVINEFQAPRWQKETIQNITIGNRILINHGLYYSWHFYWISIGALFGSIILFYIAFGLALDYITSIEEYHGSRPIKRLCQEQEKDSNIRKESDGHSNISRAKMTIPVMELPITFHNLNYYIDTPPEMLKQGYPTKRLQLLNNITGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRILGYCEQADIHSPQLTVEESVTYSAWLRLPSHVDKKTRSEFVAEVLETVELDQIKDVLVGTPQKNGLSMEQRKRLTIAVELVSNPSVILMDEPTTGLDTRSAAIVIRAVKNICKTGRTVVCTIHQPSTEIFEAFDELILMKNGGKIIYNGPIGERSSKKISGVLKVKSNCNPAAWMMDVTSTSMEVQHNMDFAILYDESSQHRDIVELVEKLSIPIPNSEILSFSHRFPRNGWIQLKACLWKQNLTYWRSPEYNLRRIMLTVISALVYGVLFWKRAKILNDEQDLFNVFGAMYLGSTTIGSYNHQSIIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVQIPKWWVWLYYLTPTSWTLDALLTSQYGNIEKEIRAFGETKSVSIFLNDYFGFHKDKLSLVAAVLIAFPFVLIILFSFSIEKFNFQKR >ONIVA05G29210.1 pep chromosome:AWHD00000000:5:27117324:27137124:1 gene:ONIVA05G29210 transcript:ONIVA05G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMPCGAHMSVGPTIAPQSSQLVQEGESLRLYTSLWSSSGVGRGRDDVGGGDDEDEEEEEATPSRRKLGVPPPRAAGPRQAAPRRAAKKREESGGAMAECLGIWVKGEETETGSVEGCRRRRGRWQATAHRRRRRRRRRANCGGAVAGESDVKVKASTVAPPPPHQSTRSSSCLNPFPRSYACRPHSYHNDDGGRFKLNGVDEPCPLYCCSQWYGRWMAPRHSLSCQGGPSPRWVSWGYGGGWWRRGGRGGLGLLVLQQQRLQLHKALYESNKMLEADMVILDILQQIVEEQTPLQSSRLAAVIKQQQVASTKGVLHKSAIKVAVKRVSHESWHGRWDEGVHCREVALMTESAGPGIIQPQMSIHKKDTKEKVDGGGKLFGRKKMTPSSNLAAPIKGFLDPNIEGNASISR >ONIVA05G29200.1 pep chromosome:AWHD00000000:5:27102093:27102541:1 gene:ONIVA05G29200 transcript:ONIVA05G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVIAGFDLNVRLEEDEDGNVPFHLNEPILEDHNVNGFDLNMPLDEFGVNQAPVEGQHRRKDMTEEVTKQ >ONIVA05G29190.1 pep chromosome:AWHD00000000:5:27098640:27101341:-1 gene:ONIVA05G29190 transcript:ONIVA05G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDDDVESPLLAAAADADHHDVDNSHPAAGSSFALACAVAASLTSIIYGYNRGVMSGAQKFVQLDLGVSDAEIEVLIGATSIYSLVGSLAAGWACDRAGRRRTIALSAAMFLAGSAATAAASGYAALMAGQLVAGVACGFGLVVAPVYIAEIAPPSSRGFLASIPEIAGNSGILLSYIADFALAGLPMSLNWRLMIGIGAVPPLFLAAAALLAMPETPRWLVLHGHHDDARQVLVRTTGGDAALAERRLQEIVSSVKESATKQQLSSAAAAGGGGASTGVWRDILVRPTPAVRRVLFAILGLQFFQQASGVAAMVLYAPRVFNHVGVTSERAVLGATVLLGATKTASIVVPLFLADRLGRRPMLLSSAGGMAVSLLVLGFSLRVSSSSGSGSEWWAAATSVAAAAAFMATFSLGFGPVIWMYGSEILPLRLRAQGTGIGTAANRVMSAAVGMSFISLYEAAGMAGTFYLFAACSAAAWVFVYACLPETKGRSLEEMEALFDAAHPSSPPPAS >ONIVA05G29180.1 pep chromosome:AWHD00000000:5:27089604:27096676:1 gene:ONIVA05G29180 transcript:ONIVA05G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSQQDGGGERLFHGGAGDGDGDGAAVAAAARQVWVPGPVIVGAGPSGLATAACLKARGVPSLVLDKDATVAASWRERTYERLRLHLPRGFCELPLAPPFPPGTPPYPTRDQFVAYLDAYARAFAVEPRLGSRVRAASYDAAIGFWRVAAVDEAGGGGAGGETEFLSRWLVVATGENAVAAWPAEGVGAYRGDVMHTSSYKRGDEFAGKKVLVVGCGNSGMEVSLDLCNNGAATSMVVRDKIHVLPREILGISTFGLSVFLLKWFPIKWVDALLLIFSRLILGNIEKYGLRRPKIGPLQIKCSTGKTPVLDIGALKKIKNGEIKVVPAIHCFTEDGVEFVNGCREDFDAVIFATGYKSNVPSWLKEEEFFSESDGFPRKAFPHSWRGKNGLYATGFTKRGLQGTSYDAAMIAADIARRWTKSLAGPTAAADADHHETYIAN >ONIVA05G29170.1 pep chromosome:AWHD00000000:5:27061855:27076568:-1 gene:ONIVA05G29170 transcript:ONIVA05G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLGRDHNAAHLPNSRSGGFPSSTRHFVEHTAGGRWREAWASGWEGRRHRRARRGARGWGTPATAHSLPRPDHRALGFGEWEEASRWIGAMRNRSAVVRSGGGHGRRRPPWHWHPRALACRISRFRPRNTCHLLFAQALSSMVEAAAIAFAKSSAIFVGKKVAEAVISYVVNKALDRLPLENEDLKTKLKSKLSKTQAMLYGITLQEIQDNQGLVEWLWQFRDAIQEAEDALDELDFFDLEKVCNRKAESSSSLVPKFMRLQLSVSSNNSNSSRKNLKNALMRLESVFDDAANFRVVTGHGLHTSPQRNEGRIQDTTNRNETTRVLATPVFGRQKEKDEIIEWLGVEAPGRDSKLSVCAIVGGGGMGKTSLAQLVCQDKKVQDHFGDMIIWVHVPKRFEPLVLVARMLESINRNRATASSLDILQLDLTKELVTKRFLLVLDDAWEDGENELWGQFLSPLRNIIAPMGGRILLTTRMGSVADAVKRQMPSNEYKCVVLGGLDHRDIMQILNHHVPPNEDLELRSVAEWIVHNLEGCPFVAKVIGQYLRDNTDHSNWNNFLNKKVCHLDDIAPRVMEMLRLSYEDLTSEVQLCFQYCSIFPSHYKFRMEELTEMWVSSGLILQSTKGNSGQEKIAREHFNILLKKSFFSLIPRELHPDPSTDYYVMHDLIYELSCLVSSEEFSTFKVTKCNTADVSERVRHLYIEGINSEAINVISKSKYLRTLIIANEEWPLKAGLADNLKKAMKGITSLRLLKFDGHGWFDINDAIAELKHLRYICMSATNKSNLNKLFKLFHLEVLKLLKIEGEEQASVSDLCNLANLQKLYLPKTALSRVPHIGRLTNLRELNGLSVKREDGHKISELKDLKNLRKVFVFDVENVSNCSEASSAELSNKNDMELLSLEWSNQHNRINEQILDTLVPYKRIRHLRISGYKGFLPPLWIQRKVLTKLVHLKIVGCPKWDKLPSLASLSSLKHVLLEDLPNLKYIGGPDGDGLPPFLVTLVVKDCLDLLNLPHLPYSLKHLGINKVGIPCLPASNHMALQNVSTVDPQLCSLHVDSCPNLCSFGSCIVEEQHYKALTSLKVVGCSMLQKLPSEEHFRRISTMESIEILQCQSLSTLGGLGALASLKILKIQQCTHLTATSSGIPVAPAMQSSLVLDTLEIDNHLLLLQNPFRNFCLTRRLVSNGSEMLELPQEWLLQNSSQLEHIEINNANLLRSLPSTMDTLHSLRSLVLCNAPLLETLPAMPPNLWALQISGCCTRLKVGCKTNGSEWEKILPIHKAREYSISPLEEKMVPNSSGLIWQAGGGRDFAHIFQGCSYTQEVWSSIRGWLGLRCSTPMESLPSWWCDARKAIKKRDRRAFDAGIILVTWLIWKQRNARVFEGHAVLSGSMNWAAAHSMSLLDRAFSYIDGVDNQVWKEKLFQALSVLRTAYGYPRSLFGAAEPRRREFMDVVSRLEDAIDDVECRKLEEVRNPAFRNFSKLLFMPSVVRHTAILETAVREFEDVVLRLKHEVPSYQSSYHFESFDRYGNHKVLFGRDREQQQIVQWLIQEEPQPVIRGHTVSIFAIVGIAGMGKTELARFVYGDSRVRMNFDSFAWVSLSGNFRAQAVTRVIVESIYNKLLLVLDDAWEDNNLEEWKSLADSLKDCKPGSRILLTTQMQSVADIAEESIGAEVECLKLGELDEFNNLELLKSCLHSSGHPADLELIAEQIAKQIGGCPLVTIRVASQLNRMDPKHWYSVLKGSWRYEMGMNFLFTSYNRLPTELQNCFRYCSIFPKGHRFDKLELVNMWIGSGLIPLSSSGKNDVDLGEQYLSALAKKSFFCSMLETESSNGDKKEYYVLHSLMHDLAQFVSRGECARVDNDDFRNVMPTTRHLSVVHCGSLNQIPPLENLRTLIIQSESYLDEEAEYALQDVLMMSVRLRLLYLYVPSLSHALHELGTLTHLRYLFLFSCDRSLISLVHRLYHLKVLKINYFTDEEEYFSCIHNLRSLHCLHVPENIMLSKILNIRMLTRLQQLHVFGIAENDGQRLMALRNLTALRQLSLRNLQNVKNSKESEAIKIKGKQHMIFLSLSWNQYLNDPENLDHRIIDSLEPNKEIEQLHIHGYSGVQPPIWIENSSLIHLVSLELECCMNWKTMPSFQKLSSLKYLKLEHLLQLECIGTVTREQFQSNEPENVLPPFLNTLIIRWCPSLKNLPAIPCTLQQLIVKHVGFAVLPMIHQSYTGTRESSLPSSSVKSCLAVLHIECCEHLTTLDEGLLEQQQYLQSLETLVVRNCENLSHLPAMGLTELHHLTSVEIVACPMLGNGKTKCNLWPMSLKKLDINPCGHMEDSALMSLQDLTSLRSLTLFSCSNIEKLPSEEVFRTLKNLNDVSIARCKNLLSLGGLGAAPSLRVLSILCCDNIHHSYSEQSGCSFKLRKLKVDREAMLLVEPIRSLRYTMELHIGDDHAMESLPEEWLLQNASSLRLIEIGVAKNLQALPAQMEKLELLQSLHIERAPAIKVLPQLPASLNKLTIWGCDPRFLERYETNVGSDWVKIKDIAHVDMKAYSEGAFLSVLNFIFAM >ONIVA05G29170.2 pep chromosome:AWHD00000000:5:27065367:27076568:-1 gene:ONIVA05G29170 transcript:ONIVA05G29170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLGRDHNAAHLPNSRSGGFPSSTRHFVEHTAGGRWREAWASGWEGRRHRRARRGARGWGTPATAHSLPRPDHRALGFGEWEEASRWIGAMRNRSAVVRSGGGHGRRRPPWHWHPRALACRISRFRPRNTAREYSISPLEEKMVPNSSGLIWQAGGGRDFAHIFQGCSYTQEVWSSIRGWLGLRCSTPMESLPSWWCDARKAIKKRDRRAFDAGIILVTWLIWKQRNARVFEGHAVLSVNLCAAIEDEWKSVA >ONIVA05G29170.3 pep chromosome:AWHD00000000:5:27065367:27076568:-1 gene:ONIVA05G29170 transcript:ONIVA05G29170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLGRDHNAAHLPNSRSGGFPSSTRHFVEHTAGGRWREAWASGWEGRRHRRARRGARGWGTPATAHSLPRPDHRALGFGEWEEASRWIGAMRNRSAVVRSGGGHGRRRPPWHWHPRALACRISRFRPRNTGGRDFAHIFQGCSYTQEVWSSIRGWLGLRCSTPMESLPSWWCDARKAIKKRDRRAFDAGIILVTWLIWKQRNARVFEGHAVLSVNLCAAIEDEWKSVA >ONIVA05G29160.1 pep chromosome:AWHD00000000:5:27046921:27050619:-1 gene:ONIVA05G29160 transcript:ONIVA05G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGGRLQVDWATSLAMSLVDKAFYLDGVNQDRKERLLQGLSVVRNDHGYPRYRFAVNYPRETNLLRAVADLEDAIDDVEHRKLQEVRDPILHNFNKHFVPSGNSLEFAIRRLEDAVVMLEKDAPFYPSIGFVSPRGNLYYKYNENRMVLFGREREQQQIVQWLIEEPQPISINYPVSIFAIVGMAGMGKTELARLAYEDSKARMNFDSCTWVSLHGNFSAEAITRAIVASITGRLAMLQTTIATDNIRDNKLLLVLDDAWDDTSLEEWKSMADSLKDCKPGSRILLTTQMQSVVDIAEDGIGVKADCVKLGELNEVDNLKLFETCLLSDGRSEDYADFALIGEQIAKRIGGCPLLTAMVASQLSCNMNPQHWNTVLQEGWQYVAGFDFLLPSYNRLPTELQNCFRYCSIFPKGHRFDKLELVNMWIGSGLIPLSPLEREFDVAKRLISLSSSEKRDADVGRQLLPLSQSGKTAVDLGEQYFDALVKKSFFCPMLEGEPSNGDQKEYYVLHSLMHDLAQFVSQGECARVDNDDFQNVMPMTRHLSIVHCGNLNQIPRLKYLRTLIIQSEFYLDQESELALRYVLQCSRHLRLLYLRGPSLSPELCELNTLTHLRYLFLFSCVGSIINHVRGLDHLQVLKINYFTNDEEEYFNYIHKLQSLHCLHVPENIMLSKILQIGMLTSLQELHGIGVAENDGHSMSVLSNLTGLCRLSLRNLQNVRNCKESMDIKIKDMRQMRFLSLSWNKYLNDPENLDHQIIDSLEPNKEIQQLHIHGYSGVRLPIWIENPSLIHLVSLELECCMKWKSMPSFQKLSSLKYLKLEHLLQLECIGTVKKEQFGNNEPENVLPPFLKTLIIRWCSSLKNLPSIPCTLHQLIIKHVGLAVLPMIHQSYTGTRESSFSSSSVKSCLVLLHIECCEDLTSLDKGLLEKQQYLQSLKTLLVRHCENLRHLPANGLTELHHLTSLEIVACPMLRNVEAKGNLWPMSLKKLDINPCGHIEDSVLMSLQDLTSLRSFTLFSCCNIEKLPSEEVFRTLKNLNDVSIARCKNLLSLGGLGAAPSLRVLSILCCDKIHHSYSEQAGCSFKLRKLKVDREAMLLVEPIRSLKYTMELHIGDDHAMESLPEEWLLQNASSLRLIEIGVAKNLQALPAQMENLELLQGLHIERAPAIKVLPQLPASLNKLTIWGCDPRFLERYETNVGSDWVKIKDIAHVDMKAYSEGAFLSVLNFIFAM >ONIVA05G29150.1 pep chromosome:AWHD00000000:5:27032483:27035890:-1 gene:ONIVA05G29150 transcript:ONIVA05G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAVFAGKAVVQPAITYLVKKIVDRIPDGDLCKRLQQKLPFVEAILYAVDRQQTLSNPSLSEVVWQLRDAIQEAEDALNTLEFLDLKSSANNRSKVSLSSLLSSISRKFHVADSSRATENLKDALKNLDAVLDNAGRSLPAIYPSSCSHGKAHIQDSASIQEVTKELRTTIFGRLKEKDAIIDWLGVLTPNIRDQKLSLCAIVGAGGMGKTTLAQFVCQDKKVQDHFANKIIWADVSKIFDPKVLVRKISGSFNRYKASADGLDTIMTDKFLLVLDDARDDAQSERWQQFLDLIRKNVPMGGKILLTTQIRPVANAVEGQMPPDTYKCLELGGLDQENTLKLFNHHAFGDLSPSDCFELQLIGEQIARKLKGCPLLARTIGRHLQGNTDHARWNTILNHDIHKVDDVATEIKKVLRLSYESLSNEVQVCFRYCSIFPPHYKFKMEEMVEMWVSSGLILQREDGINNREDIAIQYFNTLWQKSFISLVPRELNVDTSEDYYVLHDGMHEFSCTVSIGECSRLKATDHGIGIPLTVRHLYVEGINAETINVISKFKYLRTLVVENKENSIQEEHANALKNSIEGRTSLRLLKLYGNGWFCMNDTIAGLEHLRYIYLSATKESNLSKLFKLCHLEVLQILKIEKENESRSISINLPHLQKLHLPKTTVSRIAQIGSLTTLRELNGFSVKRTDGHKITELKDLRKLQKVIVLDVQNVIDHTEASDAELDKKSDLKVLSLEWCADQASCDGRILNKLVPDSNLKHLVISGYNGTRPPLWMESKYFSNLVYLKLDGCVEWDKLPPFGYLWTLKHLFLKNLPKLEYIASSSYSTVVYGYRDTSPDVLPPHLITFVVKNCLGLSELPSLPFSLRYLDIDRVGMSSLPTMCTHMGRRRVSLVESQLSILNVESCNLLVSLNGFLQEEHCRVLTVLSLVCCHMLISLPDASDFKRMSKLESIRIIECNRLSSLGGLEALSHLKILRIEHCANLVTTSSRLPPASDESTYLKLETLEIDDHQLLAISPIRNLCLTKKLIILEREKMAELPLEWLLQNRSHLEHIEISNVELLKSLPNMHEWHTLRSMLLHNTPLLQSLPLMPPNLWVLDINGCCNELHGECQSGGSEWSKICRIHNCNITPKI >ONIVA05G29140.1 pep chromosome:AWHD00000000:5:27009855:27017382:1 gene:ONIVA05G29140 transcript:ONIVA05G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like family protein [Source:Projected from Arabidopsis thaliana (AT5G05490) TAIR;Acc:AT5G05490] MFYSHQLLARKAPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVAIVYERKVKALYDDVSRFLIEINEAWRVKPVADPTVLPKGKTQAKYEAVTLPENIMDMDVEQPMLFSEADTTRFRGMRLEDLDDQYINVNLDDDDFSRAENHHQENITLADNFGSGLGETDVFNRFERFDITDDDATFNVTPDGHPQVPSNLVPSPPRQEDSPQQQENHHAASSPLHEEAQQGGASVKNEQEQQKMKGQQPAKSSKRKKRRKDDEVMMDNDQIMIPGNVYQTWLKDPSSLITKRHRINSKVNLIRSIKIRDLMDLPLVSLISSLEKSPLEFYYPKELMQLWKECTEVKSPKAPSSGYYTICSFLSPFWITKGTQSSSPEQQQRNLPPQAFPTQPQVDNDREMGFHPVDFADDIEKLRGNTSGEYGRDYDAFHSDHSVTPGSPGLSRRSASSSGGSGRGFTQLDPEVQLPSGRSKRQHSSGKSFGNLDPVEEEFPFEQELRDFKMRRLSDVGPTPDLLEEIEPTQTPYEKKSNPIDQVTQSIHSYLKLHFDTPGASQSESLSQLAHGMTTGKAARLFYQACVLATHDFIKVNQLEPYGDILISRGPKM >ONIVA05G29140.2 pep chromosome:AWHD00000000:5:27009855:27017382:1 gene:ONIVA05G29140 transcript:ONIVA05G29140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like family protein [Source:Projected from Arabidopsis thaliana (AT5G05490) TAIR;Acc:AT5G05490] MFYSHQLLARKAPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVAIVYERKVKALYDDVSRFLIEINEAWRVKPVADPTVLPKGKTQAKYEAVTLPENIMDMDVEQPMLFSEADTTRFRGMRLEDLDDQYINVNLDDDDFSRAENHHQENITLADNFGSGLGETDVFNRFERFDITDDDATFNVTPDGHPQVPSNLVPSPPRQEDSPQQQENHHAASSPLHEEAQQGGASVKNEQEQQKMKGQQPAKSSKRKKRRKDDEVMMDNDQIMIPGNVYQTWLKDPSSLITKRHRINSKVNLIRSIKIRDLMDLPLVSLISSLEKSPLEFYYPKELMQLWKECTEVKSPKAPSSGGQQSSSPEQQQRNLPPQAFPTQPQVDNDREMGFHPVDFADDIEKLRGNTSGEYGRDYDAFHSDHSVTPGSPGLSRRSASSSGGSGRGFTQLDPEVQLPSGRSKRQHSSGKSFGNLDPVEEEFPFEQELRDFKMRRLSDVGPTPDLLEEIEPTQTPYEKKSNPIDQVTQSIHSYLKLHFDTPGASQSESLSQLAHGMTTGKAARLFYQACVLATHDFIKVNQLEPYGDILISRGPKM >ONIVA05G29130.1 pep chromosome:AWHD00000000:5:27004393:27004701:-1 gene:ONIVA05G29130 transcript:ONIVA05G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNGGAKRSCRTDARARAAGRPNLTPSSGARRSCGCGAGARAAGWPDLTPGGGAKRSCGADAGARATMETGGVSAPTPGRPGITPRLLPRSAPLLPSQSME >ONIVA05G29120.1 pep chromosome:AWHD00000000:5:27000730:27001993:1 gene:ONIVA05G29120 transcript:ONIVA05G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKEASERIHVPFYYQQDANDQRLLLLERRFIHAETNQYKTSRAIQPPSSSSSSPRQEGPGTNGGNRAAAAAVVQHGAPTAARWA >ONIVA05G29110.1 pep chromosome:AWHD00000000:5:26995600:27000838:-1 gene:ONIVA05G29110 transcript:ONIVA05G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIZ1] MASGGWGEEKNVKAKGTVGGSTYVYREFSRSPPSPTWGGRNAKRNEALPCHLRLTNASLAFSSVFPLEGKACVSPIRRGGEGSASDRLKIGDSSSIKHDRAVRRMCLGYRGTKNGAQCVLTSDAGPDTLHVRTSFRRNFADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFIMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGTDLEAMKVDTSFLNFAIDDPTKFPYIASMGVYVFKRDVLLNLLKSRYAELHDFGSEILPRALHEHNVQAYVFADYWEDIGTIRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKDAIISHGCFLRECTIEHSIVGVRSRLNSACELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKINNCIIDMNARVGRNVVITNSEGVQESDRPEEGYYIRSGIVVILKNATIKDGKVI >ONIVA05G29110.2 pep chromosome:AWHD00000000:5:26995600:26998985:-1 gene:ONIVA05G29110 transcript:ONIVA05G29110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIZ1] MQFSSVFPLEGKACVSPIRRGGEGSASDRLKIGDSSSIKHDRAVRRMCLGYRGTKNGAQCVLTSDAGPDTLHVRTSFRRNFADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFIMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGTDLEAMKVDTSFLNFAIDDPTKFPYIASMGVYVFKRDVLLNLLKSRYAELHDFGSEILPRALHEHNVQAYVFADYWEDIGTIRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKDAIISHGCFLRECTIEHSIVGVRSRLNSACELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKINNCIIDMNARVGRNVVITNSEGVQESDRPEEGYYIRSGIVVILKNATIKDGKVI >ONIVA05G29110.3 pep chromosome:AWHD00000000:5:26999140:27000838:-1 gene:ONIVA05G29110 transcript:ONIVA05G29110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIZ1] MASGGWGEEKNVKAKGTVGGSTYVYREFSRSPPSPTWGGRNAKRNEALPCHLRLTNASLAFGASVFDFAAISTNLSSLTPFRSLEP >ONIVA05G29100.1 pep chromosome:AWHD00000000:5:26987177:26996719:1 gene:ONIVA05G29100 transcript:ONIVA05G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRRERRHQHHHRKAAAQVQAAPAIAAVGVGAGGNGAAAAAARAAYGDVFGGPPRFAAPFGGAPADYAEVFGGVAATCSIPFLDLPPVPAVGADYGFFGRAGAGDYGEIFGRFDFGDFALPYEELFAEAEAEAVGEIGAEEIASSTGSSSRSSMIKESSQPDAQSFMLPRHFKEHESSVISFPPDNQQFVMSYNKTTQRSDDLVEMTTDPSMDYVVDSCEFPHVPTTNHVATMDSGIEANGEKRKKSTTTSASVSLRSSESDFTVDQKQHIPAYPPISEKVSANENHKNSNSISTSSNGTPSPDYAFLRVSDVNVQTQTVKPPPPLKQTSKLLKRREILAKGDVHLENHSCPPASSAHAPSNTSTSQAERRDDTALFNNEANPSSAAAAMKEAMEYAEARLRAAKELMERKGDSFKLRKKPSHHRSTRSTEIKVPTESDTFDENLSVKKSTKEEMNSEDSLLDKQQKASAVRTDHCDDSGKRALSLEKPQHMQSCTAPNQTSSKLGKLGNWTSGDEFYELAGEDQKQKTDAAVGEEDKCEVTNPVTKLSKEQKFEVTAADSDLERYEKLWEVNDGRDAGVKHVNPREDNTSPMGKDRVSMILEASTENIDHEKIYNSHFEGPKVVETSNESHDGEDGAVEIPCKSGITISEPNLMKDMHGSFMEASSPGEYVADFGKGTTEESPVAGISLEPKTTKEELEAACDAEMQCTTGDSEKLQESSEVTSIDNSLARQIKSLILEDLEGSSETQAFPGDPGTAGSEAETYGRNLGTTGLETENYGREKFSFVEESFMHNANRNVTESPVETPIPEQVENVEIEDRVGSCAHSEESTVDKDSECPEEGSDITSQNNNLPDHEDSTMLNVFEVASKLIKRDLDQEKQDTLQPGEVETRTVLDSNDKDTKENPSENSNTIGSEEVLSHGNQEDQKVPEMDKTKGRSDANAQVKLSGVNFYEDGDVTSAANNVTTRLTTNSKDQASSSSEMLTGRQHLPQDAGPAISQTSNGTFPSLEKTEEVCKEAGRELPTDKSAAFEDENSRACKSKAELKQQQFHSEKSSSLPKSAEGHIPSSADISRKETPGVQRLKEQGCLRTEREREKDKEASRRLEETKERDKKFEKEREIAEERERKKLEEQEREREREKDRLAVERATREAHERAFAEARERAEKIALERVTAARQRASAEAREKEERASTEAAAERAARIKAERAAVERATAEARERAIEKAKAEKAAAEARERRERYRSSFKESFKSSNLDNRQDTQFQRAVSSNLMRNPDSYSKGLEVESALRHKARLERHQRTAERVTKALAEKNMRDLLAQREQAEKHRLSEYLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTELITAAAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >ONIVA05G29090.1 pep chromosome:AWHD00000000:5:26978087:26981560:1 gene:ONIVA05G29090 transcript:ONIVA05G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase promoting complex 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) TAIR;Acc:AT2G18290] MESDGEEEAAATPGAGGAPAAGRLKGCPELMVDDDMREMAKTAAWSVSSCKPGNGVASLRDDNLDTYWQSDGAQPHLVNIQFQKKVQLQLVVVYVDFKLDESYTPSKISVRAGDGFHNLKEIKTVELSKPVGWVHISLSGADPRETFIHTFMLQISVLSNHLNGRDTHIRQIKIYGPRPNHVPHQPFHFTSREFVTYSTVR >ONIVA05G29080.1 pep chromosome:AWHD00000000:5:26971961:26973883:-1 gene:ONIVA05G29080 transcript:ONIVA05G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGSKQQQAMMSLPSSRGGGGGGWTQRQNKQFECALAVYDKETPDRWHNIARYMGGAKSADEVRRHFDHLVEDVARIESGRVPFPRYSSSSSSRGADDGNRSRYLKYQ >ONIVA05G29070.1 pep chromosome:AWHD00000000:5:26968401:26969519:-1 gene:ONIVA05G29070 transcript:ONIVA05G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQARAQWPQKQNKLFEQALAVYDKETPDRWHNIARAVGGGKSAEDVKRYYEMLEEDIKHIESGKKSNRTLLKCKYAAEYGVRPNRAMK >ONIVA05G29060.1 pep chromosome:AWHD00000000:5:26959305:26962449:1 gene:ONIVA05G29060 transcript:ONIVA05G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAYGRTPPSSSSSPAASASAGNGAGAAEVRYHECLRNHAAAMGGHVVDGCGEFMPMPGDAADALKCAACGCHRSFHRKDDGQQQQQLRLLIPSPPTPRVPLLMPLPQPQPHPHPHPQHPYLHPPFPYHHTPSGSGGTTTESSSEERGPPSSSAAAAQGRRKRFRTKFTPEQKEQMLAFAERVGFEVDPWRRVGGEQDLQSGGGAVRLSFETHHGGGVAPSPEFAACAASSCSAASSWCTMTGRAITTAVTSQRRNQSKPPPQLKLDGDLRY >ONIVA05G29050.1 pep chromosome:AWHD00000000:5:26953418:26955364:1 gene:ONIVA05G29050 transcript:ONIVA05G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENYDPCYPDQPVVHRYLPVWAKLPAFAAKPAFVWADDGDTAMSYATLTYSQLDAAVERMSSGLLGALRRADTVLVLASPGLRLVKLLFACQRAVLTAVPIIPPDPSRPGAGGAAHSHLLRAVSQTRPRAAVADARYIEAIKKSNAVVDIAGEPDRLAAMLRSLRWLSVDELEQGGANGAAPVTPFVGGEPEDAYLIQYTSGATGAQKPVVITAGAAAHNARAARRAYELHPGSVVVSWLPQYHDCGLMFLLLTVVAGATCVLASPDAFVRRPRLWLELVTEFRATCTPVPSFALPLVLRRGRSPDGRRRRPLELGSLENLILINEPIYKSCVDEFVAEFRGDGLLPASISPSYGLAENCTFVSTAWRSRRCVDLPSYKKLLPSARLSFHMADEEPEIEIIMVDEETGEPVEDGVEGEIWVSSPSNASGYLGHPSATREVFCATLPGKGSCYVRTGDRGVVVVRGAERYLYVVGRSADVLTLDVDGGQRSVCAHYIETAAFGGAPDRLRGGCIAAFATSPVPSTSLVVVVAELLKGSGGGGGGDHKDICEGIKRAVWEEEGVRVGWIVLVDSGVVPKTTSGKLRRGAAREKLLAGKLPILLEARYDGDESSSSVPWDGGEEEMEKCPGMDAAYGSASRRLRLQSFL >ONIVA05G29040.1 pep chromosome:AWHD00000000:5:26950063:26951034:1 gene:ONIVA05G29040 transcript:ONIVA05G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQSPLSPNLHPLSPSPSAAAAAAATPTPAAAAASSRSSRSKKPPHSSDPNQSKKPRLTLTVPGRPLSADGEVAAAIQHLRAADPALATVIDAHDPPAFQCPHRPFHSLVRSILYQQLAFKAAASVYSRFLSLLGGEHNVLPEAVLALTTQDLRQIGVSPRKASYLHDLARKYASGILSDAAVVNMDDRSLAAMLTMVKGIGAWSVHMFMIFSLNRPDVLPAADLGVRKGVQHLYGLDAVPRPSQMEKLCEQWRPYRSVGAWYMWRLIESKAPPPPPAIPVGPPALTEHGDELMLQQQQHQQQQQQSVIQMIDPLQMLPGMG >ONIVA05G29030.1 pep chromosome:AWHD00000000:5:26943218:26947668:-1 gene:ONIVA05G29030 transcript:ONIVA05G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGEESGGEDGGRTASASDLRKPFLHTGSWYKMSSAGGGGGMGSRLGSSAYSLRDSSVSAVLCTLIVALGPIQFGFTCGFSSPTQDAIISDLGLTLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGVISYVVPVYIAEIAPQTMRGALGSVNQLSVTIGILLAYLLGMFVPWRILSVLGILPCSILIPGLFFIPESPRWLLQQDLNRTDYNAKMGKMEDFESSLQVLRGFETDIAVEVNEIKRSVQSSRRRTTIRFADIKQKRYSVPLMIGIGLLVLQQLSGVNGILFYAASIFKAAGLTNSNLATFGLGVVQVVATGVTTWLTDKAGRRLLLIISTTGMTITLVVVSVSFFVKILPVNIKSLAGSVATLANWLTAWLITMTASLMLSWSNGGTFAIYAAVCAGTLVFVCLWVPETKGRTLEEIAFSFR >ONIVA05G29030.2 pep chromosome:AWHD00000000:5:26943218:26947668:-1 gene:ONIVA05G29030 transcript:ONIVA05G29030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGEESGGEDGGRTASASDLRKPFLHTGSWYKMSSAGGGGGMGSRLGSSAYSLRDSSVSAVLCTLIVALGPIQFGFTCGFSSPTQDAIISDLGLTLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGVISYVVPVYIAEIAPQTMRGALGSVNQLSVTIGILLAYLLGMFVPWRILSVLGILPCSILIPGLFFIPESPRWLAKMGKMEDFESSLQVLRGFETDIAVEVNEIKRSVQSSRRRTTIRFADIKQKRYSVPLMIGIGLLVLQQLSGVNGILFYAASIFKAAGLTNSNLATFGLGVVQVVATGVTTWLTDKAGRRLLLIISTTGMTITLVVVSVSFFVKILPVNIKSLAGSVATLANWLTAWLITMTASLMLSWSNGGTFAIYAAVCAGTLVFVCLWVPETKGRTLEEIAFSFR >ONIVA05G29020.1 pep chromosome:AWHD00000000:5:26941046:26942311:1 gene:ONIVA05G29020 transcript:ONIVA05G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHYYSGGAGHHQDVAAAGSPGDMASSTFSLFFPMSNGQCWPPSTVEESAAYDDHSTVTTSPSSPSSSSTGSVDCTLSLGTPSSRRAEPVAAAAPAANHGAPVPAHYPSLSAATVSWDATAESYYCGQQGRPATGAAKCAAGAGHDALLDRRCANCGTASTPLWRNGPRGPKSLCNACGIRYKKEERRAAATTTTADGAAGCGFITAQRGRGSTAAKAAPAVTTCGEETSPYVVGGGGGGGEVANAAYLAWRLNVVPPAATATAFSVWPERASLYHYN >ONIVA05G29010.1 pep chromosome:AWHD00000000:5:26929281:26937034:1 gene:ONIVA05G29010 transcript:ONIVA05G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVAEMAIVLEDALFCLQCLPLLASDKLTLEHRTSKTRRSRKGFVTGRSGRTNCRYRTREKGPPPSQVFAIMYMAKIVVTGQELLRKLTVSSLGWKTSGRGGRQEALIFSDTCYSFHLKCRNPVHKSKSFDQDRRLDEPLNAMKKIA >ONIVA05G29000.1 pep chromosome:AWHD00000000:5:26925766:26929632:-1 gene:ONIVA05G29000 transcript:ONIVA05G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIKGLTFLLLLVLLVLCSNVSLSDARSGKHWRQNRASSSTLLRRKGKGKTNNSHKQYGKGNQDPYQPSPSTSPNVPVNPSERPVQGKGHPAPTMPPPSSGSGHTLPSPPPPLPPLLPPPQPPAAQSQNTVFNVVDFGARGDGVTDDTQAFEEAWAAACKVEASTVLVPSELEFVVGPISFSGPYCKPNILFQLDGTILAQTSTRVWGSGLLQWLEFTKLSGISIQGSGVINGRGQEWWTYSDPNDDDNDDVDAYNVELEKMPQIKPTALRFYGSSNVTVTGITIVNSSQCHLKFDSCQGVMVHDLTISSPENSPNTDGIHLQNSKQVSIHHTNLACGNALINSIKAKPTGFRTKGKLKTLVQVSEVIFALCDAGDDCVSIQTGCSDINIHNVNCGPGHGISIGGLGRYNTKACVSNVTVRDVNMFKTMTGVRIKTWQGGSGLVQGIRFSNIQVSEVQTPIIIDQFYCDRTTCRNQTSAVAVSGVQYENIRGTFTIKPAHFACSDSSPCSEITLTGIQLKPLIVPQYHLYNPFCWQAFGELSTPTIPPISCLQIGKPSGNNVMSDYDLC >ONIVA05G28990.1 pep chromosome:AWHD00000000:5:26918684:26925218:1 gene:ONIVA05G28990 transcript:ONIVA05G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMEAEVEEMRAALALAPLGRHGAWRSGAAAKREAGAEEGAAPEARTVCVTGGISFVGLAVVDRLLRHGYAVRLALETQEDLDKLREMEMFGENGRDGVWTVMANVMDPESLNQAFNGCVGVFHTSSLIDPGGISGYTKHMAILEARAAEQVVEACVRTESVRKCVFTSSLLACVWRQSYPHHRRRFPAIIDESCWSDESFCRDNKLWFALGKTMAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTPSIAYLKGAHAMLAEGLLATADVERVAEAHVRVYEAMSGGGAAGGRYICYDHVVRRGEEFAELQRQLGLPITGVAAASRPGYSDDGDVGGDGRFALCNGKLARLVSSRRRCTYDVYYPASYD >ONIVA05G28980.1 pep chromosome:AWHD00000000:5:26910602:26911519:-1 gene:ONIVA05G28980 transcript:ONIVA05G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIPEPQDPTADVAADVLPAEASSSDVTTTGVEEESDSDGEFEFEFPFVSRDSPAGTAAVADDLFADGRIKPFYPVFGRAGAGGGGDRQQHLAKDDAAATVPPRTRGPLGRLFLEESRGSFDRWSTSTSSSSSSAPASDEGGLDGAPPESYCLWTPGAGAGSASASASPRPPRKSGSTGSMARWRRISELVVGRSHSDGKEKFLFLPIPPPSSKENDVEHFKPKPKPPKPTPASGRKTAQAAAAEIDTVAAIHRIAYGAKGGGATGTSAGGGTPRRTFLPYREELVGLFANVNGISRSHPHPF >ONIVA05G28970.1 pep chromosome:AWHD00000000:5:26903501:26906484:1 gene:ONIVA05G28970 transcript:ONIVA05G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSPEVGMEDSSATHLSDEVQDPGRGSSDASVRTSLRDSTGKEAVAAVEPVREELVQSAVGFLKHPKVVASSDVQRRSFLEKKGLTVDEIDEAFRRLLSPSSNSMSPNYCTYQGVSDHSSKITQENPSTVTKCMDDDSGRPEPETESVDPVVPRHPKSYMEIMEMIQRGERPDDIQDINDDPPNPYQPISESRMAPKPKPWEKQGQESSIWELKSQSMDTIESRSEIQLDSANQFTETENRSNQGDSLLLEETVAGSEAHTDDAASTKS >ONIVA05G28960.1 pep chromosome:AWHD00000000:5:26890383:26903215:-1 gene:ONIVA05G28960 transcript:ONIVA05G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYDEMPPAMVLVPPPFTFPAAAARTRMAVPAYEVMFGKLQRRSLFDDYFDQVGSITSGMIMLRPLVDSHVDLTAKMTTTGGEALFRWQSMVQLRSCAYYPKYRIGAFGTFPLLKANRDCSEGDYGIMGLRYGSENLSIGASFLPFALSGQVPYGAWLVGRKGNISAGIQYKPLCESMHPVPLTDLKNWNCAISYGMGSTSPLSPSFNFSLELVRNTQLVASFYQHFVVQRRVMNPREEEHIIGTTNFVDFGLELATSLDKDKAKENASNPSFQVAASWQASKNFLVKGKLGPSKSSMALAMKSWWRPFFTFSFTAMYDHLKGTGSYGFGISIEDLKEPSYQMADSNYVIVTQNKEDVEPRFLKKLGKKYIFQPDIDSGNYDNLPTGLKPIDKILKEREKKRQRAHSASSEMGIFWSKAEPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLSLQNLFEDYFDHAGNMTSRVMLKPLEDPHVDLIATVRSCAYHPKYRVGAFGTFPLLMGNRVRSEDYGVMGVRYGSENLSFGSSFVPFPGSAELPSGAWLVGRKGSLSAGVQYKPLNWKNWNCAISYGVGLTSPLSPSFIFSLELARSTEFIASFYQHMVVQRRVKNPFEDDQIVGITNYIDFGLELATRIDKDKPSESANNSLFQFAASWQANKNFLFKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHLKGTRSYGFGIRVEDLRQPSYQRADPNYVMLTPSKEHLAPGVLREYGKRPMFQAEKHDERDKRSPSCKRASLGMITI >ONIVA05G28950.1 pep chromosome:AWHD00000000:5:26883872:26886952:-1 gene:ONIVA05G28950 transcript:ONIVA05G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGDGGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEAAADQRGSKPPALAAAHYSQNRRQRREEWEIDPAKLVVRGVIARGTFGTVHRGVYDGHDVAVKLLDWGEDGHRSEQDIAALRAAFSQEVSVWHKLDHPNVTKFIGAIMGARDLDIQTESGHLAMPSNICCVVVEYLAGGSLKGFLIKNRRKKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPSDMTGETGTLGYMAPEVLNGSPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEMPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQRQGCLSCFRQYRGP >ONIVA05G28940.1 pep chromosome:AWHD00000000:5:26881542:26882054:-1 gene:ONIVA05G28940 transcript:ONIVA05G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSEAAPAPQQLRGSQLKQLRELFRRFDMNGDGSLTQLELAALLRSLGLRPTGDEVHALLAGMDANGNGSVEFDELAAAIAPVLTTQTHLVDQAQLLEVFRAFDRDGNGFISAAELARSMARLGQPLTFEELTRMMRDADTDGDGVISFKEFAAVMAKSALDFLGVA >ONIVA05G28930.1 pep chromosome:AWHD00000000:5:26879785:26880025:-1 gene:ONIVA05G28930 transcript:ONIVA05G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGDHACRVAPPQTGCPAISSRESPNTWYHPIPHKYHVIHGRNRLIPREYHTIRGRNCLIHDRHRMIPREYHTIRG >ONIVA05G28920.1 pep chromosome:AWHD00000000:5:26874277:26878879:-1 gene:ONIVA05G28920 transcript:ONIVA05G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSTSSARRRAARAPPPPNLGDLSGVSAPLPSNGLHAPSISTHPPNAATPNPSPPGASIVVVVRPAMQPASPVSGDAGPVAEAVPPRGAPQVLVRRRSVPFSPDSPLAPGSRGGGERRSTFREDVSHAAAETYLVTRLAFILLRYLGVGYRWISQLAALIIYAILLMPGFIRVGYYYFFSRQVLRSVIYGDQPRNRLDLYIPRDPKKPSPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVSDASDGISFVCETVGAYGGDPNQIYLMGQSAGAHIAACALLEQAAKESRGEQISWSVTQIKAYFGLSGGYNIENLVDHFHERGLYRSIFLSIMEGKKSLPHFSPETVAKKLSPETIALLPQIVLLHGTDDYSIPFSASETFAGVLKQAGAKAKLLLYEGKTHTDVFLQDPLRGGRDKLVEDVISVIHADDADAREKDALAPIPGRLVSEWQIKLAHRISPF >ONIVA05G28910.1 pep chromosome:AWHD00000000:5:26869583:26870476:-1 gene:ONIVA05G28910 transcript:ONIVA05G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTITARNPHDSLSFSRRHFKWPVLGKSYSHGATRGEEDYMKSSEAEEEEDEATMAFSSVCPSFHSEDFVSPPKKPPRQQHPQQQHPPQRRKVRTAVSRLRSALAAAVTGRHRQVGLGARLTGTLYGHRRGHVHLAFQLDPRACPALLLELAAPTAALVREMASGLVRIALECERAKGGPAPALPTATGGGKRLLEETVWRAYCNGKSCGYAVRRECGAADWRVLRALEPVSMGAGVIPAASCGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDSTSTSSNSNSGGPELSVYLLRV >ONIVA05G28900.1 pep chromosome:AWHD00000000:5:26865609:26865884:-1 gene:ONIVA05G28900 transcript:ONIVA05G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEGRTAQETERTKLGRWWIVQVLHAESAPPSSSFRLTPLGTGIGDERRQQGRGTMGTRGNEEFVQTPEEKRAAAAAIGGGGRRRPDEK >ONIVA05G28890.1 pep chromosome:AWHD00000000:5:26861823:26864058:-1 gene:ONIVA05G28890 transcript:ONIVA05G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIW8] MISWHELYMVLSAVVPLYVAMMVAYGSVRWWGVLTPEQCSGINRFVAVIAVPLLSFHFISSSDPYAMNLRFVAADTLQKVLVLAALAAWSRFPARFVPPAWPPLDCSITLFSVSTLPNTLVMGIPLLVSMYGPYSGDLMVQIVVLQSIVWYTLLLFLFEFRAARVLIAAQFPDTAASIAAVHVDPDVVSLEGSQAEAHAEVAPDGRLRMIYSISSSRNATPRGSTFTLADIPGHQPPNSALRASSFGAADLFSLHSSSRQHTPRPSSFDEHAAARARASATVAPTNDLKDTHMIEWSSGASAASEVTGLPVFRSGRETRRLVPSDAPSIASSRVIRPPPGATGGERAASFNKAVGGQDELAKLEAGAKTEQQTTAVTTTTKGGGAAGAERERGQQNAPAGVMLRLILTTVWRRLIRNPNTYASLIGLTWSLIAFRFHITMPIIVAKSISILSDAGLGMAMFSLGLFMATQPKIIACGYSVAAASMGVRFFFGPAIMAAASAAVGIRGTLLRIAIVQAALPQGIVPFVFAKEYNLHATILCTLVIFGMLIALPITLVYYIILGLL >ONIVA05G28890.2 pep chromosome:AWHD00000000:5:26861823:26864058:-1 gene:ONIVA05G28890 transcript:ONIVA05G28890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIW8] MISWHELYMVLSAVVPLYVAMMVAYGSVRWWGVLTPEQCSGINRFVAVIAVPLLSFHFISSSDPYAMNLRFVAADTLQKVLVLAALAAWSRFPARFVPPAWPPLDCSITLFSVSTLPNTLVMGIPLLVSMYGPYSGDLMVQIVVLQSIVWYTLLLFLFEFRAARVLIAAQFPDTAASIAAVHVDPDVVSLEGSQAEAHAEVAPDGRLRMVVCRSSVSRRSAAATATPRASNLTGVEIYSISSSRNATPRGSTFTLADIPGHQPPNSALRASSFGAADLFSLHSSSRQHTPRPSSFDEHAAARARASATVAPTNDLKDTHMIEWSSGASAASEVTGLPVFRSGRETRRLVPSDAPSIASSRVIRPPPGATGGERAASFNKAVGGQDELAKLEAGAKTEQQTTAVTTTTKGGGAAGAERERGQQNAPAGVMLRLILTTVWRRLIRNPNTYASLIGLTWSLIAFRFHITMPIIVAKSISILSDAGLGMAMFSLGLFMATQPKIIACGYSVAAASMGVRFFFGPAIMAAASAAVGIRGTLLRIAIVQAALPQGIVPFVFAKEYNLHATILCTLVIFGMLIALPITLVYYIILGLL >ONIVA05G28880.1 pep chromosome:AWHD00000000:5:26857577:26860490:1 gene:ONIVA05G28880 transcript:ONIVA05G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMGILKCPSSLKLSVAVPGHALNPFSDGGSGSGCNNNNTRELGIHLDLDRPYAREELPQQGGSMEVQKGEERGSVRHNSSNHRRLSRVQSKQLDEFYRVNHAVDSKQKKELADRLNLRISQVDAWFRNRRLRSKQKSTEMECAYLKECFNKLKENHRLQLQVEQLRSTSLQLQLQLQLHSERVATAPTGQQAGTSAAARIFTLPLSGYNPSRGTWFSPNAH >ONIVA05G28870.1 pep chromosome:AWHD00000000:5:26847347:26852821:1 gene:ONIVA05G28870 transcript:ONIVA05G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIW5] MGGRARSILRWLRHHRSRRVSSSSFHLTTTGDDTVKDLHDPRREDAEGDGWEEVHEGPESDPEEYIALVSEDAGTHLPVRTEPRRMDPSKKEPDFFTEYGEANRYKVSEVIGKGSYGVVAAAVDTQTGERVAIKKINDVFDHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKLKVCDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESLAKIRNEKARRYLSNMRKKPRVPFTKKFPGVDPMALHLLERLLAFDPKDRPSAEEALTDPYFNGLANSEREPIAQPISKLEFEFEKRKLAKDDVRELIYREILEYHPHMLQEYLRGGDQMSFMYPSGVDRFKRQFAHLEEGVSKGEKSSPQLRQNASLPRERAIGNKHGDDEYHAKLNVGEKPCHASVTDGISKPLMSARSLLKSESISASKCIGEKPKQDRDEDSITENMDETADEVSEKVAQLKT >ONIVA05G28860.1 pep chromosome:AWHD00000000:5:26843405:26843926:-1 gene:ONIVA05G28860 transcript:ONIVA05G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQQQHAHGEGRTAAQRLGYAPAASADSPSTTLLRRVQTHAPNSTQVVGFLTLAVSGAVLLLLTGLTLTGAVVALIFLGPIALLTSPIWVPVAVALFVLAAAALSACAFVVVAVAAGTWMYRYFTGRHPVGADRVDYARSRIADTASHVKDYAREYGGYLHSRAKDAAPGA >ONIVA05G28850.1 pep chromosome:AWHD00000000:5:26836878:26837408:-1 gene:ONIVA05G28850 transcript:ONIVA05G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAFPIRFTRGIRSHWGRRKYQRLEAANGGGKTRATQQLGGARRGGAGWGLRLRRLLRVRVRVARAWLSSPARLLARIRDAYVGGMLAVSRKASGMSLPNAPEGLWPRRVPRRKQLPAARPGQLTDFEQRLVVEIYKSIVASKELTTMLHHSTAHLPQQHNKAAPASSGQLLVN >ONIVA05G28840.1 pep chromosome:AWHD00000000:5:26835380:26843225:1 gene:ONIVA05G28840 transcript:ONIVA05G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDKWAVANVAIWPLDAGAALLCCCGRCAVEWWSMVVSSLEATMDLYISTTSLCSKSVSWPGRAAGSCFRRGTRLGHSPSGAFGSDMPDAFLDTASMPPTYASRIRASSRAGDDSHALATRTRTRSSRRSRSPHPAPPRRAPPSCCVALVFPPPFAASSRWYLRLPQCDRIPLVNRIGNASTSIDDDDEVDDLAAAMLCVGGEEWGRGWWGVGARSNETSATRWGFVRSCRVASWHVSEFALWRGGRCLAHGAVYCIAAWPKCKHGDGGMDLNGADVTSHTQRIVIERNLELGGIAIDHCEIESGQMTKINSARCDVL >ONIVA05G28830.1 pep chromosome:AWHD00000000:5:26833230:26834819:-1 gene:ONIVA05G28830 transcript:ONIVA05G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAAQLTPARIDFSGVDPAAPGTGRWAEVRAEVMGALTTHGWFDAHYPQLTPELRAGLFDAAVRPLFALPVDAKRRNYHGPEKPFHGYLGGLPGLDSYESLAIVDGLKPESVRAFADLVLPRGANDDDGFCEIVNGAAKRIADLEGMVRRMILEGLGVAEHHEAQGESFWHLFRMSEYRAPNSDEKVTGYIAHQDTNWLSIVCQNEVNGNEMQTRDGEWVLVEPSPTSLIVNVGNALRAWTNDRLHAPFHRIMVSGHATRYTCMLFSSPNFMIQVPNELVDECHPPRFKTHDNDDFIRFCVSEEGARHEDKLKAFCGL >ONIVA05G28820.1 pep chromosome:AWHD00000000:5:26829560:26832147:1 gene:ONIVA05G28820 transcript:ONIVA05G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALLPPAHPGRFYSDFGPKPFGSGDQRLSSPNLLTNGGDLFYGCYSPFSPTRVLSPPPPRRAASFSHCSSSSDSVVDDGDGAGAAAATEHRLHLAHLALQYQEMANRFELCLSHLADAADEAAALRQENAELRVANNDLACRIAKFGGRQSSAIALAGDLRRLRLPKEQTVPALPPPPQSPPAALMNPVAVPEKQAVLPKSISIRSTGYQKLNQGGKHRVSKPVNVGSQRVFVGIDGAEGGEHKVGVKKEEPPMGGLEFEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGGVCPYGHRCHFRHSITPADRFSFGH >ONIVA05G28810.1 pep chromosome:AWHD00000000:5:26816627:26817832:-1 gene:ONIVA05G28810 transcript:ONIVA05G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPAPPWAELPDAVLLGIVRRIPCAFDRAHVGEICRSWRRTLLQIPPPRPLPGILLLTPHGPTLSFVVAGDAWCTHPAFVPEAFRRARYFGSYDDSWLFLAVGQDNGHALFNLTDSQEEELPNWGTFQLHDRELGAEILLVAATLSSSPNVHGSVAGGILTADLPPANSMEHIAFWRTGSDVMSKAIRASGVGPLEDVTYHDEAFHFLTLDDIIVVCRASMAEPGSPGKIVVVDEVHVSIELGNIAPRDELGYRDLRIVASYLVESRNDLLMVEKLAPNLLSPASAFRVFQMIKERLHDGQVRYSWEELTTKLDGRMLFVGQGCSRSYEAANYPGLDAGVYFLDDRSTRHDPKIPFQEARARRYLCSDNGKWSGTPPQIKLCVPDPGPSNHSPPVWFFP >ONIVA05G28800.1 pep chromosome:AWHD00000000:5:26813435:26813809:-1 gene:ONIVA05G28800 transcript:ONIVA05G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGELQWIVIHGVRDAVLRAAAITGPRLAVIGDVRVSDAPAVLTLLEKEVVRQDWEIERRHRLDRLARLDHELAIILEAIVPMLMHTPFHIVAGRELQPLRATTTTTTVQSSQRTTTRSRD >ONIVA05G28790.1 pep chromosome:AWHD00000000:5:26803893:26810505:1 gene:ONIVA05G28790 transcript:ONIVA05G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRNQKQRRRQIRAQNELIRWCKRKGSPCQHGDSRAVKTMRRSTPYPCLPEDIWHHIHSQMPMRDAARAACLSRTFLQSWRSHPNLILNKDTIGLNASACGGNFSRKVDHIMRNHSGIGVKIFRLEYLGVVGFDASRYLDNSIGVPFVPLLNSAPCKALQACVCVRGVGAKLSLGETLKMKKLGMGRANAVHYARAELPSIMPNLETLNIRSGPEAVNTPVLPTKFLYLKHLSISLIAVSTLSPSYDYFSLVQQRSMEHESVFAKSSGLRQIPENRHDSLKTVKISGFCSAKSLVELTCYILKNVVSLESLTLDTIHGDCRCYLKTSPFCNHIEEDILMEAPRALSAIRRYIEKIVPTTYQRRRREKKKQANTTGTKMAQD >ONIVA05G28790.2 pep chromosome:AWHD00000000:5:26803893:26810505:1 gene:ONIVA05G28790 transcript:ONIVA05G28790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRNQKQRRRQIRAQNELIRWCKRKGSPCQHGDSRAVKTMRRSTPYPCLPEDIWHHIHSQMPMRDAARAACLSRTFLQSWRSHPNLILNKDTIGLNASACGGNFSRKVDHIMRNHSGIGVKIFRLEYLGVVGLDWCALRPTAELGPLQSLTSLRLRSVSIRGEELQCLLSNSPALEQLRISSCTEIVCLKIPCSLQKLSNLTVIGCDSLKVLENKAPNLSSFFVSGCSNLRILENKTPNLSSFFCRGVGAKLSLGETLKMKKLGMGRANAVHYARAELPSIMPNLETLNIRSGPEAVNTPVLPTKFLYLKHLSISLIAVSTLSPSYDYFSLVQQRSMEHESVFAKSSGLRQIPENRHDSLKTVKISGFCSAKSLVELTCYILKNVVSLESLTLDTIHGDCRCYLKTSPFCNHIEEDILMEAPRALSAIRRYIEKIVPTTVKLTVLEPCSRCHAKGLQRISC >ONIVA05G28790.3 pep chromosome:AWHD00000000:5:26803893:26810505:1 gene:ONIVA05G28790 transcript:ONIVA05G28790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRNQKQRRRQIRAQNELIRWCKRKGSPCQHGDSRAVKTMRRSTPYPCLPEDIWHHIHSQMPMRDAARAACLSRTFLQSWRSHPNLILNKDTIGLNASACGGNFSRKVDHIMRNHSGIGVKIFRLEYLGVVGFDASRYLDSWLQVVVKPGIEELTLVLCKTKREYNFPCSLLSDGIQNSIRYLRLDWCALRPTAELGPLQSLTSLRLRSVSIRGEELQCLLSNSPALEQLRISSCTEIVCLKIPCSLQKLSNLTVIGCDSLKVLENKAPNLSSFFVSGCSNLRILENKTPNLSSFFCRGVGAKLSLGETLKMKKLGMGRANAVHYARAELPSIMPNLETLNIRSGPEAVNTPVLPTKFLYLKHLSISLIAVSTLSPSYDYFSLVQQRSMEHESVFAKSSGLRQIPENRHDSLKTVKISGFCSAKSLVELTCYILKNVVSLESLTLDTIHGDCRCYLKTSPFCNHIEEDILMEAPRALSAIRRYIEKIVPTTVKLTVLEPCSRCHAKGLQRISC >ONIVA05G28790.4 pep chromosome:AWHD00000000:5:26807973:26812738:1 gene:ONIVA05G28790 transcript:ONIVA05G28790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPNPQSPSQNPRHLRPKILAADRRRRLLAEQAASTTASMSPSQPANIVVFSPYLPATPCRFVIVEPAVPLPSLGATVLRHPLWSQHPPSSSRGANALPPNISSMAISSLPVTAACRLLHTSPIGTAILYHSVFYYSDYLCFALYIVTDVYQQGSKLPQVFQVLLSEEKNTFIRGSTIYKAQMKHG >ONIVA05G28790.5 pep chromosome:AWHD00000000:5:26803893:26810505:1 gene:ONIVA05G28790 transcript:ONIVA05G28790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRNQKQRRRQIRAQNELIRWCKRKGSPCQHGDSRAVKTMRRSTPYPCLPEDIWHHIHSQMPMRDAARAACLSRTFLQSWRSHPNLILNKDTIGLNASACGGNFSRKVDHIMRNHSGIGVKIFRLEYLGVVGFDASRYLDSWLQVVVKPGIEELTLVLCKTKREYNFPCSLLSDGIQNSIRYLRLDWCALRPTAELGPLQSLTSLRLRSVSIRGEELQCLLSNSPALEQLRISSCTEIVCLKIPCSLQKLSNLTVIGCDSLKVLENKAPNLSSFFVSGCSNLRILENKTPNLSSFFCRGVGAKLSLGETLKMKKLGMGRANAVHYARAELPSIMPNLETLNIRSGPEVQQRSMEHESVFAKSSGLRQIPENRHDSLKTVKISGFCSAKSLVELTCYILKNVVSLESLTLDTIHGDCRCYLKTSPFCNHIEEDILMEAPRALSAIRRYIEKIVPTTVKLTVLEPCSRCHAKGLQRISC >ONIVA05G28790.6 pep chromosome:AWHD00000000:5:26803893:26804633:1 gene:ONIVA05G28790 transcript:ONIVA05G28790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNLLLMFWIFFFLFLAPPFLPSLHHRRHRQVRVADVPYAAAVRRPVSSLADFSPPPPPPSSASPEAASLVRRAQFGAILEVLIGKGRE >ONIVA05G28780.1 pep chromosome:AWHD00000000:5:26796532:26803420:1 gene:ONIVA05G28780 transcript:ONIVA05G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT1G17220) TAIR;Acc:AT1G17220] MGFPASVANLGTNGRPAASSSHLASRIRFAGLGSIRRWQYAPGRLCRCMVVTNLIDEEKGVQFSSRGSVSVKPSDDSDLLLKPPQKPVRANGPPESVNAASPSPSRPTLEDRDKVRESLDEVLEKAEKLKASTSGNGIGNGDLRQNGASKPDSSATPAAEGANSRKTKTLKSVWRKGNPVSTVHKVVRDHPRSESRNQSSSTAKPSMPAPTKPVPPLLTKPSVAPPPRRPVKADTSKEKKGPILIDKFASNKPIVDPVVAAALIEPVKPVRGPPAKVKDDRRKKTSTPAGPRRRMPKNDGLVDEDTAVRKGRRWSKAKRRAARLQLEASQVEEPVRVEILEVGEEGMVIEELAYQLAIDESEILRFLSVRGAMLDNVQTLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEDRPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVIVQVDGNPHACVFLDTPGHEAFGAMRARGARVTDICIIVVSADDGVRPQTNEAIAHAKAAGVPIVIAINKVYFLVISSSITVVKRKILLSCFSNLLIKQIDKEGANAERVMQELSQIGLMPEAWGGDIPMIQISALNGEGVDELLETIILVAELQELKANPHRNAKGTVIEACLDKAKGSLATLVVQNGTLNKGDIVVCGEAFGKIRAMYDDGGKLIDKAGPSNAVQVIGLNNVPLAGDEFESVDNLDVARERANARAEALRIERISSKAGEGKVTLSSIAASVSSGKQVGIDTHELNIILKVDFQGSVEAIRQAIQVLPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVKAPGSVKSYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLELAEEEVPIGSAKVRAVFSSGSGKVAGCMITTGKVVHDCNVRVLRKGKEVYMGTLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKTRTLEEASASVTAALKDAGVQL >ONIVA05G28770.1 pep chromosome:AWHD00000000:5:26794039:26794515:1 gene:ONIVA05G28770 transcript:ONIVA05G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLVSQPRRSIQMYWARRNYRRLGSPPSRHLRVARLGGGAGGARSTKDAAVRRGRLSSWNARAAARAVLLSPLRLMARIRDAYVDAMLALAAGGGAALSRSRSRSCAATEAAAGLLDRRVPRARLQGSGGDFERRMMEHIYNMIVTPELPGAAARA >ONIVA05G28760.1 pep chromosome:AWHD00000000:5:26783950:26784372:1 gene:ONIVA05G28760 transcript:ONIVA05G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSCQLKPAPAPAAASRGGGAPHLQQLRRACVAAAAACAVLGTAGGPGEGAVMARAPEATAAAAAGPARWSDRRQCPPWRANSLENIVPENLPRPSARRRFNSITAAAAAESAPPPASASPDAVLPFLAPRSGMGCFSL >ONIVA05G28750.1 pep chromosome:AWHD00000000:5:26776780:26778282:1 gene:ONIVA05G28750 transcript:ONIVA05G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFGGFGGWSAMDVAAAAAAALGNVSGAVYHADPAAAVYASLVPGMAVVPGRAPPSAVQIEAARRWKELEKMALRSVNLMVTCAGAIQAGDYAAAAGSLSDAREIFAKMPTTRTGIGRVLTHFADALAERLFPAFPQSAPPPPPPRGEQRELFRGFYEAGPYLKFAHLAANQAILEAFEGCNSVHVIDFALTDGIQWPSLIQALAVRPGGPPFLRITGIGPHAAGNRDELRDVGLRLAEFARSCSVPFAFRGIAADQLDGLRPWMFQVAPGEAVAINSVLQLHRLLVDQDAAAAASFPAPIDGVLDWVASMNPRVFTVVEQEADHNKSSLLERFTNSLFYYASMFDSLEAISRHGGGDGAGNPLAEAYLQGEIADIVSREGSSRVERHEQMPRWVERLRRGGMTQLPLGATSLWQAAMQLREFSGAGFGVQENGGFLTLTWHSQRLYSASAWRATAGKKMTMMASGAADAMEESQNSNTNGGGGGSSGGGHGALNQIMQ >ONIVA05G28740.1 pep chromosome:AWHD00000000:5:26769510:26773798:1 gene:ONIVA05G28740 transcript:ONIVA05G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G15510) TAIR;Acc:AT1G15510] MPPPPARTHPNPPLLHLLASHRAPQPLPLTPAHGHLPARKRPRGVGSAAAPPPPRAAASAEATYSDRSAALRALCSHGQLAQALWLLESSPEPPDEGAYVALFRLCEWRRAVDAGMRACARADAEHPSFGLRLGNAMLSMLVRFGEIWHAWRVFAKMPERDVFSWNVMVGGYGKVGFLEEALDLYYRMLWAGMRPDVYTFPCVLRTCGGIPDWRMGREVHAHVLRFGFGDEVDVLNALVTMYAKCGDIVAARKVFDGMAMTDCISWNAMIAGHFENHECEAGLELFLTMLENEMYTSLGRMGDAGKIFSRMETKDAMSWTAMISGYEKNGFPDKALEVYALMELHNVNPDDVTIASALAACACLGRLDVGIKLHELAQNKGFIRSFEALYYFRYMLGHVKPNSVTFIAALSACAATGALRSGKEIHAYVLRCGIGSEGYVPNALLDLYVKCGQTSYAWAQFSVHSEKDVVSWNIMLSGFVAHGLGDIALSLFNQMVEMGEHPDEVTFVALLCACSRAGMVIQGWELFHMMTEKFSIVPNLKHYACMVDLLSRVGKLTEAYNLINRMPIKPDAAVWGALLNGCRIHRHVELGELAAKVILELEPNDVAYHVLLCDLYTDAGKWAQVARVRKTMREKGLEQDNGCSWVEVKGVTHAFLTDDESHPQIKEINVVLHGIYERMKACGFAPVESLEDKEVSEDDILCGHSERLAVAFGLINTTPGTTISVTKNRYTCQSCHVIFKAISEIVRREITVRDTKQLHCFKDGDCSCGDIGYG >ONIVA05G28740.2 pep chromosome:AWHD00000000:5:26769510:26772122:1 gene:ONIVA05G28740 transcript:ONIVA05G28740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G15510) TAIR;Acc:AT1G15510] MPPPPARTHPNPPLLHLLASHRAPQPLPLTPAHGHLPARKRPRGVGSAAAPPPPRAAASAEATYSDRSAALRALCSHGQLAQALWLLESSPEPPDEGAYVALFRLCEWRRAVDAGMRACARADAEHPSFGLRLGNAMLSMLVRFGEIWHAWRVFAKMPERDVFSWNVMVGGYGKVGFLEEALDLYYRMLWAGMRPDVYTFPCVLRTCGGIPDWRMGREVHAHVLRFGFGDEVDVLNALVTMYAKCGDIVAARKVFDGMAMTDCISWNAMIAGHFENHECEAGLELFLTMLENEMYTSLGRMGDAGKIFSRMETKDAMSWTAMISGYEKNGFPDKALEVYALMELHNVNPDDVTIASALAACACLGRLDVGIKLHELAQNKGFIRYVVVANALLEMYAKSKHIDKAIEVFKFMAEKDVVSWSSMIAGFCFNHRSFEALYYFRYMLGHVKPNSVTFIAALSACAATGALRSGKEIHAYVLRCGIGSEGYVPNALLDLYVKCGQTSYAWAQFSVHSEKDVVSWNIMLSGFVAHGLGDIALSLFNQMVEMGEHPDEVTFVALLCACSRAGMVIQGWELFHMMTEKFSIVPNLKHYACMVDLLSRVGKLTEAYNLINRMPIKPDAAVWGALLNGCRIHRHVELGELAAKVILELEPNDVAYHVLLCDLYTDAGKWAQVARVRKTMREKGLEQDNGCSWVEVKGVTHAFLTDDESHPQIKEINVVLHGIYERMKACGFAPVESLEDKEVSEDDILCGHSERLAVAFGLINTTPGTTISVTKNRYTCQSCHVIFKAISEIVRREITVRDTKQLHCFKDGDCSCGDIGYG >ONIVA05G28730.1 pep chromosome:AWHD00000000:5:26764594:26768608:-1 gene:ONIVA05G28730 transcript:ONIVA05G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPTAAKPARNTEEKAFRPQFNAEEAVEYQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQNLYKQRVWVRGSRPEEEAIFQFTMVQRVGGSWDGYWLTESLINDDGDALSGDEVTIIVRASVSRVVYRRTGDAMHPYTSTLYTH >ONIVA05G28730.2 pep chromosome:AWHD00000000:5:26766205:26768608:-1 gene:ONIVA05G28730 transcript:ONIVA05G28730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPTAAKPVALLPAPICRCGGGGLRSTLLALMPPAAAAASRFRVSASASDVPDFLSSDWLETRKKKPFGPRLNFNAEEAVEYQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQNLYKQRVWVRGSRPEEEAIFQFTMVQRVGGSWDGYWLTESLINDDGDALSGGLAY >ONIVA05G28730.3 pep chromosome:AWHD00000000:5:26766205:26768608:-1 gene:ONIVA05G28730 transcript:ONIVA05G28730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPTAAKPARNTEEKAFRPQFNAEEAVEYQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQNLYKQRVWVRGSRPEEEAIFQFTMVQRVGGSWDGYWLTESLINDDGDALSGGLAY >ONIVA05G28720.1 pep chromosome:AWHD00000000:5:26763445:26763865:-1 gene:ONIVA05G28720 transcript:ONIVA05G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAFPHGRLLIAQGLSATPAPDRLGVFAVRARVAGMHYPIRIDSIDRPAVVVCNLCVR >ONIVA05G28720.2 pep chromosome:AWHD00000000:5:26763543:26763865:-1 gene:ONIVA05G28720 transcript:ONIVA05G28720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAFPHGRLLIAQGLSATPAPDRLGVFAVRARVAGMHYPIRIDSIDRPAGRNRTPVPIRNIGDRCMHLLSLSSI >ONIVA05G28710.1 pep chromosome:AWHD00000000:5:26759168:26763425:-1 gene:ONIVA05G28710 transcript:ONIVA05G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQQQAPLLQRPAAAPPSSSRLPDFKQSVKLKYVKLGYHYLITHGAYLLLAPLPGLVAAHLSTFTLGDLADLWQNLQYNLVSVLLCSTLLVLVSTAYFLTRPRPVYLVDFACYKPDDERKCSRARFMNCTERLGTFTPENVEFQRKIIERSGLGEDTYLPEAVLNIPPNPSMANARKEAEMVMYGALDELLAKTGVNPKDIGILVVNCSLFNPTPSLSAMVVNHYKLRGNVVSYNLGGMGCSAGLISIDLAKDLLQVYPNTYAVVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRGSARRRSKYQLVHTVRTHRGADDRCFGCVTQREDADGKTGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVTRKVLKRKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRIKKGDRTWQIAFGSGFKCNSAVWRALRSVNPAKEKNFTNPWIDEIHRFPVPVPKGFVGPHSSPTPVSSPPPLASPPFSPMALPNQGTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVEAVALKPPEVPIDLAMQQQHEAELAAAAAQPLPDDDDDLIE >ONIVA05G28700.1 pep chromosome:AWHD00000000:5:26754318:26757806:-1 gene:ONIVA05G28700 transcript:ONIVA05G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIT9] MRPSLLRYAAQALRRRDYSSAAAAAERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPALVKGFVGEEQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCTAISKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTEVNVPVVGGHAGITILPLFSQATPASNALSHEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGQLSEFEKEGLENLKGELKSSIEKGIKFAHAN >ONIVA05G28700.2 pep chromosome:AWHD00000000:5:26754318:26757806:-1 gene:ONIVA05G28700 transcript:ONIVA05G28700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIT9] MRPSLLRYAAQALRRRDYSSAAAAAERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPALVRLHPPACIPLLAGSSRVKGFVGEEQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCTAISKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTEVNVPVVGGHAGITILPLFSQATPASNALSHEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGQLSEFEKEGLENLKGELKSSIEKGIKFAHAN >ONIVA05G28690.1 pep chromosome:AWHD00000000:5:26748427:26748885:1 gene:ONIVA05G28690 transcript:ONIVA05G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIT7] MAPKAEKKPAAKKPAEEEPAAEKAPAAGKKPKAEKRLPAGKGEKGGAGEGKKAGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >ONIVA05G28680.1 pep chromosome:AWHD00000000:5:26735515:26739546:-1 gene:ONIVA05G28680 transcript:ONIVA05G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPMLGGIADRWRELHGQDSWNGLLDPLDLDLRSSILSYGELVQATYDSFNRERRSPHAGACVYGHGDLLAAAGASAAGSYAVTKFVYATSGLPVPEAFLLLPLPSLLPPAWSRESNWMGYVAVATDEGVAALGRRDIIVAWRGTVESLEWVNDFDFTPVPAAPVLGAAAAANPRAIVHRGFLSVYTSSNKDSKYNKASARDQVLEEVRRLMELYKDEVTSITVVGHSLGASLATLNAVDIVANGANCPPASSSSSQPPCPVTAIVFASPRVGDGFFKAAFASFPDLRALHVKNAGDVVPMYPPLGYVDVAVKLRISTSRSPYLRSPGTIETLHNLECYLHGVAGEQGSAGGFKLEVDRDVALANKGVDALKDKYPVPPRWWVSKNRCMVKDADGHWALHDFEQI >ONIVA05G28670.1 pep chromosome:AWHD00000000:5:26733718:26735249:1 gene:ONIVA05G28670 transcript:ONIVA05G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQWLGDGTARRWRELHGESDWDGLLDPFDLDLRRTVIRYGEMAQATYDAFNHEKLSPHAGLSRFAACRFFERAQLPGHAAAYRVARFVYATSCVAVPEPLILRSASRARRCRESNWIGYVAVATDEGKAALGRRDIVVAWRGTVQSLEWIKDMDFVMVPPKGLLRDKASDAMVHRGWLSMYTSRDSESSHNKDSARDQVLSEVAKLVSMYQDEELSITVTGHSLGAALATLNAFDIVENGYNRAPRAAAAAAGCPVTAFVFASPRVGGHGFKRRFDGARGLGLRLLRVRNARDVVPRYPTAPPNHGVGTELAIDTGESPYLRRPGNELVWHNLECYLHGVAGARGGEAGRFKLAVERDVALANKSYGALRDEHAVPAGWWIPSNRGMVRGADGRWTLMDREEDEDSAE >ONIVA05G28660.1 pep chromosome:AWHD00000000:5:26725495:26730120:-1 gene:ONIVA05G28660 transcript:ONIVA05G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPATPKTSSSRKAPPPPPPLVQAAAHHAAAGQPETPRRRSSGSPASASASASGSKTGVFARSFGAYFPRSSAQVQPARGAAPEVGELVRLVEELQERESRLRTELLEHKILKETVAIVPFLENELAAKSSELGRCRDALTRLESENARLRAALDAAAASSRDNEQRILEMERQMTELRKRRQRDVATGPDDCSSSASSDNSESSNAATNSAKSAKVAGCSSVRPPPPPPPPPLPPPMPATYKSKSYFSGSSRASPANSSSSSSSSSAPSTPSCSSDTAASRSRLPELSKLPPIPPPPPPPPPPPMPRSRSASPSPSTSSSGSAGPPAPPPPPPPAAKRTSRTSTPATTSSSAPASGPCVRRVPEVVEFYHSLMRRDSKRDGGGGGGGPEACPGGGAAAARDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVTFVKWLDVELSRLVDERAVLKHFEWPEQKADALREAAFGYRDLKKIEEEASSFCDDPRQPCSSALKKMQALFEKLEHGVYSLARVRDGAMNRYRGYHIPWEWMQDTGIVSQIKLQSVKLAMKYLRRVSSELEAIKDGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQELKEKASTFQSQRECQNQHLQQHKLAGRS >ONIVA05G28650.1 pep chromosome:AWHD00000000:5:26721752:26725622:1 gene:ONIVA05G28650 transcript:ONIVA05G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27430) TAIR;Acc:AT2G27430] MEAAGDDAAAAVRMRAAVKRLSFGTAEERAEAAGEVGRLARSDEGRKRLLPELGVVPPLVSMLADARGGGAGARMAAAGALLELARGAHRNKVHIVQAGLLKKLPLLMDDKDMSRSQELALLLLSISSLANTDFPLASSELLPFLVAVLSADDAPADTKLPCLGALHNLSAKLEHVRDVASSGAVRALLALSLDRKTSEAALSVLGDLAATAAGREEMEEDEAAPRALVEAMTWHDAPRCQEHAAYLAMVLAHGSRLQRRRMRRFGVVQALLEVSLLGSPLAQRRAAKILQWFKEEGQDRIRAHSGPRMEGASSASCDDGGEGAKDRRNAVDRIVKQSLDRNMKSILRRATASVDLTSVKLLVGSSSSKSLPCETLHP >ONIVA05G28640.1 pep chromosome:AWHD00000000:5:26715703:26718819:-1 gene:ONIVA05G28640 transcript:ONIVA05G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIT2] MAASTTLALSHPKTLAAAAAAAPKAPTAPAAVSFPASHAACAPLAARRRAVTAMVAAPPAVGAAMPSLDFDTSVFNKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFDEARAAGFTEESGTLGDIWETVSGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSAGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMDEEMAYKNTVEGITGIISKTISKKGMLEVYNSLTEEGKKEFNKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGEKVRSTRPENDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDAPINQDLISNFMSDPVHGAIEVCAELRPTVDISVPANADFVRPELRQSS >ONIVA05G28630.1 pep chromosome:AWHD00000000:5:26714349:26714765:-1 gene:ONIVA05G28630 transcript:ONIVA05G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEREGAVVAKGHEEGMKMAASLLEEFGLPLGLLPLGDVVEVGFARATGYMWIAQRRKVEHHFRMVGKHVSYDADITGYVKPRCIKKLKGVKAKELMLWPPVNEIAVDEPSTTGKIHFKSLAGVTKTFPVEAFAAGQ >ONIVA05G28620.1 pep chromosome:AWHD00000000:5:26708591:26711447:1 gene:ONIVA05G28620 transcript:ONIVA05G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGFDGTENGGGGGGGGGVGKEQDRFLPIANIGRIMRRAVPENGKIAKDSKESVQECVSEFISFITSEASDKCLKEKRKTINGDDLIWSMGTLGFEDYVEPLKLYLRLYREGDTKGSRASELPVKKDVVLNGDPGSSFEGM >ONIVA05G28620.2 pep chromosome:AWHD00000000:5:26708591:26711643:1 gene:ONIVA05G28620 transcript:ONIVA05G28620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGFDGTENGGGGGGGGGVGKEQDRFLPIANIGRIMRRAVPENGKIAKDSKESVQECVSEFISFITSEASDKCLKEKRKTINGDDLIWSMGTLGFEDYVEPLKLYLRLYREGDTKGSRASELPVKKDVVLNGDPGSSFEGM >ONIVA05G28620.3 pep chromosome:AWHD00000000:5:26708890:26711643:1 gene:ONIVA05G28620 transcript:ONIVA05G28620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGFDGTENGGGGGGGGGVGKEQDRFLPIANIGRIMRRAVPENGKIAKDSKESVQECVSEFISFITSEASDKCLKEKRKTINGDDLIWSMGTLGFEDYVEPLKLYLRLYREGDTKGSRASELPVKKDVVLNGDPGSSFEGM >ONIVA05G28610.1 pep chromosome:AWHD00000000:5:26688683:26694061:-1 gene:ONIVA05G28610 transcript:ONIVA05G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIS7] MKYVLVTGGVVSGLGKGVTASSIGVVLKDCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTNEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEENVKVKLAQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHESILKVLDLQCVGKVPRAPKLTEWTERASKFDKLKTPVRIAMVGKYTGLSDSYLSVLKALLHASVALDRKLVVDWVPSCDLEDSAATETPDAYEKAWDLLKGAHGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATTTPCVIFMPEGSKTHMGATMRLGSRRTFFQANTCKSAKLYGNASYVDERHRHRYEVNPEMVPEFEKAGLSFVGRDESGTRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFMGLIAASSGQLDHLLQQSCGVVSSPVRRGNYCNGATKQQKLYQNGHVKNGLVNGCYYANGNSILHT >ONIVA05G28610.2 pep chromosome:AWHD00000000:5:26688683:26694061:-1 gene:ONIVA05G28610 transcript:ONIVA05G28610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIS7] MKYVLVTGGVVSGLGKGVTASSIGVVLKDCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTNEPADVCVIELGGTIGDKIFSPHNDSGILDAGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEENVKVKLAQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHESILKVLDLQCVGKVPRAPKLTEWTERASKFDKLKTPVRIAMVGKYTGLSDSYLSVLKALLHASVALDRKLVVDWVPSCDLEDSAATETPDAYEKAWDLLKGAHGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATTTPCVIFMPEGSKTHMGATMRLGSRRTFFQANTCKSAKLYGNASYVDERHRHRYEVNPEMVPEFEKAGLSFVGRDESGTRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFMGLIAASSGQLDHLLQQSCGVVSSPVRRGNYCNGATKQQKLYQNGHVKNGLVNGCYYANGNSILHT >ONIVA05G28600.1 pep chromosome:AWHD00000000:5:26684568:26689644:1 gene:ONIVA05G28600 transcript:ONIVA05G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIS4] MASTKIKVANPIVVECSSRDMAHWHSRDEMTRVFWKSIKDKLIFPFLELDIKYFDLGLPYRDQTDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFSLKSMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVYEGKDEEIELEVFNFTGAGGVAQSMYNTDESIRSFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVRQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFTQKLEAACIGAVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVADELRSRLAAN >ONIVA05G28600.2 pep chromosome:AWHD00000000:5:26684568:26689644:1 gene:ONIVA05G28600 transcript:ONIVA05G28600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIS4] MASTKIKVANPILIFPFLELDIKYFDLGLPYRDQTDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFSLKSMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVYEGKDEEIELEVFNFTGAGGVAQSMYNTDESIRSFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVRQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFTQKLEAACIGAVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVADELRSRLAAN >ONIVA05G28590.1 pep chromosome:AWHD00000000:5:26677004:26680324:-1 gene:ONIVA05G28590 transcript:ONIVA05G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVAAGRGCSFLFLVSSGLRGTHQLSQARGLGRLRSAPGSPARPAARGADQLGLGWVGLDLGAGQCELCCCCCCAGGMRWCGLRLLPLVCLLVIAAAAEEDKTNILQADKNNDNNIAHSDGGKTGRHDETNPNTVHHDEGKNDPDGNNKKDKSTEVISTAKYAAAVHHVDKDINTAKSSHVTDFSQDPLIKGCDPSHTCVIENKKFIACLKVPGEDSLALSLLMDNKGMDPLYVGITTPEFVTSAEDTIHVQANDHNETQVTIFNNGAPNMTIILRVAEETCNISIHRAIAREISQVMPMRLTSKYMLVPVFLLIGAVVACIKLRRRGIQDGGPAYQKLDAAELPLSTGGKKEADQSDQWDDNWGDEWDDEAPLTPTRHMPNLSSKGLASRRSTKDGWKD >ONIVA05G28580.1 pep chromosome:AWHD00000000:5:26671494:26673557:-1 gene:ONIVA05G28580 transcript:ONIVA05G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39680) TAIR;Acc:AT5G39680] MAAARACPMGVSVDQRRAAVAVLRAAAAAGELSLGKAVHARVVRAARFDVVQYNNLIALYVKCGRLGLARQVFDAMPSRNPVSGNLLMSGYASSGRHRDALALLRVADFGLNEYVLSSAVAATAHVRSYDMGRQCHGYAIKAGLAEHPYVCSAVLHMYCQCAHMDEAVKVFDNVSSFNVFAFNSMINGFLDRGQMDGSTSIVRSMVRNVGQWDHVSYVAVLGHCASTKEVVLGSQVHTQALKRRLELNVYVGSALVDMYGKCDFPHEANRVFEVLPEKNIVSWTAIMTAYTQNELFEDALQLFLDMEMEGVRPNEFTYAVALNSCAGLAFLKNGNALGACTMKTGHWGLLPVCNALMNMYSKSGSVEDARRVFLSMPCRDVVSWNSIIIGYAHHGRAREAMEAFHDMLFAEEVPSYVTFIGVLSACAQLGLVDEGFYYLNIMMKEVGVKPGKEHYTCMVGLLCRVGRLDEAERFIESNCIGTDVVAWRSLLSSCQVYRNYGLGHRVAEQIFQLKPKDVGTYVLLSNMYAKANRWDGVVKVRRLMRELGVRKEPGVSWIQVGSEVHVFTSEDKKHPYMEQITKKLQELIDKIKVIGYVPNIAVALHDVEDEQKEEHLMYHSEKLALAFGLIRTPKGEAIRIMKNVRICDDCHVAIKLISLATGRRIVVRDTVRFHCIEDGVCSCDDYW >ONIVA05G28570.1 pep chromosome:AWHD00000000:5:26661002:26663015:-1 gene:ONIVA05G28570 transcript:ONIVA05G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETGATDEGHACEVVVAGGDGKAAAARRRRRLELRRLGLAAEDDAAAKRIRSVKDGSSSDDSSTEVVPRSWPACVSHGSVSVIGRRREMEDAVAIERTFMASTGDGAGAIRGGGEGEEDFFAVYDGHGGSRVAEACRKRMHVVLAEEVSLRRLRGQSASGGDVRWKEAMLASFARMDGEVVGSVAAAAPRVDGTEPSGFRTVGSTAVVAVVGRRRIVVANCGDSRAVLSRGGVALPLSTDHKPDRPDELERVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPFVSAEPEVRVVERTDKDEFLILASDGLWDVVSNEVACKIARNCLNGRAASMFPESVSGSSAADAAALLAELAVSRGSRDNISVVVVELRRLKSRAA >ONIVA05G28560.1 pep chromosome:AWHD00000000:5:26636305:26637123:-1 gene:ONIVA05G28560 transcript:ONIVA05G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64380) TAIR;Acc:AT1G64380] MDASLRTLPPASFPGEVRSAVSSLLLSPGGSALDTVFSHLPPPVTIPPLGSSVYYRQSELLRHFAASQAAQAQSATAAASSSSAAAAGLDDGAPRKLYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAFKLRGEYARLNFPGVMDGRDCPDNLRQLRDAVDAKIQAIRVRMARKRARARRQREESKKSQRAEDAKAATPSRPVASERAASETTTTTTTSSSYGSPDGVLSMSAASVDGDCPLERMPSFDPELIWEMLNF >ONIVA05G28550.1 pep chromosome:AWHD00000000:5:26634379:26634666:1 gene:ONIVA05G28550 transcript:ONIVA05G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVRRRAWDCGSPLYDSFELASVYGLLDSNLMALPFAERSAELDAAADRAPARRTAAKEQRRRKKAAAAAARRTGKAVLRSIFRSVTCSRKL >ONIVA05G28540.1 pep chromosome:AWHD00000000:5:26626420:26630259:-1 gene:ONIVA05G28540 transcript:ONIVA05G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFKLNLLLKLQRQRQRRRRQIQAHNGSVLIHPRDKINAPACQNNTHSWSGQAKCSDPTLPEDISCHIHSLMSMRDAARVACVSRAFARSWRCLPNLDFSEESLGINRSTCKKDEKLGDLTSKIDWILKNHSGIGIKKLIIQVGSVYSRDSCHLAHLDSWLQCAVKPGIEELIVNLSSMNAKYNFPCELLSSGTGDSLRYIYLASCNFHPTVRIGCLKSLTRLQLCMVNITENELRCLLSISLGLERLELRHCSTLKCLKVPCLQRLSYLDVMTCTGLQVIESKAPNLSSIRFEGDLYVQLSLGEPLQIKQLYRLCNDAAFYARTELPSSMPNLERLIIHSDTEMVNTPMVPSKFYHLKYLSIALGGQTYDYLSLVSFFDASPFLETFILNALRERTERATIFGDPSGLRMMPEHRHDKLKETLMEAHRALKAVQTYIELKVPSKVELNVLEPCSRLSALFTVED >ONIVA05G28540.2 pep chromosome:AWHD00000000:5:26626633:26630259:-1 gene:ONIVA05G28540 transcript:ONIVA05G28540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFKLNLLLKLQRQRQRRRRQIQAHNGSVLIHPRDKINAPACQNNTHSWSGQAKCSDPTLPEDISCHIHSLMSMRDAARVACVSRAFARSWRCLPNLDFSEESLGINRSTCKKDEKLGDLTSKIDWILKNHSGIGIKKLIIQVGSVYSRDSCHLAHLDSWLQCAVKPGIEELIVNLSSMNAKYNFPCELLSSGTGDSLRYIYLASCNFHPTVRIGCLKSLTRLQLCMVNITENELRCLLSISLGLERLELRHCSTLKCLKVPCLQRLSYLDVMTCTGLQVIESKAPNLSSIRFEGDLYVQLSLGEPLQIKQLYRLCNDAAFYARTELPSSMPNLERLIIHSDTEMVNTPMVPSKFYHLKYLSIALGGQTYDYLSLVSFFDASPFLETFILNALRERTERATIFGDPSGLRMMPEHRHDKLKCVKIINFSSVKTLVELTCHIVESATALECLTLDTTSGSPRCSVNRLGKCFLMRRETLMEAHRALKAVQTYIELKVPSKVELNVLEPCSRCHALDL >ONIVA05G28530.1 pep chromosome:AWHD00000000:5:26623998:26624504:1 gene:ONIVA05G28530 transcript:ONIVA05G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAQDIYTLEELKSIIGSGVAYRVRLHGVESLEEAITMYRTLDDAVQFRGWACPQLNKLALVAGIAAEVDRVIDELVPSLLEDDENRVLMLLLKNAAWSIRNNGKLVDADAGGIFRVRMHPIANRILKAVRLFLTARSTPTGRRDRLHAIVHDLKIFRESYYLPIP >ONIVA05G28520.1 pep chromosome:AWHD00000000:5:26622698:26623084:-1 gene:ONIVA05G28520 transcript:ONIVA05G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAEPTLGSSLPLSSIDNNDKIIAVCIFVDFIQDQAASVVHHYPVVSRITKPIIREPEIDNTSEGVWFIEAEANYTSYVDSVLTADGTVEIKGERLPFSSPTPSPLPPPLVPPRPH >ONIVA05G28510.1 pep chromosome:AWHD00000000:5:26617768:26619551:-1 gene:ONIVA05G28510 transcript:ONIVA05G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTCRAPPCPWPRPLAAGRARGGYGLGRARGVKRRAAAARGMVVRCGLLPVDPWAPTMDSQSVASQLFAVSLFPYLGFLYFMTRSKTAPGLTLFGFYFLLAFVGATTKVHYGTSLSNIDWLHGSAESLLTLTNLFIVLGLRGALRKLEDTKESASEESQDIKEKGSI >ONIVA05G28500.1 pep chromosome:AWHD00000000:5:26614273:26617058:-1 gene:ONIVA05G28500 transcript:ONIVA05G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGHRRRRVIEPRDCRSRTLASTTQDKHRSLLLDKVRASHEEALERLRPAAVAADLDAGLCFGLLNPVSNVIVNAVLTAAPRNQKMRRARRRTRRRRRSRRRRSLDGSVTFLTSFFPYLPEWEAVYYLLLADADVLVAARLVVQARGLRRFGFTSGTTAAAVRLALRCAALAVKHPHPDRLVHAWMSLSRRLDEAVSALANRDVRGLVALVGDEAPAPAADMGRAWELAESRLRGRGIAARHYWHAMPLQMALLHAIQGFYLRALARLPSGELRSRYHRSLVLAGHCYGPMDPVSNIILNVVWYDAAHPPAEELELAMIPPMSMFRVATRSFLGLVSFLCTRYPDLPLHDAIWCLLAADGDLGAAAREAERRQHRPSCTVQEAYAAAAAAARHPKPDEQVELLSSSRAITTTTTTSLLLQNGGQLSSEDVRRLAADLLSPNPSAAVSPTQRKPTKPRQRGKLKLQSQFIRDQNKICKKVKAALHRYSLQNNEPGGYELHVICGMNNLVSGPVYCTDDDIVSYTPIIYFRCHVNFLARRRHSDPSAIAGDGALQLFFAECEQVRCLYCEHQGIRIVHPSVENFHGREVEFEKMVCGKDPCEDDFDLLVDEEPFYTNDGIVNNLEYAGAMDGSKRTSFIEIAMKAPTRKKETLMMISILCEVYITVYFD >ONIVA05G28490.1 pep chromosome:AWHD00000000:5:26605137:26607512:-1 gene:ONIVA05G28490 transcript:ONIVA05G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDDARHRRVIMSRDCRSRTPSSRTQDKNRSLLLDKVRASHAEALERLRPAADLDAGLCFGLLDPVSNAIVNAAVFAEAEDGNDRTGRSLDGAVTFLTTFFPHLPDWEAVRYLLDADADVLVAARLVVRDRGLRRFGFASDTAGAAVRLALRCAALAVKHPHPDRLVHAWLSLSRRLDEAVSALANHDVRGLVALVVDEAEAPAAANMERAWELAASRLLGRDNGIAAPPYRHAMPLQRTLLYAIQGFYLRALARLPSGELRSRYHRSLVKAGHCYGPMDPVSNIILNVVWYDAAHPPVEELELAMIPPKSMSSIATRSFLGLVSFLCTRYPNLGLHDAIRCLLDADGDLNAAAREADRRQHRPSCTIQESYAAAAAAARHPKPDEQVEFLSSSRAMTTPLLLQNGGQLSSEDVRRLAAALLPPTPSAAVSPMQRKPTKPRRRRQLKLKAQIIRDQNKICRKVKAALDRYALQNNDPCIALTMTSYTPLIYFRCHVNFLARRRDSDPSAIAGEGALQLFFAECGNYRLNHDGICCPVTISPPCSEQVRCLYCEHEGVGIMHLSIENFHGREVDFEKMVCGEDTCGDEFDPEIDEEPFYTDDGIVNSLKVNILSGLEEEFIYRNSDEGTDEEEGDSDDDIDFV >ONIVA05G28480.1 pep chromosome:AWHD00000000:5:26603228:26604265:1 gene:ONIVA05G28480 transcript:ONIVA05G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELCGGEGEGQIMLATELAQLRAMARELEAKMDPDRVAARELCRALASSVDRSIRLAASCFPPPEHPPPAAGNAGRDAAFKKRQVMGMAKVRRQVRVTSVQDTASLDDGLSWRKYGQKDILGAKYPRAYFRCTHRHTQGCNATKQVQRADGDPLLFDVVYLGDHTCGQAAVAAAAQSAPPEHAGQEQQRQSSLLAAGTEGIHQQVVAEPMAAPFLFTSTAAGGVDDGYFSFISPANSDCQFSSDFSAGSVGVDMDHEARFEDLFSSTLEFFQSEIQNL >ONIVA05G28470.1 pep chromosome:AWHD00000000:5:26603161:26603487:-1 gene:ONIVA05G28470 transcript:ONIVA05G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLFLNAASLPALPAAGGGCSGGGKQDAARRMDRSTDDASALQSSRAATLSGSIFASSSLAMARSWASSVASMICPSPSPPHSSTIAAAAAAVASRKRVVSCVGESV >ONIVA05G28460.1 pep chromosome:AWHD00000000:5:26595223:26596752:1 gene:ONIVA05G28460 transcript:ONIVA05G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT4G01037) TAIR;Acc:AT4G01037] MDAKLLLLPFPSPPATLHHHPPPPKSLFLGASLPLLHPPPPLRLLRPGAPRRLAVVAQAAVKRRKEIPFDNVIQRDKKLKLVLKLRNILVSNPDRVMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEVVEEGVYSLRFRLTPAAERLYLDELHLKNESEGLAVTKLRKLLMMSQDKRILIEKIAHLKNDLGLPPEFRDTICLRYPQYFRVVQMDRGPGLELTHWDPELAVSAAEVAEEENRAREEQERNLIIDRPLKFNRVKLPQGLKLSRGEARRVAQFKEMPYISPYSDFSHLRSGSAEKEKHACGVVHEILSLTLEKRTLVDHLTHFREEFRFSQSLRGMLIRHPDMFYVSLKGDRDSVFLREAYKNSQLVEKSKLVLLKEKMRALVAVPRFPRRGVPATSEEADRTNGAAQMLSEGSDVEDDEDEGLSDMEDLISEISGGKSDTDYHWGDGWVGENDDSPPDFEDDDGSSLKEVKVTVKKTANSANGKAHVPVFPDGRPRERW >ONIVA05G28450.1 pep chromosome:AWHD00000000:5:26576577:26583277:-1 gene:ONIVA05G28450 transcript:ONIVA05G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHGFRRVGVVEMEAATAAEVAGAGSGTDGDLQIKGSKENGQTAEQPAASEALEMPSTPLPLPRDIDWSEHFSFFNSVGGFGGSMDGARGLTSVGLSNSESRPDSVTQIQSCLNNADERVEELTLKNCISSDAQHEVSAGGSTSSGEKPTVMRGLWGNFTRMAWRASDVANREKLAANRGDVANLRVGDMPIRENLAVSFGNNMISRNDASNKEMGMSHGDHANNEFNLPFGNQQPFLSPRPNQNEQRVERENALIVSSFSARILDQMRSKNVTPSSGVQSFPFKSVLKGKGVVYQGAREEIQVQGNARTRAPMDKIRKIPNIPQDSMARMDGTIFGSGGNVLEPQCEGTSLRELIKPARQTMSKFEKMHFFKQILDLVDKSHAQGFSLQHLRPSYFTISASNQVKYIGSYGTQVLSAPSKLDIATDDIFNRKRYLDPKVESQDSNGDNASITKYQKVGEQGSIAVRRPVHTFWANHRGGNQSEGVDPGALWQGNSSCTVRERFKAAEPFYGGSMPYAQRPSSSGNQQSVFELRMLEESWYRSPEEISQLKGILPSNIYSLGVLLFEISVIAFCPQIFFQKVLKKLVSVFGYSIQIPVLGLRQGCDLINEGRDLSLLDNKTPVAVNEEDTESGLLLGFLSQLKEEKEMHAAKLSADLASLETDIAEVEKRHSMRMGFSLEDMDVLAGSNDLSGASACALGGASLSGLPPSLCRSSIYEERVMRNLEQLENAYYSMRSTIDTSEANIIKRVDNDALRVRQNFHELHSDANAIDEQADPLGCFFDGLCKYARYSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLIEMPSKSKLSCVCWNSYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKKNCTDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKIWDLNRTNSSGLSTDACSMTLSGHTNEKVFSYYKTFPMPITSHKFGSIDPITGQETNDDNQQFVSSVCWRGRSNMVVAANSTGSIKVLELV >ONIVA05G28440.1 pep chromosome:AWHD00000000:5:26574353:26574989:-1 gene:ONIVA05G28440 transcript:ONIVA05G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTQYAFLLLSAVMASLFAGSAAGVYHIIGAGKGWRMAPNKTYYADWARTRNISVGDKLMFLYRSGVYNIVEVPTKELFDACSMRNITNRWQNGPTIIELTQPGPRYYFCGVGKHCEEGEKVAINVSVSAPTLPDSDADADDDDADDSDSSAATPATAADLLIYLAGLAACLLPALLLI >ONIVA05G28430.1 pep chromosome:AWHD00000000:5:26569413:26571562:1 gene:ONIVA05G28430 transcript:ONIVA05G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVELWWEVLIRAPTKDVARSSCVSKQWRDIVTNPSFRKLHHDRHAAPPKGDVPYALLVSTDSVDGESVSTVFPAALVSPAVMTGGFHAPICRVSNAYGYHLANVCNGFLCFASWSGGKVVVCNPVTGEKLALPRTPPLEPDLVFASPFTFALGFSPTTGAYKLFRFADHRIDSYALAAGDGACSGWRQHPLSHPCRVAENTPTVVVGGKICVLTPGPVMVVDVASEEHRTYNPADYGCPWAQVAVSGFELHGRLCLVIRTHTDIQFWAMPVEEDNGDQPWQLLYKIKDDTSDVRIGNRVFRRQASMSAWFDGETHALCYKEGYDLYSRFIGTTTTTTSPAAPSLSQTEVLSWDCKIPLPVTPQSLPSCKWDIYAGYRPSLLSPLTFASQQINDDDDDEDDESTSFVHNLLCALRHQKSLKRAS >ONIVA05G28420.1 pep chromosome:AWHD00000000:5:26564544:26565767:1 gene:ONIVA05G28420 transcript:ONIVA05G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAICGETISVDELWREILLRAPTKDVARSCCVSTQWRGIVRDPSFRKLHHDRYAVPSKDDVPDALLVITVDADGQSVSAVVPAMVSPVSTSQRAPMYRVIKNGISYSLANVCNGFLCFASWSRAKVVVCNPITGEKRAIPRAPPIGPDYKSSRARFVLGFSPTTHVYKLFRFADRRIDVYTLPTSGEAGGGGWRQLPLLYPCTVVETTPSVVVGGKICVMTATGTPSWHPPEIPTLGPVLVVDVASEKHRMYSPPDNGCPAADETSFTAFELHGRLCLAIRISMTNTVQFWTLSVEEDDDDDDDLPWQLLYTIKIDMKDGYNNGFQELEPMNDWFNGGYNGFIQVPEPMDAWLDGETHTLCYREGSTLYSRYIGTTTTQDLSLTEVMSWDSEIYLPEIPNSLQMCN >ONIVA05G28410.1 pep chromosome:AWHD00000000:5:26561429:26562628:1 gene:ONIVA05G28410 transcript:ONIVA05G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPRVNDGENGINISLVATCNDGVLPVDLLHDILLRLPARPLCRLRAVCRPWRALMSDPSFVAAHAARHPGPHLAVAVRGRLNAYGREVVDVHLVDASSGDVVKRVCAGRCDRPAEMSTRCGMALLVDNNLLLRVLDPASGAAPLVPDYEVHPINYSFTLVRTASTGDYKVLRITHDVALQPRQRQVCSFLALGGDGVNGGRLAREVQSPPGNVKTWDKYVAVVDGVAYFVLRDEFLLRETGGGDWITAFDVEAEQWRPELVGGPPETFHNRLRVSLAALRGSLVVAQDDHQAGTLDLWFLLASDGGKVGPQHWSKLYTVTMPYHGRPFRLDGERAEPVVVLDDGRIVFWVWERRVSSRGGVMRVYDPNTGGQTDVAAEANCVHVGVYTGSLLRPR >ONIVA05G28400.1 pep chromosome:AWHD00000000:5:26552956:26558330:1 gene:ONIVA05G28400 transcript:ONIVA05G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIQ3] MKYVVVMGGVISGLGKGVTASSIGVVLKACGLRVTSIKIDPYFNADAGTISPHEHGEVFVLDDGGEADLDLGNYERFLDIKLTRDHSITAGKIHHYVYEKERRGDYLGQTVQVIPHITNEIQDWIERVAIVPVDGEVDPADVCIIELGGTIGDIESTQFIEAFSQFSYRVGAGNLCVVHVGLVPVLNVVGEQKTKPTQNSIRKLREYGLTPNIIACRCTKELERSVKEKLSLFCHVPDQKAHEAILKVLNLGSVAGEPNLEEWTARADLYDTLQETVRIAMVGKYTGVSDTYLSVMKALLHACIACGRKLVVDWVPSTDLEDSTATVAPDAYNTAWSLLRYLVALEREVLEGKILAVKYARENDVPFLGICLGMQLTAVEFARHVLKLPDANSTEFDAETENPCVTIMPECSNEGKGGTMRRGSKRIFFKVAGSKSAKLYGSVSHIDERFRHRYQVNPNMVQLFENSGLQVVGTDKTGERVQIVEIPNHRFFVGVQFHPEFKSRPSKPSALFVGKLLKPL >ONIVA05G28390.1 pep chromosome:AWHD00000000:5:26550133:26551539:-1 gene:ONIVA05G28390 transcript:ONIVA05G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGPLIHPVTVLEQCHVSPSPAPAAGQPRSLPLTFFDLVFLDFPPVQRLFFYDNADLRDAHDFLLRELPLFRESLAAALHHFYPLAGTLPCGIRERVSPPEVAYSDGDSVRLTVAVSSDDFQDLAGDHPRDTARLRPLLPPLPKHGSSQDVLAVQVTVFPRAGICIGTTLHHAVADGSSYVHFLKTWAAIHRLGDERRKAVVVDHTPPLFDRGVVQDGDGLREAFIRDHRDLVESGDKRLDDWDLSRRPDTVLATFRFTDELLRKLGRHVEAETSARCSPYALACGAAWAGIVRARGVGGGDVARFGFVTGCKPRVSPPIPSSYFGNCLGLCFVEAKRRHLTAASASAAIWRVITGLAEQGRALRSARGWVRGAREYAAARAVTVAGSPKLGVYAAADLGATWGGRPRKVEIASVERTGALALAESGRRGDRDGGGIEVGVALPRAEMEAFRAFHVELVRLLDATSV >ONIVA05G28380.1 pep chromosome:AWHD00000000:5:26536204:26547865:1 gene:ONIVA05G28380 transcript:ONIVA05G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGERAAEAEEYHCHDFEWEDLRAEVEANPAFSYHLSPFPTTVGAPEKPPPPPPPSEAWTSFHRRHTSGKFFKERRYLLKEFPELLNSKDSAKVLEVGCGNGSTVVPILRSSPSTTVYACDCSKETLEKANEIVCSTKGVEVKDRFHPFLLDASNAAFPDWLFCKSCRSPCAKDVDVLLV >ONIVA05G28380.2 pep chromosome:AWHD00000000:5:26536204:26539995:1 gene:ONIVA05G28380 transcript:ONIVA05G28380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGERAAEAEEYHCHDFEWEDLRAEVEANPAFSYHLSPFPTTVGAPEKPPPPPPPSEAWTSFHRRHTSGKFFKERRYLLKEFPELLNSKDSAKVLEVGCGNGSTVVPILRSSPSTTVYACDCSKETLEKANEIVCSTKGVEVKDRFHPFLLDASNAAFPDWLFCKSCRSPCAKDVDVLLV >ONIVA05G28370.1 pep chromosome:AWHD00000000:5:26531401:26532570:-1 gene:ONIVA05G28370 transcript:ONIVA05G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPRPQMKRSTPHMLVAALVFLVLLFVAGAAVTVHHKDRRPISPSTSPAAAEKITLSRKMLRDKRAEAPAASSDGGGRIRSEPLPRGIVQGESNLEMVSMVGDPEHGRQKASRSLLAIPVGIKNKAAVDKLVSKFPAEEFALMLFHYDGAVEQWGDLEWHGRAVHVAAAGQTKWWFAKRFLHPDVVAEYDYVFLWDEDVEVDAFDPARYLAIVRREGLEVSQPALERGSEIHHGITARQTVAGGGGGGDVHRRFYRRARPRCDEGSTAPPCTGWVEMMVPVFSRAAWRCTWGMVQNDLVHGWGLDYKLGYCAQGDRTMRVGVVDSEYVMHRGIPSLGGGGGWSASAGRIAVRRRSFAEMQIFNRRWKEAVAADASWADPYPETAAA >ONIVA05G28360.1 pep chromosome:AWHD00000000:5:26525171:26529474:1 gene:ONIVA05G28360 transcript:ONIVA05G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunits of heterodimeric actin filament capping protein Capz superfamily [Source:Projected from Arabidopsis thaliana (AT3G05520) TAIR;Acc:AT3G05520] MSDGEEKREIAVWFLSNAPAGEIHYAVYEAAAAEAFPEHNKAHLVALELPDRSGDIIITTYGELDKNNYLDPRTAQVATVDHIKQKCTKLRPAADEELPSAYIEDFRSALDVELSKYVGEAYPKGVCAVYCTSGKDIEGPGADFRFAAVISAAKRSPQNFCNGNWRSIWTLEFIDGLQLVEIKGKIQVGAHYFEEGNVQLDTNIDCKDSTILQSPEECAVSITNIIRHHESEYLSSLEESYMNLSDATFKDLRRKLPVTRTLFPWHNTLALSLTRDLTKELAIGK >ONIVA05G28350.1 pep chromosome:AWHD00000000:5:26510271:26511183:1 gene:ONIVA05G28350 transcript:ONIVA05G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVTSMVIGPLVSMVKEKASSYLLDKYKVMEGMEEQHEILKRKLPAILDVITDAEEQASHREGAKAWLEALKKVAYEANDIFDEFKYEALRREAKKNGHYRELGMNAVKLFPTHNRIVFRYRMGNKLRRIVQFIEVLVAEMNAFGFKYQRQALASKQWRQTDSIIDYSEKDIVERSRAAEKQKIVKALLENDDIMVLPIVGMGGLGKTTFAKLIYNEPKIQENFQLKRWVCVSDEFDLGEIASKITMTTNDKDCDKALQKLKQEVCGKKYLLVLDDVWNRDADKVVLAVQY >ONIVA05G28340.1 pep chromosome:AWHD00000000:5:26497669:26504940:1 gene:ONIVA05G28340 transcript:ONIVA05G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:respiratory burst oxidase homolog B [Source:Projected from Arabidopsis thaliana (AT1G09090) TAIR;Acc:AT1G09090] MADLEAGMVAAATDQGNSTRSQDDAATLIPNSGNLGSSNRSTKTARFKDDDELVEITLDVQRDSVAIQEVRGVDEGGSGHGTGFDGLPLVSPSSKSGKLTSKLRQVTNGLKMKSSSRKAPSPQAQQSAKRVRKRLDRTKSSAAVALKGLQFVTAKVGNDGWAAVEKRFNQLQVDGVLLRSRFGKCIGMDGSDEFAVQMFDSLARKRGIVKQVLTKDELKDFYEQLTDQGFDNRLRTFFDMVDKNADGRLTAEEVKEIIALSASANKLSKIKERADEYTALIMEELDPTNLGYIEMEDLEALLLQSPSEAAARSTTTHSSKLSKALSMKLASNKEMSPVRHYWQQFMYFLEENWKRSWVMTLWISICIALFIWKFIQYRNRAVFGIMGYCVTTAKGAAETLKFNMALVLLPVCRNTITWIRSKTQVGAVVPFNDNINFHKVIAAGVAVGVALHAGAHLTCDFPRLLHASDAQYELMKPFFGEKRPPNYWWFVKGTEGWTGVVMVVLMAIAFTLAQPWFRRNKLKDSNPLKKMTGFNAFWFTHHLFVIVYTLLFVHGTCLYLSRKWYKKTTWMYLAVPVVLYVSERILRLFRSHDAVGIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFIKCTAVSPYEWHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPPTEGESGLLRADLSKGITDENARFPKLLVDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQGEGSVGTTEPESSSKAKKKPFMTKRAYFYWVTREEGSFEWFRGVMNEVSEKDKDGVIELHNHCSSVYQEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRSVFKKVAVSHENQRVGVFYCGEPVLVPQLRQLSADFTHKTNTRFDFHKENF >ONIVA05G28330.1 pep chromosome:AWHD00000000:5:26464333:26469294:-1 gene:ONIVA05G28330 transcript:ONIVA05G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIP4] MKYVVVMGGVISGLGKGVTASSIGVVLKACGLRVTSIKIDPYFNADAGTISPHEHGEVDLDLGNYERFLDIKLTRDHSITAGKIHHVIPHITNEIQDWIERIAMVPVDGEVDPADVCIIELGGTIGDIESTQFIEAFSQFSYRVGAGNLCVVHVGLVPVLNVVGEQELEKNVKEKLSLFCHVPVANIFTLYDVSNIWRVPLLLRDQKADQAILKVLNLESVAEEPNLEEWMARADLYDTLHETVRIAMVGKYTGVSDTYLSVMKALLHACIACGRKLLVDWVPSTDLEDSTASVAPDAYSTAWSLLRGADGILVPGGFGERGVEGKILATKHARENDVPFLGICLGMQLAVVEFACHVLKLPDANSTEFDAKTENPCVIIMPECSNEGKGGTMRRGSKRTFFKVANSKSAKLYGSVNHIDERFRHRYQVNPNVVQLFENNGLQVVGTDKTGEIVQIVEIPNHRFFVGVQFHPEFMSRPSKPSALFVGLIAASCGQLDDALQDAACNHEPQQNQRAEKRPAASDLGD >ONIVA05G28330.2 pep chromosome:AWHD00000000:5:26464333:26469294:-1 gene:ONIVA05G28330 transcript:ONIVA05G28330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIP4] MKYVVVMGGVISGLGKGVTASSIGVVLKACGLRVTSIKIDPYFNADAGTISPHEHGEVDLDLGNYERFLDIKLTRDHSITAGKIHHVIPHITNEIQDWIERIAMVPVDGEVDPADVCIIELGGTIGDIESTQFIEAFSQFSYRVGAGNLCVVHVGLVPVLNVVGEQELEKNVKEKLSLFCHVPVANIFTLYDVSNIWRVPLLLRDQKADQAILKVLNLESVAEEPNLEEWMARADLYDTLHETVRIAMVGKYTGVSDTYLSVMKAPDAYSTAWSLLRGADGILVPGGFGERGVEGKILATKHARENDVPFLGICLGMQLAVVEFACHVLKLPDANSTEFDAKTENPCVIIMPECSNEGKGGTMRRGSKRTFFKVANSKSAKLYGSVNHIDERFRHRYQVNPNVVQLFENNGLQVVGTDKTGEIVQIVEIPNHRFFVGVQFHPEFMSRPSKPSALFVGLIAASCGQLDDALQDAACNHEPQQNQRAEKRPAASDLGD >ONIVA05G28320.1 pep chromosome:AWHD00000000:5:26462183:26463553:-1 gene:ONIVA05G28320 transcript:ONIVA05G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFCGDMIPVELWWEILLRAPTKDVARSSCVSTQWRGIVSDPSFRKLHHAVPNLNDGISDTLLVATSDVDGESVSSVFPAALVSPAVTGQAPICRVNNPYGYSLTNVCNGFLCFASWSRAKVIVCNPVTGEKLALPRAPHLGLEKRRRYSRPVTFALAYKLFRFADRRMDVYTLAAAGGWRQHPFPHPYRVVQNTPTIVVGGKICMLTANPASHQHPNDVGKPGPVMVVDVASEEYRTYNPADYGCLWADMAVSGFELHGRLCLAIRSDTEIHFWKMPVEENDALPWQMLYKLKVDKNDVRFGDSGLLRPTISMRAWLDGDTHTLCYGVDNKLYSRYVGTTMTMTTSLAARCLSPTEVMSWDCKIRLPTTPPWLVSCNWNIYTGYRPSLLSPLTFASQQDNNDDDEDEGDESRPFVRRLLCALRHQKSQKRRMSPTSTDHTNGKRVCYRNPCIC >ONIVA05G28310.1 pep chromosome:AWHD00000000:5:26459212:26460476:1 gene:ONIVA05G28310 transcript:ONIVA05G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCHEVEVPGKPTETGTALLEAATGSIQGFGPVNQIHQHLCAFHFYADDMTRQVEAHHFCAHLNEDMRQCLIFDGPDAGARLIGVEYIVAEPLFLTLPDDEKPLWHTHEFEVKGGVLFMPGVPGVVERRDLEKVCKTYGKTIHFWQVDRGDALPLGLPQIMMALTRDGQLRQELAKCVEEKFSVSFDKERENRAYMSGPDHGIHPLANAAGKGLKTDLREVDLPAMTTAHAGRVFT >ONIVA05G28300.1 pep chromosome:AWHD00000000:5:26454613:26457889:1 gene:ONIVA05G28300 transcript:ONIVA05G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHYTNLTDPRTELEVVRDWNGVDQVVLRSPRGAYARVSLHGGQVLSWRNDRGEELLFTSSKCSATGILFHGSFTGLNTQFLDVQAIFKPPKAMRGGIPICFPQFGNSGTLEQHGFARNRIWALDEEHPPLNQNDNNSKASVDLILKPSEDDLKCWPHGFEFRLRVSLTKDGNLSLVSRIRNVNGKPFSFSFGYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKRTFVIRKEGLPDVVVWNPWEKKSKNIVDFGDEEYKQMLCVDAAAAERQITLKPGEEWTGKLELSEVPSTNCSGHLDQPGIII >ONIVA05G28300.2 pep chromosome:AWHD00000000:5:26454613:26457889:1 gene:ONIVA05G28300 transcript:ONIVA05G28300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHYTNLTDPRTELEVVRDWNGVDQVVLRSPRGAYARVSLHGGQVLSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNSGTLEQHGFARNRIWALDEEHPPLNQNDNNSKASVDLILKPSEDDLKCWPHGEVVEHLHLISLLMLTEFDFMYDPAIFDFSFEFRLRVSLTKDGNLSLVSRIRNVNGKPFSFSFGYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKRTFVIRKEGLPDVVVWNPWEKKSKNIVDFGDEEYKQMLCVDAAAAERQITLKPGEEWTGKLELSEVPSTNCSGHLDQPGIII >ONIVA05G28300.3 pep chromosome:AWHD00000000:5:26454613:26457889:1 gene:ONIVA05G28300 transcript:ONIVA05G28300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHYTNLTDPRTELEVVRDWNGVDQVVLRSPRGAYARVSLHGGQVLSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNSGTLEQHGFARNRIWALDEEHPPLNQNDNNSKASVDLILKPSEDDLKCWPHGFEFRLRVSLTKDGNLSLVSRIRNVNGKPFSFSFGYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKRTFVIRKEGLPDVVVWNPWEKKSKNIVDFGDEEYKQMLCVDAAAAERQITLKPGEEWTGKLELSEVPSTNCSGHLDQPGIII >ONIVA05G28290.1 pep chromosome:AWHD00000000:5:26447140:26452005:1 gene:ONIVA05G28290 transcript:ONIVA05G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEAVATQKIGKTTSPPKDQPTPCPFPDWSAVQAYYGPGVLPPTYFAPAIASGHAPPPYMWGPQPIMPPPFGTPYAAMYPHGGAYPHPLMPMMANPLSMEPAKSASSKEKGSNKKLKEVDGAAVSTGSGDSKKTMTSSGDYSAEGSSDVNDLKVGKTGRKRRLDDGAGAETSAAAKMENALPPSHILGSTAVLPNHSFPAQVIRPSATNVANSRALGTPISPPPGVIVPSHTGVSTELLIKDERELKREKRKQSNRESARRSRLRKQAETEDLATQVESLAAENTSLRSEISRLSESSEKLRLENSALMGKLKDPAASTQAETSLQKTTTASSPRVVENFLSMIDNTNKTSVRHTEHAEPKLRQLLGSGPATDVVAAS >ONIVA05G28280.1 pep chromosome:AWHD00000000:5:26441478:26442899:1 gene:ONIVA05G28280 transcript:ONIVA05G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWHARLSLDVTDLHIRYLKSGPGNLDKDVERRFSYALSREDIENAILGGP >ONIVA05G28270.1 pep chromosome:AWHD00000000:5:26438385:26439596:-1 gene:ONIVA05G28270 transcript:ONIVA05G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHTPPWEELPADVLRIVFSRVACRADRQVMAGVCGAWRRAVKVKVATGQPPRPRQLPCLLRPNGGDSSVCCLLCSGGHGISVVHAAHLPQQPVGARHARFFGSHDGSWAFLASAQTSGHVLQKFGTDTIIPLPDFMDVQGGESSSIVHLAATLSHQPGHASCLVAAIVKTYPIDVMSLRAVAFWRMDHGTMASELHRTEIEAMEPEDIIFHKGVLLVLTQQENLLAWIPEYTDEGRGVEMHGPEQRACGKPRIYNELAVQSRYLVESQNCLLLIVRYREGHPTSSTQELKIFQLVELEIPDENGIMMTRYNWVELFSLFGEMIFLGRGCSRSYNVSNYPGFTEGVYFLDDGSFYHADLLSHDAADQKYTCSDNGRWVNLQVDRCFPPEQSCSCSPPIWVLP >ONIVA05G28260.1 pep chromosome:AWHD00000000:5:26435921:26436343:-1 gene:ONIVA05G28260 transcript:ONIVA05G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESSPFDEVPAYVLYEIAHHIPCKVDRVRALVVNCSWRTSLQALPPPPLPPQLPWLLRPSAGGPTFSFLLSGADELSVHRVRVPADLRGGSTSDRTTAAGSSSLLDKLSATCSSTSTPSNASSSPTLSPSRGDQMTSP >ONIVA05G28250.1 pep chromosome:AWHD00000000:5:26430732:26435918:-1 gene:ONIVA05G28250 transcript:ONIVA05G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATVSSPPSAKDDLCFGAAIVSDVGQKLPQRCNVFWELGDCRAVSFVPPQDPFNLFFEMEDVIYYQGAFNFLSIWRNVLECRLTLHQGVLQVHQKWRLFLPQEELSLCRNAAARYLVESRGQLLMVIRERPAYNQSREFFIFEMTKTEEAVDEAEYIWRRMPELDGRMLFVGHGCSRSYEVGDFTGFQEGIYFFDDQDSYSVSSIAEDNEYTCFDNGKWSAGPPLMETYCFWPDQVNSNYSSPVWLLPGGEDDANNAQAQVDGPRTGARLTPVIPVTVKAKAVVAGPGKLFTQNGSLNAAKIHQASASGPGSCFNRKQSE >ONIVA05G28240.1 pep chromosome:AWHD00000000:5:26422477:26424695:1 gene:ONIVA05G28240 transcript:ONIVA05G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRRGEGVESIWEKGDEERRRSIWERGKAWGPCVGPRWRGDFGWPKLASARWSLASRMAWPSAPNAEPKRPSSQIPTAQPDPMSCNLQGPPPPPPPAGFQKREESIQFKRSRLPPRLRHPIQQKIYPSPPLLLQAPMAEEPSPFDEVPAYLLHEITRHIPCKVDRLRALVVNRSWRTSLQALPPPPLPPQLPWLLRPSAGGPNFSCLLSGADELSVHRVRVPADLRHARYFGSYDGGWLFLASRQTSGHMLFNIRTEQCLFLPDTVPRPWSSDDFPMIMLAATVSSPPSRGTDDPCIGAAIVHCTPFITDSRQITFWRMGSHMAIPSIPPDHQFDVVSNQFVVEEMEDVIYHKGAFHFVTKLKNVFVCRLALHQADLVVDHREWLMFAPQDDLGYPRPVATARYLVESREQLLMVLKCTCNLPGWPPLVFSVFEMTHVQAPAGAPQYVWTPVPTLVGRMLFVGHGCSRSYELANFPGFQEGIYFLDDLQFYSVSRIVQYQEYLCFDNGKYTLGPPHVVSRCFWPDQVNSNYSSPVWLLPGGEDDANNAQAQVDVHMM >ONIVA05G28230.1 pep chromosome:AWHD00000000:5:26405759:26420877:1 gene:ONIVA05G28230 transcript:ONIVA05G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVSARLSAGIPVTDLWAALRGALEAAGLPIGPAVKRAVWARLIVLPVISVVLGEAEAEAEGPVVDDPKVGVEDAERLGMRLVASAALRDNFLGMYDLRHSKSEMSAVQKKALQRVGASRYAKDCMNSHQRIEIIKPGLLVSNKETNIDDLQDGTFGVNSDNDVSIHDYLPAMKAICDKLEEASGRVLHRLGDAHLVEEFDAKVDDKIVLCLRLLKKFDPNEFLPKIQTSNYKLGNKGQATDQIMELSLENCIYDMISAQGPKGITLVELGKRLGHNNSKRIHRRVSSMLERFKLIREAEVLDKTSQYRVWTSKNFSHYKARIALQNFDVLLDDHDYCADLWSLAPSKGSGSPSPKGDLCVDNNFSFEEEYSDKLIGPHLLSNRETCVGASQLLEEDKSALGKRKRCHRPTSIRDDQRPKRILHMLKKKKFVLTVELHKWLERLEKENGKIMDRKTLTSTVNKLQKEGSCKCIKVSVPLVTNYARNHLVDVILHSSVGDLPMELVNEIKDRQRNFDTETRSRAVTKLRKKQQTAAIHGLRIRRRVKVNKPLVLEAIYANGFIGAKMIRAKLLHKFLWLYVSSLSNWCSPSDYAKEGHLNKNLNQSCLLFSMSAAIKEMPLELFLQVVGSGKKIDCVITKCSLGETLSEIPTKEYDQLMDTHAKGRLSRLITILDKLKLIQLAKASVEDSGVQSDAAPTYSLVSHPRSWSSLRVMTTEQRLELQQRVMNVTEKGKLSYKDCRIIAKDLNLSVQQVLCVSSQNRRHRQPRVPVSQSQPKVSSGSTSQKRKRSADEITLKFIKQKVEASGSAQQRSSQSIPNEEVPERIFLSSPDLPEQHYLPVSKTSSTPTYHIDSPVHTDEDKESSPMNDQSIYPCKKMHGKKLLMIYTRYRAARGARSSRVDWNSLSDLPASPAACCRRMSDLRAKMYIRLAVSQICNLLGIRYAKHLERERISKAKGLLSQVSDSNKENCVDSDSEQLNWDNFEDQEIRGALDEVLEFIQLEKMDRTKQISSKNEVSNDSNADEAPTGQEQTIMQYVTSSSTEVPESGLHEHVKPYRHPTAIHASKNMENFFRYHEEVIIPNKDEITKRDVCKSLAVANALELLKMVYLSTSSGPEVTRNGTKPATLSGKFFFNASHSPFPFGSGKKASEFSKWLIAQQKNTMDSRVYLYPDLQCGEIVHLFSLVLSGEMCISPSLPSKGVGEADEPNSHIPLDEADEPDDRIPSVEDTSELDDSTHKRKADKVKLKSSKSKKHKPLPKIESDFCYRREKGFPGIQVALNQEKNQTSNLMHALHDEECLIFTLAREMGSKDVSSQVESQNMLSYLNNSSSCRCLLSASHLERSYSGWPWDAMNIYAKQLLSLSCCKDESFILSSDMFRNAFSVIHQTGEQGIDLREMSQALHPLGMQFVKVIIDTLEIFKLVFKVNAYDGVQIVDTLHKSKYHITTLAKYSGCSCLRDPAFETAATGDAENTLKDKHGVASNLQRTVKMLGDVHTVTVLDVQSNSSSPHMHSGEDERLSTPTQDNGGSGCCHACGRHIYQPILPWINGDGSMNDTVYEGFSCRIIGYVMQYPGVVEEHLICRMDVLNPQTCRTLLEQLAVDKHIYVRVFDEPVPVAPTTFQSLLKQHGHCKEPSKCRRRYFANPTSTFQL >ONIVA05G28220.1 pep chromosome:AWHD00000000:5:26398866:26404131:-1 gene:ONIVA05G28220 transcript:ONIVA05G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHYAFGLQEDDAIIKRRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKDADNISDCERLYKAFLQEINAFVLPLLKSKAVVDANLREKESFTELQDEIQRQILQAQTDIEELKKKLEQSRIERLYKEECEAIRKMISLQPPRSETEKLIAGLEKEIANLEAENTACIRTLELRKKQLSLLLHVVEELQISIEDEQRSIADELRAGAEEQQNMSTDEDPYFNADAGTISPHEHGEVFVLDDGGEADLDLGNYERFLDIKLTRDHSITAGKIHHVIPHITNEIQDWIERVAIVPVDGEVDPADVCIIELGGTIGDIESTQFIEAFSQFSYRVGAGNLCVVHVGLVPVLNVVGEQKTKPTQNSIRKLREYGLTPNIIACRCTKELERSVKEKLSLFCHVPVANIFTLCDVSNIWRVPLLLRDQKAHEAILKVLNLGSVAGEPNLEEWTARADLYDTLQETVRIAMVGKYTGVSDTYLSVMKALLHACIACGRKLVVDWVPSTDLEDSTATVV >ONIVA05G28210.1 pep chromosome:AWHD00000000:5:26396252:26397690:1 gene:ONIVA05G28210 transcript:ONIVA05G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAPSSSLRALVAPILPSVSSSPSARPGLRGVAAPVQHARARRRRGAAVVARAAALPSDAEWLERLPEKKKPLYTHSLPCIEAWLRSIGFSQTREDRAVWVAEMPLWHARLSLDVTDLHIRYLKSGPGNLDKDVERRFSYALSREDIENAILGGP >ONIVA05G28200.1 pep chromosome:AWHD00000000:5:26391900:26393270:1 gene:ONIVA05G28200 transcript:ONIVA05G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIPVELWQEILLRASTKDVARSCCVSTQWRDIVRDPSFRKLHHDRHATAHDVPDALLVATYNIDSQRVASVFPVEPAAVSPTSSTRTATAPICRVNHMEGYRIANICNGFLCFASHSTAKAIVHNPVTGERLEVPRAPRLPPDQDNARSPVTFALGFSPNNCVYKLFRFTNRTMDVYTLGRDAESTGWRRHALPLHPRNLVESKPAVVIGGKICMATIGPAPYRHPADNGTPGPVLVVDVAHEEPCTYSPPDYGLPWADAAVSVFELHGRLCLAIRTERMIQFWTMPVEEDDDDQPWQLLYKFKVVDDEIIRFNQFQRLVPMSAWLDGHTNTLCYREGNNVYRKYVGTTTATVRRFSSTKVVIMSWDSKICLPVASSSLSSFQWDIYAGYRPTLLSPLTFASGKHEEDDNKCDLFIRSLLRTLRSQKSQKCRPSPTSAGCTNAKRICCINPRGF >ONIVA05G28190.1 pep chromosome:AWHD00000000:5:26384625:26391182:-1 gene:ONIVA05G28190 transcript:ONIVA05G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGNAGIELRNSTWLHGYSGSVRLHVHLSYVNHHSSRRSSSSPPVATHHPLPPVAARHPPPLEKVGGAPNQPSTTSHRANLLHPKLVYFATASPPIAAISILTARRGRGTTWPCFAVVYAPFCREGRITLRFRLIGNGTRQQRCSDQISGVGSRSFWKASKRARLHGGDGGSGGGVVVCGAGCWSTAAPSTDDAEKRPRWRWQLHCTERLKSLMPPPPPPHRPPGRRRRSPSRCLGGSIGSQMGRLVVSQFTDMEGSDSVTSPDLELVAGPLDLGTIFDVDMEDFVHGRRTLFAVCAYALLKAIPGLRALVDDIPHHSYDVGHVGGEPKTVTVNVQALMDELLSEIDYWCLEKDYENSMNMVLMQVSFTQQTNDLLLFESSTKWGCYNCAVHFTRMECSKSIYMDGRSVSEFEEECYLDCHECKIAVGYKRMKVCKLPQVLNFYEVSGLLPELRILNQFNARLCPLGPALPPQIQGKCAIAMEPELDNDEKY >ONIVA05G28190.2 pep chromosome:AWHD00000000:5:26384625:26389029:-1 gene:ONIVA05G28190 transcript:ONIVA05G28190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDSVTSPDLELVAGPLDLGTIFDVDMEDFVHGRRTLFAVCAYALLKAIPGLRALVDDIPHHSYDVGHVGGEPKTVTVNVQALMDELLSEIDYWCLEKDYENSMNMVLMQVSFTQQTNDLLLFESSTKWGCYNCAVHFTRMECSKSIYMDGRSVSEFEEECYLDCHECKIAVGYKRMKVCKLPQVLNFYEVSGLLPELRILNQFNARLCPLGPALPPQIQGKCAIAMEPELDNDEKY >ONIVA05G28190.3 pep chromosome:AWHD00000000:5:26389625:26391182:-1 gene:ONIVA05G28190 transcript:ONIVA05G28190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGNAGIELRNSTWLHGYSGSVRLHVHLSYVNHHSSRRSSSSPPVATHHPLPPVAARHPPPLEKVGGAPNQPSTTSHRANLLHPKLVYFATASPPIAAISILTARRGRGTTWPCFAVVYAPFCREGRITLRFRLIGNGTRQQRCSDQISGVGSRSFWKASKRARLHGGDGGSGGGVVVCGAGCWSTAAPSTDDAEKRPRWRWQLRIEAAYLRSLTSLL >ONIVA05G28180.1 pep chromosome:AWHD00000000:5:26380726:26383919:-1 gene:ONIVA05G28180 transcript:ONIVA05G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 1 [Source:Projected from Arabidopsis thaliana (AT3G27925) TAIR;Acc:AT3G27925] MAAASSSAAACFLSPSPPPPPRHHSIKHLACAASRSPSPGPSSSRSLALPSPSASASPWPWPRRLRDLLPDETGRILSSATGSLIVALASAALILGDAGSASAFVVATPRKLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQTVYEAQVVGFDQDKDVAVLRIKAPTDKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDSYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGEKVTVEVLRGDQKEKIPVILEPKPDES >ONIVA05G28170.1 pep chromosome:AWHD00000000:5:26376223:26376987:1 gene:ONIVA05G28170 transcript:ONIVA05G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRHRGGSRTRHGRRHARQKQLNAMELNAIIFGGNMMADDDGGGFTFAEVPPLAGGRCVGPPLYPVFGRPRSPPPTPPPHRQAPEKASRLPLWRFLMVDHGPPPPPPPTTQPAADDDLDLDLDGEPAESTFLYCPLCPALPVAAAASPARCRKSGSTGSSLLRWRQRSIGRSHSDGKEKFVFLNASSSSSGSEHKGGRGGEVGHDGALSYYANGGSRGGGGGGGRRRSFLPYRQDIVGLFANATAFRRSYHPF >ONIVA05G28160.1 pep chromosome:AWHD00000000:5:26376121:26376932:-1 gene:ONIVA05G28160 transcript:ONIVA05G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRRDDPVEPLFRHRAGEAAAATGNAGHSGQYRNVDSAGSPSRSRSRSSSAAGCVVGGGGGGGPWSTIRNRHSGRREAFSGACRCGGGVGGGDLGRPKTGYSGGPTQRPPASGGTSAKVKPPPSSSAIMLPPKMIALSSIAFSCFCRACRLPWRVRLPPRCLGAMALLIYTKLRSSSSLHSQLYCTKAFRIASRWGH >ONIVA05G28150.1 pep chromosome:AWHD00000000:5:26371764:26375730:1 gene:ONIVA05G28150 transcript:ONIVA05G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLEGVGKGGHCAASSVRERELRSVKERKEAEKTKWRRRWRRPCWERCGGWKGEGRTSSVAL >ONIVA05G28140.1 pep chromosome:AWHD00000000:5:26368938:26369645:1 gene:ONIVA05G28140 transcript:ONIVA05G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADGDRGVAATAAAAAVVHDDVLESDEEDFTFAAAAAAAAAVTCVVGGGRIGAVVYPVFGRPRSPPPVQEVEEPDTATVRVPLGQLLLEERASAPPSGEQADEDGVLDGVPAETYCLWSPGSPAPAVSNSPARCQKSGSTGSVLRWRQRLIGRSHSDGKEKFVFLSSGSDVRSKGRTTTTSSGDAGGRGGGWRYYASGGGNGGGRRPSFLPYKQDLVGLFANAGAFRRSYHPF >ONIVA05G28130.1 pep chromosome:AWHD00000000:5:26366438:26366944:1 gene:ONIVA05G28130 transcript:ONIVA05G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQRPRRWRDGDGDGEEGPTTAGGGGGVGCRCRSCAAVMLADCIALGCCPCALVSMLSLALVKAPLAVGRRCVGRLRSRRRTLLHNKRVRDVAATSAAAAGEKKAIAKPEEELEAADIVANDDDTASAAAATAGAGAPTDEDLAWLEEMYQMGHWGFGRVSISGKTP >ONIVA05G28120.1 pep chromosome:AWHD00000000:5:26362942:26363301:1 gene:ONIVA05G28120 transcript:ONIVA05G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSPATPLLAGGAHAMRPPRQDTSAAAAAGATGGDGSYTPVFIVLGVIAALLVISCIVGQVCTKKHLRPRPRRDRVAYYDDDMEGGFVHGGGGPGAIAKMEAAAPPATSVEAPPPAA >ONIVA05G28110.1 pep chromosome:AWHD00000000:5:26361301:26361840:-1 gene:ONIVA05G28110 transcript:ONIVA05G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTAVSSTLPLFHVRTASSAPAALRFTTRGRGGGRHSVACNSTAASSPKVLELGDAIAGLTLEEARGLVDHLQERLGVSAAAFAPAAVVAAPGAAGGGEDGAPAEKTEFDVVIEEVPSSARIATIKVVRALTNLALKEAKDLIEGLPKKAKEAVSKEEAEEAKKQLEEVGAKVSIA >ONIVA05G28090.1 pep chromosome:AWHD00000000:5:26351516:26355240:1 gene:ONIVA05G28090 transcript:ONIVA05G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIL7] MLRAAGKRLLGVGLRPAGGGAGEAAAAAAASAVAVVRRRGYHERVVDHYDNPRNVGTFDKDDPDVGTGLVGAPACGDVMKLQIRVDEESGRIVDACFKTFGCGSAIASSSVASEWVKGKQMEDAASIKNSEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKLDKGNE >ONIVA05G28080.1 pep chromosome:AWHD00000000:5:26340874:26342651:-1 gene:ONIVA05G28080 transcript:ONIVA05G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIL6] MNGGDAAAAAATPSHRRLPDFLQSVNLKYVKLGYHYLITHLLTLLLLPLMAVIVLEAGRTDPDDLRQLWLHLQYNLVSVLVLSAVLVFGATVYVLTRPRPVYLVDFACYKPPDKLKVRFDEFLHHSKLCGFSDDCLEFQRKILERSGLSEETYVPEAMHLIPPEPTMANARAEAESVMFGALDKLFKFTGVKPKDVGVLVVNCSLFNPTPSLSAMIVNKYKLRGNIKSFNLGGMGCSAGVIAVDLARDMLQVHRNTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGGAAVLLSNRGADRRRAKYALKHVVRTHKGADNKAFNCVYQEQDDEGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPFSEQLLFFATLVAKKLFNAKIKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLQPVHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGHRIWQIAFGSGFKCNSAVWHALRNVKPSPESPWEDCIDRYPVELDMIALEESPDFAAANSALYSVITLVCTSWIIR >ONIVA05G28070.1 pep chromosome:AWHD00000000:5:26333244:26334387:-1 gene:ONIVA05G28070 transcript:ONIVA05G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQELAPCTCGMLYGSCGGGCGGAAAAASAFSLLFPMAGGQYYYRQCGGVAEEDSRSPYGGGGAAVDCTLSLGTPSTRRAEAGAYGGGLQPWDVPSSARPGGGGGGKQDGGGVAPCNKEAPAAGRLPRRCANCDTSLCNACGIRYKKEERRAAAAVAPTPPPSLDTGAGYAYCYSRQPPPPPAPQWGCYGQAAAKSASYSMFDAADDGPCLSWRLNMMPSSPAFAVGERPGLFQYY >ONIVA05G28060.1 pep chromosome:AWHD00000000:5:26326304:26330928:1 gene:ONIVA05G28060 transcript:ONIVA05G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGGVGVGDESGSDYESGGGMRKPLLMHTGSWYRMGSRQGSLTGAGTSSMAILRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLDLTLSEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTVGILLAYLLGMFVPWRLLAVIGILPCTVLIPGLFFIPESPRWLAKMNMMDDFETSLQVLRGFETDISAEVNDIKRAVASANKRTTIRFQELNQKKYRTPLILGIGLLVLQQLSGINGILFYAGSIFKAAGLTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISSAGMTLSLLAVAVVFFLKDSISQDSHMYYTLSMISLVALVAFVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLMLSWSAGGTFVSYMVVSAFTLVFVILWVPETKGRTLEEIQWSFR >ONIVA05G28060.2 pep chromosome:AWHD00000000:5:26326304:26330928:1 gene:ONIVA05G28060 transcript:ONIVA05G28060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGGVGVGDESGSDYESGGGMRKPLLMHTGSWYRMGSRQGSLTGAGTSSMAILRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLDLTLSEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTVGILLAYLLGMFVPWRLLAVIGILPCTVLIPGLFFIPESPRWLAKMNMMDDFETSLQVLRGFETDISAEVNDIKRAVASANKRTTIRFQELNQKKYRTPLIVASSKQQSYFSRFGFVTRKISSGLTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISSAGMTLSLLAVAVVFFLKDSISQDSHMYYTLSMISLVALVAFVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLMLSWSAGGTFVSYMVVSAFTLVFVILWVPETKGRTLEEIQWSFR >ONIVA05G28060.3 pep chromosome:AWHD00000000:5:26326474:26330928:1 gene:ONIVA05G28060 transcript:ONIVA05G28060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGGVGVGDESGSDYESGGGMRKPLLMHTGSWYRMGSRQGSLTGAGTSSMAILRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLDLTLSEVAARFFLFFFGSSHYPPPPPFFFVPNLNDALLLQFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTVGILLAYLLGMFVPWRLLAVIGILPCTVLIPGLFFIPESPRWLAKMNMMDDFETSLQVLRGFETDISAEVNDIKRAVASANKRTTIRFQELNQKKYRTPLILGIGLLVLQQLSGINGILFYAGSIFKAAGLTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISSAGMTLSLLAVAVVFFLKDSISQDSHMYYTLSMISLVALVAFVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLMLSWSAGGTFVSYMVVSAFTLVFVILWVPETKGRTLEEIQWSFR >ONIVA05G28060.4 pep chromosome:AWHD00000000:5:26326474:26330928:1 gene:ONIVA05G28060 transcript:ONIVA05G28060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGGVGVGDESGSDYESGGGMRKPLLMHTGSWYRMGSRQGSLTGAGTSSMAILRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLDLTLSEVAARFFLFFFGSSHYPPPPPFFFVPNLNDALLLQFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTVGILLAYLLGMFVPWRLLAVIGILPCTVLIPGLFFIPESPRWLAKMNMMDDFETSLQVLRGFETDISAEVNDIKRAVASANKRTTIRFQELNQKKYRTPLIVASSKQQSYFSRFGFVTRKISSGLTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISSAGMTLSLLAVAVVFFLKDSISQDSHMYYTLSMISLVALVAFVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLMLSWSAGGTFVSYMVVSAFTLVFVILWVPETKGRTLEEIQWSFR >ONIVA05G28050.1 pep chromosome:AWHD00000000:5:26319555:26323658:1 gene:ONIVA05G28050 transcript:ONIVA05G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGSRRGGASCADESGSDQDGSSGGGLRKPLLNTGSWYRMGSRSSLAASSMAAIRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAIIRDLKLSISEFSAFGSLSNVGAMVGAIASGQMAEYIGRKGSLIIAAVPNIIGWLAISFAKDASFLYMGRLLEGFGVGVISYTVPVYIAEISHQNTRGALGSVNQLSVTIGILLAYLLGMFVPWRLLAVIGSIPCTLLIPGLFFIPESPRWLAKMKMMDDFEASLQVLRGFETDITAERAVASANKRTTVRFKELNQKKYRTPLLIGTGLLVLQNLSGINGILFYASRIFRDAGFTNSDLATCALGAIQISTAGMTLSLLAVSVVFFLEGNISHDSHSFYILSMISLVALVAYIITFSFGMGAIPWVMMSEILPVSIKSLGGSFATLANMLTSWAITMTANLLLSWSAGGTFLSYMIVSAFTLVFVIFWVPETKGRTLEEIQFSFR >ONIVA05G28040.1 pep chromosome:AWHD00000000:5:26314478:26315824:-1 gene:ONIVA05G28040 transcript:ONIVA05G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTRRTCLQHCPGINFQHDQRVPRGRQTHCLQYGASTDMRSIEKFMWLLEVLYKEEGDQ >ONIVA05G28030.1 pep chromosome:AWHD00000000:5:26309709:26313645:1 gene:ONIVA05G28030 transcript:ONIVA05G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFAFLAAAPLLSMRRHMYHAPTDRYGLHRSHSAAISSGFGSAGSPYSSCTPLRTPRSPDGSTSGRCSEKIMNMWTVHSPTPFTLVSSARSAASSIAATADSESSPAANLPARSWR >ONIVA05G28020.1 pep chromosome:AWHD00000000:5:26307266:26313683:-1 gene:ONIVA05G28020 transcript:ONIVA05G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTRAAATPRPSGGGGAGAADITAGKISFRSRKIVKSTPAKGKSVATTTTAVLSPPPLSSPGELAAALSHLRTADPLLSEVIASTGAPAFISSPSRPAFHSLAHSILHQQLAPSAAAAIYARFLALIPAAADPDAAVVNPAAVLALSAADLRAIGVSARKAAYLHDLAGRFAAGELSESAVAAMDEAALLAELTKVKGVGEWTVHMFMIFSLHRPDVLPSGDLGVRKGVQELYGLPALPKPEEMAALCERWRPYRSVGAWYMWRLMESKGAAAKKAKSNAIATLPSSC >ONIVA05G28010.1 pep chromosome:AWHD00000000:5:26302003:26306367:1 gene:ONIVA05G28010 transcript:ONIVA05G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G25730) TAIR;Acc:AT4G25730] MGKTKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARAVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDSNGVAAFDVVLHDGSPNVGGAWAQEATAQSSLVIDAVRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEVTKPTASRSTSAEIYIICLRYKAPAKIQPELLDIKHLFSVRDPLKPDRQKRNRDGYEEGNTTLRKVGLASDFIWSEGQTPLEFLGSFNAISFDDPASLPIKNHELTTDEIKALCEDLYVLDKNSFKHILKWRIRIRKALSSSEVTKKTDDTAVEVNVKDDDQLLQEMEELTSVIDRKKKREKKRQSKRRAKDKARKATGMQIDATGDNYGDPDLFSISAIKGGKELQAIESAELNVEDAQGDSENEDIQTREYSDEEMDSDEEQQRYDAQLEEMLDEAYERYVTKKGGEVKQERKRAKRVNTDADEELLEGGEDDGDDVDMDQGSDEEQDQETNPLLLSLDAEKPTKEQMMEQWFSQDVFTEAGTGVVEQSDSEDEREQLTRIAKKKADSGKKEKSAKAKRLQQDDFEIVPAEPVRTEDDSSPSSDESDELDEDLDDDTKAEVLAYARKMLRKKQREQILDDAYNKYMFDDEGLPKWFAEDEKRHNQPMKPVTKEEVAAMRAQFKEIDARPAKKVAEAKARKKRVAMKKLDKARQKADAIADQNDINEHKVKGGKGAKGKGKKGGGQKGGMRGKAGRKAQN >ONIVA05G28010.2 pep chromosome:AWHD00000000:5:26302003:26306622:1 gene:ONIVA05G28010 transcript:ONIVA05G28010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G25730) TAIR;Acc:AT4G25730] MGKTKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARAVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDSNGVAAFDVVLHDGSPNVGGAWAQEATAQSSLVIDAVRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEVTKPTASRSTSAEIYIICLRYKAPAKIQPELLDIKHLFSVRDPLKPDRQKRNRDGYEEGNTTLRKVGLASDFIWSEGQTPLEFLGSFNAISFDDPASLPIKNHELTTDEIKALCEDLYVLDKNSFKHILKWRIRIRKALSSSEVTKKTDDTAVEVNVKDDDQLLQEMEELTSVIDRKKKREKKRQSKRRAKDKARKATGMQIDATGDNYGDPDLFSISAIKGGKELQAIESAELNVEDAQGDSENEDIQTREYSDEEMDSDEEQQRFYNFTAIPKMCNMVIFPTRYDAQLEEMLDEAYERYVTKKGGEVKQERKRAKRVNTDADEELLEGGEDDGDDVDMDQGSDEEQDQETNPLLLSLDAEKPTKEQMMEQWFSQDVFTEAGTGVVEQSDSEDEREQLTRIAKKKADSGKKEKSAKAKRLQQDDFEIVPAEPVRTEDDSSPSSDESDELDEDLDDDTKAEVLAYARKMLRKKQREQILDDAYNKYMFDDEGLPKWFAEDEKRHNQPMKPVTKEEVAAMRAQFKEIDARPAKKVAEAKARKKRVAMKKLDKARQKADAIADQNDINEQSKRKMIDRIYKKAIPKKPQKEYVVAKKGVQVRAGKGKILVDKRMKKDKRASKVKGGKGAKGKGKKGGGQKGGMRGKAGRKAQN >ONIVA05G28010.3 pep chromosome:AWHD00000000:5:26302003:26306622:1 gene:ONIVA05G28010 transcript:ONIVA05G28010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G25730) TAIR;Acc:AT4G25730] MGKTKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARAVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDSNGVAAFDVVLHDGSPNVGGAWAQEATAQSSLVIDAVRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEVTKPTASRSTSAEIYIICLRYKAPAKIQPELLDIKHLFSVRDPLKPDRQKRNRDGYEEGNTTLRKVGLASDFIWSEGQTPLEFLGSFNAISFDDPASLPIKNHELTTDEIKALCEDLYVLDKNSFKHILKWRIRIRKALSSSEVTKKTDDTAVEVNVKDDDQLLQEMEELTSVIDRKKKREKKRQSKRRAKDKARKATGMQIDATGDNYGDPDLFSISAIKGGKELQAIESAELNVEDAQGDSENEDIQTREYSDEEMDSDEEQQRYDAQLEEMLDEAYERYVTKKGGEVKQERKRAKRVNTDADEELLEGGEDDGDDVDMDQGSDEEQDQETNPLLLSLDAEKPTKEQMMEQWFSQDVFTEAGTGVVEQSDSEDEREQLTRIAKKKADSGKKEKSAKAKRLQQDDFEIVPAEPVRTEDDSSPSSDESDELDEDLDDDTKAEVLAYARKMLRKKQREQILDDAYNKYMFDDEGLPKWFAEDEKRHNQPMKPVTKEEVAAMRAQFKEIDARPAKKVAEAKARKKRVAMKKLDKARQKADAIADQNDINEQSKRKMIDRIYKKAIPKKPQKEYVVAKKGVQVRAGKGKILVDKRMKKDKRASKVKGGKGAKGKGKKGGGQKGGMRGKAGRKAQN >ONIVA05G28000.1 pep chromosome:AWHD00000000:5:26299316:26301684:-1 gene:ONIVA05G28000 transcript:ONIVA05G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT5G66470) TAIR;Acc:AT5G66470] MELGLALRLVAPPPRLPCRALQPPPMPCFSPCAARRSRIRSSRLERRVGVVVSGGSMASLAMQEEEEEEWEEAEEEAEGWQEEEAAVVTTRPRLELIEKPDRSLCLLDEYESEELGTSHCANHRSGYVAVLGKPNVGKSTLINQIVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDTMMMKNVRSAVGSADCVLVVVDACKMPEKIDEILEEGVGNKDTELPVLLVLNKKDLIKPGEIAKKLEWYQKFTNADDVIPISAKFGHGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFLQYRQEIPYACQVNVISYKSRPTAKDFIQVEILVEKESQRSIILGKDGKAIKMLATASRLDIEDFLQKKVYLEIMVKVKENWRQDELLLKRYGYGGEIQAL >ONIVA05G27990.1 pep chromosome:AWHD00000000:5:26295453:26297951:1 gene:ONIVA05G27990 transcript:ONIVA05G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYVGVKRKNVVVGGDVGREMPVAPPSSSSAAVGMVEFPAAAAGLGYAGMTAKEAGGGYQERRVVVGEMDFFKTAEKRGERKEPPPATATAAASGHAGASPDDLSLNKDDLTINMGLLVGRRRNSGSEESIVDDGGVSSNDEEHREAKAALAVTKAEIGRLSEENKRLKNMLSNVTTKYNSLQMQFVTLMQQRRSVLAAPIHQQELLDPEKKEQEGSQQQQQQQLIPRQFISLGSASLQPDVEAPHSVVVVGGGGGGDVCAPSSSNPDAAVPAMMPLPHFDHHNHHHPIHGGRERGSSPAEADHHRHRQQEQPPPPQQQQLLPPSWLPADKVPRFLPGKGPEPIPEAATMRKARVSVRARSDAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTVLITTYEGNHNHPLPPAAMAMASTTAAAASMLLSGSMPSADGSLMAGSNFLARAVLPCSSTVATISASAPFPTVTLDLTQTAPPPPPPASSTQPQPPRPEPAQLQAALAEAARPVALPQLFGQKLYDQSKLSAVQAVAGTKGSDGGALADTVNAATAAIASDPNFTAVLAAALTSYIGSSSGSGGGGGGGSSGTVQPLMSGGGDSCSRDDKIGEQNS >ONIVA05G27980.1 pep chromosome:AWHD00000000:5:26288625:26294475:1 gene:ONIVA05G27980 transcript:ONIVA05G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLAAVLLQALLPASAAEGLVRIALKKRPIDENSRVAARLSGEEGARRLGLRGANSLGGGGGEGDIVALKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSEPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVSQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTLTQSSQAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEAGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDKLPSPMGESSVDCGSLASMPEISFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >ONIVA05G27980.2 pep chromosome:AWHD00000000:5:26288625:26294475:1 gene:ONIVA05G27980 transcript:ONIVA05G27980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLAAVLLQALLPASAAEGLVRIALKKRPIDENSRVAARLSGEEGARRLGLRGANSLGGGGGEGDIVALKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSEPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVSQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEAGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDKLPSPMGESSVDCGSLASMPEISFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >ONIVA05G27980.3 pep chromosome:AWHD00000000:5:26288761:26294475:1 gene:ONIVA05G27980 transcript:ONIVA05G27980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHARSPPPPVVLGTSVVAVAAAAPPIAAMGTRSVALVLLAAVLLQALLPASAAEGLVRIALKKRPIDENSRVAARLSGEEGARRLGLRGANSLGGGGGEGDIVALKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSEPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVSQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEAGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDKLPSPMGESSVDCGSLASMPEISFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >ONIVA05G27980.4 pep chromosome:AWHD00000000:5:26288683:26294475:1 gene:ONIVA05G27980 transcript:ONIVA05G27980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLAAVLLQALLPASAAEGLVRIALKKRPIDENSRVAARLSGEEGARRLGLRGANSLGGGGGEGDIVALKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSEPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVSQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEAGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDKLPSPMGESSVDCGSLASMPEISFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >ONIVA05G27970.1 pep chromosome:AWHD00000000:5:26277068:26285712:1 gene:ONIVA05G27970 transcript:ONIVA05G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGAGGGGGGGKGGAAAGPVPAASRKLVQSLKEIVNRPEAEIYAALRDCGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKEIPEPRSRAASNAASRGVRGGADRGGRNSSFHSSSIDNVASRSISGPGMTSTNSTQKQTIPSSLVNKSVVADGPSVPAQSSSGFQHGWSGTPGQLSMADIVKMGRPQVKQSSSKPAVTADKGYTGQYPSLPSTVNQNLKQSASTVSPTNPDQGLHSAQDSIHPKDHNHSAAVNKQAYDNDWLPQDEPPPGNQSALPETSGDQSLYESSLQSSTLLAGVINPHENSHLDENRSAAFSSESHLEHHGGDSEYDDGLLQESSTYLPQKNSHAEDEVEGSNSDVALATENFQGLSLHNEELVATKLAEDNPAVIIPDHLQVTGSDCVTLSFGSFESGAFSGLLPVPSRSADDNNVELPVIEESVPLDQIDSRDQDYYDSAAVNSSGNENLDTIIGTNMENIDVPSVSQPDVLRQEVLDHSGLQYNLPSDSSAAYANTTQPSTMESSQGNNQAHTLSHLSNLLQANSLHNSLLGSNIAPLRDLDFSLSPLLAAQSMTKYNSAAPTTTGPAISMQETLKPGVFSNAQSTQNLPSTSIATGPPLPQQLVHPYSQPTVPLAPFANMIGYPYLAQNYPAAYLPSAAFQQAYSSNGPFHQSAAAAVPGAMKYNMNVPQFKNNLSATSLQQQPSSVISGYGGFGSSSNLPGNFTLNQNAASASTNLGFDEALSTPYKDPSQYMALQQGDNSAMWLHGAGSRATSALPPSHFYGFQGQSQQGGFRQAQQPQQHSQFGGHGYPAFYHSQSQEHHQNPAEGGLNGFQNAQSQPSHQGWQQHTGY >ONIVA05G27970.2 pep chromosome:AWHD00000000:5:26277068:26285712:1 gene:ONIVA05G27970 transcript:ONIVA05G27970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGAGGGGGGGKGGAAAGPVPAASRKLVQSLKEIVNRPEAEIYAALRDCGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKEIPEPRSRAASNAASRGVRGGADRGGRNSSFHSSSIDNVASRSISGPGMTSTNSTQKQTIPSSLVNKSVVADGPSVPAQSSSGFQHGWSGTPGQLSMADIVKMGRPQVKQSSSKPAVTADKGYTGQYPSLPSTVNQNLKQSASTVSPTNPDQGLHSAQDSIHPKDHNHSAAVNKQAYDNDWLPQDEPPPGNQSALPETSGDQSLYESSLQSSTLLAGVINPHENSHLDENRSAAFSSESHLEHHGGDSEYDDGLLQESSTYLPQKNSHAEDEVEGSNSDVALATENFQGLSLHNEELVATKLAEDNPAVIIPDHLQVTGSDCVTLSFGSFESGAFSGLLPVPSRSADDNNVELPVIEESVPLDQIDSRDQDYYDSAAVNSSGNENLDTIIGTNMENIDVPSVSQPDVLRQEVLDHSGLQYNLPSDSSAAYANTTQPSTMESSQGNNQAHTLSHLSNLLQANSLHNSLLGSNIAPLRDLDFSLSPLLAAQSMTKYNSAAPTTTGPAISMQENYPAAYLPSAAFQQAYSSNGPFHQSAAAAVPGAMKYNMNVPQFKNNLSATSLQQQPSSVISGYGGFGSSSNLPGNFTLNQNAASASTNLGFDEALSTPYKDPSQYMALQQGDNSAMWLHGAGSRATSALPPSHFYGFQGQSQQGGFRQAQQPQQHSQFGGHGYPAFYHSQSQEHHQNPAEGGLNGFQNAQSQPSHQGWQQHTGY >ONIVA05G27960.1 pep chromosome:AWHD00000000:5:26271063:26272889:-1 gene:ONIVA05G27960 transcript:ONIVA05G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLRLAVPTAAPPVLPPLRQSAVRAAGSPAAAALRTGALRGCASLPLKPQPLLGAGQAASGRRGGAAVCHSSAHLSARTMQWVSAGATAVLLLAKGTAIHKSFLVPLFALLAPCSVISWIKSDYGQWTAFLALLVRLFFSIPGELELPLSTMLLVSVAPYQLMNLRELLRKILLFSKVLVLMLQFPFPVHRGTQGGAALSLALAGYLAFQHFTRVGGLGKAFDQGSIIATLAIICITVIPLMMLF >ONIVA05G27950.1 pep chromosome:AWHD00000000:5:26267842:26272368:1 gene:ONIVA05G27950 transcript:ONIVA05G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIPHSPLAQVGAKGEVRQSASQPPRPLHGRGCHYYGTYIYNEGIYTQKEELFEGLKDNSSIDWEAVAADIISRTGRVFHTSDSSTGSTINIVTVRQQNQDRFAHVPIE >ONIVA05G27950.2 pep chromosome:AWHD00000000:5:26267842:26272368:1 gene:ONIVA05G27950 transcript:ONIVA05G27950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIPHSPLAQVGAKGEVRQSASQPPRPLHGRGDTCARLQRSMPPDTIRARSLLSFCRRRDACADLQHSTRPTYIYNEGIYTQKEELFEGLKDNSSIDWEAVAADIISRTGRVFHTSDSSTGSTINIVTVRQQNQDRFAHVPIE >ONIVA05G27950.3 pep chromosome:AWHD00000000:5:26267842:26272368:1 gene:ONIVA05G27950 transcript:ONIVA05G27950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIPHSPLAQVGAKGEVRQSASQPPRPLHGRGIYTQKEELFEGLKDNSSIDWEAVAADIISRTGRVFHTSDSSTGSTINIVTVRQQNQDRFAHVPIE >ONIVA05G27950.4 pep chromosome:AWHD00000000:5:26267842:26272368:1 gene:ONIVA05G27950 transcript:ONIVA05G27950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIPHSPLAQVGAKGEVRQSASQPPRPLHGRGDTCARLQRSMPPDTIRARSLLSFCRRRDACADLQHSTRPVDNWFVLFTLQSIHLSRIIITYIYNEGIYTQKEELFEGLKDNSSIDWEAVAADIISRTGRVFHTSDSSTGSTINIVTVRQQNQDRFAHVPIE >ONIVA05G27940.1 pep chromosome:AWHD00000000:5:26267839:26268102:-1 gene:ONIVA05G27940 transcript:ONIVA05G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLQRKENEPIIHRARRVLKVGACVPSPAEAKEGSCSDGIRGHGALEAGTGVTSPMERARRLGSRLANLALGADLGEGRVRDRRRH >ONIVA05G27930.1 pep chromosome:AWHD00000000:5:26261888:26264688:-1 gene:ONIVA05G27930 transcript:ONIVA05G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPFAAIGSPSFCLFDPFPLLLPSTSTRSSPPRLEPSMSGKPSDDTTGQVRPEVDGSDEKVEIANQNEKEVMPSPQEEEAAIKKKYGGIVPRKPALIAKDHERAYFDSADWALGKQGGHPQKPKGPLEALRPKLQPTQQQARSRRFLHASVDNEEGLNSPTEDASQNQESNEVKDEK >ONIVA05G27920.1 pep chromosome:AWHD00000000:5:26258384:26260141:-1 gene:ONIVA05G27920 transcript:ONIVA05G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit D [Source:UniProtKB/TrEMBL;Acc:A0A0E0HII8] MGFDVGVVAFNPEGWGPPDTAPAPASLGGGAAAASIPFAPFSRSDKLGRIADWTRNPAGPAALAAASRDSVFDFTSVDDSLAAAAEDSSFRLVDAKPPPRHPRFGPKWRFNQRPQLPQRRDEEVEARRREAEKERARRERHFQNNRSHHHPGFRGNQSSSAKSSVDIQPDWTMREQIPFANFTKLSFSVADQPEDLLLCGAVEYYDRAFDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIHSWDIVVQRVGNKLFFDKRDGSQLDLLSVNETAQEQLPENKDDINSAHSLAVEATYINQNFSQQVLLRDGEKVTFDEPNPFASEGEEAASVGYRYRRWKLDDEISIVARCEVHAVNADPGGGRQFLTLNALNEFDPKITGVDWRQKLETQRGAVLATELKNNANKLARWTCQALLAGADMMKLGYVSRVHPRDHYNHAILTVMGYKPRDFATQINLNTSNMWGIVKSIVDICMKFEEGKYVLVKDPAKPQVRIYEVPSDAFENDYVEEPLPEEEQVRPPSDDVDATAEEMDAAAEAEANNAAASAGGEGEKSAEAAAA >ONIVA05G27910.1 pep chromosome:AWHD00000000:5:26244131:26248692:-1 gene:ONIVA05G27910 transcript:ONIVA05G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HII7] MPEANAGGRAAPAAEQRSKNTIEMDFFSEYGDSSRYKIQEIVGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDTWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDAISRIRNDKARRYLSSMRRKQPALADPYFKGLAKVEREPSCQPISKLEFEFERRKVTKDDIKELIFREILEYHPQLLKDYMNGSENTSFLYPSAVDNFRRQFAILEENGGKSGALDRKHVSLPRATTVHSTSIPPNEGLDATSQVTQRIPTARPGRTVGPVLPFENPGAADPHSARRVVRNPMVPPAAANKSGYSYNLKSDYSDRQHQEELEKDRVQYRPAQHLMDAKVAPDTAPDIRSSQYYFTRSAPRTDLTDRAALQGSMLYGIAPFNGIAAVAGGYSKVGAVQYGVSRMY >ONIVA05G27900.1 pep chromosome:AWHD00000000:5:26242871:26243131:-1 gene:ONIVA05G27900 transcript:ONIVA05G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRCLWLDIDPLAIDMLDVHMLLLLRREPELSNISAHLVYVVHGKNQIKIIFCFLAALAKHEASIRAKGADSPKQQRMPNVWLIG >ONIVA05G27890.1 pep chromosome:AWHD00000000:5:26237905:26244078:1 gene:ONIVA05G27890 transcript:ONIVA05G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:16S rRNA processing protein RimM family [Source:Projected from Arabidopsis thaliana (AT5G46420) TAIR;Acc:AT5G46420] MAPPATASASASAPVSLLFLSLPLSPSSCRGLPAPHTHLPPRRLALAPARPGAALLSSLGDAQEEEEYDDEEEEELVVVGYVSGAHGVRGDVLVSPRTDFPQLRFATPGKRWLRARAAGKQQVKEFELVRGRAHTGKKSWIVTFDGVDSVDEARQIVGSAILVKAGDRPKMEEDEIYSLDLVGMRVIVKDTGKLVGTVGQVFNFGAGDLLQVMVGGTEDTVSQPNSENQDSTPSGEHVWIPFAEDIVPDIDMESREMWITPPKGLLELNARSDKRSKKERRAMEWKEKKRLQRRVIAAKKILSEMDQGHVLEGLLSGDKVQKASLAEQIGSIDFQLFRHAMHSVSRPIGSLSKDVFVKSSSSRKKLMRIPYETLLNHEENANFASELNEGAGIIQKSKAATILITNDSDTLDAEFQGLLNSFNKLMKNCLVENDYFGLDTQKVWVLEEMKLPIVSMSSKLNSRKILLKSPWEILQKPAGTGVIFSLLSSNKILDTLNEMGVEYVQICSLSNKPNIGHPLLFGAVSSFGADAGLMLRKSSKETEDDFDLILSMNHVNKMCRDVTKLRFSAQQEQHVHVEHVDGQWVDVQPEATNCHRLHAEVTSVLNYCSPDKVCVIEIVQQ >ONIVA05G27880.1 pep chromosome:AWHD00000000:5:26231318:26236693:1 gene:ONIVA05G27880 transcript:ONIVA05G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLMMKNNCNDDDIGSEFYDSFNLDNTIENVHSQNNKLELAKTGEFNQYEQTTGFSLPCGDLQYDVYLRTQIAGQDNKSGEGADFMDDGTFTLLKDLVTESRTSSPYYEKDHQLNSLNYVNQDGHGIPTTTNPTWDLTQSCNPLNHSLTEDSVPFNVGTSAGVLTNATSNDFIPIDELAITSGRYGVLPSIEKTEEGSIAIDEANSFGATATMCYNSVHFSHWIDQNLTGPLPDLADLPDIYPDSFLPTPRKNITLVLDLDETLIHSSAVDHDGADFSFPMYHGLKEHTVYVKKRPHVDTFLQKVSEMFKVVIFTASLSSYANRLLDMLDPKNIFFTKRYFRDSCLPVDGSYLKDLTVIVADLAKVVIIDNSPEVFRLQEENGIPIESWTSDPADKSLVELIPFLEAIAVADDVRPIIAQMLGRPRSIA >ONIVA05G27870.1 pep chromosome:AWHD00000000:5:26209449:26212601:1 gene:ONIVA05G27870 transcript:ONIVA05G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGEGFPFHDELASLFAERPPNGAMPGMLQQQQPWSFIDYHHHLMQESAPTTPPLDYEAFAGEFDDDVAPLEEVKRELVVDGVGLFPGGGASAAAAAAAAAGPMTPNSMSVSSTSSEACGVGGGAGGDEESAGKCKKEEEGDGGDDDGKEGSSTTKGDGDGEDKNKKGGKGKGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTQKCPVKKRVERSYQDAAVVITTYEGKHTHPIPATLRGTAHLLGAAAAAHHHGGLQYHHPGHFAAAVGHRLPPQPHDALGGGLLAPPHAQHLHAMQHQMQLAAAAASGGSLHAAAMQQMPQPDHAGLAAIIASTTGASTTPAPPPATGSAAAAATPLRMQHFMAQDYGLLQDMFIPSPFLHNDDANNNNHR >ONIVA05G27860.1 pep chromosome:AWHD00000000:5:26190005:26190403:-1 gene:ONIVA05G27860 transcript:ONIVA05G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETDTARAAVSSETAPMSPQAEAAPRAATARRGNWLRRLIPRDYLSLSRRWKLGGGAFAAPGGGAASRRLASLSRSLRWKRRLPGFSLTLRSGSASAVVDAVAFRVMYVVEAVVLGLALSCFFLCCGCHL >ONIVA05G27850.1 pep chromosome:AWHD00000000:5:26185229:26189662:-1 gene:ONIVA05G27850 transcript:ONIVA05G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVAAFGFPAPVRPGATRVGWVGIGVMGGAMAARLLAAGFAVTAYARTPAKAEALVAAGASLAGSPAAVAAACDVVFTMVGNPGDVRAVVLDAASGVLAGLRPGGVLVDCTSSSPSLAREVAAAARAAGCYAVDSPVSGGDVGARDGALALLAGGDEAVVSWLAPLFAHLGRPTYMGPPGSGQSSKIANQIAVAGAVVGASEALAFANAAGLDAPLFLDAVSKGAAGSRVMDIFGERMLRREFASGGSVKYIIKDLGMALETEEGPEGAKALPGAAMFRQMFSAMAANGDGDLSLQGLITVVERLNGIRKGATMAAAGSLGLLQAPSSFTAAAASRPASRRAPRALFAVRASAAADATKDAVLKAFREKRALKIISGLQNFDRSSVASVVSAADKGGATHVDIACDQDLVKLALELTSLPICVSSVDPSAFRSAVEAGAKMIEIGNYDSFYDTGIEFSSEKILKLTKETREMLPDITLSVTVPHTLSLLDQVRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVTIPVMCASGLSSVTAPMAVTAGAAGVGVGSAVNKLNDIVAMVAEVKSIAEALGLPSRNVSSNLRTVHH >ONIVA05G27850.2 pep chromosome:AWHD00000000:5:26185229:26187588:-1 gene:ONIVA05G27850 transcript:ONIVA05G27850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSLGLLQAPSSFTAAAASRPASRRAPRALFAVRASAAADATKDAVLKAFREKRALKIISGLQNFDRSSVASVVSAADKGGATHVDIACDQDLVKLALELTSLPICVSSVDPSAFRSAVEAGAKMIEIGNYDSFYDTGIEFSSEKILKLTKETREMLPDITLSVTVPHTLSLLDQVRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVTIPVMCASGLSSVTAPMAVTAGAAGVGVGSAVNKLNDIVAMVAEVKSIAEALGLPSRNVSSNLRTVHH >ONIVA05G27850.3 pep chromosome:AWHD00000000:5:26185229:26187588:-1 gene:ONIVA05G27850 transcript:ONIVA05G27850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSLGLLQAPSSFTAAAASRPASRRAPRALFAVRASAAADATKDAVLKAFREKRALKIISGLQNFDRSSVASVVSAADKGGATHVDIACDQDLVKLALELTSLPICVSSVDPSAFRSAVEAGAKMASNSLLRSYTRFVSEKNCSSFQILKLTKETREMLPDITLSVTVPHTLSLLDQVRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVTIPVMCASGLSSVTAPMAVTAGAAGVGVGSAVNKLNDIVAMVAEVKSIAEALGLPSRNVSSNLRTVHH >ONIVA05G27840.1 pep chromosome:AWHD00000000:5:26179543:26186235:1 gene:ONIVA05G27840 transcript:ONIVA05G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFGRKRLLAVLGSCCTILSLLTPTQCASSSPDSLNQSYKIVQPLELTPKLSLQLKLHAFLLWSSVGFLMPIGVLLIRVTSNVKSTRSIRILFYCHVASQIVAVILATAGAVLSISNFENAFNNTHQRIGLALYGFIWLQPLIGFLRPDRGVRFRSIWYLTHWLLGIAICVVGVANVYIGMHTYHERTGRSVRPWTVLLTVEVSAMAFVYLFQDRWNHVVRQQQQQEAAALGDDDDDDDEQSEEHVYPANDHKEVAVVPDGSHGGGGGSWVGEDGRVWHSHDGLAPHSHEPIYSPGDFSKRAPPLISRRFAERAFTVGIGGPVGTGKTALMLALCRSLREKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLIINKTDLAPAVGADLAVMERDALRMREGGPFVFAQVKHGVGVEEIVNHILQAWEIATGNKRR >ONIVA05G27830.1 pep chromosome:AWHD00000000:5:26174747:26177115:1 gene:ONIVA05G27830 transcript:ONIVA05G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINELFSTGDARLHIGQIVDTYVRSICAPYDFVIRPKCLILCLKATHVDSDSTVCPHPNSFPHCLLQGIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHNSNIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >ONIVA05G27820.1 pep chromosome:AWHD00000000:5:26168706:26173802:1 gene:ONIVA05G27820 transcript:ONIVA05G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRITAHLNKPFHKSATQSALSCLLHLFYTTQIASSLLRTNFLLDPTSALPIRRQRAAAPSRHVPSSCLASSKVNESMGGGREEEAAAAASKVGYSSGDLPPSAPPHLQGQDPQQYQYGTFQPPPHHHAASGELARPPVGFPQPAPPPGFAGASGGGGHYHHHHQQQPYAPAEPYYAQGYQTGPGYGSIAEGRPVRMRRLPCCGLGLGWLLFIAGFFLAAIPWYVGAFILICVRVHDYREKPGYVACTVAPHLVISALEDEVDGLLVVVAVPGWERRRGGLVIVVVEQGGLGALFAG >ONIVA05G27810.1 pep chromosome:AWHD00000000:5:26165802:26166650:-1 gene:ONIVA05G27810 transcript:ONIVA05G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAAPASNQPHDTSNILENVWASIMTSSSSPAVSSSVAVSSSAVTGQEENSAILQRLPSLGRWISMGAEEWDELLLSGAALASESSGELQLVAASPGDHNQVVGGGGGANYRTTATAAARRSYRGVRRRPWGKFAAEIRDTRRRGARVWLGTFATADEAALAYDMAALRMRGHRAHLNFPLATVQKHLERDLAGGRSSSDQTSTRARRRRRPRANTTTDAAARSVVFSGVQTATNCDHMVSFASEKDQVSMQECSKSDAGVIDFEEIGGEYWDYLFPPLV >ONIVA05G27800.1 pep chromosome:AWHD00000000:5:26156660:26161420:1 gene:ONIVA05G27800 transcript:ONIVA05G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNRHWPSMFRSNLACNIQQQQQPDMNGNGSSSSSFLLSPPTAATTGNGKPSLLSSGCEEGTRNPEPKPRWNPRPEQIRILEGIFNSGMVNPPRDEIRRIRLQLQEYGQVGDANVFYWFQNRKSRTKNKLRAAGHHHHHGRAAALPRASAPPSTNIVLPSAAAAAPLTPPRRHLLAATSSSSSSSDRSSGSSKSVKPAAAALLTSAAIDLFSPAPAPTTQLPACQLYYHSHPTPLAHDDQLITSPESSSLLLQWPASQYMPATELGGVFGSSSHTQTPAAITTHPSTISPSVLLGLCNEALGQHQQETMDDMMITCSNPSKVFDHHSMDDMSCTDAVSAVNRDDEKARLGLLHYGIGVTAAANPAPHHHHHHHHLASPVHDAVSAADASTAAMILPFTTTAAATPSNVVATSSALADQLQGLLDAGLLQGGAAPPPPSATVVAVSRDDETMCTKTTSYSFPATMHLNVKMFGEAAVLVRYSGEPVLVDDSGVTVEPLQQGATYYVLVSEEAVH >ONIVA05G27790.1 pep chromosome:AWHD00000000:5:26150721:26153131:1 gene:ONIVA05G27790 transcript:ONIVA05G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGGGGGEGRAVIHTASETRLKLRRVKVVDVEKSKSLSLRTNRYQVASATRQRAAKNKKNVASGTATGREIPDADGLNGVLE >ONIVA05G27780.1 pep chromosome:AWHD00000000:5:26149395:26150651:-1 gene:ONIVA05G27780 transcript:ONIVA05G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWMRELKDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAEKENTFFMETSALESMNVENAFTEVLTQIYHVVSKKALDIGDDPAAPPKGQTINVGGKDDVSAVKKSACCSSA >ONIVA05G27770.1 pep chromosome:AWHD00000000:5:26141230:26150277:1 gene:ONIVA05G27770 transcript:ONIVA05G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNMVWTHQVASPENQVQPESFYHGGAGSNLSNLSVQVAVGVPGNTDFRSHYESINLQHQHVQNPYPHVGVASSSVFPSTMYNPCISTTAVDRYVPPIQSFGLGNPLLLPLYHQLAQGSMDENGSSGNFCDSVREFIKRKNALLVGGHHFVNSFASSSSSAYVPPNPLHRSWNASFEANILPSTGVSNPPEYSSADSLNNSNSMASHPELVHHGNYVFPAGHMSQYNAWIAQASRTGGVPQWEHGNAAANPPGGFVHSGTIDMPNGGLQGYQAGPFANYYGPLPHFHQNPLNSMQHPALFNHIQMQVPHQHCLSNNLLHHPSGNGLPLDPRILAISSNSGHTFGPTAQPSLANQVNAGSSRIQPYENAPFVDLSRLYEAGVIDEHRDMRLDVDSMTYEELVALEERIGNVNSGFTESYIEENLKSSSYVPDADCMPDQSSVEKDACIICQEEYEAKELVGTLGCGHKYHAMCIKGWLMVKNLCPICKTTALPADRRNG >ONIVA05G27770.2 pep chromosome:AWHD00000000:5:26141230:26150277:1 gene:ONIVA05G27770 transcript:ONIVA05G27770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNMVWTHQVASPENQVQPESFYHGGAGSNLSNLSVQVAVGVPGNTDFRSHYESINLQHQHVQNPYPHVGVASSSVFPSTMYNPCISTTAVDRYVPPIQSFGLGNPLLLPLYHQLAQGSMDENGSSGNFCDSVREFIKRKNALLVGGHHFVNSFASSSSSAYVPPNPLHRSWNASFEANILPSTGVSNPPEYSSADSLNNSNSMASHPELVHHGNYVFPAGHMSQYNAWIAQASRTGGVPQWEHGNAAANPPGGFVHSGTIDMPNGGLQGYQAGPFANYYGPLPHFHQNPLNSMQHPALFNHIQMQVPHQHCLSNNLLHHPSGNGLPLDPRILAISSNSGHTFGPTAQPSLANQVNAGSSRIQPYENAPFVDLSRLYEAGVIDEHRDMRLDVDSMTYEELVALEERIGNVNSGFTESYIEENLKSSSYVPDADCMPDQSSVEKDACIICQEEYEAKELVGTLGCGHKYHAMCIKGWLMVKNLCPICKTTALPADRRNG >ONIVA05G27760.1 pep chromosome:AWHD00000000:5:26136255:26139248:1 gene:ONIVA05G27760 transcript:ONIVA05G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTVSPTIVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELSKFGEVETLNVCDNLADHMIGNVYVQFREEEQAVAAHNALQGSLRRTAATVGATVILCM >ONIVA05G27750.1 pep chromosome:AWHD00000000:5:26133632:26134306:1 gene:ONIVA05G27750 transcript:ONIVA05G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44170) TAIR;Acc:AT5G44170] MRFTASPVVELPVGGAVLTFEQDNDSFEVGTSVWPSSLVLVKFAERCLRDPALPFADVLRFPGTRAVELGSGCGPAGLGLSRLGLADLVLTDIAAVLPALRRNLRRNRRHLPRAPRLAQLHWNCPAHLASLASPRRFDLVVAADVVYVPESVPHLVAAMDVLADADRGVVLLGYQVRSPEAHQAFWDAVPAAFPVIEKIPREHLDPDYAYEESDVFVLRRRPRQ >ONIVA05G27740.1 pep chromosome:AWHD00000000:5:26124592:26132721:-1 gene:ONIVA05G27740 transcript:ONIVA05G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKAKRSHTVRGRRAHQTPAAAAAHRQKRAEAAAAAASASGPRGRNLPSNWDRYDGEDDADESAAPSEWTGEVAPRSKGADFGFLLEQARAQPREAQRLSSQDSPFDFMQASTSMLEAKGEEILSWCEDDNFILEDDLAPDFEVPFLSMDLHALATHLSKLKLSERLFLEKDLLPEDLAVASEVNQIQIQRGTDVDSDAKGSLIHDHDSIRCDDQMKADCQLKCFEEDKSTSSPKTSSHSVHLDTGEDNNNSEGAKFEVVAAEELDMLLNTLGGTHLSGSNLDESFGNKSTLQDVKVNQPDKKVTPSTSSKSSVTAACDDALDDLLSETSPSVQNEGFAEPGSTSKNDHNIDIRYANQIDISTSIDDLVDDLLTDTSLCLNGQKQTTSAKGKDNISSVSVPPNSGPSNASDDFDSWWLQNVSDRYREEKKNISTGAQQTTAGHELQASNTLPFMHGSGDNAFRKRKGCLQAHVQQYFFFAASDSQPEKHREFGTSKYRKYFSSFLPFVFTWSKIGIGTSYKVIKYSSVNGHTLLLIILIKILKEFKFFVLSNYNTIINAMKKKEAKSIR >ONIVA05G27740.2 pep chromosome:AWHD00000000:5:26124592:26132721:-1 gene:ONIVA05G27740 transcript:ONIVA05G27740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKAKRSHTVRGRRAHQTPAAAAAHRQKRAEAAAAAASASGPRGRNLPSNWDRYDGEDDADESAAPSEWTGEVAPRSKGADFGFLLEQARAQPREAQRLSSQDSPFDFMQASTSMLEAKGEEILSWCEDDNFILEDDLAPDFEVPFLSMDLHALATHLSKLKLSERLFLEKDLLPEDLAVASEVNQIQIQRGTDVDSDAKGSLIHDHDSIRCDDQMKADCQLKCFEEDKSTSSPKTSSHSVHLDTGEDNNNSEGAKFEVVAAEELDMLLNTLGGTHLSGSNLDESFGNKSTLQDVKVNQPDKKVTPSTSSKSSVTAACDDALDDLLSETSPSVQNEGFAEPGSTSKNDHNIDIRYANQIDISTSIDDLVDDLLTDTSLCLNGQKQTTSAKGKDNISSVSVPPNSGPSNASDDFDSWSRIHAPKSEKKNISTGAQQTTAGHELQASNTLPFMHGSGDNAFRKRKGCLQAHVQQYFFFAASDSQPEKHREFGTSKYRKYFSSFLPFVFTWSKIGIGTSYKVIKYSSVNGHTLLLIILIKILKEFKFFVLSNYNTIINAMKKKEAKSIR >ONIVA05G27740.3 pep chromosome:AWHD00000000:5:26129410:26132721:-1 gene:ONIVA05G27740 transcript:ONIVA05G27740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKAKRSHTVRGRRAHQTPAAAAAHRQKRAEAAAAAASASGPRGRNLPSNWDRYDGEDDADESAAPSEWTGEVAPRSKGADFGFLLEQARAQPREAQRLSSQDSPFDFMQASTSMLEAKGEEILSWCEDDNFILEDDLAPDFEVPFLSMDLHALATHLSKLKLSERLFLEKDLLPEDLAVASEVNQIQIQRGTDVDSDAKGSLIHDHDSIRCDDQMKADCQLKCFEEDKSTSSPKTSSHSVHLDTGEDNNNSEGAKFEVVAAEELDMLLNTLGGTHLSGSNLDESFGNKSTLQDVKVNQPDKKVTPSTSSKSSVTAACDDALDDLLSETSPSVQNEGFAEPGSTSKNDHNIDIRYANQIDISTSIDDLVDDLLTDTSLCLNGQKQTTSAKGKDNISSVSVPPNSGPSNASDDFDSWFDSL >ONIVA05G27740.4 pep chromosome:AWHD00000000:5:26124592:26128517:-1 gene:ONIVA05G27740 transcript:ONIVA05G27740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMCQLSVEKGAQQTTAGHELQASNTLPFMHGSGDNAFRKRKGCLQAHVQQYFFFAASDSQPEKHREFGTSKYRKYFSSFLPFVFTWSKIGIGTSYKVIKYSSVNGHTLLLIILIKILKEFKFFVLSNYNTIINAMKKKEAKSIR >ONIVA05G27730.1 pep chromosome:AWHD00000000:5:26124133:26124525:1 gene:ONIVA05G27730 transcript:ONIVA05G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYNANRAWPAASRPATAAPPPPPPRGEEEEVRRAVAECPVVVVGRSGCCLSHVVKRLLQGLGVNPAVHEVAGEAELAGVVAGGGGVALPAVFVGGRLLGGLDRLMAVHISGELVPILKEAGALWL >ONIVA05G27720.1 pep chromosome:AWHD00000000:5:26104486:26109717:1 gene:ONIVA05G27720 transcript:ONIVA05G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVARRFLVAAAAVCLALAAVPAAMGQAAAPAPKGAAAAALNVTAILEKGGSYTTFIRLMKSTQQDTQLNSQLNGTSTGFTVFAPTDGAFSSLKPGTLNSLSAQDQVSLVQAHIVPKFYSMDAFDTASNPVRTQASGGDGPYTLNITATSTNQVNVSTGVVDTTLGTALRADQPLAVYSVDKVLLPYALFGPKPPPSPPPAPSKKPAKGDTSASAEAPAGSADHPAGAAPAAARAAGWGVAALLAAACLLSPPPPPFVRFLATTPTHPPMASSRLLLLAALLATAAVLAASQKPKAATPTKATPASPGPAAAAADGPAPTNVTAVLEKSGKYTTFLRLLHESRVDTQINSQLMDSYNGLTMFAPTDAAFAALKPGTLNSLSSQDQIQLMLYCVLPRFYSLAMLTTLGGPVNTQASGADGPYKYKIKPSNNNVNISTGVNWALLSTVVSKDFPLAVYSVDKVPLPYELFGPKPPTPAPAPAPAPSKSKTKKHKKSAGIAEPPVADDASADDTTKKAAAPATAVSRWVVAAAGVLAGAILAAL >ONIVA05G27710.1 pep chromosome:AWHD00000000:5:26097582:26099707:-1 gene:ONIVA05G27710 transcript:ONIVA05G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15150) TAIR;Acc:AT3G15150] MSSVVNKLTNAAERESSEAETLIAVKQLEAAALELVASCEDCTCYADAIRKVPGAYQPSNQMTDFEKLIEAEVNKVKGNSSTSVENHLLIRQFREAVWNVHHAGQPMPGDEQEDVLMTSTQTSILNVTCPLTGKPVIQLTEPVRCADCRHIYEKVPIMHYIRNQKPPKCPIAGCPRVLQVGRVTCDSLLQVEIDELRSSGPSAPDAENIEDLTDDEDDSNE >ONIVA05G27710.2 pep chromosome:AWHD00000000:5:26097582:26099707:-1 gene:ONIVA05G27710 transcript:ONIVA05G27710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15150) TAIR;Acc:AT3G15150] MSSVVNKLTNAAERESSEAETLIAVKQLEAAALELVASCEDCTCYADAIRKVPGAYQPSNQMTDFEKLIEAEVNKVKGNSSTSVENHLLIRQFREAVWNVHHAGQPMPGDEQEDVLMTSTQTSILNVTCPLTGKPNQFDGRPFVRCLIVYFLFTDAHFRLYVQPGCPRVLQVGRVTCDSLLQVEIDELRSSGPSAPDAENIEDLTDDEDDSNE >ONIVA05G27710.3 pep chromosome:AWHD00000000:5:26097582:26099707:-1 gene:ONIVA05G27710 transcript:ONIVA05G27710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15150) TAIR;Acc:AT3G15150] MSSVVNKLTNAAERESSEAETLIAVKQLEAAALELVASCEDCTCYADAIRKVPGAYQPSNQVRTLMTDFEKLIEAEVNKVKGNSSTSVENHLLIRQFREAVWNVHHAGQPMPGDEQEDVLMTSTQTSILNVTCPLTGKPVIQLTEPVRCADCRHIYEKVPIMHYIRNQKPPKCPIAGCPRVLQVGRVTCDSLLQVEIDELRSSGPSAPDAENIEDLTDDEDDSNE >ONIVA05G27700.1 pep chromosome:AWHD00000000:5:26091482:26096672:1 gene:ONIVA05G27700 transcript:ONIVA05G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIF8] MTGIDLNTVEEDEEEAAEEVAANGSSPAPARAGAVCLELWHACAGPVAPLPRKGGVVVYLPQGHLEHLGDAPAAAAAAAAVPPHVFCRVVDVTLLADAATDEVYAQLSLVPEKEEVARRADDGEGEDGDGMKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFSVGLRFKMRYESEDASERRYTGIITGSGDTDPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLSTPHSKRLKPCLPHVNPEYMVPRGGGCPDFAESAQFHKVLQGQELFRPYRGTLVDASMGSNGFHQQDSPRAPGVVNKWQAQLHGRAAFHGPPALALPSQSSSPPSVLMFQQANSKMPRLEFGHGQLDKHENDRRVRFGPSEGIERREQRIPLQPYPTSGEVIDGQVTVEKSHSPGRHGKDGPDNKAVGTNSCKIFGISLTEKVPAREELDDGDANYSLQSLKQVPKSLGNSCATVHEQRPVVGRMVAEYQGDGGTDDSDIFILDVGVGALIM >ONIVA05G27700.2 pep chromosome:AWHD00000000:5:26091482:26097165:1 gene:ONIVA05G27700 transcript:ONIVA05G27700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIF8] MTGIDLNTVEEDEEEAAEEVAANGSSPAPARAGAVCLELWHACAGPVAPLPRKGGVVVYLPQGHLEHLGDAPAAAAAAAAVPPHVFCRVVDVTLLADAATDEVYAQLSLVPEKEEVARRADDGEGEDGDGMKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFSVGLRFKMRYESEDASERRYTGIITGSGDTDPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLSTPHSKRLKPCLPHVNPEYMVPRGGGCPDFAESAQFHKVLQGQELLGFKSHGGTAAATSQPCEARHLQYIDERSCSSDASNSILGVPRLGDRAPLGNPGFSYHCSGFGESQRLQKVLQGQELFRPYRGTLVDASMGSNGFHQQDSPRAPGVVNKWQAQLHGRAAFHGPPALALPSQSSSPPSVLMFQQANSKMPRLEFGHGQLDKHENDRRVRFGPSEGIERREQRIPLQPYPTSGEVIDGQVTVEKSHSPGRHGKDGPDNKAVGTNSCKIFGISLTEKVPAREELDDGDANYSLQSLKQVPKSLGNSCATVHEQRPVVGRVIDISTMDMMI >ONIVA05G27700.3 pep chromosome:AWHD00000000:5:26091482:26097029:1 gene:ONIVA05G27700 transcript:ONIVA05G27700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIF8] MTGIDLNTVEEDEEEAAEEVAANGSSPAPARAGAVCLELWHACAGPVAPLPRKGGVVVYLPQGHLEHLGDAPAAAAAAAAVPPHVFCRVVDVTLLADAATDEVYAQLSLVPEKEEVARRADDGEGEDGDGMKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFSVGLRFKMRYESEDASERRYTGIITGSGDTDPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLSTPHSKRLKPCLPHVNPEYMVPRGGGCPDFAESAQFHKVLQGQELFRPYRGTLVDASMGSNGFHQQDSPRAPGVVNKWQAQLHGRAAFHGPPALALPSQSSSPPSVLMFQQANSKMPRLEFGHGQLDKHENDRRVRFGPSEGIERREQRIPLQPYPTSGEVIDGQVTVEKSHSPGRHGKDGPDNKAVGTNSCKIFGISLTEKVPAREELDDGDANYSLQSLKQVPKSLGNSCATVHEQRPVVGRVIDISTMDMMI >ONIVA05G27700.4 pep chromosome:AWHD00000000:5:26091482:26096672:1 gene:ONIVA05G27700 transcript:ONIVA05G27700.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HIF8] MTGIDLNTVEEDEEEAAEEVAANGSSPAPARAGAVCLELWHACAGPVAPLPRKGGVVVYLPQGHLEHLGDAPAAAAAAAAVPPHVFCRVVDVTLLADAATDEVYAQLSLVPEKEEVARRADDGEGEDGDGMKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFSVGLRFKMRYESEDASERRYTGIITGSGDTDPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLSTPHSKRLKPCLPHVNPEYMVPRGGGCPDFAESAQFHKVLQGQELLGFKSHGGTAAATSQPCEARHLQYIDERSCSSDASNSILGVPRLGDRAPLGNPGFSYHCSGFGESQRLQKVLQGQELFRPYRGTLVDASMGSNGFHQQDSPRAPGVVNKWQAQLHGRAAFHGPPALALPSQSSSPPSVLMFQQANSKMPRLEFGHGQLDKHENDRRVRFGPSEGIERREQRIPLQPYPTSGEVIDGQVTVEKSHSPGRHGKDGPDNKAVGTNSCKIFGISLTEKVPAREELDDGDANYSLQSLKQVPKSLGNSCATVHEQRPVVGRMVAEYQGDGGTDDSDIFILDVGVGALIM >ONIVA05G27690.1 pep chromosome:AWHD00000000:5:26071519:26071968:-1 gene:ONIVA05G27690 transcript:ONIVA05G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSISLVAATGVEAGGRGGASAVVEVVAGGRGGAPAVTEEAAGADERRRSPRRRRATTVGGHGGGGGRKRGRAPAVTEETLCAPSKWDELVRPILSDGFVSDLGGIFLSRDQPIPLAPQPNTSKNSFRPIPSYSIPPTKHYLNIGHTN >ONIVA05G27680.1 pep chromosome:AWHD00000000:5:26059706:26066537:-1 gene:ONIVA05G27680 transcript:ONIVA05G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMLGLRGSPSAGDAGGDAPVRNGGEGGGGPGRPLRLVYCDEKGKFVMDPEAVAALQLVKGPVGVVSVCGRARQGKSFVLNQLLGRSSGFQVAPTHRPCTKGLWMWSAPLKRTGLDGTEYNLVLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGRSTESELGHFSPVFVWLLRDFYLDLTEDNRKITPRDYLELALRPVQGGGRDVSSKNAIRESIRALFPDRECITLVRPVNNEKDLQRLDQLPLNNFRPEFRSGLDALTKFVFDRTRPKQLGASTLTGPVLAGLTQSFLDAINTGAVPTISSSWQSVEEAECRRAYDSAIDTYNSSFDRRKPAEEDAMREAHEDALKKAVSVFNASAVGAGLARSKFEKLLQTSLKKAFEDYKRNTFLEADLQCSNRIQSMESKIRTACNRPDAKLDDIVRLIDGLLTEYESKSYGPGKWKKLATFLQHLAGPVLDLFRRQIEHIDAERNSLRLKCSSNDDKLALLRKQLEASEGHRAEYLRRYEESINDKQKISRDYSGRIAELQTKSSKLEERCVSLSSALDNAKRESVDWKNKYDHNLLQQKADESKLKSQIASLESRVNISEGRLSAVREQAESAQEEASEWKRKYEVAVSEAKTALQRAAVAQERTNKKVQEREDALRAELASQLSEKEEEIARLNTKINQTEIHATNLISRLEATEAKLKNHESDSLALKEEIRSLTVSLESFRTEAQSREKEVKILEQEKNHLQEKYLTECKRFDEADRRCKEAEREAKRATELADVARAEAVASQKDKGEAQRLAMERLALIERMERQVEGLEREKNKMLEEIERVGQSEKDAVCKVSSLEQRVDEREKEIDEMMQRSNQQRSSTVQVLESLLETEREACAEANRRAEALSLQLQATQSKLDMLQQELTSVRFNETALDSKLKASHARRLRGEATESVHDMDIDDDNTGRRRKRSKSTTSPFKSNHTEDGGSVFVGEDTNNGSQQAQETETEDYTKFTVLKLKQELTKHGFGAQLLQLKNPNKKDIVALYEKHVVGK >ONIVA05G27670.1 pep chromosome:AWHD00000000:5:26050477:26061596:1 gene:ONIVA05G27670 transcript:ONIVA05G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 73 [Source:Projected from Arabidopsis thaliana (AT4G28500) TAIR;Acc:AT4G28500] MEEEKGGWPERSMTWCNSFSDVRTAVDSSLSPAAAVAAAAGKKAAASLAVLVKMCPSCGHRARYEQETTTIQDLPGLPAGVKFDPTDQELLEHLEGKARPDSRKLHPLVDEFIPTIEGENGICYTHPERLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHSDEVDGGETRWHKTGKTRPVMANGRPRGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEERDGELVVSKVFFQTQPRQCGSTAAAAAKEASAAVAAAVVNSNYSIVHGHQGGGGGSFLKEANVVHEFYDPAATMGYRPPAPAAHFAPNFAVHAARNSFGGP >ONIVA05G27670.2 pep chromosome:AWHD00000000:5:26057388:26061596:1 gene:ONIVA05G27670 transcript:ONIVA05G27670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 73 [Source:Projected from Arabidopsis thaliana (AT4G28500) TAIR;Acc:AT4G28500] MTWCNSFSDVRTAVDSSLSPAAAVAAAAGKKAAASLAVLVKMCPSCGHRARYEQETTTIQDLPGLPAGVKFDPTDQELLEHLEGKARPDSRKLHPLVDEFIPTIEGENGICYTHPERLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHSDEVDGGETRWHKTGKTRPVMANGRPRGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEERDGELVVSKVFFQTQPRQCGSTAAAAAKEASAAVAAAVVNSNYSIVHGHQGGGGGSFLKEANVVHEFYDPAATMGYRPPAPAAHFAPNFAVHAARNSFGGP >ONIVA05G27660.1 pep chromosome:AWHD00000000:5:26044378:26045052:-1 gene:ONIVA05G27660 transcript:ONIVA05G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRDDVESRRDGGENEKRPLLEKRSAGDGGGGSGGNGMSPVQRAISQTYQSTAHLAKLLPTGTVLAFQLLSPIVTNQGHCDVEANRAMAGALIALCALSCFVLSFTDSFRDAATGGAVRYGFATPAGLWVIDGGAPLDPQAAAAYRLRLLDLVHAVVSVMVFAAVALFDQNVVSCFYPVPSEGTRQVLTALPIAIGVVGSMLFVSFPTTRHGIGFPLSPR >ONIVA05G27650.1 pep chromosome:AWHD00000000:5:26042615:26042902:1 gene:ONIVA05G27650 transcript:ONIVA05G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASPRLSSAPAAAATFAICCAASAAFVDASGRVAKKNALVIAATTGADDINRPFSVRLLSEIGLGVGVEDNRKRRSAKTRREDWAGNRRGR >ONIVA05G27640.1 pep chromosome:AWHD00000000:5:26030381:26040832:-1 gene:ONIVA05G27640 transcript:ONIVA05G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGDGGDEGAGAAPAGVEEESPAPASPPAPAPASASAPVPAAVGGGAGASGSSGKVKRVMKTPYQLEVLERTYTEDPYPNETMRAELSVKLGLTDRQLQMWFCHRRLKDRKPPPKRQQLEEEVPVPVMAPPPVLPPPLPHSELTMGTGGMYGEPLLPPSSRRGTGRPSAVPRISASEVARRYYEPPQVMLPPLAPMQLTRAEHRVIDSVERLIGEPLREDGPVLGVEFDPLPPGAFGAPIVPEQQRQPFRSYEAKMFSGHDTKHIKASAFLPSIDPFVPSTVSGKRKSMTGSSSHLGSRAVREYQFIPEQSSDIYERTTQSRYYDVLTEASDSRMSTGSRFLHGVEKAPGYTFHGQISGSSHLSLHGRPPVFSSGSTDYEMDPSNINSSSVPNESQYGIPQVAGFENSVAPSDRMVYHDDDAFRMERKRKHSEEVKIAKEVEAHEKRIRKELEKQDMLNRKREEQVRREMERNDRERRKEEERLLREKQKEEERFQREQRREHKRMEKYLLKQSLRAEKIRQKEELRKEKEAARQKAANERATARRIAREYMELMEDERLELMELVSRSKGLPSMLSLDSDTLQQLDSFRGMLRQFPSEIVRLKVPFSIKPWTSSEDNIGNLLMVWKFFITFADVLGIPSFTLDEFVQSLHDYDSRLLGELHVALLKSIIKDIEDVARTPSVASGMTANPGGGHPQIVEGAYDWGFNILAWQRHLNLLTWPEILRQFGLSAGLGPQLRKRNAENVNNHDDNEGRNGEDVISILRSGSAAVNAAAKMKERGYGNRRRSRHRLTPGTVKFAAFHVLSLEGSQGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDSKLFERTAPSTYCVKTPYRKDPADSEAVLAAAREKIRVFQNTISECEEVEKDVDDAERDEDSECDDADDDPDGDGVNIEEKDVKASLVKAQDGGMPTAVGDIKKETNSIVNSLMTPLIHTKSSESSSLRTLDKSVQVRTTSDLPAEISSDNHEGASDSAQDAEIDESNQGESWVQGLAEGDYCDLSVEERLNALVALIGVATEGNSIRAVLEERLEAASALKKQMWAEAQLDKRRSREEFSSKMQYDSGMGLKTDVDQQNTLAESNLTPVHNLVKDSNGNGSLVNNELPVDQQSQPNACSVVHERNGVRQEFSANPENLSGQQYVTSEKTRSQLKSYIGHKAEQLHVYRSLPLGQDRRRNRYWQFSTSASPDDPGSGRIFFESRDGYWRLIDSIETFDALVSSLDTRGIRESHLHSMLQSIEPTFKEAIGRKRCASIEPSAGRVLKNGTSEIISPNHSNEFGSPCSTLSGVATDSAMAYSDSFRIELGRNDVEKTAISERADLFIKWMWKECNNHQPTCAMKHGKKRCSELIQCCDFCYQIYLAEETHCASCHKTFKSIHNISEHSSQCEEKRRTDPNWKMQISDDSVPVGLRLLKLLLATVEASVPAEALEPFWTDVYRKSWGVKLYSTSSTKEVFEMLTILEGAIRRDFLSSDFETTTELLNLSTQDSASRNTVPRSGSADVLPWVPDTVAAVVLRLLDLDSAISYTLRQKVGSNKERGAGEFMKLPPRYTPAKTKQETEPMGTGFDRQETWLTPSNGRGGRGGRGRGSRGGSRGGRSRSRGGKVPRGISSSPKIEFRGYSASAVSSEKAPRKYARRGRNRGRGRGLRTVRPRQPSDIGARSIPKPNLLGSFSMLRNAKHTTAMESPQSSGAEEWGLERRPSYVKDDEDNSASQSEESSEENSEPMNEEYDELLPDYSRDNSGSSPLQMMDDGSDDNEEDAEGDEDGEEDGEDYDAEQHVDEDNDDAEMGEDDVEDNDDGDGGGGGAENGDEDEDGTSYSSEYSE >ONIVA05G27630.1 pep chromosome:AWHD00000000:5:26027123:26028898:-1 gene:ONIVA05G27630 transcript:ONIVA05G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYKILGVDKAASDDDLKKAYRKLAMKWHPDKNPNNKKEAENKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGATFFSTGGDGPNVFRFNPRNAEDIFAEFFGSSSPFGGMGGGMGGGMGGGPGMRTGGTRFSSSIFGDDIFGSAFGGGADGHHGMHGGGAGRALKAPAIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNEQPNVIPADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGHTVHLTTLDGRSLTIPITSVINPGYEEVVRGEGMPIPKDPSKKGNLRVKFDIKFPARLTADQKSGVKRLLGQ >ONIVA05G27620.1 pep chromosome:AWHD00000000:5:26022600:26025083:-1 gene:ONIVA05G27620 transcript:ONIVA05G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHADRAGMEEVDMDEEVRPEFACPYCYEDHDVVSLCAHLEEEHPFEPHAAPCPICSDKIAKDMLNHITVQHGYLFKVLLGGGGHRSNNSSNTTNISADPLLSSFGLSFPTSDTEETSKPPISIPDDASVVKETPAQPWDSSIDSSLTREEREQKRKQASVRATFVQDLLLTTLFGD >ONIVA05G27620.2 pep chromosome:AWHD00000000:5:26022598:26025083:-1 gene:ONIVA05G27620 transcript:ONIVA05G27620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHADRAGMEEVDMDEEVRPEFACPYCYEDHDVVSLCAHLEEEHPFEPHAAPCPICSDKIAKDMLNHITVQHGYLFKVLLGGGGHRSNNSSNTTNISADPLLSSFGLSFPTSDTEETSKPPISIPDDASVVKETPAQPWDSSIDSSLTREEREQKRKQASVRATFVQDLLLTTLFGD >ONIVA05G27620.3 pep chromosome:AWHD00000000:5:26022600:26025083:-1 gene:ONIVA05G27620 transcript:ONIVA05G27620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHADRAGMEEVDMDEEVRPEFACPYCYEDHDVVSLCAHLEEEHPFEPHAAPCPICSDKIAKDMLNHITVQHGYLFKNRRRLRRFVIPGSQALSLLSRDLREAHLQVLLGGGGHRSNNSSNTTNISADPLLSSFGLSFPTSDTEETSKPPISIPDDASVVKETPAQPWDSSIDSSLTREEREQKRKQASVRATFVQDLLLTTLFGD >ONIVA05G27620.4 pep chromosome:AWHD00000000:5:26022600:26024686:-1 gene:ONIVA05G27620 transcript:ONIVA05G27620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFVLVDLEDELMLRAYVAELKDGKLEPCPICSDKIAKDMLNHITVQHGYLFKNRRRLRRFVIPGSQALSLLSRDLREAHLQVLLGGGGHRSNNSSNTTNISADPLLSSFGLSFPTSDTEETSKPPISIPDDASVVKETPAQPWDSSIDSSLTREEREQKRKQASVRATFVQDLLLTTLFGD >ONIVA05G27620.5 pep chromosome:AWHD00000000:5:26024714:26025083:-1 gene:ONIVA05G27620 transcript:ONIVA05G27620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHADRAGMEEVDMDEEVRPEFACPYCYEDHDVVSLCAHLEEEHPFEPHAAVSCSSPS >ONIVA05G27610.1 pep chromosome:AWHD00000000:5:26012716:26018496:-1 gene:ONIVA05G27610 transcript:ONIVA05G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIFSRSSAGSPFHPSSPTPTDEEGSPSSSPPFPRRPTFPSSGKRGPPAPTRCRMPAKGKGKARCDAYEEPIDVSDDDDTDPSNSDSHGLINDLPAKLSDLSRHFGERCTQMLDEAMAEIKDSFQATQEGILALLAKHNEKCGLVFSSLTEGMQALAAEHGEKCYSAVMDQAVQANPDRSNARLHGAIEGVDTDDMLAATMRRTASHGGAKTTRKRSKLSHEASAANRSQTPIDLRGPNKLANAARGHTEQQNPAADRANPLSVSRTAPIAHHHLLKSAAQATSAVHTNHRGLDQGDGATLDQNNNRAQDKYQGQSERCETQMTKEAGNHLRPSCMSSFSMRTVHEWWPNSILAGRLFRWLEESCDDDITGVWFKHDKPTPIEISAKEIKMQVIRGGIPHADLCSALIRLYQQLDAKMNTNPCGQRWRHFFLPQFAKALMFEPNFSSMKAVSDMFDQHISGYKIQNCQMLISPVQLASDTWACYVWDMEKRQMHILDPVLQHREVSDGRVAALRRDLFYKLLTMEGNSAELPTLTRPSQVKKGDRNGKGGASGRPLKDSAGGIGFRWCSGQCVKRLKWCGDPNIHSCDLIQALACEGCGDRMI >ONIVA05G27610.2 pep chromosome:AWHD00000000:5:26012716:26016853:-1 gene:ONIVA05G27610 transcript:ONIVA05G27610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTASHGGAKTTRKRSKLSHEASAANRSQTPIDLRGPNKLANAARGHTEQQNPAADRANPLSVSRTAPIAHHHLLKSAAQATSAVHTNHRGLDQGDGATLDQNNNRAQDKYQGQSERCETQMTKEAGNHLRPSCMSSFSMRTVHEWWPNSILAGRLFRWLEESCDDDITGVWFKHDKPTPIEISAKEIKMQVIRGGIPHADLCSALIRLYQQLDAKMNTNPCGQRWRHFFLPQFAKALMFEPNFSSMKAVSDMFDQHISGYKIQNCQMLISPVQLASDTWACYVWDMEKRQMHILDPVLQHREVSDGRVAALRRDLFYKLLTMEGNSAELPTLTRPSQVKKGDRNGKGGASGRPLKDSAGGIGFRWCSGQCVKRLKWCGDPNIHSCDLIQALACEGCGDRMI >ONIVA05G27610.3 pep chromosome:AWHD00000000:5:26016862:26018496:-1 gene:ONIVA05G27610 transcript:ONIVA05G27610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIFSRSSAGSPFHPSSPTPTDEEGSPSSSPPFPRRPTFPSSGKRGPPAPTRCRMPAKGKGKARCDAYEEPIDVSDDDDTDPSNSDSHGLINDLPAKLSDLSRHFGERCTQMLDEAMAEIKDSFQATQEGILALLAKHNEKCGLQIPIDPTLDYMAQSKVWILTIC >ONIVA05G27600.1 pep chromosome:AWHD00000000:5:26011859:26012137:1 gene:ONIVA05G27600 transcript:ONIVA05G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAADEASMAVVDGGPDLGDEGSRGGRDRVAPRINVVSRIRRERRPPDDDARGRDRQRRRWGKAASVEEGFSPFFPILAPPSSSPLHPLPL >ONIVA05G27590.1 pep chromosome:AWHD00000000:5:26008961:26010814:-1 gene:ONIVA05G27590 transcript:ONIVA05G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRAVGIAIATVFPAASSPPNLFCSRPTRPADADEPQEARSDTFEEPIYVQDDNTDPSNSDSHGLTTCLPSCPCRIWDVILPNDARSCWMRL >ONIVA05G27580.1 pep chromosome:AWHD00000000:5:26006440:26008922:-1 gene:ONIVA05G27580 transcript:ONIVA05G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALASKHSEEFTLVISDVMEAIQAEHDQMRMLPMCRQQQSEKLHDYTTTRKRSKLSRVASAANRSQAPVDLRGDLLGPSNSENEASEHIDQQSYQAVDHANPILGMAPTAHHRPLNSSAQVTAAVHHELDQGFQMQDKCGLEWLVSAAAVGEATMRASATLDQSNDDNLAVVTCQRQPEHCETQMTKEVACPRPACMSPFSMPILHEWPNAKLARRLYRWLELKSRDEDITGVWFKHDKPTPIEISAMKLKMQVTHGGNLCMDLCSAFIRLYQQLDAKMNTNPSGQRWRHFFPPQFALIAPVELAGGTWSCYIWDMEKRQMHILDPVLQQRETYNGSITALRKDLLYQLLTMEGNYAELPSHIARYLE >ONIVA05G27580.2 pep chromosome:AWHD00000000:5:26006440:26008922:-1 gene:ONIVA05G27580 transcript:ONIVA05G27580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALASKHSEEFTLVISDVMEAIQAEHDQMRMLPMCRQQQSEKLHDYTTTRKRSKLSRVASAANRSQAPVDLRGDLLGPSNSENEASEHIDQQSYQAVDHANPILGMAPTAHHRPLNSSAQVTAAVHHELDQGASATLDQSNDDNLAVVTCQRQPEHCETQMTKEVACPRPACMSPFSMPILHEWPNAKLARRLYRWLELKSRDEDITGVWFKHDKPTPIEISAMKLKMQVTHGGNLCMDLCSAFIRLYQQLDAKMNTNPSGQRWRHFFPPQFALIAPVELAGGTWSCYIWDMEKRQMHILDPVLQQRETYNGSITALRKDLLYQLLTMEGNYAELPSHIARYLE >ONIVA05G27570.1 pep chromosome:AWHD00000000:5:26004894:26005424:-1 gene:ONIVA05G27570 transcript:ONIVA05G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARQGGGRRRRRHGRDGGGSMKRRVGRRWFGEAEERQRRRLDDEEGRRSRQLGDGSGGSGVLRGGRERRQRRRTEEGSAGARSSGAGGRREEWWPPPPLGNYLRRRRRRWFSSCRADAAPPQPPPPVNFLVTKPQPPPPLICRQRRPSSSPSRRCPSAAAPPAAPCCRSSVAR >ONIVA05G27560.1 pep chromosome:AWHD00000000:5:25999435:26003677:1 gene:ONIVA05G27560 transcript:ONIVA05G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLSADPARCSFDQTLRREDFQDNRLLRSLVNIHEQETYSREIITEAIESCMKKQADNLVNTLDVISGRLSQLELYCYKLERSIGELRSDVMDYHGEANINFRCLEKHVKEVQNSVQVLQDKQELAETQKELTKLQILHEESAQKSEGTAPSVLMTKEIDGSMPVAKHELALVPLHQVNAAQSPAMQFQSCNGLVLQQLVPVSLSTQQDQQHMNQATMYCMQTQAHVEQRQAQPFQPALQPVQRHTQNTPQTVVEAQQVTSQAPDFYIQPQQQWAHQTGQQVHQARQPQPQVVQQQHYNNIQQVPAQIVQMQTSSPQAQSAPHVTLLYPPYGSQQPACANSEPRSRSMAMQPSYSTISSSQRNHHEVAPVYVQSNTISVPLAEHSVQSPQPPQLQSLGNGSFKPSKVSLHGVASYTVQGNAQAYNTAYGNPSNNAATVVAVLPQQAQSSAPMVLHHLGPQSLQNHPIDMVEKVARMGYFKDQAESMALRMATAGQNVEFKHLA >ONIVA05G27550.1 pep chromosome:AWHD00000000:5:25991887:25994711:-1 gene:ONIVA05G27550 transcript:ONIVA05G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MPICPPSSESSPAPGLGGRALIFHGLALGAAAAAAAAAAYLYRRPGGFRGRAVGIIPARFASSRFEGKPLAPILGKPMIQRTWERVMLASSLDHVGSERCCEALQKLNKHYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAVTALKPEDASDTNRVKCVLDNQGYAIYFSRGLIPFNKSGKVNPQFPYLLHLGIAGFDSKFLKIYPELPPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDVEKIEALMHARNIQ >ONIVA05G27540.1 pep chromosome:AWHD00000000:5:25990854:25991081:1 gene:ONIVA05G27540 transcript:ONIVA05G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVVQVWCLIVLAMIVVFAATPAMAARDGRRLHPPAPAARGGGAWNRVSVTAEIVGGGGKWEVPGGPDPQHHH >ONIVA05G27530.1 pep chromosome:AWHD00000000:5:25980361:25981166:-1 gene:ONIVA05G27530 transcript:ONIVA05G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGTWFSPFVSRVKFVFHLKGLSYENIEEDLKNKSELLLKSNPAIKKVPVLFHNGKPLCESMIIVEYIDETFAGVGPSVVPTDAYERAVARFWVSYIDNKLVAPWFQVFRSKSMEEKAEGLKQIFVAVMVLEEAFKECSKGRPFFGGDNAGIVDIALGSQLGWVRASQALSGIKLFDPAKTPLLAAWAERFLALDAAKASMPEFGRLIEYAKMRQAESDAANAAAN >ONIVA05G27520.1 pep chromosome:AWHD00000000:5:25973737:25974508:-1 gene:ONIVA05G27520 transcript:ONIVA05G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNNHELKLLGTWPSPFVVRVRLALGLKGLSYEYVEQDIRDKSELLVVSNPVHKKVPVLIHGGKPVCESQIIVQYIDEAFPGAGASLLPSDPHERAVARFWATYIDDEFATKFRAMGEAKEEEEKDEAAAQVFAALETLEEAMKGKVFFGGDSAGYVDVALGGFLGWIKAAEALAGVAFLDGARTPLLAAWAARFSALEAAKEAIPSVERLREFHVAMHAAAATVAGN >ONIVA05G27510.1 pep chromosome:AWHD00000000:5:25970748:25972562:1 gene:ONIVA05G27510 transcript:ONIVA05G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDDDVKVLGLVMSPFAIRVCIALKLKGVSYEYIEEDLANKSELLLSSNPVHKKIPVLIHGGKPVSESLVIVQYVDEAWAPSPTSPSILPADPYDRAVARFWAAYVDDKMVPGMVGVLRAATEEERAAKADETLAAMAQLEKAFAEVAAKNGKPFFGGDTVGYVDLALGCNLHFLEAIRRLHGVALVDAGKTPLLAAWAERFVEVEAAKGVVPDADDAVEFARKVQARVAAAAASTAAK >ONIVA05G27500.1 pep chromosome:AWHD00000000:5:25967882:25969017:1 gene:ONIVA05G27500 transcript:ONIVA05G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDDDVKVLGVVVSPFAIRVRIALNIKGVSYEYVEEDIFNKSELLLTSNPVHKKVPVLIHGGKPISESLVIVQYVDEVWAAAPSVLPADPYDRAVARFWAAYVDNNVSTVLYTVLAMAMSPKLFDEQLLMFPGMAGVLFAATEEERAAKAEETLAALAQLEKAFAECAGGKAFFGGDSIGYVDLALGSNLHWFEALRRLFGVALLDAGKTPLLAAWAKRFVEAEAAKGVVPDAGVAVELGKKLQARAAAASTAA >ONIVA05G27490.1 pep chromosome:AWHD00000000:5:25955823:25956554:-1 gene:ONIVA05G27490 transcript:ONIVA05G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELKLLGSLSGVSPYVIRAQMALAVKGLAHDYLPEDLTRKSKLLLDSNPVHKSVPVLIHNGKPVCDSLVIVEYVDEAFPGGAAALLPADPYHRAVARFWAAFIDSKVFPPCLAILKTAAAEAEEEKAAKVKETVEALQLVEGAFGECSKRKPFFGGDAVGYLDVVLGCYLCWFEGVSEIAGGVSPPLLDASRTPQLAAWAARFRSAADAVGCSVPRVDKVEAYLNNVLKPKWSAAAAASSH >ONIVA05G27480.1 pep chromosome:AWHD00000000:5:25953994:25954538:-1 gene:ONIVA05G27480 transcript:ONIVA05G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAGGGDELKLLGVWDSPYVNRVQIVLNLKGLSYEYVEEDLMNKSDLLLGSNPVDKKVPVLIHNGKPIAESRVIVEYLDEAFAAGAGGSTGASVLPSDPYERAVARFWAAYVDDKRPCHVEISIPDLSSNSNPNPNPSKLNSSAEV >ONIVA05G27470.1 pep chromosome:AWHD00000000:5:25952065:25952689:1 gene:ONIVA05G27470 transcript:ONIVA05G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVDTAAFCTLLCLLLTLVVFKLKTATSSRHNAGVNLPPGPWALPVIGSIHCLLGSLPHHAMRELSRRYGPVMLLRLGHVQTLVLSSPEAAREVMKTHDAAFATRAVTPTASILTYGARDIVFAPFSKHLRELRKLCTLELLSPRRVRSFRHVRDEEAARLARSVAAAAPAVVNVSELVKIMANNIIMTAIIGDTGMSISRL >ONIVA05G27460.1 pep chromosome:AWHD00000000:5:25944456:25945299:-1 gene:ONIVA05G27460 transcript:ONIVA05G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDELKLLGMWTSPFTLRVKLALSFKGLSYEYAEEDLSNKSELLLSSNPVHKKVPVLIHNGKPICESQLLKSWLQASMGKTEEEKAEALKETFAAVANLEAAFEGCSKGKPFFGGDAVGYLDVTLGALVSWVHAGEALYGMRLFDATRSPLLDAWVDRFAALDAAKAALPDAGRLAEYAKKRQAERAAGEAANN >ONIVA05G27450.1 pep chromosome:AWHD00000000:5:25943388:25943737:-1 gene:ONIVA05G27450 transcript:ONIVA05G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAIDAVAGERGPAALEAKALLQASDVWPMANEVDVMGTSDFDWVENSSWRASLFSLAWQRSCL >ONIVA05G27440.1 pep chromosome:AWHD00000000:5:25936274:25937221:-1 gene:ONIVA05G27440 transcript:ONIVA05G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDELMLLGKWPSPFVTRVELALGLKGLSYEYVKQDLVNKSELLLASNPVHKKIPVLIHNGKPVCESSIIVQYIDEAFPDAGAGAALLPADPYERAVARFWVAYVDDKFVPAWVATFRGKTEEEKAEGMKQLLAAVETLEGALKDCSKGKPFFGGDTVGIVDVALGGLISWVKATEVLAGSKIFDEEKAPLLAAWAQRFGELDVAEKVLPDVDGVVEFAKMRLAEAAAAAAAASKN >ONIVA05G27430.1 pep chromosome:AWHD00000000:5:25933240:25934038:-1 gene:ONIVA05G27430 transcript:ONIVA05G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPFALRAKLALSFKGLSYEYFEEDLKNKSELLLSSNPVHKKVPVLIHNGKPVCESQVIVQYIDEAFPDAGVPLLPSDPYDRAVARFWAAYIDDKLLKSWLQASMCKTEQEKAAAMKETFAAVANLEAAFKECSKGKPFFGGDAVGYVDVTLGAVIGFVRVGEALHGMRLFDASRSPLLDAWLDRFAALDAAKAVLPDTGRLAEYAKMKQAEWAAAATN >ONIVA05G27420.1 pep chromosome:AWHD00000000:5:25918788:25919574:-1 gene:ONIVA05G27420 transcript:ONIVA05G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGDDQLKLLGLWVSPYTHRVKLALSFKGLSYEYVEEDLSNKSELLLSTNPVHKKVPVLIHNGKPICESQVIVQYLDEEFPNSGVSLLPSDSYDRAIARFWAAYINDKLMPSWLQSSMGKTEEERAEALKQTLEAVANLETAFKECSKGKPFFGGDTVGYLDVSLGAMIGWMRAGEALHGRRTFDATRSPLLNAWMERFAALDAAKAAMPDNNKLVEFVRVRRAAAANN >ONIVA05G27410.1 pep chromosome:AWHD00000000:5:25915498:25916314:-1 gene:ONIVA05G27410 transcript:ONIVA05G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGDELKLLGLWASPYVLRAKFALSFKGLSYENVEEDLHNKSELLLSSNPVHKKVPVLIHNGKPICESQIIVEYVDEAFPDAGESLLPSDPYDRAVARFWAAYINDKFMPAWQKASLGLTEEEKAEAVKQMLAAIENLETAFKELSKGKPFFGGDTAGYLDVTLGAVVGWARAGEVLFGRKLFDATRSPLLAAWMERFVALDAVKAVLPDNAELIEYGKMRMAHYAKLAAALAAANKK >ONIVA05G27400.1 pep chromosome:AWHD00000000:5:25912737:25913541:-1 gene:ONIVA05G27400 transcript:ONIVA05G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRDELKLLGMWASPYVSRAKLALQLKGVSYEYIEEDLGNKSDLFLRSNPVHKTVPVLIHNGNPICESSIIVQYIDESFPSSAASLLPADPYDRAVARFWAAYIDDKLAAPWRMVYRVKTEEERDELMKQTLAAVDVLEGGLKECSKGKGCFFGGDSVGYVDVVLGGLVSWVHASDKLSGAKLFDAAKAPLLAAWLGRFGELDAAKAVLQDVDKVVEYAKKFQPRDSGTAADRQAVN >ONIVA05G27390.1 pep chromosome:AWHD00000000:5:25910270:25911100:-1 gene:ONIVA05G27390 transcript:ONIVA05G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGELKLLGHWASSYVTRVKLALHLKGVSYEYVEEDLRNKSDLLLASNPVHKTVPVLIHNGNPIRESQIIVQYIDEAFSGAGDSLLPADPHERAVARFWAAYIEDKLVAPWEKVFRAKTEEERAAWMKQMFVAVEALEGGLKECSKGKGCFFGGDSVGYVDVVLGGGVSFVHANDVITGGKLFDAAKTPLLAEWLGRFGELDAAKAVLQDVDRAVEYTKVLYARNAATTAANN >ONIVA05G27380.1 pep chromosome:AWHD00000000:5:25908196:25909043:-1 gene:ONIVA05G27380 transcript:ONIVA05G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHDELKLLGHWSSAYVTRVKLALHLKGVSYEYIEEDLRNKSDLLLASNPVHKTVPVLIHNGNPIRESQIIVQYIDEVFSGAGDSILPADPYERAVARFWAAYIDDKLLAPWKKVFRAKTEEERAAWMKQMFVAVDVLEGGLKECSKGKGCFFGGDSVGYVDVVLGGAVSFVHANDMITGGKLFDAARTPLLAAWLERFGELDAAKAVLQDVDRAVEHTKVRYARNAATAAND >ONIVA05G27370.1 pep chromosome:AWHD00000000:5:25898110:25905195:-1 gene:ONIVA05G27370 transcript:ONIVA05G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGELKLLGTWASPYVSRVKLALHLKGLSYEYVVEEDHFNNKSELLLSSNQVHKKVPVMIHNGKPICESLIIMEYLDEAFPDTAAPLLPADPTAPSLASGPPTLTTSGKTGEEKAEGMRHMLAAVDALEAAMEEWSKGKPFFGGDAVGFLDVALGGLLSWLHGTEELCGAKILDAAKTPLLSAWARRFGEMDAAKVALPDVCKLVEFAKMRRVQLEAAMAATTEMAAEDELKLLGFWASPYVCRVKLALHLKGLIYDYVEEDVFTNKSELLLSCNPVHAKVPVLIHNGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRAAARFWAAYIDDKMAGGGDELKLLATWFSPFASRVKFVFHLKGLSYENIEEDLKNKSDLLLKSNPVIKKVPVLLHNGKPLCESMVIVEYLDETFAAVGPSVVPADPYERAVARFWVSYIDNKLVAPWFQVFRGKTKEEKAEGLKQMFEATAVMEVAFRECSKGRPFFGGDAVGIVDVALGSQLGWLRASETLSGIKLFDPAKTPLLLAWAERFLALDAAKASMPESGRLLAYAKMRQAETDAANASK >ONIVA05G27370.2 pep chromosome:AWHD00000000:5:25898110:25905195:-1 gene:ONIVA05G27370 transcript:ONIVA05G27370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGELKLLGTWASPYVSRVKLALHLKGLSYEYVVEEDHFNNKSELLLSSNQVHKKVPVLIHNGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRAAARFWAAYIDDKLVAPWFQVFRGKTKEEKAEGLKQMFEATAVMEVAFRECSKGRPFFGGDAVGIVDVALGSQLGWLRASETLSGIKLFDPAKTPLLLAWAERFLALDAAKASMPESGRLLAYAKMRQAETDAANASK >ONIVA05G27360.1 pep chromosome:AWHD00000000:5:25882193:25885423:1 gene:ONIVA05G27360 transcript:ONIVA05G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGRVVVVVSGVLVLLLAISICGRAAACAEAERAALLSFLAEASPPAGDAIVADWRGSPDCCRWDGVGCGGAGDGDGAVTRLSLPGRGFNGTISPSIGNLTGLTHLNLSGNSLAGQFPEVLFSLPNVTVVDVSYNCLSGELPSVATGAAARGGLSLEVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFHGSIPSLCVSCPALAVLDLSVNVLSGVISPGFGNCSQLRVLSAGRNNLTGELPGELFDVKPLQHLQLPANQIEGRLDQDSLAKLTNLVTLDLSYNLFTGELPESISKMPKLEKLRLANNNLTGTLPSALSNWTSLRFIDLRSNSFVGNLTDVDFSGLPNLTVFDVASNNFTGTMPPSIYSCTAMKALRVSRNVMGGQVSPEIGNLKQLEFFSLTINSFVNISGMFWNLKGCTSLTALLVSYNFYGEALPDAGWVGDHVRSVRVIVMQNCALTGAIPSWLSKLQDLNILNLSGNRLTGPIPSWLGAMPKLYYVDLSGNQLSGVIPPSLMEMRLLTSEQAMAEFNPGHLILMFSLNPDNGAANRQGRGYYQLSGVAATLNFGENGITGTISPEVGKLKTLQVFDVSYNNLSGGIPPELTGLDRLQVLDLRWNRLTGTIPSALNKLNFLAVFNVAHNDLEGPIPTGGQFDAFPPKNFMGNPKLCGRAISVPCGNMIGATRDDDPDKHVGKRVLIAIVLGVCIGLVALVVFLGCVVITVRKVMSNGAVRDGGKGVEVSLFDSMSELYGDCSKDTILFMSEAAGEAAKRLTFVDILKATNNFSQERIIGSGGYGLVFLAELEDGARLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLLYPYMANGSLHDWLHERRAGGAGAAPQLLDWRARLNVARGASRGVLYIHEQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWVATRRGDVYSFGVVLLELLTGRRPVEAASPPHGQQRELVRWVLQMRLQGRQAEVLDTRLSGGNEAQMLYVLDLACLCVDSTPFSRPAIQEVVSWLDNVDTIGRS >ONIVA05G27350.1 pep chromosome:AWHD00000000:5:25880729:25882167:1 gene:ONIVA05G27350 transcript:ONIVA05G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLLSEKKPATLDLKLLDLPVYRTPLCQYCLISTNFSSNKSAASQACKAATLDNLSPDSTANSPIKSAGMEFDFRHAR >ONIVA05G27340.1 pep chromosome:AWHD00000000:5:25878308:25879592:1 gene:ONIVA05G27340 transcript:ONIVA05G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLTEQSSLDFVAQLSPEHNGSLNMSWALGTDCCSWEGINCRGENTVRDISLPSKGLAGSISPSLSNLAKLLHLNLSHNSLSGGLPMDSLLFSSIAVLDVSFNHLDGPLQELQSSNPSLSLPL >ONIVA05G27340.2 pep chromosome:AWHD00000000:5:25879596:25880453:1 gene:ONIVA05G27340 transcript:ONIVA05G27340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNISSNFFHRTISISSMEMMKNLVALNASNNSFTGQIPASICISTPSFAVLDLWSFGATNSDAEFPQNGKIPNSIGQLNKKNSIGQLRRLEELHLDNNNMVGELPSALGNRTNLRFITLRGNRFTGDLGKVHSTMLDLKIPNFSMNNFTVTIHESIYSSSNLIALWLAFNRFHGHISQRIGDLNYPKVPLLPFNYQQLFYQYHKYTSDAQKHAITSPIC >ONIVA05G27330.1 pep chromosome:AWHD00000000:5:25875072:25878260:1 gene:ONIVA05G27330 transcript:ONIVA05G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHQVVVTGVLVLVLAASVSDRAAACVEAEREALLSFLAEAAPDCCTWDGVGCGDDGEITRLSLPGRGLGGTISPSIGNLTALVYLNLSGNDLSGPFPDVLFFLPNVTIVDVSYNCISDELPDMLPPPAADIVQGGLSLQVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFRGTIPSLCVSCPALAVLDLSVNMLTGAISPGFGNCSQLRVLSAGRNNLTGELPGDIFDVKSLQHLHLPSNQIEGRLDHPECIAKLTNLVTLDLSYNLLAGELPESISQITKLEELRLIHNNLTGKLPPALSNWTSLRCIDLRSNRFTGDLTGIDFSGLDNLTIFDVDSNNFTGTIPRSIYSCTAMKALRVSHNLIGGQVAPEISNLKELQFLSLTINSFVNISGMFWNLKGCTSLTALLVSYNFYGEALPDARWVGDHIKSVRVIVMENCALTGTIPSWLSKLQDLNILNLSGNRLTGPIPSWLGGMSKLYYLDLSGNLLSGEIPPSLKEIRLLTSEQAMAEFNPGHLPLMFSVKPDRRAADRQGRGYYQLSGVAATLNLSDNGITGTISPEVGKLKTLQVLDVSYNNLSGGIPPELSNLTKLQILDLRWNHLTGTIPPSLNELNFLAIFNVAYNDLEGPIPTGGQFDAFPPRSFKGNPKLCGLVISVPCSNKFEARYHTSSKVVGKKVLIAIVLGVSFGLVILIVSLGCLVIAVRRVMSNGAVHDGGRGVGASLFDSMSSELYNDNDSSKDTIFFMSEVAGEAAKAVTFVDVLKATNNFSPANIIGSGGYGLVFLAEMEDGARLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLIYPYMANGSLEDWLHERHAGGGAPQQLDWRARLNIARGASRGVLHIHERCKPHIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGRRPVETLPPPQGQQWELVRWVMQMRSQGRHAEVLDPRLRGNGDEAQMLNMLDLACLCVDSTPFSRPEIQDVVRWLDNVDTIGRADV >ONIVA05G27320.1 pep chromosome:AWHD00000000:5:25869115:25871361:1 gene:ONIVA05G27320 transcript:ONIVA05G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKYKFLRQAGRLVGEEVAGARRERRRSGGRRRCDAGATAQPSSKRLLQWPKLTAKIDCLDTDAHVHARHMRPRGRALAWRWRGGCLLLDEGEHAADGLAMVCITASSCSLPKPNVWTGSRWSEMEAARGGAVRGRQGRSGARARGRGEELCVGGERLGRSSDAPPTMTPLLRRDRIMFIEAEYDDNSASTVDICRLTGNNDEHHAEAFKRLIPPHA >ONIVA05G27310.1 pep chromosome:AWHD00000000:5:25851731:25852407:-1 gene:ONIVA05G27310 transcript:ONIVA05G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWLNPAQSVGPRGLSARLALIGPGDRAPAAAPDSGAGAHLEGLFGRRNKTSNSDHLFIDSAVG >ONIVA05G27300.1 pep chromosome:AWHD00000000:5:25851451:25869068:1 gene:ONIVA05G27300 transcript:ONIVA05G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEMSSRVVVVSGVLVLVLVVVSVCGRAAACVEAEREALLSFLAAAAPPAGDGIAAQWRGSPDCCAWDGVGCGVDGAVTRLRLPGRGLGGTISPSIANLTALTYLNLSGNSLSGRFPDLLFALPNATVVDALPDAGWVGDHVRSVRLMVMQNCALTGVIPSWLSKLQDLNVLDLSGNRLTGPIPSWLGAMPKLYYVDLSGNQLSGVVGKKALVAIVLGVCVGLVALVVFLGCVVIAFRRVVSNGAVRDGGKCVESTLFDSMSEMYGDSSKDTLLFMSEAAGEAASGVTFVDILKATNNFSAGNIIGSGGYGLVFLAELQDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLIYPVIIAIVLGVCFGLVALVVFLGCVVITVRKLMSNAAVRDGGKGVDVSLFDSMSELYGDCSKDMILFMSEAAGETAKSLTFLDILKATNNFSPERIIGSGGYGLVFLAELEDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFYIRGQLRLLIYPKENTPRCSHPAKTKNVSLYIYTTVNSPIRSTVLDLDSDARRSELVIMPRRELEMSCHVVVSGVLVLVLAATICGCAAACVEVERKALLSFLADAASRAGDGIVGEWQRSPDCCTWDGVGCGGDGEVTRLSLPGRGLGGTISPSIGNLTALVYLNLSSNSLSGPFPDVLFFLPNVTVVDVSNNCLSGELPSVATGATARGGLSLEVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFHGTIPSLCVSCPALAVLDLSVNVLSGVISPGFGNCSQLRVFSAGRNNLTGELPGDLFDVKALQHLELPLNQIEGQLDHESIAKLTNLVTLDLGYNLLTGGLPESISKMPKLEELRLANNNLTGTLPSALSNWTSLRFIDLRSNSFVGDLTVVDFSGLANLTVFDVASNNFTGTIPPSIYTCTAMKALRVSRNVMGGQVSPEIGNLKELELFSLTFNSFVNISGMFWNLKSCTNLTALLLSYNFYGEALPDAGWVGDHIRKVRVIVLEKSALTGAIPSWLSKLQDLNILNLSGNRLTGPIPSWLGAMPKLYYVDLSGNLLSGVIPPSLMEMRLLTSEQAMAEYNPGHLILTFALNPDNGEANRHGRGYYQLSGVAVTLNFSENAITGTISPEVGKLKTLQMLDVSYNNLSGDIPTELTSLARLQVLDLSWNLLTGTIPSALNKLNFLAVFNVAHNDLEGPIPTGGQFDAFPPKSFMGNAKLCGRAISVPCGNMNGATRGNDPIKHVGKRVIIAIVLGVCFGLVALVVFLGCVVITVRKLMSNAAVRDGGKGVDVSLFDSMSELYGDCSKDMILFMSEAAGETAKSLTFLDILKATNNFSPERIIGSGGYGLVFLAELEDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFYIRGQLRLLIYPYMANGSLHDWLHESHAGDGAPQQLDWRARLRIARGASRGVLYIHDQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTLGYIPPEYGQAWVATRRGDVYSFGVVLLELLTGRRPFEVLRHGQQLELVQWVLQMRSQGRHGEVLDQRLRGNGDEAQMLYVLDLACLCVDSTPLSRPVIQDIVSWLDNVQFIG >ONIVA05G27300.2 pep chromosome:AWHD00000000:5:25851451:25869070:1 gene:ONIVA05G27300 transcript:ONIVA05G27300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSGEGRRTAARGTAWGAASTARSRVCGCRDAGSAARSRRRSPTSPRSRISTCLATASPAGSPTCCSRCPMLRLSTKENTPRCSHPAKTKNVSLYIYTTVNSPIRSTVLDLDSDARRSELVIMPRRELEMSCHVVVSGVLVLVLAATICGCAAACVEVERKALLSFLADAASRAGDGIVGEWQRSPDCCTWDGVGCGGDGEVTRLSLPGRGLGGTISPSIGNLTALVYLNLSSNSLSGPFPDVLFFLPNVTVVDVSNNCLSGELPSVATGATARGGLSLEVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFHGTIPSLCVSCPALAVLDLSVNVLSGVISPGFGNCSQLRVFSAGRNNLTGELPGDLFDVKALQHLELPLNQIEGQLDHESIAKLTNLVTLDLGYNLLTGGLPESISKMPKLEELRLANNNLTGTLPSALSNWTSLRFIDLRSNSFVGDLTVVDFSGLANLTVFDVASNNFTGTIPPSIYTCTAMKALRVSRNVMGGQVSPEIGNLKELELFSLTFNSFVNISGMFWNLKSCTNLTALLLSYNFYGEALPDAGWVGDHIRKVRVIVLEKSALTGAIPSWLSKLQDLNILNLSGNRLTGPIPSWLGAMPKLYYVDLSGNLLSGVIPPSLMEMRLLTSEQAMAEYNPGHLILTFALNPDNGEANRHGRGYYQLSGVAVTLNFSENAITGTISPEVGKLKTLQMLDVSYNNLSGDIPTELTSLARLQVLDLSWNLLTGTIPSALNKLNFLAVFNVAHNDLEGPIPTGGQFDAFPPKSFMGNAKLCGRAISVPCGNMNGATRGNDPIKHVGKRVIIAIVLGVCFGLVALVVFLGCVVITVRKLMSNAAVRDGGKGVDVSLFDSMSELYGDCSKDMILFMSEAAGETAKSLTFLDILKATNNFSPERIIGSGGYGLVFLAELEDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFYIRGQLRLLIYPYMANGSLHDWLHESHAGDGAPQQLDWRARLRIARGASRGVLYIHDQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTLGYIPPEYGQAWVATRRGDVYSFGVVLLELLTGRRPFEVLRHGQQLELVQWVLQMRSQGRHGEVLDQRLRGNGDEAQMLYVLDLACLCVDSTPLSRPVIQDIVSWLDNVQFIG >ONIVA05G27300.3 pep chromosome:AWHD00000000:5:25848052:25852105:1 gene:ONIVA05G27300 transcript:ONIVA05G27300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPCAASPTRENVSSDLPCGMTGERGDLLARLAGGRGVLFDGVTARVVARQHLPARRKIHKSAALFFGLAVVVLLSFTSPTSSCTEQEESSLIGFLDGLLQGGNGSLCMSWVKRTDCCKWEGITCSSDGTVTDVLLAAKGLQGHISPLLGNLTGLFHLNLSHNLLNGNLPMELLFSRSIIVLDVSFNRLDGSLPELQSSSGGFPLQVLNISSNLFTGQFSSKLWEAMKNIVALNASNNSFTGQIPSSICINSPSLAILDLSYNQFSGSIPPELGNCSKLREFKAGYNNFNGALPEELFSATSLEHLSLPSNDLQGVLDGSDILKLVKLTVLDLGSTGLSGNIPDSIGQLSTLEELRLDNNNMSGELPSAVGNCTNLRYLSLRNNKFVGDLSKVNFTRLNLRIADFSINNFTGTVPESIYSCSNLIALRLAFNKFHGQLSPRMGNLKSMSFFSIADNHLTNITNALQILKSCKNLTAVLIGTNFKGETISKSETIDGFENLRVLTIDSCGLVGQIPTWISKLKKLEVLDLSNNMLSGKIPFWISDLPVLFYLDITNNSLTGDIPTALMNTPMLQLGKNAAQLDPNFLELPVYWTRSRQYRLLNAFPNALNLGNNGFTGVIPPEIGRLKMLDGFNISFNRLSGEIPQQICNLTNLQLLDLSSNQLTGELPSALTDMHFLSKFNVSNNELEGPVPTGGQFDTFLNSSYSGNSKLCGAVLSNLCSPTTRKENFTSQRRNLRCALVTGITLGALVALALLACFLIGRLVYDDHTESLTHLQMRYRR >ONIVA05G27290.1 pep chromosome:AWHD00000000:5:25842063:25847053:1 gene:ONIVA05G27290 transcript:ONIVA05G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWCVRAEDALAAAAAVAVASDKMRSVTLGGSIQRVMRRIGGGGGGGGRRSAGSRGAPQRAECLGAGSGDASASCSGDDSSNGTAKRDGSRRVRMRRYRSELEQEVKKLQRQLEEEIDLQLALTDAITNNATLILEPSAKLPNKAQELIISIASLENIVSKLEKDLNDLCYQLCHLRDNTRLAENNSRYLETLAEENNSRCLLSASLQSQPPSTCKCTGEEGISTLRDTKLGESESMQENLFPGLEDQQNIQKESEGREILSQDGLLEEHQDVPSNRLLEKHWDEEMQESYPMENGGREYQIIDALSFDQSYQRKSSINSNVWNGNPNKLSEEMVRCMRDIFLRLSDSSSEISPKGSSVNSISSTERLSGCTLTSVSDSSLMASVMQSPSVDSNHDSIDEVRYFDPYNVNGKEVRRDIGNYCSVAEVSWMYVGKEQLAYASEALKNFRNLVEQLSKVDPTCMNCDERLAFWINLYNTLIMHAYLAYGVPENDIKLFSLMQKACYIVGGQSFSAAEIEFVILKMKTPIHRPQLSLMLALHKFRVTEEHKKYSIDDAEPLVLFGLSCGMFSSPAVRIFSAGNVRQELQESMRDYIRASVGINDSGKLIVPKLLQSYAKGTVEDSLLADWICRHLTPNQVAAVQDTSSSRKQRLLGVRSFSVVPFDSKFRYLFLPDNSSSQH >ONIVA05G27280.1 pep chromosome:AWHD00000000:5:25834065:25837485:-1 gene:ONIVA05G27280 transcript:ONIVA05G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWGALGQASSVAQLHVELVGGLLRELELAELMRREATRRPLERLQGALRRCYALVRACQEDCGYLHRLLLGARMADELRAAQHEIDMYIRLIPLISLVDSSNNNRRVTATEGVPSVVPSSSDHHTRFPRRVLAFTEIHVQGATKPREIGGKSLEVTVDKQEQKIMDMEEIMKLCIQTEENYPGFRKFGFFQLVDATDGFSENRNVGIGGFGTVYKGQLLDGHTVAIKRFVVDAAIFDFKSELQLVRLQHTNLIRLLGWCIHEKEKILVYEFMQKGSLDNIIFHKRKGALLNWSKRLQIIKGLAEGLLYLHKHCLIVHRDLKPNNILLDHDMNPKIADFGSAVTLVSDVAEERTRRVMGTSGYIAPEYASEGRYSLKTDVFSFGVVVLEIISGRKNFIMEKQGDTVGNLIRDAWHMWRDGRLHELVDPALCDEYESPVIMRCTQVALLCAQEDPADRPTMTDVTRVLNSESILLSDPKEPTELTDGGASIDRPSTYIGQSSKTIDITITSSAPVSTRVRIIVDPEI >ONIVA05G27280.2 pep chromosome:AWHD00000000:5:25834065:25837485:-1 gene:ONIVA05G27280 transcript:ONIVA05G27280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWGALGQASSVAQLVGVDALGLVSMVVQAALAARRHRDACRRLGQHVELVGGLLRELELAELMRREATRRPLERLQGALRRCYALVRACQEDCGYLHRLLLGARMADELRAAQHEIDMYIRLIPLISLVDSSNNNRRVTATEGVPSVVPSSSDHHTRFPRRVLAFTEIHVQGATKPREIGGKSLEVTVDKQEQKIMDMEEIMKLCIQTEENYPGFRKFGFFQLVDATDGFSENRNVGIGGFGTVYKGQLLDGHTVAIKRFVVDAAIFDFKSELQLVRLQHTNLIRLLGWCIHEKEKILVYEFMQKGSLDNIIFHKRKGALLNWSKRLQIIKGLAEGLLYLHKHCLIVHRDLKPNNILLDHDMNPKIADFGSAVTLVSDVAEERTRRVMGTSGYIAPEYASEGRYSLKTDVFSFGVVVLEIISGRKNFIMEKQGDTVGNLIRDAWHMWRDGRLHELVDPALCDEYESPVIMRCTQVALLCAQEDPADRPTMTDVTRVLNSESILLSDPKEPTELTDGGASIDRPSTYIGQSSKTIDITITSSAPVSTRVRIIVDPEI >ONIVA05G27280.3 pep chromosome:AWHD00000000:5:25834065:25837485:-1 gene:ONIVA05G27280 transcript:ONIVA05G27280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWGALGQASSVAQLVGVDALGLVSMVVQAALAARRHRDACRRLGQHVELVGGLLRELELAELMRREATRRPLERLQGALRRCYALVRACQEDCGYLHRLLLGARMADELRAAQHEIDMYIRLIPLISLVDSSNNNRRVTATEGVPSVVPITVDKQEQKIMDMEEIMKLCIQTEENYPGFRKFGFFQLVDATDGFSENRNVGIGGFGTVYKGQLLDGHTVAIKRFVVDAAIFDFKSELQLVRLQHTNLIRLLGWCIHEKEKILVYEFMQKGSLDNIIFHKRKGALLNWSKRLQIIKGLAEGLLYLHKHCLIVHRDLKPNNILLDHDMNPKIADFGSAVTLVSDVAEERTRRVMGTSGYIAPEYASEGRYSLKTDVFSFGVVVLEIISGRKNFIMEKQGDTVGNLIRDAWHMWRDGRLHELVDPALCDEYESPVIMRCTQVALLCAQEDPADRPTMTDVTRVLNSESILLSDPKEPTELTDGGASIDRPSTYIGQSSKTIDITITSSAPVSTRVRIIVDPEI >ONIVA05G27270.1 pep chromosome:AWHD00000000:5:25832391:25832689:1 gene:ONIVA05G27270 transcript:ONIVA05G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRLVATVMLDIVVSLSRVVHGDRVLLHQSTVVSTEVVREHEEGLALWLHSRAFLPRSKDDTRVQSYRSLVLVRLRRDSACTMAMVPEPDGRTG >ONIVA05G27260.1 pep chromosome:AWHD00000000:5:25814518:25831435:1 gene:ONIVA05G27260 transcript:ONIVA05G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKCWCSLNVNNLETCLHFGKWHGVAASWYSIEKPACQRNLEKIFCSRAGESEIKSAWNVKKELEKLETSLRSICAVLRDAECKQSTSHSLQEWLDNLKDAIYDIDDVLDDVSTEALEQEVRNSFIHRTRNMLTYPFKLSQKIKEVRKKLDEIAANRAQFGLTEHVIDVHTSGSSNRETHSFITEPEIIGRDEAKRKIVETICTATESNPLSVLPIVGLGGIGKTALAQLIYNDVQITETFQKKLWVCVSDVFDLKKILDDIMQSGTGKSNKHLNLEMLQSKVRGFLCEKRYLLVLDDMWNDKVNEWDELKCLLSSGGSGSVIIVTTRNMSVASIVKTLEPYDVAKLTDDKCMQVFMHYAFRGGGPHDPKLLEIGKSIVEKCCGIPLAAKTLGSLLCTSHDVGEWRRVMEDKIWNTEAKVYGLIPALKLSYDALPPHLRACFSCLSIFPKDHQLFYSTTVMLWMALGMLNTGKESKQMISAGSKCFHDLLGRSLFQDQIIVYDETIQSCKMHDLIHDLAQFVSENEHAVISCEKTAFSKRDFSGEHEFPKQLKKACKVRTFSSIYNYGTVSKPFLEVLFSTFTLLRVLIFSETHFEELPSSIRNLKHLRLVNLQTVHLAQCDQLEGLPRDVHQLVSLTYLNLMSKQKYLLKSGFCGWPSLTFLYLHHCVELTSLTEGFGSLAALRELRIFNCPKLASLPSAMKQLSALEIFALNNCNELDLMEPREALSGLGSLRALNLVGLPKLVGFSASFQSAASSLQYFCIDNCQGLEKLPGFIQSFTCLKKIVIRDCPELSRRCTAESGEDFHLIHHVLRIKIDNKIWEKNRDDASLTPSLTHRDSMAEAIISSFAISVLTKAASFGTDWAVNEIKSAWNVKKELGKLERSLRSICAVLRDAECKQSTSYALQEWLNNLKDAVYDIDDVLDDVATEALEQEIYKGFFNQASHMLAYPFKLSHKIKRVHDKLNEIADNRARFGLTEQPIDVQAPRNNKRETYPSISELDIIGRNEAEDEIVKIVLRAADSYTFSVLPIVGLGGIGKTALAKLVYTNAEIKSKFEKTLWVCVSDDYNKKKILEDIIKWDTGEICKDLGLVKRKVYELLKERKYFLVLDDLWNDRVTDWEELRSLLSIGNQGSVIIVTTRNTNVAAVVKTIEPYDVEKLPFDKCMEIFSRYAFKGDCEKDQQLLGIGMSIVQKCCGVPLAARTLGSLLSSCRDVEEWLRIMGDNLWNIKQDEDDILPILKLSYNALPSHLQACFSCLSVFRKGHFIYPDIVITFWMALGLIHTPNGKNQVHVGQRYFSELLGRSLFQEQDILCDDTVACKVHDLIHDLAISVSQREYAIVSWEKAAVSESVRHLVWDREDSSAVLKFPKQLRKACKARSFAIRDRMGTVSKSFLHDVFSNFKLLRALTFVSVDFEELPNSVGSLKHLRYLHMTFNRKIKSLPNSLCKLVNLQTLHLLCCNQLEELPTNVHQLVNLVYLNLTSKQISLFKSGFCGWSSLELLKLSYCSELTSLEEGFGSLTALRELEIWECPKLASLPSSMKQISATLRKLCIHSCEELDLMEPAEALSGLMSLHKLTLTELPKLMGFPESFKSAASSLRYVHIDACEGLEKLPSCIAEFSSLREVRIYNCPALSTRCGDVSGEDYHLICHVPEIYIDDILLSEANSCGTGFLAISVLAKAASFGTEWAVTEIKSAWNVKKELEKLERSLRSICAVLRDAECKQSTSHALQEWLDNLKDAVYDIDDVLDDVATEALEQEVQNELDEIAANKAQFGLTEHAIDVHTSRSNNRETHSFITEPEIIGRDEAKHKIVEMICTATESNPLSVFPIVGLGGIGKTALAQLIYNDAQITEKFQKKLWVCVSDVFDIKKILDDIIQSGTGESNKHLNLEILQSKRYLLVLDGMWSDKVNEWDELKSILSSGGSGSVIIVTTRNRSVASIVKTLEPYDVAELPDDKCMQVFMHYAFRDGGEQDPKLLEIGKSIVKKCRGVPLEAKTLGSLLCTSRDVGEWQRIMEDKLWNTEGEVCGIIPALKLSYDALPPHLRALFSSLSVFPKDYEMFVDLLVMFWMALGMLNTGKESKQVISAGRKCFHDLLGRSLFQDQIIVYDETIHSCKMHDLIHDLAQFVSENEHAAISCEKTAFSKRVKHLVWDRKNFSVELEFPKQLKKACKVRTFSSIDNYGTVSKSFLENLFSTFTLLRVLIFSDAHFEELPSSIRNLKHLRLTYLSLTSKQKYLLKSGFCGWPSLTFLYLHHCVELTSLTEGFGSLAALRELRIFNCPKLASLPSAMKQLSSLEKLVLNNCNELDLMEPGEALSGLGSLRALNLVGLPKLIIGIRDCPELSRRCTAESGEDFHLIHHVLRIYIDNKIWEKAGRPYSDTPRVLKA >ONIVA05G27260.2 pep chromosome:AWHD00000000:5:25814518:25831435:1 gene:ONIVA05G27260 transcript:ONIVA05G27260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKCWCSLNVNNLETCLHFGKWHGVAASWYSIEKPACQRNLEKIFCSRAGESEIKSAWNVKKELEKLETSLRSICAVLRDAECKQSTSHSLQEWLDNLKDAIYDIDDVLDDVSTEALEQEVRNSFIHRTRNMLTYPFKLSQKIKEVRKKLDEIAANRAQFGLTEHVIDVHTSGSSNRETHSFITEPEIIGRDEAKRKIVETICTATESNPLSVLPIVGLGGIGKTALAQLIYNDVQITETFQKKLWVCVSDVFDLKKILDDIMQSGTGKSNKHLNLEMLQSKVRGFLCEKRYLLVLDDMWNDKVNEWDELKCLLSSGGSGSVIIVTTRNMSVASIVKTLEPYDVAKLTDDKCMQVFMHYAFRGGGPHDPKLLEIGKSIVEKCCGIPLAAKTLGSLLCTSHDVGEWRRVMEDKIWNTEAKVYGLIPALKLSYDALPPHLRACFSCLSIFPKDHQLFYSTTVMLWMALGMLNTGKESKQMISAGSKCFHDLLGRSLFQDQIIVYDETIQSCKMHDLIHDLAQFVSENEHAVISCEKTAFSKRDFSGEHEFPKQLKKACKVRTFSSIYNYGTVSKPFLEVLFSTFTLLRVLIFSETHFEELPSSIRNLKHLRLVNLQTVHLAQCDQLEGLPRDVHQLVSLTYLNLMSKQKYLLKSGFCGWPSLTFLYLHHCVELTSLTEGFGSLAALRELRIFNCPKLASLPSAMKQLSALEIFALNNCNELDLMEPREALSGLGSLRALNLVGLPKLVGFSASFQSAASSLQYFCIDNCQGLEKLPGFIQSFTCLKKIVIRDCPELSRRCTAESGEDFHLIHHVLRIKIDNKIWEKNRDDASLTPSLDSMAEAIISSFAISVLTKAASFGTDWAVNEIKSAWNVKKELGKLERSLRSICAVLRDAECKQSTSYALQEWLNNLKDAVYDIDDVLDDVATEALEQEIYKGFFNQASHMLAYPFKLSHKIKRVHDKLNEIADNRARFGLTEQPIDVQAPRNNKRETYPSISELDIIGRNEAEDEIVKIVLRAADSYTFSVLPIVGLGGIGKTALAKLVYTNAEIKSKFEKTLWVCVSDDYNKKKILEDIIKWDTGEICKDLGLVKRKVYELLKERKYFLVLDDLWNDRVTDWEELRSLLSIGNQGSVIIVTTRNTNVAAVVKTIEPYDVEKLPFDKCMEIFSRYAFKGDCEKDQQLLGIGMSIVQKCCGVPLAARTLGSLLSSCRDVEEWLRIMGDNLWNIKQDEDDILPILKLSYNALPSHLQACFSCLSVFRKGHFIYPDIVITFWMALGLIHTPNGKNQVHVGQRYFSELLGRSLFQEQDILCDDTVACKVHDLIHDLAISVSQREYAIVSWEKAAVSESVRHLVWDREDSSAVLKFPKQLRKACKARSFAIRDRMGTVSKSFLHDVFSNFKLLRALTFVSVDFEELPNSVGSLKHLRYLHMTFNRKIKSLPNSLCKLVNLQTLHLLCCNQLEELPTNVHQLVNLVYLNLTSKQISLFKSGFCGWSSLELLKLSYCSELTSLEEGFGSLTALRELEIWECPKLASLPSSMKQISATLRKLCIHSCEELDLMEPAEALSGLMSLHKLTLTELPKLMGFPESFKSAASSLRYVHIDACEGLEKLPSCIAEFSSLREVRIYNCPALSTRCGDVSGEDYHLICHVPEIYIDDILLSEVNISSLAISVLAKAASFGTEWAVTEIKSAWNVKKELEKLERSLRSICAVLRDAECKQSTSHALQEWLDNLKDAVYDIDDVLDDVATEALEQEVQNELDEIAANKAQFGLTEHAIDVHTSRSNNRETHSFITEPEIIGRDEAKHKIVEMICTATESNPLSVFPIVGLGGIGKTALAQLIYNDAQITEKFQKKLWVCVSDVFDIKKILDDIIQSGTGESNKHLNLEILQSKRYLLVLDGMWSDKVNEWDELKSILSSGGSGSVIIVTTRNRSVASIVKTLEPYDVAELPDDKCMQVFMHYAFRDGGEQDPKLLEIGKSIVKKCRGVPLEAKTLGSLLCTSRDVGEWQRIMEDKLWNTEGEVCGIIPALKLSYDALPPHLRALFSSLSVFPKDYEMFVDLLVMFWMALGMLNTGKESKQVISAGRKCFHDLLGRSLFQDQIIVYDETIHSCKMHDLIHDLAQFVSENEHAAISCEKTAFSKRVKHLVWDRKNFSVELEFPKQLKKACKVRTFSSIDNYGTVSKSFLENLFSTFTLLRVLIFSDAHFEELPSSIRNLKHLRLTYLSLTSKQKYLLKSGFCGWPSLTFLYLHHCVELTSLTEGFGSLAALRELRIFNCPKLASLPSAMKQLSSLEKLVLNNCNELDLMEPGEALSGLGSLRALNLVGLPKLIIGIRDCPELSRRCTAESGEDFHLIHHVLRIYIDNKIWEKAGRPYSDTPRVLKA >ONIVA05G27260.3 pep chromosome:AWHD00000000:5:25818356:25831742:1 gene:ONIVA05G27260 transcript:ONIVA05G27260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSFVLHPIVHIQTRLFLQVNISSLAISVLAKAASFGTEWAVTEIKSAWNVKKELEKLERSLRSICAVLRDAECKQSTSHALQEWLDNLKDAVYDIDDVLDDVATEALEQEVQNANKAQFGLTEHAIDVHTSRSNNRETHSFITEPEIIGRDEAKHKIVEMICTATESNPLSVFPIVGLGGIGKTALAQLIYNDAQITEKFQKKLWVCVSDVFDIKKILDDIIQSGTGESNKHLNLEILQSKRYLLVLDGMWSDKVNEWDELKSILSSGGSGSVIIVTTRNRSVASIVKTLEPYDVAELPDDKCMQVFMHYAFRDGGEQDPKLLEIGKSIVKKCRGVPLEAKTLGSLLCTSRDVGEWQRIMEDKLWNTEGEVCGMLNTGKESKQVISAGRKCFHDLLGRSLFQDQIIVYDETIHSCKMHDLIHDLAQFVSENEHAAISCEKTAFSKRVKHLVWDRKNFSVELEFPKQLKKACKVRTFSSIDNYGTVSKSFLENLFSTFTLLRVLIFSDAHFEELPSSIRNLKHLRLTYLSLTSKQKYLLKSGFCGWPSLTFLYLHHCVELTSLTEGFGSLAALRELRIFNCPKLASLPSAMKQLSSLEKLVLNNCNELDLMEPGEALSGLGSLRALNLVGLPKLIIGIRDCPELSRRCTAESGEDFHLIHHVLRIYIDNKIWEKNRT >ONIVA05G27260.4 pep chromosome:AWHD00000000:5:25814518:25818711:1 gene:ONIVA05G27260 transcript:ONIVA05G27260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKCWCSLNVNNLETCLHFGKWHGVAASWYSIEKPACQRNLEKIFCSRAGESEIKSAWNVKKELEKLETSLRSICAVLRDAECKQSTSHSLQEWLDNLKDAIYDIDDVLDDVSTEALEQEVRNSFIHRTRNMLTYPFKLSQKIKEVRKKLDEIAANRAQFGLTEHVIDVHTSGSSNRETHSFITEPEIIGRDEAKRKIVETICTATESNPLSVLPIVGLGGIGKTALAQLIYNDVQITETFQKKLWVCVSDVFDLKKILDDIMQSGTGKSNKHLNLEMLQSKVRGFLCEKRYLLVLDDMWNDKVNEWDELKCLLSSGGSGSVIIVTTRNMSVASIVKTLEPYDVAKLTDDKCMQVFMHYAFRGGGPHDPKLLEIGKSIVEKCCGIPLAAKTLGSLLCTSHDVGEWRRVMEDKIWNTEAKVYGLIPALKLSYDALPPHLRACFSCLSIFPKDHQLFYSTTVMLWMALGMLNTGKESKQMISAGSKCFHDLLGRSLFQDQIIVYDETIQSCKMHDLIHDLAQFVSENEHAVISCEKTAFSKRDFSGEHEFPKQLKKACKVRTFSSIYNYGTVSKPFLEVLFSTFTLLRVLIFSETHFEELPSSIRNLKHLRLVNLQTVHLAQCDQLEGLPRDVHQLVSLTYLNLMSKQKYLLKSGFCGWPSLTFLYLHHCVELTSLTEGFGSLAALRELRIFNCPKLASLPSAMKQLSALEIFALNNCNELDLMEPREALSGLGSLRALNLVGLPKLVGFSASFQSAASSLQYFCIDNCQGLEKLPGFIQSFTCLKKIVIRDCPELSRRCTAESGEDFHLIHHVLRIKIDNKIWEKNRDDASLTPSL >ONIVA05G27250.1 pep chromosome:AWHD00000000:5:25807635:25810248:-1 gene:ONIVA05G27250 transcript:ONIVA05G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQDLEDDASRRGGPWRTVSTRDQRVRIPAKRLVAFIFAHGSRGTRESTSALVPINASLLLLLRHHHQLAVSSPSSASPPLITSLAGDAAIATAPQGIVSSRGEMRPLYLRSSGSFKKLLLSIGHRGAKNGNGDAAAKERYTPAAAAAAPESPRKPAWRCFSYEEIHRATNAFHEGNLVGKGGSSEVYRGELPDGRAVAVKRLMGAWACERRERDFLAELGTVGHARHPNVCALLGCCVDRDLYLVFHFSGRGSVSANLHDEKKAPAMGWAVRRAIAVGTARGLEYLHKGCQRRIIHRDIKASNVLLTDDFQPQISDFGLAKWLPSEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLEIMTGRKPVDGSHKSLLSWARPFLNEGRIESLVDPRIGGDYDGEEARRLAFVASLCIRSSAKWRPSMTEVLELLEGVEIRQERWTMPEAVEDDDDEELWRFDDLDDEDDEDEEEFNTASPSSCSSSLSN >ONIVA05G27240.1 pep chromosome:AWHD00000000:5:25800576:25804268:1 gene:ONIVA05G27240 transcript:ONIVA05G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASYFSGTALMPSQRSGAPAPEYSAAGTGAAAAPSPSKVRVLGRVVSVVSRDTDVCFTLDDSTGKIPLVRWEGVYVKVQVNLMGFQAKKQGLARSIRPINNFNEVVLHFIECMHVHLESVQSKMQRQLPPSVQTNEYTHVPSSGGVRDYQVHFTPQVNQGLPPAVQTNTSTYVPLLGGVRDHQAHFAQVNQGQFSPAVQANTSTHLPFSGGVGEHQIHFTPKVNQGQFPPSVQTNTSAHVPYSGGFREHQVHFTPPVNQGQFPPAVQTNLYNHAASSGGVREQVHLTQANQIFYFPAQFSAYSSTGGLQHDPQRMVLEALQQPDIMGVVKHLAAAGFVYWTIDDNHVKSMCNG >ONIVA05G27230.1 pep chromosome:AWHD00000000:5:25797880:25800430:-1 gene:ONIVA05G27230 transcript:ONIVA05G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKAAFEKEYKSSPRRRAQGELIRRAKRRLAASPLQLRAVVVGGGALSVLAGGSREPSAQMGHGPRRLVSGAYAMPPWVPIEVKIDQKWKRAFVLRQADHRGLCLVRVTGTPDAEPVMVPLSTIRLPSRVHRAKRRPETAMQIQVKKARIEQSSDDEE >ONIVA05G27220.1 pep chromosome:AWHD00000000:5:25793578:25796673:-1 gene:ONIVA05G27220 transcript:ONIVA05G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAGFDDEEQQRLHYRSEWHRYNLKRKVAGVPGVTEALFLARQTALAEGSNSDSTPMLYSCALCGKEYRSSKAHAQHLNSRSHLMKASQEPNASIAGITIVKPRPERVQRRAPSAVEEDEDEDEEEEWVEVDPSELESTSEMQVDEHSSKSDDEMDEFEELDPTFCFMCDLEHDTIENCMVHMHKKHGFFIPDSEYLKDPNGLLIYVGLKVKRDFICLYCNDRCQPFQSLEAVRKHMDAKGHCKVRYGDGGDDEDADLEDFYDYSSSYADVEGKELVAADDKDNIELGSGGAELVITNKSEKGTRVRTLGSREFIRYYRQKPRPSVATDRALALSLASSYKSMGLVTVQSKEQVVRLKVLRAMNKTGVETMRTKIGMKSNVIRNLPKNCPY >ONIVA05G27210.1 pep chromosome:AWHD00000000:5:25790550:25793493:-1 gene:ONIVA05G27210 transcript:ONIVA05G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLFLAPFKRTLTLLSTPTTTTYPVCLPPLRFSKEAMRKLMGEEAHYRPSFADIEDEDFQCWLNIGLWEYQPRWCKRIKEEDNELVEMESGWAEHHLESFDTKQNLAAYVRALRSCWGFSYEDRLKPHIPVATDRVLPLSLASSYKGMRLVTLQSKEKAARLKSLRAMNKTVENMRTKIGMKNNVIRKLPKNCPY >ONIVA05G27210.2 pep chromosome:AWHD00000000:5:25790550:25791377:-1 gene:ONIVA05G27210 transcript:ONIVA05G27210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLMGEEAHYRPSFADIEDEDFQCWLNIGLWEYQPRWCKRIKEEDNELVEMESGWAEHHLESFDTKQNLAAYVRALRSCWGFSYEDRLKPHIPVATDRVLPLSLASSYKGMRLVTLQSKEKAARLKSLRAMNKTVENMRTKIGMKNNVIRKLPKNCPY >ONIVA05G27210.3 pep chromosome:AWHD00000000:5:25791160:25793493:-1 gene:ONIVA05G27210 transcript:ONIVA05G27210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLFLAPFKRTLTLLSTPTTTTYPVCLPPLRFSKEAMRKLMGEEAHYRPSFADIEDEDFQCWLNIGLWEYQPRCKIQS >ONIVA05G27210.4 pep chromosome:AWHD00000000:5:25790550:25791377:-1 gene:ONIVA05G27210 transcript:ONIVA05G27210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLMGEEAHYRPSFADIEDEDFQCWLNIGLWEYQPRALRSCWGFSYEDRLKPHIPVATDRVLPLSLASSYKGMRLVTLQSKEKAARLKSLRAMNKTVENMRTKIGMKNNVIRKLPKNCPY >ONIVA05G27210.5 pep chromosome:AWHD00000000:5:25790550:25791026:-1 gene:ONIVA05G27210 transcript:ONIVA05G27210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESGWAEHHLESFDTKQNLAAYVRALRSCWGFSYEDRLKPHIPVATDRVLPLSLASSYKGMRLVTLQSKEKAARLKSLRAMNKTVENMRTKIGMKNNVIRKLPKNCPY >ONIVA05G27200.1 pep chromosome:AWHD00000000:5:25787083:25788159:-1 gene:ONIVA05G27200 transcript:ONIVA05G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding EREIDREAAAATASAAAAASSLPPLAASRLDSLFNPPPLQSPPLSSRLLSHHHRATYPRRSGSRGFNEK >ONIVA05G27190.1 pep chromosome:AWHD00000000:5:25786093:25787046:-1 gene:ONIVA05G27190 transcript:ONIVA05G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLMMKKVVRPSSFDFDIQLDKSWTEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANMKVSTIAVAPLDSIHIVAPNVNNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEEYEVFRRVRRHWWSCMFFRGFSRSSRRRRRARARERRSSGRRNSNQAHLESFNLEVPTQSVDLREIRFDEIDDEYIVTGAIPSIATPGRMASFHYRSVETVYC >ONIVA05G27180.1 pep chromosome:AWHD00000000:5:25783052:25783918:1 gene:ONIVA05G27180 transcript:ONIVA05G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGVLRRWKRFFPAFASIHAAIEAAEPGISRREFRDATDKVVAMLCNATDDEAVAEELRVVLDGMMVEALLTLELVPAMPKMLASTDLAQDVGALRNHPSERVRSLAIGIVRGWRASVKDELLKAAAAMEKLSQAMEPDEADDHHAKILQPSPPKKTANTSRSQPPFPKKQSARPVVGGSRVTTTAKIDPPPEKAPAAAAARSSHHRESVVPCCTDEKAMNAAKRKLREGYQEAEEAKRRRTIQVIQAPDRQRKMQAITRPRSRPSFAAAASTAKKSSGFSSLRRF >ONIVA05G27170.1 pep chromosome:AWHD00000000:5:25781566:25782702:-1 gene:ONIVA05G27170 transcript:ONIVA05G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRSAADSGIADADEHAGGGGGGKRSCIESTYNYEVTCWLRKGAFGAVIRARHRATGRDVAIKFLRRHRCGMGGKAVGEDALLREALYLARCSHHPSIVHYHGLALEPRSGMWGLVMEHVGPSLSSVLRERHGSGGGPPFTEEEVRRVMRQLLSGVQRLHDRHVVHRDIKPGNILVGDGGVVKLCDLGLAMDTAARKPPYQKAGSPGYKAPEMLLGKPDYGELVDAWSAGCVMGELLAGVPLFRGHSETDELLRIFRLLGAPCRQTWPSYPSLPLFGAVPICRSWDRNRLRDLFPEERLSRDGFEVLNGLLTCNPDARLSAAEALRLPWFNATVDGIAAADETKIGQPAASPRQEPPPLASFAAIPCGDYGTGFAG >ONIVA05G27160.1 pep chromosome:AWHD00000000:5:25776025:25781562:1 gene:ONIVA05G27160 transcript:ONIVA05G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSESDGAGSIGAGEEEEEEEEEEEGGFGGGGGGGGGGGGMFSFAIEGMLRASGPCGLVVTDALEPDCPIIYVNCGFEEATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDAMVVSEIRKCIDNGTEFRGDLLNFRKDGSPLMNKLHLTPIYGDDETITHYMGIQFFTNANVDLGPLPGSLTKEPVRSTRFTPDNFFRPISTGPGQSNFCREYSSLFQLTDEVLCQSILSRLSPRDIASVSSVCRRLYLLTRNEDLWRMVCQNAWGSETTRALETVPAAKRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHVNVSSAPPGRWGHTLSCLNGSLLVVFGGCGRQGLLNDVFTLDLDAKQPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMDKPVWREVPASWTPPSRLGHSMSVYGGRKILMFGGLAKSGPLRLRSSDVFTMDLSEEEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIHELSLASSTV >ONIVA05G27150.1 pep chromosome:AWHD00000000:5:25769994:25773111:-1 gene:ONIVA05G27150 transcript:ONIVA05G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39910) TAIR;Acc:AT2G39910] MAPPAAGAAIPRDALLRIAAPLRDSLAAAPYAPPEGSSTSTKSLLSSLLPSSHPQAPAGGGGARSKEAAGLLLFCAAARAASPEYPALHWVPVALSDAAAAAVEEMAAAGGWGDVGEMVVGMMPEVVPPLKDVVKATCVDTEDEEIGKEKPPKEHAVVAAHQFRWLVSQVTYPKLGDLCWLVIPCALTALDHWSPEVKEQGMVSFMHIAKSVKATELNLYEDAILDACCHNIPADDELWYRAVEVSVLLLTCTQRSNPRSPWYDRMLAEMLGHLERQPLNKKRRVAWLTLIGPVFEAMGLFLLAHFRLLFSLFFQWMHADDDKTVLLVLERIHEVIKLTWIRKSPYTSRLVDELVLLYKESATRSSREVVRNHILEMLATLQKCKGQQFEEAWKKHEVDPDLTMLLSCFNELCTKNHSS >ONIVA05G27150.2 pep chromosome:AWHD00000000:5:25769994:25773111:-1 gene:ONIVA05G27150 transcript:ONIVA05G27150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39910) TAIR;Acc:AT2G39910] MAPPAAGAAIPRDALLRIAAPLRDSLAAAPYAPPEGSSTSTKSLLSSLLPSSHPQAPAGGGGARSKEAAGLLLFCAAARAASPEYPALHWVPVALSDAAAAAVEEMAAAGGWGDVGEMVVGMMPEVVPPLKDVVKATCVDTEDEEIGKEKPPKEHAVVAAHQFRWLVSQVTYPKLGDLCWLVIPCALTALDHWSPEVKEQGMVSFMHIAKSVKATELNLYEDAILDACCHNIPADDELWYDRMLAEMLGHLERQPLNKKRRVAWLTLIGPVFEAMGLFLLAHFRLLFSLFFQWMHADDDKTVLLVLERIHEVIKLTWIRKSPYTSRLVDELVLLYKESATRSSREVVRNHILEMLATLQKCKGQQFEEAWKKHEVDPDLTMLLSCFNELCTKNHSS >ONIVA05G27140.1 pep chromosome:AWHD00000000:5:25767277:25769170:1 gene:ONIVA05G27140 transcript:ONIVA05G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAYFAFSVLVTLHLCCCHGQAADAAGSNSSDVTAIYSLGDSITDTGNLIKEAPPGMFETIKHLPYGITFGYPTGRCSDGLLMIDFLAQDLGLPFLNPYLGKNKSFDHGVNFAVAGATAMDLTDQFSGRFFAPFSSNSLNVQLRWFKDYMKSTFSTDEGNSPDQFHIRKRLQSSLVLIGEIGGNDYNYALFGKSVSEVEKLIPGVEVLEMGANRVIIPGNFPIGCMPTYLTSKRSSEPSDYDATGCLRELNRFAAKHNARLRRAIADELRPSYPAAAVAYADYFNSFLALLDAAGELGFDAGSARRACCGAGGGEYNYDPRRMCGAEGAAACAEPEKYVSWDGVHMTQAAYRAMSRLVYHGMYLEPQILSLADAP >ONIVA05G27130.1 pep chromosome:AWHD00000000:5:25762826:25764772:-1 gene:ONIVA05G27130 transcript:ONIVA05G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44230) TAIR;Acc:AT5G44230] MVRLIPRLHAPPPPPPRVPAGLPLPLLPAPALAATLLEAVSSSPSLPHLRHLHALAVRLPLPATSLPFLLSRLLRRLAALPPPPHAPLPYALNVFSAVSPPDPFLAAALLRFAFLTQPPLLPFRVFSHLRRAHGAELPFLPFAFSTLAKSATASRSLPTAAAAHAVSVLVGGFDRHRFVENSLIGAYVACGDVGAARKVFDEMVEKDAISWTSIVVAYTRSGDMRSAEEVFGRCPVKDMVAWTAMVTGYAQNAMPVKALEVFDRMAELGMVIDEVSLTGAISACAQLGALRRAAWVQEIAERTGFGNNVVVGSGLVDMYAKCGLIDEASKVFYGMQEKNVYTYSSMIAGLASHGRASEAIALFKEMVNRANVEPNHVTFIGVLTACSHAGMVGEGRYYFAQMKDKYGIMPSADHYACMVDLLGRAGLVDEALDLVRSMSVTPHGGVWGALLGACRIHGKSEIAKVVAEHLFKLEPESIGNYVLLSNILASAGKWEEVSKVRILMRKQRLKKDPAVSLFEGRDGLVHQFFAGDNAHPRTHEIKKALLELVAKLKLEGYVPILSSIVYDVNDEEKERLLMGHSEKLALSFGLLTLGSGCTIRIIKNLRICDDCHLFMRLVSRVESVEIIVRDNMRFHHFKNGECSCGGFW >ONIVA05G27120.1 pep chromosome:AWHD00000000:5:25759064:25764208:1 gene:ONIVA05G27120 transcript:ONIVA05G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKLSGMATDLFGLHRILSSLLEIEGTRTTREIKSKDSIQKLAKVLLKANWQKDLHHILVEPMEKSKQDSRESVRRTIMEHDEVFRQQVHELHRLYRVQKSLMAELGGEKHRFQSRTGETQEMMQGPLSNLKNSPSTSETTQSAHLGSTQHCAPEQVPEHSILQEYKPATCLNFFTEETSRTQEFRREGGRSTGGENWSASDPSVENDLDLKLTIGPSLHATKAPHWLFSGSRERNPSGQHR >ONIVA05G27110.1 pep chromosome:AWHD00000000:5:25758056:25762805:-1 gene:ONIVA05G27110 transcript:ONIVA05G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDLDMNDMWDYEGKRFMIFAAEIWRYHGPTAMSYPRLSFTVVTIPTLGSAHSRLRKRGESKCRKIPNKEEQKPNERKTVTYSLPKCRQATCR >ONIVA05G27110.2 pep chromosome:AWHD00000000:5:25758056:25760044:-1 gene:ONIVA05G27110 transcript:ONIVA05G27110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPRLSFTVVTIPTLGSAHSRLRKRGESKCRKIPNKEEQKPNERKTVTYSLPKCRQATCR >ONIVA05G27100.1 pep chromosome:AWHD00000000:5:25755445:25756821:-1 gene:ONIVA05G27100 transcript:ONIVA05G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 3 [Source:Projected from Arabidopsis thaliana (AT4G25910) TAIR;Acc:AT4G25910] MRPFSPHLRQAAAAAAAAATGAPPLAAALLKNSSAPLIHGRLSFSHTSLQSPNNRGKRTGWAVRVLPLTEENVEMVLDQVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILAVEQIVDTETGLELNHDNVDKVLDEIRPYLSGTGGGSLDLVQIDESVVKVRLTGPAAGVMTRTTTHFTSGYQRIVRLPVHFLGNEALQGLPEPTVPLFPPSASLGIAASDLMG >ONIVA05G27090.1 pep chromosome:AWHD00000000:5:25753350:25754804:1 gene:ONIVA05G27090 transcript:ONIVA05G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61360) TAIR;Acc:AT3G61360] MPLLLAPPPSAARPLLAPTVPHLARTLLAGAPETPPLLLAILQASPPLLTPLLSHLLLSHSPPLPALSLFRRLLALPGFPVPEASLPALLRLLARSRRHAVLSFRLLDSLPPTHPHLLSTPALAVLLSTALSASAPGASFDAAVTCFDSAARVWARAGREFGVAELNALLRAFCARGRVAEARALFHRYCDAYPPDARTFNTLLLGFKEAGNAQALDLFYHDAVLRGFVPDAVSYCVRMDAYCKKGRFLDALDLLDEMRKRENCRPTLQVFTTLIYGAGIVRNAIRARQLFDEMGQWGVTPDRGAHNALMGAYVRARDLQSGMTVMSEMERKGIGLDDVSYNTMLCGFQRIGDLEGIWKVYSKMVGSGFMPRTRTTMLLMKVFCENARPDLGLELWDYLLGKGCVPHRHALDVLVTGLCCRGVVLEAYRCFREMIEMGMTPTERAFRVLEGFLKRKREFEKLEEIRQMMKAAQLDEHQCDEEAA >ONIVA05G27070.1 pep chromosome:AWHD00000000:5:25742249:25743950:1 gene:ONIVA05G27070 transcript:ONIVA05G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTSLRCFLTGRPLCSAGSTAAVLPAPKPTRRRPSARLSCRAANEAPSTRGDLPVKLGKLAMVALAAGVLALSPVDDAMAAKSGGRVGGQAFRSAPRSAPRPSGPRINNSRTNIYINPPVAPPLVGGYGYGYGYGWSPFSFFAPGPSVAVGVGGGFDTLVLFIVLGTIVGAVRRFLNRNDDDYDDY >ONIVA05G27060.1 pep chromosome:AWHD00000000:5:25735456:25735719:1 gene:ONIVA05G27060 transcript:ONIVA05G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGARRLLRERPAPHHRVPPRVRVAGSADRADAFVGARDDTSAPTSAERDEEAEKVEKPPRSRAASAGGRRGAALSPRPGQLAVAEK >ONIVA05G27050.1 pep chromosome:AWHD00000000:5:25734282:25734806:1 gene:ONIVA05G27050 transcript:ONIVA05G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVHVTLSLSYLLSLPHLSLSLLCKLAGARREGGVATAAGGGGVRARRLLRFLRLLVPLGRRGLSICGSNAVAAPALPADDDIDSAAPREEEEEGTGAARAADASSSGGSGSRSGSYPPFKRGRDELVDSLSKFADETRPSKRPAAKRRTRATEVHNLSEWVKPQEHQPTIDG >ONIVA05G27020.1 pep chromosome:AWHD00000000:5:25715689:25717932:-1 gene:ONIVA05G27020 transcript:ONIVA05G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HI67] MAASLAGLAFLAVTSAALLSPLAVVGQLRTDYYSTICPNLETIVRSSVKQSMAASPISAPATLRLFFHDCAVRVHLEIATDNDRSTAIDRCKQCGDQNIRSVEGCDASIMIVNSNGDDEWRNSDNQSLKPEGFTTVLNAKAAVDSDPQCRYKVSCADILALAARESVYQSGGPNYQVELGRYDGRVSTRDSVVLPHANFNLDQLNAFFAGLGLSQTDMIALSGGHTFGAADCRFFQYRIGADPAMDQGFAAQLRNTCGGNPNNFAFLNGATPAAFDNAYYRGLQQGRGLLGSDQALHADQRSRGTVDYYAWSQSAFFGDFAAAMTRLGRVGVKTAATGGEIRRDCRFPN >ONIVA05G27010.1 pep chromosome:AWHD00000000:5:25701691:25704379:-1 gene:ONIVA05G27010 transcript:ONIVA05G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HI66] MGQRRRSGPRRQSQSVVVVVVAVLLATASCAAAQLSQSYYASTCPNVETLVRGAVTQKLKETFNAAPGTLRLFFHDCFVRGCDASVLIAGPDDEHSAGADTTLSPDALDLITRAKAAVDADAQCANKVSCADILALAARDVVSQAGGPYYQVELGRLDGKVGTRAVVKHSLPGAAFDLDQLNKLFATNGLTQTDMIALSGGHTIGVTHCDKFVRRLYQFKGAAPQYSPPMNLAFLRQMRQTCPLSYSPTTVAMLDAVSPNKFDNGYFQTLQQLKGLLASDQVLFADRRSRATVNYFAANQTAFFDAFVAAITKLGRVGVKTAAGSDAEIRRVCTKVN >ONIVA05G27000.1 pep chromosome:AWHD00000000:5:25692786:25697079:1 gene:ONIVA05G27000 transcript:ONIVA05G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSGRSISTTGFLRRGSSVSLKDQGNEERPNKTKLNPMNARWADSKEKPRYLREPFRSSGTKAACPSSSKAPVRKYFEEKQGRTFLGEANNAESSSRRTEANRLQCSKKAVVEEDVHPYGQQDEPEDLLSTSTTEDQPAELDPELLDSPVSSGVSAHAIGSVVRNAALRSKSRQQKGKEELCQIRPQTASAFVNRSTIPRNSTNGVKSSNAAGPGVQRRTLKNLGCTSISDVLPSGCSSANSVHNKRAEVMRNRAFDGESSSRPRGLNGHSSLGHSPAMYSGITGPRVRTAEQSASQQTRTSSRSIQESADSSRIRRPSTQHARVRVPNEREDSVFALRETLARDRQPEWAHFSLGEAAPRRSMRPFSMELPHEIYSSSRQGSSNQTARSRSSYRPDESPPQMFHGLLVERENYRRINMEGIAEVLLALDRIEQDDELTYEQLLVLETNLFLSGLGLHDQHRDMRMDIDNMSYEELLALEERIGSVSTALSDEQLVKCLKRNVYKLPNSDLEANRAVLDDAKCSICQEEYIEGEEVGRMQCEHQYHVSCIHEWLRQKNWCPICKTSAIPSEMDKGGT >ONIVA05G26990.1 pep chromosome:AWHD00000000:5:25685229:25687037:-1 gene:ONIVA05G26990 transcript:ONIVA05G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPSPRSRDSARPKSTSGRPPSSPRSSDTTRRSSFTAASSSDKPVPSFLRPTVSSSLHSSSSSSPSSSSLLSSSPSSKGTGTTPRRSADKAPSASAASSRPITPKDKAPAVATSRPITPKDKAKAAAASTSRWSAVSPRQLMQKASNAFTRGSSSKSRAAKKDKEAATPAATSAAAKDAATPASTSAVRKKAATPATSAAGKAVAGASPGPTRAQPTEEHHQQPTEAPAAEPSPAAAAVQEEAVTSRAQLTEEHHQQPPEAPAEPSPAAVAVPEEAAAAETEAEQEKQPDETPQEVVAAVEEKVQDEQVSTEAAEEAIAVEEDAAAVKTDAPEPEETQTQTGAIAESETEFQRSSEDEPASDAIVEEAAIESATQNEPDEPESTTVEEMAVEEEIKTDESQQEETPKPEERMENSETSVISEDPKEMDAISEDQKVEEPVVAEEQEELSEVVKMAVEPSISSEPATPLEEEDRDDVETEQANSSEPATTVEEEEDRDDVETEQASSSDPATPLNEEAINEEGTSTETGKTKKVAFKGSKVKTAMERRPEGEQPRKKDVARSNDVIEETKRTLMMKRKSKVKALVGAFETVMDTPSPGKSS >ONIVA05G26980.1 pep chromosome:AWHD00000000:5:25680762:25683941:1 gene:ONIVA05G26980 transcript:ONIVA05G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67940) TAIR;Acc:AT1G67940] MRRLGLSLHHDTSPSFAALACNQRPPPNGTVHACSKSRPPQLEPGKVGKKPIKKTMRIARIPTSIPPHLRLPLDLSAVSPMGSASEHDVREHLLDVDGVGEEGAAAAAGPKIRVRGLTRRSEARGEEILRGVDLDVPRGVVVGVIGPSGSGKSTLLRALNRLWEPAPGAVLLDGVDICGIDVLALRRKVGMLFQLPAMFDGTVADNVRYGPQLQGKKLTDAEVQSLLSLADLDPALCSKPASELSVGQAQRVLLLDEPTSALDPISTQNIEEAIVRLKKTRGLTTVMVSHSVKQIQRIADLVCLLVAGEVVEVLPPSELSDAKHPMARRFLELS >ONIVA05G26970.1 pep chromosome:AWHD00000000:5:25676332:25677531:1 gene:ONIVA05G26970 transcript:ONIVA05G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL43 [Source:Projected from Arabidopsis thaliana (AT5G05810) UniProtKB/Swiss-Prot;Acc:Q5EAE9] MEPSRRLLLSDYDGAIVSPLPSPPPSSATPFRPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGPYGSGGAFGSSGGGGAGERRNSGVDRAVVESLPVFRFGALRGQKAGLECAVCLGRFEPTEALRLLPKCRHGFHVECVDTWLDAHSTCPLCRSRVDPEDVLLLPEPPKPSTTGPPDPPETKVAAAAAAAATAKDKEASLAPAAPAPSPAFRGFFSGRHSTGSVRAPGRVGPASRRSADLVGGDGGAVVGCFEAAKVRKDRVLLMEPAAAVAEPDPEAYDRRFGHRILVSTAGGCEDETAPAAKQRWSEVRPSDLMFVRSEMLVTEAGRYSCSAAVHSGNGRSVISARSLSELAGVSRLPPIRAGGEPRAGARRWPGSSWWARGPPALNGPST >ONIVA05G26960.1 pep chromosome:AWHD00000000:5:25661561:25662698:-1 gene:ONIVA05G26960 transcript:ONIVA05G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HI61] MRTVALGIVAMACLVAIAHGGNFFQDAEVSWGQGRGKIVDGGRGLDLTLDRSSGSGFQSKSEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGSTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTQSFHTYSIIWNPQHVIFAVDGTPIRDFKNHEARGVAFPKSQPMRVYASLWNADDWATQGGRVKADWSKAPFVASFRDFNADACVWSNGAQRCPVGTMETVAAPAGGRRGGAGGWWNQELSDMSYRRMRWVQRKFMIYNYCTDARRFPQGTPAECKLR >ONIVA05G26950.1 pep chromosome:AWHD00000000:5:25656406:25661231:-1 gene:ONIVA05G26950 transcript:ONIVA05G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HI60] MDTLSIRRISRIGGALSLCLVHAFALCADMRGGASLRLRWPAALVAVVAAAVTAAAAAGHGDHNFHRDFDAVWGKGNARFRDGGRMVELTLDEQTGARLQSKERFLFGRFDLEIKLVRGESAGTITSFYICSGGARHDEVDFEFLGNVSGEPYLLHTNIFSDGKGEREQQFVLWFDPTADFHTYSILWNPHNIILYIDGTPIRVFKNNEAYGVPFPTRQPVHVFASIWNAEEWATQGGRVKTDWSRAPFVATYRRYNVSNACVWDAAGAGASRCAGGGGGWMRRRMDWWSWMTLNWVRMNYMAYDYCADRKRFPHRFPAECIIPIGRT >ONIVA05G26940.1 pep chromosome:AWHD00000000:5:25649137:25650685:-1 gene:ONIVA05G26940 transcript:ONIVA05G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 21 [Source:Projected from Arabidopsis thaliana (AT2G18800) TAIR;Acc:AT2G18800] MASSSNPGRTPPLVAAIVCSVLLLAGGAAGNFYQDVDITWGDGRGKILGNGQLLTLSLDRSSGSGFQSKNQYLYGRFDMQIKLVPGNSAGTVATFYLSSQGSQHDEIDFEFLGNASGEPYTVHTNVYSQGKGGREQQFRMWFDPTKDFHTYSVLWNPSHILFYVDGTPIREYRNTEATTGVAFPRAQAMRVYASLWDAEEWATQGGRVRTDWSRAPFTASYRGLAASGCTSQDATACANPGSPWMYQQQLDSASQDRLRQVQRDYMIYNYCADTYRFPQGLPPECTANREMGKGYEEDNKSTTMDV >ONIVA05G26930.1 pep chromosome:AWHD00000000:5:25637530:25639321:1 gene:ONIVA05G26930 transcript:ONIVA05G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HI58] MAALLVVVLVAMSAMVATANFNQEFDITWGDGRGKILEDGQLLTLTLDRTSGSGFQSKHEYLYGKIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREMQFRLWYDPTKDFHTYSILWNPKHIIFMVDDMPIRDFRNLEGKGIAFPKNQPMRLYSSLWNADDWATQGGRVKTDWTHAPFSASYRGFRADACVVAAGGRTRCGATVGTDAAPGTGAAAAAGGWYNQELDLTRQQRMRWVQSKYMIYNYCTDPKRFPQGVPAECSM >ONIVA05G26920.1 pep chromosome:AWHD00000000:5:25629065:25631819:-1 gene:ONIVA05G26920 transcript:ONIVA05G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAGGGDSPRRGTPDRDEEDYEEYVPVAKRRAMEAERLRRATKPPTTNAVAVAAPPPPPRSTSSPAAGEVAVKTSLLVKATKLKREAPEVTPAERLLQQEREMIEHLSDRKALMPVGEIAKGISYSEPITTGWRPPLRLRRMPRSRADALRRSWHILVDGDDVPPPSRSFGDLRLPEPILRALRGKGIEKPTPIQVQGLPVALSGRDMIGIAFTGSGKTLVFVLPLIMAALQEEILMPIVPGEGPFGLIVCPSRELARQTHEVIELFLAPLMEAGYPEIRPLLCIGGVDMRTQMEVVKKGVHIVVATPGRLKDLLSKKKMNLDNCRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPEKIQNFAKSALVKPIIVNVGRAGAANLDVIQEVEYVKEEARIIYLLECLQKTPPPVLVFCEHKADVDYIQEFLLLKGVEAVAIHGGKDDEERKDAFKSFKASEKDVLVATDVASKGLDIPDIQHVINYDMPAEIENYVHRIGRTGRRGKTGVATTFINKNQTETTLLDLKQLLIESKQRLPPILADLDDPQEDDKVAIAQQSGVKGCAFCGGLGHRIEACPKQQLQNSVTLARARSDYFGGGGYRGEI >ONIVA05G26910.1 pep chromosome:AWHD00000000:5:25623472:25624302:-1 gene:ONIVA05G26910 transcript:ONIVA05G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWPARRAAALCIAVVVLQLAAAAAARSLSSSRRRAHDHGHRVALPASAAVASSSLQQPVHRAVAKAKGGGRSTAFDAGGGVPCKEKSGGHGGAPSPCSDDDDKRVVPTGPNPLHNR >ONIVA05G26900.1 pep chromosome:AWHD00000000:5:25620034:25623336:1 gene:ONIVA05G26900 transcript:ONIVA05G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPLLPPLSATYTRTQPCKNPCQFSQPTPAFPSRPITPPPPPRKMVEHLFEDIFTVTRLDPDGKKFDRVSRIEARSEQFDMYMQLDVATEVYPMRAGDRFTMVLAPTLNLDGTPDTGFYTQAGRKTLADKFDYVMHGKLYKISEDSSSGQATKVEIYASFGGLLMMLKGDPSSAASFELDQRLFLLIRKV >ONIVA05G26890.1 pep chromosome:AWHD00000000:5:25618701:25620035:-1 gene:ONIVA05G26890 transcript:ONIVA05G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTQERGRGQQGGRKREDPGPTSQWRMWAPFSNRRRRRADPHLRIAWGVVGARVSPPLRRRRDAFAREADEQSVMSKKVSIGKNITAYGWPICKRI >ONIVA05G26880.1 pep chromosome:AWHD00000000:5:25610419:25610670:1 gene:ONIVA05G26880 transcript:ONIVA05G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKVSVLVAGVTTLMCIILLILSYSAVTAEAGRQWEGREPTVAARGRFRKIMREETTLDDGGAAIGESKRRSPGGPDPQHH >ONIVA05G26870.1 pep chromosome:AWHD00000000:5:25599466:25601027:-1 gene:ONIVA05G26870 transcript:ONIVA05G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITAPSSIEHIPLVRCPKGANAGPQAVIPCIDLSAPGAAAAVADACRTLGFFKATNHGVPAGLADALESSAMAFFALPHQEKLDMSGPARPLGYGSKSIGSNGDVGWLEYLLLSAGAASSGGAALPAALRAAVEAYTGAVRGVGCRVMELMAEGLGLGASEEGRCVLRRMVVGCEGSDEMLRVNHYPPCLLPPGRDRDECGVTGFGEHTDPQIISVLRSNCTAGLQILLRGDYSSPARWVPVPPDPDSFFVNVGDSLQVLTNGRFRSVKHRVLAPEGEESRLSVIYFGGPAASQRIAPLEQVMREGEQSLYREFTWGEYKKAAYKTRLGDNRLGPYELQHAAANDEAATKK >ONIVA05G26860.1 pep chromosome:AWHD00000000:5:25587765:25588805:1 gene:ONIVA05G26860 transcript:ONIVA05G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVREMAVAAAAAAAASSGGGGGGLRMPPPNPNLPYREDCWSEGETEALVRAWGSRYVELNRGNLRQKQWQEVADAVNSRRGAAARRRPPRTDVQCKNRVDTLKKKYKAERARVMPSTWSFFPELDRLVGPTLSASASKRPSPSPSPVPPPPHFAMPIHPSAVRKPPSPSPSPSPPPPMALPLPSYRRGSPLPAAALIQQEAAAAAAAAVSDSEDSEGPGDNNNHNAQRSPSQSLSSRSGNSNKRSRQEVDGGFRELARAIEAFAEMYERVESAKQKQALEIERQRIDFLKQLEVKRMENFVDAHVKLARAKRIKKHAGTAPDGIGAAELVSSVAALPFLSTSTYI >ONIVA05G26850.1 pep chromosome:AWHD00000000:5:25584405:25584748:-1 gene:ONIVA05G26850 transcript:ONIVA05G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRKKGSSSRLSDPINTTVTTDNGQEMAASHFISQQASQLDEAARKRLQRMNERLKLLEMQMETLEAGVAKASNDSYE >ONIVA05G26840.1 pep chromosome:AWHD00000000:5:25580794:25584925:1 gene:ONIVA05G26840 transcript:ONIVA05G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HI49] MAAVREPREEAAVGEGEGEEEGRWGGLLPELVEEVVRRVEASGGERWPARKDLVSCACVCRRWREAAAAVVRPLPESGRITFPSSLKQGSFIAGLQKYQFCEVGFLADQIGIDMVYNLSYNLKSGFEVSAFALLPGPKDFPIQCFVKRNKKKSMFYLYLGLLNGTMDKGKFLMAARRFRRGPHTEYVISLDADDLSQGSNAYVGKLRSDFWGTNFKIYDNQPPYDDAKTSSTRSSQRFGSTHRFGSRRICPQISAGNFNVGQISYKYNLLKSRGPRRMFCTMECPSTQETWENSLKTKSLRCTGTTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATADPSHPDSVGDEETVILQFGKVDSNIFTMDYRQPLSAFQAFAICLSSFGTKLACE >ONIVA05G26830.1 pep chromosome:AWHD00000000:5:25574931:25577014:-1 gene:ONIVA05G26830 transcript:ONIVA05G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSFVLVVLILQAVVIGCSSLDELPVQLSNRRLLHDRNHAALLYKDHFPTVGNMTFPTSHQLQNDPNYKPLDSSSHPAEASAKKGSSKGFKKWLYMVVIPVAGLIMLAGMAWMFLPCRKKSVATIGPWRTGLSGQLQKAFVSGVPQLQRPELERACEDFSNIVASHPYYTVYKGTLSSGVEIAVVSTTIKSSKDWSKHCEDCFRKKIESLSRINHKNFINLLGFCEEEEPFTRVMVFEYAPNGTLYENLHDEAFDHIDWRSRMRIIMGIAYCIQHMHELNPANVHPDLHSSAVFLSEDCAAKIADLSVWQEVVSDGKKSTANNDHHEPISARLAGNVYSFGILLLEIISGKPPYSENEGSLANLALGCIIKGRSIASLLDPVLESHKENELDVICQIIMECIQSDPTKRPGMREITTRLRETIAISPDAATPRLSPLWWAEVEVLSPVEPR >ONIVA05G26820.1 pep chromosome:AWHD00000000:5:25572643:25575481:1 gene:ONIVA05G26820 transcript:ONIVA05G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPLSRRDRPRTATTSRRRGEGGWLLGANDMWPNRQSGARLACPKAAVITRFAREPSFSE >ONIVA05G26810.1 pep chromosome:AWHD00000000:5:25571905:25572576:-1 gene:ONIVA05G26810 transcript:ONIVA05G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: transcription factor-related (TAIR:AT4G18650.1); Has 560 Blast hits to 560 proteins in 40 species: Archae - 0; Bacteria - 0; Metazoa - 2; Fungi - 0; Plants - 558; Viruses - 0; Other Eukaryotes - 0 (source: /.../BLink). [Source:Projected from Arabidopsis thaliana (AT3G14880) TAIR;Acc:AT3G14880] MEVEAATRRFHLWLRGLRGLRRELRAARWADDPAQLGSLVGRFVAHVECYTAARAEMDPVWTLSAPWASPVERGAAYWLAGWRPTTLVHLLYTESGRRFEAQLPDLLLGVSSGNLGDLSPSQLAQIDDLQRRTVAEEDGLSREMALVQEGHGAVAAGGEVDVDGIVGRVRGVLGRADALRLRTVKRAVEILEPAQAAELLVAAADMEIGFREFGLKYSSARSD >ONIVA05G26800.1 pep chromosome:AWHD00000000:5:25568437:25570980:-1 gene:ONIVA05G26800 transcript:ONIVA05G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLKIGHGLDRFRRRRSTSSSSSSPLALSLSSSAAAALSDDDPGSPMDPEMPPAARRALSRSSGSRGRLSFELPPLAGGPSDKEEAPPRTSSSSAPAPARPAPAALHEGPPSDAEMVREKFSKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLQPMAADQKARWRREIDWLLSVSDHIVEFVPSKQVSEDGSTMEIMITQQRRDLQMNIPALRKLDAMLLEYLDNFKDKQEFWYVSKDASESEKGNTPRQDDRWWLPTVRVPPGGLSDASRKWVQHQKDLVNQVLKAAMAINANVLMEMDVPEAYIESLPKNGKSTLGDSMYKIITEDHFNPEELLGTVDMSAEYNIIDLKNRIEASVVIWQRKMVHKEGKLSWGHGVKFEKREKFEARAENVLLLIKHRFPGIAQSALDISKIQYNRVYHENHLLFMLSFRICYSTYVYSLQKFSAIFPPQDIGLAILESYSRTLESLAFTVMSRIEDVLHADSLAQASNTRTQESMRMASLSRYDTDKVVIDAKAEVERLGRMEPVSATLFDFVSPRDQDVVATKMDSKEKGCRGDAHSRKLTKVSPIATKRYSYLEKLENLSGTRSPISRH >ONIVA05G26790.1 pep chromosome:AWHD00000000:5:25566619:25570251:1 gene:ONIVA05G26790 transcript:ONIVA05G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAVQPVAVKGLAGSSISGRKLAVRPSPRALCRTTRRPRAAVVAKYGEKSVYFDLEDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFAGPFTKRGLLLKFLLLGGGSLVAYVSASASPDLLPIKKGPQLPPTPGPRGKI >ONIVA05G26780.1 pep chromosome:AWHD00000000:5:25552878:25561521:-1 gene:ONIVA05G26780 transcript:ONIVA05G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgin candidate 5 [Source:Projected from Arabidopsis thaliana (AT1G79830) TAIR;Acc:AT1G79830] MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDEEGSGSHASNSDRIGFFNPVMAFMGNNGEEDSAEASEKQQPPKSTTAEQSQSASTEAPTSKVDASEESESTQSPKPSEQGETLISSTEPPVSKAEVSEQLATPKTPKSLSATEEKPSHSTESPTYKGDSEAPQSPTDPSTAEENSGSTETGNTIETGNQDHQETKYSGPNDEAPQSQIGESDRGIPDGTKPSSPTELDQSGNTGTTEYLHAGTENIDDKNAIQSQPLDSILASSDDVNEAVKIVKGADDRNEISSSHENTDTVDQASHVEVIEHDEHTNAAENDEEANRTEAQVATVVEREENTMVQLEDLSSMSIIVSNDSNSQNESVPTSADVPVGLVEVGSNSNDLRKEENIQGSVTTSNHLESVGAVAELEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKSTIDDLKSKSAEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIISQVRELEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNTEAKVELESRLREACEKENILIKTIEELRHALTRQEQEAAFREERLKRDYDDLQRRYQSSELRYNELVTQVPESTRPLLRQIEAMQETAARRAEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSSSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAAMQEGRAKQLEEEIKELRTRHKKELQDAAEHRELLEKDLEREKAARAELEKTSSRDAPKIPLPDQTRNAPLRKLSSSGSINSLEESHFLQASLDLSDNASLERRMSAESNMSYYLRSMTPSAFESALRQKDGELASYTSRLASLESIRNSLAEELVKMTEQCEKLRTEASALPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKEMYREQVDLLVSQHRANLLSPIQQI >ONIVA05G26770.1 pep chromosome:AWHD00000000:5:25551996:25552403:1 gene:ONIVA05G26770 transcript:ONIVA05G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAVQQLDLLLVALLYGCLFSGALLAATALVLLAFAAGALLATVALAASDARRLVAGHAARRAADVAAANLRLARALAVYAVVRAAVRAALVVRPKVAALASRVAWARDDGDWARGRGAMNLLRRGAERFTVVA >ONIVA05G26760.1 pep chromosome:AWHD00000000:5:25544614:25549377:1 gene:ONIVA05G26760 transcript:ONIVA05G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0HI41] MHSEILILECRRNTGGIQRDGLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGAVDRGMVDGKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESAPAIPDINDLDITVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFQTAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMSDVFEEMRKIRRFGAWPVAIHTGIKYRVVLEGPICKGNRVTGWNTIQNIQKKSAVRRFPVGFSGFAFNSTMLWDPERWNRPPMDSVIVHSGGRGGLQESRFIEKLVKHERQIEGLPEDCNRVMVWNFNLEPPLLNVPPGWSLHKNLDAVIPVAMRLLSDAFLNKPHTGLHEVAVV >ONIVA05G26760.2 pep chromosome:AWHD00000000:5:25544845:25549377:1 gene:ONIVA05G26760 transcript:ONIVA05G26760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0HI41] MHSEILILECRRNTGGIQRDGLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGAVDRGMVDGKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESAPAIPDINDLDITVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFQTAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMSDVFEEMRKIRRFGAWPVAIHTGIKYRVVLEGPICKGNRVTGWNTIQNIQKKSAVRRFPVGFSGFAFNSTMLWDPERWNRPPMDSVIVHSGGRGGLQESRFIEKLVKHERQIEGLPEDCNRVMVWNFNLEPPLLNVPPGWSLHKNLDAVIPVAMRLLSDAFLNKPHTGLHEVAVV >ONIVA05G26760.3 pep chromosome:AWHD00000000:5:25544614:25549377:1 gene:ONIVA05G26760 transcript:ONIVA05G26760.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0HI41] MVSSRRNTGGIQRDGSLRDWSEFVDPSPSPKLLYSQSYVAMRGLLSSLVSMDFALLSSRLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGAVDRGMVDGKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESAPAIPDINDLDITVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFQTAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMSDVFEEMRKIRRFGAWPVAIHTGIKYRVVLEGPICKGNRVTGWNTIQNIQKKSAVRRFPVGFSGFAFNSTMLWDPERWNRPPMDSVIVHSGGRGGLQESRFIEKLVKHERQIEGLPEDCNRVMVWNFNLEPPLLNVPPGWSLHKNLDAVIPVT >ONIVA05G26760.4 pep chromosome:AWHD00000000:5:25544614:25549377:1 gene:ONIVA05G26760 transcript:ONIVA05G26760.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0HI41] MVSSRRNTGGIQRDGSLRDWSEFVDPSPSPKLLYSQSYVAMRGLLSSLVSMDFALLSSRLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGAVDRGMVDGKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESAPAIPDINDLDITVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFQTAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMSDVFEEMRKIRRFGAWPVAIHTGIKYRVVLEGPICKGNRVTGWNTIQNIQKKSAVRRFPVGFSGFAFNSTMLWDPERWNRPPMDSVIVHSGGRGGLQESRFIEKLVKHERQIEGLPEDCNRVMVWNFNLEPPLLNVPPGWSLHKNLDAVIPVAMRLLSDAFLNKPHTGLHEVAVV >ONIVA05G26750.1 pep chromosome:AWHD00000000:5:25529347:25533705:-1 gene:ONIVA05G26750 transcript:ONIVA05G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HI37] MPPPLEARDYIGLGATPASSSSSCCASTPVAEVVGAHLALRLGLPGSESPARAEAEAVVVDAALTLGPAPPPRGGAKRGFVDSLDRSEGRRAAATAGDDERGVREEEEEEEEKGLGEAAAGAPRAAKAQVVGWPPVRSYRKNTLAASATKTKGEDQGKSEVGCCYVKVSMDGAPYLRKVDLKTYSSYEDLSLALEKMFSCFITGRSSSHKTSKRDRLTDGSRADALKDQEYVLTYEDKDADWMLVGDLPWDLFTTSCRKLRIMRGSDAAGMASDNLSNGNSLRDHWNRQPEAQNSDDYPNLGKIAFLQCSWVDLPYASLPETRSSESLMTIPILLAGISAYLCNIPY >ONIVA05G26740.1 pep chromosome:AWHD00000000:5:25522612:25523697:-1 gene:ONIVA05G26740 transcript:ONIVA05G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11550) TAIR;Acc:AT5G11550] MSRAQPGGAAAGGGGAARLKPSPRALFSCGIFSTCTHPALSPTATPNNNVVAGMGGAKGGSGTPCGGDAAAASPVVEAAAPAVEMSSHHQHPQQQQQQGAAVQRSVGPSSSSSSSSSSASQSFTQWRLPVHHPPQASGSAGGGGGGGGGGGGAVMMSAEEKFAAGEVVAALRTVEREMEAAARAVPVAVVAGVVAAVREPETARLAAKVLLVVLLEEGNREAAVEAGAASAAVEAVAASGPAGATAERALAALELLCTAAGGAAAVRREPLAAPVLARAVEGMAGRGRECAIGVLAAIYGRNGDEAASSPPSPPPPEVVKAVVAAMQGECSARGRRKGAQLLRALQEGGRLGLAWDGVGEP >ONIVA05G26730.1 pep chromosome:AWHD00000000:5:25516241:25517756:-1 gene:ONIVA05G26730 transcript:ONIVA05G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPGRGRSFGSSRKGKRSAGNSGSDKPKQPQRGLGVAQLEKIRIQSEMAAGYLQNPPLGQPPPIHGIGSLNLQEDARSSNSLSSSPSSSFPANINSYPIHPNLAMAYGGSRSGDIRYGEFQSTSPIIRSPPNHEAIYGAAAHYSHPSSDHTLPLFEPEESIYLRRHYGLNQPVDHSMNSDDPEEVDLELKL >ONIVA05G26720.1 pep chromosome:AWHD00000000:5:25507148:25512258:-1 gene:ONIVA05G26720 transcript:ONIVA05G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAGGAGGNRDDGSSDPWKRKGDKKKKKDDKPKERKRGFGVAKLEIIRIQSELAEQKRKNELAEQEKRQNAQQGPPQIPDGTTDGLMHYGSEGMGAMNFGQSQSTPLRPPGTFGASSYSNTNIISGPPGAFGAAYYPYSNNIMLPANEVTMAQPLSQVPNSQELIDLMREGGHSTSAGESTSKNSDEDPEGLDLELRL >ONIVA05G26710.1 pep chromosome:AWHD00000000:5:25503294:25508181:1 gene:ONIVA05G26710 transcript:ONIVA05G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGIARRVSRGAGTTSKLQSSNIAYAARVVVAPARNEAAAAAGRRSTLGTGPLCKNTIDVPRPRVFFLDQLPAGRTVDAVQPASGGGGVFYLIVVAAVNRSPDERDARDTRAPGGLELH >ONIVA05G26700.1 pep chromosome:AWHD00000000:5:25501277:25503142:-1 gene:ONIVA05G26700 transcript:ONIVA05G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLPRAAVLAVALLLLADSGEAFFDLFSIFRPRSDSDYFPFDGSPGQAKRKPKIEQEEDGAAPATATGLTKVPPLGAPSKAALDTIVLPVDDSAGHAGSWTIVSENSGVSAMHLAVMRHGKAIMFDTSTTGRSLMRLPMNNCRADPRAKREGTMDCWAHAVEFDYSTGALRSLKTATDTWCSSGAFDADGNLIQTGGYFEGDKAVRRLDACDTCDWREYPNSFAEGRWYATQQVLPDGRFIVFGGRRAFSYEFVPQPGMTNGQSIKFPLLRETTDDVENNLYPFVNLLPDGNLFVFANDRSVVFDHRTGKVVRELPKLAGGGRNHPASAMSAMLPLDLRNLTRGADPEPEVIVCGGALKTAFRLGENNTYQPTLRDCARINLGKIDAVWAVEAMPVGRVMGDLLVLPTGDLLMLNGAAKGSSGWGFARQPILSPILYSPRHPEGSRFRPLAASTVARMYHSTSAVLPDATVLVAGGNTNAAYNFSGVDFPTEVRVERFAPPYLSRELTGNRAVIDVASVPAGGMRYGTKFTFRFHTPVAAVEWGDVRVTMYAPPFTTHGYSMNQRLLVLPVAGFSAQGQMYELTVDTPRKPELAPPGYYLVYVVSKDVPSEAAWVKIQ >ONIVA05G26690.1 pep chromosome:AWHD00000000:5:25494555:25503289:1 gene:ONIVA05G26690 transcript:ONIVA05G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase beta subunit family protein [Source:Projected from Arabidopsis thaliana (AT1G72550) TAIR;Acc:AT1G72550] MPTVSVGRDRFFVALGRTYTQEEFEVLCFEFGIELDDVTTEKAIIRKEKHLEDDDGEVDGDDDEVIYKIEVAANRYDLLCLEGLARALRIFTGTEATPMYKISCIPRDSMLQMHVKPQTSQIRPYVVCAVLRGVTFDEARYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLKGPFSYEALPPQEINFVPLKQEESFRADKLMEFYKSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLKTRNVFIECTATDLTKAKIVLNTMVTMFSEYCENKFEVEPVEVVSHDGSKTIYPDLSCYKMEVSLSEVVGPIGISLDETKVISLLNKMQLQAESCPSKGEPRISVSVPPTRSDILHARDLVEDVAIAYGYNNVPKSKPKCMTIGGRQPLNRFSDKLRAEVARAGYMEVLTFTLCSREENFDMLNRTQDVNKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVTLDGSRDVGASNNRRLAALYCNKQSGFEEIMGLVDRIVNVVRAPHIKFGQNYYVPTNEPEFFPKRQCKIVMSDGKQIGYLGIVHAEVLRKFGIPDPCTFVEIDVEALL >ONIVA05G26680.1 pep chromosome:AWHD00000000:5:25486773:25492368:1 gene:ONIVA05G26680 transcript:ONIVA05G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel interactor of JAZ [Source:Projected from Arabidopsis thaliana (AT4G28910) TAIR;Acc:AT4G28910] MDDENGLELSLGLSLGGTSGKSKARDAPLEPKAEPQVEESSSKGVSQTPEAPFVHYYQTNAENQEHSSKQRHSPAAPPFGNFWGQPGSSSVPVADGSNEQKPVSSKRKLLSEEISFQKKPNTAAEQPDAFSKSSDGGVKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDAAVGFQGKRQPSFSGSESSSGKLPQGNPLSLQASNVVAVPYQVPSQVSAPPSITNASNFTPVCTVQLRPPTNNELAVTMGSTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAKDKVERAGISQADDGKKTQEAGASSSALVEDDKKSDRALPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGTHMTPEEFMRHASADAPGQENSATLPAFPVGNQAASAQN >ONIVA05G26680.2 pep chromosome:AWHD00000000:5:25486757:25492368:1 gene:ONIVA05G26680 transcript:ONIVA05G26680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel interactor of JAZ [Source:Projected from Arabidopsis thaliana (AT4G28910) TAIR;Acc:AT4G28910] MDDENGLELSLGLSLGGTSGKSKARDAPLEPKAEPQVEESSSKGVSQTPEAPFVHYYQTNAENQEHSSKQRHSPAAPPFGNFWGQPGSSSVPVADGSNEQKPVSSKRKLLSEEISFQKKPNTAAEQPDAFSKSSDGGVKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDAAVGFQGKRQPSFSGSESSSGKLPQGNPLSLQASNVVAVPYQVPSQVSAPPSITNASNFTPVCTVQLRPPTNNELAVTMGSTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAKDKVERAGISQADDGKKTQEAGASSSALVEDDKKSDRALPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGTHMTPEEFMRHASADAPGQENRGSNKSEL >ONIVA05G26680.3 pep chromosome:AWHD00000000:5:25486773:25492225:1 gene:ONIVA05G26680 transcript:ONIVA05G26680.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel interactor of JAZ [Source:Projected from Arabidopsis thaliana (AT4G28910) TAIR;Acc:AT4G28910] MDDENGLELSLGLSLGGTSGKSKARDAPLEPKAEPQVEESSSKGVSQTPEAPFVHYYQTNAENQEHSSKQRHSPAAPPFGNFWGQPGSSSVPVADGSNEQKPVSSKRKLLSEEISFQKKPNTAAEQPDAFSKSSDGGVKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDAAVGFQGKRQPSFSGSESSSGKLPQGNPLSLQASNVVAVPYQVPSQVSAPPSITNASNFTPVCTVQLRPPTNNELAVTMGSTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAKDKVERAGISQADDGKKTQEAGASSSALVEDDKKSDRALPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGTHMTPEEFMRHASADAPGQENSATLPAFPVGNQAASAQN >ONIVA05G26680.4 pep chromosome:AWHD00000000:5:25486764:25492225:1 gene:ONIVA05G26680 transcript:ONIVA05G26680.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel interactor of JAZ [Source:Projected from Arabidopsis thaliana (AT4G28910) TAIR;Acc:AT4G28910] MDDENGLELSLGLSLGGTSGKSKARDAPLEPKAEPQVEESSSKGVSQTPEAPFVHYYQTNAENQEHSSKQRHSPAAPPFGNFWGQPGSSSVPVADGSNEQKPVSSKRKLLSEEISFQKKPNTAAEQPDAFSKSSDGGVKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDAAVGFQGKRQPSFSGSESSSGKLPQGNPLSLQASNVVAVPYQVPSQVSAPPSITNASNFTPVCTVQLRPPTNNELAVTMGSTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAKDKVERAGISQADDGKKTQEAGASSSALVEDDKKSDRALPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGTHMTPEEFMRHASADAPGQENSATLPAFPVGNQAASAQN >ONIVA05G26680.5 pep chromosome:AWHD00000000:5:25488401:25492225:1 gene:ONIVA05G26680 transcript:ONIVA05G26680.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel interactor of JAZ [Source:Projected from Arabidopsis thaliana (AT4G28910) TAIR;Acc:AT4G28910] MDDENGLELSLGLSLGGTSGKSKARDAPLEPKAEPQVEESSSKGVSQTPEAPFVHYYQTNAENQEHSSKQRHSPAAPPFGNFWGQPGSSSVPVADGSNEQKPVSSKRKLLSEEISFQKKPNTAAEQPDAFSKSSDGGVKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDAAVGFQGKRQPSFSGSESSSGKLPQGNPLSLQASNVVAVPYQVPSQVSAPPSITNASNFTPVCTVQLRPPTNNELAVTMGSTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAKDKVERAGISQADDGKKTQEAGASSSALVEDDKKSDRALPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGTHMTPEEFMRHASADAPGQENSATLPAFPVGNQAASAQN >ONIVA05G26680.6 pep chromosome:AWHD00000000:5:25489776:25492225:1 gene:ONIVA05G26680 transcript:ONIVA05G26680.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel interactor of JAZ [Source:Projected from Arabidopsis thaliana (AT4G28910) TAIR;Acc:AT4G28910] MDDENGLELSLGLSLGGTSGKSKARDAPLEPKAEPQVEESSSKGVSQTPEAPFVHYYQTNAENQEHSSKQRHSPAAPPFGNFWGQPGSSSVPVADGSNEQKPVSSKRKLLSEEISFQKKPNTAAEQPDAFSKSSDGGVKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDAAVGFQGKRQPSFSGSESSSGKLPQGNPLSLQASNVVAVPYQVPSQVSAPPSITNASNFTPVCTVQLRPPTNNELAVTMGSTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAKDKVERAGISQADDGKKTQEAGASSSALVEDDKKSDRALPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGTHMTPEEFMRHASADAPGQENSATLPAFPVGNQAASAQN >ONIVA05G26670.1 pep chromosome:AWHD00000000:5:25485068:25485892:-1 gene:ONIVA05G26670 transcript:ONIVA05G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVVLASVATYSISQLLEILSPIPTVWLTCNHDVDVAQMHGELTCNDVVILSPIPTVWLTCDHDADAAQMRGELPHNDVVV >ONIVA05G26660.1 pep chromosome:AWHD00000000:5:25476600:25478700:-1 gene:ONIVA05G26660 transcript:ONIVA05G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTQYSSRTGSSPRKKFGKAYHLELKNLGLQEALQGRTFEKELVVSQLLYRLIGIFSMRRIDGAGAISSHSQDVSVPLALMATYKSGVFPSSYEILFPEQQEEACKMVEIALHAYAKQKDMPVDFLNCKEEKDVYLCCPLEENDNGYCFGCRVQHIKLRRPTSADYLGGHKDICSEYIDVEYCFDDY >ONIVA05G26650.1 pep chromosome:AWHD00000000:5:25472560:25475764:1 gene:ONIVA05G26650 transcript:ONIVA05G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAVKGTILPGITRKSIIDVALSKGFQVEERLVSVDELLEADEVFCTGTAVVVVEYAGNKGVGVVSQQLYTSLTSLQMGQAEDWLGWTVQLS >ONIVA05G26640.1 pep chromosome:AWHD00000000:5:25471932:25472147:-1 gene:ONIVA05G26640 transcript:ONIVA05G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGRARHDGRRGLGHRDDEPEVGPGSVAIATMTAVREFGGGGLKRGGGISGRGGRSRAMRNVERAVGV >ONIVA05G26630.1 pep chromosome:AWHD00000000:5:25465046:25466293:-1 gene:ONIVA05G26630 transcript:ONIVA05G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQQQKHEVDRATVAGAVAALFKWMRARAAEAPPNLLADERDDLLLLQLSLRRIPPSPTTKPRLLPLPHPVIVPGESASICVISDDRPKSRSPAASDLLDASRSHHLPVSEVIPLSALRTDYRPYESRRRLAASHDLFIADRAVLPLLPRVLGKAFYSTKKAPVAVDFARTGWLEQVRKVMNSTFLYLRTGTCSGIKVGRLDMKEEDTVENVMAAVEAAVENVPKKWANVRSLHLKAVDSVALPIYQAVPELGMKIEVRFAQLEGEVGSGEVIDASEAGAALKGVGKKKAKKALKHVEEENAGEEAAQESGKRKRGKKQLAEDLMEQDIQDVSEKRKKVKEGTPAAETPKISKKGKEKSKRALDKEGEDASVEEASNKKKKGKKEVVSDVGEKNSKVKKSNGDKEKRRTRLRV >ONIVA05G26620.1 pep chromosome:AWHD00000000:5:25459421:25463222:-1 gene:ONIVA05G26620 transcript:ONIVA05G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGSPARADHPHAAPMRLTRASSRRHVAQASQFAADCREGFFLTSFPTSIHPRTPPPTLGETKGGTLIELLLGILGCKTAAEHVFGYIDWIPEHHGFQFSPCYKRSLPATERYIRGEAPLLSVSNSTEDQTPNGLSEAIVVRKGLSSICQRLGQQLAFHLRELCSAVASEIHAKLARFLHRFWTTLQGSSKDIGWLKRTKTLPCSVDGTDRFKELLYGIRNGMHHLPNTLVYLFIPGLFSNHSPLYFVNTKRIFSKMGLTCHIARIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPIASDILRKGQIADKETRRIMELIICKLIKGDIRALEDLTYDKRRDFISKHKLPVDELPIISFHTEASTAPTMLVTLSRVAQAELLPWLPLPRFLSSSEYAESLLASLKLPVVVPASAAMAVSALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVHSTLRKDHAEADATQMCEALMAMLVEIGRKKCC >ONIVA05G26620.2 pep chromosome:AWHD00000000:5:25459421:25463222:-1 gene:ONIVA05G26620 transcript:ONIVA05G26620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGSPARADHPHAAPMRLTRASSRRHVAQASQFAADCREGFFLTSFPTSIHPRTPPPTLGETKGGTLIELLLGILGSLPATERYIRGEAPLLSVSNSTEDQTPNGLSEAIVVRKGLSSICQRLGQQLAFHLRELCSAVASEIHAKLARFLHRFWTTLQGSSKDIGWLKRTKTLPCSVDGTDRFKELLYGIRNGMHHLPNTLVYLFIPGLFSNHSPLYFVNTKRIFSKMGLTCHIARIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPIASDILRKGQIADKETRRIMELIICKLIKGDIRALEDLTYDKRRDFISKHKLPVDELPIISFHTEASTAPTMLVTLSRVAQAELLPWLPLPRFLSSSEYAESLLASLKLPVVVPASAAMAVSALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVHSTLRKDHAEADATQMCEALMAMLVEIGRKKCC >ONIVA05G26620.3 pep chromosome:AWHD00000000:5:25459421:25463222:-1 gene:ONIVA05G26620 transcript:ONIVA05G26620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGSPARADHPHAAPMRLTRASSRRHVAQASQFAADCREGFFLTSFPTSIHPRTPPPTLGETKGGTLIELLLGILGCKTAAEHVFGYIDWIPEHHGFQFSPCYKRSLPATERYIRGEAPLLSVSNSTEDQTPNGLSEAIVVRKGLSRLFSNHSPLYFVNTKRIFSKMGLTCHIARIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPIASDILRKGQIADKETRRIMELIICKLIKGDIRALEDLTYDKRRDFISKHKLPVDELPIISFHTEASTAPTMLVTLSRVAQAELLPWLPLPRFLSSSEYAESLLASLKLPVVVPASAAMAVSALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVHSTLRKDHAEADATQMCEALMAMLVEIGRKKCC >ONIVA05G26610.1 pep chromosome:AWHD00000000:5:25456244:25458776:-1 gene:ONIVA05G26610 transcript:ONIVA05G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21 [Source:Projected from Arabidopsis thaliana (AT4G30930) TAIR;Acc:AT4G30930] MATRRCLLRLLSRRLLAHTPQPASLASIATRTLASLAKPLVPQASRVLASPRLFPSRCHYASNRSSGDEEEGDDDDHYDEEGSGDEWGEEEEEAVAAKPPSGKTEEEKVAEAAEIGYTVVGPLGADEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLILNRVLMLGSQSQTVIGRPTLPDATVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGIDKSEATAVAA >ONIVA05G26600.1 pep chromosome:AWHD00000000:5:25449054:25449699:-1 gene:ONIVA05G26600 transcript:ONIVA05G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPFVTKSVAVIKPKLSDRKLDQKHTESWGSEELTNQKADADDEIVLHFGRRIQQPCGWIFCMRSQDPVKGDGCTFMGMECSQHAISE >ONIVA05G26590.1 pep chromosome:AWHD00000000:5:25440179:25447093:-1 gene:ONIVA05G26590 transcript:ONIVA05G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate 2 [Source:Projected from Arabidopsis thaliana (AT2G33770) TAIR;Acc:AT2G33770] MAGDWACHRLSERLNTRKSRTIQGLGKMDLYAIDSDTESYAETSDSEEDQEECELTYCGHAQNILSSLDESIGKIDNFLSFERGFLHGDIVCSAADPSGQLGRVVGVDMLVDLETSSGDIIKHVNSKKLSRVRSFVSGDCVVMGPWIGRVIRAFDLVTVVFSDGARCEMLLRDSEVLKPIPPILFEDAPYFYYPGQRVRIVHPSISKSGTWLCGSWKASRDEGVVSHVDVGLVHVNWITSVTNVWGGQSSSPPNFQDPKKLTLLSCFPYANWQLGDWCTLSDCEGSLWENSDKSCFMSMTWKSSSDTQMAIGTYGSDYSQTYVVAKTKSSVDVLWQDGSTSLGLEPQSLVPVSTLGDHDFWPGQFILEKLTVEDNGRCQRTGIVTSVDALERTVKVKWAVSVDSDTVSYGDGLTEETVSAYELVLHPDFSFFTGEVIIRSAVNIENSEANLTNGTVAVSRESLDTSSAFLSCIGNVLGYNDEGLEVQWASGAISRVQHFEIIALDRILDDSLESMIEEHTTDDLVDMAEQEKMHLEDTKSALEESAGDCTGSLRKATAFLFSKTAFNFLTNVASSLFGAHDSTSSSSVNADSQYQIVTTAELQPSAEDISEEKQTMELITLFEKPTLASENAMTKGFDVVTDCSDHHFVKEIGHENVKRGWVKKIQQEWSILQNDLPDGIHVRVYEERMDLLRACLVGAAGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWAGTGSEVWDPENSTVLQLLLSLQALVLNEKPYFNEAGYDKFMGKADGEKNSITYNENAFLLSCKSMTYILHKPPKHFENFVKEHFTCCAPHILDACKAYLGGDLVGHARDSAYISDDGCKNSSTGFKIMLAKLLPKLVTTFSEAGIPCSP >ONIVA05G26580.1 pep chromosome:AWHD00000000:5:25433953:25437901:-1 gene:ONIVA05G26580 transcript:ONIVA05G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAPKGYLCAGSSSFDDPDVVEVTPAAAAAGGWSSGHQKRKRSQVVPHEVIELDADDDPDGVVIIGEKSSVDKNKQAVGYPIDWLKHAKSSLAGEIAGPSSYPSKNPDILLGGLKIFQENPFYNNIDDYAYEAFEEDYGYDEDEYDDYDYDSTLYESEYNFTLAAKFDGLDIPPGVEAPLPWLQTTAAEMANKTKPVNMMDDKIDEKYSAFKQFDTVDDHSDHYYSKPDLRKVQVVKKPSKEWAKRIQHEWKVLEKDLPDTIFVRAYEDRMDLLRAVITGPAGTPYHDGLFFFDIYFPPHYPSVPPLVNYRSGGLRLNPNLYACGKVCLSLLNTWSGSGCERWSPSNSTMLQVLVSVQALVLNAKPYFNEPGYAMHANTPHGEKSSLTYNEDTFLLSCRTMLYSLRNPPKHFEDFIAGHFRKYGQSILIACRAYLDGAQVGCLVGNGVQDVDEGDKSCSARFKSALKRLFEELLMEFTVKGAECDKFLAEKAKAAASRAPADTTLRL >ONIVA05G26570.1 pep chromosome:AWHD00000000:5:25429958:25432666:-1 gene:ONIVA05G26570 transcript:ONIVA05G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRDPNPNHLLLVAVLLLLVPATVATAATYSDHCHGLPSAPDLAGGGGGGEGGADPTSLRLSLQLNTGYFSGGGARLFGPDLSIPPRSFSFLPSSVVRTTDASLLHVSATLTVSGGRRRRPPNDGRHLLVEYDGQAHRFRPRLPRFAGRRGSVTFGLEGYYSSASGELCMVGTGSGRAADGTAVNLLSAVLRVRYPGRANLTRPFVTGSLESTDSPSFFEPVSLVTYAEEGYAYAESASCPPPPTGRLDALQVFEGSKFSCAHLSSLFKATFRLDYTNGSSESTASSLGLHQRFMFINRMRCADDGAVRAYVVFANQTDVSAYYFMLGEKAMVVEGFWDEKRSRLCLKGCHVVNSGPSRADLAVGECGIGMSFWFPAVWSLQERSFAAGLVWNTSLKSGEGIAASSNTIAPYFRGSLSGLKYNYTKVDEAKKYYEKYGLNKKRKGKFPDSNSYRDLTFRFFLQKGGGSGYASPVTIGSMLYDGNSLVDSDHSYHIMKETNHRLLNVSYDIHYVGNWSLETFRRQHISAEGVYDAKTGSLCMIACRVVNISLDCEILVTAQFSPLDTKVAQHVKGTIRSLRKKTDPLFFEPLDIASYGLYIDKVDESIWRMDLESTMALISMTLSCLFIAVQLFHVKKVPEALPAMSIAMLVVLSLGYMIPLVLNFEALFKNSNKQTFPLSGGGWLEVNEVIVRIITMVTFLMQLRLLQLACSARSMDVSKDQSWAAEKKVLWICLPLYIIGAVAAWVVHMQFNNNRRMLRKVARLPRVNRHAFWEDLVSYGGLILDGFLLPQVILNACLGSKVKALSPGFYIGSTMIRALPHVYDVFRAKHFVPSLRPFYRYANPRDDLFSLAWDIAIPCGAILLSVLLFLQQRFGGAFFICSKNRKASEYEMVSTVSS >ONIVA05G26560.1 pep chromosome:AWHD00000000:5:25421585:25427317:-1 gene:ONIVA05G26560 transcript:ONIVA05G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G28380) TAIR;Acc:AT1G28380] MMRVLGFMGDGGGGGMMTAQAAAEAAVGAIGCGYDLTSDLRLSRVKAGGRLVDIDGASGAARRELVLPGGAVVGGVPVGIVADKGERTRFRSDVLSFAQMAEQVNQTMSVAGKIPSGAFNAMFDYRGCWHKDAAATGSLCFDGRFVELYAVEAPRAHLALLDRVKRDVPPFWDPAALAEFIDKYGTHVIAGVKMGGKDVVCIKQLKGSNLTQSDVQSRLKKLSDDKLAQDSPESLTARDDKFLLGLNGSLLLGPGSAAWRSFRPSVMSHKDDIVSIHIRRGGVDNGQGHSKWLSTISGSPDVISMAFVPITSLLTGVRGCGFLNHAVNLYLRYKPPIEELHQFLEFQVPRQWAPEFGELPLALGPRKKKNSLPSLQFTLMGPKLHVTTAKADSGNRPVTGIRLFLEGKKNNRLGVHLQHLSATPGTITIAGEVASAEDATVRERDYIEPIKSPLLSHVCTAPVQYNGARIDDCAAIVTRAWLEVQETCLKKVLFLRLGFSGVASTKIRRSEWDGPFVVSRKSGSLSALFSARLSAAGAGGSAQMMQQQQPVGDKVEVNSAIFPKGPPVPLPVQRMARYVDTTEVMRGPADLPGYWVVTGAKLCIEGGKVALKVKYSLLIAEDVTGSMEGEREDTGMVEGRRGDEIAYNPRG >ONIVA05G26560.2 pep chromosome:AWHD00000000:5:25421585:25427317:-1 gene:ONIVA05G26560 transcript:ONIVA05G26560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G28380) TAIR;Acc:AT1G28380] MMRVLGFMGDGGGGGMMTAQAAAEAAVGAIGCGYDLTSDLRLSRVKAGGRLVDIDGASGAARRELVLPGGAVVGGVPVGIVADKGERTRFRSDVLSFAQMAEQVNQTMSVAGKIPSGAFNAMFDYRGCWHKDAAATGSLCFDGRFVELYAVEAPRAHLALLDRVKRDVPPFWDPAALAEFIDKYGTHVIAGVKMGGKDVVCIKQLKGSNLTQSDVQSRLKKLSDDKLAQDSPESLTARDDKFLLGLNGSLLLGPGSAAWRSFRPSVMSHKDDIVSIHIRRGGVDNGQGHSKWLSTISGSPDVISMAFVPITSLLTGVRGCGFLNHAVNLYLRCKHFVPRQWAPEFGELPLALGPRKKKNSLPSLQFTLMGPKLHVTTAKADSGNRPVTGIRLFLEGKKNNRLGVHLQHLSATPGTITIAGEVASAEDATVRERDYIEPIKSPLLSHVCTAPVQYNGARIDDCAAIVTRAWLEVQETCLKKVLFLRLGFSGVASTKIRRSEWDGPFVVSRKSGSLSALFSARLSAAGAGGSAQMMQQQQPVGDKVEVNSAIFPKGPPVPLPVQRMARYVDTTEVMRGPADLPGYWVVTGAKLCIEGGKVALKVKYSLLIAEDVTGSMEGEREDTGMVEGRRGDEIAYNPRG >ONIVA05G26560.3 pep chromosome:AWHD00000000:5:25421585:25427317:-1 gene:ONIVA05G26560 transcript:ONIVA05G26560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G28380) TAIR;Acc:AT1G28380] MMRVLGFMGDGGGGGMMTAQAAAEAAVGAIGCGYDLTSDLRLSRVKAGGRLVDIDGASGAARRELVLPGGAVVGGVPVGIVADKGERTRFRSDVLSFAQMAEQVNQTMSVAGKIPSGAFNAMFDYRGCWHKDAAATGSLCFDGRFVELYAVEAPRAHLALLDRVKRDVPPFWDPAALAESVRLPPSRAARPAFVAFAATPVATDSSVLTWSLPNFLFRFIDKYGTHVIAGVKMGGKDVVCIKQLKGSNLTQSDVQSRLKKLSDDKLAQDSPESLTARDDKFLLGLNGSLLLGPGSAAWRSFRPSVMSHKDDIVSIHIRRGGVDNGQGHSKWLSTISGSPDVISMAFVPITSLLTGVRGCGFLNHAVNLYLRYKPPIEELHQFLEFQVPRQWAPEFGELPLALGPRKKKNSLPSLQFTLMGPKLHVTTAKADSGNRPVTGIRLFLEGKKNNRLGVHLQHLSATPGTITIAGEVASAEDATVRERDYIEPIKSPLLSHVCTAPVQYNGARIDDCAAIVTRAWLEVQETCLKKVLFLRLGFSGVASTKIRRSEWDGPFVVSRKSGSLSALFSARLSAAGAGGSAQMMQQQQPVGDKVEVNSAIFPKGPPVPLPVQRMARYVDTTEVMRGPADLPGYWVVTGAKLCIEGGKVALKVKYSLLIAEDVTGSMEGEREDTGMVEGRRGDEIAYNPRG >ONIVA05G26550.1 pep chromosome:AWHD00000000:5:25412268:25418055:1 gene:ONIVA05G26550 transcript:ONIVA05G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15180) TAIR;Acc:AT3G15180] MEAPAMEPGEMEAMLRAAAEFASYPGNGPSSPFVNDWFFSPANYSGAHGDDTVRQFLEQYPLPKLLGVRLMYLGWMKLLPHAWTKYFLRDMEHHFCQAMAFIQAGLLANSKNTRQLACKAVIHLLDKAGDSAVVVDTFVQHNLYPLLMNCLTEGDEEISAISLDGIKRLAQIPKGIEIIFPPNGQGSVQLHKVAAQSSSMARIRILSLIAKLFAVSTYTATAIYDSNLLSLFEDEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLMVDVINDSSADSIVRSRATLISGRLLSSADAFTTIDRNCVANLLLAIDKLLKMEESLNTDETESALEALGLIGTTSAGACLLLTDSSNAARHVVEASFGRQGRGKQLAALHAFGSISGVDRQEDQIKLDNQAEERLKRFVYTTARNSPKLTPSALLLSVLQQDPDIRITVSSTCLLKLEPVWNTGSIPDFSWDLTISRGTPGYRVISGLVAREWCLMEICSKLDIINLVTDPKMEMTKLGMEARHDCCLAISKALSSSHLLHEPSLSELIGKLNEAVKRGPYLSERKRVEPQPVVVPAERF >ONIVA05G26550.2 pep chromosome:AWHD00000000:5:25412268:25418055:1 gene:ONIVA05G26550 transcript:ONIVA05G26550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15180) TAIR;Acc:AT3G15180] MEAPAMEPGEMEAMLRAAAEFASYPGNGPSSPFVNDWFFSPANYSGAHGDDTVRQFLEQYPLPKLLGVRLMYLGWMKLLPHAWTKYFLRDMEHHFCQAMAFIQAGLLANSKNTRQLACKAVIHLLDKAGDSAVVVDTFVQHNLYPLLMNCLTEGDEEISAISLDGIKRLAQIPKGIEIIFPPNGQGSVQLHKVAAQSSSMARIRILSLIAKLFAVSTYTATAIYDSNLLSLFEDEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLMVDVINDSSADSIVRSRATLISGRLLSSADAFTTIDRNCVANLLLAIDKLLKMEESLNTDETESALEALGLIGTTSAGACLLLTDSSNAARHVVEASFGRQGRGKQLAALHAFGSISGVDRQEDQIKLDNQAEERLKRFVYTTARNSPKLTPSALLLSVLQQDPDIRITGYRVISGLVAREWCLMEICSKLDIINLVTDPKMEMTKLGMEARHDCCLAISKALSSSHLLHEPSLSELIGKLNEAVKRGPYLSERKRVEPQPVVVPAERF >ONIVA05G26550.3 pep chromosome:AWHD00000000:5:25412268:25418055:1 gene:ONIVA05G26550 transcript:ONIVA05G26550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15180) TAIR;Acc:AT3G15180] MEAPAMEPGEMEAMLRAAAEFAVRLMYLGWMKLLPHAWTKYFLRDMEHHFCQAMAFIQAGLLANSKNTRQLACKAVIHLLDKAGDSAVVVDTFVQHNLYPLLMNCLTEGDEEISAISLDGIKRLAQIPKGIEIIFPPNGQGSVQLHKVAAQSSSMARIRILSLIAKLFAVSTYTATAIYDSNLLSLFEDEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLMVDVINDSSADSIVRSRATLISGRLLSSADAFTTIDRNCVANLLLAIDKLLKMEESLNTDETESALEALGLIGTTSAGACLLLTDSSNAARHVVEASFGRQGRGKQLAALHAFGSISGVDRQEDQIKLDNQAEERLKRFVYTTARNSPKLTPSALLLSVLQQDPDIRITVSSTCLLKLEPVWNTGSIPDFSWDLTISRGTPGYRVISGLVAREWCLMEICSKLDIINLVTDPKMEMTKLGMEARHDCCLAISKALSSSHLLHEPSLSELIGKLNEAVKRGPYLSERKRVEPQPVVVPAERF >ONIVA05G26550.4 pep chromosome:AWHD00000000:5:25412268:25418055:1 gene:ONIVA05G26550 transcript:ONIVA05G26550.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15180) TAIR;Acc:AT3G15180] MEAPAMEPGEMEAMLRAAAEFAVRLMYLGWMKLLPHAWTKYFLRDMEHHFCQAMAFIQAGLLANSKNTRQLACKAVIHLLDKAGDSAVVVDTFVQHNLYPLLMNCLTEGDEEISAISLDGIKRLAQIPKGIEIIFPPNGQGSVQLHKVAAQSSSMARIRILSLIAKLFAVSTYTATAIYDSNLLSLFEDEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLMVDVINDSSADSIVRSRATLISGRLLSSADAFTTIDRNCVANLLLAIDKLLKMEESLNTDETESALEALGLIGTTSAGACLLLTDSSNAARHVVEASFGRQGRGKQLAALHAFGSISGVDRQEDQIKLDNQAEERLKRFVYTTARNSPKLTPSALLLSVLQQDPDIRITGYRVISGLVAREWCLMEICSKLDIINLVTDPKMEMTKLGMEARHDCCLAISKALSSSHLLHEPSLSELIGKLNEAVKRGPYLSERKRVEPQPVVVPAERF >ONIVA05G26540.1 pep chromosome:AWHD00000000:5:25401553:25404913:-1 gene:ONIVA05G26540 transcript:ONIVA05G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVVLMVVVCLAVAAAAASAASGGGHSRGRPGKSARLQLVPAAPGASMAERARDDRRRHEYISARLAASRRRRRAEETSSVSSAGAVAASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFVLIADTGSDLTWVKCRGAASPSHATATASPAAAPSPAVAPPRVFRPGDSKTWSPIPCSSETCKSTIPFSLANCSSSTAACSYDYRYNDNSAARGVVGTDSATVALSGGRGGGGGGDRKAKLQGVVLGCTTAHAGQGFEASDGVLSLGYSNISFASRAASRFGGRFSYCLVDHLAPRNATSYLTFGAGPDTASSSAPAPGSRTPLLLDARVRPFYAVAVDSVSVDGVALDIPAEVWDVGSNGGTIIDSGTSLTVLATPAYKAVVAALSEQLAGLPRVAMDPFDYCYNWTARGDGGGDLAVPKLAVQFAGSARLEPPAKSYVIDAAPGVKCIGVQEGAWPGVSVIGNILQQEHLWEFDLNNRWLRFRQTSCTQ >ONIVA05G26530.1 pep chromosome:AWHD00000000:5:25399146:25403238:1 gene:ONIVA05G26530 transcript:ONIVA05G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >ONIVA05G26520.1 pep chromosome:AWHD00000000:5:25394691:25397034:1 gene:ONIVA05G26520 transcript:ONIVA05G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRQGQRVRLYVRGTILGYKRSKSNQYENTSLLQIEGVNTKEEVGWYAGKRIAYVYKAKTKSNDSTIRCIWGKVTRPHGNSGVVRAKFRSNLPPTSMESQSRSGSWPHPNLTELGWQEEFTGIPGMVSGFQLFVERFLAYAAKLIVVTVEGFISASHLVNQSEI >ONIVA05G26510.1 pep chromosome:AWHD00000000:5:25389625:25390776:-1 gene:ONIVA05G26510 transcript:ONIVA05G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAVAAAMAGPRRRRMLLLMANYAALLVGSVASSLLSRFYFTHGGRNRWVVTLVQSAGFPLLVAGALAGGRPASAPRPFTWLSRRFLAVCLVIGALMGANNLLFAYSTSLLPVSTSSLLLSTQLAFTLVLAVVIVRHPVTFVNLNAVVLLTLSSVLLALRSGDSGETAEGGVGGGGRKNYLVGFVVTLGAAGLFSAYLPVMELVYREAVSGGFVLAVEVQAVMQAMASLIAAVGLAASGGVADDVSGWVDGGSSPAVYWAVVATLVLTWQACFMGTAGVIYLTSSLHSGVCMAAVLTLNVLGGVAVFGDPFGAEKALATALCAWGFSSYLYGEYAKAKKVAAAAAAAAAEEEESASDGEGSVHKSLTGGGAAGGHVETAV >ONIVA05G26500.1 pep chromosome:AWHD00000000:5:25383188:25387987:1 gene:ONIVA05G26500 transcript:ONIVA05G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRLLKDDATEEKGERARMASFVGGMAISDLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIADKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVDAVLRLKGSTNLEAIQILKKTGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLYGGGWPEMVMAKEVDGLARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHKEQSTAGIDVISGGVGDMEKLGISESFKAKQAVLMSATEAAEMILRVDEIVTCAPRRREDRIHIWDGRVPTVDLQR >ONIVA05G26500.2 pep chromosome:AWHD00000000:5:25383300:25387987:1 gene:ONIVA05G26500 transcript:ONIVA05G26500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWASDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIADKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVDAVLRLKGSTNLEAIQILKKTGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLYGGGWPEMVMAKEVDGLARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHKEQSTAGIDVISGGVGDMEKLGISESFKAKQAVLMSATEAAEMILRVDEIVTCAPRRREDRIHIWDGRVPTVDLQR >ONIVA05G26500.3 pep chromosome:AWHD00000000:5:25383244:25387857:1 gene:ONIVA05G26500 transcript:ONIVA05G26500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWASDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGFRMAAECARDALLQRAMDNKEDSDKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVDAVLRLKGSTNLEAIQILKKTGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLYGGGWPEMVMAKEVDGLARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHKEQSTAGIDVISGGVGDMEKLGISESFKAKQAVLMSATEAAEMILRVDEIVTCAPRRREDRM >ONIVA05G26490.1 pep chromosome:AWHD00000000:5:25374585:25379411:1 gene:ONIVA05G26490 transcript:ONIVA05G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGEAVAVARDVASSSPAKPPSALDMMRFQRVSPDCLPLPNGGGGGGGSRKPAAGPRSSKDDDAPAAAAAADSARTSPYLAAASASLESKPRTRAPPPPPPAPAPAPPSSSSAAAAPGRSPARERERDHGHHPSDISDPTSPSSTGVGGGGAGGSGSAVPDSAVLLQWGHNKRSRGRRDASASSSSAAAPSPQRRQAPAAAGVKIQRRSSAPAEKLMPPPSTTPSRSSTLRVTSSLPARGGGGGDAHHHGRSALPHHSSIHRCSGEFAFVAMLEFDGMMMIRSGEQSAAATKAEKQQQRPAAHRVAEAGGVVMALAKTDSKYQHHTGHGGHGQAADHHGPSSSSKAATAAAQKIELPRIYTTLSRKEKEEDFMAMKGTKLPQRPKKRPKLVEKQVNFVCPGMWLSDVTRSKYIVREKKSTKKLYSPFATKIQGTERDGEHGQRLRVIAKTRSATHRDSSTSGVNFALEPGEKSRKRETSDLRLWLQG >ONIVA05G26490.2 pep chromosome:AWHD00000000:5:25374585:25380412:1 gene:ONIVA05G26490 transcript:ONIVA05G26490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGEAVAVARDVASSSPAKPPSALDMMRFQRVSPDCLPLPNGGGGGGGSRKPAAGPRSSKDDDAPAAAAAADSARTSPYLAAASASLESKPRTRAPPPPPPAPAPAPPSSSSAAAAPGRSPARERERDHGHHPSDISDPTSPSSTGVGGGGAGGSGSAVPDSAVLLQWGHNKRSRGRRDASASSSSAAAPSPQRRQAPAAAGVKIQRRSSAPAEKLMPPPSTTPSRSSTLRVTSSLPARGGGGGDAHHHGRSALPHHSSIHRCSGEFAFVAMLEFDGMMMIRSGEQSAAATKAEKQQQRPAAHRVAEAGGVVMALAKTDSKYQHHTGHGGHGQAADHHGPSSSSKAATAAAQKIELPRIYTTLSRKEKEEDFMAMKGTKLPQRPKKRPKLVEKQVNFVCPGMWLSDVTRSKYIVREKKSTKKLYSPFATKIQGTERDGEHGQRLRVIAKTRSATHRDSSTSGVNFALEPGEKSRKRET >ONIVA05G26490.3 pep chromosome:AWHD00000000:5:25374585:25380412:1 gene:ONIVA05G26490 transcript:ONIVA05G26490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGEAVAVARDVASSSPAKPPSALDMMRFQRVSPDCLPLPNGGGGGGGSRKPAAGPRSSKDDDAPAAAAAADSARTSPYLAAASASLESKPRTRAPPPPPPAPAPAPPSSSSAAAAPGRSPARERERDHGHHPSDISDPTSPSSTGVGGGGAGGSGSAVPDSAVLLQWGHNKRSRGRRDASASSSSAAAPSPQRRQAPAAAGVKIQRRSSAPAEKLMPPPSTTPSRSSTLRVTSSLPARGGGGGDAHHHGRSALPHHSRSGEQSAAATKAEKQQQRPAAHRVAEAGGVVMALAKTDSKYQHHTGHGGHGQAADHHGPSSSSKAATAAAQKIELPRIYTTLSRKEKEEDFMAMKGTKLPQRPKKRPKLVEKQVNFVCPGMWLSDVTRSKYIVREKKSTKKLYSPFATKIQGTERDGEHGQRLRVIAKTRSATHRDSSTSGVNFALEPGEKSRKRET >ONIVA05G26490.4 pep chromosome:AWHD00000000:5:25374585:25380412:1 gene:ONIVA05G26490 transcript:ONIVA05G26490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGEAVAVARDVASSSPAKPPSALDMMRFQRVSPDCLPLPNGGGGGGGSRKPAAGPRSSKDDDAPAAAAAADSARTSPYLAAASASLESKPRTRAPPPPPPAPAPAPPSSSSAAAAPGRSPARERERDHGHHPSDISDPTSPSSTGVGGGGAGGSGSAVPDSAVLLQWGHNKRSRGRRDASASSSSAAAPSPQRRQAPAAAGVKIQRRSSAPAEKLMPPPSTTPSRSSTLRVTSSLPARGGGGGDAHHHGRSALPHHSRSGEQSAAATKAEKQQQRPAAHRVAEAGGVVMALAKTDSKYQHHTGHGGHGQAADHHGPSSSSKAATAAAQKIELPRIYTTLSRKEKEEDFMAMKGTKLPQRPKKRPKLVEKQVNFVCPGMWLSDVTRSKYIVREKKSTKKQQKYRGLKGMESMDSDSE >ONIVA05G26490.5 pep chromosome:AWHD00000000:5:25376413:25381854:1 gene:ONIVA05G26490 transcript:ONIVA05G26490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKTDSKYQHHTGHGGHGQAADHHGPSSSSKAATAAAQKIELPRIYTTLSRKEKEEDFMAMKGTKLPQRPKKRPKLVEKQVNFVCPGMWLSDVTRSKYIVREKKSTKKQQKYRGLKGMESMDSDSE >ONIVA05G26490.6 pep chromosome:AWHD00000000:5:25376234:25381854:1 gene:ONIVA05G26490 transcript:ONIVA05G26490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSTTPSRSSTLRVTSSLPARGGGGGDAHHHGRSALPHHSRSGEQSAAATKAEKQQQRPAAHRVAEAGGVVMALAKTDSKYQHHTGHGGHGQAADHHGPSSSSKAATAAAQKIELPRIYTTLSRKEKEEDFMAMKGTKLPQRPKKRPKLVEKQVNFVCPGMWLSDVTRSKYIVREKKSTKKQQKYRGLKGMESMDSDSE >ONIVA05G26490.7 pep chromosome:AWHD00000000:5:25376413:25381854:1 gene:ONIVA05G26490 transcript:ONIVA05G26490.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKTDSKYQHHTGHGGHGQAADHHGPSSSSKAATAAAQKIELPRIYTTLSRKEKEEDFMAMKGTKLPQRPKKRPKLVEKQVNFVCPGMWLSDVTRSKYIVREKKSTKKVHILLYRFYPHPLSLICIKLVSI >ONIVA05G26490.8 pep chromosome:AWHD00000000:5:25377055:25381854:1 gene:ONIVA05G26490 transcript:ONIVA05G26490.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFDGMMMIRSGEQSAAATKAEKQQQRPAAHRVAEAGGVVMALAKTDSKYQHHTGHGGHGQAADHHGPSSSSKAATAAAQKIELPRIYTTLSRKEKEEDFMAMKGTKLPQRPKKRPKLVEKQVNFVCPGMWLSDVTRSKYIVREKKSTKKVHILLYRFYPHPLSLICIKLVSI >ONIVA05G26480.1 pep chromosome:AWHD00000000:5:25365849:25369405:1 gene:ONIVA05G26480 transcript:ONIVA05G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSAAAVAVLVVAFAAAAAAQESCNTELPGVLVGNYSGLNCQPVWNNFVLRYHQDKNNVLRVVLSTMYSTGWVGMGFSRDGLMVGSSAMVGWIGRKGLPHVKQFALRGKTSGKVVVNRGFLVSNSNNHDHTVVVQQARIYLAFQLQFSYRLTHQHIILAFGSSIPVNNKLTKHQDKTSFTFDFTTGKAFVDGSFPYGLRRAHGALNLFAWGVLMPIGAILARYFRRMDPLWFYLHVGVQFVAFIIGLAGVVAGVALYNKIQADIPAHRGLGIFILVLGILQILAFFLRPNTDSKYRKYWNWYHHWSGRLVLFFAAVNIVLGIHVGGGDNSWKIGYGFNLAIILLAVIGLEFMLRTRWSKESEPTPTY >ONIVA05G26470.1 pep chromosome:AWHD00000000:5:25360284:25364808:1 gene:ONIVA05G26470 transcript:ONIVA05G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSTADPASSSTSTHSTTTTSPTPTPSPSSLRKRVLSIDTSSRDSRGSPGRERESKARQEEEEEESVMASAAASEAERERDVEAAVPVPMSPAGRLFRETNFNCYIVAVIGLGARVDVAAARAGLEATLVRHPRFCSVQVSDEASKRAKPRWVRTTVNLDDHLIFPELDPTATSASPDQVIEDYMSTLSTQPMDHSRPLWELHVLDFPTSEAAATVAVRMHHSLGDGRRRPGEAAGAAAGAGAAGRASVRTPGIVALAAWAWSYLVLALHTLVDVACFVATSLFLRDARTPLMGTEGVEFRRKRFVHCTLSLDDVKLVKNAMKCTVNDVLVGVTSAALSRYYFRKENDTNSEKRTRRKHIRVRSALLVNIRKTPGLHVLAEMMNSNKNNVARWGNLIGYIVLPFRIAMFHDPLEYIRQGKRTVDRKKSSLEAIFTYWSGNLIVKLFGIKTAAALCHGMLANTTLSFSSMVGPAEKVEFYGHPIEYIAPSVYGHPHALTVHYQSYMNIIKLVLAVDDAQFPDAHQLLDDFAESLRLIRQAASTKS >ONIVA05G26460.1 pep chromosome:AWHD00000000:5:25357647:25358434:1 gene:ONIVA05G26460 transcript:ONIVA05G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGERAEGKRNGRQGSMVAGKRRGAVTAEVAEKGAWRRWASSAALLCQPCRRRRRERRAERTERTSTSFSPLVPLTKYTLSRVPVLILALSVSDPNVPLGPVVDESYTLSVLLDSGSADISATTPWGMGRHPICPPPAHRHFVRRPRSLVAVVRRSAAARTRCLSRPPPAHRAHSVKKKGKRRGGEENKEKRRGRCVTDMWAPTDLNKSRC >ONIVA05G26450.1 pep chromosome:AWHD00000000:5:25349373:25354468:-1 gene:ONIVA05G26450 transcript:ONIVA05G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHLPRKRFTDFALVRKEIADETDRETGRSKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSFIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQQQWIGVVNRSQQDINKNVDMIAARRREREYFSTTPEYKHLAHRMGSEHLAKSLSKHLETVIKSRIPGLQSLITKTIAELETELNRLGKPIATDAGGKLYTIMEICRMFDGIYKEHLDGVRPGGEKIYHVFDNQFPVAIKRLQFDKQLAMENVKKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHAILKELVHKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGTTVLAYINMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREIKQLSKLLDEDPAVMERRTNLAKRLELYRSAQAEIDAVAWSK >ONIVA05G26440.1 pep chromosome:AWHD00000000:5:25341686:25351407:1 gene:ONIVA05G26440 transcript:ONIVA05G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13400) TAIR;Acc:AT4G13400] MEIGRLVRTQTQPGWAPTTRGGGRRRPLALALGRLSSRRPVRCRCLAAMQTSPPPPSVRTVSIPFSDLKERDRDLSGKIEEGLGPNGLGIISIADVPGFPVLRKTLLRLAPKVANLPEDVKKELEDPDSRFNFGWSHGKEKLESGKLDTFKGSFYANPILDAPTTDDVLVRRLYSIYLTGCLVSQGAKSFKALGKLMLEVGLMLAHHCDRYVMQQGVGPYDGESLEQTIASSRCHKGRLLYYYPRQFSKQEEGGSVSSWCGWHTDHGSLTGLTCALFTKNSMEIPCPDSAAGLYIRTRDDKVVKHIIQERVMWSALIEILLHKVTFEENELAYQVGETTEILSRGRLCATPHCVKAPSSENASNVDRSTFAMFMQPDWDEKLKFPSEIPYHQELIPPNGTLTFGEYSERLVNKYYQGKT >ONIVA05G26440.2 pep chromosome:AWHD00000000:5:25341686:25351407:1 gene:ONIVA05G26440 transcript:ONIVA05G26440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13400) TAIR;Acc:AT4G13400] MEIGRLVRTQTQPGWAPTTRGGGRRRPLALALGRLSSRRPVRCRCLAAMQTSPPPPSVRTVSIPFSDLKERDRDLSGKIEEGLGPNGLGIISIADVPGFPVLRKTLLRLAPKVANLPEDVKKELEDPDSRFNFGWSHGKEKLESGKLDTFKGSFYANPILDAPTTDDVLVRRYPSYCRTNIWPASHLPELEIAFKALGKLMLEVGLMLAHHCDRYVMQQGVGPYDGESLEQTIASSRCHKGRLLYYYPRQFSKQEEGGSVSSWCGWHTDHGSLTGLTCALFTKNSMEIPCPDSAAGLYIRTRDDKVVKVTFEENELAYQVGETTEILSRGRLCATPHCVKAPSSENASNVDRSTFAMFMQPDWDEKLKFPSEIPYHQELIPPNGTLTFGEYSERLVNKYYQGKT >ONIVA05G26430.1 pep chromosome:AWHD00000000:5:25339195:25339632:1 gene:ONIVA05G26430 transcript:ONIVA05G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLQLPCPCQEATAVATSGVKKRRKKSPATAAVRSSKAAAAASSRHVVPVIDTPGEEEEMRMAWPGCHVEPAVDGGDGMRVKVVMKRKDAAELMARLEERCAVERKAKMVELNAGLRGGHGHGHGGGGARDAWAPRLVAIPEN >ONIVA05G26420.1 pep chromosome:AWHD00000000:5:25323739:25337666:1 gene:ONIVA05G26420 transcript:ONIVA05G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQGLALKLRAAPAAAAAAGGVRGEKRRRAASATAAAAARPRHGAMSLEGGFLGGALPAEDRVAPRASASRQAEAGAGAGAARPPPRSMSKIPESSIGLYDPSMERDSCGVGFIAELSGEYNRKTVTKDAGFELPPPGEYAVGMFFMPTDDKRREKSKLLFREKAELLGHTVLGWRRVPTDNSGLGQSAVDTEPVIEQVFVTKSASSKADFERQMYVLRRFSVMSIREVLGVKNGGPKDFYMCSLSSRTIVYKGQLKPSQLKGYFFADLGDESFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMKAREGLLKCEGLGLTRDEMLKLLPIVDATSSDSGAIDNVLELLIQSGRSAPEAVMMMIPEAWQNDVNMDPERKALYEFFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTYSGRVIMASEVGVVDVPPQDVSRKGRLNPGMMLLVDFENHCVVNDDELKKEYSKVRPYGEWLKRQRIQLTDIIESVNEAERIAPSISGALPITKENKADMGICGILTPLKAFGYTREALEMLMLPMAKDGQEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLSETTERQCHRLTLKSPLLNTNEMEAIKKMNYRGWRSKVLDITYPKKNGRMGLKQTLDKICAQAREAIHEGYTILVLSDRERVAVSSLLAVGAVHQHLVSHLERTRIGLLVESAEPREVHHFSTLIGFGADAICPYLAIEAIWRLQIDGRIPPNDGKPYTQEQLIEKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLASEVVSKCFEGTPSRVEGATFEMLAQDALRLHEIAFPSRTLPPGSADANALPNPGDYHWRKNGEVHLNDPFSIAKLQEAARINSREAYKEYSRRIYELNKACTLRGMLKFREIPNQISLDEVEPAKEIVKRFCTGAMSYGSISLEAHTSLAEAMNTLGGKSNTGEGGEQPCRMVPLPDGSKNPRISAIKQVASGRFGVSIYYLTNAVEVQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGIVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQMKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPAGIATQDPVLRAKFAGKPEHVINYFFMLAEEVREIMAQLGFRTVNEMVGRSDMLEIDPKVLEGNEKLENIDLSRLLKPAAEISPGAVQYCVEKQDHGLDMALDNKLIASSTAALRKGVRVFIETPVRNINRAVGTMLSHEVTKRYHIHGLPSDTIHIKLNGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSRFNPQDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTAVILGKTGRNFAAGMSGGIAYVYDVDGKFSSRCNYELVDLYAVVEEDDITTLRMMIQQHRLHTQSDLARDVLLNFDTLLPKFIKVYPRDYKRVLDKLKEEKAAKEAEQKAREVVDKKPVEVIQAPNGISVKTEKVMNEEPSSRPSRVSNAVKYRGFIKYEREGTSYRDPNERVKDWNEVAIELVPGPLLKTQSARCMDCGTPFCHQEGSGAGCPLGNKIPEFNELVHQNRWHEALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIDNPVSIKSIECAIIDKGFEEGWMVPRPPLRRTGKRVAIVGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKADKEGIVQRRVELMAKEGVQFIVNAHVGSDPLYSVEKLRSENDAIILACGATKPKDLPIPGRELAGIHFAMEFLHANTKSLLDSNLEDGNYISAQGRKVVVIGGGDTGTDCIGTSIRHGCTNLVNLELLPEPPRKRAPDNPWPQWPRIFRVDYGHQEATSKFGKDPRSYKVLTKRFIGDENGNVKALEVIRVEWGKVNGRFQFKEVEGSEEIIEADLVLLAMGFLGPEATVANKLGLEQDMRSNFKAQFGNFATNVEGVFAAGDCRRGQSLVVWAITEGRQAAAAVDNYLSKDDEGETNGTEDIAVSSEGLVQPVVA >ONIVA05G26420.2 pep chromosome:AWHD00000000:5:25323215:25323861:1 gene:ONIVA05G26420 transcript:ONIVA05G26420.2 gene_biotype:protein_coding transcript_biotype:protein_coding NFRAEREEAIHTTLPRGGEAKKPSDRRGEKAAGEPQFAGDLHPSEVKRKRQFKSSTESFKIAVLLY >ONIVA05G26410.1 pep chromosome:AWHD00000000:5:25318130:25320426:1 gene:ONIVA05G26410 transcript:ONIVA05G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVCRWPLTPEASGIRFESHSTRGAGPKQAPDDGRPAPGKLREVIAPWAPVPPKVTGLRNPEGFPKSGRGAPEATLGRGSSEGPLKPDMPAGADHVSCALTRQAKNQALVDVNKYALRAPTNSDTVDGCQAEHAITQGMPLPGLGNTRKLKEIIWTGDPEDHKKNLYVLTTLIVIFPVDKSTHWFWSH >ONIVA05G26400.1 pep chromosome:AWHD00000000:5:25314991:25316093:1 gene:ONIVA05G26400 transcript:ONIVA05G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCVVEFIDVASRWSGRPRRRRRQRGAPLGRISHAGAGGGGGGAQEERTILKGITDKVRPWEVLSVLGPSGSGKSTLVSILGGRLAGRHAGMVLAGGRAPCRAVQRRAGFVAQDDVLHPHLTVCETLLFCAMLRLPTSAPATAEAAAAEAVISELGLASCADTIVGNAFVRSVASSAPCSASRPPRARTPPPPAAAQHAGRRPLLLPAAKRTKKKREKRKGKFDVAR >ONIVA05G26390.1 pep chromosome:AWHD00000000:5:25310260:25311649:-1 gene:ONIVA05G26390 transcript:ONIVA05G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32590) TAIR;Acc:AT4G32590] MAATSSTSTALFSLAIPSSRVVSTRGHRKASSGSGPFRAARIRCSNAASPNASAGAASAEEAAPPPKPQIDLEFVGPKADADGSFPVDRAAADSGEKLLRDVMVENKIELYAAYGKVMNCGGGGSCGTCIVEIVDGKELLNERTNTENRYLKKKPESWRLACQTIVGNKENSGKALQDYKLSSNVCPSGKNDCSAHVMH >ONIVA05G26390.2 pep chromosome:AWHD00000000:5:25310260:25311649:-1 gene:ONIVA05G26390 transcript:ONIVA05G26390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32590) TAIR;Acc:AT4G32590] MAATSSTSTALFSLAIPSSRVVSTRGHRKASSGSGPFRAARIRCSNAASPNASAGAASAEEAAPPPKPQIDLEFVGPKADADGSFPVDRAAADSGEKLLRDVMVENKIELYAAYGKVMNCGGGGSCGTCIVEIVDGKELLNERTNTENRTRLQVYHKNEGLSSNVCPSGKNDCSAHVMH >ONIVA05G26390.3 pep chromosome:AWHD00000000:5:25310260:25311649:-1 gene:ONIVA05G26390 transcript:ONIVA05G26390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32590) TAIR;Acc:AT4G32590] MAATSSTSTALFSLAIPSSRVVSTRGHRKASSGSGPFRAARIRCSNAASPNASAGAASAEEAAPPPKPQIDLEFVGPKADADGSFPVDRAAADSGEKLLRDVMVENKIELYAAYGKVMNCGGGGSCGTCIVEIVDGKELLNERTNTENRLSSNVCPSGKNDCSAHVMH >ONIVA05G26380.1 pep chromosome:AWHD00000000:5:25299431:25302370:-1 gene:ONIVA05G26380 transcript:ONIVA05G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADVRARESLFIPIDLNEKSSHEKQDEEEIHLRQLEQLLAPFNPWEAGDPELEEWQCARPKTRHPTPPPPPPRRCHLLFTMKLSVKRGQAMWSRKETPRFSAERAGGFPLRLARLAADGSPRLELEEDGGRVGALSCFESRPWPWSRREEVVALAAADSVPVRVVAVIRRFPVAGGDRLAIVSNMRMASLVVRLHDKLFVLPPDGSTVTIAFCERWFRVPSNWTEEKLYARVGHDFINITAALEDLARTLYQMYEQEEQKKMVLQEKQEQEKRKQEELNREREELEMMPLACIPLGHGEMQWESPCEAMHRRFKLSMGTDGEVRCNFVEQECPHVMRRRLACDGRFILPLTTMKLQVVGFVEGYSDPETIGFTKQRSYIETLRPVAMVSVLPQEHTQVDQILSFKFLVDNVPIRLNDGIILTGWSGITEALPAKCRNDRKRRHDLGLSAIFALEAEEVQGLLYHQEQLENQDFRLNELSLSGEPSVGGEGEAEYSLLFESPGESDWVKVSEPYVPKFPTEEEIRKREECRKEQLKLVMAPIIRPVQEPRRGINYFMSEPRSRSTREAELPMHEPYSVLLYYWTLSDKSECIGEGEECSLYSGQGLAKIHLFNIVSSVV >ONIVA05G26370.1 pep chromosome:AWHD00000000:5:25294076:25294531:1 gene:ONIVA05G26370 transcript:ONIVA05G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSPSSRSQGLASVLLQAAMQRPCPSSQAQALALLQAAMQPPSSSSQASASALFQGAPARRPPPPRFGADGPLRPAPPPRRRLGLPHPQPPYSGVQATESMEGHAPWETLNPLSHEAPGVDFSTAYSVSDEEFLHRSNFGKAVPDSFDV >ONIVA05G26360.1 pep chromosome:AWHD00000000:5:25289530:25293098:-1 gene:ONIVA05G26360 transcript:ONIVA05G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSSPIFLEEKAAHQKEEEEEIHLRLLDQLFAPFDMFEGGDPVLHEWQYARPIYGKERDAAPPRHCHRLFRIKLSAKRGQALWSHRETRRFCAEREGGFPLRLAADGCCLELEDDGGQVGALSCFRLSTSQSGLSRKAEMVAAVVAAGSPPVRVVAVIRRLPGAGGDRLALTTNMWIARLAVRLHDKLFVLPPDGSTVTIAFSERWFRIPSKWTEEKLYARVGHEFINITAALEDLARNLYQMYEQEEQEEMILHEKQEQERRKQEELDREREELEMKPLAYIPLGHGDIKWESPDEAMHRRFELSVGTNGEVRCSFVEQECPRDMRWRLACYDRFVLPLTTMELQAVGFVEGYLDPKMDGFTEQRGKTVALRPVAMVSMSPQVDQILSFKFLVDNLAIWLNDGVVLTGWSGITVSIHCGDDDNSCAFPSFTSADNWTHQVLEWKVDDNNPISCSCLLLQLNRKLCRLNAARIDWQEHDFGLSAIFALEAEEDQGLLYQQKQQENEELRLNALSLPGTLSGGGEGEGEYSLLFESPEESDWAKVSEPYVPKFPTEEEIQKREEWRKEQLKLVMEPIIQPVQEPRRGSNYFMCNPGSRSTTKAELPTDEPYAVLLYYWTLSDKSESKLQPKRNLHPRLVCLEWCTCAPSSILQVYTLEIIVADSLHSCKLDISGFVAIRDLRDGRRNYIFNREIGHPFTVVSRHGVLRLPTLSPRRAIHTNPEILLEFNLKIKRTGNGIDSYRELIQGVVEHPSIYQHDWSRVNELSILPCGNRSTPMMRLKLARILKGVEATVELQPLRLPPGGIDLRCTARSGRIADDIELFDGKYGGDDDTSLQFVVATELHGNMEIHLEGVCNGVSRRWCIGFVPKFHALFSQEVDLQFAQLLLTVAWYRRNCFCVAVGV >ONIVA05G26360.2 pep chromosome:AWHD00000000:5:25289360:25293098:-1 gene:ONIVA05G26360 transcript:ONIVA05G26360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSSPIFLEEKAAHQKEEEEEIHLRLLDQLFAPFDMFEGGDPVLHEWQYARPIYGKERDAAPPRHCHRLFRIKLSAKRGQALWSHRETRRFCAEREGGFPLRLAADGCCLELEDDGGQVGALSCFRLSTSQSGLSRKAEMVAAVVAAGSPPVRVVAVIRRLPGAGGDRLALTTNMWIARLAVRLHDKLFVLPPDGSTVTIAFSERWFRIPSKWTEEKLYARVGHEFINITAALEDLARNLYQMYEQEEQEEMILHEKQEQERRKQEELDREREELEMKPLAYIPLGHGDIKWESPDEAMHRRFELSVGTNGEVRCSFVEQECPRDMRWRLACYDRFVLPLTTMELQAVGFVEGYLDPKMDGFTEQRGKTVALRPVAMVSMSPQVDQILSFKFLVDNLAIWLNDGVVLTGWSGITVSIHCGDDDNSCAFPSFTSADNWTHQVLEWKVDDNNPISCSCLLLQLNRKLCRLNAARIDWQEHDFGLSAIFALEAEEDQGLLYQQKQQENEELRLNALSLPGTLSGGGEGEGEYSLLFESPEESDWAKVSEPYVPKFPTEEEIQKREEWRKEQLKLVMEPIIQPVQEPRRGSNYFMCNPGSRSTTKAELPTDEPYAVLLYYWTLSDKSESKLQPKRNLHPRLVCLEWCTCAPSSILQVYTLEIIVADSLHSCKLDISGFVAIRDLRDGRRNYIFNREIGHPFTVVSRHGVLRLPTLSPRRAIHTNPEILLEFNLKIKRTGNGIDSYRELIQGVVEHPSIYQHDWSRVNELSILPCGNRSTPMMRLKLARILKGVEATVELQPLRLPPGGIDLRCTARSGRIADDIELFDGKYGGDDDTSLQFVVATELHGNMEIHLEGVCNGVSRRWCIGFVPKFHALFSQEMDENANRAWAVVLLRACWCYASLLPGKINVRIESRAAAGV >ONIVA05G26350.1 pep chromosome:AWHD00000000:5:25283580:25284547:1 gene:ONIVA05G26350 transcript:ONIVA05G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKVYFPSSQEKQSREERGGGSSTVQSNRKPIRSLSPLSSSPIPNMPRKPCNSKMRGFLARGLGFYLVSDLDAFMVF >ONIVA05G26340.1 pep chromosome:AWHD00000000:5:25274814:25279458:1 gene:ONIVA05G26340 transcript:ONIVA05G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGGAAKKPAGGGELSRFLQSHLQTINETFQMMAEAAPGGLERTEWSEVVKLGEQVSRQATVAGMVWSGDLPDVETLKENIVAYFNILQGFLLGCHGSTVGAGPTLHKSICSSAKNVVDSSFSLFNQAVSAYESRSPDRKTTIPQVTGTVWEACLALNKVPTTNCVAIGRAMTQIGVYLKDILREMKELPIGDSNGTAEKSSNGAVDTTSCSDRDGSSSDLELDEDFTEEEVAVAKLVVTVASDALVVVKETIRFITCLLKISGNRSGANEEKVETMEQLLSCCRQAADQINDLGASVYPPQDLSEMKSSVKRLYGGANAMRREIGSLGGSPEGAFVALERFEKSLGALEVEIADDVANEMENLTISSS >ONIVA05G26330.1 pep chromosome:AWHD00000000:5:25268439:25274312:1 gene:ONIVA05G26330 transcript:ONIVA05G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWIQTQKYNKGSWNSATKSTVPRVLPAQEPPPGTLCQPAPLQVRWPPAHTTDQPNNAAAPAPPLGLAESVAPRPLRRWAARAPPKAEALRGGRTHADPPSPRLRRCHHPLSSPLVLKKTRPPLLRLIQPKYPTRVVIVVFLLPPPPHPTHARAAPIAADAEISAFLLSHLAEGSGSGNMEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQNSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGVALVVFLLAWSWRNHLKYQRKRL >ONIVA05G26330.2 pep chromosome:AWHD00000000:5:25268570:25274312:1 gene:ONIVA05G26330 transcript:ONIVA05G26330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQNSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGVALVVFLLAWSWRNHLKYQRKRL >ONIVA05G26330.3 pep chromosome:AWHD00000000:5:25268979:25274312:1 gene:ONIVA05G26330 transcript:ONIVA05G26330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQNSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGVALVVFLLAWSWRNHLKYQRKRL >ONIVA05G26330.4 pep chromosome:AWHD00000000:5:25268570:25274309:1 gene:ONIVA05G26330 transcript:ONIVA05G26330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQNSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGVALVVFLLAWSWRNHLKYQRKRL >ONIVA05G26320.1 pep chromosome:AWHD00000000:5:25264174:25264770:-1 gene:ONIVA05G26320 transcript:ONIVA05G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7/L12, oligomerisation;Ribosomal protein L7/L12, C-terminal/adaptor protein ClpS-like [Source:Projected from Arabidopsis thaliana (AT1G70190) TAIR;Acc:AT1G70190] MAASLLRSGHRLRLLRHGSLSWASFSAAAAEELIDVRKLPTDYDASTFDPTAPSRPPPSDRVWRLVEDVSSLTLAESAALSALLLRRLDVPAPPIAILNSAAGLGGGGGAGAAGAAGEKSGGAAAAEKTVFELRLEAFDAASKIKVIKEIRSFTDLGLKEAKELVEKAPAVIKGGVSKEEARTIIDKMKAVGAKVVMD >ONIVA05G26310.1 pep chromosome:AWHD00000000:5:25258109:25264529:1 gene:ONIVA05G26310 transcript:ONIVA05G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0HHW2] MEERIPLLSKRFPADGTAGVGGGREEEGGDRWWSGLAREAGKVGSMALPMAAMSVAQNAVQVASNMMVGHLPGVLPLSASAIATSLASVSGFSLLVGMASGLETLCGQAYGAKQYDKLGVQTYRAIVTLTVVTIPISLLWVFIGKLLTLIGQDPVISHEAGRYIVWLIPGLFAYAVCQPLTKFLQSQSLIFPMLWSSIATLLLHIPLSWLLVFKTSMGFTGAALAISISYWLNTFMLAAYIRFSCSCKVTRSPPTIEAFRGVGLFLRIALPSALMLCFEWWSFEILVLLSGLLPNPELESSVLSICLTTTSLMYTIPYGLGGAASTRVANELGAGNPEGARSAVHLVMSIAGTEAVLVTGMLFAAQRILGYAYSSDEEVVTYFTSMVPFVCISVAADSLQGVLSGIARGCGWQHLGAYVNLGSFYLVGIPVALLLGFGFKMEGKGLWLGIACGSVLQFLLLAVIAFFSNWQKMAEKARERIFGETPSEKQHLALDATNSV >ONIVA05G26310.2 pep chromosome:AWHD00000000:5:25258109:25264529:1 gene:ONIVA05G26310 transcript:ONIVA05G26310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0HHW2] MEERIPLLSKRFPADGTAGVGGGREEEGGDRWWSGLAREAGKVGSMALPMAAMSVAQNAVQVASNMMVGHLPGVLPLSASAIATSLASVSGFSLLVGMASGLETLCGQAYGAKQYDKLGVQTYRAIVTLTVVTIPISLLWVFIGKLLTLIGQDPVISHEAGRYIVWLIPGLFAYAVCQPLTKFLQSQSLIFPMLWSSIATLLLHIPLSWLLVFKTSMGFTGAALAISISYWLNTFMLAAYIRFSCSCKVTRSPPTIEAFRGVGLFLRIALPSALMLCFEWWSFEILVLLSGLLPNPELESSVLSICTRVANELGAGNPEGARSAVHLVMSIAGTEAVLVTGMLFAAQRILGYAYSSDEEVVTYFTSMVPFVCISVAADSLQGVLSGIARGCGWQHLGAYVNLGSFYLVGIPVALLLGFGFKMEGKGLWLGIACGSVLQFLLLAVIAFFSNWQKMAEKARERIFGETPSEKQHLALDATNSV >ONIVA05G26310.3 pep chromosome:AWHD00000000:5:25258109:25264529:1 gene:ONIVA05G26310 transcript:ONIVA05G26310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0HHW2] MEERIPLLSKRFPADGTAGVGGGREEEGGDRWWSGLAREAGKVGSMALPMAAMSVAQNAVQVASNMMVGHLPGVLPLSASAIATSLASVSGFSLLILVLLSGLLPNPELESSVLSICLTTTSLMYTIPYGLGGAASTRVANELGAGNPEGARSAVHLVMSIAGTEAVLVTGMLFAAQRILGYAYSSDEEVVTYFTSMVPFVCISVAADSLQGVLSGIARGCGWQHLGAYVNLGSFYLVGIPVALLLGFGFKMEGKGLWLGIACGSVLQFLLLAVIAFFSNWQKMAEKARERIFGETPSEKQHLALDATNSV >ONIVA05G26300.1 pep chromosome:AWHD00000000:5:25247783:25255688:1 gene:ONIVA05G26300 transcript:ONIVA05G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKFIQIGPFQSNRAGWCGPHDGISTGRPGCSLSDLRLVISPFHRALLRFARAEKGRAASRLIASSRILASISLAGGRRDRDRDRDRGRAKMSVIDILTRVDSICKKYDKYDVERLNGANVAGEDPFARLYGSVDADINECVEKAEAAKQEKNRATVVALNAEIRRTKAKLVEEDLPKLQRLALKKVKGLTKEELATRSDLVAALPDRIQSIPDGSSSAKKNGTWGASGSRTGGAIKFDTSDGNFDDEYFKGTEESNQFRREYEMRKMKQDEGLDIIGEGLETLKNMASDMNEELDRQVPLMDEMDEKVDRANTDLKNTNVRLKETVLQLRSSRNFCIDIVLLCVILGIAAYLYNMVFFRDLRTSLLKVETFSRSSPNLREAAPSRHPDLASRPNSRRRINPPHKGSSALASPSPSRLAALQPIAAAARDSSPPRRASPLFLRPAALALAAAAAMSAVNITNVAVLDNPTAFLNPFQFEISYECLIPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKLLIDRVQRNILADKPRVTKFPINFHPEPSTSAGQQQQEPQTASPENHTGGEGSKPAADQ >ONIVA05G26280.1 pep chromosome:AWHD00000000:5:25216853:25225178:-1 gene:ONIVA05G26280 transcript:ONIVA05G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0HHW0] MHHTTPAPPRFSGSRRPGPPANPPTRRYLHHPPHPLSSSSLRSPPLLLPSRGGRRNQKKKKSNPRSRPRVSGDLAAMATRRALSSLVRAASRLRGASPAPRPRGPLHRPSPSGYLFNRAAAYATAAAAKEAAPPAPATGKATGGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDHNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVNSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKDCFEQFSSIPHHFPQNRAAVSGQIHAAAAAAAAAAEDDAAVPSFRPPVSPSVEPSHRDWLRGIVLTRSGNPKERLLPMKTICGSLMHELQVIWDEVGEPEAARDRMLLELEQECLEVYRRKVDQANRSRAQLRQAIAQSEAELAAICSAMGETTVHVKQSNQKACGLRDELGAILPYLEEMKRKKVERWNQFLDVVGRIKKISSEIRPANFDPFKVSVDQSDLSLRKLEELRVELKSLEKEKGERVKQVMEYLKTLHSLCVVLGVDFKKTISEIHPSLDEAEGPRNISNTTIEMLALAIQRLRETKMQRMQKLQDLASTLLELWNLMDTPFEEQQAYQNITCNIAASEAELTEQNTLSIEFLNYVEAEVLRLEQHKASKMKELVLKKKTELEEHRRRAHLVGEEGAIDPSLLLEQIEAYISTVKEEAFSRKDILERVEKWLNAREEEAWLEDYNKDDNRYNAGRGAHIMLKRAEKARVLVSKIPGMVDVLETKTRAWETERGNEFTYDGVRLILMLEEYMVVRQEKEQERKRQRDQKKLQDQRKAEQEALYGSKPSSSKSHSTKKVPRNSTPGVQPPKSEILHSKTIRATKKTEDINTPSPGHKGLDTVGLPIRKLFPSSNSSTLLEMETPRKPFSQITPGNISSAPVRPISTGGTEENRTPKTFAPVPTTPMTVSPHMQMAVTPVLTAKAVSVLSYDEPELTSQEDTEYSFEEKRLAVYLAAQVA >ONIVA05G26270.1 pep chromosome:AWHD00000000:5:25211416:25237132:1 gene:ONIVA05G26270 transcript:ONIVA05G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVARLIDIRHSKNIGQPSTSKPHPRTKIGTTGMQGGGEEGSGVRRRRVGAVGGGDIDVWGDWRVDPVYGSPRSVEERGLCGVQKMMTITSMAPSTRLPPAACRYQDCQITFQSPRGNDTSTTAQRPAAGVRRRTREQPSELSAPTYIVVSSSDTAATCIRLRSHQGCAASYAPILMAVSRACPAMPPETRLQQRAWLPCAGHNVLSARRKSCRGWAAAHLLRRQPRRPRPLPGIAEAGGRVAAGNIKARSFFFVCLSAWPEPITSMTIVKEASPKPILPPFPGSTRLVLVVHLKSSIPLQRDTIHAPSETTQINNDTQ >ONIVA05G26260.1 pep chromosome:AWHD00000000:5:25210650:25211153:-1 gene:ONIVA05G26260 transcript:ONIVA05G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPGGKGARVILPDDGGVRQVALPATAAELMMDAPGHFLADARAARVGARLAALSADEELELGAVYATFPMKRLGTPLAPADMARLAAVATREARRSAKVAAAVVAPPPTPLQAEDAAPRLRLDEMVDDEAVAADMNVYKHRLSSARSRRPTLETIQEENYMSTN >ONIVA05G26250.1 pep chromosome:AWHD00000000:5:25199170:25200645:-1 gene:ONIVA05G26250 transcript:ONIVA05G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HHV7] MEPHVLLVSFPMQGHVNPLLRLGRRLAATGLLVTFTTVRLAAAGGRLRDVPEDGACADVGLGRLRFEYLRDDDDDGDGDELSPNDMLSHVTAVGPSALAEFIDGQADAGRPVTYVVNNIFVPWALDVAAGMGIPCAMLWIQPCSVLSIYYHFYESPEAFPTAADPDVPVELPGLPVMAMDELPFMVRPEYAQCLWGDTLRAQVGAIKRTVSWVLVNSFYELERSAVDALRVHTTVKLAPIGPLLEHGHDNGGGDDDAPAPALGAEDNDRCVAWLDAQPPRSVVYVAFGSLVNIGRDETAAVAEGLVATGRPFLWVVRDDSRDLVPEAVLAACRGAGGDKACKITAWCPQGRVLAHGAVGCFVTHCGWNSIMEALAAGVPVVGYPWWSDQFANAKFLVEDYKVGVRLPAPVTGGEFRACVDRVMSGPEAAVIRKRAMHWKHEAAAAVADGGSSDRSLQDFVDHVRRSKAPKELARLAQDIQNKNGPVNPILV >ONIVA05G26240.1 pep chromosome:AWHD00000000:5:25195608:25197022:1 gene:ONIVA05G26240 transcript:ONIVA05G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPARWALLLLLAVALLVPAALAAGGGGNGGASASTPNNGNGGNNGNNGNNGNNGNSGNNGNNGGGNEKHEKSPPPPYHDSPPPPRASPPPPVYSPPPPPPRSSPPPPPVYSPPPPVSSPPPPVHSPPPPVSSPPPPASDVVYCTNTTRYPTCTSPAYCPSRCPKSCHMDCATCKTVCDCNLPGAVCQDPRFIGGDGNTFYFHGRRDRDFCLLSDANLHINGHFIGNHVPGLKRDPTWVQAIAVQFSGGHRLYVGARRTAVWDDDSDRLAVVFDGETVQLQRVAHARWESGSGLSVTRTKAANGVLVELDGVFKITANVVPITKEDSRIHRYGVTDDDCLAHLDLAFKFYALTDDVHGVLGQTYRSSYVNRLDVSAKMPVMGGEKQFTSSGLFAADCAVARFGRAGDAGAVAIASDELVDVKCSTGLDGVGVVCKK >ONIVA05G26230.1 pep chromosome:AWHD00000000:5:25187742:25192285:-1 gene:ONIVA05G26230 transcript:ONIVA05G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HHV5] MAAAAVARRVVLVLVLAAASLAAAPRGAAARSLGGREGPGEVDADAAVDLNATNFDAFLKASLEPWAVVEFFAHWCPACRNYKPHYEKVAKLFNGRDAAHPGLILMARVDCASKVNIDLCNRFSVDHYPFLLWGPPTKFASAKWDPKQENNEINSFSLEDKKYENENMLPKNASDPEQIVQAIYDVEEATAQALQIILERKTIKPKNRDSLIRFLQILVARHPSKRCRRGSAELLINFDDHWSLNLSLSSQEGSKLLESVAEENHWICGKEVPRGYWLFCRGSKSETRGFSCGLWVLMHSLTVRIGDGESQSTFTSICDFIHNFFICEECRKHFYEMCSSVSAPFRTARELSLWLWSTHNKVNMRLMKEEKDMGTGDPLFPKVTWPPNQLCPSCYRSSKVTDGAVDWNEDAVYQFLVNYYGKKLVSSYKETYMESLQQQEKKIVSEDSSISNAASVPIGAALGVAIASCTFGALACFWRAQQKNRKQRKNWN >ONIVA05G26220.1 pep chromosome:AWHD00000000:5:25182283:25184557:1 gene:ONIVA05G26220 transcript:ONIVA05G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTESTVQSAIDEASAAAMPSSVIASEHNDVQDEREKSGVLVECRICQEEDDQTYMETPCSCRGSLKYAHRKCIQRWCDEKGDTICEICLQQYTPNYTAPAKLFQHGRNSIFFRTPGYIQAQVMQNTDRSAAASTSYDPELPNPKGVIYCRIVALTLMVLLLLHDAISVFLGDHDAYTVAMITLLMLRTAGIVIPVYIILLSLTELLHRRNHRQISDAAAAVNINQQAPQSPRRQHVISIQ >ONIVA05G26210.1 pep chromosome:AWHD00000000:5:25178847:25180950:-1 gene:ONIVA05G26210 transcript:ONIVA05G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQESLTLAGVLRGHNDMVTAIAAPIDNSPFIVSSSRDKSLLVWDITNPSTAVATDPEAAPPEYGVSYRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGRTTRRFVGHTKDVLSVAFSVDNRQIVSAARDNTIKLWNTLGECKYTIGGDHGAGEGHTGWVSCVRFSPNPMAPTIVSGSWDRSVKVWNLTNCKLRTKLEGHNGYVNAVAVSPDGSLCASGGKDGTTLLWDLTEGKMLYKLDAGAIIHSLCFSPNRYWLCAATEDSVKIWDLESKLVMQDLKPEVQAFKSQMLYCTSLSWSADGSTLFAGYTDGTIRVWKVSGFGGYAI >ONIVA05G26200.1 pep chromosome:AWHD00000000:5:25176818:25177891:-1 gene:ONIVA05G26200 transcript:ONIVA05G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HHV2] MSSSSSLYKQLGLGAGSPVSASHLLLLVLGAGFLALTVFVVHPNEFRIQSFFSGGCGRPGTDAATAAVAASPVKNVSGGASDAAAATTAARSPDNDVRVLIGIQTLPSKYERRNLLRTIYSLQAREQPSLAGSVDVRFVFCNVTSPVDAVLVSLEAIRHGDIIVLDCAENMDNGKTYTFFSTVARAFNSSDGEGSGSGSPAPPRYDYVMKADDDTYLRLAALVESLRGAARRDAYYGLQMPCDRENFYPFPPFMSGMGYALSWDLVQWVATAEESRRDHVGPEDMWTGRWLNLAGKAKNRYDMSPRMYNYRGASPPSCFRRDFAPDTIAVHMLKDAARWAETLRYFNATAALRPSHL >ONIVA05G26190.1 pep chromosome:AWHD00000000:5:25168807:25171415:-1 gene:ONIVA05G26190 transcript:ONIVA05G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGEPSKHSQWMSHWTKGSSSAEPQVGRSNDSPEDAKYDICEDNSGPSNFDIMKSRLFERLMVGISQERASLEHGQKLNSNMKVVVKDARRHAVQNQIDQGDGPIQKSVMQKDVLYAKAVVSKSLSIQKLSELSVDCQKLAGSDDLSSEWNHFPMLAINRKIDSILNPKRKSAKSTGPNDVFVPKQTLKLNMTTANLMAFSSQEYELHSHRTTDETMDHCKHAGGIVSRLEDHAGVMLNPAEQKLKGQLLPATSCSCSKDDSNSSDSLLDEQHTSRYIADSDQEPTCRSREKRLKSSENNDTNCKTGSCSQNQKSRAPGHHKHKGSAGVMFRTSVPGKEFEAAEINCSDKINQRHLNTQRIVSAANVTGSCIPDPAADISTVNGRGEAVTQPSSISGDSTKRKAPYLFEMLTIPSKAQNMNPEDSLPSGNSTAFGVHMYGTNIGSHLFGANNKSSTETEILSGDSQHVSKSSAGIASLLAQKAKSEQLATLYMKGASGCNVNEHQGVSSKAIVANKQQCYNPRTARMDLDLMQFQLSRMRNQESQARTEPGDRWLKRLQIDSKDPHHLPCSKRSKAGDGSGRPVTGGASSMAPRCDGSNDDDDIVDRDHKEEQGLDEGVEIQGGREASPVPAKSDDRWIGRWCQGGVPVYHEDDHDQRKEVTKPDLAAGDSGGLEGQFPSIKAMAMMGRAMSKVRPCQQERRGSFMVWKA >ONIVA05G26180.1 pep chromosome:AWHD00000000:5:25166224:25166550:-1 gene:ONIVA05G26180 transcript:ONIVA05G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQSERLRLPAAAPMPRGAHALYGLLALLGASVVVWSVAGKPPAAHAGHALAGFLLWLLGMIALLLSPYAAQPLFPVATTANLAVEKLKHLFSNPRTPAQAQAPALA >ONIVA05G26170.1 pep chromosome:AWHD00000000:5:25160780:25165016:-1 gene:ONIVA05G26170 transcript:ONIVA05G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) TAIR;Acc:AT3G18390] MALSELPLHHSFRLSSRPHLRLLPLRLLSSRHASSSSSTTAAASSSSSSSSSGGNRAPPAPNPTRGAPWLQKWGPTDPAAPPPPPPAPSPTSSIDRIVHRLRNLGLASDDDEPAAAAATATAPPDGNERLSDLLDRSWARPDQQFAASSFDESVLPWERDEVARGRENEEDGVKRRRVRAPSLAELTIEDEELRRLRRLGMTLRDRITVPKAGVTQAVTEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLIIWRSGSVMVVYRGSNYKRPLKSETLDGNSSAVKGADGTLFIPDASSPTEHDSQGKDVNTQREIAARLNMQNTEDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKTPFRLLPTGMRLTLTNAELTNLRKLARDLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKLMSEEIKNLTGGTLLLRNKYYIVIYRGKDFLPTSVAAALAEREELTKDIQNVEEQKRCIPVVHSMDDSLDGHALAGTLAEFQEAQARWGREVTAKEQEEMKEASSRSVKEKLFKRLEHKLSIAQAKIHRAERLLSKIEASMVLANPSDDKEMITDEERSVFRRIGLRLKAYLPVGIRGVFDGVIENMHLHWKHREVVKLITKQKTLPFVEETARLLEYESGGILVAIERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIAELENNIRQMKLDLGIEVDEEYEEDGSDSENENNEAVSSARYDEDQDDFDESSDEDEYDDYDEDDDDDDDEGVDS >ONIVA05G26160.1 pep chromosome:AWHD00000000:5:25142456:25143538:-1 gene:ONIVA05G26160 transcript:ONIVA05G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVATRIATLVRAAAAASRPLRLHRRPGGEDTRMVVIVGATGTGKTKLSIDAAKVIGGEVVNADKIQLYDGLDVTTNKVSLADRRGVPHHLLGAIRPEAGELPPSSFRSLAAATAASIAARRLVPVIAGGSNSLIHALLADHFDASAGDPFSPAAAFRHYRPALRFPCCLLWVHVDEALLDEYLDRRVDDMVDAGMVEELREYFATTTAAERAAHSGLGKAIGVPELGDYFAGRKTFSEAIDDIKANTRVLAAAQVSKIRRMSDAWGWPIHRLDASDTVRARLTRAGSAAESASWERDVRGPGLATIRSFLADQSPPPRSEGTNDYLYAMETEPEPPPPPTLPPRLLRLPRMQYCDMVG >ONIVA05G26150.1 pep chromosome:AWHD00000000:5:25136899:25140097:1 gene:ONIVA05G26150 transcript:ONIVA05G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAASASAAASDHHTAQAKSSPSAAPPAGSATRTRLHSFSFPTTFGWGTHRLLRCSKNGDSAPASASPPKQPHTPSPEKGQETSAGGASRPSRPWNLRTRRSATVAPDASRSEAAGKKAAAAAGGGQALLHPPAPLPVVAKKRGFSVALTREEIVADFIAIRGTAPPRRPKKRPRAVRLELDRLYPGLSLADVNLDSYKIVEEIEGKRFSFGFSAGHISCLELDIDTEENESVLGVQQSGLKREKEISASEIQNGKIIANFQLRKYMKGWG >ONIVA05G26140.1 pep chromosome:AWHD00000000:5:25129963:25133264:-1 gene:ONIVA05G26140 transcript:ONIVA05G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPAATVTPAAAAMSEAPAVAAPRPRDPGLFGSFDLPAAWGCRRPMAFCRDLDAAALVGSEPNAAAAAAAAGDAVEPKRNASRSPPKGGDAPVAAAAAQEAPRKQWNLRYRKGGRDGGEDALQNKKLWNMEAAAGGGGGGARASRGFSVELTRQEIDADFFAITGRKAPRKPAKRPRSVQRQVDSICPGNSLWEVSRDRYKVNEGPP >ONIVA05G26130.1 pep chromosome:AWHD00000000:5:25126981:25127708:-1 gene:ONIVA05G26130 transcript:ONIVA05G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLRDAADRLRVPQRSPPPPPPPPPPTTTTTTKPESLPAEVDSEPELKAPPPPPPPPPLLPMAQPQADGAARPWNLRQRTRRRPAASMSWAAAVPVPSSSRRRKRAPFSVALTPEEIEEDIYALTGSRPRRRPRKRPRVVQRQLDSLFPGLWLTEVTADAYRVPDE >ONIVA05G26120.1 pep chromosome:AWHD00000000:5:25108125:25111685:-1 gene:ONIVA05G26120 transcript:ONIVA05G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSSATAASGGGGNSLAIVERQKPATSCVASLFQMLAKKKLFSSSSKKTKLLPPVRTQKFSPGRPPAGGEKTPAAKMRTLLLDAPYYPNEVTTRLPPPGQDNKSSEMCTPGVVARLMGLSAVPATSHQKAVKTMDASELGGHRSECSYGSIYNSHQKQQKPGHIRDGRHDNGNQLDADAQPVWSRKHAAHKLASPIKSPRSVSSRNKVRLIEAAVKVLEPGLQSRHHHQARRQARLKYRCNSSDVQSAAEAMHDFSDQFAREMCDVDASRSGAQNVGATSLHNSTSNQWSEEDTMRNVSVRRPNQNISCQAQSEGNHKGQRNGFKDSGQRTSDDVLVSSQGVQKIQPKNISRENVDCRPLKQNNLKQNALPETYRAADTGHMVQRQKHRAKEQNVANTANDFVCSNRGMNNSASLRSKGKVKDKIGMPHGSAENRNLSTKCHRTSGLHGDCSNKQISKTATLKATEKDMIIAKGAGLVSEKPKSTSPNSVRNDSRRKVESRIASRGNNSGIISISSNSPRKAVLALLNGHTKGSDSVVLGSPTGSCPKRDYRRDCQNISSERELVLSEALQGISSLESAESVCFNRNELRNREILDDRVMSSLFRKTSAINVMEESPSDEFLWQCNFLDSLTFGFREVPRSGKLRETHKKHEVTTNASDPSYYADDECFSGSLLKTAASEAGFRDRRRSENCTMQQDGTNKRNSRCSETNFGQDSSNLIHPGEVAATVELLLTNVRRSTSTTPPRTSKAPFKAFLVKTFESALTTVTTTSSTKKKKKKNGFSFNAGVVKATEGEKSRSPLGSLAFDSVMECLDSLFTQFCDSGYRSFSRVPLICPEERLAAQVDREIARCSGMAGKEVDELIASDVQHSGVEIGVDVLHEAFEIGAQIERDLLQELVAEIGMDMLRRL >ONIVA05G26110.1 pep chromosome:AWHD00000000:5:25107424:25107869:-1 gene:ONIVA05G26110 transcript:ONIVA05G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDGLGSGCPPRANAIQWRLMPMHPIGDRVLIARATAVGGPRPAGPMRIGSLPYAAVVLTKWEAI >ONIVA05G26100.1 pep chromosome:AWHD00000000:5:25098955:25102515:-1 gene:ONIVA05G26100 transcript:ONIVA05G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSSATAASGGGGNSLAIVERQKPATSCVASLFQMLAKKKLFSSSSKKTKLLPPVRTQKFSPGRPPAGGEKTPAAKMRTLLLDAPYYPNEVTTRLPPPGQDNKSSEMCTPGVVARLMGLSAVPATSHQKAVKTMDASELGGHRSECSYGSIYNSHQKQQKPGHIRDGRHDNGNQLDADAQPVWSRKHAAHKLASPIKSPRSVSSRNKVRLIEAAVKVLEPGLQSRHHHQARRQARLKYRCNSSDVQSAAEAMHDFSDQFAREMCDVDASRSGAQNVGATSLHNSTSNQWSEEDTMRNVSVRRPNQNISCQAQSEGNHKGQRNGFKDSGQRTSDDVLVSSQGVQKIQPKNISRENVDCRPLKQNNLKQNALPETYRAADTGHMVQRQKHRAKEQNVANTANDFVCSNRGMNNSASLRSKGKVKDKIGMPHGSAENRNLSTKCHRTSGLHGDCSNKQISKTATLKATEKDMIIAKGAGLVSEKPKSTSPNSVRNDSRRKVESRIASRGNNSGIISISSNSPRKAVLALLNGHTKGSDSVVLGSPTGSCPKRDYRRDCQNISSERELVLSEALQGISSLESAESVCFNRNELRNREILDDRVMSSLFRKTSAINVMEESPSDEFLWQCNFLDSLTFGFREVPRSGKLRETHKKHEVTTNASDPSYYADDECFSGSLLKTAASEAGFRDRRRSENCTMQQDGTNKRNSRCSETNFGQDSSNLIHPGEVAATVELLLTNVRRSTSTTPPRTSKAPFKAFLVKTFESALTTVTTTSSTKKKKKKNGFSFNAGVVKATEGEKSRSPLGSLAFDSVMECLDSLFTQFCDSGYRSFSRVPLICPEERLAAQVDREIARCSGMAGKEVDELIASDVQHSGVEIGVDVLHEAFEIGAQIERDLLQELVAEIGMDMLRRL >ONIVA05G26090.1 pep chromosome:AWHD00000000:5:25089463:25106922:1 gene:ONIVA05G26090 transcript:ONIVA05G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLADEGSIAAAVMPRSPSPPAAAAGSAAEAPMLIFVYFHKAIRAELERLHAAAVRLATERSGDVGELERRCRFLFSVYRHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFAHLFSLLKLDVRNDDGLRRELASCTGAIQTFITQHMSKEEEQVFPLLIKKFSHEEQADLVWQFLCSIPVNMMAEFLPWLATSVSSDEHQDILNCLHKIVPDEKLLQQVVFAWIGGEAVKTISHDFCSPCSKSNVRCKDAIDQTDKYGCSHEHFKTGKRKRAESSYSQLVMHPIDEILCWHNAIRKELSDIVEETRRIQQSGDFSDISDFNVKLQFIADVCIFHSIAEDQVIFPAVNDQVSFEQEHAEEERRFNKFRCLIEQIQITGARSTAVDFYSELCSQADQIMEKIERHFKNEETKVLPQARIHFSSEKQRELLYKSLCVIPLKLLEPPSSEAALVTLLSGWACKGRSKGTSNSGKFICLTPRALSSPLDENGFKDCQLCPCSLQSDICSRPAKKWNDTESSNISNCSQTADIALTCKNSPCHIPGLRVEISNLAVNSFASAESFRSLSLNYSAPSLYSSLFSWETDAAFSGPDNISRPIDTIFKFHKAIRKDLEFLDVESRKLIDGDESSLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTILFELSQLHADLKHPLGGADAVGANHIHPYNRIDWSKKNNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNMLDTWRQVTKNTMFDEWLNEWWKRSPTSSGPSSDASHPEEDHFQEKFDQSEQMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPQSEDRNGCTVLPGCCPSYRDPENQIFGCEHYKRKCKLVAACCNKLFTCRFCHDKVSDHTMERKATVEMMCMQCLKVQPVGPNCQTPSCNGLSMAKYYCSVCKFFDDERSVYHCPFCNLCRLGQGLGIDFFHCMKCNCCLGMKLIEHKCREKMLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEELPEEYRDRCQLPGPNSLENQEKPNQMGNKGVLILAAGVFSPPAAPSSEAALVTLLSGWACKGRSKGTSNSGKFICLTPRALSSPLDENGFKDCQLCPCSLQSDICSRPAKKWNDTESSNISNCSQTADIALTCKNSPCHIPGLRVEISNLAVNSFASAESFRSLSLNYSAPSLYSSLFSWETDAAFSGPDNISRPIDTIFKFHKAIRKDLEFLDVESRKLIDGDESSLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTILFELSQLHADLKHPLGGADAVGANHIHPYNRIDWSKKNNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNMLDTWRQVTKNTMFDEWLNEWWKRSPTSSGPSSDASHPEEDHFQEKFDQSEQMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPQSEDRNGCTVLPGCCPSYRDPENQIFGCEHYKRKCKLVAACCNKLFTCRFCHDKVSDHTMERKATVEMMCMQCLKVQPVGPNCQTPSCNGLSMAKYYCSVCKFFDDERSVYHCPFCNLCRLGQGLGIDFFHCMKCNCCLGMKLIEHKCREKMLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGFCGSYNTRVIKIDRADCSTSD >ONIVA05G26090.2 pep chromosome:AWHD00000000:5:25089463:25106922:1 gene:ONIVA05G26090 transcript:ONIVA05G26090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLADEGSIAAAVMPRSPSPPAAAAGSAAEAPMLIFVYFHKAIRAELERLHAAAVRLATERSGDVGELERRCRFLFSVYRHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFAHLFSLLKLDVRNDDGLRRELASCTGAIQTFITQHMSKEEEQVFPLLIKKFSHEEQADLVWQFLCSIPVNMMAEFLPWLATSVSSDEHQDILNCLHKIVPDEKLLQQVVFAWIGGEAVKTISHDFCSPCSKSNVRCKDAIDQTDKYGCSHEHFKTGKRKRAESSYSQLVMHPIDEILCWHNAIRKELSDIVEETRRIQQSGDFSDISDFNVKLQFIADVCIFHSIAEDQVIFPAVNDQVSFEQEHAEEERRFNKFRCLIEQIQITGARSTAVDFYSELCSQADQIMEKIERHFKNEETKLLERVLPWFVSKLNDQDAEAFLQNMFLAAPSSEAALVTLLSGWACKGRSKGTSNSGKFICLTPRALSSPLDENGFKDCQLCPCSLQSDICSRPAKKWNDTESSNISNCSQTADIALTCKNSPCHIPGLRVEISNLAVNSFASAESFRSLSLNYSAPSLYSSLFSWETDAAFSGPDNISRPIDTIFKFHKAIRKDLEFLDVESRKLIDGDESSLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTILFELSQLHADLKHPLGGADAVGANHIHPYNRIDWSKKNNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNMLDTWRQVTKNTMFDEWLNEWWKRSPTSSGPSSDASHPEEDHFQEKFDQSEQMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPQSEDRNGCTVLPGCCPSYRDPENQIFGCEHYKRKCKLVAACCNKLFTCRFCHDKVSDHTMERKATVEMMCMQCLKVQPVGPNCQTPSCNGLSMAKYYCSVCKFFDDERSVYHCPFCNLCRLGQGLGIDFFHCMKCNCCLGMKLIEHKCREKMLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEELPEEYRDRCQLPGPNSLENQEKPNQMGNKGVLILAAGVFSPPAAPSSEAALVTLLSGWACKGRSKGTSNSGKFICLTPRALSSPLDENGFKDCQLCPCSLQSDICSRPAKKWNDTESSNISNCSQTADIALTCKNSPCHIPGLRVEISNLAVNSFASAESFRSLSLNYSAPSLYSSLFSWETDAAFSGPDNISRPIDTIFKFHKAIRKDLEFLDVESRKLIDGDESSLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTILFELSQLHADLKHPLGGADAVGANHIHPYNRIDWSKKNNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNMLDTWRQVTKNTMFDEWLNEWWKRSPTSSGPSSDASHPEEDHFQEKFDQSEQMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPQSEDRNGCTVLPGCCPSYRDPENQIFGCEHYKRKCKLVAACCNKLFTCRFCHDKVSDHTMERKATVEMMCMQCLKVQPVGPNCQTPSCNGLSMAKYYCSVCKFFDDERSVYHCPFCNLCRLGQGLGIDFFHCMKCNCCLGMKLIEHKCREKMLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGFCGSYNTRVIKIDRADCSTSD >ONIVA05G26090.3 pep chromosome:AWHD00000000:5:25089463:25106922:1 gene:ONIVA05G26090 transcript:ONIVA05G26090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLADEGSIAAAVMPRSPSPPAAAAGSAAEAPMLIFVYFHKAIRAELERLHAAAVRLATERSGDVGELERRCRFLFSVYRHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFAHLFSLLKLDVRNDDGLRRELASCTGAIQTFITQHMSKEEEQVFPLLIKKFSHEEQADLVWQFLCSIPVNMMAEFLPWLATSVSSDEHQDILNCLHKIVPDEKLLQQVVFAWIGGEAVKTISHDFCSPCSKSNVRCKDAIDQTDKYGCSHEHFKTGKRKRAESSYSQLVMHPIDEILCWHNAIRKELSDIVEETRRIQQSGDFSDISDFNVKLQFIADVCIFHSIAEDQVIFPAVNDQVSFEQEHAEEERRFNKFRCLIEQIQITGARSTAVDFYSELCSQADQIMEKIERHFKNEETKVLPQARIHFSSEKQRELLYKSLCVIPLKLLEPPSSEAALVTLLSGWACKGRSKGTSNSGKFICLTPRALSSPLDENGFKDCQLCPCSLQSDICSRPAKKWNDTESSNISNCSQTADIALTCKNSPCHIPGLRVEISNLAVNSFASAESFRSLSLNYSAPSLYSSLFSWETDAAFSGPDNISRPIDTIFKFHKAIRKDLEFLDVESRKLIDGDESSLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTILFELSQLHADLKHPLGGADAVGANHIHPYNRIDWSKKNNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNMLDTWRQVTKNTMFDEWLNEWWKRSPTSSGPSSDASHPEEDHFQEKFDQSEQMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPQSEDRNGCTVLPGCCPSYRDPENQIFGCEHYKRKCKLVAACCNKLFTCRFCHDKVSDHTMERKATVEMMCMQCLKVQPVGPNCQTPSCNGLSMAKYYCSVCKFFDDERSVYHCPFCNLCRLGQGLGIDFFHCMKCNCCLGMKLIEHKCREKMLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGFCGSYNTRVIKIDRADCSTSD >ONIVA05G26090.4 pep chromosome:AWHD00000000:5:25089463:25106922:1 gene:ONIVA05G26090 transcript:ONIVA05G26090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLADEGSIAAAVMPRSPSPPAAAAGSAAEAPMLIFVYFHKAIRAELERLHAAAVRLATERSGDVGELERRCRFLFSVYRHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFAHLFSLLKLDVRNDDGLRRELASCTGAIQTFITQHMSKEEEQVFPLLIKKFSHEEQADLVWQFLCSIPVNMMAEFLPWLATSVSSDEHQDILNCLHKIVPDEKLLQQVVFAWIGGEAVKTISHDFCSPCSKSNVRCKDAIDQTDKYGCSHEHFKTGKRKRAESSYSQLVMHPIDEILCWHNAIRKELSDIVEETRRIQQSGDFSDISDFNVKLQFIADVCIFHSIAEDQVIFPAVNDQVSFEQEHAEEERRFNKFRCLIEQIQITGARSTAVDFYSELCSQADQIMEKIERHFKNEETKLLERVLPWFVSKLNDQDAEAFLQNMFLAAPSSEAALVTLLSGWACKGRSKGTSNSGKFICLTPRALSSPLDENGFKDCQLCPCSLQSDICSRPAKKWNDTESSNISNCSQTADIALTCKNSPCHIPGLRVEISNLAVNSFASAESFRSLSLNYSAPSLYSSLFSWETDAAFSGPDNISRPIDTIFKFHKAIRKDLEFLDVESRKLIDGDESSLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTILFELSQLHADLKHPLGGADAVGANHIHPYNRIDWSKKNNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNMLDTWRQVTKNTMFDEWLNEWWKRSPTSSGPSSDASHPEEDHFQEKFDQSEQMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPQSEDRNGCTVLPGCCPSYRDPENQIFGCEHYKRKCKLVAACCNKLFTCRFCHDKVSDHTMERKATVEMMCMQCLKVQPVGPNCQTPSCNGLSMAKYYCSVCKFFDDERSVYHCPFCNLCRLGQGLGIDFFHCMKCNCCLGMKLIEHKCREKMLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGFCGSYNTRVIKIDRADCSTSD >ONIVA05G26080.1 pep chromosome:AWHD00000000:5:25076341:25083142:1 gene:ONIVA05G26080 transcript:ONIVA05G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKNLSSRCKLAPCAVVAMPPLLFHLLVLSVVVVVVSGGAATAGGGTYDDAICARPIFCGEHVEIKYPFFLSNTTDQVVVDGINTTGRYCGYPWLGIICDDHDGGGSSNRAILRLGNYNYTVLEINHGNHTVTVADSDALDGGGCPRVKHNVTLPPVLTFPSPGNDSITFFFDCNPTADVVLRPPPYIRPINCSTFDFQVRRDTAPSFVATQPDVAGETEWLGLCKEVVMVPVLKDWLMNEKYYGKLGDDGYGAVLKRGFQLSWDPTAGMCHECEVSGGRCSYGTKNEFLGCLCSDGHVSKTDCVHHTFEKMHPLCSLPLLIIILLSSVPPSMQESGAYFRYTNCTPASYQCGSLKFDVDYPFSANGVHRPDYCSYPGYRLICSPDNKLMIHMNSTAFQVTDIDYGNKFLAVIDQTQPQEACLDRYHNTTIDESRFMYTDRDQFLTVYVNCSANFSSLPLIYDLVSCVSGGSSYYRLHKNKDDSLESDILGSCSSTIVVPCNSTMAGSLAAGTSSLADVIRGGFTARWKVGLGWCSDCKASGGHCGFNGSFPDQYTCYCPYGQAIGSCSSSGSKKSKKKAIAIATSIASGVLFLLLLVVSFLYIRKRRQYKMTSSSRLLKYTTSGRTPRSKGSSDKFMESGSFHYLQTHHFAYEELEEATDGFSDARELGDGGFGTVYKGELRDGRVVAVKRLYNNSCRRVEQFVNEAAILSRLRHPNLVLFYGCTSSRSRELLLVYEFVPNGTVADHLHGHRAPERALTWPLRLNVAVEAAAALAYLHAVEPAPIVHRDVKTNNILLDANFHVKVADFGLSRLFPRDATHVSTAPQGTPGYAMTDALPLLHCCLAITGGDLLRSNKKYLEVSVAHGTNDRKRFGTLRYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDVTRDRDEINLAGMAVNKIQRCQVDQLVDDELGYSSDEATRKTMTMVAELAFRCLQHNGEMRPPIKEVADVLRGIQDECRAAEKGGKRGSPCSPNTVHAPWDSMSTTPNTSQ >ONIVA05G26070.1 pep chromosome:AWHD00000000:5:25068316:25072931:-1 gene:ONIVA05G26070 transcript:ONIVA05G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYGLALLGSHASHLLLLFWFIASDGEGATAAGGTYDDAICARLIFCGEQVEIKYPFYLSNTTDQVVVVDGNTRYCGYPWLGIICDHDRAILRLGNYNYTVLEINHGNHTVTVADSDALDGGDCPRVKHNVTLPEVLTFPSPGNDSITFFFDCNSTANVVLRPPPYIRPINCSSFVDFQLWPSFVAAQPDVDVRDERGWLGVCKEVVVAPLLKDLLVNEKYYGKLGDDGYGAVLKRGFQLSWDPMAGMCHECEVSGGRCSYGTKNEFLGCLCSDGRASSSTCVHHHHALEQMHPLCALPLLITLLLISSVPLSVQESDAFFRYTNCTTASYQCGSLKLDVDYPFSANGVHRPDYCSYPGYRLICSPDNKLMIHMNSTAFQVTDIDYGNKFLAVIDQTQPQETCPDRYHNTTIDESKFMYTDRDQFLTVYVNCSANFSSLPLIYDLVSCVSGGSSYYRLHKNKDDSLESDILGSCSSTIVVPCNSTMAGSLAAGNSSLADVIRGGFTARWKVGLGWCSDCKASGGHCGFNGSFPDQYTCYCPYGQAIGSCSSSGSKKSKKKAIAIGVSVVSGVILLFLLLMCTLCVKKFWHGLLSSMGKSKEAPNIESFLQKHEAQHPKRYSYSEVKTMTKSFSHKLGQGGFGTVYMGKMPNGKPIAVKLLKSYKDDGQEFMNEVASISRTSHVNVVTLLGYCIQGSKRALIYEFMPNGSLERFAFRPNSETEDSLSWEKLFDIAIGIARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCKQKESIISIDGARGTIGYIAPEVFSKQFGDASSKSDVYSYGMMILEMVGARKNISASADVSSKYFPQWIYEHLEGYCVTANEIRLDTSVLVRKMIIIGLWCIQLLPNNRPSMTRVVEMLQSSADDLKIPPQSFLS >ONIVA05G26070.2 pep chromosome:AWHD00000000:5:25068316:25072931:-1 gene:ONIVA05G26070 transcript:ONIVA05G26070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYGLALLGSHASHLLLLFWFIASDGEGATAAGGTYDDAICARLIFCGEQVEIKYPFYLSNTTDQVVVVDGNTRYCGYPWLGIICDHDRAILRLGNYNYTVLEINHGNHTVTVADSDALDGGDCPRVKHNVTLPEVLTFPSPGNDSITFFFDCNSTANVVLRPPPYIRPINCSSFVDFQLWPSFVAAQPDVDVRDERGWLGVCKEVVVAPLLKDLLVNEKYYGKLGDDGYGAVLKRGFQLSWDPMAGMCHECEVSGGRCSYGTKNEFLGCLCSDGRASSSTCGSKKSKKKAIAIGVSVVSGVILLFLLLMCTLCVKKFWHGLLSSMGKSKEAPNIESFLQKHEAQHPKRYSYSEVKTMTKSFSHKLGQGGFGTVYMGKMPNGKPIAVKLLKSYKDDGQEFMNEVASISRTSHVNVVTLLGYCIQGSKRALIYEFMPNGSLERFAFRPNSETEDSLSWEKLFDIAIGIARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCKQKESIISIDGARGTIGYIAPEVFSKQFGDASSKSDVYSYGMMILEMVGARKNISASADVSSKYFPQWIYEHLEGYCVTANEIRLDTSVLVRKMIIIGLWCIQLLPNNRPSMTRVVEMLQSSADDLKIPPQSFLS >ONIVA05G26060.1 pep chromosome:AWHD00000000:5:25067672:25067962:1 gene:ONIVA05G26060 transcript:ONIVA05G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKASAVFVLLVVVLAVAAAMRGAEAATCTPTQLTPCAPAIVGNSPPTAACCGKLKAHPASCFCQYKKDPNMKKYVNSPNGKKVFATCKVPLPKC >ONIVA05G26050.1 pep chromosome:AWHD00000000:5:25064677:25064961:1 gene:ONIVA05G26050 transcript:ONIVA05G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVALAVAAMAVLLLLAAAAAPGAEAACDALQLSPCASAIIGNASPSASCCSRMKEQQPCLCQYARDPNLQRYVNSPNGKKVLAACHVPVPSC >ONIVA05G26040.1 pep chromosome:AWHD00000000:5:25060647:25062039:-1 gene:ONIVA05G26040 transcript:ONIVA05G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HHT2] MNVPHVSSDSDDDRPVIRLFHRQKPVHKILGGRKVADIMLWRDRNLSAGILAGATLIWFLFDVAEYNLVTLLCHIALLGMLVLFIWSNAAPLFDRAPPRIPEVIVSEHAFRELALTLHSKVAHFSAVLYDISCGKELRKFLAVIGSLWILAVIGETCSFTTLLYVGFLCALTLPALYERYETEVDHLVAKGGQDLKKFYKKIDSNVLNKIPRGPVKTKVH >ONIVA05G26030.1 pep chromosome:AWHD00000000:5:25057518:25059827:-1 gene:ONIVA05G26030 transcript:ONIVA05G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENSVGSGSGGNAMDIFGQSIDVRRPSKSRRRVVSHKNLSPEIEESIGSSRRKLHRRKAIAEDQEQARVESELSRAMNMAMELERQIEQTNAKARSRRSELQRQRTRASGGGSRRNTARGLAAEAAGGAPAHRQEGVGTAYGEVMQELDRVKGELRKLQREVMAAMAAKGTAGRRDAEAEASTSSAVSSGPRGGGGVERDADGASEEHGVLVELAVGTAATASSDAGSWHSELAVVRATDATAMASRGHEVEHEEPSLQAAEAELSSARIELESIKAEGLRFTASIERTRRETARVTDEIRRLTEQEKAASAHVQQLNAKLLKARSRLEAVTAADERADETISKLAAILRQLEDDAAAAEKEKTLADTENRRAMSDAENIDAEIAAAEKRIRESVRELGAARASEAAATARLKAIVESATLATAAAATPRSSSSGNVTIPRFEYEYLTGRAEVVRAVAEMKAAAAEAWAEARRASEKEIAMRAEAIERELGEARAADAEATNTTRRMPFSSAATSRMAKSRRMPSSSAAAAARKPRSPSSSVKRRKRRVLTLNCLKLLAGKCRGQN >ONIVA05G26020.1 pep chromosome:AWHD00000000:5:25054404:25057871:1 gene:ONIVA05G26020 transcript:ONIVA05G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDGVGGDGGASAAEQQRRVALRVLLSRAEASSPPPATVEEEAQRGRSGGGNKGLASAALRGLGCTSTAALRAHAPASAVEVASSSERWHGRRRRRKVQERRSARGGGGGGGGGVAPPGPAPAAAGDVWCTCAPGIPFAAEASSVDCVVVARHHHAHHTAAAMGSGRRGEAERRHRERPAAPRARRVTMREHISSSLMDSPPFPDMPLLNADLLPPPPSGRHRHGYRHPHVGAAEEEIMMLRTRLLWGRFGMHDQHQDWRLDVDNMTYEELLDLEDRIGYVSTGLHDDEIARSLRMVKYSAFNPKHFATEVERNCSICQEEFEANEETGRLICGHSYHVQCIKQWLSRKNTCPVCKTVVSKT >ONIVA05G26010.1 pep chromosome:AWHD00000000:5:25048092:25051274:-1 gene:ONIVA05G26010 transcript:ONIVA05G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPATSPAPAAIRLGAPHPYLRTHGTKVARLHLLDWIVLALLVAIDAGLNLIEPFHRFVGEDMMISLRYPLKRNTVPIWAVPVRLHLPPFLDFRKKKTVPDRLLFSVLITAVLTDAIKDGVGRPRPNFFWRCFPDGIPKYNNITRGVICHGDKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVVLPLLIAAMVGVSRVDDYWHHWQDVFTGGILGLVVASFCYLQFFPPPAGEQGGGEHDLHGPRGWASTEAELTDGGALAAEEGVEVRDAIVEPVNGGWGGGRGKDVAAMVRVCGGGFWPHAYFEHILHPEVENQVQLTATSNHHQGLDMRTNNQSLDSMEEGRRAR >ONIVA05G26000.1 pep chromosome:AWHD00000000:5:25039946:25041130:1 gene:ONIVA05G26000 transcript:ONIVA05G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSCLLDDASSGASTGKKAAAAAASKALQRVGSGASAVMDAAEPGAEADSGGERRGGGGGKLPSSKYKGVVPQPNGRWGAQIYERHQRVWLGTFTGEAEAARAYDVAAQRFRGRDAVTNFRPLAESDPEAAVELRFLASRSKAEVVDMLRKHTYLEELTQNKRAFAAISPPPPKHPASSPPSSSAAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPPPTTTSSVAAAADAAAGGGECKGVLLNFEDAAGKVWKFRYSYWNSSQSYVLTKGWSRFVKDKGLHAGDAVGFYRAAGKNAQLFIDCKVRAKPTTAAAAAAFLSAVAAAAAPPPAVKAIRLFGVDLLTAAAPELQDAGGAAMTKSKRAMDAMAESQAHVVFKKQCIELALT >ONIVA05G25990.1 pep chromosome:AWHD00000000:5:25027620:25030270:1 gene:ONIVA05G25990 transcript:ONIVA05G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTHAASLSFLLSHPHPTSPNPNPNLPLRRAPHRVRCATDAAATRHRRAADENIREEAARHRAPNHNFSAWYAPFPPAPNGDPDERYSLDEIVYRSSSGGLLDVRHDMDALARFPGSYWRDLFDSRVGRTTWPFGSGVWSKKEFVLPEIDPDHIVSLFEGNSNLFWAERLGRDHLAGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRYYKSGWTEFTPQVAEPTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMNAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFSQSKIDYHDSKIEDMACKYANPPVSVKADFGAVMDVLKKRLKEEILSINI >ONIVA05G25980.1 pep chromosome:AWHD00000000:5:25024003:25026905:1 gene:ONIVA05G25980 transcript:ONIVA05G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLTGFPASSALTFRPRIRFFPRANPRSMAAAGPACCAPAAAAAAASSSASASAAAAAGDGGGGAQKPWLLIGLGNPGRMYKGTRHNVGFEMIDAIAEAEGISVSSKQFKSMVGKGLIGDVPVMLAKPQTYMNASGESVAQLVSYFKIPLSQVLVIYDDLDIPFAKLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRIGIGRPTGKLDAIGFVLRSFTKEEQEELNLTINRSLQAVRIMLLEGFNKGATFVNTPQPSEMLNK >ONIVA05G25970.1 pep chromosome:AWHD00000000:5:25022401:25023554:1 gene:ONIVA05G25970 transcript:ONIVA05G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAPSPPPARDAGSSSGQKRKAFTMVDQDGGSEDTWLKLSLGPVIYTDATNVDDSPVTTTLPKELPPPPPAVGPPIFMASATTSLIDHGKEAARMATDALFNGDATGSSSLHLQRHHESSSARHPTQRRSTASTTSSTSGSAGDVPAITGDGINGGSDDNNGAAGMVNNDRVLVNNPPYPWATNRVAVHHSLVELSRRGIFTIKGEARCRRCDVRKEFVYDIEAKFRELEDYLRRNCMSMNDRASERWKNPIVPNCDGCGQQNCMRPVIAAEKERINWLFLLLGETLGLCTLDQLKFFCAHTNQHRTGAKDRVLYSTYLELCNQLVPGIIKPFEKKSGHNQLRIR >ONIVA05G25960.1 pep chromosome:AWHD00000000:5:25019279:25020594:1 gene:ONIVA05G25960 transcript:ONIVA05G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVVPNCDNCGHEKCMRPVIATEKERINWLFLLLGETLGLRMLDQLKSRRIDILIQTNKDLTPGE >ONIVA05G25950.1 pep chromosome:AWHD00000000:5:25018943:25019278:1 gene:ONIVA05G25950 transcript:ONIVA05G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGVGGGDDNEAPGNIVAPNVPNGGGNDNNGGNAPPDPPYPWATNEAAKHHSLAELTRRDIKTIRGEARCRRCDTHKMIKYDIATKFQEVSNYFRQNYQHMNDRAQAQ >ONIVA05G25940.1 pep chromosome:AWHD00000000:5:25015459:25018801:1 gene:ONIVA05G25940 transcript:ONIVA05G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREASSPPWAAATAANGLAGSSSSGQKRKAAVDDDGRGEDDNWLKLSLAPVDYGDPTGDVVDNNSSSCAPAVMTSTEERSGTAAGVATGSARAGLIPNGAVPIFPCFNFLGTSTSSSSLLHLHQQSSSTRRQSNASTASSSGGIGGGDDDVAPNVMNGGDKNDGNALPDPPYPWATNEVAKHHSLVELARRDIININGEARCRRCDTRKMIVYNIATKFREVSDYFRQNYQHMNDRAQARWMNPVVPNCDSCGHERCMRPVIAAEKERINWLFLLLGETLGLCTLDQLKYFCAHTNRHRTGAKDRVLFSTYEELCNQLSPGLITGHDQLRMLDAGILIFLYYKRSMLSGVKNMKKKYNDPIFALINYTPNERQSGSPQNLHVAVIKCASINLDSILDGVPMLRAQFPIKYLGLPLLLERMRKTAAAVQGAGSSSSGQKRKAAMDDDGSGEDDNWLKLSLAPVVYGDTTGGVNDDDDSSRAPAVMTSTKERPAMAACVAS >ONIVA05G25930.1 pep chromosome:AWHD00000000:5:24999308:25003029:-1 gene:ONIVA05G25930 transcript:ONIVA05G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STT7 homolog STN7 [Source:Projected from Arabidopsis thaliana (AT1G68830) TAIR;Acc:AT1G68830] MATGSLGLSTSFLPGHDTLLRRRRRRPPATTAASFRPVTAELGGELGRQLVEAVGVGLPCTVMACGDVIYRSTLPRNDGLTITAPGVALALAAASYLWSTPGVAPGFFDMFVLAFAERVFRPTFRKVSAGTRDALTLPRFFDWGDDLVAFWQDEFVLGKKLGEGAFGVVYKASLDDPKAAEKQGAVVVKKATEYGAVEIWMNERVRRACASSCADFIYGFRESKAKGKGADEYWLIWRYEGEDTLFDLMQSKEFPYNVETKILGDVQDLPKGIARENKIIQTVMRQLLFALDGLHSTGIVHRDVKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPSEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCNYDLEAWRNLVEPRATPELRRGFDILDLDGGIGWELLTSMVRYKARQRTGAKAALAHPYFNREGLLGLSVMQNLRLQLLRATQKDYSEAARWVVGLMARSGTEAEGGFTEAQLQELREIKPKKGSARRNLLASVLRVQRKVVRTINESMDELSSQSKSIWWSRWIPKEE >ONIVA05G25920.1 pep chromosome:AWHD00000000:5:24990647:24996955:-1 gene:ONIVA05G25920 transcript:ONIVA05G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQSWRKAYGALKDSTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIVAATSIARPRADVAYCIHALSRRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFAQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRELESQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREPPQTFLVTMEEYVREAPRMVPVREPLELPERLLLTYKPEEQEEDSVPDPVEEEKPPVEEPVPVPPVTEAVSPPPPPKTKVADTGDLLGLNDPNPSVSAIEESNALALAIVPADAGASTSSTATWQDKGFDPTGWELALVTTPSNTNSSAADSQLGGGFDKLILESLYDQGDYRQRQQQQLYGSSAPNPFMSNDPFVMSNQVAPPPSVQMAAMSQQHQQIPTMMQANPFGPPMQPQHVGMGPATNPFLDSGFGPFPMANNGHQQANPFGGTQLL >ONIVA05G25910.1 pep chromosome:AWHD00000000:5:24983326:24987019:-1 gene:ONIVA05G25910 transcript:ONIVA05G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAANGIGEVERKAQRSYWEEHSKDLTVEAMMLDSRAADLDKEERPEVLSVLPSYKGKSVLELGAGIGRFTGELAKEAGHVLALDFIESVIKKNENINGHHKNITFMCADVTSPDLTIEDNSIDLIFSNWLLMYLSDEEVEKLVGRMVKWLKIFKECHSYDKDGGSYELSLETCKCIGAYVKSKKNQNQLCWLWEKVKSTEDRGFQRFLDNVQYKTTGILRYERVFGEGYVSTGGIETTKEFVDKLDLKPGQKVLDVGCGIGGGDFYMAENYDAHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKTYAPNTFDVIYSRDTILHIHLFASIADHGIITYNNFVVELTTEATVLRLLYMVLQDKPALFRSFFKWLKPGGKVLISDYCRNPGKPSEEFAAYIKQRGYDLHDVKTYGKMLEDAGFHHVIAEDRTDQFLRVLQRELAEVEKNKEAFLADFTQEDYDDIVNGWNAKLKRSSAGEQRWGLFIATK >ONIVA05G25900.1 pep chromosome:AWHD00000000:5:24978557:24980811:-1 gene:ONIVA05G25900 transcript:ONIVA05G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein [Source:Projected from Arabidopsis thaliana (AT3G21610) TAIR;Acc:AT3G21610] MRGGEMLTASRGAGASSSTAAAAATVDLAGRAGAGAGGGGGNFPLAVALIAFAFANFLNLLAIWLKEKRWDARKFLTSAGIISSLSATVGSLAVAVGQQEGGDSSSFALALVFAAVVMYDASGIRFHTGRQAALLNQIVSDFPPEHPIISSFRPLREPLGHSPFQVFAGALVGCSIAYLMGKSV >ONIVA05G25890.1 pep chromosome:AWHD00000000:5:24976196:24977308:-1 gene:ONIVA05G25890 transcript:ONIVA05G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASMASGSGEGKGDIPSVVLLELWGHVADDDDDDDDHRPDATTATSTTSTGLTISVTLRAATPPALSHLSVYCPGLADLDPQPLGQVRGAHGGARLYRLPSRPSDRGFGDDNIAILSCGNDSSSSSSYAVAVLQPWYHVDFRLHLCRSTSDGKPGSSWISHQLTVEEPLMRRTVCPVPDSALRRIFHTTTKVITLGGAKGTVGWVDLWRGILLCDVLEDSPKLRDMPLPLPARVNWPLFLNRCPYYCRDIVVSQSRDTIKYVEMEFTNGSHLEVLVDDGSWKVKTWSMPIPVTSWDGWKPGCSVTSNHLDTALHSYMRKID >ONIVA05G25880.1 pep chromosome:AWHD00000000:5:24974682:24976179:-1 gene:ONIVA05G25880 transcript:ONIVA05G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVESLHMAYPTLSIADDDDVVYLLSKRTRRGAVKMVFSVNTRARVLEKLAKLHSMSHHGFMRCFLSTGISKHLKPTASLALTLGHPDVYYYDL >ONIVA05G25870.1 pep chromosome:AWHD00000000:5:24972662:24974346:1 gene:ONIVA05G25870 transcript:ONIVA05G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07740) TAIR;Acc:AT1G07740] MPAAAAAARLRRRGHRRKKFVYRPKPPPEPHPFLLHLKSLPSPVAAAAALLSAPRRLHDHPFAACVLYRLARARLFPLVPPLLAALHSRGAPLRPTVFAAVIDHLGAASRPDAAVGVFRTVPAFCSHSAATFHSLLNALVSNGSTDAARDMLPLAPKLGVRLNAVSYNIILKGVCLRDGFMGARGVLDEMLSRGVRPTVVTFNTLVGSACREGELGAAERLIDEMARRGVAPNAATYALLMRGLCDADRHADAEKLMFDMEYRGCQADVVNYGVLMSSRARRGDADGVRELLSAMRKRKLKPDDASYNILIRCLCDAGRADEAHRALLEMQLRGTVPGAATYRVLVDGCCRARDFDLGLRVFNAMMASGHCPQARTFRHLARGLGEDGKAEEAFFVLEQMARREMSLDADGWQAVVTCVRSSCSTQASEIKLVNELVLSN >ONIVA05G25860.1 pep chromosome:AWHD00000000:5:24965576:24971232:1 gene:ONIVA05G25860 transcript:ONIVA05G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASTARAADGDKRGKEENDRRMAKDGKVAFHHLFKYADSTDVALMLVGTIASLASGMSQVIMTIIFGQMVDAFGKSSPGNILHQVNKAVLYFVYLGIGSGIVCFLQVSCWSVTGERQATRIRSLYLKTILRQDMAFFDKEMTTGQVISSISTDTTLIQGATGEKVGKFLQLVTTFLGGFVLAFLKGWLLTLVMLSTIPPFIFAAGIVSKMLAKISNEGLASYSKAGDIVEQTVGSIRTVVSFNGEKKAIGLYNDLIKKAYKGAVKEGFIQGFGMGFLNLIYFSSFGLIVWYGSKLSLSRGYSGADIMNILFGIMIGARALGDATPCTAAFEEGRIAAYRLFKVIKRKPEIDYDDTSGIVLEDIKGDIELKDVFFSYPSRSEQLIFDGFSMCVSNGTTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGMNIKSLRLEWIRGKIGLVNQEPILFMTSIKDNILYGKENATLEEIKRAAELANAARFIESMPNGYDTLVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDLESERIVQDALNQIMVGRTTLVVAHRLSTVRNAHCISVVHKGKIAEQGHHDELVKDPNGAYSQLIRLQEAQQAIDPHLDGPLNKRSQSLKRSLSRNSAGSSSHSLNLPFSLRGATELLEYDGADGENRNLKNDGKLPKKGSMGRLISLNKPEIAILLFGSLAAAIDGAVFPMIGLVLASAVKVFYESPDKREKDATFWGLLCVGMGAIAMISKLANILLFAIAGGKLIKRIRALTFRSIVHQEVSWFDHPANSSGALGGKLCVDALNGYAQVRFLQGFSQDAKIMYEEASQVATDAVGSIRTVASYCAEKKVMTKYNQKCQASRYQGIRTGIVGGLGFGFSNMMLFMTSALCYYVGAKFVSQGNSTFGDVFKAFFSLVVAMLGVSSTAAMASDSSKAKDSASSIFAILDRKSQIDSSSNEGLTLELVKGDIEFTHISFRYPSRPDVQIFSDFTLSIPSGKTVALVGQSGSGKSTAIALLERFYDPDSGVILLDGVEIKKLEISWLRDQMGLVSQEPVLFNDTIRANIAYGKNEEVTEEEIVAAAKAANAHEFISSMPEGYSTSVGERGTQLSGGQKQRIAIARAIVKDPRILLLDEATSALDAESERIVQDALDHVMVGRTTVVVAHRLSTIQGADIIAVLKDGAIVERGRHEALMGIASGAYASLVELRHNVT >ONIVA05G25850.1 pep chromosome:AWHD00000000:5:24957424:24965173:1 gene:ONIVA05G25850 transcript:ONIVA05G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSEGGEAAAQGKVGLHRLFRYADGVDALLMAAGAAGAAASGAAQPLMNLVFGEVVDAFGSGSRDDVLHRVSKAPAEVWFLRRAATSRPIDPWKCWNSALANLALSFQVCLKFFYLAIGSWFACFLQVACWMITGERQAARIRGLYLEAVLRQDIAFFEKEMTTGQVVERMSGDTILIQDAIGEKVGKFIQLTATFVGGFVVSFTKGWLLSCVMLSSIPPIIIAGATMSWTISKLSTHGQSKYNEAGNVVEQTIGAIRTVASFNGENRAIALYNKYIHSAYVSAVQESTATGLGFGFIMFMLFCTYGLAAWYGAKLIIDKGYEGGQVVTVWMAFMTGAMSLGEATPCMSAFASGQAAGYRMMQTIERMPAINSSGIDGAVLENIKGDIELRNVYFSYPSRPDQLIFDGFSLHVLNGITMAIVGESGSGKSTVINLVDRFYDPQAGEVLIDGVNIKTLRLRWIREKIGLVSQEPLLFATSIRENIVYGREDATTEEIMAATELANAAKFIENLPNGLDTMVGEHGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDMESERVVQEALNRIMQDKTTIVVAHRLSTIKDADIISVVQHGRVVEQGTHTELLKDLNGAYSQLIQLQGATEELHKSGVYYQRSISTVQSVMSISKSRGRNASFKRSLSRGTSFGSTSVHLTTAAGMIVPESMHTEVPSKVLDDNEEHKKVPLCRLISLNKPEIPVLLLGTAAAVVAGVLFPMLGLLISSSIKSFYEPPHQLKKDARFWTLMYVAAGIVSLISLPMENFLFGVAGGKLVERIRSLSFKRIVHQEVSWFDNPSNASGTIGARLSVDASNIRRLVGDSLALFVRSSVTIIAGFIIAMVANWRLALVATVVLPLGGLQGFFQIKFLEGFSADAKIKYEEATQVAHDAVSSIRTVASFCAENRIMKAYYKKCEAPVRQGIRQGIVSGLGFGISFFVLYSTYALCFYVGAKFMLDGKATFTEIFRVFFALLMATIGVSQTSAMGSDSAKAKASATSIFAMIDRESKIDSSSDDGMVLANVAGELELHHVCFSYPSRPDIQIFRNLSLRIPSGKMVALVGESGCGKSTVIALLERFYDPDSGTVTLDGVDIKNLKVGFLRQQMGLVSQEPVLFNDTVRANIAYGKEGDATEEEIVAAARAANAHQFISALPGGYDTCAGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERAVQAALESVMVGRTTVVVAHRLSTIRGADVIAVLKDGEVVATGGHEELMAKKDGVYASLVELRMSSERAGDSKPS >ONIVA05G25840.1 pep chromosome:AWHD00000000:5:24954574:24955533:-1 gene:ONIVA05G25840 transcript:ONIVA05G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEAAANDGAYCPDCRRATAVVLDHTTGDTICTECALVLDARYIDETSEWRTFANDGASDDRDPNRVGDRADPFLPDHVGGTTIAYSAAPPKNASAADAAAPLLTRRRVDVVGPSPENALVAAFRGIADMADRLGLVATIRDRAKEVFKKLGEAPKGFPRGRNRDTVYAACLFIACRNEGMPRTYKELASVTAEGAAAKKEIGRLTTLIKKHLGDQGEGRAMDIGVVRSTDYLRRFCSRLGLGHQDVRAAGDAVRRLEERLDVRRNPESIAAAIIYMVVQRAGGSKSVRDVSTATGVAEGTITAAHKELAPHASVLFGG >ONIVA05G25830.1 pep chromosome:AWHD00000000:5:24951343:24951978:1 gene:ONIVA05G25830 transcript:ONIVA05G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDETFASPTAGGGGGEFEQPLTNGGGGGGGGGAYPAAKAYDAGELDALREAKRDLEDKLAAVEHENRFLGAEAYRLEGIVSQAREDIATAEHAVAASEGEAASLRDEIKRVKELLAAEKSNHEAERRRGADLDAELKGVQKEVAALEEEIKALKASAAAADAEDEDEAAAPAAPSKEAEVGYHGLMAAAAAGAAVTAVVAVVILHLKR >ONIVA05G25820.1 pep chromosome:AWHD00000000:5:24949186:24950197:-1 gene:ONIVA05G25820 transcript:ONIVA05G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEYRKTDGRALSSVSLARKITTMLFPEKEGLSLLGTEEARFIESKWRRQLDSEIQTQMR >ONIVA05G25810.1 pep chromosome:AWHD00000000:5:24928827:24936001:1 gene:ONIVA05G25810 transcript:ONIVA05G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSSPKLLLLLLPVVLLAPLLEARRLHVLPPLMLPSDGVVVGGAVEVEGGRSGYAPPSPRPHGRVTPLAGGGGGGGVLRRPPGRGPPPPGPGGHVGPLSGGVSSSSAGRSSTRPLMISDDDGGVSGRQWPAPPPPPDPNTSAQPLSGGIFHRTEQLSEEHPPPLLLYQIIIGSKQASSSPSPMQASPPTTTATFSNQHQADTGTKSWWLPKSTQRTGPATAIQPVAELRNERPSGDEGTKMMNRMDKISPPACE >ONIVA05G25800.1 pep chromosome:AWHD00000000:5:24925434:24926198:1 gene:ONIVA05G25800 transcript:ONIVA05G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSPCKLLLHVVLLAIAAPLLQARPLGHHQVVVAPLMLPSDGGEVVGGARRPGGFVPPPPRAPGGPIALAGDDGGLLGRTGGPTPPSPGAPDGPITLAGDDGGLLGRPGGLAPPPPWHPGVPTQTQLAGDDDGGLLRRPGRPTPPTPGHPGVPTQTQLAGGDDDGVGGLLGRPWPKAPPPPDPNTPPVQPLSDYSVSRCCGRPGPAPPTPAGNPPGKNKVAVALAPPIPCAAFLRVIRDAVQYMVGGGLGA >ONIVA05G25790.1 pep chromosome:AWHD00000000:5:24923133:24923683:1 gene:ONIVA05G25790 transcript:ONIVA05G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLSTSLLLVLAHFIIFAHLLDARTLGDAYQPHVHASSSSAAAAAALRLWSSADVDGGALARSSAHAPPAPGSPRPPPQTSPRGHDGDGAPARWPAAAAPPPPFAGDVVGQPGLSPSRPAMYTA >ONIVA05G25780.1 pep chromosome:AWHD00000000:5:24919075:24921502:1 gene:ONIVA05G25780 transcript:ONIVA05G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWEELLADSLDMSDEVHCFREEDKPAFRKSKAQMARFYQRMIDIENNKIKQIFRPRVLSDTPEQHLTAEEMEAELAGKKVCSQAHHFANLAINHYNNIEENIVKIELCTVLLSNCFHEICGSTYAHVNFTARAQNDDQAKKSLYFAELKLNPDLLAKRMERFAELSLDPNIVGCADDIEPMCVVSIHNLQGSCFGGCHEINRRIDYVMTRNQDYERCHSCSDRIKHPYGTEFVAGHDSSKIPYYTAG >ONIVA05G25770.1 pep chromosome:AWHD00000000:5:24904968:24905838:1 gene:ONIVA05G25770 transcript:ONIVA05G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYLFGRERKVADIPIDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSRYMIIEIEE >ONIVA05G25760.1 pep chromosome:AWHD00000000:5:24928806:24936446:-1 gene:ONIVA05G25760 transcript:ONIVA05G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPEAQMGCVKSTGGEEREKGGGEILSIRFIIFVPSSPLGRSFLSSATGWIAVAGPADPELEAIRQRRMQELMAQRGAANPQNTGQQKAQEDAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQSGGISEKVSEERLISLLEQINTHTSKQTKVTIQRRRSRRHPAMRTRRRRGVTRPAALDLDGSSDDHAVARQHQRRQHVQTPCFEQRSEEDDGKQQQQQLWRRRRRTRHGGKEKR >ONIVA05G25760.2 pep chromosome:AWHD00000000:5:24928806:24936446:-1 gene:ONIVA05G25760 transcript:ONIVA05G25760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPEAQMGCVKSTGGEEREKGGEADPELEAIRQRRMQELMAQRGAANPQNTGQQKAQEDAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQSGGISEKVSEERLISLLEQINTHTSKQTKVTIQRRRSRRHPAMRTRRRRGVTRPAALDLDGSSDDHAVARQHQRRQHVQTPCFEQRSEEDDGKQQQQQLWRRRRRTRHGGKEKR >ONIVA05G25760.3 pep chromosome:AWHD00000000:5:24903862:24936446:-1 gene:ONIVA05G25760 transcript:ONIVA05G25760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPEAQMGCVKSTGGEEREKGGEADPELEAIRQRRMQELMAQRGAANPQNTGQQKAQEDAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQSGGISEKIQRRRSVLDDDD >ONIVA05G25760.4 pep chromosome:AWHD00000000:5:24903862:24905349:-1 gene:ONIVA05G25760 transcript:ONIVA05G25760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSRIALVKPDKARGVEDVLLRAAQSGGISEKVSEERLISLLEQINTHTSKQTKVTIQRRRSVLDDDD >ONIVA05G25750.1 pep chromosome:AWHD00000000:5:24901436:24902068:1 gene:ONIVA05G25750 transcript:ONIVA05G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSSPKLLLLLLLPVVLLAPLLEARRLHVLPPLMLPSDGVVVGGAVEVEGGRSGNAPPSPRPHGRVTPLADGGGGGVLRRPPGRGPPPPGPGGHVGPLSGGVSSSAGRSSTRPLMISDDDGGVSGRQWPAPPPPPDPNTPVQPLSGGDGRPRQLAPPPPMGNPPPNTHRRRTDRPPRRLPADDDMAGLLLRVIRDAVEYMVGELGA >ONIVA05G25740.1 pep chromosome:AWHD00000000:5:24892401:24893416:1 gene:ONIVA05G25740 transcript:ONIVA05G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSPCKLLLLLLLHVVLLAFAPLLQARPLGHQVVVAPLMLPSDGGEVVGGVGRPEDHIPPSPFAPGGPITLAGGWLVGRPVGPTPPPPSHPVVPTPTQLAADDDGGLLRRPGGPTPPSPWHPGVPTQTQLAGGDDGGVGGLLGRPWPKAPPPPDPNTPPVQPLSNYGVSRV >ONIVA05G25730.1 pep chromosome:AWHD00000000:5:24888120:24888357:-1 gene:ONIVA05G25730 transcript:ONIVA05G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMMNENSFYLWLCDSRKKVDKAHRRGSDTVATLVTKKETIECATNNSEHSPRWPRRWQPMRRFGVCPMRWCRC >ONIVA05G25720.1 pep chromosome:AWHD00000000:5:24885107:24886999:1 gene:ONIVA05G25720 transcript:ONIVA05G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPTIEEKLATYSMFQVRQGSTVPITAREWLDSLPPPPPPPSPSQSVANSSTFLPAAAGSACEAPARKKRTPGRWRRIPRTLPHPCLRKRPMTWEELLADSLDMSDEAHCFREEDKPAFRESKAQMARFYQRMIDIEKNKIEQIFRPRVLSDTPEQHLTAEEMEAELAGKKVCPQAHRFANLAINHYNNIEKNIVKIELCTVLLSNCFHEVCGSTYAHVNFTARAQNDDQAKKSLYFAELKLNPDLLAKRMERFAELSLDPNIVGCADDIEPMCVVSIHNLQGSCFGGCHEINRRIDYVMTRNQDYERCHSCSDRIKHPYGTEFVAGHDSSKIPYYTAG >ONIVA05G25710.1 pep chromosome:AWHD00000000:5:24882913:24883473:1 gene:ONIVA05G25710 transcript:ONIVA05G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSRSPCICKLLPMLMLHVVLLAPPLLQARPLALGHGHRLLESSPPVIMLPTDDGEAVAAASPGLDVPGQLGRSGGAPPPPQSNRPVTPLAGVDGGVSGGRAPTNTPPSPQPGGSTKPLSDDDGKPPLGIAPPPPQGNKPPITSPRLRTSTDPPLRPPAPADDAAGLLRLIRDAVEYVIGELEA >ONIVA05G25700.1 pep chromosome:AWHD00000000:5:24865511:24880389:1 gene:ONIVA05G25700 transcript:ONIVA05G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARDRGSPPRRRSPPARRERSPAPRSRSPRRRSPVKTTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQAQSRSRSPSPARKRQSRSPSPRSKRLRRAQSEREGADATEGDRRKTTSREERDSGRYRERDEGKDVSRDRKTEREDSRGSFKDRKLDRDDDRDHSRDRRSDRSGASRETWSSRDDERRDSRGRRSDGDDRKVNSREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESRQSPRSSRSAAHGEDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLEEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >ONIVA05G25700.10 pep chromosome:AWHD00000000:5:24865441:24867984:1 gene:ONIVA05G25700 transcript:ONIVA05G25700.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARDRGSPPRRRSPPARRERSPAPRSRSPRRRSPVKTTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQAQSRSRSPSPARKRQSRSPSPRSKRLRRAQSEREGADATEGDRRKTTSREERDSGRYRERDEGKDVSRDRKTEREDSRGSFKDRKLDRDDDRDHSRDRRSDRSGASRETWSSRDDERRDSRGRRSDGDDRKVNSREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVWGMASAVERREHSRRSGRSRSRSPARDRGSPPRRRERSPAARSRSPRRRSPVKSTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQSRSRSPSPARKRESWSPSPQSKRLRRAQSEREGADATEGDRRKTTRERDEGKDVSRDRKAEREEGSFKDRKLDHDDDRDHSRDRRSDRSGASRETWSSRDDEGRDSRGRRSDGDDRKGICREQRADHDDRKDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESHQSPRSSRSAARGESSDSRGYN >ONIVA05G25700.2 pep chromosome:AWHD00000000:5:24865533:24880389:1 gene:ONIVA05G25700 transcript:ONIVA05G25700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARDRGSPPRRRSPPARRERSPAPRSRSPRRRSPVKTTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQAQSRSRSPSPARKRQSRSPSPRSKRLRRAQSEREGADATEGDRRKTTSREERDSGRYRERDEGKDVSRDRKTEREDSRGSFKDRKLDRDDDRDHSRDRRSDRSGASRETWSSRDDERRDSRGRRSDGDDRKVNSREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESRQSPRSSRSAAHGEDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLEEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >ONIVA05G25700.3 pep chromosome:AWHD00000000:5:24866897:24880389:1 gene:ONIVA05G25700 transcript:ONIVA05G25700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARDRGSPPRRRSPPARRERSPAPRSRSPRRRSPVKTTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQAQSRSRSPSPARKRQSRSPSPRSKRLRRAQSEREGADATEGDRRKTTSREERDSGRYRERDEGKDVSRDRKTEREDSRGSFKDRKLDRDDDRDHSRDRRSDRSGASRETWSSRDDERRDSRGRRSDGDDRKVNSREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESRQSPRSSRSAAHGEDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLEEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >ONIVA05G25700.4 pep chromosome:AWHD00000000:5:24865441:24880389:1 gene:ONIVA05G25700 transcript:ONIVA05G25700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARDRGSPPRRRSPPARRERSPAPRSRSPRRRSPVKTTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQAQSRSRSPSPARKRQSRSPSPRSKRLRRAQSEREGADATEGDRRKTTSREERDSGRYRERDEGKDVSRDRKTEREDSRGSFKDRKLDRDDDRDHSRDRRSDRSGASRETWSSRDDERRDSRGRRSDGDDRKVNSREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVWGMASAVERREHSRRSGRSRSRSPARDRGSPPRRRERSPAARSRSPRRRSPVKSTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQSRSRSPSPARKRESWSPSPQSKRLRRAQSEREGADATEGDRRKTTRERDEGKDVSRDRKAEREEGSFKDRKLDHDDDRDHSRDRRSDRSGRHGQAEMMKGVIQGVEGLMGMIEKSIPGSKGRIMMIEGILQEREGQIGMRAMVNQGDHLGVGDQCLQKSIGIGVDMNPASHRGHLEVQHMDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLEEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >ONIVA05G25700.5 pep chromosome:AWHD00000000:5:24868508:24880389:1 gene:ONIVA05G25700 transcript:ONIVA05G25700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARDRGSPPRRRSPPARRERSPAPRSRSPRRRSPVKTTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQAQSRSRSPSPARKRQSRSPSPRSKRLRRAQSEREGADATEGDRRKTTSREERDSGRYRERDEGKDVSRDRKTEREDSRGSFKDRKLDRDDDRDHSRDRRSDRSGASRETWSSRDDERRDSRGRRSDGDDRKVNSREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESRQSPRSSRSAAHGEDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLEEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >ONIVA05G25700.6 pep chromosome:AWHD00000000:5:24868508:24879564:1 gene:ONIVA05G25700 transcript:ONIVA05G25700.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARDRGSPPRRRSPPARRERSPAPRSRSPRRRSPVKTTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQAQSRSRSPSPARKRQSRSPSPRSKRLRRAQSEREGADATEGDRRKTTSREERDSGRYRERDEGKDVSRDRKTEREDSRGSFKDRKLDRDDDRDHSRDRRSDRSGASRETWSSRDDERRDSRGRRSDGDDRKVNSREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESRQSPRSSRSAAHGEDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKVGHTP >ONIVA05G25700.7 pep chromosome:AWHD00000000:5:24875368:24880389:1 gene:ONIVA05G25700 transcript:ONIVA05G25700.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGLLSIGRSPQRDRVKEQVRSPKQAQSRSRSPSPARKRQSRSPSPRSKRLRRAQSEREGADATEGDRRKTTSREERDSGRYRERDEGKDVSRDRKTEREDSRGSFKDRKLDRDDDRDHSRDRRSDRSGASRETWSSRDDERRDSRGRRSDGDDRKVNSREQRADHDDRRDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESRQSPRSSRSAAHGEDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLEEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >ONIVA05G25700.8 pep chromosome:AWHD00000000:5:24865511:24870950:1 gene:ONIVA05G25700 transcript:ONIVA05G25700.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARDRGSPPRRRERSPAARSRSPRRRSPVKSTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQSRSRSPSPARKRESWSPSPQSKRLRRAQSEREGADATEGDRRKTTRERDEGKDVSRDRKAEREEGSFKDRKLDHDDDRDHSRDRRSDRSGASRETWSSRDDEGRDSRGRRSDGDDRKGICREQRADHDDRKDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESHQSPRSSRSAARGEGHSDWKKFRPGLPEYLPEMDRMFEGVAVDGSASFVATAEEPIECDSSDKADGDEQEDELTPLSVGNKRTSPSKKSKSPAVRAMVSNMREYNDLQRSKISLMQSMLQVMQDVAEAERKAAEAERRAAEAHMIAAEAQANAHEMKIKKVLELAGVWGHSRRKPKAVHGRGQHYPEW >ONIVA05G25700.9 pep chromosome:AWHD00000000:5:24865511:24869808:1 gene:ONIVA05G25700 transcript:ONIVA05G25700.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARDRGSPPRRRERSPAARSRSPRRRSPVKSTSSHRERSPVRRNGSPRRSPVRSIGRSPQRDRVKEQVRSPKQSRSRSPSPARKRESWSPSPQSKRLRRAQSEREGADATEGDRRKTTRERDEGKDVSRDRKAEREEGSFKDRKLDHDDDRDHSRDRRSDRSGASRETWSSRDDEGRDSRGRRSDGDDRKGICREQRADHDDRKDSARERRADRDESNGESGRSSRRGRSVSPEEHRHRGRHESHQSPRSSRSAARGEDFMPYDFFVQGHSDWKKFRPGLPEYLPEMDRMFEGVAVDGSASFVATAEEPIECDSSDKADGDEQEDELTPLSVGNKRTSPSKKSKSPAVRAMVSNMREYNDLQRSKISLMQSMLQVMQDVAEAERKAAEAERRAAEAHMIAAEAQANAHEMKIKKVLELAGVWGHSRRKPKAVHGRGQHYPEW >ONIVA05G25690.1 pep chromosome:AWHD00000000:5:24847678:24850262:-1 gene:ONIVA05G25690 transcript:ONIVA05G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGMIIGGGGRRRLTRVRTLGRGASGAVVSLFAAGDDELLAVKSAAGPAGAAQLRREAGILASLCSPHVLPCFGFGAVAGGEYGLLLEFAPGGSLADEVARNGGRLEEDDVRAYAADVASGLAYLHGVGMVHGDVKGRNVVIGANGRAKLADFGCARRADSAGPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSGVDDVVAAVRLIGFTDAVPEPPEWLSPEANDFLDKCLRRRAGERWTAAQLLEHPFLALAGCRAVAAEETKPKWVSPKSTLDAAFWESDADDEDDDMPESWAERIMALAVPCSAVPDWESDDGWIDVMSSQSELPIAAAETPAEQTRSEVSESPVASPALETTSYASAWDERSEAVMDADVDDDDDELVHNVRTVDTFVDEQLRQDIYLDFTTSDPIVLHVDVSDERKVKLLPPIPDCLCSSPSLSFFDFIHSNLITLQTQTTNLKLQTSKNVKSRAAASALILQNDGTKAGEMKMMQIRGDTWPKSLDYLTPDRVPLKKNKGITASTLLLHLRVVVDSVIRLPAQHLIKTVKSIAMCHCHLYLDAMNNIVVVVLLGMNFLHVS >ONIVA05G25690.2 pep chromosome:AWHD00000000:5:24850268:24857590:-1 gene:ONIVA05G25690 transcript:ONIVA05G25690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAAVSRQWTRVRTLGRGASGAEVFLAADDASGELFAVKSVGAAGAAALRREQGVMAGLSSPHVVPCIGGRVGRDGSYQMFLEFAPGGSLADVAARCGGRMEERAVGEYAADVARGLAYLHGMGLVHGDVKARNVVIGGDGRAKLADFGCARWADSGRPIGGTPAFMAPEVARGEEQSPAADVWALGCTVIEMATGRAPWSDMDDVLAAVHRIGYTEAVPEVPGWLSADAKDFLGRCLQRRPIDRSTAAQLLEHPFVASAAGDGKPEAAKSKWVSPKSTLDAALWESDTDEEEDDELSQSTAERIGSLACAASSLPDWDSDDGWIDVISTPTEESCETTTSPADEETTTDLNGDIRTAEFELPHIDVDSGNGNTTHNVGEANAQHIISPSNLVFDQPEEEINR >ONIVA05G25680.1 pep chromosome:AWHD00000000:5:24838090:24843397:-1 gene:ONIVA05G25680 transcript:ONIVA05G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHRSATSPPATGHGNPRGLPPPEYPPLLGAAGSDRSKLIKYSRKGKPKIREFRLSSDENTLVWYSHNKEKCLRLSSVSKVIPGQRTAVFRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQAEVEVWFSTLESLITSCRLNFLNDGQTDRVSFSEDVTIYQDSTSYDTTLDIASSITRSFNSAGYSTPNSLNSIRADVGSDRVNMLRASTGDNSRVSISSAPSSSSQSSGLDDIESLGDVYVWGEVWTEVLPSEGSSNYLCSKTDFLIPKPLESDVVLDVQQIACGSRHIGLTTRQGEVFTWGEELGGRLGHGTDTDICRPKLVESLAVSNVEYIACGEFHTCVVTASGDLYDWGDGSYNAGLLGHGTGVSHWLPKRVSGPLEGLQVLSVACGSWHSALTMSSGKLFTFGDGTFGSLGHGDRESVAYPKEVEALSGFRAMKVACGVWHSAAIVEISGQASTNAMSRKLFTWGDGDKNRLGHGDKEAKLVPTCVQALVDHNFHQVACGHSMTVALATSGHVFTMGSSNNGQLGNPKADGKQPCMVQDKLGNELVEEISCGSNHVAALTSRSEVYTWGMGANGRLGHGSVEDKKKPTLVDALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKAADTGVISSYNKRNVITRRSIDIKDKLERPEIRPSRLATTSPAEPVKYQETKNVRNETKPADPMSMMKASQVPAMLQFKDMAFAGTFGTVPTTVKSMTMGGQMQMGMPMFSPSPPSKKPSPPPATASPLIGKVDNDGLKKTNELLNQDISKLQSQVNKLKQKCETQDEQLQKAERKAKQAASMASEESARRNTVLDFVKHLDSELKVIADRVPGDVADNLKTLQSQSERFLAGQSSNLVEITGLTGHDIGHHRSSSTGSLPVSQDGSSGNASGSSIAMTSDSPCHRIMENNLKAPGDFAPKYGTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRENQERVFKKYNHPTN >ONIVA05G25670.1 pep chromosome:AWHD00000000:5:24826654:24832374:1 gene:ONIVA05G25670 transcript:ONIVA05G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPARARGTGQPLRVYGPGPCTESDRILFVSSRLPRESFPPLKPIAAAAGASLSFWSKIIAQNPRLELLLGRLILESEEGMSGPLDRFARPCLVPCKALLFVILPKRLSHLSVAGFEGFTHNDEKKEIRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRGSIEDIRDVQDLQAVDAFRQCLVDEDLLPQQHDDYHTMLRFLKARKFDVEKAKSMWSDMLKWRKEFGADNIEEFDYTEADEVMKYYPQFYHGVDKEGRPIYIELIGKVDANKLMQVTTIERYVKYHVKEFERCFQMRFPACSIAAKRPIDSSTTILDVQGVGLKNFSKAARDLITRLQKIDNDNYPETLRRMYIINAGQGFKMLWSTVKSFLDPKTASKIHVLGSKYQNKLLEIIDENELPEFFGGKCKCEAFGGCKKSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIIRYAGPQYPTRKGSDGSAESGSEVEDGASPMASRNLITNPLLTPVHEEASCHASKLAAHGFTSASPSIIEESIPVVDKVVDDGWGSPRASSSPSRSLPITFDGLWTQVITWLTVLIVSLFAMVRSVPSRMAKRFSSQSTDHDHSYVEYPQEAEYKEEFRPPSPAPSYTEKDVLSSMVRRLGELEEKVQALETKPSEMPFEKEELLNAAVRRVDALEAELISTKKVYVKILLPYKKKVMKYFNFHEQALYEALMRQDELLAYIDKQDMIKFRKKKFCF >ONIVA05G25670.2 pep chromosome:AWHD00000000:5:24826916:24832374:1 gene:ONIVA05G25670 transcript:ONIVA05G25670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCLVPCKALLFVILPKRLSHLSVAGFEGFTHNDEKKEIRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRGSIEDIRDVQDLQAVDAFRQCLVDEDLLPQQHDDYHTMLRFLKARKFDVEKAKSMWSDMLKWRKEFGADNIEEFDYTEADEVMKYYPQFYHGVDKEGRPIYIELIGKVDANKLMQVTTIERYVKYHVKEFERCFQMRFPACSIAAKRPIDSSTTILDVQGVGLKNFSKAARDLITRLQKIDNDNYPETLRRMYIINAGQGFKMLWSTVKSFLDPKTASKIHVLGSKYQNKLLEIIDENELPEFFGGKCKCEAFGGCKKSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIIRYAGPQYPTRKGSDGSAESGSEVEDGASPMASRNLITNPLLTPVHEEASCHASKLAAHGFTSASPSIIEESIPVVDKVVDDGWGSPRASSSPSRSLPITFDGLWTQVITWLTVLIVSLFAMVRSVPSRMAKRFSSQSTDHDHSYVEYPQEAEYKEEFRPPSPAPSYTEKDVLSSMVRRLGELEEKVQALETKPSEMPFEKEELLNAAVRRVDALEAELISTKKVYVKILLPYKKKVMKYFNFHEQALYEALMRQDELLAYIDKQDMIKFRKKKFCF >ONIVA05G25660.1 pep chromosome:AWHD00000000:5:24818660:24820550:-1 gene:ONIVA05G25660 transcript:ONIVA05G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVAFRWGGEALLAPAAAAAFRSVGTTTMDCGENYSIDRGTGPVLATPADISGAATDISHKDQDSGRLPSSHLHRQSESWATHGSDKEKEDEEVVQAKNEEVDSFIAAAEKTGPPQQNIDCTAAARRQANNYAKVALEHYNKDENNKIQYRFIKALKSCAIQTNESYGHVNFVASSSDSKEEFFFAEVCYDPKSNGLVPTCMVSLEENNRIGGLLGVGFVGCPDLLNPPVDNDHCYACDDRLKHPKDGTLFKGGHVAATGFYASY >ONIVA05G25650.1 pep chromosome:AWHD00000000:5:24805346:24807562:-1 gene:ONIVA05G25650 transcript:ONIVA05G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSVVLWWEEWQLRILVLGSLFLQCFLAFAAVHRRRSIPASLRFFIWLAYLGSDALAIYALATLFNRHKTDNQGGSHVLATLVSPQGKGGGDDTGLEVFWAPVLLLHLAGPDSITSYNIEDNELWRRHVLTVISQVTVSLYVFCKSWSGENKLLRAAVLLFIAGTLKCIDKPMALKSASIYGLVTSSPFHDQKSQNNDQGENRSLEAYIQEAKGYFSNLKINDVYTELVAAAQNKDLRVMPFWLFVDLASTLCHRLRVLRFFLVLDNKSADSLLQTALCGSFVRLYTKKSMLLSYFWAKDKRNALISTYSHLNRLLAVCLTISAVALFHQSHKQGYNNSDVKVTYTLLWCTAALEVYALFGPKYKFFTWCKNVAQYNLVGFFARDQTPTRLLKLASCFWCKDYVDQHWYVNQCSSSFAITELVIEQVKDGWKNYIEDTSTYWMFNDRRGQLTIQHELCDEELCKSLDVPFDESIIVWHIATDICFYEGAPAANHHHLKAATRCREISNYMLYLLVVNPDILMSGTRANILSNTCKELRSMFEDEKPPSDESDLTREIHRRAQSSNVDAAATEELIPRASKLASQLLAMDGDKRWKVMQGVWVEMLCFSASRCRGYLHAKSLGQGGEYLSYVWLLLWYMGLESVAERQQRSDFRSHVERVASRQLPCTETESSQEPEIQEEEGAVVPLFQEGDIGVAVRSNVEDEEAGAVTLEIQDDEEAATTAHASKATVPGDNNV >ONIVA05G25640.1 pep chromosome:AWHD00000000:5:24804638:24805043:-1 gene:ONIVA05G25640 transcript:ONIVA05G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAILEYLNLRTILRSLKQPTDQPDYLSWKWEPSGEYTSWSAYQNPIPTNANLIFLGSSTLSLLRLGDRSERRPTVYALEGCRTLIVVSSIISMRSRSHFGHVSGIPPTLVACAHNKRIARLPANE >ONIVA05G25620.1 pep chromosome:AWHD00000000:5:24799131:24799630:-1 gene:ONIVA05G25620 transcript:ONIVA05G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRMLYCSWSFLLLVFLAGLSGLDGVAATCFFLGVSFLVMHTFCLSEVWGGSTTCSGWVSCSMVSSGQGATHCSGTGSFEPVADLVLKQKHDALGDMDGLFVLTCGKKKTNLLDTLIGSEVVVVVVVMADDPFFFLLAPDEEEVFLFLWVALATASLAGKTI >ONIVA05G25610.1 pep chromosome:AWHD00000000:5:24780115:24787130:-1 gene:ONIVA05G25610 transcript:ONIVA05G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRRGSGGAGADQEGGVPMGGAVVVPALTRRAAFRWVGGVAVVVPASAWIGCGTGVIPTGLCRHHQQKISQLSQEAQEYYLSLISRVSSLMLLSSLPLSDLQRHSEKLSDTHGSDKEDEVVDSSDDDCDPYFNYLVDSFMTATKAQPKQLYGNIDLVAAAQRQSNEYASSALDYYNKDENNKIQYSLIKALKSSTIREKGEKYGHVNFIASLDSKEELFFAEVCWDPKNYDMVPTCIVSLEEKRRIGGRSFINDEYVVCLDLQNPPIDGKHCYGCSEEIKHPEDGSTFKAGIQGTTPSRGKGSIFFLPQFLPGAHLLLPRDLPEASRTDLGAGEIQGGGFPAMVKDTAYYDTLGVSVDASPAEIKKAYYLKAKQVHPDKNPGNPDAAQKFQELGEAYQVLSDPSKREAYDKHGKEGLPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVEENLNGQEARGKVQEKIKELQKEREQKLIQSLKDRLQPFVDERKDEFVNWANGEAQRLSHAAFGEAMLTTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHCIKSQVNAASGAIALMQLQEGMKKMEESDNKEDQIMKSFEEKKDAMLSYLWKINVVDIESTLTHVCQAVLKDASVPKDVLKLRARALKKLGTIFQGAKSLYHRENSLQVETSPRQGAATSN >ONIVA05G25610.2 pep chromosome:AWHD00000000:5:24780115:24787696:-1 gene:ONIVA05G25610 transcript:ONIVA05G25610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAGEEVGRGVACEEGGGAGQQEGGVPMGTICCLRCRPGGRRSDGWEEGRERQSLLPSLVPLGDVPMGMRRGSGGAGADQEGGVPMGGSRGSGDAGTNKEHGVPMGGRRGSGGACTDQEGGIPMGGRSGGSGAGVGLDWVWDWRNPNRSVPPPPTEDIPAVPGSSGVLPLSNLQRHSEKLSDTHGSDKEDEVVDSSDDDCDPYFNYLVDSFMTATKAQPKQLYGNIDLVAAAQRQSNEYASSALDYYNKDENNKIQYSLIKALKSSTIREKGEKYGHVNFIASLDSKEELFFAEVCWDPKNYDMVPTCIVSLEEKRRIGGRSFINDEYVVCLDLQNPPIDGKHCYGCSEEIKHPEDGSTFKAGIQGTTPSRGKGSIFFLPQFLPGAHLLLPRDLPEASRTDLGAGEIQGGGFPAMVKDTAYYDTLGVSVDASPAEIKKAYYLKAKQVHPDKNPGNPDAAQKFQELGEAYQVLSDPSKREAYDKHGKEGLPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVEENLNGQEARGKVQEKIKELQKEREQKLIQSLKDRLQPFVDERKDEFVNWANGEAQRLSHAAFGEAMLTTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHCIKSQVNAASGAIALMQLQEGMKKMEESDNKEDQIMKSFEEKKDAMLSYLWKINVVDIESTLTHVCQAVLKDASVPKDVLKLRARALKKLGTIFQGAKSLYHRENSLQVETSPRQGAATSN >ONIVA05G25610.3 pep chromosome:AWHD00000000:5:24780115:24787696:-1 gene:ONIVA05G25610 transcript:ONIVA05G25610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAGEEVGRGVACEEGGGAGQQEGGVPMGTICCLRCRPGGRRSDGRGSGGACTDQEGGIPMGGRSGGSGAGVGLDWVWDWRNPNRSVPPPPTEDIPAVPGSSGVLPLSNLQRHSEKLSDTHGSDKEDEVVDSSDDDCDPYFNYLVDSFMTATKAQPKQLYGNIDLVAAAQRQSNEYASSALDYYNKDENNKIQYSLIKALKSSTIREKGEKYGHVNFIASLDSKEELFFAEVCWDPKNYDMVPTCIVSLEEKRRIGGRSFINDEYVVCLDLQNPPIDGKHCYGCSEEIKHPEDGSTFKAGIQGTTPSRGKGSIFFLPQFLPGAHLLLPRDLPEASRTDLGAGEIQGGGFPAMVKDTAYYDTLGVSVDASPAEIKKAYYLKAKQVHPDKNPGNPDAAQKFQELGEAYQVLSDPSKREAYDKHGKEGLPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVEENLNGQEARGKVQEKIKELQKEREQKLIQSLKDRLQPFVDERKDEFVNWANGEAQRLSHAAFGEAMLTTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHCIKSQVNAASGAIALMQLQEGMKKMEESDNKEDQIMKSFEEKKDAMLSYLWKINVVDIESTLTHVCQAVLKDASVPKDVLKLRARALKKLGTIFQGAKSLYHRENSLQVETSPRQGAATSN >ONIVA05G25610.4 pep chromosome:AWHD00000000:5:24780115:24787696:-1 gene:ONIVA05G25610 transcript:ONIVA05G25610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAGEEVGRGVACEEGGGAGQQEGGVPMGTICCLRCRPGGRRSDGWEEGRERQSLLPSLVPLGDVPMGMRRGSGGAGADQEGGVPMGGSRGSGDAGTNKEHGVPMGGRRGSGGACTDQEGGIPMGGRSGGSGAGVGLDWVWDWRNPNRSVPPPPTEDIPAVPGSSGVLPLSNLQRHSEKLSDTHGSDKEDEVVDSSDDDCDPYFNYLVDSFMTATKAQPKQLYGNIDLVAAAQRQSNEYASSALDYYNKDENNKGEKYGHVNFIASLDSKEELFFAEVCWDPKNYDMVPTCIVSLEEKRRIGGRSFINDEYVVCLDLQNPPIDGKHCYGCSEEIKHPEDGSTFKAGIQGTTPSRGKGSIFFLPQFLPGAHLLLPRDLPEASRTDLGAGEIQGGGFPAMVKDTAYYDTLGVSVDASPAEIKKAYYLKAKQVHPDKNPGNPDAAQKFQELGEAYQVLSDPSKREAYDKHGKEGLPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVEENLNGQEARGKVQEKIKELQKEREQKLIQSLKDRLQPFVDERKDEFVNWANGEAQRLSHAAFGEAMLTTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHCIKSQVNAASGAIALMQLQEGMKKMEESDNKEDQIMKSFEEKKDAMLSYLWKINVVDIESTLTHVCQAVLKDASVPKDVLKLRARALKKLGTIFQGAKSLYHRENSLQVETSPRQGAATSN >ONIVA05G25610.5 pep chromosome:AWHD00000000:5:24780115:24787696:-1 gene:ONIVA05G25610 transcript:ONIVA05G25610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAGEEVGRGVACEEGGGAGQQEGGVPMGTICCLRCRPGGRRSDGWEEGRERQSLLPSLVPLGDVPMGMRRGSGGAGADQEGGVPMGGSRGSGDAGTNKEHGVPMGGRRGSGGACTDQEGGIPMEDIPAVPGSSGVLPLSNLQRHSEKLSDTHGSDKEDEVVDSSDDDCDPYFNYLVDSFMTATKAQPKQLYGNIDLVAAAQRQSNEYASSALDYYNKDENNKIQYSLIKALKSSTIREKGEKYGHVNFIASLDSKEELFFAEVCWDPKNYDMVPTCIVSLEEKRRIGGRSFINDEYVVCLDLQNPPIDGKHCYGCSEEIKHPEDGSTFKAGIQGTTPSRGKGSIFFLPQFLPGAHLLLPRDLPEASRTDLGAGEIQGGGFPAMVKDTAYYDTLGVSVDASPAEIKKAYYLKAKQVHPDKNPGNPDAAQKFQELGEAYQVLSDPSKREAYDKHGKEGLPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVEENLNGQEARGKVQEKIKELQKEREQKLIQSLKDRLQPFVDERKDEFVNWANGEAQRLSHAAFGEAMLTTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHCIKSQVNAASGAIALMQLQEGMKKMEESDNKEDQIMKSFEEKKDAMLSYLWKINVVDIESTLTHVCQAVLKDASVPKDVLKLRARALKKLGTIFQGAKSLYHRENSLQVETSPRQGAATSN >ONIVA05G25610.6 pep chromosome:AWHD00000000:5:24780115:24787108:-1 gene:ONIVA05G25610 transcript:ONIVA05G25610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRGSGGACTDQEGGIPMGGRSGGSGAGVGLDWVWDWRNPNRSVPPPPTEDIPAVPGSSGVLPLSNLQRHSEKLSDTHGSDKEDEVVDSSDDDCDPYFNYLVDSFMTATKAQPKQLYGNIDLVAAAQRQSNEYASSALDYYNKDENNKIQYSLIKALKSSTIREKGEKYGHVNFIASLDSKEELFFAEVCWDPKNYDMVPTCIVSLEEKRRIGGRSFINDEYVVCLDLQNPPIDGKHCYGCSEEIKHPEDGSTFKAGIQGTTPSRGKGSIFFLPQFLPGAHLLLPRDLPEASRTDLGAGEIQGGGFPAMVKDTAYYDTLGVSVDASPAEIKKAYYLKAKQVHPDKNPGNPDAAQKFQELGEAYQVLSDPSKREAYDKHGKEGLPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVEENLNGQEARGKVQEKIKELQKEREQKLIQSLKDRLQPFVDERKDEFVNWANGEAQRLSHAAFGEAMLTTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHCIKSQVNAASGAIALMQLQEGMKKMEESDNKEDQIMKSFEEKKDAMLSYLWKINVVDIESTLTHVCQAVLKDASVPKDVLKLRARALKKLGTIFQGAKSLYHRENSLQVETSPRQGAATSN >ONIVA05G25610.7 pep chromosome:AWHD00000000:5:24780115:24787130:-1 gene:ONIVA05G25610 transcript:ONIVA05G25610.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRRGSGGAGADQEGGVPMGGSRGSGDAGTNKEHGVPMGGRRGSGGACTDQEGGIPMGGRSGGSGAGVGLDWVWDWRNPNRSVPPPPTEDIPAVPGSSGVLPLSNLQRHSEKLSDTHGSDKEDEVVDSSDDDCDPYFNYLVDSFMTATKAQPKQLYGNIDLVAAAQRQSNEYASSALDYYNKDENNKIQYSLIKALKSSTIREKGEKYGHVNFIASLDSKEELFFAEVCWDPKNYDMVPTCIVSLEEKRRIGGRSFINDEYVVCLDLQNPPIDGKHCYGCSEEIKHPEDGSTFKAGIQGTTPSRGKGSIFFLPQFLPGAHLLLPRDLPEASRTDLGAGEIQGGGFPAMVKDTAYYDTLGVSVDASPAEIKKAYYLKAKQVHPDKNPGNPDAAQKFQELGEAYQVLSDPSKREAYDKHGKEGLPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVEENLNGQEARGKVQEKIKELQKEREQKLIQSLKDRLQPFVDERKDEFVNWANGEAQRLSHAAFGEAMLTTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHCIKSQVNAASGAIALMQLQEGMKKMEESDNKEDQIMKSFEEKKDAMLSYLWKINVVDIESTLTHVCQAVLKDASVPKDVLKLRARALKKLGTIFQGAKSLYHRENSLQVETSPRQGAATSN >ONIVA05G25590.1 pep chromosome:AWHD00000000:5:24757447:24758331:1 gene:ONIVA05G25590 transcript:ONIVA05G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDKSLIINKHRDGQDAKGRSLAPCLQPPRTKAGNTCWTCGGSSRAKGHDHVEPMATLPPNFESAGAMPLMGGNNVRGEAMREAETWEDGLPQI >ONIVA05G25580.1 pep chromosome:AWHD00000000:5:24750430:24753296:-1 gene:ONIVA05G25580 transcript:ONIVA05G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGSACGGGGVDKEKEEFKQIYGVLKEELLRDPAFEFTDSSRQWIDRMLDYNVPGGKCNRGLSVVDSYKLLKGTNVLSQEDMFLASTLGWCVEWLQAYFLVLDDIMDDSHTRRGQPCWFRVPQVGSIAINDGVILRNHITRMLRLHFRGKLYYADLLDLFNEVEFKTASGQLLDLITTHEGEKDLNKYNIGVHRRIVQYKTSYYSFYLPVACALLLSGEDLTKYGAVEDILVKMGIYFQVQDDYLDCYGDPKFIGKIGTDIEDYKCSWLVVQALERADESQKSVLFENYGKKDPACVAKVKSLYRELNLEAVFLDYENESYKKLIADIEAQPSIAVQNVLKSFLHKIYKRQK >ONIVA05G25570.1 pep chromosome:AWHD00000000:5:24746683:24750884:1 gene:ONIVA05G25570 transcript:ONIVA05G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14530) TAIR;Acc:AT5G14530] MAATLSQLDDGIVRGMAIGAVFTDYAGKINCLDFHRKEDLLVTSSEDDSIRLYNITSATLLKTTYHRKHGADRVCFTHHPSSILCSSRYNLESAESLRYLSLYDNRCLRYFKGHKDRVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGPFDTFLVGGDTAEVSDIKFSNDGKSMLLTTTNNHIYVLDAYGGDKRCGFSLESSPNVATEAAFTPDGQYVISGSGDGTLHAWNINTIQEVLFLKSCF >ONIVA05G25560.1 pep chromosome:AWHD00000000:5:24742195:24744864:1 gene:ONIVA05G25560 transcript:ONIVA05G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVERMTKNLSTESIFSRKYGLLGKEEAHDNAKRIEEVCFASADEHFKEEPDGDGSSAVQLYAKETSKLMLEVLKRGPRTTVEPEVPVADTPLEPADSVFDISGGKRAFIEADEAKELLSPLIKPGNAYKRICFSNRSFGIGAANVAGPILESIKKQLTEVDISDFVAGRPEDEALDVMRIFSKALEGAVLRYLNISDNALGEKGVRAFEELLKSQDNLEELYVMNDGISEEAAQALSELIPSTEKLKILHFHNNMTGDEGAMFIAEMVKRSPNLESFRCSATRIGSDGGVALAEALGTCTRLKKLDLRDNLFGVEAGLALSKTLSKLPDLVELYLSDLNLENKGTVAIINTLKQSAPQLEVLEMAGNEINAKASQALAECLTAMQSLKKLTLAENELKDDGAVVIAKSLEDGHQDLKELDVSTNMLQRVGARCFAQAIANKPGFVQLNINGNFISDEGIDEVKDILKSGENSVEVLGPLDENDPEGEAEDDEEEEEEEEDDGELDSKLQSLKVEQDD >ONIVA05G25550.1 pep chromosome:AWHD00000000:5:24736938:24739656:-1 gene:ONIVA05G25550 transcript:ONIVA05G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKESDAEVLSPVVHDDAGVSYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAMILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVIGELKMRTFLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRIQITVKTRSQFKERSTATNVEIEVPVPEDSTNPNIRTSMGSAAYAPERDAMVWKIKSFPGGKEYMCRAEFSLPSITSEDGMPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLV >ONIVA05G25540.1 pep chromosome:AWHD00000000:5:24732195:24734220:1 gene:ONIVA05G25540 transcript:ONIVA05G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVIISVVVVAAFAAAAVVEARVGPIDVAPTNLITNPLGAIIDNGRKITGAVVDECAWTCDHVAAGNKKMCNTLRKLPGVSSPKELLTAAVKLSMRKAKAARARFEAAARAAEKGTPMESILDTCKEGYDSTVSALQEVQRCIDANDSKASLITKMSAATTFTGDCGNAYEERELEPSLALKATKNNVNRVVTGALAIAAKLKL >ONIVA05G25530.1 pep chromosome:AWHD00000000:5:24723791:24729850:1 gene:ONIVA05G25530 transcript:ONIVA05G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSRMAPPPLAGVVVVAAALLLLLPEAAEPRTLLSLDDFGAVGDGVANDTQALVDAWSAACATGDHTFLHVPAAKSYLVWPVTLAGPCREEIKLFISGNIVAPESPDEWPEGGGGGGEWLHFVGVSDLTLSGGGVIDGRGHRWWARSCKAKHNATENCTTQIAPKALHFEDCQGISVMGITLQNSQESHLTFTRCSHVKANYLRITSPEDSPDTTGVHVVSSRNVHIMDDSISTGHDCVSIVGNSTDVRLRAISCGPGHGISIGGLGENRSYHRVEKIKMDTLFISNTENGVRVKTFQGGCGTARKMKFGDILMKNVKNPIVIDQQNSSSNEIPCGSKNGSAVTVGEISYTDITGTSASERAVTFACSEAAPCSKLSLENVNITMAGGQNASAYCHHAFGKSVGVVVPDSCLGKEDYLRRQGPASAAAAGGGTQEKGGEDDDR >ONIVA05G25530.2 pep chromosome:AWHD00000000:5:24723791:24726669:1 gene:ONIVA05G25530 transcript:ONIVA05G25530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRVSVMRLVVAAMAVAAGVLFFSGAGEARVLLTLDDFGAVGDGIANDTQAFLDAWNAACASTEPAVLAVPAGKTYQIWPVRLAGPCKKKLKLMISGTIAAPASPDQWAGRDPTKWLFVFRVDDLSVSGGGTIDGMGAEWWARSCKRKKTKPCSTVSAPKALQFEECRRVSVQGITMQNGPQFHLMFTRCTDVKASFLRVVAPESSPNTDGIHLNDTTHAQIMDNLISTGDDCVSMVGNCSDVRVKDISCGPGHGISIGSLGKNRTTDRIENVRVDTCLLTNTTNGVRIKSWQGGMGYAHNLRFEGIVMKNVSNPIIIDQYYCDQPTPCANQSTSSSSLKLGNFSSLWMQTQAVEVRKIEFAGIRGTSATEQAIKLACSDAVPCRDLELRNVNLTMVGGGAASAFCHRASGKAAGAVVPASCLAKAPHRMLGDATPAARVGS >ONIVA05G25520.1 pep chromosome:AWHD00000000:5:24720320:24722348:1 gene:ONIVA05G25520 transcript:ONIVA05G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQRSSETFRRSGSSGLVWDDRHLSGEIKPADGGGGGAAARVERSRSAGHGGYRAAGRVQPALDPPSPRVAVCGFCRFFGGSGKGGRSGGGAAAAVAGGKAKARRHSSS >ONIVA05G25510.1 pep chromosome:AWHD00000000:5:24713789:24720067:1 gene:ONIVA05G25510 transcript:ONIVA05G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKHSSRGGVTALIWGASPQMRCERKKDRVELVGHHRRENEGDWMVPLAPAYHRSAFPCRCSDPGNVSVDGASEDAQKVGKIQVIAFQSLGGNQQSVVPVNDPVINLESSWSSLDDTSHPALIEGIEQDTGDSKSSRNSKVWAKSSDVDFYPHFDANHSAKHQSKENAIVAYDPNNAPETPVDLDESDMTPSIQEALHRSNMDISGAEYVRKEVPLYVFPTRHLLKLDTTLTSYCDMQHVIFEEEKSAYNQAMHQNIRDGKIHPLTSIHHASTYNSSLCKLMEYCLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGTSSLMAQDKQMSPRSPSGTGRRKAS >ONIVA05G25510.2 pep chromosome:AWHD00000000:5:24713789:24720067:1 gene:ONIVA05G25510 transcript:ONIVA05G25510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKHSSRGGVTALIWGASPQMRCERKKDRVELVGHHRRENEGDWMVPLAPAYHRSAFPCRCSDPGNVSVDGASEDAQKVGKIQVIAFQSLGGNQQSVVPVNDPVINLESSWSSLDDTSHPALIEGIEQDTGDSKSSRNSKVWAKSSDVDFYPHFDANHSAKHQSKENAIVAYDPNNAPETPVDLDESDMTPSIQEALHRSNMDISGAEYVRKEVPLYVFPTRHLLKLDTTLTSYCDMQHVIFEEEKSAYNQAMHQNIRDGKIHPLTSIHHASTYNSSLCKLMEYWLVAQSLSVNWLICLFMCSPLQTYLAFCYSSLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGTSSLMAQDKQMSPRSPSGTGRRKAS >ONIVA05G25510.3 pep chromosome:AWHD00000000:5:24713789:24720067:1 gene:ONIVA05G25510 transcript:ONIVA05G25510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKHSSRGGVTALIWGASPQMRCERKKDRVELVGHHRRENEGDWMVPLAPAYHRSAFPYVRTQAMFQLMEPGFVGLIFSCFSEDAQKVGKIQVIAFQSLGGNQQSVVPVNDPVINLESSWSSLDDTSHPALIEGIEQDTGDSKSSRNSKVWAKSSDVDFYPHFDANHSAKHQSKENAIVAYDPNNAPETPVDLDESDMTPSIQEALHRSNMDISGAEYVRKEVPLYVFPTRHLLKLDTTLTSYCDMQHVIFEEEKSAYNQAMHQNIRDGKIHPLTSIHHASTYNSSLCKLMEYCLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGTSSLMAQDKQMSPRSPSGTGRRKAS >ONIVA05G25510.4 pep chromosome:AWHD00000000:5:24713789:24720067:1 gene:ONIVA05G25510 transcript:ONIVA05G25510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKHSSRGGVTALIWGASPQMRCERKKDRVELVGHHRRENEGDWMVPLAPAYHRSAFPYVRTQAMFQLMEPGFVGLIFSCFSEDAQKVGKIQVIAFQSLGGNQQSVVPVNDPVINLESSWSSLDDTSHPALIEGIEQDTGDSKSSRNSKVWAKSSDVDFYPHFDANHSAKHQSKENAIVAYDPNNAPETPVDLDESDMTPSIQEALHRSNMDISGAEYVRKEVPLYVFPTRHLLKLDTTLTSYCDMQHVIFEEEKSAYNQAMHQNIRDGKIHPLTSIHHASTYNSSLCKLMEYWLVAQSLSVNWLICLFMCSPLQTYLAFCYSSLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGTSSLMAQDKQMSPRSPSGTGRRKAS >ONIVA05G25500.1 pep chromosome:AWHD00000000:5:24711274:24712628:1 gene:ONIVA05G25500 transcript:ONIVA05G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQDQASYRAGETKAHTEEKAGQVMGASKDKASEAKDRASEAAGHAAGKGQDTKEATKEKAQAAKERASETAQAAKDKTSGTAQAARDKAAESKDQTGGFLGEKTEQAKQKAAETAGAAKQKSAETAQYTKDSAIAGKDKTGSVLQQASEQVKSTVVGAKDAVMSTLGMTEDKAGTDDGANKDTSATAAATETTARDH >ONIVA05G25490.1 pep chromosome:AWHD00000000:5:24706270:24707172:-1 gene:ONIVA05G25490 transcript:ONIVA05G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLLNQQCSHTLAHYLHKKGISYLIHAISFSCIQHEQAQVLGHCRPRDPATVRFIVFRHVVYHVLVLLCVGQAETAYTNYEVTSKVKERIQKHSRRVLTDVQDYDYGGPNPRHEPRKKPGNGH >ONIVA05G25480.1 pep chromosome:AWHD00000000:5:24697412:24700865:-1 gene:ONIVA05G25480 transcript:ONIVA05G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGDEWDGVRLFYRRFGRGATKVLLVIGLAGTHDSWGPQIKGLTGSLEPAADDEESGDAAAAEGDDGDGIEVCCFDNRGVGRSSVLPHKSYYSTVIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAMAPHRICSLALLNVTGGGFQCFPKLDGQMLSLAFRFLRARTPEERALVDLETHYTKEYLDEKVGSCTRRTILYQEYVKGISSTGMQSNCGFEGQVNACWTHNMTTKELDTIRSAGFLVSVIHGRSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELIKATKSMMKPEEWSSQPENSSETGALISARPVSVTIRTDDGGNAAIAVYNLLAKLQLSFLYVIGVILMGFEHMRNIVKVMKPKQNSCKLLSEPSRRPGIFMSPQYCGDDGFRIASPKTS >ONIVA05G25470.1 pep chromosome:AWHD00000000:5:24694975:24698651:1 gene:ONIVA05G25470 transcript:ONIVA05G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDCFVQSSDFMPWRRNVQRGHILRESETAAAANPGRPAKRQRRRGHLHRPIGYARFRLGTVEILEMRTMKFDHSSNRNKPFCKSSRMT >ONIVA05G25460.1 pep chromosome:AWHD00000000:5:24688987:24691654:-1 gene:ONIVA05G25460 transcript:ONIVA05G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMEKLKIFAVKEPVVTASCLIAGFGLFLPAVVRPMLDSWETAKQVPPPALNDVVAGVTGKKKE >ONIVA05G25450.1 pep chromosome:AWHD00000000:5:24688047:24688444:-1 gene:ONIVA05G25450 transcript:ONIVA05G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQMGSEPPRVAAGDAPKTEGRIGSFSRLLSGLDAGGVLRDVEPEKMKDEIRRWAKSVVSLMKDHFIYNSPGFWRWKKYCKSVSMLP >ONIVA05G25440.1 pep chromosome:AWHD00000000:5:24683433:24685874:-1 gene:ONIVA05G25440 transcript:ONIVA05G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPEPLIWEIVKKNNCFLVKQFGNSNAKVQFTKEPNNLYNVHSYKHSGLANKKTVTIQPSGVKDAAVVLSTTKTKKQNAPAKLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVSKSGAKKKNRQPTKL >ONIVA05G25430.1 pep chromosome:AWHD00000000:5:24681213:24682514:1 gene:ONIVA05G25430 transcript:ONIVA05G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKKIMKVGPWGGTGGSPWDDGGHTGVRSITLSYDRCIDSIAVEYDRNGVAVAGERHGGAGGNQTTQGGAPAAIRWLAFRTNRREYGPLGGGAAEGTPFAFPVDGGAIVGFWGRSGRQLDAVGLHVAPLRPETMYEKAHKLGLMAYRSVRQRFGPQQQQQVQVQHHNSFALSQSGRTF >ONIVA05G25430.2 pep chromosome:AWHD00000000:5:24681213:24682514:1 gene:ONIVA05G25430 transcript:ONIVA05G25430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKKIMKVGPWGGTGGSPWDDGGHTGVRSITLSYDRCIDSIAVEYDRNGVAVAGERHGGAGGNQTTQGGAPAAIRWLAFRTNRREYGPLGGGAAEGTPFAFPVDGGAIVGFWGRSGRQLDAVGLHVAPLRPETMYEKAHKLGLMAYRSVRQRFGPQQQQQVQVQHHNSFALSQSGRTF >ONIVA05G25420.1 pep chromosome:AWHD00000000:5:24679205:24679396:-1 gene:ONIVA05G25420 transcript:ONIVA05G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILYAAAVVAAVAVSSLAGVAYAADAPAPSPTSGAAAVSSSLVAAVLCPAVALLLGNLRQ >ONIVA05G25410.1 pep chromosome:AWHD00000000:5:24671892:24677932:1 gene:ONIVA05G25410 transcript:ONIVA05G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEARNHYHTRGSTRGGGGSGGGGTAAERDLLLQWGNRKRLRCVKVHRRDVDAAATVAAEKAAASQRRAAAAAAAAAAHHHRHHTTAGHAHHRAVRNSEESGAMRSSAQQHQMNGIRAVASPERERPARGSNIINNNGGPPTSPDDKKGSSSGSEGSIWPKFAVALTNKEKEEDFWVFKGSKLPQRPKKRAKVIQRTVNLVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHNMDSDSE >ONIVA05G25410.2 pep chromosome:AWHD00000000:5:24671892:24678157:1 gene:ONIVA05G25410 transcript:ONIVA05G25410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEARNHYHTRGSTRGGGGSGGGGTAAERDLLLQWGNRKRLRCVKVHRRDVDAAATVAAEKAAASQRRAAAAAAAAAAHHHRHHTTAGHAHHRAVRNSEESGAMRSSAQQHQMNGIRAVASPERERPARGSNIINNNGGPPTSPDDKKGSSSGSEGSIWPKFAVALTNKEKEEDFWVFKGSKLPQRPKKRAKVIQRTVNLVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHNMDSDSE >ONIVA05G25380.1 pep chromosome:AWHD00000000:5:24660673:24661920:1 gene:ONIVA05G25380 transcript:ONIVA05G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGWSAPAAPCQPSCGPGGDDDDDVLLAAVLGASFELHSLVDGGGNGAAGAVRSDDAYGLDVDLPSHQMSLLRCQDGLSALHGDASPTAAAAAFLDSVDVLPVPAIAGATHDDGGLLDRFAFPNVAETTTVQAAASNTAFSGYSSNTTGGGNISSGESNTYTEVASTPCAVSTTTTTTTALPPSKRKLPEKYPVVGTSSTTKTTTTSETAAERRSTKRAAGVSSSITFGGGRHGAGAAAALLGLGRGYEPDTEAIAQVKEMIYRAAAMRPVTLGGAASASDPSSAAPPPPQRPRRKNVRISSDPQTVAARLRRERVSERLRVLQRLVPGGSKMDTATMLDEAASYLKFLKSQLEALETLGNGNGNGNLLHHGYYTGSRNATATAATGSSNSTVLAFGRDGLAGFVKSNRNLQL >ONIVA05G25370.1 pep chromosome:AWHD00000000:5:24644688:24647971:1 gene:ONIVA05G25370 transcript:ONIVA05G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HHJ3] MGDGAEAAGATAASRFGTICVFCGSNAGRRRVFGDAALDLGHELVRRGVDLVYGGGSIGLMGLIARTVLDGGRRVVGVIPRALMAVEISGESVGEVIVVQDMHERKAEMARRSKAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLLALFDKGEAEGFINSDCRQIFVSAPTASELLTKMEQYTQLHQEVAPATSWEISELGYGRTPGADQS >ONIVA05G25360.1 pep chromosome:AWHD00000000:5:24639947:24642841:1 gene:ONIVA05G25360 transcript:ONIVA05G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIKSLVSLKAEPEGTTKGRRWTRLWRSSSSASASASTAGDASESASSEADAFSSVVAAVVRAPPRDFRVIRQEWAAVRVQAAFRAFLARRALKALRGIVRLQALVRGRLVRRQLAVTLKCMNALLRVQERARERRARCSADGRDSQDAVGERDGRADPIKQAEALILQLLPPFHNEQWCDSQGSVSEVRSKIHMRHDAVAKRERAIAYALSHQPRSSKQSARPSSPARSLRNHESNRCNHDWSYIEGWMATKPWESRLMEQSHAELKCSKNSGELNLAGAQLSNASSVKMRGNRVAAKPPSVLSASSSDFPCDVSSASTSSATPARSDGGHGEGPSYMSLTKSAKARQSCNSPFQIQRQRSGGMSSYKRVALSPLDVQSNACSEFSVTSRKLNSLSLKGRSMTRSLDKENDNLF >ONIVA05G25350.1 pep chromosome:AWHD00000000:5:24635100:24636230:-1 gene:ONIVA05G25350 transcript:ONIVA05G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAEAASPGVGAWAAIRGYFTPATLFLVVNIVIGTIALTSRTHQRRRRQVYQYRHDGEILHHDQLQPPPPLLHQQYYGGGEHQQMMMQETLYAPPPAPAPLARTSSVLDRLRSFGLYRFRSGDFPPEYATAAAAATSQLHHQRTEEVVSSSPVDEVKLQGHYARSRSEPAAAPAQEERKAASRLRNSSSEVRRAEVVRAPARVVEAFPEEAAAAVDATAEDDFTPKQQRDLPLQQEYVPPPAPAPAPLARTSSVLDRLRSFTLYSFRSGDLATDDIPADAAAAATPAQAHYGRTRSEPAREQNKKAKKQAASEAKTMTKSSSEARKDTAAEDADDGGVDARADDFINKFRQQLQLQRLNSLLNYKEMLNRGSSKQ >ONIVA05G25340.1 pep chromosome:AWHD00000000:5:24626362:24633661:1 gene:ONIVA05G25340 transcript:ONIVA05G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-like transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G47210) TAIR;Acc:AT2G47210] MDAKDILGLPKTAFPSVQEKKPRAPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAVEKEKVRVVNGAPPTGDYHFAKYNKKADVLKYTDEEYEKYLTDPAWSREETDKLFELCERFDLRFIVIVDRFPTDRSMEDLKSRYYSVTRALLIARARSFDEVAGNPLVKETFNAAHETERKRALSALLSQTKQQERKDAEILAEAKRIMETRAASKHTEEAGALAHFDNVDGVSPLSNTHPLSTATLPAAAVNNSIPASLRMVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRENSFTEAPSTPKRANRDVDRPFVPDTVGFAGERAGKRDHKRKIVLWINSILAWKLPGVHFHQEFAPVGRSRSWLLSVQCICPEKVFFVLLVGR >ONIVA05G25330.1 pep chromosome:AWHD00000000:5:24625857:24626300:1 gene:ONIVA05G25330 transcript:ONIVA05G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTRRAVSQRGNDRDDARSKQNLIPKRPEDAAYQREKVRLPGREGEGELPPVFAADSNPSFHAQRSRADKQNPTHPKRPEDAVYKREKGRGALPPVFTADSPERREGWRVSSSAQLRASASLVRRETQERGNKKSYRAKKSDSDSV >ONIVA05G25320.1 pep chromosome:AWHD00000000:5:24621982:24622362:1 gene:ONIVA05G25320 transcript:ONIVA05G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLMLRLTGPQMWVTPESPFLEMPSLRKLLVVDMPPRWDATWICALVEEAPSLERLHVHFSQRCEDDDEMAVRRKLEIVWEQEPSRALHSHLEELVVIGFQIKKERKVQLVRHIMVVAPCCSAQA >ONIVA05G25300.1 pep chromosome:AWHD00000000:5:24610380:24613115:1 gene:ONIVA05G25300 transcript:ONIVA05G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2752 [Source:Projected from Arabidopsis thaliana (AT4G29660) TAIR;Acc:AT4G29660] MARRLWRWYADRQFNRWEKTVLWDMVEPYRPPRSFAPLIGTYVAAFYTGVVASAITEQLYKEKYWEDHPGEAVPLMPPKFYWGPWRVMNGEVPRFMQTPEEAKPA >ONIVA05G25280.1 pep chromosome:AWHD00000000:5:24601164:24605586:1 gene:ONIVA05G25280 transcript:ONIVA05G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSGQTCRRRRRVGKGKPLATRSNPYKKSKTPKAPPRAPAHPFPLPPTSSSSLAPRHTAKQSGGSIRANHPLILLFNHSGLSSPRLLRLLKLISPPSYSRAGRATRNPSSSEHEVAPRLGSDRAVDAGFGGGGGRLGMAGRRAMRPSGSSMRGVVARLAAARSPAVSFLVAAAAGAALVGGVYFWLVVSSFRLPDSRAVGCLPDGEGSWAIGMYYGKSPLELRPIELEGRSNGNSSAWPVANPVLTCATPTEGGYPSNFVADPFLYVQGDTLFLFFETKTVSTMQGDIGVARSLDQGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLIDKPLIDSSLVQYDGLWWLFASDFTRHGIEKNAELEIWYSNSPLGPWSEHKQNPIYRSDKSLGARNGGRLFIFEGSLYRPGQDCSGTYGRKVKLYKIEKLTKEEYKEVPVNLGIEEAKKGRNAWNGMRYHHIDAQQLASGGWVAVMDGDRVPSGDSTRRSLFGYMGFLVAVALVTFVGFVKGAISCYIPPSFWVPLTRRSELSRILPVHRFNLKIRRYSTSIGRNISATKARLSEKTWSNTLFFCVIALIGIVNVCIAVHFLLGGNGAEEAYTHQGQHSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNHPTSDAFDSTVPVRIRVEEINSLNNRFRGDPLIKTRAVLELDDDIMMTCSDVEKGFKVWREHPERMVGFYPRMIDGDPLQYRNERYARGKKGYNLILTGAAFMDSEFAFSKYWSQEAKEGRDYVHKNFNCEDLLMNFLYANASSSRTVEYVHPAWAIDTSKLSSVAISRDTQKHYDIRTKCLAKFASIYGPLPQKWLFGMREDGWDK >ONIVA05G25270.1 pep chromosome:AWHD00000000:5:24597273:24600690:1 gene:ONIVA05G25270 transcript:ONIVA05G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1230) [Source:Projected from Arabidopsis thaliana (AT5G11840) TAIR;Acc:AT5G11840] MAAAPLSPPPPLHARLLLLPPLLPTMAGGSRGRRLGRGRRRFAVPPSRNGSSSQGTEWCPVPPEQLPVNEYESLAASLPFSWAAGDLTVYCSRLALTGAAFALFVGLPVASFGGRGGAGGDAVHLALGATGSGILAVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVGLAVSLILCILLYANTENPKDPFENAVGRAIPGVYDDTSARSFEPDAFCGEPDLGDLS >ONIVA05G25260.1 pep chromosome:AWHD00000000:5:24592492:24595387:-1 gene:ONIVA05G25260 transcript:ONIVA05G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTLDLHLLGAVASQSNPFPMRLLRRIPLLLERFDWDFSFLGVCRTSPNGIKAIGVFRLVHWWLDVLLAEEARLSWEGGLWCGVDEEVEAACGDGVVSSSNEMQELWPLGEVDQKGTRFPCCIVWTPLPVVSWLAPYIGHVGIAREDGTVMDFAGSNFVSVDDLAYGSAARYLQLDRRKCCFPANLAAHVCARSYEHSEAGTAISWDDALQSGARRFEHKCYNLFTCNSHSFVASCLNRLAYGGSVGWNVLNLAALVWLRGRWLGKMAVVRSLLPFAAVACVGVLMAGWSFLISMAAFSSLLLGWFVLGVYCFKGLVC >ONIVA05G25260.2 pep chromosome:AWHD00000000:5:24592492:24595387:-1 gene:ONIVA05G25260 transcript:ONIVA05G25260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTLDLHLLGAVASQSNPFPMRLLRRIPLLLVEAACGDGVVSSSNEMQELWPLGEVDQKGTRFPCCIVWTPLPVVSWLAPYIGHVGIAREDGTVMDFAGSNFVSVDDLAYGSAARYLQLDRRKCCFPANLAAHVCARSYEHSEAGTAISWDDALQSGARRFEHKCYNLFTCNSHSFVASCLNRLAYGGSVGWNVLNLAALVWLRGRWLGKMAVVRSLLPFAAVACVGVLMAGWSFLISMAAFSSLLLGWFVLGVYCFKGLVC >ONIVA05G25250.1 pep chromosome:AWHD00000000:5:24582267:24585460:1 gene:ONIVA05G25250 transcript:ONIVA05G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G56450) TAIR;Acc:AT5G56450] MSEEAAAAAAGAAGVEESLGRRRSRRGGGGDGVGMGAAAAARVWEFERDLVAGAAMGGAVHTVVAPIERAKLLLQTQDGNAALLGRARRFRGFADCVARTVRDEGVLSLWRGNGTAVIRYYPSVALNFSLKDLYRSILKDAGTSADNKFSSIALTNFIAGAAAGCTTLVLIYPLDIAHTRLAADIGRTDTRQFRGICHFVQTIYNKNGIRGIYRGLPASLQGMVVHRGLYFGGFDTAKDVMVPLDSPLWQRWVTAQAVTSMAGLISYPLDTVRRRMMMQSGMDVQMYSSTLDCWRKIYKVEGIKSFYRGALSNMFRSTGAAAILVLYDEVKKFMDRGSPQIFGFSMEIRPPKPTRVTQKFTLQSSNGSFTANFGQFLRGSRTKLSCQEIAYEHADKALARLLYSSLG >ONIVA05G25240.1 pep chromosome:AWHD00000000:5:24582068:24588744:-1 gene:ONIVA05G25240 transcript:ONIVA05G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKDSLDLSGLGAAVPNAKELSAEDKANLVESIKNTLQGLAARHTDVLESLEPKVRKRVEVLREIQSQHDDLEAKFFEERAALEAKYQKMYEPLYSKRYEIVNGVVEVDGVTKEAADETPAEQKEEKGVPEFWLNAMKNHEILSEEIQERDEEALKYLKDIKWYRISEPKGFKLEFYFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWFPGKCLTQKVLKKKPKKGSKNTKPITKTENCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQDEDFEGIMDDEDDDDEDDDDDEDEDDEDDDEDDEDEKKGGRVPAGEGQQGERPAECKQQRGNYRMTAVPLPRQRESTPSSRTVRATQSANPRNLRARPSSAALPSCVWSSSLARSIGATTVCTAPPIAAPATRSRSNSHTLAAAAAPIPTPSPPPPLLLLRLPNDSSTPAAPAAAAAASSLIRLSSLPFSSLPSPPLPSAAARPSRVQLACVRVCVSVSLARSVCWCSFAGLEHLARPDLTRVGWAR >ONIVA05G25240.2 pep chromosome:AWHD00000000:5:24585442:24588744:-1 gene:ONIVA05G25240 transcript:ONIVA05G25240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKDSLDLSGLGAAVPNAKELSAEDKANLVESIKNTLQGLAARHTDVLESLEPKVRKRVEVLREIQSQHDDLEAKFFEERAALEAKYQKMYEPLYSKRYEIVNGVVEVDGVTKEAADETPAEQKEEKGVPEFWLNAMKNHEILSEEIQERDEEALKYLKDIKWYRISEPKGFKLEFYFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWFPGKCLTQKVLKKKPKKGSKNTKPITKTENCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQDEDFEGIMDDEDDDDEDDDDDEDEDDEDDDEDDEDEKKGGRVPAGEGQQGERPAECKQHFAIQPRLE >ONIVA05G25230.1 pep chromosome:AWHD00000000:5:24577918:24581401:1 gene:ONIVA05G25230 transcript:ONIVA05G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRRKRIITRTCICKIAMLIVEINLTRKYYFFSGDLYFSLSNTSLTLLKHGNLQDDSILVDLAYALTREGVSAFRFDFAGNGESEGQFQYGNYRREADDLHSVVSYFTEQEYNIIGLVGHSKGGNAVLLYASMNHDIPVIVNISGRFALERGIDGRLGKNFMQRIKKDGYIDVRNRKGEFEYQVTEESLKDRLSTDTLLSSRSISKCCRSQSKATITHLYLSMSRKEILKDFFFVLICRILTIHGSKDEIVPVEDALMFAANIPNHELHIIAEANHRYTGHEKELKALVLDFIKSQPNFSSSLRPKL >ONIVA05G25220.1 pep chromosome:AWHD00000000:5:24571076:24577472:-1 gene:ONIVA05G25220 transcript:ONIVA05G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) TAIR;Acc:AT1G72990] MGPSRSFQNLLLLLLPLALALCSAAASGEASRRFWVENDTFWKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPKPLSWEFKGFTDIESYLRLAHELDMLVMLRVGPYICGEWDLGGFPPWLLTIEPTIELRSSDSTYLSLVDRWWGVLLPKIAPLLYSNGGPIIMIENEFGSFGDDKNYLHYLVEVARRYLGNDIMLYTTDGGAIGNLKNGTILQDDVFAAVDFDTGSNPWPIFQLQKEYNLPGKSAPLSSEFYTGWLTHWGERIATTDASSTAKALKRILCRNGSAVLYMAHGGTNFGFYNGANTGQNESDYKADLTSYDYDAPIREYGDVHNAKYKALRRVIHECTGIPLLQLPSKIERASYGLVEVQKVASLFDVIHNISDALKVAFSEQPLSMELMGQMFGFLLYTSEYQEKHSSSILSIPKVHDRAQVFVSCSHGDVRKPRYVGIVERWSSKTLQIPSLSCSSNVSLYILVENMGRVNYGPYIFDQKGILSSVEIDGIILRHWKMHPVSLNAVGNLSKLQLIMQMTDAEASKVSIYGDSENKLQDVSLYLNEGISEEPAFYEGHFHIDSESEKKDTFISFRGWNKGVAFVNNFNIGRFWPAIGPQCALYVPAPILKPGDNVIVIFELHSPNPELTIKLVKDPDFTCGQ >ONIVA05G25220.2 pep chromosome:AWHD00000000:5:24571078:24577472:-1 gene:ONIVA05G25220 transcript:ONIVA05G25220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) TAIR;Acc:AT1G72990] MGPSRSFQNLLLLLLPLALALCSAAASGEASRRFWVENDTFWKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPKPLSWEFKGFTDIESYLRLAHELDMLVMLRVGPYICGEWDLGGFPPWLLTIEPTIELRSSDSTYLSLIENEFGSFGDDKNYLHYLVEVARRYLGNDIMLYTTDGGAIGNLKNGTILQDDVFAAVDFDTGSNPWPIFQLQKEYNLPGKSAPLSSEFYTGWLTHWGERIATTDASSTAKALKRILCRNGSAVLYMAHGGTNFGFYNGANTGQNESDYKADLTSYDYDAPIREYGDVHNAKYKALRRVIHECTGIPLLQLPSKIERASYGLVEVQKVASLFDVIHNISDALKVAFSEQPLSMELMGQMFGFLLYTSEYQEKHSSSILSIPKVHDRAQVFVSCSHGDVRKPRYVGIVERWSSKTLQIPSLSCSSNVSLYILVENMGRVNYGPYIFDQKGILSSVEIDGIILRHWKMHPVSLNAVGNLSKLQLIMQMTDAEASKVSIYGDSENKLQDVSLYLNEGISEEPAFYEGHFHIDSESEKKDTFISFRGWNKGVAFVNNFNIGRFWPAIGPQCALYVPAPILKPGDNVIVIFELHSPNPELTIKLVKDPDFTCGQ >ONIVA05G25210.1 pep chromosome:AWHD00000000:5:24568521:24569786:1 gene:ONIVA05G25210 transcript:ONIVA05G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPPRRWAAANDDVLLEIVRRIPCGIDRRNMGRACHSWRVALAKLEPPAPSPPLPWLLLPRADGPPTFSCVLSGCRSHRFFIPPGAVQRARYFGSYDGGWLFLAIGGQGQAQRHLLLRHNPFRLLVLPNVTHFPDMVYPPVLGVVKRDHQISIVAATLSCKPTEEGCIVAGIIDFAHSPGRAVRQIALWRMGDQVVLPPYWEQVPNQPMIEAVPVDLIHHDGAFHFLIRLEHIIVCEEPPEFQKEAVHLVPVYMYFQPRGGDNGDETVLARYLVESRKKLLMVVRYSSGRQHLPTSAFRVFQMKKFNNGEEDEPLNNGGFQYYWSELDKLEGRMLFVGRGCSRSYEAGDSYPAGMEGVYFLDDRSFAEAAMAFGEGANKLPYRCSDNGKWSGSPADSRVDRCFPSRGPSIYSPPVWLLP >ONIVA05G25200.1 pep chromosome:AWHD00000000:5:24559073:24568478:1 gene:ONIVA05G25200 transcript:ONIVA05G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFLILVRLATHHIVPFRAAIPRNFQVAGLIDPTVPHWQSRQQGIKDMPRLGDPDADLLDRVLWELGYLCCIYQSQNYISLGSPASWYATLAGTRSIRHMHDDEVEEDFVVVSPSRPPFAAESPSLHDPKKP >ONIVA05G25190.1 pep chromosome:AWHD00000000:5:24545736:24559062:1 gene:ONIVA05G25190 transcript:ONIVA05G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQSPRRPWPHLSDDLLYEIVRRIPCEVDRLHMSRACRSWRVALTKTEPPAPAPPPALPWLLLPEVDGEHGITFSCALSGWRRTHPFFLPHAARRARCFGSYDGAWLFLAVDGQGPRGEDHVLVNLTRMRRRRYPNAIFHFDWIDPENVDIVAATLSRAPTVQGCIVAGIINSFLSHHQIAFWHMGDRLFSEAEQTVWLSPLEQVEDLLYLDEDFLFLTEEEHIRVCPELTIFHEYPERILWRFQRRRRGEEEEQVLARYLVESRGSLLMVVRLASGRCQNLPTSEFRVFQKEKFSNGEEEEEDAFQFHEYYWSELDKLEGRMLFVGRGCSRSYEAADGHAGMEGVYFLDDRSFVEAAIGDAPKLPYRCSDNGKWSKSPSQSQGQVERCFPERGQPRSKYSPPKSLASTIRRIPNHSTIPSLLLRSMADQPRRRWAAADDDVLHEVARRIPCELDRRHMSRVCNSWRVALAKLEAPAPPPPLPLLALPESDDGLPATVSCILSGCRAHAFSVLQGARGARYFGSYDGGWLFLAVGGQARRQALLNLKIDGFQTLDLPNLARVNSVYPNEVNPNGDREMAIVAATLSCQPTEQGCIVAGIIESSPNLVAVGHVTRSIAFWRMGDQVVLPVFWALEKDNPLMWLEEVEDLLCHNGAFHFLTRVEDVLACEEPPVFYRDSVSLVPVNMFFLPRVHDEDETVLARYLVGSGKKLLMVVRLASGRGQRRTTSAFRVFQKEKFNTVEEDEPSQNRSAHFEYYWSELDLDELDGRMLFVGRGCSRSYEAGDGRYPGMGEGVYFLDDPSIHQMISGDAPKQPYLCSDNGKWLESPQGQVERCFPERGPSIHSPPVVRRIPCEIDRRRMSRICHSWRVALRRVRAPAPPPPLPWLLLPRAGGRPPTFSCALSGFRTHPFLVPRGAHRARYFGSYDGEWVFLAVDGQGNQAQEYPRRVAQDHVLVNLNNFHYFNLPNRIRFGAVVVYPSPRHWYYRKMAIVAATLSCKPTEQGCIVAGFLEYFPFPGHAEQHVAFWRIGDDMVLPPFWEGMNREADWFRPPMEDLIFYGGAFLFLDRGEHILACEERPVFQEYGVELVPVGMFFQPRVHDKNETGLARYLVESRKNLLMVVKLTSGRQHLPTSAFRVYQKKKLNNGEEDEPLYNGMFQFQYYWSELDKLEGRMLFVGRGCSRSYEAGDRYSGMEEGVYFLDDRSFRKPIMAFDRDADELPYRCSDNGKWSKSPSPHVDRCFPARGPSIDSPPVWILP >ONIVA05G25180.1 pep chromosome:AWHD00000000:5:24538579:24541845:-1 gene:ONIVA05G25180 transcript:ONIVA05G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HHH0] MSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGVMEEKRWVEFKGSDQDQTTVQVEWICWLNGQRKKAPTPEELAELEARRERVKQNIESHKFSFADVAVVYSKKKEEEEKKTGVRPVKTVGKFESPNLKSSIPQFPDTSLDQRKGHDELSRSKDGSDTEDATVDTDRNWCYLQTGDMAATNMNDNEHIILAVRKAHSLIGLAAGDGSNVRFSEAAVSDTE >ONIVA05G25180.2 pep chromosome:AWHD00000000:5:24538579:24541845:-1 gene:ONIVA05G25180 transcript:ONIVA05G25180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HHH0] MSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGVMEEKRWVEFKGSDQDQTTVQVEWICWLNGQRKKAPTPEELAELEARRERYSKKKEEEEKKTGVRPVKTVGKFESPNLKSSIPQFPDTSLDQRKGHDELSRSKDGSDTEDATVDTDRNWCYLQTGDMAATNMNDNEHIILAVRKAHSLIGLAAGDGSNVRFSEAAVSDTE >ONIVA05G25180.3 pep chromosome:AWHD00000000:5:24539284:24541845:-1 gene:ONIVA05G25180 transcript:ONIVA05G25180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HHH0] MSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGVMEEKRWVEFKGSDQDQTTVQVEWICWLNGQRKKAPTPEELAELEARRERVKQNIESHKFSFADVAVVYSKKKEEEEKKTGVRPVKTVGKFESPNLKSSIPQFPDTSLDQRKGHDELSRSKDGSDTEDATVDTDRSSEPTGTGATFKPGTWQPPT >ONIVA05G25180.4 pep chromosome:AWHD00000000:5:24539284:24541845:-1 gene:ONIVA05G25180 transcript:ONIVA05G25180.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HHH0] MSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGVMEEKRWVEFKGSDQDQTTVQVEWICWLNGQRKKAPTPEELAELEARRERYSKKKEEEEKKTGVRPVKTVGKFESPNLKSSIPQFPDTSLDQRKGHDELSRSKDGSDTEDATVDTDRSSEPTGTGATFKPGTWQPPT >ONIVA05G25170.1 pep chromosome:AWHD00000000:5:24518331:24520659:-1 gene:ONIVA05G25170 transcript:ONIVA05G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAPATDVPPSSLTLSAADLATVVAQLGALSTAPGRLPPPPLALQFGAEAAGTTVFTMRNNCTYTVWVTTLSRNTAVAVGAGGFELSPDANVSFLAPDGWSGRLWARTDCATSGTASLACATGDFSGAVSCSLGGAPPVTLAEFTLDGGDGKDFYDVSLVGGGRRGSPGAALPPAARALLLAAPPRCPPAASPPTTASSPLAGRRRPPPPAG >ONIVA05G25160.1 pep chromosome:AWHD00000000:5:24515954:24516531:1 gene:ONIVA05G25160 transcript:ONIVA05G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRKVFVLSDMELDAGAWRSQDELNTIRCKFAAKGLSAPEVVFWNVGAPASTPVVEPQENAGGGERLLKCWIDRLNQNPN >ONIVA05G25150.1 pep chromosome:AWHD00000000:5:24511305:24513003:-1 gene:ONIVA05G25150 transcript:ONIVA05G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYFTRVDHILKNHSGIGVKRFQLVCGRRKLICRLNNWLQIAISSGIEEITLSLPSEYSFPCSLLSGGSGRSLQHLELVNCAFRPMAGLGCSRNLTKLHLHSVLITDDELACFLSECFALKHLDLSYCRELVYLKIPCLLEQLSYLNVDLCHLQMIESKAPNLSSLIYSGNLIELSLGQSSKVKTLDINFYDKTNFLCYVITKLQNIVPNLESLTIYSDDEVQQGGMKHDSVSRDTTTNLRTMPGHKHERLKEVMIIGFCSATSMVELTCHILENTTSLETITLDDVCDVHDLENIRRCCTTTIRKTGSCYPLRREMILEAHRGVMAIERYIRGKVPYNVELTVREPCTWCHDLERLDALEKENGSHYSPPHLKLEDISLLLKPV >ONIVA05G25140.1 pep chromosome:AWHD00000000:5:24509215:24510685:-1 gene:ONIVA05G25140 transcript:ONIVA05G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFSPDSAQSPPGFPLSELAEQICRLESGEHKEEEAAADADAVGLYHLLINERTEAIGDTIMASPPVSSSRPLDPAWVHAKVIGNSKNALACLHFF >ONIVA05G25130.1 pep chromosome:AWHD00000000:5:24485408:24498306:1 gene:ONIVA05G25130 transcript:ONIVA05G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVKAGKHLLLFKLIVQQTFQTLIKAHEFIKMSQVLENTPDNEAYYRHRSKGSWTLSTADNGWCVSDCTAEALKALLMLSKISPDLAGDAINGERLYDAVDGMLSFMNKDGTFSTYECERTTHWLECTAKKRLENVLKMLPSLLRTNKGRMDHGGWGETYLSSETEVERDPSPLYHAAKELINMQLETGEFPQQVSIQLIK >ONIVA05G25120.1 pep chromosome:AWHD00000000:5:24477413:24479675:1 gene:ONIVA05G25120 transcript:ONIVA05G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G71680) TAIR;Acc:AT1G71680] MSATEVMEECTETARERREEERLRNVNLDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWPTGVAAIASSFAITLYTLWQLVELHEPAPGGGKRFDRYHELGQAAFGRRLGVCLIVPLQLIVQVGTDIVYMVTGGQTLKKFVELACDGRCADIRLTFYIMMFASAQFVLSQCPNFNSISAVSAAAAAMSLCYSMIAFFASVLKAHPAAAAAVDYGFKATTAAGRVFGAFNALGTVSFAFAGHNVVLEIQATIPSTPERPSKRPMWRGVVVAYAVVALCYFTVAFGGYHAFGNAVAPNVLISLEKPRWLVAAANLMVVVHVIGAYQVYAMPVFDMIETVLAKKLHLRPGLPLRH >ONIVA05G25120.2 pep chromosome:AWHD00000000:5:24478022:24479675:1 gene:ONIVA05G25120 transcript:ONIVA05G25120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G71680) TAIR;Acc:AT1G71680] MIAFFASVLKAHPAAAAAVDYGFKATTAAGRVFGAFNALGTVSFAFAGHNVVLEIQATIPSTPERPSKRPMWRGVVVAYAVVALCYFTVAFGGYHAFGNAVAPNVLISLEKPRWLVAAANLMVVVHVIGAYQVYAMPVFDMIETVLAKKLHLRPGLPLRVTARSAYVALTMFIGITFPFFDGLLGFFGGFGFAPTTYFIPCIIWLIMRKPAKYSLSWLMNWCFIIIGMLLMLVSPIGGLRQIILDASKYKFYS >ONIVA05G25110.1 pep chromosome:AWHD00000000:5:24465444:24466646:-1 gene:ONIVA05G25110 transcript:ONIVA05G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAAMLETRVGRFRGPSMAALLAEMWEPLAMTPTLTAPMVRRRRRDPRRAPPLYIQLLPRTVIRGRETEQMKRKIERRKTATLGLSLI >ONIVA05G25100.1 pep chromosome:AWHD00000000:5:24455286:24460197:-1 gene:ONIVA05G25100 transcript:ONIVA05G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HHG1] MDMDSVECLSLPDSSMDVDDVDGGGAVHHHHHHHHHALPPHLPAGVAVGVGPGGRAFPKANVAGVGGGGAAGAPAAGGAVAGGGGPGGGPPATSVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >ONIVA05G25090.1 pep chromosome:AWHD00000000:5:24449107:24450439:1 gene:ONIVA05G25090 transcript:ONIVA05G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPEPPRYYAAAGYHRPSPFSSVAASCVVAALFILLAAGGAAAALFLLYRPQAPAIAVTAVQLPSFASRNGTVAFTFQQLASVRNPNRSPLAHYDSSLRVAYAGGEVGSMYIPAGQIDGGRTQYMATSFTVPAFAVTSSAAAAASSSPAQTITVPASGPSPAAVGAVALQQEQPPPQQQQVAAPPVMEVDSLLVVKGKVTILRVFTHHVVAAKFQLDAYDFGCKLKYSQKCKLKMLFSHIRQIELIFSGHDWTFNRVSRQFAVIYFSSLNCLVSIIVGS >ONIVA05G25080.1 pep chromosome:AWHD00000000:5:24443733:24444229:-1 gene:ONIVA05G25080 transcript:ONIVA05G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGCCPSAVLCSAACAGRLRAAACLPRPPHRCPVRPREIKSSLNLRYMIITCGYLATCGAVPCTAAPEPNWYYKRATRRAVQRRRQGEPRRVRACNTGTRTKARKRGSLPFLWMDWTEESWAYGQDFNSEDVLGIGNMGLRPRY >ONIVA05G25070.1 pep chromosome:AWHD00000000:5:24439995:24440210:-1 gene:ONIVA05G25070 transcript:ONIVA05G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVPRTWSQRSDLTINDVAITQAVIGKLVTGVGYLSLTWSTVVLLGGFVSAVPINEFWFLTAISLVLAST >ONIVA05G25060.1 pep chromosome:AWHD00000000:5:24422368:24424756:-1 gene:ONIVA05G25060 transcript:ONIVA05G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETFTASQKYAKRHSTAAFVFCSMCGIVLMVLAQIVAVFLALLIEAVLIINMLGPMASMVISMTRLIRHDYGDDNGNLRAALFIFYSLALAHSVCFYCWFLLQYFLEKLSKSASIRFGLNKDFGGQKLLLQYLRETKAKCADDLSLPGGWNMVTYAVGLLKSVSPDDHLNGLRMLDAFVVNKRPSIRLELLSSSESIQNLIKMLQWTGPALEDQEMRERAARIVADVATGALHIVQIPGALQCISSLLQVSPLRQYCQEVEKGPQKQDQDKEGGEEEKDKNMNTAIDEQITDRLLRMDRRAKKFLFGTMDDKSSFKPQGTRELIHQGLQILERLACDDQNCREICCNQRLLTKIIAPITSPALLHTDYDNAWVDILSILLRLVRLLISAPGEAGTRVCHDISACEDAVRNLLGILGQNATYPMQLQENAMEILTEIAIGSPAIMAEDFIRKLWCIFLSNRGTSRLRRKAGEQLAKLLSAQGANGQVFVKDVFCENDTVVAQLIDILVQDKECQISAAAILEHLCCHFVRYNELSELCVVKLLRMILDLISKMEIKEETVPGAGESNSFDVHNDEESKPPKQSGPKKPWVPKNDELSEETKFLAALMSLLVVICNKMVDAHVFCYVTSVDAALVKKLKKIIEANNKNTADCLRIVKLACQVVIAIIHLKPSCIKDFNGNHFDAVLSTALKNMSDIDNCMLFAVQDCQITKPARTLSSLVKEAQGLLQNAQDVTVLSD >ONIVA05G25050.1 pep chromosome:AWHD00000000:5:24416401:24418058:-1 gene:ONIVA05G25050 transcript:ONIVA05G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPPKNPKEGMYGISTAMLLSTHEKRVRRGSRCTWCRGSGLEEDCFDSVQHCTAGMKGGGACTEDGREAAPALGRKGMRCLRGSRRMRWEALAPPPVRDERRRRRATRQQGEAAREPLEKRRREAARSDGKGVATVDAMVVAKECQVGRRRGCDPIFI >ONIVA05G25040.1 pep chromosome:AWHD00000000:5:24412027:24412302:-1 gene:ONIVA05G25040 transcript:ONIVA05G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESNNNNNSVIQGQHEGGSSAAALQAGRPEPDALINSIAIIRAFTAKVVAGVGFLALTWSTVVLLGGFVSALPIKEFWFLTFISMILAST >ONIVA05G25030.1 pep chromosome:AWHD00000000:5:24409903:24410485:1 gene:ONIVA05G25030 transcript:ONIVA05G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDELDWSMSRLVCKGDTMWAPIPYHIKSIKEEVEVGEEVQVEENAEVWSEVPDEKDVNVGKDLSDDGLDVTQMENKMVDEPDDRQMTFDSWG >ONIVA05G25020.1 pep chromosome:AWHD00000000:5:24405780:24408397:-1 gene:ONIVA05G25020 transcript:ONIVA05G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIGLLLIDAVFIVSLVGPMATMAISLTRLIQHDYDGSDASSKGKLRAALFIFYSLALLHSLCFYFWLLLHFSLEMLPIPASIEEYGHGDGGYYQMLLRQYLQETKTKCANDPKLPGDWNLVTYAVGLLDSASPDDHLDGLRMLDVLAINKQRSVRLELLSSRHSVQNLIEMLEWDGPDQEMRERAARIVADVAAALRVAQMPAGALHCISSLLEASPRSDTLKKPKGASNDAVHNLLGILDGQIKFSLQLQENAMDVLSEISIGLSAAMTENLVKKLYHIFLANSGMSGLRPKAGEALVKLISTQGASGQVPVMEIFCKSMSMEQICESESTDALDVKRYGTVVDQLTDILVKDKECQISAAAILQHLCSRFTRSYELLEQDVVKLLMSILDLILYGKTEGDEETVSEARESNNSEMHNDEESKPPKPAGLKKSLVEKNDELSEERKHLAALLSLLVVICDNLVDADLFSNVTSVNDELAKKLKKIIEANNENTADCLRIVKLTCQVVIAIIHLKPSSLKGFNESNFNDVVSTAFKNMSDIENCMLFAVKDRQITKPAKTLSCLVKETQGLLHNAQETGNNSI >ONIVA05G25010.1 pep chromosome:AWHD00000000:5:24402230:24402942:-1 gene:ONIVA05G25010 transcript:ONIVA05G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRQKAFHMVQKRGRRQHVDFRYPAAMSIEEVCDPDEERVVAVFHDCLAAHGLLLDNHDDYHIMLKEFCYVLMVRSSEQGYHAVDQEGQPMYIKKLGKINRNKLMQITTVDSYNLHQLACCRDLIAGYLPIAINRFFFGRFFATYSSVDMLSYS >ONIVA05G25000.1 pep chromosome:AWHD00000000:5:24396082:24400327:-1 gene:ONIVA05G25000 transcript:ONIVA05G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVEGFKHSQFQGSLESGPSIHIQEVYDGQRCGHEFHNGVTLERAKYCIVFTIFVIDE >ONIVA05G24990.1 pep chromosome:AWHD00000000:5:24364241:24374299:1 gene:ONIVA05G24990 transcript:ONIVA05G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYPDASPPVVAPCHHKPSGVTSIPVSQKSTNPFQGLDLAGERQGQQSCAYLLLQAKRRRRIWGGRAFLAVYDRLVPDPQFASMELATGAMRSLLPKLLQLLEKEYGLQTGVREKIKSLSRELDVVHAVLRKIGDVPSEQVDELVKLWARDVREASYEMEDIVDTFLVHDDGPDPTDPHMLLRLRKKLGKLFKKSKARRKISNLIQDINKKFEQVKARHVKYTVDSVVLKSLAATTIDPRLQNLYKRATELVGIDGPRDDLINKLSLGGDVDVSDKKMKVISVVGFGGLGKTTLAKAVYDHFKPHFKCRASVPVDQNPDMNKAFDDQVEPHFECGAFVPVGRNPDMKKVFRDILIDLDKEKYTNPNMMVLDEKQLMDELKEFVKEKRCFIVIDDIWDKESWKLIRCALQESDCGSRLVITTRISEVATHAGEAYKIQPLSRDNSEKLLYARIVDGEWKYFDNPSAKACEKILKKCGGVPLAIITIASLLASKPGEDWSEVYNSVGFGHEDNDDVDHVIEKNSLIWKWIAEGFIHEELAAGLGLFELGERCFNELINRSMIQPVETKHEGYVDGCCVHDMVLDMIHSLSSEENFVTVLNGDERQKLPGSIARRLALQRVLVHKDGQLANITLKNVRSLIASQCFGSLCLHTPVLRVLDVINCAESETPNVLEDRDRTMPSHLGSLLHLRYLGLVDTYITKLPREVRYLKFLQTLDLRGSRIKELPEEVGLLTQLVCLRADWEIMVKIGLIGKLTSLQELWIEPAAAVYDDDAASVDDDDDAAAVDDTTKSTMKFVNELGLLRELRVLRTYFEDLNESMERALLESLSKLHNIRDLHIEGPPLVTRRVTSSARSVSCLHLRYLCLNCFVFSGLPAWIKSSLAPNLSCLDVVVLIVKEQDMETLARLPELRCLVLRLHETELVSIKIHTEDVGYFRKLRILRILGTSIWFDLHGSKCNITDNRSLASIIMPSLEFLEFAVHMRFLKDATQLGFDKLLSFEKLGRSSLQKVTIVLKCGDARLSEVEEVAAALMNSAAVHPKHPTVQTKWQDEQAVLSPYQEAYMHVSRTPELVNEAWKANIVSSGRIRALRMPVTEASSSKVICLLYRKSGKGLLALSSNAVHKLWKWESNDKNPAGMSTTSVPPQVWQPESDILMNDTANGNPEEAAACSLLSKNDCYLISASGGKVSLFNMLNFKTMTTFIAPPPSATFLAFHPHDNNIIAIGTDDSSILLYNIRVDEVKWVLKGHQKKITGLVFSLSMNVLVSSGADSQLCVWSMEDWAKKKSRYIQPPSNHSGALVGDIMVQFHYDQKRLLVVHESQLAIYDEELECLCSWFPSDPLSAPVSSAVYSSDGLLIYAGFCDGAIGIFQVESLMLQCRIAPSAYIPSSVSSGGEIVYPMVVAAHPWKPNQFAVGMSDGAVHVLEPLDTPDDIISNSIQQGRFGDSG >ONIVA05G24980.1 pep chromosome:AWHD00000000:5:24356306:24359123:1 gene:ONIVA05G24980 transcript:ONIVA05G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGEFQSRKWRSNIQTCREVQISREEAEFRTYRFAENMHCNANKSGVADYTCHAKELGVAEVPRQRQIDLVNDNVCHEAPFDMPRVTNGMAVQIGHTDYNGVAGSFLEIS >ONIVA05G24970.1 pep chromosome:AWHD00000000:5:24335230:24336444:1 gene:ONIVA05G24970 transcript:ONIVA05G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDAVSRRETSPAAALEEEMATVTQAPPPSTPSMVGNPDGGAPAAAADQSAPCATGGETLVGEGRLRKGKMVAEDQSPPSVSGGAPADLPPGGDTDTLLLGVRRQEDAIAMMTPSMKENVRKCKLAGLRAAAPAARRRPRPVEKKLIGGAAILGAGRPPHVAADEATPPPGAKKPKPDHRAFFWTALGHDMASTTSAGSDTGATLDNIAVVDDNLRANLRYLVASPWRPPARVYGKKMTFTDRSVAQHRLLMSCKGWHNTHRGGDEPFPFEELLTPAEKAAADGDEGLRVQAYDRTGRDYDLKCKFLNCNDAYRLILEWSEFLKENHLDVKDKNAAMANEAMIDLWAFRSRWLSHGVDGHEDGRLGLVMVHYFRGDAPHADAAMNVHDALMHSEATPRTPTLP >ONIVA05G24960.1 pep chromosome:AWHD00000000:5:24321802:24326866:1 gene:ONIVA05G24960 transcript:ONIVA05G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVENSMDDDHFQQSMGNGDGMNVEGGSMEIITRVELELAFASEKLLNLEMLVMEIARKATDFEPPTLEDESVSSETAESAFELDILYGFLDAEVGELDDMISTLETDIQNVEHMVCQDESGGKIKARLDAAMVSLKQMKELVSDIRKESAKFEKAIEFPHDKEGITGDAGYENGIVSSHTSMRTEDQRRNVLQMLEQSIASELDLEKKLSESRCIIEELKLKLHHHDQEKYFLEESIESLCGKTFAAENASEVLLGTSKELVDKVSTIECHLSASRCREGDLESKLGESLMGLSSLQVNAENIQEGSQHSGGTETHPSPELPSLQDKVKELEKQLRESDSQLQLAKASAETFQEEQNVLHAEISTLENIIKSLKEDVSRAESRAQNAELRCMQLTEANIELNGELNSLKSHGSEKTSLLERKLKESHTQLEHAKASLDATVEQQSMLRSTMSDMEHMIDDLKGKVLKAETRAENAESKCTLLTDTNLELSEELSFLRGRAESLENSLHEANHVKMSTVKDIGIRTKIITDLVTKLALERERLHQQISLLTKKNKILAQKCKGSVKDDTQLSKNVTGKDVELHSTKLAEEIVPDFSSSQTKAEKPVDPSNEEEKTRSSEDDDSGGEGTAEAVRTIQPSVLNWKYITVAFLILLVAVFIYLPLPEESSSV >ONIVA05G24950.1 pep chromosome:AWHD00000000:5:24314649:24320152:-1 gene:ONIVA05G24950 transcript:ONIVA05G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHSFRLQTTGAAAASNLFFLFLRRLTNHNPPGKEKMSSSYDEGKSFARRDLLLKIQSEVQKCWEENKVFEAKAGDTPPSPGEKFFGNFTYPYMNGMLHLGHAFTLSKLEFAAAYHRLHGSNVLLPFAFHCTGMPIKASADKLGKEIQQYGNPPVLPPANKNSKFELTNDRIYGQTTASAQDKYRSKRSKSVAKSGSYKSQWEIMRSFGIADGEIVEFQNPHHWLSYFPPLAMEDLEDFGLSCDWRRSFITTDMNPFYDAFVQWQMRKLKKMHRIVKGKQYMIYSPLDRQPCLGHDRASGEEVEPQEYVLIKMKVIPPFPPKLMVLEGRNVYLAAATLRPETIYGQTGGEATARAARNLAYQMLSRVPEKPTCLVELLGTDLIGLPLKSPLASNEVIYVLPMQNILVDKGTGIVTSVPSDTPDDFIALQELVKNQDFRVACGVKDEWVFPFEVIPIIDVPSFGNKSAEKVCFDLKMDSPDEKEKLAKAKEITYLKGFDDGIMIVGKFSNRKVQEVKPLIKEKLLKADMAVLYYEPQEKVISRSGDDCVVALTDQWLITYGEAEWKQKAIECLDKMNTFSVEARKDLMQNHLAFCIFNHTTLLPKHHWPRGFRCNRHLLLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADVGDGMDDENFVSETANSAALRLTKEISWMKEVFDDKSSFRLGPPSTYADRAARDEYRFSCGAGGINRELLWRFMDVQTRLITPICPHYAEHVWQKILKNERFVVRAGWPIADDPDSTLRITNKYLQSCIVLMRKSLHKQESCHKVAKKGAAASTTFAENKLSVGLIYVNEHYDGWEEQCLRVLQDKFDTEARSFTPDEDIIDALFKKDEAQNVGFQAL >ONIVA05G24940.1 pep chromosome:AWHD00000000:5:24301639:24310537:-1 gene:ONIVA05G24940 transcript:ONIVA05G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARALLPVGGAAAVAVPRRLRSREASSTSLSGPVSDGARLVRRMQLRPAPLLGSSTCALPSLRGKRLIRTPAASSSSSLDSTGEAKPVGFAERYPALVTGFFFFMWYFLNVIFNILNKKIFDYFPYPYFVSVSHLLVGVLYCLVGWSFGLPKRAPINSTVLKLLFPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFNAAASQFILGQQVPLTLWLSLAPVVIGVSMASLTELSFNWTGFVNAMISNISFTLRSVYSKKAMTDMDSTNLYAYISIIALLVCIPPAIIIEGPQLVQHGFKDAIAKVGLAKLVSNLLVVGLFYHLYNQVATNTLERVTPLTHAVGNVLKRVFVIGFSIIAFGNKITTQTGIGTCIAIAGVALYSYIKAKIEEEKTQMKSA >ONIVA05G24930.1 pep chromosome:AWHD00000000:5:24296939:24297574:1 gene:ONIVA05G24930 transcript:ONIVA05G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSGISPAVHHDLQPGGRSPYDGDDGDRTLVVLLTFGIFFSFVILYLVAGLIWAVVITAAAVVLSFLYLRVRRRRAAVGGTAAAAAPNDVVFIVGAHQAARSTGSSGGGGDVAAAAIVSAIPAFEYKRVNGGGGEDGGAAAGSGWAQCVICLGLVQVGEVVRRLPACKHMFHVECIDAWLSSHSTCPICRADVVDELAAAAAGGRLELPV >ONIVA05G24920.1 pep chromosome:AWHD00000000:5:24296709:24297232:-1 gene:ONIVA05G24920 transcript:ONIVA05G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHDEDHVVGRRRRRRAAHGGAPPPDPEVEEGQHDGGGGDDDGPDEAGDEVEDDEGEEDAEGEQHHQRAVAVVAVVRTTAARLQKTE >ONIVA05G24910.1 pep chromosome:AWHD00000000:5:24292375:24294797:1 gene:ONIVA05G24910 transcript:ONIVA05G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin family protein [Source:Projected from Arabidopsis thaliana (AT4G19400) TAIR;Acc:AT4G19400] MAADWIWARRSWEKWAGKHVGASGKPVKAALLLNYDPTGPSRLLPVVAEQEGTELKAVDLLPFLDFVRRNNLQMEFFSIGSNQYLATSIHEHWFCARCVNAVQQEGEGVIVMEIGAYLYDGSLGSASQAMVAVDQFAWHFNRRTH >ONIVA05G24900.1 pep chromosome:AWHD00000000:5:24271738:24274836:1 gene:ONIVA05G24900 transcript:ONIVA05G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAMPSLAMLFAVLLLCVANTLAFSFMAVRIFRADGGGASKLTASSVRRSTKCILVLACVVEVAVLFASLRLAADRHALSGEVDHMRDQIETLQDDLKQYEQPFSALSDYLGLSVLDLGSAVGRLRDKEEHLVKEYRDLKLEIEQIKSDIQSLQHEKEGRGFHKETLGGTSNQQKQGKNEKTKQPAIHGIMKSLRAKATKLQQVKISFPWEKLKKAKNIFSMDFKLRP >ONIVA05G24890.1 pep chromosome:AWHD00000000:5:24271151:24271486:1 gene:ONIVA05G24890 transcript:ONIVA05G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLPVSALLRLPIHCAAFADHYAPPQSVVGDETLEWTGAAPTRVYPVQEDKGKAARGVVGTSRCSAPSTSAPAESLNSLIEMWTKPNIQVVIDIDGVRSDRPWLLVRLV >ONIVA05G24880.1 pep chromosome:AWHD00000000:5:24269153:24270738:-1 gene:ONIVA05G24880 transcript:ONIVA05G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGEVLLALAVAWLRGLQLRSRFRKYQAVFEGQACGMCVGTPVRIRGVAVGSIVRVDSSLHIIDAYAESGLVMETMIDITPKDPLPTPSVGLLDPDCSREGLILCDKERIKGQQGVSLDAMVGILTRLGRDMEQIGVDKSFKFG >ONIVA05G24870.1 pep chromosome:AWHD00000000:5:24250175:24261944:1 gene:ONIVA05G24870 transcript:ONIVA05G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKIPSKIWIQLISKMKLMFKHSAHHLDSTSDSSASTHYSYHVAAATAVADEEEMWRAFGSLCSPPPPVFHPQASPAAAAISTSSLNQTLPPPHPLSRFLSSSPEPLPDAAGGAASTSSDPTDDGEDSLASDPTEAGEDNLASLWEDAGDADDIFAADAMVDEVLVERVRAVVESTPEDQIPFALAFKVLGRLASQIAVVLQGKDKPTYAPHVENRDMCVDRDRKLRIFSGNEHSFHDRPLEPFVMPPRQVQEMRPRARRALLRAQKKEQDRAAASTKDDENAKNAKSEITA >ONIVA05G24870.2 pep chromosome:AWHD00000000:5:24250175:24261944:1 gene:ONIVA05G24870 transcript:ONIVA05G24870.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLKIPSKIWIQLISKMKLMFKHSAHHLDSTSDSSASTHYSYHVAAATAVADEEEMWRAFGSLCSPPPPVFHPQASPAAAAISTSSLNQTLPPPHPLSRFLSSSPEPLPDAAGGAASTSSDPTDDGEDSLASDPTEAGEDNLASLWEDAGDADDIFAADAMVDEVLVERVRAVVESTPEDQIPFALAFKVLGRLASQIAVVLQGKDKPTYAPHDRDRKLRIFSGNEHSFHDRPLEPFVMPPRQVQEMRPRARRALLRAQKKEQDRAAASTKDDENAKNAKSEITA >ONIVA05G24870.3 pep chromosome:AWHD00000000:5:24250175:24259356:1 gene:ONIVA05G24870 transcript:ONIVA05G24870.3 gene_biotype:protein_coding transcript_biotype:protein_coding METLKIPSKIWIQLISKMKLMFKHSAHHLDSTSDSSASTHYSYHVAAATAVADEEEMWRAFGSLCSPPPPVFHPQASPAAAAISTSSLNQTLPPPHPLSRFLSSSPEPLPDAAGGAASTSSDPTDDGEDSLASDPTEAGEDNLASLWEDAGDADDIFAADAMVDEVLVERVRAVVESTPEDQIPFALAFKERRQETLRAHDAFVCFGLLSPAAAASCPLVSGNQSTATA >ONIVA05G24870.4 pep chromosome:AWHD00000000:5:24261660:24264250:1 gene:ONIVA05G24870 transcript:ONIVA05G24870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQTYLGPITCNRARKIHQEVNSLFTHLNPNFSENFILPKCFILVLLRFTPEDIITTSRRMGYVEDDKGYAEEESTHAQPAAVYANKKMVYVAKTQLPRLVRPSQSSDGLHGIHGWKEGQV >ONIVA05G24860.1 pep chromosome:AWHD00000000:5:24235030:24239693:1 gene:ONIVA05G24860 transcript:ONIVA05G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHQLGPWNLLPDDILELLVGRNLCEIDRLHARRVCHSWRAAFARIEPPPPPPPLPLLLLPEADDDEHGLAFSCVLSGWDTHPFFLPRAARRRARCFGSCDGVWLFLAMEDGLQGDRARDHVLVNLHSFQFLDLPNVIRLDHTFPQLMKDIEIAIVAVTLSRQPTQQGCVAAGIIELPPFPIGVRPFAFWRMGDRVILPFYEDVFGDQAVEDVIYHNGYFLFLTQDEHIRVCQEPVFHDTNVDVDSILLRFEPRVDDGDAVLARYLVLCRGKVLMVVRLGCPHRRSPTSAFRVFERVDYLVVNAGVVEVLEHTWSEIDELGGRMLFLGRGCSRSYEEADGYPGMEGVYFLDDRSFRDPIFHDPDMVFDHTYHCCDNGRWSKSPFNVDRCFPERGRSKISPPKLTNRDEVLRELYRRIPCEIDRHYARRVCHSWRAALARLQPPAPHPQVPWLLLPETNEHGLTFSCVLSECRAHRFFLPSGARRARYFGSYDGAWLFLAVDGRGAQAQDHLLVNLNNFQYLDLPNAILLHNWYEPDKLDLKKVAIVAAALSRPPTERGCVVAGIIEPFLSAHRVAFWRMGDRVISPQPAWPLPLEEVEDILHYTFNRNGREHEAFLVLTTEENVLVCEPRFHGSSVQVLSNLVRFIPRGSDGQPVLARYLVESRGEVLMVVRLGSAIQYDPSAEEFRVFERRDFNDGKFNCIWNSMSELEGRMLFVGRGCSRSYEAADGPAMEGVYFLDDRSFRDPIFHDPYEQPIFRRANRCSDNGKWLEAPFIRLDRCLPERGPSKCSPPRIET >ONIVA05G24850.1 pep chromosome:AWHD00000000:5:24225722:24228079:-1 gene:ONIVA05G24850 transcript:ONIVA05G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAICGEDEAAARVGCTGEWAGGIERVDLGERKEAVAAAGAGKRSVYLMDCAPVWGCASTRGRSAEMEDASAAVPRFADVPVRLLASRRDLDALGLDADALRLPAHLFGVFDGHGGAEVANYCRERIHVVLSEELKRLGKNLGEMGEVDMKEHWDDVFTECFQRVDDEVSGRVTRVVNGGGEVRSEPVTAENVGSTAVVALVCSSHVVVANCGDSRIVLCRGKEPVALSIDHKPDRKDERARIEAQGGKVIQWNGYRVSGILAMSRSIGDRYLKPFVIPKPEVMVVPRAKDDDCLILASDGLWDVVSNEEACKVARRQILLWHKNNGAASPLSDEGEGSTDPAAQAAADYLMRLALKKGSEDNITVIVVDLKPRKKLKNIS >ONIVA05G24840.1 pep chromosome:AWHD00000000:5:24206236:24228496:1 gene:ONIVA05G24840 transcript:ONIVA05G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLKIVLGSHIWLEDKDLAWIDGEVFRIEGQKAHIRTTNGNMVVASISDIHPKDTEVHSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADISYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEEIRKYNLGDPSSFHYLNQSTCIKVDGISDNEEYLATRSAMNTVGITEQEQEAIFRVVAAVLHLGNINFVKGREVDSSVIKDEKARFHLNAAAELLMCDRGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSRLFDWLVSRLNASIGQDENSQYLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKYSGTGSHIGICSVVCEYNTVWLVTENCKVGSTDCYVLARKPGGIVALLDEACMFPKCTHESFSQKLYEKFKNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASTCSFVSGLFPSVQEENTKSSKSSIANRFKGQLHDLMETLSSTEPHYIRCIKPNNLLKPATFENANVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRIIAPDFFKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVQNRAARAVQSRFRTHVAREQFLMLRNTSISFQSFVRAILACKLHLLLRKQAAALKIQKNVRCYFASKSFSELRSSAITLQTGLRAFGAYNEYIRRKQNKASTDIQAARDTEALKVEKGKLEEHIEELSSRLCLEKKLRSDLENSKATEISKLQTTLHEMERRVEEARATQERESAKKVVEEALVLEREKIALLTKEVEELKVLLLKEQEEKNATNSAFSIAQERNDDLTKKVEVANENFKQLKDTLKSFEESTKGLETSLMMERQQNEANRREVGEAQQRVEELLRLVADANGKSTSLQTTVQRLEQSLIEKEATWLTERQESETTNKLLIEAHGRNEELLNKIEVAENDISKFRDNIQRFEETATTLETSLLAEKQHSAAIMSQLAETKQGNEELQKKLADVNRTNDILQDSLKRFEENVTTRDALYLAERQEHDETKQSLSKSQERNWELLQKVDEAEKRINKLLENAQRLEKHATARESLLLKTKQSHDSTTKALVEAESRNRELTKSFEDSDRKINLLEDSVNRLEERIAEKDSLLEIERQENNATKDEVTNAQNKIMELVNESQQLQDIRKHLEDNIKRLEEDATTREALLISEKQTHEATKRTLTETQLRNEELINKIQDSDKHALQLELTIERLQENASTMEALLLREREQSNATMKAHSESQERNSQLLKKFEDVDKKIGLLQGTIQRLGEQTTKDTLLLSERKEKDELKKVLSETEYRNEELVIKIEEENKKVEHLQDTITMLKENIADQAANLEAERQENDRIRKSLVEAQERNDELFKKVSDSEYRAQQLQDTVQKLRQESDAVRKALAESHGRNEDLIRRNDDLLSRNDDLIKKIEDSGQVVAELQAALERIEGKAANLEAENQILRQQAIATPPSTAKSQAAFSKINAFQQRSPENGHILNGNVAYAEKSLTGPAETRPSMVVNQGSILNLINQKDYESGDKMQRAHNEVYQHQQPQDDQQLLLQYITQHLGFSGSKPVAALLLYQCLLHWKSFETAKTSVFDSILQEINSAIEAQHDTRSLAYWLSNLSTLSVLLQLSFKTTRAAISTPHRRRFSYERIFQASQTSNSGLAYFSAQPVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDPRTSHSNQAKASLSSASHLGQQSQLTHWLGIVKILNNCLHLLRANHFKFLVFTQFADSAWEALRHIRQAVDFLVISLKPIRTWSEICDDVCPALSLQQLERIVGMYWDDMNGTNIISAEFTSSMRTMMKEESNNAPSFSVLLDDDSSIPFSLEDIAKSMPTIETTENDLLPFVRENQSFAFILHRRD >ONIVA05G24830.1 pep chromosome:AWHD00000000:5:24202603:24204525:1 gene:ONIVA05G24830 transcript:ONIVA05G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAHAAVYHHPVSGLSAPAGDAAYSMSSYFSHGGSSTSSSASSFSAALAAATTPPLPDPSGSQFDISEFFFDDAPPAAVFNGAPTAALPDGAAANATRSAAEAVPAPAPAAVERPRTERIAFRTKSEIEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPSYVVTTYEGTHNHVSPSTVYYASQDAASGRFFVAGTQPPGSLN >ONIVA05G24820.1 pep chromosome:AWHD00000000:5:24191160:24193751:1 gene:ONIVA05G24820 transcript:ONIVA05G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRRTLLKVIVLGDSGVGKTSLMNQYVNKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCMLVYDVNAKRSFNALNTWHDEFLTQASPSDPKHFPFILLGNKIDIDAGNRRAIPEKKAKEWCVSKGNIPYFETSAKDDYNVDSAFLCVAKLALEHEHDQDIYFKTVAQPAPDTEHTSGCAC >ONIVA05G24820.2 pep chromosome:AWHD00000000:5:24190010:24193751:1 gene:ONIVA05G24820 transcript:ONIVA05G24820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYDVNAKRSFNALNTWHDEFLTQASPSDPKHFPFILLGNKIDIDAGNRRAIPEKKAKEWCVSKGNIPYFETSAKDDYNVDSAFLCVAKLALEHEHDQDIYFKTVAQPAPDTEHTSGCAC >ONIVA05G24800.1 pep chromosome:AWHD00000000:5:24177583:24181459:1 gene:ONIVA05G24800 transcript:ONIVA05G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLKVMRNLCEVLGIDFWEKMEELDIDPKVEEMDDETSQRVNLAVELLENTKRSRFLKIKELGLRLIELRSVMIDQEIDFANVVCFIDAASEDFITQEKALSSRFLNKIKCEVSQLEQLSANRLKEKNLRIKTKIRELLKCTHLTGNEVEIDLEKTRGILEELKMQKEMLKVEVERRSDIVIRAEIWRKSVDQLEALQKGSKNTNKMELMRCELLAKRTEGIKNILVEMVQTWEEKYDSPFSYDGDHLLTILNADAKPSSSEAEGMQKQKAEGQVPAQDLKSLLTPHPKLRRTPRVPVPLEEHVPLQLHVVAPLLLVPPAGAPPPMAQAAQAVQELPAPLPLPDVPVQAGSPPTMISQFNRSRQSLLTSTMLKALQVMKRPALSTFQVTMMIAMTVTTYLE >ONIVA05G24790.1 pep chromosome:AWHD00000000:5:24165862:24166799:-1 gene:ONIVA05G24790 transcript:ONIVA05G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKEFFPNKDLAEQPYFEAELLCYPKQKIICDYLSSRQAECHTSNQYNTCFWMLGTLSKDRNELLFQKFHLNYNNELAMFRKGSCTYRHKVQNLRMQRV >ONIVA05G24780.1 pep chromosome:AWHD00000000:5:24157578:24163276:-1 gene:ONIVA05G24780 transcript:ONIVA05G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 12A1 [Source:Projected from Arabidopsis thaliana (AT5G62530) TAIR;Acc:AT5G62530] MSLILSRRRLAAAVRRSGPAALASRWLHTPPFATVSPQEISGSSPAEVQNFVQGSWTTSGNWNWLVDPLNGEKFIKVAEVQEAEIKPFVESLSNCPKHGLHNPLKAPERYLMYGDISAKAANMLGQPVVSDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQSSNGYRWPYGPVAIITPFNFPLEIPLLQLMGALYMGNKPVLKVDSKVSIVMDQMLRLLHACGMPAEDVDFINSDGITMNKLLLEANPKMTLFTGSSRIAEKLAADLKGKIKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSILFMHKNWSSSGLLDKMKSLSERRKLEDLTIGPVLTVTTSSMIEHMKNLLKIPGSKVLFGGEPLENHSIPEIYGAFKPTAVFVPLSEILKSGNFELVTKEIFGPFQVVTEYSDDELELVLEACERMNAHLTAAVVSNDPLFLQEVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPLPKNWALPSAT >ONIVA05G24770.1 pep chromosome:AWHD00000000:5:24154482:24156343:-1 gene:ONIVA05G24770 transcript:ONIVA05G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSIMMVKNEIENYSGSSPAMIINAPEGGAEAGPVVRRRRREPSLLAPISGDTNGGGIGKTSLSGITVKRSSRFRGVSRFRACRDDKKILSCRHRWTGRFEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGPTTYTNFPVMDYEKELKIMENLTKEEYLASLRRKSSGFSRGVSKYRGVARHHQNGRWEARIGRVFGNKYLYLGTYSEYKNSAYTLFYIVNWYSNASKTSHLNISPSAILPKSFSPGTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPTPAHVAMNPAEALAMQIPVDHFLPMETQMMMLPQSNPFTSYHNAQSLGGGDGGSSSSNNQEASMIISPSGSSRKRSSSTALSLLLKSSMFRQLVEKNSDAGEENGGEMREPGAHPGEGYEYHNFFQGDAAPDMDMCDLLSSGNVHAASGSAGFEEDIACYSGFGSMQSLQQ >ONIVA05G24760.1 pep chromosome:AWHD00000000:5:24150676:24154586:1 gene:ONIVA05G24760 transcript:ONIVA05G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPAGPGLYSDIGKKARDLLYRDYHTDQKFTLTTYAANGAAITVAGTKKNESIFSEIQSQVKNNNVSVDVKATSDSKALHDLGTPGLKGILSIPFPYQKSAKAEVQYLHSHAGLNAIVGLNANPLVSFSGVFGTGAFAVGTDVAFDTATGDFTKYNAGLSHTTPDLTAALLLNNKGDSLAASYYHKVSKTSAVGAELAHSFSSNENTLTFGTQHALDELTTVKARFNNFGMASALIQHEFRPKSLVTISTEVDTKAIDKSSKVGLSLVLKP >ONIVA05G24760.2 pep chromosome:AWHD00000000:5:24150676:24154586:1 gene:ONIVA05G24760 transcript:ONIVA05G24760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPAGPGLYSDIGKKARDLLYRDYHTDQKFTLTTYAANGAAITVAGTKKNESIFSEIQSQVKNNNVSVDLITTFTVHDLGTPGLKGILSIPFPYQKSAKAEVQYLHSHAGLNAIVGLNANPLVSFSGVFGTGAFAVGTDVAFDTATGDFTKYNAGLSHTTPDLTAALLLNNKGDSLAASYYHKVSKTSAVGAELAHSFSSNENTLTFGTQHALDELTTVKARFNNFGMASALIQHEFRPKSLVTISTEVDTKAIDKSSKVGLSLVLKP >ONIVA05G24750.1 pep chromosome:AWHD00000000:5:24147123:24147335:-1 gene:ONIVA05G24750 transcript:ONIVA05G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCAQWLEDASSGGIGGSVVIARGWRGAGDCAMQRLGGATVGSARSLVGIQRFIASPGRSEPLDEMDG >ONIVA05G24740.1 pep chromosome:AWHD00000000:5:24145464:24147911:1 gene:ONIVA05G24740 transcript:ONIVA05G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICNYGALTKNSNNSRQSPTDLGLALQAGRSRRINSIILSVLGYKMRVLSHEMLLANQRPNHPISSHPSISSNGSERPGLAMNR >ONIVA05G24740.2 pep chromosome:AWHD00000000:5:24145464:24146675:1 gene:ONIVA05G24740 transcript:ONIVA05G24740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPICNYGALTKNSNNSRQSPTDLGLALQAGRSRRINSIILSVLGYKMRVLSHEMLLANQREWQISFSQA >ONIVA05G24730.1 pep chromosome:AWHD00000000:5:24144708:24145008:-1 gene:ONIVA05G24730 transcript:ONIVA05G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSALAAADGRIRRRQKGTSAPARMRRRGGRSGSGGRGPRLRRRTEKKAASARMQRRGGSTRGASEDAEEEEERSLRA >ONIVA05G24720.1 pep chromosome:AWHD00000000:5:24136669:24141360:1 gene:ONIVA05G24720 transcript:ONIVA05G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLFGKKSSRSGSTKAKDLSKGSNNKGYAAAGKDAGFESSPVISEPVLVTPHNNEAVQEVGRGENSSLQGEVVVRDVSQDLEKQNTVVSDASNDPERLREEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLRATWLIVKFQALVRGRNVRLSTNTIQVNWKLVQQQSGSGKRDAWKEKLSSNAFARKLLASPILVEALHFQYDERDPNSAFNWLERWTIGRVWRPISHPKRAAVTDAKPHTRKASYAMETESGKLKRNSRRSSAAPVESSQTNMAMETEKSRRNPRKFTSSTVDSVPESQLTELEKVKRNLRKVTNSMAEASKVSTPATEIPERQEVQCEKPQRTAEEVPNYPEIQEPQNGNLLENAKTDILVPDLQPEPEVPSYQVETEEKVAELTVADPAVETMPLQDIHNEENALVNDMEQRSKEEPLSTESLKSSKRRSSFSTKTEYPENGSKNSPAVPSYMAATQSAKAKLRGQNSPRLSSDSAEKNGFTRRHSLPSSNGKLNSHSPRTQRPTHAGGKEGVKADKSMLSSRDASERPAKAEWKR >ONIVA05G24710.1 pep chromosome:AWHD00000000:5:24128598:24132851:1 gene:ONIVA05G24710 transcript:ONIVA05G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLSLLPAASVSPRSRRAARRRPPTASRLPVRGARAASPLHASSSSSSGGGEGGGGDELHLLEKPFPSPSPADEDESESTEAAPALSTEEALAPFLKFFQGKSTEPDEDDAAAGGGGGGYVEEDEEDKGEGSAGAGAGGRGVVYYDPKPGDLAVGVVVGGDGRTLDIDVGAGGEPALMLAKEAVPMTGEEFEYLACDVASKDAAQFAAEGKVGVVVSGGEGQGEATGGRNGKGRGRGSPALGVGTVVFTEVLGRTLGGRPLLSARRLFRRVAWHRVRQIKQLNVPIKVKIFEWNAGGLLTRIEGLRAFLPKPEMMTRPRNFTDLKNTVGQQIHVCITRIDEKANELIISEKEAWAMTYLREGTLLQGTVRKLFPYGAQITIGDTNRGGLLHVSNITRGQLTSVGDVLKVGEEVKAIVIKSTAPGRIALSTKDLESEPGLFLSNKEKVFSEAEEMAQRYRDQISEKHQPAELDSSFDEVAPFDDEAVYYANWKWLRFSKSDKTNFNPRAESGL >ONIVA05G24700.1 pep chromosome:AWHD00000000:5:24117176:24122080:-1 gene:ONIVA05G24700 transcript:ONIVA05G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSASSLLLPRRGHQLQEPAMAASQMRCGGRRENQGLVQRCAETMMLCCGVDCGCGALRLWRRVVLRKWLNVGSGSGDSDFSADECDASDGELDGEDRDNESYGEGTSLDGLGAGTIGDEIKSMPYRPRRRKSETLRAQYIDIRELRICVGTWNLAGKFPPSDLDIQDWLDKEEQADIYVLGFQEIVPLNAGNIFGSEDNSPIAVWEHIIRETLNKICPDKPQYKCHSDPPSPSRFNPSDYVMVMKDELLSDAASNGRVHKGKDFSRMDSVKTSDQSPNLSYEKDRSKLEETTKLLYHPERLGMIWPEQPLDMMAQCLPASTSLKALATPASLKSTVNFPNDDLSHQVNSDNGVIKSKRPCFLRIVSKQMVGIYLSIWVRRDLRKHIQNLRVSTVGGSISVSMSIHQTHFCFVCCHLTSGEKDGDELKRNADVEEILRRTVFNPLPGLSTPKGILGHERIIWFGDLNYRINLSYERAHELISKQDWDGLFENDQLKRELSKGHTFDGWIEGDISFPPTYKYEFDSEKCDRILSRGKGIRLISYRRGELKLSDHRPVTAVFMADVEVLCHRKLQKALTFTDAEVEYHLASSSAEGR >ONIVA05G24700.2 pep chromosome:AWHD00000000:5:24117176:24122080:-1 gene:ONIVA05G24700 transcript:ONIVA05G24700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSASSLLLPRRGHQLQEPAMAASQMRCGGRRENQGLVQRCAETMMLCCGVDCGCGALRLWRRVVLRKWLNVGSGSGDSDFSADECDASDGELDGEDRDNESYGEGTSLDGLGAGTIGDEIKSMPYRPRRRKSETLRAQYIDIRELRICVGTWNLAGKFPPSDLDIQDWLDKEEQADIYVLGFQEIVPLNAGNIFGSEDNSPIAVWEHIIRETLNKICPDKPQYKCHSDPPSPSRFNPSDYVMVMKDELLSESDSDNYGELHPLIKQNDDIAIDNDVVHDKTYENFSAASNGRVHKGKDFSRMDSVKTSDQSPNLSYEKDRSKLEETTKLLYHPERLGMIWPEQPLDMMAQCLPASTSLKALATPASLKSTVNFPNDDLSHQVNSDNGVIKSKRPCFLRIVSKQMVGIYLSIWVRRDLRKHIQNLRVSTVGVGAMGYMGNKASINFNPLLLLFNSTALKTFANHLVKKSHRLISLVLGSISVSMSIHQTHFCFVCCHLTSGEKDGDELKRNADVEEILRRTVFNPLPGLSTPKGILGHERIIWFGDLNYRINLSYERAHELISKQDWDGLFENDQLKRELSKGHTFDGWIEGDISFPPTYKYEFDSEKCDRILSRGKGIRLISYRRGELKLSDHRPVTAVFMADVEVLCHRKLQKALTFTDAEVEYHLASSSAEGR >ONIVA05G24700.3 pep chromosome:AWHD00000000:5:24117176:24122080:-1 gene:ONIVA05G24700 transcript:ONIVA05G24700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSASSLLLPRRGHQLQEPAMAASQMRCGGRRENQGLVQRCAETMMLCCGVDCGCGALRLWRRVVLRKWLNVGSGSGDSDFSADECDASDGELDGEDRDNESYGEGTSLDGLGAGTIGDEIKSMPYRPRRRKSETLRAQYIDIRELRICVGTWNLAGKFPPSDLDIQDWLDKEEQADIYVLGFQEIVPLNAGNIFGSEDNSPIAVWEHIIRETLNKICPDKPQYKCHSDPPSPSRFNPSDYVMVMKDELLSESDSDNYGELHPLIKQNDDIAIDNDVVHDKTYENFSAASNGRVHKGKDFSRMDSVKTSDQSPNLSYEKDRSKLEETTKLLYHPERLGMIWPEQPLDMMAQCLPASTSLKALATPASLKSTVNFPNDDLSHQVNSDNGVIKSKRPCFLRIVSKQMVGIYLSIWVRRDLRKHIQNLRVSTVGGSISVSMSIHQTHFCFVCCHLTSGEKDGDELKRNADVEEILRRTVFNPLPGLSTPKGILGHERIIWFGDLNYRINLSYERAHELISKQDWDGLFENDQLKRELSKGHTFDGWIEGDISFPPTYKYEFDSEKCDRILSRGKGIRLISYRRGELKLSDHRPVTAVFMADVEVLCHRKLQKALTFTDAEVEYHLASSSAEGR >ONIVA05G24700.4 pep chromosome:AWHD00000000:5:24117176:24122080:-1 gene:ONIVA05G24700 transcript:ONIVA05G24700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSASSLLLPRRGHQLQEPAMAASQMRCGGRRENQGLVQRCAETMMLCCGVDCGCGALRLWRRVVLRKWLNVGSGSGDSDFSADECDASDGELDGEDRDNESYGEGTSLDGLGAGTIGDEIKSMPYRPRRRKSETLRAQYIDIRELRICVGTWNLAGKFPPSDLDIQDWLDKEEQADIYVLGFQEIVPLNAGNIFGSEDNSPIAVWEHIIRETLNKICPDKPQYKCHSDPPSPSRFNPSDYVMVMKDELLSESDSDNYGELHPLIKQNDDIAIDNDVVHDKTYENFSAASNGRVHKGKDFSRMDSVKTSDQSPNLSYEKDRSKLEETTKLLYHPERLGMIWPEQPLDMMAQCLPASTSLKALATPASLKSTVNFPNDDLSHQVNSDNGVIKSKRPCFLRIGSISVSMSIHQTHFCFVCCHLTSGEKDGDELKRNADVEEILRRTVFNPLPGLSTPKGILGHERIIWFGDLNYRINLSYERAHELISKQDWDGLFENDQLKRELSKGHTFDGWIEGDISFPPTYKYEFDSEKCDRILSRGKGIRLISYRRGELKLSDHRPVTAVFMADVEVLCHRKLQKALTFTDAEVEYHLASSSAEGR >ONIVA05G24690.1 pep chromosome:AWHD00000000:5:24111735:24119367:1 gene:ONIVA05G24690 transcript:ONIVA05G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFELDSLLPPSNWIVVRIDGCHFHRFSKIHTFEKPNDERALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSVYVMKWKDFFPNKELKEPPYFDGRVVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWSLVKSGKTEKEAQQALKGTFSKDKNELLSQQFQINYDDEPAIFRKGSCVYRDKVETMVKTDRCGNPIKRTRLVITNANVDIIGPEFWENHPYILREEKCRYENVMKFDINHRLPPCNWTVVRIDICKFEQFSLIHSFDKPNDEAALRLMNASASLMMESFPDIVFGYGFSNEYSFVFQDKTELYQRQESLILSSCTSRFTLFYMMKWKDFFPNKDLVEPPHFEAELLCYPKQKILCDYLSSRQAECHTTNQYSTCFWMLVKSGKSENEAREILKGTLSKDKNELLFQQFHLNYNNEPAVFRKGSCTYRQKVEESADAEGRENTTRERWDVIVAHADMGTEFWRKHPYILRKLDLLG >ONIVA05G24690.2 pep chromosome:AWHD00000000:5:24112279:24119403:1 gene:ONIVA05G24690 transcript:ONIVA05G24690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFELDSLLPPSNWIVVRIDGCHFHRFSKIHTFEKPNDERALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSVYVMKWKDFFPNKELKEPPYFDGRVVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWSLVKSGKTEKEAQQALKGTFSKDKNELLSQQFQINYDDEPAIFRKGSCVYRDKVETMVKTDRCGNPIKRTRLVITNANVDIIGPEFWENHPYILREEKCRYENVMKFDINHRLPPCNWTVVRIDICKFEQFSLIHSFDKPNDEAALRLMNASASLMMESFPDIVFGYGFSNEYSFVFQDKTELYQRQESLILSSCTSRFTLFYMMKWKDFFPNKDLVEPPHFEAELLCYPKQKILCDYLSSRQAECHTTNQYSTCFWMLVKSGKSENEAREILKGTLSKDKNELLFQQFHLNYNNEPAVFRKGSCTYRQKVEESADAEGRENTTRERWDL >ONIVA05G24680.1 pep chromosome:AWHD00000000:5:24104983:24108949:1 gene:ONIVA05G24680 transcript:ONIVA05G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASETSQKAAAAGSSIPEGARRLLHELAAGWGDVADCRALEVVPLRGAMTNEVYQARWPPAAEAEVEAAGRRVLVRVYGEGVEVFFDREAEVRTFESMSRHGHGPRLLGRFPNGRVEEFIHARTLSAVDLRDPEISAIIASKLREFHNLDMPGPKSVLIWDRLRNWLKTAKNLCPSDEAKEFCLDSMENEITALENELSEDYQCVGFCHNDLQYGNIMIDEETKLLTIIDYEYASFGPVAYDIANHFCEMAADYHSEKPHILDYTKYPDTDEQKQFVQSYLSSSGEEPDAEKVNNLIKSIEKYTLASHLIWGLWGIISEHVNDIDFDYMGYARQRFEQYWLKKPAILTCQVVE >ONIVA05G24670.1 pep chromosome:AWHD00000000:5:24096134:24103502:1 gene:ONIVA05G24670 transcript:ONIVA05G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVERITSMPRRQVQARDGSVASLAKRTGSPCQQEDDYEGAKTMTNPWTFLPEDIWYHIHSLLPLKDAARTACVSRTFLRSWRYRPNLVFSDAKLGLSGLSESDEVTKELNEKVDLIMKNHSGIGLRTFGLEYYNLVDASYLDRWLQIAVTPAIEELILMFFPEIKAKYYDFPFSLLFDRGGNSIKHLRLSYCAFRPTTSLNFLQRLHLFEVRITGDELGCLLSNSFALEQLKLTHCKELNYLKIPCVLQRLSKLTVFGCTTLQVIEIKAPNLSTFDYDGNLAGLSDGGLLPVKNLHLSSFYQHHTIQYTCAKLPSVAPTIETLTIFSESERFNTQISPFRFLHLKCLTISLSIYRGGFSPSNDYLSLAYFLDASPVLEIFTLTVSQTRMKHHVISEDSSYLRQMPGHRHVNLKNVKIIGFCSAKSMVELTCHIIENATSLECLTLDTICDDYENPDRLSVHEIGECSPICRQMIMEAKNALLAIERYIVGKVPSTVRLDVLKPCSWCHAIEPRHAAPCR >ONIVA05G24670.2 pep chromosome:AWHD00000000:5:24096134:24103502:1 gene:ONIVA05G24670 transcript:ONIVA05G24670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVERITSMPRRQVQARDGSVASLAKRTGSPCQQEDDYEGAKTMTNPWTFLPEDIWYHIHSLLPLKDAARTACVSRTFLRSWRYRPNLVFSDAKLGLSGLSESDEVTKELNEKVDLIMKNHSGIGLRTFGLEYYNLVDASYLDRWLQIAVTPAIEELILMFFPEIKAKYYDFPFSLLFDRGGNSIKHLRLSYCAFRPTTSLNFLQRLHLFEVRITGDELGCLLSNSFALEQLKLTHCKELNYLKIPCVLQRLSKLTVFGCTTLQVIEIKAPNLSTFDYDGNLAGLSDGGLLPVKNLHLSSFYQHHTIQYTCAKLPSVAPTIETLTIFSESEVSQTRMKHHVISEDSSYLRQMPGHRHVNLKNVKIIGFCSAKSMVELTCHIIENATSLECLTLDTICDDYENPDRLSVHEIGECSPICRQMIMEAKNALLAIERYIVGKVPSTVRLDVLKPCSWCHAIEPRHAAPCR >ONIVA05G24670.3 pep chromosome:AWHD00000000:5:24098730:24103489:1 gene:ONIVA05G24670 transcript:ONIVA05G24670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYVVLLKLAAPRRALPLTPEQDRERARRIGVIWSPRARAPPCWFRNRRGAERAAGKKRGGEAAAAAHVDAAGADAATAADESVASLAKRNGSPCQQGDDYQGVKTMRNPWAFLPEDIWYHIHSLLPLQDAALTACVSQIFLRSWRCRPNLIFSAKTLGLNDNWLERNKVIGELNGKVDHIMKTTPIAVTPAIEELILLLFPEDKANYYDFPFSLLFNRGGSSIKHLHLSYCVFRPTGGLNCLRSLFLYEVRITGHELGAVGTHRLQRAQLPQDTLPASRLSKLAMYGWEASQVMEIKAPNLLTFHYEGNLARLSDGGLPYVKNLTIASIRWHNAIYYACANLPSIVPIIETLTVFSVSEIINTPIAPLRFLHLQHLTVFLHTVPRVVSPTYDYLSLAYFLDASPALETFTLKVSQTRMEHDVISEDSSHLRQMPGHHHDTIKNVKIMVELTCHILENATSLEGLTLDTIFDGNNNPADRLSVHEVGRCGRIHSPMVMEAKNALLAIERYIVGKVPSTVKLDVLKPCSWCHTNSSVE >ONIVA05G24670.4 pep chromosome:AWHD00000000:5:24100855:24103489:1 gene:ONIVA05G24670 transcript:ONIVA05G24670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQQEQTRPRRLVQSCSELVSLIHRPIGLFVALHHESVASLAKRNGSPCQQGDDYQGVKTMRNPWAFLPEDIWYHIHSLLPLQDAALTACVSQIFLRSWRCRPNLIFSAKTLGLNDNWLERNKVIGELNGKVDHIMKTTPIAVTPAIEELILLLFPEDKANYYDFPFSLLFNRGGSSIKHLHLSYCVFRPTGGLNCLRSLFLYEVRITGHELGAVGTHRLQRAQLPQDTLPASRLSKLAMYGWEASQVMEIKAPNLLTFHYEGNLARLSDGGLPYVKNLTIASIRWHNAIYYACANLPSIVPIIETLTVFSVSEIINTPIAPLRFLHLQHLTVFLHTVPRVVSPTYDYLSLAYFLDASPALETFTLKVSQTRMEHDVISEDSSHLRQMPGHHHDTIKNVKIMVELTCHILENATSLEGLTLDTIFDGNNNPADRLSVHEVGRCGRIHSPMVMEAKNALLAIERYIVGKVPSTVKLDVLKPCSWCHTNSSVE >ONIVA05G24670.5 pep chromosome:AWHD00000000:5:24096134:24099060:1 gene:ONIVA05G24670 transcript:ONIVA05G24670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVERITSMPRRQVQARDGSVASLAKRTGSPCQQEDDYEGAKTMTNPWTFLPEDIWYHIHSLLPLKDAARTACVSRTFLRSWRYRPNLVFSDAKLGLSGLSESDEVTKELNEKVDLIMKNHSGIGLRTFGLEYYNLVDASYLDRWLQIAVTPAIEELILMFFPEIKAKYYDFPFSLLFDRGGNSIKHLRLSYCAFRPTTSLNFLQRLHLFEVRITGDELGCLLSNSFALEQLKLTHCKELNYLKIPCVLQRLSKLTVFGCTTLQVIEIKAPNLSTFDYDGNLAGLSDGGLLPVKNLHLSSFYQHHTIQYTCAKLPSVAPTIETLTIFSESERFNTQISPFRFLHLKCLTISLSIYRGGFSPSNDYLSLAYFLDASPVLEIFTLTVSQTRMKHHVISEDSSYLRQMPGHRHVNLKNVKIIGFCSAKSMVELTCHIIENATSLECLTLDTICDDYENPDRLSVHEIGECSPICRQMIMEAKNALLAIERYIVGKVPSTVRLDVLKPCSWCHAIEV >ONIVA05G24660.1 pep chromosome:AWHD00000000:5:24091423:24094328:-1 gene:ONIVA05G24660 transcript:ONIVA05G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVGVVALLLLLPLAASAYGDGLGRAAVGVNWGTMTSHPILPCEVVRMLAANGVARVKMFDADPWTAAPLAHTGIQVMLAVPNDQLARLAGDPRRAYRWAEQNVSAYLEAGVDVRYVAVGNEPFLKSYNGSLINVTFPALKNMQRALDKLGLGDHVKAVVPLNADVYNSPENKPVPSAGSFRKDINALMVDIVNFLNMNNAPFVVNIYPFLSLYQNPNFPLNFSFFDGGSKPVYDKGMVYTNVFDANFDTLVWSLRKAGVPDMKIIVGEVGWPTDGDKHANVRYAQKFYDGFLKKMVRNIGTPLRPGWMEVYLFALIDENQKSVLPGRFERHWGLLTYDGKPKFSMDLSGDGLDNLVGVEVEYLPAQWCVFNKDAKDKYKDLPAAVNYACSNADCTPLGYGSSCNNLSHDGNISYAFNIYFQTMDQDVRACSFEGLAKITTINASQGGCLFPVQILSASERVVPLRFLPTSFLILLMVVSILT >ONIVA05G24650.1 pep chromosome:AWHD00000000:5:24081161:24083809:1 gene:ONIVA05G24650 transcript:ONIVA05G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLPLLLLHSALVSKPAPSRLLSSSSSAAAAAAIAAASSGARVGGGHGIVSAVLEIVGPIELLFPSSEARLYVRLLRRCARDALAVGAGAVHGHVLKRGFASVSLVSNVLMDTYAKGGLMAACRHLFDEMPNKDVVSWCTVIAAHASRGRCFEAIGLFKALLSSEVKPNRFVISSVLNACARSGVIEQGLMVHGMVVKSGLGADRFVEVGFVDMYAKCGNVGYSFRMFNGIPVKSSVAWNAMISGFVENNCFMDAVELCQDMHRVGMAMDVVTLRVVASVAAILGAFELSRNIHVYALKAGLGRDCFVVSELIKSAGKAGETQYIKKLVPAVRRHDASLYSLAISGYHSNGCQGEAVKLAEVLLYSGLNLREGDLVTVINICQTKEEVQQMHAYTFRTGDLSYTNVCNSLISIYSEIGSLIHAESIFKTMQSRDVISWTAVMAGCVKNLQYERAFGYFLELRNSGEPLDQHCVANLINACTGLEDMYKGKQIHSLALKLGLLVDFISASLVNMYAKCHHIEWASQLFSSTLFPRNLVIINAMISGYCWNSLPQKALLLFCREYRSGLRPDHFTFSTVLGACADIGAKEAGEQIHGHLVKIGSEYLDVVVGNSIINFYVKCGCIANACRFFHSMRSRNINSYAMLMLGYIQNRCSDEALQFFSMMQQSGLRANRVTFARILRGCADLCAIHLGRQLHASIIKMGLISDVYVANALVGMYKKSEGWVESKRNSKETLAPEQDAEDNCYSEQRDIRSTLEEIGLFTLEEEKDKETFADERKVYTSAASVFGRDLRTDSIIGNWKNGRCNESRHLLNYRSAEYQEIGGEPFKLFALLQEDSRRSDKFVLLVITDNSHLKTKGAGFINAELARRPGFAPALDFPP >ONIVA05G24640.1 pep chromosome:AWHD00000000:5:24075007:24080352:1 gene:ONIVA05G24640 transcript:ONIVA05G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKEEERNERAIRALLKLPGNRRCINCNSLGPQYVCTSFSTFVCVSCSGIHREFTHRVKSISMAKFTSQEVSALQEGGNERGKEIYLKHWDFQGQPLPDIRSATKPDDYHRLLIDVDRLRNFIKIVYVDRRFTAERIGNHQPQAKGSRDDTYRNNNIDSSRGVQRGPYGGTSEDNHGPQHSTASTSEDQNNLNKHPVPAKVDQKNRTTTERENANTGKHQYLDGLQKTGGSSENNLKDTTKSVSSVVEPSKETNRKVLPIRLPDPPRSHKATTSTTPAEIQKVVPPRAADPSSKTTTDVKLEISKSLIDFDSDFEPHQGFGQTEVQKSSPLPDVGWATFDDTTPKNATATSISSTNSLNGPLVQILNSVSAPQISFPTRQSTKSLSFSQANNGSQQNQFFFRPTDNIQSYSSPLNRANSAPVNSQLWGAASQASIQGSHALPSNHGSNILAGTLASQRPAVDTTSSRGKALPEDIFTMSYHPYAANWDWRANPQLNMGYGQYNMQYPVGAANFPSLSSMRGALPHTGSTSMLPRAPFTGFVNSDLITPQILPPMTTNNHQFMVQQHDVKVTHQMQNASFPINQSHLPQVGGNPFF >ONIVA05G24640.2 pep chromosome:AWHD00000000:5:24075007:24080352:1 gene:ONIVA05G24640 transcript:ONIVA05G24640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKEEERNERAIRALLKLPGNRRCINCNSLGPQYVCTSFSTFVCVSCSGIHREFTHRVKSISMAKFTSQEVSALQEGGNERGKEIYLKHWDFQGQPLPDISDVDRLRNFIKIVYVDRRFTAERIGNHQPQAKGSRDDTYRNNNIDSSRGVQRGPYGGTSEDNHGPQHSTASTSEDQNNLNKHPVPAKVDQKNRTTTERENANTGKHQYLDGLQKTGGSSENNLKDTTKSVSSVVEPSKETNRKVLPIRLPDPPRSHKATTSTTPAEIQKVVPPRAADPSSKTTTDVKLEISKSLIDFDSDFEPHQGFGQTEVQKSSPLPDVGWATFDDTTPKNATATSISSTNSLNGPLVQILNSVSAPQISFPTRQSTKSLSFSQANNGSQQNQFFFRPTDNIQSYSSPLNRANSAPVNSQLWGAASQASIQGSHALPSNHGSNILAGTLASQRPAVDTTSSRGKALPEDIFTMSYHPYAANWDWRANPQLNMGYGQYNMQYPVGAANFPSLSSMRGALPHTGSTSMLPRAPFTGFVNSDLITPQILPPMTTNNHQFMVQQHDVKVTHQMQNASFPINQSHLPQVGGNPFF >ONIVA05G24640.3 pep chromosome:AWHD00000000:5:24075007:24080352:1 gene:ONIVA05G24640 transcript:ONIVA05G24640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKEEERNERAIRALLKLPGNRRCINCNSLGPQYVCTSFSTFVCVSCSGIHREFTHRVKSISMAKFTSQEVSALQEGGNERGKEIYLKHWDFQGQPLPDIRSATKPDDYHRLLMYDIGSETSSRLYMLTEGSQQKELVTINHKRRGVQRGPYGGTSEDNHGPQHSTASTSEDQNNLNKHPVPAKVDQKNRTTTERENANTGKHQYLDGLQKTGGSSENNLKDTTKSVSSVVEPSKETNRKVLPIRLPDPPRSHKATTSTTPAEIQKVVPPRAADPSSKTTTDVKLEISKSLIDFDSDFEPHQGFGQTEVQKSSPLPDVGWATFDDTTPKNATATSISSTNSLNGPLVQILNSVSAPQISFPTRQSTKSLSFSQANNGSQQNQFFFRPTDNIQSYSSPLNRANSAPVNSQLWGAASQASIQGSHALPSNHGSNILAGTLASQRPAVDTTSSRGKALPEDIFTMSYHPYAANWDWRANPQLNMGYGQYNMQYPVGAANFPSLSSMRGALPHTGSTSMLPRAPFTGFVNSDLITPQILPPMTTNNHQFMVQQHDVKVTHQMQNASFPINQSHLPQVGGNPFF >ONIVA05G24630.1 pep chromosome:AWHD00000000:5:24066342:24071992:-1 gene:ONIVA05G24630 transcript:ONIVA05G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREKAEETEEEEYESDLDDAPLPAVRRRDAASDDEEEEEEEGARPSPPTKAGSDAESDGQGAAEVYDDDDAYEDDEGYEEYGEVYEEFEQGRGVAGGVATGAVAAAGEEAGMGMKGEAEGEASAAAAEGEEGKKGSEPYAVPTAGAFYMHDDRFQDARGRGRQRRMVGDRRLWNAKEDQAWVHDRFDEMNLHDFHNDYTRRRPRGRFRGRGGAPVGKVRGGSRDNFRGNRSQTYYRDGAKNYIYVPKEPHSYHDNTKKVQQVLNDNGKNRTIKPPNPRDGDANNFDFVRKESRPLYGNAKSNKSAPRVVRGRGSKRYQPRWRSTAEISSEHNNKSQNLENTSSNANLGKHQHQASNSQPERGFPMKQSFASNLNSASPPFYPSRPSHQELPVSQRGDGQPSTTTRHFSSPIGMEHVSPTPQYGPLLRGKAFVPSAGHGKLHAEVPIKGMDHPSFHSSTSSSTSQFPIATNQVTGNSAKSPHPIVQQRLVQSFNQSTPKMPGQMFAAQFASSDKLPSSMQSTSTILTEGTEISSPHGSNKSNTRLMAKGQHSDQGEEHASFMYGGAQVLGTTGSLGDQNFHGTPALFPVMQFGGQHPGGTGVPSIGMALPGFVSQQQLGLSNSEMTWLPILAGASGALGATYGSPYITVDGSYYPRTSEHASSSVSLREPSASSQLKSQEITEALNDELSQRQHKPRRYSEMNFGK >ONIVA05G24620.1 pep chromosome:AWHD00000000:5:24058734:24061787:-1 gene:ONIVA05G24620 transcript:ONIVA05G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLRIGKVLDCFSFSMCCARSSGCLCLRASEEDGDEEAAMERKSLVSSSSQVDQVIRLRDLVDGTRTLGFHLEPKTVELKVSMHCNGCAKKVQKHISRMEGVTWFEVDLEKKKVVVTGDVTPLEVLQSISKVKFAQLWPLPPQPAAPATVSSA >ONIVA05G24610.1 pep chromosome:AWHD00000000:5:24055685:24058189:1 gene:ONIVA05G24610 transcript:ONIVA05G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQFKRPPGYEEPAVLAAQTTFTVNEVEALRELYNKMSYSIIKDGLIHKEEFQLALFRNSRKANLFADRVFDLFDLKRNGVIEFGEFVRSLSVFHPKAPKSEKTAFAFKLYDLRETGYIEKEELREMVLALLDESDLHLSECAVEAIVDNTFSQADSNGDGRIDPEEWEEFVKANPASLRNMDITMAFPSFVMHSEAHD >ONIVA05G24610.2 pep chromosome:AWHD00000000:5:24055705:24058189:1 gene:ONIVA05G24610 transcript:ONIVA05G24610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQFKRPPGYEEPAVLAAQTTFTVNEVEALRELYNKMSYSIIKDGLIHKEEFQLALFRNSRKANLFADRVFDLFDLKRNGVIEFGEFVRSLSVFHPKAPKSEKTAFAFKLYDLRETGYIEKEELREMVLALLDESDLHLSECAVEAIVDNTFSQADSNGDGRIDPEEWEEFVKANPASLRNMDITMAFPSFVMHSEAHD >ONIVA05G24600.1 pep chromosome:AWHD00000000:5:24037746:24040103:1 gene:ONIVA05G24600 transcript:ONIVA05G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein [Source:Projected from Arabidopsis thaliana (AT3G61770) TAIR;Acc:AT3G61770] MEVLSSSRFSRVFPSSLLSPVSKPLKPPRHRRRRVQTLSSSSSDAAAAPSTPPPVWPPASLSRLLAAALRGGRAGGELPDLAVGAGAAATAAGGGARIGTLLMSTTAAAVTKARENPYILALAANPTFVSGLVAWAVAQAAKVVLTSFVERRWDLRMLFSSGGMPSSHTALCTALTASVALCHGVSDSLFPVCLGFTLIVMYDATGVRRHAGMQAEVLNKIVEDLFQGHPISERKLKELLGHTPSQKWKNRFDTKIFGASGVTIVPGDS >ONIVA05G24590.1 pep chromosome:AWHD00000000:5:24034831:24036753:-1 gene:ONIVA05G24590 transcript:ONIVA05G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKPFSARRLVPALFPLAHADAASAAASRRARRRDAFVATPPTPPPSPSAVRLAEPLPSLAPSRLALHNRILALLSPSSKDGEKGADLAEAALLTRHALHSNCRPSSFTCAAVLAALLRARRLDDFFALHRFALQAAVPPTAATYALYLSALAARRLPDAALHHLRLISRPGSPVPPSPTAYRVVVKSLVVDHGRLTDALELKDEMLASGFVGPDPQVYNLLMAGFVEAGDGAKAVELYEELKEKIGREPILDGIVYGSLMKAYFLMGMEEKAMECYKEVLGAESEVRFGAESYNGVVDAFGWNGRLDDAIELFERMLREHDPPLKIAVDVRSFSVIVDAYCAAGRFGDAISWFRRMGEWEVVADVAAYNNLIRHLSLQGLVSEAELLYKEMGESGLKADEETYVLLMQGCFRVDRIDEGINYFDGMAELGLKPDATAYHKIVDGLIGFGMLDNAHGYLDKMRGKEISPSIASYEALLKAYIGEASLDKSIDIAKDILLDEKVVFSDEMRDLLEGALREAGREGDITKLYEDVEEKKAEAAARAEEEKARAEALAREEREKRRAEAAAKDEAAARASAAAIEAILGHKRKTENVTGEPAPDANSLDGVFQSRFGITSAGDGALQGTSSGGETKQGDGH >ONIVA05G24580.1 pep chromosome:AWHD00000000:5:24030710:24035990:1 gene:ONIVA05G24580 transcript:ONIVA05G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAARRLLLAARTGAGAGAASRLSAAVFALRSYSSTAQSSSPRRFPTPKEIRRGLDEFVVGQDKAKKVLCVAVHNHYKRIYSESSNCSMKSSACGDVSMSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFADVPFVIADATAITQAGYSGEDVESIICNLLAAAKFNVEATERGIVYIDEVDKLIKKVECNEDRRDVSGEGVQHALLKIFEGTVINVPRKRNQDSISDGYVEVNTKNILFICGGSFSGLEKIVSESTCAIEDTETVIWGLDFQHLVICETVVGQMLSGNHAVLKLDDLIAYGLIPEFIGRLPITVGLNDLSEAQLVQVLMEPKNAIGKQYKKLFKMNDVKLHFTENALRLIAKKAASRETGARELRSIMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGSVHSRGCGAKIFRDDGALELYVYQNNIRLPGLIPGNPKRTRIVSFCLLVALSATKLWVYHTFHCFSSIYEWVVSILCKANFFTQ >ONIVA05G24580.2 pep chromosome:AWHD00000000:5:24030710:24035990:1 gene:ONIVA05G24580 transcript:ONIVA05G24580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAARRLLLAARTGAGAGAASRLSAAVFALRSYSSTAQSSSPRRFPTPKEIRRGLDEFVVGQDKAKKVLCVAVHNHYKRIYSESSNCSMKSSACGDVSMSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFADVPFVIADATAITQAGYSGEDVESIICNLLAAAKFNVEATERGIVYIDEVDKLIKKVECNEDRRDVSGEGVQHALLKIFEGTVINVPRKRNQDSISDGYVEVNTKNILFICGGSFSGLEKIVSERHRNCHMGFGLPTSGDLRNCGWTNAIGESCCVEAIESDDLIAYGLIPEFIGRLPITVGLNDLSEAQLVQVLMEPKNAIGKQYKKLFKMNDVKLHFTENALRLIAKKAASRETGARELRSIMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGSVHSRGCGAKIFRDDGALELYVYQNNIRLPGLIPGNPKRTRIVSFCLLVALSATKLWVYHTFHCFSSIYEWVVSILCKANFFTQ >ONIVA05G24580.3 pep chromosome:AWHD00000000:5:24030803:24035990:1 gene:ONIVA05G24580 transcript:ONIVA05G24580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAARRLLLAARTGAGAGAASRLSAAVFALRSYSSTAQSSSPRRFPTPKEIRRGLDEFVVGQDKAKKVNETSLLVGVVLCVAVHNHYKRIYSESSNCSMKSSACGDVSMSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFADVPFVIADATAITQAGYSGEDVESIICNLLAAAKFNVEATERGIVYIDEVDKLIKKVECNEDRRDVSGEGVQHALLKIFEGTVINVPRKRNQDSISDGYVEVNTKNILFICGGSFSGLEKIVSESTCAIEDTETVIWGLDFQHLVICETVVGQMLSGNHAVLKLDDLIAYGLIPEFIGRLPITVGLNDLSEAQLVQVLMEPKNAIGKQYKKLFKMNDVKLHFTENALRLIAKKAASRETGARELRSIMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGSVHSRGCGAKIFRDDGALELYVYQNNIRLPGLIPGNPKRTRIVSFCLLVALSATKLWVYHTFHCFSSIYEWVVSILCKANFFTQ >ONIVA05G24580.4 pep chromosome:AWHD00000000:5:24030803:24035990:1 gene:ONIVA05G24580 transcript:ONIVA05G24580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAARRLLLAARTGAGAGAASRLSAAVFALRSYSSTAQSSSPRRFPTPKEIRRGLDEFVVGQDKAKKVNETSLLVGVVLCVAVHNHYKRIYSESSNCSMKSSACGDVSMSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFADVPFVIADATAITQAGYSGEDVESIICNLLAAAKFNVEATERGIVYIDEVDKLIKKVECNEDRRDVSGEGVQHALLKIFEGTVINVPRKRNQDSISDGYVEVNTKNILFICGGSFSGLEKIVSERHRNCHMGFGLPTSGDLRNCGWTNAIGESCCVEAIESDDLIAYGLIPEFIGRLPITVGLNDLSEAQLVQVLMEPKNAIGKQYKKLFKMNDVKLHFTENALRLIAKKAASRETGARELRSIMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGSVHSRGCGAKIFRDDGALELYVYQNNIRLPGLIPGNPKRTRIVSFCLLVALSATKLWVYHTFHCFSSIYEWVVSILCKANFFTQ >ONIVA05G24580.5 pep chromosome:AWHD00000000:5:24030710:24035990:1 gene:ONIVA05G24580 transcript:ONIVA05G24580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAARRLLLAARTGAGAGAASRLSAAVFALRSYSSTAQSSSPRRFPTPKEIRRGLDEFVVGQDKAKKVLCVAVHNHYKRIYSESSNCSMKSSACGDVSMSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFADVPFVIADATAITQAGYSGEDVESIICNLLAAAKFNVEATERGIVYIDEVDKLIKKVECNEDRRDVSGEGVQHALLKIFEGTVINVPRKRNQDSISDGYVEVNTKNILFICGGSFSGLEKIVSERHRNCHMGFGLPTSGDLRNCGWTNAIGESCCVEAVLMEPKNAIGKQYKKLFKMNDVKLHFTENALRLIAKKAASRETGARELRSIMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGSVHSRGCGAKIFRDDGALELYVYQNNIRLPGLIPGNPKRTRIVSFCLLVALSATKLWVYHTFHCFSSIYEWVVSILCKANFFTQ >ONIVA05G24570.1 pep chromosome:AWHD00000000:5:24027701:24029985:1 gene:ONIVA05G24570 transcript:ONIVA05G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASKLAQMQAKACDAARFAAKHGCAYHRALMEKNQKYVADPPTIERCQELSKQLFYTRLASLPGRYEAFWKEADQVKNFWRNRKDLNVEHAGVAALFGIELYAWFCVGEIVGRGFTFTGYHV >ONIVA05G24560.1 pep chromosome:AWHD00000000:5:24023301:24026121:1 gene:ONIVA05G24560 transcript:ONIVA05G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRAARLAALSAPRAYSSATAAAAGAGGSASPAPYGGAPPPAANSKAAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRRDFLHWWNK >ONIVA05G24550.1 pep chromosome:AWHD00000000:5:24014997:24023064:1 gene:ONIVA05G24550 transcript:ONIVA05G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSVKLSFIFAGSRTAAELPRKSTSNDKHHNRVNMQRDEASVSSDKERQEKYGDENGISNLQLEDLIQMIQNTEKNIMLLNQARLQALEHVETVLKEKEDLQRKLKILETRLSETDARLKLSAEGQFGTEINDSLPVLELDDIKEENMLLKDDIQFLKTMLIEVAETENSIFTLEKERALLDASLRELESRFIDAQADMLKSDPRQYDAWWEKVENLGDLLETATNKVENAAMVLGRNHDLEDKVDKLEASLAEANISKFSCYFVDLLQEKIKSVEERFQVCNHEMHSQIELYENSIAEFHDILSKLVEETEKRSLEHSASSMPSELWSRISLLIDGWLLEKRISYNDANTLREMVRKRDSCLREAYLSCRGMKDREIVDNFLKITLPGTSSGLHIIHIAAEMAPVAKVGGLADVISGLGKALQKKGHLVEIILPKYDCMQNDQVNNLKVLDVVVQSYFEGNLFNNKIWTGTVEGLPVYFIEPQHPAKFFWRAQYYGEHDDFKRFAYFSRAALELLYQSQKKIDIIHCHDWQTAFVAPLYWEAYANLGFNSARICFTCHNFEYQGAAPAQDLACCGLDVQQLDREDRMRDNSHGRINVVKGAIVYSNIVTTVSPTYALEVRSEGGRGLQDSLKLHSRKFVGILNGIDTDTWNPSTDRHLKVQYNANDLQGKAANKAALRKQLNLSSTNASQPLREFEGIADHFQNNNNIRLLLKYDDSLSHWIYAASDMFIVPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDFDDETIPKELRNGFTFVHPDEKALSGAMERAFNYYNRKPEVWKQLVQKDMRIDFSWASSASQYEDIYQRAVARARAAA >ONIVA05G24540.1 pep chromosome:AWHD00000000:5:24009333:24010277:-1 gene:ONIVA05G24540 transcript:ONIVA05G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGQPHAHEPDGGGASHRRPQSPPSLPAEVVPAFAPPESEDEESWVWSQIKAEARRDADAEPALASFLYATVLSHPSLPRSISFHLANKLCSSTLLSTLLYDLFLASFTAHPSLRAAVVADLLAARSRDPACVGFSQCLLNFKGFLAIQAHRVSHVLWAQQRRPLALALQSRVADVFAVDIHPAAVVGKGILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVKIGAGAKIGAGSVVLIDVPARNTAVGNPARLIGRKNGEVEKDEDMPGESMDHTSFIRQWSDYTI >ONIVA05G24530.1 pep chromosome:AWHD00000000:5:23995155:24005199:-1 gene:ONIVA05G24530 transcript:ONIVA05G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKEMEGSFMHGNVFAGLLRTNFNAQFWYRVLAWTREHIPNNLNLYSEYPDDVTMNHPAYIKGIAQPIKHFCMYEPYPKGSLEDDVPDTTVQDMLCGKAKARSNSEILVWKSQIPPYHNFISRCVVQSSRITACCRRVANNTTPPAKLHFSALISASMALTTFFREGFLMELLSAILGDVVSRSITFMIDRYPKQRPSVDENLQRLHHLLVRIRTVVEEAEQRHVTNHGMIRQLELLREQMFRGHCVLDAFRFRDEEEDEVTPHFALSKFNRAKRIRFSDSSSNTQIQTRSTNDLHRTVTSLERIIGDTKEFVVFLMSYPPVYRQPYSTHLYLDGCMFSRQMERENAISFLLQREPLAVAEEIVQVLPVVGPEFVGKSTLVEHICRDQRVREHFSLILYYSGDDLRYEKAETFSEICQTKHRNESNDAMDGRLLLIIELLGDVDERTLKKIHSSFRKQMTHEIKIMITSRSEKIIRLGAKQALRLNFLPFEAYWYFFKVLAFGATDPEQHPKLASMAMEIATVLRGCFLCAHIGGALLKANFNSKFWSRFVAFVREYRDEINSLMPCDCQDHPKFGWVIVRPKPENYFVLRDSYQKALVEDDGPKIKLVDLLSGRVRRRGKFELFSAILGDLTSRSISYVMDRYCSNQPAAIDDGVRQLRRLLLRTHTIVEEAEGRHITNQGMLRQLKAMRDELFRGHYVLDTFRHRADLLQKEEEKEDEQVRSSFAMSRLNPAKRIRFSRARTSSFQDLESMIRSLEDAIADTKEFIVFLMSCPPVMYRQPFSTHLYLDKCMFSRQIEREQVIDFLLRIDPDPHGSCNDIGVLPIIGPALIGKSTLIEHVCRDERVKSHFSLILFYNGDELKHETVATFRDRCDVDEDTWKELYYSSENRIPRGSKIIITSRSEVFVFGSADPDEHPKLTSIAMEIAAELRRSLFCAHVVGALLRVHLDAHFWRRVLEGTREYMQKNLILASEYPHDLKTDKNHPRYAWIISEPKPIKSLLIYDSYQKGSENAEVPNITIQDLLFGEASGRGKFDILLWKSQMPPYCSHICSCVV >ONIVA05G24520.1 pep chromosome:AWHD00000000:5:23991631:23996279:1 gene:ONIVA05G24520 transcript:ONIVA05G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANPFDLLGGDDNDDPAQLLAKAAVAAQKAEAKKAAAAAAAPAAGKGGAQSAASKFPTKPAPPSQAGCKDMSFDFILPFSVRESRDGSAPSRGGYGRGERGRGRGGRGYGQNRDFGGEEMNGFQGGYGGGGGSRAGGEEGAQDRERGPRPPFQGGGRRGGFRDSGYGDDSERMSRRPYERHSGTGRGYEMKREGSGRGNWGTTTDEILAQETGEALKLDEKAPVTEKQGAPEGAPQADENKDNKDVTPNEEEKEEDKEMTLEEFEKLREEKRKALLSLKTEERKVEIDKDLKSMQPLSNKKENDEVFIKLGSDKDALKKKENAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGDRGGFRGGYGEGHRSAAPPAPEIKDQSQFPTLGRK >ONIVA05G24510.1 pep chromosome:AWHD00000000:5:23989914:23990345:-1 gene:ONIVA05G24510 transcript:ONIVA05G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALADRSASGGPRVAFTSGVWCDAVVGRYKAEATTVDFKNKAGKLSEEADQRVDATGEEGPHRLRPAAGIRRPDDGHRAQQRHLPKGQLGASLHGDW >ONIVA05G24500.1 pep chromosome:AWHD00000000:5:23984894:23985151:-1 gene:ONIVA05G24500 transcript:ONIVA05G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALGGGSRARQNAMRSGLVVLGAAAFGYLSFRVGFKPYLDRAQEAMDDTTHHGSASGAAAQPDHAGEEDDVATSKDPAVVLRD >ONIVA05G24490.1 pep chromosome:AWHD00000000:5:23979752:23983336:-1 gene:ONIVA05G24490 transcript:ONIVA05G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HH80] MRKAAAAAVAAAAAVGVALLVRRQLREAKRWGRADAVLRELEERCAAPPARLRQVADAMAVEMHAGLASEGGSKLKMIISYVDALPSGEEKGVFYALDLGGTNFRVLRVQLGGKEGRVIKQEHDEISIPPHLMTGGSNELFDFIASSLAKFVASEGEDFHLAEGRQRELGFTFSFPVKQTSIASGTLINWTKGFSIDETVGEDVVTELTKALERQGLDMKVTALINDTIGTLAGGRYDDNDVIAAVILGTGTNAAYVERANAIPKWHDLLPKSGDMVINMEWGNFRSSHLPLTEFDQALDAESLNPGEQVYEKLISGMYLGEIVRRVLLKMAEEASLFGDEVPPKLKIPFIIRTPYMSMMHCDRSPDLRTVGAKLKDILGVQSTSLKTRRLVVDVCDIVAKRAACLAAAGIHGILKKLGRDVPNTDKQRTVIAVNGGLYEHYTIFAECVESTLRDVLGEEVSSTIVIKLAKDGSGIGAALLAAAHSQYREAEEL >ONIVA05G24480.1 pep chromosome:AWHD00000000:5:23975981:23978434:-1 gene:ONIVA05G24480 transcript:ONIVA05G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSQGPTTARRRPLRVLPGNRTPHPPPPQGSRPRKPAPTPAAACRPATPAAAAAASACPPASDAAAIDRLLLARSDLAALVSQIDELVCAALECQSVSTKGKQEIESFSCFLSDTNSSLKQWSSRLKLALQASPEKNKNVSKFTSGASSVPATIGNDRLLCSNIDEPDLIVSPSPLVSWRAGACMVDSGKQLFLLTPLTKTKTCSSRCPKSSTTRLKTTTGLDQLNLPNIPVLKLTISDDDCLDLEQSVKANEANTCVMTPNFIKAKKGSSGNSLFSPFSFTVQKSRRALPSPCLRTALSCKQQRFSPISEGSRKEEIPSTGPTQTGKPSEASGDISSDEISKDLASRYPDFYGFNQPTMTTYRRREADDTLDWFLSPLKTCVLMDPSDEKPIAPPARDSKSFIDAPCKGLESDNLQKIKELSDDKPIQTSSVHSKALLGTPWKGLESNILKKGQGISDDKPIQTPAIHSRALVGTPWKGLESTNLKGKQAGETTLKRELWTRFEAVSTNELHFDSSVFQRSDGRRFIDILEEEAS >ONIVA05G24470.1 pep chromosome:AWHD00000000:5:23970840:23973431:-1 gene:ONIVA05G24470 transcript:ONIVA05G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTANGSVTLLAKRKGSPCQQEEDDNQDVKRMRNPRVSLPEDIWYHIHSLLPLRDAARAACVSSTFLYSWRCRPNLIFSKKTLGLNGNWRENELVNKVDHIMKNHSGIGLRTFGLQSYNLINTYYLDRWLNIAITPAIEELSLTQFPENNTKYYNFPCSILFNRGGNSIKHICLSHCAFRPTGGLNFLRRLHLGEVHITGDELECLLSNSFALEQLTLKYCKELNYLRIPCQLQQLKDLEVYERKALQMMEVKAPNLSTFHYDGNLARLSDGGLLAVKKLRISSFYQYNNVHYASANLSSIVPTIETLIISSFGEKFNTVVAPFKFLHLKSLKISLIGFNGAFSPAYDYLSLAYFIGASPVLETFTLIVSQIRMEHDVISENSSHLRQMPRSSHGNIKNVNIIGFCSAKSMIELTCHILENATSLECLTLDTIHDDYVHPDRLSVHEVGFCGRIGSPMIMEAENALLAIKRYIVGKVPSTVKLVVLKPCSWCHATEGLGVKDHRVA >ONIVA05G24460.1 pep chromosome:AWHD00000000:5:23967834:23969987:1 gene:ONIVA05G24460 transcript:ONIVA05G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGGSQSLLCVTPPTIIGAITFLTLAHRQALQGGRRSATSRHLYTAIKFGRVINHLVHLVGDALTLAVLVEPLEKLSTGRHHMSRYVGALILLPTSTIPSPAALSSSPRLSTSYSRRMQKRHRSTPCTLSSSTLGIAGAAAVVAKPSLIQEVMLEPTPLLINAWGSRNKVWDGGRDFGPRVALARRLGGETLAAAAPPPPSSLLRRRRSSSPAKPGDALGWRQRGNLPRLHLAPVRVWRRWRRDGEVAVMAWWWGSPRRWQRGGRALRGSVEGVARSGSPARSGRGTTAARRRVSAWPATVSVDAGALGRSRWPAASARWVPGCEVVSGRAAGLAVEVESELLVLQARQR >ONIVA05G24460.2 pep chromosome:AWHD00000000:5:23969994:23970394:1 gene:ONIVA05G24460 transcript:ONIVA05G24460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVPATLRSEGFCSTRGCLVVLETLSLCCRSCLPFGKLGNDDFCEVSLVVSFLFYIGFCRFPV >ONIVA05G24450.1 pep chromosome:AWHD00000000:5:23925554:23928198:-1 gene:ONIVA05G24450 transcript:ONIVA05G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAMNSVAKLDEGLTKEERNLLSVGYKNLIGAKRAAMRIIGSIELKEETKGKESHVRQTAEYRRKVEDEMDKICCDVINIIDKYLIPHSSGAESSVFYYKMKGDYYRYLAEFKTGTEKIETASKTAQTDLTPTDPIRLGLALNISVFYCEIMNSPDKACQLAKNAFDEAVAELPSLSEENYKDSTLIMQLLRDNLALWNSDMADDADDIRERTDTTGAKGDPAAH >ONIVA05G24440.1 pep chromosome:AWHD00000000:5:23920456:23928203:1 gene:ONIVA05G24440 transcript:ONIVA05G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGGSRAAPPADSAAVAAVAKEAEYQKGVQKLVDLLSKLNPAAKEFVPSSAAVSSPSRKALSADAPVFDYNSIGGWNGGGKESGADAYQQRRRRNGYLSQGRRRMNERARHADREDSIRRTVYVSDIDHTVTEERLADIFANCGQVVDCRICGDPHSVLRFAFIEFADEEGARTALNLGGTMLGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVIRTVYCTNIDKKVTQLDVKNFFEELCGEVSRLRLLGDNVHSTRIAFVEVSPSKTPVKPRLNRVASN >ONIVA05G24430.1 pep chromosome:AWHD00000000:5:23905621:23914547:1 gene:ONIVA05G24430 transcript:ONIVA05G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSTPKGRREGGREGGETKEARNPNPEAARLSDQLRLGATMTTQAKEKCPLCTEEMDLTDKQLKPYLSLVLASDNGNGSEGRMWREMPRVSLKELCADKSNFQKEQAKSQKQKPVKVQSGVTEESIDPYSVRVIQRRLVYIVGMPSEFASDKVLRQHNFLGQYGKIESIIIDNIGANQLIPDSGRVYVTFSREEEAFRCIEAVNGFILDGRPLKATFGVTRYCHVWLSNKVCRKPICSYVHQKAPPEDICTKDDVAVYCARLQHLLGMDTKGLRSGNTLPPPGDCVSRTTVCNGNSKDKTCSDDYGVLHNHGNKNLGTLPATTLQEEKKRNSTPNNQQGLSASVSQELPPLGPKVHHLNDQLASCGDKPQASVQSANGNLNSKQVTAAGNGTVGTLSAKQYVNVVSQGSSGSGRRFTVLTRQTASSDTRSKATGQVGNASSDSQKLTSANNEHSDRIKISRSDNVKLVSQRPEEPSQMLANHLTGAIDKTHVDTDEKNARSDINEKTVCGIQMQLKESTAAHRSTVLQSLRDNPMSNNLPTLDVKSQISVVPDKPSDSQSASKTQLQPSNHKKTAVCSSDTANASDAYGIANNQVLFPGGKHQTSSQGEDHSLYKRDKSQSGDQLSSQHPGNVFSPRLLTSLSSIDITAKENKGIKRHVCPPGFEELHRPSDSDKITSVSSPTSSIMCSGPDTLVQDSCSAKDQPDFISWVSECLEDGGETTQSNRSIPSTLSSTDATWRYMQYPASCFSGASNHFLVSPYPRGLSQHTVGRIENTMNCCCSHPSVSGIANHKPEYWSGSDHSYMSTGGYDVFSQSATLGMIAGMVGTSPQQPSPPVHYNDWTTGSADSDLKSPQVDHTYPMYSLF >ONIVA05G24420.1 pep chromosome:AWHD00000000:5:23885068:23888815:1 gene:ONIVA05G24420 transcript:ONIVA05G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKGELEQIALPAAHPPPADVRAIDLSATGPARAVAARALVAACEEQGFFRVTGHGVPPGLVRAAEAAAARFFALPQPDKEAAAGAPLGYASKRIGSAGDLGWIEYLLLCLAPAAAAAALPCAATSPTPPCPLRELLREYSAAVRRVACGVLELMAEGLGVGPADALARLVAREDSDSILRVNHYPPRPDQLGGGGGPNLTGFGEHTDPQIISVLRSNGAPGLEISLRDGAWASVPHDGDGDSFFVNVGDTLQVLTNGRFRSVKHRVVVNSEKSRVSMVFFGGPPPGERLAPLPALLGDGGRSRYREFTWKEYKGSGCKGRLADDRLCRFEN >ONIVA05G24410.1 pep chromosome:AWHD00000000:5:23869327:23871770:1 gene:ONIVA05G24410 transcript:ONIVA05G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTPEKPYEGKPAAEARSYWRWQKEDFFPEPSFASWGAYRAALAATPARFRDRFAGRSTDADELGALRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPAIVLSYVASGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDVAAFIAAANLILESIIGTAAVARSWTSYLASLINKPASALRIQTSLAEGYNELDPIAVVVIAVTATLAILSAKGTSRVNWVASAVHVLVIAFVIVAGFLHAKTSNLTPFMPHGVPGVFRAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSVITAIYCVMALVLSMMQPYTAIDRSAAYSVAFSNVGMRWAQYVVALGALKGMTTVLLVGALGQARYTTHIARSHIIPPVFALVHPRTGTPVHATVLIAAAGACIGLFSSLDVLSSLLSVSTLFIFMMMATALLVRRYYVRGVTSRTHARRLVALLSVVIGSSAGIAAYWGAAPERWVGYTVLVPAWAAGTLGIQLLVPAARAPKVWGVPLVPWLPSLSIATNLFLMGSLGAQAFIRFGVCTAIMLLYYVLVGLHATYDVAHGACSGDDVDEEVYADAAHVDDAKAAAAVAANADVERAGAKI >ONIVA05G24400.1 pep chromosome:AWHD00000000:5:23863116:23864462:-1 gene:ONIVA05G24400 transcript:ONIVA05G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAVPSGGSLRHNSGPPRAPLRRLPLPLLKTASRLTLLLQINLELLSLDHLSGIGPVIGRKSPSVVDCGGREFRLQTTTSDDLRVRGWHESRSTLDVNKSRLWNCGALDIGPHPVDARRLVR >ONIVA05G24390.1 pep chromosome:AWHD00000000:5:23859502:23859882:-1 gene:ONIVA05G24390 transcript:ONIVA05G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSARSGRGQQLVTTAALATATAVVDVVAAVSTGGGGRHGVGQLAARCWRTTAQRQFAEAVVGVGDSGDGGCDSGDGGDVGGGEGVGCEVAGCSAAAAAVVVTVFAVTGGMAASVD >ONIVA05G24380.1 pep chromosome:AWHD00000000:5:23850566:23851768:-1 gene:ONIVA05G24380 transcript:ONIVA05G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLICALDCTATPGTRGAPAPVAPRRRVARVRLAPRCQWRPLTARAQAAATQPDPEHQAPANGGPSAFPTTALKVGAGVALALALGGASWRARGGSAGPVLMQPAAVCSLNVVTDSASRATAERSAAAAATMRTSVDALSDSLFRREDAPRDRATLMDLVFEQVTKEQIGDRGKLTSLLQKEWSASRDSERKLDLGLLLTDVLINQREWQRAKEVCQQLTGRYQRDSRPYLHLAVINMMMAVETMLSPETANSDDIEKMSKNAMDAWKEFKTKYEHAKGSTDSST >ONIVA05G24370.1 pep chromosome:AWHD00000000:5:23847986:23850725:1 gene:ONIVA05G24370 transcript:ONIVA05G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT5G46220) TAIR;Acc:AT5G46220] MGWVRMRVRVRSPPVMQSKLLCLSLLYLLTTLPLALYVSFSDPASAASRCLVFLPFRSSAPSSAASAALFEYPREYGEHKHAIPATRALCSDPAVFSDYKTVLEEINKLCRNLSASPYAKPALRYQNGRRNSFAGNLSTVERRSFFNHTDSAVEIPCGFFKEFPVRESDRLAMEKCNGVVVASAIFNDHDKIRQPKGLGSETLRTVCFFMFIDDATHRVLASHNILAGERGEAGTVGAWRVARLVAGAGGDHRLPYENPAMNGVIVKYLLHRLFPNARFSVWVDAKMQLTVDPLLLVHSFVAGKGADMAVSKHPFNLHTMEEAIATARWRKWGDVDAIRAQMETYCRNGLQPWSPIKLPYPSDVPDTAIIIRRHGLASDLFSCLLFNELEAFNPRDQLAFAYVRDQMSPKVIMNMFDVEVFEHIAVEYRHNLKRGNGGAGGKQGITRMASSGDIAGSSCERYLLKMWGETTE >ONIVA05G24370.2 pep chromosome:AWHD00000000:5:23848166:23850725:1 gene:ONIVA05G24370 transcript:ONIVA05G24370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT5G46220) TAIR;Acc:AT5G46220] MGWVRMRVRVRSPPVMQSKLLCLSLLYLLTTLPLALYVSFSDPASAASRCLVFLPFRSSAPSSAASAALFEYPREYGEHKHAIPATRALCSDPAVFSGRSLSVREGHYKTVLEEINKLCRNLSASPYAKPALRYQNGRRNSFAGNLSTVERRSFFNHTDSAVEIPCGFFKEFPVRESGDFFGQFAFFIEEKILVAHRLAMEKCNGVVVASAIFNDHDKIRQPKGLGSETLRTVCFFMFIDDATHRVLASHNILAGERGEAGTVGAWRVARLVAGAGGDHRLPYENPAMNGVIVKYLLHRLFPNARFSVWVDAKMQLTVDPLLLVHSFVAGKGADMAVSKHPFNLHTMEEAIATARWRKWGDVDAIRAQMETYCRNGLQPWSPIKLPYPSDVPDTAIIIRRHGLASDLFSCLLFNELEAFNPRDQLAFAYVRDQMSPKVIMNMFDVEVFEHIAVEYRHNLKRGNGGAGGKQGITRMASSGDIAGSSCERYLLKMWGETTE >ONIVA05G24370.3 pep chromosome:AWHD00000000:5:23847986:23850725:1 gene:ONIVA05G24370 transcript:ONIVA05G24370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT5G46220) TAIR;Acc:AT5G46220] MEKCNGVVVASAIFNDHDKIRQPKGLGSETLRTVCFFMFIDDATHRVLASHNILAGERGEAGTVGAWRVARLVAGAGGDHRLPYENPAMNGVIVKYLLHRLFPNARFSVWVDAKMQLTVDPLLLVHSFVAGKGADMAVSKHPFNLHTMEEAIATARWRKWGDVDAIRAQMETYCRNGLQPWSPIKLPYPSDVPDTAIIIRRHGLASDLFSCLLFNELEAFNPRDQLAFAYVRDQMSPKVIMNMFDVEVFEHIAVEYRHNLKRGNGGAGGKQGITRMASSGDIAGSSCERYLLKMWGETTE >ONIVA05G24360.1 pep chromosome:AWHD00000000:5:23845887:23847563:1 gene:ONIVA05G24360 transcript:ONIVA05G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGLMLLLLAAAAVASAAVPPSCERIECPSYEVVDSANGFEIRRYSDAMWASTAPIEDISFVAATRTGFLQLFNYIQGKNAYNETIEMTAPVLTQVAPSDGPFCVSSFVVSFYVPAKNQPDPPPAEGLHVQRWAGARYAAVRRFGGFVADSDVGEQAALLDASLQGTRWAAAVSDGRRADPTSSYTVAQYNSPFEFSGRVNEIWMLFDAKDASDM >ONIVA05G24350.1 pep chromosome:AWHD00000000:5:23843550:23844053:1 gene:ONIVA05G24350 transcript:ONIVA05G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAIEAHREGAEVYHGAALCAEKAVELLAEIHMPLGLLPLAEMEEVGYNRATGFVWLRQKKAITHTFKQISRQVSYAAEVTAFVEDRRMKRVTGAKTKELLIWVSLSDMFIDKDDASKITFKTPTGLGRTYPVDAFAKEDDGKGKVPAAAAAANGKETAVNGKAK >ONIVA05G24340.1 pep chromosome:AWHD00000000:5:23833465:23838438:1 gene:ONIVA05G24340 transcript:ONIVA05G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISNIFHNDPLPPLGARANQSIKLRKFIISPYDSRYRTWETFLLVLVVYSAWICPFELAYLRNLSWKVSLVDNIIDSFFAIDIILTFFLAYLDQKSYLLVDDPKRIVARYFSSWFLFDVCSTIPYQLLGQIFKKHENGLAYRLLSMLRLWRLRRLSELFARLEKDIRLNYYWIRCTKLISVTLFAVHCSGCFNYLIADRYPNPARTWIGAAIPNYRSQNLWVRYVTAIYWSITTLTTTGYGDLHAENQREMLFSICYMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTIHAASQFAARNQLPGHIKDEMLSHICLRYKTEGLKQKETLDSLPKGIRSSIACNLFLPVIEKVYLFHGVSFTCMIQLVTEMEAEYYPPREVVILQNEAPRDVYILVSGAVEERVEIDGTEKVQEVLCDGEIFGEIGVICSIPQPCAFHTIKVSQLLRLNTAVLKNIIKENSDDRRVILNNLSQKMNQDHRFSTEVMEKSLQMMHQHFGEYNRCSALNQDNEKNELKANNGHSMALEWKRVTIHMYSQRNKRPEAPLAKVINLPGSLDKLFAIACQKFNNYRLTKLVNPEFAEIDDITVIRDGDHLFFMEI >ONIVA05G24330.1 pep chromosome:AWHD00000000:5:23826339:23830526:-1 gene:ONIVA05G24330 transcript:ONIVA05G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocase 11 [Source:Projected from Arabidopsis thaliana (AT4G18270) TAIR;Acc:AT4G18270] MASPPSAPSSSAAAAARAAPHRPRLRSRRSLRPPPQPQPRVLPSSVACRSSALRDFQFRNISTRSTSRRDVLPVASAFDEDLGDFSLVHADGDDPLEVVVYSSKSDWSDEEVVLNPTSDVELPLKSKSRFEGVEVADNMKIHHFRRRGKLMSPSYRKPTPAMGGLFFVPIGIFVARRQVGSSTGVNGAAIITLIFAMVGFLDDISNLVMDRNRKIPHWMRLFVQIVAGIYLSIWLSSTNISTPYNTKFLVPLPPPLGLAFIGKIYLVLAAICSLSMGTGVTLVDHLDGLAGGVAALALAGLSVASLPICSEMSVFGASMSGACTGFLFHNRYRASIVMGRVGSFALGGAIATIAACSGMFIPMFIACSIFLLELLLVIFQVPFQMAKKHFLGTNRYPLRILPSYYFLKSWGIKEPYIVAGAYIISCLLTAFAGYLGLVSA >ONIVA05G24330.2 pep chromosome:AWHD00000000:5:23826339:23830526:-1 gene:ONIVA05G24330 transcript:ONIVA05G24330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocase 11 [Source:Projected from Arabidopsis thaliana (AT4G18270) TAIR;Acc:AT4G18270] MASPPSAPSSSAAAAARAAPHRPRLRSRRSLRPPPQPQPRVLPSSVACRSSALRDFQFRNISTRSTSRRDVLPVASAFDEDLGDFSLVHADGDDPLEVVVYSSKSDWSDEEVVLNPTSDVELPLKSKSRFEGVEGAMTVAAHRTQQGLMNNVGLIAFLAILLLFVDWCSWRIVRLPLDSFYLTRPFLISSVLSALAGFLFAPVADNMKIHHFRRRGKLMSPSYRKPTPAMGGLFFVPIGIFVARRQVGSSTGVNGAAIITLIFAMVGFLDDISNLVMDRNRKIPHWMRLFVQIVAGIYLSIWLSSTNISTPYNTKFLVPLPPPLGLAFIGKIYLVLAAICSLSMGTGVTLVDHLDGLAGGVAALALAGLSVASLPICSEMSVFGASMSGACTGFLFHNRYRASIVMGRVGSFALGGAIATIAACSGMFIPMFIACSIFLLELLLVIFQVPFQMAKKHFLGTNRYPLRILPSYYFLKSWGIKEPYIVAGAYIISCLLTAFAGYLGLVSA >ONIVA05G24320.1 pep chromosome:AWHD00000000:5:23816961:23824140:1 gene:ONIVA05G24320 transcript:ONIVA05G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLETSQTVFVGPYLTVETRERFDRDYNLFNHGFITLPIDLPGSAFRRARLAVPRLKHILEDCARQSKQRMRGGGEPECLVDYLMQETVREIDEAAAAGLPPPPHTSDMETGNLLFDFLFAAQDASTSSLCWAVSALDSHPDVLARVRAEVAALWSPESGEPITAEMMTEMKYTQAVAREVVRYWPPGPVVPHIAGEAFQLTEQYTIPKGTIVFPSVYESSFQGFPDAGTFDPERFFSEARREDVVYKRNFLAFGAGPHQCVGQRYALNHLVIFMALLASLIDFRRERTEGCDVPVYMPTMVPRDGCVVHLKQRCAKSSRAFSTPRTMRTSTDPSGSIESFHGLVHLRTAAPLLAAAVALYMLIEQLSYHRKKGSMPGPPLVVPFLGSAAHLIRDPVGFWDVQAALARKSGAGLAADFLFGRFTVFIRDSELSHRVFANVRADAFHVVSHPFGKKLFGEHNLVYLVGEEHKDLRRRIAPNFTPRALSTYAVIQQRVIISHLRRWLDRSASNGGKAEPIRVPCRDMNLETSQTVFVGPYLTEKARERFDRDYNLFNVGFITLPVDLPGFAFRRARLAGARLMHTLGDCARQSRQRMLGGGEPECLLDYLMQETVREIDEATAAGLPPPPHTSDVEVGALLFGFLFAAQDASTSSLCWAVSALDSHPNVLARVRAEVAALWSPESGEPITAEMMSAMKYTQAVAREVVRYHPPATLVPHIAVEAFQLTAQYTIPKGTMVFPSVYESSFQGFQDADAFDPDRFFSEARREDVVYKRNFLAFGAGSHQCVGQHYALNQLVLFVALFASLVDFRRERTEGCDVPVYMPTMVPRDGCVVYLKQR >ONIVA05G24310.1 pep chromosome:AWHD00000000:5:23808211:23809020:1 gene:ONIVA05G24310 transcript:ONIVA05G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHIFHHILSRRLRSPSFPHVGRLRGAAAVHDEAATTVAIAMVDIRAPLADLSRSKDAVAGDSSPLRGCGGHQGHATTQPTPSTFSPHAVDALHALLGPSPSSPSTPSSPPSIRLTRTSSISVVGAARPPTPPSMTTATTTTARHGSRASSPPCSWRRTGGGAKLVADRQWWRVARAGRLRHRAGGRRARLAPASASHHGVEWSGGGVIHARESNEVGAVDGEGMENVTEGHGSNFLKFQWHLADIANMCGIFLICHISSGMDPINP >ONIVA05G24300.1 pep chromosome:AWHD00000000:5:23803905:23807386:-1 gene:ONIVA05G24300 transcript:ONIVA05G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLKRLMSTQRRPRPRRRRRRQVQARDGSVTLLAKRKGSPCQQQDDNQDVKRMINPRVSLPECEILPLRDAARAACVSSTFLCSWRCRPNLIFCKKALGMNGNWRENVRELINKVDHIMKNHSGIGLRTFGLQSYNLINTCYLDRWLNIAITPAIEELSLTQFPVYNAKYYNFPSSILFNRGGNSIKHIHLSYCAFRPTGGLKFLRRLHLREVHITGDELECLLSNSFALEQLTLKHCKELNYLRIPCKLQQLKDLEVYECKALQMMEVKAPNLSTFYYDGNLARLSDGGLLAVKKLHISSFYRYNNVHYASANLSSIVPTIETLIISSFGEEVNTVVAPFKFLHLKSLMINLIGFNGAFSPAYDYLSLAYFIDVSPVLETFTLIVSQIRMEHDVISEDSSHLRQMPRSIHGNIKNVNIIGFCSAKSMIQLTCHILENATSLECLTLDTIHDDYVHPDRLSVHEVGFCGRIGSPMIMEAENALLAIKRYIVGKVPSTVKLVVLKPCGWCHATKGLGVKDHRVA >ONIVA05G24290.1 pep chromosome:AWHD00000000:5:23799436:23800285:-1 gene:ONIVA05G24290 transcript:ONIVA05G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSNRVDSLEDAQKLAEKRGNEHGVLIFVYAVDGIHHAKEIADALGDLEEKNNWEARQLIRQGRKLKTMELGGITGTVFLGQNHQELVPQGGRGMGDRIINLCNQVIGNDAEHRTKRNKPVSDEWFDKADKLLKDIEMFVTRPVHLPVDPDAASGRDERLPAAAADDDHLPPLPALLRNKRSASPAAAAEAEGEHLLAASGSASDHEESLPRRRRRRRLSPNLPTVPDVTPK >ONIVA05G24280.1 pep chromosome:AWHD00000000:5:23793989:23799354:1 gene:ONIVA05G24280 transcript:ONIVA05G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAATLGMRCGSYGSLASAGGGRKGGGRGWGWRGGGEKERLQLLHRALRLVGRRRAGVLLLLAAASAAVFCSIFAVVKDDNASMIIANNYEVANTIQNSVYPSMTRPLMTSSDQFSASSVNKTELPNRLRLSFANFTHHPCEGFSVAPPLVDPKRTGPRPCDVCYVPVDQAFALMPLQPSPSPVLKNLSYVFEDNITANFSNQGSVFGGHPSLEQRNKSFDIRESMTVHCGFVRGKKPGQGSGFDINDDDLLEMEKCRELVVASAIFGNYDMIQHPRNASEFSKANAYEETEAYVKNSSSLYRNNKVGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLKLVVDPYLLLERFLWRKNATFAISRHYKRFDVFEEAEANKAAGKYDNASIDYQIEFYRNEGLTYYAPAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIRARVGWMPEMFLDCERRNFVIQGYHRELLEQMIASGWKPPPMASEPSRKLRLGSRKVPPSKKSSMKRKRVKKSSSRRRLPKPITGMTDSTT >ONIVA05G24280.2 pep chromosome:AWHD00000000:5:23799138:23801201:1 gene:ONIVA05G24280 transcript:ONIVA05G24280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISDLYAANAIPRRRATAQIRHGRGGVLATVSVALLPRAAAPCASPSPWALLPRHAAVCDSHAASDFLARKLFLSASALAAPHSPLPPRHGQAPSGPRRRARHRRCGLAPTPRSGVRSRRGRGRGCQLGHDNAPHPCPPLAIVVRMMACCRPMESTG >ONIVA05G24280.3 pep chromosome:AWHD00000000:5:23800721:23801122:1 gene:ONIVA05G24280 transcript:ONIVA05G24280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPRAPIPTPSPTAPRHGTDPSRPRRRARHRLRGLAPARRGAMRVTVAVGFAAAPRSSLPLRLRPRSAPLPTPTAPRPSSVRAAAACSPPSLWPCSHATQRRTKPAGARPWVSTGAR >ONIVA05G24280.4 pep chromosome:AWHD00000000:5:23801135:23802231:1 gene:ONIVA05G24280 transcript:ONIVA05G24280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVGNRGADDGMLQANGVDRVRMFDAEPWTAGALVDTRIQIVIAVPEDQIAYVSSDPRSGRLWVRAERLLLPQDQHRRQMLTELVHSKHTQNVKWINAELG >ONIVA05G24270.1 pep chromosome:AWHD00000000:5:23790913:23792434:1 gene:ONIVA05G24270 transcript:ONIVA05G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFVKFVVLSLAAVLLALDGGGGGGGGASAMGLPPPPPTVNFSIGVQGMVWCKTCRYPGYLAAMDASPLAGAVAYLRCRHGHRRVASIRGVAGSGGYFRIETSQLTSFTSQECRVYVPRSPSRACAVPGHGRRGLPLKFEEFVKRDNGLQGLYSVGNFVFSPKYPNKCY >ONIVA05G24260.1 pep chromosome:AWHD00000000:5:23785785:23787245:1 gene:ONIVA05G24260 transcript:ONIVA05G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHIAITRDWTNLGEGPAGLASDVTFRAVCRPWRLCCADPRAQGVLDRRFHPRRWITLRGMHPHLPVPPLPPERHHRPLQARTWISRSSAATTCSARPPRDSSSSSTPPPTSSAADLPPATNLLNRRTVEEQPYRLRSLRTVSGAGLADERTFAVQFGSTRTIAVVKPGDVDWTVVDRGAHFMPTMSFAGRFYCATIDAVSWWWRLVHRGWPLSPIWLGRFPR >ONIVA05G24250.1 pep chromosome:AWHD00000000:5:23781065:23782271:1 gene:ONIVA05G24250 transcript:ONIVA05G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKIHCAMAALLLAILLPVSHASGKYVAPAPAPAPVPPPPHTSPSPSPSPPSRIQPVVVVQGTIYCKSCKLSGYNRYMDASPLPNATAQLVCYGDKVLNMTSTATDKNGYFLVMVYRLDVFRRSRCRVYLGSSPSPLCAAPFIPSNKWLGLTLERERVASLPKGVRGVYRPKSTLMFGPGTGGKCPAAAAADAAGVPMM >ONIVA05G24240.1 pep chromosome:AWHD00000000:5:23777891:23778319:1 gene:ONIVA05G24240 transcript:ONIVA05G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADILWPEPAEDNSDDGVVVVTTTPSPVARRPVGSPESSSLSAPVEIAASRRKRRSRSWASEYNMFDQTNDDDDAVKKKMMNNGVMVAPPHAIVDRRRLRGRTAAYSMCAGKGRTLKGRDLRNVRNLVLQMTGFIEK >ONIVA05G24230.1 pep chromosome:AWHD00000000:5:23776031:23776480:1 gene:ONIVA05G24230 transcript:ONIVA05G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVEELDEFEVLWPDTDAADDDAPPPAISPAPPVQPYETRAPTPRVKHSRPVDVPCRGARLHRWNWRDGGASMEEDGHGSVVGKVVIVPPHLLLLFGVRRPEEEEEEEMAAAPCTLPSSLGTRPCKRARDLRHLRNSVLRMTGFIEG >ONIVA05G24220.1 pep chromosome:AWHD00000000:5:23766635:23768050:-1 gene:ONIVA05G24220 transcript:ONIVA05G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASVALLLHLLSMAAAATAALAMDPAERETLLLVMEAVSSDRDWRSVGPDPCGSPWPGLECKPVPAAGNVSSAAARLHVTRLDFGVAPNPTCKDGAAFPHLAFALPHLQSLFLVDCFKNPAATTAFTLPPSANLTSSRLQQLSVRSNPSLSGTLPPQLSSIRSLQVLTVSQNALIRGEVPQGIGELKSLVHLDLSYNSLTGTIPSRIGELRSLVGLDLSYNSFSGSIPGQLGDLAMLQKLDLSSNNLTGGVPATITGLTSLTFLALSNNGLSGHLPAGLSDLLDLQYLIMENNPMGVPLPSELGDIARLQELRLANSGYSGSIPETLGRLASLTTLSLENNNLTGRIPAGLSRLKRMYHLNLSKNGLDGVVPFDGAFLRRLGRNLDLSGNPGLCVDGRAVLQADVGVGVCRRAGDGGDIASVSAATDVLSVGTLFRRDGQLWLAGGRWSALLLIRPVAVALCCSCCLLL >ONIVA05G24210.1 pep chromosome:AWHD00000000:5:23757747:23762021:-1 gene:ONIVA05G24210 transcript:ONIVA05G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HH42] MRSDGSRRESRDPPPTRPTASERISARHEAAAGRWDPRGPPPPPPRPLPPPPPLSLSLSLSPSPPPPPLLSPVTGASLSLSLSPRTAAPRRAAPRRRRLLGRGRRARAPARRRPSPSSAARRRDGNPLGGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPLARDLIPRMLVVDPMKRITIREIREHQWFTVGLPRYLAVPPPDTAQQVKKLDDETLNDVINMGFDKNQLIESLHKRLQNEATVAYYLLLDNRLRTTSGYLGAEFHESMESSLAQVTPAETPNSATDHRQHGHMESPGFGLRHHFAADRKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWSPGFPSHESMMHNNHGFGAESAIIETDDSEKSTHTVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >ONIVA05G24210.2 pep chromosome:AWHD00000000:5:23755202:23761111:-1 gene:ONIVA05G24210 transcript:ONIVA05G24210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HH42] MEGAGRDGNPLGGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPLARDLIPRMLVVDPMKRITIREIREHQWFTVGLPRYLAVPPPDTAQQVKKLDDETLNDVINMGFDKNQLIESLHKRLQNEATVAYYLLLDNRLRTTSGYLGAEFHESMESSLAQVTPAETPNSATDHRQHGHMESPGFGLRHHFAADRKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWSPGFPSHESMMHNNHGFGAESAIIETDDSEKSTHTVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVLSCLMKLEKLLCLKYLGNRSREKSSGFHTTKLAPPGPHDTTWLVAASSTISYVLIKNGGGPPPPPPPPPPPRLELSMSTAPLNSQTSSCSARCKNGARS >ONIVA05G24210.3 pep chromosome:AWHD00000000:5:23757747:23761111:-1 gene:ONIVA05G24210 transcript:ONIVA05G24210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HH42] MEGAGRDGNPLGGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPLARDLIPRMLVVDPMKRITIREIREHQWFTVGLPRYLAVPPPDTAQQVKKLDDETLNDVINMGFDKNQLIESLHKRLQNEATVAYYLLLDNRLRTTSGYLGAEFHESMESSLAQVTPAETPNSATDHRQHGHMESPGFGLRHHFAADRKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWSPGFPSHESMMHNNHGFGAESAIIETDDSEKSTHTVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >ONIVA05G24210.4 pep chromosome:AWHD00000000:5:23761257:23762021:-1 gene:ONIVA05G24210 transcript:ONIVA05G24210.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HH42] MRSDGSRRESRDPPPTRPTASERISARHEAAAGRWDPRGPPPPPPRPLPPPPPLSLSLSLSPSPPPPPLLSPVTGASLSLSLSPRTAAPRRAAPRRRRLLGRGRRARAPARRRPSPSSAARRRSVS >ONIVA05G24200.1 pep chromosome:AWHD00000000:5:23754527:23757134:1 gene:ONIVA05G24200 transcript:ONIVA05G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNLGGGGGGGGGGGPPPFLIKTYEMVEDAATNHVVSWGPGGASFVVWNPLDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFIRGHTHLLKNIHRRKPVHSHSLQNQINGPLAESERRELEEEINRLKYEKSILVADLQRQNQQQYVINWQMQAMEGRLVAMEQRQKNIVASLCEMLQRRGGAVSSSLLESDHFSKKRRVPKMDLFVDDCAAGEEQKVFQFQGIGTDAPAMPPVLPVTNGEAFDRVELSLVSLEKLFQRANDACTAAEEMYSHGHGGTEPSTAICPEEMNTAPMETGIDLQLPASLHPSSPNTGNAHLHLSTELTESPGFVQSPELPMAEIREDIHVTRYPTQADVNSEIASSTDTSQDGTSETEASHGPTNDVFWERFLTETPRSCLDESERQESPKDDVKAELGCNGFHHREKVDQITEQMGHLASAEQTLHT >ONIVA05G24200.2 pep chromosome:AWHD00000000:5:23754661:23757134:1 gene:ONIVA05G24200 transcript:ONIVA05G24200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNLGGGGGGGGGGGPPPFLIKTYEMVEDAATNHVVSWGPGGASFVVWNPLDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFIRGHTHLLKNIHRRKPVHSHSLQNQINGPLAESERRELEEEINRLKYEKSILVADLQRQNQQQYVINWQMQAMEGRLVAMEQRQKNIVASLCEMLQRRGGAVSSSLLESDHFSKKRRVPKMDLFVDDCAAGEEQKVFQFQGIGTDAPAMPPVLPVTNGEAFDRVELSLVSLEKLFQRANDACTAAEEMYSHGHGGTEPSTAICPEEMNTAPMETGIDLQLPASLHPSSPNTGNAHLHLSTELTESPGFVQSPELPMAEIREDIHVTRYPTQADVNSEIASSTDTSQDGTSETEASHGPTNDVFWERFLTETPRSCLDESERQESPKDDVKAELGCNGFHHREKVDQITEQMGHLASAEQTLHT >ONIVA05G24190.1 pep chromosome:AWHD00000000:5:23744309:23747418:-1 gene:ONIVA05G24190 transcript:ONIVA05G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFDAWVGVQRHGQDLADRLAQGFSGLLLHAHPPQLHWSAPALPAKLIPFEIDLPVVPFVVGGRRAGGGRGAADLPAAAVASFVEIGGRLGQAGSELGACVGGAVQQLARQILVPFLAESARGRKWEAIPPQPAAAAAAAATVNEGEVALAVERAEDKGLASERVGDRGPLEVAAAAAAAATGSATATSVGGAEGGLDEEDDGFGCDIGTIGNFKKAKGTINISATYETRHHDFETSVVARGDLWRLESSRGGSNSGDENAPLFLVQLGPLLFVRDSTLLLPIHLSKQHLLWYGYDRKNKMHSLCPAIWSKHRRWMVMSMMCLNPVTCSFMDVQFPNGQLTYVAGEGITASGFFPFFGGLLQAHGKCPGETRLSFSFKSKQGTRFTPMFQWPDNSLSFGVAQALAWKRSGLMVRPSIQVSVCPTFGGSDPGIRAEFVHSLKEELNVMCGFSCARHPSAFTAVSLGRSKWNGQVGSSGLVITLETPLDNLARPSLSVQLNGGFSPAPKSQFNR >ONIVA05G24190.2 pep chromosome:AWHD00000000:5:23744489:23747418:-1 gene:ONIVA05G24190 transcript:ONIVA05G24190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFDAWVGVQRHGQDLADRLAQGFSGLLLHAHPPQLHWSAPALPAKLIPFEIDLPVVPFVVGGRRAGGGRGAADLPAAAVASFVEIGGRLGQAGSELGACVGGAVQQLARQILVPFLAESARGRKWEAIPPQPAAAAAAAATVNEGEVALAVERAEDKGLASERVGDRGPLEVAAAAAAAATGSATATSVGGAEGGLDEEDDGFGCDIGTIGNFKKAKGTINISATYETRHHDFETSVVARGDLWRLESSRGGSNSGDENAPLFLVQLGPLLFVRDSTLLLPIHLSKQHLLWYGYDRKNKMHSLCPAIWSKHRRWMVMSMMCLNPVTCSFMDVQFPNGQLTYVAGEGITASGFFPFFGGLLQAHGKCPGETRLSFSFKSKQGTRFTPMFQWPDNSLSFGVAQALAWKRSGLMVRPSIQVSVCPTFGGSDPGIRAEFVHSLKEELNVMCGFSCARHPSAFTAVSLGRSKWNGQVGSSGLVITLETPLDNLARPSLSVQLNGGFEL >ONIVA05G24180.1 pep chromosome:AWHD00000000:5:23741224:23746540:1 gene:ONIVA05G24180 transcript:ONIVA05G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYGVTRFAEACLAAFLVVLLLRCSRCEGRKLMLAAEERGGDEVMHFEGGLELRVAVWRRRRRRGRGDSRRAAAEPSEAYGQNLSMSMQKKIPSILNQ >ONIVA05G24170.1 pep chromosome:AWHD00000000:5:23741200:23741709:-1 gene:ONIVA05G24170 transcript:ONIVA05G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALRSAQTAYYFQPVHPQIVPDPSSFEKGPFYALIRSKVKRLIASRGIKKRQQSSPTMVSMSESPEPEEASAQHQESALVALTARLCRRSPRIPSASAAAAAPDGYPELQAALEVHHLITASLLRRQHKLPPFAPRAAEQEHDEERGEARLREPSDTVAAHGLDGRAA >ONIVA05G24160.1 pep chromosome:AWHD00000000:5:23738854:23739159:1 gene:ONIVA05G24160 transcript:ONIVA05G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYGVTRFVAACLAASLVVLLLRCSRCEGRKLMLAAEERGGDEVMHFEGGLELRVAVWRRRRGGGGGVAVLPPPRPRGFSASGGRAERLMRSVPSPGVGH >ONIVA05G24150.1 pep chromosome:AWHD00000000:5:23733005:23736902:-1 gene:ONIVA05G24150 transcript:ONIVA05G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMSTSGSESDSVAAKRSMKPKYSKFTQQELPAWKPLYTPGIVIGAFSLIGIIFIPIGLVSIAASQEVVELVDKYDGECVTANDKVGFIQDTKTDKACTRTITVPKPMKGPIQVYYQLENFYQNHRRYVKSRSDKQLRSKEFSSVIKTCDPEAISEGGAPIVPCGLIAWSLFNDTFTFSVNKKTVQVNKKNIAWSSDRTIKFGSDVFPENFQKGGLIGGGQLNEKLPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMASDEITVVIQNNYNTYSFGGTKALVLSTTSWIGGKNNFIGFAYVAIGTISFLIALAFVGLNMVKPRTLGDPSYLSWNKENPDYVQ >ONIVA05G24140.1 pep chromosome:AWHD00000000:5:23725636:23727903:-1 gene:ONIVA05G24140 transcript:ONIVA05G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAALLRFPGMPPIRTVDMPATLRGDESEVSKVRLYQFKRMTEAKGVLVNSFEWLQPKALKALAAGVCVPDKPTPRVYCIGPLVKAGKKAEIGGERHACLAWLDAQPRRSVGAFPAAQLKELAHGLESSGHRFLWTVRSPPEEQSTSPEPDLERLLPAGFLERTKGRGMVVKNWVPQAEVVQHEAVGAFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMKIAVSLDGYEEGGLVKAEEVETKVRLVMETEEGRKLREKLVETRDMALDAVNKGGSSEVAFDEFMRDLEESSLENGTFTKPI >ONIVA05G24130.1 pep chromosome:AWHD00000000:5:23724001:23725442:-1 gene:ONIVA05G24130 transcript:ONIVA05G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKTFVMYPSLGVGHLNPMVELAKHLRRRGLGVVVAVIDPPNNDAVSADAMARLAAANPSIMFRLLPAPASPDVGAHPIKRSHGTLKLANPVLREFLRSLPADRGMVVKNWVPQAEVVQHEAVGAFVTHCGWNSTLEAIMSVLPMICWPLYAEQAMNKVIMVEEMKIAVSLDGYEEGGLVKAEEVEAKVRLVMETEEGRKLREKLVETRDMALDAITEGGSSEMAFDMFMRDLEESSLENGVRS >ONIVA05G24120.1 pep chromosome:AWHD00000000:5:23721821:23723254:1 gene:ONIVA05G24120 transcript:ONIVA05G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HH31] MEPNSKQSQTVVLHTILGAGHLAPMVELAKLFLGRGFAVIIAVPTPPASTADVAASSAPAVARIAAANPSISFHNLPPPDYPEPDPDGFQQLLDVIRLTVPILLTFLRSLPPVAAVVLDLFCVDALDAAAAVGVPAYFYFTSSAGVLAAFLHLPHYFATTEGDLKDMGKALLHFPGVPPIPASDMPHNVLDRADVIGASLVYHYRRMPEARGMLINTYEWLEAKAVTALGDGACVPDRPTPPVYCIGPLIVKGEDAAKGERHACLAWLDAQPERSVVFVSFGSMGAVSAEQLKEIARGLENSGHRFLWVVRSPPPEDPAKFSLPRSEPDLGALLPEKFLERTRERGMVVTSWAPQVEVLRHAATAAFVTHCGWNSILEAVTAGVPMLCWPQYAEQRLNKVLVVDGMQLGVVMDGYDEELVKAEEVEKKVRLVMDSDEGKKLRGRLAMAKEMAAEALADGGPSCTAFSDFLDDLQRSK >ONIVA05G24110.1 pep chromosome:AWHD00000000:5:23721034:23721699:-1 gene:ONIVA05G24110 transcript:ONIVA05G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQVSNSHCALLYNGIPFSNGMILSRYHAISTTYRMILARYHVKPIRYQTILITYRVILTRYHAIPVIYQTISTTYRVILARYHVILVKYQTISTMYRVILVRYRMIPTKYQVIPTRYSWASRWGRSISTHVVGTLQPSLVDEDGGGGGGGNGGGGGTVATAAGDGDGNE >ONIVA05G24100.1 pep chromosome:AWHD00000000:5:23718591:23720033:1 gene:ONIVA05G24100 transcript:ONIVA05G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HH29] MEADPNPMVVLHACLGVGHLIPMVELAKLLLRRGLAVVIAVPTPPASTADFFSSSAPVVDRMAAANPSISFHHLPPPEYPDPDPDAFLQMLDTMRLTVPPLLSFLRSLPSVAALVLDLFCVDALYAATAAGVPAYFYYTSSAGDLAAFLHLPHHFATTEGSLKDMGKTPLRFPGVPPIPASDMPHTVLDRADRTCATRLGHYGRIPEARGILINSYEWLEARSVRALREGACIPDRPTPPVYCIGPLMAKGEEAANGERHACLSWLDAQPERSVVFLCFGSLGAVSVKQLKEIARGLENSGHRFLWVVRSPPQDPAKFFLPRPEPDLGMLLPEGFMERTRDMGMVVTSWAPQVEVLRHAATGAFVTHCGWNSVLEAASAGVPMLCWPQYAEQRMNKVLLVDGVQLGVVMDGYDEELVKAEEVEKKVRLVMEFEEGKKLRDRLTMAKEMAAKALADGGSSSLAFTEFLKDLNFGNTTKEKA >ONIVA05G24090.1 pep chromosome:AWHD00000000:5:23715934:23716695:-1 gene:ONIVA05G24090 transcript:ONIVA05G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAENKNEPARPFAVPSPSVHPAATGVGEEEEAQAATGWRSMQYLRKRRRALCCCGCCVTTLVVVGLVILVLALTVFRVKDPRITMNGVWVTAISTGPGTGTGIGSTVATNATLTADVSVKNPNAASLRFSRSETDVYYKGKTVSVAYVPAGSVGADKTVRMNITLDLLADRLASVLNGTGLILGQVYDLTTYTAMRARVSVLGIIKKSLDVRMNCSVILDVAGIAGVLLPGDGAKSGVQTRSVDCVAIVS >ONIVA05G24080.1 pep chromosome:AWHD00000000:5:23712741:23713361:1 gene:ONIVA05G24080 transcript:ONIVA05G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLDSFSSLGVGYAVAIALGFLVLLASLLLAFYFCSRRGGAGVVRRGGQGVHSARHAVSSASSSGHISITVPRVIFVADDSDSPGSSSRGGAGGGAASSPVGLDPAVIASYPKVPFSRAAAGADAEAACSICLCEYKEGEMQRMMPECRHRFHLMCLDAWLRRSASCPVCRSSPIPTPVSTPLATPLSELVPLSQYAADRRRHR >ONIVA05G24070.1 pep chromosome:AWHD00000000:5:23701502:23703279:-1 gene:ONIVA05G24070 transcript:ONIVA05G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HH26] MAEHKEEESLVESVMDKISDKLHGRGGSSSSSSDSDGERTADLKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKKISVGVLTGATAIWLLFEVMDYHLLTLLCHCIILTLAMLFLWSNASTFINKSPPNIPEVKIPEDLAVNVARSVRFEINRGFATLREIGLGHDLKKFLIVVAGLWVLSVLGSCCNFLTLFYIVFMVLYTVPVLYEKYEDQIDAFGEKAVIELKKYYAIFDEKCLSKIPKGPSKNKKH >ONIVA05G24070.2 pep chromosome:AWHD00000000:5:23701504:23703279:-1 gene:ONIVA05G24070 transcript:ONIVA05G24070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HH26] MAEHKEEESLVESVMDKISDKLHGRGGSSSSSSDSDGERTADLKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKKISVGVLTGATAIWLLFEVMDYHLLTLLCHCIILTLAMLFLWSNASTFINKSPPNIPEVKIPEDLAVNVARSVRFEINRGFATLREIGLGHDLKKFLIVVAGLWVLSVLGSCCNFLTLFYIVFMVLYTVPVLYEKYEDQIDAFGEKAVIELKKYYAIFDEKCLSKIPKGPSKNKKH >ONIVA05G24060.1 pep chromosome:AWHD00000000:5:23698449:23701965:1 gene:ONIVA05G24060 transcript:ONIVA05G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRQPRRRETGAAERYREMGISAALSRPWDYPTACGEIAALLRIGYGDLPKAAQALVTGDVLLAFRLLPDVQTGYALGAANGLLQAAEGSLPKQKKAQAVSEFKRSVVAHKRRARVQQDPGVPHIPYDVLVHIFSFLDMRSLVAAGLVCWPWNSAANDNHLWEMNYSLFFGICHINCNSTPTAGNVQNTDYHVQNSIYQVSPDPGFNWKEAFHKKYAEQETWSSASNRSLCGYCRSVIWLCDLTCATPHYCLNNGKDGVKLGPLLPHTVADYILDIADLAASLTESDDTDSDSENYPQARFWSLS >ONIVA05G24060.2 pep chromosome:AWHD00000000:5:23698449:23702642:1 gene:ONIVA05G24060 transcript:ONIVA05G24060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRQPRRRETGAAERYREMGISAALSRPWDYPTACGEIAALLRIGYGDLPKAAQALVTGDVLLAFRLLPDVQTGYALGAANGLLQAAEGSLPKQKKAQAVSEFKRSVVAHKRRARVQQDPGVPHIPYDVLVHIFSFLDMRSLVAAGLVCWPWNSAANDNHLWEMNYSLFFGICHINCNSTPTAGNVQNTDYHVQNSIYQVSPDPGFNWKEAFHKKYAEQETWSSASNRSLCGYCRSVIWLCDLTCATPHYCLNNGKDGVKLGPLLPHTVADYILDIADLAASLTESDDTDSDSENYPQARFWSLSYFLSVLEVTGSSLVDESGGIGPEEEHGQGEDDTVAQQGEEMIIHDFKQQPDGCGASEYPNGDFLVPP >ONIVA05G24060.3 pep chromosome:AWHD00000000:5:23698449:23702659:1 gene:ONIVA05G24060 transcript:ONIVA05G24060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRQPRRRETGAAERYREMGISAALSRPWDYPTACGEIAALLRIGYGDLPKAAQALVTGDVLLAFRLLPDVQTGYALGAANGLLQAAEGSLPKQKKAQAVSEFKRSVVAHKRRARVQQDPGVPHIPYDVLVHIFSFLDMRSLVAAGLVCWPWNSAANDNHLWEMNYSLFFGICHINCNSTPTAGNVQNTDYHVQNSIYQVSPDPGFNWKEAFHKKYAEQETWSSASNRSLCGYCRSVIWLCDLTCATPHYCLNNGKDGVKLGPLLPHTVADYILDIADLAASLTESDDTDSDSENYPQARFWSLS >ONIVA05G24050.1 pep chromosome:AWHD00000000:5:23695144:23698388:1 gene:ONIVA05G24050 transcript:ONIVA05G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPVAHRFSLASAFLPRHRRPSPSAPNRRRRHGTVVAYMEPNPNSPSSIAGRLIGALPVVGLVARILSDEGGVGGDMIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKAGDPFYVLLCCWLAAVGGGLLKTEEILEGVARLRLSNDIEFEEETFLDMMKTAKEKRAKLKAPAPQIPMEARAEKALEAIYVCCFGQDMMEDEDVKLLCKMLNAIFPSVGRQAVEKIVTSMAKQVAAGERKGPGVKTVSKEAAQRQLKDLEFLKQNKLDSV >ONIVA05G24050.2 pep chromosome:AWHD00000000:5:23696447:23698388:1 gene:ONIVA05G24050 transcript:ONIVA05G24050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPVAHRFSLASAFLPRHRRPSPSAPNRRRRHGTVVAYMEPNPNSPSSIAGRLIGALPVVGLVARILSDEGGVGGDMIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKAGDPFYVLLCCWLAAVGGGLLKTEEILEGVARLRLSNDIEFEEETFLDMMKTAKEKRAKLKAPAPQIPMEARAEKALEAIYVCCFGQDMMEDEDVKLLCKMLNAIFPSVGRQAVEKIVTSMAKQVAAGERKGPGVKTVSKEAAQRQLKDLEFLKQNKLDSV >ONIVA05G24030.1 pep chromosome:AWHD00000000:5:23648415:23648774:-1 gene:ONIVA05G24030 transcript:ONIVA05G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFDATNGFGFITPDNGGEDLFVHRSSLKFDNYQSLNDGDVIELSIGSSDDGRTKAVDITALGGGVHTGGSRPSCGQIPTAASRRLSTSPRCLLALDVLLFPAPRQSPPLVISSSSPG >ONIVA05G24020.1 pep chromosome:AWHD00000000:5:23634511:23688197:1 gene:ONIVA05G24020 transcript:ONIVA05G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPGTMAARSWLLLLCFVAVATAGVLQARAQPDSNGFISVDCGLPGKTGFVDDKTKISYAADDGFTDGGACHNISAEYITPGLAKRYHTLRSFPDGKRNCYTLRSLVAGLKYLFRATFFYGNYDGLNKLPVFDLYVGVNYWTMVNITGPGDAVIVEAIVVVPDDFVQVCLVNTGAGTPFISGLDLRPLKNTLYPQSNATQGLVLLGRLNFGPTDYTDVIRYPDDPHDRIWFPWVDSTKWSQISSTKKVQDLDNDMYETPTAVMQTAITPRNASRNIEFSWDPVPLPNDPAPGYIAIFHFSELQLLPGNAVREFYINLNGKPWSLTAFKPEYLYNDATFNRNPFLRYPQYNISINATANSTLPPLINAVEVFSVIPTATIGTDPEDVAAITAIKEKYQVVKNWMGDPCVPKMLAWDKLTCSYAISNPARIIGLDLTGNQLSGSIPSGLLKRIQDGSLNLRYGNNPNLCTNGDSCQPAKKKSKLAIYIVIPIVIVLVVVIISVAVLLCCLLRRKKQAMSNSVKPQNETVSNVSSNGGYGHSSSLRLENRRFTYNELEKITNNFQRVLGHGGFGYVYDGFLEDGTQVAVKLRSESSNQGAKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIAGKNNNRIYLTWRERLRIALESAQEYLHKACNPPLIHRDVKATNILLNTRLEAKIADFGLSKTFNHVNDTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELITGKPSILREPGPISIIQWARQRLARGNIEGVVDAHMHGDHDVNGVWKAADIALKCTAQTSTQRPTMTDVVAQLQECLELEDRRCGMEDTYNNFYAGNNNDPNSSYNMYNTDQSTEVSQNNTMEHNFERVPTMATGPRRSSPATMAARSSLFLLCLATATAGVLQARAQPDSKGFISVDCGLPGKTSYIDDKTKISYASDDGFTDGGKHYNVSPEYIKPAVTARYYNVRSFPDGARNCYTLRSLVAGLKYLIRATFMYGNYDGLNKLPVSFDLHIGVNFWTVVNITDPIQPVNREAIVVVPDDSVQVCLVNTGAGTPFISGLDLRPLMNKLYPQVNATQGLLQLARLNFGPSDETSIRYPDDPHDRVWFPWFDAAKWNEISTTNRVQNIDNDLFEAPTAVMQTAVTPINASNNIDFFWNSQPQPNDPAPGYIAIFHFSELENLPNNASRQFYININGILFDDGFTPSFLYAEASYSSKPFVRHPQYNITINATANSTMPPLINAVEVYSVISTANIGTDSQDVSAIMTIKAKYQVKKNWMGDPCLPRNLAWDNLTCSYAISNPARITSLNLSKIGLSGEISSSFGNLKALQYLDLSNNNLTGSIPNALSQLSSLTILDLTGNQLNGTIPPGLLKRIQDGFLNLRYGNNPNICTNGNSCQPPKNKSKLAIYIVVPIVLVLAIVSVMTLLYCLLRRKKQGSMNNSVKPQNETMRYGPTNNGSRHNSSLRLENRRFTYNELEKITNKFQRVLGQGGFGKVYDGFLEDGTEVAVKVRTESSNQGDKEFLVEAQILTRIHHKNLVSMIGYCKDEKYMALVYEYMSEGTLQEHIAGKGNDGRYLTWKERLRIALESAQGLEYLHKGCNPPLIHRDVKGTNILLNTMLEAKIADFGLSKVFNPENGTHVSTNKLVGTPGYVDPEEILIVRLIGTDQMRRYQSTMQPTTKSDVYSFGVVLLELVTGKPAILRDPEPISIIHWAQQRLARGNIEGVVDASMHGDYDVNGLWKVADIALKCTALSSAHRPTMTDVVAQLQECLELEDKHQRSTPGAMAARSWLILLCLALAATAGILQARAQLDSKGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYFAPALSARYYNVRSFPDGARNCYTLRSLVAGHKYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNITDPAGSTLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKKKLYPQATETQGLSLFGRWNFGPTSNTEIIRYPDDPRDRVWTPWDSPSNWTEISTTRPVQQTYDDLFEVPTAVMQTAIVPMFATDNIELAWVAYTQPKDPSPGYIAIMHFSELELSPPSRDVREFYINLNGNMMYSKGYKPVYLYAHAIYNTNPFLRYPQYNISINATYNSTMRPFINAMEVYSVFSTTTIGTYGQDASAMMVIKEKYQVKKNWMGDPCIPTEFTWESLTCSYENSKHVIKINLSSSGLSGEISSSFGDLKALQYLDLSNNNLTGSIPDALSQLPSLTVLDLTGNQLNGSIPSGLLKRIQDGTLNIKYGNNPNLCTNDNSCQAAKHKSKLAIYIVAPVVLVLVIVSVTILLFCLLGQKKKQGSMNTSIKPQNEANYVPTNDSDGHGSSMQLENRRFTYKDLEKITNNFQRVLGRGGFGKVYDGFLEEGTQVAVKLRSESSNQGDKEFLVEAQILTRIHHKNLVSMIGYCKDGKYMALVYEYINNGRHLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNARLEAKIADFGLSKSFNLENGTHVSTNTLVGTPGYVDPEYQATMQPSTKSDVYSFGVVLLELVTGKSAVLRDPEPISIIHWAQQRLAQGNIEEVVDACMCGDHDVNGVWKVADIAFKCTAQVSARRPTMTDVVAQLQECLELEEEHCAVNDANNNFYTSNNSNPNSSYDTYAADHSIDVSQNSVAFEMEKNFGRMPSTAPGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYITPALSARYHNVRSFPDGARNCYTLRSLYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNISDPSGATLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLEKKLYPQANDKRGLSLFGRWNFGPISTTEFIRYPDDPHDRIWMPWVSPSYWVEVSTTRPVQHTDEDVFDAPTKVMQTAIAPLNASSNIEFAWVPYTQPKDPAPGYITVMHFSELQLRSSNATRQFYINLNGNMVFSQGYTPAYLYADAIFNSNPFLRYPQYNISINATANSTLPPIINAIEVFSVFSTATVGTDGQDASAMMVIKEKYQVKKNWMGDPCVPKTLAWDKDLSNNNLTGSIPDALSQLPSLAVLDLTGNQLNGSIPSGLLKRIQDGTLNIKYGNNPNLCTNDNSCQPAKHKSKLAIYVAVPVVLVLVIVSVTILLFCLLGRKKKQGSMNTSVKPQNETTSYVPTNGSHGHGSSMQLENRRFTYNDLEKITNNFQRVLGEGGFGKVYDGFLEDGTQVAVKLRSESSNQGDKEFLAEAQILTRIHHKSLVSMIGYCKDGKYMALVYEYMSEGTLREHISGKRNNGRYLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNAKLEAKIADFGLSKTFNLENGTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKPAVLRDPEPISIIHWAQQRLAQGNIEGVVDARMHGDHDVNGVWKATDIALKCTTQVSAQRPTMTDVVAQLQECLELEEGRCAISNANNNFYTDNNSNSNSSYDMYATDHSIDVSHNSAAFETERNFGRMPSTATGFISIDCGLPEKTSYVDDATKLKFTSDDAFTDAGTIHNVSSEFATPTTTTDRSLYNVRSFPAGARNCYTVPSVVPGSKYLVRAKFLYGNYDGLNKPPVFDLHLGVNFWQTVTVPSADWLGNAEVIAVVPDDFLQVCLVNTGAGTPFISGLDLRPLPSSLYAPANATQGLVLLDRRNFGASGSTVIRYPDDTYDRVWWPWSNPPAEWSDISTADKVQNTIAPVFDVPSVVMQTAITTRNSSIPIQFSWDTKPNHVYPDPGSIFTLYVTELELLAGNAVRQFNVTINGVIWTKAPYKPVYLSTDAMYNGDRPYRGITRYNFSLNAAGSSTLPPILNAAEAFSVISTADLATDAQDVSAITAIKAKYQVNKNWTGDPCAPKTLAWDGLTCSYAISTPPRITGVNMSYAGLSGDISSYFANLKEIKNLDLSHNNLTGSIPNVISQLQFLAVLDLTGNQLNGSIPSSLLKRSQDGSLTLRYGNNPNLCSNSSSCQLPQKKSNSMLAVYVAVPVVVIGAVAVFLIFFIRKKKNKSKGAVKPQILGNGVQSHSQNGSGGSLLELHNRQFTYKDLAVITNNFQRVLGKGGFGPVYDGFLKDGTHVAVKLRDESSSQGYSEFLTEAQTLTKIHHKNLVALIGYCKDEIHLALVYEHMSEGTLEDKLRGLEYLHKACSPRFVHRDVKSSNILLNANLEAKVADFGLTTAFKCDGDTHVSTVRVVGTYGYLAPEYATALQVSEKIDVYSFGVVLLEVITGQPPIIKLPEPTTIIQWTRQRLARGNIEGVVDVNMPDDRYDINCIWKVADVALKCTAHAPGQRPTMTDVVTQLKECLELEETSFKGDTSSSYMSGSSIDPNSTRAMAARSWLFILCLAVADAGVLQTSAQPDLKGFISIDCGLEGKTGYLDDKTNLSYVPDDGFTDAGTNHNISVEFMTPLISRRNYNLRSFPDGERNCYTLRSLTAGLKYLIRAAFVYGNYDGLKKPPVFDLYIGVNFLTMVNITGLDGAALEEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKSTLYPQVTETQGLSLFGRWNFGPTMNQRTDSIVTRYPDDPHDREWVPWINPFDWTVISTTTMVQNIENDIFEAPSRVMKTAITPRNASGNIEFAWDAYTQPKDPTPGYIANFYFTEVQLLPSNALRQFYINLNGRLVYNESYTPLYLYADLIYEKKPFLRYPEYNISINATSNSTLPPIINAIEVFSVMPTINVATDSEDASAMMAIKVKYQVKKNWMGDPCVPKTLAWDSLTCSYSTSIRPRITSLNLSSSDLRGDISSSFANLKGVQYLNLSNNNLTGSIPDALSQLPLLSVLKPAQWINSIWTSQKNSRWLPRSKLENRRFTYRELEMMTDNFQLELGRGGFGCVYDGFLEDHTRVAVKLMFKNSKQGDKEFLGEAQILTRIHHKNLVSMIGYCKDGDNMALVYEYMSEGTLQEHIAEENSRRRFLPWRRRLQIALESAQGKYLMTMQPTTKSDVYSFGVVLLELVTGKPALLRDLDNTSIIQWVQQHLARGNIEDVVDARMHGDHDINSVWKVVDIALKCTMQESIHRPTMTGVVAMLQECIELENRHLKDYAANSENHNSSYNTYGVDQSTNVIQSNDAFEVGHNIARVPTMATGPVAR >ONIVA05G24020.2 pep chromosome:AWHD00000000:5:23634511:23688197:1 gene:ONIVA05G24020 transcript:ONIVA05G24020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPGTMAARSWLLLLCFVAVATAGVLQARAQPDSNGFISVDCGLPGKTGFVDDKTKISYAADDGFTDGGACHNISAEYITPGLAKRYHTLRSFPDGKRNCYTLRSLVAGLKYLFRATFFYGNYDGLNKLPVFDLYVGVNYWTMVNITGPGDAVIVEAIVVVPDDFVQVCLVNTGAGTPFISGLDLRPLKNTLYPQSNATQGLVLLGRLNFGPTDYTDVIRYPDDPHDRIWFPWVDSTKWSQISSTKKVQDLDNDMYETPTAVMQTAITPRNASRNIEFSWDPVPLPNDPAPGYIAIFHFSELQLLPGNAVREFYINLNGKPWSLTAFKPEYLYNDATFNRNPFLRYPQYNISINATANSTLPPLINAVEVFSVIPTATIGTDPEDVAAITAIKEKYQVVKNWMGDPCVPKMLAWDKLTCSYAISNPARIIGLNLSSSGLSGEVSSYFGNLKAIQNLDLTGNQLSGSIPSGLLKRIQDGSLNLRYGNNPNLCTNGDSCQPAKKKSKLAIYIVIPIVIVLVVVIISVAVLLCCLLRRKKQAMSNSVKPQNETVSNVSSNGGYGHSSSLRLENRRFTYNELEKITNNFQRVLGHGGFGYVYDGFLEDGTQVAVKLRSESSNQGAKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIAGKNNNRIYLTWRERLRIALESAQEYLHKACNPPLIHRDVKATNILLNTRLEAKIADFGLSKTFNHVNDTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELITGKPSILREPGPISIIQWARQRLARGNIEGVVDAHMHGDHDVNGVWKAADIALKCTAQTSTQRPTMTDVVAQLQECLELEDRRCGMEDTYNNFYAGNNNDPNSSYNMYNTDQSTEVSQNNTMEHNFERVPTMATGPRRSSPATMAARSSLFLLCLATATAGVLQARAQPDSKGFISVDCGLPGKTSYIDDKTKISYASDDGFTDGGKHYNVSPEYIKPAVTARYYNVRSFPDGARNCYTLRSLVAGLKYLIRATFMYGNYDGLNKLPVSFDLHIGVNFWTVVNITDPIQPVNREAIVVVPDDSVQVCLVNTGAGTPFISGLDLRPLMNKLYPQVNATQGLLQLARLNFGPSDETSIRYPDDPHDRVWFPWFDAAKWNEISTTNRVQNIDNDLFEAPTAVMQTAVTPINASNNIDFFWNSQPQPNDPAPGYIAIFHFSELENLPNNASRQFYININGILFDDGFTPSFLYAEASYSSKPFVRHPQYNITINATANSTMPPLINAVEVYSVISTANIGTDSQDVSAIMTIKAKYQVKKNWMGDPCLPRNLAWDNLTCSYAISNPARITSLNLSKIGLSGEISSSFGNLKALQYLDLSNNNLTGSIPNALSQLSSLTILDLTGNQLNGTIPPGLLKRIQDGFLNLRYGNNPNICTNGNSCQPPKNKSKLAIYIVVPIVLVLAIVSVMTLLYCLLRRKKQGSMNNSVKPQNETMRYGPTNNGSRHNSSLRLENRRFTYNELEKITNKFQRVLGQGGFGKVYDGFLEDGTEVAVKVRTESSNQGDKEFLVEAQILTRIHHKNLVSMIGYCKDEKYMALVYEYMSEGTLQEHIAGKGNDGRYLTWKERLRIALESAQGLEYLHKGCNPPLIHRDVKGTNILLNTMLEAKIADFGLSKVFNPENGTHVSTNKLVGTPGYVDPEEILIVRLIGTDQMRRYQSTMQPTTKSDVYSFGVVLLELVTGKPAILRDPEPISIIHWAQQRLARGNIEGVVDASMHGDYDVNGLWKVADIALKCTALSSAHRPTMTDVVAQLQECLELEDKHQRSTPGAMAARSWLILLCLALAATAGILQARAQLDSKGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYFAPALSARYYNVRSFPDGARNCYTLRSLVAGHKYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNITDPAGSTLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKKKLYPQATETQGLSLFGRWNFGPTSNTEIIRYPDDPRDRVWTPWDSPSNWTEISTTRPVQQTYDDLFEVPTAVMQTAIVPMFATDNIELAWVAYTQPKDPSPGYIAIMHFSELELSPPSRDVREFYINLNGNMMYSKGYKPVYLYAHAIYNTNPFLRYPQYNISINATYNSTMRPFINAMEVYSVFSTTTIGTYGQDASAMMVIKEKYQVKKNWMGDPCIPTEFTWESLTCSYENSKHVIKINLSSSGLSGEISSSFGDLKALQYLDLSNNNLTGSIPDALSQLPSLTVLYGNNPNLCTNDNSCQAAKHKSKLAIYIVAPVVLVLVIVSVTILLFCLLGQKKKQGSMNTSIKPQNEANYVPTNDSDGHGSSMQLENRRFTYKDLEKITNNFQRVLGRGGFGKVYDGFLEEGTQVAVKLRSESSNQGDKEFLVEAQILTRIHHKNLVSMIGYCKDGKYMALVYEYINNGRHLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNARLEAKIADFGLSKSFNLENGTHVSTNTLVGTPGYVDPEYQATMQPSTKSDVYSFGVVLLELVTGKSAVLRDPEPISIIHWAQQRLAQGNIEEVVDACMCGDHDVNGVWKVADIAFKCTAQVSARRPTMTDVVAQLQECLELEEEHCAVNDANNNFYTSNNSNPNSSYDTYAADHSIDVSQNSVAFEMEKNFGRMPSTAPGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYITPALSARYHNVRSFPDGARNCYTLRSLYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNISDPSGATLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLEKKLYPQANDKRGLSLFGRWNFGPISTTEFIRYPDDPHDRIWMPWVSPSYWVEVSTTRPVQHTDEDVFDAPTKVMQTAIAPLNASSNIEFAWVPYTQPKDPAPGYITVMHFSELQLRSSNATRQFYINLNGNMVFSQGYTPAYLYADAIFNSNPFLRYPQYNISINATANSTLPPIINAIEVFSVFSTATVGTDGQDASAMMVIKEKYQVKKNWMGDPCVPKTLAWDKDLSNNNLTGSIPDALSQLPSLAVLDLTGNQLNGSIPSGLLKRIQDGTLNIKYGNNPNLCTNDNSCQPAKHKSKLAIYVAVPVVLVLVIVSVTILLFCLLGRKKKQGSMNTSVKPQNETTSYVPTNGSHGHGSSMQLENRRFTYNDLEKITNNFQRVLGEGGFGKVYDGFLEDGTQVAVKLRSESSNQGDKEFLAEAQILTRIHHKSLVSMIGYCKDGKYMALVYEYMSEGTLREHISGKRNNGRYLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNAKLEAKIADFGLSKTFNLENGTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKPAVLRDPEPISIIHWAQQRLAQGNIEGVVDARMHGDHDVNGVWKATDIALKCTTQVSAQRPTMTDVVAQLQECLELEEGRCAISNANNNFYTDNNSNSNSSYDMYATDHSIDVSHNSAAFETERNFGRMPSTATGFISIDCGLPEKTSYVDDATKLKFTSDDAFTDAGTIHNVSSEFATPTTTTDRSLYNVRSFPAGARNCYTVPSVVPGSKYLVRAKFLYGNYDGLNKPPVFDLHLGVNFWQTVTVPSADWLGNAEVIAVVPDDFLQVCLVNTGAGTPFISGLDLRPLPSSLYAPANATQGLVLLDRRNFGASGSTVIRYPDDTYDRVWWPWSNPPAEWSDISTADKVQNTIAPVFDVPSVVMQTAITTRNSSIPIQFSWDTKPNHVYPDPGSIFTLYVTELELLAGNAVRQFNVTINGVIWTKAPYKPVYLSTDAMYNGDRPYRGITRYNFSLNAAGSSTLPPILNAAEAFSVISTADLATDAQDVSAITAIKAKYQVNKNWTGDPCAPKTLAWDGLTCSYAISTPPRITGVNMSYAGLSGDISSYFANLKEIKNLDLSHNNLTGSIPNVISQLQFLAVLDLTGNQLNGSIPSSLLKRSQDGSLTLRYGNNPNLCSNSSSCQLPQKKSNSMLAVYVAVPVVVIGAVAVFLIFFIRKKKNKSKGAVKPQILGNGVQSHSQNGSGGSLLELHNRQFTYKDLAVITNNFQRVLGKGGFGPVYDGFLKDGTHVAVKLRDESSSQGYSEFLTEAQTLTKIHHKNLVALIGYCKDEIHLALVYEHMSEGTLEDKLRGLEYLHKACSPRFVHRDVKSSNILLNANLEAKVADFGLTTAFKCDGDTHVSTVRVVGTYGYLAPEYATALQVSEKIDVYSFGVVLLEVITGQPPIIKLPEPTTIIQWTRQRLARGNIEGVVDVNMPDDRYDINCIWKVADVALKCTAHAPGQRPTMTDVVTQLKECLELEETSFKGDTSSSYMSGSSIDPNSTRAMAARSWLFILCLAVADAGVLQTSAQPDLKGFISIDCGLEGKTGYLDDKTNLSYVPDDGFTDAGTNHNISVEFMTPLISRRNYNLRSFPDGERNCYTLRSLTAGLKYLIRAAFVYGNYDGLKKPPVFDLYIGVNFLTMVNITGLDGAALEEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKSTLYPQVTETQGLSLFGRWNFGPTMNQRTDSIVTRYPDDPHDREWVPWINPFDWTVISTTTMVQNIENDIFEAPSRVMKTAITPRNASGNIEFAWDAYTQPKDPTPGYIANFYFTEVQLLPSNALRQFYINLNGRLVYNESYTPLYLYADLIYEKKPFLRYPEYNISINATSNSTLPPIINAIEVFSVMPTINVATDSEDASAMMAIKVKYQVKKNWMGDPCVPKTLAWDSLTCSYSTSIRPRITSLNLSSSDLRGDISSSFANLKGEFVKQQFDRLNSRCPFTITSIVSLRFGRKPAQWINSIWTSQKNSRWLPRSKLENRRFTYRELEMMTDNFQLELGRGGFGCVYDGFLEDHTRVAVKLMFKNSKQGDKEFLGEAQILTRIHHKNLVSMIGYCKDGDNMALVYEYMSEGTLQEHIAEENSRRRFLPWRRRLQIALESAQGKYLMTMQPTTKSDVYSFGVVLLELVTGKPALLRDLDNTSIIQWVQQHLARGNIEDVVDARMHGDHDINSVWKVVDIALKCTMQESIHRPTMTGVVAMLQECIELENRHLKDYAANSENHNSSYNTYGVDQSTNVIQSNDAFEVGHNIARVPTMATGPVAR >ONIVA05G24020.3 pep chromosome:AWHD00000000:5:23634511:23688197:1 gene:ONIVA05G24020 transcript:ONIVA05G24020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPGTMAARSWLLLLCFVAVATAGVLQARAQPDSNGFISVDCGLPGKTGFVDDKTKISYAADDGFTDGGACHNISAEYITPGLAKRYHTLRSFPDGKRNCYTLRSLVAGLKYLFRATFFYGNYDGLNKLPVFDLYVGVNYWTMVNITGPGDAVIVEAIVVVPDDFVQVCLVNTGAGTPFISGLDLRPLKNTLYPQSNATQGLVLLGRLNFGPTDYTDVIRYPDDPHDRIWFPWVDSTKWSQISSTKKVQDLDNDMYETPTAVMQTAITPRNASRNIEFSWDPVPLPNDPAPGYIAIFHFSELQLLPGNAVREFYINLNGKPWSLTAFKPEYLYNDATFNRNPFLRYPQYNISINATANSTLPPLINAVEVFSVIPTATIGTDPEDVAAITAIKEKYQVVKNWMGDPCVPKMLAWDKLTCSYAISNPARIIGLDLTGNQLSGSIPSGLLKRIQDGSLNLRYGNNPNLCTNGDSCQPAKKKSKLAIYIVIPIVIVLVVVIISVAVLLCCLLRRKKQAMSNSVKPQNETVSNVSSNGGYGHSSSLRLENRRFTYNELEKITNNFQRVLGHGGFGYVYDGFLEDGTQVAVKLRSESSNQGAKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIAGKNNNRIYLTWRERLRIALESAQEYLHKACNPPLIHRDVKATNILLNTRLEAKIADFGLSKTFNHVNDTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELITGKPSILREPGPISIIQWARQRLARGNIEGVVDAHMHGDHDVNGVWKAADIALKCTAQTSTQRPTMTDVVAQLQECLELEDRRCGMEDTYNNFYAGNNNDPNSSYNMYNTDQSTEVSQNNTMEHNFERVPTMATGPRRSSPATMAARSSLFLLCLATATAGVLQARAQPDSKGFISVDCGLPGKTSYIDDKTKISYASDDGFTDGGKHYNVSPEYIKPAVTARYYNVRSFPDGARNCYTLRSLVAGLKYLIRATFMYGNYDGLNKLPVSFDLHIGVNFWTVVNITDPIQPVNREAIVVVPDDSVQVCLVNTGAGTPFISGLDLRPLMNKLYPQVNATQGLLQLARLNFGPSDETSIRYPDDPHDRVWFPWFDAAKWNEISTTNRVQNIDNDLFEAPTAVMQTAVTPINASNNIDFFWNSQPQPNDPAPGYIAIFHFSELENLPNNASRQFYININGILFDDGFTPSFLYAEASYSSKPFVRHPQYNITINATANSTMPPLINAVEVYSVISTANIGTDSQDVSAIMTIKAKYQVKKNWMGDPCLPRNLAWDNLTCSYAISNPARITSLNLSKIGLSGEISSSFGNLKALQYLDLSNNNLTGSIPNALSQLSSLTILDLTGNQLNGTIPPGLLKRIQDGFLNLRYGNNPNICTNGNSCQPPKNKSKLAIYIVVPIVLVLAIVSVMTLLYCLLRRKKQGSMNNSVKPQNETMRYGPTNNGSRHNSSLRLENRRFTYNELEKITNKFQRVLGQGGFGKVYDGFLEDGTEVAVKVRTESSNQGDKEFLVEAQILTRIHHKNLVSMIGYCKDEKYMALVYEYMSEGTLQEHIAGKGNDGRYLTWKERLRIALESAQGLEYLHKGCNPPLIHRDVKGTNILLNTMLEAKIADFGLSKVFNPENGTHVSTNKLVGTPGYVDPEEILIVRLIGTDQMRRYQSTMQPTTKSDVYSFGVVLLELVTGKPAILRDPEPISIIHWAQQRLARGNIEGVVDASMHGDYDVNGLWKVADIALKCTALSSAHRPTMTDVVAQLQECLELEDKHQLTACMCNGAFNAGSNGGKLSLANVCRCRRLAVGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYFAPALSARYYNVRSFPDGARNCYTLRSLVAGHKYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNITDPAGSTLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKKKLYPQATETQGLSLFGRWNFGPTSNTEIIRYPDDPRDRVWTPWDSPSNWTEISTTRPVQQTYDDLFEVPTAVMQTAIVPMFATDNIELAWVAYTQPKDPSPGYIAIMHFSELELSPPSRDVREFYINLNGNMMYSKGYKPVYLYAHAIYNTNPFLRYPQYNISINATYNSTMRPFINAMEVYSVFSTTTIGTYGQDASAMMVIKEKYQVKKNWMGDPCIPTEFTWESLTCSYENSKHVIKINLSSSGLSGEISSSFGDLKALQYLDLSNNNLTGSIPDALSQLPSLTVLDLTGNQLNGSIPSGLLKRIQDGTLNIKYGNNPNLCTNDNSCQAAKHKSKLAIYIVAPVVLVLVIVSVTILLFCLLGQKKKQGSMNTSIKPQNEANYVPTNDSDGHGSSMQLENRRFTYKDLEKITNNFQRVLGRGGFGKVYDGFLEEGTQVAVKLRSESSNQGDKEFLVEAQILTRIHHKNLVSMIGYCKDGKYMALVYEYINNGRHLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNARLEAKIADFGLSKSFNLENGTHVSTNTLVGTPGYVDPEYQATMQPSTKSDVYSFGVVLLELVTGKSAVLRDPEPISIIHWAQQRLAQGNIEEVVDACMCGDHDVNGVWKVADIAFKCTAQVSARRPTMTDVVAQLQECLELEEEHCAVNDANNNFYTSNNSNPNSSYDTYAADHSIDVSQNSVAFEMEKNFGRMPSTAPGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYITPALSARYHNVRSFPDGARNCYTLRSLYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNISDPSGATLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLEKKLYPQANDKRGLSLFGRWNFGPISTTEFIRYPDDPHDRIWMPWVSPSYWVEVSTTRPVQHTDEDVFDAPTKVMQTAIAPLNASSNIEFAWVPYTQPKDPAPGYITVMHFSELQLRSSNATRQFYINLNGNMVFSQGYTPAYLYADAIFNSNPFLRYPQYNISINATANSTLPPIINAIEVFSVFSTATVGTDGQDASAMMVIKEKYQVKKNWMGDPCVPKTLAWDKDLSNNNLTGSIPDALSQLPSLAVLDLTGNQLNGSIPSGLLKRIQDGTLNIKYGNNPNLCTNDNSCQPAKHKSKLAIYVAVPVVLVLVIVSVTILLFCLLGRKKKQGSMNTSVKPQNETTSYVPTNGSHGHGSSMQLENRRFTYNDLEKITNNFQRVLGEGGFGKVYDGFLEDGTQVAVKLRSESSNQGDKEFLAEAQILTRIHHKSLVSMIGYCKDGKYMALVYEYMSEGTLREHISGKRNNGRYLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNAKLEAKIADFGLSKTFNLENGTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKPAVLRDPEPISIIHWAQQRLAQGNIEGVVDARMHGDHDVNGVWKATDIALKCTTQVSAQRPTMTDVVAQLQECLELEEGRCAISNANNNFYTDNNSNSNSSYDMYATDHSIDVSHNSAAFETERNFGRMPSTATGFISIDCGLPEKTSYVDDATKLKFTSDDAFTDAGTIHNVSSEFATPTTTTDRSLYNVRSFPAGARNCYTVPSVVPGSKYLVRAKFLYGNYDGLNKPPVFDLHLGVNFWQTVTVPSADWLGNAEVIAVVPDDFLQVCLVNTGAGTPFISGLDLRPLPSSLYAPANATQGLVLLDRRNFGASGSTVIRYPDDTYDRVWWPWSNPPAEWSDISTADKVQNTIAPVFDVPSVVMQTAITTRNSSIPIQFSWDTKPNHVYPDPGSIFTLYVTELELLAGNAVRQFNVTINGVIWTKAPYKPVYLSTDAMYNGDRPYRGITRYNFSLNAAGSSTLPPILNAAEAFSVISTADLATDAQDVSAITAIKAKYQVNKNWTGDPCAPKTLAWDGLTCSYAISTPPRITGVNMSYAGLSGDISSYFANLKEIKNLDLSHNNLTGSIPNVISQLQFLAVLDLTGNQLNGSIPSSLLKRSQDGSLTLRYGNNPNLCSNSSSCQLPQKKSNSMLAVYVAVPVVVIGAVAVFLIFFIRKKKNKSKGAVKPQILGNGVQSHSQNGSGGSLLELHNRQFTYKDLAVITNNFQRVLGKGGFGPVYDGFLKDGTHVAVKLRDESSSQGYSEFLTEAQTLTKIHHKNLVALIGYCKDEIHLALVYEHMSEGTLEDKLRGLEYLHKACSPRFVHRDVKSSNILLNANLEAKVADFGLTTAFKCDGDTHVSTVRVVGTYGYLAPEYATALQVSEKIDVYSFGVVLLEVITGQPPIIKLPEPTTIIQWTRQRLARGNIEGVVDVNMPDDRYDINCIWKVADVALKCTAHAPGQRPTMTDVVTQLKECLELEETSFKGDTSSSYMSGSSIDPNSTRAMAARSWLFILCLAVADAGVLQTSAQPDLKGFISIDCGLEGKTGYLDDKTNLSYVPDDGFTDAGTNHNISVEFMTPLISRRNYNLRSFPDGERNCYTLRSLTAGLKYLIRAAFVYGNYDGLKKPPVFDLYIGVNFLTMVNITGLDGAALEEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKSTLYPQVTETQGLSLFGRWNFGPTMNQRTDSIVTRYPDDPHDREWVPWINPFDWTVISTTTMVQNIENDIFEAPSRVMKTAITPRNASGNIEFAWDAYTQPKDPTPGYIANFYFTEVQLLPSNALRQFYINLNGRLVYNESYTPLYLYADLIYEKKPFLRYPEYNISINATSNSTLPPIINAIEVFSVMPTINVATDSEDASAMMAIKVKYQVKKNWMGDPCVPKTLAWDSLTCSYSTSIRPRITSLNLSSSDLRGDISSSFANLKGEFVKQQFDRLNSRCPFTITSIVSLRFGRKPAQWINSIWTSQKNSRWLPRSKLENRRFTYRELEMMTDNFQLELGRGGFGCVYDGFLEDHTRVAVKLMFKNSKQGDKEFLGEAQILTRIHHKNLVSMIGYCKDGDNMALVYEYMSEGTLQEHIAEENSRRRFLPWRRRLQIALESAQGKYLMTMQPTTKSDVYSFGVVLLELVTGKPALLRDLDNTSIIQWVQQHLARGNIEDVVDARMHGDHDINSVWKVVDIALKCTMQESIHRPTMTGVVAMLQECIELENRHLKDYAANSENHNSSYNTYGVDQSTNVIQSNDAFEVGHNIARVPTMATGPVAR >ONIVA05G24020.4 pep chromosome:AWHD00000000:5:23634511:23688197:1 gene:ONIVA05G24020 transcript:ONIVA05G24020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPGTMAARSWLLLLCFVAVATAGVLQARAQPDSNGFISVDCGLPGKTGFVDDKTKISYAADDGFTDGGACHNISAEYITPGLAKRYHTLRSFPDGKRNCYTLRSLVAGLKYLFRATFFYGNYDGLNKLPVFDLYVGVNYWTMVNITGPGDAVIVEAIVVVPDDFVQVCLVNTGAGTPFISGLDLRPLKNTLYPQSNATQGLVLLGRLNFGPTDYTDVIRYPDDPHDRIWFPWVDSTKWSQISSTKKVQDLDNDMYETPTAVMQTAITPRNASRNIEFSWDPVPLPNDPAPGYIAIFHFSELQLLPGNAVREFYINLNGKPWSLTAFKPEYLYNDATFNRNPFLRYPQYNISINATANSTLPPLINAVEVFSVIPTATIGTDPEDVAAITAIKEKYQVVKNWMGDPCVPKMLAWDKLTCSYAISNPARIIGLDLTGNQLSGSIPSGLLKRIQDGSLNLRYGNNPNLCTNGDSCQPAKKKSKLAIYIVIPIVIVLVVVIISVAVLLCCLLRRKKQAMSNSVKPQNETVSNVSSNGGYGHSSSLRLENRRFTYNELEKITNNFQRVLGHGGFGYVYDGFLEDGTQVAVKLRSESSNQGAKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIAGKNNNRIYLTWRERLRIALESAQEYLHKACNPPLIHRDVKATNILLNTRLEAKIADFGLSKTFNHVNDTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELITGKPSILREPGPISIIQWARQRLARGNIEGVVDAHMHGDHDVNGVWKAADIALKCTAQTSTQRPTMTDVVAQLQECLELEDRRCGMEDTYNNFYAGNNNDPNSSYNMYNTDQSTEVSQNNTMEHNFERVPTMATGPRRSSPATMAARSSLFLLCLATATAGVLQARAQPDSKGFISVDCGLPGKTSYIDDKTKISYASDDGFTDGGKHYNVSPEYIKPAVTARYYNVRSFPDGARNCYTLRSLVAGLKYLIRATFMYGNYDGLNKLPVSFDLHIGVNFWTVVNITDPIQPVNREAIVVVPDDSVQVCLVNTGAGTPFISGLDLRPLMNKLYPQVNATQGLLQLARLNFGPSDETSIRYPDDPHDRVWFPWFDAAKWNEISTTNRVQNIDNDLFEAPTAVMQTAVTPINASNNIDFFWNSQPQPNDPAPGYIAIFHFSELENLPNNASRQFYININGILFDDGFTPSFLYAEASYSSKPFVRHPQYNITINATANSTMPPLINAVEVYSVISTANIGTDSQDVSAIMTIKAKYQVKKNWMGDPCLPRNLAWDNLTCSYAISNPARITSLNLSKIGLSGEISSSFGNLKALQYLDLSNNNLTGSIPNALSQLSSLTILDLTGNQLNGTIPPGLLKRIQDGFLNLRYGNNPNICTNGNSCQPPKNKSKLAIYIVVPIVLVLAIVSVMTLLYCLLRRKKQGSMNNSVKPQNETMRYGPTNNGSRHNSSLRLENRRFTYNELEKITNKFQRVLGQGGFGKVYDGFLEDGTEVAVKVRTESSNQGDKEFLVEAQILTRIHHKNLVSMIGYCKDEKYMALVYEYMSEGTLQEHIAGKGNDGRYLTWKERLRIALESAQGLEYLHKGCNPPLIHRDVKGTNILLNTMLEAKIADFGLSKVFNPENGTHVSTNKLVGTPGYVDPEEILIVRLIGTDQMRRYQSTMQPTTKSDVYSFGVVLLELVTGKPAILRDPEPISIIHWAQQRLARGNIEGVVDASMHGDYDVNGLWKVADIALKCTALSSAHRPTMTDVVAQLQECLELEDKHQRSTPGAMAARSWLILLCLALAATAGILQARAQLDSKGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYFAPALSARYYNVRSFPDGARNCYTLRSLVAGHKYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNITDPAGSTLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKKKLYPQATETQGLSLFGRWNFGPTSNTEIIRYPDDPRDRVWTPWDSPSNWTEISTTRPVQQTYDDLFEVPTAVMQTAIVPMFATDNIELAWVAYTQPKDPSPGYIAIMHFSELELSPPSRDVREFYINLNGNMMYSKGYKPVYLYAHAIYNTNPFLRYPQYNISINATYNSTMRPFINAMEVYSVFSTTTIGTYGQDASAMMVIKEKYQVKKNWMGDPCIPTEFTWESLTCSYENSKHVIKINLSSSGLSGEISSSFGDLKALQYLDLSNNNLTGSIPDALSQLPSLTVLYGNNPNLCTNDNSCQAAKHKSKLAIYIVAPVVLVLVIVSVTILLFCLLGQKKKQGSMNTSIKPQNEANYVPTNDSDGHGSSMQLENRRFTYKDLEKITNNFQRVLGRGGFGKVYDGFLEEGTQVAVKLRSESSNQGDKEFLVEAQILTRIHHKNLVSMIGYCKDGKYMALVYEYINNGRHLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNARLEAKIADFGLSKSFNLENGTHVSTNTLVGTPGYVDPEYQATMQPSTKSDVYSFGVVLLELVTGKSAVLRDPEPISIIHWAQQRLAQGNIEEVVDACMCGDHDVNGVWKVADIAFKCTAQVSARRPTMTDVVAQLQECLELEEEHCAVNDANNNFYTSNNSNPNSSYDTYAADHSIDVSQNSVAFEMEKNFGRMPSTAPGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYITPALSARYHNVRSFPDGARNCYTLRSLYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNISDPSGATLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLEKKLYPQANDKRGLSLFGRWNFGPISTTEFIRYPDDPHDRIWMPWVSPSYWVEVSTTRPVQHTDEDVFDAPTKVMQTAIAPLNASSNIEFAWVPYTQPKDPAPGYITVMHFSELQLRSSNATRQFYINLNGNMVFSQGYTPAYLYADAIFNSNPFLRYPQYNISINATANSTLPPIINAIEVFSVFSTATVGTDGQDASAMMVIKEKYQVKKNWMGDPCVPKTLAWDKDLSNNNLTGSIPDALSQLPSLAVLDLTGNQLNGSIPSGLLKRIQDGTLNIKYGNNPNLCTNDNSCQPAKHKSKLAIYVAVPVVLVLVIVSVTILLFCLLGRKKKQGSMNTSVKPQNETTSYVPTNGSHGHGSSMQLENRRFTYNDLEKITNNFQRVLGEGGFGKVYDGFLEDGTQVAVKLRSESSNQGDKEFLAEAQILTRIHHKSLVSMIGYCKDGKYMALVYEYMSEGTLREHISGKRNNGRYLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNAKLEAKIADFGLSKTFNLENGTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELVTGKPAVLRDPEPISIIHWAQQRLAQGNIEGVVDARMHGDHDVNGVWKATDIALKCTTQVSAQRPTMTDVVAQLQECLELEEGRCAISNANNNFYTDNNSNSNSSYDMYATDHSIDVSHNSAAFETERNFGRMPSTATGFISIDCGLPEKTSYVDDATKLKFTSDDAFTDAGTIHNVSSEFATPTTTTDRSLYNVRSFPAGARNCYTVPSVVPGSKYLVRAKFLYGNYDGLNKPPVFDLHLGVNFWQTVTVPSADWLGNAEVIAVVPDDFLQVCLVNTGAGTPFISGLDLRPLPSSLYAPANATQGLVLLDRRNFGASGSTVIRYPDDTYDRVWWPWSNPPAEWSDISTADKVQNTIAPVFDVPSVVMQTAITTRNSSIPIQFSWDTKPNHVYPDPGSIFTLYVTELELLAGNAVRQFNVTINGVIWTKAPYKPVYLSTDAMYNGDRPYRGITRYNFSLNAAGSSTLPPILNAAEAFSVISTADLATDAQDVSAITAIKAKYQVNKNWTGDPCAPKTLAWDGLTCSYAISTPPRITGVNMSYAGLSGDISSYFANLKEIKNLDLSHNNLTGSIPNVISQLQFLAVLDLTGNQLNGSIPSSLLKRSQDGSLTLRYGNNPNLCSNSSSCQLPQKKSNSMLAVYVAVPVVVIGAVAVFLIFFIRKKKNKSKGAVKPQILGNGVQSHSQNGSGGSLLELHNRQFTYKDLAVITNNFQRVLGKGGFGPVYDGFLKDGTHVAVKLRDESSSQGYSEFLTEAQTLTKIHHKNLVALIGYCKDEIHLALVYEHMSEGTLEDKLRGLEYLHKACSPRFVHRDVKSSNILLNANLEAKVADFGLTTAFKCDGDTHVSTVRVVGTYGYLAPEYATALQVSEKIDVYSFGVVLLEVITGQPPIIKLPEPTTIIQWTRQRLARGNIEGVVDVNMPDDRYDINCIWKVADVALKCTAHAPGQRPTMTDVVTQLKECLELEETSFKGDTSSSYMSGSSIDPNSTRAMAARSWLFILCLAVADAGVLQTSAQPDLKGFISIDCGLEGKTGYLDDKTNLSYVPDDGFTDAGTNHNISVEFMTPLISRRNYNLRSFPDGERNCYTLRSLTAGLKYLIRAAFVYGNYDGLKKPPVFDLYIGVNFLTMVNITGLDGAALEEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKSTLYPQVTETQGLSLFGRWNFGPTMNQRTDSIVTRYPDDPHDREWVPWINPFDWTVISTTTMVQNIENDIFEAPSRVMKTAITPRNASGNIEFAWDAYTQPKDPTPGYIANFYFTEVQLLPSNALRQFYINLNGRLVYNESYTPLYLYADLIYEKKPFLRYPEYNISINATSNSTLPPIINAIEVFSVMPTINVATDSEDASAMMAIKVKYQVKKNWMGDPCVPKTLAWDSLTCSYSTSIRPRITSLNLSSSDLRGDISSSFANLKGEFVKQQFDRLNSRCPFTITSIVSLRFGRKPAQWINSIWTSQKNSRWLPRSKLENRRFTYRELEMMTDNFQLELGRGGFGCVYDGFLEDHTRVAVKLMFKNSKQGDKEFLGEAQILTRIHHKNLVSMIGYCKDGDNMALVYEYMSEGTLQEHIAEENSRRRFLPWRRRLQIALESAQGKYLMTMQPTTKSDVYSFGVVLLELVTGKPALLRDLDNTSIIQWVQQHLARGNIEDVVDARMHGDHDINSVWKVVDIALKCTMQESIHRPTMTGVVAMLQECIELENRHLKDYAANSENHNSSYNTYGVDQSTNVIQSNDAFEVGHNIARVPTMATGPVAR >ONIVA05G24010.1 pep chromosome:AWHD00000000:5:23628429:23633804:1 gene:ONIVA05G24010 transcript:ONIVA05G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HH14] MASSHIILPPDDDEEEQRRLEEEEDEDPWARSGPPPAAPEHAVKAALPFSATCVRISRDSYPNLRALRNASSVSLADAAYVKISEGDFGYVLDDVPHLVDHLPDAPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKVVVQKNSRRGVHFRRAGPRQKVYFESDEVKACIVTCGGLCPGLNTVIRELVCGLAHMYNVSKIYGIQNGYKGFYSSNYLTLTPKSVDDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRKRGLKVSVAGIPKTIDNDIAIIDKSFGFDTAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGEGGLLQYVERRLKENKHMVIVVAEGAGQDLIAKSLSTSEQQDASGNKLLLDIGLWLTHKIKDHFKSKKIEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGNVNGRHAYIPFYRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDEAKENDRTANKPPLPTGLSHHVANSFDQSASSSSNSQI >ONIVA05G24000.1 pep chromosome:AWHD00000000:5:23622334:23627735:1 gene:ONIVA05G24000 transcript:ONIVA05G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASASREQMSYTYDALKCYAPEMVEVLIDSGRNPAFLEWEVKEQLQKIKSEISEVSGDPHGLLMEALHSAGYSGALAKPLMASESAVNRLDVATLEEFVSENYTAPRMVLAASGIEHDELVSVAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSTSTHIALAFEVPGGWRQEKTAMIVTVLQVLMGGGGSFSTGGPGKGMRSWLYLRVLNNYGQIESFSAFSSIYNNSGLFGIHATTNPDFVSSAVDLAARELHEVATPGKVAQEQLDRAKEATKSSVLMDLESRIVASEDIGRQVLTYGERKPIEYFLKTVEEITLNDISSTAKKIISSPLTLASWGDVIHVPSYESVRRKFHSK >ONIVA05G24000.2 pep chromosome:AWHD00000000:5:23622334:23627735:1 gene:ONIVA05G24000 transcript:ONIVA05G24000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASASREQMSYTYDALKCYAPEMVEVLIDSGRNPAFLEWEVKEQLQKIKSEISEVSGDPHGLLMEALHSAGYSGALAKPLMASESAVNRLDVATLEEFVSENYTAPRMVLAASGIEHDELVSVAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSTSTHIALAFEVPGGWRQEKTAMIVTVLQVLMGGGGSFSTGGPGKGMRSWLYLRVLNNYGQIESFSAFSSIYNNSGLFGIHATTNPDFVSSAVDLAARELHEVATPGKVAQEQLDRAKEATKSSVLMDLESRIVASEDIGRQVLTYGERKPIEYFLKTVEEITLNDISSTAKKIISSPLTLASWGDVIHVPSYESVRRKFHSK >ONIVA05G24000.3 pep chromosome:AWHD00000000:5:23622499:23627735:1 gene:ONIVA05G24000 transcript:ONIVA05G24000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASASREQMSYTYDALKCYAPEMVEVLIDSGRNPAFLEWEVKEQLQKIKSEISEVSGDPHGLLMEALHSAGYSGALAKPLMASESAVNRLDVATLEEFVSENYTAPRMVLAASGIEHDELVSVAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSTSTHIALAFEVPGGWRQEKTAMIVTVLQVLMGGGGSFSTGGPGKGMHLRVLNNYGQIESFSAFSSIYNNSGLFGIHATTNPDFVSSAVDLAARELHEVATPGKVAQEQLDRAKEATKSSVLMDLESRIVASEDIGRQVLTYGERKPIEYFLKTVEEITLNDISSTAKKIISSPLTLASWGDVIHVPSYESVRRKFHSK >ONIVA05G24000.4 pep chromosome:AWHD00000000:5:23622334:23627735:1 gene:ONIVA05G24000 transcript:ONIVA05G24000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASASREQMSYTYDALKCYAPEMVEVLIDSGRNPAFLEWEVKEQLQKIKSEISEVSGDPHGLLMEALHSAGYSGALAKPLMASESAVNRLDVATLEEFVSENYTAPRMVLAASGIEHDELVSVAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSTSTHIALAFEVPGGWRQEKTAMIVTVLQVLMGGGGSFSTGGPGKGMRSWLYLRVLNNYGQIESFSAFSSIYNNSGLFGIHATTNPDFVSSAVDLAARELHEVATPGKVAQEQLDRAKEATKSSVLMDLESRIVASEDIGRQVLTYGERYAYK >ONIVA05G24000.5 pep chromosome:AWHD00000000:5:23622334:23627735:1 gene:ONIVA05G24000 transcript:ONIVA05G24000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASASREQMSYTYDALKCYAPEMVEVLIDSGRNPAFLEWEVKEQLQKIKSEISEVSGDPHGLLMEALHSAGYSGALAKPLMASESAVNRLDVATLEEFVSENYTAPRMVLAASGIEHDELVSVAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSTSTHIALAFEVPGGWRQEKTAMIVTVLQVLMGGGGSFSTGGPGKGMRSWLYLRVLNNYGQIESFSAFSSIYNNSGLFGIHATTNPDFVSSAVDLAARELHEVATPGKGFFNFLPQSYNLFSD >ONIVA05G23990.1 pep chromosome:AWHD00000000:5:23617606:23620251:-1 gene:ONIVA05G23990 transcript:ONIVA05G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRELRDGAGGVEEAEEDEREEARGGGGGGGGGEVVAVVRLRAKRALVGAGARVLFYPTLLYNVLRNRFESEFRWWDRIDQYVLLGAVPFSSDVPHLKQLGVRGVVTLNESYETLVPTSLYQAHGINHLEIPTRDYLFAPSLEDICQAVDFIHRNASQGGSTYVHCKAGRGRSTTIVLCYLIKYRNMTPEAALDHARSVRPRAVKLFSNLNTRCLSIENSNQTHSAKSCEESSEPFSRLASSCHQIQSSNRTHPVRFSEQSSEAIVEAEVDGFTTEFDNEHFVLPLWEGMLAKPSSPSRSIDAVVITEEDLEGYETYADASSDTVSVEVVIRQKPMIRRLSCFLGSLKLTSNCEPSPRRLAEVRAC >ONIVA05G23980.1 pep chromosome:AWHD00000000:5:23616086:23618839:1 gene:ONIVA05G23980 transcript:ONIVA05G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGARRVIPFLPYITRATPAPPALQRPAPSERQPPPPEQLRFQLLFRAVFCWGDRVRRRDEQQQARRGRSGGDVRGGGGRRAVRGVVVGVRRRVGRRWGRGGPVLGGRRGGGGGVGPDGAPPVRAAAAAAVELGQHLRHVVHDGAAPSQERVVQVLRGEVPVVRVHVGGEVPGGSAQEGEAIQVEDQVVQQLRRAGRDRQDAVVEFLRESEHDGGGRIQGSPDPCKQERLPSVVATL >ONIVA05G23970.1 pep chromosome:AWHD00000000:5:23602517:23604239:-1 gene:ONIVA05G23970 transcript:ONIVA05G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSVPEISSSKKLSDEVTQSSEAREEESDEDMKEPITRLFVHGFLSLVISAVGNYIIPLLTSHSEPEQTAKRSAMPIAVFCLVMITPVIGNGMRFRILQPKQQYGSGVALHITTARIVLISYVFLLLINTRYISLAVFPAIMIVFIGALCRKFWVEETCRRQLRHDSPGASKASSVLRKSEEQLTLIVAVLPFWLQLPGVMLARGTWQQDRVLVSHFLVFISSAMVALATLIARTVPAGIYPGVSRVLPVMHRTCIALILVSVHTMAGEWFGTKSMALACTPELVALLIWFSVHLNHAHDARACKIICLFAVSLLWAWAATYDEMTILQGYWRSSFWGISGLSGGLCYFSSWILKQWPKDSFRPTTDHHASLLLQLLRISAEISLFTSVPSIALQLPRWVRRISAQIRLFASVLTTALQLGLLVPIIYVLLKSVAVIMFYVLIYCVCFLPLIGVEYRPGMSVNRRKASISIQLRPIMLLVLLSILISHFTGR >ONIVA05G23960.1 pep chromosome:AWHD00000000:5:23594857:23599191:-1 gene:ONIVA05G23960 transcript:ONIVA05G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1399) [Source:Projected from Arabidopsis thaliana (AT1G56230) TAIR;Acc:AT1G56230] MSGPGGREREAAGPAFSFSVDLVAAARRLLALLRSGDGERGGAGVVGPRSVRRYEELWMPLVAAEGAGGEAPMLVPPPDVRLVWLCHCFHHESYAAYCASRFGRLINRPSILDADNEEYAADHCRDVWAAHYPSEPFDLEDNETEGNSSNDKSASEIIEMVQRYTGLAARFASPFISEGVYHVAARRRYMRFLELIKKIVSTTQGNTRLVPSLDILLMWLAHQSFPVNYYADMAAMAVKDNVAKIVVGYGEVVSEEMVERTRVLWEEAYDEPYDMAGSEIDKAEVDAAREAFYWETAASEEDANRLYKGLQPRFIMEVYVFLKGEFDSEHTSKEFLRLRTQRCYRSLKLNIPLFNLSCKNWQKTWHLYCEFSTRGLIIEVRRSTSGCFRNSKLIKNISFSWSDMLHEKSLMLTEELDVRMKAMASITPPVQAPYLLKCVPDRVTDDGGAMISDVILRTKGYRPQEGRWLTRTVLDHSRKECFVIRIRIGRGIWRRGAETPIAVKWEDRIIEIREGSWSYIANASSVGYAPEKVVGTATPEKDQQDSKVVWRFSTGDVLTVKLGDDLNFHIRNESSGEEARLLVGRRLNYRINKESTLNDRNDEEEYLTLVRTSPDHLGDRATMLLNWKLLAVEFLPEEDAVFVLLLCMAIARTMTEIRREDVSGLLVRRRICEAKVGQRDWGSVMLPNSPSLDPHLQTWYRNAAHVLSSAETASNRVMLMKYSPADGKDELYRKALIP >ONIVA05G23950.1 pep chromosome:AWHD00000000:5:23589291:23594473:1 gene:ONIVA05G23950 transcript:ONIVA05G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFVESGSFSRSSSFGGFEEWMALVRKRNGSGTPSSSSPQQPRPGGFAAQSSVSSEGLELGHPYSDAIDYSLSYQLPTTSLWDRLGRVTMMDIESSDFNWSSLSSLHHTKHTDTSTEPYEDDTSRSFEVTVNSGGVVFIALFKTSEYDDLPSKETAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHNFSSEWHQMKDAVENARDAAISSCDELEEMICTEMLEALELSRCLFLMNYVHGSPLLENTMPFDSHDSAEKTAEALGRVLILDLVLRNEDRLRCRPLGWRGNYANLLVADRNAYANLDSLDDVYDSAIIRYKPDIIRSPQNRKPRRAVSISGSIGSDTSDLMLPDNPSQPEISSFHIIAIDSGVPRRPPACKRAKDQESYPKLVELTLNNWDYSSNLLFELSFGKLGIPGPEEFDVSSDLSYHSPLSESDMVAAVNSFRGGFRSALRDLQRFHIFLVTLYQKLDGLLKIFFNLMYKVLNEYDREDAGSSDSPCSTETHMDSNDSEVPKHMRRPSRTLSRDNFDLSSPSSRESFMIKNFKGSSDASRGLRLTMKLRDFNKYAKADGELCKEIEQWNDMLRTEVVKLCQDNSFNTGFFEGIDNSIAVDAYELKVRLEHILERISLISDAASTERPSQITDYLYIGGALAARSTYTLKHLGITHVLCLCANEIGQAESQQPDRFDYRNFSINDDENADISDVFQDASDFIDYVQHLHGKVLVHCFEGKSRSATVVLAYLILRKKFTLLEAWNMLKKVHRRAHPNDGFAKVLLDLDKKLHGKISMEWHHRRPAMKVCPICGKNAGLSSSSLKLHLQKAHRKISSGSVDTAMSLEIQKAVEAIKAGRGGGDSPTHSAEKVTS >ONIVA05G23940.1 pep chromosome:AWHD00000000:5:23580851:23584570:1 gene:ONIVA05G23940 transcript:ONIVA05G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYIKKTRCNIIYHSEQQREAMEQTLDVHRSLRPPMPMAQQQMDEFWRDRQKEIEMTKDFSEHMIPMARLKKIVSSQKGNMMMTFDMPTFLSKMCELFVQELAVHAWACAQSHNRCIILDMDIAEAVASTESYDFLVDILHNHSVKQKSTPCSSTKRCRLVDQPSTSHIPHQHLLPQFAPTYTLAIPITPSLMPLISQCTPSSFPSLPQEKFPLMAPTPIVNRSMLFIDNIARGLGLQGNNINAVANNNILDNIVGCSSPAVLASMMNPALLGPSGPPLNPPNSQSYNYTMDIINSNDACGSNNSSVIVANQANIAPSGHFYPIALQSSCSTFLHRNNNDTITAIPEGVDISDIMHVTSDVDAATKVFSGQEEQNEKETNVEWHHQNEIYESIDIGIINATTRDGNKCSISWDELGMADDSLLDNFLEELQVRKDDVSDTRIAFNKDPFLDDVVLSNPSTNNGNK >ONIVA05G23940.2 pep chromosome:AWHD00000000:5:23579886:23584421:1 gene:ONIVA05G23940 transcript:ONIVA05G23940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERAWRGRREQQREAMEQTLDVHRSLRPPMPMAQQQMDEFWRDRQKEIEMTKDFSEHMIPMARLKKIVSSQKGNMMMTFDMPTFLSKMCELFVQELAVHAWACAQSHNRCIILDMDIAEAVASTESYDFLVDILHNHSVKQKSTPCSSTKRCRLVDQPSTSHIPHQHLLPQFAPTYTLAIPITPSLMPLISQCTPSSFPSLPQEKFPLMAPTPIVNRSMLFIDNIARGLGLQGNNINAVANNNILDNIVGCSSPAVLASMMNPALLGPSGPPLNPPNSQSYNYTMDIINSNDACGSNNSSVIVANQANIAPSGHFYPIALQSSCSTFLHRNNNDTITAIPEGVDISDIMHVTSDVDAATKVFSGQEEQNEKETNVEWHHQNEIYESIDIGIINATTRDGNKCSISWDELGMADDSLLDNFLEELQVRKDDVSDTRIAFNKDPFLDDVVLSNPSTNNGNK >ONIVA05G23930.1 pep chromosome:AWHD00000000:5:23573631:23574161:-1 gene:ONIVA05G23930 transcript:ONIVA05G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAAAPSSRPPPPPLSLLLLRPLASSSPVPPATSSSSSTTIIRRRPSASATATVRRRARTRPRTRRSKPPSDAGGPFSGDDGDGFFGPGGGGGGGGGGGWWWNRGSGSGAGWEDSSGEPDVPAPRRSAAEAALGVVYELMCLIALSNCTQFAVRRLAGLLAARVAALRFVPTVC >ONIVA05G23920.1 pep chromosome:AWHD00000000:5:23569748:23570965:1 gene:ONIVA05G23920 transcript:ONIVA05G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELVYLYPVTLVPAVVGRFSILASPLLKEFEEHNLQFTSIIPLFFFYSYISKFLSDLQGQPLPLVKLYARALHRFTSCSSSKSRASLRIYMDTAVVVVLSYLSLLKINLSYIWLAVFPTLTLAFIAALFNEELRGDRRAAVAEEDDGRRRKDHSLELKAMAVVPYWVLCAMGQFQGGDSFAVSQFLLFLGSTLGALALMAARLSQLAGAAPGLAPASELLRKATLVVLLVTAHAVAAELLGEATVALLCLPELAPALFWFTLHLDGGSSPAAAIDDIKSHRNALSVLAVAVVAYLAAAMGERGLSVSTITMVSCGVSGLLVRCAVLVLGQWPGQAETAGSTAASLMEVVRVLKFWGNTLLAVAAMLLLLALLTAFRLCLQEPILPTVAKCFSDYIDKVPQMLV >ONIVA05G23910.1 pep chromosome:AWHD00000000:5:23564420:23567589:1 gene:ONIVA05G23910 transcript:ONIVA05G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLGRYSTSQAIAYRRNAVYLESGFLEDDQLGNTSLNNVCILCGDFSSSYWAFLRESAWINRRGFEMDALMNRSRAFAEAVVIMVCPVLLAVALKKVDLKSQERSRAVPIFMLVMAALTLAFGTVPFLALSLSKRFSDHRWRLPAKATTWLAPSSCACLVGLACWIIHLILSARWAYAFPAMGAVFGLCIVIHSVRYCRAGGDPANLVHPGDVLPTTDELTDRKRRQALQKAMEGKLDESLEFLAGVTALLFLGLEGLALEGQINGGQGRLAAPMGLCFFACLFGACFVLVQTIPPSPPPSATDTSLRANIVRNLPAICGMFMACAIAVVMFSIMVVLVKLLALMLLSPLFLILLVHAFDLVFPGGGGGGGGGEDDVVKPASLELSKVAFTGFLTVAISARTTSRGPLSTSTEWFLIFAASAIVSGFAWRLLTQAKVGKSANVASFCTHLCIVLATVPFTVMAGQALH >ONIVA05G23900.1 pep chromosome:AWHD00000000:5:23552081:23555205:-1 gene:ONIVA05G23900 transcript:ONIVA05G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGYGVLAVAAAVVLTLPSAVRAAPSNICDMGVATYAANSTFEANLDRLGAELPANVSAARATGGYAVATVGAAPDLVYALALCRGDVNASACGACVAAAFADGKRSCPGIKGATVSGPGDGCVLRYSGQSFMNFLSTEQWQVSEILWYPDHATDSIKVPDVGWFNAAVAKILAALVEHTWATTTSNATAKKYFSTGEEEFNPKIYGFVQCVPDLSPEQCKECVRTLHDQAKINYMGNSLPWASTYSVWCSLMYSVRPFFGGRAMLQLSPPLPPAVDTPVGTHEPGAGKKKSVAGLAAGLACSVGVLLILSVLVFVRFRRRTKAAETDHPLKKITRAQCMIFDLPTLQEATENFSENNKLGEGGFGTVYKGVLSDGQEVAVKKLLGTTGHGLDQLHNEVLLLAELQHKNLVRLQGFCLHQEQTLLVYEYIKNGSLDNILFDTTKGNSLNWEQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLGEGHTHSRTTRVVGTFGYMAPEYVADGNVSTKIDIFSFGVLVLEIVMRRRNSDSGDHDNVNLLTDVWNSWTKGTISQFIDQSLDGYSRSQAMRCIHIGLLCVQPDPGERPHISSVIFMLTRENMELQPPAQPAFFFGTESASSSTSCGQRSYVYDRYGFASVSVNDVTLTEPYPR >ONIVA05G23890.1 pep chromosome:AWHD00000000:5:23533338:23542627:1 gene:ONIVA05G23890 transcript:ONIVA05G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYYSVRGGGQPWISTVCPFIWIVYPIGFLLPSLAEDLDSSTGWPNSSSMSYFRNVSKDCICSVPLKMDCKDSLKNRIRIFTEAVVVMVCPVLLSFALKKVDLTANRSKQIVDSISPIGALTLEAGILPFLGLCLSTVLGERLAWLVLASKLLIHLCVILLMALAFVILLLISKSNIVCLSIWIPFVPFILWLGYNSVKDEEHENADDATKSAHHGKLEISVDFSASITSLLFLGLEGLALEGQASAIKGLDAHLSDSLIVSFVTCVLGVVFMLVGTAPPVYGMGRLVDSLRILDTSLAIAFGVIVVLITIAPLKEAAWLVSIPWILSFFVWLFIRLFDHDEGEDRDVKPVSLELTKAAFTGFLAVSIPSFSNSSTSGGYTHAFIVLTGSAVLAGLAWRLITHVKKPSRAMVWAENVASSSN >ONIVA05G23880.1 pep chromosome:AWHD00000000:5:23491504:23500631:1 gene:ONIVA05G23880 transcript:ONIVA05G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trehalose-6-phosphate synthase [Source:Projected from Arabidopsis thaliana (AT1G78580) TAIR;Acc:AT1G78580] MPTPAPSASSSSSFSCGGGGGGAGAASSYSSSSSSSPDDRMLRGECGRRHPFASSAAVGAGSPDAMDTDSAEPSSAATSVADFGARSPFSPGAASPANMDDAGGASAAGHAARPPLAGPRSGFRRLGLRGMKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFNAYKRANQMFADVVYQHYKEGDVIWCHDYHLMFLPKCLKDHDINMKVGWFLHTPFPSSEIYRTLPSRSELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFKRALELPAVKRHITELTQRFDGRKVMLGVDRLDMIKGIPQKILAFEKFLEENHEWNDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLFAGAAQSLGAGAILVNPWNITEVADSIKHALTMSSDEREKRHRHNYAHVTTHTAQDWAETFVCELNETVAEAQLRTRQVPPDLPSQAAIQQYLHSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPELKGPLRALCEDEHTTVIVLSGSDRSVLDENFGEFNMWLAAEHGMFLRPTNGEWMTTMPEHLNMDWVDSVKNVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMITPIDYVLCIGHFLGKDEDIYVFFDPEYPSESKVKPDSSGSVSLDRRPNGRPSNGRSNSRNSQSRTPKAQTAPERSSSSSSSSQGTPNSHHDWREGSSVLDLKGENYFSCAVGRKRSNARYLLNSSEEVVSFLKEMADATAAHNGFQSTTADYMFLDRQ >ONIVA05G23870.1 pep chromosome:AWHD00000000:5:23460163:23462003:-1 gene:ONIVA05G23870 transcript:ONIVA05G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAWRRWPVVVAAAVVLAVAAPVQAAPQVPCYFVFGDSLVDNGNNNDIVSLARANYPPYGIDFAGGAATGRFSNGLTTVDVICKLSYFLPKLLGFEDFIPPFAGASSDQLLTGVNFASAAAGIREETGQQLGARISFSGQVQNYQSAVQQLVSILGDEDTAAAHLSQCIFTVGMGSNDYLNNYFMPAFYNTGSQYTPEQYADDLAARYAQLLRAMYSNGARKVALVGVGQVGCSPNELAQQSANGVTCVERINSAIRIFNQKLVGLVDQFNTLPGAHFTYINIYGIFDDILGAPGSHGLKVTNQGCCGVGRNNGQVTCLPFQTPCANRHEYAFWDAFHPTEAANVLVGQRTYSARLQSDVHPVDLRTLASL >ONIVA05G23860.1 pep chromosome:AWHD00000000:5:23454660:23459197:1 gene:ONIVA05G23860 transcript:ONIVA05G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVPFSIRGYAARARAGAADEGGRCWPFAGKAGALPPMEVRGFRWWEDEAAALAEEEGEEERRLAAKRRKRSIVELFAAVPKVVAAADEGLGRGKRVRRKLDKGDPPAVGVEAAKKKGFRKQKVLVEIGVRKKLFQNAIQKQKKKSLSKKKGVPLEKKSMKGNKTTTLSSQKTTKSSCHVQSILKKHLKTGVGTLLKNTDVMSPSKSLLKPKRVTFSDDNDILGRTDSQLGDGTEKSQLLQTSQQHYKDGKSQGGDNHCSTYEPQFSYQRAGAIVDSVEEDTSSTVLLTKSKEKTILANPVDLNHCLEISSSGSCLNSINSAVLSGQVLPQNFAGVGSIPNEGSNVHVGFQAEENHHKYHGSSVGASLAVKARSSDLIRRQLPELSSSCFVASLRVNDGNRSKMLQERLTALHPRLIRSKDMVNSISSSAGSNKSTDAQTPNCVSACRNMHSEDYQGLPLNSHGEFVKLHPSGTIDPNGMFKRQFPGGDYVRPSAFPAFITPETCVDYAHLKSSYQGPQFCALDTFDFQSEPYHSPTASAAYGMGFRQSPSSERMEVHGYAVPSNNDPYSNQQELSVGCFCPAFTGQGNQTHKPLEMQNCFPSQHYEQNSQPAPETTVRLMGKNFTLGTSSNQFRGLDNKNPCPSKQSRDEDHGTSAKAFSQLFHGTRVEPPSTLRNSNGGVEHPSRFSSVPEAELRCGLDSYSFRTSDRYQQPHLAVQNKLYVNPVSRHNESEPWQQQLHVENHILGASEPQLLGTMHLRQSKTAATVPSYSPKHNFSNLVEIRPARSQFAYFPQQNVTQRTPISSFLSGYAVQSSPGLTTPTKFTSLRPLPPSVTSSHVYSSEDAQPHGSVPPFYPSIALSDQASKNCAPGDLKDNRSMQQTPITSNHDSSEQLNRGFKRPAVEDDVFLKPRKSFIAVGKDLNLLPLQEERLGLCGSRPDAQLPVCLSKDSEVDVQLLNNDTQIAWSDSVNRVRTILPVKLRSGAKHILEPGASPTATLGQEESWPLHSIKTFVVEDDAHAVGTSKKRDEEICRV >ONIVA05G23850.1 pep chromosome:AWHD00000000:5:23444473:23446497:-1 gene:ONIVA05G23850 transcript:ONIVA05G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLYLSQIIPDVLDPFISTISLRVTYNSRLLLAGAALKPSAVVSKPQVDVGGNDMRVSYTLVLVDPDAPSPSDPSLREYLHWMVTDIPETTSISFVENFINPKCPNDPAGEELILYEKPEPRSGIHRMVFVLFRQLGRRTVFAPEKRHNFNCRIFARQHHLNIVAATYFNCQREAGWGGRRFAPEGP >ONIVA05G23840.1 pep chromosome:AWHD00000000:5:23443069:23444914:1 gene:ONIVA05G23840 transcript:ONIVA05G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDQSPEEVYSVWALPPEPVRARLRGVMAGLRAAHGGPAFEPHATVVGAIRLRRSAAVEALRAAAAGVRPYTARVVGVARGDFFYQCVYLLLEPTPEVVEASDHCCGHFGYERSTPYMPHVSLLYGDLTDEEKEVARKKVEEIDKEICGLQFEISELALYRTDTEDKSLESWELVEICHLERK >ONIVA05G23830.1 pep chromosome:AWHD00000000:5:23439861:23442786:1 gene:ONIVA05G23830 transcript:ONIVA05G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFILTVVGVGTAVLLLRSDVKQSASIFRRNVRHIRNWLEEESAAAAKSAERAAPKELESQAAKKDVNPKDDKH >ONIVA05G23820.1 pep chromosome:AWHD00000000:5:23438038:23439372:1 gene:ONIVA05G23820 transcript:ONIVA05G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAQQPEEELERDVGRGLPLHGIPRAQHELLLLLAEGGSRSRRRILLHDHGRRRGGGHARRRCIAAAAAAHLVLLSDKESSREQPIDVSKGQDSGHCDSASVALYPAERGLITSSPHQVAKGQDFAGLTSGSMDGSRSKGYGCRYRQRAENICKYRQATPTAEACNRAFSLKLSE >ONIVA05G23810.1 pep chromosome:AWHD00000000:5:23435879:23436991:-1 gene:ONIVA05G23810 transcript:ONIVA05G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSETQEELVLRSWQSMKKDSESIALKFFLRIFEIAPAAKQMFSFLRDSGDDVPLESHPKACESATQLRKTGDVKVREATLRRLGATHVKAGVADAHFEVVKTALLDTIKDAVPEMWSPEMKGAWEEAYDQLAAAIKEEMKKAA >ONIVA05G23800.1 pep chromosome:AWHD00000000:5:23430517:23434575:-1 gene:ONIVA05G23800 transcript:ONIVA05G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPPHRLLLLLAVAAVLHRSAAAAAEGVIRLPRGRACAAPTDPAAYDRPVIGIVSHPGDGAGGRVSNGTAASYIAASYVKFVESAGARVVPLIYNEPEERLLEKLSLVNGVLFTGGSVKSGPYFETIKKVFQYVLDKNDAGIPFPLFAQCLGFELVSMIVSKDNNILESFSATNQASTLQFPNYSSLEGSVFERFDPDLIKKLSTSCLVMQNHKYGISPKTLRENVALSSFFKILTTSPDENGEVYVSTVQANKYPITCTQWHPEKAIFEFGKQMIPHSEEAVQVTQNFANYFISQARKSQNRPPADKVLDNLIYNYSPTFIGKKSKSFDVVYIFS >ONIVA05G23790.1 pep chromosome:AWHD00000000:5:23427757:23429558:1 gene:ONIVA05G23790 transcript:ONIVA05G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFHRLSLAFLLLVVVVAGDLMISTATAMAVGTSDDDGPPIKISMRYANAEESRWLDSWAEKTQSAGGGGGDDFEVRRATDEESARLNRMRADADRRARDGSGFGFDGHIDFGRVVVTDFPPSSKPNDDL >ONIVA05G23780.1 pep chromosome:AWHD00000000:5:23424016:23425990:-1 gene:ONIVA05G23780 transcript:ONIVA05G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGRHSLTSAVRGGHGSEVWGGGGSGAPKAGSGHPSPGSGVAAGGCGSAGPARARRRQTTAARWRLSVAHPGAEAVDAWGGGGVAGPCAEAAGAWGNGGVASPCADAARQRPLNAATTPPLTRSPRPASGSPRRSDRGSPVREQPLGSVGRLPRQPGLGGGLASVAEQPGCQRGGQVKCGGRTMGAPVRSGSATGGQMAVQRELAAVCRLSCYQSAPSSFLELIFFFAGSWIEAAARQQGKLRLPKQCHLVPGSPSAKTSEAAGRWWNGGVLRQLSGTVVQRSRLTEGHRCGPKRKPSLVVHRTGGGYAFGRRNLIGALSRLPSLFLDEHLWVNHFLDRRWRHSGCRDHCGGIVFRNLVSVKWDPSRSWGTVGPTKTNKIYIFKLAQCNKKIFTWQREPLTCRVPWTRGYPKHSGHAGTRLGCLDSRGPRQGHEHTLSTGLSWETRTRCVANSTKVVFDCVGWNLGTLP >ONIVA05G23770.1 pep chromosome:AWHD00000000:5:23418579:23423577:1 gene:ONIVA05G23770 transcript:ONIVA05G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAAGNFEALGPAGGGRRRSFGAKRMTRVMTVPGTLSELDDEDDEPAATSSVASDVPSSAACERLIVVANQLPVVARRRPGAAARGWAFSWDDDSLLLRLRDGVPDEMEVLFIGTLRADVPACEQDEVSQSLIDGFGCAPVFLPAGLYDRFYQHFCKGYLWPLFHYMLPFASALPAAASGDGRFDRGAWEAYVLANKYFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRSLPVREEILRTLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGIHMGQLQSVLRSSEKEKKVAELRQQFEGKSVLLGVDDMDIFKGINLKLLAFENMLRTHPKWKGRAVLVQIANPARGKGKDLEAVQAEIRESCDRINKEFGQLGYSPVIFIDQSVPSAVRLAYYTVAECVVVTAVRDGMNLTPYEYIVCREGIPGSECAPEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEREKQLRHEKHYRYVSTHDVAYWSKSFVQDLERACKDHFRKPCWGIGLGFGFRVVALDPHFTKLNFDSIIMSYERSKSRAIFLDYDGTLVPQASLNKNPSEELLRIINTLCADRNNTVFIVSGRSKDDLSKKLISCPKLGIAAEHGYFLRWTRDEEWQTTAQTSDFGWMQMAKPVMDLYTESTDGSTIETKETALVWHHQDADQGFGSSQAKEMLDHLESVLANEPVSVKSGQFIVEVKPQGVTKGLIAEKVLTSMKEKGQLADFVLCIGDDRSDEDMFENIADVMKRSIVAPKTPLFACTVGQKPSKARFYLDDTFEVVTMLSSLADASEPDLMADLEDDLATSVSSIEISDRVVSFSNLRTEGS >ONIVA05G23760.1 pep chromosome:AWHD00000000:5:23412934:23413884:-1 gene:ONIVA05G23760 transcript:ONIVA05G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGIRRQPQQQAAAAAERGGGGKGKAAAFSFSPLSWIAKLTARSSHGKCGGAKHAPAASMAGPSCRLPKRAAAAAASSSSVVAAVDDVAAGRSSPPRRSSVDVAPRRLSVGNDSAEAVARRLCQQQRRRRRHCSLGGDRDLPPLGHLIPFSLAGSPASQPPENAAAAAAGGATPSDTDAGAKLRTRRHRRRAHRRRRSSLGGSGRRSFSVSGRMPAVRIRPPRAAASAPELERLAVVRRTRDPQRAFRESMVEMIASSGGSIAARPEELERLLACYLALNADEHHDCIVKVFRQVWFEYINLHLHLSRRRRARHC >ONIVA05G23750.1 pep chromosome:AWHD00000000:5:23405774:23412305:1 gene:ONIVA05G23750 transcript:ONIVA05G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G77220) TAIR;Acc:AT1G77220] MLHKDALQKTKESFFIPKNCLGRSGKMFSPGFVSLSESLPSWPIVSAGISVTASLVLSLFLIFEHLCAYHQPEEQKFLIGLILMVPVYAVQSFFSLLNSNVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRFQFSESSPLLDVDYDYGIVKHPFPLNWFMRNWYLGPDFYHAVKVGIVQYMILKPICAILAIFMQLIGIYGEGKFAWRYGYPYLAIVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGIAVAFLFSTGLFKGHLAQRFQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVSNVAVMSDYASLGAPDPEEEREIDNVAIMQAARPDSRDRRLSFPQSVRDVVLGSGEIMVDDVKYTVSHVVEPVERSFSKINRTLHQISENVKQLEKQKRKAKDDSDVPLEPFSEEFAEAHDNVFGGSVSDSGLARKKYKNTKRAPSSLKPFEFRLGRWFQ >ONIVA05G23750.2 pep chromosome:AWHD00000000:5:23405774:23412305:1 gene:ONIVA05G23750 transcript:ONIVA05G23750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G77220) TAIR;Acc:AT1G77220] MVPVYAVQSFFSLLNSNVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRFQFSESSPLLDVDYDYGIVKHPFPLNWFMRNWYLGPDFYHAVKVGIVQYMILKPICAILAIFMQLIGIYGEGKFAWRYGYPYLAIVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGIAVAFLFSTGLFKGHLAQRFQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVSNVAVMSDYASLGAPDPEEEREIDNVAIMQAARPDSRDRRLSFPQSVRDVVLGSGEIMVDDVKYTVSHVVEPVERSFSKINRTLHQISENVKQLEKQKRKAKDDSDVPLEPFSEEFAEAHDNVFGGSVSDSGLARKKYKNTKRAPSSLKPFEFRLGRWFQ >ONIVA05G23740.1 pep chromosome:AWHD00000000:5:23402332:23404061:-1 gene:ONIVA05G23740 transcript:ONIVA05G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVGRWLRELRDHTDPSIVCMLIGNKSDLRHLVAVSTEDGKEFAEAESMYFMETSALDATNVDNAFAEVLTQIYQIVNKKPVEAPEDGSAGPGKGEKINVKDDVSAMKRVGCCSN >ONIVA05G23730.1 pep chromosome:AWHD00000000:5:23401148:23401603:1 gene:ONIVA05G23730 transcript:ONIVA05G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRTGARRAAAAVVVLSHASGGGGGHGPRGGGEREEEPTDASSSWLVDEDMATLRRRIREAREAEEEEGYGGGGGGGLPAEWTELERRHHGSYVAGVRGAVGLLQALLVSARPGLGAGLLALLLLGVPASVLLVSAQLLAVASAVLSGT >ONIVA05G23720.1 pep chromosome:AWHD00000000:5:23397358:23400707:1 gene:ONIVA05G23720 transcript:ONIVA05G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDSGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEEAFQCIVKNALKNEPEEELYVPDTVDVVGGNRAQRSSGCC >ONIVA05G23710.1 pep chromosome:AWHD00000000:5:23389808:23395699:1 gene:ONIVA05G23710 transcript:ONIVA05G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPPPNASTPAPAPPLPPSLGAPDPPPPPPPVMPSRPPPAAPPPSPPAASPPPPPAPPVAPRPTPSPPPPPPVMPSKPVKPVPPSSPPPPPVMQPPSPSPPLIPPPPPPTPVMPVLPPTPSPPSPVNPPPPSPSPPPSPVNPLPPITSPPSPVSPPSPANPLPPATSPPSPANPLPPATSPPSPANPLPPTTSPPSPAPPPTVPSQPPAAAPAPTTKPPPTPLPPARSPPAPSPASREPSPSPSPSVAPPSLPIFTPSPPGGGRGAATPSPPSSRPSPRSPPPPSSAPQGVMIAIVAAIVALLVLGAVAAGLLCFCSRRRRRRRRQPTSPGDFLGPLPVTSRHHQQSQFIKPTVTYPPQLNAHSPLQSSSNSDPPSPLLQPSPPPPAASGGTVSYGDLVAATNGFSEGNLLGEGGFGHVYRGELLLHDGRRQPVAIKKLRPGSRQGEREFRAEVDIISRVHHRNLVSLVGYCIHADHRLLVYEFVPNKTLDFHLHGSSRPTLDWPQRWMIAVGSAKGLAYLHEDCRPKIIHRDIKAANILLDYKFEPKVADFGLAKIQPGDDTHVSTRVMGTFGYLAPEYATTGKVNDRSDVFSFGVVLLELITGKRPVISTDPFNDETLVSWARPLLTKALEQHVYDDLIDPKLDALYDAHDMHRLISCAAAAVRHTARSRPRMTQIVRYLEGELSIDDLNAGVAPGQSSLRSQEHSGDTTELVRRRLRRVAFPPGSGVTVTGTGAVTDSGYLSEATSEYGVNPSISSSSGGDDDDTAGEVVGGVTATSRPHAASSPDTSEVASPHAGELAADAAKPMSRRTRLGRFP >ONIVA05G23700.1 pep chromosome:AWHD00000000:5:23385027:23387989:1 gene:ONIVA05G23700 transcript:ONIVA05G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19985) TAIR;Acc:AT4G19985] MRPGAMAAAAAKLPRLTTSAALFPTPRPFGSPRPAKARPMPPPPPITISMDPAAVDPAHLQALMLACAHSCAIRPSPPSAAEDPVDLRKLRVALAHSFLVVSVFCSARFLDDGDGGVDGDGRRRLLGLGLGLGRREDRRLVGFGRAVSDVGLTASVHDVVVHPSLQRRGIGRQIVERMTRPFFEACGFGDDAMGSTTMMYTGKMHR >ONIVA05G23690.1 pep chromosome:AWHD00000000:5:23380809:23381168:-1 gene:ONIVA05G23690 transcript:ONIVA05G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPSTPMTQSSYFAGCMGSPAWLPAVQRSPARFHLLSRDATAGRDDGGRRAWSRLLRRLVRESRSFCSLGSRHGGAMAAATTTFHYDAASYAKNFDDGRRAHYAASAQAPPPVAGAS >ONIVA05G23680.1 pep chromosome:AWHD00000000:5:23373430:23378376:1 gene:ONIVA05G23680 transcript:ONIVA05G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKFKGKPTGERSFSSEEQIAAGTSAGRPKSFKKKQSEKEVYDRRQESDEEYDRSQESDEEESDNFQKNKHKGTEGLIEIENPNLVKPKNIKAKDIDIGRTSDLSRHEREELEKQKSHERHMKLQEQGKTEQARKDLERLTLIRQQRAEAAKKREEEKAAKDERKAEARK >ONIVA05G23670.1 pep chromosome:AWHD00000000:5:23371511:23373140:-1 gene:ONIVA05G23670 transcript:ONIVA05G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGKGESSGGGGGGGGEMGFRFKPREAEAVEYYLLPRLQGRPPVPNPAIVVENVYEFAPERLINEKCNGGVGGEGEEGWYFLSPRDRKYRNGKRPSRSTEDKAGRWKASTGKTEGKDPITECYGWVKFCVTSLVYFKGPVKTEKKTKWLMREFTIPHFENKLDKTAAAGGSSNQRQLDQYVLCRIYTSPKKGADDGEQAEVVRGGGGEDIDEWAEACAVFDLGPETAEGSDNADAAAAEGDMRSAKQAGKRPVAAAAVAEQPSKRPWLPPSPSTPCDGGPSQAMGNRQVPMQGLSLMHNFPPPPTTFCGHAPLQQGFPVHNNRAQMRWPTMQHNCMPSPAHSFQPRPVQRRPVLVGQAPPQRGPVHHVGGHAPMHMHQAQWTPVHIAQAPMQQLPFDDWVFDPFDDPPPPMQQLPVMMNNYQPQAPMQLPPMMNNDQPAMVHGGELQAPMQLLPATTHGGEVQAPMPLNVYEEEQRPSQEDGGQCTNAEG >ONIVA05G23660.1 pep chromosome:AWHD00000000:5:23367142:23371657:1 gene:ONIVA05G23660 transcript:ONIVA05G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAGGDGGRGSSGGKGSSRSSSRHQQFRNLAKTRVDDLQEMFSGLQSARKESRSADAALLEEQVHHMLREWRAELNNSQSQGNNREASDPPSETLRLLQLAGAEEEDDATSKLVMPRSPMPMQSSHEGHNLSPVLQGGTMAGGAAELMVPRSPLQQMPSSHQSHGHGQDGGQNLQGEAVMGSTAATAAPHLGQGMQGDCGGMAGVTNAMFHDQLYYIDHELNIDDFLQDDDYKINLPGSNPDGPNTMQGIGQLEHQQYNLPLDLPPNSFVDANNSAQSSGDVFFHMSDLLTTMCPSPSQYLGPKCALWDCGRPVRGSDECQHYCNPYHAGLALNDDGLLGTRPVMRPRGIDLKDGPLFAALSAKVQGKNVGIPVCEGAATTKSPWNAPELFDLSLLEGESLREWLFFDTPRRAFDSGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSSNYEWHLFEYETNDSDALALYRLEYKSSDTKRSVKSKLASSPLSEIQQQMVRLSADSPVESKRTARSRGKANQKDNNSNAYPALNTPVQVSASNAHQTMSVNTPDQMDQMTFLDGSVVYGPHLPYGYSTERSDFYWNPSDGT >ONIVA05G23650.1 pep chromosome:AWHD00000000:5:23356649:23358322:-1 gene:ONIVA05G23650 transcript:ONIVA05G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLELSESKCNGGQEVSLLDAQLELYWNTFAVIKSMALKSALDLGIADAVHRHGGAATLAEIAGEVALHPSKIPCLRRLMRALTVSGVFAAAVKPGDGGGGEPVYELTPSSRLLVGSSNLSGIMSMILHPTLVVPFLGWVLHDWGDDDCIKILKNCKKSIPPRDKGGKVIIMDIVVGAGPSDQKHREVQALFDMYIMLVNGIERDEQEWKKVFVEAGFSGYKIMPILGFRSMIEVYP >ONIVA05G23640.1 pep chromosome:AWHD00000000:5:23352135:23355774:1 gene:ONIVA05G23640 transcript:ONIVA05G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTESKCDGGQEVSLLDAQLELYWNTFAVIKSMALKSALDLGIADAVHRHGDAATLAEIASEVALHPSKIPCLRRLMRALTVSGVFAVAVKPGDGGGGEPVYELTPSSRLLVGSSNLSGIMSMILHPTLVVPFLGVGEWLRRDREPPEEDPYCIFKQAHGRSLWELAGRDAAFDALVNDGMVSDSRVIMDYVVREHGEVFRGIASLVDLAGGLGAAAQVISKAFPEVRCSVMDLVHVVAKAPAGTDVEYIAGDMFESVPPADAVFLKWVLHDWSDDDCIKILKNCKKAIPPRDKGGKVIIMDIVVAGPSDQKHREVQALFDMYIMFVNGIERDEQEWKKVFMGVGFNACSSIITDLILETTMQNLKSRI >ONIVA05G23630.1 pep chromosome:AWHD00000000:5:23343811:23349433:1 gene:ONIVA05G23630 transcript:ONIVA05G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGX0] MGIDLNTVEEEAEEGAAAAVCGELWHACAGPGVALPRRGSALVYLPQAHLAADGGGGEVPPAGAAAVPPHVACRVVGVELRADAATDEVYARLALVAEGEMLQRNFREGGGEDGAGEMEGCDAEKKPRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLVIHLKEKLVTFNDYKTVRPSQELIAVDLHGTQWKFRHIYRGQPRRHLLTTGWSSFVNRKKLVSGDAVLFLRGDDGQLRLGVRRAVQLRNEALFEPVNSSDSKLRILSSVASSLENKSVFHICFNPRSGASEFIVPYWRLLKSLNHPFSIGMRFRVCYESEDANERSAGLISGISEVDPIKWPGSRWKCLLVRWDDSTDSSHQNRVSPWEIERVGGSVSVTHSLSSGSKRTKLHFPQGSLDTPFLNGNGHPDSMGTENFHRVLQGQEFRGSRSHGVVCSESPGVPNFQSPDNRRFSADMRGYMMPESGPPQRNTEFTYQPIGFSESLGFPEVLQGQEMSQVVPLFRGATFGARTQNDRVVSANSVHRSAAQSGLLASTLGHPISQFTLSSSKVSSPSSVLMFNQATAPNHETVSGTNNKGMHVSQFASQEMLSETVTWPGTQRQTPSEITSNQFALARIPAPPSGAESGLPKRDAGRSSCRLFGFSLTGNMLGEDGEGLDDGAIEAGCENPPVLELFGHSHSTPGALHALCAAAPLGM >ONIVA05G23620.1 pep chromosome:AWHD00000000:5:23327768:23331183:1 gene:ONIVA05G23620 transcript:ONIVA05G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQLDSGLLVGFLFLATCLAVAIRSYLRSGGAAIPSPPALPVIGNLHQLGRGRHHRALRELARRHGPLFQLRLGSVRALVVSSAPMAEAVLRHQDHVFCGRPQQRTARGTLYGCRDVAFSPYGERWRRLRRVAVVRLLSARRVDSFRALREEEVASFVNRIRAASGGGVVNLTELIVGLTHAVVSRAAFGKKLGGVDPAKVRETIGELADLLETIAVSDMFPRLRWVDWATGLDARTKRTAAKLDEVLEMALRDHEQSRGDDDDGGGGDGEPRDLMDDLLSMANDGGGDHGHKLDRIDVKGLILDMFIAGTDTIYKSIEWTMAELIKNPAEMAKVQAEVRHVAAAAHGDEDEDTVAVVREEQLGKMTLLRAAMKEAMRLHPPVPLLIPREAIQDTVPHGHRVAAGTRVMINAWAIGRDEAAWEGAAEFRPGRFAGGGDAAGVEYYGGGDFRFVPFGAGRRGCPGVAFGTRLAELAVANMACWFEWELPDGQDVESFEVVESSGLSPGLINPLVLAAKPLK >ONIVA05G23610.1 pep chromosome:AWHD00000000:5:23323420:23323674:1 gene:ONIVA05G23610 transcript:ONIVA05G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARTTGIVLVVILLVAAELAAAPEARRIVYAAAVGYSTGAGEGGVASNGGGGAQPRFKWNTRREIGGDKRTVPGGPDPQHHH >ONIVA05G23600.1 pep chromosome:AWHD00000000:5:23315430:23315669:1 gene:ONIVA05G23600 transcript:ONIVA05G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAGKKKVLCCVVVVLLLCAAAVQASEARPLLRETAVVGGGDEGSQVGGGVVAGGNQSPAAYDDEKRLSPGGPDPQHH >ONIVA05G23590.1 pep chromosome:AWHD00000000:5:23311474:23312367:1 gene:ONIVA05G23590 transcript:ONIVA05G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPPAAACRSKSAPSKSARVVLNSPVWPDSRFPEIGVKVMNRVHDTLAISGEFKVK >ONIVA05G23580.1 pep chromosome:AWHD00000000:5:23295534:23299761:-1 gene:ONIVA05G23580 transcript:ONIVA05G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKPAALEQISLVRSPSVEDNFGAGLPVVDLAADGAAGEVVRACERFGFFKVVSHGVGEGVVGRLEAEAVRFFASPQAAKDAHGPASPFGYGSKRIGRNGDMGWLEYLLLAIDGASLSRSSPAPSSSLRDAANKYVGAMRGMARTVLEMVAEGLGVAPRGALADMVVGDGAASDQILRLNHYPPCPPLLQNLMPNCSPTGFGEHTDPQLISILHSNSTSGLQVALHHDADAGDHQWVTVPPDPASFLVIVGDSLQVMTNGRMRSVRHRVVANKLKSRVSMIYFGGPPLEQRIAPLRQLLVAGVGDGEEEEQSRYEEFTWGESHKENKLAIIYIIKKISAETLCSDLLA >ONIVA05G23570.1 pep chromosome:AWHD00000000:5:23292032:23293894:1 gene:ONIVA05G23570 transcript:ONIVA05G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVRTVKKPSKFEDSDTVTAKPARAVFEMPHRTSPRAPVRSKTTAAAPAAAGSEHHRDRVVVGAGGGAARGTVLFLTSVCMVACLQKKPAGGGAAVSRVAELEAKLGKAEGQLAEMREQLAAAEKARKDARAALVESKKRFSAAKKRVATAGAASSSAAAEQTPPQAVSDEKCGVISPAGDVPEAAEPGDAQGEETKEMADDDEVNSVTAAIVGDLEGNKGGQEVEQLRTKLMEKDMEVYELKAKLIAMDAEADDLRASLATKGMEIDELRAKLTSKDADIAAVEADNAELMKMAEEASHAVKETATKARDTEHALRESAAREAARVAERLRASERAREALEAELQRGRAQSEQWRKAAEEAAAVLAAVEHGAGAPAADVEWRRHSSGAAAGERVAKDTDEHHVSGGKRNSGGAMRMLSELWKKKAQK >ONIVA05G23560.1 pep chromosome:AWHD00000000:5:23287019:23289506:-1 gene:ONIVA05G23560 transcript:ONIVA05G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYLPVVKLSKELDMHRCSGEASDTARVTSGGEARRQLAAPVMADANRRIDLAAPLVSVRRHGGGAAGEAATRTDGTRPGHPKSVRTRRATMVHATARDEEPARDAMAVVAVAAPVRERDQEARFSDALSVADSCLTVNCSSASGLSDAVAQPPRGVGVGGGVMMDRFLPAAHAVAVLSPQCSSRKASVAAAAARNGHGADALLPPPEPTPTIRTLCIVPREKTDDADAAVDDNGGGGEWDAHSTRGVSSRRCGLLLPTRCMKSTLLLLNPAPAMRRRGGGRRRDRGAPLLSKIGRSQSLGNPLVRSAHDTGIMRSWEEVYINSLRRSGRGGRKGIGALLSPELDTTMPSVRELYLEQGDGVVHPKATHLGFLLVLDRSHDQCHDSHDDPKLLPPPRFPRPAPPKVFDGGKKQRRDAAGAGGGGGGGGYGWPLLLEDKAAASRDMVPPLPPLPSMKSPSESWLSRALPSVSSNPPATSFLGIHVQHKKQSPPPRCSSRAPAKLVADGHARPRQMRIHDLQKS >ONIVA05G23550.1 pep chromosome:AWHD00000000:5:23280563:23285340:1 gene:ONIVA05G23550 transcript:ONIVA05G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGW2] MALWRCSSSWLSSVSRSSGGVGGGESKVSPEIAPVSGGEGEGEEEEGEEERWSRLLPELLTEIMRRVDAGAERWPPRRDVVACACVCRRWRDAAVSVVRPPLECGRITFPSSLKQPGPRDAPMHCFIRRNKKNSTFYLYLSLTQALTDKGKFLLAARRFRNGAHTEYIISYDCDDLFPGSNSSDFLGTKFIIYDSQPPYDGAKPSRSQSSRRFASKQINPNVSGGNYEVGQVSYKFNFLKSRGPRRMQCNIQCPVGQSTASDPLKEKLISTSSPLALRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAPAGTSDPWGIADEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >ONIVA05G23540.1 pep chromosome:AWHD00000000:5:23214252:23215793:1 gene:ONIVA05G23540 transcript:ONIVA05G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGW1] MAATPPSSRDPSPQHRRPLSSSASAASLAGKPRGGGLLLGRYELGRLLGHGTFAKVYQARSADSGEPVAIKVLDKEKAMRHGLVPHIKREIAILRRVRHPNIVRLFEVMATKSKIYFVMELVRGGELFGRVAKGRLKEDTARRYFQQLVSAVGFCHARGVFHRDLKPENLLVDEHGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGIILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSKDLSSLLNRILDTNPETRITVKEVMESRWFQKGFRPVRFYVEDDQVHSLADGDNDMPELEPSEPPPPPPFPPPPPQQDDDGEESGWESDSSVASCPATLSSEERRQRPLGSLTRPASLNAFDIISFSKGFDLSGLFEERGSEVRFISAEPMQTIITKLEEIAKVKSFFVRRKDWRVSIEGTREGLKGPLTISAEIFELTPSLVVVEVKKKAGDKEEYDDFCNRELKPGMQHLVHHMGSVPNIPSDTE >ONIVA05G23530.1 pep chromosome:AWHD00000000:5:23202811:23209410:-1 gene:ONIVA05G23530 transcript:ONIVA05G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline iminopeptidase [Source:Projected from Arabidopsis thaliana (AT2G14260) TAIR;Acc:AT2G14260] MIRALRATSSPRTPTPTPTLPTSRRPRPPPPPPAPSAAGGRQGLGCHLRCRATLAAATNAPMGRLQQQHQEQQLRKDLYPQTEPYDFGFLKVSGVHTIYYEQSGNPQGHPVVFLHGGPGAGTSPGNRRFFDPEFFRIVLFDQRGAGRSTPHACLEENTTWDLVFGGSWGSTLALAYSESHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDFIPEDERNCFIAAYSKRLTSSDADVQAEAAKRWTMWEMMTAHLIQNHENIKRGEDDKFSLAFARIENHYFVNKGFLPSDSHLLDNVDKIRHIKAFIVQGRYDVCCPMMSAWDLHKAWPEAEFKMVPDAGHSANEVGVAAELVSANEKLKSMFTK >ONIVA05G23520.1 pep chromosome:AWHD00000000:5:23200293:23203452:1 gene:ONIVA05G23520 transcript:ONIVA05G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDHRSYLADHPAASAITTAQGEELRKQIGAAAYIECSSKTQQNIKAVFDTAIKVVLQPPRRRGETTMARKKTRRSTGCSLKNLMCGSACVV >ONIVA05G23520.2 pep chromosome:AWHD00000000:5:23200293:23202530:1 gene:ONIVA05G23520 transcript:ONIVA05G23520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDHRSYLADHPAASAITTAQGEELRKQIGAAAYIECSSKTQQNIKAVFDTAIKVVLQPPRRRGETTMARKKTRRSTGCSLKNLMCGSACVV >ONIVA05G23510.1 pep chromosome:AWHD00000000:5:23192561:23196702:-1 gene:ONIVA05G23510 transcript:ONIVA05G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase family protein [Source:Projected from Arabidopsis thaliana (AT1G70630) TAIR;Acc:AT1G70630] MAAVLVLLSTGLSLSLSRADLAAVVVAEPRLLCAKADRCNARKFYNLNKVGPTTQPPPPSSRHAAPRRVPRCAPKMLVASRHGAHPRRRRAGGNGVADELALPLAGGLALLLAFVTAAAVLSGGDRREEGDRRRPDLPGGAAGPRVAIFSAPLPPPDGSPARQELAVRSWLALPGNVSVVLLAAHPSAHALAGRLGGRVTVDAAIDISFTGTPFFHSIVARAQAADSDICVLVDAEIILLPETITLLKHFSRSDLDWLVFSASRNISAFPYHLVDNGTQWADEHGKQVSFKKENQSDKWAGHGSDRGLIVAWNNPSTRMVAGVMPSFLNGRGVHNWWLIHEVLSSETRLVFDASNLVLGLYPENFSEKRGTSTSRNVSNPDGSWEYDVNRHLAAVYGSYCYELPRRNSPMAYKVVKQFEDYMFSKNEGPNLSNSVINKEQNVHPEGGSLCEKEISYSSAVNLPHSLEMLLELVADKNRSVVLAVAGASYRDMLMSWVCRLRRLRVTNFVVCALDQETYEFSVLQGMPVSRDTLSPNNVSFDDCHFGTQCFQQVTKVKSRIVLKILRLGYNVLLSDVDVHWFHNPVSFLHSLGPGTFAAQSDEFNQTGPINMPRRLNSGFYYARSDDATITAMEMIVKHATNSGLSEQPSFYDILCGKDGANRIGDDRCLEPSTNLTVVFLSRDMFPNGAYGGLWEKKHGVSSACRELGCVIIHNNWVNGRRKKLHRQMASGLWDYDPGSRLCLQNWSNASRFSVQTDDPVSYDS >ONIVA05G23500.1 pep chromosome:AWHD00000000:5:23190537:23191943:-1 gene:ONIVA05G23500 transcript:ONIVA05G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGFNLRLVPSFPPEVEAPLAAAAAMAAVAMSGSMEASPAAAVVPCRRDMKRRLQEEIDAVRGLLGKAEALVAVASEDVNGGAAASASAVAKRSPRRVRSPPRRGRSDREELDRARDRRHGGRSDREVFDRGRDRRHGGRSDRDREVFDRARDRRHGRSDREELDRERDRRRSRSRRSHREELDRERDRRRRRSSDREVFDPARKIPRRRPHEAESEPRKIEAAAGAPPQCQAKDGEIAPAMDASPSLCEREEGEIADDHGAAMDIDIDIPRGGAISPLVVNKVQSSPLAKNDDDDELVDISGEASPVAIENFPEATKSSISPSNDEPSLGNYSGDDDDDDGDDGESSKKPDTTCLPTEAAATATTPLVAAAASPPATQTSQLIAIAKEKQRLRREVERRAAREALEAMARAARPIRDDIAATDMMQLGLFETQYIVSTEKSQDSLRRGSGGLLQQLGFFLKPEYS >ONIVA05G23490.1 pep chromosome:AWHD00000000:5:23184075:23187829:1 gene:ONIVA05G23490 transcript:ONIVA05G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGV5] MEGGGGGGQELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSVILRVVCVFGGVFAFSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETLFFVLIVATCVLTQMNYLNKDWSGQSLGSITSEICGLIVVLSGIYAPLSPSLTARLNGDLLKHVEDDRNPDEEKALRRQEMY >ONIVA05G23480.1 pep chromosome:AWHD00000000:5:23179476:23182936:1 gene:ONIVA05G23480 transcript:ONIVA05G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G73840) TAIR;Acc:AT1G73840] MAANQSAGEALAANISAMSRPEMYDLMSQMKVMIDHDQERVRRMLVDNPDVTRALFRAQVVLGMVKAPKTAQSSDKAQPAAVQATPSSSVKPTVQDHASFPQPQLPSSQQNIQPSGPFSSGPSNPASSLDLPAMSANPQQSAQAKGYPIHQMPPTSTTQTSQHQSATLPPHVSSQYSNVPSHMPIVHSQPQQPLQNPGMFNQQLQPPLPQLPRPPNMQPFVHQMHPQVPSSFGLSHTNAPQHMLQQSMFHPGGNPQTSFLTGQPPLPNQPPPLPNQPPPQLYQGSSHAASHYNSQSMQMDRSTPWGRGNAEASSAGTHFPGHLPGLPGQMTQGIGGIHSARPEAPLTPEMEKMLVQQVLSMSPDQINMLPPEQRQQVLQLRDMLRQ >ONIVA05G23470.1 pep chromosome:AWHD00000000:5:23172663:23177874:1 gene:ONIVA05G23470 transcript:ONIVA05G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTRAARSRALLLLPRASAAAPHFSTTASSGAAAAAAAPVEAAAAGASDASAAAAAGAGEQPAPPPKRWGLLKFGAFAAVCGALGAAGYSSYAYTLEEVDQKTREFRKAMTTPRPVAEDASEFEKFQAMVYSTAMKAPVAAIEFYMDVRHTIEDHIRGFAEPTSDKLLPDLDPLNQHIFTLVLDLNETLVYSDWLRERGWRTFKRPGVDAFIEHMGKFYEVVVYSDQMPMYVDPVLERLDTKGFITGRLSRPATKYQDGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVQIKPWKLETDDTQLLDLIPFLEYVAMVRPSDIRTVLASYQGRDVAAEFIERSKEHQREKMVFHGPYAYARAKTTWAYMETIMDLICRKDEAPIDSSILDVFSLFQAPQVKLLTAMKN >ONIVA05G23470.2 pep chromosome:AWHD00000000:5:23172663:23178412:1 gene:ONIVA05G23470 transcript:ONIVA05G23470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTRAARSRALLLLPRASAAAPHFSTTASSGAAAAAAAPVEAAAAGASDASAAAAAGAGEQPAPPPKRWGLLKFGAFAAVCGALGAAGYSSYAYTLEEVDQKTREFRKAMTTPRPVAEDASEFEKFQAMVYSTAMKAPVAAIEFYMDVRHTIEDHIRGFAEPTSDKLLPDLDPLNQHIFTLVLDLNETLVYSDWLRERGWRTFKRPGVDAFIEHMGKFYEVVVYSDQMPMYVDPVLERLDTKGFITGRLSRPATKYQDGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVQIKPWKLETDDTQLLDLIPFLEYVAMVRPSDIRTVLASYQGRDVAAEFIERSKEHQRRMQEQKQHGRIWRR >ONIVA05G23460.1 pep chromosome:AWHD00000000:5:23158764:23164508:1 gene:ONIVA05G23460 transcript:ONIVA05G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMAGVDNRGGEALSPAAVASGRGRSNHEQLKMISNNSTNEELGGGGAAAAVASSRHWSASTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLINAAQAEIDKLPPLQFPPHDHDLVAAAASSMAPPPFANGGDGHHGASASSMLEDGDKAAGGGGMKAFMSLSNSLGLLNAATMPATLAAHHHHHHHAAAYYAAAESWGNGGNGGHHHDVSHGVSPSAHNSPFPSLLSLAPGSHHQFVFYSPEGGGFAVKEAAAEQFPVDSLDHSQGQLTLSSARSFLHSGSQG >ONIVA05G23450.1 pep chromosome:AWHD00000000:5:23142139:23142534:1 gene:ONIVA05G23450 transcript:ONIVA05G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSPRDVRLGHRKTRSGLSLQWDALRLMIVDIVTGFGSLLSLSFFPLFSISQTLKRASDIVALLIIITSSASSTSTDLAALLVLKGVISHDHDSVLTT >ONIVA05G23440.1 pep chromosome:AWHD00000000:5:23139069:23141866:1 gene:ONIVA05G23440 transcript:ONIVA05G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLLLLLLLAMSTGSDGAFCVCKPDQSPAAMQKAIDYACWRGADCTQIMQSGACYQPSTIVAHCSYATNSYFQKNSPIGATCDFGGVATLTNTDPSSGTCKYPATASGVGTGMGTGTSTGTGTGVGTGGTGTGVGTGTGGAGVGAGTGTGVGTGTGTGAGMGTGAGAGTGITTPGSTTGTQGGALSPPFGGAYGPSAGAMNPDYNEAAPARSQLAATAVLLAAAPFLFHLI >ONIVA05G23430.1 pep chromosome:AWHD00000000:5:23129998:23135893:-1 gene:ONIVA05G23430 transcript:ONIVA05G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADEEQEGISAQSPAQAPPSSASSLPKEQSQVELELRVLQALEFYPPSKLKGIHRHFVIYGLMEYLRKSLDRQFSADEVLQLLDRFFNLEMLKCLVTTTTTRLRLRPPAAPAAAAATAAATPRRPATTTPSPPPPAPTPPQPQTQPPPPPPPPTSAAHHAYHYQYQYQYEQPKPHQYPQPQQANPSDHSHAVLHSLLRRVAALESTLPRCFASPPVPPPLHRNPRHRPRAAAHREEEDDEEEEEDDEDAPASLPPPPRRARAPPSPARERAARTIQAHFRRFLARRSRTLRHLKELAVLRSKAAALRGSLSGRGRVGDPAAISEAAMVLLFHLDSIQGGDPMIREGKRAVSRELTRILEFVDKVLVKEHREMAMNGELDCKDYHEGCNAAFAANPSAMNKKKVGFCGNGKVQELHDEAEQEHGSDADESSETSSSAEAEARKRSNSKRGAHAKPGLAAPMPVYMEPRRIDEERR >ONIVA05G23420.1 pep chromosome:AWHD00000000:5:23126357:23126719:-1 gene:ONIVA05G23420 transcript:ONIVA05G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAPSAPWRWSWSVARAVFLASLLVLASAQQQPRPPRAPEMSAVDVDAILARVCGGGSSRQAAPVPPLPLCHELMRHRGGVRRHHRRPAPPPGRDEEVDLRYGVAKRLVPTGPNPLHN >ONIVA05G23410.1 pep chromosome:AWHD00000000:5:23121248:23124713:1 gene:ONIVA05G23410 transcript:ONIVA05G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAVASTAPPQPLRPRRGIAVPSSYSHRAATRPRLAVSASSTTTGTTETTSERGGAERFYFNFTGFPFPLGPFLNRRTIRTEAVKGRIWLFEQEQALGFSSVSTNTRMTVIKLKSGGLWQSPISPVSSDSVSSHVAAVQLLKELDAPVEHIVLPTFAYEHKVFFGPFTRKFPRAQIWVAPRQWSWPINLPLEFFGIFRAKPLQDEDDDTPWAGEIEQKMLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKILSKGKEVPDEPVVDNKLNRQKGSYIFRIELIILEHCSNLVGVTTGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAAPINASRSDFLAAFAFLDEFLPERSPASPGLSLLFASLMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >ONIVA05G23410.2 pep chromosome:AWHD00000000:5:23121248:23124713:1 gene:ONIVA05G23410 transcript:ONIVA05G23410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAVASTAPPQPLRPRRGIAVPSSYSHRAATRPRLAVSASSTTTGTTETTSERGGAERFYFNFTGFPFPLGPFLNRRTIRTEAVKGRIWLFEQEQALGFSSVSTNTRMTVIKLKSGGLWQSPISPVSSDSVSSHVAAVQLLKELDAPVEHIVLPTFAYEHKVFFGPFTRKFPRAQIWVAPRQWSWPINLPLEFFGIFRAKPLQDEDDDTPWAGEIEQKMLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKILSKGKEVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAAPINASRSDFLAAFAFLDEFLPERSPASPGLSLLFASLMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >ONIVA05G23410.3 pep chromosome:AWHD00000000:5:23121248:23124713:1 gene:ONIVA05G23410 transcript:ONIVA05G23410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAVASTAPPQPLRPRRGIAVPSSYSHRAATRPRLAVSASSTTTGTTETTSERGGAERFYFNFTGFPFPLGPFLNRRTIRTEAVKGRIWLFEQEQALGFSSVSTNTRMTVIKLKSGGLWLLKELDAPVEHIVLPTFAYEHKVFFGPFTRKFPRAQIWVAPRQWSWPINLPLEFFGIFRAKPLQDEDDDTPWAGEIEQKMLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKILSKGKEVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAAPINASRSDFLAAFAFLDEFLPERSPASPGLSLLFASLMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >ONIVA05G23400.1 pep chromosome:AWHD00000000:5:23118177:23118707:1 gene:ONIVA05G23400 transcript:ONIVA05G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEAGAGSKLGRWLGAPVRALSRACDSYVRKMSACAGHMPTHAAGAMGRGGFAPGAMQAATFSSRSRRGGVDGGGDDDDVGALVRALSQRQAASSASAATSVPVRSRSVAVGRIDEDAPCEFGAEDARLGPVAAPPHVRRSRSVVVGAGRAGVGFGGGAGAMRMGPGVGVGVVRG >ONIVA05G23390.1 pep chromosome:AWHD00000000:5:23108270:23113551:-1 gene:ONIVA05G23390 transcript:ONIVA05G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:breast cancer susceptibility1 [Source:Projected from Arabidopsis thaliana (AT4G21070) TAIR;Acc:AT4G21070] MADTGSLEKMGRELKCPICLSLLSSAVSISCNHVFCNDCLTESMKSTSSCPVCKVPFRRREMRPAPHMDNLVSIFKSMEAAAGTNVVSTQEAPVVKLADGSDCVNSGKNSKRSQKSLTRKRKVTSEMEKNTAKDATASASQPTTKPSFSTNKRIQVKPFPESETPIRAEKIMKPEEPKNNLNNDVEGKNKAVSGQPGSPSLSPFFWLREQEEQEGCTAETLSETQSLDTPLRHNAPSFSDIKDSDDEIPLNTTPNSKAAATELFDSEIFEWTQRPCSPELYSTPLKKQSKAKSKLDQIEEKGDEEDVHIGGSFDKLGNASNAAQLVNTKATKQKRKKTSPSNKNSAKLSNRAEPCIKKSDANQQGSNRRKSAALKSCQKSSSAVGRNTSGRRNKASSNSKPIHGSSDNSPESYLPKEGLDVEAPDKPLSERIQNLEKTSRRKGSARKLEMAGKTISDTTEKNSEPRSKRVRRMSDHAIAKPVEVPSGSGNETEIPQLHTLTKGSIQCKSSNARRHSKVCGEQEGKNKLENTTMTPIILHGKCQNKEAVCTAPSVRTASVKYKQAKFSEQPDCFGTENFGNLQACPARNVLLKKCEVSTLKVSCAFCQTDVITEESGEMVHYHNGKQVPAEFNGGANVVHSHKNCLEWAPDVYFEDDSAFNLTTELARSRRIKCACCGIKGAALGCFEMSCRRSFHFTCAKLIPECRWDNENFVMLCPLHRSTKLPNENSEQQKQPKRKTTLKGSSQIGSNQDCGNNWKWPSGSPQKWVLCCSSLSSSEKELVSEFAKLAGVPISATWSPNVTHVIASTDLSGACKRTLKFLMAILNGRWIVSIDWVKTCMECMEPIDEHKFEVATDVHGITDGPRLGRCRVIDRQPKLFDSMRFYLHGDYTKSYRGYLQDLVVAAGGIVLQRKPVSRDQQKLLDDSSDLLIVYSFENQDRAKSKAETKAADRRQADAQALACASGGRVVSSAWVIDSIAACNLQPL >ONIVA05G23380.1 pep chromosome:AWHD00000000:5:23106462:23106629:1 gene:ONIVA05G23380 transcript:ONIVA05G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVESAHYIKTEHLVPLSEQQLVDYADIALNHTSRRALEWIAENDGITMQLDYP >ONIVA05G23370.1 pep chromosome:AWHD00000000:5:23103419:23104239:1 gene:ONIVA05G23370 transcript:ONIVA05G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVWRSIVRKGDTVVDATCRNSNDTFAMLKMVADERDKEYKVESAIASTSSFLKMAVNSHELELVKLFTICQSRMEEVVPKDFPVSIQLGLSSRMR >ONIVA05G23370.2 pep chromosome:AWHD00000000:5:23104244:23104754:1 gene:ONIVA05G23370 transcript:ONIVA05G23370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MITVAKTTELALQAASRIVSSGGLISVLVYIGHLGERDELDVVESFTSSLPMKTWMSCKFEMMNRPFEMMNRPMAPVLIILHKK >ONIVA05G23370.3 pep chromosome:AWHD00000000:5:23104244:23104756:1 gene:ONIVA05G23370 transcript:ONIVA05G23370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MITVAKTTELALQAASRIVSSGGLISVLVYIGHLGERDELDVVESFTSSLPMKTWMSCKFEMMNRPFEMMNRPMAPVLIILHKK >ONIVA05G23360.1 pep chromosome:AWHD00000000:5:23101471:23102709:-1 gene:ONIVA05G23360 transcript:ONIVA05G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAAVRDQVELTMRLLHHLLLDDKHGTTTNLAFSPLSLHAALTLLASGAAGATREQIVAFLGPAGADAHTALASKEASVGVLACRRSAGCSNPEVRSAMAVWVDASLRLNPAFADTAASVFKAAVRSAGNPAAARAEINEWFSSQTGGFVKDILSNSIDDDDDGSGGGGGAISASVFLANSLYFNAYWDHPFFPHLTEEGDFHVSPDHDVRVPFMAGSHQHAFKDVGCHPGFNVLRMMYRTGGAAGGDKMFAMYIYLPDDRDGLPELARKLASNPAAFLRRTIVPAQPVAVGELKIPKFEVSLKVEASRLLRELGLDLPFLPAADNSFSGMLLDPPQGTAVSSLLHQCFVNVNEEGTVAAAGTVGEIMGFAMPDDQIVDFVADHPFLFFIVEEVSGLVVFAGQVVNPLLH >ONIVA05G23350.1 pep chromosome:AWHD00000000:5:23094707:23100644:1 gene:ONIVA05G23350 transcript:ONIVA05G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGT6] MAAYCSRVYHHHPVSPSTMQGSLARPSIHAGSASLTFRARPNSVSIVRCDADSPPEGSAVAGWAPPGPYTGRDPAARKPAWLRQRAAQGEKYARLRESLGELKLNTVCVEAQCPNIGECWNGGGGAGGDGDGIATATIMLLGDTCTRGCRFCAVKTSNKPPPPDALEPLRTAVAVASWGVDYVVLTSVDRDDLPDGGSGHFAQTVKALKELKPGILVECLTSDFRGDLEAVSSLASSGLDVFAHNIETVRSLQRIVRDPRAAYDQSLAVLKHAKNCKDGMVTKSSIMLGLGETDEEVKQTMCDLRAIDVDILTLGQYLQPTERHLRVREYVTPEKFDFWKEYGESLGFLYVASGPLFFDESFALKLCADDRNLAVTLLKGADWFAILNYTIIVVFPVAGVEDAVVGFVTGKRKATELAHAIWNESSVNGKCSLGFNGRCVKEYVYTLAIHILSFGFLIRVWRSIVRKGDTVVDATCGNGNDTFAMLKMVADERVQGRVYGLDIQESAIASTSSFLKMAVNSHELELVKLFTICHSRMEEVVPKDFPVRLVAFNLGYLPGGDKTIITVPKTTELALQAASSIVSSGGLISVLVYIGHPGGRDELDVVESFASSLPIDTWMSCKFEMLNRPAAPVLILLYKK >ONIVA05G23340.1 pep chromosome:AWHD00000000:5:23083136:23090771:-1 gene:ONIVA05G23340 transcript:ONIVA05G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDFIAGRSRCARQVGAGRREAEEEERGKAARSFFVRSPLLPAPIAAPRPAASSPARDPLRVASRRRRDRGIVPSIGFHGELPIWISDPIVSWIDRFRVPAVGCWIGQYKKTVGFGDDAIVSGNGFREKLKFQPRDKSKSPAVAASHGKDPGKPPIDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKASKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAFIVKLYYSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTSTKGTKPLPDSSSRLSSSAPKRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKACTLPTIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGLPWERLYQMKAAFIPEVNSELDTQNFEKFEETGAQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKSKRPTIKTLFESMDEDEPVQGSFLNMLPRKEGQPSSHSTIPPEQYQPRHK >ONIVA05G23340.2 pep chromosome:AWHD00000000:5:23083136:23090771:-1 gene:ONIVA05G23340 transcript:ONIVA05G23340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDFIAGRSRCARQVGAGRREAEEEERGKAARSFFVRSPLLPAPIAAPRPAASSPARDPLRVASRRRRDRGIVPSIGFHGELPIWISDPIVSWIDRFRVPAVGCWIGQYKKTVGFGDDAIVSGNGFREKLKFQPRDKSKSPAVAASHGKDPGKPPIDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKASKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAFIVKLYYSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTSTKGTKPLPDSSSRLSSSAPKRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGLPWERLYQMKAAFIPEVNSELDTQNFEKFEETGAQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKSKRPTIKTLFESMDEDEPVQGSFLNMLPRKEGQPSSHSTIPPEQYQPRHK >ONIVA05G23330.1 pep chromosome:AWHD00000000:5:23072169:23081640:-1 gene:ONIVA05G23330 transcript:ONIVA05G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRYTEQEEALEISSLRRIIAAYANYHDAAERDVKRYERSFKRLPPAHKELLFNLGLKYQRLRWCISMNASFIMDMLEAFEPPFDMSQHIDMDGHDCAENMHGHCHADCAHSVDRGDCFRSSISVSNSELHEPDGCPRKDDKTHELSRETDNKVVDMESCSRPVGDKLGASQVEDKSCNGDKAMDAAANCQDTDCVACSADENVIPQQFMAPSLQLNVPPIDVDKVRCIIRNIVRDWAQEGQKERDECYKPILEELNRLFPNRSKERPPSCLVPGAGLGRLALEISTLGFVSQGNEFSYYMMICSSFILNHTQETNEWTIYPWIHSNCNSLSDNDQLRPVSFPDIHPSSSGITEGFSMCAGDFVEVYNEESQESSWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGVWINLGPLLYHFADSYGPDDDMSIELSLEDVKRVAYHYGFVMEVEKMIDTTYTANMKSMMQNRYRAAFWTMRKNASRSKAQKHQEKLFQFDVYQLANGSTKLRICDSNFAYERLY >ONIVA05G23320.1 pep chromosome:AWHD00000000:5:23067942:23069240:1 gene:ONIVA05G23320 transcript:ONIVA05G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT5G45120) TAIR;Acc:AT5G45120] MVIINSTRFDFLDIIEPVTTYTDGYLLSLNLGMPPQVFQVYLDTGSDLTWVPCGTNSSYQCLECGNEHSTSKPIPSFSPSQSSSNMKELCGSRFCVDIHSSDNSHDPCAAVGCAIPSFMSGLCTRPCPPFSYTYGGGALVLGSLAKDIVTLHGSIFGIAILLDVPGFCFGCVGSSIREPIGIAGFGKGILSLPSQLGFLDKGFSHCFLGFRFARNPNFTSSLIMGDLALSAKDDFLFTPMLKSITNPNFYYIGLEGVSIGDGAAIAAPPSLSSIDSEGNGGMIVDTGTTYTHLPDPFYTAILSSLASVILYERSYDLEMRTGFDLCFKIPCTHTPCTQDELPLINFHFLGDVKLTLPKDSCYYAVTAPKNSVVVKCLLFQRMDDDDDDDDVGGANNGPGAVLGSFQMQNVEVVYDMEAGRIGFQPKDCALHS >ONIVA05G23310.1 pep chromosome:AWHD00000000:5:23063280:23067512:1 gene:ONIVA05G23310 transcript:ONIVA05G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLMAAKAYKYKAELLVKDYLLADSYVPYASVLGGILMCKLSYDFTRFISSFYFKGYGSLTKMQKVEWNNRGMSTVHAIFITLMSAYLVFFSGLFSDQQDGPVTFRSSSLSNFTLGVSVGYFIADLAMILWFYPSLGGMEYLVHHVLSLTAVTYTMLSGEGQLYTYMSLISETTTPGINLRWFLDVAGMKRSKRYVVNGVAMFLTWLVARIILFMYLFYQIFLHYDQIKQMETFGYLLVCVVPAILFVMNMIWFSKILRGLKKTLAKRH >ONIVA05G23300.1 pep chromosome:AWHD00000000:5:23052011:23055350:-1 gene:ONIVA05G23300 transcript:ONIVA05G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWWGRSGGGGGVGNGGGTPVVVKMENPNWSISEVEAAEVAPGSPAGAGKAGRGKNARQITWVLLLKAHRAAGRLTGAASAALAVASAARRRVASGRTDADAAPGESTALRARSYGCIRVSLVLSLLLLAVEVAAYLQGWHLEEVASLLAVDGLFAASYAGWMRLRLDYLAPPLQFLTNACVALFMVQSIDRLVLCLGCFWIRFKGIKPVPQAAAAGKPDVEAGAGDYPMVLVQMPMCNEREVYQQSIGAVCNLDWPKSNFLVQVLDDSDDATTSALIKEEVEKWQREGVRIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGKDDVGLVQARWSFVNKDENLLTRLQNVNLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVGLVEKHSKQQRVGSAPNLDALTKEESNPKKDSKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVE >ONIVA05G23290.1 pep chromosome:AWHD00000000:5:23046536:23050050:1 gene:ONIVA05G23290 transcript:ONIVA05G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSPRGEPDPDADEPDHAGDRASSPEGSSPRDPDSDEAEQPDTPSRRGVKDDISELTETLTRRLWGVASFLAPPPPPESSTPRGEEEEEGRDGEEESAQSPRIAGIRSDLAEIGGRVRSGISMLQSNKAVAEISKIASSLLPFGQGDADEGEPVAGVTEEVVVFVRHISTRPETWLDFPLFISERYADDFELSDAQYVHALSMEHLVPSLSDIKAAICSTDMSEACFWKIYFVLLHSKLNKQDAELLSTPQILQAREELLQSLQTKNKRGSEVPEEEESSKTVIMSSAPAEEKVIQPSSIENKAGKPEVSSFEEPSSDISPDVEAEKFPIAITEMEIVDKSVIEEELSVKNETKSLAIEPKIHSETDEDEVDEWPDDDDDAEEVVGTEGNRTSLGQEEDVSFSDLEDEDDDGNKGIAK >ONIVA05G23290.2 pep chromosome:AWHD00000000:5:23046536:23050192:1 gene:ONIVA05G23290 transcript:ONIVA05G23290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSPRGEPDPDADEPDHAGDRASSPEGSSPRDPDSDEAEQPDTPSRRGVKDDISELTETLTRRLWGVASFLAPPPPPESSTPRGEEEEEGRDGEEESAQSPRIAGIRSDLAEIGGRVRSGISMLQSNKAVAEISKIASSLLPFGQGDADEGEPVAGVTEEVVVFVRHISTRPETWLDFPLFISERYADDFELSDAQYVHALSMEHLVPSLSDIKAAICSTDMSEACFWKIYFVLLHSKLNKQDAELLSTPQILQAREELLQSLQTKNKRGSEVPEEEESSKTVIMSSAPAEEKVIQPSSIENKAGKPEVSSFEEPSSDISPDVEAEKFPIAITEMEIVDKSVIEEELSVKNETKSLAIEPKIHSETDEDEVDEWPDDDDDAEEVVGTEGNRTSLGQEEDVSFSDLEDEDDDGNKGIAK >ONIVA05G23280.1 pep chromosome:AWHD00000000:5:22996736:22997971:1 gene:ONIVA05G23280 transcript:ONIVA05G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPAGSRRWRCDAGDEHGCWLSSSAAGGGDDHFDRLPDPLLLVIFNRIGDVKALGRCSLVSRRFHDLVPLVDSVLVRVDCVIPDDPASSSSSSSSPSAAPSSPTASARARTVFSQIARIVLGGIVKPIQALGQILSPANSASVLAASVTSSPSSSSSSSSSPPLPGDVSHHSPSEVLRSFKELRRLRIELPAGELSMEEGVLLKWKADFGSTLGSCVILGASSAGKDGGAGAAPAVDCGESDETGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHTTLESLDLTDADGQGVLTMDKWQLQELRVKPVSASGGSHRTLMPALSMRLWYAPHIELPGGLVLNGATLVAIKPTEEATRDTVGSGIAGSAGGCWVSDAFEEPYRTAVGMLLKRRTYSLEMNSF >ONIVA05G23270.1 pep chromosome:AWHD00000000:5:22988567:22994282:1 gene:ONIVA05G23270 transcript:ONIVA05G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 25 [Source:Projected from Arabidopsis thaliana (AT3G14400) TAIR;Acc:AT3G14400] MFACWHAGKKVLPNKDKECVFCVVERQISRLLRTEAGALDSPAKIIRCLPLFAEHFRWGRQEDAHEFLRYIIDACHTAGLRIRKRLPASNANGDAGEEEVRVQGPCMVMKETFGGALLSQVKCLTCKGESNKTDEIMDISLDLPGSNSVADALARFFQPEILEGSNKYSCERCKKLTSARKQLFVLRAPKVLVIQLKRFEGINGGKINRNIEFKETLFLSDFMYNKNQDSLPVYNLFGSIVHSGFSPDSGHYYAYVKDAIGRWYCCNDSHISPSSSQDVLSEKVYILFYILSTKTQKPSTNGYSSSAAKSSNSNGNGISNATSNEPLKIPLVKQNGLCSSKGIAPPPLKNGKIAPGMHLKPIHLKNNGTGKVSSNGKASIIPGNKLEVSEGLTLPAVNGRDSGKYAEPGKMNANGSVSCNKTDVNSQRVLPNTNGNGNPIHFSDLQETTDAKATCAEQYSEKSSIASLEDSKNPVSCHEMSAVIVKDVVSSGKDSSSLKHRLEEGKFKEMLAESASSELHLSGWVDDVRNFMHTIKRRRLQNTGTPQDSDTMRKELISESGRIFRSKIPESLREHLIQALRSYYQDKFSLGG >ONIVA05G23260.1 pep chromosome:AWHD00000000:5:22981848:22985825:-1 gene:ONIVA05G23260 transcript:ONIVA05G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNAGKAKVDVHVDLTHMLCEALLLPPMRNSGATFSQIVGRISLKHPSLFGRSEKLDVILDKGINESNAVIAFRRPRPEWLSQQSFVIQHTMTPEIAVHGFPADNFTRSGSRGINLSRLSLGVELNEPSTSNWTSGTSVKFEHIRPVNNEGRSIARDHDGFPLTCSGNLHDNMIILKQESGYADVNDNSFLKVNLQMEQGLPLVPKSLTFNRVKCAVSKGMKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGAGRLCLIANCEYTVPLAKHLEGSIFMDCGSDLGSACHVPGNPALRQGKPGFGVGFGYGIHFNTDLGQIRVDYAMNAFSRKTIYFGINSGGGS >ONIVA05G23250.1 pep chromosome:AWHD00000000:5:22979851:22980564:1 gene:ONIVA05G23250 transcript:ONIVA05G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQRMVIVGEEHCGGGEDRELTVRKTTLFCPGDGLEAYDHGTGTLAFRVETYGRGGVCGGGAAAGDLALLGPEGEPVLTVRRRRPSLHHRWDGFLGDGAASGQKPLFSARRSSILGVGSGAAAVLVDLLAPGAAGEFRVDGSFPRRCCRVVAVKAAAPAGGGGEEEEEEVVVAEVRRKVDEDAHVVMGRDVFVLWLRAGFDAAFAMGIVLVLDRITGDELNGDLSEDLAVASSPV >ONIVA05G23240.1 pep chromosome:AWHD00000000:5:22974563:22977094:-1 gene:ONIVA05G23240 transcript:ONIVA05G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKYLETARAERSVWLMKCPPVVSHAWQGAVSSSDAAGSNPNPVVAKFKMEMAQTNTGNTPKSYSLNMSKDFVPMCVFSESNQGKLSCEGKVEHKFDMKPHSDNLVNYGKLCRERTQKSMIKTRKVQVIEDHRMSLIPLPGMVGLIPSGSKEKKKQTPTKPSDAKRIRRDRRELENIIFKLFERQPNWALKALVQETDQPEQFLKEILNDLCFYNKRGPNQGTHELKPEYKKSTGDTDAS >ONIVA05G23230.1 pep chromosome:AWHD00000000:5:22971042:22973369:-1 gene:ONIVA05G23230 transcript:ONIVA05G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDDYTDSRRELEPQSVDPKKGWGFRGVHRAIICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRVVGDADLPKPAQWHRIAIHNDQLGAFAVQKLVKNSAVYVEGDIETRVYNDSINDQVKNIPEICLRRDGKIRLIKSGESAASISLDELSKFTENLNILIYENLALLVFVVIMQL >ONIVA05G23220.1 pep chromosome:AWHD00000000:5:22969624:22970593:1 gene:ONIVA05G23220 transcript:ONIVA05G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESVRCACCGLEEDCTQEYIENVKANFGGKWLCGLCSEAVGDELSKDRREQDGIEDAIKAHMAFCRMALSSPAVKVADGMKEMLRKRSKDKVILCSSLPLENIYSEVCFSPN >ONIVA05G23210.1 pep chromosome:AWHD00000000:5:22964927:22965520:-1 gene:ONIVA05G23210 transcript:ONIVA05G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSEGSSASSRRTSPSPIPYRVGPLEYQPAVACRCGSKAARWILWSPDNPGRRYFKCADARSGGCDFFAWVDGPTSSFLREVLNDLWDELLAAVQEGRSVESELDLARKELATSRNAVGEKEAIVGMLKDRNNRLELEIFVMLLVVLGLVVVVFTMLMGRK >ONIVA05G23190.1 pep chromosome:AWHD00000000:5:22952573:22955613:-1 gene:ONIVA05G23190 transcript:ONIVA05G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGR9] MVLAQLGGSISRALAQMSNATVIDDKAFADCLHEIARALLQSDVQIRMVSDMRANIRRAVNLDALPAGTNKRRIIQQAVFAELCNMLDPGKPSFTPTKGKPSVVMFVGLQGSGKTTTCTKYAHYHQLKGFKPSLVCADTFRAGAFDQLKQNATKAKIPYYGSYMESDPVKIAVEGVERFRKEKSDLIIVDTSGRHKQEAALFEEMRQVAEATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKLDGHAKGGGALSAVAATKSPVVFIGTGEHMQDFEVFDVKPFVSRLLGMGDLSGLVNKIKDAMPADQQPELMQRLIEGTFTLRVFYDLFQNLLNMGPIGQVLSMIPGFRSELMPKGHDKESQAKIKRYMTIMDSMTNAELDSTNPKLMSESRIKRVARGSGRTMKDVTDMLEEYKRIAKVCSKLKKKLPKNMDRNVMNNKDTLNTINNLIPKQLLNQIGGVNPLQSVMKQMGLKT >ONIVA05G23190.2 pep chromosome:AWHD00000000:5:22952575:22955521:-1 gene:ONIVA05G23190 transcript:ONIVA05G23190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGR9] MVLAQLGGSISRALAQMSNATVIDDKAFADCLHEIARALLQSDVQIRMVSDMRANIRRAVNLDALPAGTNKRRIIQQAVFAELCNMLDPGKPSFTPTKGKPSVVMFVGLQGSGKTTTCTKYAHYHQLKGFKPSLVCADTFRAGAFDQLKQNATKAKIPYYGSYMESDPVKIAVEGVERFRKEKSDLIIVDTSGRHKQEAALFEEMRQVAEATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKLDGHAKGGGALSAVAATKSPVVFIGTGEHMQDFEVFDVKPFVSRLLGMGDLSGLVNKIKDAMPADQQPELMQRLIEGTFTLRVFYDLFQNLLNMGPIGQVLSMIPGFRSELMPKGHDKESQAKIKRYMTIMDSMTNAELDSTNPKLMSESRIKRVARGSGRTMKDVTDMLEEYKRIAKVCSKLKKKLPKNMDRNVMNNKDTLNTINNLIPKQLLNQIGGVNPLQSVMKQMGLKT >ONIVA05G23180.1 pep chromosome:AWHD00000000:5:22947680:22949911:-1 gene:ONIVA05G23180 transcript:ONIVA05G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPISVKPPSPVAAAPPPPPVQVPVPPPPPPPLPPAAAAVEPLPPQPVAVVVAEAEPCSMNQLALTPTPKRQKVEESADGNGCKHCACKKSRCLKLYCPCFAGGGYCSEKCGCQPCFNKALYAETVQTTRKVLLSRQKRMSLKINRRSEANAEAVVVFLTEKAGATEDAHHSSSSTPPRRGCNCKKSSCLKKYCDCYQDGTGCSLFCRCEDCRNPFGKNEGIMAEESKRFLYTGADLDHSEDEQDFIVERSPRLQSPISKESSFQQTPPHIRATNRDTHMFPQAISQWQPRSWHCSKRQSNDRVIDDSGEYKNSNHDWQLAKPEDSYSISRCVQILNGMAELSQVEKSVAPDVFLQAGNREIFISLNGDVRALWLKRKIQNLT >ONIVA05G23170.1 pep chromosome:AWHD00000000:5:22941170:22947097:1 gene:ONIVA05G23170 transcript:ONIVA05G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTSLTEAEAGITCFASSLPGFRGVLKHRYSDFIVHEVARDGSVARLTSFDLPDECVDVSEEDKAAPSADADHSQALESFRALCGDADCDALKGLLEKASAGAEADVSPVILSPDADKAHRSEVHNFFKRSFKFLVTDTVEHNDGVQRCIRVRLGSGARGGRGGGGRSGGGRGRKRKNMGGSDWRDDRPFDSRGSTSWPNHVGKFLRFHLCKENKDTQEALGVIGKMLGLQSRSFGFAGTKDKRAVTTQQVTVFKISANRLAALNNRLFGIKVGNFSYVKEGLVLGQLMGNRFTITLRGVVAESEDIIKASVEGLGKNGFINYYGLQRFGSGSVPTHLVGAALLRGEWRSSRDDIREMREHYKEHGDIDMALRNFPRHLVAEKAILQCLKKCPGTFIVTKVTSGTMLPVPELKNMAVEGDLVYSKEPPPGEATSVDTSEPCDDQINSSDIDLCSETLPEETIQSVKIVDSEDLLKGVYSFEDVVLPLPGSQALFPGNGIADIYHELAKKDGISLTENAHGVKELMTYTDDTASLAETDLDVLSRNKPTKAKEVNETISSAISNAQSHDSKVAGPLDSSMPGSETGLVEEKSVGSSDMLARKLAIKLAFTLPASSYATMAIRELLKTSTSYCI >ONIVA05G23170.2 pep chromosome:AWHD00000000:5:22941170:22947097:1 gene:ONIVA05G23170 transcript:ONIVA05G23170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTSLTEAEAGITCFASSLPGFRGVLKHRYSDFIVHEVARDGSVARLTSFDLPDECVDVSEEDKAAPSADADHSQALESFRALCGDADCDALKGLLEKASAGAEADVSPVILSPDADKAHRSEVHNFFKRSFKFLVTDTVEHNDGVQRCIRVRLGSGARGGRGGGGRSGGGRGRKRKNMGGSDWRDDRPFDSRGSTSWPNHVGKFLRFHLCKENKDTQEALGVIGKMLGLQSRSFGFAGTKDKRAVTTQQVTVFKISANRLAALNNRLFGIKVGNFSYVKEGLVLGQLMGNRFTITLRGVVAESEDIIKASVEGLGKNGFINYYGLQRDDIREMREHYKEHGDIDMALRNFPRHLVAEKAILQCLKKCPGTFIVTKVTSGTMLPVPELKNMAVEGDLVYSKEPPPGEATSVDTSEPCDDQINSSDIDLCSETLPEETIQSVKIVDSEDLLKGVYSFEDVVLPLPGSQALFPGNGIADIYHELAKKDGISLTENAHGVKELMTYTDDTASLAETDLDVLSRNKPTKAKEVNETISSAISNAQSHDSKVAGPLDSSMPGSETGLVEEKSVGSSDMLARKLAIKLAFTLPASSYATMAIRELLKTSTSYCI >ONIVA05G23160.1 pep chromosome:AWHD00000000:5:22937005:22940618:1 gene:ONIVA05G23160 transcript:ONIVA05G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAARLAARRLLGLATSSASESAAARRLSRSPISYAAAGCSSRLFSTALNYHLDSPENNPDMPWEFTEANMKKVLLFVSFIHFPVNEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMNAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEEALLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLKRGETPPRGTQHPERKNCGPAGGNTTLHADMRRQW >ONIVA05G23160.2 pep chromosome:AWHD00000000:5:22937005:22940618:1 gene:ONIVA05G23160 transcript:ONIVA05G23160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAARLAARRLLGLATSSASESAAARRLSRSPISYAAAGCSSRLFSTALNYHLDSPENNPDMPWEFTEANMKKVNEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMNAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEEALLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLKRGETPPRGTQHPERKNCGPAGGNTTLHADMRRQW >ONIVA05G23150.1 pep chromosome:AWHD00000000:5:22912289:22913162:1 gene:ONIVA05G23150 transcript:ONIVA05G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEVEGSQGDDAVALRLRTTALAAEMRREGTVTHFANLANRNEELEAQLQQLRSSFNILQAFATPFVAVLICIIAIAAWRFA >ONIVA05G23140.1 pep chromosome:AWHD00000000:5:22910935:22911240:1 gene:ONIVA05G23140 transcript:ONIVA05G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFNTKCSGVELSHLSARSTSAKCRVTVDGRPTRRADVAKLSVIQSGAELRDIKAHLPRPRERALSFLSLPRSMKTTTAMAAFLSEP >ONIVA05G23130.1 pep chromosome:AWHD00000000:5:22909944:22910165:1 gene:ONIVA05G23130 transcript:ONIVA05G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAVTASSSSAPAAMALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSTLDDDDESGGLSL >ONIVA05G23120.1 pep chromosome:AWHD00000000:5:22904231:22909202:1 gene:ONIVA05G23120 transcript:ONIVA05G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTMSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGETRNRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLKSHKRFATAFHSYCNLVDNARLYCTNSTGAAKLIGWKDGESNLLVDPEEIGCLERVSHLNDEADCVHEIYPDGSAAAAWEALVTSPSRAPAQREIMAAVQRSEARFRTTSTPS >ONIVA05G23120.2 pep chromosome:AWHD00000000:5:22904103:22909202:1 gene:ONIVA05G23120 transcript:ONIVA05G23120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGPAAAPRLLVSDSGRVHEMERFSHYVARQIGFDHVDECPHLCTLAYDYLRKNKGYEENIFAFFQNSQDPETLIVKFIEELDKCILGYFSFHWNYATYIISQVLTVEGAPKRKLRNMVLEATRKQRFERVTRNLKVTRLFSTLVEELKAIGLSSHVEAPRSDVMVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTMSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGETRNRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLKSHKRFATAFHSYCNLVDNARLYCTNSTGAAKLIGWKDGESNLLVDPEEIGCLERVSHLNDEADCVHEIYPDGSAAAAWEALVTSPSRAPAQREIMAAVQRSEARFRTTSTPS >ONIVA05G23120.3 pep chromosome:AWHD00000000:5:22904103:22909202:1 gene:ONIVA05G23120 transcript:ONIVA05G23120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGPAAAPRLLVSDSGRVHEMERFSHYVARQIGFDHVDECPHLCTLAYDYLRKNKGYEENIFAFFQNSQDPETLIVKFIEELDKCILGYFSFHWNYATYIISQVLTVEGAPKRKLRNMVLEATRKQRFERVTRNLKVTRLFSTLVEELKAIGLSSHVEAPRSDVMVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVFVSSWRDVIMDGTMSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGETRNRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLKSHKRFATAFHSYCNLVDNARLYCTNSTGAAKLIGWKDGESNLLVDPEEIGCLERVSHLNDEADCVHEIYPDGSAAAAWEALVTSPSRAPAQREIMAAVQRSEARFRTTSTPS >ONIVA05G23120.4 pep chromosome:AWHD00000000:5:22904231:22909202:1 gene:ONIVA05G23120 transcript:ONIVA05G23120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTMSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGETRNRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLKSHKRFATAFHSYCNLVDNARLYCTNSTGAAKLIGWKDGESNLLVDPEEIGCLERVSHLNDEADCVHEIYPDGSAAAAWEALVTSPSRAPAQREIMAAVQRSEARFRTTSTPS >ONIVA05G23120.5 pep chromosome:AWHD00000000:5:22904215:22909202:1 gene:ONIVA05G23120 transcript:ONIVA05G23120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTMSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGETRNRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLKSHKRFATAFHSYCNLVDNARLYCTNSTGAAKLIGWKDGESNLLVDPEEIGCLERVSHLNDEADCVHEIYPDGSAAAAWEALVTSPSRAPAQREIMAAVQRSEARFRTTSTPS >ONIVA05G23120.6 pep chromosome:AWHD00000000:5:22904620:22909202:1 gene:ONIVA05G23120 transcript:ONIVA05G23120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFSARQIGFDHVDECPHLCTLAYDYLRKNKGYEENIFAFFQNSQDPETLIVKFIEELDKCILGYFSFHWNYATYIISQVLTVEGAPKRKLRNMVLEATRKQRFERVTRNLKVTRLFSTLVEELKAIGLSSHVEAPRSDVMVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTMSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGETRNRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLKSHKRFATAFHSYCNLVDNARLYCTNSTGAAKLIGWKDGESNLLVDPEEIGCLERVSHLNDEADCVHEIYPDGSAAAAWEALVTSPSRAPAQREIMAAVQRSEARFRTTSTPS >ONIVA05G23120.7 pep chromosome:AWHD00000000:5:22904103:22907630:1 gene:ONIVA05G23120 transcript:ONIVA05G23120.7 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGPAAAPRLLVSDSGRVHEMERFSHYVARQIGFDHVDECPHLCTLAYDYLRKNKGYEENIFAFFQNSQDPETLIVKFIEELDKCILGYFSFHWNYATYIISQVLTVEGAPKRKLRNMVLEATRKQRFERVTRNLKVTRLFSTLVEELKAIGLSSHVEAPRSDVMVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELGGM >ONIVA05G23120.8 pep chromosome:AWHD00000000:5:22904215:22907630:1 gene:ONIVA05G23120 transcript:ONIVA05G23120.8 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGPAAAPRLLVSDSGRVHEMERFSHYVARQIGFDHVDECPHLCTLAYDYLRKNKGYEENIFAFFQNSQDPETLIVKFIEELDKCILGYFSFHWNYATYIISQVLTVEGAPKRKLRNMVLEATRKQRFERVTRNLKVTRLFSTLVEELKAIGLSSHVEAPRSDVMVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELGGM >ONIVA05G23110.1 pep chromosome:AWHD00000000:5:22892479:22902804:1 gene:ONIVA05G23110 transcript:ONIVA05G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAATDDSTASTAGMRDEDRSLSGESLSEWRSCEQVESESPSTSPPFWDTDGDDDDPGPKPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNIDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDKPFRCLDRPYRRELLRVYTTNIESIYRRFVEERRNKLSKLIEDKMRWSSFCAFWSAIDPSTRHRMSREKTDVILKVLVKHFFVEKEVTSTLVMDSLYTGLKALEYQSKGKKGRTIADLDELPAPMIHVDMDMFVLASDVIDLLERAALEPLPCQPVSPKDDKCSQSRMKDGASGEVNKISMEREERRLTELGRKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEEAWLLGNEMKGKRGGGANEKDKRAKKKQAKQKKNNRKIKDKERDEKFEAKILERLHDETAIDDSDGLSSKQAEEVTTKVETLEEGASDRQGDLDSSEIAHRPDSGDKYPRQMNGLSDVTGNAQKVKKASSMEANSPVFLADSVAASGTHSRGNNLSDSKNRMTPNRGKNQRNKGISIISFSEEGEGIPSSSTGGSARCSSSCGTSAKLDQDTVLLTLKDKLRKLGQRLHEKNMEGRKLLQAHFEAMEAKTSGSSPSSSPLEETPDVVKSPEQSAEGTTDAKANGTPNKDEPVTNCVAEESVSVMPGTKSTEALSGMALAKTKVEPVSNKDHVPKPTLQANRASANCSKSTPVDMEKDVPLPSRSPQINKPAPVPPKSPQVGNATPVPPKSPPIEKACPVPPKSPPSAKDTSLPSVRSLQIDKPVPVPPRLPQVDKAASLSSELPQTSTTSNSEAQEETAAIRVASPSVSDVTVTASRPSSAPVFPAPRSTVPATQVQVSTLLSRSMSEATRRSGNDPSPSAPAYIPQTYRNAIIGKHGRGTTSGTTAYQSTSLGQGTALSQPLSTYAPTMSVTMPPAGRNDQFSGRHGLESGLGKPEARDSWQPWNANRHVDKHLWRDDSTYQQTTNGHAYPQPWKDVNFLQARGTETEIPSRFGGPQLPRQFQAETHADYLLQQPQGAVAEEFPHLDIINDLLEEEQSNGSMPESIGHDYHTFGLPLPFLLRGNLADQEMASARSPGRFNLTEPYYDEGYSRAYDMSAFQGTRERQFPSLDAYSNGLSDMSPSKPWLNGSPNPSMNHAVGTNGYPQQIPDYTNLASELNGASLYHRRYANGRW >ONIVA05G23110.2 pep chromosome:AWHD00000000:5:22893159:22902804:1 gene:ONIVA05G23110 transcript:ONIVA05G23110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAATDDSTASTAGMRDEDRSLSGESLSEWRSCEQVESESPSTSPPFWDTDGDDDDPGPKPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNIDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDKPFRCLDRPYRRELLRVYTTNIESIYRRFVEERRNKLSKLIEDKMRWSSFCAFWSAIDPSTRHRMSREKTDVILKVLVKHFFVEKEVTSTLVMDSLYTGLKALEYQSKGKKGRTIADLDELPAPMIHVDMDMFVLASDVIDLLERAALEPLPCQPVSPKDDKCSQSRMKDGASGEVNKISMEREERRLTELGRKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEEAWLLGNEMKGKRGGGANEKDKRAKKKQAKQKKNNRKIKDKERDEKFEAKILERLHDETAIDDSDGLSSKQAEEVTTKVETLEEGASDRQGDLDSSEIAHRPDSGDKYPRQMNGLSDVTGNAQKVKKASSMEANSPVFLADSVAASGTHSRGNNLSDSKNRMTPNRGKNQRNKGISIISFSEEGEGIPSSSTGGSARCSSSCGTSAKLDQDTVLLTLKDKLRKLGQRLHEKNMEGRKLLQAHFEAMEAKTSGSSPSSSPLEETPDVVKSPEQSAEGTTDAKANGTPNKDEPVTNCVAEESVSVMPGTKSTEALSGMALAKTKVEPVSNKDHVPKPTLQANRASANCSKSTPVDMEKDVPLPSRSPQINKPAPVPPKSPQVGNATPVPPKSPPIEKACPVPPKSPPSAKDTSLPSVRSLQIDKPVPVPPRLPQVDKAASLSSELPQTSTTSNSEAQEETAAIRVASPSVSDVTVTASRPSSAPVFPAPRSTVPATQVQVSTLLSRSMSEATRRSGNDPSPSAPAYIPQTYRNAIIGKHGRGTTSGTTAYQSTSLGQGTALSQPLSTYAPTMSVTMPPAGRNDQFSGRHGLESGLGKPEARDSWQPWNANRHVDKHLWRDDSTYQQTTNGHAYPQPWKDVNFLQARGTETEIPSRFGGPQLPRQFQAETHADYLLQQPQGAVAEEFPHLDIINDLLEEEQSNGSMPESIGHDYHTFGLPLPFLLRGNLADQEMASARSPGRFNLTEPYYDEGYSRAYDMSAFQGTRERQFPSLDAYSNGLSDMSPSKPWLNGSPNPSMNHAVGTNGYPQQIPDYTNLASELNGASLYHRRYANGRW >ONIVA05G23100.1 pep chromosome:AWHD00000000:5:22882807:22884408:-1 gene:ONIVA05G23100 transcript:ONIVA05G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGP9] MAAATARARRPHVLCFLLLVMVMPCAISAADLASDGRGRLYQVAMDQAARALAEARAARRDDPRDGVARRGAAQAWADCDQLVAFAVGHLNRTVAAAARGVDGDDVAAWLSAARTTVGTCLDGFGELGASPGPEFAAALANVSRLVTDALAATALRRGTENGARAATNSGDGDGRMLPLDMARPGDADVVVAKDGTGHFCTVGEALKAAARRATNGGGRTVVYVKAGVYNENVEVWTTNLVLVGDGIGRTVITGSRSVRGGYTTFSSATFGTPRSSLSLLASCECECVTLTWMDVHEAVNADGFVACGVTFRNAAGAGSGQAVALRASGDRVAFYRCSFEGHQDTLYAHTLRQFYRECAVAGTVDFVFGNAAAVLQRCSIRVRRPPLPGQPAVVTAQGRVDRYERTGFAIHGGRVTAAARFGAPGAAASAPFEAYLGRPWKEFSRVVYMEAYMDATVGAAGWLAWDGTAFAQSTAFYGEYRNSGPGSGTEGRVRWGGYHVITDPGVAAEFTAGEMVNAGEWLGSTGVPFTPGL >ONIVA05G23090.1 pep chromosome:AWHD00000000:5:22880023:22881081:-1 gene:ONIVA05G23090 transcript:ONIVA05G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHGAMGVAAAGTPLKAEEMAGGCTGWISVGPSATGWNGENLAANCSAGINYCKWGSFSRKADLFEWSDAAKVSDRDKEHASNEVHREPRTVVEVAKSLKKKMDQKRT >ONIVA05G23080.1 pep chromosome:AWHD00000000:5:22871309:22879918:-1 gene:ONIVA05G23080 transcript:ONIVA05G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVITTGKIILIFLACTAHVVTCSSLYGNETDRVALLEFKQAVRLDPKQTLMSWNDSIHFCNWEGILCSLRIPYRVTSLNLTNRGLVGQISPSLGNLTFLSILSLTENSFSGQIPASLGHLNHLQTLWLSNNTLQGVIPDFTNCSSMKALRLNGNNLVGKFPQLPHCLQSLQLSYNHLSGTIPASLANITRLNVLTCTYNNIQGDIPHEIGKLSSLQFLYVGANKLVGRFPQAILNLSTLIGLSLGFNNLTGEAPSNLGNCLPNLQLLELEDNCFQGQIPSSLINASKLYRLELASNNFTGVVPRSIGKLTKLSWLNLQSNKLQARNKQDWEFLDSLANCTELKAFSIASNHLEGHVPTSLGNLSVQLVQLFLSGNQLSGGFPSGIANLPNLIYIGLDNNQFTGAVPKWLGTLSNLQQILLHENMFTGFIPTSLSNLSVLGSLWLDYNKIGGPLPASLGNLQTLETLSISNNKLHGSVPMEIFRIPTIRLIDLSFNNFDGQLSARVGNAKQLMYLYLSSNNLSGDIPSSLGNCESLEGIKLGSNILSGSIPTSLGNIRSLKVLNLSHNNLSGSIHANLGKLWLLEQVDLSFNNLSGEIPTEGIFLNATAVHINGNEGLCGGALNLHLPTCYVMPLNSSRSERSILLYLVILFASLVSVIFIYLLLLWRGKQKKKCTSLTPFDSKFPKVSYNDLAKATEGFSASNIIGRGIYSHVYKGELFQGRDVVAVKVFSLETEGAEHSFITECNALRKVRHRNLVPILTVCSSLDTKGNDFRALVYKLIPQGDLYSLLHSTRDSENGFTSNIITFSQRLSIVVDIADALEYLHHNNQETVVHCDIKPSNILLDNDMKAYVGDFGLARLKADAAVPSVGDSNSTSMIAIKGTIGYVAPEYASGGQVSTAADVYSFGIVLLEVFLRKGPTDDMFKDGLDIAKFVSMNFPDKILDIVDPVLLQDELDCSKESPVAMKEIFSECLHSVLNIGLCCTKQSPYERMDMREVAAKLHGTRRHISEATSSLYGNETDKLSLLEFKKAITLDPQQVLISWNDSNHFCSWEGVLCRKKTTNRVISLNLTNQRLVGVISPSLGNLTFLKFLYLDTNSFTGEIPLSLGHLHHLQNLYLSNNTLQGKIPDFTNSSNLKVLLLNGNHLIGQFNNNFPPHLQGLDLSFNNLTGTIPSSLANITELLGVGFMSNNIKGNIPNDFSKFVSIGYLAASQNMLSGRFPQAILNLSTLDVLYLGFNLLSGDLPSNLLDSLPSIEILSLGGNFFQGHIPCSVVNSSNLGLLDISSNNFTGLVPSSIGKLTKLYHLNLQSNQLQAHRKQDWDFMNGLTNCTRLQMISIANNHLQGHLPSSLGNLSCQLGMLHLGGNQISGVLPSDIENLSSLTYFRIDTNEITGVLPEWLGSLKHLQVLGLFNNNFTGFIPPSLSNLSQLCFPQQSSRWTTSCGNAKQLSKLSLASNKLSGDIPNTLGDFESLEYIDLSWNNFTGIIPASIGKITSLEVLKFSHNNLTGPIPSLLGDLHFLEQLDLSFNHLKGEVPMKGIFQNVTALSIGGNEGLCGGSRELHLLACPVISLVSSKHKKSILLKILIPVACLVSLAMVISIFFTWRGKRKRESLSLPSFGTNFPNFSYNNLFKATEGFSSSNLIGKGRYSYVYVGKLFQDNIVAVKVFSLETRGAHKSFMAECNALRNVRHRNLLPILTACSSIYSEGNDFKALVYEFMSQGDLHKFLYTTRDDINLSNLNHITLAQRISIVVDVSYALEYIHHNNQWTIVHCDLKPSNILLDDDMIAHVGDFGLASYKTNSSMPSLGDSNSTSSLAIKGTIGYIAPECSHGGQVSTASDVYSFGVVVLEIFIRRRPTDDMFKDGLSIAKYAEINFPDRILEIVDPQLQLELDGQETPMAVKEKGLHYLHSVLNIGLCCTKMTPSERISMQEAAAKLHGIRDAYLRGN >ONIVA05G23070.1 pep chromosome:AWHD00000000:5:22864634:22868447:-1 gene:ONIVA05G23070 transcript:ONIVA05G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGHEVEDSFDPCFTDAHTVSPSRTSTPRLLASASSLRRRCRPTRPHRRWAVASSSVRAAGDSALIRITCGSPDHLQHIQDAMALTATKMKQPTMATRLAAGCTLSLELVMKITAIGLFLLVLIASCPVQIFCSSSWEGVSCRKKTPLRVNSLDLSNRGLVGQISPSLANLTFLKFLYLDTNSFTGEIPLSLGHLHHLQTLYLSNNTLEGRIPDFTNCSSLEILLLNGNHLAGQLNNSFPSQLQNLLLAENNLTGTIPSSLANITGLRGLSFMSNNIKGNIPNEFSKFVMMELLAVSGNMLSGRFPQPILNLSTLTNLYLTLNHLSGEVPSDLLDSLPNLQELLLGHNFFRGHIPLSLGNTSNLRLLDISNNNFTGIEISSGFFPSGIEHLSDLNSLGLDNNELTVIPSSVSNLSQLAVLGLYSNKLEGHIPSFVNLQMLQLLVISSNNLHVSIPKEIFSIPSIIAIDLSFNNLDGQLPTEIGNAKQLVSLGLSSNKLFGDIPNSLIHDAMDLGCGRPRGLRRSTVQQRR >ONIVA05G23070.2 pep chromosome:AWHD00000000:5:22864964:22868447:-1 gene:ONIVA05G23070 transcript:ONIVA05G23070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGHEVEDSFDPCFTDAHTVSPSRTSTPRLLASASSLRRRCRPTRPHRRWAVASSSVRAAGDSALIRITCGSPDHLQHIQDAMALTATKMKQPTMATRLAAGCTLSLELVMKITAIGLFLLVLIASCPVQIFCSSSYGNETDKLSLLEFKKAISLDPQQALISWNDTNHFCSWEGVSCRKKTPLRVNSLDLSNRGLVGQISPSLANLTFLKFLYLDTNSFTGEIPLSLGHLHHLQTLYLSNNTLEGRIPDFTNCSSLEILLLNGNHLAGQLNNSFPSQLQNLLLAENNLTGTIPSSLANITGLRGLSFMSNNIKGNIPNEFSKFVMMELLAVSGNMLSGRFPQPILNLSTLTNLYLTLNHLSGEVPSDLLDSLPNLQELLLGHNFFRGHIPLSLGNTSNLRLLDISNNNFTGIEISSGFFPSGIEHLSDLNSLGLDNNELTDGQLPTEIGNAKQLVSLGLSSNKLFGDIPNSLRRHPHFIRKHERED >ONIVA05G23070.3 pep chromosome:AWHD00000000:5:22864867:22868447:-1 gene:ONIVA05G23070 transcript:ONIVA05G23070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGHEVEDSFDPCFTDAHTVSPSRTSTPRLLASASSLRRRCRPTRPHRRWAVASSSVRAAGDSALIRITCGSPDHLQHIQDAMALTATKMKQPTMATRLAAGCTLSLELVMKITAIGLFLLVLIASCPVQIFCSSSYGNETDKLSLLEFKKAISLDPQQALISWNDTNHFCSWEGVSCRKKTPLRVNSLDLSNRGEIPLSLGHLHHLQTLYLSNNTLEGRIPDFTNCSSLEILLLNGNHLAGQLNNSFPSQLQNLLLAENNLTGTIPSSLANITGLRGLSFMSNNIKGNIPNEFSKFVMMELLAVSGNMLSGRFPQPILNLSTLTNLYLTLNHLSGEVPSDLLDSLPNLQELLLGHNFFRGHIPLSLGNTSNLRLLDISNNNFTGIEISSGFFPSGIEHLSDLNSLGLDNNELTVIPSSVSNLSQLAVLGLYSNKLEGHIPSFVNLQMLQLLVISSNNLHVSIPKEIFSIPSIIAIDLSFNNLDGQLPTEIGNAKQLVSLGLSSNKLFGDIPNSLVSCESLEYIAFDSNILSGGIPTSLGSMKERIRLSTQNEEEFSLKFFNYNVLRSQYFIIFLILQ >ONIVA05G23070.4 pep chromosome:AWHD00000000:5:22864634:22868447:-1 gene:ONIVA05G23070 transcript:ONIVA05G23070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGHEVEDSFDPCFTDAHTVSPSRTSTPRLLASASSLRRRCRPTRPHRRWAVASSSVRAAGDSALIRITCGSPDHLQHIQDAMALTATKMKQPTMATRLAAGCTLSLELVMKITAIGLFLLVLIASCPVQIFCSSSYGNETDKLSLLEFKKAISLDPQQALISWNDTNHFCSWEGVSCRKKTPLRVNSLDLSNRDGQLPTEIGNAKQLVSLGLSSNKLFGDIPNSLIHDAMDLGCGRPRGLRRSTVQQRR >ONIVA05G23070.5 pep chromosome:AWHD00000000:5:22864867:22867436:-1 gene:ONIVA05G23070 transcript:ONIVA05G23070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALTATKMKQPTMATRLAAGCTLSLELVMKITAIGLFLLVLIASCPVQIFCSSSYGNETDKLSLLEFKKAISLDPQQALISWNDTNHFCSWEGVSCRKKTPLRVNSLDLSNRGLVGQISPSLANLTFLKFLYLDTNSFTGEIPLSLGHLHHLQTLYLSNNTLEGRIPDFTNCSSLEILLLNGNHLAGQLNNSFPSQLQNLLLAENNLTGTIPSSLANITGLRGLSFMSNNIKGNIPNEFSKFVMMELLAVSGNMLSGRFPQPILNLSTLTNLYLTLNHLSGEVPSDLLDSLPNLQELLLGHNFFRGHIPLSLGNTSNLRLLDISNNNFTGIEISSGFFPSGIEHLSDLNSLGLDNNELTVIPSSVSNLSQLAVLGLYSNKLEGHIPSFVNLQMLQLLVISSNNLHVSIPKEIFSIPSIIAIDLSFNNLDGQLPTEIGNAKQLVSLGLSSNKLFGDIPNSLVSCESLEYIAFDSNILSGGIPTSLGSMKERIRLSTQNEEEFSLKFFNYNVLRSQYFIIFLILQ >ONIVA05G23060.1 pep chromosome:AWHD00000000:5:22863133:22864378:1 gene:ONIVA05G23060 transcript:ONIVA05G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSSKHKKSIILKVVIPIASIVSISMVKFTVLMWRRKQNRKSLFLPSFARHLPQVSYNMLFRATGGFSTSNLIGKGRYSYVYQGKLFEDDNMVAVKVFNLETRGAQKSFIAECNTLRNVRHRNLVPILTACASTDSKGNDFKALVYEFMGRGDLHALLHSAQNDENTSYLNHITLAQRISIVVDVSDALEYLHHNNQGTIVHCDLKPSNILLDDDMIAHVADFGLARFKTGSSTPSLGDSSSTYSLAIKGTIGYIASECSEGGQVSTTSDVFSFGVVLLELFIRRRPTNDMFMDGLSIAKHVEMNFPDRILEIVDPQLQHELDLCQETPMAVKEKGIHCLRSVLNIGLCCTKTTPIERISMQEVAAKLHGIKDSYLRGN >ONIVA05G23050.1 pep chromosome:AWHD00000000:5:22860860:22863795:-1 gene:ONIVA05G23050 transcript:ONIVA05G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHIIIKKYVARLQITVNNRLRKLDFTANNIKGNIPNEFSNFLMMEILLLGGNMLTGRFSQAILNLSTLTNLHLSFNHLSGELPSNFLYSLPDLQVLALDYNFFQGHIPSSLGNDSNIRVLDISSNNFTGVVPSSIGKLSKLYWLNLNPINSKHIKGKIGSL >ONIVA05G23040.1 pep chromosome:AWHD00000000:5:22826013:22838475:-1 gene:ONIVA05G23040 transcript:ONIVA05G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIIIGLCLLVIMASSVVQIMCNSLYGNGTDRLSLLEFRKAISLDAQQALMSWNDSNYFCSWEGVLCRVKTPHRVISLNLTNRGLEGQISPALGNMTFLKFLSLSSNSFRGEIPLSLGHLHHLESLYLSNNTLQGDIPDFTNCSNLKSLWLSRNHLVGQFNINFPPRLQDLILTSNNITGTIPSSLANITSLQRLSIADNNIKGNIPHEFAGFPMLQILYADGNKLAGGFPRAILNISTIVRLGFSSNYLSGEIPSNLFDFLPQIQLFVLDSNLFRGHIPNSLGNASKLQHVDISSNNFTGVIPGTIGKLTELYRLNLEKNQLHARNKQDWEFMSSLANCTGLTLLSISDNCLEGHVPSSLGNLSVQLHQLLLGGNQLSGGFPPGFQYLRNLISISIDSNSFSGVLPEWLGTLQNLQLIGLYNNNFTGIIPSSLSNLSQLGYLYLQSNQFYGHLPPSLGNHKMLQELIIGYNNIQGVIPKEIFKIPSLLQIDLSFNNLDGSIPKEVGDAKQLMYLRLSSNKLSGDIPNSLGNSESLDIIMLDRNIFSGSIPTSLDNILSLKVLNLSQNNLSGSIPPSLGNLQFLEKLDLSFNHLKGEVPVKGIFKNASAIRIDGNEALCGGVPELHLHACSIIPFDSTKHKQSIVLKIVIPLASVLSLAMIISILLLLNRKQKRKSVDLPSFGRKFVRVSYNDLAKATEGFSTSHLIGRGRYSSVYQGKFTDEKVVAVKVFNLETMGAQKSFITECNALRKLRHRNIVPILTACASTTSNGNDFKALLYEFMPQGDLNKLLHSTGAEEFNGENHGNRITLAQRLSIIVDVADAIEYLHHNNQETIVHCDLKPSNILLDDDMIAHVGDFGLARFKIDFMGSNDSNSIYSTAIKGTIGYVAPEYAAGAEVSTYGDVFSFGVVLLEIFLRKRPTDDIFKDGLDIVKFVEVNFPDRLSQIVDPELLQESHVGTKERVLGCLNSVLNIGLCCTKTSPYERMDMREALGLLSMKFIEPGQFLLVFLVCSAHVVIHSSSGNEADRLSLLEFKNAITLDPQQALMSWNDSNHVCSWEGVKCRVKASHRVIYLNLGGQGRESVRSLQEVTIEIPQALRLLAMKFIEPGKFLLVFLVCSAHVVICSSNGNETDRLSLLEFKNAITLDPQQALMSWNDSNHVCSWEGVKCRVKAPHRVIYLNLSGQGLVGTISPSLENLTFLRYISLQENLLAGQIPLSFGHMHHLKVLYLREIPDFANCSNLWALLLNGNHLVGKAPTDARLPPNLYFLWIVHNNLTGTIPTSLFNITTLTKLSIGFNQINGEVPKEIGKSRVLQLFAASGNKLLGRFQQTILNISSLADLDLGSNYLHGELPSSLGSSLSNLQGLALGNNFFGGHIPSSLANASKLSMIHLSRNNFIGMVPSSIGKLQELSVLNLEFNQLQSSDKQGLEFMNSLSNCTKLRALSLAKNQLEGEIPSSFGNLSMKLELLYLGGNKLSGRFPAGIANLHSLSGLALNSNRFTGPVPDWLGNLKKLQIIFLAANMFTGFIPSSLSNLSLLENVVLDSNQFYGHIPRGLESLKVLQVLSIPNNNLHGSIPRELFSIPTIREIWLYSNRLDGPLPIEIGNAKQLEHLVLSSNNLSGVIPDTLGNCESIEEIELDQNFLSGSIPTSFGNMESLQVLNMSHNLLSGSIPKSIGSLKYLEQLDLSFNNLEGEVPEIGIFNNTTAIWIAGNRGLCGGATKLHLPVCTYRPPSSTKHLQSVVLKVVIPLACIVSLATGISVLLFWRKKHERKSMSLPSFGRNFPKVSFDDLSRATDGFSISNLIGRGRYSSVYKGRLLQYGDMVAVKVFSLQTRGAQKSFIAECKTLRNVRHRNLVPILTACSSIDSRGNDFKALVYQFMSQGDLHMMLYSNQDDENGSASIHIAFAQRLSIVVDVADAMEYVHHNNQGTIVHCDLKPSNILLDDSLTAHVGDFGLARFKVDCTISSSGDSIISSAINGTIGYVAPEYATGGEVSTFGDVYSFGIVLFEIFLRKRPTHDMFKDGLNIATFVDMNFPDRISEVVDQELLEYQNGLSHDTLVDMKEKEMECLRSVLNIGLCCTKPSPYERMDMREVAARLRKIKEAYLSSN >ONIVA05G23040.2 pep chromosome:AWHD00000000:5:22826013:22838412:-1 gene:ONIVA05G23040 transcript:ONIVA05G23040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSLYGNGTDRLSLLEFRKAISLDAQQALMSWNDSNYFCSWEGVLCRVKTPHRVISLNLTNRGLEGQISPALGNMTFLKFLSLSSNSFRGEIPLSLGHLHHLESLYLSNNTLQGDIPDFTNCSNLKSLWLSRNHLVGQFNINFPPRLQDLILTSNNITGTIPSSLANITSLQRLSIADNNIKGNIPHEFAGFPMLQILYADGNKLAGGFPRAILNISTIVRLGFSSNYLSGEIPSNLFDFLPQIQLFVLDSNLFRGHIPNSLGNASKLQHVDISSNNFTGVIPGTIGKLTELYRLNLEKNQLHARNKQDWEFMSSLANCTGLTLLSISDNCLEGHVPSSLGNLSVQLHQLLLGGNQLSGGFPPGFQYLRNLISISIDSNSFSGVLPEWLGTLQNLQLIGLYNNNFTGIIPSSLSNLSQLGYLYLQSNQFYGHLPPSLGNHKMLQELIIGYNNIQGVIPKEIFKIPSLLQIDLSFNNLDGSIPKEVGDAKQLMYLRLSSNKLSGDIPNSLGNSESLDIIMLDRNIFSGSIPTSLDNILSLKVLNLSQNNLSGSIPPSLGNLQFLEKLDLSFNHLKGEVPVKGIFKNASAIRIDGNEALCGGVPELHLHACSIIPFDSTKHKQSIVLKIVIPLASVLSLAMIISILLLLNRKQKRKSVDLPSFGRKFVRVSYNDLAKATEGFSTSHLIGRGRYSSVYQGKFTDEKVVAVKVFNLETMGAQKSFITECNALRKLRHRNIVPILTACASTTSNGNDFKALLYEFMPQGDLNKLLHSTGAEEFNGENHGNRITLAQRLSIIVDVADAIEYLHHNNQETIVHCDLKPSNILLDDDMIAHVGDFGLARFKIDFMGSNDSNSIYSTAIKGTIGYVAPEYAAGAEVSTYGDVFSFGVVLLEIFLRKRPTDDIFKDGLDIVKFVEVNFPDRLSQIVDPELLQESHVGTKERVLGCLNSVLNIGLCCTKTSPYERMDMREALGLLSMKFIEPGQFLLVFLVCSAHVVIHSSSGNEADRLSLLEFKNAITLDPQQALMSWNDSNHVCSWEGVKCRVKASHRVIYLNLGGQGRESVRSLQEVTIEIPQALRLLAMKFIEPGKFLLVFLVCSAHVVICSSNGNETDRLSLLEFKNAITLDPQQALMSWNDSNHVCSWEGVKCRVKAPHRVIYLNLSGQGLVGTISPSLENLTFLRYISLQENLLAGQIPLSFGHMHHLKVLYLREIPDFANCSNLWALLLNGNHLVGKAPTDARLPPNLYFLWIVHNNLTGTIPTSLFNITTLTKLSIGFNQINGEVPKEIGKSRVLQLFAASGNKLLGRFQQTILNISSLADLDLGSNYLHGELPSSLGSSLSNLQGLALGNNFFGGHIPSSLANASKLSMIHLSRNNFIGMVPSSIGKLQELSVLNLEFNQLQSSDKQGLEFMNSLSNCTKLRALSLAKNQLEGEIPSSFGNLSMKLELLYLGGNKLSGRFPAGIANLHSLSGLALNSNRFTGPVPDWLGNLKKLQIIFLAANMFTGFIPSSLSNLSLLENVVLDSNQFYGHIPRGLESLKVLQVLSIPNNNLHGSIPRELFSIPTIREIWLYSNRLDGPLPIEIGNAKQLEHLVLSSNNLSGVIPDTLGNCESIEEIELDQNFLSGSIPTSFGNMESLQVLNMSHNLLSGSIPKSIGSLKYLEQLDLSFNNLEGEVPEIGIFNNTTAIWIAGNRGLCGGATKLHLPVCTYRPPSSTKHLQSVVLKVVIPLACIVSLATGISVLLFWRKKHERKSMSLPSFGRNFPKVSFDDLSRATDGFSISNLIGRGRYSSVYKGRLLQYGDMVAVKVFSLQTRGAQKSFIAECKTLRNVRHRNLVPILTACSSIDSRGNDFKALVYQFMSQGDLHMMLYSNQDDENGSASIHIAFAQRLSIVVDVADAMEYVHHNNQGTIVHCDLKPSNILLDDSLTAHVGDFGLARFKVDCTISSSGDSIISSAINGTIGYVAPEYATGGEVSTFGDVYSFGIVLFEIFLRKRPTHDMFKDGLNIATFVDMNFPDRISEVVDQELLEYQNGLSHDTLVDMKEKEMECLRSVLNIGLCCTKPSPYERMDMREVAARLRKIKEAYLSSN >ONIVA05G23040.3 pep chromosome:AWHD00000000:5:22826013:22838412:-1 gene:ONIVA05G23040 transcript:ONIVA05G23040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSLYGNGTDRLSLLEFRKAISLDAQQALMSWNDSNYFCSWEGVLCRVKTPHRVISLNLTNRGLEGQISPALGNMTFLKFLSLSSNSFRGEIPLSLGHLHHLESLYLSNNTLQGDIPDFTNCSNLKSLWLSRNHLVGQFNINFPPRLQDLILTSNNITGTIPSSLANITSLQRLSIADNNIKGNIPHEFAGFPMLQILYADGNKLAGGFPRAILNISTIVRLGFSSNYLSGEIPSNLFDFLPQIQLFVLDSNLFRGHIPNSLGNASKLQHVDISSNNFTGVIPGTIGKLTELYRLNLEKNQLHARNKQDWEFMSSLANCTGLTLLSISDNCLEGHVPSSLGNLSVQLHQLLLGGNQLSGGFPPGFQYLRNLISISIDSNSFSGVLPEWLGTLQNLQLIGLYNNNFTGIIPSSLSNLSQLGYLYLQSNQFYGHLPPSLGNHKMLQELIIGYNNIQGVIPKEIFKIPSLLQIDLSFNNLDGSIPKEVGDAKQLMYLRLSSNKLSGDIPNSLGNSESLDIIMLDRNIFSGSIPTSLDNILSLKVLNLSQNNLSGSIPPSLGNLQFLEKLDLSFNHLKGEVPVKGIFKNASAIRIDGNEALCGGVPELHLHACSIIPFDSTKHKQSIVLKIVIPLASVLSLAMIISILLLLNRKQKRKSVDLPSFGRKFVRVSYNDLAKATEGFSTSHLIGRGRYSSVYQGKFTDEKVVAVKVFNLETMGAQKSFITECNALRKLRHRNIVPILTACASTTSNGNDFKALLYEFMPQGDLNKLLHSTGAEEFNGENHGNRITLAQRLSIIVDVADAIEYLHHNNQETIVHCDLKPSNILLDDDMIAHVGDFGLARFKIDFMGSNDSNSIYSTAIKGTIGYVAPEYAAGAEVSTYGDVFSFGVVLLEIFLRKRPTDDIFKDGLDIVKFVEVNFPDRLSQIVDPELLQESHVGTKERVLGCLNSVLNIGLCCTKTSPYERMDMREALGLLSMKFIEPGQFLLVFLVCSAHVVIHSSSGNEADRLSLLEFKNAITLDPQQALMSWNDSNHVCSWEGVKCRVKASHRVIYLNLGGQGAHVVICSSNGNETDRLSLLEFKNAITLDPQQALMSWNDSNHVCSWEGVKCRVKAPHRVIYLNLSGQGLVGTISPSLENLTFLRYISLQENLLAGQIPLSFGHMHHLKVLYLREIPDFANCSNLWALLLNGNHLVGKAPTDARLPPNLYFLWIVHNNLTGTIPTSLFNITTLTKLSIGFNQINGEVPKEIGKSRVLQLFAASGNKLLGRFQQTILNISSLADLDLGSNYLHGELPSSLGSSLSNLQGLALGNNFFGGHIPSSLANASKLSMIHLSRNNFIGMVPSSIGKLQELSVLNLEFNQLQSSDKQGLEFMNSLSNCTKLRALSLAKNQLEGEIPSSFGNLSMKLELLYLGGNKLSGRFPAGIANLHSLSGLALNSNRFTGPVPDWLGNLKKLQIIFLAANMFTGFIPSSLSNLSLLENVVLDSNQFYGHIPRGLESLKVLQVLSIPNNNLHGSIPRELFSIPTIREIWLYSNRLDGPLPIEIGNAKQLEHLVLSSNNLSGVIPDTLGNCESIEEIELDQNFLSGSIPTSFGNMESLQVLNMSHNLLSGSIPKSIGSLKYLEQLDLSFNNLEGEVPEIGIFNNTTAIWIAGNRGLCGGATKLHLPVCTYRPPSSTKHLQSVVLKVVIPLACIVSLATGISVLLFWRKKHERKSMSLPSFGRNFPKVSFDDLSRATDGFSISNLIGRGRYSSVYKGRLLQYGDMVAVKVFSLQTRGAQKSFIAECKTLRNVRHRNLVPILTACSSIDSRGNDFKALVYQFMSQGDLHMMLYSNQDDENGSASIHIAFAQRLSIVVDVADAMEYVHHNNQGTIVHCDLKPSNILLDDSLTAHVGDFGLARFKVDCTISSSGDSIISSAINGTIGYVAPEYATGGEVSTFGDVYSFGIVLFEIFLRKRPTHDMFKDGLNIATFVDMNFPDRISEVVDQELLEYQNGLSHDTLVDMKEKEMECLRSVLNIGLCCTKPSPYERMDMREVAARLRKIKEAYLSSN >ONIVA05G23040.4 pep chromosome:AWHD00000000:5:22838429:22859122:-1 gene:ONIVA05G23040 transcript:ONIVA05G23040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMCANSNIANEIVECSEGGQVSTASDVFSFGVVLLELFIWRRPIDDMFKDGLSIAKYVEMNFPDRILEIVDPQVQHELDLCQETPMAVKEKGIHCLCSVLNIGLCCTNPTPIQIMCTSLYGNETDRLSLLEFKKAISLDPQQALMSWNDSTYFFSWEGVLCRVKTPHRLISLNLTNQGLVGQISPSLGNLTFLKFLFLDTNSFTGEIPLSLGHLHHLRTIYLSNNTLEGAIPDFTNCSSLKALRLNGNHLVGQLINNFPPKLQVLTLASNNFTGTIPSSFANITELRNLNFASNNIKGNIPNEFSNFLMMEILILGGNMLTGRFPQAILNISTLIDLFLNFNHLSGEVPSNILYSLPNLQVLALDFNFLQGHIPSSLVNASNLRVLDISSNNFTGVVPSSIGKLSKLYWLSLEGNQLQIHKKEDWEFMNSLANCTRLQIFSMAYNRLEGHLPSSLSNFSTHLPRLHLDGNAISGFLPSGIEHLSNLIDLSLGTNDFTGTLPEWLGNLKQLQMLGLYENYFIGFIPSSLSNLSQLVYLGLHFNKFDGHIPSLGNLQMLEVLNISNNNLHCIIPTEIFSIMSIVQIDLSFNNLHGKFPTDIGNAKQLISLELSSNKLSGDIPNALGNCESLEYIMLASLSNLQYLEQLDLSFNHLNGEVPVEGIFKNATAFQMDGNQGLCGGLPELHLPACPTVLLVTSKNKNSVILKLVIPLACMVSLALALSIYFIGRGKQKKKSISFPSLCRKFPKVSFNDLSNATDRFSTANLIGRGRFGSVYQAKLFQDNIVVAVKVFNLETSGSQESFIAECNALRNLRHRNLVPIFTLCGSIDAEGNDFKALVYELMPRGDLHKLLYSTGDDGDASNLNHITLAQRISIIVDLSNALEYLHHNNQGTIIHCDLKPSNILLDDNMIAHVGDFGLVKFRTDSSTSFGDSNSIFSLAIKGTIGYIAPECAEGDQVSTASDVYSFGVVLLELFICRRPIDAMFKDGLSIAKFTEINFPDRILEIIDPQLQQELDLCLEAPVEVKEKDHYNWTVSFGDYG >ONIVA05G23030.1 pep chromosome:AWHD00000000:5:22819293:22820168:-1 gene:ONIVA05G23030 transcript:ONIVA05G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHRIVIVRFHLCSKASGRRGRREGLRDLLANATHILRLHGSVQEWTTAGLPEYQCSHDLGDVAGHRDDVHLVLFLVSDVSFADMWLTSTSAELIPIEETYINCAGIGLLLSRAVRRSAADGFSAPARNGPPGGDEQRSTPSGSGYAVGGDMSTYGDVHSFGIILFEIFLRKRPTDDMFKDGLNIATFVEMNFPDRILLGQNPTSIYVYHLYIQYAQL >ONIVA05G23020.1 pep chromosome:AWHD00000000:5:22787813:22801313:-1 gene:ONIVA05G23020 transcript:ONIVA05G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAATGQFLLVLMACSVIQIVCQSLHGNETDRLSLLDFKNAIILDPHQALVSWNDSNQVCSWEGVFCRVKAPNHVVALNLTNRDLVGTISPSLGNLTFLKHLNLTGNAFTGQIPASLAHLHRLQTLSLASNTLQGRIPNLANYSDLMVLDLYRNNLAGKFPADLPHSLEKLRLSFNNIMGTIPASLANITTLKYFACVNTSIEGNIPDEFSKLSALKILYLGINKLSGSFPEAVLNISVLTGLSLAFNDLRGEVASDLGNSLPNLELFELDGNSFHGKIPPSITNASNLYLIEVSNNNFTEGLPSSIGKLSKLSWLNLERNKFHGRNEEDWEFLNSLSNCTELQMFSVAWNRLEGQVPKSFGNYSIQLQYVHMGQNRLSGGFPSGLENLPNLIVVELSGNQLTGVLPDWIGALKSLQKLTVRDNIFTGFIPSSLSNLTNLVKLFLYSNQFSGQIPASLGNLQALQILGFSNNHLHGIVPEEIFRIPTILSIDLSFNNIWGPLPAYIGNAKRLTYLTLSSNNISGDIPNTLGDCESLQEIQFGQNFFSGGIPTSLSKILSLSLLNLSYNNLTGPIPDSLSNLKYLGQLDLSFNHLNGEVPTKGIFKNATAVQIGGNQGLCGGVLELHLPACSIAPLSSRKHGKSLTIKIVIPMAILVSLFLVVLVLLLLRGKQKGHSISLPLSDTDFPKVSYNDLSRATERFSVSNLIGKGRFSCVYQGKLFQCNDVVAVKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSKGNDFKALVYKFMPGGDLHKLLYSNGGDGDAPHQNHITLAQRINIMVDVSDALEYLHHSNQGTIVHCDLKPSNILLDDNMVAHVGDFGLARFKFDSTTSSLSYSNSTSSLVIKGTIGYIAPECSDGGQVSTASDVYSFGVVLLEIFIRRRPTDDMFMDGLSIAKYTAINFPDRILEIVDPKLQQELIPCSTDKEDLDPCQENPIAVEEKGLHCLRSMLNIGLCCTKPTPGKRISMQETMKVTTASGHLLLVLFASIFHPAVSSISGNGTDRLALLEFKNAITHDPQKSLMSWNDSNHLCSWEGVSCSSKNPPRVTSIDLSNQNLAGNISPSLGNLTFLKHLSLATNEFTGRIPESLGHLRRLRSLYLSNNTLQGIIPSFANCSDLRVLWLDHNELTGGLPDGLPLGLEELQVSSNTLVGTITPSLGNVTTLRMLRFAFNGIEGGIPGELAALREMEILTIGGNRLSGGFPEPIMNMSVLIRLSLETNRFSGKMPSGIGTSLPNLWRLFIGGNFFQGNLPSSLANASNLVDLDISQNNFVGVVPAFIGKLANLTWLNLEMNQLHARIKQDWDFMDSLTNCTQLQALSMAGNQLEGHLPNSVGNSSVQLQRLYLGQNQLSGSFPSGIENLPNLIVFGLDYNRFTGSVPPWLGGLITLQVLSLTNNNFTGYIPSSLSNLSHLVELYLQSNQLLGNIPSSFGKLQFLTRIDISDNSLNGSLPKEIFRIPTIAEVGFSFNNLSGELPTEVGYAKQLRSLHLSSNNLSGDIPNTLGNCENLQEVVLDQNNFGGSIPASLGKLISLKSLNLSHNILNGSIPVSLGDLELLEQIDLSFNHLSGQVPTKGIFKNSTATHMDGNLGHCGGAPELHLPECPIVPSNKSKHKLYVTLKVVIPLASTVTLAILILVIFIWKGKRREKSISLSSSGREFPKVSYRDLARATNGFSTSNLIGRGRYSSVYQGQLFHDINAVAIKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSSGNDFKALVYKFMPRGDLHKLLYSNPNDERSSGICYISLAQRLSIAVDLSDALAYLHHSHQGTIIHCDLKPSNILLDDNMIAHVGDFGLARFRIDSRTSFGNSNSTINGTIGYVAPECAIGGQVSTAADVYSFGVVLLEIFIRRRLTDDMFKDGLTIAKYTEINIPDKMLQIVDPQLVQELGLSQEDPVRVDETATHCLLSVLNIGLCCTKSSPSERISMQEVATKLHRIRESYLR >ONIVA05G23010.1 pep chromosome:AWHD00000000:5:22760851:22774837:-1 gene:ONIVA05G23010 transcript:ONIVA05G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTTAGHFLLVLLASISHSVICSTSGNETDRLSLLEFKNAISVDPHQALISWNDSNHFCSWEGVSCSSKNPPRVTSINLINQNLQGNISPSLGNLTFLMHLSLVNNRLTRQIPSSLGRLRRLQSLYLSNNMLQGIIPSFANCSGLRKLWLDHNELAGEFPGDLPLGLEELNLSFNNLIGTIPSKLGNLKALKKFRCAFNDIDGTVPGELAALRGMELLSITDNRLSGGFPEVILNMSALVAFGLCYNNFSGELPSGIGSSLPSLEEITIGGNFFQGNLPSSLANASNLFWIDMIDNNFSGVVPTSIGKLAKLTRLNFQTNQFHARSKQDWEFMDNLANCTQLRFFSIAGNQMEGHVPSSLGNFSVQLQYLYLGQNQLSGNFPSGIANLPNLILLGLDYNRFTGSVPQWLGGLKKLQMLSLTNNNFTGYIPSSLSNLKQLTSLILEANQFIGNIPSSFGNLQFLTTLTISRNNLHGSVPKEIFSIPTLAQLGFAFNNLSGELPAEVGNAKNLWNLQLSSNNLSGDIPDTLGNCETLQEVLLDENNFSGHIPTSFGKLIVLRLLGLSHNQLSGSIPASLGDLQLLQQLDLSFNHLTGQVPTKGIFKNSTAMRIDGNMGLCGGAPELQLPECPIRTSNKSKHKLSVVVKVVIPLAIIVALAIVILILFIWKGKKRTKSIHLPSFGTEFPKVSYSDLARATNRFSTANLIGKGRYSSVYQGQLFQDLNVVAIKVFSLETRGAQKSFIAECSTLRNVRHRNLVPILTACSSIDSSGNDFKALVYQFMPRGDLHKLLYSTRDDGDASNLNHTTLAQRINIVVDVSDALEYLHHNNQGTIIHCDLKPSNILLGDNMIAHVGDFGLARFRIHSSTSLGDSNSISSFAIKGTIGYIAPQCSEGGQVSTASDVFSFGVVLLELFIRRRPTDDMFKDGLSIAKHVEVNFPDRILEIVDPQLQQELDLCQETPMAVKEKGVHCFRSVLNIGLCCTKPTPSERISIQEASSWRAGAGDRWRRRRRRRRREARGNNRNGWRDLMKMDREKRMLVEMEELLCFFTVEHHYHSFAYNRKVIHNTHSVGMKPIAIGQSFVLLLVFSTVSVVICSDGNETDWLSLLQFKQAISLDPQHALLSWNDSTHFCSWEGVSCSLRYPRRVTSLDLSNRGLVGLISPSLGNLTSLEHLFLNTNQLSGQIPPSLGHLHHLRSLYLANNTLQGNIPSFANCSALKILHLSRNQIVGRIPKNVHLPPSISQLIVNDNNLTGTIPTSLGDVATLNILIVSYNYIEGSIPDEIGKMPVLTNLYVGGNNLSGRFPLALTNISSLVELGLGFNYFHGGLPPNLGTSLPRLQVLEIASNLFEGHLPYSISNATSLYTIDFSSNYFSGVVPSSIGMLKELSLLNLEWNQFESFNNKDLEFLHSLSNCTDLQVLALYDNKLKGQIPYSLGNLSIQLQYLFLGSNQLSGGFPSGIRNLPNLISLGLNENHFTGIVPEWVGTLANLEGIYLDNNKFTGFLPSSISNISNLEDLCLSTNLFGGKIPAGLGKLQVLHLMELSDNNLLGSIPESIFSIPTLTRCMLSFNKLDGALPTEIGNAKQLGSLHLSANKLTGHIPSTLSNCDSLEELHLDQNFLNGSIPTSLGNMQSLTAVNLSYNDLSGSIPDSLGRLQSLEQLDLSFNNLVGEVPSIGVFKNATAIRLNGNHGLCNGAMELDLPRCATISSSVSKHKPSHLLMFFVPFASVVSLAMVTCIILFWRKKQKKEFVSLPSFGKKFPKVSYRDLARATDGFSASNLIGTGRYGSVYMGKLFHSKCPVAVKVFNLDIRGTQRSFISECNALRNLRHRNIVRIITACSTVDSKGNDFKALIYEFMPRGDLYQVLYSTCADENSSTSHFGLAQRVSIVMDIANALEYLHNHNKGIIVHCDLKPSNILLDDNMTAHVGDFGLSRFEIYSMTSSFGCSTSSVAISGTIGYVAPECAESGQVSTATDVYSFGVVLLEIFIRRRPTDDMFNDGLSIAKFAELNLPDKVLQIVDPQLQQDLETCQETPMAIKKKLTDCLLSVLKPKLELAMKAPSVGELLLVFIACSCCAHVVVCSSLPGNETDRLSLLEFKKAISGNISPSIANLTFLKSLSLGKNSFFGEIPASLGHLHRLQTLVLSYNKLQGRIPDLANCSNLRSLWLDRNNLVGKIPNLPPRLQELMLHVNNLSGTIPPSLGNITTLTKFGCAFNNIEGNIPTEFERLPGLQYLSVNTNKLAGWFQLAILNISTLVTLDLGANNLRGEVPSNLGNSLPNLQYLILSDNFFHGHFPSSLINSSKLNLIDMAENNFTGVIPSSIGKLAKLNVLSLQLNQFQAGTKKEWEFMDSLANCTELEVFSVARNHLQGQVPSSLSNISSQLQYLYLGKNQLSGGFPSGIAKFHNLIILGLDHNQFTGVVPEWLGTLQALQKLSLLDNNFIGFLPTSLSNLSQLSELFLGSNKFDGNIPLGLGDLQMLQVLSISNNNIQGRVPKEIFNLPTITEIDLSFNKLFGQLPTEIGNAKQLASLELSSNNLEDIRLDRNAFTGIIPTSLGNIRSLKVLNLSHNKLTGSIPVSLGNLQLLEQLDLSFNHLKGKVPTNGVFMNETAIQIDGKSWALWWSNGVAPTRMFYDSKSNQI >ONIVA05G23010.2 pep chromosome:AWHD00000000:5:22760851:22774837:-1 gene:ONIVA05G23010 transcript:ONIVA05G23010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTTAGHFLLVLLASISHSVICSTSGNETDRLSLLEFKNAISVDPHQALISWNDSNHFCSWEGVSCSSKNPPRVTSINLINQNLQGNISPSLGNLTFLMHLSLVNNRLTRQIPSSLGRLRRLQSLYLSNNMLQGIIPSFANCSGLRKLWLDHNELAGEFPGDLPLGLEELNLSFNNLIGTIPSKLGNLKALKKFRCAFNDIDGTVPGELAALRGMELLSITDNRLSGGFPEVILNMSALVAFGLCYNNFSGELPSGIGSSLPSLEEITIGGNFFQGNLPSSLANASNLFWIDMIDNNFSGVVPTSIGKLAKLTRLNFQTNQFHARSKQDWEFMDNLANCTQLRFFSIAGNQMEGHVPSSLGNFSVQLQYLYLGQNQLSGNFPSGIANLPNLILLGLDYNRFTGSVPQWLGGLKKLQMLSLTNNNFTGYIPSSLSNLKQLTSLILEANQFIGNIPSSFGNLQFLTTLTISRNNLHGSVPKEIFSIPTLAQLGFAFNNLSGELPAEVGNAKNLWNLQLSSNNLSGDIPDTLGNCETLQEVLLDENNFSGHIPTSFGKLIVLRLLGLSHNQLSGSIPASLGDLQLLQQLDLSFNHLTGQVPTKGIFKNSTAMRIDGNMGLCGGAPELQLPECPIRTSNKSKHKLSVVVKVVIPLAIIVALAIVILILFIWKGKKRTKSIHLPSFGTEFPKVSYSDLARATNRFSTANLIGKGRYSSVYQGQLFQDLNVVAIKVFSLETRGAQKSFIAECSTLRNVRHRNLVPILTACSSIDSSGNDFKALVYQFMPRGDLHKLLYSTRDDGDASNLNHTTLAQRINIVVDVSDALEYLHHNNQGTIIHCDLKPSNILLGDNMIAHVGDFGLARFRIHSSTSLGDSNSISSFAIKGTIGYIAPQCSEGGQVSTASDVFSFGVVLLELFIRRRPTDDMFKDGLSIAKHVEVNFPDRILEIVDPQLQQELDLCQETPMAVKEKGVHCFRSVLNIGLCCTKPTPSERISIQEASSWRAGAGDRWRRRRRRRRREARGNNRNGWRDLMKMDREKRMLVEMEELLCFFTVEHHYHSFAYNRKVIHNTHSVGMKPIAIGQSFVLLLVFSTVSVVICSDGNETDWLSLLQFKQAISLDPQHALLSWNDSTHFCSWEGVSCSLRYPRRVTSLDLSNRGLVGLISPSLGNLTSLEHLFLNTNQLSGQIPPSLGHLHHLRSLYLANNTLQGNIPSFANCSALKILHLSRNQIVGRIPKNVHLPPSISQLIVNDNNLTGTIPTSLGDVATLNILIVSYNYIEGSIPDEIGKMPVLTNLYVGGNNLSGRFPLALTNISSLVELGLGFNYFHGGLPPNLGTSLPRLQVLEIASNLFEGHLPYSISNATSLYTIDFSSNYFSGVVPSSIGMLKELSLLNLEWNQFESFNNKDLEFLHSLSNCTDLQVLALYDNKLKGQIPYSLGNLSIQLQYLFLGSNQLSGGFPSGIRNLPNLISLGLNENHFTGIVPEWVGTLANLEGIYLDNNKFTGFLPSSISNISNLEDLCLSTNLFGGKIPAGLGKLQVLHLMELSDNNLLGSIPESIFSIPTLTRCMLSFNKLDGALPTEIGNAKQLGSLHLSANKLTGHIPSTLSNCDSLEELHLDQNFLNGSIPTSLGNMQSLTAVNLSYNDLSGSIPDSLGRLQSLEQLDLSFNNLVGEVPSIGVFKNATAIRLNGNHGLCNGAMELDLPRCATISSSVSKHKPSHLLMFFVPFASVVSLAMVTCIILFWRKKQKKEFVSLPSFGKKFPKVSYRDLARATDGFSASNLIGTGRYGSVYMGKLFHSKCPVAVKVFNLDIRGTQRSFISECNALRNLRHRNIVRIITACSTVDSKGNDFKALIYEFMPRGDLYQVLYSTCADENSSTSHFGLAQRVSIVMDIANALEYLHNHNKGIIVHCDLKPSNILLDDNMTAHVGDFGLSRFEIYSMTSSFGCSTSSVAISGTIGYVAPECAESGQVSTATDVYSFGVVLLEIFIRRRPTDDMFNDGLSIAKFAELNLPDKVLQIVDPQLQQDLETCQETPMAIKKKLTDCLLSVLKPKLELAMKAPSVGELLLVFIACSCCAHVVVCSSLPGNETDRLSLLEFKKAISEDVLCRAKAPLRVTSLNLTDCGLAGNISPSIANLTFLKSLSLGKNSFFGEIPASLGHLHRLQTLVLSYNKLQGRIPDLANCSNLRSLWLDRNNLVGKIPNLPPRLQELMLHVNNLSGTIPPSLGNITTLTKFGCAFNNIEGNIPTEFERLPGLQYLSVNTNKLAGWFQLAILNISTLVTLDLGANNLRGEVPSNLGNSLPNLQYLILSDNFFHGHFPSSLINSSKLNLIDMAENNFTGVIPSSIGKLAKLNVLSLQLNQFQAGTKKEWEFMDSLANCTELEVFSVARNHLQGQVPSSLSNISSQLQYLYLGKNQLSGGFPSGIAKFHNLIILGLDHNQFTGVVPEWLGTLQALQKLSLLDNNFIGFLPTSLSNLSQLSELFLGSNKFDGNIPLGLGDLQMLQVLSISNNNIQGRVPKEIFNLPTITEIDLSFNKLFGQLPTEIGNAKQLASLELSSNNLEDIRLDRNAFTGIIPTSLGNIRSLKVLNLSHNKLTGSIPVSLGNLQLLEQLDLSFNHLKGKVPTNGVFMNETAIQIDGKSWALWWSNGVAPTRMFYDSKSNQI >ONIVA05G23000.1 pep chromosome:AWHD00000000:5:22755726:22760775:-1 gene:ONIVA05G23000 transcript:ONIVA05G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRRKHEGNSTSLPSFGRKFPKVPYNELAEATEGFSESNLIGKGRYGYVYRGNLFQGTNVVAIKVFNLETMGAQKSFIAECNALRNVRHRNLVPILTACSSIDPNGNDFKALVYEFMPMGDLYNLLYAPQCDSNLRHITLAQRIGIVADVADAMDYLHHNNQGTIVHCDLKPSKILLDDNMTAHVGDFGLARFNFGSTTASLGDTNSTSSAAIKGTIGYIAPECAGGGQVSTAADVYSFGVVLLEIFIRRRPTDDMFKDGLTIAKFTEINIPDKMQDIVDPQLAQELGLCEEAPMADEESGARCLLSVLNIGLCCTRLAPNERISMKEVASKMHGIRVCQDILN >ONIVA05G22990.1 pep chromosome:AWHD00000000:5:22740712:22755466:-1 gene:ONIVA05G22990 transcript:ONIVA05G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGVEAGGGGVFFRDVVDADHSRRWKPTSSTQSSTSIPGQHNSHAEDTGEEWRGGQRRSGLLQDPTPPALAPAEATTGGERWSAMEAERWMNQENTSSVDQNSTKLGHHEGYHCNCTFPLGVLGLISHSVICSTLGNETDRLSLLEFKNSITLNPHQSLISWNDSTHFCSWEGISCSSKNPPRVTAIDLRSQGLVGHISPSLGNLTFLRNLSLATNGFTGQIPESLGHLRRLRSLYLSNNTLQGIIPSFANCSQLTVLWLDHNDLAGGFPDGLPLGLQELQLSSNRLVGTIPPSLSNITALRKLSFAFNSITGSIPGELGTLSGVEILYASSNRLLGGFPEAILNMSALVALSLSTNSFSAELPSGIGSSLPNLRQLAIGINFFHGDIPSSLANASNLVKIDISENNFTGVVPASIGKLANLTRLNLEMNQLHARSKQDWEFMDSVANCTQLQGISIARNQMEGENQLSGSFPSGIANLPNLIILGLDYNRFSGSVPQWLGGLKKLQKLSLSTNSFTGYLPSSLSNLSHLTEILLDTNQFIGNIPSSFGNLQFLTTITITDNNLHGGVPKEIFRIPTIAEVGLSSNNLSGDIPNTLSNCENLQHVELDQNNFSGGIPTSFGKLISLKFLNLSHNKLSGSIPVSLGDLQLLEQIDLSFNHLTGQVPTKGIFKNSTSMQIDGNLTLCGGALELHLPECPFTPSNTTKGKLPVLLKVVIPLASMVTLAIVILVLYLIWKGKQRTNSISLPSFGREFPKVSYKDLARATNGFSTSNLIGEGRYGSVYQGQLFQDINVVAIKVFSLETKGAQKSFIAECNALRNVQHRNLVPVLTACSSIDSSGNDFKALVYEFMPRGDLHKLLYSTPHDETSSDLCYISLAQRLSIVVNVSNALAYLHHNHQGTIIHCDIKPTNILLDDNMTAHVGDFGLARFKNDSRQSFGNSHLPSSFAINGTVGYVAPECAGGGQISTAADVYSFGVVLLEIFIRRRPTDDMFKDGLSIAKFTEMNIPDKMLQIVDPRLVQELSLCKEDSVINDENGAQCPQASASACRRRPTSYTQSGIHISEDTEAVQDESVHVSLALKVGGLAGPGLINIRQNLIAMKITAVGQLILVLMACSSHAVICSTFGNGTDQLSLLEFKKAISLDPQQSLMYWNDSTNYCSWEGVSCSLKNPGRVTSLNLTNRALVGHISPSLGNLTFLKYLALPKNALSGEIPPSLGHLRRLQYLYLSGNTLQGSIPSFANCSELKVLWVHRNILTGKFPADWPPKLQQLQLSINNLTGAIPASLANISSLNVLSCVYNHIEGNIPNEFAKLPNLQTLYVGSNQLSGSFPQVLLNLSTLINLSLGLNHLSGEVPSNLGSALPNLEIFELPVNFFHGRIPSSLTNASNLYFLELSNNNFTGLVPRTIGELNKLQMLNLEWNQLQAHREQDWEFLQSLGNCTELQVFSMTGNRLQGHVPSSLGNLSDQLQELHLAESKLSGIANLQNLIIVALGANQFTGVLPEWLGTIKTLQKVSLGSNLFTGAIPSSFSNLSQLGELYLDSNQLVGQLPPSFGTLPILQVLIVSNNNLHGSIPKEIFRIPTIVQINLSFNNLDAPLHNDIGKAKQLTYLQLSSNNISGYIPSTLGDCESLEDIELDHNVFSGSIPASLENIKTLKVLNLSYNNLSGSIPASLGNLQLVEQLDLSFNNLKGEVPTKGIFKNTTAIRVGGNPGLCGGSLELHLLTCSSTPLNSVKHKQFIFLKVVLPIAIMTSLVIAISIMWFWNRKQNRQSISSPSFGRKFPKVSYSDLVRATEGFSASNLIGRGRYGSVYQGKLFPERNLVAVKVFNLETRGAGKSFIAECNALKNVRHRNLITILTACSSIDSSGNDFKALVYEFMPRGDLHNLLYSTRDGDGSSNLYYVSLAQRLNIVVDVSDALAYLHHNHQGSIVHSDLKPSNILLDDNMTAHVGDFGLAAFKSDSAASSFGDSSLTSSFAIKGTIGYVAPECAGGGRVSTASDIYSFGIVLLEIFIRRKPTDDMFKDGLSISKYTEINFPDKMLQIVDPQLLRELDICQETSINVEKNEVCCLLSVLNIGLHCTKLVPGERMSMQEV >ONIVA05G22990.2 pep chromosome:AWHD00000000:5:22737522:22755466:-1 gene:ONIVA05G22990 transcript:ONIVA05G22990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGVEAGGGGVFFRDVVDADHSRRWKPTSSTQSSTSIPGQHNSHAEDTGEEWRGGQRRSGLLQDPTPPALAPAEATTGGERWSAMEAERWMNQENTSSVDQNSTKLGHHEGYHCNCTFPLGVLGLISHSVICSTLGNETDRLSLLEFKNSITLNPHQSLISWNDSTHFCSWEGISCSSKNPPRVTAIDLRSQGLVGHISPSLGNLTFLRNLSLATNGFTGQIPESLGHLRRLRSLYLSNNTLQGIIPSFANCSQLTVLWLDHNDLAGGFPDGLPLGLQELQLSSNRLVGTIPPSLSNITALRKLSFAFNSITGSIPGELGTLSGVEILYASSNRLLGGFPEAILNMSALVALSLSTNSFSAELPSGIGSSLPNLRQLAIGINFFHGDIPSSLANASNLVKIDISENNFTGVVPASIGKLANLTRLNLEMNQLHARSKQDWEFMDSVANCTQLQGISIARNQMEGENQLSGSFPSGIANLPNLIILGLDYNRFSGSVPQWLGGLKKLQKLSLSTNSFTGYLPSSLSNLSHLTEILLDTNQFIGNIPSSFGNLQFLTTITITDNNLHGGVPKEIFRIPTIAEVGLSSNNLSGDIPNTLSNCENLQHVELDQNNFSGGIPTSFGKLISLKFLNLSHNKLSGSIPVSLGDLQLLEQIDLSFNHLTGQVPTKGIFKNSTSMQIDGNLTLCGGALELHLPECPFTPSNTTKGKLPVLLKVVIPLASMVTLAIVILVLYLIWKGKQRTNSISLPSFGREFPKVSYKDLARATNGFSTSNLIGEGRYGSVYQGQLFQDINVVAIKVFSLETKGAQKSFIAECNALRNVQHRNLVPVLTACSSIDSSGNDFKALVYEFMPRGDLHKLLYSTPHDETSSDLCYISLAQRLSIVVNVSNALAYLHHNHQGTIIHCDIKPTNILLDDNMTAHVGDFGLARFKNDSRQSFGNSHLPSSFAINGTVGYVAPECAGGGQISTAADVYSFGVVLLEIFIRRRPTDDMFKDGLSIAKFTEMNIPDKMLQIVDPRLVQELSLCKEDSVINDENGAQCPQASASACRRRPTSYTQSGIHISEDTEAVQDESVHVSLALKVGGLAGPGLINIRQNLIAMKITAVGQLILVLMACSSHAVICSTFGNGTDQLSLLEFKKAISLDPQQSLMYWNDSTNYCSWEGVSCSLKNPGRVTSLNLTNRALVGHISPSLGNLTFLKYLALPKNALSGEIPPSLGHLRRLQYLYLSGNTLQGSIPSFANCSELKVLWVHRNILTGKFPADWPPKLQQLQLSINNLTGAIPASLANISSLNVLSCVYNHIEGNIPNEFAKLPNLQTLYVGSNQLSGSFPQVLLNLSTLINLSLGLNHLSGEVPSNLGSALPNLEIFELPVNFFHGRIPSSLTNASNLYFLELSNNNFTGLVPRTIGELNKLQMLNLEWNQLQAHREQDWEFLQSLGNCTELQVFSMTGNRLQGHVPSSLGNLSDQLQELHLAESKLSGIANLQNLIIVALGANQFTGVLPEWLGTIKTLQKVSLGSNLFTGAIPSSFSNLSQLGELYLDSNQLVGQLPPSFGTLPILQVLIVSNNNLHGSIPKEIFRIPTIVQINLSFNNLDAPLHNDIGKAKQLTYLQLSSNNISGYIPSTLGDCESLEDIELDHNVFSGSIPASLENIKTLKVLNLSYNNLSGSIPASLGNLQLVEQLDLSFNNLKGEVPTKGIFKNTTAIRVGGNPGLCGGSLELHLLTCSSTPLNSVKHKQFIFLKVVLPIAIMTSLVIAISIMWFWNRKQNRQSISSPSFGRKFPKVSYSDLVRATEGFSASNLIGRGRYGSVYQGKLFPERNLVAVKVFNLETRGAGKSFIAECNALKNVRHRNLITILTACSSIDSSGNDFKALVYEFMPRGDLHNLLYSTRDGDGSSNLYYVSLAQRLNIVVDVSDALAYLHHNHQGSIVHSDLKPSNILLDDNMTAHVGDFGLAAFKSDSAASSFGDSSLTSSFAIKGTIGYVAPECAEDGQVSTASDVYSFGIVLLEIFIRKKPTDDMFKDGLSIVKYTEINLPEMLQIVDPQLLQELHIWHETPTDVEKNEVNCLLSVLNIGLNCTRLVPSERMSMQEVASKLHGIRDEYLRGD >ONIVA05G22990.3 pep chromosome:AWHD00000000:5:22736958:22740680:-1 gene:ONIVA05G22990 transcript:ONIVA05G22990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMPIGLLLLVLIAWSSEAVICNSLNESEIDRRSLLEFKKGISMDPQKALMSWNDSTLLCNWEGVLCRVKTPRRVTSLNLTNRGLVGKISPSLGNLTFLKFLLLPTNSLTGEIPSSFGYLHRLQFLYLSNNTLQGMIPDLTNCSNLKAIWLDSNDLVGQIPNILPPHLQQLQLYNNNLTGTIPSYLANITSLKELIFVSNQIEGNIPNEFAKLPNLKVLYAGANKLEGKFPQAILNISTLTGLSLAYNNLSGELPSNLFTYLPNLQDLGLAANLFQGHIPNSLANASKLYMLDIALNYFTGIIPTSIGKLTELSWLNLEHNRLQARSKQDWEFMTSLANCSELNIFSMKDNLLEGHVPSSLGNLSVQLQHLLLGTNKLSGDFPFGIANLPGLTMLGLEDNKFTGIVPEWLGSLQNLQGIELANNFFTGVIPSSLANISMLEELFLESNQLYGYIPSSLGKLNVLSVLSMSNNSLHGSIPEEIFRIPTIRKISLSFNNLDAPLHDDIGNAKQLTYLQLSSNNITGYIPSTLDNCESLEDIELDHNVFSGSIPTTLGNIKTLKVLKLSNNNLTGSIPASLGNLQLLEQLDLSFNNLKGEVPTKGIFKNATAMRVDGNEGLCGGSLELHLLTCSNKPLDSVKHKQSILLKVVLPMTIMVSLVAAISIMWFCKRKHKRQSISSPSFGRKFPKVSYHDLVRATEGFSTSNLIGRGRYGSVYQGKLFEGRNVVAVKVFNLETRGAGKSFIAECNALKNVRHRNLVTILTACSSIDSAGNDFKALVYEFMPQGDLHNLLYSTRDGDGSSNLRNVSLAQRLSIAVDVSDALAYLHHNHQGTIVHSDIKPSNILLNDDMTAHVGDFGLARFKSDSATSSFVNSNSTSSIAIKGTIGYVAPECAEDGQVSTASDVYSFGIVLLEIFIRKKPTDDMFKDGLSIVKYTEINLPEMLQIVDPQLLQELHIWHETPTDVEKNEVNCLLSVLNIGLNCTRYMAFRGHQERSTPGLKSKSQGEYKGEQRIDSIIKHLGAHITVL >ONIVA05G22980.1 pep chromosome:AWHD00000000:5:22731747:22737879:1 gene:ONIVA05G22980 transcript:ONIVA05G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGM7] MEKPCTLLVHFDKGSPSMANEIKADLEGSDVAAKVDAMKRAIMLLLNGETLPHLFITVVRYVLPSEDHTIQKLLLLYLEIVDKRDVASGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEPELLEPLIPSILANLDHRHHFIRRHALSAISAIYRLPHGDQLLPDAPEVVERALTGEQDASARRNAFLMLCACAQERAVAYLLTNAERVAEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIISLLSAPNSAVVYESAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLIVLDRLHELRASHRDVMVDVVMDVLRALSSPNVDVRRKVLDLVLDLLTPRNVEEVVMYLKKEVVKTQAGDLEKGGEYRQMLVQAIHSCAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVESAISTIKQCLGDLPFYTVSEEGESTDASKPAQPVVNSVTVSSRRPVVLADGTYATQSAATETAISSPAVAPGSLSSTQNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKAEANKASTGALLIMVSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKVWLQSCRQSFTKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDAVQDDLKAATGEFTKDADDANRLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVAFRNMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTPPSALDGECGFIAANLYAKSVFGEDALVNISVEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGSS >ONIVA05G22970.1 pep chromosome:AWHD00000000:5:22729894:22730178:-1 gene:ONIVA05G22970 transcript:ONIVA05G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTENDSATYADSGKWQTGNPCLDFFFHVAVIHGARGQSSRRGLLLLRHRTSLHLPRARASLRLHLRGLRFPTRRVAAAAAAIAVEPMSFML >ONIVA05G22960.1 pep chromosome:AWHD00000000:5:22713333:22714661:-1 gene:ONIVA05G22960 transcript:ONIVA05G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTCSTMLPSSATTRPVAESTAVASERMFPATTASYVPSITSAAMLTPVPFNETEEAKADMGKVEDKSIKTFHDMCVEIKDMINQMLETCHNSKVEPTLGNDLTGVADVSCTTNDLIPIALEASQEADGDGDDLAMEDDCVEYTTVETKLCPVLSINDQWMDHKEKASSGSVVVFQPLQPWPPPSRANCKGSFAEQKLEPWPLFWHNHGLIKLKLPSKEDKLNMLPEQQGGCSPWEESLEVSTDGFNLLLSQIMQYMLEIDGESSMATTCT >ONIVA05G22950.1 pep chromosome:AWHD00000000:5:22697928:22699926:-1 gene:ONIVA05G22950 transcript:ONIVA05G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLHLRRLKLLGSNSAALFLSRQLHLYSFVIYLFLYKVKHKPDGPFMPYVVPAPAVRDKGVNCRDEGPSLACTHAIKGATIDCTLQAVHEYAGDEHMEE >ONIVA05G22940.1 pep chromosome:AWHD00000000:5:22689300:22693710:1 gene:ONIVA05G22940 transcript:ONIVA05G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSNLACVKDPNIQYLFRFYPPVTVSSSRLVSSRPSNPFPLLLFAAAVLQRLGFSSPPASAPAPRVSSPPASAPAPSPARPPRRTLPVTSVVPSDSVFPEVELAEGDAVVCSRVTPDGGGEVVAWCKIRRGGDVSAATIWNLSLDAIIVDGRVIQQEAVDIKPGSEIVPGPQKDGIENAKCSICLNLWHDVVTVASCLHNFWTTVQLVGGNHFLHNIEERSDEEIALLESYAPVKTNILIKAIYTVRCTTRQRSVPSSASTLPLVVTRASVASEVLGDVPVDSEAPVVTSSIYQGGFAGPHQSIKEDLLAQSSKMLTGVGFPAAPQRGTL >ONIVA05G22940.2 pep chromosome:AWHD00000000:5:22689256:22693710:1 gene:ONIVA05G22940 transcript:ONIVA05G22940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSNLACVKDPNIQYLFRFYPPVTVSSSRLVSSRPSNPFPLLLFAAAVLQRLGFSSPPASAPAPRVSSPPASAPAPSPARPPRRTLPVTSGPS >ONIVA05G22940.3 pep chromosome:AWHD00000000:5:22689300:22693710:1 gene:ONIVA05G22940 transcript:ONIVA05G22940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSNLACVKDPNIQYLFRFYPPVTVSSSRLVSSRPSNPFPLLLFAAAVLQRLGFSSPPASAPAPRVSSPPASAPAPSPARPPRRTLPVTSVVPSDSVFPEVELAEGDAVVCSRVTPDGGGEVVAWCKIRRGGDVSAATIWNLSLDAIIVDGRVIQQEAVDIKPGSEIVPGPQKDGIENAKCSICLNLWHDVVTVASCLHNFWTTVQLVGGNHFLHNIEERSDEEIALLESYAPVKTNILIKAIYTVRCTTRQRSVPSSASTLPFPAAPQRGTL >ONIVA05G22940.4 pep chromosome:AWHD00000000:5:22689300:22693710:1 gene:ONIVA05G22940 transcript:ONIVA05G22940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSNLACVKDPNIQYLFRFYPPVTVSSSRLVSSRPSNPFPLLLFAAAVLQRLGFSSPPASAPAPRVSSPPASAPAPSPARPPRRTLPVTSVVPSDSVFPEVELAEGDAVVCSRVTPDGGGEVVAWCKIRRGGDVSAATIWNLSLDAIIVDGRVIQQEAVDIKPGSEIVPGPQKDGYTRSDEEIALLESYAPVKTNILIKAIYTVRCTTRQRSVPSSASTLPFPAAPQRGTL >ONIVA05G22940.5 pep chromosome:AWHD00000000:5:22689300:22693710:1 gene:ONIVA05G22940 transcript:ONIVA05G22940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSNLACVKDPNIQYLFRFYPPVTVSSSRLVSSRPSNPFPLLLFAAAVLQRLGFSSPPASAPAPRVSSPPASAPAPSPARPPRRTLPVTSVVPSDSVFPEVELAEGDAVVCSRVTPDGGGEVVAWCKIRRGGDVSAATIWNLSLDAIIVDGRVIQQEAVDIKPGSEIVPGPQKDGLQLIRVIKVRVLPVHNRSDEEIALLESYAPVKTNILIKAIYTVRCTTRQRSVPSSASTLPFPAAPQRGTL >ONIVA05G22930.1 pep chromosome:AWHD00000000:5:22683957:22684478:-1 gene:ONIVA05G22930 transcript:ONIVA05G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLELGVGTTTAFDRVALGGQCHQQLDGDGERLCVANKVDEMASRCSIRTAWAATGVMTICCSRTRAAQRRPCCCVVLNKSFWNSVTRQHPRLLRRSPARRVGRSGITMVFSGRWVLVVSQCRVAALRPAFSSSALTMSVRKVAASSKMASRHPRRRRVEAAVGKATSSNI >ONIVA05G22920.1 pep chromosome:AWHD00000000:5:22683538:22685094:1 gene:ONIVA05G22920 transcript:ONIVA05G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEPVRDAAGVIVAAMTISEPSPLPSRLIRPPSSTFINISISSQMDSSIHLQIIQEATPKKVLMATTGRLTETPPAGDAFTLVAVSSVTINVYSIIFDGATTFLTLIMKPLEEIAIRSAAARCLHAVLPERTTDRRSTRGSTLPSCPDPGGLDGAVGDARRAALAHMQLRHCHVVFVLADQTLSHCLPEHVGLRQSAIARGPYAVIKTGRDSFSSSSRGRCAVCPRRFHFIMLELFVMSREHHVGAPLLGAYKPSSSRSRRAVRP >ONIVA05G22910.1 pep chromosome:AWHD00000000:5:22667375:22682589:1 gene:ONIVA05G22910 transcript:ONIVA05G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARGFVERLSTVEGRLLRLEVVVLASAVVLAALVLYGATRRRSSDKLLRGVMWMAYSLSYVVVSYAVGLIQDGPFRGETFVLWAAALLLIQASAYAAPVHSRREFSQRKKLLLQHVLQTALVLWLIANATGRNASYRAAIWAFWCLNVLKTVAKIVEMIKASIPDQSVKLIADYMDVEESLAGVGDGEPPDPTTMKGYRYIFHGEDTMVPATRDDMVRQSDGKSVVTIDRVYRWIDDEPGYSGVEKDMARDFCLAFALFKLLKRRFYGFVPAEAGSPRARDLVCGGLIRPAVTGPDAAFRVVEAELAFLYDEFYTRNVVLVGARTYVCIAAAVAGITMWTAFFGTLGPGYHRLRIGVRGLDRSVTVLIVVITAGLELCQAVAGFSSNWRYVKTVYRCVRDDQPWTNRRRGHLWWKESITPPATRYWDDKVGQYVLLKRFGHRPWNILSWLTLYLVEPRRQGQKRGRRKRLPQEVRRAVLVSLKASYGHLTNGVSTLRRHGLTPQLEWACAFPKLTDQILVWHVVTTRFDWTSGGGHGRSRRRDNGGDVNRVVATKLSNYCAYLVAFVPEMLPDPSYNAEQMFDTAVQQARDHLGGCRTESAVLQRLQEIEDEERRGAVRERAGSATVIEKAALLGGQLRAAVDEARRWQVLAEFWAEFILFLAPSDNVDIHAEMLGAGGEFMTQLWALLSHAGVLERPAVAATPSPPTVVKIILGLGSALFYLHEEWEQCVVHRDIKPSNVMLDESFNAKLGDFGLARFIDHIVGMQTMTAVSGTPGYVDPECVITGKASAESDVYSFGIVLLEVACGRRPMSLLDSQKNGIFRLVEWAWDLYGKGDILMAADERLNGDYDAAEMERVIVIGLWCAHPDPNARPSIRNAMAMLQSGGQLPVLPAKMPVPMDVILKCDAVINNNAGEGTRWRSMAGVLPATTTTNNIVGLIFFFFSVFCDDLYSPAPVAVALTFNHTNFGPDEQTNIRLEGDAAFSADVSFSGDGGGWVDISANRLDGSIDHSRGRVSYALPVPLWDAATGEVASFTTGFSFVINPPKEDGGINNKGAGMAFFLAGFPSRLPSAGSYAYNLGLTNQTAEQVAAGDDRFVAVEFDTFNDTIVHDPDATYDHLGVDVNSVVSKTTLTLPSFTLVGNMTAVVEYDNVSSILAMRLHLGYGLSGPRHRPDYNLSYKVDLKSVLPEQVAVGFSAATSTSVELHQLRSWYFSSSLEPKAAPPPVAPPSPSPPPTSGSGSGGVVAGATVGAALFVVLLFAMVAVVVLVRRRHQRKKMREAEEANDDDDDTEGDPIMEIENGTGPRRFASFAAEEKLGQGGFGAVYRGYLREQGLAVAIKRFIKDSSNQGRREYKSEIKVISRLRHRNLVQLIGWCHGHDELLLVYELVPNRSFDVHLYGNGTFLTWPMRINIVIGLGSALLYLHEEWEQCVVHRDIKPSNVMLDESFNTKLGDFGLARLIDHADGVQTMTHPSGTPGYIDPECVITVEWVWDLYGQGAIHNAADKRLNNDYDVVEMERVIAIGLWCAHPDRCQRPSIRAAMMVLQSSGPMPMLPAKMPVATYALPVASSEGQLSSSTEQYEL >ONIVA05G22900.1 pep chromosome:AWHD00000000:5:22664643:22667347:1 gene:ONIVA05G22900 transcript:ONIVA05G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAAAQAEGTVIAIHSLDEWTIQIEEANSAKKLVVIDFTASWCGPCRIIAPVFADLAKKHTNAVFLKVDVDELKISES >ONIVA05G22890.1 pep chromosome:AWHD00000000:5:22659282:22663940:1 gene:ONIVA05G22890 transcript:ONIVA05G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGAGFTPPVTWRPLRSVHTVDRRFAFERAIPLRRRPRRCPNVLQFIKGIMQSQSGMGGPEKENISGWEKTSFLFMSLEPFMRLPACDSGWFPGVVCSGHPINWQSIKSSDGKSPIVVGPWGGTGGYPWDDGVYSTVRQVIITHGAAIDSIRIEYDLKGSSVWSETHGSTDGGSETDKVKLDFPDEILVSVSGYYGSVCGTPVIIRSLTFQSNRSIYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVNVSDRSNSPVLPQSRSITSAYNKNGYSFPEGASGYDMVLAVRDRGDSYAVYTSNYPNQQYTNPSPDYNDGIRWNKVPQTSPSLQMVSFPSGYGDRGGAALSSHETYGPWGGSGGTMFDDGMYTGVWQINLTRAVGITSIKVLYDRNGQAVWGNKHGFSGAVSPDKIVFDFPSEVLTHITGYYGTTMIMGPTVVRSLTFHTNKRRYGPYGDECGTYFSTSFSDGRIVGFHGREGWYIDGIGVHVQEGNLAAPRVSSRSTIEMNPSLRYDMLAQSRSETYNEVPYSMVKEPVPMGPGPWGGEGGRPWDDGVYTGVKQVYVMRGTFIGSIQIEYDRGDQSVWSARHGTSGHITHRIKLDYPHEVLTCVYGYYNTNREEGPRVLRSITFISNRGKYGPFGEEFGAYFSSAKTEGKVVGFHGRSGQHLDAIGVHMQHWMGDRRPAPKYVLSKYLF >ONIVA05G22890.2 pep chromosome:AWHD00000000:5:22659282:22663940:1 gene:ONIVA05G22890 transcript:ONIVA05G22890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGAGFTPPVTWRPLRSVHTVDRRFAFERAIPLRRRPRRCPNVLQFIKGIMQSQSGMGGPEKENISGLLNRLCGCQLAIVAGFRASFVLSIKSSDGKSPIVVGPWGGTGGYPWDDGVYSTVRQVIITHGAAIDSIRIEYDLKGSSVWSETHGSTDGGSETDKVKLDFPDEILVSVSGYYGSVCGTPVIIRSLTFQSNRSIYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVNVSDRSNSPVLPQSRSITSAYNKNGYSFPEGASGYDMVLAVRDRGDSYAVYTSNYPNQQYTNPSPDYNDGIRWNKVPQTSPSLQMVSFPSGYGDRGGAALSSHETYGPWGGSGGTMFDDGMYTGVWQINLTRAVGITSIKVLYDRNGQAVWGNKHGFSGAVSPDKIVFDFPSEVLTHITGYYGTTMIMGPTVVRSLTFHTNKRRYGPYGDECGTYFSTSFSDGRIVGFHGREGWYIDGIGVHVQEGNLAAPRVSSRSTIEMNPSLRYDMLAQSRSETYNEVPYSMVKEPVPMGPGPWGGEGGRPWDDGVYTGVKQVYVMRGTFIGSIQIEYDRGDQSVWSARHGTSGHITHRIKLDYPHEVLTCVYGYYNTNREEGPRVLRSITFISNRGKYGPFGEEFGAYFSSAKTEGKVVGFHGRSGQHLDAIGVHMQHWMGDRRPAPKYVLSKYLF >ONIVA05G22880.1 pep chromosome:AWHD00000000:5:22654221:22658061:1 gene:ONIVA05G22880 transcript:ONIVA05G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylem bark cysteine peptidase 3 [Source:Projected from Arabidopsis thaliana (AT1G09850) TAIR;Acc:AT1G09850] MAFVSCLSPLMLMLMTLMLSAAAAGSGGGGVAEYEAQFEAWCAEHGRSYATPGERAARLAAFADNAAFVAAHNGAPASYALALNAFADLTHDEFRAARLGRLAAAGPGRDGGAPYLGVDGGVGAVPDAVDWRQSGAVTKVKDQGSCGACWSFSATGAMEGINKIKTGSLISLSEQELIDCDRSYNSGCGGGLMDYAYKFVVKNGGIDTEADYPYRETDGTCNKNKLKRRVVTIDGYKDVPANNEDMLLQAVAQQPVSVGICGSARAFQLYSKGIFDGPCPTSLDHAILIVGYGSEGGKDYWIVKNSWGESWGMKGYMYMHRNTGNSNGVCGINQMPSFPTKSSPNPPPSPGPGPTKCSLLTYCPEGSTCCCSWRVLGLCLSWSCCELDNAVCCKDNRYCCPHDYPVCDTASQRCFKANNGNFSLMEGGSRKQPFSKVPSLGGLLELLDQ >ONIVA05G22880.2 pep chromosome:AWHD00000000:5:22654221:22658060:1 gene:ONIVA05G22880 transcript:ONIVA05G22880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylem bark cysteine peptidase 3 [Source:Projected from Arabidopsis thaliana (AT1G09850) TAIR;Acc:AT1G09850] MAFVSCLSPLMLMLMTLMLSAAAAGSGGGGVAEYEAQFEAWCAEHGRSYATPGERAARLAAFADNAAFVAAHNGAPASYALALNAFADLTHDEFRAARLGRLAAAGPGRDGGAPYLGVDGGVGAVPDAVDWRQSGAVTKVKDQGSCGACWSFSATGAMEGINKIKTGSLISLSEQELIDCDRSYNSGCGGGLMDYAYKFVVKNGGIDTEADYPYRETDGTCNKNKLKRRVVTIDGYKDVPANNEDMLLQAVAQQPVSVGICGSARAFQLYSKGIFDGPCPTSLDHAILIVGYGSEGGKDYWIVKNSWGESWGMKGYMYMHRNTGNSNGVCGINQMPSFPTKSSPNPPPSPGPGPTKCSLLTYCPEGSTCCCSWRVLGLCLSWSCCELDNAVCCKDNRYCCPHDYPVCDTASQRCFKANNGNFSLMEGGSRKQPFSKVPSLGGLLELLDQ >ONIVA05G22870.1 pep chromosome:AWHD00000000:5:22573561:22574324:-1 gene:ONIVA05G22870 transcript:ONIVA05G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLVRPMQRWCHPCMQQPKPWKEAEEVLSGYGSDVEEQWRMQKEIAVGLSKLDRWGLETRRSRTGSAPAEEVVQAPTIHKGMPHVHKVVQTPLHTNWGKKKRKKEETAGRRGHEGDDGELDRVDHGVEDPNGTCGEQVEGVDCRGRVGAGGEEGMGAAEQGCGEDDDGGCDDLGNGVLASWEREDGKEGGDEDGNGDGVREEDRKSDWSGMVPILEISNGIQPI >ONIVA05G22860.1 pep chromosome:AWHD00000000:5:22566692:22581293:1 gene:ONIVA05G22860 transcript:ONIVA05G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWDERQWQLGGGALPSRLSLAVRGRRRRQRQSRPPLCQIRGGAARWRPRRGGLVAAEGRQCPPSKHSTNTITHNTRTLYPYEHLRNTRPAYLEIDEITINVLLLTLAVDAALAVVDSTHPDLLAQFAVDAALVGKSAAVNT >ONIVA05G22850.1 pep chromosome:AWHD00000000:5:22557365:22561893:1 gene:ONIVA05G22850 transcript:ONIVA05G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRRGGVGLLRGAVVLASLLLVVSGEVIFEERFDDDWGSRWVKSDWKKSEGKAGTFKHTAGSYSGDPDDRGIQTTSDAKHFAISAKFPEFSNKNRTLVVQYSIKIEQDIECGGAYIKLMSGYVNQKKFGGDTPYSFMFGPDICGDQTKKLHLILSYQGQNYPIKKDLKCETDKLTHFYTFILRPDASYSLLVDNREREFGSMYTDWDILPPRKIKESNAKKPKDWDDREYIEDPDEVKPEGYDSIPKEIPDPKDKKPESWDDDDDGVWKPRMIPNPEYKGRWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPEYARSVVDEVRAANKEAEKEAFEEAEKRRKAREDEEARRAREEGEKRRRDRDRHRGRDRYRDRYRGHHRRTSYEVSRLSHSFKELQEVSGPSTISSPN >ONIVA05G22840.1 pep chromosome:AWHD00000000:5:22555326:22556865:1 gene:ONIVA05G22840 transcript:ONIVA05G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRSKDAVKFKVRCSRYLCTLCVHDTDKANKLKQSLPPGLTVQEV >ONIVA05G22830.1 pep chromosome:AWHD00000000:5:22554268:22554750:1 gene:ONIVA05G22830 transcript:ONIVA05G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHTRRAFLLCNYLLLGASSGCIFLTLSLRLLPSPCGLLLVFLHALTAVLAAAACSGSFTTAHAAHTASAVLTAIFQGAAALLAFTRTGDFLAELRSYVREEDGEVILELVGGLGAAIFVLEWAALALAFALRLGDDGADGDEHDGGYAKSWQSGYHV >ONIVA05G22820.1 pep chromosome:AWHD00000000:5:22551433:22553107:-1 gene:ONIVA05G22820 transcript:ONIVA05G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIRAPKSHRAKRELLKHAPKLVETGKKTLVLHGTKTSAVLNSVLADWFHLKRDCYSKKNENIRPFESGGETSLEFFSLKTDCSLIIVVVIGAKEYFSSPILFQYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENYKSIESYVYDKKLAPKLGSKPFFAFIGEHFESVEELKHLKEMLLDLFRGEVVENLNLSGVDRVFVCTAISPTTVYLMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRYPAESLKKEAMKTADHAKKMKNVMKDPVQGKLGKVYIPDQQIAKMALSNDIKGLKRERREAKKNKEHSKKQKINVE >ONIVA05G22820.2 pep chromosome:AWHD00000000:5:22551433:22553107:-1 gene:ONIVA05G22820 transcript:ONIVA05G22820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIRAPKSHRAKRELLKHAPKLVETGKKTLVLHGTKTSAVLNSVLADWFHLKRDCYSKKNENIRPFESGGETSLEFFSLKTDCSLIIYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENYKSIESYVYDKKLAPKLGSKPFFAFIGEHFESVEELKHLKEMLLDLFRGEVVENLNLSGVDRVFVCTAISPTTVYLMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRYPAESLKKEAMKTADHAKKMKNVMKDPVQGKLGKVYIPDQQIAKMALSNDIKGLKRERREAKKNKEHSKKQKINVE >ONIVA05G22810.1 pep chromosome:AWHD00000000:5:22539945:22548790:-1 gene:ONIVA05G22810 transcript:ONIVA05G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLVFFIVLLFCISGASSISHYFTSIFSLGDSYIDTGNFVIMAPSGPPLRYDKLPYGMTFFGHPTGRMSDGRVIVDFIAEEFELPLLPASMANSSSVSHGVNFAVGGALATGIDYFQRNNIVSFKLLNTSLDVQLGWFQQLKPSICNTTTEQANGKSKQEVESYVPQVVRKITMGVEAYVVVAGNPPNGCAPALLTVLMSPNRTDYDGLGCLRALNGVAKRHNMLLRVALGRLRGKYPHAKIIFADFYQPIIQVMRNPSHFGFASDGLLKACCGTGGTYNFNVSSACALPGVVACKDPSASISWDGIHYTEAINRFVAKGWLYGSIMELKLVFSIAFIFCLSHVSSTSHFFTSIFSLGDSYIDTGNFVIMATPVAPVWNDKPPYGMTFFGHPTGRVSDGRVIIDFIAEEFGLPFLPASLANSSSVSHGVNFAVGGAPATGIDYFERKKIVAFKLLNNSLDVQLGCRSLFIVGEFGVNDYNFMWMAGKAKHEVESYMPRVVKKITMGVERLINQGVVYVVVPGNPPTGCAPALLTQRVSPNRTDYDGHGCLRAINSVAKSHNTLLRAALGTLRRKYPHAKIIFADFYQPIIRVTQEPRRFVVACQNPSTSVSWDGIHYTEAVYRYVAKGWLYGPYADPPILNAIRH >ONIVA05G22810.2 pep chromosome:AWHD00000000:5:22539945:22548790:-1 gene:ONIVA05G22810 transcript:ONIVA05G22810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLVFFIVLLFCISGASSISHYFTSIFSLGDSYIDTGNFVIMAPSGPPLRYDKLPYGMTFFGHPTGRMSDGRVIVDFIAEEFELPLLPASMANSSSVSHGVNFAVGGALATGIDYFQRNNIVSFKLLNTSLDVQLGWFQQLKPSICNTTTEQANGKSKQEVESYVPQVVRKITMGVEAYVVVAGNPPNGCAPALLTVLMSPNRTDYDGLGCLRALNGVAKRHNMLLRVALGRLRGKYPHAKIIFADFYQPIIQVMRNPSHFGFASDGLLKACCGTGGTYNFNVSSACALPGVVACKDPSASISWDGIHYTEAINRFVAKGWLYGSIMELKLVFSIAFIFCLSHVSSTSHFFTSIFSLGDSYIDTGNFVIMATPVAPVWNDKPPYGMTFFGHPTGRVSDGRVIIDFIDIKNCFSRSLFIVGEFGVNDYNFMWMAGKAKHEVESYMPRVVKKITMGVERLINQGVVYVVVPGNPPTGCAPALLTQRVSPNRTDYDGHGCLRAINSVAKSHNTLLRAALGTLRRKYPHAKIIFADFYQPIIRVTQEPRRFVVACQNPSTSVSWDGIHYTEAVYRYVAKGWLYGPYADPPILNAIRH >ONIVA05G22800.1 pep chromosome:AWHD00000000:5:22532776:22539854:-1 gene:ONIVA05G22800 transcript:ONIVA05G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHPMYYGGSTIRVVVESESIMELKLVFPIAFLFCLSRVSSTSQFFTSMFSLGDSYIDTGNFVIMASPVVPVWNDKLPYGMTFFGHPTGRMSDGRVIIDFIAEEFGLPFLPASLANSSSVSQGVNFAVGGAPATGVDYFENNNIVPFKLLNNSLDVQLGWFEELKPSICNSTDETNGLNCFGKTLFIVGEFGVNDYNFMWMAGKPKQEVESYVPQVVKKITTAVERLITQGAAYVVVPGNPPTGCAPALLTSRMSPNKTDYDGLGCLRFINDVVERHNTMLRAALGVLRGKYPHAKIILADFYSPIIRVLQNPSHFGVAADGVLKACCGTGGAYNWNASAICAMPGVVACQDPSAAVSWDGVHYTEAINSYIAKGSTSHYFTSMFSFGDSYIDTGNFIIMATPVMPMWIDKPPYGMTFFGHPTGRVCNGRVIVDFIAEEFGLPFLPAFLENSSSFYHGVNFAVGTAPAIDAAFFKRNSIADKLLNNSLDVQLGWFEHLKPSICSSTDEANGFKNCFSKSLFIVGEFRVNDYNLMWTAKKTEKDVKSLVPQVVEKITMAVERLINQGAVYVVVPGNPPRGCSPIVLTLFMSPNWSDYDSLGCLRSINRVSRHHNAMLRAALNGLRGKYPHAKIIFADFYQPIIQVLQDPVRFGFAVGGILRACCGGGGPYNWNGSAICGMAGAVACEDPSASMHWDGGHYTEAIYRYIGKGWLSTALNADPPILTAIRSIATDLIAKETMDGSKAC >ONIVA05G22790.1 pep chromosome:AWHD00000000:5:22526443:22531167:-1 gene:ONIVA05G22790 transcript:ONIVA05G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAEEACHGGGAGFDFAEHLQQQPLLTSDDRPKAPTMIDDDDDEINAKFDECNAEVEEYNEECMRKTGELNSALPEEEHYYCVQFLPRSLPEPLFYTVHRMYFMHDTNPSNKLYTNLEDRDYPITMIQIFSMRFAGDGVQLDQSMRVYGFVAIHDELDCRRNYVFNRSRDVPCDITPLESQARWRRRRRRQRRGAHRRLHRVHFAVYHAGRRETEDANLRPGGAAVAVDMAYAFIERGVEATVEVEVRGAPPPSPGHGRRRLNAAALTSGYEDEIVLFDGPLSSSPSSSSSPAKLAFSAVVAVAQDDELSLRLEAVTGGLSMAVSRSYLSFEAQKHGSSVAELVMAKDLELVRREYFKGKEGVTTGGAHEAEFGT >ONIVA05G22780.1 pep chromosome:AWHD00000000:5:22513841:22525916:1 gene:ONIVA05G22780 transcript:ONIVA05G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKGKAVASASASGGGVKRRKGPGDAAGPSSSSSAAAEAAKRRRRSGVLQFFDDAAFVGDDEEDEDEEEEEEMFASDGDDDGFFTEGKAENVNLKRTERSHPLPFLGIVKEEELSGDELEEFIKDRYSSRVKHTPFDGSTNVQDDEFTEDGLLKEPVIWRIKCMVGRERQIAFCLMQKYVDLQKFGTKVPIISAFALDHVRGFVFVEAEKACDVTEACKGFCSVYISRINSVPVAEVPSLLSSRAKPFAISPGTWVRMKTGIYKGDLAQVVSADEGRKRVMIKLIPRVDLRAISKKIGGAIPLKEAAIPAPRLISSQELEFFGSHIERKHDRQTNDFYEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSTPTITSSTDDLDWVSSMYGPKKRNVPKEPDMEPSSSKASSSKGKYSKASSKASTSTEDYEDKGFNLHDLVLFGRRDFGVVIAFEKDGLRILKGGPEGSAVTVRKQDIKDVCADKMLTAVDHKKKIICINDTVNVLEGPFQGKQGVVKHLYMGILFIHNESESENSGFFCAQCSSCENVKKRKELANERPYRSTREQLFSIGEMLRIRKGPMKGYLCRVVRIFRNDVTVKLDSLLKIVTVQAEFLSVPAKRGDNSSGAPSGPFGSEADKPSWDNGLPSFGSDSWQPFSSAALPVQNADGESEVDPWCKKTSSSANDSDPWGTKTKSASVDVWNNSTTQVENSSDNAWDKQPGGSGPNIGGSSWDRPATDKESEKSDNWGEACKETEKTGSDTDPWGSKVKEIDLKETDSWGKASMQPEKKLEDDSQGWGQPVGKSNQDQEKGADKCGAWDTVIAGSSSSVPGRGDDDSWIKTDTLPVAQDDAWGNSKDCSDGAAGWNETRTSKQSHSTGGWDAAAANLNESSDVDAHKDSWGKAKDTTANAEEKNSESGNWNKAGPLDKVCGSDWGSPKFSSGDGPSSWNKGDKIGGDSQNGSWSRPGGNFEGGRGFGRGRGRGRGRESGDFGGRNDQGSWKNSGTSDSSGRPSWRSDSQVEKEVGDSGGYRGRGRGIGQYGGQGRGDNGWRNGGQSNSEFGRSDADAPNWGNKGVSNKGSWDSGDNWNAPKSSDENQTSAWNSSEDKRPSGGQEQQSGAWASKMTSTAGAEDKSDAWGTKAEGNSGSTGGKWENASSGEEQQSDPWGSKICSNKGKEQETDPWTSKVTSTADADDNNNVWNTSASDTASGSESKWGNAGAEEKADAWKSKVGSENSGGWNQKSSWGKPSFSGGEQEPAWSNPKNGDDNSGYGRGGFGRGNRGRGRGRNFGDSGSSWSGGSYRNDESRGERSEDRWNTRDSDGGRGRGRGHFGRGDRNQGNNYGSGDNNDRTWGSGRGNRDQDGCKNWNRNDDRRPFGQDRGGDWSQSSDWNANKGQSSWGAPKPSGGDDQAGKNNGNNPCGAPADSMTGGGSGGGGSWKNKTEDTWNSSGGTRDSGTTPGKSSWGGSEDGQKKEGSWGKSEGSGRQVGGGSSWDKPDGGWNSSKCEGTQGGGGGSSWDKADGGNSNKGTQGGGGGSSWDKADGGWNSSSKGGSSGNGGW >ONIVA05G22770.1 pep chromosome:AWHD00000000:5:22511045:22512269:-1 gene:ONIVA05G22770 transcript:ONIVA05G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFENARSVRLRSHLGTYLCAADDGGGGGGEAVTHGYRRNCRGTVWAVETSGDDYVRLQGHRGLYLCATELPAALDGGCRGSAACCWVIQAGSPPSPNDGAFLWTPRREGEHLTLTGLYGRLLRARFGLTPRENAVTVDRDAAPEESSWVVEVVPESEAPPPRCRALSCDARLEAATSEPDTAFTTAFVRFYSAKELKVVKPPPPPEEGEEEEEPPSEAAPGALVARTIFYNTARDDGRVDDFDQGTWRYFTFKEQSLAALRRRLEEEARREDFVVCRRRCAAPPPGLFPVVLDLPPGNRDMEFVLVLHSSRVASALQFPFGNGHTARISV >ONIVA05G22760.1 pep chromosome:AWHD00000000:5:22508099:22510662:1 gene:ONIVA05G22760 transcript:ONIVA05G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKRRGGAGGGGAEKKDLFHVVHKVPAGDSPYVRAKHLQLVDKDPETAIVWFWKAINSRDKVDSALKDMAVVMKQQDRAEEAIEAIRSFRHLCSRQAQESLDNLLIDLYKKCGKVDEQIDLLKQKLKMIYLGEAFNGKATKTARSHGKKFQVSIQQETSRILGNLGWAYMQQSNYSAAELVYRKAQSIEPDANRACNLGLCLIKQSRHDEARQVLHDVVLRRISGSEDEKVVARAKQLLHELEPVTHVTSPNNAGLSVSEEIMERLDLVLNEWTPFRSRRLPVFEEIATLRDQIAC >ONIVA05G22750.1 pep chromosome:AWHD00000000:5:22499420:22499819:-1 gene:ONIVA05G22750 transcript:ONIVA05G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRIVLVFFFCVCVLVATTTTMDAVKGTSAAAAGGGGGGRWTELTAGSPARYSAGADEFRGSKRRIPKGPDPIHNRNFMAFRVLNSSRNMDQNGLEWTTVPCMNIP >ONIVA05G22740.1 pep chromosome:AWHD00000000:5:22496552:22499862:1 gene:ONIVA05G22740 transcript:ONIVA05G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAQQQKQQLACLSNLSSGHNNGVNSLRRGATIVFPARLKSHISVSHGALVTNLAEGPLGILLLLPLNSSAPAEYLAGDPAVNSVHLPPPPPPAAAALVPFTASIVVVVATKTQTQKKKTRTILQHAIALSNLSDRRLIPI >ONIVA05G22720.1 pep chromosome:AWHD00000000:5:22382845:22383051:1 gene:ONIVA05G22720 transcript:ONIVA05G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAKYRRPRAYWRAAAKNEGEEVADAEDKHAEERAGRHGGPGGGAEGGAGRKEASSRWDGGEWEQG >ONIVA05G22710.1 pep chromosome:AWHD00000000:5:22351645:22352037:-1 gene:ONIVA05G22710 transcript:ONIVA05G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKSQLVATWIQREEERQPPMKSPLECEFLLRRAIVRIEGEINELLGMD >ONIVA05G22700.1 pep chromosome:AWHD00000000:5:22349421:22349985:1 gene:ONIVA05G22700 transcript:ONIVA05G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHPYERTHAKSYPYEYLRRLGRQILEIDEVTTGGSLSTGFKSGMHREHEPKSVTVQKRVFRTVDLQARSSTATRCRRVLCVLR >ONIVA05G22690.1 pep chromosome:AWHD00000000:5:22339467:22339757:1 gene:ONIVA05G22690 transcript:ONIVA05G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWNRRCTGAVCVCAAHVNPVHTVGVDDCLGHARRCWAVRRSDEGADAGVVARGLCGRGSVRQWVQVAPNLAGFVATSGLVLPSMRSGETTVGRGG >ONIVA05G22680.1 pep chromosome:AWHD00000000:5:22309864:22311513:-1 gene:ONIVA05G22680 transcript:ONIVA05G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRLRLLAAAAAAAVMASSMVTSSTNPWKSNVNQSIATAFIYKRYPKARAVKDAAAAAAAKAGAATGASDVGEGAGASAADAVPTSDVARTAAAAATARSLSFSVAAICFLASWLTDRSALGEQEH >ONIVA05G22670.1 pep chromosome:AWHD00000000:5:22303545:22307741:-1 gene:ONIVA05G22670 transcript:ONIVA05G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfite reductase [Source:Projected from Arabidopsis thaliana (AT5G04590) TAIR;Acc:AT5G04590] MSAAVGGAEFHGFRGGGGGAAQLQRSRMLGRPLRVATPHAAAPAGGGGSSSASIRAVSAPLKKDASEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINDSAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVISTVIKNMGSSLGACGDLNRNVLAPAAPYVRKDILFAQETAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNTYGTNFPDSPEPIYGTQYLPRKFKIAVTVAGDNSVDILTNDIGVVVVSDSAGEPVGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRRYSRMKYLIDNWGIEKFRAEVEKYYGKKFEDSRPLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVAGQAKKTLREIIEKYNLEVSITPNQNLILCGIDQAWKDPITAALAQSGLLEPKDVDPLNITSMACPALPLCPLAQTEAERGILPILKRIRAVFDKVGIKDHESVVVRITGCPNGCARPYMAEVGFVGDGPNSYQIWLGGTPNQSTLAETFMNKVKLQDIEKVLEPLFSYWNSTRQEGESFGSFTRRTGFDKLKEVVNKWAESASAA >ONIVA05G22660.1 pep chromosome:AWHD00000000:5:22300507:22301415:1 gene:ONIVA05G22660 transcript:ONIVA05G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSKKSDELLPGSAAAGEEVEAPRRRVDQARDPPPTEEEKVKEVLSETPAPSARARPRQRRVAGAVAPSVERGGGGGEKVARAKEGGGGGGRVRARRAVGAERATSEKSEAASESSVATTATGPERSPGKPARKRAVVSGELGRARRDRGPAAAVHGAGRPGGARASPSPPPPPRREPGERPTRRSPSPATKRPPDQRRTAASAGAAAGAASGPQRKPPVPPRPCGRASPRRAQETNSPASSTTTTQSRGPPPHCSSPPPPQDAGASAAGAGEEVAGGGGEGKESLDNPSVAMECFIFL >ONIVA05G22650.1 pep chromosome:AWHD00000000:5:22288386:22293457:-1 gene:ONIVA05G22650 transcript:ONIVA05G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGI7] MAGKHGRNGFEDDDVNPFAGGSVPPANNSRLPPLSHEPADFYNVDIPLDSSKDLKKKEKELQAMEAELNKRERELKRKEEAAAQAGIVIEDKNWPPFFPLIHHNISNEIPIHLQRMQYLAFSSIGSLPILEYHSNHNRMGKRGSGILPAIDVIGKSAIVGIFYFVGFGLFCLESLLSIGVIQQVYMYFRGSGKAAEMKREAARGALSSAF >ONIVA05G22640.1 pep chromosome:AWHD00000000:5:22277774:22280769:-1 gene:ONIVA05G22640 transcript:ONIVA05G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPRPPTPSPPRASSDTDAAFDPVVEWLLDLDQAPMADEVAKGLGIAEEPASFDAGKVSGGSVSESRGVEEKGNALPEQSGASFDGELDVEMEPKTGSGGLVPQLVQDQHPASDIGDLAVREDGSEIMVDVERSTVPVDADMNNVSLALVKEEAEGGGDREDKSDEEESESSKEESSEASSSSDEEEDKRESSEASSSSDEEEQMAKKTGGAGDTDSLFEEGELMLASDDDDEEAPKGPIKSKHEVEVLPPVPKIDVQLEPHHQTLPVGTISAIMGERVIVEGSVQHNPLNEGSILWITESRIPLGIVDELFGPVKNPYYLVRYNSAEEVPADISAGTAVSFVAEFADHILNMKELYAKGYDGSGENDEDQTDPEFSDDEKEAEYKRSLRLAKRQTDRQHEGKKTSGDKKRAQPRGSGFRKDMPPRSHDGPAPGRQSQPHFHRPDKAPVVDAIRPLGSQDGPMSAPTKLPPGPVHPVMPAANQLTNQMGGRFMNPSQQFLPQQPNVVWPGGLTPTPHPNMGIEGAAFAANIMQNLLIGANQYQQQFQNHNFGGFLNQMPVPPPQFMSQGGMPSNPMAFGGPTMAQMNPPFGPPQLPMDQGNFGQAPHMAGHTVQQGPPAGFPNGQGFGQSASPQGDGEQPPMQFSSGQFNQGNSSFHGRRQQQRGGRHSSGRGGGRHRR >ONIVA05G22630.1 pep chromosome:AWHD00000000:5:22272776:22275791:1 gene:ONIVA05G22630 transcript:ONIVA05G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENGAALFGEEELRDVSGVRVGDRFVEVTCGCTSARYGDAVGRLRLFASGELQVSCDCTPGCDQDKLSPPAFEKHSGRETAGRWQNTVWVMVKGDKVALSKTCLLRYYHKKLKSSSANGGGRRPPCHRDEFVRCAGCGKERRFRLRTKEECRLYHDAMARHDWTCKDMPPAGRCRVRVSCEEEEERASRKASRGCTRAATCKGCVRCVCFGCETCRFAGCACQTCVDFYRINCMINS >ONIVA05G22620.1 pep chromosome:AWHD00000000:5:22268417:22271380:-1 gene:ONIVA05G22620 transcript:ONIVA05G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPTHLLTPPPPLCCCSYALLLPRARRAPPRFSNGAFSAAESLVRGEFLGKVGTLARRMEGRRRLAVASAGRGPLFGGGGGGQRGSGRVVGNLAFAALLTFLATTGQLRWVLDAIVSLWLLTILLPILGLAAFFFFAGQDILQSNCPNCGKSFQILKSSLKDGPQLCPYCTQPFSVQGNKFVRESARFSSGRTTTSAQAFSESFKRGSEGKKTPSGTVVDIEAEVKDAE >ONIVA05G22620.2 pep chromosome:AWHD00000000:5:22268417:22271380:-1 gene:ONIVA05G22620 transcript:ONIVA05G22620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPTHLLTPPPPLCCCSYALLLPRARRAPPRFSNGAFSAAESLVRGEFLGKVGTLARRMEGRRRLAVASAGRGPLFGGGGGGQRGSGRVVGNLAFAALLTFLATTGQLRWVLDAIVSLWLLTILLPILGLAAFFFFAGQDILQSNCPNCGKSFQILKSSLKDGPQLCPYCTQPFSGKKTPSGTVVDIEAEVKDAE >ONIVA05G22610.1 pep chromosome:AWHD00000000:5:22263008:22266074:1 gene:ONIVA05G22610 transcript:ONIVA05G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGSNGSQGYHVNGKQVQSVVPSIQNNGPRHRPLTLMRRCRGMLCLVIMPLTAFMMMVYLSPVTTFLIRLFSVHYSRKSTCFLFGMWLAMWPFLFEKINKTKFVFSGETVPPKERVLLFANHRTEVDWMYLWDLALRKGRLQCIKYILKKSLMKLPIFNWAFHIIEFIPVERKWEVDEPLIRSRLSELKNPKDPLWLAVFPEGTDYTEKKCIKSQEYAKEHGLPILNNVLLPKTKGFHCCLQELRDSMDSVCDITIAYKHRPPTFMDNVYGIDPSEVHIHVKIIQVSDIPTSEDEVADWLIERFKLKDKLLSDFSALGHFPNEGTEDDLSTLKCIANFVAVISTTTVLTYLTLFSSVWFKIFVAFSSAFLTFATLYSIHLPQLICSPEAGTHAKKS >ONIVA05G22600.1 pep chromosome:AWHD00000000:5:22250518:22255636:1 gene:ONIVA05G22600 transcript:ONIVA05G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNIDHVDRCENSVRKRSSETHGKSAMQHTLTRMSLSHMYVQNETLFYVRSLSLSAREAPIAVVQRSYPSTWREPFASTCSCPSGGARSTGSPPRAMSGELSTRASTSSTSSSPPGDARGPEHGGTPRGEVSSKRRLVLRRRQRWRRLGGGAAASWAAADPRARWVREWNRAYLLACAAGLMVDPLFLYAVSLSGPLMCVFLDGWLAAAVTALRCMVDAMHAWNIVTQLRVSRAGRERACAAGPDEEQPEAEATAPAPAADADAAASNKLRDHGRYRKWLVLDFFVILPVMQVVVWVAAPAMIRAGSTTAVMTVMLVAFMLEYLPKIYHSVVFLRRMQNQSGHIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCLLAGLPACASSTAAVACVDPLYYGAAVASVGGDRLAWGGNATARNVCLSSGDNYQYGAYKWTVMLVSNPSRLEKMLLPIFWGLMTLSTFGNLESTTEWVEIVFNIMTITGGLILVTMLIGNIKVFLNATTSKKQAMQTRLRGLEWWMEHKGVPHGFRQRVRQFERQRWAATRGVDECQIVRDLPEGLRRDIKYHLCLDLVRQVPLFHHMDDLVLENICDRVKSLIFPKGEIIVREGDPVQRMLFIVRGHLQCSQVMRNGATSWCTLGPGNFSGDELLSWCMRRPFMDRLPASSSTLVTAESTEAFGLEAGDVKYVTQHFRYTFTSDKVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >ONIVA05G22600.2 pep chromosome:AWHD00000000:5:22252156:22255636:1 gene:ONIVA05G22600 transcript:ONIVA05G22600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFVSILYRLYHHAVIRLCVRKVVVWVAAPAMIRAGSTTAVMTVMLVAFMLEYLPKIYHSVVFLRRMQNQSGHIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCLLAGLPACASSTAAVACVDPLYYGAAVASVGGDRLAWGGNATARNVCLSSGDNYQYGAYKWTVMLVSNPSRLEKMLLPIFWGLMTLSTFGNLESTTEWVEIVFNIMTITGGLILVTMLIGNIKVFLNATTSKKQAMQTRLRGLEWWMEHKGVPHGFRQRVRQFERQRWAATRGVDECQIVRDLPEGLRRDIKYHLCLDLVRQVPLFHHMDDLVLENICDRVKSLIFPKGEIIVREGDPVQRMLFIVRGHLQCSQVMRNGATSWCTLGPGNFSGDELLSWCMRRPFMDRLPASSSTLVTAESTEAFGLEAGDVKYVTQHFRYTFTSDKVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >ONIVA05G22600.3 pep chromosome:AWHD00000000:5:22250518:22253896:1 gene:ONIVA05G22600 transcript:ONIVA05G22600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNIDHVDRCENSVRKRSSETHGKSAMQHTLTRMSLSHMYVQNETLFYVRSLSLSAREAPIAVVQRSYPSTWREPFASTCSCPSGGARSTGSPPRAMSGELSTRASTSSTSSSPPGDARGPEHGGTPRGEVSSKRRLVLRRRQRWRRLGGGAAASWAAADPRARWVREWNRAYLLACAAGLMVDPLFLYAVSLSGPLMCVFLDGWLAAAVTALRCMVDAMHAWNIVTQLRVSRAGRERACAAGPDEEQPEAEATAPAPAADADAAASNKLRDHGRYRKWLVLDFFVILPVMQYLPKIYHSVVFLRRMQNQSGHIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCLLAGLPACASSTAAVACVDPLYYGAAVASVGGDRLAWGGNATARNVCLSSGDNYQYGAYKWTVMLVSNPSRLEKMLLPIFWGLMTLRSCST >ONIVA05G22590.1 pep chromosome:AWHD00000000:5:22241096:22242349:-1 gene:ONIVA05G22590 transcript:ONIVA05G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPFFLWATNATSSSSSSAAAAAVSDHDVGGLRAEEETAAAEATQLSPELAAAVARPRLRRQASSSSPAKQQQQQVGGGGGSKRAPQRGLGVAELERLRCGGDPLRDLNAAVAAMGDAAAAIHRHHHHLPLPALDADVAGGRGHYAPLLVRPARPPLPPPPAAAPFCHLHSSSSAAAGHNVAPPELQFLRDRCMGGFAGAGQLLPLEPEHPSSQSNTIWRPASSSSSSSCLPATHRCDLCSKTMVMALAERGGARGAATTTTNTPDYSIYDLAAAMATARKVHSQAGVHFYKARVTYKLTKVSCSSRWTQEKGHGVFLGRERKNDEAAAAAEKEVREIEFFPTSTTHADESEFAAAPFSSSAGGGCGAVPLDLSLRL >ONIVA05G22580.1 pep chromosome:AWHD00000000:5:22234755:22238479:1 gene:ONIVA05G22580 transcript:ONIVA05G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPASPVAGGEKATPPSTPPPVRLAGGAAAAIQPNSPRFFFSSLAAASASASSPHRRIAIAVDLSDESAFAVKWSVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVDDDDSAPDAAQHANAHAATRDEPEEAKKKREEDFDAFTSTKAQDLAQPLVAAQIPFKIHIVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRRAGKGRLGSVSDYCVHHCVCPVVVVRYPDDGAAAGGGEAVGDELRTVPEDEPVYHEAPEGQKEN >ONIVA05G22570.1 pep chromosome:AWHD00000000:5:22230017:22234361:1 gene:ONIVA05G22570 transcript:ONIVA05G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSCFSYSSRHHRSKKLVASSVEDRWAYPNLTQKVDGKNCSNSNVEQHRSPPFEDCCRSEDFSFCTENESGKIKKSQSLGDMLEMEKLYDFDKTNNKGEDCAIDGSHDEKTCTGECTRKKKENRTSCCGDFTDPHQHECQSSLGNSEHLIEKQCDCEDIVSTYCLVNSEEQSFLPEPQPLLSRSQSVNLDVHIPTVIEDSIDSAQLGLRSRSFGNLSSLDGAPYTEEARVSPSHCKDASEDFVQSNAQSQCHCRDEHINNSSTDEISQCCVESGNDCHHSDFTSTAAVTPVRNSNSFDDPPSLSHDAGNTEEIFQQIDKREVATSVKNCEPEPCYQNCCTSSRKEFNVRRIENWISQIPDSNDIAPYEQGECSSSAHLMNSKQVDTIRKLNAKSPLGMETAYNYIAMLKPSSSIAQLSNLGLVAIPILSAFSDLRLLNLAGNSIIRITSGALPKGLRMLNLSRNNISTIEGLKELTLLRVLDLSYNRITKIGHGLASCPFLKELYIGGNKISEVEGLHRLKLKVLDLHGNSLSSSKCLDQLANCGTLQSITLEGNPAQRNVGDEQLKRHVLRLLPHLVCYNKQAVRSRRCSKPQGGGGRHGRAVDLGGGGGGGGGRSKRLELRLPRRSACASVALKSSGCHHHVRAGAAAAAHGSVRTSRQSRNNAPPMAPTIRGADRSSEGERRLPGTEISGQIFRIRSADDL >ONIVA05G22560.1 pep chromosome:AWHD00000000:5:22215271:22221635:1 gene:ONIVA05G22560 transcript:ONIVA05G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGH4] MAIHQLSSVLLLMLLAPATSRARDSIAPGEPLAGHDTLVSAGAGDGGGFALGFFTPPGSNDTYVGVWYARVSPRTVVWVANRADPVPGPVDGNAGATLSVSRACELAVADANSTVVWSVSPATTGPCTARIRDDGNLVVTDERGRVAWQGFDHPTDTLLPGMRIGVDFAAGNNMTLTAWKSPSDPSPSSVVVAMDTSGDPEVFLWNGPNKVWRSGPWDGMQFTGVPDTITYKNFSFSFVNSAREVTYSFQVPDASIMSRLVLNSSGGGLVQRWTWVEAAGAWNLYWYAPKDQCDAVSPCGANGVCDTNSLPVCSCLRGFAPRSPAAWALRDGRDGCARETPLGCANGTDGFAVVRHAKAPDTTAATVDYDADLQLCRRRCLGNCSCTAYANANLSAPPGRRGCVMWTGELEDLRVYPAFGQDLYVRLAAADLDSTSKSKKKTHIIIAVVVSICALAIILALTGMYIWRTKKTKARRQGPSNWSGGLHSRELHSEGNSHGDDLDLPLFDLETIASATNGFSADNKLGEGGFGPVYKGTLEDGQEIAVKTLSKTSVQGLDEFRNEVMLIAKLQHRNLVQLIGYSVCGQEKMLLYEFMENKSLDCFLFGTNRNLPMFSDKSKSKLLDWQTRYHIIEGIARGLLYLHQDSRYRIIHRDLKTSNILLDKEMTPKISDFGMARMFGSDDTEINTVRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIISGKRNRGVYSYSSHLNLLARAWSSWSEGNSLDLVDKTLNGSFNQEEVLKCLKVGLLCVQENPDDRPLMSQVLLMLASADAASLPDPRKPGFVARRTATEDTSSSRPDCSFVDSMTITMIEGR >ONIVA05G22560.2 pep chromosome:AWHD00000000:5:22215271:22221635:1 gene:ONIVA05G22560 transcript:ONIVA05G22560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGH4] MAIHQLSSVLLLMLLAPATSRARDSIAPGEPLAGHDTLVSAGAGDGGGFALGFFTPPGSNDTYVGVWYARVSPRTVVWVANRADPVPGPVDGNAGATLSVSRACELAVADANSTVVWSVSPATTGPCTARIRDDGNLVVTDERGRVAWQGFDHPTDTLLPGMRIGVDFAAGNNMTLTAWKSPSDPSPSSVVVAMDTSGDPEVFLWNGPNKVWRSGPWDGMQFTGVPDTITYKNFSFSFVNSAREVTYSFQVPDASIMSRLVLNSSGGGLVQRWTWVEAAGAWNLYWYAPKDQCDAVSPCGANGVCDTNSLPVCSCLRGFAPRSPAAWALRDGRDGCARETPLGCANGTDGFAVVRHAKAPDTTAATVDYDADLQLCRRRCLGNCSCTAYANANLSAPPGRRGCVMWTGELEDLRVYPAFGQDLYVRLAAADLDSTSKSKKKTHIIIAVVVSICALAIILALTGMYIWRTKKTKARRQGPSNWSGGLHSRELHSEGNSHGDDLDLPLFDLETIASATNGFSADNKLGEGGFGPVYKGTLEDGQEIAVKTLSKTSVQGLDEFRNEVMLIAKLQHRNLVQLIGYSVCGQEKMLLYEFMENKSLDCFLFDKSKSKLLDWQTRYHIIEGIARGLLYLHQDSRYRIIHRDLKTSNILLDKEMTPKISDFGMARMFGSDDTEINTVRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIISGKRNRGVYSYSSHLNLLARAWSSWSEGNSLDLVDKTLNGSFNQEEVLKCLKVGLLCVQENPDDRPLMSQVLLMLASADAASLPDPRKPGFVARRTATEDTSSSRPDCSFVDSMTITMIEGR >ONIVA05G22550.1 pep chromosome:AWHD00000000:5:22212948:22214591:-1 gene:ONIVA05G22550 transcript:ONIVA05G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAATAAAGRPGSRNRQSLGDIGNVLNAHVVDGKIQLPEGINRPITRSFGAQLLKKAQENAVGANKIPVRKEPAPKPAKKVVPRLENAAKASTGAGVNENKKPSESEGAGSSGSGGGSAHKYSRKKVVNTLNNCAYCSFQEPTVSQSDRQCQVFDK >ONIVA05G22540.1 pep chromosome:AWHD00000000:5:22208636:22211825:-1 gene:ONIVA05G22540 transcript:ONIVA05G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVYYSMYGHVAKLAEEIKKGASSVEGVEVKIWQVPETLSEEVLGKMGAPPRSDAPVIAPQELAEADGVLFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGVFFSTGTQGGGQETTPLTAVTQLAHHGMVFVPVGYTFGAKMFDMAAVHGGSPYGAGTFAGDGSRWPSEAELEHAFHQGKYFAGIAKKLKGASSA >ONIVA05G22540.2 pep chromosome:AWHD00000000:5:22208638:22211775:-1 gene:ONIVA05G22540 transcript:ONIVA05G22540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVYYSMYGHVAKLAEEIKKGASSVEGVEVKIWQVPETLSEEVLGKMGAPPRSDAPVIAPQELAEADGVLFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGVFFSTGTQGGGQETTPLTAVTQLAHHGMVFVPVGYTFGAKMFDMAAVHGGSPYGAGTFAGDGSRWPSEAELEHAFHQGKYFAGIAKKLKGASSA >ONIVA05G22530.1 pep chromosome:AWHD00000000:5:22202823:22206394:1 gene:ONIVA05G22530 transcript:ONIVA05G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHEWWNSPCSARTGDDEAACSTADADESAVGSTPMSFGHGVQPASLSDAAASSSSSSFLLAGQHMDYWTQDFMGGRAAAAATASFDTLLQLQLQGGDAASRRLLLGDHAAAPPRHLVVPGAPYGGGGGDDTAAPPRGLSPTPYEAADNLQQQQSFPGGHHVVSNTDRLHDHHQDAGSPSPATRSSPGSPAAAKKPRIEAPSPMPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVASLSSPYLRCGRPVQLQHQQGSHKVNGNCEGKQLDLRSRGLCLVPVASTYTVASETATEFWHPTFGGTFR >ONIVA05G22530.2 pep chromosome:AWHD00000000:5:22202823:22206394:1 gene:ONIVA05G22530 transcript:ONIVA05G22530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHEWWNSPCSARTGDDEAACSTADADESAVGSTPMSFGHGVQPASLSDAAASSSSSSFLLAGQHMDYWTQDFMGGRAAAAATASFDTLLQLQLQGGDAASRRLLLGDHAAAPPRHLVVPGAPYGGGGGDDTAAPPRGLSPTPYEAADNLQQQQSFPGGHHVVVGSSSGLFRPATTAPPPQFLLQA >ONIVA05G22520.1 pep chromosome:AWHD00000000:5:22188295:22190274:-1 gene:ONIVA05G22520 transcript:ONIVA05G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAVAAAVSPAAAAATAMCAEHREKLEHIERVTRNAGQEQRRVLEEILAQNAQAEYLRRLGVPGDAPGADEAFRRLAPLVTYEDILPDVLRIANGDTSPILSGKPVSEFLTSSGTSGGERKLMPTIEEEMERRSGLYSLLMPVMSRQVPGLDKGKAMYLYFVKSEWRTPGGLPARPVLTSFYRSRYFLERPHDPYTVYTSPDEAVLCEDAYQSMYAQLICGLVHRADVLRVGAVFASGFLRAIRFLEKHWPSLCRDIRAGELDGGVTDPAVRGAVGRVLRGADPALADAIEAECARPSWQGIIRRVWPSTKYIDVIVTGAMAQYIPTLEFYGGGLPLACTMYASSECYFGLNLNPMCKPSEVAYTLIPTMCYFEFLPVNSGANDVAAPEPDHRGLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNAAPMFAFVRRKNVALSIDSDKTDEAELHAAVTEAVQHLAPFGASLVEYTSYADTATTIPGHYVLFWELRSPAGGTPVPASVFEDCCLAVEEGLNSVYRQCRAADRSIGPLEIRVVADGTFDKLMDYALSRGASINQYKAPRCVRPGPVVELLDGRVQATYFSPKCPKWCAGGNKQWISSGAAAKKTTTTCDSLAV >ONIVA05G22510.1 pep chromosome:AWHD00000000:5:22176284:22180249:1 gene:ONIVA05G22510 transcript:ONIVA05G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTTTAASPPAMDRRVQRLVSGVAAAAATVSLLYLISHASTSCFPGATTLPLARFPRTSCDAASRRVVPPGRRLAKLRASARWRRRSVALASSSAFASLRGLRLLAGSSRALCLAAGAGHAVDALRAEGVGDVTGIDLVDFPPLVRRADPHHLPFSDGAFDLIFSDNPAGFSGALFPSRFAAEAERAVRSGGAIALAVDRHLDPSAVAVLFKRSRIVDQRDLTMDGSESYAGVTSFTFNPEHTRQKEEGNQNARKQGRKTAYI >ONIVA05G22500.1 pep chromosome:AWHD00000000:5:22171575:22174235:1 gene:ONIVA05G22500 transcript:ONIVA05G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54660) TAIR;Acc:AT5G54660] MPPRRGIEVRQAVGDGAAPRWRMSLLENTFSSFLQSIGGCAAADGAAARAVFGEGSLFSPFLFGKFFDPADAFPLWEFEPEVLLAALRRGARTTVDWAETDSEYYLRADIPGGRKCDVEVSGDDAMRVVDVSGLWRAAPPPPPPDGRDWRAGRWWEHGFVRRVELPEDADWRKVEAFFDDGEGLLEIKVPKSGDAHQAAAATA >ONIVA05G22490.1 pep chromosome:AWHD00000000:5:22168026:22171264:-1 gene:ONIVA05G22490 transcript:ONIVA05G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSWWRRGGGGSPEPAKGAGGAGVAEVAAAGGTQGAVEVLRRQRQADATVFEFGSAAESGAAVTLAGYCPVSDDLEPCRWELVPAAGEGAPQFRIGEFEEKGIEKIEKIHKTSKQPPYLLLPAAKLLAPMALSFLNTPPSKLAPKPSFPPPSRQFAPPQRQRSLLHLSLLLREQQPPRPVRSSSSTQAGAAPSPPSSREEAVSQARSCLAAALRKPLGKQRKQQRQPRFRAEIPVVDDSPGSLARLASDVFSSGLGLSRKGGGGGAPARLLLVWPSSEEMGVALREPHDWGESTAHAQLDAVAPDALSSCDAAVFLAPGRSQVEKMKAAADALDTKPVVMFNPAWSFDEEEEGFAGGARGFVGSFSVVYSFTGLEVRGLLSKKKGVLLRCVDGGRFGGESWVLMVEDDAAAPASEQFKVVSRLKRRPTIGEVETMLYNVMAANSPVTKSARFLRGLVSNVTGGRKEKKQ >ONIVA05G22480.1 pep chromosome:AWHD00000000:5:22165260:22166005:-1 gene:ONIVA05G22480 transcript:ONIVA05G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLGSLLTRRLSPRAQAQAEPWLLYSGGGGGDGEASILQWCVGRVGRSSASRQEHRGFGFRRMDLRREFDWNLYIAQRNAHGRFISNDPDVSLNAIKQ >ONIVA05G22470.1 pep chromosome:AWHD00000000:5:22161584:22163395:1 gene:ONIVA05G22470 transcript:ONIVA05G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPPDGWKERVGDRGMVIRGWAPQKAILAHPSVGAFVTQCGWNSVLEAVSAGVPVLTWPMVFEQFVTERLVTKVLEIGERLWPEGAGVRSTKDEEKEVVPAKAVAEAVTKFMEPGGAGEATRCAIKELAVKANAAVAEGGSSHRDLLRLIDDLMQAK >ONIVA05G22460.1 pep chromosome:AWHD00000000:5:22150722:22161544:1 gene:ONIVA05G22460 transcript:ONIVA05G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAERSKKLRVLLMPFFATSHIGPCTDLAVRLAAARPDVVEPTLAVTPANVSVVRSALRRHGSAASPPAGLPPGVENLSTAGDERWRVDAAAFDEAMTRPAQEALSKDQSPDVLITDFHFSWNVGIAEELAMPCVQLNVIGLFSTLAVYLAAAVVNDSDSEELTVAGFPGPELRIPRSELPDFLTAHRNLDLVDNMRKLVQVNTRCHGFAVNSFLFLDKPYCEKFMCNGFAKRGYYVGPLCLPQPPAVASVGEPTCISWLDSKPSRSVVYICFGTFAPVSEEQLHELALGLEASGKPFLWAVRAADGWAPPAGWEERVGDRGLLVRDWVPQTAILAHSATAAFLTHCGWNSVLEGVTAGVPLLTWPLVFEQFITERLVMDVLRIGERVWDGARSVRYKEAALVPAAAVARAVARFLEPGGAGDAARIRAQELAAEAHAAVAEGGSSYGDLRRLIDDLVEARADAGESALQPLHIGPFTDLAVRLAVRLAAARPDIVEPTIAVTPANVSVVRSALKRHGSVASSMVSIAKYPFPDVAGLSPGVENLSTAGDEGWRIDNAAFNEALTRPPQEAVIREQSPDVLITDSHFSWIVYIAEGLGMACFRFCVIGFFSILAMRLLAGAAADANGSDSESLTAAGFPGPKLRIPRSEVPDFLTRQRNFDKFDTRKLQQSQDRCHGIVVNSFLFLDKPYCEKFVCNGFAKRGYHVGPLCLPQPPAVGNVGEPSCISWLDSKPSRSVVYICFGTFARVSEEQLHELALGLEASGKPFLWAVRAADGWAPPAGWEERVGDRGLLVRDWVPQTAILAHSATAAFLTHCGWNSMLEGATAGVPLLTWPLVFEQFITERFVTDVLRIGERVWDGPRSVRYEEKAVVPAAAVARAVARFLEPGGTGDAARIRAQELAAEAHAAVAEGGSSYDDLRRLIDDMVEARAAAGGVAPARQPQKMASDGSSKKLRVVLIPFFATSHIGPFTDFAVRLAAARPDAVEATLAVTPANVPVVRSLLERHGPAGAGSVAIATYPFPAVDGLPAGVENLSKAAPGDAWRINAVADDEALMRPAQESLVRELRPDAIVTDAHFFWNAGLADELGVPCVQFYAIGAFSTIAMAHLVGAVKEGAKEVTIPRLPGHDLTIPTTELPEFLRSSSEVVIDCSKPKNANSGPSAYFGVVVNTFLDLEAEYCEMYTRDKHAKRAYFVGPVSPAPPPLPASGESPCLDWLSSKPSRSVVYLCFGSLTHVSDTQLDELALGL >ONIVA05G22450.1 pep chromosome:AWHD00000000:5:22142797:22150347:1 gene:ONIVA05G22450 transcript:ONIVA05G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLFFAILDNLQGFSYNARDEPGDTDEDCREACLNKCYCIAYSSESGCASSVLRPRSVTLSSGRSGACLFPGLCVGVVGVWVVSTAPPCRATGARRRKWCAASILPTTTTVLLL >ONIVA05G22440.1 pep chromosome:AWHD00000000:5:22141324:22142784:1 gene:ONIVA05G22440 transcript:ONIVA05G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGG0] MASTDRSKKLRVLLIPFFATSHIGPFTDLAVRLVTARPDAVEPTIAVTPANVSVVRSALERHGSAVTSVVSIATYPFPEVAGLPRGVENLSTAGADGWRIDVAATNEALTRPAQEALISGQSPDALITDAHFFWNAGLAEELGVPCVSFSVIGLFSGLAMRFVTAAAANDDSDSAELTLAGFPGAELRFPKSELPDFLIRQGNLDGIDPNKIRQGQRMCHGLAVNAFLGMEQPYRERFLRDGLVKRVYLVGPLSLPQPPAEANAGEASCIGWLDSKPSRSVLYVCFGTFAPVSEEQLEELALGLEASGEPFLWAVRADGWSPPAGWEERVGERGVLVRGWVPQTAILSHPATAAFLTHCGSSSLLEAVAAGVPLLTWPLVFDQFIEERLVTDVLRIGERVWDGPRSVRHEEAMVVPAAAVARAVARFLEPGGAGDAARLRAQELAAEAHAAVAAGGSSYRDLRRLVNDMVEARAAGGEAAAAPQPQ >ONIVA05G22430.1 pep chromosome:AWHD00000000:5:22135447:22139461:-1 gene:ONIVA05G22430 transcript:ONIVA05G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAPRRLLPDSSSPPIHRRRLPLPPLFRRRLSPLQASLVQALLCSGPIRGGRGLTPLGLLMDPAADGEGGGYEDASEFADAETGGGEVVRGEGEGERERRELPEELAKGVVCLECETSPEAEAAGAGGTCRVYVVGTAHVSQESCDQVKAVIDYLKPQAVFLELCASRVAILTPQNLQVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLDVLPGAEFRVAFEEAMSYGGKVILGDRPVQITLRRTWGRMSLWHRAKFLYYIVFQSIFLPSPEELNKMLKDMEDVDMLTLVIQEMSKAFPTLMETLLHERDMYMSSKLLKVAKEHSSVVAVVGKGHVSGIKKNWEQPIEIESLLVLPVTKQGASKMKILASIGALGGVVIATGIYIWKGRYFYILHPGIVLKLEAVSNRAAHERDF >ONIVA05G22430.2 pep chromosome:AWHD00000000:5:22135447:22139461:-1 gene:ONIVA05G22430 transcript:ONIVA05G22430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAPRRLLPDSSSPPIHRRRLPLPPLFRRRLSPLQASLVQALLCSGPIRGGRGLTPLGLLMDPAADGEGGGYEDASEFADAETGGGEVVRGEGEGERERRELPEELAKGVVCLECETSPEAEAAGAGGTCRVYVVGTAHVSQESCDQVKAVIDYLKPQAVFLELCASRVAILTPQNLQVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLDVLPGAEFRVAFEEAMSYGGKVILGDRPVQITLRRTWGRMSLWHRAKFLYYIVFQSIFLPSPEELNKMLKDMEDVDMLTLVIQEMSKAFPTLMETLLHERDMYMSSKLLKVAKEHSSVVAVVGKGHVSGIKKNWEQPIEIESLLVLPVTKQGASKMKILASIGALEGRYFYILHPGIVLKLEAVSNRAAHERDF >ONIVA05G22420.1 pep chromosome:AWHD00000000:5:22129645:22137503:1 gene:ONIVA05G22420 transcript:ONIVA05G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGF7] MASSRVILALLLAAAAVMASSAQLDEKFYSNSCPSVEAVVRKEMVRALGAAPSLAGPLLRMHFHDCFVRGCDGSVLLDSAGNSTAEKDATPNQTLRGFGFVERVKAAVEKACPGTVSCADVLALMARDAVWLSKGPFWAVPLGRRDGRVSIANETDQLPPPTANFTELTQMFAAKNLDLKDLVVLSAGHTIGTSHCFSFTDRLYNFTGLDNAHDIDPTLELQYMARLRSKCTSLQDNTTLVEMDPGSFKTFDLGYFKNVAKRRGLFHSDGELLTNGFTRAYVQRHAGGGYKDEFFADFAASMVKMGGVEVLTGSQGEIRKKCNVRAMHLLPQYSLIVARNLPWLRSRLRRGARLGVETVCRLMSRELELLLFLLALRASGEVVAGSAEAAAAAAAWPALQVGFYHAKCPVAEDVVLGEMRMILEEDPTLAPSLLRMHYHDCFVQGCDGSIMLRSRSGKGERDATPNRSMRGYDAINRIKARLETVCPLTVSCADIIAMAARDAVYLSKGPWYDVETGRRDGDVSVAEYAENDLAPPDSNIVDVKTFFSVKSLNAKDIAVLFGCHSIGTSHCGAFQKRLYNFTGRMDQDPSLDAGYAAKLKKLCPPGHGHDHDHDGHGGAGGAAKVPMDPGSGFTFDLSYYRHVLATGGLFQSDGSLRDDPVTRGYVEKLANASSSEEYFADFAAAMVKMGRTDVLTGDLGAVRPTCDSLVD >ONIVA05G22410.1 pep chromosome:AWHD00000000:5:22123715:22124275:-1 gene:ONIVA05G22410 transcript:ONIVA05G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVFFAAALMAAMVAISGAQLSESEMRFRDRQCQREVQDSPLDACRQVLDRQLTGRERFQPMFRRPGALGLRMQCCQQLQDVSRECRCAAIRRMVRSYEESMPMPLEQGWSSSSSEYYGGEGSSSEQGYYGEGSSEEGYYGEQQQQPGMTRVRLTRARQYAAQLPSMCRVEPQQCSIFAAGQY >ONIVA05G22400.1 pep chromosome:AWHD00000000:5:22120167:22122661:-1 gene:ONIVA05G22400 transcript:ONIVA05G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSASRSCCCCSWVRGGCASCCSWIRGFCGGGGGATTSAQDTAASDAKKRKKRKWVVRGVFGKAAREAEEPLTLETMKKRKSAATSPELEKNKWGTKKNWKKKKGKTQPTGLASLVKEISLENSTRNRAAAGEILRIGNHNIPSRVFTFRQLADATGSFSPENLLGEGGFGRVYKGFIPDTKEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTECDQRILVYEYMPLGSLQDHLLDLTPNSSPLSWHTRMKIAVGAARGMEYLHEIANPPVIYRDLKASNILLDGGFNAKLSDFGLAKLGPVGDKSHVTTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLEIITGRRAIDTTKPTREQILVHWAAPLFRDKKKFVKMADPLLDMKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALTFLADPNYDPPDDVEPLPIKAPNLDRESSQKEAEGGDNDSDEGGEEQV >ONIVA05G22380.1 pep chromosome:AWHD00000000:5:22103584:22105544:-1 gene:ONIVA05G22380 transcript:ONIVA05G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD (Development and Cell Death) domain protein [Source:Projected from Arabidopsis thaliana (AT3G27090) TAIR;Acc:AT3G27090] MDNLWHLGDEFRGQSKVVEDRQWSLMTSKLAEINKSKAERTNELDYARMNTIPDVKQWDKVSYHQDESKMDHLNLGLMNLDLKMNDIRMNDAAMKNPFRGMAYNMNQLYPKGGNGNVNSFKMNVGVNKYLHSPNGKDVNGKNSGANSNGSNSSGNNSSNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAIIPGLPLFLYNYTTHQLHGVFEASSFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKPLEEDAFRPVLHHYDGPKFRLELSIAETLSLLDLCEKEGV >ONIVA05G22370.1 pep chromosome:AWHD00000000:5:22096001:22102612:1 gene:ONIVA05G22370 transcript:ONIVA05G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELARHMKVVIRTPSAADKLQIRRLSHERPLRYSEDCKKKMGNCAAAPSDDGELGSPEREEMTGVEVRIRISKRQLQELLEMASMTAAGDEKVIVGIINAGEVVDHHQQRHWQPTLQSIPEAGEP >ONIVA05G22360.1 pep chromosome:AWHD00000000:5:22091964:22095086:-1 gene:ONIVA05G22360 transcript:ONIVA05G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRPRRPAPARSPDPAATTPRQAAPGSRHRTPRRGGRGRLLVQSPSLASARRAAAAAAPLPVAPPPDTPPLRWPAARGGDAVAPCGAGAGAGAGASVRKIAAALWRVHPPQAPPPTESREAPRRRFEPSPKQPHTPDRCNYYKALLEGRTGSKPLGNDIIQEVGAYSPSPRIEMEVATKWDRRGLNNLRGADGALCDRYPVSADAEISALKAELLQAHNRIHELEAESRSAKKKLDHLVRNLAEEKASWRSKENDKVRNILDAVKEELNRERKNRQRAEIMNSKLVSELSELKSAAKRYLQDYEKERKARELMEEVCDELAKEIAEDKAEVEALKSESMKMRDEVEEEKKMLQMAEVWREERVQMKLVDAKLTLDSKYSQLSKLQSDLESFLSFHQGNGVNKEALRDGERLREAICSMKFHDIKEFSYKPPPPSEDIFAVFEELRERDDANEKEIGQCNGGTPKRHATKIHTVSPETDIFLEKPLNKYSNQLCDRNEEEDDSGWETVSHVDEQGSSNSPDGSEPSVNGFCGGNDASVSGTDWDDNRSNSEISEVCSTTAEKYRKKGSSFGRLWRSSNCDGHKKTGSELLNGRLSSGRMSNAALSPSLKNGEVCTVSPSVGEWSPDLLNPHVVRAMKGCIEWPRGAQKQNTHNLKSELLEAKLDGHKVQLRQALKQKI >ONIVA05G22350.1 pep chromosome:AWHD00000000:5:22088520:22091244:1 gene:ONIVA05G22350 transcript:ONIVA05G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPNYTFSPLYKQPGRGRRYNAAPGQVLSAFLPPLAFRLACAPPPKKRTDPFAEANAEDSGAGPGAKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKDNIKIHGF >ONIVA05G22340.1 pep chromosome:AWHD00000000:5:22075099:22086917:1 gene:ONIVA05G22340 transcript:ONIVA05G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-homologue 5 [Source:Projected from Arabidopsis thaliana (AT3G20475) TAIR;Acc:AT3G20475] MQPGLLILDRSCPGTGRGWKPGTNNFLPVQASARATLPPHFAPRRLACSILRARAAALAVAPPHLRSICTAFSEEEQEARSRRVDERGRRSRCSRRGLTVELRASDRAGEMDEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVNVTHPSKIPYINLVFLGNLG >ONIVA05G22340.2 pep chromosome:AWHD00000000:5:22075099:22086700:1 gene:ONIVA05G22340 transcript:ONIVA05G22340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-homologue 5 [Source:Projected from Arabidopsis thaliana (AT3G20475) TAIR;Acc:AT3G20475] MQPGLLILDRSCPGTGRGWKPGTNNFLPVQASARATLPPHFAPRRLACSILRARAAALAVAPPHLRSICTAFSEEEQEARSRRVDERGRRSRCSRRGLTVELRASDRAGEMDEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANVLISMFLWFYFGNKLESYLCRIVDVMSALRGTLKSVRDIPHMLKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >ONIVA05G22340.3 pep chromosome:AWHD00000000:5:22075099:22086700:1 gene:ONIVA05G22340 transcript:ONIVA05G22340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-homologue 5 [Source:Projected from Arabidopsis thaliana (AT3G20475) TAIR;Acc:AT3G20475] MQPGLLILDRSCPGTGRGWKPGTNNFLPVQASARATLPPHFAPRRLACSILRARAAALAVAPPHLRSICTAFSEEEQEARSRRVDERGRRSRCSRRGLTVELRASDRAGEMDEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEDVMSALRGTLKSVRDIPHMLKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >ONIVA05G22340.4 pep chromosome:AWHD00000000:5:22075099:22086700:1 gene:ONIVA05G22340 transcript:ONIVA05G22340.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-homologue 5 [Source:Projected from Arabidopsis thaliana (AT3G20475) TAIR;Acc:AT3G20475] MQPGLLILDRSCPGTGRGWKPGTNNFLPVQASARATLPPHFAPRRLACSILRARAAALAVAPPHLRSICTAFSEEEQEARSRRVDERGRRSRCSRRGLTVELRASDRAGEMDEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANVLISMFLWFYFGNKLESYLCRIVDVMSALRGTLKSVRDIPHMLKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >ONIVA05G22340.5 pep chromosome:AWHD00000000:5:22075099:22086700:1 gene:ONIVA05G22340 transcript:ONIVA05G22340.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-homologue 5 [Source:Projected from Arabidopsis thaliana (AT3G20475) TAIR;Acc:AT3G20475] MQPGLLILDRSCPGTGRGWKPGTNNFLPVQASARATLPPHFAPRRLACSILRARAAALAVAPPHLRSICTAFSEEEQEARSRRVDERGRRSRCSRRGLTVELRASDRAGEMDEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >ONIVA05G22340.6 pep chromosome:AWHD00000000:5:22075099:22086917:1 gene:ONIVA05G22340 transcript:ONIVA05G22340.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-homologue 5 [Source:Projected from Arabidopsis thaliana (AT3G20475) TAIR;Acc:AT3G20475] MQPGLLILDRSCPGTGRGWKPGTNNFLPVQASARATLPPHFAPRRLACSILRARAAALAVAPPHLRSICTAFSEEEQEARSRRVDERGRRSRCSRRGLTVELRASDRAGEMDEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVNVTHPSKIPYINLVFLGNLG >ONIVA05G22340.7 pep chromosome:AWHD00000000:5:22075099:22086917:1 gene:ONIVA05G22340 transcript:ONIVA05G22340.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-homologue 5 [Source:Projected from Arabidopsis thaliana (AT3G20475) TAIR;Acc:AT3G20475] MQPGLLILDRSCPGTGRGWKPGTNNFLPVQASARATLPPHFAPRRLACSILRARAAALAVAPPHLRSICTAFSEEEQEARSRRVDERGRRSRCSRRGLTVELRASDRAGEMDEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVNVTHPSKIPYINLVFLGNLG >ONIVA05G22340.8 pep chromosome:AWHD00000000:5:22075099:22086917:1 gene:ONIVA05G22340 transcript:ONIVA05G22340.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-homologue 5 [Source:Projected from Arabidopsis thaliana (AT3G20475) TAIR;Acc:AT3G20475] MQPGLLILDRSCPGTGRGWKPGTNNFLPVQASARATLPPHFAPRRLACSILRARAAALAVAPPHLRSICTAFSEEEQEARSRRVDERGRRSRCSRRGLTVELRASDRAGEMDEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQDYTVHSLLRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVNVTHPSKIPYINLVFLGNLG >ONIVA05G22340.9 pep chromosome:AWHD00000000:5:22075099:22086917:1 gene:ONIVA05G22340 transcript:ONIVA05G22340.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-homologue 5 [Source:Projected from Arabidopsis thaliana (AT3G20475) TAIR;Acc:AT3G20475] MQPGLLILDRSCPGTGRGWKPGTNNFLPVQASARATLPPHFAPRRLACSILRARAAALAVAPPHLRSICTAFSEEEQEARSRRVDERGRRSRCSRRGLTVELRASDRAGEMDEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYVHQIGYLMCFFDEKISDALLIGLPDFEFAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVALVVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTNGIGLLGGTISHFTDYDCPPKVLLSTHLTQIFTESYLPQDYTVHSLLRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVNVTHPSKIPYINLVFLGNLG >ONIVA05G22330.1 pep chromosome:AWHD00000000:5:22071315:22071905:-1 gene:ONIVA05G22330 transcript:ONIVA05G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTRRVCVLLLLVLLGLAATSNRARAARVVPAAGAAALRREEGEAPAAVHRGRRGVPRIGRGGGVGGGGVTVPVEHVARRRLQEHEHLNEEMLPSFSGGGGSRGGGVSSGGSSRGGGSSIGSGGGSRGSGGGSSSGSRGAGGTESGRRFIPPIIPAVPGGGGGGGSGSGSSSGRRGVWNVGVAAASVLAVAWLV >ONIVA05G22320.1 pep chromosome:AWHD00000000:5:22053166:22053489:-1 gene:ONIVA05G22320 transcript:ONIVA05G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRWAICALLLAALCVAAQFEGALCRGGGRGGKGGGGGGGGKGGGGRGGAGRPIAGAAAAGIGSRVGSGSHRHSAASAGPHGRGAWRTSGGAAAAAAAALVWWC >ONIVA05G22310.1 pep chromosome:AWHD00000000:5:22044069:22049111:-1 gene:ONIVA05G22310 transcript:ONIVA05G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protease-related [Source:Projected from Arabidopsis thaliana (AT1G28320) TAIR;Acc:AT1G28320] MAPREVAAAARGFSAMARIVGPDPKAVKMRRHAFHLHQSGSTTLSASALLLPPGSLAEPPPLLDRICAAHGHAGGVALTSASLVEPFLVEEQRNSPSQELQPRLVPEAHLDVLVEHEESRNIGGGKTGAPRWLSARLLAIVDVQASADSVLSLLQHEGSLIRSSSWDVCWSLADVNQKQVDNDARYSLECNRKNAYAESTEPPMLAKSATRISILGVSNLNSSNTRCINVSLMQQRGDSLLIMGSPFGILSPVHFFNSISVGVVANCLPPGTARSSLLMADVHCLPGAPVFDKNSCLVGMLMKPLRQRGSSTEVQLVITWDAICNAWNSDKLERIGHPPIVLVTVGETSWASGIILNKNGLIMTNAHLLEPWRFGRTSSLGLQNKIASFSEHICGGENNLLQPQQCKVSNEDAVKHELSLFNFGLKKDRAISVRLDHGERKTWCNASVVFISKGPLDVALLQMEKTPIELCAIRPEFVCPTAGSSVYVVGHGLLGPRSGLSSSLSSGVVSKIVKIPSTQHSQLSSVVEVNNMDIPVMLQTTAAVHPGASGGVLLDSLGRMVGLITSNAKHGGGSTIPHLNFSIPCKSLEMVFKYSAKGDFKILEQLDKPNEVLSSVWALAPTSSPFFSTSPENGRGEKVLEFSKFLADKQEGLKSIKDIEAFLRDRIPSKI >ONIVA05G22310.2 pep chromosome:AWHD00000000:5:22044069:22049111:-1 gene:ONIVA05G22310 transcript:ONIVA05G22310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:protease-related [Source:Projected from Arabidopsis thaliana (AT1G28320) TAIR;Acc:AT1G28320] MAPREVAAAARGFSAMARIVGPDPKAVKMRRHAFHLHQSGSTTLSASALLLPPGSLAEPPPLLDRICAAHGHAGGVALTSASLVEPFLVEEQRNSPSQELQPRLVPEAHLDVLVEHEESRNIGGGKTGAPRWLSARLLAIVDVQASADSVLSLLQHEGSLIRSSSWDVCWSLADVNQKQVDNDARYSLECNRKNAYAESTEPPMLAKSATRISILGVSNLNSSNTRCINVSLMQQRGDSLLIMGSPFGILSPVHFFNSISVGVVANCLPPGTARSSLLMADVHCLPGAPVFDKNSCLVGMLMKPLRQRGSSTEVQLVITWDAICNAWNSDKLERIGHPPSELVDDKSSDCKYKESCVADKHRRFVPYSANNLNQYDVSPSLTEAISSVVLVTVGETSWASGIILNKNGLIMTNAHLLEPWRFGRTSSLGLQNKIASFSEHICGGENNLLQPQQCKVSNEDAVKHELSLFNFGLKKDRAISVRLDHGERKTWCNASVVFISKGPLDVALLQMEKTPIELCAIRPEFVCPTAGSSVYVVGHGLLGPRSGLSSSLSSGVVSKIVKIPSTQHSQLSSVVEVNNMDIPVMLQTTAAVHPGASGGVLLDSLGRMVGLITSNAKHGGGSTIPHLNFSIPCKSLEMVFKYSAKGDFKILEQLDKPNEVLSSVWALAPTSSPFFSTSPENGRGEKVLEFSKFLADKQEGLKSIKDIEAFLRDRIPSKI >ONIVA05G22300.1 pep chromosome:AWHD00000000:5:22040951:22043393:1 gene:ONIVA05G22300 transcript:ONIVA05G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L11 methyltransferase-related [Source:Projected from Arabidopsis thaliana (AT5G53920) TAIR;Acc:AT5G53920] MLPLVRPGRAAPLLRRLRRRLLLLSSPQTPSPSSSPYSVSSSKVSPLLLLLSARPSPSRAGDRGAWRGVSSCGATRAVDVGDEAASSSGSPAAAGSDLSSPYLSVRIRCRKEDAEVLSESLLCFGATSVTVDDIAAAGNLDEITITSIFAHGEDVGSCVSSAASSAGLEYNPVYESSVGKQCDWVTVVQETYESTKVIDGLWVIPKWRTPPDPQAINIIINPGLAFGTGEHPTTKLCLLLLRETVKGGERFLDYGTGTGVLGIAALKMGAALSTGIDIDPQAVTSACENMMLNGIDSNKMLVYLVPTNAQSACFPSNIDKSEENRPTGNLELKSSKGSYDIVAANILLNPLLELVEDIVGYAKSGGIVAVSGILSEQVPKVEEAYSRYLENISVSEIDGWACLRGNRRA >ONIVA05G22290.1 pep chromosome:AWHD00000000:5:22033175:22039361:1 gene:ONIVA05G22290 transcript:ONIVA05G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARTQARAYIGRAASRPENCTTQRQAGKGQGGRPTTSTTIAALRLRCLCFSFPLALRLLLLLLPPTASRVASTHLTSLPPPRACSTTSRRRGRARGERGGGEEAGMPAQKRPAPEAASAPAGGDGHVEGGGAGGGGGADEDAHRGGERSPKVMNGGGPEKEKERRDADSDAEEEEEEAGGGGGGGGADEDRDSPSSESDGDMDEFILVKLMDIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALILALYPDIDKYEEEELAFSEEERTRNKKIQASIAETFRRQSEALVKKRSVAKATGSTITRRTRGNMRAKRRGRTSSPDIVATDNEDEDRDENGNEGSKESSSVDDRSPDVRQKRVRRWPVPRSSPAKSIGGIDSSFEDIDDLGSGRDIMSTSPLRGEMLAWGKNGTRSQTRHGNSGGSSGRMAKGGRVTKLVEYLRNTDEFDNKFNLYLVLLPLNGQSMPKLEKPYLSCQPTFSVRHLCQFVALQLSRHAKEVEIFIRKNPNNGCFASIDTSADEIKLNHDALERLEEEKSLSELYPSLASGHGDLELLYSLKAEV >ONIVA05G22280.1 pep chromosome:AWHD00000000:5:22024730:22029498:-1 gene:ONIVA05G22280 transcript:ONIVA05G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRGAGLPAAGEAAKAGRVGVGTTKRARDPSPNSKDPNGFVGVIAAEKKPALQLHGDEKYQKKAGNDPVPPTIDDTSKTGGLHLHGGHVSQSPPDSNALSSQRFGSSSPGGDMKNKTRKRTCTFYAQGRCKNGKSCTFLHEGEVSGSDNQVYGNHGGTGEGSEIQHPSSSKERQFKNSAGSSQHEIYRTLVHAYGEDNRGLTHPVVKHSCHMLKASHGFKIGGSLTANPTNEVVQLPVVQEKNHEPYFMGHQISLGTNNCLNDMGAYSRLRLDGGKLQFEVAKGDSPRDSHLSRSYLEKNPLKPDYRYQPFDSTISLDPHQYSKKLSAYGGATENLPHKHQEEKSSSHVSYSLNSYTGFRKQGHDSSDFFLVNQSLRATSHHGTLPLHQLTPDKDASHHKGADFDKGGTSRSTLHVSSSSQPVVASAGKLSPIKDEVWITSVPFVPSFNFPDFPGSTSPSKSQYDPLVDSIDPPKVESLNNLKTSNISCSISSQHVDTNVIRGGSLEKPLTFADKLARNVSAKGSNDFGLISYDRGHSSSLDGDNRVKTCERKNDASLNNEKSDFRFHLVEHVKELVKPIWKEGNLSKEAHKLIVKKSVDKIFASLEPNQMPETEKAITTYITASAPKIEKLVKAYVDRHRTS >ONIVA05G22270.1 pep chromosome:AWHD00000000:5:22005214:22005926:-1 gene:ONIVA05G22270 transcript:ONIVA05G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSDKTMSPAAAATGLALGVGGVAGATAVGTGQHFRGVRKRPWGRYAAEIRDPAKKSRVWLGTFDTAEEAARAYDAAAREYRGAKAKTNFPYPNGAPAAGVNSGSSNSSTVESFGSDVQAPMKAMPIPPSLELDLFHRAAAAAAAGAGGMRFPFEGYPVSHPYYFFGQAAAAAAASGCRMLKIAPAPVTVAALAQSDSDSSSIVDLAPSPPAALAKKAIAFDLDLNCPPPMEV >ONIVA05G22260.1 pep chromosome:AWHD00000000:5:21994175:21994744:-1 gene:ONIVA05G22260 transcript:ONIVA05G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF domain protein 12 [Source:Projected from Arabidopsis thaliana (AT1G28360) TAIR;Acc:AT1G28360] MELDMGAGGGGGVVGGGRAEAHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTYDTPVEAALAYDRAAVALRGVKARTNFGSGSSGGGGVGGHDHGHSHAQLPQLHHRMHPPRPPQGPGHFGGLDISHPSPWHYVYFPARVQAMAPAAAGHVAAHVAASLPSTTLELRTGPSAGELPFDLNEPPPALLFGS >ONIVA05G22250.1 pep chromosome:AWHD00000000:5:21994149:21994786:1 gene:ONIVA05G22250 transcript:ONIVA05G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSRTCRDHEPNSSAGGGSLRSNGSSPALGPVRSSSVVDGSDAATCAATWPAAAGAIACTLAGKVADVEPAEVTRALRRPRRVHAVVKLRQLGVAVAMVVPADATTTAAAAAEVGPRLDAAERDGGAVPHPRLLPRVPDLRRVPAPRPLPHPAVVRLRSPTSHYSTASSRSHVQLHSPPRARACACAVP >ONIVA05G22240.1 pep chromosome:AWHD00000000:5:21983015:21992845:1 gene:ONIVA05G22240 transcript:ONIVA05G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:structural maintenance of chromosome 3 [Source:Projected from Arabidopsis thaliana (AT5G48600) TAIR;Acc:AT5G48600] MESSPPRSPAPSPGRAGRPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVEGSDFIITRVAFRDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNQYVEKIEEASKQLEMLNEKRTASVQMLKLAEKERDNLESAKNEAETFMLKELLLLKWQEKATTLASDDATSHVAQLQENVADLEKNLASEREKIQHSSQTLKEMESVYNKHAKRQEDLENNMKSCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKTEKDTSKIDESTKEVEESSSLIPQLEEEIPKLQEKFNEEEKVLEQIKENSREETERLRSKLTQVRSELEPWENQIIEHKGSLDVASAEKKLMKEKHDGARAELTAAQNQMESIKEQIKAKDTYIMELQEKIEKHHSEANEARKVEQECLKQEESLIPLEQAARQKVAEIKTTRDSEKNQGTVLKAILQAKESKEIEGIYGRLGDLGAIDAKYDVAISTACPGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQTHHLRKLQEKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTIVASDLDQATRIAYSAASEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRESISEEAVANAENDLNKLVDQLNRLREKINDAKKGYRALEDAKSRFEMELAKAKKEVESMNAQFSYNEKRLDSLKAASHPKADEVRRMEELDDIISAEQAELNRLAKCSSKLKDQASELQQKIENAGGQVLKDQKLKVANIQSQLDKTSSDINRHKVRITTCEKLVKKLTKGIEESRKEKEKLLAEKEKMMSIFKEIEKAAFTVQEDYKKTQEMMDNHKDELDKTKVEYNKLKKAMDELRSSEVDVEYKLQDTKKLAKEWEMKVKAFRKKLDDIQTNLVKHMDQIQKDAIDHEKLKETLSDEQFNEACDMRKAVEMVALLEAQLKDLSPNLDSIAEYRTKARVYGERVDELNATTQERDDLKKQYDALRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMKVV >ONIVA05G22240.2 pep chromosome:AWHD00000000:5:21983015:21992845:1 gene:ONIVA05G22240 transcript:ONIVA05G22240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:structural maintenance of chromosome 3 [Source:Projected from Arabidopsis thaliana (AT5G48600) TAIR;Acc:AT5G48600] MESSPPRSPAPSPGRAGRPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVEGSDFIITRVAFRDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNQYVEKIEEASKQLEMLNEKRTASVQMLKLAEKERDNLESAKNEAETFMLKELLLLKWQEKATTLASDDATSHVAQLQENVADLEKNLASEREKIQHSSQTLKEMESVYNKHAKRQESCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKTEKDTSKIDESTKEVEESSSLIPQLEEEIPKLQEKFNEEEKVLEQIKENSREETERLRSKLTQVRSELEPWENQIIEHKGSLDVASAEKKLMKEKMESIKEQIKAKDTYIMELQEKIEKHHSEANEARKVEQECLKQEESLIPLEQAARQKVAEIKTTRDSEKNQGTVLKAILQAKESKEIEGIYGRLGDLGAIDAKYDVAISTACPGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQTHHLRKLQEKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTIVASDLDQATRIAYSAASEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRESISEEAVANAENDLNKLVDQLNRLREKINDAKKGYRALEDAKSRFEMELAKAKKEVESMNAQFSYNEKRLDSLKAASHPKADEVRRMEELDDIISAEQAELNRLAKCSSKLKDQQKIENAGGQVLKDQKLKVANIQSQLDKTSSDINRHKVRITTCEKLVKKLTKGIEESRKEKEKLLAEKEKMMSIFKEIEKAAFTVQEDYKKTQEMMDNHKDELDKTKVEYNKLKKAMDELRSSEVDVEYKLQDTKKLAKEWEMKVKAFRKKLDDIQTNLVKHMDQIQKDAIDHEKLKETLSDEQFNEACDMRKAVEMVALLEAQLKDLSPNLDSIAEYRTKARVYGERVDELNATTQERDDLKKQYDALRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMKVV >ONIVA05G22230.1 pep chromosome:AWHD00000000:5:21979351:21979935:-1 gene:ONIVA05G22230 transcript:ONIVA05G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEDAPAIPSHPSVPQEVGNQLPRRSPPPPPRLETAADEIGGVRCGVLCFHLPRRSKKKKKKKPPPPVMQLAGGAAGIKSVQLETTATDDDDASSASPPPQRVTFLASASLSTWWPASPPAAAAAGGRGASSSSFSHWRRSLSSSRRRVTPHGAAAAAASAPTSFSFPSSPASASTSCTSTPKLVHGCHVD >ONIVA05G22220.1 pep chromosome:AWHD00000000:5:21961844:21964055:-1 gene:ONIVA05G22220 transcript:ONIVA05G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKRALLVGINYPGTKAELKGCHNDVARMRRALVDRFGFDEADIRVLADADRSAPQPTGANIRRELARLVGDARPGDFLFFHYSGHGTRLPAETGQDDDTGYDECIVPSDMNLITDQDFTELVQKVPDDCLFTIVSDSCHSGGLLDKTKEQIGHSTKQNQAQQIKREERSDSGTGGFRSFLKETLKETVRDAFESRGVHIPHQSSRRNDDEDEEPHMGSSSHGGDRIKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFSLFGDDASPKIKKFMKVMLTKLQEGQHGGVMGLVGALAQEFMKAKLEGNQEADALEPAMKQEVHSVHEAYAGTTARVSNGVLISGCQTDQTSADATTPKGVSYGALSNAIQTILSEKSGRVTNKELVLRARELLSKQGYTQQPGLYCSDKHTSVAFIC >ONIVA05G22210.1 pep chromosome:AWHD00000000:5:21959901:21961217:-1 gene:ONIVA05G22210 transcript:ONIVA05G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRAVLVGINYAGTEGELKGCLNDVARMRRCLVDRFGFDEADIRVLADADPSTPQPTGANIRLELERLVGDARPGDTLFFHYSGHGLQLPIETGGDDDDTGYDECIVPCDMNLIKDQDFTELVQKVPDGCLFTMVSDSCHSGGLIDKTKEQIGSSTKQSKIQQRERELRRQQAPSPGTCSCASLLQIALRHLPRRGGQRIIGSRSRDGVGEDQPPRSQAELLAADATRASIKNRSLPLSTFVEMLRERTGKDDVGVGSIRTTLFHHFGDDASPKIRRLVNAMLGRRHGSATASEEHPYKAKPERVDGEGEAAAAKQGAPETRPLPRNGVLISGCQTDETSADATTPEGVSYGALSDAIQSVLAEERRGKVTNMELVRRARELLAKQGYTQQPGLHCRDKHANVAFIC >ONIVA05G22200.1 pep chromosome:AWHD00000000:5:21955631:21958183:-1 gene:ONIVA05G22200 transcript:ONIVA05G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGC5] MASAAAPTTSSLSLAARRAAAVGPAAASPLRRGGLAAGCQPARSLAFAAAADPRLATHVASRCRQAASSSARGTRAVATMAKKSVGDLTAADLEGKRVFVRADLNVPLDDNQNITDDTRVRAAIPTIQYLIKNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVTKAEDVIGPDVEKLVSELPNGSVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKEKGVSLLLPSDVIIADKFAPDANSQVVPASAIPDGWMGLDIGPDSVASFSSTLETTQTVIWNGPMGVFEFDKFAVGTEAIAKKLADLSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVIALDEAVTVARSKL >ONIVA05G22200.2 pep chromosome:AWHD00000000:5:21955947:21958183:-1 gene:ONIVA05G22200 transcript:ONIVA05G22200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGC5] MASAAAPTTSSLSLAARRAAAVGPAAASPLRRGGLAAGCQPARSLAFAAAADPRLATHVASRCRQAASSSARGTRAVATMAKKSVGDLTAADLEGKRVFVRADLNVPLDDNQNITDDTRVRAAIPTIQYLIKNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVTKAEDVIGPDVEKLVSELPNGSVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKEKGVSLLLPSDVIIADKFAPDANSQVVPASAIPDGWMGLDIGPDSVASFSSTLETTQTVIWNGPMGVFEFDKFAVGTEAIAKKLADLSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVIALDEAVTVAV >ONIVA05G22190.1 pep chromosome:AWHD00000000:5:21953822:21954709:-1 gene:ONIVA05G22190 transcript:ONIVA05G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDDDEFSDEEEQSMGKKLWEDEDAGDDFAAVKDSWDDDDDVKPGKETAATAASTKPPATKGKKSQANAKAKAEAADATPSETSTSNAAAEIAQKQPDDNEPIEKFVPKSEKEFAEYAERIAKDLLRPYEKSYHYIGLMKAMNKLAVASLTSTSVKEIVSSMTTVANEKLKAEKAADAGKKKPGQKKKRLHVTRPKGKSFVMLTTTTTTIVYHLNSQRASQ >ONIVA05G22180.1 pep chromosome:AWHD00000000:5:21951702:21953763:1 gene:ONIVA05G22180 transcript:ONIVA05G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNLGNGRQNHEKSTMTEDARSRDQETLQRFADSDITVSPVNSHESGDVNMEAAISAEDVLRAGGFGAKDDIGSLLPTAVDSTDFEASLRDARDFEGESEKPSHPGLGYKANETDSGGKPSDVQQQQQQQ >ONIVA05G22170.1 pep chromosome:AWHD00000000:5:21947856:21951540:1 gene:ONIVA05G22170 transcript:ONIVA05G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVISMVVAPWILACGFLLCSSSFLGAEGAIGVNYGMLGNNLPSPAQVISMYKAKNINYVRLFHPDTAVLAALRNSGIGVVLGTYNEDLARLASDPSFAASWVSSYVQPFAGAVSFRYINAGNEVIPGDPAANVLPAMRNLDAALKAAGISGIPVTTAVATSVLGVSYPPSQGAFSEAASPYMAPIVAYLASRGAPLLVNVYPYFAYAADAERVQLGYALLSASQSASVTDGGVTYTNMFDAIVDAAHAAVEKATGGQAVELVVSETGWPSGGGGVGATVENAAAYNNNLIRHVSGGAGTPRRPGKPVETYLFAMFNENQKPEGVEQHFGLFQPDMTEVYHVDFAASS >ONIVA05G22160.1 pep chromosome:AWHD00000000:5:21937055:21940390:1 gene:ONIVA05G22160 transcript:ONIVA05G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGC1] MVGSYAAGGGRGAAVAAVAEGKLDELRRRMGKADGDLLRIVGVGGGAWGSAFCALLQDAYGRHRDKAQVRVWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVINGLPSTETREVFGEIGRYWKERIRPPVIISLAKGIEASIDPVPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNIYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAEDPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVHAFYELLSQSSLSVTHPEVKKLVAPVELCPILKTLYKILIKRELATDSILQAIRDESMYDPRERIEMSQRQCLYRPSLLGLPKVDITQA >ONIVA05G22150.1 pep chromosome:AWHD00000000:5:21926250:21933706:1 gene:ONIVA05G22150 transcript:ONIVA05G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGB9] MEGGRSWSIESYLNEYFDIPAKNPPGEARRRWRRAVGLIVRNRRRRFGRFSDVDAIDEAQRRKILGKVQVVINVHKAALQFIDGVKQYHLPPELIEEGFCISPDELAAIANMREDYTMLRMHGGINGISRKIKASLEDGAKETDIATRQMLYGANRHAEKPPRSFWMFVWDALHDLTLIILVVCALVSIVVGLATKGWPMGIYDGFGIILSILLVVLVTATSDYQQARKFMELDREKQKIYIRVTRDKKTKEVLVHDLVVGDILHLSIGDVVPADGLFISGDCLMIDESSLSGESEPVNISEERPFLHAGNKVVDGAAKMLVTAVGTRTEWGKIMGTLNGDGVDETPLQVKLNGVATIIGQIGLVFAVLTFLVLLARFLADKGMHVGLLNWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMHDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKVWIGDVKFVGDKKNSELKSTISERVMAILIQGIFVNTASEVVKGDDGKNTILGLATETALLEFGLSLEEHLYDDYNKLTRIKVDPFNSVKKKMSVTIQLPNGGIRTFCKGASEIILEQCNTIHNTDGNIVPLSEMQKHNVLNIINSFASEALRTLCIAFKDMDEFPNDQPISDDGYTLIAVFGIKDPVRPGVKDAVRTCMAAGIRVRMVTGDNINTAKAIAKECGILTEDGIAIEGQQLNNKSSDELKELLPKIQVIARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALHESDIGLAMGITGTEVAKESADVIIMDDNFETIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACIIGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRRGDNFITRIMWRNILGQGLYQLLVLATLMVIGKKLLSIEGPQSDKTINTLIFNSFVFCQESVLYFNGNFTILEKVSGGTLRYFLKEGKISLILTVFNEINCREMEKINVLQGIFRNWIFVGILTATVIFQVIIVEFLGTFANTVPLSGELWLLSVVIGSISMIISVILKCIPVEFNKTNTKPHGYELIPEGPEIL >ONIVA05G22150.2 pep chromosome:AWHD00000000:5:21926250:21933614:1 gene:ONIVA05G22150 transcript:ONIVA05G22150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGB9] MEGGRSWSIESYLNEYFDIPAKNPPGEARRRWRRAVGLIVRNRRRRFGRFSDVDAIDEAQRRKILGKVQVVINVHKAALQFIDGVKQYHLPPELIEEGFCISPDELAAIANMREDYTMLRMHGGINGISRKIKASLEDGAKETDIATRQMLYGANRHAEKPPRSFWMFVWDALHDLTLIILVVCALVSIVVGLATKGWPMGIYDGFGIILSILLVVLVTATSDYQQARKFMELDREKQKIYIRVTRDKKTKEVLVHDLVVGDILHLSIGDVVPADGLFISGDCLMIDESSLSGESEPVNISEERPFLHAGNKVVDGAAKMLVTAVGTRTEWGKIMGTLNGDGVDETPLQVKLNGVATIIGQIGLVFAVLTFLVLLARFLADKGMHVGLLNWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMHDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKVWIGDVKFVGDKKNSELKSTISERVMAILIQGIFVNTASEVVKGDDGKNTILGLATETALLEFGLSLEEHLYDDYNKLTRIKVDPFNSVKKKMSVTIQLPNGGIRTFCKGASEIILEQCNTIHNTDGNIVPLSEMQKHNVLNIINSFASEALRTLCIAFKDMDEFPNDQPISDDGYTLIAVFGIKDPVRPGVKDAVRTCMAAGIRVRMVTGDNINTAKAIAKECGILTEDGIAIEGQQLNNKSSDELKELLPKIQVIARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALHESDIGLAMGITGTEVAKESADVIIMDDNFETIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACIIGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRRGDNFITRIMWRNILGQGLYQLLVLATLMVIGKKLLSIEGPQSDKTINTLIFNSFVFCQESVLYFNGNFTILEKVSGGTLRYFLKEGKISLILTVFNEINCREMEKINVLQGIFRNWIFVGILTATVIFQVIIVEFLGTFANTVPLSGELWLLSVVIGSISMIISVILKCIPVEFNKTNTKPHGYELIPEGPEIL >ONIVA05G22140.1 pep chromosome:AWHD00000000:5:21911256:21914404:-1 gene:ONIVA05G22140 transcript:ONIVA05G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRGDPAYQGRSGLEVDEPDSYTVVSGARPKCWQRQCRVRQNSDAVLARLAGNGDPVRQGTSKISASWVLPCTL >ONIVA05G22140.2 pep chromosome:AWHD00000000:5:21911762:21916835:-1 gene:ONIVA05G22140 transcript:ONIVA05G22140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIGGDSTQLRWNLQSKPASVCELKTGFIPMPLTIGSTSFVGVAILELIRALVTLLFPEPGLSVGSGSAE >ONIVA05G22140.3 pep chromosome:AWHD00000000:5:21914658:21916835:-1 gene:ONIVA05G22140 transcript:ONIVA05G22140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIGGDSTQLRWNLQSKPASVCELKTGFIPMPLTIGSTSFVGVAILELIRALLLLFRHGFYVPAINSNADIQIQYRFGAAKSIQIWMF >ONIVA05G22130.1 pep chromosome:AWHD00000000:5:21908995:21909666:-1 gene:ONIVA05G22130 transcript:ONIVA05G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVPSQLLFSHPEVPDSFDDFLNNITTCTHTHTCNPPGPSATMHTHTCLHTHTQVFASGSGEDDIKEDLTKTRRPLGNREAVRKYREKKKAHAAFLEEEVKKLRAANQQLLKRLQGHAALEAEVIRLRSILLDVRGKIDMEIGTFPYQKPCSVGSVACTDPGMCFNGNSEIGGVWEECSRPVGADRMIDKDGSMSQEIDIPGPVHSISMDVVGSLVTSASLS >ONIVA05G22120.1 pep chromosome:AWHD00000000:5:21900389:21905138:1 gene:ONIVA05G22120 transcript:ONIVA05G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT3G02860) TAIR;Acc:AT3G02860] MDQRKALFRAKLREREAKEKQERRIDPSLVRYNEFDQPICRICNVTLKSEALWPAHQVSRKHHEAKAAAAASAKAPSGAGSRGNKANHEQPAESQKPKSSTLPANFFENQGMKRHSDGAGSEGRSVRREVADVQQKTKEASKTKPSVNFEKIPKKESQANTNVKGVLPGNFFDYNDEDEDPAPTEANSAPGNPPISNRMQVKGVPDGFFDGNKNSNGMQPSEPSQSSKAVKSSETSEVKGSLPEGFFDNKDADLRARGIQPPKIDINDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLTLEQEEYRQRVDMLKKQLTESKAARTTKANSKPVGMDMLSSSDSSSDEEDDSTDFAVDWRAQHLK >ONIVA05G22110.1 pep chromosome:AWHD00000000:5:21897488:21898348:-1 gene:ONIVA05G22110 transcript:ONIVA05G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRATHWCYVCRRPVRIRGGSQDVTCPSCDDGFVQEMSEMGRRTASSTLGFVGPDAGDEFLLRRSPVMEAMSTLMRHAATVGGDEREVDVHDEHGGGDGVPAHARLGVLFRGGPRVGVERRGGYYRAGLEALFEQLQNQLGSSRQGPPPAPPSAIDAMPVVTISRRHLRAEPRCPVCQDEFQLGAEAREMPCAHLYHADCIVPWLVHHNSCPVCRHSLPPPATTASGGGASGGERQVRRGSRSFLWPFGPTSSTSHSHECEDGSSDTTVYEDPGKVRYIRWHYNH >ONIVA05G22100.1 pep chromosome:AWHD00000000:5:21888933:21898880:1 gene:ONIVA05G22100 transcript:ONIVA05G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTVLSDEEDEIEEEEEEENPRPSRRGRDNMDRDDDDDEEDEEDEGQDEYEKDGFIVDDADEEEEEEDERESDDERRKKKRKKKKKRESEGFMLDEDDYELLQDNNITGIQRPKPGNKFKRLKKAGRESEMDERSGFSDDDGSGKRRTAEERVQYSLFGDHQDASIEEDIVEEDQQADEDEDGDPEDEMAGFIVDEDEIDANGQVVKRKKGKARPRRPAAGVSSSALQEAHDIFGDVDELLALRKQELERDAANSGELRGNRLEDEFEPFILAEKYMTPKDEQIRENDVPERIQLSEELTGYPPTDTTMIEEESVWIHSQLTGDGFLSFFNNEHVNKDIDQKDIASVLTMLHVNKFEIPFIAMYRKENCPSLLKDLDANEQTNEEHSDEEDQRKMMWHKMLWAVQTLDKKWLLLQKRKVALEMYYDKRFDDENRRIDDVTRQALNRQLYSSIIEALKDAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWQVANQFGRSAEQLGHHLTLTKIPEAGELDSGKDSPEEVAANFTCAMFETPQDVLRGARHMAAVEIGCEPIVRKHIRSIFMNKAVVSTCPTAEGNLIIDPYHQLSGVKWLRDKPLNKFVDAQWVLIQKAEEEKLLKVTIKLPEDAKKELMSEARENYLSDCVSKSAQLWDEQRKMILDDAFFNFLLPSMEKEARSLLTAKAKNWLNMEYGKQLWNKVSVAPWKKKDADKKDSDIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISIRSQGVAEQQRKKNDQQRVLKFMTDHQPHVVCVGASNYNCRQLKDDIYEVFFDSIHLQLLSSHKVTCSVDLYKVISSSSMACLVASNHPRDVNPQMENFSIVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMAATLCGPGKEILSWKLHPLEQFLTPDEKYEVVEQIMVDATNQIGFDVNLAASHEWHFSTLQFVAGLGPRKASALQKELLREGSIFSRKDLVKPLGRKVFMNASGFLRVRRSGGAAASAQIIDLLEDTRIHPESYALAKTLAKDVFAEEAPHEANEMDDDEQEMAIEHVREKPRYLKSLDIREYMKSMPEEFHNKEQTLKDIKWELLCGFPDWRTPYAEPTPDEEFWMLSGETEDTISDGRIVQVTVRSIQDNRIICTFDSGLKAIVMADNYSDEGFDLETLQLHEGDVLTGKIKNVNKNRFMVYLTCKASELRRRPLSRGNHDPYNHEQDMTSQNEQDKLRKQKELAKKHFKPRMIVHPHFQNLTAEEAMQFLSDKEPGEKVIRPSSRGPSFLTLTLKIFDGVLAHKEITEGGKDHKDITSLLRLGKTLTIDNETFEDLDEVIDRYVDPLVGHLKSMLLYRKFKKGSKSEVDEMLRAEKSENPMRIVYCFGISHEHPGTFILSYIRSTNPHHEYIGLYPKGFRFRKRDFDNIDRLVSYFQKHIDKPPPDAGPSMRNVAAMVPMKSSGWGNGGGTGGGNDGWRGDGNNDRDRPFSGRSGGRFDSRNSSGGRGRGRGRGRGNFGSDDGGGGGWSGGGGGGGNSGGWTDNIGSGGGGWGTGGGSSWAGGGDGGSGGGDSNRGGGGWGTPAGGSDGGGGGWGAAPGGSNDAPGWGSGKKAVPAQDGGSGWGASAGGGSGGWN >ONIVA05G22090.1 pep chromosome:AWHD00000000:5:21885912:21886415:1 gene:ONIVA05G22090 transcript:ONIVA05G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAEEEAVPVAEARAQAEKRAAAEDGEGAEAEEEGNEMPEPKRRRACVAALEGVRRAAADAAEENGDGGGGGAGGPAADGGSSFSFHARSFSGVETTPKFGSFNPADDLLVAFQLKPPPPPMDAPAKEEPPAAAAGDDDDEEEHEATAEEGNDGISQQLGVVDQ >ONIVA05G22080.1 pep chromosome:AWHD00000000:5:21879033:21879794:-1 gene:ONIVA05G22080 transcript:ONIVA05G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGSGNAGGGAAVAGEWDGGGIAGRMRGENAGIMDEKVLELVFRALNWDPRELCVVARVSRRLRAVAERVLWRELCVSRAPRMVSALSGPTAAVAAAAGRIGGGWPAMAKLLFFCCGAAGAAVPGHFAPVSRFSKTSGRSFLSRRCAGDLLFVSDPCEHAAGAASDDDVVGAYRGVYRGFMRSRTRAFLVGHRAPLEPRVRCPSSSSNGERSADSDSNHSDDETFAAADVSLPLPPAGRVPARRLRGRPAM >ONIVA05G22070.1 pep chromosome:AWHD00000000:5:21874833:21878224:1 gene:ONIVA05G22070 transcript:ONIVA05G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGGMSESVLRKVLLSYCYVGVWIFLSFAVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRLLRVVEPPSSPAMTPQLYTSSVVPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLGFLLVPWVFVELPRLRAVGTFRPDFFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVAYYNHVKLQALKAKEAQKKISQADEEAGSLLQERDGHRVKSLLHADEMSET >ONIVA05G22060.1 pep chromosome:AWHD00000000:5:21869535:21869966:1 gene:ONIVA05G22060 transcript:ONIVA05G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANHSGVEEEQDGGKTFWSVAVHGDSSNDINGRPIPSFTNVGWPPVSCSSIDPSSSSLLDLAFISCGGGIMEYTWVGVVRPSPLCARTPWPSSHFLATVAALATGTPMPPCRELGGEALQAGSHDSGEDSFIASDRRALRRQ >ONIVA05G22050.1 pep chromosome:AWHD00000000:5:21867657:21868752:-1 gene:ONIVA05G22050 transcript:ONIVA05G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGA7] MAEEAQQPRGVKVGGIHDAPAGRENDLTTVELARFAVAEHNSKAVRQQVVGGFMHYLTVEVKEPGGANKLYEAKVWERAWENFKQLQDFKPLDDATA >ONIVA05G22040.1 pep chromosome:AWHD00000000:5:21862880:21869009:1 gene:ONIVA05G22040 transcript:ONIVA05G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAPRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCNRTYDITVQRGVKTLSSSHLKQCIHSYNVYDFLRDVVSKVPDMGTSDAGVDDKLGKRRKTAEDDSEEESKRTRNEAASQTSTGRGRGRGRGRGRRGGRVSEREIISAYEKFEENHEFPPGQFSKPSQLKVDVSVDGTDAIETKEATPLSNARASLRNIDLNIELTDYDDEGSAPLEVQPPAPAAGVVTTSSGPLVSEVNEEAKTKDFLGWQLPELTKMAMDPVQFALSSNHRLEEDEDYDNEE >ONIVA05G22030.1 pep chromosome:AWHD00000000:5:21858227:21862298:1 gene:ONIVA05G22030 transcript:ONIVA05G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASLLPFALALVAIPISLALLDRLRLGRIPPGPRPWPMVGNLWQIKPVRCRGFLEWAERYGPIVSVWFGSSLNVVVSTSELAKEVLKENDQLLADRPRNRSTQRFSRNGMDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVHRAVTQPGSEHKPIVVRNHLAMVAFNNITRLAFGKRFMNANGDIDEQGREFKTIVNNGIKIGASLSVAEYIWYLRWLCPLNEELYKTHNERRDRLTKKIIDEHAKALKESGAKQHFVDALFTLREQYDLSDDTVIGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDRVVGRDRVMSETDFQSLPYLNAVVKESLRLHPPTPLMLPHKASTNVKIGGYNIPKGANVMVNVWAIARDPKVWSNPLEYRPERFIEENIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHQFEWSLPEGTRPEDVNMMESNGVVTFMSTSLQVIAKPRLDNPDLYKRFPVEM >ONIVA05G22020.1 pep chromosome:AWHD00000000:5:21847552:21848057:-1 gene:ONIVA05G22020 transcript:ONIVA05G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPEGINRPITRSFGAQLLKKAQDNAVGVNKIVVQNPEGTSSEVGQVVPRPDNTAKASTGAGVNENKKPSKSEGTGSSGSGGGSAHKYSRKKVVNTLTSGLTARSKHA >ONIVA05G22010.1 pep chromosome:AWHD00000000:5:21841739:21844241:1 gene:ONIVA05G22010 transcript:ONIVA05G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGA3] MASCCGGFMEKAKPYFAMICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALILERKVRPKMTWSIFFQIFILALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKLELKKVRCQAKIAGTLVTVAGAMLMTLYKGPLMEMAWSRHAGAGAAEAPAAAAAAAAAISGRDWFLGSMFVIVATLAWASLFILQTHTIKQYSAQLSLTTLICLVGTLQAVVVTFAMERRRPSVWAIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQRTGPVFASAFSPLMMIIVAVMGSFILSEQIYLGGVVGAALIVVGLYSVLWGKHKETQEKQADTKLSLPTSKGAAAAAEAEEITGAGEDDGDGDDDAERSKNHRSSGGVRSSSDSNGHGASAV >ONIVA05G22000.1 pep chromosome:AWHD00000000:5:21831144:21832624:-1 gene:ONIVA05G22000 transcript:ONIVA05G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HGA2] MGSSAEPPPPCHVVAVPYPGRGHVNAMLNLCRILAARDGVTATVVVTEEWLGLLGGAAAAAAEGGVRLEAIPNVVPSEHGRAGDMLGFVRAVYTRMEAPFERLLDRLALGAAQPPPAAIVADTFVLPWAVGVGNLRGLPVCVLSPLSATMFSVHYHFDRLPTATDIADGDEVGNYIPGLKSIRFSDLEPTHTNKNMVDLILEAYSHARKAQCVIFTSFYELESNAMDALRRDLPYPAFSAGPCIPYMALQADEHHAGDEEEEPYMAWLDAQPVGSVLYVSLGSFLSVSRPQLDEIAAGLADSKVTFLWVHRGDSGARDILRGGGGMVVPWTDQLKVLCHPSVGGFFTHSGMNSTLEAVHAGVPMLTLPIAFDQPIVARLVADEWRIGYGLRENGDGGGCGGVVGREEIAAAVRRLMVKDSDAAAAEEAKEMRRRASLMREASRAAVQEGGSSYRDVTSLINYISEFKN >ONIVA05G21990.1 pep chromosome:AWHD00000000:5:21827657:21830728:1 gene:ONIVA05G21990 transcript:ONIVA05G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHQRAAAAPQPANRGAAVAAGKQKAAATAAAGRPGARNRQALGDIGNVLNAHVVDGKIQLPEGINRPITRSFGAQLLKKAQENAVAANKIVVQNPARKEPAPKPAKKVVPRPENAAKASTGAGVNENKKPSESEGAGSSSGGSALKYSRKKVVNTLTSVLTARSKHACGITEKPKEVVEDIDKLDGDNQLAVVEYIEDIYNFYRTAQLERRPTDYMSSQVEVNPKMRAILADWIIDVHYKFELMPETLYLTMYVIDRYLSLQPVLRRELQLVGVAAMLIASKYEEMWAPEVQDLIHVCDNAYSRQQILAMEKNILNRLQWNITVPTPYVFLLRFIKAAGGDKELENMVFFFSEMALKEYGMASLCPSLVAASAVYAAQCTLKRSPLWTSTLKHHTGFTESQLRECAKVLVNAHAAAPESKLKTAYRKYASEQLGRVSLRPPAVCLA >ONIVA05G21980.1 pep chromosome:AWHD00000000:5:21827013:21827574:1 gene:ONIVA05G21980 transcript:ONIVA05G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFPVPRGAHWAVTSTAHVLVGFTGEMARVEQPRAPRAVQCSISEEEVNLGNVLAMDDPAAEHMPVIPVPNG >ONIVA05G21970.1 pep chromosome:AWHD00000000:5:21824212:21826276:1 gene:ONIVA05G21970 transcript:ONIVA05G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGGRPLSGRARQVGRSREEELLGLLSDFSGDSGEFGRELSFSDLVVVEDTANNPSPRGGDGGGDRAAPTAAAAAENGQGRPSSSSEQEEAAEAASRRQQQQQAAAAARERRLRRRRSDSRGSCGGSGDGVLLNFYVPGLLTRSMTTPRPRPRRRRRLPAKLGWMRLWPSDAGRRCGAAAAAATAASRRNRPPGDGTPEW >ONIVA05G21960.1 pep chromosome:AWHD00000000:5:21819660:21821356:-1 gene:ONIVA05G21960 transcript:ONIVA05G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRSCGSSCTQRSPTWMHRRSSCGRRPAAGQPSSSSPAPPRLQCLQTCTPASRISVAAAGDDLEEEHAVAEDVGFGREDAVQRVLRRHVSAEQECTLNSTASESVSSSNVDHIACITASLNL >ONIVA05G21960.2 pep chromosome:AWHD00000000:5:21821018:21821356:-1 gene:ONIVA05G21960 transcript:ONIVA05G21960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRSCGSSCTQRSPTWMHRRSSCGRRPAAGQPSSSSPAPPRLQCLQTCTPASRISLRRRSSESWRQVLRRPVTISRRSTP >ONIVA05G21950.1 pep chromosome:AWHD00000000:5:21817709:21821897:1 gene:ONIVA05G21950 transcript:ONIVA05G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPPCRPRVKPERALLCFSLLLLLTATTANASITDCPTTSSTNSSHVDDGAFGANLRALLSSLSAAAAASSSGFAENATGAAPDTAYGLAQCRGDIVGGGNGTSCRSCLDDSVRDAAKACPGEKSAVIISDYCLVRYSNASFAGAADERTVKLWWNTDNATQPERFKSLLGTLMGNLTDAAARASSPLMFAAGETDLPPFTKIYGMAQCTRDLAAGDCYRCLVGAVNNIPKCCDGKQGGQVITRSCSIRFEVYPFFDAQAAKAAMSPAPAPAPVTTPIGVNGSNHTVSKSVIVPVTVGVAVLLVVILLLIALCLCKRNRKPHKHMMIGSVDLGDEDEMRGSESLLYDLSILRAATANFSEENKLGEGGFGPVYKGTLQNGQEIAVKRLSATSHQGQLEMKNEVVLVAKLQHKNLVRLLGCCIEEREKILVYEFLCNKSLDTILFDTSRQQDLNWEQRFKIIEGIGRGLLYLHEDSRLKIIHRDLKASNILLDVDMNPKISDFGLAKLFNMEASVANTSRIAGTYGYMAPEYALHGIFSAKSDVFSYGVLLLEIVTGRRNTCLHDSEDLLAFVIPAQILSKV >ONIVA05G21940.1 pep chromosome:AWHD00000000:5:21812627:21815770:-1 gene:ONIVA05G21940 transcript:ONIVA05G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRALGSLLTRRRFSPCAQAQAEAESSRGIFTQATAGRSARSLRALQRDLAVGDGGAYGVVATIALAGLATILYVNENTDKSGVQWASYLDHVNFQTHHGGMPPYDEEASAKEVSDWEEALKQQDVKVDEATMKSRFQDWMKEHNRSYSTEEEKARRYEIFKETAIRADKANACNSLYSHPGSFDWERYIDHMNTMNANEGYIGNQDVIVSEAVKKKDKELAAKYAERRRRAANNQPEKSFTILGKTA >ONIVA05G21930.1 pep chromosome:AWHD00000000:5:21806896:21810261:1 gene:ONIVA05G21930 transcript:ONIVA05G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGEAVLSAFMQALFEKAVAAASSELKFPQNIAVELQNLSSSLSTIQAHVEDAEERQLKDQAARSWLSRLKDVAYEMDDLLDEHAAGVLRSKLADPSNYHHLKVRICFCCIWLKNGLFNRDLVKQIMRIEGKIDRLIKDRHIVDPIMRFNREEIRERPKTSSLIDDSSVYGREEDKDVIVNMLLTTHNSNHVNLSILPIVGMGGVGKTTLTQLVYNDVRVKKHFQLRMWLCVSENFDEAKLTKETIESVASGLSSATTNMNLLQEDLSNKLKGKRFLLVLDDVWNEDPDRWDRYRRALVAGAKGSKIMVTTRNENVGKLMGGLTPYYLKQLSYNDSWHLFRSYAFVDGDSSAHPNLEMIGKEIVHKLKGLPLAAKALGSLLCAKDNEDDWKNILESEIWELPSDKNNILPALRLSYNHLPPILKRCFAFCSVFHKDYVFEKDILVQIWMAVGYIQPQGRRRMEEIGNNYFDELLSRSFFQKHKDGYVMHDAMHDLAQSVSIDECMRLDNLPNNSTTERNARHLSFSCDNKSQTTFEAFRGFNRARSLLLLNGYKSKTSSIPSDLFLNLRYLHVLDLNRQEITELPESVGKLKMLRYLNLSGTGVRKLPSSIGKLYCLQTLKLRNCLALDHLPKSMTNLVNLRSLEARTELITGIARIGKLTCLQKLEEFVVRKDKGYKVSELKAMNKIRGQICIKNLESVSSAEEADEALLSEKAHISILDLIWSNSRDFTSEEANQDIETLTSLEPHDELKELTVKAFAGFEFPYWINGLSHLQSIHLSDCTNCSILPALGQLPLLKVIIIGGFPTIIKIGDEFSGTSEVKGFPSLKELVFEDMPNLERWTSTQDGEFLPFLRELQVLDCPKVTELPLLPSTLVELKISEAGFSVLPEVHAPSSQFVPSLTRLQIHKCPNLTSLQQGLLSQQLSALQQLTITNCPELIHPPTEGLRTLTALQSLHIYDCPRLATAEHRGLLPHMIEDLRITSCSNIINPLLDELNELFALKNLVIADCVSLNTFPEKLPATLQKLDIFNCSNLASLPAGLQEASCLKTMTILNCVSIKCLPAHGLPLSLEELYIKECPFLAERCQENSGEDWPKISHIAIIEIDDDSAMPDRSIRRRLC >ONIVA05G21920.1 pep chromosome:AWHD00000000:5:21800605:21803979:1 gene:ONIVA05G21920 transcript:ONIVA05G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGEAVLSAFMQALFDKVIAAAIGELKFPQDIAEELQKLSSSLSTIQAHVEDAEARQLKDRAARSWLAKLKDVAYEMDDLLDEYAAKALQSELEGSSRSRHLSKVRSSFCCLWLNNCFSNHKIVQQIRKIEEKIDRLVKERQLIGPDMSSTMDREEIKERPKTSSLLDGSSVFGREEDKEIIVKMLLTPNNSNYANVSVLPIVGMGGLGKTTLTQLVYNDPRVKEYFQLRVWLCVSENFDEMKLTKETIESVASGFSSVTTNINLLQEDLSKKLEGKRFLLVLDDVWNEDPEKWDRYRCALVSGSNGSRIVVTTRNKKVGKLMGGVTPYFLKQLSENDCWNLFRSYAFADGDSSSHPHLEIIGKEIVKKLKGLPLAAKAIGSLLCTKDSEDDWKNVLRSEIWELPSDQNNILPALRLSYNHLPAILKRCFAFCSVFHKDYVFEKENLVQIWMALGFIQSPGRRTMEELGSSYFDELLSRSFFQHHKGGYVMHDAMHDLAQSVSIDECLRLDDPPNSSSSSRSARYLSFSCHNRSQTSFEAFLGFKKARTLLLLNGYKSRTSPIRSDLFLKLRYIHVLELNRRDITELPDSIGNLKMLRYLNLSGTGITVLPSSIGRLFSLQTLKLKNCHVLEYLPESITDLVNLRWLEARIELITGIARIGNLTCLQKLEEFVVRKDKGYKISELKTMMSIGGHICIKNLEAVDSAEEAGEALLSKKTRISILDLVWSDRRQLTSEEANEEKDILEQLQPHSELKELTVKAFAGFYFPKWLSTLTHLQTIHLSDCTNCSILPALGELPLLKFLDVGGFPSIIQINQEFSGSDEVKGFPSLKELVLEDMANLKRWISVQDGELLPSLTELEVIDCPQVTEFPPLPPTLVKLRISETGFTILPEVHVQNCQFSSSLTCLQIHQCPNLISLQDGLLSQKLFSLQQLTITNCAELTHLPAEGFRSLTALKSLHIYDCQMLAPSGQHSLLPPMLEDLRITSCSNLINPLLQELNELSSLTHLTITNCANFHSFPVKLPATLQILEIFCCSDLSYLPADLNEASCLTVMTVLKCPLIPCLSEHRLPESLKELYIKECPLITERCQENGGEDWPKIAHVPVIEIDDDYFIPNRSIRRRLS >ONIVA05G21910.1 pep chromosome:AWHD00000000:5:21799136:21812315:-1 gene:ONIVA05G21910 transcript:ONIVA05G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGWVCKFGSEDSSSMLIKQVIHLIRDVLEPGKPASCRLIFQLPLLCIFNLAGSSGHRFLEKRLHERGQHSFSDWHDLWIVYNLPGCELEQQMNPKGQDFKLRNFLAQPVTGKVAMAGATASDGRTRAAAAAHALPRPPRRASSTAGFHRRQTGDAGGGGGWRESERASAGSESATRPSFFIRHKGNVLCKTKGKRGTSFERDVGRCSHFVPTTVNRCLLKGQ >ONIVA05G21910.2 pep chromosome:AWHD00000000:5:21801446:21812315:-1 gene:ONIVA05G21910 transcript:ONIVA05G21910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGWVCKFGSEDSSSMLIKQVIHLIRDVLEPGKPASCRLIFQLPLLCIFNLYSYVLREFQLAGSSGHRFLEKRLHERGQHSFSDWHDLWIVYNLPGCELEQQMNPKGQDFKLRNFLAQPVTGKVAMAGATASDGRTRAAAAAHALPRPPRRASSTAGFHRRQTGDAGGGGGWRESERASAGSESATRPSFFIRQVLIPNVIKY >ONIVA05G21910.3 pep chromosome:AWHD00000000:5:21801446:21812315:-1 gene:ONIVA05G21910 transcript:ONIVA05G21910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGWVCKFGSEDSSSMLIKQVIHLIRDVLEPGKPASCRLIFQLPLLCIFNLAGSSGHRFLEKRLHERGQHSFSDWHDLWIVYNLPGCELEQQMNPKGQDFKLRNFLAQPVTGKVAMAGATASDGRTRAAAAAHALPRPPRRASSTAGFHRRQTGDAGGGGGWRESERASAGSESATRPSFFIRQVLIPNVIKY >ONIVA05G21910.4 pep chromosome:AWHD00000000:5:21805335:21812315:-1 gene:ONIVA05G21910 transcript:ONIVA05G21910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGWVCKFGSEDSSSMLIKQVIHLIRDVLEPGKPASCRLIFQLPLLCIFNLYSYVLREFQLAGSSGHRFLEKRLHERGQHSFSDWHDLWIVYNLPGCELEQQMNPKGQDFKLRNFLAQLHQASLKFSRLFRSTLPRGPARDGKGRHGRSHRQ >ONIVA05G21900.1 pep chromosome:AWHD00000000:5:21795032:21798459:1 gene:ONIVA05G21900 transcript:ONIVA05G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVASSPRKCPQGPCQAARTANQLQPGTSGSHRIDSMLGFAAESARRIRSARHTNFSRLLISAQAQEFNMVYASPGTDASTDPDIDKNIRMFEQGDFPTPLASYPTDKSKGKLGQKTLRRLAQNREAARKSRLRKKAYVQQLEDSRMKLTQLEQELQRARQQGIIISTSGDQQRSTSENEALAFNMEYMRWLEEHNKQINELRSAVHTHAGDDDLQSIVSNFMAHHEEIFRIKGLAAKADALHVLSATWRTPLERCFLWLGGFRPSDLLKLLADQLEPLTEQQLASICNQQQSSQEAEETLSQGMEIIQDSLAKTVASQLGRAGSSSSSPSNAADHTAAALGKIGAMESLLQQADDMRMQSLQKMQRVLTTRQSARALLLISDYFSRLRALNSLWIARPQQ >ONIVA05G21900.2 pep chromosome:AWHD00000000:5:21795032:21798459:1 gene:ONIVA05G21900 transcript:ONIVA05G21900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVASSPRKCPQGPCQAARTANQLQPGTSGSHRIDSMLGFAAESARRIRSARHTNFSRLLISAQAQEFNMVYASPGTDASTDPDIDKNIRMTLRRLAQNREAARKSRLRKKAYVQQLEDSRMKLTQLEQELQRARQQGIIISTSGDQQRSTSENEALAFNMEYMRWLEEHNKQINELRSAVHTHAGDDDLQSIVSNFMAHHEEIFRIKGLAAKADALHVLSATWRTPLERCFLWLGGFRPSDLLKLLADQLEPLTEQQLASICNQQQSSQEAEETLSQGMEIIQDSLAKTVASQLGRAGSSSSSPSNAADHTAAALGKIGAMESLLQQADDMRMQSLQKMQRVLTTRQSARALLLISDYFSRLRALNSLWIARPQQ >ONIVA05G21890.1 pep chromosome:AWHD00000000:5:21791824:21794126:-1 gene:ONIVA05G21890 transcript:ONIVA05G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCPPGSSSEPDPPPASSGSSRPAGSAGAAASPATISPSAAPAPAKPPAPIGPVLGRPMEDVKSIYTVGKELGRGQFGVTSLCTHKATGQRFACKTISKRKLSTKEDVEDVRREVQIMYHLAGQPGVVELKGAYEDKHAVHLVMELCAGGELFDRIIAKGHYTEHAASSLLRTIVEIIHTCHSMGVIHRDLKPENFLLLSKDEHAPLKATDFGLSVFFKEGLHQFLHCCSLLELIIIVVINGVPIADQDLGVAESEHGIFNSILRGHVDFSSEPWSRISHGAKDLVRRMLHSDPKQRISAYDVLSEYPSLYAEHPKSFNTLNFSDHPWIKEDGEAPDTPLDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRKGLAKKGTKLTEAEVQQLMEAVRIATLTRIIWNCTSHSENFQTYKMFVDLGGKKQADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDNSGYITIEELEQALREKGLMDGREIKDIISEVDADNDGRINYTEFVAMMRKGDPEANPKKRRDVVL >ONIVA05G21880.1 pep chromosome:AWHD00000000:5:21787289:21787855:-1 gene:ONIVA05G21880 transcript:ONIVA05G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQCGAKGGGGGNARRSATGGGAGRMHRKGKHQGDGGGGGGKRREIKVVYIANPMRVTTSEEGFRALVQELTGRHADPSKYRGGGGGAPVDETSGGGGGGGGEMMQGAAAMMQPSPGSTVDSSSTDHGGAGAGGQGAGLQAAALDDDENSFIAPELIDNRYSVCFSPPTFLYGGGSHTYDGDDYGL >ONIVA05G21870.1 pep chromosome:AWHD00000000:5:21766317:21769965:-1 gene:ONIVA05G21870 transcript:ONIVA05G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPWTRPTVHSSFPLPSRRRENPNQHNETTRKRECEAKRAKKEREKKKSPQIPRPPSPLSLALPSPRVAFAGHHRPSPLSPPLLSSRRLLPSLFDPPNSQLGIPPRTAAEAEAAEESIWFPCAARFLVVVAAAGGGSLPRRAGKQGIEAPVEKLYYSTIQLCYILMMYHAKKFSVPFGPQSTQSNEHMSNIGAFGGSNMGSPANPAGSGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGMQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQMIIEEQQKLGGSLKACEEQKLPHSPPSLDDYPDSMQPSPKKPKMDNLSPDSVRDVTQSDFESHLIGPWDQEAAFRVDEFKADPGLNKS >ONIVA05G21870.2 pep chromosome:AWHD00000000:5:21766317:21769965:-1 gene:ONIVA05G21870 transcript:ONIVA05G21870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPWTRPTVHSSFPLPSRRRENPNQHNETTRKRECEAKRAKKEREKKKSPQIPRPPSPLSLALPSPRVAFAGHHRPSPLSPPLLSSRRLLPSLFDPPNSQLGIPPRTAAEAEAAEESIWFPCAARFLVVVAAAGGGSLPRRAGKQGIEAPVEKLYYSTIQLCYILMMYHAKKFSVPFGPQSTQSNEHMSNIGAFGGSNMGSPANPAGSGKQRLRWTSDLHNRFVDAIAQLGGPDSGYSLSRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGMQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQMIIEEQQKLGGSLKACEEQKLPHSPPSLDDYPDSMQPSPKKPKMDNLSPDSVRDVTQSDFESHLIGPWDQEAAFRVDEFKADPGLNKS >ONIVA05G21870.3 pep chromosome:AWHD00000000:5:21766317:21769965:-1 gene:ONIVA05G21870 transcript:ONIVA05G21870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPWTRPTVHSSFPLPSRRRENPNQHNETTRKRECEAKRAKKEREKKKSPQIPRPPSPLSLALPSPRVAFAGHHRPSPLSPPLLSSRRLLPSLFDPPNSQLGIPPRTAAEAEAAEESIWFPCAARFLVVVAAAGGGSLPRRAGKSTQSNEHMSNIGAFGGSNMGSPANPAGSGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGMQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQMIIEEQQKLGGSLKACEEQKLPHSPPSLDDYPDSMQPSPKKPKMDNLSPDSVRDVTQSDFESHLIGPWDQEAAFRVDEFKADPGLNKS >ONIVA05G21860.1 pep chromosome:AWHD00000000:5:21761433:21763339:-1 gene:ONIVA05G21860 transcript:ONIVA05G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSAGNLAPATAILVVVVAVVLAAAAASQDGDALTEFRKGMSDPDGALASWDPDLVNPCTWFRVTCNADNRVIRLDLEEMNLSGHLSADLARLDQLQFMEIASNNIEGPIPPEFGNLENLISLDLCNNTISGPIPPSLGKLKSLKFMRIDHNLLTGPIPNELAGLSNLMILNVSNNDLCGTIPTSGPFDHFPPSSFANNPRLRYPGMDDDDTGR >ONIVA05G21850.1 pep chromosome:AWHD00000000:5:21749678:21752351:-1 gene:ONIVA05G21850 transcript:ONIVA05G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGKEGEENGHVAAGAAAGVAGSAGAAARAPPPLMPPDAVMRELPPPVPYVFTPQVPVAPLHIPTEFSPVFNNSWINESDESTNNHPQEKGIPTLISWSQGGNEVFVEGSWDNWTSRRVLEKSGKDHTILLVLPSGVYHYRIIVDGEPKYVPELPHVADEGGQVANLLDVHDYIPESLDSVAGFDAPPSPEHSYDLQLPGDEEFAKEPPILPPQLVMSVLGDTDNSEEQTLKPKHVVLNHLYIEKGWGSQSLLALGVTHRFQSKYVSFVLYKPLRRSSTAKRTKNGG >ONIVA05G21840.1 pep chromosome:AWHD00000000:5:21742094:21746485:-1 gene:ONIVA05G21840 transcript:ONIVA05G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMAKKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKQLGEILDLSLSSMAAKLTQEQVDECREIFDLFDSDEDGRIAAGELVTALRSLGQNVDEAEARRFLADATASGGGGGGGGGGDIDFAAFLSVAARKMGRGATEKELAACLDVFDDARSGVIPAEQLRQAMVSHGDRLTEEEADEMVRKADPAGEGRVEYKEFVKVLMNNK >ONIVA05G21840.2 pep chromosome:AWHD00000000:5:21742676:21746485:-1 gene:ONIVA05G21840 transcript:ONIVA05G21840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMAKKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAKNAFASFQFLQKSSIPICYQLTL >ONIVA05G21840.3 pep chromosome:AWHD00000000:5:21742094:21742634:-1 gene:ONIVA05G21840 transcript:ONIVA05G21840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLTQEQVDECREIFDLFDSDEDGRIAAGELVTALRSLGQNVDEAEARRFLADATASGGGGGGGGGGDIDFAAFLSVAARKMGRGATEKELAACLDVFDDARSGVIPAEQLRQAMVSHGDRLTEEEADEMVRKADPAGEGRVEYKEFVKVLMNNK >ONIVA05G21830.1 pep chromosome:AWHD00000000:5:21739450:21740133:-1 gene:ONIVA05G21830 transcript:ONIVA05G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSACSSRCLRLILGRPPRARLASVVEVVEKRRGGLVAVAATEGSAKSSGEADEQVPSWARPGSDEPPPWAREGGGGGGQQEPGAVELPFFAYLLASAITAIAAIGSIFEYANQRPVFGVVSPDSALYAPLLGFFVFTGIPTSGFLWFKAVQTANKEAEEQDRRDGFS >ONIVA05G21820.1 pep chromosome:AWHD00000000:5:21734553:21737497:-1 gene:ONIVA05G21820 transcript:ONIVA05G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0E0HG75] MSSIGTGYDLSVTTFSPDGRVFQVEYAGKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMILKGSNRRLHSVHRHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPMPVKELADRVASYVHLCTLYWWLREIEKLNLSELTCREGIVEVAKIIYGVHDEAKDKDFELELSWVCDESKRQHEKVPDDLVEQAKAAAQAALEEMDAD >ONIVA05G21810.1 pep chromosome:AWHD00000000:5:21728688:21733736:-1 gene:ONIVA05G21810 transcript:ONIVA05G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVSLCALHAGTATSGAHHISSRPLLRPNPEPASIPWLAHLPPLHAGQTGRHSSPPPKPNPSHSLTSRHGRTRTRTPTPTPRRRRLPSPLPLPLPPRSRACLSGRVEAGTLGGAEAEDDGAALGFPPPLHSPRRVLPSVPPLGLLCSGRIFDTFEMDRASNFIPGPYQELVDAKPIRSLAPMFPAPLGINVNQSSTPPLVCVTPVGQFPVGFGSGILPTFGSTTAFTTTANGVSYTSYTNNGAIDATPISAYKTRPGIVSLDGDEPYSGSASGRKSKRSSGSAADGSNGVKFKRPKPVYKNFVAGKELAFLPPSSSDPREVVEAVHMTFEALRRRHLQLDEIQETSKRADLKAGAIMMASNIRANVGKRVGLVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMSAKFGSDEDSVAICIVAAGGYENVDDDTDTLVYSGSGGNSRNSEERHDQKLERGNLALERSLHRKNEIRVVRGFRDPFCLTGKIYIYDGLYKIQESWKERTKSGINCFKYKLLREPGQPDGAALWKMTQGWIDNPASRGRVILPDLSSAAEALPVCLVNEVDHEKGPGHFTYASQVKYLRPLSSMKPLQGCGCQSVCLPGDPNCACGQHNGGDLPYSSSGLLACRKPIIYECGDACHCTTNCRNRVTQKGVRFHFEVFRTANRGWGLRCWDPIRAGAFICEYTGEVIDELKVNLDDSEDDYIFQTVCPGEKTLKFNFGPELIGEESTYVSADEFEPLPIKISAKKMGNVSRFMNHSCSPNVFWQPVQHDHGDDSHPHIMFFALKHIPPMTELTFDYGVAGSESSGSRRTKNCFCGSSNCRGVF >ONIVA05G21810.2 pep chromosome:AWHD00000000:5:21728688:21733741:-1 gene:ONIVA05G21810 transcript:ONIVA05G21810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVLCHCVRCTLARPPQAHTSLSHLSARSHSHPHPHPHPATPTTPLPSSPSPSSQIARLSVGESRSGDVGGRGGGGRWRCSRVSTTSPLAPPGASVRSSVGAPLLRIFDTFEMDRASNFIPGPYQELVDAKPIRSLAPMFPAPLGINVNQSSTPPLVCVTPVGQFPVGFGSGILPTFGSTTAFTTTANGVSYTSYTNNGAIDATPISAYKTRPGIVSLDGDEPYSGSASGRKSKRSSGSAADGSNGVKFKRPKPVYKNFVAGKELAFLPPSSSDPREVVEAVHMTFEALRRRHLQLDEIQETSKRADLKAGAIMMASNIRANVGKRVGLVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMSAKFGSDEDSVAICIVAAGGYENVDDDTDTLVYSGSGGNSRNSEERHDQKLERGNLALERSLHRKNEIRVVRGFRDPFCLTGKIYIYDGLYKIQESWKERTKSGINCFKYKLLREPGQPDGAALWKMTQGWIDNPASRGRVILPDLSSAAEALPVCLVNEVDHEKGPGHFTYASQVKYLRPLSSMKPLQGCGCQSVCLPGDPNCACGQHNGGDLPYSSSGLLACRKPIIYECGDACHCTTNCRNRVTQKGVRFHFEVFRTANRGWGLRCWDPIRAGAFICEYTGEVIDELKVNLDDSEDDYIFQTVCPGEKTLKFNFGPELIGEESTYVSADEFEPLPIKISAKKMGNVSRFMNHSCSPNVFWQPVQHDHGDDSHPHIMFFALKHIPPMTELTFDYGVAGSESSGSRRTKNCFCGSSNCRGVF >ONIVA05G21800.1 pep chromosome:AWHD00000000:5:21723009:21725234:-1 gene:ONIVA05G21800 transcript:ONIVA05G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESDSDPDSDRTHWYMSNSDDESFVDKDKDCRRSTVAPLLKKGPWTSWEDSILEKYIKKHGERNWKLVQKNTRLLRCGKSCRLRWMNHLRPNLKKGAFSKEEENKIINLHRKMGNKWSRMAADLPGRTDNEIKNYWNTRIKKCKNNRSPLYPANVCNDALNEDQHESADPNVREKLTNNQLEDTTSMYFAPQFSDASISNILDRRLASKDYDSIEDQRNQIEVVAKYEIPLPVLKTTNNDIFPSASIFANHGISNGNLSALSTTDALQMELPLIQFDPNNQFVYSRAYATHLTNFALLNDQSEELLNDTDVLNYVVMKEELSGGSLSPTINMPCEAHNSMAASNELVVPRYEGDAPPLQDDFTSYFYLNDTNLSIFEDTNELFLENKLDTKGELVMACYVS >ONIVA05G21790.1 pep chromosome:AWHD00000000:5:21716132:21721899:-1 gene:ONIVA05G21790 transcript:ONIVA05G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDAAGAGPPSSELARVGSLGGRLVAADEARACLARRLHAALQVREESRRQGAALDEMRARVELRRARVGELLVARRDAARGVDRRREQLQAQIDRVLRLSGAVAAASRRLQDAKEALSGEKARLGDLQRLLRMRQQSMIGQVAALYPVKVFHDLPHGRNLNSNTNGAHRSLSEENGTLPEENGTHLLNVIKLPQIHALTFLGWQIGKQRRKQNDICEKDLQRSAAVLGYAAHAILLIASYLHVPLRYPLHFGGSRSYDTEQLLNYIGAESSGRRVFDNLQELIRIIQSDEYGPRSRRRIAGCRLKICAVKLIEDKAMGVEADHGTPCIRTILRCSIRMSYRYASENWVLLFPVLLLYLLFRSSPGFFAFLLSHSPVIICAALILGVLISHGSTNVAEIKEERKSVAEVSDPKYADLSRNIHLEANKGFSAKENTASLNDGEIKDGLNSSREDVIEVVEMVGKISHDRGSTDSQSDEMKVDSEDKPAGTCKWGRAFSVRRRKKLSDIKVEPINAAVDSPLDSSLDSPFGRVGCHDGSPGFDHDQTEGTTPGTPRTRIASVLDEIDPLSSADFPHPDPIQNDDSDNHMSLQDSRTVSDNNYESDKSKANKNDDKNVSTDPAFLGTVDDDKNVMDLGYSEVERHRRLEILMVKRRSRKNIVFDPDSNLDIDNDKVCKRNPSDILSCSDETEFPGSAPSVLHTRRNPFDHPFEQSDESDLHEHVAIPHQDMFFTRHESFSIGSQGRRPSRFKPPFIIEARDIDEPSASDFQRQFSDKSASTLSTVTESDIISSVADQEDISNSIKNDSSREYESPELPTIPTMGSDIICVGGT >ONIVA05G21790.2 pep chromosome:AWHD00000000:5:21716132:21721899:-1 gene:ONIVA05G21790 transcript:ONIVA05G21790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDAAGAGPPSSELARVGSLGGRLVAADEARACLARRLHAALQVREESRRQGAALDEMRARVELRRARVGELLVARRDAARGVDRRREQLQAQIDRVLRLSGAVAAASRRLQDAKEALSGEKARLGDLQRLLRMRQQSMIGQVAALYPVKVFHDLPHGRNLNSNTNGAHRSLSEENGTLPEENGTHLLNVIKLPQIHALTFLGWQIAETASIASAERTCINMTDPKLTVYPLFVECQEDDPTKASYAIYLLHKDTEQLLNYIGAESSGRRVFDNLQELIRIIQSDEYGPRSRRRIAGCRLKICAVKLIEDKAMGVEADHGTPCIRTILRCSIRMSYRYASENWVLLFPVLLLYLLFRSSPGFFAFLLSHSPVIICAALILGVLISHGSTNVAEIKEERKSVAEVSDPKYADLSRNIHLEANKGFSAKENTASLNDGEIKDGLNSSREDVIEVVEMVGKISHDRGSTDSQSDEMKVDSEDKPAGTCKWGRAFSVRRRKKLSDIKVEPINAAVDSPLDSSLDSPFGRVGCHDGSPGFDHDQTEGTTPGTPRTRIASVLDEIDPLSSADFPHPDPIQNDDSDNHMSLQDSRTVSDNNYESDKSKANKNDDKNVSTDPAFLGTVDDDKNVMDLGYSEVERHRRLEILMVKRRSRKNIVFDPDSNLDIDNDKVCKRNPSDILSCSDETEFPGSAPSVLHTRRNPFDHPFEQSDESDLHEHVAIPHQDMFFTRHESFSIGSQGRRPSRFKPPFIIEARDIDEPSASDFQRQFSDKSASTLSTVTESDIISSVADQEDISNSIKNDSSREYESPELPTIPTMGSDIICVGGT >ONIVA05G21790.3 pep chromosome:AWHD00000000:5:21716132:21721899:-1 gene:ONIVA05G21790 transcript:ONIVA05G21790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDAAGAGPPSSELARVGSLGGRLVAADEARACLARRLHAALQVREESRRQGAALDEMRARVELRRARVGELLVARRDAARGVDRRREQLQAQIDRVLRLSGAVAAASRRLQDAKEALSGEKARLGDLQRLLRMRQQSMIGQVAALYPVKVFHDLPHGRNLNSNTNGAHRSLSEENGTLPEENGTHLLNVIKLPQIHALTFLGWQIGKQRRKQNDICEKDLQRSAAVLGYAAHAILLIASYLHVPLRYPLHFGGSRSYVSDCLPSAETASIASAERTCINMTDPKLTVYPLFVECQEDDPTKASYAIYLLHKDTEQLLNYIGAESSGRRVFDNLQELIRIIQSDEYGPRSRRRIAGCRLKICAVKLIEDKAMGVEADHGTPCIRTILRCSIRMSYRYASENWVLLFPVLLLYLLFRSSPGFFAFLLSHSPVIICAALILGVLISHGSTNVAEIKEERKSVAEVSDPKYADLSRNIHLEANKGFSAKENTASLNDGEIKDGLNSSREDVIEVVEMVGKISHDRGSTDSQSDEMKVDSEDKPAGTCKWGRAFSVRRRKKLSDIKVEPINAAVDSPLDSSLDSPFGRVGCHDGSPGFDHDQTEGTTPGTPRTRIASVLDEIDPLSSADFPHPDPIQNDDSDNHMSLQDSRTVSDNNYESDKSKANKNDDKNVSTDPAFLGTVDDDKNVMDLGYSEVERHRRLEILMVKRRSRKNIVFDPDSNLDIDNDKVCKRNPSDILSCSDETEFPGSAPSVLHTRRNPFDHPFEQSDESDLHEHVAIPHQDMFFTRHESFSIGSQGRRPSRFKPPFIIEARDIDEPSASDFQRQFSDKSASTLSTVTESDIISSVADQEDISNSIKNDSSREYESPELPTIPTMGSDIICVGGT >ONIVA05G21790.4 pep chromosome:AWHD00000000:5:21716132:21721351:-1 gene:ONIVA05G21790 transcript:ONIVA05G21790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQSMIGQVAALYPVKVFHDLPHGRNLNSNTNGAHRSLSEENGTLPEENGTHLLNVIKLPQIHALTFLGWQIGKQRRKQNDICEKDLQRSAAVLGYAAHAILLIASYLHVPLRYPLHFGGSRSYVSDCLPSAETASIASAERTCINMTDPKLTVYPLFVECQEDDPTKASYAIYLLHKDTEQLLNYIGAESSGRRVFDNLQELIRIIQSDEYGPRSRRRIAGCRLKICAVKLIEDKAMGVEADHGTPCIRTILRCSIRMSYRYASENWVLLFPVLLLYLLFRSSPGFFAFLLSHSPVIICAALILGVLISHGSTNVAEIKEERKSVAEVSDPKYADLSRNIHLEANKGFSAKENTASLNDGEIKDGLNSSREDVIEVVEMVGKISHDRGSTDSQSDEMKVDSEDKPAGTCKWGRAFSVRRRKKLSDIKVEPINAAVDSPLDSSLDSPFGRVGCHDGSPGFDHDQTEGTTPGTPRTRIASVLDEIDPLSSADFPHPDPIQNDDSDNHMSLQDSRTVSDNNYESDKSKANKNDDKNVSTDPAFLGTVDDDKNVMDLGYSEVERHRRLEILMVKRRSRKNIVFDPDSNLDIDNDKVCKRNPSDILSCSDETEFPGSAPSVLHTRRNPFDHPFEQSDESDLHEHVAIPHQDMFFTRHESFSIGSQGRRPSRFKPPFIIEARDIDEPSASDFQRQFSDKSASTLSTVTESDIISSVADQEDISNSIKNDSSREYESPELPTIPTMGSDIICVGGT >ONIVA05G21780.1 pep chromosome:AWHD00000000:5:21705795:21709477:-1 gene:ONIVA05G21780 transcript:ONIVA05G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAELRSVSLHKGRGDCPASGGGNRPDPRRLAGSGGEVAGGGVVCSSSGVWRGWRSQWR >ONIVA05G21770.1 pep chromosome:AWHD00000000:5:21703203:21703909:-1 gene:ONIVA05G21770 transcript:ONIVA05G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNVQLSEKFTERLFASTADVELTAPEAVTLTASPAPRGKNKNISSPIPLSLNPNPVRFAPTGLQDRSSFGISGWSERSCAWRGGLSIPMVA >ONIVA05G21760.1 pep chromosome:AWHD00000000:5:21701975:21703030:-1 gene:ONIVA05G21760 transcript:ONIVA05G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIHADPVLEADQFDRLPDSLVLVILNNVEDVRSLGRCSAVSKRFYGLVPLVHDVYVKIDRVVTVDGEAEDALNLSSPKPRNILSHFLKMMLFTIIKPFHSMRGPNGAGRPLFPQLAQHSPAQVLRNFTHIRNLRVELPSGDVGTEEGVLLKWRAEYGSTLQNCVILGGTQVDRKPVGAEHELYSEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLTSLVLTDADGQGTLSMGVEQLKEFRENQLSASACSNRTQVPACNMKLKYAPYLELPGGIALQGATLVAIKPSPEGSNGGHTSRKETDAFVSGAFDGPFKFAVKALMKRRTYLLEMNGF >ONIVA05G21750.1 pep chromosome:AWHD00000000:5:21693180:21699175:1 gene:ONIVA05G21750 transcript:ONIVA05G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLQKLKGLDAYPKVNEDFYKRTLSGGVVTVVASVVMLLLFVSETRSYFYSATETKLVVDTSRGERLRVNFDVTFPSVPCTLLSVDTMDISGEQHHDIRHDIEKRRLDAHGNVIEARKEGIGGAKIESPLQKHGGRLSKGEEYCGTCYGAEESDEQCCNSCEEVREAYKKKGWALTNPDLIDQCTREDFVERVKTQQGEGCNVHGFLDVSKVAGNLHFAPGKGFYESNINVPELSALEHGFNVVPTIYTDLRGRKIHSNQFSVTEHFRDGNIRPKPQPGVFFFYDFSPIKVIFTEENSSLLHYLTNLCAIVGGVFTVSGIIDSFIYHGQKALKKKMELGKYR >ONIVA05G21740.1 pep chromosome:AWHD00000000:5:21687579:21692244:1 gene:ONIVA05G21740 transcript:ONIVA05G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRELGALLEQVASVHVLEVQGPLLLRLLGLLPLLVAGFTLRRGGIHLGLRVEDGGVAAPDAADDLEHLAVDAAGRDGGVIVVRRGLVVVVVVLLLLLRRDEALLLALLQALQRLPPFVVLEAAGVLLVDLDLGEILLAAASIGLIVGAREDVLLLLLLLPPLLHGCRCSGDGDDGGGEAGGN >ONIVA05G21730.1 pep chromosome:AWHD00000000:5:21683566:21689920:-1 gene:ONIVA05G21730 transcript:ONIVA05G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRREEEKKKKDILAGSDNESDGGGGEEDLSKIQINEEYARRFEHNKRREALQRLEERKKKGLVPPEEEEEDDDDDDESSSDDDDAAIASRRVDRQMFEVIRRIRRGDAAILDAKAKMYSSSSESEPGDEEGEKPKKTKKERPLYLKDVNARHLLEEGPEFAAQASHGGHSSSKYDKIAYDEQQRKGLEAFLAAEKAALGNGDGDGGEDDDDLFQVKPKGGDGGDKEDDDEEKKETEQILIDIFGKDEELDENSKFLKKFFLERPYLETGSEKKYSPDDIQEVSDEEEHLIEQEDYETRYNFRHEEAAATGADVMDRVMGHSRFVEGSVRKKESSRKQQRKNKEERIARAKQEQAEELKHLKNLKKKEIAEKLERIRMIAGIDSDAACKLGADDLEEDFDPEEYDRKMKETFNDNYYEADDVDPEFGSGEEIDLEKPDFDKEDELLGLPKDWALDGQDGSSAAAEGASQKKKGGKDIANGEGTNQKMKGKISLKDKVELEKEMEEYYKLDYEDTIGDLKTRFKYKQVKPNSFGLSTYEILASDDKDLNQYVSMKKIAPYREAEWKVTHHKKLIKDSILGGQDKEGKKVKKKKSKSEEGPASSEPEKELPNEQEEQEDADGKAKSTRSERRKRRRRELKMTTERQAAYGKINPKRLQEKELALQSKSIMSKTESRKLSDDYEVVDVLGRGGFSIVRRGVSKSEEKTQVAIKTLRRLGPAMAGMKQGTKPVPGSGLPMWKQVSISDALLTNEILVMRRIVESVAPHPNVINLHDVYEDVHGVHLVLELCSGGELFDRIVGRDRYSEFDAACVIRQIASGLEALHKASIVHRDLKPENCLFSDKDEKSTLKIMDFGLSSVEDFSDPIVALFGSIDYVSPEALSRQEVSAASDMWSVGVILYILLSGCPPFHAATNREKQQRILQGEFSFQDHTWKTISSSAKDLISRLLSVQPYKRPTASDLLRHPWVIGDCAKQDLMDAEVVSKLQKFNARRKLRAAAIASVLSCKVALRTKRLRNLLGTHDLTSEELDNLRLHFGRICADGENATLSEFEQVLRAMKMDSLIPLAPRVFDLFDNNRDGTVDMREILCGFSSLRNSRGDDALRLCFQMYDADRSGCISKEELASMLRALPEECLPGDITEPGKLDEVFDQMDADSDGKVTFDEFKAAMNKDSALQDVLLSSLRPQ >ONIVA05G21730.2 pep chromosome:AWHD00000000:5:21683566:21686933:-1 gene:ONIVA05G21730 transcript:ONIVA05G21730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTESRKLSDDYEVVDVLGRGGFSIVRRGVSKSEEKTQVAIKTLRRLGPAMAGMKQGTKPVPGSGLPMWKQVSISDALLTNEILVMRRIVESVAPHPNVINLHDVYEDVHGVHLVLELCSGGELFDRIVGRDRYSEFDAACVIRQIASGLEALHKASIVHRDLKPENCLFSDKDEKSTLKIMDFGLSSVEDFSDPIVALFGSIDYVSPEALSRQEVSAASDMWSVGVILYILLSGCPPFHAATNREKQQRILQGEFSFQDHTWKTISSSAKDLISRLLSVQPYKRPTASDLLRHPWVIGDCAKQDLMDAEVVSKLQKFNARRKLRAAAIASVLSCKVALRTKRLRNLLGTHDLTSEELDNLRLHFGRICADGENATLSEFEQVLRAMKMDSLIPLAPRVFDLFDNNRDGTVDMREILCGFSSLRNSRGDDALRLCFQMYDADRSGCISKEELASMLRALPEECLPGDITEPGKLDEVFDQMDADSDGKVTFDEFKAAMNKDSALQDVLLSSLRPQ >ONIVA05G21720.1 pep chromosome:AWHD00000000:5:21680063:21682229:-1 gene:ONIVA05G21720 transcript:ONIVA05G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01370) TAIR;Acc:AT1G01370] MARTKHPAVRKSKAEPKKKLQFERSPRPSKAQRAGGGTGTSATTRSAAGTSASGTPRQQTKQRKPHRFRPGTVALREIRKFQKTTELLIPFAPFSRLVREITDFYSKDVSRWTLEALLALQEAAEYHLVDIFEVSNLCAIHAKRVTIMQKDMQLARRIGGRRPW >ONIVA05G21720.2 pep chromosome:AWHD00000000:5:21680063:21682229:-1 gene:ONIVA05G21720 transcript:ONIVA05G21720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01370) TAIR;Acc:AT1G01370] MARTKHPAVRKSKAEPKKKLQFERSPRPSKAQRAGGGTGTSATTVRAGAGTPRQQTKQRKPHRFRPGTVALREIRKFQKTTELLIPFAPFSRLVREITDFYSKDVSRWTLEALLALQEAAEYHLVDIFEVSNLCAIHAKRVTIMQKDMQLARRIGGRRPW >ONIVA05G21720.3 pep chromosome:AWHD00000000:5:21680063:21682229:-1 gene:ONIVA05G21720 transcript:ONIVA05G21720.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01370) TAIR;Acc:AT1G01370] MARTKHPAVRKSKAEPKKKLQFERSPRPSKAQRAGGTPRQQTKQRKPHRFRPGTVALREIRKFQKTTELLIPFAPFSRLVREITDFYSKDVSRWTLEALLALQEAAEYHLVDIFEVSNLCAIHAKRVTIMQKDMQLARRIGGRRPW >ONIVA05G21710.1 pep chromosome:AWHD00000000:5:21674757:21678440:1 gene:ONIVA05G21710 transcript:ONIVA05G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAMASHAGGSGGGGGGSGRDAGSAQRGSMQGLARQGSLYGLTLNEVQSQLGEPLLSMNLDELLKSVFPDGVDLDGGGGGIAGQSQPALGLQRQGSITMPPELSKKTVDEVWKGIQDVPKRGAEEGGRRRRERQPTLGEMTLEDFLVKAGVVTDPNDLPGNMDVVGGAAAAAAGTSDLNAGAQWLQQYHQQALEPQHPSIGAPYMATHLAPQPLAVATGAVLDPIYSDGQITSPMLGALSDPQTPGRKRGATGEIADKLVERRQKRMIKNRESAARSRARKQAYTNELENKVLRLEEENERLKKQKYFLQFRNEVNHAALVLEIGSWMRY >ONIVA05G21710.2 pep chromosome:AWHD00000000:5:21674755:21678440:1 gene:ONIVA05G21710 transcript:ONIVA05G21710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAMASHAGGSGGGGGGSGRDAGSAQRGSMQGLARQGSLYGLTLNEVQSQLGEPLLSMNLDELLKSVFPDGVDLDGGGGGIAGQSQPALGLQRQGSITMPPELSKKTVDEVWKGIQDVPKRGAEEGGRRRRERQPTLGEMTLEDFLVKAGVVTDPNDLPGNMDVVGGAAAAAAGTSDLNAGAQWLQQYHQQALEPQHPSIGAPYMATHLAPQPLAVATGAVLDPIYSDGQITSPMLGALSDPQTPGRKRGATGEIADKLVERRQKRMIKNRESAARSRARKQAYTNELENKVLRLEEENERLKKQKELDEILNAAPPPEPKYQLRRTSSAAF >ONIVA05G21700.1 pep chromosome:AWHD00000000:5:21668919:21671079:-1 gene:ONIVA05G21700 transcript:ONIVA05G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >ONIVA05G21690.1 pep chromosome:AWHD00000000:5:21663838:21664254:-1 gene:ONIVA05G21690 transcript:ONIVA05G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRRWPTSTLAAVAVAVVVLLAASAATTAEAQSAPAAAPGPAGPVLDQACLTALLNMSDCLTYVQNGSRARRPDKPCCPELAGLVESNPVCLCELLSGAGDSYGIAVDYSRALALPAICRVSTPPVSTCAGNQSPP >ONIVA05G21680.1 pep chromosome:AWHD00000000:5:21656868:21660049:1 gene:ONIVA05G21680 transcript:ONIVA05G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRLGAPTSGALLLLVLLLICGGGGGGGVAAGGGGGGGGGKGSSVYPAPVVYPHHSRQISWKPRVFLYQHFLSDDEANHLVSLARAELKRSAVADNLSGKSELSDARTSSGTFIRKSQDPIVAGIEEKIAAWTFLPKENGEDIQVLRYKHGEKYERHYDYFSDNVNTLRGGHRIATVLMYLTDVAEGGETVFPLAEEFTESGTNNEDSTLSECAKKGVAVKPRKGDALLFFNLSPDASKDSLSLHAGCPVIKGEKWSATKWIRVASFDKVYHTQGNCTDDNESCEKWAALGECIKNPEYMIGTAALPVLHSVLEYVQLPAICVQLKSFLLTNDDEQSFRRARTTAMFGKPKILRNSR >ONIVA05G21670.1 pep chromosome:AWHD00000000:5:21650023:21655771:1 gene:ONIVA05G21670 transcript:ONIVA05G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDDDEKMKGCRPKLFGTKDKKVVKRADYQSCSAAHLVESGELLKSVGQSANYIHKEVFAEVRSIRLSHLLGHSSSTTKTEPFRIFVSTWNVGGNTPTAELNLDDFLPADDNSDIYVLGFQEIVPLNAGNVLVVEDNEPAARWLALINRTLNKPVDSNADIFQHKPSSSLDSTSSLSSSNLDASFSSRTRTASGSSAIFQKSSLKSIRKPYMPTQRKLLKLCNCSVEMTRKSYKDACFGCPQAYANETDSSEDDTDDRSNDPCGYIVDGMNSAASASRDQLKYNLVSCKRMVGIFITVWAKKELVHHIGHVRTSCIGRGIMGYLGNKGCISVSMTVHQTSFCFICSHLASGEKEGDELRRNLDVLEILRLTQFQRICRAGRRIPEKILDHDRVIWLGDLNYRISLSYEDTKKLLTENNWDALFEKDQLNIERKSGRVFKGWSEEKIYFAPTYKYSSNSDSYAGETATSKKKRRTPAWLQLYWCDRILWHGDGIVQLSYFRGESKFSDHRPVCGTFIVDVEIQESRSKRRSSNTNIRIGAEELLPTSKSKANKNKGNKGSGT >ONIVA05G21660.1 pep chromosome:AWHD00000000:5:21644773:21648259:1 gene:ONIVA05G21660 transcript:ONIVA05G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HG51] MAEKPIHLLPPLHLAAAAAAAAAASAEGGSREGKDLWHEVGQSTETAVAVAVAVVAVAAGAAFLLLLLRSSKKPKGCLDPENFKEFKLVEKRQISHNVAKFRFALPTPASVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGRFELVIKMYPQGRMSHHFREMKVGDYLSVRGPKGRFKYQPGQVRAFGMLAGGSGITPMFQVTRAILENPSDNTKVHLIYANVTYDDILLKEELDSMVETYPDRFKIYYVLNQPPEIWNGGVGFVSMEMIQTHCPAPAADIQILRCGPPPMNKAMAEHLENLGYTKEMQFQF >ONIVA05G21650.1 pep chromosome:AWHD00000000:5:21641255:21642226:-1 gene:ONIVA05G21650 transcript:ONIVA05G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAITRYWCHECEQAIEEAMVDEIKCPSCGGGFIEEMTDEEIERLTNRQPEPGFSQWNPIEHPGETMDSDDEDNDLGREFEGFIRRHRRASTLRRVLDSIHDDLANDQERDSSILINAFNQALALQGSVLDPDEGQGDQGGSTNDDGLLEEYVLGAGLSLLLQHLAESDPSRNGTPPAKKEAVEALPTVKIEEVVSCSVCLDDLEVGSQAKQMPCEHKFHSSCILPWLELHSSCPVCRFELPSEETKDLNEPSNIGRVEDSHEEVRADGPGNVSESSNRPWAIVPWLNELFSTREAQNAGGVSTDQQSPHTSGTNPNAGHS >ONIVA05G21640.1 pep chromosome:AWHD00000000:5:21637446:21638462:1 gene:ONIVA05G21640 transcript:ONIVA05G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSIELKNGLKPSRIPVPDDAGGAKSRAESFAADLTDGANPQRGIRWKAIGRTRSAPRLNSFIVNARIY >ONIVA05G21640.2 pep chromosome:AWHD00000000:5:21637446:21639065:1 gene:ONIVA05G21640 transcript:ONIVA05G21640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTEEADKLSGWANRKSCANAMASSARRGRGWRVGDALVRGRASVFCFARSSVLQLPSSWLYLTMSSGHLNPQEPIDTCT >ONIVA05G21630.1 pep chromosome:AWHD00000000:5:21632410:21634498:-1 gene:ONIVA05G21630 transcript:ONIVA05G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQHQGRSDLFTTRTSFPMERHLFLHGGNTQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQGQANVGTTKNGVADRIPGTSALAMASASAIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPASLEDAKIKISELVSQVSNECLSNAVTEIRESSSIHRLEPRQIQFVESSANNCLTAAEGFKEHRLQNHGVLKAYDDSTLFCRKQSQDQESQYSLNRSLSERRMGHLYSGKQYHKSEGSDSDTEVLHEYITPQKNGGGSTTSSTSGSKEINVEKLYLDEPSCKRQTVDYQRESKLLDFDQQSSGKNLDLNTHNIDDNDQGYRHFDLNGFSWS >ONIVA05G21620.1 pep chromosome:AWHD00000000:5:21630684:21631226:1 gene:ONIVA05G21620 transcript:ONIVA05G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDAVALLAVVSALAFLVTPYVRMVAAEVGGLVSDLDAAGVASSYYAPFAAAGAGAAIAAVAGVVAWDAVGHRARRCGKPRCRGLRKAVEFDIQLETEECVRGQQQRLLPLPGGRAALLAAAGARPVQLGDAHRELEAELRKMAPPNGRTVLIFRSPCGCPKGRMEVWGAKKVRRIKK >ONIVA05G21610.1 pep chromosome:AWHD00000000:5:21616087:21620206:-1 gene:ONIVA05G21610 transcript:ONIVA05G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGCADSSLTIFIRTRQIICSGGLNTPENCAIIQGRANRNCAIIQSRANRRDDILDEMEVAALASRINWTEYQLASIEAAVIGNIIRDGKVIEIAPNSKGGPLQDVLRSLLEKNELMINKLCDEDAPLESTASRPLSGFFFNTLCKEPGYLAFDTIRCGKLLYPESRFAALPEPVSVLASTRGLVCVCGKTTGLYYVTNTTTFKWVQLPRHSCDHGEPAVVITFEEPLTSCFDGAVEHYHVVAAFHLKGSVWTSESYSSRTGRWTIAKDAPPAVEVKAESGVGTLGCAFWRTSLGSILCYDPGKDLLKVIPAPRVVNQDTVWELGEMEGDLTVTCFKDVDGFLTLGVLKINKRLFDDKVAALWTVVGSFSGEKVGKRRRRGRCLGTLGGARRRHGP >ONIVA05G21600.1 pep chromosome:AWHD00000000:5:21612263:21614802:1 gene:ONIVA05G21600 transcript:ONIVA05G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVTAVARQAAATSRAARLAAVARHDAAAASRSAGLAAAAASRAARLKPRPPLDDAARLSFRYSSEPPDDGKCVTKEDLESDEAVWALFERYCKSYNRKYDHAQMVRRFRIFKFNAKRTYCWNQYLHKDVKELARAKKDRDLGLPVDSWYLQKELGEYDDGGEPLTENWRKF >ONIVA05G21590.1 pep chromosome:AWHD00000000:5:21601934:21610143:-1 gene:ONIVA05G21590 transcript:ONIVA05G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADGPVPPPPSYPPTLAAEPASVLPSPFFSRILAACSSSRPHLSTTPPSPCVSSNSCRSASLAPGSSFSFRVYVNHPLLPFPFLSFPVAGATSPSGSGGIPFAIYPSLLPTAHPFPLSSNTRSQAGMTGAAAIRGTTEEKIVVFEDTSGVDNKYTIVQFTGEALLSPSPPLYSSTFDPLLSAASPLSPPTCADVTAASLLFDPPSYADVAASSSRCSASSARGRASHALARRSVLCPLIPSSSLYVKRSSVSATSSGEDAASPLKLGGGMAKGLLERWRCRSSPDRATSSVAVRGDGGGENSHPSPCPSTQPPFDSPAASDGGRSPCSKGRSAEDHAMAATVGRRDLRVAEDQASGTSLNNEARPPRRSAGELHLSQVAAVPGAVRWPPLRWRPKPRSAGARRPSLAKDNPRRSTSSTTPTPSHRALPRHCRLLHSGDRRSS >ONIVA05G21590.2 pep chromosome:AWHD00000000:5:21605955:21610143:-1 gene:ONIVA05G21590 transcript:ONIVA05G21590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADGPVPPPPSYPPTLAAEPASVLPSPFFSRILAACSSSRPHLSTTPPSPCVSSNSCRSASLAPGSSFSFRVYVNHPLLPFPFLSFPVAGATSPSGSGGIPFAIYPSLLPTAHPFPLSSNTRSQAGMTGAAAIRGTTEEKIVVFEDTSGVDNKYTILRVAEDQASGTSLNNEARPPRVRLSRHALVPACQDKGSSSAEVS >ONIVA05G21590.3 pep chromosome:AWHD00000000:5:21601934:21610143:-1 gene:ONIVA05G21590 transcript:ONIVA05G21590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADGPVPPPPSYPPTLAAEPASVLPSPFFSRILAACSSSRPHLSTTPPSPCVSSNSCRSASLAPGSSFSFRVYVNHPLLPFPFLSFPVAGATSPSGSGGIPFAIYPSLLPTAHPFPLSSNTRSQAGMTGAAAIRGTTEEKIVVFEDTSGVDNKYTILRVAEDQASGTSLNNEARPPRRSAGELHLSQVAAVPGAVRWPPLRWRPKPRSAGARRPSLAKDNPRRSTSSTTPTPSHRALPRHCRLLHSGDRRSS >ONIVA05G21590.4 pep chromosome:AWHD00000000:5:21601934:21610143:-1 gene:ONIVA05G21590 transcript:ONIVA05G21590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADGPVPPPPSYPPTLAAEPASVLPSPFFSRILAACSSSRPHLSTTPPSPCVSSNSCRSASLAPGSSFSFRVYVNHPLLPFPFLSFPVAGATSPSGSGGIPFAIYPSLLPTAHPFPLSSNTRSQAGMTGAAAIRGTTEEKIVVFEDTSGVDNKYTIVQFTGERSAGELHLSQVAAVPGAVRWPPLRWRPKPRSAGARRPSLAKDNPRRSTSSTTPTPSHRALPRHCRLLHSGDRRSS >ONIVA05G21580.1 pep chromosome:AWHD00000000:5:21594521:21595912:1 gene:ONIVA05G21580 transcript:ONIVA05G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAMERRPRDLVAVLALSCLLLLLPLLVSSVPMSRSLHLSSQQQQHPPSLNLSPDEMAAAAAARGLGRRPAARMDVEVNDYPGSGPNNRHDPPKGPGRA >ONIVA05G21570.1 pep chromosome:AWHD00000000:5:21587463:21588436:1 gene:ONIVA05G21570 transcript:ONIVA05G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVMEMRSRDLAAVLAMSSLLLLPLLVSSVPMSGSLHLSSQQQHLSSPNISADDMVAATTDVEVNDYPAPGANPRHNPKRPPGREMSVQGMVAATTDVEVNDYPAPGANPRHNPKRPPGRE >ONIVA05G21560.1 pep chromosome:AWHD00000000:5:21584990:21585653:1 gene:ONIVA05G21560 transcript:ONIVA05G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRPRDLAAVLAMSCLLLLPLLVSSVPVSGIVHLSSQQQHPDMVAAAMEVEVNDYPALRANPRHNPKRPPGREMSVQDMVAATTNAEVNDYPTLGANHRHNLKRPPRRE >ONIVA05G21550.1 pep chromosome:AWHD00000000:5:21573670:21575889:-1 gene:ONIVA05G21550 transcript:ONIVA05G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGRSMALSLLLFAVTLSLLEMYRGRFASSELMTIAGGFVSSLLFLFLLTFIGNYQEANGVKTGWGAVVVAELTALIVAGTVHRVCITTCFLFSAGFLYEVDKLSGMILAKSESKARRH >ONIVA05G21540.1 pep chromosome:AWHD00000000:5:21567291:21570992:1 gene:ONIVA05G21540 transcript:ONIVA05G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKIINTSNKNQAILLRARRSCLTLESSMEETEVIAGGEARALTVLGVGLAQHRRHARRHHHQRKRHQHPRLHPAPRRRRRGKPPGELAHACALLDRRSWRRRWRKKRRRVRARCGEATTPRCIWWARGPGSDGATNRGRVEVFPSLAAPPGRGQPTRGWMIRV >ONIVA05G21540.2 pep chromosome:AWHD00000000:5:21567342:21570992:1 gene:ONIVA05G21540 transcript:ONIVA05G21540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKIINTSNKNQAILLRARRSCLTLESSMEETEVIAGGEARALTVLGVGLAQHRRHARRHHHQRKRHQHPRLHPAPRRRRRGKPPGELAHACALLDRRSWRRRWRKKRRRVRARCGEATTPRCIWWARGPGSDGATNRGRVEVFPSLAAPPGRGQPTRGWMIRV >ONIVA05G21530.1 pep chromosome:AWHD00000000:5:21541387:21570497:-1 gene:ONIVA05G21530 transcript:ONIVA05G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLMALALVVVAAGVPAVLCQTNAQDAAALEGLKSQWTNYPLSWNSGDPCGGGWDGIMCTNGRVTTLRLSSVSLQGTLSGSIGQLGQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLPFQQEPVNWNAYWTFQLQYDSHTHAQSQLRLGLRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVSIVSGSLSGQVPKGLFTLPTLQQVVLSNNQFNGTLEITGNISSSLQTVNLMDNRIVSTDTASYKKTLLLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCSCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPANFGPYFFIADPYAPLAVALGGKKSKMSTGAIAGIAVAGGVLVIALIFMSLFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGSGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDNNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDDAGAGEGDSSADPSANEFDRYRGGGGGGGPPAHPYSDVEISRGSYAGDGASDYMPYFEVKPKMDQRPMFLLLLLLLASALAVFCDTNAQDAAALQSLTHQWTNYLSSWTSGDPCDSWANVTCSGGRVTSLKLSGVNLQGILSSSIGQLSQLVILILAGCSFTGEIPKEIGNLLQLWFLRLNMNGFEGAIPTNTSKLVKLNELNLANNKLTGSMPDLSSMTNLNVVLMANVSLCGQVPKGLFTLPQLQQVVLSNNRFNGTLEMAGSISNQLEIVNLQNNQIVSRNITGYNNTLVLVGNPLCADQDFSGQPFCSIKQGNTAYTTSMTQCSGSAASDQCPGDQSLDPGYCSCAYPYKGTLFFRAPYFPDVTTREPFRQLEMTLWMQLKLHPGSVYLSDILIDGNNNLEIQVKLFPSSGVTFDRSEVARIGSVLAMPMAKNLRIIMGAKAAIGSACGLLVIALIFMAIFTLRRKRKAKELIERVDPLDSWEAPQLKGTRFFRVDELKSCTGNFSDSHEIGSGGYGKVYKGMLADGTHVAIKRAQPDSMQGVVEFKNEIELLSRVHHCNLVRLIGYCYELGEQMLVYEYISNGTLRDNLMGKGLPLNLQKRLRIALGSARGLTYLHEHADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLIDDTKKSHVSTQVKGTLGYLDLEYYMTQKLSEKSDVYSFGVVMLELISRRQLIENGEYIVREVRLAINPADDDHYGLRGIVDPAIRDSTRTAGFRRFVQLAMRCMDDSTAARPAMGAVVKEIEAILQNEPARWTARPAHLPPSSRAPAVATTCRITCPSLGSSRPRIDALRGLMQQWRNYPSSWNSGDPCGGGWDGVMCSNGRVTSLDLSSNIGLGGPLPAEIGNLGQLTTLILAGCSFTGAIPKEIGNLSKLWFLALNSNKFTGGIPPSMTNLFYLDLADNQLTGSISISSPTSPGLDLLVQTKHLHFNKNQLTGTLTGLFNSKMTLLHILFDSNQLSGSIPAELGGITTLEVVRLDRNGFGGAIPTNISNLVSLNQLNLASNKLTGSIPDLSSMSKLNVVDLSNNTFDTSVAPVWFTTLTSLTSVSIASGNLLGQVPKGLFTLPQLQQVVLSKNALNGTLEMTGIISKQLRTVNLLNNNIISANTQSYNNTLVAIWPCTKNPTQCYSFSCSPSLANPACRLVGNPLCVDQDYSGKPFCSIRQENLIAYTTSMTQCSSSAAQCPDGQSLDPGNCGCASSYNGKMVFRAPSFVDVTTGEPFQQLEMSLSTQLNLRPGSVYLSDVHWNSDNYLQVQVKLFPSSGMSFNLSELTRIGFDLSNQTYKPPSNFGPYFFIADPYAPLSASRGTSRIDSEGAPQVDRPRRFTIREMKRCTDNFSESKKIGEGAFGKVYQGTLERQVVAIKRADPERVHGNKHLRSEIRLLSGVRHRNLVRIIGYCYEQGFCCTPDEIMLVNEFVSNGTLKQKLTGKLHIPYNILLKLDETETPPLDWEKRLEIALGSAKGLVYLHEHAHGVIIHRDVKPENILLDEDLNAKVADFGLSKLVASTENAPPTELIMGTNAYMEPEYKRTGRLSDKIDVYSFGIVMMELVIKNDVMRSILSDLPNGVPNNVMRLILSDLPADPSDDHEPHTSILDDIVDPAIRDVRPTMVAVERRIEDILNSVVRSSTTEFMTAGGDTPTNEPNREDNGNEPNPSNEIARD >ONIVA05G21530.2 pep chromosome:AWHD00000000:5:21541387:21570497:-1 gene:ONIVA05G21530 transcript:ONIVA05G21530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLMALALVVVAAGVPAVLCQTNAQDAAALEGLKSQWTNYPLSWNSGDPCGGGWDGIMCTNGRVTTLRLSSVSLQGTLSGSIGQLGQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLPFQQEPVNWNAYWTFQLQYDSHTHAQSQLRLGLRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVSIVSGSLSGQVPKGLFTLPTLQQVVLSNNQFNGTLEITGNISSSLQTVNLMDNRIVSTDTASYKKTLLLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCSCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPANFGPYFFIADPYAPLAVALGGKKSKMSTGAIAGIAVAGGVLVIALIFMSLFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGSGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDNNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDDAGAGEGDSSADPSANEFDRYRGGGGGGGPPAHPYSDVEISRGSYAGDGASDYMPYFEVKPKMDQRPMFLLLLLLLASALAVFCDTNAQDAAALQSLTHQWTNYLSSWTSGDPCDSWANVTCSGGRVTSLKLSGVNLQGILSSSIGQLSQLVILILAGCSFTGEIPKEIGNLLQLWFLRLNMNGFEGAIPTNTSKLVKLNELNLANNKLTGSMPDLSSMTNLNVVLMANVSLCGQVPKGLFTLPQLQQVVLSNNRFNGTLEMAGSISNQLEIVNLQNNQIVSRNITGYNNTLVLVGNPLCADQDFSGQPFCSIKQGNTAYTTSMTQCSGSAASDQCPGDQSLDPGYCSCAYPYKGTLFFRAPYFPDVTTREPFRQLEMTLWMQLKLHPGSVYLSDILIDGNNNLEIQVKLFPSSGVTFDRSEVARIGSVLAMPMAKNLRIIMGAKAAIGSACGLLVIALIFMAIFTLRRKRKAKELIERVDPLDSWEAPQLKGTRFFRVDELKSCTGNFSDSHEIGSGGYGKVYKGMLADGTHVAIKRAQPDSMQGVVEFKNEIELLSRVHHCNLVRLIGYCYELGEQMLVYEYISNGTLRDNLMGKGLPLNLQKRLRIALGSARGLTYLHEHADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLIDDTKKSHVSTQVKGTLGYLDLEYYMTQKLSEKSDVYSFGVVMLELISRRQLIENGEYIVREVRLAINPADDDHYGLRGIVDPAIRDSTRTAGFRRFVQLAMRCMDDSTAARPAMGAVVKEIEAILQNEPARWTARPAHLPPSSRAPAVATTCRITCPSLGSSRPRIDALRGLMQQWRNYPSSWNSGDPCGGGWDGVMCSNGRVTSLDLSSNIGLGGPLPAEIGNLGQLTTLILAGCSFTGAIPKEIGNLSKLWFLALNSNKFTGGIPPSMTNLFYLDLADNQLTGSISISSPTSPGLDLLVQTKHLHFNKNQLTGTLTGLFNSKMTLLHILFDSNQLSGSIPAELGGITTLEVVRLDRNGFGGAIPTNISNLVSLNQLNLASNKLTGSIPDLSSMSKLNVVDLSNNTFDTSVAPVWFTTLTSLTSVSIASGNLLGQVPKGLFTLPQLQQVVLSKNALNGTLEMTGIISKQLRTVNLLNNNIISANTQSYNNTLVAIWPCTKNPTQCYSFSCSPSLANPACRLVGNPLCVDQDYSGKPFCSIRQENLIAYTTSMTQCSSSAAQCPDGQSLDPGNCGCASSYNGKMVFRAPSFVDVTTGEPFQQLEMSLSTQLNLRPGSVYLSDVHWNSDNYLQVQVKLFPSSGMSFNLSELTRIGFDLSNQTYKPPSNFGPYFFIADPYAPLSASRGTSRIDSEGAPQVDRPRRFTIREMKRCTDNFSESKKIGEGAFGKVYQGTLERQVVAIKRADPERVHGNKHLRSEIRLLSGVRHRNLVRIIGYCYEQGFCCTPDEIMLVNEFVSNGTLKQKLTETPPLDWEKRLEIALGSAKGLVYLHEHAHGVIIHRDVKPENILLDEDLNAKVADFGLSKLVASTENAPPTELIMGTNAYMEPEYKRTGRLSDKIDVYSFGIVMMELVIKNDVMRSILSDLPNGVPNNVMRLILSDLPADPSDDHEPHTSILDDIVDPAIRDVRPTMVAVERRIEDILNSVVRSSTTEFMTAGGDTPTNEPNREDNGNEPNPSNEIARD >ONIVA05G21530.3 pep chromosome:AWHD00000000:5:21541387:21570497:-1 gene:ONIVA05G21530 transcript:ONIVA05G21530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLMALALVVVAAGVPAVLCQTNAQDAAALEGLKSQWTNYPLSWNSGDPCGGGWDGIMCTNGRVTTLRLSSVSLQGTLSGSIGQLGQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLPFQQEPVNWNAYWTFQLQYDSHTHAQSQLRLGLRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVSIVSGSLSGQVPKGLFTLPTLQQVVLSNNQFNGTLEITGNISSSLQTVNLMDNRIVSTDTASYKKTLLLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCSCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPANFGPYFFIADPYAPLAVALGGKKSKMSTGAIAGIAVAGGVLVIALIFMSLFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGSGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDNNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRYVVREVRLAIDPADHDHHYGLRGIVDPAIRDAARTPVFRRFVQLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDDAGAGEGDSSADPSANEFDRYRGGGGGGGPPAHPYSDVEISRGSYAGDGASDYMPYFEVKPKMDQRPMFLLLLLLLASALAVFCDTNAQDAAALQSLTHQWTNYLSSWTSGDPCDSWANVTCSGGRVTSLKLSGVNLQGILSSSIGQLSQLVILILAGCSFTGEIPKEIGNLLQLWFLRLNMNGFEGAIPTNTSKLVKLNELNLANNKLTGSMPDLSSMTNLNVVLMANVSLCGQVPKGLFTLPQLQQVVLSNNRFNGTLEMAGSISNQLEIVNLQNNQIVSRNITGYNNTLVLVGNPLCADQDFSGQPFCSIKQGNTAYTTSMTQCSGSAASDQCPGDQSLDPGYCSCAYPYKGTLFFRAPYFPDVTTREPFRQLEMTLWMQLKLHPGSVYLSDILIDGNNNLEIQVKLFPSSGVTFDRSEVARIGSVLAMPMAKNLRIIMGAKAAIGSACGLLVIALIFMAIFTLRRKRKAKELIERVDPLDSWEAPQLKGTRFFRVDELKSCTGNFSDSHEIGSGGYGKVYKGMLADGTHVAIKRAQPDSMQGVVEFKNEIELLSRVHHCNLVRLIGYCYELGEQMLVYEYISNGTLRDNLMGKGLPLNLQKRLRIALGSARGLTYLHEHADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLIDDTKKSHVSTQVKGTLGYLDLEYYMTQKLSEKSDVYSFGVVMLELISRRQLIENGEYIVREVRLAINPADDDHYGLRGIVDPAIRDSTRTAGFRRFVQLAMRCMDDSTAARPAMGAVVKEIEAILQNEPARWTARPAHLPPSSRAPAVATTCRITCPSLGSSRPRIDALRGLMQQWRNYPSSWNSGDPCGGGWDGVMCSNGRVTSLDLSSNIGLGGPLPAEIGNLGQLTTLILAGCSFTGAIPKEIGNLSKLWFLALNSNKFTGGIPPSMTNLFYLDLADNQLTGSISISSPTSPGLDLLVQTKHLHFNKNQLTGTLTGLFNSKMTLLHILFDSNQLSGSIPAELGGITTLEVVRLDRNGFGGAIPTNISNLVSLNQLNLASNKLTGSIPDLSSMSKLNVVDLSNNTFDTSVAPVWFTTLTSLTSVSIASGNLLGQVPKGLFTLPQLQQVVLSKNALNGTLEMTGIISKQLRTVNLLNNNIISANTQSYNNTLVAIWPCTKNPTQCYSFSCSPSLANPACRLVGNPLCVDQDYSGKPFCSIRQENLIAYTTSMTQCSSSAAQCPDGQSLDPGNCGCASSYNGKMVFRAPSFVDVTTGEPFQQLEMSLSTQLNLRPGSVYLSDVHWNSDNYLQVQVKLFPSSGMSFNLSELTRIGFDLSNQTYKPPSNFGPYFFIADPYAPLSASRGTSRIDSEGAPQVDRPRRFTIREMKRCTDNFSESKKIGEGAFGKVYQGTLERQVVAIKRADPERVHGNKHLRSEIRLLSGVRHRNLVRIIGYCYEQGFCCTPDEIMLVNEFVSNGTLKQKLTGKLHIPYNILLKLDETETPPLDWEKRLEIALGSAKGLVYLHEHAHGVIIHRDVKPENILLDEDLNAKVADFGLSKLVASTENAPPTELIMGTNAYMEPEYKRTGRLSDKIDVYSFGIVMMELVIKNDVMRSILSDLPNGVPNNVMRLILSDLPADPSDDHEPHTSILDDIVDPAIRDVRPTMVAVERRIEDILNSVVRSSTTEFMTAGGDTPTNEPNREDNGNEPNPSNEIARD >ONIVA05G21530.4 pep chromosome:AWHD00000000:5:21541387:21570497:-1 gene:ONIVA05G21530 transcript:ONIVA05G21530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLMALALVVVAAGVPAVLCQTNAQDAAALEGLKSQWTNYPLSWNSGDPCGGGWDGIMCTNGRVTTLRLSSVSLQGTLSGSIGQLGQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLPFQQEPVNWNAYWTFQLQYDSHTHAQSQLRLGLRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVSIVSGSLSGQVPKGLFTLPTLQQVVLSNNQFNGTLEITGNISSSLQTVNLMDNRIVSTDTASYKKTLLLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCSCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPANFGPYFFIADPYAPLAVALGGKKSKMSTGAIAGIAVAGGVLVIALIFMSLFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGSGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDNNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRYVVREVRLAIDPADHDHHYGLRGIVDPAIRDAARTPVFRRFVQLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDDAGAGEGDSSADPSANEFDRYRGGGGGGGPPAHPYSDVEISRGSYAGDGASDYMPYFEVKPKMDQRPMFLLLLLLLASALAVFCDTNAQDAAALQSLTHQWTNYLSSWTSGDPCDSWANVTCSGGRVTSLKLSGVNLQGILSSSIGQLSQLVILILAGCSFTGEIPKEIGNLLQLWFLRLNMNGFEGAIPTNTSKLVKLNELNLANNKLTGSMPDLSSMTNLNVVLMANVSLCGQVPKGLFTLPQLQQVVLSNNRFNGTLEMAGSISNQLEIVNLQNNQIVSRNITGYNNTLVLVGNPLCADQDFSGQPFCSIKQGNTAYTTSMTQCSGSAASDQCPGDQSLDPGYCSCAYPYKGTLFFRAPYFPDVTTREPFRQLEMTLWMQLKLHPGSVYLSDILIDGNNNLEIQVKLFPSSGVTFDRSEVARIGSVLASKQTYIPPSSFGPYYFLGQTYNLPVPMAKNLRIIMGAKAAIGSACGLLVIALIFMAIFTLRRKRKAKELIERVDPLDSWEAPQLKGTRFFRVDELKSCTGNFSDSHEIGSGGYGKVYKGMLADGTHVAIKRAQPDSMQGVVEFKNEIELLSRVHHCNLVRLIGYCYELGEQMLVYEYISNGTLRDNLMGKGLPLNLQKRLRIALGSARGLTYLHEHADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLIDDTKKSHVSTQVKGTLGYLDLEYYMTQKLSEKSDVYSFGVVMLELISRRQLIENGEYIVREVRLAINPADDDHYGLRGIVDPAIRDSTRTAGFRRFVQLAMRCMDDSTAARPAMGAVVKEIEAILQNEPARWTARPAHLPPSSRAPAVATTCRITCPSLGSSRPRIDALRGLMQQWRNYPSSWNSGDPCGGGWDGVMCSNGRVTSLDLSSNIGLGGPLPAEIGNLGQLTTLILAGCSFTGAIPKEIGNLSKLWFLALNSNKFTGGIPPSMTNLFYLDLADNQLTGSISISSPTSPGLDLLVQTKHLHFNKNQLTGTLTGLFNSKMTLLHILFDSNQLSGSIPAELGGITTLEVVRLDRNGFGGAIPTNISNLVSLNQLNLASNKLTGSIPDLSSMSKLNVVDLSNNTFDTSVAPVWFTTLTSLTSVSIASGNLLGQVPKGLFTLPQLQQVVLSKNALNGTLEMTGIISKQLRTVNLLNNNIISANTQSYNNTLVAIWPCTKNPTQCYSFSCSPSLANPACRLVGNPLCVDQDYSGKPFCSIRQENLIAYTTSMTQCSSSAAQCPDGQSLDPGNCGCASSYNGKMVFRAPSFVDVTTGEPFQQLEMSLSTQLNLRPGSVYLSDVHWNSDNYLQVQVKLFPSSGMSFNLSELTRIGFDLSNQTYKPPSNFGPYFFIADPYAPLSASRGTSRIDSEGAPQVDRPRRFTIREMKRCTDNFSESKKIGEGAFGKVYQGTLERQVVAIKRADPERVHGNKHLRSEIRLLSGVRHRNLVRIIGYCYEQGFCCTPDEIMLVNEFVSNGTLKQKLTGKLHIPYNILLKLDETETPPLDWEKRLEIALGSAKGLVYLHEHAHGVIIHRDVKPENILLDEDLNAKVADFGLSKLVASTENAPPTELIMGTNAYMEPEYKRTGRLSDKIDVYSFGIVMMELVIKNDVMRSILSDLPNGVPNNVMRLILSDLPADPSDDHEPHTSILDDIVDPAIRDVRPTMVAVERRIEDILNSVVRSSTTEFMTAGGDTPTNEPNREDNGNEPNPSNEIARD >ONIVA05G21530.5 pep chromosome:AWHD00000000:5:21541387:21570497:-1 gene:ONIVA05G21530 transcript:ONIVA05G21530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLMALALVVVAAGVPAVLCQTNAQDAAALEGLKSQWTNYPLSWNSGDPCGGGWDGIMCTNGRVTTLRLSSVSLQGTLSGSIGQLGQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLVKTQHFHFNKNQLTGTLTGLFNSNMTLIHIRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVSIVSGSLSGQVPKGLFTLPTLQQVVLSNNQFNGTLEITGNISSSLQTVNLMDNRIVSTDTASYKKTLLLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCSCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPANFGPYFFIADPYAPLAVALGGKKSKMSTGAIAGIAVAGGVLVIALIFMSLFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGSGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDNNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDDAGAGEGDSSADPSANEFDRYRGGGGGGGPPAHPYSDVEISRGSYAGDGASDYMPYFEVKPKMDQRPMFLLLLLLLASALAVFCDTNAQDAAALQSLTHQWTNYLSSWTSGDPCDSWANVTCSGGRVTSLKLSGVNLQGILSSSIGQLSQLVILILAGCSFTGEIPKEIGNLLQLWFLRLNMNGFEGAIPTNTSKLVKLNELNLANNKLTGSMPDLSSMTNLNVVLMANVSLCGQVPKGLFTLPQLQQVVLSNNRFNGTLEMAGSISNQLEIVNLQNNQIVSRNITGYNNTLVLVGNPLCADQDFSGQPFCSIKQGNTAYTTSMTQCSGSAASDQCPGDQSLDPGYCSCAYPYKGTLFFRAPYFPDVTTREPFRQLEMTLWMQLKLHPGSVYLSDILIDGNNNLEIQVKLFPSSGVTFDRSEVARIGSVLAMPMAKNLRIIMGAKAAIGSACGLLVIALIFMAIFTLRRKRKAKELIERVDPLDSWEAPQLKGTRFFRVDELKSCTGNFSDSHEIGSGGYGKVYKGMLADGTHVAIKRAQPDSMQGVVEFKNEIELLSRVHHCNLVRLIGYCYELGEQMLVYEYISNGTLRDNLMGKGLPLNLQKRLRIALGSARGLTYLHEHADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLIDDTKKSHVSTQVKGTLGYLDLEYYMTQKLSEKSDVYSFGVVMLELISRRQLIENGEYIVREVRLAINPADDDHYGLRGIVDPAIRDSTRTAGFRRFVQLAMRCMDDSTAARPAMGAVVKEIEAILQNEPARWTARPAHLPPSSRAPAVATTCRITCPSLGSSRPRIDALRGLMQQWRNYPSSWNSGDPCGGGWDGVMCSNGRVTSLDLSSNIGLGGPLPAEIGNLGQLTTLILAGCSFTGAIPKEIGNLSKLWFLALNSNKFTGGIPPSMTNLFYLDLADNQLTGSISISSPTSPGLDLLVQTKHLHFNKNQLTGTLTGLFNSKMTLLHILFDSNQLSGSIPAELGGITTLEVVRLDRNGFGGAIPTNISNLVSLNQLNLASNKLTGSIPDLSSMSKLNVVDLSNNTFDTSVAPVWFTTLTSLTSVSIASGNLLGQVPKGLFTLPQLQQVVLSKNALNGTLEMTGIISKQLRTVNLLNNNIISANTQSYNNTLVAIWPCTKNPTQCYSFSCSPSLANPACRLVGNPLCVDQDYSGKPFCSIRQENLIAYTTSMTQCSSSAAQCPDGQSLDPGNCGCASSYNGKMVFRAPSFVDVTTGEPFQQLEMSLSTQLNLRPGSVYLSDVHWNSDNYLQVQVKLFPSSGMSFNLSELTRIGFDLSNQTYKPPSNFGPYFFIADPYAPLSASRGTSRIDSEGAPQVDRPRRFTIREMKRCTDNFSESKKIGEGAFGKVYQGTLERQVVAIKRADPERVHGNKHLRSEIRLLSGVRHRNLVRIIGYCYEQGFCCTPDEIMLVNEFVSNGTLKQKLTGKLHIPYNILLKLDETETPPLDWEKRLEIALGSAKGLVYLHEHAHGVIIHRDVKPENILLDEDLNAKVADFGLSKLVASTENAPPTELIMGTNAYMEPEYKRTGRLSDKIDVYSFGIVMMELVIKNDVMRSILSDLPNGVPNNVMRLILSDLPADPSDDHEPHTSILDDIVDPAIRDVRPTMVAVERRIEDILNSVVRSSTTEFMTAGGDTPTNEPNREDNGNEPNPSNEIARD >ONIVA05G21530.6 pep chromosome:AWHD00000000:5:21541387:21570497:-1 gene:ONIVA05G21530 transcript:ONIVA05G21530.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLMALALVVVAAGVPAVLCQTNAQDAAALEGLKSQWTNYPLSWNSGDPCGGGWDGIMCTNGRVTTLRLSSVSLQGTLSGSIGQLGQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLVKTQHFHFNKNQLTGTLTGLFNSNMTLIHIRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVSIVSGSLSGQVPKGLFTLPTLQQVVLSNNQFNGTLEITGNISSSLQTVNLMDNRIVSTDTASYKKTLLLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCSCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPANFGPYFFIADPYAPLAVALGGKKSKMSTGAIAGIAVAGGVLVIALIFMSLFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGSGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDNNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRYVVREVRLAIDPADHDHHYGLRGIVDPAIRDAARTPVFRRFVQLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDDAGAGEGDSSADPSANEFDRYRGGGGGGGPPAHPYSDVEISRGSYAGDGASDYMPYFEVKPKMDQRPMFLLLLLLLASALAVFCDTNAQDAAALQSLTHQWTNYLSSWTSGDPCDSWANVTCSGGRVTSLKLSGVNLQGILSSSIGQLSQLVILILAGCSFTGEIPKEIGNLLQLWFLRLNMNGFEGAIPTNTSKLVKLNELNLANNKLTGSMPDLSSMTNLNVVLMANVSLCGQVPKGLFTLPQLQQVVLSNNRFNGTLEMAGSISNQLEIVNLQNNQIVSRNITGYNNTLVLVGNPLCADQDFSGQPFCSIKQGNTAYTTSMTQCSGSAASDQCPGDQSLDPGYCSCAYPYKGTLFFRAPYFPDVTTREPFRQLEMTLWMQLKLHPGSVYLSDILIDGNNNLEIQVKLFPSSGVTFDRSEVARIGSVLAMPMAKNLRIIMGAKAAIGSACGLLVIALIFMAIFTLRRKRKAKELIERVDPLDSWEAPQLKGTRFFRVDELKSCTGNFSDSHEIGSGGYGKVYKGMLADGTHVAIKRAQPDSMQGVVEFKNEIELLSRVHHCNLVRLIGYCYELGEQMLVYEYISNGTLRDNLMGKGLPLNLQKRLRIALGSARGLTYLHEHADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLIDDTKKSHVSTQVKGTLGYLDLEYYMTQKLSEKSDVYSFGVVMLELISRRQLIENGEYIVREVRLAINPADDDHYGLRGIVDPAIRDSTRTAGFRRFVQLAMRCMDDSTAARPAMGAVVKEIEAILQNEPARWTARPAHLPPSSRAPAVATTCRITCPSLGSSRPRIDALRGLMQQWRNYPSSWNSGDPCGGGWDGVMCSNGRVTSLDLSSNIGLGGPLPAEIGNLGQLTTLILAGCSFTGAIPKEIGNLSKLWFLALNSNKFTGGIPPSMTNLFYLDLADNQLTGSISISSPTSPGLDLLVQTKHLHFNKNQLTGTLTGLFNSKMTLLHILFDSNQLSGSIPAELGGITTLEVVRLDRNGFGGAIPTNISNLVSLNQLNLASNKLTGSIPDLSSMSKLNVVDLSNNTFDTSVAPVWFTTLTSLTSVSIASGNLLGQVPKGLFTLPQLQQVVLSKNALNGTLEMTGIISKQLRTVNLLNNNIISANTQSYNNTLVAIWPCTKNPTQCYSFSCSPSLANPACRLVGNPLCVDQDYSGKPFCSIRQENLIAYTTSMTQCSSSAAQCPDGQSLDPGNCGCASSYNGKMVFRAPSFVDVTTGEPFQQLEMSLSTQLNLRPGSVYLSDVHWNSDNYLQVQVKLFPSSGMSFNLSELTRIGFDLSNQTYKPPSNFGPYFFIADPYAPLSASRGTSRIDSEGAPQVDRPRRFTIREMKRCTDNFSESKKIGEGAFGKVYQGTLERQVVAIKRADPERVHGNKHLRSEIRLLSGVRHRNLVRIIGYCYEQGFCCTPDEIMLVNEFVSNGTLKQKLTETPPLDWEKRLEIALGSAKGLVYLHEHAHGVIIHRDVKPENILLDEDLNAKVADFGLSKLVASTENAPPTELIMGTNAYMEPEYKRTGRLSDKIDVYSFGIVMMELVIKNDVMRSILSDLPNGVPNNVMRLILSDLPADPSDDHEPHTSILDDIVDPAIRDVRPTMVAVERRIEDILNSVVRSSTTEFMTAGGDTPTNEPNREDNGNEPNPSNEIARD >ONIVA05G21530.7 pep chromosome:AWHD00000000:5:21541387:21570497:-1 gene:ONIVA05G21530 transcript:ONIVA05G21530.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLMALALVVVAAGVPAVLCQTNAQDAAALEGLKSQWTNYPLSWNSGDPCGGGWDGIMCTNGRVTTLRLSSVSLQGTLSGSIGQLGQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLVKTQHFHFNKNQLTGTLTGLFNSNMTLIHIRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVSIVSGSLSGQVPKGLFTLPTLQQVVLSNNQFNGTLEITGNISSSLQTVNLMDNRIVSTDTASYKKTLLLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCSCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPANFGPYFFIADPYAPLAVALGGKKSKMSTGAIAGIAVAGGVLVIALIFMSLFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGSGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDNNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRYVVREVRLAIDPADHDHHYGLRGIVDPAIRDAARTPVFRRFVQLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDDAGAGEGDSSADPSANEFDRYRGGGGGGGPPAHPYSDVEISRGSYAGDGASDYMPYFEVKPKMDQRPMFLLLLLLLASALAVFCDTNAQDAAALQSLTHQWTNYLSSWTSGDPCDSWANVTCSGGRVTSLKLSGVNLQGILSSSIGQLSQLVILILAGCSFTGEIPKEIGNLLQLWFLRLNMNGFEGAIPTNTSKLVKLNELNLANNKLTGSMPDLSSMTNLNVVLMANVSLCGQVPKGLFTLPQLQQVVLSNNRFNGTLEMAGSISNQLEIVNLQNNQIVSRNITGYNNTLVLVGNPLCADQDFSGQPFCSIKQGNTAYTTSMTQCSGSAASDQCPGDQSLDPGYCSCAYPYKGTLFFRAPYFPDVTTREPFRQLEMTLWMQLKLHPGSVYLSDILIDGNNNLEIQVKLFPSSGVTFDRSEVARIGSVLASKQTYIPPSSFGPYYFLGQTYNLPVPMAKNLRIIMGAKAAIGSACGLLVIALIFMAIFTLRRKRKAKELIERVDPLDSWEAPQLKGTRFFRVDELKSCTGNFSDSHEIGSGGYGKVYKGMLADGTHVAIKRAQPDSMQGVVEFKNEIELLSRVHHCNLVRLIGYCYELGEQMLVYEYISNGTLRDNLMGKGLPLNLQKRLRIALGSARGLTYLHEHADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLIDDTKKSHVSTQVKGTLGYLDLEYYMTQKLSEKSDVYSFGVVMLELISRRQLIENGEYIVREVRLAINPADDDHYGLRGIVDPAIRDSTRTAGFRRFVQLAMRCMDDSTAARPAMGAVVKEIEAILQNEPARWTARPAHLPPSSRAPAVATTCRITCPSLGSSRPRIDALRGLMQQWRNYPSSWNSGDPCGGGWDGVMCSNGRVTSLDLSSNIGLGGPLPAEIGNLGQLTTLILAGCSFTGAIPKEIGNLSKLWFLALNSNKFTGGIPPSMTNLFYLDLADNQLTGSISISSPTSPGLDLLVQTKHLHFNKNQLTGTLTGLFNSKMTLLHILFDSNQLSGSIPAELGGITTLEVVRLDRNGFGGAIPTNISNLVSLNQLNLASNKLTGSIPDLSSMSKLNVVDLSNNTFDTSVAPVWFTTLTSLTSVSIASGNLLGQVPKGLFTLPQLQQVVLSKNALNGTLEMTGIISKQLRTVNLLNNNIISANTQSYNNTLVAIWPCTKNPTQCYSFSCSPSLANPACRLVGNPLCVDQDYSGKPFCSIRQENLIAYTTSMTQCSSSAAQCPDGQSLDPGNCGCASSYNGKMVFRAPSFVDVTTGEPFQQLEMSLSTQLNLRPGSVYLSDVHWNSDNYLQVQVKLFPSSGMSFNLSELTRIGFDLSNQTYKPPSNFGPYFFIADPYAPLSASRGTSRIDSEGAPQVDRPRRFTIREMKRCTDNFSESKKIGEGAFGKVYQGTLERQVVAIKRADPERVHGNKHLRSEIRLLSGVRHRNLVRIIGYCYEQGFCCTPDEIMLVNEFVSNGTLKQKLTGKLHIPYNILLKLDETETPPLDWEKRLEIALGSAKGLVYLHEHAHGVIIHRDVKPENILLDEDLNAKVADFGLSKLVASTENAPPTELIMGTNAYMEPEYKRTGRLSDKIDVYSFGIVMMELVIKNDVMRSILSDLPNGVPNNVMRLILSDLPADPSDDHEPHTSILDDIVDPAIRDVRPTMVAVERRIEDILNSVVRSSTTEFMTAGGDTPTNEPNREDNGNEPNPSNEIARD >ONIVA05G21530.8 pep chromosome:AWHD00000000:5:21541387:21570497:-1 gene:ONIVA05G21530 transcript:ONIVA05G21530.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLMALALVVVAAGVPAVLCQTNAQDAAALEGLKSQWTNYPLSWNSGDPCGGGWDGIMCTNGRVTTLRLSSVSLQGTLSGSIGQLGQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLVKTQHFHFNKNQLTGTLTGLFNSNMTLIHIRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVSIVSGSLSGQVPKGLFTLPTLQQVVLSNNQFNGTLEITGNISSSLQTVNLMDNRIVSTDTASYKKTLLLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCSCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPANFGPYFFIADPYAPLAVALGGKKSKMSTGAIAGIAVAGGVLVIALIFMSLFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGSGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDNNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRYVVREVRLAIDPADHDHHYGLRGIVDPAIRDAARTPVFRRFVQLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDDAGAGEGDSSADPSANEFDRYRGGGGGGGPPAHPYSDVEISRGSYAGDGASDYMPYFEVKPKMDQRPMFLLLLLLLASALAVFCDTNAQDAAALQSLTHQWTNYLSSWTSGDPCDSWANVTCSGGRVTSLKLSGVNLQGILSSSIGQLSQLVILILAGCSFTGEIPKEIGNLLQLWFLRLNMNGFEGAIPTNTSKLVKLNELNLANNKLTGSMPDLSSMTNLNVVLMANVSLCGQVPKGLFTLPQLQQVVLSNNRFNGTLEMAGSISNQLEIVNLQNNQIVSRNITGYNNTLVLVGNPLCADQDFSGQPFCSIKQGNTAYTTSMTQCSGSAASDQCPGDQSLDPGYCSCAYPYKGTLFFRAPYFPDVTTREPFRQLEMTLWMQLKLHPGSVYLSDILIDGNNNLEIQVKLFPSSGVTFDRSEVARIGSVLAMPMAKNLRIIMGAKAAIGSACGLLVIALIFMAIFTLRRKRKAKELIERVDPLDSWEAPQLKGTRFFRVDELKSCTGNFSDSHEIGSGGYGKVYKGMLADGTHVAIKRAQPDSMQGVVEFKNEIELLSRVHHCNLVRLIGYCYELGEQMLVYEYISNGTLRDNLMGKGLPLNLQKRLRIALGSARGLTYLHEHADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLIDDTKKSHVSTQVKGTLGYLDLEYYMTQKLSEKSDVYSFGVVMLELISRRQLIENGEYIVREVRLAINPADDDHYGLRGIVDPAIRDSTRTAGFRRFVQLAMRCMDDSTAARPAMGAVVKEIEAILQNEPARWTARPAHLPPSSRAPAVATTCRITCPSLGSSRPRIDALRGLMQQWRNYPSSWNSGDPCGGGWDGVMCSNGRVTSLDLSSNIGLGGPLPAEIGNLGQLTTLILAGCSFTGAIPKEIGNLSKLWFLALNSNKFTGGIPPSMTNLFYLDLADNQLTGSISISSPTSPGLDLLVQTKHLHFNKNQLTGTLTGLFNSKMTLLHILFDSNQLSGSIPAELGGITTLEVVRLDRNGFGGAIPTNISNLVSLNQLNLASNKLTGSIPDLSSMSKLNVVDLSNNTFDTSVAPVWFTTLTSLTSVSIASGNLLGQVPKGLFTLPQLQQVVLSKNALNGTLEMTGIISKQLRTVNLLNNNIISANTQSYNNTLVAIWPCTKNPTQCYSFSCSPSLANPACRLVGNPLCVDQDYSGKPFCSIRQENLIAYTTSMTQCSSSAAQCPDGQSLDPGNCGCASSYNGKMVFRAPSFVDVTTGEPFQQLEMSLSTQLNLRPGSVYLSDVHWNSDNYLQVQVKLFPSSGMSFNLSELTRIGFDLSNQTYKPPSNFGPYFFIADPYAPLSASRGTSRIDSEGAPQVDRPRRFTIREMKRCTDNFSESKKIGEGAFGKVYQGTLERQVVAIKRADPERVHGNKHLRSEIRLLSGVRHRNLVRIIGYCYEQGFCCTPDEIMLVNEFVSNGTLKQKLTGKLHIPYNILLKLDETETPPLDWEKRLEIALGSAKGLVYLHEHAHGVIIHRDVKPENILLDEDLNAKVADFGLSKLVASTENAPPTELIMGTNAYMEPEYKRTGRLSDKIDVYSFGIVMMELVIKNDVMRSILSDLPNGVPNNVMRLILSDLPADPSDDHEPHTSILDDIVDPAIRDVRPTMVAVERRIEDILNSVVRSSTTEFMTAGGDTPTNEPNREDNGNEPNPSNEIARD >ONIVA05G21530.9 pep chromosome:AWHD00000000:5:21541387:21570497:-1 gene:ONIVA05G21530 transcript:ONIVA05G21530.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLMALALVVVAAGVPAVLCQTNAQDAAALEGLKSQWTNYPLSWNSGDPCGGGWDGIMCTNGRVTTLRLSSVSLQGTLSGSIGQLGQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLVKTQHFHFNKNQLTGTLTGLFNSNMTLIHIRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVSIVSGSLSGQVPKGLFTLPTLQQTVNLMDNRIVSTDTASYKKTLLLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCSCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPANFGPYFFIADPYAPLAASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGSGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDNNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRYVVREVRLAIDPADHDHHYGLRGIVDPAIRDAARTPVFRRFVQLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDDAGAGEGDSSADPSANEFDRYRGGGGGGGPPAHPYSDVEISRGSYAGDGASDYMPYFEVKPKMDQRPMFLLLLLLLASALAVFCDTNAQDAAALQSLTHQWTNYLSSWTSGDPCDSWANVTCSGGRVTSLKLSGVNLQGILSSSIGQLSQLVILILAGCSFTGEIPKEIGNLLQLWFLRLNMNGFEGAIPTNTSKLVKLNELNLANNKLTGSMPDLSSMTNLNVVLMANVSLCGQVPKGLFTLPQLQQVVLSNNRFNGTLEMAGSISNQLEIVNLQNNQIVSRNITGYNNTLVLVGNPLCADQDFSGQPFCSIKQGNTAYTTSMTQCSGSAASDQCPGDQSLDPGYCSCAYPYKGTLFFRAPYFPDVTTREPFRQLEMTLWMQLKLHPGSVYLSDILIDGNNNLEIQVKLFPSSGVTFDRSEVARIGSVLAMPMAKNLRIIMGAKAAIGSACGLLVIALIFMAIFTLRRKRKAKELIERVDPLDSWEAPQLKGTRFFRVDELKSCTGNFSDSHEIGSGGYGKVYKGMLADGTHVAIKRAQPDSMQGVVEFKNEIELLSRVHHCNLVRLIGYCYELGEQMLVYEYISNGTLRDNLMGKGLPLNLQKRLRIALGSARGLTYLHEHADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLIDDTKKSHVSTQVKGTLGYLDLEYYMTQKLSEKSDVYSFGVVMLELISRRQLIENGEYIVREVRLAINPADDDHYGLRGIVDPAIRDSTRTAGFRRFVQLAMRCMDDSTAARPAMGAVVKEIEAILQNEPARWTARPAHLPPSSRAPAVATTCRITCPSLGSSRPRIDALRGLMQQWRNYPSSWNSGDPCGGGWDGVMCSNGRVTSLDLSSNIGLGGPLPAEIGNLGQLTTLILAGCSFTGAIPKEIGNLSKLWFLALNSNKFTGGIPPSMTNLFYLDLADNQLTGSISISSPTSPGLDLLVQTKHLHFNKNQLTGTLTGLFNSKMTLLHILFDSNQLSGSIPAELGGITTLEVVRLDRNGFGGAIPTNISNLVSLNQLNLASNKLTGSIPDLSSMSKLNVVDLSNNTFDTSVAPVWFTTLTSLTSVSIASGNLLGQVPKGLFTLPQLQQVVLSKNALNGTLEMTGIISKQLRTVNLLNNNIISANTQSYNNTLVAIWPCTKNPTQCYSFSCSPSLANPACRLVGNPLCVDQDYSGKPFCSIRQENLIAYTTSMTQCSSSAAQCPDGQSLDPGNCGCASSYNGKMVFRAPSFVDVTTGEPFQQLEMSLSTQLNLRPGSVYLSDVHWNSDNYLQVQVKLFPSSGMSFNLSELTRIGFDLSNQTYKPPSNFGPYFFIADPYAPLSASRGTSRIDSEGAPQVDRPRRFTIREMKRCTDNFSESKKIGEGAFGKVYQGTLERQVVAIKRADPERVHGNKHLRSEIRLLSGVRHRNLVRIIGYCYEQGFCCTPDEIMLVNEFVSNGTLKQKLTETPPLDWEKRLEIALGSAKGLVYLHEHAHGVIIHRDVKPENILLDEDLNAKVADFGLSKLVASTENAPPTELIMGTNAYMEPEYKRTGRLSDKIDVYSFGIVMMELVIKNDVMRSILSDLPNGVPNNVMRLILSDLPADPSDDHEPHTSILDDIVDPAIRDVRPTMVAVERRIEDILNSVVRSSTTEFMTAGGDTPTNEPNREDNGNEPNPSNEIARD >ONIVA05G21520.1 pep chromosome:AWHD00000000:5:21538434:21540664:-1 gene:ONIVA05G21520 transcript:ONIVA05G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRMAAAAAGVLLVAVALAGVARGEDPYVFFEWKVTYGTKTLLDAPQKVILINGEFPGPRINCSSNNNIVVNVFNQLDEPLLFTWNGMQHRKNSWQDGLAGTQCPIAPGTNYTYKWQPKDQIGSFFYFPSLGMHRAAGGYGGISVVSRLLIPVPFDPPADDHMVLIGDWYTKDHAAMAKMLDAGKSFGRPHGVVINGKSGKAAADPPMFTVEAGKTYRLRVCNVGIKASLNFRIQGHDMKLVEMEGSHTVQDMYDSLDVHVGHCLSVLVDADQKPGDYYAVASTRFIHEAKSVSAVIRYAGSSTPPSPAVPEPPAGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIRLMVSRGHIDGKLKYGFNGVSHVDAETPLKLAEYFNVTDGVFRYNQMTDVPPAVNGPLHVVPNVITAEFRTFIEIIFENPEKSMDSVHLDGYAFFAVGMGPGKWSAEERKTYNLLDGVSRHSVQVYPRSWTAIMLTFDNAGMWNVRSNIWERHYLGEQLYISVVSPARSLRDEYNMPENALRCGKVVGLPLPPSYLPA >ONIVA05G21510.1 pep chromosome:AWHD00000000:5:21533707:21536128:1 gene:ONIVA05G21510 transcript:ONIVA05G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRAIGYLLTRSRRGFSPRGIPRAQAESSLGILTRAGRPAAHSFHSVRLSSHPVLKSKIFSFVHSQLICLSSRYAIQMGFAVGGGSVVVATASLVGLAATILCFKQNTDKSGAREEDKEEVTKKLPAAQDAGKEPYLSKEAAIEAGFVDKDGKILWSSYLNYVEHGKTLPDDEAFAKEARDYQEAIKKQEVKVDEATMKARFHDLMKEYGRSYSTEEEKARRYEVFKEATLWADKVNALEPRTIPYGPNGYADFTDEEFKRMHCHCSAIDWERYIDELNTMAARGWTYFRDPDATTNARLGARHKTSRSLHTRLAPGDTPQLCELFWIDGHNVPFINVRET >ONIVA05G21500.1 pep chromosome:AWHD00000000:5:21528950:21533111:-1 gene:ONIVA05G21500 transcript:ONIVA05G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HG22] MKSQGGGAGAPAKRRWRSVAAAAAALALLFLSVGVPLAVLLGLHQRFPSMYLADESAVSVFGGSEGGGWEPNTSQENDRLPVNDTNKFPPSIEKSAFAISWILYHMALFCISTAIKALFLSFWHDWSKTNTGNSDAESNGTNNQPSIDKPISNTSIHPGLPIKQIVIFDDISLLSNTDADPKDNFEQGLPGDESIKSCQLEFGSYCVWSVEHKEVMKDSVVKRLKDQLFVARAYYPSIAKLEGMEKLSHEMKQSIQEHEHMLSEAICDADLPAFHGANMAKMEKTIAAAKSCLIECTNFEKKLRQLLDMTEDEAHFHARQGAYLYRLGVQTLPKSLHCLSMRLTVDYFKSFADMEYSNVQKLENPVLRHYVIFSTNLLASSMTVNSTVINSEESANVVFHLVTDAQNFYAFKNWFIRNSYKEATIGVLNFEDFQATHLDNRRVEHLSPYEEFRIASHSNARIPNTQMRTEYISVFGHSLFLLPELFSNLKRVIVLEDDTIVQRDLSHIWNLDLKGKVIGAVQSCRVRLRHLRPYLVDFPYDASSCIWMSGVSVIDLNKWREHDVTAVHNRVLQKLQHGPEASWRAAVLPAGLLAFQNLVHPIEAQWIQSGLGHDYGVNHGAIKKAGILHYNGNMKPWLELGIRRYRKYWRRYLPRDDPFLIDCNVNP >ONIVA05G21490.1 pep chromosome:AWHD00000000:5:21526314:21527798:1 gene:ONIVA05G21490 transcript:ONIVA05G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSLGLMQFHDHHQYLYSSSSSNLPLQQPLLSHHHRFLEANEGCAGEDDSPEFVEPPAAAAAAGTFEQRPELGACKEVYSEEGGAAEERTGVAMAGADVEQVAVEDEEEAHGVRMIALLMECAAAMSVGNLAGANGALLELSQMASPYAASCGERLVAYFARAMAARLVGSWVGVVAPMAPPPSCGAINAAFRALYNVAPFARLAYLACNQAILETFHGKRLVHIVDLDVVPGGALQWLSLLPALAARPGGPPVIRVTGFGMSASVLHDTGNQLAGLARKLCMSFEFYAVAKRPGDADAVADMPGRRPGEAVAVHWLRHAMYDAAGDDGASMRLVRWLEPAAVTLVEQERAHGGGGGHGRFLDRFVSALHHYSAVFDAMGASRPDGEDASRHLAEHGVLGREIANVLAVGGPARSSGREGPGSWREVLARHGFAHAGGGGGGGRAQLVAAACPGGLGYTVAGDHDGTVRLGWKGTPLYAVSAWTWCSPPHARA >ONIVA05G21480.1 pep chromosome:AWHD00000000:5:21520368:21524359:1 gene:ONIVA05G21480 transcript:ONIVA05G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRFGPEEQIVWPASVLAGILMCAAVYDITREVSSRCYKGYNGLNELHKLEWNNRGFSTFHALVAAVVSFYLLVISDLFSKDVHGAIIIDRKSWMSDAMFGVTLGYFLTDLLMILWHFPSLGGKEYLLHHGLSMYAISLALLSGKGHVYILMVLITEATTPFVNLRWYLDLAGRKDSKLYLYNGVALFAGWLVARVILFVYFFAHVYLHFDQVRTVFPLGFYSMMAVPPAMSAMNLLWFRKICKGMVKAMSSANRSQCVKTD >ONIVA05G21470.1 pep chromosome:AWHD00000000:5:21505222:21509876:-1 gene:ONIVA05G21470 transcript:ONIVA05G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMVNLPGRLDELLRRHGNILPKGADKEIPLIRQDIEEITSILHGHHSDATELEDYHDMVVRCWTKEVRELSYDIEDCIDQYEDAVEQYEHAATVGRYPSVSTIRRRKFSRRPVGSKTPLVPEKLKQRLWMANKIREFSLRVQEALQRHAILHSSDLGGIANTSAGHPTLCRKRADGVRHVGLDAAINKVQEWLADGEKKLKVVSIVGVGGVGKTTLANELYRKLGRQFECQAFVRSSQKVDMRRLLISMLSQVRLQQPPDNWKLHSLISSIRTHLQDKRYLIIIDDLWDICTWDIIKCTLPDGNSCSRILITTEIEDLALQSCGYESNYIFKMKPLSEDDSRNLFFSTVFGSHSNCPPELCEVSYDIVRKCGGLPLAVVTIASLLATQLEKHEQWDYINETLGYSLMASPNLEGMKQLLNLCYNSLPQHLKACMLYLRMYQENSIIWKDDLVNQWIAEGFICPSEGHEKEEISRAYFSELVDRKFIQPVHINDNGEVLSCVVHHMVINLITYMSTEENFAIAIDHTQATTRLADKVRRLSIHFGNVEDATPPTNMRLSQVRTLAFCGVLNCMPSITGFQLLKVLILHFCGDDDSISFDLTEISELVRLRYLKVSSNVTLKMPTHMKGLQYLEALKIDGKIDAVPSDIIHLPGLLHLSLPARANLPNGIAHMSSLRTLGYFDLSCNTSENLWSLGELTNLEDLQLTYSAIHSVNLMNNMQYLGSILGKLRNLKSITLSPVGSSFANTLHIHSATSTRVSVYGWSSVSSPPALLQRLELLPCVCIFSSLPNWIGQLGNLCILKIGIREVTSNDVDVLGRLPALTVLSLYVHTKPAERIVFDNVRFSVLKYLKFRCSVTWMKFEAGAMPNLRKLKLRFDVHRADQHDTIPIGIEHLSGLEEISAKITVADDHCRRFAESALTNAIKMHPGCPIVNIRCEDRIRTLEEERRTLQKQHHIVKEGLNKKSAVLQKDPGEGADKSLQKQHHVVKEGLNEKFAVLQKDPKEGAVTVVPTGGYYGGSFGGYFVDRDGSIIIKSGALPVPVKNFSGRWPAPPPQQGSGTTPVFIRAEEVKVIYHTPPGSSPSQNESDEAGERKRSGDKGSGSGSGGGGFFGPAFHAVGGYIDRKFGQDRD >ONIVA05G21460.1 pep chromosome:AWHD00000000:5:21492695:21493800:-1 gene:ONIVA05G21460 transcript:ONIVA05G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMVSLAAIAGKPTAASAQLVAEGRESAARLYALLVGSSALHGPVGLAEQILLCFDRALAKLHGVNLAGAEDDDDAAGNGNGRKRKPGRGRGLTAASAAASSKRMRVSNAGGNGARIERKATMDDKFLWRKYGQKEIKNSKHPRFYYRCSYKDDHGCTATKQVQQSETADDDTASPVYIITYFGEHTCRHGDDAAAMVVDGGEEEDQLSPAQMVISFASSNGGDASVSWPCSGDDAQNNSETSHESSPPEAPAGEEERLRPCTAAGVSDEPIMESTPPAPELLADLKPMDGCLLDGESLFGMDELVYFHELSAALGLLDRDWGAPV >ONIVA05G21450.1 pep chromosome:AWHD00000000:5:21478467:21481042:-1 gene:ONIVA05G21450 transcript:ONIVA05G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPKSERSPSLPPPPPSDQRDAAIQELSKGSELATQLMAQLELIPERELDGRRDDALANVRSLSMSLSSSLYALRSERREHHNCGSSSSSGGAGPAAVTSVSGGGRGGGSGARNKTKRRRGKHGEELTETVFITTTPENDGFHWRKYGEKKILDSEFRKLYYRCGYSDERKCQAKKYVQQENNKHPPEFRVTLTNEHTCNTLFQDQPSSSSTNSQVLDFTKASISSPLMDSHVGATILKKEEEEEVPSIDESSRIMSTIMRNYGAYGDYDESSPQSWNGAGWQ >ONIVA05G21440.1 pep chromosome:AWHD00000000:5:21470592:21471636:-1 gene:ONIVA05G21440 transcript:ONIVA05G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIATGATASPASSMASELMAQGRESAAVLEALLHGASLPPAHGGAHALAAEILRCCDRALAALRAGGDAESSSPGTKRSKPATAQPATRRRRRAAASGGGAAAAAEPARVEMARTSEDGFLWRKYGQKEIKNSKHPRLYYRCSYKDDHGCTATKQVQQSEEDPSIYVITYFGDHTCSCQTAAAMDDDDDDENSQHFVIHFGSATASRSGSPPLLYDDDDGDVWRETAATPPSSRQSRSSPEVDGEESGVKMSKEEPVDSCPVPSAVSSPADVVSCSSPAMEPDLLRCLNWDDDFGDSSFVDADEFMNFDEIDLFQIYS >ONIVA05G21430.1 pep chromosome:AWHD00000000:5:21464105:21468523:1 gene:ONIVA05G21430 transcript:ONIVA05G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFRNSCSVLSALGPGGINGTPQNQTKQPPRTLHTQCISTPPRAPTLESNGRTPRRRLIPSSSSSPMATATAALLLLTLAAILAAAGAVNDDVLALVVFKSGVSDPGGVLAAWSEDADRACAWPGVSCDARAGRVDAVALPSAGLSGRLPRSALLRLDALLSLALPGNNLSGPLPDALPPRARALDLSANSLSGYLPAALASCGSLVSLNLSGNLLSGPVPDGIWSLPSLRSLDLSGNQLAGSVPGGFPRSSSLRVLDLSRNLLEGEIPADVGEAGLLKSLDVGHNLFTGELPESLRGLTGLSSLGAGGNALAGELPGWIGEMAALETLDLSGNRFVGAIPDGISGCKNLVEVDLSGNALTGELPWWVFGLAALQRVSLAGNALSGWIKAPGDNASALQELDLSGNAFSGVIPREIASLSRLQHLNLSSNTMSGKLPVSIGRMALLEVMDVSRNQLSGGVPPEIGGAAALRKLLMGSNSLTGIIPPQIGNCRNLIASDLSHNKLTGPIPATIGNLTGLQMVDFSENKLNGTLPVELSKLANLRVFNVSHNLLSGNLPISHFFDTIPDSFILDNAGLCSSQRDNSCSGVMPKPIVFNPNASSDPLSEASPGAPSSQHHKKIILSISTLIAIVGGALIIVGVVTITVLNRRVRSAASHSAVPTALSDDYDSQSPENEANPGKLVMFGRGSPDFSAGGHALLNKDCELGRGGFGTVYKAVLRDGQPVAIKKLTVSSLVKSEDEFKRQVKLLGKVRHHNVVTLRGFYWTSSLQLLIYDFVPGGNLYQHLHESSAERSVSWMERFDIIIGVARALAHLHRHGIIHYNLKSSNVLLDSNGEPRVGDYGLVKLLPMLDRYVLSSKIQSVLGYMAPEFTCRTVNVTEKCDVYGFGVIVLEILTGRRPVEYLEDDVVVLCDVVRAALDDGRVEDCMDPRLSGEFSMEEAMLIIKLGLVCTSQVPSHRPDMGEVVSMLEMVRSSQGTPEDDLV >ONIVA05G21420.1 pep chromosome:AWHD00000000:5:21455005:21460898:1 gene:ONIVA05G21420 transcript:ONIVA05G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRFAAVVVVLLLALALIPGPAAAAGRALQGEKARPSEAAPAPTVAAGGSHKESAKSSNGQNPVTKETHHQTPPPAKPPKDQTPPPPPAVSESKGQKGDAGNNSGHPVPPTDAHKTSPPPEGPGPTGGKEQEGGAGGEKKNPTEEIKKVLSCEDAAEKCSVPGEITACLQVFKDGSIRPFVVVQNEGQNDVKVDVVIDGKMLPLQLAKGFSRQVNITYSNPNGVEITVKSGKGQCSLHTKETVFDWQQQFQQFAAYATHANPIYGASFLVFTVVLVGVVCACCKFAKRRASGVPYQQLEMGAQAPNSSGVENTTSTVDGWEDGWDDDWDDEEAAAKPSDKKPSGSISANGLSLRPQTNSKDGWDVDWDD >ONIVA05G21410.1 pep chromosome:AWHD00000000:5:21452079:21452522:-1 gene:ONIVA05G21410 transcript:ONIVA05G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAREEDEVGGGGGGVVARYSLDVSDGCGGRHSALLDEYERMAFEAQLNRAIVLRRCYSEPSPARFPVAPPQRGGAEGDGCTAPWRSCRLHVVEAVFLRWLEAVKPVLCWLRSAWEQRRRRMERAAAAPRGPPATVPRVQLMDYFC >ONIVA05G21400.1 pep chromosome:AWHD00000000:5:21450511:21451080:1 gene:ONIVA05G21400 transcript:ONIVA05G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVAAPAPSVFEAARPALESGGGGGGAPPPGRADASFDTNMVIILAALFFALLFAIGLNSLARCALRCGGRGAAAAGGGGGGGGGGAAAAGVGCGGIKKRALRSIPVEVYCGGEETAETDVCAICLGEFADGEKVRVLPRCRHGFHVRCVDAWLVSHGSCPTCRRQVIGGGGSTPPPDSDTIAVVVA >ONIVA05G21390.1 pep chromosome:AWHD00000000:5:21448320:21450133:1 gene:ONIVA05G21390 transcript:ONIVA05G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HG11] MVPAARSGWPAAAAVLVVVLVLSSPPGTSTVVVARAALSCSTVYNTLLPCLPYVQSGGAVPAACCGGIRSVVAAARTTADRRAACTCLKNVAAGAAGGPYISRAAGLPGRCGVSVPFKISPNGKLRWKQHFRPACVWAQGSHGPWAVNE >ONIVA05G21380.1 pep chromosome:AWHD00000000:5:21443035:21444084:-1 gene:ONIVA05G21380 transcript:ONIVA05G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAQRAWWVARFVLRAAAGVRGDGELEEPRGGAGGHVVAAGGVHRRRQGEGVRRPAAVHAARLRVGLVHRRHVGYSWIPQAEDPDGFHVAVSNHRVHGWHGGRDRAAAAQGLPRHDALHHQEVSTFVSAFVVGAVALIAAAFAIPPATSGTPLPSARSVTAAIDGERRRRPARREIAGGEVGPAAAARTRKGRRSASTGREARRSVERGEKCARERGGEREDDDVATLTCGTHMGLTLT >ONIVA05G21370.1 pep chromosome:AWHD00000000:5:21435971:21437321:-1 gene:ONIVA05G21370 transcript:ONIVA05G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGVLFLLFLARQASAAGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGAACGSCYELRCDNAGSSCLPGSITVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLHIAQYRAGIVPVSFRRVPCVKKGGIRFTVNGHSYFNLVLVTNVAGAGDVRSVSIKGSRTGWQPMSRNWGQNWQSNAFLDGQSLSFQVTASDGRTVTSNNVAHPGWQFGQTFEGGQF >ONIVA05G21360.1 pep chromosome:AWHD00000000:5:21427787:21431224:-1 gene:ONIVA05G21360 transcript:ONIVA05G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFQFLEAPSDRSDSSVSSLVPTSPAPARLMARDTTKSREPRVNPQVRLAQAKRFAEGALEHYNRRKKVKFELVDAVPCIGIPEPHCIYTHINFTARSSKKGSQELLFFAELYHCQRRQEVFTARLSKKVGRKLLERDDTKVVRKNTDFTYCYGCPQMISHPKGEMYIAGHCNIPHVYEDHLRVLKSRGVRRELDYKKGNITAKRFKISRFYEFCNVNQINDDGVPCGLDQHNVKGKINNFLSKTMMIASFPIGSLHKLLSFTGFGFEENTPYCYFLDCNGERFGVLLMKIQRILPTM >ONIVA05G21350.1 pep chromosome:AWHD00000000:5:21426267:21427058:1 gene:ONIVA05G21350 transcript:ONIVA05G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDEGIGDVDDAVAGPTEQGVIRDAKEVGVGLLADVAVWGRGRRGGGDEGEVVGGVAVAKRDGVGVAEHPRHHCPLRSTSRTRNITCLPPPLHCVGRALTATLLQTLRDLRELIPSDISLSKCAASKKLERWALSNEAVGLRAFPWNIAFAFR >ONIVA05G21340.1 pep chromosome:AWHD00000000:5:21423437:21425654:-1 gene:ONIVA05G21340 transcript:ONIVA05G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HG06] MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRREDRPTGPRPGGAGGPGAPAAAGPGGPPNVART >ONIVA05G21330.1 pep chromosome:AWHD00000000:5:21417113:21418270:1 gene:ONIVA05G21330 transcript:ONIVA05G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKFRLSDMMPNAWFYKLRDMRARGGRGATAMQPPSSSSLMRGSRAAQQQAGTSRLGTSSSSSSLLPHRASYYYTTRDREVPPPPPPPPRGVDDQFPSLTLSPPLPTRNSRRRHRVGRFGSTEMDGGELVLAPSDDHDGCSHQEPPVADASGSSRCRRDMFIGRDGGRGVEFRRRATTVDGPEEDAAVDVKVITSDADIIIDLGADDYDDDDTPERVLRPVVTRPARRELDWCEPAEVKHVDLAELMTPRASSASASSEKSISTGKPRRSSVSSRRRLKTRTNSPRLAACRKGKPTARATTTTPTQPPLAHSFAVVKTSSDPRRDFLESMEEMIAENGISDAGDLEDLLACYLSLNSGEYHDLIVEVFEQVWTGLAAACGVMP >ONIVA05G21320.1 pep chromosome:AWHD00000000:5:21413153:21413596:-1 gene:ONIVA05G21320 transcript:ONIVA05G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLLLFTGAVAGVAMLVLPWWCAIGEDDDTYIDGVVDDSYDSDSWYTDYSDEDDDDVGGDNKDGLTPDQLRRLPWFAYCSGGGRSCSICLEEMRDGERCRRPGRCRHAFHAACVDEWLTTRRTCPCCRELVLVPPAARLAAPTYR >ONIVA05G21310.1 pep chromosome:AWHD00000000:5:21403261:21405316:1 gene:ONIVA05G21310 transcript:ONIVA05G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HG03] MANARNAAAASPPPPSSSSSYSSSASDGEILRSLHRLARDLAAAEAPAPFLETVFAAVSRRAKLLAAVFDDLLRCGRLPRSASLCLREVLLVLQRFKAVVADCSARSRMRLLLQADEVAARVRELQHDLATLLDLLPVPELGLADDVVDLLALASRQCRRSSPSADAAEHELKTGVLALIQEVEREIVPERERLEGILEEVGINDPACCSDEIETLEREIGDRVAERWTSAMIALVGLLRYAKCVLFTAATPRPMDTKVDVDDDDDDDDAEPPSPPPDFRCPISLDLMRDPVVSASGQTYDRESITRWFGSGKSTCPKTGQVLANLELVPNKALKNLISRWCRENGVAMESSEPSKPEPAPVVTANKAALEAARMTASFLVKKLSVSFSHAAANRVVHEIRQLARSGNDTRAFIGEAGAVPLLVPLLHSDDTATQLNAVTALLNLSILDANKKRIMHAEGAVEAICHAMGSGATWRAKENAAATVLSLASVHSYRRRLGRNPRVVERVVHLVRTGPSSTKKDALAALLCLSGERENVGKLVEAGAAEAALSAISEEETAVAVLASLAKRGGAEAIVNIDGAVVRLVAELRRGTEWSRECAAAALVLLCRRVGAAVVAQVMSVSGVEWAIWELMATGTERARRKAASLGRACRRWAAAEQTAEYPATSDVTTTAITAS >ONIVA05G21300.1 pep chromosome:AWHD00000000:5:21391058:21392580:1 gene:ONIVA05G21300 transcript:ONIVA05G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPFLAIVVRGPNTFTTGYSNLSMPLAARHLKMGRKVSMAKGVPAVWEGEGVNSGTGRAYGDGDGVGADCGNAVADALKLRASVCCHCSVAAGNQNITPFPDLKFELRTRQEAKNTLNTCTPLQESEPKTTGEK >ONIVA05G21290.1 pep chromosome:AWHD00000000:5:21380547:21382720:1 gene:ONIVA05G21290 transcript:ONIVA05G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIAISALNSETGKKSCTSEAPFSGPTTCISSERNQEPPNLHNLNAFDIISLSTGFNRSGLFGESILVKTESYSPGNAEICANRSVLMERYEIGRQLGQGTFGKVYYARNLSFGHSVAIKMIDKEIIMKVSLVEQIKREISIMRLVRHPNILQLFEVMATNSKIYFALEYAKSGKLFHKMARAKLNEESARKKITKAEYRCPRHFSAELKELLYGILDPDPSTRMSILRIKISAWYKKPIAISALNSETRKKSCISEAPFSGPTTCISSERNQEPPNLHNLNAFYIISLYTGFDLSGLFGERYGWREARFSSILPAAAILVKLKEPAKSLNLKGRLKLDAEVSEVAPSFLLVELKKTNGDTLEYHRLMKEDIRPSLKDIIWTWQGDQQ >ONIVA05G21280.1 pep chromosome:AWHD00000000:5:21376950:21380529:1 gene:ONIVA05G21280 transcript:ONIVA05G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVMAEAADRLAGLAGAGRKKTRRRGALYVRALVCGWVRVLAFRLALGDCSCVTTGSAGAAPLSYVGDETVKFNIGEHKSENLLQDENENLKVFDFGLRALAESRRLDGLLHTACGTPAYIAPEVLSRKGNSGSKANEKSRATKPTQLDAFEIISLSIGFDLSGLFGEREARFTSRKLVATVLVKLKELTKALKLKVTKTDNGVLKLPTTKEGRKGRLELDVVLKKTNGDTLEYQRQVKENIRPSLKDIIWSWQGKSIELPNDELTVQCSEGEAQKQSRLPSIKVKWLDKIETLKFSDFGLSALAESRRKIAKAEYRCPRHFSAELKELLYGILDPDPSTRMSRSTSQG >ONIVA05G21270.1 pep chromosome:AWHD00000000:5:21375502:21376828:-1 gene:ONIVA05G21270 transcript:ONIVA05G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACGMGSSRRPRRWSGGSKLAVACLAAVAVTSLQLCCLSGCFIAACGGAGRDDDDVRRYSDHFGRLEGAGAHSGDLLEGGGHYHVGLGRRLLSGGPGSHPPRCTSKCGSCSPCSPVHVSVPPGVLVTTEYYPEAWRCKCRNRLYMP >ONIVA05G21260.1 pep chromosome:AWHD00000000:5:21370165:21374703:-1 gene:ONIVA05G21260 transcript:ONIVA05G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLQTFGALLKYSKVEGPIRKNASAPASACSSPHRRGDPLDADERRLARGGSRSAEFGAEALILAEGEQASHRVMQGSILVKTESDSPGNAEICAKRSVLMERYVIGRQLGQGTFGKVYYARNLSSGQSVAIKMIDKEKILKVGLMEQIKREISIMRLLRHPNILQLFEVMATKSKIYFALEYAKGGELFHKMARAKLNEESARNYFQQLISAMDYCHSRGVYHRDLKPKSLLLDENETLKVSDFRLSALAESRRQDGLLHTTCGTPAYVAPEVLSRKGYSGSKADVWSCGVILFVLVANYLPFHDRNIIQMYRKIAKAEYRCPRHFSAELKELLYGILDPDPSTRMSISRIKRSAWYRKPIAISALNNETGKKSCTSEAPFSGPTICISSERNQEPPNLYNLNAFDIISLSTRFDLSGLFGERYGRRESRFTSRKPAAAVLVKLKELAKALNLKVTKTDNGVLKLATTKEGRKGRLELDAEVSEVAPSFLLVELKKTNGDTLEYQRMMKEDIRPSLKDIIWTWQVLSNTKCAGWSVMSGNSIELPNDELTVQCSEGEVQKQSRLPSIKNFLDRVTVTYSTSRTSWSWTHGHGNCSKNASKNGIKGEAYNADCVSRRSIEVCAKLLEPDDQMTKLIDRLDRRRCKLIDRFRPKERLEMTD >ONIVA05G21250.1 pep chromosome:AWHD00000000:5:21367342:21368604:-1 gene:ONIVA05G21250 transcript:ONIVA05G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQPHAHLLPQRNDTQVERATAFGKEAIGITGSAIVAGVSGYKDIGKGAATTLLFKAGGFSLLVTFVSAVVLMHFQMHQPPAAPRSRCADLSSAVLVSLTGVLLVATNGMFVALMDRDNDTVLVILVLPVVLVLGMLAGADLPPTEGAVTAAAVAQDEAYEEAMKSSAELATFGATAAFAIEGALILGYLKYPSSLDGCGRSPPAQVDLAVASFASTVSVLAMAATALPVRTLFPSARARAVAVAGHLNRAMLAALVSMATILAVEFLQWWFMLSLLPEAIAVALNVAIMAWTTEGGANVVDGGVEAAAAAASAGARERRAKGFRAVATMSFTLMAGTYAVYLGQKKYDVYLRAAMLVMLAAVVSSLRQMLRPFGRSRARGWWAVAAGAVSLVFPGLALVIAIPLFVKIFVHFYFGHVN >ONIVA05G21240.1 pep chromosome:AWHD00000000:5:21362762:21365034:-1 gene:ONIVA05G21240 transcript:ONIVA05G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRLPASTRPTTHCSATFSPSSISLEFHIPDDWICEKDEAVEHQEGMAEILRHTKKAPATKEVLLSFPPSPEKSGIVVDGSFIFVFECSFDVLHVFENTAAM >ONIVA05G21230.1 pep chromosome:AWHD00000000:5:21357417:21362986:1 gene:ONIVA05G21230 transcript:ONIVA05G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G46280) TAIR;Acc:AT5G46280] MDVNEEAMAAHKRAFLDFLDQDVGKGVYMQAVRDMVQNKRHRLIIGMDDLRNHSLDLARRVIRSPAEYMQPASDAVTEVARNLDPKFLKEGQRVLVGFSGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHYCPATGGTLSREYRDITSFVGLPTGSVYPTRDENGNLLVTEYGMCEYKDHQTLSMQEVPENSAPGQLPRTVDIIVEDDLVDSCKPGDRVSIVGVYKALPGKSKGSVSGVFRTVLIANNVSLMNKEANAPVYTREDLKRMKEISRRNDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVARMHRYCTDDGGARSLDKTGYAEEDDGDVNAAIFVKYDRMLHGQDRRRGKKSKQDRLTVKFLKKYIHYAKNLIQPRLTDEASDHIATSYAELRDGGANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKTDVEAALQVLNFAIYHKELTEMEEREQREMEMKQQADHDAGASGGNADEHRSSGNDPMDVDVGNASNDQDVPAERIEAFEAILGQHVLANHLDQISIDEIEQTVNREAAAPYNRRQVEFILERMQDANRIMIRDGIVRII >ONIVA05G21220.1 pep chromosome:AWHD00000000:5:21354327:21357345:1 gene:ONIVA05G21220 transcript:ONIVA05G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAATQHGHAVAARAMPGRGEVDTSSPFESVRQAVDLFGGGALSPWRHHHPPPPPPPPPLQLRPEEVELMKVEEQTVKLEMELFVKEKETFKVLKELQATKQVADNLKLQLEKAASESAASARGHSDAGKVYPLPDTERKCSYHTEQPVESAKGNQSALTTLIKLNQAKAFLNMDTVMTIKRQIEEEKGSLEKTRERLQLNRAKASSLEADLNKIVSQLQAARGPKPTLEPSDIWLQMKQLNSEKEKHRKIADDSKNEIGELTATIEHTKSRTKTLQFRIVMAEKLKEASRRGEALALAERKNLSNGEHEASTASDVTLSVEEHSVLVQKAEEADAACRKKIDAAMQELDQANRGKLELLERVEEAMAAVETSRKALEEALKREESTNKAKLVAEESLRKLRSEQIIQNWRPTGNSSVKFKTSAATTAAVVAHRRAGSGIYDVNGLSLVTATPKSTKAMSIGQILSMKLDHRELEVAGKGGGAKKKVSLGQILSQKYDALSPLRIDHGGASRRQFHPRRRKLGFVVYALLLAKHRHRKKRQPAAAAAADSSCTHGSFSTKAVY >ONIVA05G21210.1 pep chromosome:AWHD00000000:5:21346201:21346848:-1 gene:ONIVA05G21210 transcript:ONIVA05G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPQSPLRITHDGEFYARLLTKESSQGNPSFRYYGAGTGAVPFVWESHPGTPKVDASSPFAEAGGVPAITPPPSYHLRAAAAMSSSPQPHGRSGRRNVVNGRGKYCGYCRLKWIKIGFIAAVFRRLALGKPSRASSSSSAVQPSPSTRWLFSGSGSVETSDEPQPPAISPASTKQGGLLCLGVRPSPWMVQLCGVRSIRRVDTGSSWATHGWA >ONIVA05G21200.1 pep chromosome:AWHD00000000:5:21327931:21330435:1 gene:ONIVA05G21200 transcript:ONIVA05G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSAHWLSLVGSVWLQTINGPNADFPVYSSQLKEVKGISQVQLNFLAFASDAGKLFGWFAGVAALYLPLWLVAVVGASFGLVGYGVQFLFLERPGLAYWHLFLLTSLAGNGICWINTVCYLLCIKNFPSDSRVAVSLATSYLGLSAKLYTTMAEKMPRGATARYSKEKVYLLLNAVVPMLVTLVAAPSLRVVELTSHRRTDPAFLAMFAITLATGACAVVGSIGSKSIGLSTSEHMISLYILLALPVLIPAALKVRESMDKLREAKRENRVHDVAAATDVPETAVSVLEVAEAAENKEEDDAAAGESGGQDEVGGIRLLRRLDFWLYFLSYMFSGTLGLVFLNNLGQIAESRGLSDPSTLVSLSSSFGFFGRLLPAFLDYYTAKSGYSLSRTASMAALMAPMAGAFFLLLDPRDMFLYTSTAVVGTCTGAITSVAVSATGELFGRKHFGVNHNVLVANIPVGSLCFGYLAAFLYQREARGASRCAGAACYRGTFLVWGATCAVGTALCTVLYARSRGFAGRLPPPARSTTMPCAGQRPATNLGDDNKGPEPEVSSTAV >ONIVA05G21190.1 pep chromosome:AWHD00000000:5:21315996:21318866:-1 gene:ONIVA05G21190 transcript:ONIVA05G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQLQNTGTCGTFAFLDDTSAPSSSSLSNPAESTDPSDGLGRNQLEAMPKKPRGIKLKEKGIRGSARPIGGLEKASSQRKRKKKDDDVPDHVLEPQPEMQSQPPATVMGHLEVPSNQTFLHVSQYYAALEASTHPLFHTPISVTPESQGLHQGRPIQQFDTDFHNMFP >ONIVA05G21180.1 pep chromosome:AWHD00000000:5:21303276:21306781:-1 gene:ONIVA05G21180 transcript:ONIVA05G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLASSRRLLQAALAPVRAQSSLSAAAAAAAPAPENAFAAAPKMPPFDYTPPPYDGPRAEEISRKRAEFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEAMVNQAKRIQHSTVLYLNHAIADFAEALAAKMPGDLKVVFFTNSGTEANELALMIARLYTGCHDIISLRNGYHGNAAGTMGATAQCNWKFNTGVHHALNPDPYRGAFGSDGEKYARDVQEIIDFGTTGRVGGFISEAIQGVGGIVELAPGYLPAVYNMVRKAGGLCIADEVQAGVARTGNHFWGFESHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKERLNKLKEKHDIIGDVRGKGFLLGVELVTDRQKKTPAKVEIGHVMNHMKDMGVLVGKGGFYGNVFRVTPPLCFTKEDSDFFIEAMDISLSKL >ONIVA05G21170.1 pep chromosome:AWHD00000000:5:21298598:21304600:1 gene:ONIVA05G21170 transcript:ONIVA05G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIKRTRTERTNPVSSSLLLLAIPESTCPPRKRKAKNRRISRAPYRVRHPSRTPQPEAAAAEASGMYPVVTGPPPARLPASTRVDKATSHLLQGPDWAINLEICDTLNADRWQTKDVVKAVKKRLQHKDPRVQFFTLTLLETMMKNCGEYVHFEVVEQHILQEMVRIVQKKHDTQVRDKVLILLDSWQEAFGGPGGKYPQYYWSYIELKRSGIMFPRRPVDAPPIFTPPATHHTQSYGSPTYPAGSLNERMTSDVETLSLGDLNNIRDTTELLCDMVNALNPSDRMAVKDEIISELVTQCRSNQQKLMRFVSSTGNEELLKQGLEINDHLQSVLAKHDAIASGAPLPVETPRKDEIPREDPKIKPSAPPIAPPSAPPVEEDEDDEFAQIARRKNKSVISSDEASSSAGDQALVPVDPVTSEASSSVASNAVVPVDSSPASGTRTREQDMIDLLSLTLYSPPEASTDSSSQTQNGTQQSVTSNGPELPPNYQPAASNGSHYSSNQQAYPTNQAYTPYNNYVVPWAQAGQGTQAGGAYQTQPMQQYGSSYPAPPWAMPASVNSTNPFQPATYQMQNPPVASVAPTNTYPAPSSPYAAPPRQQVPSPATKPMQQYNSFVSQTRSGPAMAQDVRMNGNPRPSETTAAAAKPYYMPDNLFGDLIDVKSYGGGKMSRTASMPSPNGSGQPLLGGKK >ONIVA05G21160.1 pep chromosome:AWHD00000000:5:21280575:21281415:-1 gene:ONIVA05G21160 transcript:ONIVA05G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPPHIVEKEKKKKKEKRSPRYAFPCLLLLFFGSSSAPFHPILNPPPPPPPEAAAAAAGGRRKARIRRGEAASLSLPSRPSSCLLRPAAELGGQ >ONIVA05G21150.1 pep chromosome:AWHD00000000:5:21272296:21274725:-1 gene:ONIVA05G21150 transcript:ONIVA05G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPGSLPLVNSRPVSLSLAASRSSFSSLLSGGAGSSLNLMTPPSSLPPSSPSSYFGGVSSSGFLDSPILLTPSLFPSPTTTGALFSWMTTATATAATAPESQVQGGVKDEQQQYSDFTFLPTASTAPATTMAGATATTSNSFMQDSMLMAPLGGDPYNGEQQQPWSYQEPTMDADTRPAEFTSSAAAGDVAGNGSYSQVAAPAAAGGFRQQSRRSSDDGYNWRKYGQKQMKGSENPRSYYKCTFPGCPTKKKVEQSPDGQVTEIVYKGAHSHPKPPQNGRGRGGSGYALHGGAASDAYSSADALSGTPVATPENSSASFGDDEAVNGVSSSLRVASSVGGGGGGEDLDDDEPDSKRWRRDGGDGEGVSLVAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASNDLRAVITTYEGKHNHDVPAARGSAAAALYRATPPPQASNAGMMLTTAQPSSYLQGGGGVLPAGGYGASYGGAPTTTQPANGGGFAALSGRFDDDATGASYSYTSQQQQQPNDAVYYASRAKDEPRDDGIMSFFEQPLLF >ONIVA05G21140.1 pep chromosome:AWHD00000000:5:21268974:21269489:1 gene:ONIVA05G21140 transcript:ONIVA05G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACMLPCGALDVVRVVHLSGRVDEFTSCPLTAADVLAAHPNHALTAAWSPGGAGAGGAPCRKIVIVSPDSELKRGRIYFLIPSACSAPVADKMMTRRKKKKRCHGNGNGKGGSAAAASTAEQDNYLMELLSEKRGTSHRRRRSGARAGVWRPQLESIAEEPSD >ONIVA05G21130.1 pep chromosome:AWHD00000000:5:21249114:21261815:1 gene:ONIVA05G21130 transcript:ONIVA05G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRCRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKISSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATAPPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >ONIVA05G21130.2 pep chromosome:AWHD00000000:5:21249114:21261815:1 gene:ONIVA05G21130 transcript:ONIVA05G21130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRCRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKISSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATAPPAETSQLATPPAETSQLATPPAETSQLEDGRTEILSSDITLKGAIMASAKAMAQDEHHFVLKSGHAIPAVGLGTWRAGSDTAHSVQTAITEAGYRHVDTAAQYGIEQEVGKGLKAAMEAGINRKDLFVTSKIWCTNLAPERVRPALKNTLKDLQLDYIDLYLIHWPFRLKDGAHQPPEAGEVLEFDMEGVWREMERLVTDGLVKDIGVCNFSVTKLNRLLQSANIPPAVCQMEMHPGWKNNKIFEACKKHGIHVTAYSPLGSSEKNLAHDPVVEKIANKLNKTPGQVLIKWALQRGTSVIPKSTKDERIKENMQVFGWEIPEEDFQVLCGIKDEKRVLTGEELFVNKTHGPYKSASEVWDNED >ONIVA05G21130.3 pep chromosome:AWHD00000000:5:21249114:21261815:1 gene:ONIVA05G21130 transcript:ONIVA05G21130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRCRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKISSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATAPPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >ONIVA05G21130.4 pep chromosome:AWHD00000000:5:21249114:21261815:1 gene:ONIVA05G21130 transcript:ONIVA05G21130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRCRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKISSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATAPPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSVAKKAV >ONIVA05G21130.5 pep chromosome:AWHD00000000:5:21249114:21261815:1 gene:ONIVA05G21130 transcript:ONIVA05G21130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRCRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKISSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATAPPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >ONIVA05G21130.6 pep chromosome:AWHD00000000:5:21253612:21261815:1 gene:ONIVA05G21130 transcript:ONIVA05G21130.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATAPPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >ONIVA05G21130.7 pep chromosome:AWHD00000000:5:21253612:21261815:1 gene:ONIVA05G21130 transcript:ONIVA05G21130.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATAPPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >ONIVA05G21120.1 pep chromosome:AWHD00000000:5:21245992:21246654:1 gene:ONIVA05G21120 transcript:ONIVA05G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFX8] MASSAPTPPPLLPVTNPAAAGSSPAATAVGSDAPIATPAFRLFLSKLSDSARRSLSDRRPWTELVDRSAFSRPDSLSDATSRLRRNLAYFRVNYAAVVAFALGASLLAHPFSLLVLLGLLAAWCFLYLFRGSDQPIVLFGRTFSDRETLLGLVVASFVAFFFTSVASLIISGLLVGGAIVAVHGACRMPEDLFLDDADAASGNSAAQGLLSFLGAPGSRV >ONIVA05G21110.1 pep chromosome:AWHD00000000:5:21244372:21245464:1 gene:ONIVA05G21110 transcript:ONIVA05G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAFLESGKDGGQDGLSALPDDVIVGGLGLRDIVRASILSRRRVPHLLSHRLDIDVADFMPHDHGDSSSSDDRHRIMSAYANATRWLLAPSAERAIKSVSLSFLIAEPYLLHAVACAVDDLLLDGSNSSLESLEMDMWTDRVAAGAATLEQRRLFGQRFQSLFDAYPAPFSYIWTSSTVHQ >ONIVA05G21100.1 pep chromosome:AWHD00000000:5:21237793:21241944:-1 gene:ONIVA05G21100 transcript:ONIVA05G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRVVFL >ONIVA05G21100.2 pep chromosome:AWHD00000000:5:21238033:21241944:-1 gene:ONIVA05G21100 transcript:ONIVA05G21100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVISNS >ONIVA05G21100.3 pep chromosome:AWHD00000000:5:21237791:21241963:-1 gene:ONIVA05G21100 transcript:ONIVA05G21100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRVVFL >ONIVA05G21100.4 pep chromosome:AWHD00000000:5:21238031:21241963:-1 gene:ONIVA05G21100 transcript:ONIVA05G21100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVISNS >ONIVA05G21100.5 pep chromosome:AWHD00000000:5:21238733:21241944:-1 gene:ONIVA05G21100 transcript:ONIVA05G21100.5 gene_biotype:protein_coding transcript_biotype:protein_coding FSAPPPLSTARTQLLASPVSTPPRHPLPLAHRRKAHGQGISLTDSSRRRDCILSIAVAWCRRPSLSIHRHRLPFSERVQILPIDPISVGIRSKV >ONIVA05G21100.6 pep chromosome:AWHD00000000:5:21238731:21241963:-1 gene:ONIVA05G21100 transcript:ONIVA05G21100.6 gene_biotype:protein_coding transcript_biotype:protein_coding PDLRCSSPRRPRSPPPEHSYSPRRSPLRLVIHFHSPTAERLTGKESPSQILQGGGTAYSAWCRRPSLSIHRHRLPFSERVQILPIDPISVGIRSKV >ONIVA05G21090.1 pep chromosome:AWHD00000000:5:21234817:21237725:-1 gene:ONIVA05G21090 transcript:ONIVA05G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTDKQLHFFDANGYLVLGSFSSAEEVKAMRDRMAVLIDGFDGAGDVFSTKDHRQVKNDFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGENVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTNPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDENDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLVHQSFENLSLVSRHALSLHVTKENPTSATL >ONIVA05G21090.2 pep chromosome:AWHD00000000:5:21234608:21237725:-1 gene:ONIVA05G21090 transcript:ONIVA05G21090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTDKQLHFFDANGYLVLGSFSSAEEVKAMRDRMAVLIDGFDGAGDVFSTKDHRQVKNDFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGENVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTNPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDENDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLVHQSFENLSLVSRHALSLHG >ONIVA05G21080.1 pep chromosome:AWHD00000000:5:21233415:21234025:1 gene:ONIVA05G21080 transcript:ONIVA05G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLKEAKEMEVLECMGQAARRPGSHGKDEAQRVWEAEMARRLKETEELQSWAATEAPTKSEEEKRKRVHRKLEKLHRPTSPATTPPSR >ONIVA05G21070.1 pep chromosome:AWHD00000000:5:21223403:21225382:-1 gene:ONIVA05G21070 transcript:ONIVA05G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVQTLGSSDTMTEDILRVFSNYDGRLSLDKLYAARAAAASAAGGGGGLGGGGEHSMPESPTLPLPPPPAAAVSAAAAAGRPPPVTSMERTVRTLDRQISQFVAMDRLIWADSADADAFLEAVDDLIGTVQELEAAGTNRGLFDRAEELLSRCMARLEEEFRALIERPDDAAPAAPGGFRSDGSDDEEDFGGGDGYGDEPIPIAKPVTDYDVVIDALSPGSVANVHQIARRMVDAGFGRECAEVYAAARRGFVDESVARLGVRPRTAEEVHASSWEELEFDIARWIPAFNMVFRILIPSERRLCDRVFDGLAPFGDLAFVAAMRTQALQLISFGDAISSSSRAPERLFRVVDMYEAVRDLLPDLDPVFADPYSAALRAEVTAVCNTLGSSIKGIFMELENLIRRDPARVAAQGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDFGAVGGAAAAVDPDRPTSSLAVHIAWIMDVLHKNLDIKSKIYRDPSLACVFLMNNGKYIIQKVNDSELGVLLGDEWIKQMTNRVRRWSMDYQRVTWGKVTTVLQTGGPGVGGLPATAMKQKLRMFNTYFQEIYEVQSEWVIADEQLRVDVRAAVAEAVMPVYTALISRLKSSPEARHDLYIKYTPEDVEACIQHLFEGAAK >ONIVA05G21060.1 pep chromosome:AWHD00000000:5:21222571:21225893:1 gene:ONIVA05G21060 transcript:ONIVA05G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTIHRWKAHTSSYLTSGAGTASHGERHSPDLHDASTSTRLRFGVLVGRGGEGDEEEEGFLPRSNALRRWRLGEGDSAWTGRFTAQRCASCGRRVGVGSRRPSDAAARTVGCVASGEAKGGAEDARDRRNRFSDEVGPRELVSVERWDQTIGGFDAGEKPGCGG >ONIVA05G21050.1 pep chromosome:AWHD00000000:5:21219416:21222538:1 gene:ONIVA05G21050 transcript:ONIVA05G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIDCYVVPQTTGTGRNIFQGGSPLSASLPLLGVQLVLIVAVTRVLYFLLKPLKQPRVGGIILGPSVLSRHAAFREVVFPARGEPVLNTVATFGLMYVIFLIGVRMDPRLVVRSGRKGVVIGLSGFLLPLAMTGAGSSGEAMATEPDVSRRSTFLFALATSLSVTSFAVLSPILSELSLLNSDLGRIAMSASMTTDGIAWIIMVVYILAEAFLVSPATSIWAFLSLAVLAAFILFVVRPVALRVIERTPPGKPVEEAYVFVFLLVVLLVGFYSDVIGTNSFHGALMLGLAIPDGPPLGTALGEKIEAMVSGLILPLYYAMTGLSTDVWRMHWGRLQVVMFLAWVGKLVGVMVSSLYLEIPLRDAVSLSLFMNSKGIVEVITFNFFLTNKLIGKNTFSILICLSVAITAVSVPVAGWLYDPARRYAVYKRRTVQHLKADADLRILACVHDQSHVPGTLAVLEASNATPQTPLSLYLLQLVEIAGRSAPVFIPHKLRHSASRAGAAAASAAPPTDSDRIINAFFQYELKHPEGAVSVHPFTTISPYSSMHDEVCRLAVEKRTSLILLHYHKRHMLAGGVRAAVGLRVVNRKVLEVAPCSVAVFVDRNAGSVGLCAFIPGPVQDQSTSSRSRSGGHAASGSGQGFKAAVASLFFGGGDDREALAYATRMARHEGVAVGVIRFLPARGIKDEPSDRRIDNRAIEEVKALAARSRNIKVQEELVGDMERIVQVLRGLDEAGYDLVLVGMRHRWYPVMPANGMSDWSECPELGVIGDLLASSDFDTPYSVLIMKQQDQGGLNAAVPGAQDVWHVNDGGAEDAPAPPRNMSTAGS >ONIVA05G21040.1 pep chromosome:AWHD00000000:5:21215748:21218157:1 gene:ONIVA05G21040 transcript:ONIVA05G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGDVGMEVETKAPAMPLPPPASSSAARKKRQVRAKNGDTPEPDAAGGARARASRRAKRGPGSYRGVRQRRWGKWVSEIREPNRGKRHWLGTFGSAVDAALAYDKAAASILGPRAVLNFPAFSPPAAAIAAPEQREPPFCSPATTAAATAPEQRQTPGCSPAAVAGSGGGAVFEERDVKPVVLPLPLPAILQGGGGTEAMAQHWDWEWDASWPELEMFECLDDITMYLDVDAVMTTRDCKVDELDADIVDSPLWTLSD >ONIVA05G21040.2 pep chromosome:AWHD00000000:5:21215808:21218157:1 gene:ONIVA05G21040 transcript:ONIVA05G21040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGDVGMEVETKAPAMPLPPPASSSAARKKRQVRAKNGDTPEPDAAGGARARASRRAKRGPGSYRGVRQRRWGKWVSEIREPNRGKRHWLGTFGSAVDAALAYDKAAASILGPRAVLNFPAFSPPAAAIAAPEQREPPFCSPATTAAATAPEQRQTPGCSPAAVAGSGGGAVFEERDVKPVVLPLPLPAILQGGGGTEAMAQHWDWEWDASWPELEMFECLDDITMYLDVDAVMTTRDCKVDELDADIVDSPLWTLSD >ONIVA05G21030.1 pep chromosome:AWHD00000000:5:21208037:21208687:-1 gene:ONIVA05G21030 transcript:ONIVA05G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTAPRPSPPQHSRIGGCGGGGVLKAAGAAGHAASCVAVPAEVARHHEHAAGVGQCCSAVVQAIAAPVDAVWSVVRRFDRPQAYKHFIRSCRLLDGDGDGGAVAVGSVREVRVVSGLPATSSRERLEILDDERRVLSFRVVGGEHRLSNYRSVTTVHETAAGAAAAVVVESYVVDVPHGNTADETRMFVDTIVRCNLQSLARTAEQLALAAPRAA >ONIVA05G21020.1 pep chromosome:AWHD00000000:5:21186431:21191182:1 gene:ONIVA05G21020 transcript:ONIVA05G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAMTKVFVLLFLVAACYLPAHAAAAECDCATDTAGRDKAQALRLKVIAIFCILAGSAVGAALPSLGGRFPAIQPETDVFLSVKAFAGGVILATGLVHILPAAFEALSSPCLVGGPWKRFPFAGMVAMVSAIGTLIVDTVATGYFHRTDAKRKAAAVADEPADDLEASDEHSHGHAHGMSVMSVAPAGEEDLVRHRVISQVLELGVVVHSLIIGMSLGASDFPSTVRPLVPALTFHQFFEGIGLGGCIVQAKFRVRSVVTMALFFSLTTPAGIAVGIGISSVYDANSPTALVVQGLLEAAAAGILVYMALVDILAEDFMKTKVQSRGRLQLAMNVALLLGAGLMSMIAIWA >ONIVA05G21010.1 pep chromosome:AWHD00000000:5:21175888:21186320:1 gene:ONIVA05G21010 transcript:ONIVA05G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLKLTACLLLAVFSLAAAADCECQPSDEGHDAAKSRTLKVIAIFCILVGSSAGCAIPSLGRRFPALRPDTSLFFALKAFAAGVILATAFVHILPVSFDKLGSPCLVDGPWRKYPFTGLVAMLAAVATLLLDTIATGYFLQRAQDRRGAVAAVAACGGDASSSHDHERGHAHGVSSAVIASATMPNDAADDCDDAEDRAKLVRHRVISQVFELGIIVHSIIIGISLGASESPSTIRPLVAALTFHQFFEGIGLGGCIVQARFHLKSAVTMAIFFSLTTPVGIMIGIGISSAYNENSPTALIVEGILDAAAAGILNYMALVDLLAEDFMNPRVRKSGRLQLIISISLLVGIALMSLLGIWA >ONIVA05G21000.1 pep chromosome:AWHD00000000:5:21168300:21173558:-1 gene:ONIVA05G21000 transcript:ONIVA05G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease P family protein [Source:Projected from Arabidopsis thaliana (AT2G43190) TAIR;Acc:AT2G43190] MSTISDQKKRTLEALQQRYAAAKAKKLQDKPRCQTRNKDSAPKPNLDASRKGKAPDFIPSRTSAPFPSKKGLAISSSSASSGGETNPVYSELSFAPHDNLLQRSISDLDSMDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLRKFDLYKPMHEMWKEYITELTKSTLKKQLSETLLSADLHGALVIVAECKSASSYQGVCGIMIRDTAETFGIISDDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRVQAQIR >ONIVA05G20990.1 pep chromosome:AWHD00000000:5:21162790:21166831:-1 gene:ONIVA05G20990 transcript:ONIVA05G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTSDQRKRRPFLLSLSLFLLVSALLALAFLFLDPSAQSFSVLSSRLTAPTTTLAPPAAPGERNPTPIPAPGDTPPPAVVAGGAEAGESADATEKAEETASRPDDTAAAVNADAAAGEGGGSSESPRLDADKGAAATEGVADDDGGGGGDEPAAKVRWETCRPGRGVSAADYIPCLDNMRAIKALRSRRHMEHRERHCPVAPRSRCLVRVPSGYRSPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVTKSGDYLVFPGGGTQFKTGVTRYIQFIEQIMPTIQWGTHTKTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDEAFDVVHCARCRVENHCWSLTEFSGLEDITFGLQPLSTVKKKEIKMTGMKPASNSCYLERRTNEPPMCSKKDGPRFPWYAPLDTCISSSIEKSSWPLPWPERLNARYLNVPDDSSSTDEKFDVDTKYWKHAISEIYYNDFPVNWSSTRNVMDMNAGYGGFAAALVDKPLWVMNVVPVGQPDTLPVIFNRGLIGVYHDWCESFNTYPRTYDLLHMSYLLGSLTNRCDIMEVAAEIDRILRPDRWFVLRDTTEMIKKMRPVLKSLHYETVVVKQQFLVAKKGFWRPGK >ONIVA05G20980.1 pep chromosome:AWHD00000000:5:21150070:21152259:1 gene:ONIVA05G20980 transcript:ONIVA05G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGADAQAQAQPVAQAPPAMQPMQQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLKYLDQFGKTKVHASGCAYYGQPSPPAPCPCPLRQAWGSLDALIGRLRAAYEESGHAPESNPFAARAVRIYLREVRDAQAKARGIPYEKKKRKRTQQQQPPPPPPLPPQHQPGAAAGEASSSSSAAAAAVAAEGSGSSAAAAAATSQTGGGGGSTTTTTASAAAPTTATRFKLE >ONIVA05G20970.1 pep chromosome:AWHD00000000:5:21128517:21129167:-1 gene:ONIVA05G20970 transcript:ONIVA05G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSWVRTRSSKSKPAAAAADTTSTALVAASKRLTFSSPSLKDLQSLLVPPHAALSSSSPSPPRVFHRIRVAACALRVLRNLQSAGQQQQPHAAAAIWSEPGGGEGARVVLYYTSLRVVRGRHVALPQVFVNGRHLGGAEEVRRLHESGELRRIVAAANPTPASCGRCAGERYVLCGSCDGSHKRYSHKGGGGFRACAMCNENGLVRCPDCCLPPA >ONIVA05G20960.1 pep chromosome:AWHD00000000:5:21125808:21126086:1 gene:ONIVA05G20960 transcript:ONIVA05G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRRLLHVQHPPPSSTSGARRPIPSLTPVPRSMSDARLPMPGSRRPSIRAGYASVDLRPPPRPALGSSADDEGRRGHVRPGKKIRRRGRR >ONIVA05G20950.1 pep chromosome:AWHD00000000:5:21121570:21121965:-1 gene:ONIVA05G20950 transcript:ONIVA05G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPEAWGNPGGVRCAKEDAPVQNWHGPLWLGTDWDMFRAARNASRAAGRVPVTFVDVTAMSELRKDGHTSVHTIRQGRVLTPEQQADPATYADCIHWCLPGVPDVWNLMLYARILSRPPAAAAAAAGHVA >ONIVA05G20940.1 pep chromosome:AWHD00000000:5:21113461:21120865:1 gene:ONIVA05G20940 transcript:ONIVA05G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSSGDSPGDSSALTPLVGSPTTPPLILTTLNQQAPAPPLLHQSSGTCC >ONIVA05G20930.1 pep chromosome:AWHD00000000:5:21103410:21112950:1 gene:ONIVA05G20930 transcript:ONIVA05G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALVGNPSNGVAKPSCNSVGSLPVVSSNAVIHPPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKEMLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATGAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPHSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKELLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATGAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQ >ONIVA05G20930.2 pep chromosome:AWHD00000000:5:21103410:21112950:1 gene:ONIVA05G20930 transcript:ONIVA05G20930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELALVGNPSNGVAKPSCNSVGSLPVVSSNAVIHPPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVDSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKELLSGDTAVIAETEAQAPRGLRVNATHTDRLHAPDNLPRFHLSFPKFNNERLVIPNRYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATGAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQ >ONIVA05G20930.3 pep chromosome:AWHD00000000:5:21103410:21113023:1 gene:ONIVA05G20930 transcript:ONIVA05G20930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELALVGNPSNGVAKPSCNSVGSLPVVSSNAVIHPPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKEMLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATGAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQVTSD >ONIVA05G20930.4 pep chromosome:AWHD00000000:5:21103410:21112950:1 gene:ONIVA05G20930 transcript:ONIVA05G20930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELALVGNPSNGVAKPSCNSVGSLPVVSSNAVIHPPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKEMLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATGAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQ >ONIVA05G20930.5 pep chromosome:AWHD00000000:5:21103410:21112950:1 gene:ONIVA05G20930 transcript:ONIVA05G20930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELALVGNPSNGVAKPSCNSVGSLPVVSSNAVIHPPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKEMLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATGAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQ >ONIVA05G20930.6 pep chromosome:AWHD00000000:5:21103410:21112950:1 gene:ONIVA05G20930 transcript:ONIVA05G20930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MELALVGNPSNGVAKPSCNSVGSLPVVSSNAVIHPPVTSAAGATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLKLIGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKEMLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKAIATATGAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQ >ONIVA05G20920.1 pep chromosome:AWHD00000000:5:21090628:21091306:1 gene:ONIVA05G20920 transcript:ONIVA05G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPQKYSVLRGLFTCAVLRSLSLGSVRLTLPAAIALPSLETLLLADVTDHERNMQRLISGCPRLADLTLEACYEMAPLSVAGLALRCCHGLDTVVLDDMSSPSELLQAFELQATALR >ONIVA05G20910.1 pep chromosome:AWHD00000000:5:21067487:21071090:-1 gene:ONIVA05G20910 transcript:ONIVA05G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRRNPVIFLFLWFVMAFELCASLNHEGVALMRFKEMIDADPFDALLDWDEGNASPCSWFGVECSDDGRVVALNLPNLGLKGMLPQEIGTLAHMRSIILHNNSFYGIIPTEMKYLHELKVLDLGYNTFSGPFPSELRNILSLKFLFLEGNKLSGSLPIEYELASMDQTSLNKISAERNEENATRRELLASKQKRSQKNRMLLSGTSESPLENVTMPKSHPDNITVPHRPVAPRSSSPQPPLPSEPIPSPAPSMPSPAPSVSTTTNGTSGQENKSKTAIYASIGGVACLVVVAMSAALILCYRHRKTSTVVPFSPTASGQLHTATLGGITKFKRSELETSCEGFSNIIDTLPRFTLYKGTLPCGAEIAAVSTLVTYASGWTTVAEAQFKDKVEVLSKVSHKNLMNLVGYCEDEEPFTRMMVFEYVSNGTLFEHLHVKEADQLDWQSRLRIAMGVMYCLNYMQQLNPPVLLRDLSTSCIYLTEDNAAKVSDISFWGDKKEDEKSEASDEHSTVYKFALLLLETISGRRPYSDDYGLLILWAHRYLIGDKPLMDMVDPTLKSVPEEQVRELTKLVKLCLSEDPMERPTVAEVTAWMQEITGISEEEAIPKNSPLWWAELEIITS >ONIVA05G20910.2 pep chromosome:AWHD00000000:5:21067487:21071090:-1 gene:ONIVA05G20910 transcript:ONIVA05G20910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRRNPVIFLFLWFVMAFELCASLNHEGVALMRFKEMIDADPFDALLDWDEGNASPCSWFGVECSDDGRVVALNLPNLGLKGMLPQEIGTLAHMRSIFLEGNKLSGSLPIEYELASMDQTSLNKISAERNEENATRRELLASKQKRSQKNRMLLSGTSESPLENVTMPKSHPDNITVPHRPVAPRSSSPQPPLPSEPIPSPAPSMPSPAPSVSTTTNGTSGQENKSKTAIYASIGGVACLVVVAMSAALILCYRHRKTSTVVPFSPTASGQLHTATLGGITKFKRSELETSCEGFSNIIDTLPRFTLYKGTLPCGAEIAAVSTLVTYASGWTTVAEAQFKDKVEVLSKVSHKNLMNLVGYCEDEEPFTRMMVFEYVSNGTLFEHLHVKEADQLDWQSRLRIAMGVMYCLNYMQQLNPPVLLRDLSTSCIYLTEDNAAKVSDISFWGDKKEDEKSEASDEHSTVYKFALLLLETISGRRPYSDDYGLLILWAHRYLIGDKPLMDMVDPTLKSVPEEQVRELTKLVKLCLSEDPMERPTVAEVTAWMQEITGISEEEAIPKNSPLWWAELEIITS >ONIVA05G20900.1 pep chromosome:AWHD00000000:5:21065570:21067033:1 gene:ONIVA05G20900 transcript:ONIVA05G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVHERVAPPPAAELAGRRGGGGGGGMEIVTARVGGCGYGYEEEGGTRRQQRRRRKVSDGHVVAQLLDSPLPTPRRSCCGSSSAAGTPRSAARCGGGGSPGAPPSSPPLSPQRTHVPFSWESSPGVPKDAACGRKVVREVLPPRPPPGRGGGGGGSPAHAHARAYFGNATETTSSDDDDSDDTFSDALDRISASDRFAAFSSRLSSIDGAGSLRLPSFIMDRFLPAANAIATTSADKRPKKTPRRGARSSKQDEEATASARRRAQSLRRASGREQPKQPPPRHHVSTLQRKESEPPPPPRQSRDIDEETQSDEMSPRSCGFMLFLPWSVKPVLCGFARSRTSRAADASTTASSPPRRSVTLGNALEKEKEKEKDKSKLRGGGGDPSRWSDEKSGSGREWSSPGWGTAILGTSKRYCADARKALSRLARSATDGRGSPRVTGERRAGKPAAAASPRRSTSGEIPPLSPPSESWLSHARGSSILSNKR >ONIVA05G20890.1 pep chromosome:AWHD00000000:5:21059527:21064094:-1 gene:ONIVA05G20890 transcript:ONIVA05G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADQNPNDAEHREAAEGANAAEEEYEEEDEEEEEVELDGPAAVAAEREKVQAVFKRLSSDPVGIRVHDVIIKGNAKTKEELIEAEVAELLRAAPTVQDLLRNASIASARLRQLDVFDSVNITLDAGPPELPGTTNVVVEIVEAANPITGSAGVYSKPEARSWSLEGSVKLKNLFGYGDIWDASGAYSWDQTSEVGIGVSLPRFKSISTPLMARASLSSQDWLKFSSYKERLLGLSFGLISTMQHDLSYNLTWRTLTDPSQVSSKSIRRQLGHNLLSALKYTYKIDQRNSHLRPTKGYAFLSTSQVGGLWDRKGLRFFRQEFDVRGAVPLGFYNSALNVGLGVGAILPLGRGFMNLSSSVPDRFYLGGHSSPVCSLSGLSSLLGFRTRGIGPTEPRRLVPSESEDGSAASPGRDYLGGDLAVSAFADLSFDLPLKIFRDAGIHGHAFLTAGNLAKLSEGEYKKFSLSEFGRTFRSSAGVGIILPTKLFRVEVNYCYILKQAEHDSGRTGIQFSFSGVEGGSTLPEFLDGGKQPLVAPGDYYERSRAYE >ONIVA05G20880.1 pep chromosome:AWHD00000000:5:21056349:21058037:1 gene:ONIVA05G20880 transcript:ONIVA05G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDAARSSRRMDLNLYLGLPRAPRLRRPDLGSDLALGTPMLSSSPSSSAASADAPPLETEPLHPPYSPPPAELVRPPTPLPEPYDPSAPEAHPPYVPPPVPPPEAIPELADDLEFGFSHPPLLLRPSELLGWVDRPSSSTASSSFRPERVDRYRPPVICLNSRQSRCLRPRRFRSDLPPLGSEAPALENDAAAQPPPQEPMQDTVEENKVVADGAIVGASEEEPAERGKSVAMFECNICFEMASEPVVTSCGHLFCWPCLYQWLHVHSTHKECPVCKGEVTEGNITPIYGRGNTTSDAEKKVAEEGNVSGPTIPPRPHGNRLESFRQKFHHLRPISRRLGEAHGILSSWRRILDQQIMNSVSRFEGPPESTVQEMIDHAHHASRLGRITTRMRARRLQREAENSTFVASSAAESGLPANSTSDLPRRSSSPFSSERIDLLQHFVDLASTERLASAVSDLRRMVRPSPYGASTSSNPPNPPNTELLPVDGNHVAVALAADQASNSSTMAVIQEDAAFTESTGEPSNAGSSRSLRRRGRNDALGSLDVDGVGLHRNKRRRLN >ONIVA05G20870.1 pep chromosome:AWHD00000000:5:21050988:21051858:1 gene:ONIVA05G20870 transcript:ONIVA05G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIKNRADFMDVELLTMKRRSICFLSPPLKSSTSDFTLNTPKGVYINLPKETIHGMRITGMYTNFTSRCIPAPIVHFNSEFRMQIAYYRCNSCWSNLYIHWVIIIASYSFLVT >ONIVA05G20860.1 pep chromosome:AWHD00000000:5:21046779:21050549:1 gene:ONIVA05G20860 transcript:ONIVA05G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPPSSLHSYVPEQPEISLDAESMKMLTSLPLYGEPLCTNESIIAHVKSVKGILESLLRRNDWLKVDEYLTKIVSENYLNSYSAISGVPMSEINQAHPELALLARRQHVYDLLVEGKYDEASDYYNANVAILEKCRSKSVRTASSDLKVLISNRTAAVNNDVDTGMAIKDYIYLYYPIFRPDIRKRNFGRKPHELWEFAWRKNTVSGFRCFACHKVFKHKKLTMIESHLKGDRTDDITACPAVTENVLSRLQLALDTGAAGRLSRKIERGRMSRDTQSTGDEHPSPDGEEEGRGDGCTDSAGPSNAVPAC >ONIVA05G20850.1 pep chromosome:AWHD00000000:5:21037136:21038342:-1 gene:ONIVA05G20850 transcript:ONIVA05G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGRRNPLLSARRAAASLRRSRRLPVYVAAVFFVASVLLMFRDEILYLTTARSPSSSLPTTGGSAGGAGLARREELVSVNKPVLLGHGGKPEKHHSVTERHRPKVSAKRRPNKKAAKAARKKFMASPAVAAGAEVNVPETCNLSKGNWVFDNATYPLYREQECEYLTAQVTCTRNGRRDDGYQKWRWQPRDCDLPLAFDARLFMERLRGKRLMFVGDSLNRNQWESMVCLVRPALSPGKSYVTWWDGQRVVLHAWEYNATVEFYWAPFLVESNSDDPKAHSIRDRVIKPEAIAAHAGDWVGVDYLVFNTYIWWMNTVNMKVVLPTRHDQFTVIRRPTGKTWEEYDEVGRIEAYRRVLDTWATWVNDNVDPARTSVFFMSVSPLHIR >ONIVA05G20840.1 pep chromosome:AWHD00000000:5:21024279:21028104:-1 gene:ONIVA05G20840 transcript:ONIVA05G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSLASSSGGGDAASASASASAAAAAGAIVVAVAVRGDGRASRRAARWAAANLAAHGAGAGRVALVHVIPPVSFVPSPSGERVPVEKMDAETVEMYAEDRRARAQEEVFLPLRRLFARTTVETVILEEPSVTAALVRYAADSGVRNLVVGSTSLNWFKRILRLRDVPSTVLKAMPCSCNVFVVSRHRLTIKFANQARTSKSSACVRTQSISHKSFSRIQKNWLLDKQSLHDHPEDGTPKSSGDTSYAGSHTCSSRSTSTNAGKSSGSHGRSLFGSLGRKTPGRDVNTDPDAIGRLKEIPYVALSSIDEDLQSQPVDEVAKLRKELQDTLVMYDKACEDLVHAKKKIKVLSSECTEEAKKVQDALHREELLKQKVADEKTRHLEAVTEVEMAKTLFAQEAFSKHKAEIVADMVTAEKTKVMDALLSTGKSCRRYSKREIQLATDNFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSSDKIDEFLREVEILSQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDQLINNKGQQSLHWFLRIQIIFEVACGLAFLHATKPEPIVHRDLKPGNILLDKNYVSKIGDVGLAKLISDIVPEGLTEYRDTAVAGTLYYMDPEYQLTGTIRPKSDVYALGIIILQLLTGKRPHGLILSAEEAIKKDSISDVLDSSQIDWPIAEAEILAKLAVRCTALKCRDRPSLESEVLPEIESILSRITASPTLRSPNAAVPSHFICPILQEVMDDPYVAADGHTYEHRAIKAWLKKHKTSPVTKQRLQYLSIIPNHSLRVAIQQWKSQSS >ONIVA05G20830.1 pep chromosome:AWHD00000000:5:21019559:21026141:1 gene:ONIVA05G20830 transcript:ONIVA05G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARAAYLAATRAALAALERNALPDAVTRRLTRLLLAQRLRLGYLPSSSAPLHLHHLLLFAHALEEMPIAIETEKAKDQHYELPTTFFKLVLGRNLKYSSCYFPDESSTLEDAEVAMLELYCERAQLQDGQTILDVGCGWGSLSLYIAKKYSKCSITGICNSTTQKAFIEEQCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKALLKKLSRWMKEDSLLFVHYFCHKTFAYHFEVTNIPELFSPVTVFIRIITSYIQQDNNEDDWITRYFFTGGTMPSANLLLYFQDDVSIANHWLVSGTHYARTSEEWLKRMDKNITSIRPIFEKTYGKESATKWIAYWRTFFISVAELFGYNNGDEWMVAHFLFRKK >ONIVA05G20830.2 pep chromosome:AWHD00000000:5:21019559:21026141:1 gene:ONIVA05G20830 transcript:ONIVA05G20830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARAAYLAATRAALAALERNALPDAVTRRLTRLLLAQRLRLGYLPSSSAPLHLHHLLLFAHALEEMPIAIETEKAKDQHYELPTTFFKLVLGRNLKYSSCYFPDESSTLEDAEVAMLELYCERAQLQDGQTILDVGCGWGSLSLYIAKKYSKCSITGICNSTTQKAFIEEQCRENELSNVEIIVADISKFEMERSFDRIISIEMFEHMKNYKALLKKLSRWMKEDSLLFVHYFCHKTFAYHFEDNNEDDWITRYFFTGGTMPSANLLLYFQDDVSIANHWLVSGTHYARTSEEWLKRMDKNITSIRPIFEKTYGKESATKWIAYWRTFFISVAELFGYNNGDEWMVAHFLFRKK >ONIVA05G20820.1 pep chromosome:AWHD00000000:5:21013712:21014752:1 gene:ONIVA05G20820 transcript:ONIVA05G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHPRDDDLDLATGEVAAPVKSGDGGETTMSAAMDKERQIPVDPVSLRHLGMVADEDSPLSAPSVLTEVVVRSSSPMLPPLRRPTFVAASLPCSATSSPVHGAAETDKPAAATPSPTAAMRALARQHSVALAHYVAAPGAAAPALARSASRAEGRSMVPHDDEGDAEAPKAIAAGEDEGFNCGALCMFIPGFSKKKSSAAAAAAVVSSMQRQQSVGARPRRSSSVSRLASLERFECGSWSPPPPMAPAEHLAQEVAKSSCADDTEAPVKMAFVFDHGEPRGILKKSASSRQEPARPSASSSQRHVRFSTAAAAAAASCPTSPCVTPRLARARAEFNAFLEAAQSA >ONIVA05G20810.1 pep chromosome:AWHD00000000:5:21010777:21011880:-1 gene:ONIVA05G20810 transcript:ONIVA05G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACC oxidase 1 [Source:Projected from Arabidopsis thaliana (AT2G19590) TAIR;Acc:AT2G19590] MEIPVIKMDELHGEKRSETLQLLHDACAQWGFFWLENHGINEDLMYKIKGLVNKHYEQSMEKNFYNSETAKNLGPDNVVSNVDWECSFMYRHQPESNIHDIPELVRTTLPEYAEEVIKLAERLAEVMSENLGLDKDYLKKAFSNPSVGIKAAKYPRCSHPEFVMGLRGHTDAGGIILLLQDDLVPGLEFLKDGRWMPIPPTQGNRIFVNLGDQVEVISNGIYKSICHQVVPNKNGSRLSIATFYNPGPDAIVFPAQKLTYPSQYRFKDYLEFYSTTKFTDKVSRFQTTKMIFK >ONIVA05G20800.1 pep chromosome:AWHD00000000:5:21007116:21008573:1 gene:ONIVA05G20800 transcript:ONIVA05G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Fanconi Anaemia group E protein, C-terminal (InterPro:IPR021025); Has 41 Blast hits to 41 proteins in 17 species: Archae - 0; Bacteria - 0; Metazoa - 10; Fungi - 0; Plants - 27; Viruses - 0; Other Eukaryotes - 4 (source: /.../Link). [Source:Projected from Arabidopsis thaliana (AT4G29560) TAIR;Acc:AT4G29560] MEQWLPLFRYLLASPAPNAAAFSSSSSSSSGDDDVHCPTAPPPAAALLRLLLSPAPTLPASDPPAILFQTLPPLAQSQALSFLASSAGLLDPALVRSLATRVLSEPSGRYGLWARRGARHLLDGLPQGGGIDAPGEFLDGFHEPPQWLKEAAARTRPALPWLPLDRHSVKVGVCSGRYGFDRVGLDSLVLEKDEDSEMQEAKCVPSPSQPAALGTLSVQRALALQKEILMAESILVAQRVAKDLQQLCVESGNAEAVLSIVQPWKADDDTVRVLLSSLVLDGDGMHRKGPALMLCSLFLPKLLEIQRPVSSVLLSAALDLCKRHPAAALEAILLPLVLRKEGLNVPQCDVLTRIVKDCMHPLHVTAFCHRLLSGDEREWRPVCMPEHRSNISSNLVWTESLFALLYSILNQDICLTSSSTENLVSVIDEMASKLPRSLKFGNFLLCFISKCWRVSKIHSVLLERAAEKTDTFLTKAILAKLRTAN >ONIVA05G20790.1 pep chromosome:AWHD00000000:5:20998322:21005686:1 gene:ONIVA05G20790 transcript:ONIVA05G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFT5] MSGGAVAFLLLVAAAAAAVANAAVTYDHRSLTINGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFSDRYDLVRFVKLVKQAGLYVNLRIGPYVCAEWNYGGFPVWLKYVPGISFRTDNGPFKAAMQTFVEKIVSMMKSEGLFEWQGGPIILAQVENEYGPMESVMGSGAKSYVDWAAKMAVATNAGVPWIMCKQDDAPDPVINTCNGFYCDDFTPNSKNKPSMWTEAWSGWFTAFGGTVPQRPVEDLAFAVARFIQKGGSFINYYMYHGGTNFDRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLTNLHKAIKQAEPALVAGDPTVQNIGNYEKAYVFRSSSGDCAAFLSNFHTSAAARVAFNGRRYDLPAWSISVLPDCRTAVYNTATVTAASSPAKMNPAGGFTWQSYGEATNSLDETAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSGEQFLKSGQWPQLTVYSAGHSVQVFVNGQYFGNAYGGYDGPKLTYSGYVKMWQGSNKISILSSAVGLPNVGTHYETWNIGVLGPIGLKGEKLGVHSVSGSSSVEWGGAAGKQPVTWHRAYFNAPAGGAPVALDLGSMGKGQAWVNGHLIGRYWSYKASGNCGGCSYAGTYSEKKCQANCGDASQRWYHVPRSWLNPSGNLVVLLEEFGGDLSGVTLMTRTT >ONIVA05G20780.1 pep chromosome:AWHD00000000:5:20987521:20993240:1 gene:ONIVA05G20780 transcript:ONIVA05G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPARTRWAVTNLACCPLRQRLRLRLLTAGEPRLANYRRRGASERLRAPAGGVLRRRLRAASSRKLSKSMLWFEVMINEIEEQTLAIRKMMDRTLNQNYRRISIVERQYTQRWFQSELIQILDNISPLIICPRKIKEVLRISKA >ONIVA05G20780.2 pep chromosome:AWHD00000000:5:20987521:20993240:1 gene:ONIVA05G20780 transcript:ONIVA05G20780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPARTRWAVTNLACCPLRQRLRLRLLTAGEPRLANYRRRGASERLRAPAGGVLRRRLRAASSRKLSKSMLWFEVMINEIEEQTLAIRKMMDRTLNQVDELTLLFRGLNYRRISIVERQYTQRWFQSELIQILDNISPLIICPRKIKEVLRISKA >ONIVA05G20770.1 pep chromosome:AWHD00000000:5:20974223:20979290:-1 gene:ONIVA05G20770 transcript:ONIVA05G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASNVVLSLPPPLFLPSLFLSLSLLSSASSLLLWRRRRLVEHGVMHESITTISPVVSSSHAFQRWKQKQPGTSTHQSSDASAATNEQAPGWACVDSNAQAQRRGGRGGPATGDAAATREKGRPAVARAHGRTKGEHVEGWLPVSSSSKSWLLRAMTSHVRSVVPSVLNGASTKANTGRRKTREGRGRARLWTSSATALPYRAPVVPRVSYLPRPMPVFTTKRLHVSSPLPVAARLRH >ONIVA05G20760.1 pep chromosome:AWHD00000000:5:20970011:20972205:-1 gene:ONIVA05G20760 transcript:ONIVA05G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNITACVNFLALVCAVPVVATGVWFASKQGDDCARVARWPLAILGAALLLVALAGFAGAYWNRRGLLAAYLFAMAALITLLLALLVFAFAVTRPSGAYPAFARAYDDYRLDGYSTWLRDRVAGDPRRWEGIRACLAASDTCRKLAQESVFFITPEQFYQSHLTPLQSGCCKPPTVCGYAYVSPTVWVNPANPAADADCAAWGNDPSQLCYECSSCKAGMLGTLREQWRRANVALVIATVALIFFYVIGCSAFKNAQTEDLFRRYKWRN >ONIVA05G20750.1 pep chromosome:AWHD00000000:5:20964592:20967231:-1 gene:ONIVA05G20750 transcript:ONIVA05G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRAQLTTFLIVTSFLSTVTYLGAPVHGGVLTSYDVSSLDIMSKIHTDHDATTKASSDFGHIVHATPNGVFRPTFPADIAALIRLSLSQPTPFTVAPRGKGHSSRGQAFAPGGIVIDMSALGDHGHHTSHRINVSVDRMYVDAGGEQLWIDVLHTALKHGLTPRVWTDYLRITVGGTLSNAGIGGQAFRHGPQISNVHELDVVTGMGEMITCSPEVNSALFFAVLGGLGQFGVITRARIRLEPAPKRVKWVRIAYSDVHPFTTDQELLISKRASGSGFDYVEGQVQLNWTLTQGRRSSSFFSATDLARLTGLAIDTGSVAIYYIEGAMYYDDNTAASVDQKLDALLEELSFVRGFVFVRDASYVEFLDRVGREEQNLRSAGAWDVPHPWLNLFVPRSRILHFDAAVFKGILRNANPVGLILMYPMNKDMWDDRMTAMTPDEDVFYAVGLLRSAVAGGSGGDVEQLERENAAVLELCDLAGGGIGCRQYLPHHASRDGWRRHFGAKWGRVADLKARYDPRAILSPGQGIFPPPPPPSPPPAAAGEPITAS >ONIVA05G20740.1 pep chromosome:AWHD00000000:5:20950947:20951531:1 gene:ONIVA05G20740 transcript:ONIVA05G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQESAAGTTNQVMRWRYGDVDDSNFAVHGRAVYLLVGLLVAVVVFVALFLYLRWACHRYTPDPEASSSSSAAGAAGAAAAASMHGLDAEAIGGLPVTLYRPRDSSSPPAGKGGGGGVDDDQAAQCSICISALVAGEKVKALPPCGHCFHPDCVDAWLRSQPSCPLCRSLLLAAAATAAKPDVNGGDDDDSAV >ONIVA05G20730.1 pep chromosome:AWHD00000000:5:20943683:20944280:-1 gene:ONIVA05G20730 transcript:ONIVA05G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEBP (phosphatidylethanolamine-binding protein) family protein [Source:Projected from Arabidopsis thaliana (AT5G01300) TAIR;Acc:AT5G01300] MAQESLRLVSHPIAAHEGRLPRHYTLEGQGAKKDISPPVEWYGVPDGTRSLALVVHDVDAPDPDGPIVPWTHWVVANIPPSVKGLPEGFSGKEGAAAREYGGIQEGVNDWKQPGWRGPIPPSRGHRIQFKLYALDDEVHLGNKASFDPIEPYLVAITCAVLRISLTVTKDKLMDAIEGHVLGEAELMAVF >ONIVA05G20720.1 pep chromosome:AWHD00000000:5:20938675:20944430:1 gene:ONIVA05G20720 transcript:ONIVA05G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ammonium transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G38290) TAIR;Acc:AT2G38290] MAAAGAYSASLPAVPDWLNKGDNAWQLTASTLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGDQLLPFWGKAGVALTQSYLVGRATLPATAHGAIPRTEPFYPEATLVLFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLLLSYTVGAFSLWGGGFLYRWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANIAASVAVLNTNVCAATSLLMWTCLDVIFFRKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVVMGIFAGSVPWFTMMILHKKSALLMKVDDTLAVFHTHAVAGLLGGILTGLLATPELFSLESTVPGLRGAFYGGGIKQIGKQLGGAAFVIAWNLVVTTAILLGIGLFIPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFDATRHDLSRGGGGGDRDGPAGERLSALGARGVTIQL >ONIVA05G20710.1 pep chromosome:AWHD00000000:5:20926904:20935660:1 gene:ONIVA05G20710 transcript:ONIVA05G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 3 [Source:Projected from Arabidopsis thaliana (AT3G56040) TAIR;Acc:AT3G56040] MPPSLSLSLSRSRRPPLIPSLPRSQVSPLPPLLPPARSPPPPPPLLSTPRMASRTLPPPHLRLDLCSPRLPPLRSPGCRRHRRRGRVLSALSSPSPSPSSASRSQSVSTAPLERGVGPGPATSREQPRGGGDPALAAELARLSALRARLRGARSLADKLRALDAETRVVEFFGEGSNGGVLGALEPREVFLLKCLVAAGQEHVLGAELDWDGRGHEHHHHHNGGSDGRSALRQALSSLAALVGKWSSEGVVEGVAESGESELLRRLLKFLGDIDVFYDYIGGIIGYQIMALELLSASKDHKHRPSKHKSIDFHVPSGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKDNHEHITAICERLEWFGRGRENFRLFEQPLVPVVNAKDGKWLTSGALFPVGKPGGHGAIWKLACDRGIFQWLYQNGRKGATVRQVSNVVAATDLTLMALAGIGLRHDKKLGFASCERRPGATEGVNVLIEKENQDGQWAYGITCIEYTEFEKYGIPEPTVTNGSLQANYPANTNILYVDLQAAEEVGSRKNASCLPGMVLNLKKAVSYLDHLGFECSAAGGRLECTMQNIADNFMNTYNYRCSKGIESELDTFIVYNERKKVTSSAKRKLKSEDKSLHQTPEGSLLDIMRNAYDLLSSCNVKVPKVKDNCEYLRSGPPFLIFLHPALGPFWDITRQKFVGGSVSQGSELQIEVAEFLWQDVELDGSLIVLADNIMGSTNKNNTGEQIMHYGARCGRCKLRSVKIVNKGINWSSANNVYWKHDVERSESVKIILHENAEFEAKDVVLKGNHIFEVPTGHRMRIVQDGPEFVAKLDPISKEMMDGGTWYWKYAVDGAHVKLEMVEL >ONIVA05G20710.2 pep chromosome:AWHD00000000:5:20926904:20935660:1 gene:ONIVA05G20710 transcript:ONIVA05G20710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 3 [Source:Projected from Arabidopsis thaliana (AT3G56040) TAIR;Acc:AT3G56040] MPPSLSLSLSRSRRPPLIPSLPRSQVSPLPPLLPPARSPPPPPPLLSTPRMASRTLPPPHLRLDLCSPRLPPLRSPGCRRHRRRGRVLSALSSPSPSPSSASRSQSVSTAPLERGVGPGPATSREQPRGGGDPALAAELARLSALRARLRGARSLADKLRALDAETRVVEFFGEGSNGGVLGALEPREVFLLKCLVAAGQEHVLGAELDWDGRGHEHHHHHNGGSDGRSALRQALSSLAALVGKWSSEGVVEGVAESGESELLRRLLKFLGDIDVFYDYIGGIIGYQIMALELLSASKDHKHRPSKHKSIDFHVPSGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKDNHEHITAICERLEWFGRGRENFRLFEQPLVPVVNAKDGKWLTSGALFPVGKPGGHGAIWKLACDRGIFQWLYQNGRKGATVRQVSNVVAATDLTLMALAGIGLRHDKKLGFASCERRPGATEGVNVLIEKENQDGQWAYGITCIEYTEFEKYGIPEPTVTNGSLQANYPANTNILYVDLQAAEEVGSRKNASCLPGMVLNLKKAVSYLDHLGFELLLEAGELDTFIVYNERKKVTSSAKRKLKSEDKSLHQTPEGSLLDIMRNAYDLLSSCNVKVPKVKDNCEYLRSGPPFLIFLHPALGPFWDITRQKFVGGSVSQGSELQIEVAEFLWQDVELDGSLIVLADNIMGSTNKNNTGEQIMHYGARCGRCKLRSVKIVNKGINWSSANNVYWKHDVERSESVKIILHENAEFEAKDVVLKGNHIFEVPTGHRMRIVQDGPEFVAKLDPISKEMMDGGTWYWKYAVDGAHVKLEMVEL >ONIVA05G20710.3 pep chromosome:AWHD00000000:5:20926904:20935660:1 gene:ONIVA05G20710 transcript:ONIVA05G20710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 3 [Source:Projected from Arabidopsis thaliana (AT3G56040) TAIR;Acc:AT3G56040] MPPSLSLSLSRSRRPPLIPSLPRSQVSPLPPLLPPARSPPPPPPLLSTPRMASRTLPPPHLRLDLCSPRLPPLRSPGCRRHRRRGRVLSALSSPSPSPSSASRSQSVSTAPLERGVGPGPATSREQPRGGGDPALAAELARLSALRARLRGARSLADKLRALDAETRVVEFFGEGSNGGVLGALEPREVFLLKCLVAAGQEHVLGAELDWDGRGHEHHHHHNGGSDGRSALRQALSSLAALVGKWSSEGVVEGVAESGESELLRRLLKFLGDIDVFYDYIGGIIGYQIMALELLSASKDHKHRPSKHKSIDFHVPSGLNLLEDTEYASQAALWGIEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKDNHEHITAICERLEWFGRGRENFRLFEQPLVPVVNAKDGKWLTSGALFPVGKPGGHGAIWKLACDRGIFQWLYQNGRKGATVRQVSNVVAATDLTLMALAGIGLRHDKKLGFASCERRPGATEGVNVLIEKENQDGQWAYGITCIEYTEFEKYGIPEPTVTNGSLQANYPANTNILYVDLQAAEEVGSRKNASCLPGMVLNLKKAVSYLDHLGFELLLEAGELDTFIVYNERKKVTSSAKRKLKSEDKSLHQTPEGSLLDIMRNAYDLLSSCNVKVPKVKDNCEYLRSGPPFLIFLHPALGPFWDITRQKFVGGSVSQGSELQIEVAEFLWQDVELDGSLIVLADNIMGSTNKNNTGEQIMHYGARCGRCKLRSVKIVNKGINWSSANNVYWKHDVERSESVKIILHENAEFEAKDVVLKGNHIFEVPTGHRMRIVQDGPEFVAKLDPISKEMMDGGTWYWKYAVDGAHVKLEMVEL >ONIVA05G20710.4 pep chromosome:AWHD00000000:5:20926904:20935660:1 gene:ONIVA05G20710 transcript:ONIVA05G20710.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 3 [Source:Projected from Arabidopsis thaliana (AT3G56040) TAIR;Acc:AT3G56040] MPPSLSLSLSRSRRPPLIPSLPRSQVSPLPPLLPPARSPPPPPPLLSTPRMASRTLPPPHLRLDLCSPRLPPLRSPGCRRHRRRGRVLSALSSPSPSPSSASRSQSVSTAPLERGVGPGPATSREQPRGGGDPALAAELARLSALRARLRGARSLADKLRALDAETRVVEFFGEGSNGGVLGALEPREVFLLKCLVAAGQEHVLGAELDWDGRGHEHHHHHNGGSDGRSALRQALSSLAALVGKWSSEGVVEGVAESGESELLRRLLKFLGDIDVFYDYIGGIIGYQIMALELLSASKDHKHRPSKHKSIDFHVPSGLNLLEDTEYASQAALWGIEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKDNHEHITAICERLEWFGRGRENFRLFEQPLVPVVNAKDGKWLTSGALFPVGKPGGHGAIWKLACDRGIFQWLYQNGRKGATVRQVSNVVAATDLTLMALAGIGLRHDKKLGFASCERRPGATEGVNVLIEKENQDGQWAYGITCIEYTEFEKYGIPEPTVTNGSLQANYPANTNILYVDLQAAEEVGSRKNASCLPGMVLNLKKAVSYLDHLGFELLLEAGELDTFIVYNERKKVTSSAKRKLKSEDKSLHQVKDNCEYLRSGPPFLIFLHPALGPFWDITRQKFVGGSVSQGSELQIEVAEFLWQDVELDGSLIVLADNIMGSTNKNNTGEQIMHYGARCGRCKLRSVKIVNKGINWSSANNVYWKHDVERSESVKIILHENAEFEAKDVVLKGNHIFEVPTGHRMRIVQDGPEFVAKLDPISKEMMDGGTWYWKYAVDGAHVKLEMVEL >ONIVA05G20710.5 pep chromosome:AWHD00000000:5:20926904:20935660:1 gene:ONIVA05G20710 transcript:ONIVA05G20710.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 3 [Source:Projected from Arabidopsis thaliana (AT3G56040) TAIR;Acc:AT3G56040] MPPSLSLSLSRSRRPPLIPSLPRSQVSPLPPLLPPARSPPPPPPLLSTPRMASRTLPPPHLRLDLCSPRLPPLRSPGCRRHRRRGRVLSALSSPSPSPSSASRSQSVSTAPLERGVGPGPATSREQPRGGGDPALAAELARLSALRARLRGARSLADKLRALDAETRVVEFFGEGSNGGVLGALEPREVFLLKCLVAAGQEHVLGAELDWDGRGHEHHHHHNGGSDGRSALRQALSSLAALVGKWSSEGVVEGVAESGESELLRRLLKFLGDIDVFYDYIGGIIGYQIMALELLSASKDHKHRPSKHKSIDFHVPSGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKDNHEHITAICERLEWFGRGRENFRLFEQPLVPVVNAKDGKWLTSGALFPVGKPGGHGAIWKLACDRGIFQWLYQNGRKGATVRQVSNVVAATDLTLMALAGIGLRHDKKLGFASCERRPGATEGVNVLIEKENQDGQWAYGITCIEYTEFEKYGIPEPTVTNGRLTIQQIQIYYMLICKQQRKLECTMQNIADNFMNTYNYRCSKGIESELDTFIVYNERKKVTSSAKRKLKSEDKSLHQVKDNCEYLRSGPPFLIFLHPALGPFWDITRQKFVGGSVSQGSELQIEVAEFLWQDVELDGSLIVLADNIMGSTNKNNTGEQIMHYGARCGRCKLRSVKIVNKGINWSSANNVYWKHDVERSESVKIILHENAEFEAKDVVLKGNHIFEVPTGHRMRIVQDGPEFVAKLDPISKEMMDGGTWYWKYAVDGAHVKLEMVEL >ONIVA05G20700.1 pep chromosome:AWHD00000000:5:20922841:20924454:-1 gene:ONIVA05G20700 transcript:ONIVA05G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLATVVAIFLAAILLSSPPPCAAASSAPAPAAAGHGVPAVFAFGDSTLDPGNNNRLATLVRADHAPYGRDFPGGAATGRFTDGKLITDYIVSSLGIKDLLPAYHSSGLAVADASTGVSFASGGSGLDDLTANNALVSTFGSQLNDFQELLGHIGSPKSDEIAGKSLYVISAGTNDVTMYYLLPFRATNFPTIDQYGDYLIGLLQSNLNSLYKMGARKMMVAGLPPLGCLPVQKSLRGAGSGGCVTEQNEAAERYNAALQKALSKLEADSPGAKIAYVDIYTPLKDMAENPKKYGFTQASLGCCGTGMMEMGALCTSALPQCQSPSQYMFFDSVHPTQATYKALADEIVKSHVPQLMQ >ONIVA05G20690.1 pep chromosome:AWHD00000000:5:20904886:20921562:1 gene:ONIVA05G20690 transcript:ONIVA05G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLRHLLGLSGEVSGRLRRSHSTAASRPAWAMMADMGLVHTEQEPPEPITTATFCLASPPRVSQLVVPIRFIVSLAVPVDDGSKGEVISRGTICAANSGGLFLVRTALELVQVPAHGGNPVFIPRPKDDTWPPLPGLKSDTKVVRVVCNPLTGGELLPLPEEDPDTGDTGGTWRFVKPGFLTQADRGDGPPDRYAVAEIRGEDSIMHRFLSETGRWDATPGFSSAIPAARPAITADHPVVSFGGRMWWIDLAWGAVSVDPFAAEPDFRFVELPSGSVLPAADAISVERRRLQEAPLSRYRRVGVSEGRLRYVEVSEASPFVLSCFTLDDEGGSGWTLEHRVALGRLWSEPLQETPRIGALDPLKASVVYLMIGEDGRHVVGVDLEKGVMIGSCLLEHPTGLTPCVLPPWLETSRIPSTGTLSSKKTNAESSLKFTGRDPEIPFDGSSFFKYLNSHFGDAGRSLGFAVHLDFAVPTAATMQLRRLLALSGEVSGRLRRRSHSTFASPSRPAWAMVVSEPQEEAPELRATFRLAEPPRASQLLVPYDAIRPRGSQGPLCAAAEEATSEDGLLLLRAIVAHGACACAHATAPNLPASSRPPGQRSVARVVCNPLTGQLLRLPDIDGASSEGSPGLMGYAGLLTQADGGDGPPDRYAVAEVSCDDFVMHRFLSETGRWDAMPGFLSPLPAARPIVVDQPVVAFGGRLWWIDLAWGAVSVDPFADEPDFRFVELPRGRVLPSSNEMSFERRRRKKVLSTHRRVGVSEGTLRYVEVSGVEQFVVRSYVLDDDGSSWTMEQSTALRAQGAPFPGMPGIACIDPVNADVVYVMVGSGLVLGVDMERGMGFGLSVLDEPAWPTPCVLPPWLESTRIPSSTETLLSKKTNVERKSLADMLLRRLLGLSGEVSGRLRRSHSTVASPSRPAWTMIDIARQDPPELHATSRIAEPPATSDGFLLRGVYENDVARVVCNPLTGQLFRLPDIGGARSEASPGGAAGLLTQADRGDGHGPPDRYAVIEVGVNGHVMHRFLSETGRWEAMPSFFSSLPFGRSTIIDHPPVAFGGRMWWIDLGWGAVSFDPFADEPDFRIVALPTGSVLPAEASGFATRRKLRLSRYRRVGVSDGRLRYVEVPASAGAEPFELNSFVLDEAGNRWTLVSSGEPLADGRQLCPDGSHIFAEAPFICCIDPLKGNVVYLMAGPGNQVVIGVDMETGLVTGASLLDQLNWLTPCLLPPWLGSCQIPSSGKNNVKNEALAEILVRSDRAKTLSASFLSLSLSSKMLCHRGLSAVASGRLRRALTTAASRPPWALIHRISTADGSTGAGVSLALAPPPRASRVTIPAKAIALNDRPISADESRVALRGRGVLAASGDGLLLVYTFKACFRGPAHPVPELPLDVIIPELARTTVETTYEHFASFVCNPLTGELFRLPDFDGTENTVDVHHTGILTQRDGGGEDGPPKRYAAAQLSNVDGDDEGRRFLLRRYSSETREWSKLVMPSPLPPGRAMGMNHEVVAFGGRLWWVDVSWGAIAVDPFSHRPEPRSIKLPAGSILSEKPCSREMERIVKHRRMGVSNGKLRYVEVSDQEPFVVMSFTLDDESGHWTLDHQVALSTLGAKGGSPKGIPYIGAIDPFNADVLYLAIERVSVSVDMRLKKVIQCSELCSDVFPTVSSSGVLLPCVLPPWLDSFPIPNAGKNNMKNETLADILVINIKTGILMD >ONIVA05G20690.2 pep chromosome:AWHD00000000:5:20891668:20904941:1 gene:ONIVA05G20690 transcript:ONIVA05G20690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPHPLLSVSPTNTKPSSPSLLPVVHYRLLLLLLHHQITATSTSTPPRAMLRLRRLTGAVSGGLARYLSTRAASPARPPWALLQLSKMDRSGASSQPGASLHADYPPCVSYLTFAASFVDPRLRHDAESEMFGTVSTDVRATSGDGLVLVRFYDSRNHLPTVGSRGGEPMREWALDGVDRDPEVTRFVCNPLSGEMYRLPDLNGTKKTSRYLHFGLLTQSDAGQGPPARYAVAELDGNREEDGQGWLVRRFLSDSGEWDKLVGMPSPLPARRTVDIDQEVVAFGDRLWWVDASWGAVTIDPFSDRPELRFVELPKESVLPDLDDVVMLRELGKYRRMGVSEGKLRYVEVSLGKQFLIRSFSLADDDEGGDSWTLEHEVAFGPIWKDEHHASVPLGGMPRIGAIDPLNANIVHLIVGDQMLSIDMIKERAIDSSRLGCADFPLLPCVLPPWLESSQIPEGIHWSKKAKMKSNTPSYSDMSGFSKLTDELRRRLLGLSGEVSGRLRRSLSTGPSRPQWAMVNTVTPLPKPNVPLETRATFRLAEPPLASELVVPYGALWRRPSGPAAGLEGEIRRYGPRYTANSDGLLLLRVVDEVAKLTGQAATNMFDNRGDPSWFVQSGIRYDFDKVVARVVCNPLSGEVLRLPDGGLARQAYAGFLTQSDSGGGPPDRFAVVEFIGKDLPIHRFLSETGRWDALPDYLFGCGLTRPRKVIVDHPVVAAGGRLWWLDMTWGAVHVDPFSHDPDFRFLELPIGSVLLNPEATNPEWRHKLRLGDYRRMGVSEGRLRYTELSWDEPFVLSSFALDGDTVEGSGWKWTLQHRVELSQIWGDGGYPWLPFRGTKPCVGFLDPLNAHVAYPTVGDHVIGVDMERGVVIGSSLVEDPSELMPCVLPSWLGSCQIPPSGKDNAKNKTLGDILVRSGSDKNSGASLASPVRSPAASAAPSPVSTAASRPAPAWAMMNDAVRLDERVPPERRPTLRLAEPPRVSRLTVPLHCLLCPATPGTEGNVACRINICTASSGGLLLLRTVFDLAQVPDHVKFPIPISKDDTTWPPLPGLKSHTEVSRVVCNPLTAELLRLPEDPDTVGESKSWHNLLHGFLTQADRADGPPDRFAVAEVRGADCVMHRFLSEKGRWEATMSLSSLSFTRQILIDQPVVSFGGRMWWIDLAWGAVSVDPFPDQPDVRYVLLPSGSVLPADAASIEMRRGKVGLRRWRRIGVSEGRLRYVEVSGAKLFVLSSFVLDDDGGGRWTLAHRVALGPLSPAGPLQIGAIDPLNASVVYLVVGGDDGKHVIGVDMERRMVIASFLLDEPTVFTPFVLPPWLASSRIQAPLDDKTPWRP >ONIVA05G20680.1 pep chromosome:AWHD00000000:5:20890800:20891129:-1 gene:ONIVA05G20680 transcript:ONIVA05G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDSRRLADLDVDELDRLLPLIKVTPFAAHRTSAPRGCGCPCAAPRRMEEAQASSERTPNPPHNRSVAFGQFQERLRESFTQ >ONIVA05G20670.1 pep chromosome:AWHD00000000:5:20886276:20889618:-1 gene:ONIVA05G20670 transcript:ONIVA05G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDSRRLADLDDDELDRLLPLIKVTPFAAHRTSAPGGCGCPCAATRRMEEGQASSERTPNPPTVAQSSLDNSKKDSEKALRKRSIKMAMKIINYSEDCMRKIVELNATLPLDQHFFPACIWPRDLEDLRFSKIGFYVDYRLNDTSPTTWGCKRFAHEDKYVASTMLRINGLVFTGDFPHGRSMHVYGFVAVRDDKEPLRNYIFNVSREKACQLNLDSALIEFHLKVKGSDSDSSSDDDILIIINACMEFDYETIEHDKKLISRIDGPFGPLDMRYIFLKNGIEATIDIDLGSTSEA >ONIVA05G20660.1 pep chromosome:AWHD00000000:5:20875310:20890899:1 gene:ONIVA05G20660 transcript:ONIVA05G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIEVYGSMRWSNAKLKAFVPGDEGYALADWWLQNRARCRTSYRASFDCLFILATERLWGGFGVLSDEAA >ONIVA05G20650.1 pep chromosome:AWHD00000000:5:20866142:20871013:1 gene:ONIVA05G20650 transcript:ONIVA05G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTEAELDRSGAPSRSARASLDLVEPPRSSRLSVPAHFVNPGPLPDAEGDAVGFVGWVIGGSGDGLLLLKFYDTRYHAPVVANVRLAVALQLSRTTSEGVLDPDVAHFVCNPLSGQMYRLPPAIETTKYSTGRGLLTRSESRHGSPDRYVVAELTRGESGSSVLRRFMSETEEWDDVATVRSSSGSERAAAAERVMHLDHQVVAFGGRLWWVDGALSVDPFSDRPEERFVELPKGSVLPDLTGIGGRRILGLYRRMGVSEGKLRYVEVSNAKKPFVVSAFSLDDEGSSWTLEHRMEITPSWKGELKVPEKPRIGAIDPLNANVVYLIFLHEVLAVDMAKGEVIGRSSPDDVNSSSVVPCILPPWLESCQIPSAATLSSKKTDVERNTLADTLVPWD >ONIVA05G20640.1 pep chromosome:AWHD00000000:5:20861222:20864894:1 gene:ONIVA05G20640 transcript:ONIVA05G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLLLVGLPALLFLSDPHPPHHPHPPHHPHPPHHPHPPHHHPHPRPDPAAEAIQANVDGAGYGTTVELQFCASCSYKGTAMTMKRMLETSFPGIHVILHNYPPPFPKRVLGKLVPILQVGAIATIMAGDHIFPRLGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGDLVFSKLAEQRFPSEFELRDLINSRLPDSLVGKNVGKSLS >ONIVA05G20640.2 pep chromosome:AWHD00000000:5:20861222:20864595:1 gene:ONIVA05G20640 transcript:ONIVA05G20640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLLLVGLPALLFLSDPHPPHHPHPPHHPHPPHHPHPPHHHPHPRPDPAAEAIQANVDGAGYGTTVELQFCASCSYKGTAMTMKRMLETSFPGIHVILHNYPPPFPKRVLGKLVPILQVGAIATIMAGDHIFPRLGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGDLVFSKLAEQRFPSEFELRDLINSRLPDSLVGKNVGKSLS >ONIVA05G20630.1 pep chromosome:AWHD00000000:5:20847937:20852539:-1 gene:ONIVA05G20630 transcript:ONIVA05G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKTSHFPASTGGGKKKPHQARNGGGGGGGGGGGGGEKKRLSVLGEEGSEVSGGIEEKYALDRELGRGEFGVTYLCMDRCSRELLACKSISKRKLRTPVDVEDVRREVAIMRHLPRSASIVSLREACEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAALLFCKFLPSKIAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRQMLQPDPKLRLTAKQVLEHTWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKDMFKVMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANGEHLRRAFLFFDKDGNGYIEPEELQEALVEDGATDIMEVVKDILQEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIRLIKDGSVKLGNE >ONIVA05G20620.1 pep chromosome:AWHD00000000:5:20843947:20844268:-1 gene:ONIVA05G20620 transcript:ONIVA05G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGEATSDRGCLPPLLDLDQPPASSRRAASARDADAAVWAPLPRAVRRGRARTSSSSKLGVAISARSGCFASEVWEDGGGVR >ONIVA05G20610.1 pep chromosome:AWHD00000000:5:20840018:20843911:-1 gene:ONIVA05G20610 transcript:ONIVA05G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGAEGAAMGFLPAAEHGFARSAAPSPLREEEEVLDDDPKLPPLRMPTSFAAFPGSSSGSDSDSFLSMSSTPSGLMNPYGVWSPRAPPSEASSSEMEFGTAREYDTTDLFFGENWLYDDHLFHTNSDGDEGNGEDKFIVGADSTAQWSETRELDDCGGRHQVHTKSKADAEACAEVYTCSSAPCSCCYGGRKNDDGLTRDSCSAVYGRYLIMDDQTEVLDECGADAFLFTRDGDAMLKSEQPIDSKGGDIELLDMSTVEKELQMLSPYLAEADALEKAELEHDFSGNGELDINIVTNEKTADDKELLKNSYSIHSLPEIGDPLDVYEMEDFGRTDTSVQNSTANKITEDARTDIDLALSRFHEEYEVFELRIFHRKNRTGFEENKEFPIVMNSVVGGRYRITEYLGSAAFSKVVRAQDLWTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPDDEHHILRLYDFFYYQEHLFIVTELLRANLYEFQKYNQESGDEVYFSLRRIQAIARQCLEALVYLHHLNIVHCDLKPENILMKSYSRCEIKVIDLGSSCFLTDNLSLYVQSRSYRAPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNESVQIILARMIGTIGPLDMEMLALGQDTQKYFTEDYDLFHKNENLISSEALL >ONIVA05G20600.1 pep chromosome:AWHD00000000:5:20836293:20840634:1 gene:ONIVA05G20600 transcript:ONIVA05G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEFLSPEAAAAAGVGPVRQQQHPGEAAWRAVVGWLGFLLQILLQIVRGTPSSWAHLLSFLGLRHPLLSAAPPQPSPSPSPSVAFVRLPSEAPADASSTGPPPPLRRLTVVLDLDETLVCAYESSSLPAALRAEAVEAGLHCFEMECISAEKASCIFLDIKDAEGSQRVNRVTVFERPGLHEFLQRTSEFADLILFTAGLEGYAKPLVDRIDAHNRFCHRLYRPSTVTTEYREHVKDLSCLSKDFHRIVLVDNNPYSFLLQPLNGIPCLTFSAGQPVDDQLMGVIFPLLKHLSLQNDVRPALYETFHMPEWFQRHGIPQIDQAA >ONIVA05G20590.1 pep chromosome:AWHD00000000:5:20833114:20834249:-1 gene:ONIVA05G20590 transcript:ONIVA05G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPERSYSCSSAKEVAYSCGYCGYALNLSSSTRNTANIGSKYGKQIRKGVISFFAIDESRFTQTDEVSCMPYFHSRRSWGLFRKRTRLICRKCGGRIGNAYEDEDSTLYDGSDDLHMSSEGYSMSSGKKYVIKINALQPSTDDSGVPFTL >ONIVA05G20580.1 pep chromosome:AWHD00000000:5:20808535:20808945:1 gene:ONIVA05G20580 transcript:ONIVA05G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQSNDGAVMFSSIALLQQRFRELERIKEEREERLIQMLPPRSDRSHSGAAAVVVATAAPREVPVKWFFHPELLYPCRPLRDMAAATLLPVMPATIDCEFKTFQLRGDSLAVDLWPSKAYKHVSSEVDVDTSLHL >ONIVA05G20570.1 pep chromosome:AWHD00000000:5:20808403:20820934:-1 gene:ONIVA05G20570 transcript:ONIVA05G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSTSTAALLLASGRHPRLQFQSLRAPTKPPFHFPHLLLPSRSSSRRCCRVPVPAPGARSRGLPAPVSASALPLRVTPPPGVEVEGGVGRAVAAAAAVRRVAVALACGALAAAWCHRALAVAGAAGAAGASGAVEAAAGFGGMALRGGWPRVLQILQLLREQGIILAVLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLVLYPVGRIVTFLSMGMLQILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMLDDGTFDVDANTSIDHLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILEKDNREENDEYKEEGSDNQDDKERTQAYELEPISSECVDVDSKGVNRMISKKIIKRKKQNPGDSSDSDDEECTDTSENGCPAELLYYSDDNSAQLEDAGNSAATRSTASESPRSWKVLNSQSMVAGMTGSKVAAAMSRRGLHGYNSSGWKNHFTGTSLGAAVATTTAAAPLWERSERGGSIWMSLSSRSSLILSSSLNLCCSSAMEENMTAPSLLCIPISPRTMIGQKQLYDQRNSLSPLFEFPFVWLLCRMCFGGGGSVV >ONIVA05G20570.2 pep chromosome:AWHD00000000:5:20808969:20820934:-1 gene:ONIVA05G20570 transcript:ONIVA05G20570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSTSTAALLLASGRHPRLQFQSLRAPTKPPFHFPHLLLPSRSSSRRCCRVPVPAPGARSRGLPAPVSASALPLRVTPPPGVEVEGGVGRAVAAAAAVRRVAVALACGALAAAWCHRALAVAGAAGAAGASGAVEAAAGFGGMALRGGWPRVLQILQLLREQGIILAVLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLVLYPVGRIVTFLSMGMLQILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMLDDGTFDVDANTSIDHLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILEKDNREENDEYKEEGSDNQDDKERTQAYELEPISSECVDVDSKGVNRMISKKIIKRKKQNPGDSSDSDDEECTDTSENGCPAELLYYSDDNSAQLEDAGNSAATRLPKTTRAATLVDTWKVNSLG >ONIVA05G20560.1 pep chromosome:AWHD00000000:5:20802245:20802711:-1 gene:ONIVA05G20560 transcript:ONIVA05G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVDIMVVVDKLEGIAGVIVSVEIEDETIMNKLGYPALADEFQLQVLSSRTIAIVHEARSNKVTTTTMVLSLRNTMAQLIYA >ONIVA05G20540.1 pep chromosome:AWHD00000000:5:20799478:20800884:1 gene:ONIVA05G20540 transcript:ONIVA05G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALQALLDPTALSLGLPTPVINKEEYLAICLTVLAGTRAGKALVGKAVLGAGVNDALPLSLVRSCRLTPSPPDTCHTPDLILSGPPCWWEWETSRRCSHVGLQAVVPEVASGGAHGTAVDWRPPSATLSADLLPSSPPPARAAPPMLTCATSSLASLPRTPPPVSAPTRRHRRESCKVARTTQPPPTTMKMACSEFREEREEERRGRERLACFRGILRCGAHVGPSLTQPPRRINPESKPPMDLVCTVVDPKSRTRAKPPTGPCTPASAAAAWAVRMPCRLAA >ONIVA05G20530.1 pep chromosome:AWHD00000000:5:20791416:20793773:1 gene:ONIVA05G20530 transcript:ONIVA05G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFQ2] MAASALHQTTSFLGTAPRRDELVRRVGDSGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >ONIVA05G20520.1 pep chromosome:AWHD00000000:5:20765950:20769209:-1 gene:ONIVA05G20520 transcript:ONIVA05G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGTDELNLLWSCSLNLTLLLLLLVPAGIHIVSLKLRHRRENTSTDGLRLPPGPWRLPIIGSLHYLRVVVASTPDAAREVLKTHDATMSTAVSANIGDGRWRHQHGICTLELLSAKRVRSFRPIREEQDTRLVGAVVAAAAPSGEPVNVRRLIGRPMTDLALRAIMGEHCTPSGPPPHPRCTT >ONIVA05G20510.1 pep chromosome:AWHD00000000:5:20765603:20765923:-1 gene:ONIVA05G20510 transcript:ONIVA05G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAIEQHQEHANDGGTHGDRECLLSTLLRIPKEGDNNDDGGDLTMANVKAVILYVYQTNSDIFSKLKDYINHIKEFW >ONIVA05G20500.1 pep chromosome:AWHD00000000:5:20762366:20762923:-1 gene:ONIVA05G20500 transcript:ONIVA05G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEVMQKAQAEIRHALQGKSRVTEDDLINLKYPKNIIKETMRLHPLASLLVPRKCQESCKILGYDIPKGTILIVNVWTIGKDHRYWDDAEVFIPERFEDTTIDFKGTHFEFIPFGAGRRMCLGMTFAHATIELALTDLLYHFDWHLPHGVTHDGMDMEEQFSVTVSRKRDLYLHPIQHVGVEEI >ONIVA05G20480.1 pep chromosome:AWHD00000000:5:20738825:20751275:-1 gene:ONIVA05G20480 transcript:ONIVA05G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKAKATEELATQTSDRQAAEQDHMNSLDDEHLFTDKCIAGNANLADDALECALDDAAATSSLLPSMTKPERATTPQASRCPPLHFSSKIPKNGASRKEIGRRPPQLRSPSPFADSFFSGESQSDSMH >ONIVA05G20470.1 pep chromosome:AWHD00000000:5:20723279:20727088:1 gene:ONIVA05G20470 transcript:ONIVA05G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSWVRARGDCGGGWNGNADEIDLGRHREQEPTHLDPLSLTLDPLCLTDLKNDPRAHWSQHSFIPEHPVSGTHDLAQPPLHQTLPAPAQPRLQQDGESHSFPSIPYNG >ONIVA05G20460.1 pep chromosome:AWHD00000000:5:20717976:20718320:-1 gene:ONIVA05G20460 transcript:ONIVA05G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGEAVPVTSWHRGGAAAAAVQGRGEPASRKSGGGGSGMMRSDEPDLAWHRLWARWRWATAGAALAGGWRDGSTSIVEWRRVRHWWIRLDTVVGAETTGDCGLEARRLHLHH >ONIVA05G20450.1 pep chromosome:AWHD00000000:5:20711765:20715265:1 gene:ONIVA05G20450 transcript:ONIVA05G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATLILDSLGTNRCWPRRMRHPQPRRGRRHLNWCPLPAHSMFDKMSMRARRSEGREKKNQDSKSFAKRKTMEEKSGQSGDGLASVNEETPEATFILLLQRVGLFWYCDG >ONIVA05G20440.1 pep chromosome:AWHD00000000:5:20711286:20711743:1 gene:ONIVA05G20440 transcript:ONIVA05G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRWRRGDSVEAELQVVARRSIATAMGNWRQRDELPTMVPASSGNLDDGVHGQAAEAASTRRPIHSCARKSSSMSMLTTRWSAMRLHHTRRSGVREDCIHWDLHCD >ONIVA05G20430.1 pep chromosome:AWHD00000000:5:20680780:20683356:-1 gene:ONIVA05G20430 transcript:ONIVA05G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTETVARNGNAGAHGKRRSRAAKPRERAASGGPAPRSAEEGGDAPTTHRPVPAGLLRSQPHLLQRGGRDPREAPARLRLQMVDVDRRPPPPSPLHPRPPPPPRASSLTSPPLGSTPVSSGTASTSAPASSGHGDDGGERPSAGRAPPMRQKNVKAQVYISYPFSPLWH >ONIVA05G20420.1 pep chromosome:AWHD00000000:5:20677930:20678154:-1 gene:ONIVA05G20420 transcript:ONIVA05G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSYYGNYLSGGGANMAKEKRPPLKRGQVKRRIVRSISNLMANNAAADDDSNSAQAADHRNSFIRREASYNNN >ONIVA05G20410.1 pep chromosome:AWHD00000000:5:20670876:20671127:-1 gene:ONIVA05G20410 transcript:ONIVA05G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESYPAQAPAPAMPPAAGDRAGGGGGGRAARAALLPPPRRGQIKEQIIKDIVAAFSGVIAGRGRNDRNGGGGVPVSDDTDN >ONIVA05G20400.1 pep chromosome:AWHD00000000:5:20659408:20668966:1 gene:ONIVA05G20400 transcript:ONIVA05G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 8 [Source:Projected from Arabidopsis thaliana (AT3G09660) TAIR;Acc:AT3G09660] MYDDGPRKGKPGGLSMDAATAAGLAAVWPEYFPEESEFAADGRSARLAADLVDLFSSPDASDLLSRNISVVAFLDNVYDFSGWTLQSLIEMFWKSQLKIQEFASGESHEEGRVPRTIECELTEDLVDCCIPGETVTVTGIVKVLNNYMDVGGGKSKSRNQGLYYLYLEAISVRNSKVHAASGNSDAASGSFGFQAFTEKDLEFISKFKEEHGADVFRQILHSFCPSIYGHELVKAGITLALFGGVQKHSIDQNKVPVRGDIHAVVVGNPSLIEGLSFLVRIFLAFLTVQLKLLLNAILGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTNAGLTVAVVKDSMSNDYAFEAGAMVLADRGICCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRAKTVNENLKMSAALLSRFDLVFILLDKPDELLDKRVSDHIIALHSNDGGPFTANKRIRTVPQFNPSTEFGVGRTSLASRLRLHPEKDKDFCPLPGPLLRKYISYARSHVNPRISMPSPAADSLQKFYLDLRKQSDSADGTPITARQLESLVRLAEARARVDLREEVTLEDAKEVIDIMTESLYDKCVDEHGVVDFARSGGMSNQKQSKKFLRALNEQCDLQKKDCFTMNEMYNLADRISLQVANLDAIVESLNNAGYITKKGSSMYQVVTSSYQGSQATWSRR >ONIVA05G20390.1 pep chromosome:AWHD00000000:5:20647476:20655047:-1 gene:ONIVA05G20390 transcript:ONIVA05G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAADGAEEGAVVAALTELCEALSFCGEDVGGYFPTDAAARALVRLVGGGADGAAAAAASPDVMLLSVRAITYLCDAMPRAADAVVRHGLLPLLCSRLLAIEYLDVAEQCLQAFEKISQRQPTPCLQAGMITAVLTYIDFFSASIQRVAVSAAANACKKVPKDCSQFVMDSVPVLCNLLQSEDKMVVEKVASCLINIVDSFSSSVELLDMFCHQGVIEKVLPLINTGGLTSLSPSTCSNLIGLLAKLACNSLVAVKSLFELNVGNTISRILVTSDLSHGMPYLPLENQSNQVNEALKLANQLIPSAARDVEDTQMVLAKEKIIVDEPRQSTLVQIHTFAMDVLQFFLAGLLSRKDHHVLFSSLKLIEILMQKLPDAYLGSFIKEGVVYAVEALLMQEDCSKSTNLSDETQQSENQPIIRNKPTCFCYAFDYPRSDAAETRTCMIGKGNLFTFARHVKTTYFTTEAVNSEMGLTEILQKLKTCCAVLNDSADKSLNRDNIQNEEHLTNILSEVMMELHGGETMTTFEFLESGLIKSLSNYLSNGKYLQLERIPNDYNTEHFLAVLKRFQSFTQISFSRMEQGWGDMLLTLLVRKLQNALTSLDNFPVIMSHNFKPRNNISDIPTRHSTITPCIRVRFKKDEDQTNLSSYDNVVNLEISSLLHTIEEFLWPKICTGTSNQKPESSANGTASENKYPEDDLQERYSSPESSPPPEGVIIGNQSPSVEPGSNKGPSSSGAGQQETNTSDHAAQPKLLFSLKGKELDQSVTLYQSILQDQINAGSDIILDNQFWRIVHDVTYRTATNPEIDDPLKYSSCATTPAHPDKAGYICQTLPFFTSLLLGKLPCKLDRSSPSYDILFMLKVLEGLNRYSFHLVSDERNRAFVHGSITDLDDLKVDVSVVPQQEFVSAKLTDKLEQQMHDPLVLRSRCLPLWCTELMSACPFLFSFEARWKYFQLTAFGSLTPQHGNMMDTSGSGVMTERVPSFSRKKFKVDRDNILVSAAKVMQSHARSNAMLEVEYEEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGELSGEAGLDNVHGGSVFVVAPNGLFPKPWSTHVDCSSFSEVNKQFHLLGQVVAKAVKDNRILDIPFSKAFYRLILGQELNIYDIHSFDPELAMTLMEFKALAARRKYLESISSGDCKSTSDLSYRGCRIEDLAIEFALPGYPEYVLSLENSLDNVSADNLEQYVSFVVDATIRSGIARQLEAFKSGFNEVFPLSMLQVFSEDELERLLCGEQDTWDFAKLVDHIKFDHGYTSSSPPVINLLEVIQEFEGHQRRAFLQFITGSPRLPPGGLAALNPKLTVVRKHNSNEADDDLPSVMTCANYLKLPPYSSKDKMREKLLYAITEGQGSFHLS >ONIVA05G20380.1 pep chromosome:AWHD00000000:5:20644534:20644788:-1 gene:ONIVA05G20380 transcript:ONIVA05G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPGSPGGGGGSHESGSPRGGGGGGGGGGGGGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSE >ONIVA05G20370.1 pep chromosome:AWHD00000000:5:20642375:20644424:-1 gene:ONIVA05G20370 transcript:ONIVA05G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDWVFVVVGQHYRASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREMEEAVQVPKGWANKQHTIKEWVICNLRIFLLAM >ONIVA05G20360.1 pep chromosome:AWHD00000000:5:20630174:20644698:1 gene:ONIVA05G20360 transcript:ONIVA05G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRATVWARGPWAQPARPLGPFALVCLSDHDQSSPRTRRSPVHHARAHTETEAAKTLAPSSTSSSSRAADGDATLRLRSAPVAVDRGGMELEGDPRLVAVAARVVKVDGGKVVVAMDEDAAAGGGVGVGVVSDLLYATGSAAVGEGYGGECTDNMQELLDGGGAEERMLGVELGGFRGEARWTLMPVGDAAIETSEEMSDPVTLQYSETGLTGDDQRAKYRLPPLDGYGFRASGLVWSKLKGHPWWPGEIFDTSDASELALKHQKKKGSHLVAYFGSNTFAWCDESQLKPFMSNYSQMANQSNSDAFISSVNLALEEISRRILSGMCCFCLPEALSDNCMSYMVENSGLRDGVTCSKVTRSEILECFNAENFLSYLKSLALFPGQGGELLDLVIACSQLTSFFQSKGCHELASFGSGSELVDDGMDSSSTKNVLLPEAVTYEQKPSEGKPKRRRIKICVKKPQNDLELTEENPISSLNNECTFVDCMGLNIIGKVKGKRSEKRRKYVPSPEVHTTDHGQDDSWSGFCLNNDPTDTLGEASAKMRPRRKQRSSKETCAPSSDLSSHVPPLQLGLLGPKKQIQLIERSIIHADEQRIDEIMPSALVLSFGRSAALPSKLDLIRLFSRYGPLKENETEVHQNTNTVKVAFKRRFDAANAFSVVGKYSYFGPSLCSFRLVNLPFSLSKLSPEDPGTEVPACRESGVDIVHVGIISKVCLLDESVVSSGPPPPPPPPPPRGPRHLPSSPRARLALLRRFLRRWGWGENGGGVVAMSSTHVAVAAPDPGGDGGLGEARLVDADAAAAPAAGDAEGSLGGGGGGSSAPAAAGDADVTMTEAVEEEVEVEVAGDAEAEAAVVAGDAAGDPLYGTESAGMVVDEPVDAAEGGVDGGDGGKEGLEAEARVLQGEAATEPVPAGGDVAIASEVAAEAHDSATPEHAEAESNDLEENHVDRGKDNGVAVAHCDDEMQNNVEGSSEIQEDDGAPTIEQQDDESEMPLPSSVLNFELCARYSLPPLDKGEFRVSDLVWGKVKSHPWWPGEIFDPSDASELALKHQKKGSHLIAYFGDNTFAWCDESQLKPFVSNYSQMEKQSSSDAFVSSVNYALEELSRRILSGMSCSCLPEELSDNGMSYMVENAGLKDGVTCSAVNRSEILSCCSPENLLNYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSAWVENGVDPSSIKDDVVDEVVTNEEPPANDKPKRGRGRPRKQKPEDGLELTEKKSTSNLSTDNAYDHPAERQMDMEFDEFDGLQSKKKRSLDSFEDPETKAAAPSFGSSFKIGECIRRAASQLTGSSSIVKSQNEQVPHKNIAETENGDFDVSSDDAINELSVEKRAKRRRMHRHHSADPKELLSQLCSVAVEPTHGYSFSAMVINYFSDYRNYVVSTTTEANIVEKTTAKKGRKRKVMPSPEVETTDHMQDSYWSGLSLHNHPIHDLRRVSTSTRPRRRRRSLRETYFHAQQNLQHGLLSPKKQIQVIERSIIHVDEKMVDEVKPTALVLSFGRSSALPSETDLVKMFGRYGPLKESEIEVHASSSTVKVVFKKRADAERAFSFAGKFSTFGPSLRSYRLVNMPFFLSSQTNNTEAHSEYHGLEIPGPSESKVPLDAAEADQVDKTDEKVEDKGTAEVLARETGDSITAPGALDEKTEKEATAEALADKTTEGEITAEVQVEETTTTEKIVEDKELAEETTEEGETTAEVHIEETSTTERTVEDKELAEETTEGEATAEVHIEETTTTEKTVEDKVVPEETTEGEATAEVLEECTAIEKIVEDNTIAEEITEGETVAEVHVEVATAIDKAVEENTLAEETAKGETTPEVHVEETTTAVESVGDKAVDETTKGETTAEVYAKESTEKTVEDTTVEAPDEKTKTGNDPVEDATVEEPDKKTAANDPVEDATVEEPDKKTAANDHVEDATPEEPDKNTTTNDHVEDVTVEEPDKKTAANDPVEEVIPEETDKNTTTNDPVEDVTIEEPDMKTEANDPVEEATVEEPAVEAGTIEEIATAEARDEKTMITEETAQDPMVEDEKTMTTEETTQEPMVEDEKTVTTEETVQDPMVVDDKTMTTEKTVQDSMVEEGGTNIAAAEETVEHAAATAEALAGQASSTEQTG >ONIVA05G20360.2 pep chromosome:AWHD00000000:5:20630174:20640127:1 gene:ONIVA05G20360 transcript:ONIVA05G20360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRATVWARGPWAQPARPLGPFALVCLSDHDQSSPRTRRSPVHHARAHTETEAAKTLAPSSTSSSSRAADGDATLRLRSAPVAVDRGGMELEGDPRLVAVAARVVKVDGGKVVVAMDEDAAAGGGVGVGVVSDLLYATGSAAVGEGYGGECTDNMQELLDGGGAEERMLGVELGGFRGEARWTLMPVGDAAIETSEEMSDPVTLQYSETGLTGDDQRAKYRLPPLDGYGFRASGLVWSKLKGHPWWPGEIFDTSDASELALKHQKKKGSHLVAYFGSNTFAWCDESQLKPFMSNYSQMANQSNSDAFISSVNLALEEISRRILSGMCCFCLPEALSDNCMSYMVENSGLRDGVTCSKVTRSEILECFNAENFLSYLKSLALFPGQGGELLDLVIACSQLTSFFQSKGCHELASFGSGSELVDDGMDSSSTKNVLLPEAVTYEQKPSEGKPKRRRIKICVKKPQNDLELTEENPISSLNNECTFVDCMGLNIIGKVKGKRSEKRRKYVPSPEVHTTDHGQDDSWSGFCLNNDPTDTLGEASAKMRPRRKQRSSKETCAPSSDLSSHVPPLQLGLLGPKKQIQLIERSIIHADEQRIDEIMPSALVLSFGRSAALPSKLDLIRLFSRYGPLKENETEVHQNTNTVKVAFKRRFDAANAFSVVGKYSYFGPSLCSFRLVNLPFSLSKLSPEDPGTEVPACRESGVDIVHVGIISKVCLLDESVVSSGPPPPPPPPPPRGPRHLPSSPRARLALLRRFLRRWGWGENGGGVVAMSSTHVAVAAPDPGGDGGLGEARLVDADAAAAPAAGDAEGSLGGGGGGSSAPAAAGDADVTMTEAVEEEVEVEVAGDAEAEAAVVAGDAAGDPLYGTESAGMVVDEPVDAAEGGVDGGDGGKEGLEAEARVLQGEAATEPVPAGGDVAIASEVAAEAHDSATPEHAEAESNDLEENHVDRGKDNGVAVAHCDDEMQNNVEGSSEIQEDDGAPTIEQQDDESEMPLPSSVLNFELCARYSLPPLDKGEFRVSDLVWGKVKSHPWWPGEIFDPSDASELALKHQKKGSHLIAYFGDNTFAWCDESQLKPFVSNYSQMEKQSSSDAFVSSVNYALEELSRRILSGMSCSCLPEELSDNGMSYMVENAGLKDGVTCSAVNRSEILSCCSPENLLNYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSAWVENGVDPSSIKDDVVDEVVTNEEPPANDKPKRGRGRPRKQKPEDGLELTEKKSTSNLSTDNAYDHPAERQMDMEFDEFDGLQSKKKRSLDSFEDPETKAAAPSFGSSFKIGECIRRAASQLTGSSSIVKSQNEQVPHKNIAETENGDFDVSSDDAINELSVEKRAKRRRMHRHHSADPKELLSQLCSVAVEPTHGYSFSAMVINYFSDYRNYVVSTTTEANIVEKTTAKKGRKRKVMPSPEVETTDHMQDSYWSGLSLHNHPIHDLRRVSTSTRPRRRRRSLRETYFHAQQNLQHGLLSPKKQIQVIERSIIHVDEKMVDEVKPTALVLSFGRSSALPSETDLVKMFGRYGPLKESEIEVHASSSTVKVVFKKRADAERAFSFAGKFSTFGPSLRSYRLVNMPFFLSSQTNNTEAHSEYHGLEIPGPSESKVPLDAAEADQVDKTDEKVEDKGTAEVLARETGDSITAPGALDEKTEKEATAEALADKTTEGEITAEVQVEETTTTEKIVEDKELAEETTEGEITAEVQVAETTSTEKIVEDKELAEETTEGETTAEVHIEETSTTERTVEDKELAEETTEGEATAEVHIEETTTTEKTVEDKVVPEETTEGEATAEVLEECTAIEKIVEDNTIAEEITEGETVAEVHVEVATAIDKAVEENTLAEETAKGETTPEVHVEETTTAVESVGDKAVDETTKESTEKTVEDTTVEAPDEKTKTGNDPVEDATVEEPDKKTAANDPVEDATVEEPDKKTAANDHVEDATPEEPDKNTTTNDHVEDVTVEEPDKKTAANDPVEEVIPEETDKNTTTNDPVEDVTIEEPDMKTEANDPVEEATVEEPAVEAGTIEEIATAEARDEKTMITEETAQDPMVEDEKTMTTEETTQEPMVEDEKTVTTEETVQDPMVVDDKTMTTEKTVQDSMVEEGGTNIAAAEETVEHAAATAEALAGQASSTEQTG >ONIVA05G20360.3 pep chromosome:AWHD00000000:5:20630174:20640007:1 gene:ONIVA05G20360 transcript:ONIVA05G20360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRATVWARGPWAQPARPLGPFALVCLSDHDQSSPRTRRSPVHHARAHTETEAAKTLAPSSTSSSSRAADGDATLRLRSAPVAVDRGGMELEGDPRLVAVAARVVKVDGGKVVVAMDEDAAAGGGVGVGVVSDLLYATGSAAVGEGYGGECTDNMQELLDGGGAEERMLGVELGGFRGEARWTLMPVGDAAIETSEEMSDPVTLQYSETGLTGDDQRAKYRLPPLDGYGFRASGLVWSKLKGHPWWPGEIFDTSDASELALKHQKKKGSHLVAYFGSNTFAWCDESQLKPFMSNYSQMANQSNSDAFISSVNLALEEISRRILSGMCCFCLPEALSDNCMSYMVENSGLRDGVTCSKVTRSEILECFNAENFLSYLKSLALFPGQGGELLDLVIACSQLTSFFQSKGCHELASFGSGSELVDDGMDSSSTKNVLLPEAVTYEQKPSEGKRSEKRRKYVPSPEVHTTDHGQDDSWSGFCLNNDPTDTLGEASAKMRPRRKQRSSKETCAPSSDLSSHVPPLQLGLLGPKKQIQLIERSIIHADEQRIDEIMPSALVLSFGRSAALPSKLDLIRLFSRYGPLKENETEVHQNTNTVKVAFKRRFDAANAFSVVGKYSYFGPSLCSFRLVNLPFSLSKLSPEDPGTEVPACRESGVDIVHVGIISKVDKAQNLPPPPPPPPPPRGPRHLPSSPRARLALLRRFLRRWGWGENGGGVVAMSSTHVAVAAPDPGGDGGLGEARLVDADAAAAPAAGDAEGSLGGGGGGSSAPAAAGDADVTMTEAVEEEVEVEVAGDAEAEAAVVAGDAAGDPLYGTESAGMVVDEPVDAAEGGVDGGDGGKEGLEAEARVLQGEAATEPVPAGGDVAIASEVAAEAHDSATPEHAEAESNDLEENHVDRGKDNGVAVAHCDDEMQNNVEGSSEIQEDDGAPTIEQQDDESEMPLPSSVLNFELCARYSLPPLDKGEFRVSDLVWGKVKSHPWWPGEIFDPSDASELALKHQKKGSHLIAYFGDNTFAWCDESQLKPFVSNYSQMEKQSSSDAFVSSVNYALEELSRRILSGMSCSCLPEELSDNGMSYMVENAGLKDGVTCSAVNRSEILSCCSPENLLNYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSAWVENGVDPSSIKDDVVDEVVTNEEPPANDKPKRGRGRPRKQKPEDGLELTEKKSTSNLSTDNAYDHPAERQMDMEFDEFDGLQSKKKRSLDSFEDPETKAAAPSFGSSFKIGECIRRAASQLTGSSSIVKSQNEQVPHKNIAETENGDFDVSSDDAINELSVEKRAKRRRMHRHHSADPKELLSQLCSVAVEPTHGYSFSAMVINYFSDYRNYVVSTTTEANIVEKTTAKKGRKRKVMPSPEVETTDHMQDSYWSGLSLHNHPIHDLRRVSTSTRPRRRRRSLRETYFHAQQNLQHGLLSPKKQIQVIERSIIHVDEKMVDEVKPTALVLSFGRSSALPSETDLVKMFGRYGPLKESEIEVHASSSTVKVVFKKRADAERAFSFAGKFSTFGPSLRSYRLVNMPFFLSSQTNNTEAHSEYHGLEIPGPSESKVPLDAAEADQVDKTDEKVEDKGTAEVLARETGDSITAPGALDEKTEKEATAEALADKTTEGEITAEVQVEETTTTEKIVEDKELAEETTEGEITAEVQVAETTSTEKIVEDKELAEETTEGETTAEVHIEETSTTERTVEDKELAEETTEGEATAEVHIEETTTTEKTVEDKVVPEETTEGEATAEVLEECTAIEKIVEDNTIAEEITEGETVAEVHVEVATAIDKAVEENTLAEETAKGETTPEVHVEETTTAVESVGDKAVDETTKGETTAEVYAKESTEKTVEDTTVEAPDEKTKTGNDPVEDATVEEPDKKTAANDPVEDATVEEPDKKTAANDHVEDATPEEPDKNTTTNDHVEDVTVEEPDKKTAANDPVEEVIPEETDKNTTTNDPVEDVTIEEPDMKTEANDPVEEATVEEPAVEAGTIEEIATAEARDEKTMITEETAQDPMVEDEKTMTTEETTQEPMVEDEKTVTTEETVQDPMVVDDKTMTTEKTVQDSMVEEGGTNIAAAEETVEHAAATAEALAGQASSTEQTG >ONIVA05G20360.4 pep chromosome:AWHD00000000:5:20630174:20640007:1 gene:ONIVA05G20360 transcript:ONIVA05G20360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRATVWARGPWAQPARPLGPFALVCLSDHDQSSPRTRRSPVHHARAHTETEAAKTLAPSSTSSSSRAADGDATLRLRSAPVAVDRGGMELEGDPRLVAVAARVVKVDGGKVVVAMDEDAAAGGGVGVGVVSDLLYATGSAAVGEGYGGECTDNMQELLDGGGAEERMLGVELGGFRGEARWTLMPVGDAAIETSEEMSDPVTLQYSETGLTGDDQRAKYRLPPLDGYGFRASGLVWSKLKGHPWWPGEIFDTSDASELALKHQKKKGSHLVAYFGSNTFAWCDESQLKPFMSNYSQMANQSNSDAFISSVNLALEEISRRILSGMCCFCLPEALSDNCMSYMVENSGLRDGVTCSKVTRSEILECFNAENFLSYLKSLALFPGQGGELLDLVIACSQLTSFFQSKGCHELASFGSGSELVDDGMDSSSTKNVLLPEAVTYEQKPSEGKPKRRRIKICVKKPQNDLELTEENPISSLNNECTFVDCMGLNIIGKVKGKRSEKRRKYVPSPEVHTTDHGQDDSWSGFCLNNDPTDTLGEASAKMRPRRKQRSSKETCAPSSDLSSHVPPLQLGLLGPKKQIQLIERSIIHADEQRIDEIMPSALVLSFGRSAALPSKLDLIRLFSRYGPLKENETEVHQNTNTVKVAFKRRFDAANAFSVVGKYSYFGPSLCSFRLVNLPFSLSKLSPEDPGTEVPACRESGVDIVHVGIISKVDKAQNLPPPPPPPPPPRGPRHLPSSPRARLALLRRFLRRWGWGENGGGVVAMSSTHVAVAAPDPGGDGGLGEARLVDADAAAAPAAGDAEGSLGGGGGGSSAPAAAGDADVTMTEAVEEEVEVEVAGDAEAEAAVVAGDAAGDPLYGTESAGMVVDEPVDAAEGGVDGGDGGKEGLEAEARVLQGEAATEPVPAGGDVAIASEVAAEAHDSATPEHAEAESNDLEENHVDRGKDNGVAVAHCDDEMQNNVEGSSEIQEDDGAPTIEQQDDESEMPLPSSVLNFELCARYSLPPLDKGEFRVSDLVWGKVKSHPWWPGEIFDPSDASELALKHQKKGSHLIAYFGDNTFAWCDESQLKPFVSNYSQMEKQSSSDAFVSSVNYALEELSRRILSGMSCSCLPEELSDNGMSYMVENAGLKDGVTCSAVNRSEILSCCSPENLLNYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSAWVENGVDPSSIKDDVVDEVVTNEEPPANDKPKRGRGRPRKQKPEDGLELTEKKSTSNLSTDNAYDHPAERQMDMEFDEFDGLQSKKKRSLDSFEDPETKAAAPSFGSSFKIGECIRRAASQLTGSSSIVKSQNEQVPHKNIAETENGDFDVSSDDAINELSVEKRAKRRRMHRHHSADPKELLSQLCSVAVEPTHGYSFSAMVINYFSDYRNYVVSTTTEANIVEKTTAKKGRKRKVMPSPEVETTDHMQDSYWSGLSLHNHPIHDLRRVSTSTRPRRRRRSLRETYFHAQQNLQHGLLSPKKQIQVIERSIIHVDEKMVDEVKPTALVLSFGRSSALPSETDLVKMFGRYGPLKESEIEVHASSSTVKVVFKKRADAERAFSFAGKFSTFGPSLRSYRLVNMPFFLSSQTNNTEAHSEYHGLEIPGPSESKVPLDAAEADQVDKTDEKVEDKGTAEVLARETGDSITAPGALDEKTEKEATAEALADKTTEGEITAEVQVEETTTTEKIVEDKELAEETTEGEITAEVQVAETTSTEKIVEDKELAEETTEGETTAEVHIEETSTTERTVEDKELAEETTEGEATAEVHIEETTTTEKTVEDKVVPEETTEGEATAEVLEECTAIEKIVEDNTIAEEITEGETVAEVHVEVATAIDKAVEENTLAEETAKGETTPEVHVEETTTAVESVGDKAVDETTKGETTAEVYAKESTEKTVEDTTVEAPDEKTKTGNDPVEDATVEEPDKKTAANDPVEDATVEEPDKKTAANDHVEDATPEEPDKNTTTNDHVEDVTVEEPDKKTAANDPVEEVIPEETDKNTTTNDPVEDVTIEEPDMKTEANDPVEEATVEEPAVEAGTIEEIATAEARDEKTMITEETAQDPMVEDEKTMTTEETTQEPMVEDEKTVTTEETVQDPMVVDDKTMTTEKTVQDSMVEEGGTNIAAAEETVEHAAATAEALAGQASSTEQTG >ONIVA05G20350.1 pep chromosome:AWHD00000000:5:20627404:20629863:-1 gene:ONIVA05G20350 transcript:ONIVA05G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFDAVQRALSTSENDRHENEKKGKRSTLKKILQFSKSSASTSSPPVTSPSARQQPHHHHPPPPQAAPPDRQRDDGIKEAKSSDAAAAAAQKTATATAVTRPTTTAPRAPARSAEELAAVKIQKACRVYLGRRSQRARGLDRLMLLLEGLAVKRQTYEALYCMQTMTRVQTQIHSRRVKTEEDKKALKSQVHVKQSLDRIKIGESWDHGHQSKEQIETVLTMKQEAALRRQRALAYAFSHQETFICASLAASNVHGHRQPQLGMELGGAMDGGGEAVGEPDHAGEQRPCSRGGERRRQREAGAHVGADTHVDAGVGQVHPPAELPVAVDTHAAVAVEDVGGAAVEPGRQPVPEVGDGDDRPAPHDEPAAGAAAELRAARGGERQPGPRREGRRRPPLAAAHDEPAVRGAAEEAEPRRRRRRPGERRRGAADAELHADDQVREGQGGSAGGDRGPRHRREDGARSPSGDLTVGDQQAPFPGLRGQAEQRPVAEQGEGREVDAATLAASEPKVLISQQPNVNPVAAMLRSGWLVA >ONIVA05G20340.1 pep chromosome:AWHD00000000:5:20616707:20618526:-1 gene:ONIVA05G20340 transcript:ONIVA05G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFGGGKKKSLSADTARFDDADAAPASQMTPPAPAAAPMTPPRPDQAPKPSEDASAGLAIAGQAFAFRELAAATDHFTPYNLIGEGGFFRVYKGQLEKTGQTVVIKQLDRHGFQGNNEFLDEVSKLSRLHHDNLVDIIGYCADGDQRLLVYEFMSAGNLEEHLFDLPADKKPMDWCTRMKVAYGAAQGLEYLHEKASPPVVYGDFKASNVLLDDALTPKLSDFGLAQLGQVGGNAPAPMMGSFGCCAPEYDRSGQATMKSDVYSFGVVLVQLISGRRAIDPDKPTEEQNVVAWAMPMFKDQKRYHELVDPLIKSEYAAKALNQVVAMAAMCLQEEDSVRPLMADVVMTLGFLTSLPPDPPAASVPAPAPSASPAPKSDHSDSSSSSSSEDDDDDNDNEEEEGEEEEEEDAEEQ >ONIVA05G20330.1 pep chromosome:AWHD00000000:5:20614758:20615322:-1 gene:ONIVA05G20330 transcript:ONIVA05G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFN0] MAPRSVAISGRGTSGARRHRIVFRGYIQGIAKPVIRRLARKGGVKRISGLIYKETRGVLEIFLKNVIRDAITYTEHAHRKTVMAMDVVYALKLQGRTIYDFGG >ONIVA05G20320.1 pep chromosome:AWHD00000000:5:20607952:20608263:-1 gene:ONIVA05G20320 transcript:ONIVA05G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVF8] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONIVA05G20310.1 pep chromosome:AWHD00000000:5:20597326:20604970:-1 gene:ONIVA05G20310 transcript:ONIVA05G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVVAAGSDAAPAEVSIVGQTNPSTYPPLALGHHSWSSSTGAATVPWSYPVNNQSQDTVYYDPQRDVSVSGDNQSVASSAPHNAQPTMGTENATHSHMPYSSSLQHGYTAAEYANYYYSYPQTTNGSSVQQGGTNQHSGYGTSNSYYQNSTWNGGSFENNYAQSYQNYPSSNTNTVQHSISVPTNSFSYQQQYNQWPYYYNHTVPNPAGDPVGNSNSIVNTTSSYSYPSIQPPPPGTTSWKSNSSSSIAPPIQASGGPGPQDQYINQAHAPVLENQYAGQVAGNPRSQNHYASQTPACPQSTVNLNPVQQSNHGDQQNTVFIATENSSENKMQVPRIAPGFSMVIPKSEKKILGADLSKKPAYVSVSMVKNDARSLPFSLHNYATRNLNRCKDEAQKAACQSMIEEIKNSAIADGTLLTKNWDTEPLLPLVQNVATIPETSANNSSPTNRRRQKSRWEPVVEEKVTDKVEPSNNWDSRKFFQSHHATANKVSQRPAKKQKISSYSDQMQNGNASSDSDKEQGLTKYYASATALANSPEEKKRREHRSKRFEKNQNSSSKSRNSAASKDVMANIHARRAVSALLARSCEDGTTLAVEDMDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEHVLEKALSMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSLARLSKQAKQDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRFEAVKCMSKSYRPTIPVGYVAQILGFSRIDSEASEECEMWLKAHGAILSIDNSRDLQLDTKASTTTLYMPEPENAVAHGDASLAVNDFLART >ONIVA05G20310.2 pep chromosome:AWHD00000000:5:20597326:20604970:-1 gene:ONIVA05G20310 transcript:ONIVA05G20310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVVAAGSDAAPAEVSIVGQTNPSTYPPLALGHHSWSSSTGAATVPWSYPVNNQSQDTVYYDPQRDVSVSGDNQSVASSAPHNAQPTMGTENATHSHMPYSSSLQHGYTAAEYANYYYSYPQTTNGSSVQQGGTNQHSGAAHQPLTSFQNSESYVGPTSNTYYNAGGHQTAPGYGTSNSYYQNSTWNGGSFENNYAQSYQNYPSSNTNTVQHSISVPTNSFSYQQQYNQWPYYYNHTVPNPAGDPVGNSNSIVNTTSSYSYPSIQPPPPGTTSWKSNSSSSIAPPIQASGGPGPQDQYINQAHAPVLENQYAGQVAGNPRSQNHYASQTPACPQSTVNLNPVQQSNHGDQQNTVFIATENSSENKMQVPRIAPGFSMVIPKSEKKILGADLSKKPAYVSVSMVKNDARSLPFSLHNYATRNLNRCKDEAQKAACQSMIEEIKNSAIADGTLLTKNWDTEPLLPLVQNVATIPETSANNSSPTNRRRQKSRWEPVVEEKVTDKVEPSNNWDSRKFFQSHHATANKVSQRPAKKQKISSYSDQMQNGNASSDSDKEQGLTKYYASATALANSPEEKKRREHRSKRFEKNQNSSSKSRNSAASKDVMANIHARRAVSALLARSCEDGTTLAVEDMDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEHVLEKALSMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSLARLSKQAKQDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRFEAVKCMSKSYRPTIPVGYVAQILGFSRIDSEASEECEMWLKAHGAILSIDNSRDLQLDTKASTTTLYMPEPENAVAHGDASLAVNDFLART >ONIVA05G20310.3 pep chromosome:AWHD00000000:5:20597326:20604970:-1 gene:ONIVA05G20310 transcript:ONIVA05G20310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVVAAGSDAAPAEVSIVGQTNPSTYPPLALGHHSWSSSTGAATVPWSYPVNNQSQDTVYYDPQRDVSVSGDNQSVASSAPHNAQPTMGTENATHSHMPYSSSLQHGYTAAEYANYYYSYPQTTNGSSVQQGGTNQHSGAAHQPLTSFQNSESYVGPTSNTYYNAGGHQTAPGYGTSNSYYQNSTWNGGSFENNYAQSYQNYPSSNTNTVQHSISVPTNSFSYQQQYNQWPYYYNHTVPNPAGDPVGNSNSIVNTTSSYSYPSIQPPPPGTTSWKSNSSSSIAPPIQASGGPGPQDQYINQAHAPVLENQYAGQVAGNPRSQNHYASQTPACPQSTVNLNPVQQSNHGDQQNTVFIATENSSENKMQVPRIAPGFSMVIPKSEKKILGADLSKKPAYVSVSMVKNDARSLPFSLHNYATRNLNRCKDEAQKAACQSMIEEIKNSAIADGTLLTKNWDTEPLLPLVQNVATIPETSANNSSPTNRRRQKSRWEPVVEEKVTDKVEPVKGLVNGTTHNNLEAKNRMSNNWDSRKFFQSHHATANKVSQRPAKKQKISSYSDQMQNGNASSDSDKEQGLTKYYASATALANSPEEKKRREHRSKRFEKNQNSSSKSRNSAASKDVMANIHARRAVSALLARSCEDGTTLAVEDMDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEHVLEKALSMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSLARLSKQAKQDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRFEAVKCMSKSYRPTIPVGYVAQILGFSRIDSEASEECEMWLKAHGAILSIDNSRDLQLDTKASTTTLYMPEPENAVAHGDASLAVNDFLART >ONIVA05G20300.1 pep chromosome:AWHD00000000:5:20590622:20591998:1 gene:ONIVA05G20300 transcript:ONIVA05G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRLLLLAIAVAAVSLAPRAAAEIRTEAFREDPRPTILFEKFGFSKTGAVRIVITGAGISSTFARPDPKQLGFFLLSDESMFQAIYEAQARRPPERREEVAGGGADEPDVSRCILTSPYVKTLFTFHDLKRGHYNKTFPVTHPDEYSLYFANCAPESLVTMRVRTEMYNGNADGSVDYLPVGQAPVPAIYGFFAACYAAFLAAWGYLTLSSRDHRAAAHQIHHLMSGLLAARLLYCLSAAEDQHYIRVTGTPHGWDVAFYLFQLVKGVVLFAVIVLVGTGWSFLRPVLQDREKKVLMVVIPLQVMANIASAVIGETGPFLQGWVTWNQILLFVDVACCCAVLFPVVWSMRSLRETSKTDGKAARTLSKLTLFRQFYIVVIGYLYFTRIVVYALKTIASYQFRWVSVLAEEVATLAFYLFMFYTFRPAERSRYFSFDEDEEEAAEMVLREEEFEL >ONIVA05G20290.1 pep chromosome:AWHD00000000:5:20576855:20583713:-1 gene:ONIVA05G20290 transcript:ONIVA05G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipin family protein [Source:Projected from Arabidopsis thaliana (AT3G09560) TAIR;Acc:AT3G09560] MPFCLLASLLLLLPPLSPSSLPPLSLLCFPRSAEPEALRRRRGRHGVDGLPHLPSPPRPSLLTPSRPPRARARPTSRWSASVSTRIEFEVNPHARRWRGDRRILAAEMNVVGRVGSLISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVDASFHMQLDNSGQAYFMRELVPGSENSGTSSEEEEASCEPEPPARSKSDGDLYIGAKGRPGSPESNVECQEKQAIEEFDSYGYSRMEVAEDSAKQPDGSNSEMVLVSVDGHVLTAPISSTEENVEDVQLSEPQFHLGPGESSSGDFSRSGEVWEAGIVGDLYMPQEKVKFDSGNQQEALEELGDVPIETDKSHHVPVTGDEVLHTSVYEDVHDISENKNEGCRPPSSQDEVCDVSKTDDEGYRPLATEDEARDVSKNNDEGYQPLATENEACDVPLLENDEACRVPANVDKVCDVSNEDTELEIASPSFDLEEDISKTTHCDRNDPLQYLEEDNKTEDEDSSAHCRKVSDMSIVGGSHGKTKETASSENEANRPDGLHSTIGTSDKDKLGSIPENSAAEEELNKEEHPKLQKGLGFKISLCGHMLRPGMGQASAEEVFQQHLVLEEDFKLSGSSIMKNVNLVVKFDSQYFLWSKISHIILGKAVFGPNFCTEHIDAIPVEHQETPTSRDSLGLSPSSRRWRLWNIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQTPENNINQSPRKQFVRTLIPTSEQVASLNLKEGQNIVTFSFSTRVLGKQQVEAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKVLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVHDMFPPTTLVEQEDYNSWNYWKMPLPDVDL >ONIVA05G20280.1 pep chromosome:AWHD00000000:5:20568251:20569381:-1 gene:ONIVA05G20280 transcript:ONIVA05G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHQLGQQEAMQEEDYIDMDLTSAAAATAPGEFEFDFHMSGPLGGGGARWEQEPLASPADELFYKGKLLPLHLPPRIQMVEELLDGRVVVGGAGRRQLAISTAPATPYDSCTASPANSCYASGELNVEEYFQEYAARLADAAEAACEKKPWSRKLRFMRQLNLGLKLKASKAYIKTIFAAKPASSGDDDDKDAILGATRETKELSHGGHHHHRAWRRNPFGQMRSNRCIASSQSGGGAAGGGGGRGSVGGGKHKERDHGGHRRSFSSVIVRYSTSNKTSPAPQSSSCSSSSSVRTSSESDGGAAAPALRRSSSASSEVENPIQGLIAYCKRSQQLASVRKSASDAGFRFLSSAASKIAAAESDGPEELVEICRG >ONIVA05G20270.1 pep chromosome:AWHD00000000:5:20549168:20549872:-1 gene:ONIVA05G20270 transcript:ONIVA05G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSASYIRMVHHLIEKCICFNLNKEECMEALEKHANINPVVTSTVWKELEKENKEFFETYNKDRAERNIEAETMQRIQKMLSDAAASKGSDDDDDDES >ONIVA05G20260.1 pep chromosome:AWHD00000000:5:20541955:20545664:1 gene:ONIVA05G20260 transcript:ONIVA05G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVAAETGRGGGEGEELLPNAVEGDGGCGGGGTCAGDRPWRLNFDGLRRPEAHQEKPSRRFHDRLGGLAEDIILKGGKRTHPETCFLKKLLYPFDAGIWIFQFKALEMMLQNITSNSRNCWKEECEKVARSEALAIRLSNIANMVLFAAKVNASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSKKTSNPYRYPIGKRRMQPLGDTFRLTKEQEKWIVDIMLSVTLVKLLLVLAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPCDMPLQEAHDIGEAPQEKLESLPEIERAFVHFDYEFTHQPEHARSHDTL >ONIVA05G20250.1 pep chromosome:AWHD00000000:5:20532640:20532924:1 gene:ONIVA05G20250 transcript:ONIVA05G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRPGRHQRRASQSVFVLPDNFADLDDVPAGAGGAGEDGRKGAAATADAAGGQQQARPSPAARHRRAMSVAVASSRELEMIKEDMGSYKIGA >ONIVA05G20240.1 pep chromosome:AWHD00000000:5:20529030:20529461:1 gene:ONIVA05G20240 transcript:ONIVA05G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPVTEAKGDAVERQQQLLAGLAGDGGFTGDGGEGGRGEEAAAAAGEPRRRRRRRRTRWRGSSSSWRDGAQATAAVRPPRPPHLRLRLVNVVRRRQAGAAAGGSIAPRTRAPKCPRRQRQWAHPAALLAIPPLSTAASEGEG >ONIVA05G20230.1 pep chromosome:AWHD00000000:5:20524638:20525205:-1 gene:ONIVA05G20230 transcript:ONIVA05G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFL8] MEVGAKVPKKAGAGGRRGGAGPKKKPVSRSVKAGLQFPVGRIGRYLKQGRYSQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTGSAAAKEAKEGKTPKSPKKATTKSPKKAAAA >ONIVA05G20220.1 pep chromosome:AWHD00000000:5:20516825:20519901:-1 gene:ONIVA05G20220 transcript:ONIVA05G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKTIKINNKTDQGADKPAASGSACCGS >ONIVA05G20220.2 pep chromosome:AWHD00000000:5:20516825:20519225:-1 gene:ONIVA05G20220 transcript:ONIVA05G20220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGFSRASVLVGSQVDWATRATRILQRICLCIQCVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKTIKINNKTDQGADKPAASGSACCGS >ONIVA05G20220.3 pep chromosome:AWHD00000000:5:20519277:20519901:-1 gene:ONIVA05G20220 transcript:ONIVA05G20220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGW >ONIVA05G20210.1 pep chromosome:AWHD00000000:5:20515613:20516233:1 gene:ONIVA05G20210 transcript:ONIVA05G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQFGEMDGGIDTARVLMLLSRRRQQHGDVGHARAARVFECRTCGRRFPTFQALGDHRASHKRPRHGAERAPRPAGDDDVGAGAGAALRLVGAASSLSTDEARAGGGGRRTRGAGAAHGCPVCGLEFAVGQALGGHMRRHRAAAGDVAAPRVKTDDVVVGDECTGGICLDLNLTPSENCDKCRHAQLGVAVNSVQRTILLDRPL >ONIVA05G20200.1 pep chromosome:AWHD00000000:5:20508898:20511383:-1 gene:ONIVA05G20200 transcript:ONIVA05G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPSVKNILLLDSEGKRVAVKYYTDDWPTLSAKLAFEKSVFVKTQKATAGAEAEIVMFDGHIVVYKFIQDLHFFVTGGEEENELILASVLQGFTDAVDIILRNNVDKRTALENLDLILLCLDEIVDGGIVLETEGSVIAEKVSAHGIEGATSLAEQWINILPMEMNVFL >ONIVA05G20190.1 pep chromosome:AWHD00000000:5:20505778:20506302:-1 gene:ONIVA05G20190 transcript:ONIVA05G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQRAVGEGSGGLRPTTHVAGGGGSARGEYFRCKTCSKTFTSFQALGGHRTGHTRMAARQRQEHGAAGAAVVGATNNQRRVVSAHQWHLCAVCGVEFRMGQALGGHMRRHRGEAAAATPPPAAAAASAGAVSSVEPPEMIDLNSPPAVEEAGEGDQEVERAEQEPRLLNLLV >ONIVA05G20180.1 pep chromosome:AWHD00000000:5:20500603:20503334:-1 gene:ONIVA05G20180 transcript:ONIVA05G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase-like, PTPLA [Source:Projected from Arabidopsis thaliana (AT5G59770) TAIR;Acc:AT5G59770] MARPSQLYLLSYNSVQALGWFVALLRLLPCLAPPSSVHSSYAVAGDLTWAILETIHAAIGLVHTSPLLAFLQWGGRTHFVLAVVRQIPEVQSSPSVFITFMAWSISEVIRYSHYALTTLKVCPPWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKERKLYSGFFGKFSMSYHSFLVAVLAVYPFLWMKLYLHVFKQRRSKLGKTGGAKKRA >ONIVA05G20180.2 pep chromosome:AWHD00000000:5:20500603:20503334:-1 gene:ONIVA05G20180 transcript:ONIVA05G20180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase-like, PTPLA [Source:Projected from Arabidopsis thaliana (AT5G59770) TAIR;Acc:AT5G59770] MARPSQLYLLSYNSVQALGWFVALLRLLPCLAPPSSVHSSYAVAGDLTWAILETIHAAIGLVHTSPLLAFLQWGGRTHFVLAVVRQIPEVGRTANFCFVQSSPSVFITFMAWSISESNFFMVQVIRYSHYALTTLKVCPPWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKERKLYSGFFGKFSMSYHSFLVAVLAVYPFLWMKLYLHVFKQRRSKLGKTGGAKKRA >ONIVA05G20180.3 pep chromosome:AWHD00000000:5:20500603:20503334:-1 gene:ONIVA05G20180 transcript:ONIVA05G20180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase-like, PTPLA [Source:Projected from Arabidopsis thaliana (AT5G59770) TAIR;Acc:AT5G59770] MARPSQLYLLSYNSVQALGWFVALLRLLPCLAPPSSVHSSYAVAGDLDWCTRRRFLPSCSGEGGLTSFSLWFGKSPRWGGLQISASFGTDEFCGILGGIEQIHVQSSPSVFITFMAWSISEVIRYSHYALTTLKVCPPWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKERKLYSGFFGKFSMSYHSFLVAVLAVYPFLWMKLYLHVFKQRRSKLGKTGGAKKRA >ONIVA05G20180.4 pep chromosome:AWHD00000000:5:20500603:20503334:-1 gene:ONIVA05G20180 transcript:ONIVA05G20180.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase-like, PTPLA [Source:Projected from Arabidopsis thaliana (AT5G59770) TAIR;Acc:AT5G59770] MARPSQLYLLSYNSVQALGWFVALLRLLPCLAPPSSVHSSYAVAGDLVCACIPPPCPSSYVFDLVSSRRAEGVMFFFSPFLGIRFLLFQGLLQTWAILETIHAAIGLVHTSPLLAFLQWGGRTHFVLAVVRQIPEVGRTANFCFVQSSPSVFITFMAWSISESNFFMVQVIRYSHYALTTLKVCPPWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKERKLYSGFFGKFSMSYHSFLVAVLAVYPFLWMKLYLHVFKQRRSKLGKTGGAKKRA >ONIVA05G20170.1 pep chromosome:AWHD00000000:5:20497624:20499693:-1 gene:ONIVA05G20170 transcript:ONIVA05G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRTKADQMVQLGKEQDVPQPLFGVTMLFTSYSQSSPTATKIELVDIQDYCGLGYGLAMLHQWHTLSLSTGRMNFERQNLQHHSHLSGSLLIHGPSHSPANNGQVLKSARTPEQKAYDKPERSLALFYVVERFF >ONIVA05G20160.1 pep chromosome:AWHD00000000:5:20493350:20510888:1 gene:ONIVA05G20160 transcript:ONIVA05G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHYLGADAVLAGVDGEAELDVGLDGVVAVVLEVVGAELLAEADAAALVAAEVDEDAAAGLVDELHRQVQLVAAVAAGGAEDVAGQALGMDPHQDVLAVADSNATEVGPDNDRQVSEVAPRRTCRCRGARWPCAEPAAGVDSPRAAERARHRRWPPRHGWRRQPRRHGDATPQHLRWGEEIKRTSQQHKIKLENALVKSCKSATAYGPAKNENSEQELHKNENFQLEFSSRQNGKERDRRTAVGQGHDFSVGATRRNGDRGDGVGEAEKPDLSMETRWAAWRPSLRNFPMAAAEGGGAGGRAAAAASDQTVQCEIHQVATLINKYAKAATSRLMSEPSTPRLRDVACVRACVRHALMAAWLGALAGAAVSHRPRAHEMNILTSIGHGSVGLQQLRDHQHIQVIF >ONIVA05G20150.1 pep chromosome:AWHD00000000:5:20493013:20496224:-1 gene:ONIVA05G20150 transcript:ONIVA05G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain;GTP cyclohydrolase II [Source:Projected from Arabidopsis thaliana (AT5G59750) TAIR;Acc:AT5G59750] MDRVLLSSQLSSQTVVNTRVQQGSGGINSIGFAVIRKGSLKLRCYAIGGLGGGENLNDPLKESNNGPVLQGFNGSSASFRTVGAKITQETGDFFVSDAEGDPDKPTDGFSSIDEAIGALREGKFVIAVDDESGDNEGDLVMAATLADPESIAFMIRNGSGIISVGMKEEDLTRLMIPMMSPIAEIEDISAAASTVTVDARVGISTGVSAADRAKTIFTLASPDSKPTDLRRPGHIFPLKYRNGGVLKRAGHTEASVDLVALAGLRPVSVLSTVINPVDGSMAGMPVLKQMALEHDIPIVSIADLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHIAVAKGDIGDGEDVLVRVHSECLTGDILGSARCDCGNQLDLAMQLIDKAGRGVLVYLRGHEGRGIGLGQKLRAYNLQDDGHDTVQANVELGLAVDSREYGIGAQILRDMGVRTMRLMTNNPAKFVGLKGYGLAVVGRVPVISPITKENQRYLETKRTKMGHVYGSDLPGNVPEEFLNPDDIAGDQDEDDTHN >ONIVA05G20140.1 pep chromosome:AWHD00000000:5:20492345:20492845:1 gene:ONIVA05G20140 transcript:ONIVA05G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFK4] MSQCIHFFLSRCQFESSQPLDPGVMAPKAEKKPAEKKPAEEKVHPDIGISSKAMSIMNSFINDIFEKLKQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >ONIVA05G20130.1 pep chromosome:AWHD00000000:5:20483123:20487701:1 gene:ONIVA05G20130 transcript:ONIVA05G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASQASLLLQKQLKDLAKNPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMTFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWREKRDDFKKKVRRIVRKSQEML >ONIVA05G20120.1 pep chromosome:AWHD00000000:5:20472878:20475618:-1 gene:ONIVA05G20120 transcript:ONIVA05G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVVPGPGDKPMIVVQYKGEEKQFAAEEISSMVLIKMREIAEAYLGSSIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDEKIASKLAADDKKKIEDAIDGAISWLDTNQLAEADEFEDKMKELEGICNPIIAKMYQGPGADMAGGMDEDAPAGGSGAGPKIEEVD >ONIVA05G20110.1 pep chromosome:AWHD00000000:5:20466477:20472240:1 gene:ONIVA05G20110 transcript:ONIVA05G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFK0] MSIYDIRLIWAFSCLVLAGSYPGKPSQLGNSNPAAYYYTSDGKQWLVLMGMTSSQSVPTDQYHCYYCVPVNKKSSAKGYCWSVAGGWVIVLGYNADSGMLDSYFISAKEDDDKAKTAWLRGKLEERIPPPLHFSQVRKKGSPMAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVTFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVLRKMRSGGGHAHTEKKK >ONIVA05G20110.2 pep chromosome:AWHD00000000:5:20466477:20472240:1 gene:ONIVA05G20110 transcript:ONIVA05G20110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFK0] MSIYDIRLIWAFSCLVLAGSYPGKPSQLGNSNPAAYYYTSDGKQWLVLMGMTSSQSVPTDQYHCYYCVPVNKKSSAKGYCWSVAGGWVIVLGYNADSGMLDSYFISAKEDDDKAKTAWLRGKLEERIGSPMAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVTFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVLRKMRSGGGHAHTEKKK >ONIVA05G20100.1 pep chromosome:AWHD00000000:5:20460506:20462262:1 gene:ONIVA05G20100 transcript:ONIVA05G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRQIPPPTAAASSRVVVAAVAALLLAAALQPLPVASGVDVAAVLAAFPDLAGFARLLASSPVARELAGRSSLTLLAVPNGNLPQSPSAYAAASGADLADVLRYHVLLEYLAPADLRRLPASGKLVTTLFQTTGRAPADLGAVNVTAAGPSLAVVRSPAPFPGSNATVLGAITAVPYNLSVLAVDGLIVPSGFDLAASESRPPPAVNITKVLADARAFNVAASMLEASGVADEFEADERGAGITVFAPTDDAFAGLPAGDRLQSLPAERKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEFTQAGRFTLNITRANGSVAIDTGVVQATITRTVFDQNPVAVFAVSKVLLPKEMFSRSDSAIVAVASAPPPAALPAESPESAPTKLSSPPALRDTAGNANHTAAAAAVAAAAATTKPTIGRWCIALLYLLLLPLLLPLICMGLGEEGGEVTGEVRGEERRRVGGGSELAG >ONIVA05G20090.1 pep chromosome:AWHD00000000:5:20453578:20458707:1 gene:ONIVA05G20090 transcript:ONIVA05G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQTYSRLGSLGGAPSPPPVPPAVAAVASLQRGGGGSGRRTPGKGGSASASASAAAGWTGVGCGCAARRAARAVLAALHRRQAMFLFAPLLYVAAMLLYMGSIPLDVVPRIVARPAPGSVYRSPQLYARLRADMDADNSTDAICNAVAIAGFLNATLVIPNFHFHSIWRDPSTFSDIYDEAHFVKRLQNDVRVVEKVPDFIMERFGHNLSNVFNFKIKAWSPIQYYKDAVLPKLIEERLIRISPFANRLSFDAPPVVQRLRCLANFEALKFSNPIATLSETLVSRMKEKSTASNGKYIAVHLRFEEDMVAFSCCVYDGGDEEKKEMNAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNNTAIFLASGKIYRAEKNMVPLLEMFPLLQTKETLASAEELAPFKDFSSRMAAIDYSVCVHSDAFVTTQGGNFPHFLMGHRRYLYAGHSRTIKPDKRKLAILFDNPHGNH >ONIVA05G20080.1 pep chromosome:AWHD00000000:5:20447375:20450942:1 gene:ONIVA05G20080 transcript:ONIVA05G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT5G02370) TAIR;Acc:AT5G02370] MATAAATQSQPVRVVLRVRPHLPSEANSAEAPCVGLLGSHPGGEVTVQLKDQYTSRNECYKLDAFFGQESRVCEIFDQEVSAVIPGIFEGTNATVFAYGATGSGKTYTMQGTEDLPGLIPLAVSTVLALCTGTWCSVEISYYEVYMERCYDLLEPKAREIMVLDDKDGNLQLKGLAWVPVRSLEEFHEIYSIGVQRRKVAHTGLNDVSSRSHAVLSIRITTDVVKGKLNLIDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVISALNKKEPRIPYRESKLTRILQDSLGGNSHAVMIACLNPVEYQEAVHTVSLAARSRHVTNHMSLASKQETPKDKVDMEAKLRAWLESKGKTKSIQRMDGLLSPNAIKTPLSMSHKKQSASVRVSGRGKAMDQDGGKIKKVLFDSAARIPAENFHREGTQDIVNTTKKVVLPSLTPCKEDKTGSSLRKALSPISSNMDPQKQRTADDSNCLMLLELRTPMGSCNIVGKVTGATPLDKFIALGSNLKESLIQQYLDFLNVANKEELQKLKGIGERRAEYILELREDSPRPFKSLSDLGNIGLSSKQIQDILCKTATGIFK >ONIVA05G20070.1 pep chromosome:AWHD00000000:5:20439482:20444336:1 gene:ONIVA05G20070 transcript:ONIVA05G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERKKEKEKEKKHKEKEKEKAGTHGGEAHFKPSGEVKGVRFGGQFIVKSFTVRRASPLELLRLLDIPPAYLSECQSLPFPSTTAYMPTSFTILAHQAWHTLTLGLGTKKSKVVLFVFESEGMKAAVDQLWPSMIPLGDVNKKLIRGLTGSEMARFKFRKGCLTIYVYAVRRLGAAGFMCADDLRRILESVVELKDFLDHTAMLAMPSQKSITLQSRVAVAH >ONIVA05G20060.1 pep chromosome:AWHD00000000:5:20427290:20433986:-1 gene:ONIVA05G20060 transcript:ONIVA05G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKVEEEEEERNPVASSPSVLEGSAHAAALASPTAADSIFGRRRKSGPVRRAKGGWTPEEDEKLRKAVDIYNGKNWKKIAESFSDRTEVQCLHRWQKVLDPELIKGPWTQEEDDVIINMVKKHGPKKWSVIARSLNGRIGKQCRERWHNHLDPQIRKEAWTVEEERVLARAHCMYGNKWAEIAKLLPGRTDNSIKNHWNSSLRKKIDDYNTRDILPVHPPVVGDGLKQLPKRPPVDNHFDLNKEPIICSRDRLGVVHSDPTSHQRASNLKDFKGCADYLSLGQPVTSCEASAADDSAFDLATQGMRMDSVHDKGTGNNFVCGKVQGINFLGDKGLKINQISDKMGCSRQAKREGEAAINGGGSSLQSEAHSVGSLCYQIPKMEDIAPAQSPVFTANYVPEHSRNVMHSPNGYTTPPTHGKGSDQLSVESILRSAAEKFHGTPSILRRRKRDKPTPAEDNDLKIGRLSSDDFHTPIGKCTTDSPQSFKTAALLSLGPMDEQGSLDVSPPYRLRSKRLAVLKTVQNHLDFSSDEMSICDTTMKSACGNSDCANASSGVSSIQGKKLDEHMIGLETLTMNFAHTTKLDATQPNL >ONIVA05G20050.1 pep chromosome:AWHD00000000:5:20403973:20406130:1 gene:ONIVA05G20050 transcript:ONIVA05G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFJ4] MAAASSVLRCCLLVAALMTLSAMGAEAITRQYLFDVQTTSVTRLCSTKSIVTVNGQYPGPTLFAREGDHVEVTVVNHSPYNMSIHWHGIRQLLSGWADGPSYITQCPIQPGGSYVYRFTITGQRGTLWWHAHISWLRATVHGPMVILPPAGVGYPFPAPHEEVPIMFGEWWNNDTEAVISQALQTGGGPNISDAYTLNGLPGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNDELFFSIANHTLTVVDVDALYVKPFTVDTLIIAPGQTSNVLLTAKPTYPGASYYMLARPYTTTQGTFDNTTVAGVLEYDDPCPTTAAGKIVPIFSPTLPQINDTNAVSNFTAKLRSLASAGYPAAVPQQVDHRFFFTVGLGTHPCAVNGTCQGPNGSRFAASINNVSFVLPATALLQSHFAGKSKGVYASNFPYYPLNPFNYTGTPPNNTNVMNGTKVLVLPYGANVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPINDPAKFNLYDPVERNTVGVPAGGWVAIRFHADNPGIYLCRYDCTISGVWFMHCHLEVHMSWGLKMAWLVLDGSRPDQKLPPPPLDLPKC >ONIVA05G20040.1 pep chromosome:AWHD00000000:5:20396922:20399027:-1 gene:ONIVA05G20040 transcript:ONIVA05G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFJ3] MAAASSVLRCCLLVAALMTLSAMGAEAITRQYLFDVQTTSVTRLCSTKSIVTVNGQYPGPTLFAREGDHVEVTVVNHSPYNMSIHWHGIRQLLSGWADGPSYITQCPIQPGGSYVYRFTITGQRGTLWWHAHISWLRATVHGPMVILPPAGVGYPFPAPHEEVPIMFGEWWNNDTEAVISQALQTGGGPNISDAYTLNGLPGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNDELFFSIANHTLTVVDVDALYVKPFTVDTLIIAPGQTSNVLLTAKPTYPGASYYMLARPYTTTQGTFDNTTVAGVLEYDDPCPTTAAGKIVPIFSPTLPQINDTNAVSNFTAKLRSLASAGYPAAVPQQVDHRFFFTVGLGTHPCAVNGTCQGPNGSRFAASINNVSFVLPATALLQSHFAGKSKGVYASNFPYYPLNPFNYTGTPPNNTNVMNGTKVLVLPYGANVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPINDPAKFNLYDPVERNTVGVPAGGWVHMSWGLKMAWLVLDGSRPDQKLPPPPLDLPKC >ONIVA05G20030.1 pep chromosome:AWHD00000000:5:20388694:20395005:1 gene:ONIVA05G20030 transcript:ONIVA05G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASLARALSRRSAPSSSRARQGFSLGGLGGTTRSPPPPSSPLPSLHGGEGGGLGLGFVRGYLTAALGRPAAVKAGTDWRSILANPQFRRLFSDGSKKNYENYYPKGKKEAPKGDGSNKSDSKQDSSTDDQWNFQETASKQLQNFLAPLLFLGLMLSSLSSSSSDQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYVRSSPQSNSQGQNTDAIITTNDVPSKHTPSRYKYYFNIGSVDSFEEKLEEAQEALGVDPHDFVPVTYVAEVNWFQEVMRFAPTVFLVGLIYLMSKRMQSGFNIGGGPGKGGRGIFNIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEETQITMQHFESAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAKIAEMLLEKEVLHQDDLVRVLGERPFKASEPTNYDLFKQGFQDEEDSKNQEAAKTPQPDDDGTPSLGEVVPT >ONIVA05G20030.2 pep chromosome:AWHD00000000:5:20388710:20395005:1 gene:ONIVA05G20030 transcript:ONIVA05G20030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASLARALSRRSAPSSSRARQGFSLGGLGGTTRSPPPPSSPLPSLHGGEGGGLGLGFVRGYLTAALGRPAAVKAGTDWRSILANPQFRRLFSDGSKKNYENYYPKGKKEAPKGDGSNKSDSKQDSSTDDQWNFQETASKQLQNFLAPLLFLGLMLSSLSSSSSDQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYVRSSPQSNSQGQNTDAIITTNDVPSKHTPSRYKYYFNIGSVDSFEEKLEEAQEALGVDPHDFVPVTYVAEVNWFQEVMRFAPTVFLVGLIYLMSKRMQSGFNIGGGPGKGGRGIFNIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEETQITMQHFESAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAKIAEMLLEKEVLHQDDLVRVLGERPFKASEPTNYDLFKQGFQDEEDSKNQEAAKTPQPDDDGTPSLGEVVPT >ONIVA05G20020.1 pep chromosome:AWHD00000000:5:20372752:20374810:-1 gene:ONIVA05G20020 transcript:ONIVA05G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFJ0] MGSSTGRHLLCLASPACLLFAAAVLLAMPGLTAARTRRYSFNVTMATVTRLCVTKSVPTVNGQFPGPKLVVREGDTLVIRVTNNINNNVTFHWHGIRQVRSGWADGPAYITQCPIRPGGSYVYRFTVTGQRGTLWWHAHFSWLRATLYGPLVILPPRGVAYPFPKPHREVPLLLGEWFNADPEAVIKQALQTGGGPNVSDAYTFNGLPGPTYNCSSSNDTFKLRVRPGKTYLLRLINAALNDELFFGVANHTLMVVQADASYVKPFAATALVISPGQTMDVLLTAAANNPPSRSFAIAVAPYTNTVGTFDNTTAVAVLEYYGAATSAAALRSLPLPSLPAYNDTGAVANFSASFRSLASAQYPARVPRTVDRHFFFAVGLGADPCQSPVNGTCQGPNNTRFAASMNNVSFVMPRTSLLQAHYQRRYNGVLAANFPAAPRTPFNYTGTPPNNTFVTHGTRVVPLSFNTTVEVVLQDTSILGAESHPLHLHGYDFYVVGTGFGNYDASNDTAKYNLVDPVQRNTISVPTAGWVAIRFVADNPGWLPALYFYLHLKREFL >ONIVA05G20010.1 pep chromosome:AWHD00000000:5:20368025:20368288:-1 gene:ONIVA05G20010 transcript:ONIVA05G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMESRAEDQGVKRREPCKKRIGRTAGAGSEAGNGSRHQASCSPPPAPSSSFPRRCARLVKEQRARLYIVRRCITMLACWRDVDYL >ONIVA05G20000.1 pep chromosome:AWHD00000000:5:20364059:20365396:-1 gene:ONIVA05G20000 transcript:ONIVA05G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFI8] MAEVADLTGDGGVLKTVVRKAKDDAIAPTDSLPLVDVHYEGTLAENGEVFDTTHEDNSIFSFEIGQGAVIKAWDIALRTMKLCLCSATLIFEVELVACRPRKGSSLGSVSDEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >ONIVA05G19990.1 pep chromosome:AWHD00000000:5:20359874:20363547:1 gene:ONIVA05G19990 transcript:ONIVA05G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFI7] MGRPGYLTLPILSVLAAIGYVYYTTVFVAVARWLGLATAAGAANAAAFTALAAACVATYAVAVCRDPGRVPPSFAPDVEDAESPLHEIKRKGGDLRYCQKCGHYKPPRAHHCRACKRCVLKMILIIGSAMYSVPVDEQSSNDSSRTSIIICGIILCPLTLALTVLFGWHIYLILQNKTTIEYHEGVRAMWLAEKGGNLYHHPYHLGVYENLISVLGPNIFCWLCPVSTNTGNGLRFHTSHDIPLSTPSM >ONIVA05G19990.2 pep chromosome:AWHD00000000:5:20359874:20363547:1 gene:ONIVA05G19990 transcript:ONIVA05G19990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFI7] MGRPGYLTLPILSVLAAIGYVYYTTVFVAVARWLGLATAAGAANAAAFTALAAACVATYAVAVCRDPGRVPPSFAPDVEDAESPLHEIKRKGGDLRYCQKCGHYKPPRAHHCRACKRCVLKMILIIGSAMYSVPVDEQSSNDSSRTSIIICGIILCPLTLALTVLFGWHIYLILQNKTTIEYHEGVRAMWLAEKGGNLYHHPYHLGVYENLISVLGPNIFCWLCPVSTNTGNGLRFHTSHDIPLSTPSITDNLSRS >ONIVA05G19980.1 pep chromosome:AWHD00000000:5:20352902:20356153:1 gene:ONIVA05G19980 transcript:ONIVA05G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMSASAEAKSRRERRSVVAELEGALLRDAATFPYFMLVAFEASGLPRFAALLALWPLLWALERALGRGDLALRAAAFVATAGVPRAEVEAVARAVLPKFMADDVDPAAWAAFGSCGGRRVVVTRMPRVMVERFAREHLGAHEVVGCDLEYSRLRRSTGFVRGGGGGERAVAERVRALFADGDRPDVGIARSESATRSFLPFCKKQLRPPFCEDDGDDVAAAGEQHKCPPFRPVIFHDGRLVCRPTPLMSLVILLWLPLGALVAFVRIAVGISVPIQIIPRIAPYFGGAVVVHGAPPPAAASGPASGVLFVCTHRTLMDPVVLATVLGRRVAAVTYSISRLSEVLSPIPTVRLTRDRGVDAAQMRAELSRGDVAVCPEGTTCREPFLLRFSALFAELSDRIVPVAMNYRVGLFHPTTARGWKAMDPIFFFMNPRPVYEVTFLNQLPAEETCAAGKSAVDVANYVQRILAAKLGFECTTFTRKDKYRVLAGNDGIVNVKPPPAADPAPWQRRLKEVLGFLLH >ONIVA05G19970.1 pep chromosome:AWHD00000000:5:20339781:20343548:-1 gene:ONIVA05G19970 transcript:ONIVA05G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02130) TAIR;Acc:AT5G02130] MNPIRSALARALLSPKPRPPRARHYATSSTGGATQPESVAAEMVRYALGGARPGSSPDEAMRILEQGASNLQGGGEGCGEAVGMLMLAMSTLLYRSGRRQEAMEKLKATNQVAPAAFRVAAWEATMGLCMEAGQVINTSVSPDDLVDLSIKDDNIKWSDQGHLKCRVNAIKGLIALLNGETESAQLFFDGCKDLCAGVGNKQTENAVLSYCEYLHCVGDFPLATQMYERVLEALTMEDMSGNFLAACNMVPEEVSLGATCSYGQLLSHSGKFSEAEDYLTRALKKAEDQFGANHPKVGIVLTCVARMYKLKAKAEGSSSIMVQEGLYRKALEVLRAPAINSEGVSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKEWAEHAWRNSRLTLAQALEFSGPSKPTVVDTRIGRVM >ONIVA05G19970.2 pep chromosome:AWHD00000000:5:20339781:20343548:-1 gene:ONIVA05G19970 transcript:ONIVA05G19970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02130) TAIR;Acc:AT5G02130] MNPIRSALARALLSPKPRPPRARHYATSSTGGATQPESVAAEMVRYALGGARPGSSPDEAMRILEQGASNLQGGGEGCGEAVGMLMLAMSTLLYRSGRRQEAMEKLKATNQVAPAAFRVAAWEATMGLCMEAGQVINTSVSPDDLVDLSIKDDNIKWSDQGHLKCRVNAIKGLIALLNGETESAQLFFDGCKDLCAGVGNKQTENAVLSYCEYLHCVGDFPLATQMYERVLEALTMEDMSGNFLAACNMVPEEVSLGATCSYGQLLSHSGKFSEAEDYLTRALKKAEDQFGANHPKVGIVLTCVARMYKLKAKAEGSSSIMVQEVSKYLIPVLLALLSISRNYNGLVPLIFSFNDETIHGLYRKALEVLRAPAINSEGVSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKEWAEHAWRNSRLTLAQALEFSGPSKPTVVDTRIGRVM >ONIVA05G19970.3 pep chromosome:AWHD00000000:5:20339781:20343548:-1 gene:ONIVA05G19970 transcript:ONIVA05G19970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02130) TAIR;Acc:AT5G02130] MNPIRSALARALLSPKPRPPRARHYATSSTGGATQPESVAAEMVRYALGGARPGSSPDEAMRILEQGASNLQGGGEGCGEAVGMLMLAMSTLLYRSGRRQEAMEKLKATNQVAPAAFRVAAWEATMGLCMEAGQVINTSVSPDDLVDLSIKDDNIKWSDQGHLKCRVNAIKGLIALLNGETESAQLFFDGCKDLCAGVGNKQTGMEVSETIWPFTFFPAIISYTIVMFLTENAVLSYCEYLHCVGDFPLATQMYERVLEALTMEDMSGNFLAACNMVPEEVSLGATCSYGQLLSHSGKFSEAEDYLTRALKKAEDQFGANHPKVGIVLTCVARMYKLKAKAEGSSSIMVQEVSKYLIPVLLALLSISRNYNGLVPLIFSFNDETIHGLYRKALEVLRAPAINSEGVSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKEWAEHAWRNSRLTLAQALEFSGPSKPTVVDTRIGRVM >ONIVA05G19970.4 pep chromosome:AWHD00000000:5:20339781:20343548:-1 gene:ONIVA05G19970 transcript:ONIVA05G19970.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02130) TAIR;Acc:AT5G02130] MNPIRSALARALLSPKPRPPRARHYATSSTGGATQPESVAAEMVRYALGGARPGSSPDEAMRILEQGASNLQGGGEGCGEAVGMLMLAMSTLLYRSGRRQEAMEKLKATNQVAPAAFRVAAWEATMGLCMEAGQVINTSVSPDDLVDLSIKDDNIKWSDQGHLKCRVNAIKGLIALLNGETESAQLFFDGCKDLCAGVGNKQTGMEVSETIWPFTFFPAIISYTIVMFLTENAVLSYCEYLHCVGDFPLATQMYERVLEALTMEDMSGNFLAACNMVPEEVSLGATCSYGQLLSHSGKFSEAEDYLTRALKKAEDQFGANHPKVGIVLTCVARMYKLKAKAEGSSSIMVQEGLYRKALEVLRAPAINSEGVSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKEWAEHAWRNSRLTLAQALEFSGPSKPTVVDTRIGRVM >ONIVA05G19960.1 pep chromosome:AWHD00000000:5:20336157:20337647:1 gene:ONIVA05G19960 transcript:ONIVA05G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWADDGGEGDDDDDLRLPGAGRRSPSLGILAFEAASTMTKLLSLHRSLSEKEVARLRSNTMRAAGVEYLSSTDQAFLLRLACAEAVAALDAAAAAVARLGARCGLDFAGPYASLKAGAPDARLDQFVAKGLKVKAKRMERLVAATAKLCAEMEALDKLEAAEQKLARRGWGRLSGPIPSPAAAAIDAVGSDSLRLGIRAQRARVRQLKEESLWSQSYEKAVILMARAACAVFVRVCVVFGAHVPGLPPPLPPAEAVHSRLSKLLFHPMSAAAQPRSLSGPIQRRDVPLRIEMSSNSCPIIRSHCQQPWQTSPPGVDWRKLLEPPPGTVGGAGLDLQYANVITTAERLLLETDHAEGRQRHEEARAELYAMLPSKLRAAVRAKLRGWWRERGAAAAVAVELDAGLAEGWRSAAGRILAWLAPMARDTARWHAERSLDRQRRFEVGGGGGGSARAWALQTLRWADAEKAEAAVVEVLVALSCVGWYDERRRVASLRF >ONIVA05G19940.1 pep chromosome:AWHD00000000:5:20322419:20323949:-1 gene:ONIVA05G19940 transcript:ONIVA05G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEICREEAAKSMPAAAAGATAIARRRRRVEGFRFAAGSLEPPQEDADAGVARCGKRQRVAGARAGAGAATAGPCRPSAGAGFGSRWWPRYGVTSVFGRRREMEDAVSIRPDFLRGSTSSGKHHFFGVFDGHGCSHVARMCQDRMHELVVDAYKKAVSGKEAAAAAPAWKDVMEKGFARMDDEATIWAKSRTGGEPACRCELQTPARCDHVGSTAVVAVVGPNRVVVANSGDSRAVLCRAGVPVPLSVDHKPDRPDELERIKAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVTSEPEVTVTERADDDECLILASDGLWDVVTNEMACEVVRACFRSNGPPSPPGCSRPKAVLPPPAGASGGGGGDAVVKGVDKAESDKACADAALLLAKLAIARRSADNVSVVVVDLRRPVP >ONIVA05G19930.1 pep chromosome:AWHD00000000:5:20319729:20321810:1 gene:ONIVA05G19930 transcript:ONIVA05G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMASAAPEPPFRPREKLVEKQRYFQSVHKPTYLKGRYDAIASVAIPLALAASSVFLVDLHKTVRSSIDRVEKKLQDYNPGGSPPTVVARAAVAASSSPPSPRQPDSSGVGSAAGVARFASPTPSPRHLRRHHSSRCYPSIPAAILSSSRTLFPSSSFDDTRRRRATVVAHAAVAANLSPPPSRPPDLRALDPPSARPGSPHRRHPLAALAVVAIPATRRRRRSPSHQIQAQIWRFPPPPPPPTPRCRCHHHQTPPPHRSAPPPAAPPPDPAGGTDLAGSTALEQVPLPCPSARTKPRRRRPCGRVALPAAARAAARQRRRKGETSAGEVVASRSPVGEGRHERKQGRGIYNMSHGIGRKKE >ONIVA05G19920.1 pep chromosome:AWHD00000000:5:20312386:20316845:-1 gene:ONIVA05G19920 transcript:ONIVA05G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGGGEGEEMEEDGGGAGRRKERVVLMWGYLPGVSQQRSPLLGPVPVRMPDAAAAAGDAWRDVAGGGCGFAMAVSEQGKLFTWGSTDDMGQSYVTAGKHEETPEAFPLPSDIAIVRADAGWAHCVAITGKGDVYTWGWKECVPTGRVIADHSATGAMDCDKRQSGVATDQGNSITVSPRSKVSRTSTKAASGPAQSRSSEDSTKRRRLSSSKHGAESESSSDENLSAPPCVVTFNTGVKIVEVAAGGRHTLVLSDFGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCVQSAFYSKDRTGIVMGSRTLEGTNDRAATCVKAIACGGRHSAVVTDSGTLLTFGWGLYGQCGQGNTDDILSPTCVSAIMGVKIQRVAAGLWHTVCTSADGDVYSFGGNQFGQLGTGSDQAEIVPKLVEASKLENKHARGVSCGARHSAVITDKGDVFCWGWNKYGQLGLGDSTDRNVPCQVPVEAYNPLNVSCGWWHTLVLAEYPT >ONIVA05G19910.1 pep chromosome:AWHD00000000:5:20308058:20308578:-1 gene:ONIVA05G19910 transcript:ONIVA05G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFIPFIYKAIVQYRKEGQVSVGDLFFDEPSPSSYFRLPGDSGRYQQQQQAAMSVLFSQATTADSDAAAPRRSPLRCTIVICENVQK >ONIVA05G19900.1 pep chromosome:AWHD00000000:5:20296706:20299561:1 gene:ONIVA05G19900 transcript:ONIVA05G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFH5] MWEVAWWRPGTWGGLAMRVGQVAFAGASIGVMASGAGFANYTAFCYLIASMGLQSLWSLGLACLDVYALTVKRDLNNALLVTALLSFAASCSAGGVMVLFKRDVLFCRRYPQLPCGRFELAVALAFLSWALSATSAIIMFCLLAAF >ONIVA05G19890.1 pep chromosome:AWHD00000000:5:20289550:20292482:-1 gene:ONIVA05G19890 transcript:ONIVA05G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFVLNTGAKIPSVGLGTWQAEPGVVGNAVYAAVKAGYRHIDCAQAYFNEKEIGVALKKVFDEGIVKREDIFITSKLWCTNHAPEDVPVALDSTLQDLQTDYVDLYLIHWPVRMKKGAGFGGQNVLPTDIPATWAAMEKLHDSGKARAIGVSNFSSKKLEDLLAVARVPPAVDQVECHPVWQQTKLRKFCTSKGIHLSAYSPLGSPGTASVKAVGNVLAHPVVVSTAEKLGKTPAQVALRWGIQMGHSVLPKSTHEERIKENIDVYDWSIPEDLFIKLSEIEQARPESASAADALKLCSCWVFFFGQDGELVMVLMNGDFDLQMKLIRGEFWTHPEGVYKSIEELWDGEI >ONIVA05G19880.1 pep chromosome:AWHD00000000:5:20286414:20288262:-1 gene:ONIVA05G19880 transcript:ONIVA05G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVLNTNAAIPSVGLGTWQISPGAVQDAIRAAVQVGYRHIDCSPQYGNQKEVGLALKKLFDEGAVKREDLFITSKLWCTHHAPEDVPEAINTTLKDLQLYYLDLYLVHGPVRAKKGTKLSVENYLKPDIPATWQAMEKLYDSGKARAIGVSNFSCKKLEDLLNVARVPPAVNQVECHPVWQQGKLRKLCQSKGVHLSAYAPLGSPGSPGNDGPNVLSHPTVISIAKKLQKSPAQVALRWGIQMGQSVLPKSDNEVWTRENIDIFDWCIPEELMAKFYEIQQAWLHILTNYLLKA >ONIVA05G19870.1 pep chromosome:AWHD00000000:5:20286333:20291673:1 gene:ONIVA05G19870 transcript:ONIVA05G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELCHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNVLRDGPVIDVNVLLDPLLVGALREHAVPHLNPPPATCAGVFPSFSAVETTTGCASTLPTAFTDAVPGEPNGDEGEVQSWNLRSLVCCHTGWHSTWSTAGGTRATASRSSSFLLEKLLTPMARALPESWSFSIAAHVAGISVGRTFCPPKPAPFFILTGQWI >ONIVA05G19860.1 pep chromosome:AWHD00000000:5:20283959:20285534:-1 gene:ONIVA05G19860 transcript:ONIVA05G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTLRRARAHPSWAAAARGLLATWGAGSGGRVRHPRHGAASASLFSSLSSSQTSAADAQLLRVINMEISYAQKDCKNRNWELGEGFPFEIQDKDGTNMMTLKRSDHKEQIEVEVFLPSAVNEAEENGEPEDQCEDGKHRTHIGNGVPAQYCIPLIVRVRKEAASYLKISCSSYPNELIIESLSFEPNDESGDSASLEAKLSNLPEEFQKAVYSYLKSRCISTDITDFLHAYMINKECHEYLSWLRKVKGLIKS >ONIVA05G19850.1 pep chromosome:AWHD00000000:5:20277461:20283270:1 gene:ONIVA05G19850 transcript:ONIVA05G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27610) TAIR;Acc:AT2G27610] MTPRNAAALSGLLRGKNAVNLAPEQVPKLLATRASPARVEDGVCLRDPPGARYPLDEIPRRDAAVGANRVLFDYARRGMVPEVLDQFSVARRGGVLVDSATLSCVLKACRSVPDRVLGEQLHCLCVKCGHDRGEVSAGTSLVDMYMKCGSVCEGIEVFEGMPKKNVVTWTSLLTGCAHAQMHSEEMNKLMRKHLQKLNFTAPVRSRCDRVSEIKSRAKKEKKVPASKLPSSSLRLGLCFSCCCYGWASNGLEMALLVVRIWKFRVVVLIPVEFLRWVIIILLGGASSWVISLNLKECTEGADMMVLIASAAVLQFAVALFIKVFFFA >ONIVA05G19850.2 pep chromosome:AWHD00000000:5:20277461:20283569:1 gene:ONIVA05G19850 transcript:ONIVA05G19850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27610) TAIR;Acc:AT2G27610] MTPRNAAALSGLLRGKNAVNLAPEQVPKLLATRASPARVEDGVCLRDPPGARYPLDEIPRRDAAVGANRVLFDYARRGMVPEVLDQFSVARRGGVLVDSATLSCVLKACRSVPDRVLGEQLHCLCVKCGHDRGEVSAGTSLVDMYMKCGSVCEGIEVFEGMPKKNVVTWTSLLTGCAHAQMHSEVMALFFRMRAEGIWPNPFTFASVLSAVASQGALDLGQRVHAQSVKFGCRSSVFVCNSLMNMYAKCGLVEDAKSVFNWMETRDMVSWNTLMAGLQLNECELEALQLFHESRATMGKMTQSTYATVIKLCANLKQLALARQLHSCVLKHGFHLTGNVMTALADAYSKCGELADALNIFSMTTGSRNVVSWTAIISGCIQNGDIPLAVVLFSRMREDRVMPNEFTYSAMLKASLSILPPQIHAQVIKTNYQHIPSVGTALLASYSKFGSTEDALSIFKMIEQKDVVAWSAMLSCHAQAGDCEGATYLFNKMAIQGIKPNEFTISSVIDACACPSAGVDQGRQFHAISIKYRYHDAICVSSALVSMYSRKGNIDSAQIVFERQTDRDLVSWNSMISGYAQHGYSMKAIETFRQMEASGIQMDGVTFLAVIMGCTHNGLVVEGQQYFDSMVRDHKINPTMEHYACMVDLYSRAGKLDETMSLIRDMPFPAGAMVWRTLLGACRVHKNVELGKFSADKLLSLEPHDSSTYVLLSNIYAAAGKWKERDEVRKLMDYRKVKKEAGCSWIQIKNKVHSFIAFDKSHPMSDQIYKKLKVIITRLKQDGYSPNTSFVLHDIAEDQKEAMLVAHSERLALAFGLIATPPGTPLQIVKNLRVCGDCHMVMKMVSMIEDREIIMRDCSRFHHFNGGACSCGDEQTHEKAPPEAQLHCTSQIQVVVLIPVEFLRWVIIILLGGASSWVISLNLKECTEGADMMVLIASAAVLQFAVALFIKFVSNLPTKFMILD >ONIVA05G19850.3 pep chromosome:AWHD00000000:5:20277461:20283270:1 gene:ONIVA05G19850 transcript:ONIVA05G19850.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27610) TAIR;Acc:AT2G27610] MTPRNAAALSGLLRGKNAVNLAPEQVPKLLATRASPARVEDGVCLRDPPGARYPLDEIPRRDAAVGANRVLFDYARRGMVPEVLDQFSVARRGGVLVDSATLSCVLKACRSVPDRVLGEQLHCLCVKCGHDRGEVSAGTSLVDMYMKCGSVCEGIEVFEGMPKKNVVTWTSLLTGCAHAQMHSEVMALFFRMRAEGIWPNPFTFASVLSAVASQGALDLGQRVHAQSVKFGCRSSVFVCNSLMNMYAKCGLVEDAKSVFNWMETRDMVSWNTLMAGLQLNECELEALQLFHESRATMGKMTQSTYATVIKLCANLKQLALARQLHSCVLKHGFHLTGNVMTALADAYSKCGELADALNIFSMTTGSRNVVSWTAIISGCIQNGDIPLAVVLFSRMREDRVMPNEFTYSAMLKASLSILPPQIHAQVIKTNYQHIPSVGTALLASYSKFGSTEDALSIFKMIEQKDVVAWSAMLSCHAQAGDCEGATYLFNKMAIQGIKPNEFTISSVIDACACPSAGVDQGRQFHAISIKYRYHDAICVSSALVSMYSRKGNIDSAQIVFERQTDRDLVSWNSMISGYAQHGYSMKAIETFRQMEASGIQMDGVTFLAVIMGCTHNGLVVEGQQYFDSMVRDHKINPTMEHYACMVDLYSRAGKLDETMSLIRDMPFPAGAMVWRTLLGACRVHKNVELGKFSADKLLSLEPHDSSTYVLLSNIYAAAGKWKERDEVRKLMDYRKVKKEAGCSWIQIKNKVHSFIAFDKSHPMSDQIYKKLKVIITRLKQDGYSPNTSFVLHDIAEDQKEAMLVAHSERLALAFGLIATPPGTPLQIVKNLRVCGDCHMVMKMVSMIEDREIIMRDCSRFHHFNGGACSCGDEQTHEKAPPEAQLHCTSQIQVVVLIPVEFLRWVIIILLGGASSWVISLNLKECTEGADMMVLIASAAVLQFAVALFIKVFFFA >ONIVA05G19840.1 pep chromosome:AWHD00000000:5:20273297:20276616:1 gene:ONIVA05G19840 transcript:ONIVA05G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane Yip1 family protein [Source:Projected from Arabidopsis thaliana (AT2G39805) TAIR;Acc:AT2G39805] MDEGYANLPTSHLLGSVPAALTPEERKPSPVAEVGTSRLQQFPPALGGTGGVGGGGGGYQPPGTPADGDVETQTNWKGYFNVASYAPYFNVDTDVVVDRLISSVYPMDGFFRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMQRKTDLNIWSFDVGYFNWAASVMYGYAAAVPAIFFFLFQYFGSRPSLVRFWCMWGYSLFIFIPASVLLLIPVEFLRWVIIILVGGASSWFISLNLKECTEGADMMVLIASAAVLQFTLALFIKVFFFA >ONIVA05G19830.1 pep chromosome:AWHD00000000:5:20267651:20271682:-1 gene:ONIVA05G19830 transcript:ONIVA05G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uracil phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G53900) TAIR;Acc:AT3G53900] MPSLATAAAAGAGAPLRRGPCAPRRQSAHASSSSSSSLPSPALATSTRFAATAPILRLVQRRPRAPLTAARAVSPDAATGARSPSSGGQMLVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTITGEIQTPVAVSSVEFIDPREPVMVVPILRAGLAMAENASSVLPATKTYHLGLRRDEETLQPSIYLNNLPDKIPEGTRVLVVDPMLATGGTIVAAIDLLHVYTGTIDSEVDERGFIVPGLGDAGDRSFAT >ONIVA05G19830.2 pep chromosome:AWHD00000000:5:20267342:20271682:-1 gene:ONIVA05G19830 transcript:ONIVA05G19830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:uracil phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G53900) TAIR;Acc:AT3G53900] MPSLATAAAAGAGAPLRRGPCAPRRQSAHASSSSSSSLPSPALATSTRFAATAPILRLVQRRPRAPLTAARAVSPDAATGARSPSSGGQMLVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTITGEIQTPVAVSSVEFIDPREPVMVVPILRAGLAMAENASSVLPATKTYHLGLRRDEETLQPSIYLNNLPDKIPEGTRVLVVDPMLATGFMCTREQLILKLMREDFLILHGKKC >ONIVA05G19820.1 pep chromosome:AWHD00000000:5:20263333:20268504:1 gene:ONIVA05G19820 transcript:ONIVA05G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFG4] MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILVTFNEKVTRTVRQFSPHLAAKMRPPITPVLRGRPSSKRSIHICGRPRWLFVLLFSAVSCMLWLTSCSLLTVLWALLIAIFATLLHASFRTPNLKARLNTFREEFRAVWRNYSSCGKKCLVLKLRAVCELCLWEIPRYEQW >ONIVA05G19810.1 pep chromosome:AWHD00000000:5:20254069:20260026:-1 gene:ONIVA05G19810 transcript:ONIVA05G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFG3] MASVDPSRSFVRDVKRVIIKIIRSGALLGLFCGLLDVTAYVEVANLSTLVGTAVVSRQDGRLALGRVGALCEQVPNQRKVAMQVKELNSLGYEVILVTSGAVGVGRQRLRYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFNQLDVSSSQLLVTDSDFENPKFREQLTETVESLLDLKVIPIFNENDAISTRKAPYEDSSGIFWDNDSLAGLLALELKADLLILLSDVDGLYSGPPSEPSSKIIHTYIKEKHQQEITFGDKSRVGRGGMTAKVKAAVLASNSGTPVVITSGFENRSILKVLHGEKIGTLFHKNANLWESSKDVSAREMAVAARDCSRRLQNLSSEERKKILLDVADALEANEDLIRSENEADVAAAQVAGYEKPLVARLTIKPGKIASLAKSIRTLANMEDPINQILKKTEVADDLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAIRSNTILHKVITDAIPRNVGEKLIGLVTTRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYIDKSADMDMAKHIVMDAKIDYPAACNAMETLLVHKDLMKNPGLDDILVALKTEGVNIYGGPIAHKALGFPKAVSFHHEYSSMACTVEFVDDVQSAIDHIHRYGSAHTDCIVTTDDKVAETFLRRVDSAAVFHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWILRGRGQVVNGDKDVVYTHKSLPLQ >ONIVA05G19800.1 pep chromosome:AWHD00000000:5:20250389:20253125:1 gene:ONIVA05G19800 transcript:ONIVA05G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGAGQSGWLLEYGLVEEEIQGSDFIYMVDDPAAVSRSRPESSAPPGTKACREKLRRDRLNERHVSHFQFNELSAILEPGKPPRADKVSILSDAARLLSQLRAEAQKLKSSNESLQDSIKSLKAEKSELRDEKTRLKAERERLEQMLKGVGAATPAAPAPFVPHHAAAVAAAPAYHPAAFAQAGGKYVPYATNYAPPAAFWQWIPPTSLDTSKDPVMWPPVA >ONIVA05G19800.2 pep chromosome:AWHD00000000:5:20250389:20253125:1 gene:ONIVA05G19800 transcript:ONIVA05G19800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGAGQSGWLLEYGLVEEEIQGSDFIYMVDDPAAVSRFNELSAILEPGKPPRADKVSILSDAARLLSQLRAEAQKLKSSNESLQDSIKSLKAEKSELRDEKTRLKAERERLEQMLKGVGAATPAAPAPFVPHHAAAVAAAPAYHPAAFAQAGGKYVPYATNYAPPAAFWQWIPPTSLDTSKDPVMWPPVA >ONIVA05G19790.1 pep chromosome:AWHD00000000:5:20247045:20247287:-1 gene:ONIVA05G19790 transcript:ONIVA05G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPPMLKRSNGVGVTVDWGGDGEAAMLDLAPLPDLAPSRLDPASPMREDGRDDEPLASGAVGDNCIMVMRAGAASTSLR >ONIVA05G19780.1 pep chromosome:AWHD00000000:5:20233926:20238943:1 gene:ONIVA05G19780 transcript:ONIVA05G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGGGGYGGGGGEHQHQQHHLLLGQAAGQLYHVPQHSRREKLRFPPDHPAESPPPPPPGSWPLPPAFYSYASSSSSYSPHSPTLAHAQLVAHGMPPGAATSGGAQIPSQNFALSLSSASSNPPPTPRRQFGGGGGGGGAAGPYGPFTGYAAVLGRSRFLGPAQKLLEEICDVGGRPAQLDRGSDEGLLDVDAMDAAGSVDHEMDGSDRAVADAVTVSGAEQQWRKTRLISLMEDVCKRYRQYYQQLQAVVSSFETVAGLSNAAPFASMALRTMSKHFKYLKGIILNQLRNTGKGATKDGLGKEDTANFGLMGGGAGLLRGNNVNSFSQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDSDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQLQKNPSLDKNQLSMQHTQHSSDSSGKPCDPSNSLQGQSSSMTRNHSISASRHIEDGLSQMPHDISGQNNNRAYIAEPLPAALPLNLAHRFGLEDVSDAYVMSSFGGQDRHFTKEIGGHLLHDFVG >ONIVA05G19770.1 pep chromosome:AWHD00000000:5:20224497:20224700:-1 gene:ONIVA05G19770 transcript:ONIVA05G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCPCRVCLWGLRVTRNPACMRAAYVRGVGMRRKEDDEAIDGRRCIAGRSLMVADASLEDWEAVSR >ONIVA05G19760.1 pep chromosome:AWHD00000000:5:20209883:20210351:1 gene:ONIVA05G19760 transcript:ONIVA05G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMGMKVRNGMFDLPDLRIDQLRFTNYEVALHAIMKWPMTSLTSYIEAKAATQRSNGTKCQPMHTIVNP >ONIVA05G19750.1 pep chromosome:AWHD00000000:5:20206898:20207696:-1 gene:ONIVA05G19750 transcript:ONIVA05G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKQNSKGQNGGGFADGKSHSPTKARGAYKDGKQSYPNESSESPYFGSSVHYGAREFYGNTPPKQGDASPGNQKEQEQNPDGSLATRGDWWQGSLYY >ONIVA05G19740.1 pep chromosome:AWHD00000000:5:20204427:20204705:1 gene:ONIVA05G19740 transcript:ONIVA05G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIDDPLKLGEEFLEVGSHGSSKDLSVTGDLGGCSRLRHRCSASRLLCQLRQRLRRPLFEPVTVWHCGEMRGEQGREKMGEEKCGVGIWFS >ONIVA05G19720.1 pep chromosome:AWHD00000000:5:20199933:20200441:1 gene:ONIVA05G19720 transcript:ONIVA05G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDLRHPNVLSPVGYHYRREEKLIVSEFMPRGSLLYVLHGDQRPDRVVLDWPARMRIAVGVVRGMAYLHEKLGIPAMRLVSMDSADFDAIHSCRRGRLTPLAV >ONIVA05G19710.1 pep chromosome:AWHD00000000:5:20195524:20199236:1 gene:ONIVA05G19710 transcript:ONIVA05G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGEEAAEEEREVSEALTADSSADEECRRGSSSSSASSGDASSESYCPPDEWQKVAIKTCVSSDLVVVSAEPAKEKKPPPPPSSPRVDAAPADKHHRPSEMEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLCATIFGQLWRLEPLLPEKKTMWRREMDWLLCVSDHIVELVPTWQTFPDGSKLEIMTSRPRSDLYINLPALRKLDHMLLEILESFRDPEFWYVDQGICPPDRDGSAPFMLTFHRRDEKWWLPVPRVPPGGVGDTTRRQLEHKRDCASQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDIIYRYITSDQFSPDCLLDCLDLSSEYQALEIANRVEASIYVWRRRGTSGAASRAGNKSSWGIVKDMIMDTEKRDDLLADRAEGLLMCLKQRFPGLTQTSLDTSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLYVDERSRQAELLPTAGAGSGKISCMPAMSASSVPAYPVVSTSGTPPPYATAYATPSFSPAQLSSPSKIGRALLVDRRSHDGRAFDGSMEFMGMAVSNAVFDLPGL >ONIVA05G19700.1 pep chromosome:AWHD00000000:5:20183704:20186921:1 gene:ONIVA05G19700 transcript:ONIVA05G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of SLD five 1 [Source:Projected from Arabidopsis thaliana (AT1G80190) TAIR;Acc:AT1G80190] MYGRRASQLLKEVDSCEAGQLVPFNSDVFDQVIRECNEHNTQFQSLIRKMVEQNLDIETTRNDDHYGAAVHHLSLLRNKRCLMAYMYNRAEVIQSFRWKIGPVLPHEIQEKLHFSEKEYFKNHSAAIKSYMSEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSISLTKNSLHFLRRTDTEQFISQTNLRLVTGYQKT >ONIVA05G19690.1 pep chromosome:AWHD00000000:5:20178165:20181541:1 gene:ONIVA05G19690 transcript:ONIVA05G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRADDERRIGVAMDYSASSKRALDWAIANLLRRGDHLVVLHVLHHGGEEAKHALWGKSGSLDSIPPALIPLSEFRDPTAMQQYGVHCDAEVLDMLDTAARQLELTVVAKLYWGDAREKLCDAVEEQKIDTLVMGSRGLGSIQRILLGSVTNYVLSNASCPVTVVKGK >ONIVA05G19680.1 pep chromosome:AWHD00000000:5:20173693:20175529:-1 gene:ONIVA05G19680 transcript:ONIVA05G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAGDAAEAGERDAEKLEFIEEMTRGFYAVQEQVLAAILARKNGTEYLRRHGMEGRTDREVFKARVPIVTYEDLRPEIERTANGDRSNIISSHPITEFLTRSCSAASRIASWGRRKRRAAGGGQRPSLPSSPATTFFSLRKNVSAPTQKAKYLL >ONIVA05G19670.1 pep chromosome:AWHD00000000:5:20165804:20168797:-1 gene:ONIVA05G19670 transcript:ONIVA05G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:guanylyl cyclase 1 [Source:Projected from Arabidopsis thaliana (AT5G05930) TAIR;Acc:AT5G05930] MWPLGFFSERLFKVAGEGDGGEGADDSPPAPDGRVPLARRSYYVDVPHVQQAFTWDCGLACVLMVLRTLGMDCCDGIADLEKLCRTTSIWTVDLAYLLNRFSVCFSFFTVTLGANPQYSAETFYREQLQEDIDRVDELFGRALEAGISIQCRSISAYDIAFLLLSGHCIAIALVDKTKLNSSWSNDLQDMQQFSEDSDYMGHYVVICGYDADACEFEIRDPASSRQRERVSMKSLDQARKSFGTDEDIILVSLTGKIGMKLSRKLLVGSL >ONIVA05G19660.1 pep chromosome:AWHD00000000:5:20161115:20162491:1 gene:ONIVA05G19660 transcript:ONIVA05G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGQGGYNDRLHLASPSEVALDKRAMAAVPWSMQKGREAIVSVIWDREYRDQRLEADTIATWPGTFGDVGPEGEVSALLLIPPRGGRL >ONIVA05G19650.1 pep chromosome:AWHD00000000:5:20151954:20152417:1 gene:ONIVA05G19650 transcript:ONIVA05G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGERWPVALEVKALLQDSPPQHLLQIDVEETSGPWRTRWTSWGRLTSIGLSAHLGVLLYYPLHDGDPVCDGQSICEAVKTAMATSIFGSIAGSGSY >ONIVA05G19640.1 pep chromosome:AWHD00000000:5:20141969:20143424:-1 gene:ONIVA05G19640 transcript:ONIVA05G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWSDGGEEFLLPDEFLDDDFFSEEEKAAVAARSDSSDEEDCLAGLSRRLAGLLGDDGERDAPPKVAEVPVGSPQSTLCGLPKSGQESPNGGASQVSSPPSSPLEQKPADPWDMLYEAAGQVARMRVTNSIPVPNNPYGFPAHGGFAAPARKASPPPPVAPPATKVAPAAYYHPLAQLLTQRQIQAAQFHLLKQQQLLKLQRDRHLAAAAAWGARQTAAAKTAGCGVAASPVDMNLAAWPPLQKQQHAPAPGVGGGGGGGMRAVFLTPPGAKRERNGTGVFLPRPAGAPAEPKRKTGCSTVLVPARVVQALNLNLDDLGAQPRYPGGFVLDHDALINRSNAMLASQKRRASPAVPSPAPAPALCHSS >ONIVA05G19630.1 pep chromosome:AWHD00000000:5:20128516:20128893:-1 gene:ONIVA05G19630 transcript:ONIVA05G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLWHRHRRRGERDPLRRWKRASQASVRRERCLWYEEELGGQPHPDITASGGEGGICCSSGGGCGQRGALPCGRRKKGRPVRCPPLDNTTGRGGEAGEHRGSHLLLPRLQSIGREPSKSFGKPT >ONIVA05G19620.1 pep chromosome:AWHD00000000:5:20118643:20128467:1 gene:ONIVA05G19620 transcript:ONIVA05G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVEWSKTHDFRRPVTLSSGRSSANLLLSCVLALLEQNTLSSSTRAAPRSHKSQLTLPLSPRFPDPHLSAAAYAVAAPPRRRQRQAPRCRLRPAQRPLLCALLRDSSSTRCRAARSKPPTDAQHRVGFLRSRPPTTLRILRPPRGRSGSTRNIPSSKEQQLARSRSHHHDGGWSRELAVASIDFSLDLTPARAQAVRLTAPSWRRVGQAELDLGAA >ONIVA05G19610.1 pep chromosome:AWHD00000000:5:20113872:20118181:-1 gene:ONIVA05G19610 transcript:ONIVA05G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYIAREASKVWRKVTTETSVELSLLREKWGLLLAGIVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFASIFASFVLVLRIITFYSTQLPGPNYHCREGSKLATLPPPNNVFEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFVKLLAWFMAIVQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDNKLPEMPDRTNGVPLLPLSTREKDGRLKEEKDSRLKEEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHAHSTLSDAAVNGGT >ONIVA05G19610.2 pep chromosome:AWHD00000000:5:20113872:20118181:-1 gene:ONIVA05G19610 transcript:ONIVA05G19610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYIAREASKVWRKVTTETSVELSLLREKWGLLLAGIVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFASIFASFVLVLRIITFYSTQLPGPNYHCREGSKLATLPPPNNVFEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFVKLLAWFMAIVQSLLIIASRKHYSVDVVVACRILRGQQTPRDLYGKHFVTSFVEIFTEMPDRTNGVPLLPLSTREKDGRLKEEKDSRLKEEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHAHSTLSDAAVNGGT >ONIVA05G19610.3 pep chromosome:AWHD00000000:5:20113872:20118181:-1 gene:ONIVA05G19610 transcript:ONIVA05G19610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYIAREASKVWRKVTTETSVELSLLREKWGLLLAGIVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFASIFASFVLLLAWFMAIVQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDNKLPEMPDRTNGVPLLPLSTREKDGRLKEEKDSRLKEEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHAHSTLSDAAVNGGT >ONIVA05G19600.1 pep chromosome:AWHD00000000:5:20107233:20111474:1 gene:ONIVA05G19600 transcript:ONIVA05G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGQSAIAAEDDDLERGAERDEEEEEGSQYFTDAEDHQSWASHSRHNSTAYEDYISTCASVRASSYDGEAEEEEDAGGGGGGGGGVEHHRRKSSCVSECSLDDVDLEAGLAEVIKGSPEKAERCCRICHLGLETAAAESGAGITLGCSCKGDLSYSHKQCAETWFKIRGNKICEICSSTACNVVGIGDSESVEQWNESNSTAPAQAPPAETQRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >ONIVA05G19590.1 pep chromosome:AWHD00000000:5:20098351:20098812:-1 gene:ONIVA05G19590 transcript:ONIVA05G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPGPVIRVMKRSPEKSQCCLTADIARSDHGQIQDNFQVLTNMFKGIHTVKFSMVNPIYKLP >ONIVA05G19580.1 pep chromosome:AWHD00000000:5:20096928:20098827:1 gene:ONIVA05G19580 transcript:ONIVA05G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMKTSAAPQVLPDLAISAVKQHWDFSGLLFITRITGPGLLIAAF >ONIVA05G19570.1 pep chromosome:AWHD00000000:5:20067314:20068363:-1 gene:ONIVA05G19570 transcript:ONIVA05G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESYMEVLPPAPAHYFVGQAAAAGGWFLPDRRGGGGAWSQEENKVFEQALAALDRNDPERWERVALLLPGKTVADVMTHYDDLENDVCFIEAGLVPFPHYGAAGGGGGSGFTLDWDGGDDPAGLGFKRSCYMVGGKRARGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDDDHGNPSPSPPPSVLTAHSSSSAAAVSEQFGVLVDGKPPPPPLGRGAGHHHFMPHPYAQVKIEAGNSHVAGGGRLDDSVLVQMQCGQLMQPLG >ONIVA05G19560.1 pep chromosome:AWHD00000000:5:20052852:20066676:1 gene:ONIVA05G19560 transcript:ONIVA05G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRAIAELGAGSVLNGGGSAPLPRASAADWARMVDGMQRHALSSRLAVPILYGTDAVHGHNNVYGATVFPHNVGLGATRDAELARKIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYECYSEDTEVVRSLTTIVSGLQGQPPADHPHGYPFLSSPRVNVLACAKHFVGDGGTDKGINEGNTICSLEDLEGIHIRPYPDCISQGVATVMASYTQWNGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCEPRGSDYRYCIAQSVNAGMDMIMIPFRFEKFLEDLVFLVEAGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLADIIGCKEHRLLAREAVRKSLVLLKNGKNQKEPFLPLAKNVKRILVAGTHADNIGYQCGGWTIAWNGDSGRITIGTTILEAIKESVGAETEVVYEECPTEATVETGEFSYAVVVVGEVPYAEWLGDRTDLSIPFNGSDLISRIASKVPTLVVVISGRPLVVEPQVLDKVDALVAAWLPGSEGMGVTDCLFGDHDFLGTLPVTWFRSTDQLPINARDASDDPLFPFGYGLKMFRGRSTINNKIGQAMIGPFVVSLKSVYQKLKLQARSVLSVPAKEINLEKTDYRDAAGEKKSTHTHPLLDSKAGGHRRSDADALGQFGPSRGDNDAHSRSRRGRGRGHLVSSHP >ONIVA05G19560.2 pep chromosome:AWHD00000000:5:20052852:20066676:1 gene:ONIVA05G19560 transcript:ONIVA05G19560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRAIAELGAGSVLNGGGSAPLPRASAADWARMVDGMQRHALSSRLAVPILYGTDAVHGHNNVYGATVFPHNVGLGATRDAELARKIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYECYSEDTEVVRSLTTIVSGLQGQPPADHPHGYPFLSSPRVNVLACAKHFVGDGGTDKGINEGNTICSLEDLEGIHIRPYPDCISQGVATVMASYTQWNGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCEPRGSDYRYCIAQSVNAGMDMI >ONIVA05G19560.3 pep chromosome:AWHD00000000:5:20052852:20066676:1 gene:ONIVA05G19560 transcript:ONIVA05G19560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRAIAELGAGSVLNGGGSAPLPRASAADWARMVDGMQRHALSSRLAVPILYGTDAVHGHNNVYGATVFPHNVGLGATRDAELARKIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYECYSEDTEVVRSLTTIVSGLQGQPPADHPHGYPFLSSPRVNVLACAKHFVGDGGTDKGINEGNTICSLEDLEGIHIRPYPDCISQGVATVMASYTQWNGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCEPRGSDYRYCIAQSVNAGMDMIMIPFRFEKFLEDLVFLVEAGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLADIIGCKEHRLLAREAVRKSLVLLKNGKNQKEPFLPLAKNVKRILVAGTHADNIGYQCGGWTIAWNGDSGRITIGTTILEAIKESVGAETEVVYEECPTEATVETGEFSYAVVVVGEVPYAEWLGDRTDLSIPFNGSDLISRIASKVPTLVVVISGRPLVVEPQVLDKVDALVAAWLPGSEGMGVTDCLFGDHDFLGTLPVTWFRSTDQLPINARDASDDPLFPFGYGLKMFRGRSTINNKIGQAMIGPFVVSLKSVYQKLKLQARSVLSVPAKEINLEKTDYRDAAGEKKSTHTHPLLDSKAGGHRRSDADALGQFGPSRGDNDAHSRSRRGRGRGHLVSSHP >ONIVA05G19560.4 pep chromosome:AWHD00000000:5:20052852:20066676:1 gene:ONIVA05G19560 transcript:ONIVA05G19560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRAIAELGAGSVLNGGGSAPLPRASAADWARMVDGMQRHALSSRLAVPILYGTDAVHGHNNVYGATVFPHNVGLGATRDAELARKIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYECYSEDTEVVRSLTTIVSGLQGQPPADHPHGYPFLSSPRVNVLACAKHFVGDGGTDKGINEGNTICSLEDLEGIHIRPYPDCISQGVATVMASYTQWNGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCEPRGSDYRYCIAQSVNAGMDMIMIPFRFEKFLEDLVFLVEAGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLADIIGCKEHRLLAREAVRKSLVLLKNGKNQKEPFLPLAKNVKRILVAGTHADNIGYQCGGWTIAWNGDSGRITIGTTILEAIKESVGAETEVVYEECPTEATVETGEFSYAVVVVGEVPYAEWLGDRTDLSIPFNGSDLISRIASKVPTLVVVISGRPLVVEPQVLDKVDALVAAWLPGSEGMGVTDCLFGDHDFLGTLPVTWFRSTDQLPINARDASDDPLFPFGYGLKMFRGRSTINNKIGQAMIGPFVVSLKSVYQKLKLQARSVLSVPAKEINLEKTDYRDAAGEKKSTHTHPLLDSKAGGHSFALIHIDDNTTPIWSFGVQVQQQLGVSQKANLCGPT >ONIVA05G19560.5 pep chromosome:AWHD00000000:5:20052852:20066676:1 gene:ONIVA05G19560 transcript:ONIVA05G19560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRAIAELGAGSVLNGGGSAPLPRASAADWARMVDGMQRHALSSRLAVPILYGTDAVHGHNNVYGATVFPHNVGLGATRDAELARKIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYECYSEDTEVVRSLTTIVSGLQGQPPADHPHGYPFLSSPRVNVLACAKHFVGDGGTDKGINEGNTICSLEDLEGIHIRPYPDCISQGVATVMASYTQWNGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCEPRGSDYRYCIAQSVNAGMDMVFI >ONIVA05G19560.6 pep chromosome:AWHD00000000:5:20053098:20066676:1 gene:ONIVA05G19560 transcript:ONIVA05G19560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDDAVERILRVKFISGVFEHPFSDPSLADIIGCKEHRLLAREAVRKSLVLLKNGKNQKEPFLPLAKNVKRILVAGTHADNIGYQCGGWTIAWNGDSGRITIGTTILEAIKESVGAETEVVYEECPTEATVETGEFSYAVVVVGEVPYAEWLGDRTDLSIPFNGSDLISRIASKVPTLVVVISGRPLVVEPQVLDKVDALVAAWLPGSEGMGVTDCLFGDHDFLGTLPVTWFRSTDQLPINARDASDDPLFPFGYGLKMFRGD >ONIVA05G19560.7 pep chromosome:AWHD00000000:5:20052852:20066676:1 gene:ONIVA05G19560 transcript:ONIVA05G19560.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRAIAELGAGSVLNGGGSAPLPRASAADWARMVDGMQRHALSSRLAVPILYGTDAVHGHNNVYGATVFPHNVGLGATRDAELARKIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYECYSEDTEVVRSLTTIVSGLQGQPPADHPHGYPFLSSPRVNVLACAKHFVGDGGTDKGINEGNTICSLEDLEGIHIRPYPDCISQGVATVMASYTQWNGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCEPRGSDYRYCIAQSVNAGMDMIMIPFRFEKFLEDLVFLVEAGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLADIIGCKEHRLLAREAVRKSLVLLKNGKNQKEPFLPLAKNVKRILVAGTHADNIGYQCGGWTIAWNGDSGRITIGTTILEAIKESVGAETEVVYEECPTEATVETGEFSYAVVVVGEVPYAEWLGDRTDLSIPFNGSDLISRIASKVPTLVVVISGRPLVVEPQVLDKVDALVAAWLPGSEGMGVTDCLFGDHDFLGTLPVTWFRSTDQLPINARDASDDPLFPFGYGLKMFRGRSTINNKIGQAMIGPFVVSLKSVYQKLKLQARSVLSVPAKEINLEKTDYRDAAGEKKSTHTHPLLDSKAGGHRRSDADALGQFGPSRGDNDAHSRSRRGRGRGHLVSSHP >ONIVA05G19550.1 pep chromosome:AWHD00000000:5:20044708:20048516:1 gene:ONIVA05G19550 transcript:ONIVA05G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAPEARRLDRAMSFGGAGSIPEEALHLVLGYVDDPRDREAVSLVCRRWHRIDALTRKHVTVPFCYAASPAHLLARFPRLESLAVKGKPRAAMYGLIPEDWGAYARPWVAELAAPLECLKALHLRRMVVTDDDLAALVRARGHMLQELKLDKCSGFSTDALRLVALSCRSLRTLFLEECSIADNGTEWLHDLAVNNPVLETLNFHMTELTVVPADLELLAKKCKSLISLKISDCDFSDLIGFFRMAASLQEFAGGAFIEQGELTKYGNVKFPSRLCSLGLTYMGTNEMPIIFPFSALLKKLDLQYTFLTTEDHCQLIAKCPNLLVLAVRNVIGDRGLGVVADTCKKLQRLRVERGDDDPGLQEEQGGVSQVGLTTVAVGCRELEYIAAYVSDITNGALESIGTFCKNLCDFRLVLLDREERITDLPLDNGVRALLRGCMKLRRFALYLRPGGLSDTGLGYIGQYSGIIQYMLLGNVGETDDGLIRFALGCENLRKLELRSCCFSEQALACAIRSMPSLRYVWVQGYKASKTGHDLMLMARPFWNIEFTPPSSENANRMREDGEPCVDSQAQILAYYSLAGKRSDCPRSVVPLYPA >ONIVA05G19540.1 pep chromosome:AWHD00000000:5:20032355:20032820:-1 gene:ONIVA05G19540 transcript:ONIVA05G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVATARHAAQGGVPLAARPRLMCALPAELLPPPSRLTTASSSPTPATPVLSSAASASPSGCPPLRRPQAGACRGRLPDGAPRAWAALHKLSVCCPGLLHAGVLVEPSDDGAGGGGHRVTPRFLFGIKNH >ONIVA05G19530.1 pep chromosome:AWHD00000000:5:20023797:20025239:-1 gene:ONIVA05G19530 transcript:ONIVA05G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAASAAPATVVVPLTQTLCANAPATSVTVVSKQTVRPDGASAVGDVKLSVSDMPMLSCHYIQKGLFFPPPGVPIASLVSSLVCALSRALAVFPALAGRLVTLDDGRIVIRCDGVAVEFYHAVAPALSLGDFLVPNADVPIRLTKDLFPMDRTVSYDGHRRPLTSFQLTVLGDGAVFVGFVANHAVVDGTSFWHFFNTWAGLCRGTPVQPPDLRRNFFGDSTAVIRFPGGAGPAVTFDADAPLRERVLHFSAAVIRELKAKANQWKRSDKFAEANGKHVDETKAHGGYREISSFQSLCAHIWRAVTRSRRLLAADATTTFRMAVNCRHRLRPAISPLYFGNAIQSVATTATVAELASNDLRWAAARLNATVVAHEDGAIRRAAAEWEAAPRCFPLGNPDGAALTMGSSPRFPMYDGNDFGWGRAIAVRSGRANKFDGKMSAFPGQAGDGSVDVEFCLAPDTMARLLGDHEFLQYVSRAP >ONIVA05G19520.1 pep chromosome:AWHD00000000:5:20021607:20021981:1 gene:ONIVA05G19520 transcript:ONIVA05G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVGEDGDGGDEDGREDRWRRQWGSDLVEDGVGDGEDRWRWRRGTVGEDGGTAGRISWSRKTARASGREGGQRECGEKRAGARKPEGRRDADAARSRVVGSWSATVWADRGVWSVDLVGVS >ONIVA05G19510.1 pep chromosome:AWHD00000000:5:20017566:20021350:-1 gene:ONIVA05G19510 transcript:ONIVA05G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLNRPLRCVLRADFARFPPSGAFADITNASVGDSPKNRNSIGVSTPTLLTTSRDSQINHGSDRCTSSNVPQKENMQSINAKCKRCKRKRARERYASMSPKKNEARKMKACVYKQQKEEYPADNENLGLLKSYAWRMIPWSTT >ONIVA05G19510.2 pep chromosome:AWHD00000000:5:20017566:20021350:-1 gene:ONIVA05G19510 transcript:ONIVA05G19510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLNRPLRCVLRADFARFPPSGAFADITNASVGDSPKNRNSIGVSTPTLLTTSRDSQINHGSDRCTSSNVPQKENMQRSVLERELRRKRARERYASMSPKKNEARKMKACVYKQQKEEYPADNENLGLLKSYAWRMIPWSTT >ONIVA05G19510.3 pep chromosome:AWHD00000000:5:20018225:20021350:-1 gene:ONIVA05G19510 transcript:ONIVA05G19510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLNRFPPSGAFADITNASVGDSPKNRNSIGVSTPTLLTTSRDSQINHGSDRCTSSNVPQKENMQRSVLERELRRKRARERYASMSPKKNEARKMKACVYKQQKEEYPGLNQTANNYVKGSYIKIICLLHANENTIYTILSVHYIMQKMSMYNRLSYLTASHLMRQVH >ONIVA05G19510.4 pep chromosome:AWHD00000000:5:20018225:20021350:-1 gene:ONIVA05G19510 transcript:ONIVA05G19510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLNRPLRCVLRADFARFPPSGAFADITNASVGDSPKNRNSIGVSTPTLLTTSRDSQINHGSDRCTSSNVPQKENMQRSVLERELRRKRARERYASMSPKKNEARKMKACVYKQQKEEYPGLNQTANNYVKGSYIKIICLLHANENTIYTILSVHYIMQKMSMYNRLSYLTASHLMRQVH >ONIVA05G19510.5 pep chromosome:AWHD00000000:5:20017582:20022031:-1 gene:ONIVA05G19510 transcript:ONIVA05G19510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRILPPNQRSRRPYPPTPSRSNYPLRGYALHPRLSALPASARPLAFRRILAALPLARSPSPSSSTS >ONIVA05G19510.6 pep chromosome:AWHD00000000:5:20018225:20022093:-1 gene:ONIVA05G19510 transcript:ONIVA05G19510.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSVLERELRRKRARERYASMSPKKNEARKMKACVYKQQKEEYPGLNQTANNYVKGSYIKIICLLHANENTIYTILSVHYIMQKMSMYNRLSYLTASHLMRQVH >ONIVA05G19500.1 pep chromosome:AWHD00000000:5:20012630:20014461:1 gene:ONIVA05G19500 transcript:ONIVA05G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNYSDYHPNSVPFDAYYEHGHGHLDDHGHGHHHLIPAHPEPSYSYGNWSFLHADATATSSSESSSASASSGAAHIVGASGPSASFVRQLHFGGEYYDDDAADISALMEAASISCWTTNGGAGASTSQTTGLEGVEVMRRAAAPAPDNGSSDKEEAARPLIGVRKRPWGKYAAEIRDSTRNGARVWLGTFNTPEQAALAYDQAALSVRGPGAVLNYPLHRVRESLRTLELGAAAAASESPVLTLKRRHRIRKRSTTKKALAGKEADEAPATTSSEGKKKRQVNTTSHSHDGAWRRHLLSSGRLSTEGLTAAGGRGGLAGGRGEAAGSNVCAADNDEDGEAAAGSSVHVANDDDDGSEAAAVSSVHAADNDDEAVAGSGVRVTTTTAARRRSGAG >ONIVA05G19490.1 pep chromosome:AWHD00000000:5:20006443:20007144:-1 gene:ONIVA05G19490 transcript:ONIVA05G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWRLEAEADDGYGELPALSRHRRRDRAGSRGSRHSRRFFKSDSPHLKSTQVAAAGDEDEMDVAGWPRGSRQSGNMASSSSPSASFSPLHLPWQPPRRLLKQSVILSLPLLSVVATVSGVVEKRRGWWSPSPSSRICPPPGSRCLPHRAWDGQAMAGGSSGGGRSARTVARGSGRLAAARDTKAEAANSATAAEAEAEKARREWVGWWWWQCGESSQWWRESEEARMEEGLG >ONIVA05G19480.1 pep chromosome:AWHD00000000:5:19991657:19994981:-1 gene:ONIVA05G19480 transcript:ONIVA05G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HFB7] MNAFRLAGDMTHLLSVVVLLLKIHTIKSCAAACGSQYSWIGQAVFECNSDGISLKTQELYALVFAARYLDLFIHFISLYNTVMKLVFLASSFSIVWYMRRHKIVRRTYDKDHDTFRHHFLVLPCLALALLINERFTFREVMWAFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVFFLGAYRVLYILNWIYRYFTEPHFVHWISWVAGIVQTLLYADFFYYYIMSWKNNVKLELPA >ONIVA05G19470.1 pep chromosome:AWHD00000000:5:19988672:19993468:1 gene:ONIVA05G19470 transcript:ONIVA05G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSSGGGGGGNAWAKEMTIRRRMASIFNKTQDHFPCLKDYNDYLEEVEDMTFNLIEGIDVEEIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPGKAAASDTAAGSSQGISSSAGVQGQYAPAAVGMSQPRPTGMPPQPIGGSSDPLQGDDEETMRLRAERGARAGGWTIEMGKRRALEEAFSSIFI >ONIVA05G19460.1 pep chromosome:AWHD00000000:5:19975324:19983282:-1 gene:ONIVA05G19460 transcript:ONIVA05G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 5 [Source:Projected from Arabidopsis thaliana (AT2G40930) TAIR;Acc:AT2G40930] MEMEMEMVVAVPSPEVPAEEERALIRDITVAAEAHAKEGDTFFLITHRWWQSWIDYVIQDLANSTNNGSHHHEHGSNVLRRPGAIDNTDLIDDTASEVSNMEIELHDTLVEGRDYILLPQQVWEKLHGWYGGGPTLPRKAINTGLSQTDLAIEVYPLRLQLLLAPKGEQAVIRISKKDTVGELHKKACEVFDLIPDEVCIWDYYGRTRHSLMDNLEKTLDDANIQMDQDILVEVTTDANGSLDGGCIGSIQENEYLERESTSLIADASKSGLSNENFASNNYTSRSYSSSLTQSQYLRSSNGDLDNMHGTSAMITRGSPLGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHREINWQNPLGMVELLAFLLDGLHEDLNRVKHRPYIKSKDADGRSDDEVADEYWANHIARNNSIIVDVCQGQYKSTLVCPACGKVSVTFDPFMYLSLPLQFTSTRSMTVMVFTFDGSTPPTPYTVNVPKQGRCRDLIQAISNACSLRNGERLVIAEIRNHRIHRLLDDPVVQLSTINDDDHLAVYRLPKMEKKPNYIQFVHRRDDCFTTYRDNGNNISVTAWKPYGVPLLAQVSRNETVTGMHIHEMVRKMLAPMQKNQESQHSVQSSVSTRTQTYHTDSTKFQLQLIDDSNTTIEQSNDTIRVPQSSLAAVIFVNWSKADLKKLNTHHLENLPEVFKYAPPAKRTRGEPLSLYSCLDAFLREEPLVPEDMWYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKQKLETFVNFPIHDFDLTNYIANKKSSERQIYELYAVSNHYGSMASGHYTAYIKLLDEERWYNFDDSHVSAINEEDGINCDTITMSERRLASGTWSQMRNELDIVNNGTNCCSIGHFTDGNSHKENASGHPRFQAVKWVCAEIKNSKIKLCIYYESAVSQIMESVCNLEGMVMA >ONIVA05G19450.1 pep chromosome:AWHD00000000:5:19947859:19951876:-1 gene:ONIVA05G19450 transcript:ONIVA05G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKISCLQVDEQLDSVQFSLTNVVERYVIDEPPEDAQAVLKRQPSIDNLLGHFRQHLQERSAHHLGHPLSQKLDVGPLAQFQHFHINNIGDPFVESNYGIHSRQFVYAVLDWFAHLWEIPKDQYWGYVTNGGSEGNYNGLLVGELYPEGIIYASRDSHYSIFKAAKMYRVQCIKIDTSFSGQMRYDHFRTKLLENARRPAIVNVNIGTTVKGAIDDLDEIISTLENCGFRDRFYIHCDGALAGLMLPFIKQAPKLTFIKPIGSISVSGHKKIGISAFMNSKRTIVVFERPKDEVFVQKWQLACAGNIAHVVVMPHVSFEMLGIFVEELAEKRYALLQDKEFSIPCVAMDIGQENCLCKLHENIRSSL >ONIVA05G19440.1 pep chromosome:AWHD00000000:5:19930599:19931156:1 gene:ONIVA05G19440 transcript:ONIVA05G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAPPQNMATPSSRGAAHWYLPPRGDRWAALSVAMLPGTADIAAGRLDSISAMPDVGQSTSPDPCPQNPRATIFVSSSQPIKLPLYQLPCRPKLRFSICRSGLFPNSLYLPPLSSDVKHKGTCLVADVAVPQPLELCTHLSLSSCFAGRRRQDEVVKKKRGKEK >ONIVA05G19430.1 pep chromosome:AWHD00000000:5:19916534:19917034:1 gene:ONIVA05G19430 transcript:ONIVA05G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEAECASSKHIPATMEEYMSATSHSLVGAIIQSAAYLLGPRLPEEGRRRRGVRPAVEAHTILVSRLLNDVMTYEREAGGGGREAQQRHVACSCPRRRRRRRPWRRPRWRSGGPYIRESRWELQRLVFGDGAGVVPWSCREMFCQTSKVASAFYRDGDGYSQELS >ONIVA05G19420.1 pep chromosome:AWHD00000000:5:19907403:19909617:1 gene:ONIVA05G19420 transcript:ONIVA05G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVHGSPQSPCFPQCVEWILQNQQEDGSWGQPGAVNKDALLSTLACVLALNTWNVGPDHIRRVPAIYPDNVYSRLCMVDTLEKMGISSEFACEIQDILDMTYRCWMQNEEEIMSDMGLCAKAFRLLRMHGYNITSDGMAQFAEQSSFDNSIHVYLNDIEPLRELYKSSQVRFSEDDLILENIGSWSTKLLKQQLSSKNISKYLLTEVTHALKFPLYATLEPHEHRRNIERFKTNVFQLLKSGYWY >ONIVA05G19410.1 pep chromosome:AWHD00000000:5:19857658:19861349:1 gene:ONIVA05G19410 transcript:ONIVA05G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFKPIEQCSTEGRSQQTVASDLDGTLLLSRSAFPYYLLVALEAGGPLRAVALLMSVPFVYLTYVTISESLAVRALLYIAVAGLEVRDVESVARSVLPRFYAGDVHPEGWRVFSSFGRRCVVTASPRVMVEPFARAFLGADRVIGTELEVGEDGRATGFVAKPGVLIREHKRNAVVREFGDALPDVGMGDRESDFDFMAICKDAYVVTTSRKHRPVPESQLLRTVVLHDGRLAQRPTAINTLLVFLWMPVGFALALLRACLSLLLPERVLSYAYKLTGVGLVVRGRPPPPDGSPGVLFVCNHRTVLDPVAVAAALGRKVICVTYSVPRKTYGMSSRLPEALTASPVKAAVALCRERDRDADRVRRLLEEGVDIVAFPEGTTCRGAFLLRFSSLFAELTDRIVPVAIATRETMFHGSTARGFKGMDPYFFFMNPRPAYEVTFLSQLPSELTSGGGGKSPVEVANYVQKALAGQLGSEHIGITRKEK >ONIVA05G19400.1 pep chromosome:AWHD00000000:5:19853727:19854188:-1 gene:ONIVA05G19400 transcript:ONIVA05G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAGARVWLLKSYRSLQIEEDYGGKWTRLELWLRLTTCGRTSGQAWNLESQGDNQIASRYTQASNFFSGQQPNMPKLLQLASRFKRLKELDLLFTRQNYTRDVRCILAYGTGVSMLKANMYAAASMGRISPGGRESCALMRHTSRGSLV >ONIVA05G19390.1 pep chromosome:AWHD00000000:5:19850303:19851221:1 gene:ONIVA05G19390 transcript:ONIVA05G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWIRLPLCTPCTQIRLQQRGSSAVAGGASADPAPPSSRVDPARVKTMGRRQDGGNLRGGELVLRHPWSSGGHPWRRRHRACLSPSFPFSSSSPAEGAATVRCFRSQSGNHREPPLFPFDRSRRRRKLPGSTTQSINYFPDFGWTLSSYGINAKSMHAMISQFLDRRIRRHGVDFYPVN >ONIVA05G19380.1 pep chromosome:AWHD00000000:5:19841944:19842342:1 gene:ONIVA05G19380 transcript:ONIVA05G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRGSGGAGRVLPWGDADSGKEQRRSGSGLDGSGDDGGMDRGGYPVGRRPRQTREEHARAINDLPNELYDVAWDIVLIDGPSRWNPTSPGQMPSRQSSPARWRRWGKGLDSGLATTDRGRGGPRWRWPGWS >ONIVA05G19370.1 pep chromosome:AWHD00000000:5:19835894:19837460:-1 gene:ONIVA05G19370 transcript:ONIVA05G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQVAAVAPSPCARSSASSSPPSTSSSRPALGGAGLARSRAPVNWGAGVMARRRGLRQPARCALSASLDGVGGGDAEFLRRIEELAAAVGVQPTGCGWPASVERSASSAGMPLSLRMLKRKKQQQQLVARQTRWDERLLGSAGDSVGRAFSSMVLIVRELQSFALQQMREAMLGDDLQSVLARVHGEMHASFVWLFQHIFAGTPALMVSLMLLLANFTVHSMGHSVAAAAAIPPAPPTSAAVAVVDTQHADPSLPRFDAASVKTFSIGRAASVGGSSGGGGKVRPVAGATGDDRWDESLARLSGVAPQQPAPPAGTGAGMAEDEQAIWERMVAEASNMQENARAEELSDPDVLGNLVAPVEAEIETEGHAEYTRTEQRYELAVSEEPNNPLILANFAQFLYLVQNDHDRAEQYFERAVRAEPADAEVLSRYATFLWKARNDLAAAEDTYQEAIAADPGNAHHAAAYAHFLWNTGGEDTCFPLD >ONIVA05G19360.1 pep chromosome:AWHD00000000:5:19833085:19833309:1 gene:ONIVA05G19360 transcript:ONIVA05G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQRWWRRRRAAPSLPCRTRSATTAALGGQIEDDGVGGQIRRRRSRCGEREAAARRPATARTAAAVAAVRGRR >ONIVA05G19350.1 pep chromosome:AWHD00000000:5:19814958:19831346:-1 gene:ONIVA05G19350 transcript:ONIVA05G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MPEFPSPSSPAGGGRRRTLNNADNKPDLHGTMNDPYYYDCRILVDATPEKSSRKKSTFVRHFSCTSSHALVKIAAAIVDPRAVVLHGREPHGEQGGGGATGERGHSRSRAERTDPTTTAPFFSGSNRRQVADLFRRPPSSFSDVFGMLIRDPRCYVYSCIGYLKGELQNVSWFQFLPVEPDPSTASERSSKAEQKDALNNIVLSAHLHLQSEGFLSTWTNSFVGPWDPSQGEHNPVVSNGLWVAPGNLEEVAAALCQALRNSLERRAQPTIEFVFAATEEAIFVHVIISARYMRNLCSDDIEKVLTYSPRSVGEGLPVIVAPSGMLGRLVGCCPSDLVRQVYSSKLSAATLPGFTQPTMCQLRGQSYYVEVALGFPAATTDKVPESENNQIKKELDSAKDPQLSADEHQKLESADSVPVLERTFIYPPEAVMVPMVHQAFVRFSSKRMCSQACVGNSSWEGWPFWNFSPSSYFRNSSCLGSSRGLGVNSNFLRLRRQKNSNYSSMASSISSVSSTSNGSEHAVAAEGGDLSADADSMACHQSDLPSNIAGSKMVSKRPRSEIPEVSSRAGKESVDNNQGVNGQGRCSWGWDEEGVAMDINLLISEFGDFSDFFQEEELDFGEPPGTAESHSLVIPGPDCGDATFTDSPSTAMDIPEQRFSPVGLSSLEVFNHQTVAPIHDVVSKVQEPQKDIASPTSSQSVVLSSGRSSHLTRAEALLTYAPEYAAIEISTGETPTSLFTNPYQPRSIKPGSSSFNSRVYSYDAAQSSQMESGEDKPEKSVRLASGNLSRDIGSSNLYTVVQVGKKESDKGLKNTDIQSGKEEASRPISGETSLDSSVVSQRKSDSMFNAGYFLLSMKTALATEMECIKFQAAMCRIRHTLLSLSSKASAELKSALSSLVHTDVSNKLDLVPKYDIKRKENIPARLSIDVDHEVYDRSLENVGVWKPVGTPKGPTHLESFSAKTYTGTSQGLPVKRQPIVDLLSAMALIVQQSTSFVDIALDMDDGDGSFFWLSLDEQKRRGFSCDPSMVHAGCGGILGTCHSKDCAGVDLVDPLSAEVSDSSVISLLQSDIKAALKTAFANMDGPLLVTDWCRGRSNAAEYASMGDAYSFQHPTGDIRESSSSISIGGDSMSPPQSSHVISNDRGTSELEHHRGYHRVRPTVAVLPLPSLLVGYQDDWLKTSANCLSLWEKAPLEPYALPKPVTYYALCPDIEMLTSAATDFFLQLGTIYEVCKLGTHSPQNSGGQMELSPGKYLSSGLVLTECPDQVKIGNGHSSSISSTSEYLQALSRNWTVKSFVTSLTRVIKDIKLNSSILANQKESTSGPCTVIYVVCPFPEPSAVLETLVECSVALGSVMLSPERERKSFLYSQVAKALNCNASVDESSASNVVMLSGFSIPKLVLQIVTVETLLRLHKPNNELAVLKDMAFTVYNKARRIPKAISTSDMFQSPAYMGRSQSTMMHATSPGPTLWKECLVPRMSGSTLSRETEFDASMRSSVTWDNSWPCRAGGFMDPNKIPDVCVQDDRKYAFEPLFILAEPGSVDYSSGMESSKSGVDASGSGIYSSISGGGSDSGASASALLEGSDNDNAASLHCCYGWTEDWRWLVCIWTDSKGELLDSLIFPFGGISSRQDTKVLQSLFIQILQHGCQIMSSAPESSNTRPRDVIITRIGGFLELEIQEWQKAIYSFGGNEVKKWPVQLRRSIPEGIPSNSNGPALQQQDMGLMQDRNMPSSPSPLYSPHAKSSFMKGALGQSGNKKQILVEQAGMDSSKGSLHLVRSISLVAISQDHSLHLTCQADLLTRPTPGEGNQTSSGPSSYLEGFTPVKSIGSMSASYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGTAIPLAMGYVVSKAVPPVRKDSARLANEDRPSVLSVSIIDHYGGSIAAVQEKMSRGSGKQTRNFTQEAAGRDHETEMHNVLEAVAAELHSLSWMTVSPVYMERRSALPSHCDMVLRLRRLLHYADRHLSQSTEKGDVA >ONIVA05G19340.1 pep chromosome:AWHD00000000:5:19810765:19811109:1 gene:ONIVA05G19340 transcript:ONIVA05G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTDRCPGNGGGGWLRRRWMDAAETVAGDGCGGAGVRRWMWRWRRQRRPEMDAALRRRWEMDAAATASEDGCGDGGGDNARRWMRWRQRLEMDAAAGDGCGGDGDGDGRWMRR >ONIVA05G19330.1 pep chromosome:AWHD00000000:5:19805542:19807041:1 gene:ONIVA05G19330 transcript:ONIVA05G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGAAGGVDGRRPLMVQREVGIDSQLWRCGGDSSCVDSTDGSGRLAPLACREGFGGAGWRPWWWREYAWSAAGGRCGVRTRAGSRRGSRDEGGRVAASGGWTGWGAGAAVSMRQQRFRLWWSNGVLVVDRQVAGGRFLVWFPMANIEAPCPCNVNFWSTTLRNIRFQAKV >ONIVA05G19320.1 pep chromosome:AWHD00000000:5:19798305:19803224:-1 gene:ONIVA05G19320 transcript:ONIVA05G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGDQAEEAIVADAGKEEAEVRAAMGVEQDGKFSMTSLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGLLLQVFYGLMGSWTAYLISVLYVEYRARKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCSTTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAAAVHGQVDGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSASAMYWAFGDALLTHSNAFSLLPRSGWRDAAVILMLIHQFITFGFACTPLYFVWEKAIGMHGTRSVLARALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLSHILTYRSASARLNAAEKPPPFLPSWSGMFVVNVFVVAWVLVVGFGLGGWASVTNFIKQIDTFGLFAKCYQCPPRAHAGAPLPAPPRH >ONIVA05G19320.2 pep chromosome:AWHD00000000:5:19798305:19803224:-1 gene:ONIVA05G19320 transcript:ONIVA05G19320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGDQAEEAIVADAGKEEAEVRAAMGVEQDGKFSMTSLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGLLLQVFYGLMGSWTAYLISVLYVEYRARKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCSTTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAAAVHGQVDGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSASAMYWAFGDALLTHSNAFSLLPRSGWRDAAVILMLIHQFITFGFACTPLYFVWEKAIGMHGTRSVLARALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLSHILTYRSASARLNAAEKPPPFLPSWSGMFVVNVFVVAWVLVVGFGLGGWASVTNFIKQIDTFGLFAKCYQCPPRAHAGAPLPAPPRH >ONIVA05G19320.3 pep chromosome:AWHD00000000:5:19798305:19803224:-1 gene:ONIVA05G19320 transcript:ONIVA05G19320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGDQAEEAIVADAGKEEAEVRAAMGVEQDGKFSMTSLLWHGGSVWDAWFSCASNQVRPTTNDLVMPLAHISFGILQVAQVLLTLPYSFSQLGMLSGLLLQVFYGLMGSWTAYLISVLYVEYRARKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCSTTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAAAVHGQVDGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSASAMYWAFGDALLTHSNAFSLLPRSGWRDAAVILMLIHQFITFGFACTPLYFVWEKAIGMHGTRSVLARALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLSHILTYRSASARLNAAEKPPPFLPSWSGMFVVNVFVVAWVLVVGFGLGGWASVTNFIKQIDTFGLFAKCYQCPPRAHAGAPLPAPPRH >ONIVA05G19310.1 pep chromosome:AWHD00000000:5:19791455:19791973:-1 gene:ONIVA05G19310 transcript:ONIVA05G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLWRAVMGAAGGGGSSSSSAAGGDAGGGVEFWHGGERTGWLNKQGEYIKTWRRRWFVLKQGRLFWFKDAAVTRGSVPRGVIPVATCLTVKGAEDVINRQFAFELSTPTDTMYFIADSEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSRPNSKTPPQPKTSEESEPSA >ONIVA05G19300.1 pep chromosome:AWHD00000000:5:19786305:19788269:-1 gene:ONIVA05G19300 transcript:ONIVA05G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALGVPLVQVRAAVAAYGRGAGKGKGKRRVVGAFHAPPGRRRTALVAALPEPLQPLSPAQDGAVAPASTEADGGEEVHGDVASAEISSPSGVLGKTVRVRFVLKRECTFGQSFHLVGDDPALGLWDPSKAVPLDWSEGHDWTVEKDLPANKLIEYKFVLQDLSGKLHWQNGRNRSVQTGETANILVVYEDWGNANSQTVEEEGKVSIGMEEGKVSVGMEEAVVPDDSESRDDIIVADELQVDDNLAVMQNESSVREDDKKSTVGTVTSVQAELMKLYEANQPELIVDEPQIQEALPETADTEPENGGVATCADDRYAESTDDDGVPVGGTDDDGVPVENRWTGAFEHELLWGWKALQQLLMSLGFKMDTS >ONIVA05G19290.1 pep chromosome:AWHD00000000:5:19774779:19782391:-1 gene:ONIVA05G19290 transcript:ONIVA05G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA--protein transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HF94] MADGASSSGAASASGPGGGGGGESVVIDYGRRRTACGYCRSTGQTSISHGLWANSLRADDYQALLDRGWRRSGCFLYKPEMERTCCPQYTIRLKANDFICSKEQGRVLKKMQRFLDGELDPQVGSPQNKTSPTKHSLAEPMNMPVSKISKTLTNDFQAAKCPNLFEEDEFISCLSSKINEAVGMSFQVGTLGSDVQLPKAVVKTVKPQLKKKVGGASQDKKVGEAVQDLLYTCNISFQIVAEIRRALPKEKDANHNEVVADISPNSIAEKLAMTMECHGDIAGLAVKACNGHLNFYSVTNQTKQNKTSIIVSTHAPDKSSSSKQSSVNKNTVRVPQKRRKLEIKMRRSHFDPEEFALYQRYQTKVHKEKKVSESSYKRFLVDTPIVFVPPRSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDFAFLSLGKYTALKEIDWVKTTQEHCPNLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRFEWVCYDSAKRLLDKSLYSVLSDFAQIQDEMPQPQNSHLDTELSKNDNCESPIDEDDEDLSYDDSDMMVDEEMVRSESNTDVMEDCSSIIDFENVMMDLNGSRVKYKDLLGVVGRIERRHLEQLERQLSKYVKVVGKELSDRMVYSL >ONIVA05G19290.2 pep chromosome:AWHD00000000:5:19774779:19780120:-1 gene:ONIVA05G19290 transcript:ONIVA05G19290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA--protein transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HF94] MGGVSTVIVSLRGLVAVWLCADQSQALVVGIMSCCWSQRWHDMEGNDQHPTSREEEKIQGQYPLLDRGWRRSGCFLYKPEMERTCCPQYTIRLKANDFICSKEQGRVLKKMQRFLDGELDPQVGSPQNKTSPTKHSLAEPMNMPVSKISKTLTNDFQAAKCPNLFEEDEFISCLSSKINEAVGMSFQVGTLGSDVQLPKAVVKTVKPQLKKKVGGASQDKKVGEAVQDLLYTCNISFQIVAEIRRALPKEKDANHNEVVADISPNSIAEKLAMTMECHGDIAGLAVKACNGHLNFYSVTNQTKQNKTSIIVSTHAPDKSSSSKQSSVNKNTVRVPQKRRKLEIKMRRSHFDPEEFALYQRYQTKVHKEKKVSESSYKRFLVDTPIVFVPPRSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDFAFLSLGKYTALKEIDWVKTTQEHCPNLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRFEWVCYDSAKRLLDKSLYSVLSDFAQIQDEMPQPQNSHLDTELSKNDNCESPIDEDDEDLSYDDSDMMVDEEMVRSESNTDVMEDCSSIIDFENVMMDLNGSRVKYKDLLGVVGRIERRHLEQLERQLSKYVKVVGKELSDRMVYSL >ONIVA05G19290.3 pep chromosome:AWHD00000000:5:19780552:19782391:-1 gene:ONIVA05G19290 transcript:ONIVA05G19290.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA--protein transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HF94] MADGASSSGAASASGPGGGGGGESVVIDYGRRRTACGYCRSTGQTSISHGKGKEKEKIVVDMQDPPVYGLTA >ONIVA05G19280.1 pep chromosome:AWHD00000000:5:19756103:19768053:-1 gene:ONIVA05G19280 transcript:ONIVA05G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDENPSYLHLIFLSSRIRVFILDPHSLSLSNGQCELQVVEEAAMDPSGLNLQGNPAENQESWTSGVSVGRGTPNLGVGTAVAGRSCPPSTLFPGSSLSSTALLNAMHEGSFPQTALVAGSGSSADEQHGGPPVRPSYNLPAGCTQVEHGQELHMENSPEGLFFGINSKLNKILDEYIHLPEAAPKPSEQIPLAASGHVSEELARKQYDVRHTHDPDSTSYNIERSGLITTKGHKKDLDLNYSNTTKGHKKELDLNYSNTNGFQMYCSASLLPEMDSTKGSMTKVSKMDKSKKRHYGGESSLAGTQSSIIMRTAAEMLAVYQACGIKKKRSARVRRNSFLSVMDLEKNTSQESTRLPRSCMEALYESSYIKFMTKKRSQKARLNSPNSIQPNIDQKNRFSSETIFSGGFNGLKRSEETFQKTLPQIPDDKRINLDIHCKVPVENSPNTSTPPYMDYLQGVTSKFRYFDLNTEQVHKTEMHLYQTMPSLSSLGATNYLPNALVPYVGGAVVPYQTQFHLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPTGSHGNCNDGIAGQDNEEIISTSAVGDRGTFEFFYDGSRPDIGLNFEELSMACEKIHMEPKGNSTVNELTKGENYSLHCKEPAGSLCDHETRIDHKAKSISDFSAVELTACMKNLHATQFQTEISLSQSVVTSESILQPGLPLSSGMDHTRRNFVGSISDTASQQVGSNFDDGKSLTGNDVTANETEYHGIKAAATNNYVVDEPRIPSGSNMYPFFSAIDCHQLDGRNDTHVSSTSPNSSICSASSNFKIGTIEENSSFLMPFDAHLAQRNGNMIVDTNLSSALESTELPVKLLHCCKRSCYEASEFQDHESLYATGGVIPETATKADDSTLKSGFASFNGLPDTAAQASKPKKPRTTSKKNSENFDWDKLRRQACGNYQMKERIFDRRDSVDWEAVRCADVQRISHAIRERGMNNVLAERIQKFLNRLVTDHGSIDLEWLRDVPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYELHYQMITFGKVFCTKSKPNCNACPMRSECRHFASAFASARLALPSPQDKRLVNLSNQFAFHNGTMPTPNSTPLPQLEGSIHARDVHANNTNPIIEEPASPREEECRELLENDIEDFDEDTDEIPTIKLNMEAFSQNLENCIKESNKDFQSDDITKALVAISNEAASIPVPKLKNVHRLRTEHYVFCSQLRTSRFTSPHATDELKDTREAPKPCCNPQTEGGLCSNEMCHNCVSERENQYRYVRGTVLVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPINIPREQLWNLHRRMVYFGTSVPTIFKGLTTEEIQHCFWRGFVCVRGFNMETRAPRPLCPHFHLAASKLRRSSKKAATEQTH >ONIVA05G19270.1 pep chromosome:AWHD00000000:5:19751761:19754796:1 gene:ONIVA05G19270 transcript:ONIVA05G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADATTAMTIDFLRARLLSERSVSRAAKERADQLTKRVAELEEQLRAVTAQRRKAERAAGEVLAILESQGLARFSDVADSGSGSDDEDGLESAESGGKARGDAEDALSGSEFGGTATAAAAGGLSWKGRAVSHESQRRQQQQLKQLKGRHLRQRNSHRRGYFYLLAADSSPKYQPGQSCRKVKRKELRFHTEGNEGKDNAMESTEEGQERSDCTVCTDEQPDIDGEVSQDGQGSCGDGRDGDNDDRYSVEYEKDGEMERVLEKQAELIGQYEAEEYAQREWEKKFNNCRDSTAGDVELGNKLNQIEKACEQRDRAAQIKDKEVSEVGGPSDKNLFADDSPSECLSTDSVFGLPPNAPEENAIKHCKVTECDHDFGEATSTVVSVDSGPQARKDESVNKSFTEIIEGSGNNIAKSSSSLQGNYDSSQNARHNEDQVDESSDSGPGYHVNACSYEHYINTPSVASRSSDTPKSKVSEWSSSCFHNHTDNQIDTQMHQPSSDGVGGVLEALQRAKMSLREKLSKPSPPSLEMLALPAPEYHYATDDSPVRDTELSLCMSTFPSQEILALPEPAEYRSRIIPRDDVKVPVGLAGLFRLPTDSFAQNELCSADGYRSRFSLTATSRENLSNHFRANLSLSRYGSEFSPDPCFSARNSMLLSTPTFGGCSNPVSDFRVGDAYFPSEVPRSSNRRGMPSGDQGMLFHYAGDYCSNKWTL >ONIVA05G19260.1 pep chromosome:AWHD00000000:5:19747749:19750133:1 gene:ONIVA05G19260 transcript:ONIVA05G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAAYLLAVLGGNTSPSADDIKNILESVGVEANDERLEFLLSELEGKDITEVIAAGREKFASVPSGGGGGIAVAAPTAAGGGAAPAEEAKKEEKVEEKEESDDDMGFSLFD >ONIVA05G19260.2 pep chromosome:AWHD00000000:5:19747829:19750133:1 gene:ONIVA05G19260 transcript:ONIVA05G19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFICCLFKAFFNFTMKLIAAYLLAVLGGNTSPSADDIKNILESVGVEANDERLEFLLSELEGKDITEVIAAGREKFASVPSGGGGGIAVAAPTAAGGGAAPAEEAKKEEKVEEKEESDDDMGFSLFD >ONIVA05G19250.1 pep chromosome:AWHD00000000:5:19727542:19729268:1 gene:ONIVA05G19250 transcript:ONIVA05G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADATTLHIAVHALASSLQAQVAAVFFVSAACTVALALLLALLRLRPPWWCACPVCEAYVTASWAREFDNLCDWYAHLLRRAPGRTVHVHVLGNVLTANPATVDHMLRCRFDNYPKGAPFSAILADFLGRGIFNVDGDGWLFQRKLAAAELASPAIRAFAANVVASELRCRLIPLLRSASSYGSERLLDLQDVFRRFAFDCICKISFGLDPGCLELSLPISAFADAFDTASMLSARRATVPMHVVWKLKRLLNIGEERELRDAIRLVDALAAEVIRQRRKLGSAASGDDLLSRFMGSINDDKYLRDIVVSFMLAGRDTVASGLTAFFLLLSDHPEVAAAIRDEVFRVAGGRDPTAASFDFDKLKDMHYVHAALYESMRLFPPVQFDSKFAAGEDTLPDGTFVAKGTRVTYHAYAMGRMESLWGPDCAVFRPERWLSGGRFVPESPYRYPVFQGGVRVCIGKDLAIMEMKAVIVAVVLSFDVEAVDRSSRRPKFAPGLTATFAGGVPVRVRRRAHCAPS >ONIVA05G19240.1 pep chromosome:AWHD00000000:5:19704333:19708140:1 gene:ONIVA05G19240 transcript:ONIVA05G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVSTRKWGVEVPANRSRLHESGIERWRRQRTRHRCRWHGGRASPFAAALPSSPPPLCFRLLPPLFRPRGPPRRARPLSPMNWIDPLSAGEQGQFGLIKPHFNENAQNSKNGKVTVRSEVCAGTERSGSRAAPATSGAPPILLLRPAASGSPTGRIWRDAALPPPLPGGAPAWPPGTSAAPLPSSGRHASPPRPPTAAVGVARSGVERCRGFPAPAGRHERHPELRREGSGEEGFEMAAAADATTAMTIDFLRARLLSERSVSRAAKERADQLTKRVAELEEQLRAVTAQRRKAERAAGEVLAILESQGLARFSDAADSGSGSDDEDGLESAESGGKARGEAEDALSGSELGDTVNAAAAAAAGGLSRGYFYLVAADSSPKYHPGQSCRKVKRKELRLHTEGDEGKDNAMESTEEGHERSDCTVCTDEQPDIDGEVSQDGQGSCGNGRDGDDDNPYTVEYEKDGEMERVLEKQAELIEQYEEEEYAQREWERKFNNSRDSTAGDVELCNKLNQIEKACEQRDKAAQIKDKEVSEVGGPSDKNLFANDSPSECLSTDSVSGVPQNAPEENAIKHCKVTECDHDFGEATSTVVSVDSGPQIRKDELVDKSFTEIIEGSGNNIEKLSSSLQSNYESVQNARHNEDGVDESSDGGPGYHVNACSYEHYINTPSVASRSSDTPKSKVSEWSSSCFHNHTDNQIDTQMHQPSSDGVGGVLEALQRAKMSLREKLSKPSPPSLEMLALPAPEYHYATDDSPVRDTELSLCMSTFPSQEILALPEPAEYRSRIIPRDDMKVPVGLAGLFRLPTDSFAQNELCSADGYRSRFSLTATSRENLSNHFRANLSLSRYGSEFSPDPCFSARNSMLLSTPTFGGCSNPVSDFRVGDAYFPSEVPRSSNRRGMPSGDQGMLFHYAGDYSSNKWTL >ONIVA05G19230.1 pep chromosome:AWHD00000000:5:19698896:19701807:1 gene:ONIVA05G19230 transcript:ONIVA05G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDPHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGHADKNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >ONIVA05G19220.1 pep chromosome:AWHD00000000:5:19683786:19689530:-1 gene:ONIVA05G19220 transcript:ONIVA05G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDESPSYLHLIFLSSRIRVFILDPPLSLPLSHGQCELQVVEEAAMDPSGLNLQGNPAENQESWTSGVSVGRGTPNLGVGTAVAGRSCPPSTLFPGSSLSSTALLNTMHEGSFPQTALVAGSGSSADEQHGAPPVRPSYNLPAGCTQVPISILVFHRRLTGRGSRCRSPQSRSFMPAPALSGVSEADGAYGPIPQSDFLSLRGPSEVFPGDMAMNHSEPATSYGYNSEYAPMHLQPNGLYTEASNTESEREASQLQQSAEAVICDSHSKLESVMEKIQGQNPQESSGLVAEGSADDNIHKYHQKAKRARTQITHSDKMDLPTQAVSACKEKTITQIEMQIADAERTEALKGEDAPAQKLKTRRRKHRPKVIREDRPAKKQMATTSEEKPLNQKPKRKYVRKNRNPSSLEKCAEPFSDHSISRESRTTVRSSIASVRRRLQFEFGEHGVQRDQSSMTNSWYQNQEKPVNAESSLCSVTKSSVQVEHGQELHMENSPEGLFFGINSKLNKILDEYIHLPEAAPKPSEQIPLAASGHVSEELARKQYDVRHTHDPDSTSYNIERSEMDSTKGSMTKVSKMDKSKKRHYGGESSLAGTQSSIIMRTAAEMLAVYQACGIKKKRSARVRRNSFLSVMDLEKNTSQESTRLPRSCMEALYESSYIKFMTKKRSQKARLNSPNSIQPNIDQKNRFSSETIFSGGFNGLKRSEETFQKTLPQIPDDKRINLDIHCKVPVENSPNTSTPPYMDYLQGVTSKFRYFDLNTEQVHKTEMHLYQTMPSLSSLGATNYLPNALVPYVGGAVVPYQTQFHLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPPGSDGNCNDGIAGQDNEEIISTSAVRDRGTFEFFYDGSRPDIGLNFEELSMACEKIHMEPKGNSTVNELTKGENYSLHCKEPAGSLCDHETRIDHKAKSISDISLVELTARMKNLHATQFQTEISLSQSVVTSESILQPGLPLSSGMDHAPRNFVGGISDTASQQVGSNFDDGKSLTGNDVTANETESDNRRNTALLLESATDKGVFLQQINKGFVCVRGFDMETRAPRPLCPHFHLAASKLRRSSKTAATEQTH >ONIVA05G19220.2 pep chromosome:AWHD00000000:5:19683786:19689530:-1 gene:ONIVA05G19220 transcript:ONIVA05G19220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDESPSYLHLIFLSSRIRVFILDPPLSLPLSHGQCELQVVEEAAMDPSGLNLQGNPAENQESWTSGVSVGRGTPNLGVGTAVAGRSCPPSTLFPGSSLSSTALLNTMHEGSFPQTALVAGSGSSADEQHGAPPVRPSYNLPAGCTQVPISILVFHRRLTGRGSRCRSPQSRSFMPAPALSGVSEADGAYGPIPQSDFLSLRGPSEVFPGDMAMNHSEPATSYGYNSEYAPMHLQPNGLYTEASNTESEREASQLQQSAEAVICDSHSKLESVMEKIQGQNPQESSGLVAEGSADDNIHKYHQKAKRARTQITHSDKMDLPTQAVSACKEKTITQIEMQIADAERTEALKGEDAPAQKLKTRRRKHRPKVIREDRPAKKQMATTSEEKPLNQKPKRKYVRKNRNPSSLEKCAEPFSDHSISRESRTTVRSSIASVRRRLQFEFGEHGVQRDQSSMTNSWYQNQEKPVNAESSLCSVTKSSVQVEHGQELHMENSPEGLFFGINSKLNKILDEYIHLPEAAPKPSEQIPLAASGHVSEELARKQYDVRHTHDPDSTSYNIERSGLITTKGHKKDLDLNYSNTTKGHKKELDLNYSNTNGFQMYCSASLLPEMDSTKGSMTKVSKMDKSKKRHYGGESSLAGTQSSIIMRTAAEMLAVYQACGIKKKRSARVRRNSFLSVMDLEKNTSQESTRLPRSCMEALYESSYIKFMTKKRSQKARLNSPNSIQPNIDQKNRFSSETIFSGGFNGLKRSEETFQKTLPQIPDDKRINLDIHCKVPVENSPNTSTPPYMDYLQGVTSKFRYFDLNTEQVHKTEMHLYQTMPSLSSLGATNYLPNALVPYVGGAVVPYQTQFHLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPPGSDGNCNDGIAGQDNEEIISTSAVRDRGTFEFFYDGSRPDIGLNFEELSMACEKIHMEPKGNSTVNELTKGENYSLHCKEPAGSLCDHETRIDHKAKSISDISLVELTARMKNLHATQFQTEISLSQSVVTSESILQPGLPLSSGMDHAPRNFVGGISDTASQQVGSNFDDGKSLTGNDVTANETESDNRRNTALLLESATDKGVFLQQINKGFVCVRGFDMETRAPRPLCPHFHLAASKLRRSSKTAATEQTH >ONIVA05G19220.3 pep chromosome:AWHD00000000:5:19683786:19689530:-1 gene:ONIVA05G19220 transcript:ONIVA05G19220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDESPSYLHLIFLSSRIRVFILDPPLSLPLSHGQCELQVVEEAAMDPSGLNLQGNPAENQESWTSGVSVGRGTPNLGVGTAVAGRSCPPSTLFPGSSLSSTALLNTMHEGSFPQTALVAGSGSSADEQHGAPPVRPSYNLPAGCTQVPISILVFHRRLTGRGSRCRSPQSRSFMPAPALSGVSEADGAYGPIPQSDFLSLRGPSEVFPGDMAMNHSEPATSYGYNSEYAPMHLQPNGLYTEASNTESEREASQLQQSAEAVICDSHSKLESVMEKIQGQNPQESSGLVAEGSADDNIHKYHQKAKRARTQITHSDKMDLPTQAVSACKEKTITQIEMQIADAERTEALKGEDAPAQKLKTRRRKHRPKVIREDRPAKKQMATTSEEKPLNQKPKRKYVRKNRNPSSLEKCAEPFSDHSISRESRTTVRSSIASVRRRLQFEFGEHGVQRDQSSMTNSWYQNQEKPVNAESSLCSVTKSSVQVEHGQELHMENSPEGLFFGINSKLNKILDEYIHLPEAAPKPSEQIPLAASGHVSEELARKQYDVRHTHDPDSTSYNIERSGLITTKGHKKDLDLNYSNTTKGHKKELDLNYSNTNGFQMYCSASLLPEMDSTKGSMTKVSKMDKSKKRHYGGESSLAGTQSSIIMRTAAEMLAVYQACGIKKKRSARVRRNSFLSVMDLEKNTSQESTRLPRSCMEALYESSYIKFMTKKRSQKARLNSPNSIQPNIDQKNRFSSETIFSGGFNGLKRSEETFQKTLPQIPDDKRINLDIHCKVPVENSPNTSTPPYMDYLQGVTSKFRYFDLNTEQVHKTEMHLYQTMPSLSSLGATNYLPNALVPYVGGAVVPYQTQFHLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPPGSDGNCNDGIAGQDNEEIISTSAVRDRGTFEFFYDGSRPDIGLNFEELSMACEKIHMEPKGNSTVNELTKGENYSLHCKEPAGSLCDHETRIDHKAKSISDISLVELTARMKNLHATQFQTEISLSQSVVTSESILQPGLPLSSGMDHAPRNFVGGISDTASQQVGSNFDDGKSLTGNDVTANETESDNRRNTALLLERGFDMETRAPRPLCPHFHLAASKLRRSSKTAATEQTH >ONIVA05G19210.1 pep chromosome:AWHD00000000:5:19674036:19674485:-1 gene:ONIVA05G19210 transcript:ONIVA05G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETSCPRNTRNIMLHGALLFFMSLSTRRKEMVKNTLWRREEQAMLHWQEKRAVNRGKNGVSSGRQPSNW >ONIVA05G19200.1 pep chromosome:AWHD00000000:5:19665188:19677321:1 gene:ONIVA05G19200 transcript:ONIVA05G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQFVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLVSIIQMYPFNKAQFTTLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQINWLYYIAFAVVAIGLIIYSLNDHSSDSGTRTTASTEAAAQYQQLPGEDNSTGIGSNDSQERKQEEETTTPWHLEKLGFSPSIVERFLWPLLAGIFFDPALDTLSRLALRDNELPRPASAPSPDSVRLNSCTAAIGQSSVPLDTGDAKPHPAPQRLGKGIINNMFLDTSVAPSSVAAGKVLVSFSLVGSFAGRSDADLAGEVVSELGGWFGAREVASWTHLTTYCIGFARPDQMPPTGRDPRVRRRTVRVRRPLRAEKIRRSRKAIIPEGVLHHFLPPLKARERCSSKKNGQRLMKNKSAPWSMMFLVFLGQLVSFSMAMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLIGLFIGFAVASLVFSSIAPFVLKMSGATMFNLSLLTTDVWAVAIRVFLYHQQVNWLYYLAFAVVAIGLIIYSINESSDDETAASTMETETQYDYEQLLCE >ONIVA05G19200.2 pep chromosome:AWHD00000000:5:19665188:19677324:1 gene:ONIVA05G19200 transcript:ONIVA05G19200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQFVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLVSIIQMYPFNKAQFTTLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQINWLYYIAFAVVAIGLIIYSLNDHSSDSGTRTTASTEAAAQYQQLPGEDNSTGIGSNDSQERKQEEETTTPWHLEKLGFSPSIVERFLWPLLAGIFFDPALDTLSRLALRDNELPRPASAPSPDSVRLNSCTAAIGQSSVPLDTGDAKPHPAPQRLGKGIINNMFLDTSVAPSSVAAGKVLVSFSLVGSFAGRSDADLAGEVVSELGGWFGAREVASWTHLTTYCIGFARPDQMPPTGRDPRVRRRTVRVRRPLRAEKIRRSRKAIIPEGVLHHFLPPLKARERLMKNKSAPWSMMFLVFLGQLVSFSMAMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLIGLFIGFAVASLVFSSIAPFVLKMSGATMFNLSLLTTDVWAVAIRVFLYHQQVNWLYYLAFAVVAIGLIIYSINESSDDETAASTMETETQYDYEQLLCE >ONIVA05G19200.3 pep chromosome:AWHD00000000:5:19665188:19677324:1 gene:ONIVA05G19200 transcript:ONIVA05G19200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQFVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLVSIIQMYPFNKAQFTTLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQINWLYYIAFAVVAIGLIIYSLNDHSSDSGTRTTASTEAAAQYQQLPGEDNSTGIGSNDSQERKQEEETTTPWHLEKLGFSPSIVERFLWPLLAGIFFDPALDTLSRLALRDNELPRPASAPSPDSVRLNSCTAAIGQSSVPLDTGDAKPHPAPQRLGKGIINNMFLDTSVAPSSVAAGKVLVSFSLVGSFAGRSDADLAGEVVSELGGWFGAREVASWTHLTTYCIGFARPDQMPPTGRDPRVRRRTVRVRRPLRAEKIRRSRKAIIPEGVLHHFLPPLKARERCSSKKNGQRLMKNKSAPWSMMFLVFLGQLVSFSMAMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLIGLFIGFAVASLVFSSIAPFVLKVNWLYYLAFAVVAIGLIIYSINESSDDETAASTMETETQYDYEQLLCE >ONIVA05G19200.4 pep chromosome:AWHD00000000:5:19665188:19677324:1 gene:ONIVA05G19200 transcript:ONIVA05G19200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQFVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQINWLYYIAFAVVAIGLIIYSLNDHSSDSGTRTTASTEAAAQYQQLPGEDNSTGIGSNDSQERKQEEETTTPWHLEKLGFSPSIVERFLWPLLAGIFFDPALDTLSRLALRDNELPRPASAPSPDSVRLNSCTAAIGQSSVPLDTGDAKPHPAPQRLGKGIINNMFLDTSVAPSSVAAGKVLVSFSLVGSFAGRSDADLAGEVVSELGGWFGAREVASWTHLTTYCIGFARPDQMPPTGRDPRVRRRTVRVRRPLRAEKIRRSRKAIIPEGVLHHFLPPLKARERCSSKKNGQRLMKNKSAPWSMMFLVFLGQLVSFSMAMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLIGLFIGFAVASLVFSSIAPFVLKVNWLYYLAFAVVAIGLIIYSINESSDDETAASTMETETQYDYEQLLCE >ONIVA05G19190.1 pep chromosome:AWHD00000000:5:19651657:19654274:1 gene:ONIVA05G19190 transcript:ONIVA05G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRACTMVEGSSFVVVGGGGGVPFFEWLKPRSSPPPSPSSSSTTTTSSSLTAQRQPRGAGTMLCLPLLGRLGEEPVDADDGGAMNNPPVKEEVSNTTDDYAGVDLNIGLPATTGGCSSEDAPMDEDEEDDDDDDEEEETEDDEEKAAGLEGCKVEEEEREQVHSEGSKYYVSVGGGEDQSSNAGDVDAGAACRGRRYWIPTPAQILIGPVQFVCHVCNKAFNRYNNMQMHMWGHGREYRKGPESLKGTQATATLAMLKLPCYCCAAGCRNNVGHPRARPLKDFRTLQTHYKRKHGAKPFACRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGHFPVAAAAAAAHAAAAPPKQQQRIIRFDDAMAQMHGGGLMN >ONIVA05G19180.1 pep chromosome:AWHD00000000:5:19634297:19643291:1 gene:ONIVA05G19180 transcript:ONIVA05G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSDAYTESAGYLAARPPTLEIFPSWPMSHLQEPYSNSQSVGSTTDSSSAQNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQGLFPGGCSAPGDMSSGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYNRRLRALSSLWASRPRENFIATENVSPTGTEFQVIQQSQQNQFSGF >ONIVA05G19180.2 pep chromosome:AWHD00000000:5:19636627:19643291:1 gene:ONIVA05G19180 transcript:ONIVA05G19180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSDAYTESAGYLAARPPTLEIFPSWPMSHLQEPYSNSQSVGSTTDSSSAQNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQGLFPGGCSAPGDMSSGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYNRRLRALSSLWASRPRENFIATENVSPTGTEFQVIQQSQQNQFSGF >ONIVA05G19180.3 pep chromosome:AWHD00000000:5:19637899:19643291:1 gene:ONIVA05G19180 transcript:ONIVA05G19180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEGSSWRMANDHDRAVPHSQAVAYGIQGHAVLAAPPANFLELQPAAAAYFGELEKALIHGTSAGAGVDHGMIQSDAYTESAGYLAARPPTLEIFPSWPMSHLQEPYSNSQSVGSTTDSSSAQNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQGLFPGGCSAPGDMSSGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYNRRLRALSSLWASRPRENFIATENVSPTGTEFQVIQQSQQNQFSGF >ONIVA05G19180.4 pep chromosome:AWHD00000000:5:19636627:19643291:1 gene:ONIVA05G19180 transcript:ONIVA05G19180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSDAYTESAGYLAARPPTLEIFPSWPMSHLQEPYSNSQSVGSTTDSSSAQNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQVPTLRKPHKIATSNNTILTKGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYNRRLRALSSLWASRPRENFIATENVSPTGTEFQVIQQSQQNQFSGF >ONIVA05G19180.5 pep chromosome:AWHD00000000:5:19637835:19643291:1 gene:ONIVA05G19180 transcript:ONIVA05G19180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQGGFDLPVWEKTNRKRSNILSCGEGSSWRMANDHDRAVPHSQAVAYGIQGHAVLAAPPANFLELQPAAAAYFGELEKALIHGTSAGAGVDHGMIQSDAYTESAGYLAARPPTLEIFPSWPMSHLQEPYSNSQSVGSTTDSSSAQNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQVPTLRKPHKIATSNNTILTKGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYNRRLRALSSLWASRPRENFIATENVSPTGTEFQVIQQSQQNQFSGF >ONIVA05G19180.6 pep chromosome:AWHD00000000:5:19637083:19643291:1 gene:ONIVA05G19180 transcript:ONIVA05G19180.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSDAYTESAGYLAARPPTLEIFPSWPMSHLQEPYSNSQSVGSTTDSSSAQNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQGLFPGGCSAPGDMSSGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYNRRLRALSSLWASRPRENFIATENVSPTGTEFQVIQQSQQNQFSGF >ONIVA05G19180.7 pep chromosome:AWHD00000000:5:19637899:19643291:1 gene:ONIVA05G19180 transcript:ONIVA05G19180.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEGSSWRMANDHDRAVPHSQAVAYGIQGHAVLAAPPANFLELQPAAAAYFGELEKALIHGTSAGAGVDHGMIQSDAYTESAGYLAARPPTLEIFPSWPMSHLQEPYSNSQSVGSTTDSSSAQNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQVPTLRKPHKIATSNNTILTKGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYNRRLRALSSLWASRPRENFIATENVSPTGTEFQVIQQSQQNQFSGF >ONIVA05G19170.1 pep chromosome:AWHD00000000:5:19626620:19627007:-1 gene:ONIVA05G19170 transcript:ONIVA05G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPRSRTSSHQGLTNQIISLTSSPSPSTGCSDHWGELLLLLSTTPPRLHAPPSPAGARRFRFPALFCKRAIINRRKPRRRDPAS >ONIVA05G19160.1 pep chromosome:AWHD00000000:5:19620308:19626525:-1 gene:ONIVA05G19160 transcript:ONIVA05G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLVFPSASNGGCPLGVSRNNFRKVVLGVGAGHLQGKKCFSGGSSRPSKLECSANSRRVGPRRTKDALYDLHPEISMLYGEDNGAVAAPGKEQDIVKTTERLEDVSASHRYSEPRIKVIGVGGGGSNAVNRMIESDMKGVEFWIVNTDFQAMRMSPIDPDNKLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVSGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFAFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQLEQFEREVNAAALSNKSNSRENAILQFRQFIRAIAEQISQVEDSLESLRNDSIRTPKHSYLTEHDGDELASFLSGSNRKDNHVIYSTGTDEIVELKLDSVPAVNGYHSTQERTSCEFRYSGEDVEGAAKPQCSCGENACEGDHNGSSMRGLDSDDSIGRKHHFRSKLSRKYHSFMRNLWFTNRGRESFTKRRKDGEVMDSLRNGSTLPSFNLPPAGRAMYFWPELIKRRLSKFKCSTHHKHLQVRLATVLLIALAVLGELHQSHLFLSISDD >ONIVA05G19150.1 pep chromosome:AWHD00000000:5:19617110:19617556:-1 gene:ONIVA05G19150 transcript:ONIVA05G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0HF68] MATATAPRLCFPKPGAAIAPATKSPSFTGYAKQTLNMSGLRISNKFRVSATAVHKVKLIGPDGVEHEFEAPEDTYILEAAETAGVELPFSCRAGSCSTCAGKMSSGEVDQSEGSFLDENQMGEGYVLTCISYPKADCVIHTHKEEELY >ONIVA05G19140.1 pep chromosome:AWHD00000000:5:19610539:19614525:-1 gene:ONIVA05G19140 transcript:ONIVA05G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCAVFFSSAFSLLLLLLLLSAANDVVVSAATSPPLKFGINYGQIANNLPHPTQVSGLLQSLSVNRVKLYDADPAVLAAFAGTGVEFIVGNEDLHDLTDARKARAWVAQHVQPFLPATRITCVTVGNEVLSGKDTAAMQSLLPAMQSVHQALLDLGLAGRVNVSTAHSVNILATSYPPSAGAFREDLAQYIQPLLNFHAEVGSPFLVNAYPFFAYKASPASVSLPYVLFEPNPGVRDPATNLTYDNMLYAQIDAVYAAMKAMGHADITVRISETGWPSKGDDDEVGATPQNAAAYNGNLMKRIAAGEGTPLKPAVPVDVFVFALFNEDMKPGPSSERNYGLFYPNGTPVYNIGFDAASFSPSPTTSTFSSSSRPTVMGRSYLSGKICLDIFASGASCEGCRSVSAFPREDSRVHFSSIVWILKIVIIEHRRRVMHIIGTPTQEIVFPKESDSSQELNWKHRWQ >ONIVA05G19130.1 pep chromosome:AWHD00000000:5:19603840:19608769:-1 gene:ONIVA05G19130 transcript:ONIVA05G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sec23/sec24 transport family protein [Source:Projected from Arabidopsis thaliana (AT2G27460) TAIR;Acc:AT2G27460] MAARATFSRFPVTAEALEACAVQWGIAVTPFAAADERGQAPAAGAGGDRVPRCEHCWAYLNSHCDMERWGWSCALCGTLNGFDDDALRRLQHPEGWPELTSSFVDLEIPVDGLEGAGDGVQARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPVVKNVFIPPDTEEDGLPVALEDAMPLLSFLAPIDTCKDRIAAALDTLRPTSSWERGAASGQEPDTVLLGGRGFGTAMSALTDYLSSEYGTTFALARVFAFLSGAPDYGDGQLDTRRYGEQYASKGEDPDLALLPEQIPFYKDLAAVAVQAGVCVDIFAITDEYTDLASLKFLSIESGGSLFLYTNTDDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYEHVQHIICCDSFATYAYDFNFSHPEGFSRHTDPAVVQIAFQYSVIEPVKHTSENETQSSTSNMFCLKRRLRIRTLQYRPAKNINEIYDSVDPETLLHILVHKVILISLDKGVKEGRSLVHDWLSLLIARYNQALRSDARIPESHVDVDFLQCPQLQMLPQLVFALLRNPLLQLHEEGIHPDYRIYLQCLFSALEPSSLAKAIYPVLVSYSSPDKQAFPRHTLSRAALIMSESPIFLLDAFTNLIVYYSPSADPSLPFPPPHDCLLRTMINELKQGRCITPKLTFIHGGREDPALFERYLIEEQDVDGTGFTSGKGFVSFRESIRHAATDIIETESSI >ONIVA05G19120.1 pep chromosome:AWHD00000000:5:19602626:19603033:1 gene:ONIVA05G19120 transcript:ONIVA05G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSMSRAHLLFLCSLAAAAAAAAGALAVPAAEVDWARQLSHHHGASPASDAPAPSPAPLSTPELCRPGEPVPAPSSSPAGATTTPAPAAPAATAPAPSPEADGKSSGAAAAPPLMTWPAVLAGAAGVATTLIL >ONIVA05G19110.1 pep chromosome:AWHD00000000:5:19585204:19585704:1 gene:ONIVA05G19110 transcript:ONIVA05G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAATAPETSHRGSRTPTVVAAPEPSRMDGCNILEFLGYRTQTGYPHRLIFQDTVLKSGISTDLVSEQVCGDTRFEYGILKMALRTAVAAAPETSHRRWRQGRWRRRLPRHPVADGIEDSDGGGGSRALLRGWRRGRPLRQRWRILSPPVADGIEDSNSGSGS >ONIVA05G19100.1 pep chromosome:AWHD00000000:5:19577965:19579175:-1 gene:ONIVA05G19100 transcript:ONIVA05G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELPPGYRFYPTEEELVCFYLRHKLDGGRRVPDIERVIPVADAHQGAWTGDGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGWVYSSDGRPIGTKKTMVFYRGRAPAGAKTKWKMNEYRAFEEDDDNAAAAAPAQNHYLQTRSDFSLCRLYTRSGCPRQFDRRPPSSSVAGGGGENRAAPSSTAAAFANEDAAESSGKSQKRKRSAPDDRLDSTSSSDDNGGCDGSMLQQQQQRQRGTDEELVECSMTDWADLLDWF >ONIVA05G19090.1 pep chromosome:AWHD00000000:5:19565851:19570998:-1 gene:ONIVA05G19090 transcript:ONIVA05G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYLGSMGGSPSSWGVAEVPVPSSRPWSKAEDKVFESALVAFPEHTHNRWALVASRLPGRSAHEVWEHYQVLVDDVDLIERGMVASPGCWDDDNNSAGHGRGSGGDERRRGVPWTEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKFFIRQANASSRGDSKRMAFYGGEMGGSSSSWVAPLVPSSRPWSKAEDKVFESALVAFPEHTHNRWAIVASRLPGRSAHEVWEHYRVLVDDVDLIERGMVASPGCWDDGAGRGGAQGASRGGDERRRGVPWTEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKFFIRQANAGNRSDSKRKSIHDIIAP >ONIVA05G19090.2 pep chromosome:AWHD00000000:5:19565851:19570998:-1 gene:ONIVA05G19090 transcript:ONIVA05G19090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYLGSMGGSPSRPWSKAEDKVFESALVAFPEHTHNRWAIVASRLPGRSAHEVWEHYRVLVDDVDLIERGMVASPGCWDDGAGRGGAQGASRGGDERRRGVPWTEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKFFIRQANAGNRSDSKRKSIHDIIAP >ONIVA05G19080.1 pep chromosome:AWHD00000000:5:19562661:19565316:-1 gene:ONIVA05G19080 transcript:ONIVA05G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDKNVCTARRRHAFYCSSMGGSSSSWAVAMKAAPPVPSSRLWSKVEDKVFESALVAFPEHTHNRWVLVASRLPGRLAQDVWEHYQVLMDDVNLIEHGMIASPGYSWKKAGEVWTWRLAQYLTLVGEDMNAAAGGEPCSEVLHPSGQCRQP >ONIVA05G19080.2 pep chromosome:AWHD00000000:5:19562661:19563443:-1 gene:ONIVA05G19080 transcript:ONIVA05G19080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSSWAVAMKAAPPVPSSRLWSKVEDKVFESALVAFPEHTHNRWVLVASRLPGRLAQDVWEHYQVLMDDVNLIEHGMIASPGYSWKKAGEVWTWRLAQYLTLVGEDMNAAAGGEPCSEVLHPSGQCRQP >ONIVA05G19070.1 pep chromosome:AWHD00000000:5:19556985:19557383:-1 gene:ONIVA05G19070 transcript:ONIVA05G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGGKGGKLQEARVNLPSTRGGGGTSSGVVGGSSSPRRLSSSSSSTASPPSSCVSSEGSPEAGGGGGGGGSSGMILAGCPRCMMYVMLSREDPKCPKCHSTVLLDFNDAAAAADPRQVGAGKSKGKHRG >ONIVA05G19060.1 pep chromosome:AWHD00000000:5:19546355:19547035:1 gene:ONIVA05G19060 transcript:ONIVA05G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADGQCKRGSGDGLRAVGDDGWHAAAARQLAAPRQIPLRVAYPLWRGGEDGDGGWRYAHQXEVVSLAAASGRSAWEFGRKTSPAATAALGAAIEPRRRIPLAVAALGTTDAWESGWKRFSSTTAAEVSNNGPWGGDELGVQEGEEDPEDGGGPRGGRGLGIQRSGNGSCRPAFACFGWAMTDESGLNQATFGINSSEANEDGISGGSQR >ONIVA05G19050.1 pep chromosome:AWHD00000000:5:19545556:19546218:1 gene:ONIVA05G19050 transcript:ONIVA05G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADGQCKQGSGDGLRAVGDDGWHAAAARQLAAPRQIPLRVAYPLWRGGEDGDGGWRAVAEVFWSRRRRPFGLRWPKRRQRILGKETAGLGGGGIVHSGVWQKCLGVRAEDFSGGDGGPRGSDRTETESRWKRFSSTTAAEVFNNGPWGGDELGVQEGEEDP >ONIVA05G19040.1 pep chromosome:AWHD00000000:5:19508701:19508925:1 gene:ONIVA05G19040 transcript:ONIVA05G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSTCSPGSPAPAVAPHTIQVPAAVLPHPAPHHRTTPPSKPSPPWAHPHRGGLTFVPTCDSRLHPLLREERYT >ONIVA05G19030.1 pep chromosome:AWHD00000000:5:19505649:19506416:-1 gene:ONIVA05G19030 transcript:ONIVA05G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLPLSSVLYTINSARDIPPSSPPPPAATPPAWMWPSCKHPRAHSFRSPSAASAAAAAKTIASIFLDSGESSFANSSARMHHDCASDSLSTESDVSATAEDMADAIVRGLRSDRLLFEPRAPSSSILDKKPVRRAAGGGDDDDDGAASFGGGVAVAFDSEDPYEDFRASMAEMLAAHGVGDWGWLEAMLGWYLRANGKETHAAIVAAFVDLVVSTAARGSSSSRHSSFTLAGTDLESSSAGGGAAGHISFTLR >ONIVA05G19020.1 pep chromosome:AWHD00000000:5:19491189:19491884:1 gene:ONIVA05G19020 transcript:ONIVA05G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLMSGSGGGGSARRRPLRQPPVVDDDDVGCSCPKQRLLRSLLSSLVSRARGALGGRAVSRPKSSAPPSSSASTTTTAAAAFTSTSTTGASATTVDSSKESWGPATYAATNTHTLYEVEDEVRRQRRKDMRRRRRRRAAAWDEEEEEEGAAAVAVAVEVESAAPYEDFRESMVAMVVEKEMYAWEELNALLHQFLTLNSPRHHALILHAFADLWAPRSGLFCPPSPCQAL >ONIVA05G19010.1 pep chromosome:AWHD00000000:5:19482502:19488887:1 gene:ONIVA05G19010 transcript:ONIVA05G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQVGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRPLSDAPTPMRMPEKPLSTSRSNQRCTSESQSSSISCSDIDSTQSSDRSTSGGAPSTDSKLNDIRSIQDADRADSDEKCVTPEDLRGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNSPVSSSGVKLTSAVSNKNQAEQSSESSRPHSGVSYSSKFGDISSNGWTNTSDECVDPVQAPLQLKQLSPTVEHCPKLKNSGSSTPEPAKQIAENGSSASGMSKTKSSPSSSRRPSPQRQTGAGIPIVPFTVSKRAHIKAESEKTPPRPAHSPNNSLHNLPPLIPISTNLSEENIKLGNSQAMPAPLEFVTAASKEDISFYSNSVVDCVEKAEPSEVFESNSPAYLTPPWTGPVLDAKGENGLIAIPCSEIHTGTLQKSMASNDDSSLSSPLDTFYLSFEQEFVCKDDSQSSKHGHSAVTLLSGEDKFTVQELLASTPVISPFVSSTSNTLPEDKSSYQSFKKQSDSHSGPPVDVPAQTIRLNSFLVSDEWPTSETVQGEARDTAASKLLNVVREDFDVRSSSCSTSTQPSGQTPVRSKLNVPEINLASNISIPSISEAVRLSTAMDVKPYTSEASNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNEEGGRLSM >ONIVA05G19010.2 pep chromosome:AWHD00000000:5:19482667:19488887:1 gene:ONIVA05G19010 transcript:ONIVA05G19010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQVGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRPLSDAPTPMRMPEKPLSTSRSNQRCTSESQSSSISCSDIDSTQSSDRSTSGGAPSTDSKLNDIRSIQDADRADSDEKCVTPEDLRGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNSPVSSSGVKLTSAVSNKNQAEQSSESSRPHSGVSYSSKFGDISSNGWTNTSDECVDPVQAPLQLKQLSPTVEHCPKLKNSGSSTPEPAKQIAENGSSASGMSKTKSSPSSSRRPSPQRQTGAGIPIVPFTVSKRAHIKAESEKTPPRPAHSPNNSLHNLPPLIPISTNLSEENIKLGNSQAMPAPLEFVTAASKEDISFYSNSVVDCVEKAEPSEVFESNSPAYLTPPWTGPVLDAKGENGLIAIPCSEIHTGTLQKSMASNDDSSLSSPLDTFYLSFEQEFVCKDDSQSSKHGHSAVTLLSGEDKFTVQELLASTPVISPFVSSTSNTLPEDKSSYQSFKKQSDSHSGPPVDVPAQTIRLNSFLVSDEWPTSETVQGEARDTAASKLLNVVREDFDVRSSSCSTSTQPSGQTPVRSKLNVPEINLASNISIPSISEAVRLSTAMDVKPYTSEASNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNEEGGRLSM >ONIVA05G19010.3 pep chromosome:AWHD00000000:5:19482893:19488887:1 gene:ONIVA05G19010 transcript:ONIVA05G19010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQVGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRPLSDAPTPMRMPEKPLSTSRSNQRCTSESQSSSISCSDIDSTQSSDRSTSGGAPSTDSKLNDIRSIQDADRADSDEKCVTPEDLRGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNSPVSSSGVKLTSAVSNKNQAEQSSESSRPHSGVSYSSKFGDISSNGWTNTSDECVDPVQAPLQLKQLSPTVEHCPKLKNSGSSTPEPAKQIAENGSSASGMSKTKSSPSSSRRPSPQRQTGAGIPIVPFTVSKRAHIKAESEKTPPRPAHSPNNSLHNLPPLIPISTNLSEENIKLGNSQAMPAPLEFVTAASKEDISFYSNSVVDCVEKAEPSEVFESNSPAYLTPPWTGPVLDAKGENGLIAIPCSEIHTGTLQKSMASNDDSSLSSPLDTFYLSFEQEFVCKDDSQSSKHGHSAVTLLSGEDKFTVQELLASTPVISPFVSSTSNTLPEDKSSYQSFKKQSDSHSGPPVDVPAQTIRLNSFLVSDEWPTSETVQGEARDTAASKLLNVVREDFDVRSSSCSTSTQPSGQTPVRSKLNVPEINLASNISIPSISEAVRLSTAMDVKPYTSEASNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNEEGGRLSM >ONIVA05G19010.4 pep chromosome:AWHD00000000:5:19483128:19488887:1 gene:ONIVA05G19010 transcript:ONIVA05G19010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQVGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRPLSDAPTPMRMPEKPLSTSRSNQRCTSESQSSSISCSDIDSTQSSDRSTSGGAPSTDSKLNDIRSIQDADRADSDEKCVTPEDLRGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNSPVSSSGVKLTSAVSNKNQAEQSSESSRPHSGVSYSSKFGDISSNGWTNTSDECVDPVQAPLQLKQLSPTVEHCPKLKNSGSSTPEPAKQIAENGSSASGMSKTKSSPSSSRRPSPQRQTGAGIPIVPFTVSKRAHIKAESEKTPPRPAHSPNNSLHNLPPLIPISTNLSEENIKLGNSQAMPAPLEFVTAASKEDISFYSNSVVDCVEKAEPSEVFESNSPAYLTPPWTGPVLDAKGENGLIAIPCSEIHTGTLQKSMASNDDSSLSSPLDTFYLSFEQEFVCKDDSQSSKHGHSAVTLLSGEDKFTVQELLASTPVISPFVSSTSNTLPEDKSSYQSFKKQSDSHSGPPVDVPAQTIRLNSFLVSDEWPTSETVQGEARDTAASKLLNVVREDFDVRSSSCSTSTQPSGQTPVRSKLNVPEINLASNISIPSISEAVRLSTAMDVKPYTSEASNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNEEGGRLSM >ONIVA05G19000.1 pep chromosome:AWHD00000000:5:19472818:19474480:-1 gene:ONIVA05G19000 transcript:ONIVA05G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGFVCDEAEGGKSGGVAPLRRPAAHVPCSLAARSASSKKRVEKCSRQEVYEKATTPARGGKKCSRHPCDTIQSSGNAYAKSPFLRCDLALITHLSSRQQVSKENHHWPFRRRGPRRPTTRALSLSPADVSRNTA >ONIVA05G18990.1 pep chromosome:AWHD00000000:5:19468273:19472444:-1 gene:ONIVA05G18990 transcript:ONIVA05G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HF46] MDKRVIANLMEERRWVEVTNNGRRTTENVFTGSIVRHYPRRLFFLLTLALPCVEEGRLRSLSAAPYNACLCSTRYRVASRLRRKTRSTDFHQREAAPRKTVRRHPSSTDHPMASSSVVATAAEPGPHAAGEKVAAAAVFWHEGMLAHDAGRGVFGRGLDPGFLDVLDHHPENADRVRNMELVQADSAGANNNKICECTFLNPGSWDAALLAAGTTLSAMKHILDGHAKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVKLALDSGRRRVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGLVDETGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVFDPNGRQCLTMDGYRKIGQIMRGMADQHSNGQILIVQEGGYHITYSAYCLHATLEGVLNLQAPLLDDPLGFYPDDEKYTMQAVDIIKKCWKESIPFLKDI >ONIVA05G18990.2 pep chromosome:AWHD00000000:5:19468273:19472444:-1 gene:ONIVA05G18990 transcript:ONIVA05G18990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HF46] MDKRVIANLMEERRWVEVTNNGRRTTENVFTGSIVRHYPRRLFFLLTLALPCVEEGRFRQRLISGRVSCKQIKIFISCAVQRVPLLDQVPCSITVTELVQADSAGANNNKICECTFLNPGSWDAALLAAGTTLSAMKHILDGHAKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVKLALDSGRRRVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGLVDETGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVFDPNGRQCLTMDGYRKIGQIMRGMADQHSNGQILIVQEGGYHITYSAYCLHATLEGVLNLQAPLLDDPLGFYPDDEKYTMQAVDIIKKCWKESIPFLKDI >ONIVA05G18980.1 pep chromosome:AWHD00000000:5:19462437:19466566:-1 gene:ONIVA05G18980 transcript:ONIVA05G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HF45] MILVRCEPARTHGAPEEEDSRSRVKTSTNRPTRSGKGNVTVAASPMESSASSSAAVAAEGAPATGEQLAVFWHEGMVAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPIARFISWHSGRPAHAAELLSFHSAEYIEELVQANAVGAKKLCEGTFLNPGSWGAALLAAGTTLSAMKHILDGHAKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVKLALDSGRRKVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGSVDEIGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIEKFRPELLVFVVGQDSSTFDPNGRQCLTMDGYRKIGQIMRGMADQHSNGQILIVQEGGYHISYSAYCLHATLEGVLNLQAPLLDDPIAYYPEDEKYTMKVIQLLATQ >ONIVA05G18980.2 pep chromosome:AWHD00000000:5:19462919:19466566:-1 gene:ONIVA05G18980 transcript:ONIVA05G18980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HF45] MILVRCEPARTHGAPEEEDSRSRVKTSTNRPTRSGKGNVTVAASPMESSASSSAAVAAEGAPATGEQLAVFWHEGMVAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPIARFISWHSGRPAHAAELLSFHSAEYIEELVQANAVGAKKLCEGTFLNPGSWGAALLAAGTTLSAMKHILDGHAKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVKLALDSGRRKVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGSVDEIGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIEKFRPELLVFVVGQDSSTFDPNGRQCLTMDGYRKIGQIMRGMADQHSNGQILIVQEGGYHISYSAYCLHATLEGVLNLQAPLLDDPIAYYPEDEKYTMKVVDIMKKCWKESIPFLKDI >ONIVA05G18970.1 pep chromosome:AWHD00000000:5:19457124:19459200:-1 gene:ONIVA05G18970 transcript:ONIVA05G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing protein-related [Source:Projected from Arabidopsis thaliana (AT5G06370) TAIR;Acc:AT5G06370] MGLLSNRIGKETLKAGDHIYSWRAAWVYAHHGIYVGDDKVIHFTRGRGQEVGTGTFIDLLLMSSGPNTNTPCSICNNEGATTNTETNGVVSSCLNCFLSGGALYRFEYAVNPALFLAKARGGTCTLAPTDPDEVVVRRANHLLSNGFRCYNLFKSNCEDFAIYCKTGLLVAEQGVVGQSGQAISIIGGPLAAVISTPFRLVTTNIYGMAVMAVGVYCASRYAADIGNRRDVLKVEVEDLTAGLASGRIRAVENISQLVAPAEAQGLTVTAPVAA >ONIVA05G18960.1 pep chromosome:AWHD00000000:5:19454862:19455845:1 gene:ONIVA05G18960 transcript:ONIVA05G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHCESMLAYAAAAGRRAVVVDHHQRRFRPNVEVAPNCPRCESPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKAVRAMVGETMTARDGGGGGAAAFSHRFHGPVRPDMILEGMAGSTAASAGLGEQPGVAAPDEKPAAADGSTIDLALLYAKFLNHHQPTMAEQGGGAAVPESVDTSSGSSSDRTTSPAAAQPAAAAAYGPGQDGLVGEPISTEEHGAAAMARCAQALGELNFSVDQISCYTSLGLPTTDGGDLILPSTLDQHAKYEPFDSLPEDALSLHDIISGDDDVWCNALGCQGLEAALCRP >ONIVA05G18950.1 pep chromosome:AWHD00000000:5:19443550:19443850:1 gene:ONIVA05G18950 transcript:ONIVA05G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPRRRRGSEAAAVEEADATAWIPAWTRSGGGGRGGQAAAVEEARRQRSRRPRRRRIDRIDDGNADLEGVDDDDSTTAATPALLSSHAWRARPPRF >ONIVA05G18940.1 pep chromosome:AWHD00000000:5:19442390:19443476:-1 gene:ONIVA05G18940 transcript:ONIVA05G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGEAEGGDVASGARRGWAGQSQRDPEEAKIGMGEIEAVDDLPVTRFEAADELQRHGLRRDLADKLQKGVQIWIGEVGIVVTVVRQSSWYACRLLLTSMAPPPLLGSCSSSPQRGSWWEGRGHDLHNTAASFSHTPLVLKLSESCRRTCVQSRLHGFRLVTRDA >ONIVA05G18930.1 pep chromosome:AWHD00000000:5:19440606:19442078:1 gene:ONIVA05G18930 transcript:ONIVA05G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRLLFDLNEPPPEEDIEDDVAMACEDSQPQPQPHLDADDGGEGDGSTACDLPSPPPPPLPPKDDSTGGESSEISEPLLPVLDLDAPLSPLDDDDDDEVEDDDHDLPRPPDDPDGARSPGPSHSERMAKTDRSTTASHAEEASTVTTPCSHAADEGGHGVTTSPRTTTTSLPDSRGMKTLSPAFSARSSSETGAAARVHGSHMPRRDKPPAPSVPRDDDYGGSRLAGSPSTSHHERSMRSHHPYAMRSGGTPRNNNNNNRRRPRRPMRQGYKYNGHDQRGQQQVNNYSHGQRQVYGNGQDQRQQLNNNGRDQRHQVYSSNCHDQRQPVYNNDQDQRQQVYNNGQDQRRQGHHGYRKPESYQGGQGQLQYGYSAPNRQRQQQQQQQGYSFGRPSAGGQYAGEDSYGSRQIPANQQHQHFRGQQRHVVKPYYARGFDASDDRATNAGKQAKYDHPEQQQRAYQQHRRNTQSTAGGGGPARRRQYYGDLYN >ONIVA05G18920.1 pep chromosome:AWHD00000000:5:19438500:19439858:1 gene:ONIVA05G18920 transcript:ONIVA05G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVAGQHARRRIRPPEPLVMAGSPSTPAAFRCPISLEVMRSPVSLPTGATYDRASIQRWLDTGHRTCPATRLPLASTDLVPNLLLRRLIHLHAATLPPSPSPEVVLSQLAAAGGEPAAAEKAVRSLAAKIAPEKGKRASVASAVAADLDSAVPALLSFAKGGAGADARVDAVRILATVAPELVPYLTGDGTEKRGRVRMAVEALAAVLSADGVGEDTKEGLIAALVAGDLGHIVNTLIAAGANGVMVLETILTSPVPDADAKTAIADRSELFPDLVRILKDAASPAAIRCMAAAVQVRGRPARSSMVRAGAIPALALAVAAAPTAVAESALGLLVEAARCTDGKAAIGADAAEVAAAVMGRMIRVGPAGREFAVAVLWLSCCAGGGDRRMREAVASAPEAVGKLLVVMQGDCSPSTSRMAGELLRAVRMEQERKGLAAAYDSRTIHVMPY >ONIVA05G18910.1 pep chromosome:AWHD00000000:5:19431571:19433226:-1 gene:ONIVA05G18910 transcript:ONIVA05G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSSSAPRQLEDAVMARLRACVTFRDLLRVHGHVVRLRISQSSYLATQIVHLCNAHRRVTHAARVFAQVRDPNLHLHNAMIKAYAQNHQHRDAVAVYIRMLRCPTSPPDGHAGGDRFTYPFLLKACGGTAALELGKQVHTHVVRSGCDSSAIVQNSLIEMYTRAGDLALAHKVFDEMRERDVVSWNMLISAHARLGQMRKATALFNSMPDKTIVTWTAMVSGYTTVGDYPGAVDAFRSMQTEGFEPDDVSIVAVLPACAQLGALELGRWIYAYCKRHGMLTSTHICNALMEMYAKCGCIDQALQLFDGMADKDVISWSTVIGGLAAHGRAHEAVWLFTEMEKEGKVRPNVITFVGLLSACSYAGLVDEGLSHFDRMNDVYGVEPGVEHYGCVVDLLGRSGQIRRALDLVRDMPVPADAKVWGSLLSACRSHGDVDTAVLAAERLVELEPDDVGNLVMLANVYAAARRWSDVASTRKAIRSRSMRKTPGCSLIEVGNVVREFVAGEGLSSELGGLAGVLDILASHLADDEEDIDFADSDCTVYANLAND >ONIVA05G18900.1 pep chromosome:AWHD00000000:5:19426959:19428614:-1 gene:ONIVA05G18900 transcript:ONIVA05G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSSSAPRQLEDAVMARLRACVTFRDLLRVHGHVVRLRISQSSYLATQIVHLCNAHRRVTHAARVFAQVRDPNLHLHNAMIKAYAQNHQHRDAVAVYIRMLRCPTSPPDGHAGGDRFTYPFLLKACGGTAALELGKQVHTHVVRSGCDSSAIVQNSLIEMYTRAGDLALAHKVFDEMRERDVVSWNMLISAHARLGQMRKATALFNSMPDKTIVTWTAMVSGYTTVGDYPGAVDAFRSMQTEGFEPDDVSIVAVLPACAQLGALELGRWIYAYCKRHGMLTSTHICNALMEMYAKCGCIDQALQLFDGMADKDVISWSTVIGGLAAHGRAHEAVWLFTEMEKEGKVRPNVITFVGLLSACSYAGLVDEGLSHFDRMNDVYGVEPGVEHYGCVVDLLGRSGQIRRALDLVRDMPVPADAKVWGSLLSACRSHGDVDTAVLAAERLVELEPDDVGNLVMLANVYAAARRWSDVASTRKAIRSRSMRKTPGCSLIEVGNVVREFVAGEGLSSELGGLAGVLDILASHLADDEEDIDFADSDCTVYANLAND >ONIVA05G18890.1 pep chromosome:AWHD00000000:5:19420909:19421888:1 gene:ONIVA05G18890 transcript:ONIVA05G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSSASTMRLSTGRQPLLAPGRRQLLLRLPRRDGDSRSARIRRSRPSPSRGERLLRLEESGVVGRLSGTETLRWKRCDRLMRALRLSPSRAREAEEKLAAARASSGCLPVDGRVVAANEKVRRRRRVVVGVADAGCGLHGTAAASRRRGDTLLLSRLTR >ONIVA05G18880.1 pep chromosome:AWHD00000000:5:19418684:19419346:-1 gene:ONIVA05G18880 transcript:ONIVA05G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRLHHSARALLLVAPSASPTPAAVPPGVAGALPVAENGRGGPLAVSSLNTNTIVLLALLVCGLVAAVALHVVLQCALRVTRRACYGAETAAAAAAGGGGEGGRARRGGGGGGRKRTPPLSKTIPRVAYTEGLELAGSSRSECVICLAEFARGEHVRVLPGCNHGFHDRCIDRWLAARPTCPTCRQAPFAAAAADPVAPPDPAPAAVQVVRVIVLTSQ >ONIVA05G18870.1 pep chromosome:AWHD00000000:5:19409823:19412878:-1 gene:ONIVA05G18870 transcript:ONIVA05G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETSRSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPGIVHMKCF >ONIVA05G18870.2 pep chromosome:AWHD00000000:5:19409825:19411652:-1 gene:ONIVA05G18870 transcript:ONIVA05G18870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETSRSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPGIVHMKCF >ONIVA05G18860.1 pep chromosome:AWHD00000000:5:19408383:19408796:-1 gene:ONIVA05G18860 transcript:ONIVA05G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09200) TAIR;Acc:AT1G09200] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONIVA05G18850.1 pep chromosome:AWHD00000000:5:19402793:19405298:-1 gene:ONIVA05G18850 transcript:ONIVA05G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNVQASNPISDPLYSTLLFLHTNPCDGGWPPRVAVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIIVDAPLRGNHEFDKIMVTVSSHQKLHGYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNRGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRYADATLNCKGRVIAEVRYMWHGSVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >ONIVA05G18850.2 pep chromosome:AWHD00000000:5:19402793:19405298:-1 gene:ONIVA05G18850 transcript:ONIVA05G18850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIIVDAPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNRGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >ONIVA05G18850.3 pep chromosome:AWHD00000000:5:19402793:19405298:-1 gene:ONIVA05G18850 transcript:ONIVA05G18850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNVQASNPISDPLYSTLLFLHTNPCDGGWPPRVAVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIIVDAPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNRGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >ONIVA05G18840.1 pep chromosome:AWHD00000000:5:19400612:19402404:1 gene:ONIVA05G18840 transcript:ONIVA05G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENGENGHGAADEVVEPYQQTPRPGPKLNERILSSLSRRSVAAHPWHDLEIGPDAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFNNLSELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPAPTAREAIQYSMDLYAQYILQSLKR >ONIVA05G18830.1 pep chromosome:AWHD00000000:5:19397049:19397585:1 gene:ONIVA05G18830 transcript:ONIVA05G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGADVPWSLLLPRATLEFGWRWHMPEASLTVTSLLVMLLSVNHDLDSINTDQTAV >ONIVA05G18820.1 pep chromosome:AWHD00000000:5:19371625:19374487:-1 gene:ONIVA05G18820 transcript:ONIVA05G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HF25] MSMARGRLPRFLAPRRVAAEQERGADLHPASRAGEGILMSFRSIVRDVRDSFGSLSRRSFEVTLAGLSGLTGHHRGKSQSTVHELCDADLIIQESRWASLPPELLRDVIRRLEASESTWPSRKDVVSCAAVCKAWREMCKEIVLSPEFCGKLTFPLSLKQPGPRDGMIQCFIKRGKSKSTYHLYLCLSTAVLADSGKFLLSAKRHRKTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPSYNGAVIPPVGRSSRRFNSKKVSPKMPSGSYNIAQVTYELNVLGTRGPRRMHCVMHSIPASSVEPGGIVPGQPEQIVPRAFEESFRSTTSFSKSSIMDRSMDFSSSRDFSSARFSDIAGGTINGDEEGQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAPAQPPAGAPTPSQPAPPEQDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ONIVA05G18810.1 pep chromosome:AWHD00000000:5:19367851:19368227:-1 gene:ONIVA05G18810 transcript:ONIVA05G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRGLAFFGSRAIGSLERQQPGRGKRDGRERESTGKTRVSGGEAAIPRALRSSGAPAGHGELADPINRGSGLRPRFGGRFTRIRFPYRLVFAFPLASISPWLDASDLSRFAWILPLAR >ONIVA05G18800.1 pep chromosome:AWHD00000000:5:19367498:19367725:1 gene:ONIVA05G18800 transcript:ONIVA05G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMHDDDDDRRSGGKRGGGGGGRGEKRKKKSRFYSIAEAAVNHVPAAWAGPHVSASPTPPACFSAQIIEEPTPY >ONIVA05G18790.1 pep chromosome:AWHD00000000:5:19362481:19367428:-1 gene:ONIVA05G18790 transcript:ONIVA05G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVVKSEGQLRWHMVSSSSSAPPPPSCDSSSSSSWELPSKGFVWNTACVCAPSDKFDTFSRLELLRKPECIEVLSSSSSSSIIIIQFCRSLETCFSASIEGCRISQPKMKNKVVKSEGHLHTLITAL >ONIVA05G18790.2 pep chromosome:AWHD00000000:5:19362481:19367428:-1 gene:ONIVA05G18790 transcript:ONIVA05G18790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVVKSEGQLHDDALPNDENLLCRDGTWFPPPPRLLLPPHVTAAAAAVGNCHQKDLSGIQKPECIEVLSSSSSSSIIIIQFCRSLETCFSASIEGCRISQPKMKNKVVKSEGHLHTLITAL >ONIVA05G18780.1 pep chromosome:AWHD00000000:5:19356201:19359957:1 gene:ONIVA05G18780 transcript:ONIVA05G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMAAAAASSSSSPPPPPPAAAAAGGAADLARFRSTSSGIGSMNMDDILRNIYGEAAPPPGAAGSAPAPPPAGEAAGAPVAEVAARRTAEEVWKEISSSGGLSAPGPAPAAGAAGRSGGPEMTLEDFLAREDDPRATAVEGNMVVGFPNGTEGVGTAGGGRGGGGGGRGRKRTLMDPADRAAMQRQKRMIKNRESAARSRERKQAYIAELEAQVAELEEEHAQLLREQEEKNQKRLKEIKEQAVAVVIRKKTQDLRRTNSMEW >ONIVA05G18780.2 pep chromosome:AWHD00000000:5:19356201:19359072:1 gene:ONIVA05G18780 transcript:ONIVA05G18780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVMAAAAASSSSSPPPPPPAAAAAGGAADLARFRSTSSGIGSMNMDDILRNIYGEAAPPPGAAGSAPAPPPAGEAAGAPVAEVAARRTAEEVWKEISSSGGLSAPGPAPAAGAAGRSGGPEMTLEDFLAREDDPRATAVEGNMVVGFPNGTEGVGTAGGGRGGGGGGRGRKRTLMDPADRAAMQRQKRMIKNRESAARSRERKQAYIAELEAQVAELEEEHAQLLREQEEKNQKRLKEKWHVFMQIKEQAVAVVIRKKTQDLRRTNSMEW >ONIVA05G18770.1 pep chromosome:AWHD00000000:5:19351659:19355227:-1 gene:ONIVA05G18770 transcript:ONIVA05G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:A0A0E0HF18] MSHGDTIPLHSSSAQSDMDEIESLIHAAPPSAAAVLPARPPSPPRASIPVSSSPPLLPPPVAGSKPQLPPFSSSSSVASSSSPPLPSSVSVAIAGDGFGPPPNTLTEPVLDTVKRDLARIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKIIMFTRFLCKSEVFAVAFAVLAAGAIILTLNVLLLGGRINFFQSLSLLGYCLFPLDVGALICMLKDNVLLKIIAVVVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYVSVGFLIIAID >ONIVA05G18760.1 pep chromosome:AWHD00000000:5:19348770:19349691:-1 gene:ONIVA05G18760 transcript:ONIVA05G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEVDVMAGATELDMEAAEQLIQLSGCCSGDGGGGESESRSTDSVSKNNRCGKKAATGRKAAAAAVESCSRKRAAAAGDIDEVVIGGEARRRPRFRSLAAVYRETRRPNDHVVVVVAGAAEEDGERTTTTTTTKRAANDAAVAGKGRRTQVHNIGGKRGLSYADTTFPVERKYTT >ONIVA05G18750.1 pep chromosome:AWHD00000000:5:19344995:19345486:1 gene:ONIVA05G18750 transcript:ONIVA05G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDIVAVDGGGRRLMAALEVKALLQASLPQHLLQIDAEETSGAWRTRWKSWGRLTSIGLSTHLGVLLYSPLHGGYHVRDVYDNEAVTKTMATSIFGFVAGSNSY >ONIVA05G18740.1 pep chromosome:AWHD00000000:5:19338919:19344181:-1 gene:ONIVA05G18740 transcript:ONIVA05G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIAEADLLQLLQPFGNVSKIALLQMQDLHNSVSALQYYSTVQPSVRGRNVYMQFSSHQELTTDQNSHGRNSDQESEPNRILLVTIHHMMYPITVEVLHQVFKAYGYVEKIVTFQKSAGFQALIQYQSLQEAMDAFGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRASQQGYPDPGGLYAFQQPGASYAQMGRAAMITAAFGGTLPPGVTGTNERCTLIVSNLNTDKINEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGFQAELAVHYLKGAVLFAKKLEVNYSKYPNITSAPDAHDYTTSSLNRFNSNVIKNYRHCCAPTKMIHISALPQEITEDAILNHVSEHGSVVNTKLFEVNGKRQALIQFESEEEATEALVSKHATSLEGNTIRISFSQMQSI >ONIVA05G18740.2 pep chromosome:AWHD00000000:5:19338919:19344181:-1 gene:ONIVA05G18740 transcript:ONIVA05G18740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIAEADLLQLLQPFGNVSKIVMLRAKNQASSLSALLQMQDLHNSVSALQYYSTVQPSVRGRNVYMQFSSHQELTTDQNSHGRNSDQESEPNRILLVTIHHMMYPITVEVLHQVFKAYGYVEKIVTFQKSAGFQALIQYQSLQEAMDAFGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRASQQGYPDPGGLYAFQQPGASYAQMGRAAMITAAFGGTLPPGVTGTNERCTLIVSNLNTDKINEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGFQAELAVHYLKGAVLFAKKLEVNYSKYPNITSAPDAHDYTTSSLNRFNSNVIKNYRHCCAPTKMIHISALPQEITEDAILNHVSEHGSVVNTKLFEVNGKRQALIQFESEEEATEALVSKHATSLEGNTIRISFSQMQSI >ONIVA05G18740.3 pep chromosome:AWHD00000000:5:19338919:19344181:-1 gene:ONIVA05G18740 transcript:ONIVA05G18740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIAEALLQMQDLHNSVSALQYYSTVQPSVRGRNVYMQFSSHQELTTDQNSHGRNSDQESEPNRILLVTIHHMMYPITVEVLHQVFKAYGYVEKIVTFQKSAGFQALIQYQSLQEAMDAFGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRASQQGYPDPGGLYAFQQPGASYAQMGRAAMITAAFGGTLPPGVTGTNERCTLIVSNLNTDKINEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGFQAELAVHYLKGAVLFAKKLEVNYSKYPNITSAPDAHDYTTSSLNRFNSNVIKNYRHCCAPTKMIHISALPQEITEDAILNHVSEHGSVVNTKLFEVNGKRQALIQFESEEEATEALVSKHATSLEGNTIRISFSQMQSI >ONIVA05G18740.4 pep chromosome:AWHD00000000:5:19338919:19344181:-1 gene:ONIVA05G18740 transcript:ONIVA05G18740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIAEADLLQLLQPFGNVSKIVMLRAKNQASSLSESEPNRILLVTIHHMMYPITVEVLHQVFKAYGYVEKIVTFQKSAGFQALIQYQSLQEAMDAFGALHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRASQQGYPDPGGLYAFQQPGASYAQMGRAAMITAAFGGTLPPGVTGTNERCTLIVSNLNTDKINEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGFQAELAVHYLKGAVLFAKKLEVNYSKYPNITSAPDAHDYTTSSLNRFNSNVIKNYRHCCAPTKMIHISALPQEITEDAILNHVSEHGSVVNTKLFEVNGKRQALIQFESEEEATEALVSKHATSLEGNTIRISFSQMQSI >ONIVA05G18730.1 pep chromosome:AWHD00000000:5:19333523:19338336:1 gene:ONIVA05G18730 transcript:ONIVA05G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEEYAPVPLGQAPEAVDPEDPVKSPPRPTSPANSTRKACFAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFVKFVDGFFSPLYAKLGFDIFGLGFLTSLLFIFLVGIFVSSWVGSTVFWIGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRIGEYAFGFITSTMILQTDKGDEELCSVYVPTNHLYIGDIFLVRSEEIIRPNLSIREGIEIIVSGGMTMPQVIAAPGQTPHKGQSTRLNRMMSA >ONIVA05G18730.2 pep chromosome:AWHD00000000:5:19333718:19338336:1 gene:ONIVA05G18730 transcript:ONIVA05G18730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEEYAPVPLGQAPEAVDPEDPVKSPPRPTSPANSTRKACFAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFVKFVDGFFSPLYAKLGFDIFGLGFLTSLLFIFLVGIFVSSWVGSTVFWIGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRIGEYAFGFITSTMILQTDKGDEELCSVYVPTNHLYIGDIFLVRSEEIIRPNLSIREGIEIIVSGGMTMPQVIAAPGQTPHKGQSTRLNRMMSA >ONIVA05G18720.1 pep chromosome:AWHD00000000:5:19328243:19332592:1 gene:ONIVA05G18720 transcript:ONIVA05G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRRRFRGGGDWQASVDDVVDDGGELEAAAAAAAARGSVLSGEYQAQEMSTMVSALTWVVAAGHDDHGGGQWSGLVDVPATTLAGGGGGDYGHGAQGSYYYYGAAPTSTPEFVAGGQQEQLSSDVPQGGASLGLAMDEHSPTYTVEASSSADQHGGGGGGRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLSSPPAGAGAGGATAAAQPVPVAYPASAVSDYLQYQMLLHGGGGGGGGRYPLYYGGGAAAAMSSSLGPYSSIPTSSVTVASVPSSSSAASSSSGYGAPAEHGEAVQWTSWPDGGGWTYPATTSSWSGSSQYPPPPRPPQQ >ONIVA05G18710.1 pep chromosome:AWHD00000000:5:19308704:19316390:1 gene:ONIVA05G18710 transcript:ONIVA05G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HF06] MRGPYPCIPALDLDATVGFSSAPAPCPKLRLAGAPTSLTASEGRESPAAAVLAAAWLCRRWLGRRRAAWLCRRWLGRRRGGGGEEGVGGGAACFAARVAPGGGATERMQILSISKMEKRRQTGHKVKRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTETH >ONIVA05G18710.2 pep chromosome:AWHD00000000:5:19312344:19316390:1 gene:ONIVA05G18710 transcript:ONIVA05G18710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HF06] MAQPQKRVYEAWKGNNRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTETH >ONIVA05G18710.3 pep chromosome:AWHD00000000:5:19308704:19312513:1 gene:ONIVA05G18710 transcript:ONIVA05G18710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HF06] MRGPYPCIPALDLDATVGFSSAPAPCPKLRLAGAPTSLTASEGRESPAAAVLAAAWLCRRWLGRRRAAWLCRRWLGRRRGGGGEEGVGGGAACFAARVAPGGGATERMQILSISKMEKRRQTGHKVKVFIPDMSREKGTRCTPHLTLKGNASTQLFFLGLASPRLASAHLPSHSIPPPLLRISLSACARRFLRALTTRFGGRSEMGQWH >ONIVA05G18700.1 pep chromosome:AWHD00000000:5:19305174:19307574:1 gene:ONIVA05G18700 transcript:ONIVA05G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAAPPSPTTAPPAAADVETPVPTRSSHRPAGHYHGLPRRRPPLSCRICAAAVVLPIREVESEAVMAMPRLSSADFDKGSKVSVSSLWTDGDERSQLVGGDGSGGDSMIHPGEPLPLRLPWQANPSRAGTVAGKPLPRGARLHPPAVPIPPARSGTSADRALSTEREGRGEWRVYHTRNNGRV >ONIVA05G18690.1 pep chromosome:AWHD00000000:5:19297409:19304201:1 gene:ONIVA05G18690 transcript:ONIVA05G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKKE >ONIVA05G18690.2 pep chromosome:AWHD00000000:5:19297409:19304201:1 gene:ONIVA05G18690 transcript:ONIVA05G18690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKKE >ONIVA05G18690.3 pep chromosome:AWHD00000000:5:19297596:19304201:1 gene:ONIVA05G18690 transcript:ONIVA05G18690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPAETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKKE >ONIVA05G18680.1 pep chromosome:AWHD00000000:5:19274521:19277411:-1 gene:ONIVA05G18680 transcript:ONIVA05G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPAAARAPPVLRNELSRRTAVLGLRLWVLVGIAVGAAFLLLLAVISFHLAQARRRKPAAKRASSAAAAAAAPGGAAVSLSPATIPPVSKEIQEVAVHVGSLRHYLEAGATFLKEGGGVGGAVVDGDSLGGSTVYGSQRVHIEAGKGRRMVAYADGEVGPVASDLAASAQAAVGVGVGPEVSHLGWGHWYTLRELEEATAAFAPEHVVGEGGYGIVYRGVLADGCEVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRILVYEYVDNGNLEQWLHGDVGPVSPLSWDIRMNIVLGTAKGITYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSDSNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARAPGEVNLVEWLKNMVSNRDYEAVLDPKLPEKPTSKALKKALLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYREIILYVHGSSDSAGEPTGQNKNICEAGDGVGRRGQHHHSCQYAIQIARELEIGLT >ONIVA05G18670.1 pep chromosome:AWHD00000000:5:19268458:19268781:-1 gene:ONIVA05G18670 transcript:ONIVA05G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLTVAAAAVFAAAVSVAHGARVLEERMWRPVGARVVSAGNWPNILDSLPLGEPDFAGAGGPVASASASAGADGKKGSGAFGVHGERFGERELSINVYDKIPLFGP >ONIVA05G18660.1 pep chromosome:AWHD00000000:5:19261549:19263834:-1 gene:ONIVA05G18660 transcript:ONIVA05G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT5G67090) TAIR;Acc:AT5G67090] MATAVVPGICHAVALMWLLLVLFCWAPGLTSAADTAAYIVHMDKSAMPRAFASQASWYESTLAAAAPGADMFYVYDNAMHGFAARVTADELEKLRGSRGFVSCYPDDTRAVRRDTTHTPEFLGVSASSGGLWEASEYGEDVIVGVVDTGVWPESASFRDDGLPPVPARWKGYCESGTAFDAGKVCNRKLVGARKFNKGLVAATNLTIAVNSPRDTDGHGTHTSSTAAGSPVAGASFFGYAPGTARGMAPRARVAMYKALWDEGTYPSDILAAIDQAIADGVDVLSLSLGLNDVPFYRDPIAIGAFAAMQRGVFVSTSAGNDGPDPGFLHNGTPWTLTVASGTGDREFAGIVRLGDGTTVIGQSMYPGSPSTIASSGFVFLGACDNDTALARNRDKVVLCDATDSLSAAIFAVQVAKARAGLFLSNDSFRELSEHFTFPGVILSPQDAPALLQYIKRSRAPRASIKFGVTILGTKPAPVVATYSSRGPSASCPTVLKPDVLAPGSLILASWPENVSVSTVGSQQLYSRFNVISGTSMSCPHASGVAALIKAVHPEWSPAAVRSAMMTTASAVDNTNAPIKDMGRANRGATPLAMGSGHIDPNRAVDPGLVYDAGADDYVKLMCAMNYTAAQIKTVAQSPSSAVDCAGATLDLNYPSFIAFFDPGATAPAARTFTRAVTNVGDAPASYSAKVKGLGGLTVSVSPERLVFGRKHETQKYTVVIRGQMKNKTDEVLHGSLTWVDDAGKYTVRSPIVATTASSAPL >ONIVA05G18650.1 pep chromosome:AWHD00000000:5:19258499:19261506:1 gene:ONIVA05G18650 transcript:ONIVA05G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAMPRSPCCSLPSARVLPSRLPLLPRPAPAALSAPAARPVVARCAAAAGHGGEGEMPIEKSRFPFLLVDRVIDYKLGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLIMRMTLIKLQKRFGIAKMEGKAYVGGDLFLRGCIGSIMGVRRHGVERK >ONIVA05G18640.1 pep chromosome:AWHD00000000:5:19254969:19255927:1 gene:ONIVA05G18640 transcript:ONIVA05G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTAGMEVVRWRGGDECGGGGSEWGRPHLRFSARRRRKGTTSWVAVDCGGGCGWGRPRLRRQRMGTTSAPAETGDGWGRPRLQRRRMGTTSTPAAADGEAPAVAGEDLASGSGFAGGGGRGKDERRGASSGQRDGDDGGRAMIAAVPDLEESVAVAICGGVCGRSAGGEVRVWAQMWSSRRQGERDAGAGGWGGRRMKATLGRRIGGGGG >ONIVA05G18630.1 pep chromosome:AWHD00000000:5:19251702:19254907:-1 gene:ONIVA05G18630 transcript:ONIVA05G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRLLSVVPVFSSMNDFTTQQQSCCHLPALSQNSMPPSLKPRRQASMSAPRPSAGAATVCCGWYPSITVRRSSRSAITVVPWCAHGQHTNCLMKCMIEVYRYLWIERVTGSSFEVGLDDLKLSGNNDQILQKKTLEDSIIGTC >ONIVA05G18630.2 pep chromosome:AWHD00000000:5:19251702:19255067:-1 gene:ONIVA05G18630 transcript:ONIVA05G18630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCLHPRRPGAGRPLPTSTPPDNLHPCRPPLHRVQLLRYLHARGEMTPTAENSMPPSLKPRRQASMSAPRPSAGAATVCCGWYPSITVRRSSRSAITVVPWCAHGQHTNCLMKCMIEVYRYLWIERVTGSSFEVGLDDLKLSGNNDQILQKKTLEDSIIGTC >ONIVA05G18630.3 pep chromosome:AWHD00000000:5:19254924:19256200:-1 gene:ONIVA05G18630 transcript:ONIVA05G18630.3 gene_biotype:protein_coding transcript_biotype:protein_coding LLKYLKNIFVTSLLFKKPVVVVVSPNDPTAEYFPRILPAGSTLQSRGGEGHRRSIASHRPIRRRRDRRHPNPATPPRSPSPESCDAAGPFSLVFTLDAPEPDAHCPPPRHRTTSIPAVLLSIVCNFFVIYTPEER >ONIVA05G18630.4 pep chromosome:AWHD00000000:5:19254856:19255563:-1 gene:ONIVA05G18630 transcript:ONIVA05G18630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTAEVDAHPPSFCCASILIDE >ONIVA05G18620.1 pep chromosome:AWHD00000000:5:19249142:19249480:-1 gene:ONIVA05G18620 transcript:ONIVA05G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGVEQGAGRVLKEAAGRCLRCGGAADLVETEKVLKLFFVPVKRWPGKDPAYLCRECGLLGPGSLGGGGGEAGTGPPLLPRDARCGACNRAVADPQFRFCPFCGSSL >ONIVA05G18610.1 pep chromosome:AWHD00000000:5:19230842:19248501:1 gene:ONIVA05G18610 transcript:ONIVA05G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMDKTTIIASAVVGSLGLLSAILGFSAEVTKITATDVLVGARGECLYPQNPAAELGVCAAVFLLLVQITVSAVGGCCGCCMSGRSIPSETKRIIGVVCAVMSWIAAGIAWFLFGMGAVVNNDCYVVKDGIFAGAAVLALAATASGITSYVMLRRQADEAPAKQPPLAGVAMGQPQFPPPPDSQVDVIVSVDNGLDDGSSSGGLGLSGSTAGTYEGSRSTQFRNGKWRWPHTKRIYRNATGAADPLSPPGDSMSGDGGGWHQVAGATVVMVALAAATAVAAATITALVTVAIVSAIVGPLGVLSAILGFSAEGTKIIISDVLLIGDECLYPQNPSFALGICAAIFLLMAQITVTAVGGCCGCCKSRAIPSETKRIVGIVCAVVSWIAAGVAWVLFVVGAAWNANGHGAGVLVLRPQGRHLRGRGRAGPRRHGVFGIASYVTLRGQRNEAVRTPKPGEQQPTPAAGIAMGHPAAQLSPPVSAPPAPPQQGGDGRALNPQPQVAAASPAPAQVGSHAPVQPLPPHPPPGDAQMETGVFVVSAVVGLFAVASAVLGFIAEEKKLTPEDIDVSSGECEYPANAAFVLGICAVLLLAVAQIIVSSVAGCCGCCRPRAGASESRRVTGIVCSVFSWIAAIVAGVSFVQGAAWNAPVTRDTAPLCYYLKDGVFRRAAVLSLAAAVFGIKSYIMLRVAAAVEPKPDGQQPQPQQAPAAPVVTGYPPQGYAPNQQFTAAADQVYGQGPSALYPPTKGYGQV >ONIVA05G18600.1 pep chromosome:AWHD00000000:5:19214006:19214845:1 gene:ONIVA05G18600 transcript:ONIVA05G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVIVVSAVVGSLGLLSAILGFAAESTKITISDVRVSGDECLYPQNPSLRLGLCAAVLLLLAQVTVSAIGGCGCCCGNGKPRGIPSSKTNRVVGIVFAVASWIAAVIAVVLFVEGAAWNANVARDTAPVCYFLKDGVFAAAAVLALAATALGVASYVMLRRQLPDDDDDAPAGAVASWRQPLLHSGIAMGHPQFPPHPQWHSQV >ONIVA05G18590.1 pep chromosome:AWHD00000000:5:19201554:19202986:-1 gene:ONIVA05G18590 transcript:ONIVA05G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEEVRSIGSGNFGVTRLMRNRDTGELVAVKTIPRGNHRINKSAYREIINHRSLRHPNIIQFIEAILTHTHLAIVMEYASGGELFDRIVDLERFSEDEARYFFQQLIWGVSYCHHMKICHRDLKLENVLLDGSAAPRLKICDFGYSKSSMLHSRPKSAVGTPAYIAPEILNLQEYDGKVS >ONIVA05G18590.2 pep chromosome:AWHD00000000:5:19201554:19202986:-1 gene:ONIVA05G18590 transcript:ONIVA05G18590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEEVRSIGSGNFGVTRLMRNRDTGELVAVKTIPRGNHRINKSAYREIINHRSLRHPNIIQFIEAILTHTHLAIVMEYASGGELFDRIVDLERFSEDEARYFFQQLIWGVSYCHHMSSMLHSRPKSAVGTPAYIAPEILNLQEYDGKVS >ONIVA05G18580.1 pep chromosome:AWHD00000000:5:19182535:19183008:-1 gene:ONIVA05G18580 transcript:ONIVA05G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPGIHQHTSIPRINAIIHRKRIHSSTHINLTTHRCTSICAPPPRRQPSISDIGRRQIKQQRVRGRSTPAAASDFGRSGGRQERTGGSSTRRKMRSMASQGDGAR >ONIVA05G18570.1 pep chromosome:AWHD00000000:5:19154266:19172428:1 gene:ONIVA05G18570 transcript:ONIVA05G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKAEAAAGSGAGTSAGREEPIVVAIKMDKTTIIVSSVVGSLGVLSAILGFAAEAAKFTDCASSLGLAIAATIFLMMAQVTVAAVGGCCGCCKSRAVPSETKRIVGVFAGAGVLVLVATGLGITSFIMLRMHPQAGEAAAGRAAPRDYDDDEPTPIGTPIDIHGFRPPMPPNPQVPEPLPNYPPPPYSPAPAPAPAPAEGNGNQLAPDQQLAPHPQGHAQIEAPAAAGLEEPAAVLAIKMDKTTIIVSAVVGSLGLLSAILGFAAEGAKRTKAMIIYVFNFQRCELDLYDLYYSSNSSSAAVGLGVCGAILLVITQVTVAAIGGCCGCCKSRAIPSETKRIVGVVCAVFSWITAVIAFVLFLDGAIVESNCVLVRGGFFASAGVLTLITTALGMTSYFMLRAQPDEPAAPAARRPPGPAGGDEPTPIVGVPTAVPAGFPPPVSSPNPLLVPVPAAQAPPNQQFAHPATSQAPPHARFADAAVPAPAPAAAQGYGSQASNQQHFPANPRGRTTVVAIKMDKTTIIVSVVVGSLGLLSAILGFAAEGSKLTVTVTAVGGCCGCCKSRAIPSETKRIVGVVCAVISWIAAVIAFVMFLDAGIVASECFIVREGFFAGAGVLALIATALGLTSYIVLRPQPDAAAGRGEPTPIGIPMDAVPGYPPRPPHPPPQQGYHTHHGIVITAHISVVIATVQSTNKSTITDQTTVIVSAVAASLGALSAILGFAADAAKHSDCASALGMAVAASIFLMMAKVTVAAGGGCRESRAVVPSATKRTVAVACAAISWIATVIAFVMFLDTGGISVVHGSSSRSAAAELGICAAIFLMITHVAMAAAGCCCRSFCIPSETARVVCAITSWIVPVIVFVLLLHAAVEESDCDKIHKGVYAGAGVLVLVSTVLGITSYLMLRTRPEPTPPIVVPMVIAFQPVYPNPLLVPVPVQAPPPNQAFAHPATLPPQGGWYGQAPNQQFAAPAPAQGYGWQAPNQQHFPCAGVVP >ONIVA05G18560.1 pep chromosome:AWHD00000000:5:19149021:19149814:-1 gene:ONIVA05G18560 transcript:ONIVA05G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGAYSCEDKDDPKNIMKTVKLIKAIQYEIPQQVHISTDCRELISRIFVSDPSKRITMQEIKNHPWFLKNFPRELTEEAQSIYFTKNDYFPTFSAQTSGEIMTFMEEAQKIPKSFGDGYIDYRSDEEEMQEEEEGPEENEEEEDECDKILREVQEKETPNMKALSIG >ONIVA05G18550.1 pep chromosome:AWHD00000000:5:19142904:19144634:-1 gene:ONIVA05G18550 transcript:ONIVA05G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSSSVLSNSFPILLLIFLAAAASVPQIAMSAEQPEQAAPAAQEATVQIVYLDRPADADPEEFHIRTLAPVLGSEEKAKEAVLYHYKHAASGFSAKLTPQQVEELKKQPGVLQVVPSQTYQLHGPGAGTGTMNTFSLV >ONIVA05G18540.1 pep chromosome:AWHD00000000:5:19135617:19142193:-1 gene:ONIVA05G18540 transcript:ONIVA05G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAGDSAAELLLRAAALVPPARYALAALLLACAFLYRFLELHLIGDLLRGLRGGRVALTFHPASHVYHRVASKCRSLHGRYLATPWLASPHLQTLFLSISGRPPSFTYRRQLYTVRDGGTIALDWLLASDCEEEDVGFCDGVISRDDSTPLVVVIPGLTSDSTAAYVKHLVFSMASKGWNVVVGNHRGLGGISITSDCFYNAGWTEDFREIVNYLHQKYPQAPLFAVGTSIGANILVKYLGEEGEGTPVAGAVSICSPWDLLVTNRFIQRKLVQRCYDKALAIGLKGYAKLHQPVLARLANWEDIRKSRSIREFDRHATCVVAKYETVDTFYRRCSSANYISNVSVPLLCISALDDPLCTREAIPWDECRANKNIVLATAPNGGHLAFFQGLTAGKLWWVGAVSEFLLALHDSPCMHRQKAQEHSLHTSLESSIDKSPYVNFMEDGMVTAVTNDDANNSDSDNPISNEMELRNGMVGVQQDGIATEIQNECDGNRSQENVTPAQGPVGSQEQPKQNIDKIQDAIAPVKISINQLIRSQGRSVWLLTYIAFVTSWPFLGALGFILFRKKFRNSLPAKRGSVRDLANVKLLWRGRDGSGSTHGYPH >ONIVA05G18530.1 pep chromosome:AWHD00000000:5:19129687:19136450:1 gene:ONIVA05G18530 transcript:ONIVA05G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSIRPPRAALVLLLLALSFSLTLAAHFEGFGSDDLHSAHADAASADDEDDEGLDVELPPPPRISLSTSTPSPPVTTTTTTTSAPNPNPDPNPTLTPPNPTPTLDLWDEDEFEGIPVPEAASSDESSTPAEAAPSDPAADAAAEAAPAPPRRPAELLRAYTVEIACVSFLICFLLNYFTGKRQNEAIALAWATRFATRDSIFDKNFSLLGTGDGKDTPLLMKEGQDVFKFYASGRRYCQGMLATMEMRARHDLLSKLVELVFPRKDTITFEVVMNEEAMDHVMLAVARKKAAKTMQKEERDLQKFAGVLTSAPAGRRWVADELAVVAESKEVAGDMITEAVLDQVLGDKAFEKFGKWFISLHFSDQLAGSYKKVLSFKFVLPDASNMAEMTRLVALVPYYIDLVGRYKLSNHARSKTEAARTKAAQEAFREQQGLRQEALQRKKAEKKKLMEEAEAKLSAEALRKKEEKERARQMKKSMPKAGMASSNRIIATTLGSTSPLFFLSTLGQSAALRRTRHPMEEAQAAVMAHLDQVSGLVQALSAELRRGIGPAADSLLAFVRAVDWTEPWLICLMVFHATLLLTAVGLRRNANFQLFMLFLAYSGVYLAEKINSYMAEHWKSIATRNYFDRAGVFVSVVWSGPLIFISMVIVVSSLITLCRLMVKWKRAELRHRAQLARDKQD >ONIVA05G18520.1 pep chromosome:AWHD00000000:5:19125462:19126626:1 gene:ONIVA05G18520 transcript:ONIVA05G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAKSLLCISLVAILLLVETTAPHGQAYAIDCGAKCGYRCSKSGRPKMCLRACGTCCQRCGCVPPGTSGNENVCPCYANMTTHNGRHKCP >ONIVA05G18510.1 pep chromosome:AWHD00000000:5:19116195:19116617:1 gene:ONIVA05G18510 transcript:ONIVA05G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPIASASNRVTTPLAGSRVVNHMPPMRAMARPCDTAGSYARATATSQSPWKGHQVEGVQHLAQFILVVVDIAKHVHWLLRELGEMGHRTCAIFRNHCILWRPAKVMQVSGLKSNNILMSFRASVVNHVGQLKSPCRIL >ONIVA05G18500.1 pep chromosome:AWHD00000000:5:19114298:19117703:-1 gene:ONIVA05G18500 transcript:ONIVA05G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLAMLAFSTLISTGGNQCSSAAVAGGKICPPSTLRVAFFYISLYMVAVAQGGHKPCVQAFGADQFDPSDPEESVSRSSFFNWWYFGMCGGTAVTLVFLSYVQDNIGWGLGFGIPCVVMACALAVFLLGTRTYRYYVSGSKKGVVARAGEALAAWRNRAKSIPLLPPASQECHPTATSAPEFSTGVEEDEQVVGKAGLVEQAKGIVRLFPIWATCLIYAVALAQSSTFFTKQAGTLDRRIGDHIQVPPAALQSFISITIVAIIPVYDRVIVPVARRYTGVPSGITMLQRIGAGMVLSLVSMVIAALVETRRLRAARDAGLVDKAGVPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSGIDRATAARGGSWFSNNLNRAHLDYFYWLIAALSALELLAYGYFAVTFKYKNKNKGALLATSTSC >ONIVA05G18500.2 pep chromosome:AWHD00000000:5:19114298:19120889:-1 gene:ONIVA05G18500 transcript:ONIVA05G18500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSCVVVNLTWIIGGSAAVEIAERFAFYGVSANLITYLTGSLGEGNAAAAAAINAWNGVSQLLPLLGGALADSWLGRYRTILLASLLYILGLAMLAFSTLISTGGNQCSSAAVAGGKICPPSTLRVAFFYISLYMVAVAQGGHKPCVQAFGADQFDPSDPEESVSRSSFFNWWYFGMCGGTAVTLVFLSYVQDNIGWGLGFGIPCVVMACALAVFLLGTRTYRYYVSGSKKGVVARAGEALAAWRNRAKSIPLLPPASQECHPTATSAPEFSTGVEEDEQVVGKAGLVEQAKGIVRLFPIWATCLIYAVALAQSSTFFTKQAGTLDRRIGDHIQVPPAALQSFISITIVAIIPVYDRVIVPVARRYTGVPSGITMLQRIGAGMVLSLVSMVIAALVETRRLRAARDAGLVDKAGVPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSGIDRATAARGGSWFSNNLNRAHLDYFYWLIAALSALELLAYGYFAVTFKYKNKNKGALLATSTSC >ONIVA05G18500.3 pep chromosome:AWHD00000000:5:19118603:19120889:-1 gene:ONIVA05G18500 transcript:ONIVA05G18500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSCVVVNLTWIIGGSAAVEIAERFAFYGVSANLITYLTGSLGEGNAAAAAAINAWNGVSQLLPLLGGALADSWLGRYRTILLASLLYILAMDRRQPDVRVTETPASQHP >ONIVA05G18490.1 pep chromosome:AWHD00000000:5:19106419:19106649:1 gene:ONIVA05G18490 transcript:ONIVA05G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRLSLQPLRHLSQKRIAALRVDFTGDHRATASPSSRTHSRRRQGRDEGGNGDHGEPAPLTTEDLRRRHAAGGD >ONIVA05G18480.1 pep chromosome:AWHD00000000:5:19101745:19105413:-1 gene:ONIVA05G18480 transcript:ONIVA05G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKLYIHHLFAKAESSSRQQQAAAANNEDIELPDESDEEEDDDQIAKKSVPAAVFGELGNRAAENREEESSGAQENGQLGALERIKRRRQ >ONIVA05G18470.1 pep chromosome:AWHD00000000:5:19101616:19102080:1 gene:ONIVA05G18470 transcript:ONIVA05G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADQLRINNSRKFLYKSKNTTQNHVYKLLQGHQLCGHLDSGRSLTPSLDPLKGAKLPILLGTRAFLLPVLCSSVAKLSKHRSGNTLLRNLVIVFLLVALIRQLDILIVSSSSLLLPAAALSLCLHSSTDKVHHPVSRSSSSAWSWWSCGRSWR >ONIVA05G18460.1 pep chromosome:AWHD00000000:5:19100478:19101128:1 gene:ONIVA05G18460 transcript:ONIVA05G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPRLAMQLPSTILTPPPTTQQKQQGIGFGGGRGRGWSWSATRGSLSLPPAALAPSADADTVLPPRHHRQADANAGEREEAAPSTTRRTTSSRRSVTRGSRGRRWPWTRLARTGAPWRARRRRAGPAALGCSPATPSLPHRWLLLSSRWVVPLASSPSPPPPQALTAARSPAPAQVVSSGGFTFPVAIGAAKVVRTIGDELLRESLEVFRPIDE >ONIVA05G18450.1 pep chromosome:AWHD00000000:5:19084441:19087680:-1 gene:ONIVA05G18450 transcript:ONIVA05G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTDPLLPRAGAARHRPATGGWRSALFIIWVEVAERFAYYGVSSNLISYLTGPFGETTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYITGLGLLALSSTFSSPQSQQCSSSGDGRQVCRSSSVQRAFFYVSLYLVAIAQSGHKPCVQAFGADQFDATDPGESSSRSTFFNWWYLGLCASATVTAAVMSYVQDNVGWGLGFGVPGMVMLLGLLVFLLGTRTYRFYDGGGSGAFSGVGEAVRAWRKSRRRGEGGGGGGATVEAEHGELAEEVRGMARLFPIWATCLLYGVLFAQPPTLFTKQAATLDRRIGPSSSFQVPPAALQSFLGVSIIPCVLLYEHVLVPAARRATGVATGITMLQRIGAGIAMCAVTLLVAALVEMRRLSAARDADPGAAVPMSLWWMVPQYVLFGAADVFAMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLISAIDVVTRRDGGTSWRKVVDVQ >ONIVA05G18450.2 pep chromosome:AWHD00000000:5:19084439:19087680:-1 gene:ONIVA05G18450 transcript:ONIVA05G18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTDPLLPRAGAARHRPATGGWRSALFIIWVEVAERFAYYGVSSNLISYLTGPFGETTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYITGLGLLALSSTFSSPQSQQCSSSGDGRQVCRSSSVQRAFFYVSLYLVAIAQSGHKPCVQAFGADQFDATDPGESSSRSTFFNWWYLGLCASATVTAAVMSYVQDNVGWGLGFGVPGMVMLLGLLVFLLGTRTYRFYDGGGSGAFSGVGEAVRAWRKSRRRGEGGGGGGATVEAEHGELAEEVRGMARLFPIWATCLLYGVLFAQPPTLFTKQAATLDRRIGPSSSFQVPPAALQSFLGVSIIPCVLLYEHVLVPAARRATGVATGITMLQRIGAGIAMCAVTLLVAALVEMRRLSAARDADPGAAVPMSLWWMVPQYVLFGAADVFAMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLISAIDVVTRRDGGTSWFDDDLNRGHLDYFYLLLAALTVLDLLAYVYFSMSYIYRRKVVDVQ >ONIVA05G18450.3 pep chromosome:AWHD00000000:5:19084441:19087680:-1 gene:ONIVA05G18450 transcript:ONIVA05G18450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSTDPLLPRAGAARHRPATGGWRSALFIIWVEVAERFAYYGVSSNLISYLTGPFGETTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYITGLGLLALSSTFSSPQSQQCSSSGDGRQVCRSSSVQRAFFYVSLYLVAIAQSGHKPCVQAFGADQFDATDPGESSSRSTFFNWWYLGLCASATVTAAVMSYVQDNVGWGLGFGVPGMVMLLGLLVFLLGTRTYRFYDGGGSGAFSGVGEAVRAWRKSRRRGEGGGGGGATVEAEHGELAEEVRGMARLFPIWATCLLYGVLFAQPPTLFTKQAATLDRRIGPSSSFQVPPAALQSFLGVSIIPCVLLYEHVLVPAARRATGVATGITMLQRIGAGIAMCAVTLLVAALVEMRRLSAARDADPGAAVPMSLWWMVPQYVLFGAADVFAMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLISAIDVVTRRDGGTSWFDDDLNRGHLDYFYLLLAALTVLDLLAYVYFSMSYIYRRKVVDVQ >ONIVA05G18440.1 pep chromosome:AWHD00000000:5:19081370:19083459:1 gene:ONIVA05G18440 transcript:ONIVA05G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEW9] MAAAAAAASTSRLLLLRHHHHAAADGSKQQQQLRYSSKPSSLALPRLRLLPAAGALLPDRVTPFSYEEDDESDDHPREECGLVGVVGDPDASSLCYLGLQKLQHRGEEGAGIVAVGGDGKLKSVTGLGLVADVFGDPARLASLPGPAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRNKLEARGSIFNTSSDTEVILHLIATSLSRPLLSRICDACERLAGAYSLLFLTADKMFAVRDPHGFRPLVLGRRRNGAVAFASETCALDLIEATYEREVEPGEVVVVDRRDMSVSSACLVPHRPRRSCVFEHIYFALPNSVVFSHAVHERRTAFGRALAEESPAAGADVVIPVPDSGFYAALGFARASGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLEGVRREIGSDSLSFLSLGKLHSIYGAEAEGYCDACFSRNYPVLPTLPEPVVELEE >ONIVA05G18430.1 pep chromosome:AWHD00000000:5:19075644:19078155:-1 gene:ONIVA05G18430 transcript:ONIVA05G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEW8] MSRAPPDAAGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLRRAGAAGPRAGVGGYGYLLEPLWWVGMITMLIGEIANFVAYMFAPAVLVTPLGALSIIVSAVLAHFILNEKLQRMGVLGCVLCIVGSTVIILHAPEEETPSSVEQIWHLATQPAFLCYVAFALVVSLILMAHCAPLYGQTNIAVYIGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFATVSAICIIIQLIYLNKALDTFNTAVVSPIYYAMFTSLTILASAIMFKDWSGQSISSIASEICGFLTVLSGTVVLHSTREYDQTISPDLYTPLPPIYWHIQGNGETVKQKEDDSLSADFITVVRQDYFV >ONIVA05G18420.1 pep chromosome:AWHD00000000:5:19071977:19072354:-1 gene:ONIVA05G18420 transcript:ONIVA05G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGMVMAAGMAMAVTEFADPATVRRPTVEGSCGAGGLSIARCCRLWRLLAMVMQGGQPDLAPLGPDLARPQSEVGWCGVRSSCSWHLGASAMDALVDRVSEVKTLLRFRC >ONIVA05G18410.1 pep chromosome:AWHD00000000:5:19069786:19070082:1 gene:ONIVA05G18410 transcript:ONIVA05G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAQFVAAAALPALLPTPCGSTSSSRASSDERWDIQKKPWLQADALHGEEDRNAAMTMRLTTNKEISKPRHAVFAGPSFVAPEPCMLPLPKFLMAR >ONIVA05G18400.1 pep chromosome:AWHD00000000:5:19067062:19069523:-1 gene:ONIVA05G18400 transcript:ONIVA05G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT4G02790) TAIR;Acc:AT4G02790] MAAASLAPPTASFSPAPAPGRVKAAAPLLSRRPPGRFLLRVASESSSISGDTLLGLYEKERLSHSLYANEDYDKEMFWENLDADLRYWTRSLRPVQWYPGHIAKTEKELKEQLKLMDVVIEVRDARIPLATSHPKMDAWLGNRKRIIVMNREDMVSNEDRNAWASYFANQGTKVVYSNGQLGMGTMKLGRMAKSLASTVNTKRREKGLLPRAVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGSDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYDFADVAAILVQLLVRHPAVGPEAFRRRYRLDMDSDCGKMFVTKLSVHLFNGDASQAAFRILSDYRKGKFGCVALERPPT >ONIVA05G18390.1 pep chromosome:AWHD00000000:5:19060718:19067185:1 gene:ONIVA05G18390 transcript:ONIVA05G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDTTLVRDQIVDPEPALTKRAKSPVVEEATAKRVEDQQQSFAFLESVVPGLAVYNGADAGQAGSRTPQLARTLSQKAGLGKTKAGAAKVSEVSSLLGRAGTVGLGKAVEVLDTLGSSMSSLNTSSGFISAAKGDKISILAFEVANTIVKGSNLMRALSKTNIKHLKEVVLYSEGVQHLISKDMDELHKIAATDKREELEIFSKEVVRFGNRCKNPQWHSLDRYFEKCSLISALYLMKELYHELHTLDRFEQDCRRKQQELDGLGSRGDSLHMLKQDVKSQTKHVKSLKKRSLWSKNLEEVMEKLVDIVHFLHLEINNAFGLADSEAPQEPAKHHNRLGPAGLALHYANIINQIDTLVSRSSLIPPTTRDTLYQGLPLTIKSALRSKLQSFELKEELTASQIKAEMEKTLRWLVPIANNTTKSELNCKLSGQMDLTRIETLYHAEKEKVDGHILELVVWLHHLISKSKNANGGVRSPIKSPVRSPTQKGITLMPDKSNSSSPILTQEDKDMLKNVKFRKFVPGISKSQEFDTKSRHSKQIRLIKSNSQSPTSGSRKDLLSLRRSSMLPVIDFQMDRTKALDLIDRLDGLKKQ >ONIVA05G18380.1 pep chromosome:AWHD00000000:5:19052608:19057486:1 gene:ONIVA05G18380 transcript:ONIVA05G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSFLQATIIDAPLLLSSASMATVPALRLGSPAALLAARRPPRWLRCGGGGVGGGGGGVGVARRGMACSVEALARRGGGGESESENEEEEEKRRGRAGERRLRGGGSAAAAAAGSGELLSIPGVGPRNQRKLVDNGFEGVAQLKQLYRDKFFGKYSEKMVEFLQSSVGIIHKNHAESITSFIKESVDEELKDADSSKASQKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVAKWQDIGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMLRKRAEEGGVTLDYLQGLHEKHESWLLPSKGGGTGVLSVSQLPTHLEGSLPPAIRDRVFYLEGDHMHSSIQKVPALVLDCEPDIDFNKDIEAKRQYAQQVAEFFQFVKKKKEGPSEQTSTDKNRINPQIMLPHKGGLWVPDGRNPFSGSAMNLNFRRAMSSYLST >ONIVA05G18370.1 pep chromosome:AWHD00000000:5:19043424:19045992:1 gene:ONIVA05G18370 transcript:ONIVA05G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCKVVILLKSAMQVNEFFRGLGCKRAMPSKKQRHKTGFLDTSSISIDLHQQGGEAVNDVLVRADQPRLAIGGGVAGEEGLSDHISHETESSGSGDQPASAGRQQMPATTLQQTTGTFRCTRCR >ONIVA05G18350.1 pep chromosome:AWHD00000000:5:19030357:19031701:-1 gene:ONIVA05G18350 transcript:ONIVA05G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVGGGAGTRGRLEEAQRQHLWVVVSAGGRRRAAAARHGGRARAECGARRDSLSFSWVGTGCDAASTGAGKRRQVVGRRRRGKRRRERGTPEESRTCSSRRWPQLLTRRPQPPSRPPAASSVHRESKGQRRI >ONIVA05G18340.1 pep chromosome:AWHD00000000:5:19020739:19023153:-1 gene:ONIVA05G18340 transcript:ONIVA05G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAMPPRPFMMPGPGGPMPPPQQFGLVETRPPLAAVLRPRFNIPGLHPSAAAASAAGKIASTYDLVESMRFLYVHVVKAKDLPAVSAAGTIDPFVEVKLGNFKGTTPVLGGNHNPSWKQVFAFSATHLQAHVLEVAVKAKDLAGGDDLIGRVGFDLSEVPIRVPPDSPLAPQWYRLENKRGEKTRGEIMLSVWLGTQADEAFPDAWHSDAHAAAGPGAVASTRAKVYFSPKLVYLRVAAIGAQDLVPLDASRPANACVKLQLAGQVRRTRPGAPPGTLNPIWNEEFMFVVSEPFDEPLFVTVEDRVGPGRDEPLGRIMLPLNAAMPRHDHFGKPVEPRWYSLARPSDDPDKKEGKFASKIQLRMSLDFGYHVLDESTYYSSDLQPSSKHTRKPSIGILELGILGARNLIPMKGKDGRTTDAYCVAKYGPKWVRTRTILNTLNPQWNEQYTWEVFDPCTVITVVVFDNNQIGKNGDARDESIGKVRIRLSTLETDRVYTHFYPLLALKPSGLKKTGELHLAVRFTCTAWVNMIALYGRPLLPKMHYTQPISVMQLDYLRHQAMQIVAARLSRAEPPLRREVVEYMLDVGSHMFSLRRSKANFYRITSLFCGFAATAKWYDGIRSWRNPITTVLVHMLFLILICYPELILPTIFLYMFMIGLWNYRYRPRHPPYMDTKLCHAEFTNPDELDEEFDSFPSSRPADIVRMRYDRLRSVGGRVQTVVGDLATQGERAHALLSWRDPRATAIFIFLSLVVAIVLYVTPFQVLLVIAMLYLLRHPRFRSRMPSVPFNFYRRLPAKSDMLL >ONIVA05G18330.1 pep chromosome:AWHD00000000:5:19017920:19019057:-1 gene:ONIVA05G18330 transcript:ONIVA05G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G35420) TAIR;Acc:AT1G35420] MAAAVTAPPYAAGAPRRRLLAARPLGRGAALALAPAARTSSSSVTGPRRGSWEDVARRRSGRTEVASVDDDEACELVSGADLVIGGDVDEGDGVRAYLLKAVKNNNGTGVLLLSDVFGFEDSATRDFAYRVACHGYNVLVPDLFRGSPWKKAEKDGFDAWLAGHAPERVSGDIDACTNWLVDEFTAAGVSRKLGIIGFCYGGGRLVETLARDGGGGGGAYSAGVCFYGSRMDASLAPRLAAPVLFVCGDGDPLCGVETVRALEARARGARAAVYAGRGHGFAHRPQSLEDDGDAEDAFALMRGWLHDHLLA >ONIVA05G18320.1 pep chromosome:AWHD00000000:5:19005334:19007748:-1 gene:ONIVA05G18320 transcript:ONIVA05G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKQETPAAAEVVVVEKADEVVAVEKAVEVEAEEKKVAEQEEEEEKKAEEAEEAAGGDEAAVIEGTGSFKEESNLVSELPDPERTALAQLKELVAAALAAGEFDLPPPPPPPPAKAEEPAKEEEPKAAEAPAAEEPKAEAEAEAEAAATEEPKTEEPKAEAPAQEEPKTEEPAKEEEPKAAAAAAAAAEEPKAEAAAEEAKPAEPETEEKTVVVTEDEGTSKTVEAIEETVVVAAPAAAAEAEAAAPKEELIWGVPLTGDDERTDTVLLKFLRAREFKVKEAMAMLKAAVLWRKRFGIDAVLAADLGLPELENVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILDQLDFSPSGICSMVQVTDLKNSPPMLGKHRTVTRQALALLQDNYPEFIAKKIFINVPWWYIAANKMVSPFLTQRTKSKIIFCTAAKSAETLFRYIAPEQVPVQFGGLYKEDDTEFSTSDAVTELPIKPSSKETVEIPATENSTVVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKIVLTVDNAASKKKKQLLYRFKVKSSSESA >ONIVA05G18310.1 pep chromosome:AWHD00000000:5:19002294:19002536:-1 gene:ONIVA05G18310 transcript:ONIVA05G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHGSDGGASRSSNGEVWSGDEVRVRRQRQQIGRNDVERLGMTTSRWSGDGASRFSSVEVGDSVSCSSEVSSSKLQGF >ONIVA05G18300.1 pep chromosome:AWHD00000000:5:18990368:18993693:-1 gene:ONIVA05G18300 transcript:ONIVA05G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEV6] MDHRPDLSLALHLPPPPEGSSAAAAEEVGEEEAEGGEGRFSLMGQPLFLKRPRPRPPRPPRARGVGDDVDVDVDDDSSPCCSSSCLSPAAKRRAAAGGLEARRAAVRAWGCQPLPEADPDVHELMERERRRQAGGVELIASENYACRAVLDALGSHLTNKYSEGLPGARYYCGNQHIDAIERLCCDRALAAFGLDPSRWGVNVQPYSCTSANFAVYTGLLLPNDRIMGLDSPSGGHVSHGYYTPSGKKVSGASIFFENLSYRVNPHTGYIDYDKVEEKAVDFHPKILICGASSYPRDWDYARMRLVADKCGAVLMCDMAQISGLVAAKECRNPFDYCDIVTSTTHKSLRGPRGGIIFFRKGKNLRKRVGSLTQVVENDQYDFEDRINFAVFPSMQGGPHNNHIAALAIALKQVAMPEFKAYIQQVKKNAQALAMALLRRKCRLVTGGTDNHLVLWDLRTFGLTGKNFEKVCEACHISLNKTPIYGDNGSISPGGTPAMTTRGCLESDFEIMAEFLLRAAHIASIVLKEHGRLQKDFLKGLENNNDIIELRNQVETFALQFAMPGFDV >ONIVA05G18290.1 pep chromosome:AWHD00000000:5:18987217:18987567:1 gene:ONIVA05G18290 transcript:ONIVA05G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREARGAAAAISLFRRSPSYLPPFSPVAGAPAHELGEAYAVRRALGLHMPGGDGLLRRLHRRVEPERAAVSPPSPLGDEKAHDLDILPVQFVKLSANLSSPLIKELDVPRYQIDK >ONIVA05G18280.1 pep chromosome:AWHD00000000:5:18986989:18988136:-1 gene:ONIVA05G18280 transcript:ONIVA05G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAGSTPSRPSPSSVVYIALGSEVPLRVDKVHELALGLDVAGTRFLWALRKPTGVSDTDLLPAGFEERSCGRGVVETRWVPQMSILAHAEKASRQRFLQSRWRQDIKIMGFFISKRRGRGHGGSFRFHTTVEAAQQAIATGHVEAESAANGVGLTKLMGRSAGHRGEGRQIARRAAEERDGRRCPTRLARHRPPPAMRCPSSRRPPSPAVLDATRREEGAERWGEQERRKKRIGFGYLRMTCVANVGHTSVADMWDPLFLNYFFYG >ONIVA05G18270.1 pep chromosome:AWHD00000000:5:18978996:18984140:-1 gene:ONIVA05G18270 transcript:ONIVA05G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transport 2/3 [Source:Projected from Arabidopsis thaliana (AT4G22200) TAIR;Acc:AT4G22200] MKTSSFESASSSGGSGGGGGGEGSGSFNLRNLSKLILPPLGVPAGGHAQSGHAGPNDRRVISPLDSRYRCWDTFMVVLVAYSAWVYPFEVAFMNASPKGGLEVADIVVDLFFAVDIVLTFFVAYIDSRTQLLVRDRRRIATRYLSTFFIMDVASTIPFQGLAYIVTGEVRESPAFSLLGILRLWRLRKVKQFFTRLEKDIRFNYFWIRCARLIAVTLFLVHCAGCLYYLIADRYPHREKTWIGAVIPDFQEASLWIRYTSSVYWSITTMTTVGYGDMHAQNTVEMIFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASNFVGRNHLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSICKGICEYLFLPVVKDVYLFKGVSREVTKMKPEYIPPKEDVIVQNEAPDDVYIVVSGEVEVIYSDGEAGERVVATLGTRGVFGEVSALSDRPQSFTLRTRTLCQLLRLRQAALKEAMQSKPEDSVVIIKNFLKHQIEMHDMKVEDLLGEDAAGEYDHGNIPCNLLTVAATGNSSFLEDLLKVGMDPDVGDSKGRTALHIAASKGYEDCVLVLLKQACNVNIKDAQGNTALWNAIAARHHKIFNILYHFARVSSPHHAAGDLLCLAARRGDLDTLRELLKHGLAVDSEDRDGATALRVALAEGHADVARLLVLNGASVDRAASHNEQQAAAAVSVDELRELMKTRELAHPVTIVVDSPSPAAAAVIREVGSSGDSRNGRRQSARSDGAHWPRVSIYRGHPFVRNRSSEAGKLINLPGTMEEFRIIIEEKLKVDARKTLIMNDEGAEIDSIDVIRDNDKLFIVTEEHMTAVASMDSVSGS >ONIVA05G18260.1 pep chromosome:AWHD00000000:5:18973109:18975578:-1 gene:ONIVA05G18260 transcript:ONIVA05G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRASTATTMQLGLLLAALLLFTSSLAGSVAAAAPPPPVGAKGGGAKSGGGGGTVIGIDLGTTYSCVGVYRNDRVEIIANDQGNRITPSWVAFTDGGERLIGEAAKNQAAANPERTIYDAKRLIGRQFSDDEVQRDMKLLPFAVVDRNGKPHVRVEVKDGDVRVFSPEEVSAMVLTRMKETAEAYLGEKVTRAVVTVPAYFNDAQRQATKDAGVIAGLTVDRIINEPTAAAIAYGIDKKGAEKNVLVFDLGGGTFDVSILAIDNGVFEVLATNGDTHLGGEDFDQRLMDHFVKVIRRKHGRDIAGDARALGKLRRECERAKRALSNQHQVRVEIESLFDGVDFSEPLSRARFEELNGDLFKKTMVPVRKAMADAGLGKGDIDEIVLVGGSTRIPKVQQLLKDYFGGKEPNRGVNPDEAVAYGAAVQASIISGHVDENTESMILLDVAPLTLGLETAGGKTQVFTTYKDRQTTVTIQVFEGERSMTRDNRLLGKFDLTGIAPAPRGAPQIAVTFEVDANGILSVLAADKATGRSEKITISGDDRKISQEEIDRMVREAEEFADEDRRHREQVDARNSLEAYVYNVKSTLGGKMADAMEGEEKEKVEEAVRVAHEWLDGNPDAGKEEYEEKLRELEDVCNPVMSAVYQRSGGGGGAPEDGNVDDEDDHDEL >ONIVA05G18250.1 pep chromosome:AWHD00000000:5:18958891:18961434:-1 gene:ONIVA05G18250 transcript:ONIVA05G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGSSEHFLRQFSASDGAPLPRELGEEWAAAECGRRGSRRWSRKKARGHHRRGGGGGGGGGLCRSREEAPAGRKRVMVVVDQSSGAKHAMMWALTHVASKGDFLTLLHVLPHGGGDASALANSLGSLCKACKPEVEVEALVIQGPKLGTVLSQVKKLDASVLVLSQCKPSPFCCFMRSSGEEFVEECINRADCLTLAVRRQSKGVGGYLISTRWQKNFWLLA >ONIVA05G18240.1 pep chromosome:AWHD00000000:5:18941123:18953281:-1 gene:ONIVA05G18240 transcript:ONIVA05G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEU7] MEAAVLAVVLFIGVALWSSSSSPAAAAAGTKEELEDGDDQRDMRGGMAITAALVVVAAAAESRWAELGREITYDGRALVVSGARRMFFSGDMHYARSTPEMWPKLIAKAKNGGIDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDVPSITFRSDNEPFKQHMQNFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGASGPRYVRWAAAMAVGLQTGVPWMMCKQNDAPDPVINTCNGLICGETFVGPNSPNKPALWTENWTSRYPIYGNDTKLRAPEDIAFAVALFIARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYGLIWQPTWGHLRELHCAVKQSSEPLLFGSYSNFSLGQQQEHNTPKVEFRNISLELAPKSISVLSDCRNVVFETAKVNAQHGSRTANAVQSLNDINNWKAFIEPVSQDLSKSTYTGNQLFEQLTTTKDETDYLWYIVSYKNRASDGNQIARLYVKSLAHILHAFVNNEYVGSVHGSHDGPRNIVLNTHMSLKEGDNTISLLSVMVGSPDSGAYMERRTFGIQTVGIQQGQQPMHLLNNDLWGYQTTFSTPPGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFKAPSGQPSQSLYHIPRGFLTPKDNLLVLVEEMGGDPLQITVNTMSVTTVCGNVDEFSVPPLQSRGKVPKVRIWCQGGKRISSIEFASYGNPVGDCRSFRIGSCHAESSESVVKQSCIGRRGCSIPVMAAKFGGDPCPGIQKSLLVVADCSNADLAPLPPSLPGARRARRSLSLVVVAAAAFGRGTRAVGHTDSSVIAELPLRGGKGRPVFESACREDSGWTTAAAAAAGLAFPRLLHGRVPLVWLNL >ONIVA05G18240.2 pep chromosome:AWHD00000000:5:18941123:18953281:-1 gene:ONIVA05G18240 transcript:ONIVA05G18240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEU7] MEAAVLAVVLFIGVALWSSSSSPAAAAAGTKEELEDGDDQRGEVTYDGRALIVNGTRVMLFSGEIHYARSTPEMWPKLIAKAKNGGIDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDVPSITFRSDNEPFKQHMQNFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGASGPRYVRWAAAMAVGLQTGVPWMMCKQNDAPDPVINTCNGLICGETFVGPNSPNKPALWTENWTSRYPIYGNDTKLRAPEDIAFAVALFIARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYGLIWQPTWGHLRELHCAVKQSSEPLLFGSYSNFSLGQQQEHNTPKVEFRNISLELAPKSISVLSDCRNVVFETAKVNAQHGSRTANAVQSLNDINNWKAFIEPVSQDLSKSTYTGNQLFEQLTTTKDETDYLWYIVSYKNRASDGNQIARLYVKSLAHILHAFVNNEYVGSVHGSHDGPRNIVLNTHMSLKEGDNTISLLSVMVGSPDSGAYMERRTFGIQTVGIQQGQQPMHLLNNDLWGYQTTFSTPPGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFKAPSGQPSQSLYHIPRGFLTPKDNLLVLVEEMGGDPLQITVNTMSVTTVCGNVDEFSVPPLQSRGKVPKVRIWCQGGKRISSIEFASYGNPVGDCRSFRIGSCHAESSESVVKQSCIGRRGCSIPVMAAKFGGDPCPGIQKSLLVVADCSNADLAPLPPSLPGARRARRSLSLVVVAAAAFGRGTRAVGHTDSSVIAELPLRGGKGRPVFESACREDSGWTTAAAAAAGLAFPRLLHGRVPLVWLNL >ONIVA05G18240.3 pep chromosome:AWHD00000000:5:18941123:18953281:-1 gene:ONIVA05G18240 transcript:ONIVA05G18240.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEU7] MEAAVLAVVLFIGVALWSSSSSPAAAAAGTKEELEDGDDQRDMRGGMAITAALVVVAAAAESRWAELGREITYDGRALVVSGARRMFFSGDMHYARSTPEMWPKLIAKAKNGGIDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDVPSITFRSDNEPFKQHMQNFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGASGPRYVRWAAAMAVGLQTGVPWMMCKQNDAPDPVINTCNGLICGETFVGPNSPNKPALWTENWTSRYPIYGNDTKLRAPEDIAFAVALFIARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYVNFDQHNTPKVEFRNISLELAPKSISVLSDCRNVVFETAKVNAQHGSRTANAVQSLNDINNWKAFIEPVSQDLSKSTYTGNQLFEQLTTTKDETDYLWYIVSYKNRASDGNQIARLYVKSLAHILHAFVNNEYVGSVHGSHDGPRNIVLNTHMSLKEGDNTISLLSVMVGSPDSGAYMERRTFGIQTVGIQQGQQPMHLLNNDLWGYQTTFSTPPGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFKAPSGQPSQSLYHIPRGFLTPKDNLLVLVEEMGGDPLQITVNTMSVTTVCGNVDEFSVPPLQSRGKVPKVRIWCQGGKRISSIEFASYGNPVGDCRSFRIGSCHAESSESVVKQSCIGRRGCSIPVMAAKFGGDPCPGIQKSLLVVADCSNADLAPLPPSLPGARRARRSLSLVVVAAAAFGRGTRAVGHTDSSVIAELPLRGGKGRPVFESACREDSGWTTAAAAAAGLAFPRLLHGRVPLVWLNL >ONIVA05G18240.4 pep chromosome:AWHD00000000:5:18941123:18953281:-1 gene:ONIVA05G18240 transcript:ONIVA05G18240.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEU7] MEAAVLAVVLFIGVALWSSSSSPAAAAAGTKEELEDGDDQRGEVTYDGRALIVNGTRVMLFSGEIHYARSTPEMWPKLIAKAKNGGIDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDVPSITFRSDNEPFKQHMQNFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGASGPRYVRWAAAMAVGLQTGVPWMMCKQNDAPDPVINTCNGLICGETFVGPNSPNKPALWTENWTSRYPIYGNDTKLRAPEDIAFAVALFIARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYVNFDQHNTPKVEFRNISLELAPKSISVLSDCRNVVFETAKVNAQHGSRTANAVQSLNDINNWKAFIEPVSQDLSKSTYTGNQLFEQLTTTKDETDYLWYIVSYKNRASDGNQIARLYVKSLAHILHAFVNNEYVGSVHGSHDGPRNIVLNTHMSLKEGDNTISLLSVMVGSPDSGAYMERRTFGIQTVGIQQGQQPMHLLNNDLWGYQTTFSTPPGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFKAPSGQPSQSLYHIPRGFLTPKDNLLVLVEEMGGDPLQITVNTMSVTTVCGNVDEFSVPPLQSRGKVPKVRIWCQGGKRISSIEFASYGNPVGDCRSFRIGSCHAESSESVVKQSCIGRRGCSIPVMAAKFGGDPCPGIQKSLLVVADCSNADLAPLPPSLPGARRARRSLSLVVVAAAAFGRGTRAVGHTDSSVIAELPLRGGKGRPVFESACREDSGWTTAAAAAAGLAFPRLLHGRVPLVWLNL >ONIVA05G18230.1 pep chromosome:AWHD00000000:5:18941328:18946581:1 gene:ONIVA05G18230 transcript:ONIVA05G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPMRGCDELSSRPEETEGGEQDQHCYAVGRRNPESERHRRVASHFLNKDEEIVFRQTYQTGKSEYLTLDRLVNVPEDIAWQTRELLQGKPEMLSKFIVIVA >ONIVA05G18230.2 pep chromosome:AWHD00000000:5:18941086:18946581:1 gene:ONIVA05G18230 transcript:ONIVA05G18230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPMRGCDELSSRPEETEGGEQDQHCYAVGRRNPESERHRVGVESFRSSEYSELQSSERRQTYQTGKSEYLTLDRLVNVPEDIAWQTRELLQGKPEMLSKFIVIVA >ONIVA05G18230.3 pep chromosome:AWHD00000000:5:18941086:18946581:1 gene:ONIVA05G18230 transcript:ONIVA05G18230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPMRGCDELSSRPEETEGGEQDQHCYAVGRRNPESERHRVGVESFRSSEYSELQSSERRQTYQTGKSEYLTLDRLVNVPEDIGLYHIDATVLCFCYEFWPHLPIYCAQTS >ONIVA05G18220.1 pep chromosome:AWHD00000000:5:18938087:18938299:-1 gene:ONIVA05G18220 transcript:ONIVA05G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPPPPSFLPPLRRSRLFVISLSDHATPLPVPGSWLGATEARGTGCSAVDGEPDKVAGRPVGTDHSDG >ONIVA05G18210.1 pep chromosome:AWHD00000000:5:18930781:18933902:-1 gene:ONIVA05G18210 transcript:ONIVA05G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYYRILNISRDTSPKEIRAAYKTLVRQWHPDKHPPSSKNEAEARFKAITEAYEALLDQQENRAAFGARGNVDAVDEKGDRTAAAGGGATTTGGVGGDGRAPSSAMPRAQGAEKKKAPSAAAPPPTRTAPCGTPAREFKKPVLYSSTGLGEAAGGGRRRAFAEFSSCVVRKAPPLERRVECTLEELCSGCKKEVKYTRDVVAKNGLVSKKEETKTIRVKPGWKKGMKVTFDGMGDERPGCLPGDAVFTISERKHKVFKRKGNDLVLKAEVPLVSALTGWSFSFRLIGGEKMSFTFRDEVISPGYEKVVAGEGMPVAAAAAAGEKAAAARGDLRVKFDVVFPKNLTGEQRAGLASILRACP >ONIVA05G18200.1 pep chromosome:AWHD00000000:5:18920135:18922291:-1 gene:ONIVA05G18200 transcript:ONIVA05G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEU1] MECENGYVAAAANGGGLCMEVPRADPLNWGKAAEEMAGSHLDEVKRMVAEYRQPVVRIEGASLRIAQVAAVAAGAGGEAAVVELDESARERVKASSDWVMSSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGADGHVLPAGATRAAMLVRINTLLQGYSGIRFEILEAVAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENSVAVAPDGRKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKEAKRLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHIEENVKGAVKTCVMTVAKKTLSTNSTGGLHVARFCEKDLLSEIDREAVFAYADDPCSANYPLMKKLRSVLVERALANGAAEFDAETSVLAKVAQFEEELRAALPQAVEAARAAVESGTAAAPNRIAECRSYPLYRFVREELGTAYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGAPLPIC >ONIVA05G18190.1 pep chromosome:AWHD00000000:5:18911326:18917225:-1 gene:ONIVA05G18190 transcript:ONIVA05G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G33430) TAIR;Acc:AT1G33430] MSRNPGCTVFIGNLDEKVGRVVDLHIPRDKETNRSKGYAFAEYETEEIAQYAVKLFSGLVHLHNRTLRFAISGQDKQSSNGNIPVTPKLNPIPPPKPAQLMRSSDTPASQHTVVNGRIAGISPNHSYSAHSEAPSGISSRGLSNGTYEYSRRVFGSVLNDALTERQPQSEKKAARARPMSAKAVVVLCATSFFVGLLLSGRMTLLMPPPSGSVGAASSGHGSRLSLFSDDCEHRHKLDEGNPNDIMNEVSRTHQAIQSLDKSVSSLEMELAVERAKQNGGLGAAVPSKRGRRPPRAFVVIGINTAFSSKKRRDSLRDTWVPRGERLRRLEEKGVVVRFVIGHSATPGGALDRAIDVEDAETRDFMRLDHVEGYHELSSKTRTYFTAAVATWDADFYVKVDDDVHVNLGMLTSRLARYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNRYFRHATGQIYAISKDLASYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVSNNFAAAAAA >ONIVA05G18190.2 pep chromosome:AWHD00000000:5:18911326:18913112:-1 gene:ONIVA05G18190 transcript:ONIVA05G18190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G33430) TAIR;Acc:AT1G33430] MMALTERQPQSEKKAARARPMSAKAVVVLCATSFFVGLLLSGRMTLLMPPPSGSVGAASSGHGSRLSLFSDDCEHRHKLDEGNPNDIMNEVSRTHQAIQSLDKSVSSLEMELAVERAKQNGGLGAAVPSKRGRRPPRAFVVIGINTAFSSKKRRDSLRDTWVPRGERLRRLEEKGVVVRFVIGHSATPGGALDRAIDVEDAETRDFMRLDHVEGYHELSSKTRTYFTAAVATWDADFYVKVDDDVHVNLGMLTSRLARYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNRYFRHATGQIYAISKDLASYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVSNNFAAAAAA >ONIVA05G18180.1 pep chromosome:AWHD00000000:5:18905561:18908232:1 gene:ONIVA05G18180 transcript:ONIVA05G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTTSESGSTTTDDDVHLSPTSICSGGGGGACVVVGGSRIKILCSFGGRIMPRPSDGALKYIGGETRVLAVPRSIPFSDLKKKVEEMFRTEVAAIKYQLVAEDLDVLVSVTCDEDLTHMLDEYDRFEAKRSPSASPRFRVYVFSSQPPVAAAVPSTSRHAGYAPPASHHHLLQHHLHHFQPDHYVATPDGSPPYAGHSHGAVSAGNSPRADAVGPDHPAVFGLKMQRVRSTPNLGSLDASPQHYHQHAADVGGGGGGMAGYMGGSSPVHAGAGHLVSQGGFHSYYHPHGQYAPAPVPVLHHAGVGRYDTRGGGGYVRGSNYVAAPPPPMMPVAVRSGRPVSRGGGAPPYNEMHTPKNATTIWD >ONIVA05G18180.2 pep chromosome:AWHD00000000:5:18905561:18908232:1 gene:ONIVA05G18180 transcript:ONIVA05G18180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTTSESGSTTTDDDVHLSPTSICSGGGGGACVVVGGSRIKILCSFGGRIMPRPSDGALKYIGGETRVLAVPRSIPFSDLKKKVEEMFRTEVAAIKYQLVAEDLDVLVSVTCDEDLTHMLDEYDRFEAKRSPSASPRFRVYVFSSQPPVAAAVPSTSRHAGYAPPASHHHLLQHHLHHFQPDHYVATPDGSPPYAGHSHGAVSAGNSPRADAVGPDHPAVFGLKMQRVRSTPNLGSLDASPQHYHQHAADVGGGGGGMAGYMGGSSPVHAGAGHLVSQGGFHSYYHPHGQYAPAPVPVLHHAGVGRYDTRGGGGYVRGSNYVAAPPPPMMPVAVRSGRPVSRGGGAPPYNEMHTPKNATTIWD >ONIVA05G18180.3 pep chromosome:AWHD00000000:5:18905561:18908232:1 gene:ONIVA05G18180 transcript:ONIVA05G18180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTTSESGSTTTDDDVHLSPTSICSGGGGGACVVVGGSRIKILCSFGGRIMPRPSDGALKYIGGETRVLAVPRSIPFSDLKKKVEEMFRTEVAAIKYQLVAEDLDVLVSVTCDEDLTHMLDEYDRFEAKRSPSASPRFRVYVFSSQPPVAAAVPSTSRHAGYAPPASHHHLLQHHLHHFQPDHYVATPDGSPPYAGHSHGAVSAGNSPRADAVGPDHPAVFGLKMQRVRSTPNLGSLDASPQHYHQHAADVGGGGGGMAGYMGGSSPVHAGAGHLVSQGGFHSYYHPHGQYAPAPVPVLHHAGVGRYDTRGGGGYVRGSNYVAAPPPPMMPVAVRSGRPVSRGGGAPPYNEMHTPKNATTIWD >ONIVA05G18170.1 pep chromosome:AWHD00000000:5:18902243:18902815:1 gene:ONIVA05G18170 transcript:ONIVA05G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYSNLACSSSSPPPVAAAGNGAGCRARRSLELTNTKETNAWEGLAIGAVTLARTFSTGSHRISSSSRSGAGERVGRTAGGGGGLPGAVRRAFSMRRHPAGLGKGDGYYWRIHDNMDGDSDDDGDNPAAAAAEEEERDKKEQLAESADEKKKEQLAEAADEKVSATATATPKKKKGGRIMKACKKLLRL >ONIVA05G18160.1 pep chromosome:AWHD00000000:5:18897366:18900312:1 gene:ONIVA05G18160 transcript:ONIVA05G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFAGQRSRPWMGDAAASDQAAAGGVGGGGGDVRDDGDGGAASAAAAKGLGDASTNASAISFGFAATAILISMFLLMAIFEHLIKPSLSSSSSSSSSSSSSSRASHGDGDGHGQSSSSHHAAAAAAGVSPDKLFCTPGKLEVVPAEDLTVLMPGQRYPTFLAQPAPLLPWPREGVRWPPHGHRHCFVPP >ONIVA05G18150.1 pep chromosome:AWHD00000000:5:18895338:18896456:-1 gene:ONIVA05G18150 transcript:ONIVA05G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASQHVNNFVWGKMVYPSLQRSYYRGNHQYIYNDGVVSTGKISLRGALITQEAKEWKKDRSKLIVETKPNRNMDSLRQLYVALVSQHKQAAVPPQRKAHWK >ONIVA05G18140.1 pep chromosome:AWHD00000000:5:18887232:18890657:1 gene:ONIVA05G18140 transcript:ONIVA05G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGKTRVVVVGGGIAGSLLAKTMQPHADVVLLDPKDYLEIPWAELRSMVEPSFAERSLIYHRDYLTNATIVTSSAVNITEQAVLTADGQSLAYDYLVIATGHALTSPGSRSERIKEFQRDKGKIESSESVLIIGGGPTGVELAGEIAVDYPEKKVTLVHRGSRLLEFIGDKASKKCLDWLTSKKVDVLFQQSIDLDSLSNTEKLYRTSAGETVTADCHFVCIGKPLSSSWLHDTILKESLDNKGRLMVEKDLRIKGYNNIFAIGDITDIPEIKQGYLAHKHALLVAKNLRLLIKGSPNSKLETYSPGFALALISLGRNEGLAQLPFLTLGGCLPGKIKSRDLFIGRTRKQMGLNA >ONIVA05G18130.1 pep chromosome:AWHD00000000:5:18883181:18885343:-1 gene:ONIVA05G18130 transcript:ONIVA05G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTQLKPMASSSTSPSPSRLPRSASGKAPTSPPSSSNASRRHHQQPPRASASTPATPAPSRHHLRSLSISCMTIRTDDDSPPPAATHKDKAATAKPLSYYSSMLSPRKLMQRASRAFRRSKSSRRRKSKDDVIVGVGGGGGDISASVNGKGSESSASVPSLDAITTTDDDVHGGGARQDQQEVVPEKIIHEANTPAVIAVAAVEEEEPNTIKSPEPEKEIATTATTIIEEEEVVDDDEPKKGDAAATPVPTDSPAAASSTEEDKFVAVVKEEDKFFAVVKEDDDKFVAVVKEAIKKQRDDDADGDELVRRFKGSRVKTAMEKRSEEEQPRRREMARRSNDVIEEARSKLLEKRQCSRVKALVGAFETVMDAKPAGDGAAAKPQHYHPRR >ONIVA05G18120.1 pep chromosome:AWHD00000000:5:18878052:18881162:-1 gene:ONIVA05G18120 transcript:ONIVA05G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASSPATAAAANSDDEDNYEEYIPVAKRRAMEADRLRRLRLSKPAPPSSSAAEAASDLPPPPPPPPNQPSAGGGGGGLEASAKPSLLVKATQLKRAAPEVTHTEQLIMQEKEMIEHLSDRKTLMSVRELAKGITYSDPLKTGWKPPLRLRRMPRAKADELRRKWHILVDGDDVPPPARDFRDLRLPEPMLRKLREKGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEMMMPIVPGEGPFGMIICPSRELAKQTYDVIEQFLVPLKEAGYPEIRPLLCIGGVDMRAQLDVVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPKKIQNFAKSALVKPVIVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLVFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERENAIEFFKNGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELNDPLEDEETMAKESGVKGCAYCGGLGHRVTDCPKLEHQKSMAIAGSRRDYYGGGGYRGEI >ONIVA05G18110.1 pep chromosome:AWHD00000000:5:18872146:18872487:-1 gene:ONIVA05G18110 transcript:ONIVA05G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGRAMRWRRWWKYSLPAACLALVLLAAAAARGVPFGGGGMAHTGGGRVVVASSTAAFDAAAAAASRCKKQRSSRGGATGPAAAGCGWSPPAAAAADERVVPTGSNPLHNR >ONIVA05G18100.1 pep chromosome:AWHD00000000:5:18869569:18872085:-1 gene:ONIVA05G18100 transcript:ONIVA05G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKGEEHQRRRRPFPNLYQSLASLLHIILAVITDTSSVGLAGVVWAFCSTIHMASKMAQTYLYVCDGRQAGAQLDQLLAMSPAAAAAVAKARRRLSSWAQEGQTTGVATARPDTGGEEEERRSSARSRRRRALSAARWSHQRRSRSQSASVCFSFVLALLFWNHTSTCLALSPSFPASSCFSACLNLIPKFNHISDQNFG >ONIVA05G18090.1 pep chromosome:AWHD00000000:5:18868926:18869947:1 gene:ONIVA05G18090 transcript:ONIVA05G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVDGGLDTRLSLAVGCCPPRRRPVLLFGEVLPSPEKKVAAAAVVAAGKRGREQRGEAEAEATTTRQRRSCKKGRRGRGDDDDDDGDRRSPSGGGGDEEGASRKKLRLTGEQATLLEDSFRAHNILSHAEKQELAGKLGLSARQVEVWFQNRRARTKLKQTEADCDLLRRWCDHLAADNARLRRDLAELRRSSSSPPVSGLAVATPVVCPSCAHDDKRRLAFATAAAAAGDMASN >ONIVA05G18080.1 pep chromosome:AWHD00000000:5:18855441:18859153:1 gene:ONIVA05G18080 transcript:ONIVA05G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPSRPLASSSSSSSSRVFSFFLAPRVFLFLVVVVVVVFLPGRSSCWWLEGTEELEEEMGFAGDCSPVSGGGLRGKIQEEEEEEEKDDKFFMARENGKFSYGYASAPGKRASMEDFYETRIDGVDGETIGLFGVFDGHGGARAAEYVKQHLFSNLIKHPKFISDIKSAIAETYNHTDSEFLKAESSHTRDAGSTASTAILVGDRLLVANVGDSRAVVCRGGDAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEIVDSSLEFLILASDGLWDVVSNKEAVDMVRPIQDPEQAAKRLLQEAYQRGSADNITVVIVRFLEGTTTGGGPSREAASDQNS >ONIVA05G18070.1 pep chromosome:AWHD00000000:5:18847434:18849428:-1 gene:ONIVA05G18070 transcript:ONIVA05G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAAAARSLRAGVEKSRALGQALARAGPRVEEIQLALPALEAAVRPIRAPRAELAAAGPHIDRAVGPAAAVLKVFDAVHGLEPPLLAAGAVAGDLAGYLAVLGRLEEALRFLSDNSGLAAQWLADIVEYLGDHDLADPRFLADLAVTLEGLKKPSGDLDGGLLAAALDMLEAEFRRLLADHSAPLPLAMQQLNTTSASTAAPSLIPAATVHKLSLILDRLIANGRQDRCLSVYADARGGVVSASLRALGLDYLRNPVDVAQALGPGVELWGRHLEFVVRCLLESERQLCNKVFGQRKDDASACFADVAAHAGILDFLSFGRAAADAKKDPIKLLRLLEVFDSLNKLRLDFNRLFGGKKACVEIQNQTRDLVKLLVDGAVEIFEELLVQVELQRHMPPPPDGGVPRLVSFVVEYCNRLLSEKYRPVLAQVLTIHRSWRKETFSDKMLVNAVLNIVKALEANFDVWSKAYDNVTLSYLFMMNTHWHFFKNLKATRLGELLGDAWLQEHEQFKDYYLTVFMRDSWGVVSPLLNREGLILFSKGRATAKDLVKQRLKTFNASFDEMFRKQSAWVIPEKDLREKTCGLVVQAIVPAYRSYMQNYGPLVEQDVSASKYVKYTVDGLEKMLSALFIPRPRRAGSFQIRHTNDKITSAMTGFYRSASTVK >ONIVA05G18060.1 pep chromosome:AWHD00000000:5:18839717:18845563:1 gene:ONIVA05G18060 transcript:ONIVA05G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLPELRSPPPPPPPPPPTNDDRARAVDLPSPTPECDDRSPRRELGRSPEDHGVPLPPPPPLGSSRPERLASDRPEEGASAAAQPCGGRSESPTARSMWPRRLSPASLPPRGGRRSESPTPRSIWRRLSPSPPPPLPPLPPKRPRLDGRRSPPRGGRFGFEHERGRERSMNTSRRAPDCLDSGCDAPYNGQSNTRRKGLMTYKQFTQKLEDDVSPGEAESRYQEYKTSYITSQKQDYFDHHKNEDRLKDMYHPTNLLSVIERRNELCKAAAKNLILDLRSGTLDLGPGMTAGTASKSGNDSDGIPADDEDYHNKRRRHHRGPLEETELVSVAPKAHPVSSHYRRIQTDIHQTLALVKKLDEEKGIVGNILTTGDHTKSNGDKSYAGSTGPLVIVRGLSTVKGLDGFELLDTLLTYLWHVHGIDYYGMSESTNAKGLRHVRADTKNANMDKSSAADWEKKLDYFWQERLTNGKDPLVALTAKDKIDASADKVLESYVTKVKDDNYGWTYGCGAKGCIKVFHAPDFVLKHLNLKHPDLVSKLTSRVQEDIYFQNYMNDPNAPGGTPVMQQQSPEQQGPTPSELTPGAFGGQGSFVEMPTPPVLIPVPGAGPLGPFVPAPPEVVMQMMRPVMPMYPPRPPNPRRLRSYKDLDAPDDEVTLVDYRSL >ONIVA05G18050.1 pep chromosome:AWHD00000000:5:18833432:18836533:1 gene:ONIVA05G18050 transcript:ONIVA05G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMEAALPEDLLANVLGRLPPCSLAVSRCVRKDWRALIDNRRLLRADLLPLRLDAFFFKGQILTSHPYFFSSRSTARRIGGRLDFLDTFNDEDLLIMDHCNGLLLFFERLANPATRQWMHLPTFPMSPCVTLGLRTSFCLVYDPMVSPHHFEVFCVPLVPENIFYRSSDNLDPDSNSSVDQESLEWPLSSRCTTHVFSSRKWRWEERSFVRQQGVEPANETIADLQFHPQQFQRRALYLKGEIYVQCKNNSLMRITLSNDKYQMIKSPVESKIDDGNGVLQLGKSEKGVYFVLLMKDNNFPQFQVWLLNKSSSCGGQVEWALKTNISLEAIMDNFPLNTDNSFSRPWILNYVTEEAIRRAQEEEEELEWDFENGIILETKDKTEAHHLNNIYFIGFHPYKEIAFFWVSSSRVISYHLNTSKVQELGILFHLPGIAQSFLYTPCWMELFENNN >ONIVA05G18050.2 pep chromosome:AWHD00000000:5:18833432:18836533:1 gene:ONIVA05G18050 transcript:ONIVA05G18050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMEAALPEDLLANVLGRLPPCSLAVSRCVRKDWRALIDNRRLLRADLLPLRLDAFFFKGQILTSHPYFFSSRSTARRIGGRLDFLDTFNDEDLLIMDHCNGLLLFFERLANPATRQWMHLPTFPMSPCVTLGLRTSFCLVYDPMVSPHHFEVFCVPLVPENIFYRSSDNLDPDSNSSVDQESLEWPLSSRCTTHVFSSRKWRWEERSFVRQQGVEPANETIADLQFHPQQFQRRALYLKGEIYVQCKNNSLMRITLSNDKYQMIKSPVESKIDDGNGVLQLGKSEKGVYFVLLMKDNNFPQFQVWLLNKSSSCGGQVEWALKTNISLEAIMDNFPLNTDNSFSRPWILNYVTEEAIRRAQEEEEELEWDFENGIILETKDKTEAHHLNNIYFIGFHPYKEIAFFWVSSSRVISYHLNTSKVQELGILFHLPGIAQSFLYTPCWMELFENNN >ONIVA05G18040.1 pep chromosome:AWHD00000000:5:18821531:18823433:1 gene:ONIVA05G18040 transcript:ONIVA05G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMLRFPVDPDLRSPPPPPPPPPPPPPRDDGHRTRVDLPLFHPPPPPSPTPGLKRDRSPEGELLPLPPPLGSSRPDRLSDHLEGAAAPPRAEMRSASPTPTSERSPSPPLRLDDPVGCRSPPRGERFATIGLEKERAREMDRDTSYCFNYGYDGLCNGQGNAQSDPNAKGGMTVEEQPVPVYIALDY >ONIVA05G18030.1 pep chromosome:AWHD00000000:5:18817527:18819556:1 gene:ONIVA05G18030 transcript:ONIVA05G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAAPPYPSESKLAAAEVAPCAWGRVVAGSAAASPPAHSRSSQSRPPLPVQEPCWIRRHAARIHTGHVPAQLDPRTWGAERRPDAPSPRLPPVYPLWIVQNSAHWRHCWCIVANTIKLRVVSLLHSVEQLWPRASHRVTGHGATRLSCPTPATTTTTTSSNLCSFLNRVVSAWLVCAVLTLFLFNLLWFYPVDALWNAALRSVVTSGKLGKHPSMAGGGEEARCDYWEGRWVAPIVLAFLLLAVRLALPKNAAKEVAYSDLLTGLRAGDVTAVASASAARWLCYTRRVPHDEGFLLGLMRDGGVDYRSAPRLAGRLLVYMLSTLLALWVDWWSGGGGRVLRSPMTGEAAGEVEKERKG >ONIVA05G18010.1 pep chromosome:AWHD00000000:5:18767218:18769758:1 gene:ONIVA05G18010 transcript:ONIVA05G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRNRDPPPPSSPVRDPRQPSSAALSLAFARRATAQTLRAQLLPSMAWRVPNFIAAPLLRRRRVGTTEADEAVQTATEVRGACFPIPLGGLAAALLPVIWVYLLENIIEYGIKVIVLGHSNPRPAIKLIHDFLVQCHGNGGLPHTPKSNNGHNSRLLTSSAVHPLLKILHGLLHPYRLIHTKLMACELILSNVIRRRIGRALAFLGIWIFHSVSYASVSNQLRPDLKLSNTGCNLTARPERPEGQHFASKESLGRRVFPGEVKKEGLHAGELVTDVLDVTTDAPDQIILLGEKVAQLAQERVHGGSRSTNHQLHLRCINSKQTQGQSELPMYRTS >ONIVA05G18000.1 pep chromosome:AWHD00000000:5:18763626:18770947:-1 gene:ONIVA05G18000 transcript:ONIVA05G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNIKNTKMELVVGASTATMDSLLGKLGNLLAQEYDLIRGVRGDIQYISDELASMKAFLLDLAREDPDNRKKHWMKQIRDMAYDCEDCIDDFAHRLPNDSLDAKCCPWIVTLVYDLWTFGPRREIASSIAELKVRAQLIADRRIRYGVENPNTQKGKGPPDATSYDIAEDQLASHELGMNEPVGMEKAMKDLEEWVDGTACQEPAVVSIVGFGGVGKTTIAMALYKKVMYQFDCRAWVTVSQNYDLDAVLNDILKQIDPDYRQQCSSKTGTSENIKTLARFGSKLKRDVQRTGSLRQSSPRSIEETSNPKRTETTDNKLESQIKKLLDKKRYIILVDDIWSAKTWKTISDYLLLTDNKERSRIIVTSRFQAVGSTCCRPESKDLLYPISFLSPRDSKELFNRSVSESKSTKDRHKVQNNVPGDLWKRCGGQPLAIVTMAGLVACNQDQPKSYWAGLHKLLPEEVSITAGAQEQETSLNLDGVTRILDCCYNNLPGYLRTCLLYLAIFPKGWKISRKCLSRRWIAEGFVNAKQGLTAEEVAESYFNHILRRKLIRPVEHSSNGKLKTFQVHDMVLDYIVTKAREENFITVVGGHLMMIAPSNNKVRRLSMQSSSSKHGDSTKGMNLSQVRSLTVFGSLTQLPFHAFNDRIIQVLDFQGLKGFKNRHMKHICKMFVLKYLSLRGTDITHVPPTIVKLEYLETLDIRETRVKELPKEVEQLKLISRILGGSKNKNPRKGLRLPQEKSKKQQHKSMLTQDKEKEGMKALRILSGIKIDETTAVAGLHQLTGLKKLTIYKLKLNPEEPGTRKILTELRSSIEYLCSCGLQTLAINEEGQSNFINSLGNMSAPPRYLVALELSGMLKKPPGWIKTLRTLSKLTLSLTVLRTDTLEHLRALPLFSLTFSFSFGEMEKDQDKKMKDIIEDNKSLSDGEIFVPGEGFKSLKLLRFFAPLVPKLGFCHNAMPALEMIEMQFQAFEGLFGIDTLGNLKGVRLREAKPREEDKQTAQINDLLVRDLKDSTEGLKVIIDHTFTS >ONIVA05G17990.1 pep chromosome:AWHD00000000:5:18752553:18754252:-1 gene:ONIVA05G17990 transcript:ONIVA05G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAITEHMPQLLFVTNHFRYIFCRDEQKMEHTTDGTTHPYPPHPSPNDEVAGGDSRKGSTGERPSRRLDLEASSTTKGACLSSAHGLHRLPFHRCRSAPRWRAIRRSQHPSSSSAAAVLPLSAGGLQIATIAHACCPRPPPVEDAEEGQSENPDAA >ONIVA05G17990.2 pep chromosome:AWHD00000000:5:18752966:18754252:-1 gene:ONIVA05G17990 transcript:ONIVA05G17990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAITEHMPQLLFVTNHFRYIFCRDEQKMEHTTDGTTHPYPPHPSPNDEVAGGDSRKGSTGERPSRRLDLEASSTTKGACLSSAHGLHRLPFHRCRSAPRWRAIRRSQHPSSSSAAAVLPLSAGGLQIATIAHACCPRPPPVEDAEEV >ONIVA05G17980.1 pep chromosome:AWHD00000000:5:18747066:18747785:-1 gene:ONIVA05G17980 transcript:ONIVA05G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLIVREYFTDIDENDWVNFYSTVSQMTAGGSKVVIISRIENLARFGTAKAVHLNSLSQEEYSYLFKMLATDQKDHPKMVSVANDLAVVLGGSLITANMISDMLRRNHNVHFWLRILRRFERMVKNNFLKYGEHPKDIIEKEQPVDSTEFMTSYPTHACILVKPPRVERDDIPNYKKPSISFKEVIARSVAISGGDFEIATWESRISPYTKYVSSATALFHDKNGSTTTTRKRRSTS >ONIVA05G17970.1 pep chromosome:AWHD00000000:5:18724880:18731715:1 gene:ONIVA05G17970 transcript:ONIVA05G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRQLLPVSFEADDGSDGVDPPGVAGARSNFWKCGRGQAEGGGAMPTLSGWKDLPIELLLRIMSIVGDDWMLVVASGVCTGWRDALGWGLTNLSLSRCQQNMNNLMISLAHKFTKLQVLTLRQNIPQLEDSAVEAVSNYCHDLRELDLSRSFRLSDRSLYALARGCPQLTKLNISGCSNFSDTALTYLTFHCKNFKCLNLCGCGKAATDRALQAIARNCGQLQSLNLGWCEDVTDKGVTSLASGCPDLRALDLCGCVLITDESVIALATGCPHLRSLGLYYCQNITDRAMYSLANSRVKSKRRRWDSVRSSSSKEEDGLANLNISQCTALTPPAVQAVCDSFPALHTCPGRHSLIISGCLSLTSVHCACALHPHRAGRTMVPSHAY >ONIVA05G17960.1 pep chromosome:AWHD00000000:5:18694242:18698300:-1 gene:ONIVA05G17960 transcript:ONIVA05G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HER2] MGSLETRYRPAGAPSDDTTKRRTPKSRIYKDVENFGVLVLEKNSGCKFKTLRYLLLAITSATFLTLLTPTFYEHQLQSSRYVDVGWIWDKPSYDPRYVSSVDVQWEDVYKALENLNVGSQKLKVGLLNFNSTEYGSWAQLLPGSAVSIVRLEHAKDSISWDTLYPEWIDEEEETDIPACPSLPDPNVRKGSHFDVIAVKLPCTRVGGWSRDVARLHLQLSAAKLAVASSKGNQKVHVLFVTDCFPIPNLFPCKNLVKHEGNAWLYSPDLKALREKLRLPVGSCELAVPLKAKVRLYSVDRRREAYATILHSASEYVCGAISAAQSIRQAGSTRDLVILVDDTISDHHRKGLEAAGWKVRVIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDDDSAKAKKTELFGADPPILYVLHYLGMKPWLCFRDYDCNWNIPLMREFASDVAHARWWKVHDNMPEKLQSYCLLRSKLKAGLEWERRQAEKANLEDGHWRRNITDPRLTICYEKFCYWESMLLHWGEKNPTNNNPVPATISSS >ONIVA05G17960.2 pep chromosome:AWHD00000000:5:18698307:18699062:-1 gene:ONIVA05G17960 transcript:ONIVA05G17960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HER2] REAEEHKPSLTSPAFFFIIIFFFFFFLPLRRFRFAFAADEKRRLGGGGGGVILLSRERKKRERERERRGEEA >ONIVA05G17950.1 pep chromosome:AWHD00000000:5:18689771:18699227:1 gene:ONIVA05G17950 transcript:ONIVA05G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTYPTPSPHLPQAKQSSRLAPSRAAPRRDADASSRASSPRLAAPRRRHPHARIAEAGRHSGRFGELGEPADGRSGGGVGGGGGGGGGGGGVEMVSAASSRAGGGPARHAPRGGAGPGSPRVSAQRRRWWWWAAPLPSASGASSLERVALAFFLASVALVLSCALYLYVFRYLGRGSAVAGFVGGDLEPCDVFDGAWVPDAGYPLYNSSLCPFAERGFNCLANGRRDTGYLKWRWKPRRCDAPRFTARAALERLRGKRVVFVGDSMSRTQWESFICMLMAGVDDPKTVYEVNGNEITKTIRSLAVRFASFDLTVEFFRSVFLVQQRPPPRHAPKRVKSTLRLDRLDNISRKWVNSDVLVFNTGHWWTPTKLFEMLAKDGSVTADS >ONIVA05G17940.1 pep chromosome:AWHD00000000:5:18679935:18680297:-1 gene:ONIVA05G17940 transcript:ONIVA05G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACEASSKPHDAARGGGRGLKAKEPISWAHSSASRPGPEVKRADSVGPTRQPLGPAPAPRHRVWGGIKEPPSQRRERLLPPKILILSIDQTAAQAQALTSLLLRGIPNRPAGSPASSA >ONIVA05G17930.1 pep chromosome:AWHD00000000:5:18676466:18678583:-1 gene:ONIVA05G17930 transcript:ONIVA05G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTCLPPGFRFHPTDVELVSYYLKRKIMGKKPLIQAISDVELYKFAPWDLPAQSCLQSRDLEWFFFCPRDKKYPNGSRTNRSTPNGYWKTSGKDRTIELNSRIVGSKKTLIFHEGKAPKGNRTDWVMYEYKMEDNQLVSAGFSKDDFVLCKIFKKSGLGPRIGEQYGAPFNEEEWEHADAEMFPLLPNVETSVFPLLPSSEVVNSTDDTRVQPSVAARAIEELPVQHLPHVCAGNGSTYQNITVTGESALMELPSQHSVESIGDEVVSVDNCSNVVNNADSPVIEGLVLEELSRFLTDSPHHGNPVGEHSGLPPMSEAEAHAFEVSTNDLYNEIAGLAELGVPNGDGFSPSNAGVTEQQPTYFGVPNSENYVNMDDIFAPDTRLSYAYPLPNNQFWHYPMDQFTYSTTLSAAFPSGDSRPTMRIVDDLPAAANNGGFASKPSMQFPLS >ONIVA05G17920.1 pep chromosome:AWHD00000000:5:18673579:18675728:1 gene:ONIVA05G17920 transcript:ONIVA05G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKLRKAIGAVKDQTSIGLAKVGSGGAAASELDVAIVKATRHSESFPADERHVREVIALTLHSRAYVGACVASLSRRLGRTRSWAVALKTLALVHRLLADGDQAFEQEVFYATRRGTRMLNMSDFCDRSRTDAWDFSAFVRTYAAYLDDRLEYRMQAKHGGAARPGQPLREQLYASPGNRFNYDDFIMRDDEADKAVALVARETPTSEMTLEQLLAKAQQLQHLLDRFIACRPVGAAKTNRVVSVSLYPLVKESVQLYCELTEVMAALVEQFPEMEADDCERVHAVFCGLAKQLDELEALYTWCKDAYVCRQSDVPEVELITQKKLELMDEFVRDRRAAAAQSPEPPRREASPVVEDDVSATKALPAPEEPVAAAQEEQNAGETVPAEPEAPPLIADGDADFLNLKGDAMSGEEHGRQLALALFDGNPAGSAPAPDAFDPSSTDWETALVQSASALAHQRAELGGGLSMLVLDGMYSQAAAASTAANAQAFSGSASSVAMRPPGAPMLALPAPPGTSGASGDPFAASMAVAPPAYVQMSDMETKQHQLVEEQMVWQQYGKNGMSGQGALAMLEQQRPPQQQMQMQMLPNGGYNYAGYHRSS >ONIVA05G17910.1 pep chromosome:AWHD00000000:5:18667781:18673527:1 gene:ONIVA05G17910 transcript:ONIVA05G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEQ6] MEDLAKFLFGVSGNVIALFLFLSPVPTFWRIIRRKSTEDFSGVPYNMTLINCLLSAWYGLPFVSPNNILVSTINGAGAVIETAYVVVFLVFASTHKTRLRTLGLAAAVASVFAGVALVSLLALHGQHRKLLCGVAATVCSICMYASPLSIMRKLLGLDFVAITRLLIGFHGESIQRLVIKTKSVEYMPFLLSLAVFLCGTSWFIYGLLGRDPFVTIPNGCGSFLGAVQLVLYAIYRNNKGAGGGSGGKQAGDDDVEMAEGRNNKVADGGAADDDSTAGGKAGTEV >ONIVA05G17910.2 pep chromosome:AWHD00000000:5:18668007:18673527:1 gene:ONIVA05G17910 transcript:ONIVA05G17910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEQ6] MEDLAKFLFGVSGNVIALFLFLSPVPTFWRIIRRKSTEDFSGVPYNMTLINCLLSAWYGLPFVSPNNILVSTINGAGAVIETAYVVVFLVFASTHKTRLRTLGLAAAVASVFAGVALVSLLALHGQHRKLLCGVAATVCSICMYASPLSIMRKLLGLDFVAITRLLIGFHGESIQRLVIKTKSVEYMPFLLSLAVFLCGTSWFIYGLLGRDPFVTIPNGCGSFLGAVQLVLYAIYRNNKGAGGGSGGKQAGDDDVEMAEGRNNKVADGGAADDDSTAGGKAGTEV >ONIVA05G17890.1 pep chromosome:AWHD00000000:5:18649029:18650493:1 gene:ONIVA05G17890 transcript:ONIVA05G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGQAGEEAARVRPLGRSTWGERRRSLERAGCLLVRREAPRWRQAMEGGGRQEWSWAAAAGGRGRPPWPAAEVARHPRMKPSRGSSGWRRLALSRKHEGSKSPMLQPLVVAESCYFTMLLIS >ONIVA05G17880.1 pep chromosome:AWHD00000000:5:18637574:18641336:-1 gene:ONIVA05G17880 transcript:ONIVA05G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSRLDAADASPVAALCRERRDLLRAAAERRAALAAAHAAYFRALPRVADALARFAEQHHAATPPGSPVLTLPPSEPDEHKKRSASSSTLHTDSGHSHLHFHTDGGSDSEPNSADDDCACGAAAAAAAAGHGVRGEISPPAEELQERRIPEPGASSRLQMPWEYAPYDPYPSSFPNVTFPNYYYMKASSTPANTVYQEPYGYGNFAANVSYSGYDYGYSNPMYGIPVPPDGERLAEDRAREAAAAAAPAPPPPMPMPEVSPWDFFNPFDSYDYNQQLPQYKDANGSFTSSPNSSEVREREGIPELEEETEQESMRESIKARKAVESTASTRIDNADAVNAKAKTASMEHKECEIESVGSASVLDSGEESVCSCECDHADGNAGAGAATAAPAGDDPRMVKKVASEEHSSMVVAEDVLPKNFGTRDVADVVNEIKEQFNSVVACGDDVARILEVGSMRYRPRRRIVRLVFSRLMGAFALLFSSVSEPPVENLEQTALSASGRNHNSSQRIGSASDIEFNTLSSVMDRLYVWEKRLHKEIMEEEKLRITYDKQWKRLKELDDNGAEPYKIDSTRASIRTLLTRINITIRSAKVISRRIHILRDDELHPHLVKLIQGLVRMWKFILECHRKQFHAILETKSHVLIPKNGPERNSKITFELEMELLNWCSCFSNWILSQKAYIETLNGWLVKWLPEEKEETPDGIAPFSPGRLGAPAVFITANDWCQSMKRIPEGTVIGAMEAFAVNVHMLRERQDEEQQQKLKADYLSRDYAKRLKSFQKEHGLAGHHEADKTVLPVAENNRAVDSRMVALDALHKRLDEQRSRHEEAVNQIQESSATDLKAGLGPIFEALESFTQDTLKGYENVRIPINGCGA >ONIVA05G17880.2 pep chromosome:AWHD00000000:5:18637574:18641336:-1 gene:ONIVA05G17880 transcript:ONIVA05G17880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSRLDAADASPVAALCRERRDLLRAAAERRAALAAAHAAYFRALPRVADALARFAEQHHAATPPGSPVLTLPPSEPDEHKKRSASSSTLHTDSGHSHLHFHTDGGSDSEPNSADDDCACGAAAAAAAAGHGVRGEISPPAEELQERRIPEPGASSRLQMPWEYAPYDPYPSSFPNVTFPNYYYMKASSTPANTVYQEPYGYGNFAANVSYSGYDYGYSNPMYGIPVPPDGERLAEDRAREAAAAAAPAPPPPMPMPEVSPWDFFNPFDSYDYNQQLPQYKDANGSFTSSPNSSEVREREGIPELEEETEQESMRESIKARKAVESTASTRIDNADAVNAKAKTASMEHKECEIESVGSASVLDSGEESVCSCECDHADGNAGAGAATAAPAGDDPRMVKKVASEEHSSMVVAEDVLPKNFGTRDVADVVNEIKEQFNSVVACGDDVARILEVGSMRYRPRRRIEEEKLRITYDKQWKRLKELDDNGAEPYKIDSTRASIRTLLTRINITIRSAKVISRRIHILRDDELHPHLVKLIQGLVRMWKFILECHRKQFHAILETKSHVLIPKNGPERNSKITFELEMELLNWCSCFSNWILSQKAYIETLNGWLVKWLPEEKEETPDGIAPFSPGRLGAPAVFITANDWCQSMKRIPEGTVIGAMEAFAVNVHMLRERQDEEQQQKLKADYLSRDYAKRLKSFQKEHGLAGHHEADKTVLPVAENNRAVDSRMVALDALHKRLDEQRSRHEEAVNQIQESSATDLKAGLGPIFEALESFTQDTLKGYENVRIPINGCGA >ONIVA05G17870.1 pep chromosome:AWHD00000000:5:18631347:18631965:-1 gene:ONIVA05G17870 transcript:ONIVA05G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPHIGLTKSKLARRVTLARYTHTPPVKKKGTILLPTVPARSRGRAAPPTGTPPTAYAKNLQNHRENPARATVISAAPRGSPPPTDRHGDTQKNLQNHRENTARHLLATFPTTSPSSSSARARLSLSKEWTAC >ONIVA05G17860.1 pep chromosome:AWHD00000000:5:18627964:18631168:-1 gene:ONIVA05G17860 transcript:ONIVA05G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEQ1] MGGARLGRWVEGMSADNVKGLLLALSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWAGMTAMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHIFGILGCILCVVGSTSIVLHAPPERQIESVAEVWDLATEPAFLLYAAIVLAAAFVLIFHFVPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLVYPQMWVFLLFVVACIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQDPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPNLPIRLPKHAEEDGYAAEGIPLRSAADGIPLRSPRATESFRATL >ONIVA05G17850.1 pep chromosome:AWHD00000000:5:18624567:18625022:1 gene:ONIVA05G17850 transcript:ONIVA05G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGNGMAMPPPPAPVKKARYMHMTFFWGKNTEVLFTVWPGARGGMYALAILFMFALAVLLEFRGYRVLEARLTRRRAPRAAAALHTAVHAVRVGVAYLIMLALMSFNGGVFLAIVAGHAAGFLAFRAGLCGGGPAPPLEEDRKNDPVCC >ONIVA05G17840.1 pep chromosome:AWHD00000000:5:18612889:18614451:-1 gene:ONIVA05G17840 transcript:ONIVA05G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELSPVLLLLPFLLLGFLYLTGGVLRSGGNARRRLAPAPRGLPVIGNLHQVGALPHCALRALAAATGAPHLLRLRLGHVTALVASSPAAAAAVMREHDHVFATRPYFRTAEILTYGFKDLVFAPYGEHWRHARRLCSEHVLSAARSHCYGPMREQEVALLVNAIRTEAAAAAVDVSKALYAFTNAVICRAVSGRLSREDEGRSELFRELIEENATLLGGFCVGDYFPALAWADAFLSGFAARACRNLRRWDELLEEVIAEHEARLRGGDDGGGEEHREEDFVDVLLALQEESQRHDGSFKLTRDIIKSLLQDMFAAGTDTSFITLEWAMSELVKNPAAMRKLQDEVRRGGGATTAATPYLKAVVKETLRLHPPVPLLVPRECARDTDDDATVLGYHVAGGTRVFVNAWAIHRDAGAWSSPEEFRPERFLPGGGEAEAVDLRGGHFQLVPFGAGRRVCPGMQFALATVELALASLVRLFDWEIPPPGELDMSDDPGFTVRRRIPLRLVAKPVGSEDDKK >ONIVA05G17830.1 pep chromosome:AWHD00000000:5:18597430:18601085:1 gene:ONIVA05G17830 transcript:ONIVA05G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHTKFNPNYISICNPASCLSLIFTSLFLSWKRVRGSRRGDFCKEMGENGVVASKLCYPAAAMEVVAAELGHTAGSKLYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDESTGKRNYTYMDAVNANLSGIKVQVCGFLQYANIVGVAIGYTIAASISMLAIKRANCFHVEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGVVQVVANGGVKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESRVMRRATVVSVAVTTLFYMLCGCTGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSWYITKDIDVPLSLSGGGGGGGRCYKLNLFRLTWRSAFVVATTVVSMLLPFFNDVVGFLGAVGFWPLTVYFPVEMYIVQKRIPRWSTRWVCLQLLSLACLAITVASAAGSIAGILSDLKVYKPFATTY >ONIVA05G17830.2 pep chromosome:AWHD00000000:5:18598842:18601085:1 gene:ONIVA05G17830 transcript:ONIVA05G17830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGVVASKLCYPAAAMEVVAAELGHTAGSKLYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDESTGKRNYTYMDAVNANLSGIKVQVCGFLQYANIVGVAIGYTIAASISMLAIKRANCFHVEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGVVQVVANGGVKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESRVMRRATVVSVAVTTLFYMLCGCTGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSWYITKDIDVPLSLSGGGGGGGRCYKLNLFRLTWRSAFVVATTVVSMLLPFFNDVVGFLGAVGFWPLTVYFPVEMYIVQKRIPRWSTRWVCLQLLSLACLAITVASAAGSIAGILSDLKVYKPFATTY >ONIVA05G17820.1 pep chromosome:AWHD00000000:5:18593674:18594099:1 gene:ONIVA05G17820 transcript:ONIVA05G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASEGTRKKRRSVALREAMAGLPEVQSWCLPPLLRFALSATARSHAAFALRRSAPLAAAPSHDASAGRRSAPLAATRCYSTVAERRKERREQSYSPAQLRLAATTTPAAAASPVARNPLPPHPMPPSVSSPPVDRSTREK >ONIVA05G17810.1 pep chromosome:AWHD00000000:5:18593290:18593646:1 gene:ONIVA05G17810 transcript:ONIVA05G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVALREAMAGLPEHGDSRVRYGDAWRRASEGSEGEPAAAVAKEAVDGDGREQDDGGKGVGRRHQVMQWKVSAVSRLVPAAPRCQWKVLAVSRRHRRRRHRRCLTPPPPPPTPTPFP >ONIVA05G17800.1 pep chromosome:AWHD00000000:5:18581969:18583900:-1 gene:ONIVA05G17800 transcript:ONIVA05G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLALLRAVAMALLLLLARSMEGVDGAGGGGNATCPLDLSYVTTFPWDAELCAGGAGNMTRCCNTLLSVLAIGLAEQVRATGHFRIPSVGESAACLKDYGAKLSAAPLSLPGASLVQTCFPSPEQFVSSPSFCAGVTTAAEYRAVVGNDSVAALDSACGDLSSTPHCLRCLDAGIAATSRLKAAANISANATTDGAATTRNCFYLTVTYAAGISNVAGPTNPPTAACTLGLALSTPPAAPPKSHDTVIYATAIPVAFLLLASLLAFLVWRRHDKKKKKKIHEISKEGSAERRSHPRPNTGSILYDIAELSKATDAFADRNLVGRGGFGAVYRGVLADGSVVAVKKMLDPDVEGGDEEFTNEVEIISHLRHRNLVPLRGCCIVDDDAEEGKQKFLVYDFMPNGALEDFIFRDGKRPALTWAQRRSIIMDVAKGLEYLHYGVKPAIYHRDIKATNILLDGDMRARVADFGLARRSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLVLEVLSARRVLDMSAPSGPVLITDWAWAHVKAGQAREVLDGALSTADSPRGGAMERFVLVGILCAHVMVALRPTITEAVKMLEGDMDIPELPDRPLPYGHSAMFSEAGSNFSASPAFSGPFIDNGDMLR >ONIVA05G17790.1 pep chromosome:AWHD00000000:5:18579068:18583188:1 gene:ONIVA05G17790 transcript:ONIVA05G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHARERERERAVSISGAPALPHRESPPHLHSFHGDLPPPPPPLHPPLLLHSNHGVQSSTPPTIPTLKTGRTATPQPSCFFESEVKICFLVCVLGVEEEEELDMGRGKIEIKRIENSTNRQVTFSKRRAGILKKAREIGVLCDAEVGVVIFSSAGKLSDYCTPKTTLSRILEKYQTNSGKILWDEKHKSLSAEIDRVKKENDNMQIELRLVHMKGEDLNSLQPKELIAIEEALNNGQANLRDKMMDHWRMHKRNEKMLEDEHKMLAFRVHQQEVELSGGIRELELGYHHDDRDFAASMPFTFRVQPSHPNLQQEK >ONIVA05G17780.1 pep chromosome:AWHD00000000:5:18574906:18575731:-1 gene:ONIVA05G17780 transcript:ONIVA05G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSNSSCWCGRLVAPARLNPCLYEVSSSLTIIYPRAMSMEDNACHFSRVESDTSVGNGMRALCPGSTRLRRCGQVLPYPIETHAFYWAMAGGPRVQLGMVNPIHRVVAWIK >ONIVA05G17770.1 pep chromosome:AWHD00000000:5:18569042:18572613:1 gene:ONIVA05G17770 transcript:ONIVA05G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCQMKAQDMVSRSSCSKNKVFGSLPVDSIPEIDPSYRLFLEHLREDGNGYVLDAPRGDHGSPVFLRYAQDHASNGYANAKSGTNITKSSLRRSHCNQNSEGPGVTSDKAGSANAGHCFSLGTSFAMETSEIDESYATFLRLLKIKNGLMVIEPEPGVTIVYGQAEETPVGYDELRIATSTNGRDSLMTAFENMGEENAMNTDGDGLGKINNSASEREMDGLALENMGGQDLVCIDEHGLPPCTELSDLNNLCHEGAYMPWIDKPLACNPIDADDYETDDPTAIEIIQDGD >ONIVA05G17760.1 pep chromosome:AWHD00000000:5:18566992:18567307:-1 gene:ONIVA05G17760 transcript:ONIVA05G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVCLNGSFQCQGSISQDSHASPTTYVDPRRQQFVCHHSSSSVGMGTWIWAPSSHVFPNSLFHAEFVVRVELTLLRFNDELRGQLLLSMGMLTPKFVTQ >ONIVA05G17750.1 pep chromosome:AWHD00000000:5:18561815:18566256:1 gene:ONIVA05G17750 transcript:ONIVA05G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLILNISRSGTHLSTPLVPCPSRCLVADPPSPEPHRIVLNFPEMSHAFYGFFGLLSVVSSCW >ONIVA05G17740.1 pep chromosome:AWHD00000000:5:18548222:18555246:-1 gene:ONIVA05G17740 transcript:ONIVA05G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPVVPPRAPAAHAAVAAPKPPGDAVAAEGEARAPPRPPPAVAAGAGAGASGGGRRVFSVELRPGETTIVSWRKMLKEAGLGAALPPPLPAAAAQPAVAPLPGPSGATHPTENDCAQSNRFNSVIEKIERLYMGKNSSDEEDLDDAPDDDQYDTEDSFIDDDELDEYFEVDNFATKHNGYFVNKGKLEQIDFDSVQTVEPKKRRRKDSSSSYIENNKEFAPGSSSYMGTPLRDSKRSTLQTGKSTSNGHKSGANGTFEYPYSAYRDKDAPGHLGLQQKITSNGANQDLSKNMHHKEKYNAGQFSGLHASSNIYSTETMHLATKIHTEGSGTKTKGTRLERAIRDLQNIVTEYKPQILDVHEAEANCQVAVKRRLPQEVKQKLAKVARLSANQGKIPEHELINRLMGIVGHLVHRRTLKRNMKEMVQSGLCAKQEKAGKLQQVKMEIYEMVKARLATKPKGAEHKVESIDGFQDPVTHDDRMALRGKSVMDAVLEDRICDLYDLYVEGMDEDKGPQSRKLYLELANLWPHGDMDKVGIRDAISRSKERRNLLYRQRKVRNDQRMKRRRLAAAAKLRDSDPAAAPQSAQSLQNMTSTHTMYPVVNNGNSQSSRSVDKVNEMSVGAGSDGNRSSTSMKKRKIDSEDRQVNPPKATAELHHHGIEIQKPAKRADEATKVSNLPQTLLAIPSSDSRPSSS >ONIVA05G17730.1 pep chromosome:AWHD00000000:5:18546385:18547027:-1 gene:ONIVA05G17730 transcript:ONIVA05G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSPLFFPSRRWHNLRRSLGVERRGHWRLAMEHQLSGKLGRRTAIAEAFVAILLLGPFPTQPQRGKEAACGGGEGMRGRERRPASLGGRGRQLSSPSELTQPACAGDGDQETISSFANSPSLAGDAIPSIVDHLRGTAVVAAENGGAPCPIHCLPLCLARVPPRAFIEAETDLDFGRLVDEEEDHDEDVLQKLVPDIRCDSSPRPSWRCK >ONIVA05G17720.1 pep chromosome:AWHD00000000:5:18535972:18545618:-1 gene:ONIVA05G17720 transcript:ONIVA05G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT1G21651) TAIR;Acc:AT1G21651] MAAGTASPPPDAEPPECPVCLSPFDAASAAPRVLPCGHSLCGPCIASLPPASASASASAASLRCPLCSQCVPFSRALGPSSLPKNLALISLLPSPPNPSRSRTAAAAPPPPHVPLHADHSRLLSRFRHAVLPESASPLHSPAPAAGLAIGSFASDLGAPWFCPRGHPVSLLPIETPAAAAKQESPLYYRPSHAARVAAAIDALSAAARDEVIDLVAVSSRLARRVCRVYGFWMGPEAAPLWLLSERHSRGVSCLLEERSRREETVALIRNVGMEVCEAFMGLHGEGLVLGCIGLGCFCLDRFGHCLLDLNQVLALCHGVRVGVCSSKSKAFMAPEVAEVVHDKLQIKDHDFSGLLGPSSDAWLLGCLLVALVTGDEQLAAGWSTEGSYDDWKNEVLTKVDASLVGTHMEPWSATIVSCLNYDPEGRPEIADVWKCINGSLMNSDIEALIPNVDLAARKSFMCLLLGELSSMCSNLGAVESDDTMHLSQDLDDKHSTPDDASSGGIINNEEVGAAGMDGPKCGLYNSSTLLAHRDCITGLAIGGGFLFSSSYDKTINVWSLQDFSHKQSLKGHEHKITAIVVVDNDNQSLCISGDSGSGIFVWCVDPSLSNEPLNKWYESNDWIYRGVHCLAVSGTGYLYTGHKSTVSCLAVACGILYSGSWDGSIRSWWLTDHSPLSVLEDGTPGSITPVLSISTELDFVVASYENGCLKIWKDDVLVKSEKLQNGAIYAAKLNDKWLYAGGWDKVVNIQELLEDDSEVEIRDVATFTCDSIITSILYWDGRLIVGLSNREIKK >ONIVA05G17720.2 pep chromosome:AWHD00000000:5:18535972:18545618:-1 gene:ONIVA05G17720 transcript:ONIVA05G17720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT1G21651) TAIR;Acc:AT1G21651] MAAGTASPPPDAEPPECPVCLSPFDAASAAPRVLPCGHSLCGPCIASLPPASASASASAASLRCPLCSQCVPFSRALGPSSLPKNLALISLLPSPPNPSRSRTAAAAPPPPHVPLHADHSRLLSRFRHAVLPESASPLHSPAPAAGLAIGSFASDLGAPWFCPRGHPVSLLPIETPAAAAKQESPLYYRPSHAARVAAAIDALSAAARDEVIDLVAVSSRLARRVCRVYGFWMGPEAAPLWLLSERHSRGVSCLLEERSRREETVALIRNVGMEVCEAFMGLHGEGLVLGCIGLGCFCLDRFGHCLLDLNQVLALCHGVRVGVCSSKSKAFMAPEVAEVVHDKLQIKDHDFSGLLGPSSDAWLLGCLLVALVTGDEQLAAGWSTEGSYDDWKNEVLTKVDASLVGTHMEPWSATIVSCLNYDPEGRPEIADVWKCINGSLMNSDIEALIPNVDLAARKSFMCLLLGELSSMCSNLGAVESDDTMHLSQDLDDKHSTPDDASSGGIINNEEVGAAGMDGPKCGLYNSSTLLAHRDCITGLAIGGGFLFSSSYDKTINVWSLQDFSHKQSLKGHEHKITAIVVVDNDNQSLCISGDSGSGIFVWCVDPSLSNEPLNKWYESNDWIYRGVHCLAVSGTGYLYTGSRDKSIKAWSLEDYSLRCTMTGHKSTVSCLAVACGILYSGSWDGSIRSWWLTDHSPLSVLEDGTPGSITPVLSISTELDFVVASYENGCLKIWKDDVLVKSEKLQNGAIYAAKLNDKWLYAGGWDKVVNIQELLEDDSEVEIRDVATFTCDSIITSILYWDGRLIVGLSNREIKK >ONIVA05G17710.1 pep chromosome:AWHD00000000:5:18532137:18533037:1 gene:ONIVA05G17710 transcript:ONIVA05G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLAGGEIRHPAQPLQLRQKRAAATGVLVPRRRAAVPHVPRRQHLPQRQNQNHLVLDDDLILAQLLISRELRCCYKANMDGFSATDFHHHWLHDHRRCRRRGHAVMFGRFSPQGYRSTDNYYDTLDAFLFYWPDTDTDAAATAAVVLPKFGADGLLIGPPLIAVMGVFKGPDSSTDAGDLRSTRSRFGLSYTKRSTVFIYNSATFRWTKVPAQRRPNRGARTGTRLAAPVTGAPSG >ONIVA05G17700.1 pep chromosome:AWHD00000000:5:18517870:18518435:-1 gene:ONIVA05G17700 transcript:ONIVA05G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDIVAVAGCTASGLAAAASASDQCRRDVWPWRTRWTSWGRLTSIGLSTHLGVLLYSPLHGGDPVCDVYDNEAVTKTTATSIFGFVARSNSYRGRSGQTWCCLGSSLHLRRFVFLLSLAGQKLQ >ONIVA05G17690.1 pep chromosome:AWHD00000000:5:18508663:18515251:-1 gene:ONIVA05G17690 transcript:ONIVA05G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYISNAQDASKLIVTAKGGGGEADDAAAAAAAVVLDLWRQPAKIPAPFVWPRADVALPPSSPPTGELDVPVVDLAAALRDAAGMRRAVAQVAAACASHGFFQVSGHGVPPSLARAALDGAAGFFRLPPAAKQRARRAPGTVTGYTAAHADRFVDNLPWKETLSFGHRHANAAGNNSSTVADYFSTLGDDFKHLGEVYQEYCEAMEEVTKAIMAVLGESLGVGGGYYREFFEDSSSIMRCNYYPPCPEPERTLGTGPHCDPSALTVLLQDGDVDGLQVLVAGAWRPVRPLPGAFVVNIGDTFMALTNGRYKSCLHRAVVHREQERRSLAFFLCPREDRVVRPPAGAGAGERRLYPDFTWADFMRFTQRHYRADTRTLDAFARWLRPPACSGAAPVVGPPTTATQAATV >ONIVA05G17680.1 pep chromosome:AWHD00000000:5:18506909:18507190:-1 gene:ONIVA05G17680 transcript:ONIVA05G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNATVLRFVIREEFSRTLAERLVLDIEKVMCQLDALPSRLMPPVPPAPPSVSMGAHHAPPHRRAPHGPPLHSMDLALRVDLLSAGGRHASP >ONIVA05G17670.1 pep chromosome:AWHD00000000:5:18501347:18503621:1 gene:ONIVA05G17670 transcript:ONIVA05G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEGVAAAERQELQLPPGFRFHPTDEELVMHYLCRRCAGLPIAVPIIAEVDLYKFDPWHLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGTPRPVAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSARKKNTLREYLSRSININMNLDDWVLCRIYNKKGGVEKPSGGGGGGGERSNMMSHGETASAGSPPEQKPAVLPPPPPPYAAAAPFSELAAFYDVRPSDSVPRAHGADSSCSEHVLTTSASSGGVVERPEVQSQPKIAEWERTFAGAAAPAGAVSTAGPILGQLDPAAAAVAGGGDPLLQDILMYWGKPF >ONIVA05G17660.1 pep chromosome:AWHD00000000:5:18486391:18492301:1 gene:ONIVA05G17660 transcript:ONIVA05G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM-repeat/Tetratricopeptide repeat (TPR)-like protein [Source:Projected from Arabidopsis thaliana (AT5G10200) TAIR;Acc:AT5G10200] MEKIQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRIGYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKSEFLHDICKADFLVKLPGMWGGLVNENSPAGVGLLRTICQSKLGRGHVANIPSVVEALCNIARSSDDWQYMAVDCLLWLVQDSNTCHKVIDRVASTLIDLANISMLGDYKKLGDTIVTVLQECMQQYANSRNSISTHTKEQIDELLSSKQSFKLEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAAAKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLKQNKVPDYAERLVKKQMRTAWLFREAALKHGGIHCEGEASDAFGQEADDSEWETASESDAENDATGEADDETEWKNDNQV >ONIVA05G17650.1 pep chromosome:AWHD00000000:5:18482502:18484550:-1 gene:ONIVA05G17650 transcript:ONIVA05G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRCVRHCGVRRARRAAAASRRPRGSPQPDRQGRLPAGRAGAASARAAGGRAARRRLERLAAPHCRRGLWPVGRLGAEPPSAETSVALMTTFTVHDLGTPGLKGILSIIHSFPLPEVCKVFSFSGVFGTGAFAVGTDVAFDTASGNFTKETGGDDEGSSERLHALRFKPRRRALTTTRDWKRRRWQSVMVDIPATRLHLQDHYQSSPYCVKKKTGG >ONIVA05G17650.2 pep chromosome:AWHD00000000:5:18482502:18484550:-1 gene:ONIVA05G17650 transcript:ONIVA05G17650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRCVRHCGVRRARRAAAASRRPRGSPQPDRQGRLPAGRAGAASARAAGGRAARRRLERLAAPHCRRGLWPVGRLGAEPPSAETSVALMTTFTVHDLGTPGLKGILSIIHSFPLPEVCKVFSFSGVFGTGAFAVGTDVAFDTASGNFTKRALTTTRDWKRRRWQSVMVDIPATRLHLQDHYQSSPYCVKKKTGG >ONIVA05G17640.1 pep chromosome:AWHD00000000:5:18477898:18478814:1 gene:ONIVA05G17640 transcript:ONIVA05G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVVAVPTVDLAAPIATRVAAASRARSDGYDGGGGFRAEAAGGGTRGEAVGTGWRQRVETVRPAAGGGIGGDGRALPSSSAASGGIGGVQREEWRPLGGALPPPDPAGERAPEPRRLTILCAITE >ONIVA05G17630.1 pep chromosome:AWHD00000000:5:18474785:18475357:1 gene:ONIVA05G17630 transcript:ONIVA05G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATPRHHHRLLLLLFILFLAAGAAAPVFGAWDAKGKTLCSGRLLGVADCGDEEPSAYEMLERFGFPRGILPEGVTGYTLRPSDGEFAVYLGTGECEFEVDGGYRLTYQGRITGRVAGGSITGLRGVTVKVFMMNWGIDRVVVADADHLVFYVGPLSQAFPVDGFEESPQCRCRHGGGAAVSGVAAM >ONIVA05G17620.1 pep chromosome:AWHD00000000:5:18473291:18474180:-1 gene:ONIVA05G17620 transcript:ONIVA05G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKDVSLSSIFFSCPLPACAFSPRRSLASAAPAAAAVWITCLISKFSLCLVQVHADCASPCRGGERMVPHLKEMCSSLAASKELVKALFGIWGPGDGLNLSTASMLYLARAHARQLAKEDRRRGDETAQMRAQLAEEARESRERLEKVCDELVRGGLTGGVDGNRGGKEEVEEMRREAERAQEELEKEREMLRLADELRHRGLPTRGAAHRHTKAMDCGDQLRRSSTGAESYSHLSFSLRFP >ONIVA05G17610.1 pep chromosome:AWHD00000000:5:18463505:18472790:1 gene:ONIVA05G17610 transcript:ONIVA05G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRRRRCSGKRRGGDADEGGGGKRRREGESEEDYCFACKDGGLLRFCDHRNCHKAYHPECVDKDDSFLNSDEQFICKFVPVMRQTMGFCNNCLRMAIMIEKNVDVDSDGERVDFSDRETYEFLFKDYWDIIRDKEGLTLDNMREAYTLLRSGLNCNELSDMEKLPNSEQSSDDDFLGNSDDDDEPVYPSVSNGTSNKVKTILKEGKTKKQVYVGWGSIELIGFMSSIGKDTSKPLDQFGAAEVVKQYIRQNDLLQKDKKKQVICDGKLWSLFRKSKLKYNKIYSLLEKHIAENITPEDESLDSSEDNTDSIMERKSRIMNSELSTPEEVSERYRRCFASLVRENIKLIYLRRTLVIDLLKQPDTFECKVIGCFVRVKNDPREYSRHKPQKLYQLGQVTVFSDKSFIYYLLTKAYVTGIRKSSEEYKIRDISTDILLCISDMWSDVKISVLSDEDFEEEECEDLRSLSRKEPSKRQAVSSELDVFIQAEFEEKARSMHADIMSHWINKELQRLEKLIEMANEKGTNSNKDRVDCLKSCSGEKLKGSKGDADAPGTCLEKVITKAIEVNPPGDMPRSHVQNHGTKATAAVNPGQVIDIDDGEDDLHGKSGDMTVDLDSDGSEDHGTRQHEAKPKLCSGQKAVEAKEEISEHASVWYYNDPQGDEQGPFPLRILRHWSKAGYFKEDFRVWRTGQSCDSAILLKDALLLTS >ONIVA05G17600.1 pep chromosome:AWHD00000000:5:18460469:18462052:1 gene:ONIVA05G17600 transcript:ONIVA05G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYHSPTQVWRNDLRFQGKIKAIYIAVALKATTTMADSPVQAELVALQLTIEVANFLNIASTTFLTDNITIVDIIKKRSFLRDPGYWSLRPLLSQMQRVIFRTT >ONIVA05G17590.1 pep chromosome:AWHD00000000:5:18456907:18459811:1 gene:ONIVA05G17590 transcript:ONIVA05G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSTANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLISYLKEATS >ONIVA05G17580.1 pep chromosome:AWHD00000000:5:18448053:18455791:1 gene:ONIVA05G17580 transcript:ONIVA05G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVTQNYFAWSQEESPVQDSSQGTPQVFDHGSISFGRFELESLAWEKWSVFANDRRHEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELNLDYSGDGSDSSQTGEDVPTADQASPSGSGTLLDSMVQTGVQTIFENDLECYDDNDKEMLDKDISPSVGGTCQIEQEFRESASGGNHPDRMVDVLQQNTNCGPDDLGRPMESMMTPKRTVKKDSLVGQAAKTMPKTVNMTSSNIPGHAVVNKGTDSGKSSVVNRRAKPETIQQRLKAVTGNIVDIVGRSKLVVKEVPGIMGVRRPSSPAQQRPSTRERRPVTRDSSRKAPEVATMCRPSTAERRPATRELAPKQANTVVPCRPSTPNRRPMTRELAPVHSSIATPRRPSTAERRPITRGMAPMHPSIATPVRPSTAERRPTSKQMAQKHVGMATPSRPSTAERRPITREAARKNADVAILHRPSTAERRPITRETPQKHANVVALHRPSTAERRPVAREIAPKHADVTLTPARRPSTSERRPVTRETALRHSNFTGSCWPLTPQRHISRGSAPIHADVSTTPRRPSTGERRPITKESNIKLDEKTPIKLRGMLANPKGAMATVVTPQKAITQKLVKSSKPEMKSCAKERTELQAVGKHKASSVNLPPREMFTSNVRANRVPESFRKPNKGIQETARSQSSSKSATPAQTRSIKTRAPNPPPPPPPPRRPSQISSKTNTNNLSVGGRKPKASTPHWH >ONIVA05G17570.1 pep chromosome:AWHD00000000:5:18437922:18438221:1 gene:ONIVA05G17570 transcript:ONIVA05G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARGDGGWRWGIREVEELATREWRRWMAHDRREDGDDEDWIWRRDGVAGGAGEWHWSLDAPALAAGETASQKALAARGEAAAQDGTWQVGGAATSNQ >ONIVA05G17560.1 pep chromosome:AWHD00000000:5:18433871:18434683:-1 gene:ONIVA05G17560 transcript:ONIVA05G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGILYARVEKVSADLAEPINGGRGGDTTHTRRLVLTPPRTNELELRVISEIVVAVSANDEQASPEGEDGTYMGRVAASGGGGGGEMMRYRGVRRRRWGKWVSEIRVPGTRERLWLGSYATAEAAAVAHDAAVCLLRLGGGRRAAAGGGGGLNFPARALAAAAAASSYGGAGGLLSPRSVQRVASDAGMVADAQLVDLRRDHPPAAAAASSSGSGVAGDGARKQGTRGEVSDTYWCRNGEDGSRSWSSGSEELIVYEGLSVDDMEILM >ONIVA05G17550.1 pep chromosome:AWHD00000000:5:18428251:18431583:1 gene:ONIVA05G17550 transcript:ONIVA05G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAGCVLVATEVLDDDSIFERTVILLLRLGSRGTFDGPFGVILNRPLYTKIKNVNPSFQDQATPFGDSPLFFGGPVDMSMFLVRASDNSRLKGFEEVIPGIRFGFRTDLEKAAVLMKSGAIKSQDLRFFVGHAAWDYEQLLSEIRAGYWAVASCSTELISDALTGDPSCLWTEILQLMGGHYSELSQKPKEDNQ >ONIVA05G17540.1 pep chromosome:AWHD00000000:5:18423911:18424340:1 gene:ONIVA05G17540 transcript:ONIVA05G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPGGGGSSRTLPSIGSGWRGGGGRRRPPLPRIWREAAGDGLRRRPPLPRIWREAAGDGLRRRPPLPWIWQEGRQEVAGDGLRRRPPLPRIWREVGGDRRWAAAAAHGDDVFCEFVDEFVVEYVHYVMSIYFGGDL >ONIVA05G17530.1 pep chromosome:AWHD00000000:5:18421412:18422317:-1 gene:ONIVA05G17530 transcript:ONIVA05G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLARGRLTLSVFTMDFTLLLKGMMRSSTSGEHMFAGFLARMLGMHAIDPTPAITNLRAPRMPAAAEA >ONIVA05G17520.1 pep chromosome:AWHD00000000:5:18418842:18423591:1 gene:ONIVA05G17520 transcript:ONIVA05G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPVLMLLVVVVMSWHWGGAAAQVFRPPWNGTFPMGPGGGGGGSVGGGGGAAAAASVPAMFVFGDSLTDNGNNNDMTSLAKANYLPYGIDFAGGPTGRFSNGYTMVDEIAELLGLPLLPSHNDATGDAALHGVNYASAAAGILDNTGQNFVGRSPFNQQIKNFEATLQQISGKLGGGAAGKLAPSLARSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLVQQYTKQLTRLYNLGARRFVIAGVGSMACIPNMRARNPANMCSPDVDDLIIPFNSKVKSMVNTLNVNLPRAKFIFVDTYAMISEVLRNPWSYGFSVVDRGCCGIGRNRGMITCLPFQRPCLNRNTYIFWDAFHPTERVNILLGKAAYSGGADLVHPMNIQQLAAWQP >ONIVA05G17510.1 pep chromosome:AWHD00000000:5:18416512:18417249:-1 gene:ONIVA05G17510 transcript:ONIVA05G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPTTMERDCMGRFISFNFNRTTPGEPHCLVTTNIHPWRSKAVPHVAADEACGSHDEDGDPSPVGPPDVREGSHVFLLGDAALGSGGMGGRVEGATRGVGGPPAILGW >ONIVA05G17500.1 pep chromosome:AWHD00000000:5:18414617:18415503:-1 gene:ONIVA05G17500 transcript:ONIVA05G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHAWCDGSTSPAAFLLAAAAICGQFATGLAGDTQTSKDDKKAQSKGHTGRTVLIVLLGIGAVVLLSFFLFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >ONIVA05G17490.1 pep chromosome:AWHD00000000:5:18402682:18406425:-1 gene:ONIVA05G17490 transcript:ONIVA05G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPAFFQFLPSGAHSSPHHQSPLRSPASERERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVEPERVNHGSPLRLTGHPMNGQPMDLEGWSGMQTEMGVLQSPSMGWNVAPGVAGSPVVKKVVRIDVPVDKYPNYNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNDPLHVLVEAEFPSDIVDVRLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSPHLSPSPSVSPFNSTGMKRAKTGR >ONIVA05G17490.2 pep chromosome:AWHD00000000:5:18402682:18406425:-1 gene:ONIVA05G17490 transcript:ONIVA05G17490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPAFFQFLPSGAHSSPHHQSPLRSPASERERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVEPERVNHGSPLRLTGHPMNGQPMDLEGWSGMQTEMGVLQSPSMGWNVAPGVAGSPVVKKVVRIDVPVDKYPNEDKLRDKPGYEHLNDPLHVLVEAEFPSDIVDVRLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSPHLSPSPSVSPFNSTGMKRAKTGR >ONIVA05G17480.1 pep chromosome:AWHD00000000:5:18398272:18398846:1 gene:ONIVA05G17480 transcript:ONIVA05G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGERRPGLPCRRRGRGRREIRGPPPPSCGGLSGNGRNHHSLPYGPRAVSMGLYGCPQPHEQCSTHFAQPQPEQIGEIDANFPEQSSKQISSWSQIFSICLAWLRPFYSRFTVA >ONIVA05G17470.1 pep chromosome:AWHD00000000:5:18391261:18391665:-1 gene:ONIVA05G17470 transcript:ONIVA05G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPAVHSPPESHLHLPYPPTPLFLLFAFEYSRPIEEHQGPAWSFCAGKKKGLQEVSWGRRGLKLKRKKKPGRKCRIRWPQAPRQADPVAWSLSPATTAGREPSGEWQSPNKQRDSVGRQAMGSCGELGCGVEQ >ONIVA05G17460.1 pep chromosome:AWHD00000000:5:18391006:18392119:1 gene:ONIVA05G17460 transcript:ONIVA05G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCEQTHTLILGLDPAARARAGTPTSPNGGFTTGEERQAGTKVPVTVASHPRSQRSLNFFTRTAVLEQRDP >ONIVA05G17450.1 pep chromosome:AWHD00000000:5:18381675:18385828:-1 gene:ONIVA05G17450 transcript:ONIVA05G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMGMKRPPAAAAATATQTVTLPAPDARAAVRDAVRVAVREAEPPQAPALPPRAPAPAVAVDGVLCLEEVDGRKWSYVVEGGASPGKAGRVSGSGRGRGRGRGGAASPMGVTFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLNSVGVAQSRATSGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGLSILISKRNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNTARFTVAVDSFIKSGHVPSLKEGNSQETIFNPPWRHQPVAIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARKRMNSNRSYQNANPLNMDFIPHIAESCKIVTSSYGVFKKKAREQGWIMSESLLNPGRARLCGIVPQ >ONIVA05G17440.1 pep chromosome:AWHD00000000:5:18375113:18380262:1 gene:ONIVA05G17440 transcript:ONIVA05G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSGGPYTSRTAEEVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGAAKKQTKEKAPNSTNKPNKPSSKMQPRPESHSKAPKPPAPPKDDDESGDEYADEEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCGNKRARA >ONIVA05G17430.1 pep chromosome:AWHD00000000:5:18368823:18372572:-1 gene:ONIVA05G17430 transcript:ONIVA05G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38520) TAIR;Acc:AT5G38520] MAAALAAAAAAAAHHHRLSSSSPAARTRVVHHHRRRRSSSLSFSAPASSHGCPRGARALRRAAAGDGGGNGSGGVSTGTVDPAAASSPSSSLEELYRSCATWTWRGMRVNYLVRGEGPPLLLVHGFGASVGHWRRNIGVLSESYTVYAIDLLGFGASDKPPGFSYTMETWAELILDFLDEVVRRPTVLVGNSVGSLACVIAAADSSRDLVRGLVLLNCSGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQRRIASALFERIIRGPADGEGALDAFVSTVTGPPGPSPIALMPAVAARSPVLVLWGDRDPFTPIDGPVGRYFSALPSELPNVTLHMLEGVGHCPHDDRPDLLRGERRA >ONIVA05G17420.1 pep chromosome:AWHD00000000:5:18354329:18356656:-1 gene:ONIVA05G17420 transcript:ONIVA05G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDDVDDVTAGAAGSGEEAAADQEEAAAAVAGDSHENDLVMPGFRFHPTEEELIEFYLRRKVEGRRFNVELITFLDLYRFDPWELPAMAVIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENSRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPAADADLFYKSEISLCRVYKRSGIDDGHGHHQRPAGNVQASSSSAAARPPEQHSGNNTAAGLPACRHRPSPSSSSTTTAQQHTSFHQLLQGECSAAAAAPPPPPSLPASATTRNSNASQLLMPPPPPRPPCAAAYTSAAAAPTESAAVLAAASTYSLLAAAGSSSTHIDELSTLLAGHSHGGAYGNNHIVAGSHHHFPLPPSQLMPQLGTLPISPPLAAVSDKLWDWSSVPDTSTARDYDSSGFSDPK >ONIVA05G17410.1 pep chromosome:AWHD00000000:5:18339533:18346286:-1 gene:ONIVA05G17410 transcript:ONIVA05G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKRRGAERRRRPSPLAEGAPPTSRSSSPAAAPQPVADRCSPGSLGVGSHVEGEGERRRYGGVAVLEASVVTSSISMLVFKVVHHPQFGSSSATVEILGRKTRSGSCACFLRPPPPLAHHTPNPAALVSAYAKSRLLLDALHLFDETPRRDIYLYFSLLAAVSRSASLELALPVLRRMLSADALRPDHFVIASVASVSARLRSLRLGRQLHEHFVVSPYSGDDVVKSSLVDMYCKCGFPDDARKVFDSMGAKNSVVWTALVSGYASNGRGEEALQLFRSMPGRNLFAWTALISGLVKTGESVGAVELFVEMRRDDIRIDDAFVLSIVIGGAGQAVAWFRNEAWVPKGRQLFESMKNEYGITPGLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGALLSACKKYKDAEMCIRIAVNGKWDSVAKVRKCTIGLEIRKEPVYSWIEAGREFRLFHAGEVPLDVREEIMGFLEEMVLEMRKRGYVPDTSSVMHDLEENEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVC >ONIVA05G17400.1 pep chromosome:AWHD00000000:5:18332028:18332641:1 gene:ONIVA05G17400 transcript:ONIVA05G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELLTTFQKVAIVDKVTVIKDKASRGYQTRYVDIMFGTIGNQHNIVYLYSASSIDVNVRSEHDVIA >ONIVA05G17390.1 pep chromosome:AWHD00000000:5:18326044:18330703:-1 gene:ONIVA05G17390 transcript:ONIVA05G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEK0] MAAEAATLEFTPTWIVAAVCSLMVLISLVAERCLHYLGKMLKRKNQKPLYEALLKVKEELMLLGFISLLLTVFQGLIQMTCIPPRWTVHMLPCQREAVGPAKEHVAAAQIVGRIGRRLLSEGGAGAELCQKKGKVPLLSLEAIHQLHIFIFVLAITHVIFSVSTMLLGGAKIHQWKQWEVEIQKDAVGSGQPGPAKVTHVHQFEFINDHFKGMGKDSKILSWLHSFVKQFYGSVSKSDYTTMRLGFIMTHCRGNPKFDFHKYMMRVLESDFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFLPLILLLAVGTKLEHVIAQLAHDVAEKNSAIEGDLVVKPSDDHFWLGRPRIILYLIHFILFQNAFEIAFFFWILTTYGFNSCIMGQVGFIVPRLVIGVTIQLLCSYSTMPLYAVVTQMGSFYKKEIFNDHVQQGVLGWAQKVKMRKGLKEGSAGAGVAAEPSNGGGGDTAGPSVKIEMMRRAAREGNDEAGVSIE >ONIVA05G17380.1 pep chromosome:AWHD00000000:5:18319124:18324457:1 gene:ONIVA05G17380 transcript:ONIVA05G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEJ9] MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYDEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIANTNDSHSVQIILPQKQLGRKSDMYVFGCSYTHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKTVDLSVDLSAASAAEEY >ONIVA05G17370.1 pep chromosome:AWHD00000000:5:18312832:18313140:-1 gene:ONIVA05G17370 transcript:ONIVA05G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRETTRSGSPSADGGEEGEVAAARRRREPEASAAYFTAGLTALFACLAALLVFLPLVLPPLPPPPSLLLLVPVGLMAVLLALAFLPADGRRSSIASSCV >ONIVA05G17360.1 pep chromosome:AWHD00000000:5:18303125:18309365:1 gene:ONIVA05G17360 transcript:ONIVA05G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRREELNVQAKPSDDKGPPTRCNPGCVRSLAKLLPTAVEQKIQELGLRNLFKLKLEALSCRKICGDLLDKAVVHAASDLIELPMGDVSLWILEKVVQHAIDMPVGSVKGLSKSNNATEYKRMYNALRFVCKKFPLPKGKARTAAGGAQDSEAVGGAQGSTIAGDQADARGAQDSTAAGDAQDSTTAAGDAHDSEEDFGDYDSTPADKRRNIFVPDRIVNLVIHCEDEEVVILDCIEGNDLQVNEAGRMYLYNDDKVKGLLKENPNDMIKKWRGRMTSKPLEAFIFKKWEDTCYAKFVEVPERIEVPKSTEMPKRIEVLERTEVIEIFIPIVNEGHWSLVVVTIKPEHVYILYSEPLRHQSEAAAVIDRLTEHLSSKHVIDIFGYPKDTPNVKPQDNNWDCGFHVLLYIKGFENRDIFDINEEAVFKFRMKLSVELRHHKMNRARAVGPIHIRPKEGKGASRDAYIYMEESIAASAEDNQEEAEVKGKDASGKPAGEDDDNALISPQDKRTRRKTTPSSSFHEPPKFEVATQLTATKTAEVYDYTGDGMQGIGTEIASWLMGGKKDVASGKKLRNIRQRFVIVPIFQHEEWTVFFVDTCSSDQVSVLISTTTKLGASEIEKSARTFAEQAHDGFMHGGYASPFPVLDQIRAATVFIKSRPCTLATMVYLERYNGYEASFPPASFTVEEHVQPKLVYFLLHKKNEVQLPPEITSIVKKDEKKKKKK >ONIVA05G17360.2 pep chromosome:AWHD00000000:5:18303125:18309100:1 gene:ONIVA05G17360 transcript:ONIVA05G17360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRREELNVQAKPSDDKGPPTRCNPGCVRSLAKLLPTAVEQKIQELGLRNLFKLKLEALSCRKICGDLLDKAVVHAASDLIELPMGDVSLWILEKVVQHAIDMPVGSVKGLSKSNNATEYKRMYNALRFVCKKFPLPKGKARTAAGGAQDSEAVGGAQGSTIAGDQADARGAQDSTAAGDAQDSTTAAGDAHDSEEDFGDYDSTPADKRRNIFVPDRIVNLVIHCEDEEVVILDCIEGNDLQVNEAGRMYLYNDDKVKGLLKENPNDMIKKWRGRMTSKPLEAFIFKKWEDTCYAKFVEVPERIEVPKSTEMPKRIEVLERTEVIEIFIPIVNEGHWSLVVVTIKPEHVYILYSEPLRHQSEAAAVIDRLTEHLSSKHVIDIFGYPKDTPNVKPQDNNWDCGFHVLLYIKGFENRDIFDINEEAVFKFRMKLSVELRHHKMNRARAVGPIHIRPKEGKGASRDAYIYMEESIAASAEDNQEEAEVKGKDASGKPAGEDDDNALISPQDKRTRRKTTPSSSFHEPPKFEVATQLTATKTAEVYDYTGDGMQGIGTEIASWLMGGKKDVASGKKLRNIRQRFVIVPIFQHEEWTVFFVDTCSSDQVSVLISTTTKLGASEIEKSARTFAEQAHDGFMHGGYASPFPVLDQIRAATVFIKSRPCTLATMVYLERYNGYEASFPPASVFPLNTTFMFPTSHCK >ONIVA05G17350.1 pep chromosome:AWHD00000000:5:18299196:18299501:1 gene:ONIVA05G17350 transcript:ONIVA05G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGSYGPVIVALAIIATLTVASVAVGQLCVGRGTPIKPGQGMGAFVKKSIGGNKAAYDDALPEKKKEEDVENATVEEVEKSEPPKVEEDDDGSSSQVSS >ONIVA05G17340.1 pep chromosome:AWHD00000000:5:18297357:18297695:1 gene:ONIVA05G17340 transcript:ONIVA05G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLLYKAIKDRRGGRPDGGAAAGVDLYDPEQRRLWLEQEVRSPLHPSAAAAGGQHQQQQQPHRRNLSLEELAGEVGLSPGRRLPRVALPKARSVRVFSCIGAGAGVAA >ONIVA05G17330.1 pep chromosome:AWHD00000000:5:18289984:18291383:-1 gene:ONIVA05G17330 transcript:ONIVA05G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKLNSNFCLKWVALNRCSTKCSKGYKKLLMSHPKRNPWPPPCSGGVVRRWKECANCIRDQTPSVALYCAPKGDLNHEKSDRAHKDTLVAIFNLEQFHYVGGEEKVTKGMLYGLSTVSCNYLIIPAAIMTTTFLSVPSSTQNIYGACWLEAQEGLNDIAKGRTYKICKSMRMTLNHVESWLQNPTNILYNGQLFNCPAGIHFGVRRISGIIARGAGENQNRKVKTCGYDSIFDFCENNPSDGSAAANNPSDGSAAARFSIDTGTDSEMIYASIANRDYWSVKLLEVIKEGCPIRHLLLGDIKKEQLLQCETFNTTQTNTEQVIKLQVSNSKEIQELQVPWDPGGFLHRLGDKPNFKKRGLSGARVGCTWAAGYTTGWSAHRQEAQASPS >ONIVA05G17320.1 pep chromosome:AWHD00000000:5:18284482:18288807:1 gene:ONIVA05G17320 transcript:ONIVA05G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRPRRDPIKSRRRPDWREELRSNCLKRIKEERVQFLWKKRIDGPLPASDMIKVESAVRDIISDELQKLKQHGDGKADQEADMIWEYQGPQTAKPVETESEDILLEMERLLYEDMRDEAIQIEVEALDEEDEYLAQAFLEHMQLTDKEINLEFLRERLANVHMDHLDRGCKSAPKFCLQTMFELTALYIQCEECNTFDVVI >ONIVA05G17320.2 pep chromosome:AWHD00000000:5:18284393:18288807:1 gene:ONIVA05G17320 transcript:ONIVA05G17320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVESAVRDIISDELQKLKQHGDGKADQEADMIWEYQGPQTAKPVETESEDILLEMERLLYEDMRDEAIQIEVEALDEEDEYLAQAFLEHMQLTDKEINLEFLRERLANVHMDHLDRGCKSAPKFCLQTMFELTALYIQCEECNTFDVVI >ONIVA05G17310.1 pep chromosome:AWHD00000000:5:18275178:18283519:1 gene:ONIVA05G17310 transcript:ONIVA05G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASVRSLRRLSSSSSSSSLSPASLRRLLLLLHRPPPPPPPPRPPLPPFQTLARGLLPRIAARPVPRRFSTASCSSTLFRVGECGAPGATAIPEAERGEEEEREGEDGGEADAAVEVAAGRHDTDAYAAVELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVIPGRRIVTNAHVIADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLKVDSEEFWDGMNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCTLGISCQATENIQLRECFGMKPDLTGVLVSRINPLSDAHRVLKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPGEMAVLKVLRDGKEHELNVTVRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGEDWYNNSPRRLCERALRELPKKAGEQLVILSQVLMDDINVGYERLAELQVKKVNGVEVENLKHLCSLVESCTEENLRFDLDDERVIVLKYQNAKLATSRILKRHRIPSAISADLVDEQATDDETDAVRRGLPGALRWLQRLLFGRLSFDIRLSACRAASSQRITGAFRCDSCCSSGRGGGGHLVKA >ONIVA05G17310.2 pep chromosome:AWHD00000000:5:18275178:18279691:1 gene:ONIVA05G17310 transcript:ONIVA05G17310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASVRSLRRLSSSSSSSSLSPASLRRLLLLLHRPPPPPPPPRPPLPPFQTLARGLLPRIAARPVPRRFSTASCSSTLFRVGECGAPGATAIPEAERGEEEEREGEDGGEADAAVEVAAGRHDTDAYAAVELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVIPGRRIVTNAHVIADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLKVDSEEFWDGMNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEESGKYSGFCTLGISCQATENIQLRECFGMKPDLTGVLVSRINPLSDAHRVLKKDDILLEFDGVPIANDGTVPFRNRERITFDHLVSMKKPGEMAVLKVLRDGKEHELNVTVRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGEDWYNNSPRRLCERALRELPKKAGEQLVILSQVLMDDINVGYERLAELQVKKVNGVEVENLKHLCSLVESCTEENLRFDLDDERVIVLKYQNAKLATSRILKRHRIPSAISADLVDEQATDDGEEATNGEIEASCTS >ONIVA05G17310.3 pep chromosome:AWHD00000000:5:18280090:18283519:1 gene:ONIVA05G17310 transcript:ONIVA05G17310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSCCSSSASVPATCSALCLAEATRAASLFVRPRAAARRLVLARCARGREGGESKAVQLVLGGRARDDGSESESSDDEDDDEPMQMTDEQRRTLRRKIREMMDRVPETAEITDPAERKAKMLELLTKYQLVVEEEDPNWPEDDEDGHGFSLGQFFDKITIKAEKKNDNDEEDDAKGNQSDKEIVWEDDNYIKPIRDVKTMDWDDTVFTDFGPLIVLVHNRYKRPQDNENARDQLVKAIEMFWEYNLPSPRCVAVDACAEPDLVKALNVSGFPEVLFTNAGKIVHRDKVVRSAEEWTRMMAFFYYKAARPSCLSEADGQGQEKVPLMS >ONIVA05G17300.1 pep chromosome:AWHD00000000:5:18259138:18260308:-1 gene:ONIVA05G17300 transcript:ONIVA05G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSASSLPLSSGSVITVSSSPAVGASSGGGGGGTGSPCAACKFLRRKCQPDCREDAVTAAANTAACGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVGVISVLQHQLRQLQQDLSRARFELSKYQAAVAVSSSNGQAAAAAAMTDHFIGSAVQPNCTQNFISGGGVAAAAIGVGGGAGGGFVNDHHQFSAVQMLARSYDGESAVARLGGAVNVSGGGGYEFGYSSAMGGAGGPMSGLGPLGGGTFLKPGTAGGGDRPTAAQ >ONIVA05G17290.1 pep chromosome:AWHD00000000:5:18234653:18235342:1 gene:ONIVA05G17290 transcript:ONIVA05G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVHLGWFPCTGKLRRGQPEVESPGGASAVEGGKWRDLEIQHGMSSGVNHVRNLWTSDVDRFGLLKNTSTYTSSLETNLGALAH >ONIVA05G17280.1 pep chromosome:AWHD00000000:5:18225693:18233390:1 gene:ONIVA05G17280 transcript:ONIVA05G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQVGEAIGGVNALLAHAYALAFHAVAAVERTADAVEDTITASRPLSGNQKLVSRGEKFELGFFRSAGGHLKKWYIAIWYNKVSIQTASRSVVWSSNVPNVTSSNVDSSEANTVAVLLNMGNLALSPASNSSHVLWQSFNHLTDTLLPNMKIGWNKVTGERARLVSWRNQYDPSPGTFSVEMDSNDSSQYIFLWNNSRPYLTDGKYDPSTGAFSGIPEMTPIRNSIYAFQYVDNNEEAYFMVTVKNDNILFRLTIDVSSQAKSTVWVADRNKWMLFFLQPKDKCVVYSMCGSFSRCTENAIPSCSCLQGFHEQSPSNRISGNYAEGCTRNVGLHCHSNSSAPKARKDKFYVMNNVRLPDWSLNLQDNLDGSGDTIFIRLAVSELPNSKTKKWRVVSIIIGGFILLVCGVITCICFLRKRTMKAIIPIAVDGHLTTLKYSDLQLITKSFSEKLGSGSFGSVFKGALPDKTVVAVKKLEGFRQGEKQVRAEMSTIRTIHHINLVRLLGFCSHGAQRLLVCEHMQNGSLDRHLFVNNAGALSWSRRYQIAIGISKGLPYLHERCRDCIIHCDIKPDNILLDASFVPKVADFGLAKLLGRDFSRVLTSMRGTIGYLAPEWISGMAITSKADVFSYGMLLFEIISQRRNAEQGEQGANMFFPVLAAKKLLEDDVQTLLDPESVDVIDLEELGRACKDSN >ONIVA05G17270.1 pep chromosome:AWHD00000000:5:18220771:18222547:1 gene:ONIVA05G17270 transcript:ONIVA05G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSISIYMWSMVALCTIITAMVTTKLARVRRPITLNPKSKRPLPPVVNVIALLEHLPRLCTKGVIAVMHDLYTRFGSVFTVSLFGLKATFLVGPEVSAHFYQGMDSEISQGDLYEFTVPLFGKGVGFDIDNATRTEHLRFFIDAIKTSKLQNHVNSMVQEVEDYFAKWGENGIVDIKHEFEKLLMLISGHCLLGKEVRDNMFDEVFSLFHELDSGVGLGSVIFPYIPIPSHIRRDKAHAKLAKIFSKIVRSRRDSNRPAEQDVLQYLIDSKHRDGSSTTEQEVTGWIISMVFAGKHTSTNSTTWTGACLLTHDKFLTEALDEQKHMIQKHGDHIDYNVLLDMDILHCCIKEALRMHPVAPIIYRKAQKSFVVRTREGDAYDIPEGHNLLSPMIFNNRLPYIYKDPHMYDLDRFAPKREEDKVGGMFSYTSFGGGRHICIGEAYAYMQIKVIWSHLLRNFELKLESPFPKTNWSKILLEPWGKVMVSYKRRRLLTA >ONIVA05G17260.1 pep chromosome:AWHD00000000:5:18184430:18190109:1 gene:ONIVA05G17260 transcript:ONIVA05G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILAITAAITKIARGGRRRSATDPTCKMPPPPPVVNSIALLRLLPTLFRSGLPAILHELYTKFGSVFTINLAGLLKMTFLVGPEVSAHFFQGLESEISHGNLLEFTVPMFGKEIAHGVDSATRNEQARFFVDALKPARLRIRVDPMVQEVEDYFAKWGQHGTVDLRRELEQLLLLISGRCLLGKEVMGTMFDEVCNLFRDIEGGVNLMSVFFPYTPLIPSNRRRDMARKRLHAIFSDIVRSRKQREGDNVDKDVLQSLIDSSHERFLTAAVDEQDKIAREHSNINGGGRITDDRYGSLMEMRTLHSCIKETLRLHPPVPMLVRTAHKQFTVRTREGHEYAVPAGHTIASPIVISNQVPYIYMDGHLYDPDRFGPAGREEDKVGGKFSYASFGGGRTGCVGEGYAYMQIKAIWSHLLRNFELRLLSPLPKSDFTKFVPEPHGELMVSYKRRQLLPTTLLEKC >ONIVA05G17250.1 pep chromosome:AWHD00000000:5:18169862:18172757:1 gene:ONIVA05G17250 transcript:ONIVA05G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSSSAMWLAMAILAITAALTKIALGGGRRRCLSESSDLTCKTPPPPPVVNCIALLGLLPALFRGDVPATMQQLYAKFGSVFTVSVAGLLKATFLVGPEVSAHFFQGLESEVSHGDLFEFTVPMFGKEVGHGVDNATRIEQGRFFAEALKPARLRIHVDPMVQEVEDYFAKWGQHGTVDLKHELEQLLLLISGRCLLGKEVMGTKFDEVCNLFRDIEGGVNLMSVFFPYTPLIPSNRRRDMARERLHAIFSDIHTSTHTSVWTGARLLTHEKFLAAAVDEQDQIVRKHGIINGRIVTDHYGFLMEMHMLHICIKETLRLHPPAPMIVRTALRQFTVRTREGHEYCVPAGHTMASPIVISNRVPYIYKDAHLYDPDRFGPRREEDKVGGKFSYTSFGGGRNSCVGENYAYMQIKAIWSHLLRNFELKLLSPFPKTDWSKLVPEPQGKVMVSYKRRQLLPTS >ONIVA05G17240.1 pep chromosome:AWHD00000000:5:18159094:18165822:1 gene:ONIVA05G17240 transcript:ONIVA05G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEI0] MAPAMALRLLVVVAVAAVVSCAVAADEGSVVEVWPMPATASKGGQTLHVSRELRMTAEGSKYADGEAILKDAFQRMVTLIELDHVINGSSQGLPLLAGVNVVVQLPGDELNFGVDESYNLSVPATGSPIYAQIEAQTVFGALHALETFSQLCNFDFASRLIELQSAPWSITDMPRFPYRGLLIDTSRHYLPVPVIKSVIDSMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGAYSYSERYTMDDAIDIVQYAERRGVNVLAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSSESTFQVINGILSDFSKVFKFKFVHLGGDEVNTSKSAKVAGLPHHALKHEDPTNCRCVGDGRLTTITRLAQHGMKESDAYRYFVLRAQKIAKSHGYEVINWEETFNNFGDKLDRRTVLVFFTTNYNRLGGGVAEKVVAAGLRCIVSNQDKWYLDHLEVTWDGFYMNEPLRNIKNPAQQKLVLGGEVCMWAEHIDASDIQQTIWPRAAAAAERLWTPFEKLSKEWEIAALSARLARFRCLLNHRGIAAGPVTGYGRSAPAEPSSCIKQHPFNRCKQKQSKEKAGANGEDCKEQTYKSYS >ONIVA05G17240.2 pep chromosome:AWHD00000000:5:18159094:18166039:1 gene:ONIVA05G17240 transcript:ONIVA05G17240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEI0] MAPAMALRLLVVVAVAAVVSCAVAADEGSVVEVWPMPATASKGGQTLHVSRELRMTAEGSKYADGEAILKDAFQRMVTLIELDHVINGSSQGLPLLAGVNVVVQLPGDELNFGVDESYNLSVPATGSPIYAQIEAQTVFGALHALETFSQLCNFDFASRLIELQSAPWSITDMPRFPYRGLLIDTSRHYLPVPVIKSVIDSMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGAYSYSERYTMDDAIDIVQYAERRGVNVLAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSSESTFQVINGILSDFSKVFKFKFVHLGGDEVNTSCWTSTPRVKAWLAQHGMKESDAYRYFVLRAQKIAKSHGYEVINWEETFNNFGDKLDRRTVVHNWLGGGVAEKVVAAGLRCIVSNQDKWYLDHLEVTWDGFYMNEPLRNIKNPAQQKLVLGGEVCMWAEHIDASDIQQTIWPRAAAAAERLWTPFEKLSKEWEIAALSARLARFRCLLNHRGIAAGPVTGYGRSAPAEPSSCIKQ >ONIVA05G17230.1 pep chromosome:AWHD00000000:5:18158936:18165997:-1 gene:ONIVA05G17230 transcript:ONIVA05G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPAGRWRRGGGIAGGHRGEASLVPPPASSVPDQQVPLILVAHNASQTSSNNFLCNSTSKPVIICGTDKLYDSSTPKLSCRGQNQPNTGHKKVRNKENTTSSQGFTSSPGNCTTTFTPASSGKPWELPLMTWSSSISVTILWNASFRMASPSAYLLPSAVILSSLLTCSVCPPLLAVAGIGHTSTTLPSSAATAQDTTAATATTTSNLRAIAGAILSLQETRQDFGWQELYLRTEGIPNS >ONIVA05G17230.2 pep chromosome:AWHD00000000:5:18160156:18165997:-1 gene:ONIVA05G17230 transcript:ONIVA05G17230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREPAGRWRRGGGIAGGHRGEASLVPPPASSVPDQQELGSAGAERTDKLYDSSTPKLSCRGQNQPNTGHKKVRNKENTTSSQGFTSSPGNCTTTFTPASSGKPWELPLMTWSSSISVTILWNASFRMASPSAYLLPSAVILSSLLTCSVCPPLLAVAGIGHTSTTLPSSAATAQDTTAATATTTSNLRAIAGAILSLQETRQLQKPSPSVTPATRPRRSKKMKRNAVAD >ONIVA05G17230.3 pep chromosome:AWHD00000000:5:18160156:18165997:-1 gene:ONIVA05G17230 transcript:ONIVA05G17230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREPAGRWRRGGGIAGGHRGEASLVPPPASSVPDQQVPLILVAHNASQTSSNNFLCNSTSKPVIICGTDKLYDSSTPKLSCRGQNQPNTGHKKVRNKENTTSSQGFTSSPGNCTTTFTPASSGKPWELPLMTWSSSISVTILWNASFRMASPSAYLLPSAVILSSLLTCSVCPPLLAVAGIGHTSTTLPSSAATAQDTTAATATTTSNLRAIAGAILSLQETRQLQKPSPSVTPATRPRRSKKMKRNAVAD >ONIVA05G17220.1 pep chromosome:AWHD00000000:5:18153489:18154840:-1 gene:ONIVA05G17220 transcript:ONIVA05G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLSLPVLPTGFRFHPTDEELVINYLQRRATGLSCPIPIIADVEIYNFNPWELPSMALFGEHEWYFFTLRDHRYPNSVRPSRSAASGFWKATGTDKPVQVANIQSTPVAMKKALVFYVGRPPMETKTTWIMHEYRLTNTGGSTASHPSLSSSTAHPSVKLDEWVLCKIFNKSPEPDNTAPPSNVVSRLQCSPPLPPPAAPPGNYPPLPVGATNDGGVFAGAGDMLFTIQEHQEGTPSMLPPIPNLEPPAATIGNSSLNGTAAAAAADGHGRLEEEDTSTYTFTDQEMEQMLMDLMDQDFFGNDQPQE >ONIVA05G17210.1 pep chromosome:AWHD00000000:5:18151570:18151926:-1 gene:ONIVA05G17210 transcript:ONIVA05G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTISFPLLLLLNMTGVLFTPRTPPLASSALASAEDGEDADFFFFPFLTLYKSVHVLLLIDTDTVPTSMDLATDIASGLVVLLCLPNLANLMVGKHSSDWWWWSTMQARSSRSQQPR >ONIVA05G17200.1 pep chromosome:AWHD00000000:5:18146918:18150546:1 gene:ONIVA05G17200 transcript:ONIVA05G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPSSRAESNQAAAAVPSLYGRALPSPPAVEFASAEGRRLFAEALQGGTMQGFFSLVSVFQTQSEPAFCGLATLAVVLNALRIDPGRRWKGPWRWFDESMLDCCERLDTVRAEGITFGKVACLAHCSGADVRTFRAAQATLADLRRHLLRCASSQDCHLVASYHRKLLGQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWIPLPLLWEAMNTIDEATGLLRGFMLISRNTEAPLLIRAVNCRDESWQSMAKYCIEVVPNLLRDNSVDNVLTILSRLVNHLPPNAGNFIKWVIEVRRQEEGGSSPSKEANEMPFLKEKILQQIRDTKLFQLVHKLQCSKQPCFSCSSLTDEDSISQIAASVCCEATALLSGNLSSRDGLFFSETCSGCTQVNDEGLKNGITGKVVSEGNGHVDKLSPISSTETCFCNSTLSNETVNYPSNTDILTVLLLSLHPSTWLCIEDEKLKAEFQSLVSTDDLPDPLKLEILHLRRQLRYLKACREKEAYEDTWPQPWEQC >ONIVA05G17200.2 pep chromosome:AWHD00000000:5:18146918:18149566:1 gene:ONIVA05G17200 transcript:ONIVA05G17200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPSSRAESNQAAAAVPSLYGRALPSPPAVEFASAEGRRLFAEALQGGTMQGFFSLVSVFQTQSEPAFCGLATLAVVLNALRIDPGRRWKGPWRWFDESMLDCCERLDTVRAEGITFGKVACLAHCSGADVRTFRAAQATLADLRRHLLRCASSQDCHLVASYHRKLLGQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWIPLPLLWEAMNTIDEATGLLRGFMLISRNTEAPLLIRAVNCRDESWQSMAKYCIEVVPNLLRDNSVDNVLTILSRLVNHLPPNAGNFIKWVIEVRRQEEGGSSPSKEANEMPFLKEKILQQIRDTKLFQLVHKLQCSKQPCFSCSSLTDEDSISQIAASVCCEATALLSGNLSSRDGLFFSETCSGCTQVNDEGLKNGITGKVVSEGNGHVDKLSPISSTETCFCNSTLSNETVNYPSNTDILTVLLLSLHPSTWLCIEDEKLKAEFQSLVSTDDLPDPLKLEVCCLTPRTLH >ONIVA05G17200.3 pep chromosome:AWHD00000000:5:18146918:18149566:1 gene:ONIVA05G17200 transcript:ONIVA05G17200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPSSRAESNQAAAAVPSLYGRALPSPPAVEFASAEGRRLFAEALQGGTMQGFFSLVSVFQTQSEPAFCGLATLAVVLNALRIDPGRRWKGPWRWFDESMLDCCERLDTVRAEGITFGKVACLAHCSGADVRTFRAAQATLADLRRHLLRCASSQDCHLVASYHRKLLGQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWIPLPLLWEAMNTIDEATGLLRGFMLISRNTEAPLLIRAVLGDKRKEDPAQEKILQQIRDTKLFQLVHKLQCSKQPCFSCSSLTDEDSISQIAASVCCEATALLSGNLSSRDGLFFSETCSGCTQVNDEGLKNGITGKVVSEGNGHVDKLSPISSTETCFCNSTLSNETVNYPSNTDILTVLLLSLHPSTWLCIEDEKLKAEFQSLVSTDDLPDPLKLEVCCLTPRTLH >ONIVA05G17190.1 pep chromosome:AWHD00000000:5:18121513:18121692:-1 gene:ONIVA05G17190 transcript:ONIVA05G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGARVWLLKSYRSLQIEEEDYGGKWIRLELRRPIWLRLTTCGRTSSQAWNLES >ONIVA05G17180.1 pep chromosome:AWHD00000000:5:18116156:18119127:-1 gene:ONIVA05G17180 transcript:ONIVA05G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLPSSCFFSAISHRQGEVALIRSKCSMTDHYYAPLRLILLLILSATCFGSELDVQCLKTIFQSVTDPNGILKSSWSFVNNGTPGYICKFTGVECWHPDENRVLSLRLGNLGLQGPFPAGLQNCTKNRLSGPIPNNLNKFPSSNFAGNQGLCGLPLDGCQASAKSKNNAAIIGAVVGVVVVIIIGVIIVFFCLRKLPAKKPKDEEENKWAKSIKGTKTIKVSMFENPVSKMKLSDLMKATNEFCKENIIGTGRTGTMYRAVLPDGSFLAVKRLQDSQHSETQFTSEMKTLGQVRHRNLVPLLGFCIAKRERLLVYKHMPKGSLYDQLNQEEGKDCKMDWTLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELITGERPTHVSTAPENFRGSLVEWITYLSNNALLQDAVDKSLIGKGSDGELMQFLKVACSCTISTPKERPTMFEVYQLLRAIGEKYHFSAEDDLMMLPPLSTDGETLDELIVAQ >ONIVA05G17180.2 pep chromosome:AWHD00000000:5:18116156:18119127:-1 gene:ONIVA05G17180 transcript:ONIVA05G17180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLPSSCFFSAISHRQGEVALIRSKCSMTDHYYAPLRLILLLILSATCFGSELDVQCLKTIFQSVTDPNGILKSSWSFVNNGTPGYICKFTGVECWHPDENRVLSLRLGNLGLQGPFPAGLQNCTSMTGLDLSSNNFTGLIPQDISQQIPYLTSLDLSYNRFSGQIPVNISNMTYLNTLNLQHNQFTGQIPLQFNLLGRLTSFNVAENRLSGPIPNNLNKFPSSNFAGNQGLCGLPLDGCQASAKSKNNAAIIGAVVGVVVVIIIGVIIVFFCLRKLPAKKPKDEEENKWAKSIKGTKTIKVSMFENPVSKMKLSDLMKATNEFCKENIIGTGRTGTMYRAVLPDGSFLAVKRLQDSQHSETQFTSEMKTLGQVRHRNLVPLLGFCIAKRERLLVYKHMPKGSLYDQLNQEEGKDCKMDWTLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELITGERPTHVSTAPENFRGSLVEWITYLSNNALLQDAVDKSLIGKGSDGELMQFLKVACSCTISTPKERPTMFEVYQLLRAIGEKYHFSAEDDLMMLPPLSTDGETLDELIVAQ >ONIVA05G17170.1 pep chromosome:AWHD00000000:5:18101426:18102575:-1 gene:ONIVA05G17170 transcript:ONIVA05G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVLLDKVASLCGNMRKVWNDLSIIQMACPLQARAATDPFFKSAFSRATSMPGFAAEEDEHQDDQPSLVTQMFFSIG >ONIVA05G17160.1 pep chromosome:AWHD00000000:5:18095851:18097794:-1 gene:ONIVA05G17160 transcript:ONIVA05G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAPAAPWPVSQEISSLADRLLAHAGGDGDGAAGMRDLAGALLRIQPVARGVERRGDAGQRDLADWLLQLKGAVAEADDLLDELLLRRRHRSRLSPSLASCLARGKPPSRELKRLVGRIDRIHDVSERLVDAAAEEEAGEAGRGVRSPNRVTGSVLTERKVFGREKECGEVISRLVDCPENACSSALPVVAIVGHGGMGKTTVAQFVYSDERIEQKFDIKAWVCVWDRSDVAELTREILQSIGGPDDTLYGDNSAKLDILQAKLEVLVRSKRFLLVLDDVWNDEAKMELENKDLWRKVLAPLRSAGRGSKILVTTRMKLVARYLNSTHVVSLDVLGSSDCWLLLKEAALGGESKDIPPDLQEIGRTIAAKAKGSPLVSKALGQMLRNTRSTWRWRALLDAEISHNIIISSLQHSYQHLPGHLQRCFAYCSIFPRGCRFQRDKLVKMWVALGFIQTSRKERKGEYDLAQEYFYDLLSRSFFCTASKDNQTFYFLDNLMHDLAQHASVHDCMNIDEGMPSVIPPTVRHLSVSTDYFPQLKSKCKLERLRTLLVLRSSSLSLSHLPSKFLSEFKNLRVLDLSESDILELPETISQLFHLHYLALCHMTSKLPKCIYKLVQSGVLDMPILLFPDSHPRGMSKFVTQKTS >ONIVA05G17150.1 pep chromosome:AWHD00000000:5:18090062:18093475:1 gene:ONIVA05G17150 transcript:ONIVA05G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAVVGWLVCPVIRIVVDKARSCASDRFRWLNGGVPDALKQLEDELNQLRAEAGCVERCLGGGGGGGGGRGNCELVRWLRQLKEVVYEADDVLDEFAYRRLAPNDGKVSLLGSSSIGKIGKQLVGKDESVNRLKAVVEKLSSIRANSGRLMQAAGLTKPGSGEPSSTLLTSDGPVTGSILEDGEVFGRDKEREQLVSWLIGSTPEAEGEDRSAAAADTIPVAAILGLGGIGKTTLARVLCHDHEVKEAFDLIMWVCPAGNYSKLDLAKQILQSAELPDDTNSFDRLQRRLKEAVSSRRFLLILDNVWNKDENENSYRDMWADVLAPLRFGRAGSKIVVTTRKRIVADLLNASKFVWLNGLEFADVWLLFKKFAFDNNDVDRHPELKEIGEQIAVKLKGLPLAAKVVGGMLKRKPSLTEWKRILKMEIYDNVSSTLELCYQNLQEHIQPCFAICSIFPKNWRFNRDKLIKIWMALGFIQFRPDDTKNQLEEDVGKEYFNQLVAQSFFHERKEGRRTYYYIHDLMHDLADNVSRIDCARVESVEFEKKDIRIPDTVRHLSVTSDAVMQLKGRAELKRLRTFIILKHSSSSVVPLPDDVLKELKGLRVLGLDGCDMVELSDKVGQLIHLRYLSLCKTITKLPKSVTKLFLLETLYIPKRCQLEEFPKDMWKLKYLRHLDINRTNTSKIVGIGKMIHLQGSIEFHVKKEKGHTLEDLNDMNDLRRKLHIKNLDVVASKEEASKAGLSKKQSIKVLELEWNSPGKSVPSVDAEVLEGLKPHPDVEEIHIRRYHGNTSPCWLDRKDITFLKYLHLTNCRKWAVLPPLGQLPFLKVLHLKEMCSLKQIGSEFYGTNPTAFPYLEDLEFDDMPKWVEWTKEEEKYDSVFPRLRKLKLLSCPDLIKVPPFPQSVRKVSIENTGFVSHLKLSSSSSSKANKVKLETCSAAVLTNGLFHQQQVQEIVDLTLRHCQDVKFEELHALTSLKRLQISYLEMTDEELGTCLQGLQSLTLLDIVHCSKITTLPQIENPSNLTKFHELNIRQCPQLSSLHSLPSFATLETVLIENCSRVTVESFPANFNSLTSLRKLSIMNCTGLESLPSGFPSSLQVVHLIGCKPTLLSQLQNKDGPEWDKIASIPMKLIH >ONIVA05G17140.1 pep chromosome:AWHD00000000:5:18075775:18078282:-1 gene:ONIVA05G17140 transcript:ONIVA05G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLDRWRELLRGAAGSAGICDVIENAILVAAADAPQELLRRRDRICEILFTAPRAPPCHHGCGSAATPTPATPVEEDKGSVRRVPEKQSKVDSSSNGALGGGGGRRDDVDDDSDSDSDDERLRRAAASNYGHNYDDDDEEEEEEQEAAPAEEDEEQELDPEAVELEALTNEIDEESQVVGEVLRIKDLFLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNGLRKHNSQQIRHLVRTLIEGWKILVDEWVSTTNVALTDNSPGSSKTSVVDDADDEGLPSPPLDEGAFFATQTTAIQLSEFFDEMDEDGNLRHNNDGSLGNKRENYGRRPTSHPALTKQEPPRNMGAVEKVQSRRPELARQEPSMRQANPQTPQNSSLQVKQQAMLHKQSKPLSSDSGPGRPLRAAPQQKPFVEMKSRLAREQVAVERKPAASHVDKSRLPAQPSAGVKLESAKPKIYDGLESNSRLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRQPMMKSRNHIRSWANGRR >ONIVA05G17130.1 pep chromosome:AWHD00000000:5:18049847:18053336:1 gene:ONIVA05G17130 transcript:ONIVA05G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSLALLSTTSASLGLGVARSSARPRSPFGRGLALRGLSSSSSSFAATAAVMGKAGTAEAADAGMDAVQRRLMFEDECILVDEQDNVIGHDSKYNCHLMEKINSGHVLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEDKSLGVRNAAQRKLFDELGIQAEELPVDQFIPLGRMLYKAPSDGKWGEHELDYLLFMVRDVELSPNPEEVADVKYVNRDELKELLKKADAGEGGIKLSPWFRLVVDNFLMGWWDHVEQGTLEEAIDMKTIHKL >ONIVA05G17120.1 pep chromosome:AWHD00000000:5:18043355:18047061:1 gene:ONIVA05G17120 transcript:ONIVA05G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEF9] MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEAEYEEEEDAIQE >ONIVA05G17120.2 pep chromosome:AWHD00000000:5:18043416:18047061:1 gene:ONIVA05G17120 transcript:ONIVA05G17120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEF9] MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEAEYEEEEDAIQE >ONIVA05G17120.3 pep chromosome:AWHD00000000:5:18043355:18047203:1 gene:ONIVA05G17120 transcript:ONIVA05G17120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEF9] MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEAEYEEEEDAIQE >ONIVA05G17120.4 pep chromosome:AWHD00000000:5:18043416:18047203:1 gene:ONIVA05G17120 transcript:ONIVA05G17120.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEF9] MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEAEYEEEEDAIQE >ONIVA05G17120.5 pep chromosome:AWHD00000000:5:18043905:18047061:1 gene:ONIVA05G17120 transcript:ONIVA05G17120.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEF9] MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEAEYEEEEDAIQE >ONIVA05G17110.1 pep chromosome:AWHD00000000:5:18036373:18037560:1 gene:ONIVA05G17110 transcript:ONIVA05G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVRRRRLLLLPLPLRFFSAAAAAASTTTDPKVVSYLISSCGLTPAAAARAAATSPWLPLASPDFASNADAVVALLRRYGFTDADISATVRAFSRILASDPARTLQPKLDYLRSVGITAPLLPRVVSLSPVILHRSIESHLAPLIASLREVLGSDSRIVTALRQMPFAMRCSPKATFLRTLPVLRDVHGLTPSELSKLVASQPGVILLGPDRAGEIVQAVKDAGVEPGSPMFVYIFAAFSKLKAPTLENKFAIYRSLGFGKDDIAVMLRRLPNAAGISEERLKRTVGFLTGKAGLRREDIVAYPNLLSRSLDSHARRCAVLAVLRREGKPEGQHRVPHVLVASLARFMKAYVRRYEGEVPDVLRAINGEIPFEGFGLGELEKKKPQRQEKIRR >ONIVA05G17100.1 pep chromosome:AWHD00000000:5:18032071:18032965:-1 gene:ONIVA05G17100 transcript:ONIVA05G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQENGGEAPELKLFGSWASSYTHRVQLALRLKALEFVYAEEDLGNKSEALLRLNPVHKKVPVLVHRGRPLAESVIILQYLDDAWPESRPLLPSDPFDRALARFWCHFADDKLGPAVGAVFASTGKEQEAAVQQVHDNLALLEAELREGAFKGRRFFGGDQVGLLDVVLGCGSYWLAVFEEVTGVRLVDADAFPLFHAWLRDFEAQEEVKETIPSVDRLLEYARGLRQMLLALAAGAGAGAAAAAGSSPVTAAVDAPAPAAPPAAPQAAAVDI >ONIVA05G17090.1 pep chromosome:AWHD00000000:5:18017684:18018217:-1 gene:ONIVA05G17090 transcript:ONIVA05G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGATPRRARSGRRHRALGRIHAGQGSGGAVELWWRLSSHTASDPRRRHHLEGALSPPSSHRRFYARPRGCRSPDARSVVETLPGVEGPGEPSRRRSPPRPHRRPEVEGPVRSRAAAAELVTISHSCAPASPSPVPRSNPLRRVRRRPSPEERRAGAGGEEEGSQRRRREEGREPV >ONIVA05G17080.1 pep chromosome:AWHD00000000:5:18010144:18010434:-1 gene:ONIVA05G17080 transcript:ONIVA05G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEVRAGVWIRRQPTSVASVPTDATWIRAARHGFGRRIRRPRWIQPALRSVDSRGLRRFAIAALPFAAATIPIHCGSRRRFHSPPLRKRRGELI >ONIVA05G17070.1 pep chromosome:AWHD00000000:5:18005699:18006081:-1 gene:ONIVA05G17070 transcript:ONIVA05G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALQADCFTSDLSQLIIADVMSGAARRKHEYLGRVAILGVFKPDKINCSPMPTHPILQWMVTDLLTINFISVAPVEGDAMGDELVVMMGLARELTATQRARAMT >ONIVA05G17060.1 pep chromosome:AWHD00000000:5:18003741:18005602:-1 gene:ONIVA05G17060 transcript:ONIVA05G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSCCCNRRRGQSAGSCYHGRREAAEGAGSSFLGEGAMPVEFTSDQTHPEPMWPPHCTLQCRSSEASCLLCRMLYKIKGLFSVLKLSTQLYDVSVAKL >ONIVA05G17050.1 pep chromosome:AWHD00000000:5:17994392:17995413:-1 gene:ONIVA05G17050 transcript:ONIVA05G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEEPSWFARWEEQLPAPDELMPLSQSLITPDLAVAFDIPTHGGGGGGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGVGNGGGGGGGGGAGAGGSHSSGSGTDAATEHLFATGPVPFLPPGRAPAGGDPYPPFAPMGGHHHHPPQIGHFHHHPAARPLGHYGSGPGAGFDHGFLSRAVAGGGPPVGPPGMHHRMVGPAAGMAMMAPSPFAEELELGSRGGGGGGGRRELTLFPTTGDH >ONIVA05G17040.1 pep chromosome:AWHD00000000:5:17988426:17989248:-1 gene:ONIVA05G17040 transcript:ONIVA05G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQRRAREERASCNGGGYEGGRRRARGLPGGRSVHEGGGENTSTSELLLGMGGKAAYARRETAAEADSGGGSSPQRLDRDRPSSRWRRRYSSSWQRRRDSKLAAAEAQPGRHGPAEDALAAAELVAMVATAGSRRAGRRRWRPLRRKEARPMVVEADVVRPVAVEAAAVEARARRVPVWYDEAGRRGARKCSRRWRWLTGRRGRHWRSPMRRGRWQHTKAGRGGEGVDAVAPTHRRRFKWWWSNDTSVIDRQAVDGG >ONIVA05G17030.1 pep chromosome:AWHD00000000:5:17978983:17982454:1 gene:ONIVA05G17030 transcript:ONIVA05G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAASPPPPPPAAAEDGRVTSHVDPFLVEALDNPRHRLMVLRMEMDIQKFMQNPQLHEFEFQHFPTSYLRCAAHRVAQHYGLETTVADSLVDGSVSKIVAKKTSESKLPVIALSEVPSKQARNEHEAAEKLKFVICPRPKAFQNGAGDAGAKNNAARTVEERIEEYNKARARIFNGSISDIEGTSDLGALSVARDEPINVEPPVDENKVNTMNSRSRVAVFKDTEKDRSDPDYDRNYKRYVRGPVHDFNVSPGGFNFVVPQFMQYGVGFMQSANMSRNQPSVYFGQPDLSMGSSSGTAVYPQWPTPAMIYPHCYDNLGHMISQVPVYQSFNHG >ONIVA05G17020.1 pep chromosome:AWHD00000000:5:17973086:17973497:1 gene:ONIVA05G17020 transcript:ONIVA05G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREPHWSDLAIGDELVGVGHRWGGQAWWPPPVSVRALLEPLPLPVPHMAASTVVVAGDGGSEVVVAVEVMSPPLPHSDAEMYEYDEEAEKDYEEELRALG >ONIVA05G17010.1 pep chromosome:AWHD00000000:5:17965111:17968733:-1 gene:ONIVA05G17010 transcript:ONIVA05G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALLDPTAAFDLRLYPAHLFDLELPLAGGGGGDDDDDLPLDGLEFDLPGDFSVEDFLLRSPERDDSGEGSAAGSGPTASPSSSPTTSASNSAVANGSGGEVKHEESDEGRSGGGDPKWSLKRKQASPGPSSDAAKCRRSGDGDVSPSASASRTAVDSDEGGTVCEEEEDKRRAARLMRNRESAQLSRQRKKRYVEELEEKVKSMHSVINDLNSRISFVVAENATLRQQLSGGSVNCPPPGVYPPAPIPGMHFPWMPGYAMRPPGSHVPLVPIPRLKPQQPVPSSKVVKKPESKKTVENKSKSKTKTKKVASVSLLGLLLIMLVFGAFIPGFNHNFGMCGQSDNAMFRNFGQSHARVLSVSSQDKSSLNNSDMIGVDVGKMTGNTDGPGKKHQPAHNSSEILPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHKASKDDSDQSARDHKETSVAIARYLSLPGKDVNRQETSSADGPLPQWFREGMEGPILNSGMCSEVFQFDISTASSNPGGIIPASPVVNSSSVNATEKIPAHSAAYHGKLKNRRVMYNEAIPLTGKTANNTEPFNRTSESSSKLPDSKPASSVVVSVLADPREAGNGDGDPRVSPKPLSKIFVVVLVDGVRYVTYSCTLPFKSSSPHLVN >ONIVA05G17000.1 pep chromosome:AWHD00000000:5:17954821:17963945:-1 gene:ONIVA05G17000 transcript:ONIVA05G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G30720) TAIR;Acc:AT4G30720] MALAAASVSVLHLPAISLPLPCLQGSNPSRPSLGLTNALPWRRRGGRGAGAVAGAAGYWRLSKLAVPARDDPGKDFAGVSPPLLQAIAKALKFPVSSMLPEEAFTVIRKSFDARKILKEPQFVYTVDVDVKRLLDLEPRTWDFIARLEPKLGTIEYMPDEKVASDLKPRVAVVGSGPSGLFASLVLGELGAEVTLIERGQPVEQRGRDIGALVVRRILHSESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMKTFVQFGGPPNILVDGKPHLGTDKLVPLLRNFRHHLKELGVNIIFNTRVDDLVVEGGQVKGVVVSDSRLQLGSPNQTLSFDAVVLAVGHSARDTYSMLLRHNVDMHPKSFAVGLRIEHPQELINDIQYSELAAEVHKGRGRIPVADYKIVKSFGEGDAELPEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSAFVATVSSHDFRPFESHGSLAGVEFQREFERRAATMGGGNFVVPAQCVTDFLSNRLSVTTLPPSSYRLGVRPSKLHELFPSHVTEVLQQSIIMIEEEMPGFVSSEALLHGVETRTSSPLQISRNTGTYESTSLQGLYPIGEGAGYAGGILSASVDGMYCGFALAKQLSLFHGDIESTLGKAQNQKGFVKY >ONIVA05G17000.2 pep chromosome:AWHD00000000:5:17954821:17963945:-1 gene:ONIVA05G17000 transcript:ONIVA05G17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G30720) TAIR;Acc:AT4G30720] MALAAASVSVLHLPAISLPLPCLQGSNPSRPSLGLTNALPWRRRGGRGAGAVAGAAGYWRLSKLAVPARDDPGKDFAGVSPPLLQAIAKALKFPVSSMLPEEAFTVIRKSFDARKILKEPQFVYTVDVDVKRLLDLEPRTWDFIARLEPKLGTIEYMPDEKVASDLVSMLNVYKQGSDGELGINDTVNNGSICSPRKKPRVAVVGSGPSGLFASLVLGELGAEVTLIERGQPVEQRGRDIGALVVRRILHSESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMKTFVQFGGPPNILVDGKPHLGTDKLVPLLRNFRHHLKELGVNIIFNTRVDDLVVEGGQVKGVVVSDSRLQLGSPNQTLSFDAVVLAVGHSARDTYSMLLRHNVDMHPKSFAVGLRIEHPQELINDIQYSELAAEVHKGRGRIPVADYKIVKSFGEGDAELPEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSAFVATVSSHDFRPFESHGSLAGVEFQREFERRAATMGGGNFVVPAQCVTDFLSNRLSVTTLPPSSYRLGVRPSKLHELFPSHVTEVLQQSIIMIEEEMPGFVSSEALLHGVETRTSSPLQISRNTGTYESTSLQGLYPIGEGAGYAGGILSASVDGMYCGFALAKQLSLFHGDIESTLGKAQNQKGFVKY >ONIVA05G17000.3 pep chromosome:AWHD00000000:5:17954821:17963945:-1 gene:ONIVA05G17000 transcript:ONIVA05G17000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G30720) TAIR;Acc:AT4G30720] MALAAASVSVLHLPAISLPLPCLQGSNPSRPSLGLTNALPWRRRGGRGAGAVAGAAGYWRLSKLAVPARDDPGKDFAGVSPPLLQAIAKALKFPVSSMLPEEAFTVIRKSFDARKILKEPQFVYTVDVDVKRLLDLEPRTWDFIARLEPKLGTIEYMPDEKVASDLVSMLNVYKQGSDGELGINDTVNNGSICSPRKKPRVAVVGSGPSGLFASLVLGELGAEVTLIERGQPVEQRGRDIGALVVRRILHSESNFCFGEVMKTFVQFGGPPNILVDGKPHLGTDKLVPLLRNFRHHLKELGVNIIFNTRVDDLVVEGGQVKGVVVSDSRLQLGSPNQTLSFDAVVLAVGHSARDTYSMLLRHNVDMHPKSFAVGLRIEHPQELINDIQYSELAAEVHKGRGRIPVADYKIVKSFGEGDAELPEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSAFVATVSSHDFRPFESHGSLAGVEFQREFERRAATMGGGNFVVPAQCVTDFLSNRLSVTTLPPSSYRLGVRPSKLHELFPSHVTEVLQQSIIMIEEEMPGFVSSEALLHGVETRTSSPLQISRNTGTYESTSLQGLYPIGEGAGYAGGILSASVDGMYCGFALAKQLSLFHGDIESTLGKAQNQKGFVKY >ONIVA05G16990.1 pep chromosome:AWHD00000000:5:17950741:17952966:1 gene:ONIVA05G16990 transcript:ONIVA05G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEESNDDAGEQMPQQGGIKTMPFILANDFCDRFATIGFNANLITYLTAEMHLPLVEASNTLTNFHGASNLTPIVGGLIADSFAGRFWTIAAGSVAYQLGMVGLTVSALVPSLRPPPCRGEAVAVAGEACQRATPWQLLVLYLSLLCTSVGTGGTRPCVMAFGADQFELGKPPRRRPGEAGAPRWSFFNLYFFGVELAKLVAVTAVVYIQENVGWGWGLGVPTIAMLAAVTAFVAGYPLYVKMAPGGSPLTRLAQVAVAAFRKRNVAVPDDPGLLHDDKELDAGVSTTGRLLHTNQLTFFDRAAVVTDGDMEGGGGARPWRLSTVHRVEELKSIIRMLPIWAAGILLVTSASHNHSFAIQQARTMDRRVTASLEIPPASMLIFSNVAMLATLALYDRALVPRLRRLTGHPAGITHLQRTGVGLAISAVSNAVAAAVEGRRRRAAASHGLLDEPGATVPMSVLWMAPQYAIHGAADAFMDVGRMEFLYDQAPEGMRSTAAALYWLTMSAGSYMGTLLVTAVHERTRGEGEWLQDNLNRGRLDRYYWLVVTLQVINVVYFVICAKLYTYKKLETVDGVSTGERNDIVDDQENAGERDEKGSDVKDVELQPLLLSDVTLP >ONIVA05G16980.1 pep chromosome:AWHD00000000:5:17939209:17952027:-1 gene:ONIVA05G16980 transcript:ONIVA05G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLTLSAALPSLRPPPCAKHAADCQRASSSQIAVLYASLLFTSIGTGGTHPCIMAFGADQLELDAGARGRRGRKGPKWSFFNLYFFGIELAKLTAVTVIVYIQENVGWGWGLGVPTIAMFAAVVAFVSGYSMYVKMPPAGSPLVRLAQVAAAAFKKRKAVMPEPSRLYEDKVLDAGISTTGRLLHTDQLKFFDKAAIITDGDVLPSGEPKLWRLSTVHRVEELKSILRMLPIWAAGILLVTSASHNSSFAIQQARTMDRDITPHFKIPPASMLIFTNLAMLLTLAFYDRVLVRVLRRFTGHPNGITHLQRAGVGMTIAMLANAVAAVVESRRKSVAAASGMLDAPKGSSLPISVFWLVPQYAIHGVADAFMDVGRMEFLYDQAPESMRSTAAALYWLTMSIGSYLGTLLVTIIHAKTQRSGQWLQDNLNRAKLDSYYWLVFGLQGLNLIYYFVCVRYYTFKPLETVKPEEELELYRGNGNEGDGKKGGTLK >ONIVA05G16980.2 pep chromosome:AWHD00000000:5:17939209:17943190:-1 gene:ONIVA05G16980 transcript:ONIVA05G16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGRGEEKAVDVVAVKKPKQGGFRTMPFILANDFCDRLANVGFSSNLITYLTLQLHLPLVDASNTLTNFHGTANLTPLVGGLIADSFAGRFWTITFGSVIYQLGMVFLTLSAALPSLRPPPCAKHAADCQRASSSQIAVLYASLLFTSIGTGGTHPCIMAFGADQLELDAGARGRRGRKGPKWSFFNLYFFGIELAKLTAVTVIVYIQENVGWGWGLGVPTIAMFAAVVAFVSGYSMYVKMPPAGSPLVRLAQVAAAAFKKRKAVMPEPSRLYEDKVLDAGISTTGRLLHTDQLKFFDKAAIITDGDVLPSGEPKLWRLSTVHRVEELKSILRMLPIWAAGILLVTSASHNSSFAIQQARTMDRDITPHFKIPPASMLIFTNLAMLLTLAFYDRVLVRVLRRFTGHPNGITHLQRAGVGMTIAMLANAVAAVVESRRKSVAAASGMLDAPKGSSLPISVFWLVPQYAIHGVADAFMDVGRMEFLYDQAPESMRSTAAALYWLTMSIGSYLGTLLVTIIHAKTQRSGQWLQDNLNRAKLDSYYWLVFGLQGLNLIYYFVCVRYYTFKPLETVKPEEELELYRGNGNEGDGKKGGTLK >ONIVA05G16980.3 pep chromosome:AWHD00000000:5:17939209:17941042:-1 gene:ONIVA05G16980 transcript:ONIVA05G16980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLTLSAALPSLRPPPCAKHAADCQRASSSQIAVLYASLLFTSIGTGGTHPCIMAFGADQLELDAGARGRRGRKGPKWSFFNLYFFGIELAKLTAVTVIVYIQENVGWGWGLGVPTIAMFAAVVAFVSGYSMYVKMPPAGSPLVRLAQVAAAAFKKRKAVMPEPSRLYEDKVLDAGISTTGRLLHTDQLKFFDKAAIITDGDVLPSGEPKLWRLSTVHRVEELKSILRMLPIWAAGILLVTSASHNSSFAIQQARTMDRDITPHFKIPPASMLIFTNLAMLLTLAFYDRVLVRVLRRFTGHPNGITHLQRAGVGMTIAMLANAVAAVVESRRKSVAAASGMLDAPKGSSLPISVFWLVPQYAIHGVADAFMDVGRMEFLYDQAPESMRSTAAALYWLTMSIGSYLGTLLVTIIHAKTQRSGQWLQDNLNRAKLDSYYWLVFGLQGLNLIYYFVCVRYYTFKPLETVKPEEELELYRGNGNEGDGKKGGTLK >ONIVA05G16970.1 pep chromosome:AWHD00000000:5:17936782:17938824:1 gene:ONIVA05G16970 transcript:ONIVA05G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVADENGSPKKTKQGGFKTLPFILVQVLHLKCSIRVLRVHYSVNAANEVCDRFATAGFNANMITYLTQQLHLPLVEASNLLTNFTGTAAFTPVLGAIAADSFAGRFWTIAGGGVLYQIGMLGLVVSALVPALRPAPCGGGGAAAPCQRADGGQLAMLYLSLLCTALGSGGIRPCVVAFGADQFGLGGRRPGGEQKWSYFNLYFFSMGLAVLLALTVVVYIQETVGWGWGFGIPAIAMFVSVLSFVVGYPLYVKVKPEGSPFKRLVQVVVAAFKKIKEPVPDDAGELYHNKELDAAIAADGRLLHSDQLRQAFSMHRSLCPFTCGSLFILTQFNVFLDRAAIVTAGDIAGSGEPNLWRVSTVHRVEELKSIVRMLPLWAASITAIAAGSHNFTFAIQQARTMDRHLAPRFQIPPATMIIFTTLTMLVSLALYDRVLVPVARRYTGRRSGITYFQRMGAGFAVAALGVLAGALVEAKRRAAAAEHGLLDAPGAVVPVSVFWLVPQYALHGVGDALATVGHMEFLYDQSPESMRSSAAALFWVAGSLGNYLGTVLVTVVQSASRGEWLQDNINRGRLDYYYWLVTFLLVLNLAYYFVCFHFYTLKSFEVDAGDEAQRRRDVSGSAGETELSAGCVVASRNGVL >ONIVA05G16960.1 pep chromosome:AWHD00000000:5:17927845:17928224:-1 gene:ONIVA05G16960 transcript:ONIVA05G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVHGASSSTLWLSLQQWCSRAPCRTRVLRRRRRAAAPAIGFVTGDNLTVPLRCACP >ONIVA05G16950.1 pep chromosome:AWHD00000000:5:17920455:17920795:-1 gene:ONIVA05G16950 transcript:ONIVA05G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEDLNGKTHLAVDLINQLVSLWNATQGIHLEQTRAWTLPNANSIKIAYVSRIDSQPEAGQTNNGHCPLCHRI >ONIVA05G16930.1 pep chromosome:AWHD00000000:5:17910075:17915225:-1 gene:ONIVA05G16930 transcript:ONIVA05G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAERDGVEEEQKKRKQGGFRTMPFILANEICDRFATAGFGANLITYLTQQLHLPLVEASNTLTNFNGTSSLTPILGALAADAFAGRFWTIIAGSVFYQLGMLALVASALLPSLRPAPCAPTHGAASCRRATGWQLAVLYLALLCTSLGSGGIRPCVVAFGADQFDGQQQKQRQKGGGGAAAAAAGRKRSYFNLYFFTMGLAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSIVVFVAGYPLYVRLKPGGSPFTRLAQVVAAAFRKRRAAVPEDPGMLYQDKELDALISTNGRLLHTNQLTFFDRAAIVTPGDIAGSGEPDLWRLSTVHRVEELKSIVRLLPIWSAGILLATAASHNGTFTIQQARTMDRHLTPRFEIPPATMSIFTTVAMLAGLALYDRAFVPLARRVTGLPSGITYFQRMAIGLGISILGVASAALVEVRRRGAAADHGLLDSPAAVVPISVFWLVPQYAVHGVAEAFSSVAHMEFLYDQAPESMRSSAAALFWLSSSLGNYMGTVLVTAVQRATRGGGEWLQDNINRGRLDCYYWLVTTLMVLNLGYYLVCFHFYTMKPLEVAEEDDDEKECELSSVHKNGGPGGLV >ONIVA05G16920.1 pep chromosome:AWHD00000000:5:17902806:17903894:1 gene:ONIVA05G16920 transcript:ONIVA05G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATESQQAQVQAAATDAVAAAAVGRKVVDEVSGWLRVFDDGTVDRTWTGPPEALPLMQPVPAYAEPRDGHTLHDLPGEPNLRVYLPEVALAGRRLPVVVQLHGGGFCISHPSWLMYHHFYARLACALPAVVVAVELPLAPERRLPAHIDTGVDGLRRLRSIALSDAAALGDPAAELLRTAADFSRVFLIGDSSGGNLVHHVGARVGEDGADSWAPLRVAGGIPLHPGFVHATRSKSELEPRPDSVFFTLDMLDKFLAMALPEGATKDHPYTCPMGPNAPPLESVPLPPLLVAVAEHDLIRDTNLEYCDALRAAGKDVEVLVNRGMSHSFYLNKYAVDMDPATGERTRELVDAIKSFVDRH >ONIVA05G16910.1 pep chromosome:AWHD00000000:5:17899363:17900308:1 gene:ONIVA05G16910 transcript:ONIVA05G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAAGSGGETIASGGKWRRDHGCGWRRHGGLGRLAGGKEDGRIWPVRQRLSEVGLTQSMAGGRIGARGASGGGGRHGVRGAAGGDGGRLGTKGAARCIRWRRPAQRDEPRPVVKETTTVQGAAGGLAGGGRRCSGPTCQQSLNSGGASVHQRGLAGGEQRVKTQPGLGRANNDGSFPLLRALSGGRSRLTATGPVLAFSRTCVLALSVCG >ONIVA05G16900.1 pep chromosome:AWHD00000000:5:17899109:17899357:1 gene:ONIVA05G16900 transcript:ONIVA05G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAVEMAVAAGMATTAGLATATVWRQRGGSGRIGGGSGSQIERPSRRQYGARGTAAWSSLPSGGRWLQVGRWLVESAGGR >ONIVA05G16890.1 pep chromosome:AWHD00000000:5:17896845:17897144:-1 gene:ONIVA05G16890 transcript:ONIVA05G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGNAMDSAIEYARVSSDAMDSGARVDAAYQCVFQEYDRDALKANITAMGPLVLPTWDELLFVLLLPPLACLLKLISAMKLKLCCCLFSAVKCTLKMN >ONIVA05G16880.1 pep chromosome:AWHD00000000:5:17892664:17893761:1 gene:ONIVA05G16880 transcript:ONIVA05G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14170) TAIR;Acc:AT4G14170] MLARGVPPDHFTLPPVLRSCALTGSSALAASAHALALKIGAQGNLFVASALVLCYSGLSNLPDARRLFDGMRERDAVLWTSMLSAYAQGGHPEAALRFFQGMVAARVQLDAVVMVSSLLACGQIGWRRHGRSVHACCIRRLPGMPLSLGNALVDMYVKCGEFAFAERVFAGMPRRDVISWSALILGHGLNGRSDVALRLFDEMAAEGVRPNSVTFLGALSACAHSGMVDKAYAIFEGMKRHGVKPELKHYSCMADALGRDGRVVAAVKLIEEMPFEPDEAMLGGVLAACRVHGEMEAAERISKKLMGMSPSKSGYFMSLANIYSDAGRYSDAERIRGFMKEVKVDKLPGYSSVEFDVNVSEPRSG >ONIVA05G16870.1 pep chromosome:AWHD00000000:5:17888469:17889530:-1 gene:ONIVA05G16870 transcript:ONIVA05G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II reaction center protein D [Source:Projected from Arabidopsis thaliana (ATCG00270) TAIR;Acc:ATCG00270] MTIALGRVTKEENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGFMLRQFELARSVQLRPYNAISFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSAIGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQDQPHENLIFPEEVLPRGNAL >ONIVA05G16860.1 pep chromosome:AWHD00000000:5:17860453:17862127:-1 gene:ONIVA05G16860 transcript:ONIVA05G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQQQQQQRQATGESLMQKCKPYVAMVSLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATLSIAPFALVLERKVRPRMSFWVFLQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVIFRMEKVNLKKARCVAKVVGTLVTVAGAMLMTLYKGRAVEMVWTKHMHLHGPHQDAVAAAAADKDWLRGSIFLIIATLAWASLFILQAATLKRYDAPLSLTTLICFVGTLQAIVVTFAMEHSMSVWKIGFDMNLLAAAYAGIVTSSIAYYVQGLVMQSRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGIIGSVLIVAGLYSVLWGKHKENAEKKEAEAMEIPVAIKGVDGNGRVMDIVELDEVQLEKAQVNGKAAAAAAHEHAAVVAVAVPAEEARMQGKDEA >ONIVA05G16850.1 pep chromosome:AWHD00000000:5:17851333:17856725:1 gene:ONIVA05G16850 transcript:ONIVA05G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-5 [Source:Projected from Arabidopsis thaliana (AT2G38720) TAIR;Acc:AT2G38720] MATAPPRVSCGSLLQELQVLWGQIGQNEAERDRMILQLEEDCLNVYRKKVEQTRKQKEDLIEELSFGELDIEKILSALGERESFSRVEKLGGTLLEQLAKVEPVLEDLRRRRDERVEEFMVVQAQIVRLHAEISGTIENGDPVPPLVDETNLSLRRLAEFKSQLKELQTEKNLRLQKIDVQINCIHEICNMMSLDLKKELYDVHPSFVELGRTTSMSISDSTLERLAGKVHSLNQEKKQRLRKLQDLGSTLIELWNLMDTPTAEQKCFDHVTSLISVSPNTKMPQGCLARELIEKVDVEVKRLNCLKASKMKELVLKKMIELEEIYKSVHMDIDSDYEGRILNDLIDSGKADLSDLLTGMDGRITKAREHALSRKEILEKVEKWTLASEEESWLDEYERDQNRYNAGRGAHKNLKRAEKARMLIRLLDSLEEYTSRRQQKDEEKRRSRELKKLQEQYAAEQGATFGTKPSPARPPSARKPLGQSSNANIISGTPTSRRVCTPMARKGGLSSGKVKEAGKTAFIPANYVALPKDCSDNSNL >ONIVA05G16840.1 pep chromosome:AWHD00000000:5:17846347:17850657:1 gene:ONIVA05G16840 transcript:ONIVA05G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HEC8] MLRRRGFCCCSGAPTAAAAALLLLAVAAAAPRAAGFHLGGDESVLVRGMLAAIRREQAEAEDAARFAVAEYNKNQGAELEFARIVKAKRQVVTGTLHDLMLEVVDSGKKSLYSAKVWVKPWLDFKAVVEFRHVGDSQSQSATDADGNAGQDTADPTVASRNDLHNTENNKVSVDLSTFSQTYSV >ONIVA05G16830.1 pep chromosome:AWHD00000000:5:17843487:17845087:1 gene:ONIVA05G16830 transcript:ONIVA05G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTITTPSRRERYLFALNQLKGEKGVRAFEELLKSQDTLEEEELYMMNNGISEEAAKTFYELIPSTEKLKVLHFHNNMMGDEGAMFVAEMNKGTLAIVNTRKQLTPQIEVLEMARNKINAKEAQALAECLTTLQSLKKLTLAENGLKDDGAVVIAKALEDGHQDLKELDVSKEYVAEDGSSNDPERDLDDDGKEEEDDGEWDSKLQVLKVE >ONIVA05G16820.1 pep chromosome:AWHD00000000:5:17839973:17841395:1 gene:ONIVA05G16820 transcript:ONIVA05G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLARPSLAALLALCAFAAVERPPAGVGAANVPITTCRSFCGNITVDYPFALRAGCGHAGFRELLYCINGALMLHLPSGSYRVLDIDYAYRGLTLHDPAMSDCRALDRSRGGRGNGFVVEPWRAPYLAPDPDNVFLLLGCRASSPLFQGFPDRHLPCRNVSGMGCGEYYGCPAWDDYGGRRPSGAAYGAAAPPECCAVSWDAIRAVNVSRLECEGYSSAYSLAPVRAAGPAGWAYGIRVSWALPEANRGFCGACRATGGVCGHDGDSHGDLCLCGDWNSTSNCDSSADAARPNAASAAPRAIVALCLGVLASGFSFL >ONIVA05G16810.1 pep chromosome:AWHD00000000:5:17832013:17835352:-1 gene:ONIVA05G16810 transcript:ONIVA05G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENMFRANRIQQGDRRLKMPSASKSKSKDRSAAKAPKEQPKVAAKPMGNGTVASAYNNFSGKFHLLEPSSSLLVSQGNDKLRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDPKEKSTSTAPRVDSVPGCDVDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLEALAQKLVAMGFSSDQATMALIQNEGCLEESVAWLCSFDGSEEAKQQTAADQQPGVNLKIDITDELAKIATLEVKFKCTKQEIERAVVSSEGDLEKAEEVLKTQKQESTATASKPEGSGDSSGLANKAQLMLAQNPTRPQTNGFSSVGAQQMRRDEKDLNYKLLLNGSGPKEHAVKGFQPLAPSVKPEMGRPQFVQPEKRRLNSNSVPSVSYITSSPLPVAAPQIKSETRHVAGGNEVKNAMHNGNLRESVVVMQRPQSAAAKQSLPSTSHSMFASEPPREWYLNGASGVDMMLNGGLGHGLRNMSLDGVNSSKQFVHANHQQSFVSNPIDLAANGWGGTWGSGGTSSSLGAASSLGLFRGWSSSESSSSLSRPDWRTNGPSPCDYTSIDWSLDTTLLNPAAKSEWLSDTWSTMFMGGRSTRPSGNLGGPGIAGLHESNFPMDPSPSPRPYDWPSFCRGGSS >ONIVA05G16800.1 pep chromosome:AWHD00000000:5:17820503:17825783:-1 gene:ONIVA05G16800 transcript:ONIVA05G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTFSISRGGFVGALPQEGHFAPAAAELSLHKLQSRPHKARRRSSSSISASLSTEREAAEYHSQRPPTPLLDTVNYPIHMKNLSLKELQQLADELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNTPQDKILWDVGHQSYPHKILTGRRDKMPTMRQTNGLSGFTKRSESEYDSFGTGHSSTTISAALGNLLFFLQPAYVRLAGMAVGRDLKGGKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPAPPVGALSSALSKLQSSRPLRELREVAKVIPIRYQTISITYQVILAKYQVKPVRYQAIPTTYHVILTNYQVSGDSYHVLGDTCKISRAGHQSVVAGGTTVHVSRRSLSPATASSTVHGMSELIAGGGVLCHPHFTPELVADGRILHRLRHGVTKQIGGSVHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLITILREVKSTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVAKFDPATGKQFKSLAKTLSYTNYFAEALIAEAEQDNRVVAIHAAMGGGTGLNYFLRRFPNRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDEAELCHMVATAAAIDDRPSCFRYPRGNGIGVPLPPNYKGVPLEVGKGRVLLEGERVALLGYGSAVQYCLAAASLVERHGLKVTVADARFCKPLDQALIRRLASSHEVLLTVEEGSIGGFGSHVAQFMALDGLLDGKLKWRPLVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNVLGQAREALAIMTVPNA >ONIVA05G16790.1 pep chromosome:AWHD00000000:5:17816451:17817326:-1 gene:ONIVA05G16790 transcript:ONIVA05G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02750) TAIR;Acc:AT5G02750] MEANHAHRSSSETLMAALLSSSVPASRLLPSLAADTRRLRCRLAFLLLSPPHFSRALARLRAMSLPSKAELLGRVLIRSLLLLLPALSPDGSHHLLRIPATDLDAAILLLAMCDSYSPPAAASSSSPSCSSPVDWHALLVDDAVGSALSISGLGATPWASLAPYVDAAAKCRRFADVVSQERVAVGGGKDGEWRGGASYAAVLAMPPAAGDGAPCAICREEMVRRGGGGVCALRPCGHRFHWHCALRWLARRNTCPCCRAELPAEDARAETRRLWRAVERMATGDDSVGCA >ONIVA05G16780.1 pep chromosome:AWHD00000000:5:17795297:17798810:-1 gene:ONIVA05G16780 transcript:ONIVA05G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILSLLELGVSATVHLLFGLYVFSTAVAADISQAAAASGCLLLRRPAAPGLVNVAAAGEEEERRGAAPVVLDGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEEHSKVVRVLHQMLADKAFPGHDTSEDWVLSLTSLSGALNGTTRTYYDGMLAEDGRSMKSICLLQLCRIGVIVYDWLDIPWLKNYYNFGFDHFEMSWRKVGLSGLIDLLLGHTGPFASGDWILPDLTIQGSLKLNSTLRTFPNTFYFSYATKRTRKLFGITVPSSVLGIHPMLFLRVLQMCMWRHPQNAPLPYKGYRDEDWEDNDGALNTISMTHPRIPTEHPNRLVVDDSDCHPLQPGIWYYKIIEADHILFIVNRERAGVQFDLLYDGIFQRCRKHAFRRSPPTVPNESSQKRRKIGINFACQR >ONIVA05G16780.2 pep chromosome:AWHD00000000:5:17795822:17798810:-1 gene:ONIVA05G16780 transcript:ONIVA05G16780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILSLLELGVSATVHLLFGLYVFSTAVAADISQAAAASGCLLLRRPAAPGLVNVAAAGEEEERRGAAPVVLDGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEEHSKVVRVLHQMLADKAFPGHDTSEDWVLSLTSLSGALNGTTRTYYDGMLAEDGRSMKSICLLQLCRIGVIVYDWLDIPWLKNYYNFGFDHFEMSWRKVGLSGLIDLLLGHTGPFASGDWILPDLTIQGSLKLNSTLRTFPNTFYFSYATKRTRKLFGITVPSSVLGIHPMLFLRVLQMCMWRHPQNAPLPYKGYRDEDWEDNDGALNTISMTHPRIPTEHPNRLVVDDSDCHPLQPGIWYYKIIEADHILFIVNRERAGVQFDLLYDGIFQRCRKHAFRRSPPTVPNESSQSQ >ONIVA05G16770.1 pep chromosome:AWHD00000000:5:17784184:17793679:1 gene:ONIVA05G16770 transcript:ONIVA05G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:squamosa promoter binding protein-like 7 [Source:Projected from Arabidopsis thaliana (AT5G18830) TAIR;Acc:AT5G18830] MDAPGGGGGGGGGVDAGEPVWDWGNLLDFAVHDDDSLVLPWGDDSIGIEADPAEAALLPPAPSPQPAEAEAEAAGPASLPSSMQAEGSKRRVRKRDPRLVCPNYLAGRVPCACPEIDEMAAALEVEDVATELLAGARKKPKGAGRGSGAAVGGSGGGASRGTPAEMKCQVPGCEADIRELKGYHRRHRVCLRCAHATAVMLDGVQKRYCQQCGKFHILLDFDEDKRSCRRKLERHNKRRRRKPDSKGILEKDIDDQLDFSADGSGDGELREENIDVTTSETLETVLSNKVLDRETPVGSDDVLSSPTCAQPSLQIDQSKSLVTFAASVEACLGTKQENTKLTNSPVHDTKSTYSSSCPTGRVSFKLYDWNPAEFPRRLRHQIFEWLSSMPVELEGYIRPGCTILTVFVAMPQHMWDKLSEDTGNLVKSLVNAPNSLLLGKGAFFIHVNNMIFQVLKDGATLTSTRLEVQSPRIHYVHPSWFEAGKPIDLILCGSSLDQPKFRSLVSFDGLYLKHDCRRILSHETFDCIGSGEHILDSQHEIFRINITTSKLDTHGPAFVEVENMFGLSNFVPILVGSKHLCSELEQIHDALCGSSDISSDPCELRGLRQTAMLGFLIDIGWLIRKPSIDEFQNLLSLANIQRWICMMKFLIQNDFINVLEIIVNSLDNIIGSELLSNLEKGRLENHVTEFLGYVSEARNIVDNRPKYDKQRQVDTRWAGDYAPNQPKLGISVPLAESTGTSGEHDLHTTNAASGEEENMPLVTKALPHRQCCHPETSARWLNAASIGAFPGGAMRMRLATTVVIGAVVCFAACVVLFHPHRVGVLAAPVKRYLSRNYSS >ONIVA05G16760.1 pep chromosome:AWHD00000000:5:17775873:17776228:1 gene:ONIVA05G16760 transcript:ONIVA05G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKDLNEMFPVAKVEAAPPANPRGFVNLLCKQLDHLGNARFDPVLFRVDAYGNVLYLHADTASPLAWDIDHWFPTPK >ONIVA05G16750.1 pep chromosome:AWHD00000000:5:17769154:17774753:-1 gene:ONIVA05G16750 transcript:ONIVA05G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHARPLLPLLRRHLHRSATVHRSPAPAPPDGIPSSSSAPPDPAAARWPSSWSPAAPPLHSFAASHLREAVSPIAAAILALPGVDPDPLPALVEHNFPTLLAVSPLASLELLSLLRPNPQLGLAVFSSRRTLSPPATLPEFVLAISLAGRARDPAAAARLFAEASTAYCPDQALYNALMSSYMHSGLADRCIEAFHTLERDPRCGPPNADSYNILIALFGRSLLVDHMEATLLSLDASGHPRTIGTYNAIIAGYLTAWMWEKMESVFDEMVSGHVAPDKTTYLLMLRGYAHAGMIYKMELAYERARQHVGQVDMVHIRAMICAYCKFKHVDRIQKIEELLQKLGPDDYRPWLAVLLIRAYAQEGLVERMEQWIAEALERNTIVTTVQVMRSIITSYFQCDAVDKLAHFIRQAEEAGWKLCRSLYHCKMVMYGKQHRLPEMHGVLDEMEFFRFDRTKKTFWIMYKAYVNSGRMAEANTILCMMGKHGFGFPRGGTGADVEEASDGIGGGDVSGVRDSPVLGVDSSEVAAPYLRQCGRSQRQRRWRLVEGEVMGMALTWAAGKTALTAPNQIGSRGACMDGGRLSYFFR >ONIVA05G16750.2 pep chromosome:AWHD00000000:5:17769496:17774753:-1 gene:ONIVA05G16750 transcript:ONIVA05G16750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHARPLLPLLRRHLHRSATVHRSPAPAPPDGIPSSSSAPPDPAAARWPSSWSPAAPPLHSFAASHLREAVSPIAAAILALPGVDPDPLPALVEHNFPTLLAVSPLASLELLSLLRPNPQLGLAVFSSRRTLSPPATLPEFVLAISLAGRARDPAAAARLFAEASTAYCPDQALYNALMSSYMHSGLADRCIEAFHTLERDPRCGPPNADSYNILIALFGRSLLVDHMEATLLSLDASGHPRTIGTYNAIIAGYLTAWMWEKMESVFDEMVSGHVAPDKTTYLLMLRGYAHAGMIYKMELAYERARQHVGQVDMVHIRAMICAYCKFKHVDRIQKIEELLQKLGPDDYRPWLAVLLIRAYAQEGLVERMEQWIAEALERNTIVTTVQVMRSIITSYFQCDAVDKLAHFIRQAEEAGWKLCRSLYHCKMVMYGKQHRLPEMHGVLDEMEFFRFDRTKKTFWIMYKAYVNSGRMAEANTILCMMGKHGFGFPRGGPGGTHMFHFPTN >ONIVA05G16740.1 pep chromosome:AWHD00000000:5:17739093:17743046:1 gene:ONIVA05G16740 transcript:ONIVA05G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDEVNRNECKTVVPLHTWVLISNFKLSYNILRRADGTFERDLGEYLDRRVPANARPLEGVSSFDHIIDQSVGLEVRIYRAAAEGDAEEGAAAVTRPILEFLTDAPAAEPFPVIIFFHGGSFVHSSASSTIYDSLCRRFVKLSKGVVVSVNYRRAPEHRYPCAYDDGWTALKWVMSQPFMRSGGDAQARVFLSGDSSGGNIAHHVAARAADEGVKVCGNILLNAMFGGTERTESERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLGGLPFAKSLIIVSGLDLTCDRQLAYADALREDGHHVKVVQCENATVGFYLLPNTVHYHEVMEEISDFLNANLYY >ONIVA05G16730.1 pep chromosome:AWHD00000000:5:17724727:17726060:-1 gene:ONIVA05G16730 transcript:ONIVA05G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPKSPVRPSCRLSPGRKGPARPSWAHSAALLSVKTPAVVTVGPTCKSNGKWEKKNLSYPTDSEKSGDERDREENTRLSSSSSPFSTGSCGSGGRATRGSPKSAQN >ONIVA05G16720.1 pep chromosome:AWHD00000000:5:17718063:17724657:-1 gene:ONIVA05G16720 transcript:ONIVA05G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G03020) TAIR;Acc:AT4G03020] MGYGMSRMEEEYSEHEDQNNGGSNSQVNNEFLNTHNDIFHMTQIRSGPSESLRKSIGTSKDVISTTRLLSGREINSSGNGKFSSVDRAFLLGRYLPVDGPEIVDRMDSRAYVSQFSADGSLFVAGFQGSHIRIYDVDKGWKVHRDIHARSLRWTISDASLSPDQQFLVYSSLAPIIHIVNVGTAAKQSYANITDIHDGLDFSQHEDVRYTFGIFSVKFSSDGRELVAGSNDDSIYVYDLVANKLTLRLPAHHSDVNTVAFADETGHLIYSGSDDNLCKVWDRRCLSTEEPAGVLTGHLHGITHIDSRGDGRCFISNGKDQAIKMWDIRKMTSNADSYEDRTSNWDYRYSRYPQQYKQLKHPHDQSIATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSYDSSVCIYDVVSGSQVAKLKGYHQLAIRDCSWHPFDPMLVSSSWDGRVAKWSRSSCQQEETTDLD >ONIVA05G16710.1 pep chromosome:AWHD00000000:5:17716194:17717578:1 gene:ONIVA05G16710 transcript:ONIVA05G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTGRAAAVGSSSLTRGCARGDQRERDRQRAQARKPVVKGRDDGLTPEQRRERDAKALQEKAARKAAQATGQGGNGGAGAKGKSGGKK >ONIVA05G16700.1 pep chromosome:AWHD00000000:5:17708564:17709745:1 gene:ONIVA05G16700 transcript:ONIVA05G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLARLGVIVVLWAVVAEGILDPVDFLALQAVRRSLDDMPGSSFFEAWDFTADPCGFPGVFCDGNKVSALALGDPRAGSPGLSGRLDQALGRLSALTELSLVPGRVEGELPESLSSCRNLRFLAVSKNLISGQIPDGLGGLSNLRTLDVSFNQISGTIPASIATLPSITNFILCHNHLTGGIPSFPDSSPLIRLDLKHNDLSGGVPNLPSTLQYLSLSANRLTGTVDSVLPRLTRLNYLDLSMNQLDGPIPASVFTLPLSVLQLQRNFFSGLLQPANDVTIQVVDLSYNRFWGPVSPLLAGVGQLYLNNNRFTGEVPARLVQELVGSGGLQVLYLQHNFLTGIEISPASSLPSSVSLCLMYNCMVPPVYAPCPLKAGSTNTRPADQCPEWRG >ONIVA05G16690.1 pep chromosome:AWHD00000000:5:17694039:17695276:1 gene:ONIVA05G16690 transcript:ONIVA05G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGNAVGCVARREGTRRDKQAAGTSSSPPPGGRLVATTTSGSRMGGGTSARWRRLRRVHLRLARPAAERLRRLRISDGTPHT >ONIVA05G16680.1 pep chromosome:AWHD00000000:5:17684296:17684633:-1 gene:ONIVA05G16680 transcript:ONIVA05G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLRRAATAVLVVVLAVVVAAAAKPRRILVDTDMDTDDLFALLYLLKQNRSEFELKSAFLPN >ONIVA05G16670.1 pep chromosome:AWHD00000000:5:17674142:17680794:-1 gene:ONIVA05G16670 transcript:ONIVA05G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTYHRGFGGGSRIGGSARAAGTLEATGLSSPRCRGLPGNVRNVLDKFFVGLCKKSMVHYSSCKHEQAVTIDVNAWTDAGHAVNHLYDMLYMMGRDDIPVGVGGDGGISGHGTIHPNVGGYLPLIDQGMTTFGPCRYRQAIPLEGGGRLDIDTNSGIRKGFLPQGNRRYIPLHQSTAQQVLIETISAGPTTVMLIGSHTNFAIFLMTHPHLKKNVEHIYIMGGGVRSENPTGCCPKNSTTSCTPQQCGDHGNLFTSYRTNPNAEFNMFADPFAAYQVFHSGIAITLVPLDATNTIPVNEEFFHAFQQLQSTYEAQYCFDSLKMARDTWFNDEFYTSYFMWDSFTSGVALSSMRNDNNCQSENDFAELKYMNITVITSNKPYGIHDGSNPLFDDHVIPKFGLQKGGVHSGHVQTGITDSFCLAKESKKGRCEDGYTKEESGPEAVRVCVATKAKVNVDKSSLLDREFFKSFLETLNLPENTGLFNITAQFPFYREVLYRPDFTNKSRGKPVIFDMDMSPGDFISLIYLLKVPTELIDLKGILVSGNGWANVASIDIVYDILHMMGRDDIPVGRGSTSALGTESLGCKYVSAIPQGSGGLLDSDTLYGLARSLPRSPRRYTAENSVKYGAPRDTDHPELRQPLAFEVWQFVKHQLDPNEKITILTNGPLTNLANIVLSDRNASSVIKSVYVVGGHIRDDSNTKGNVFSVPSNTYAEFNIFLDPLAAKTVLDSTLDITLIPLRAQRKAASFHALLEALKHAETPESRFVHHLLTLLHDLQQKHQLYHHMDMFLGELLGAVSLVEGSNIKQSLQRKPISIVANSTTSIDGQTVVDNQSANLVKVLLDFNSEEYYKRVANSLGDKERSAVISGFAEQRAIWSNPPENGGV >ONIVA05G16660.1 pep chromosome:AWHD00000000:5:17659575:17664932:-1 gene:ONIVA05G16660 transcript:ONIVA05G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTAATAAAVLLLVAVGAAPAASGVAAGGAGRATAAAAAAKPRRILVDTDMDTDDLFALLYLLKQNRSEFDVKAITINANEWSDAGHAVNHLYDLLHMMGRDDIPVGVGGDGGVSDSGALRAPDVGGYLPLIDQGTSTAGGCRYRQAVPAGRGGRLDVDTNSGVRRGFLPQGRRRYRPVTQPTAQRVMADTVSGGPTTVLLFGAHTNLALLLMAHPRLARNIDRVYVSGGAVRAADPAGNLFTAFATNPFAEFNIFGDPFAAYQVIHSGIPITMIPLDATNTISVTEEFVSEFQQHQQTYEAQYCFQSLDKSYYMWDSFAAGVALSSMRNGEVDGENEFSELEYMNITVITSNKPYGKRDGSNPFFDGRATPKLGLKEGGVHSGHVQTGIRDSFCLVPGSNRGRCEDGYTREVSGPEGVWVRVATRAKPNTDKNSSLEKEFSKSFLEVLNRPEQTGLFNINTQFPYYREVLYKPVFRNVSRGKPVIFDMDMSPGDFVSLIYLLKTPIEVIDLKAVLVNGNGWANIASIDIVYDILHMMGRDDIPVGLGNTTALGIPTLGCNNSYAIPHGSGGFIDSDTLYGLARSLPRSPRRYAPESLDHPEDRQPLALEVWQSVRKQLDPGEKITVLTNGPLTNMANISLSDRDASSVIERVYVVGGLIKDGGDENGNLFTVPSNKHAEFNIFLDPLAAKTVLESDLKIALIPLTAQRKAASFRAVLAALEDIQHTHESKFVHELLSLLQELQIKQKLYHHLDIFLGEILGAVYMVEGSGLKPSVELKPVSVIANTNKSTDGQIVISKNSAKLQTRDSLPLLGVLKNKRQFGAGRLHSTGNKKADMIMLEVAEERVSLGAEESLHESRSNLEN >ONIVA05G16650.1 pep chromosome:AWHD00000000:5:17655731:17661428:1 gene:ONIVA05G16650 transcript:ONIVA05G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGEVVEEEEEEVTAAPAPAAMVAAAEFGLTAEVMAVLPEDPFEQLDVARKITSIALASRLGRLEAEGARLRAQLAERDAAAEDLRERVEQLDAALAVATGRLRRAEEEKEALQRDNSLLSNTVRRLNRDVAKLEVFKKTLMQSLQEDEDPANTTPKARVSETSNFSSATSVGDEDSAFPVSKSSQLSETASSVSEESSHVEPDVPRPPRPHVFLPSYNSTPRVTPPDSPPRSFASISPPRRHSISITSRNLFDDRSSAYSGHSSVTSPFDAASHTGRTRVDGKEFFRQVRNRLSYEQFSAFLANVKELNSHKQTREDTLRKADEIFGPDNKDLYTIFEGLITRNIH >ONIVA05G16640.1 pep chromosome:AWHD00000000:5:17647999:17654292:1 gene:ONIVA05G16640 transcript:ONIVA05G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGFAGAGGGGGMRRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPVYSDGTEGFRTSHPMLLVVAGDETNGSGMVQGGRLSALIRDNSSETPNGNCISTPTVVRFYSLKSHSYVHVLRFRSAVYIVRCSPRIVAVALAAQVYCFDAVTLENKFSVLTYPLQGAPGINIGYGPMAVGPRWLAYASNSPLLSSTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQIAAGIINLGDMGYKTLSKYCQELLPDGSGSPLSSSPGRRSGKLPSSVHPLEADNAGMVVIKDFISKEIISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTVIANSSGSIRYDWTASHVHLYKLYRGMTAAVIQDISFSHFSQWISIVSSRGTCHIFTLSPFGGDASLLPQNSHSDGLPLAPCQSRPWWSKPSFLMDHQLHPAPSTVTNSVVSRIKNSSSGWLNTVSNVAASASGKLSVPSGAVTAVFHNSNYEGSLPVPSKANAMEHLLVYSPSGHVIQHELLPSGSESSDSSPIVGPGSLQIQDDELHVTAEPTQWWDVCRRTNWPERDENIANIVFHNQRNSMMAMDASDCDSEHSDSVPSDGISGKEMMRSRERSSWYLSNAEIFFYVIDQPPAKSGESLSSSGGEIEIEKLPLHEVELRRRELLPVFKQFHYSEQNFSDRNLAIGRFQNALTYIDKDNGAHGSKAGFPISGFYSDMRKMQNMNGLEGQLLLEPITNDLQPMEKCNSVQSPKVANFTALHNVDNESMNHVSTATGATTSVTTVDTLPSTIRPLSSYSLLDGSLDDGLPSPASNVSFRPQITNNSSVSNGTMTDISNGCLTSINSGQNEASDSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGAVFAFSEEG >ONIVA05G16640.2 pep chromosome:AWHD00000000:5:17647972:17654292:1 gene:ONIVA05G16640 transcript:ONIVA05G16640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPVYSDGTEGFRTSHPMLLVVAGDETNGSGMVQGGRLSALIRDNSSETPNGNCISTPTVVRFYSLKSHSYVHVLRFRSAVYIVRCSPRIVAVALAAQVYCFDAVTLENKFSVLTYPLQGAPGINIGYGPMAVGPRWLAYASNSPLLSSTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQIAAGIINLGDMGYKTLSKYCQELLPDGSGSPLSSSPGRRSGKLPSSVHPLEADNAGMVVIKDFISKEIISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTVIANSSGSIRYDWTASHVHLYKLYRGMTAAVIQDISFSHFSQWISIVSSRGTCHIFTLSPFGGDASLLPQNSHSDGLPLAPCQSRPWWSKPSFLMDHQLHPAPSTVTNSVVSRIKNSSSGWLNTVSNVAASASGKLSVPSGAVTAVFHNSNYEGSLPVPSKANAMEHLLVYSPSGHVIQHELLPSGSESSDSSPIVGPGSLQIQDDELHVTAEPTQWWDVCRRTNWPERDENIANIVFHNQRNSMMAMDASDCDSEHSDSVPSDGISGKEMMRSRERSSWYLSNAEIFFYVIDQPPAKSGESLSSSGGEIEIEKLPLHEVELRRRELLPVFKQFHYSEQNFSDRNLAIGRFQNALTYIDKDNGAHGSKAGFPISGFYSDMRKMQNMNGLEGQLLLEPITNDLQPMEKCNSVQSPKVANFTALHNVDNESMNHVSTATGATTSVTTVDTLPSTIRPLSSYSLLDGSLDDGLPSPASNVSFRPQITNNSSVSNGTMTDISNGCLTSINSGQNEASDSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGAVFAFSEEG >ONIVA05G16630.1 pep chromosome:AWHD00000000:5:17635612:17638097:-1 gene:ONIVA05G16630 transcript:ONIVA05G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGILLAAFLAAAAVLFALRLLHSFLWVPRRLERRFRRQGIRGPPRRPLSGNAAGYRDLLAAAQSAPLASFHHAVVARVAPHHREWPERYGRPFVFWLGPRPRLVVSDPEVVKAVLTDTTGTFGKAGPGGNNPLARQLFSEGLVGLTGEAWARHRRVIAPAFNMERVKAWIPEITSITSSMLDKWELQDEVRTEFEIDVNKEFHTLIADVISCVAFGSSYEEGKRVFQLQEEQLKLVILAMRTVYIPGFRFVPTKKNRRRKIVNQEIRNSLRKLIEINGRKCEDSNNLLGMMLSASKIDSEFKMGIEEIIDECKTFYFAGKETTATLLTWATLLLALHQEWQNKARDEVLQVCGKYEHPKAENLSELKIVNMVLKETLRLYPPAVFLNRIANRDVKLGKLDIPAGTQLQLPILDIHHDVSIWGADADEFDPSRFAEGKSYHLGAYFPFGIGPTICVGQNLAMVEAKVALAMILQRFALVVSPSYVHAPMLSHSNPSMVLKFLSIRFETSMDLKYGERFGRMFPARLNFLSEVESAGAMGAFSVYKQHLVPAEMYRK >ONIVA05G16620.1 pep chromosome:AWHD00000000:5:17619700:17621982:-1 gene:ONIVA05G16620 transcript:ONIVA05G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGILLAAFLAAAVPFALRLLHSFLWVPRRLERRFRRQGIRGPPRRPLSGNAAGYRDLLAAAQSAPLASFHHAVVARVAPHYREWPERYGRPFVFWLGPRPRLVVSDPEVVKAVLTDPTGTFDKAGSGGGNPLARQLFGEGLVGLTGEKWARHRRVIAPAFNMERIKFLDGWISEITAITSSMLDKWEVQDEARAEFEIDVRLPLPLSLLGTHNFQMPQLREKIVTSFSHRFVPTKKNRRRHMLNQEVRNSLRKLIEINGRKCEDSNNLLGMMLSASKLGSEFRMGIEEIIDECKTFYFTGKETTANLLTWATLLLALHQEWQNKARDEVLQACGKSEHPNAENLSNLKIVNMVLKETLRLYPPAMFLNRMVNRDVKLGKLDIPAGTQLHFPILDIHHDVNIWGTNADEFDPSRFAEGKSYHLGAYFPFGIGPTICVGQNLTMVEEKVALAMILQRFALVVSPSYVHAPMHGVTLQPQYGAQVLAHKI >ONIVA05G16610.1 pep chromosome:AWHD00000000:5:17617070:17617423:1 gene:ONIVA05G16610 transcript:ONIVA05G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPHDCLDRVRARPHATRRSPRQQAARRRDARPRGGQAAPAVTRVVRVKVAAAGAGADAYAGPAFGSMSPSPRALPLPRFSSSSSSSRAAAAAAAGVDDSATRELRRLLGLH >ONIVA05G16600.1 pep chromosome:AWHD00000000:5:17594976:17595176:1 gene:ONIVA05G16600 transcript:ONIVA05G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDGNGGGLGGFVWSYHGGDEGIMVLMCSALLRLEPRRPILVAWLRLIPRLSYIRPGKVEGASG >ONIVA05G16590.1 pep chromosome:AWHD00000000:5:17594335:17614394:-1 gene:ONIVA05G16590 transcript:ONIVA05G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate, phosphate dikinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HE99] MEGGLHHHLPNEIEVVAYGLDPPPPFQAASSWHAIRSKGSKAVAPCSESKERVFHFGKGKSEGNKAMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACQQYQAAGKTLPAGLWEEIVEGLQWVEEYMAARLGDPARPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGDRFAYDSYRRFLDMFGNVVMDIPHALFEEKLEAMKAVKGLHNDTDLTATDLKELVAQYKDVYVEAKGEPFPSDPKKQLQLAVLAVFNSWDSPRAIKYRSINKITGLKGTAVNVQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMRDHMPEPYEELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLVERRTALKMVEPGHLDQLLHPQFENPSGYKDKVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKDVILVRTETSPEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVSGCSSVRVNDASKIVVIEDKALHEGEWLSLNGSTGEVIIGKQPLCPPALSGDLETFMSWVDEVRKLKVMANADTPEDATTARQNGAEGIGLCRTEHMFFASDERIKAVRQMIMASSLELRQKALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHVEDMVRELCSETGAAQDDVLARVEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAITMTNQGIQVFPEIMVPLVGTPQELGHQVDVIRQIANKVFTDMGKTIGYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSQGILQHDPFEVLDQRGVGELVKLATERGRKARPNLKVGICGEHGGEPLSVAFFAKAGLDYAQVTTNKHRLRLPDQATYITNLNATQAYKFLRHGDEGTNQTGS >ONIVA05G16590.2 pep chromosome:AWHD00000000:5:17594335:17614394:-1 gene:ONIVA05G16590 transcript:ONIVA05G16590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate, phosphate dikinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HE99] MKDLLGGKGANLAEMASIGLSVPPGFTVSTEACQQYQAAGKTLPAGLWEEIVEGLQWVEEYMAARLGDPARPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGDRFAYDSYRRFLDMFGNVVMDIPHALFEEKLEAMKAVKGLHNDTDLTATDLKELVAQYKDVYVEAKGEPFPSDPKKQLQLAVLAVFNSWDSPRAIKYRSINKITGLKGTAVNVQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMRDHMPEPYEELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLVERRTALKMVEPGHLDQLLHPQFENPSGYKDKVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKDVILVRTETSPEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVSGCSSVRVNDASKIVVIEDKALHEGEWLSLNGSTGEVIIGKQPLCPPALSGDLETFMSWVDEVRKLKVMANADTPEDATTARQNGAEGIGLCRTEHMFFASDERIKAVRQMIMASSLELRQKALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHVEDMVRELCSETGAAQDDVLARVEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAITMTNQGIQVFPEIMVPLVGTPQELGHQVDVIRQIANKVFTDMGKTIGYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSQGILQHDPFEVLDQRGVGELVKLATERGRKARPNLKVGICGEHGGEPLSVAFFAKAGLDYAQVTTNKHRLRLPDQATYITNLNATQAYKFLRHGDEGTNQTGS >ONIVA05G16580.1 pep chromosome:AWHD00000000:5:17591560:17592413:1 gene:ONIVA05G16580 transcript:ONIVA05G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRPRRLTAVVVMAGDGGAVQQTTRRRQRQHMKAGKELGGRKGGDRERAWRGRRLLVAALHGSVGLRRTRRLCGVEAGSGRVARWWRASCSRCVDVDALTCLVTSSFHTLTFGEAFASLGPLRSCGGRQALRLLLLMKSELLADGVQRCLATMTSCSLFQGVGADRVKEVSLWWLG >ONIVA05G16570.1 pep chromosome:AWHD00000000:5:17586409:17588187:-1 gene:ONIVA05G16570 transcript:ONIVA05G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGKPGFITMYAITCCKCEKWRTIPTKEEFEVIRENYPAKPWFCSKKRDCSCEHPEDIQYDTSRIWAIDRPNIPKPPPKTERLLIMRNDLSKMDAYYVLPNGKRAKGKPDIDRFLKENPEYAATLPLSSFNFSTPKIVKETVSDSAKWVMAKSEREEQCMQLDAKEVPSSSSK >ONIVA05G16560.1 pep chromosome:AWHD00000000:5:17583822:17585616:-1 gene:ONIVA05G16560 transcript:ONIVA05G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPVSPPSAPSTQRKRGSSTDSIGMYAVQCCECHKWRKVPTKDEFETIRENFTEEPWHCSRRPDCSCEDPADIEYDSSRIWVLDKPNIPKPPAGTERLVIMRGDLSKMDTYYVMPNGKRVRCTAEVDKFLEANPQYKDRFSVESFSFTTPKIVEETVSHNSVWKSGKAKKQDKINALSNNN >ONIVA05G16550.1 pep chromosome:AWHD00000000:5:17581471:17585326:1 gene:ONIVA05G16550 transcript:ONIVA05G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWGWFSRVQVQARRGRRVTRREGVRSDAPLRAKVATLATYQFNCRNKQLFCEVDTSADLINNKTNAAVRRPRFIRVLLPGSFAKMPGEKKDGKGLNDRLWKPINSRC >ONIVA05G16540.1 pep chromosome:AWHD00000000:5:17572382:17574790:-1 gene:ONIVA05G16540 transcript:ONIVA05G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:resistance to phytophthora 1 [Source:Projected from Arabidopsis thaliana (AT2G48070) TAIR;Acc:AT2G48070] MYSPLGSGCAFAAAASSAFPAATVPGGIFAGRRRRRPARLVLAWASSDGSDGGGAAAGAVAAEASAVGESKEGEVASGGGSSAESSAEKKPAPAVQKTAATFAPRASTATKNPAVPGTALYTIFEVQGYASMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSSKEKEALNYLFLLVPLINVIIPFFVKSFAVVWSADTVAFFVMYAWKLGWLQRSE >ONIVA05G16530.1 pep chromosome:AWHD00000000:5:17569686:17570530:1 gene:ONIVA05G16530 transcript:ONIVA05G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSYRGNCICFGRYALQALEPTWITARQIEAGRRAMTRYARRGGKIWVRIFPDKPVTIRPTETRMGSGKGSPEYWVAVVKPGRILYEMGGVSETVARVAISIAASKMPIRNNSGARKLMCIRVIGAASNQRYARIGDVIVAVIKDAVPQMPLERSEVIRAVIVRTCKEFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRELNFTKIVSLAPEVL >ONIVA05G16520.1 pep chromosome:AWHD00000000:5:17562258:17566437:1 gene:ONIVA05G16520 transcript:ONIVA05G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase B3 [Source:Projected from Arabidopsis thaliana (AT4G04800) UniProtKB/Swiss-Prot;Acc:Q9M0Z6] MGVQHLLKLRMASPHPHPHPGAPLAARPLSALASFFLARPSSTAAAPPPRHVTLSCSLPHCNHNQWAASRCRGTAGRRRLQVVVAMSSSAPPPPPGSVQKSEEEWEAILSPEQFRILRLKGTEYPGTGEYDKLFVEGVYECAGCGTPLYKSSTKFNSGCGWPAFYEGFPGAIARTPDPDGRRIEITCAACGGHLGHVFKGEGFNTPTDERHCVNSISLKFIPASEDSKL >ONIVA05G16510.1 pep chromosome:AWHD00000000:5:17559087:17560676:1 gene:ONIVA05G16510 transcript:ONIVA05G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G02990) TAIR;Acc:AT4G02990] MRERVEFLHSLGLSNEDLAAYPLALGCSVRKNMVPVLDYLGKLGVRQDALPDLLRRYPQVLHASVVVDLAPVVKYLQGMDVRPHDVPRVLERYPELLGFKLEGTMSTSIAYLVGIGVARRQVGSVITRFPEVLGMRVGKIIKPFVEHLEGIGLQRLAIARIIEKKPYVLGFGLEDKVKPNSEALLEFGVRKEALAFIVAQYPDILGIELRDKLATQQSLFESSILVSSEDFGRVIERMPQAISLGRTAVLKHVNFLTSCGFLLSQVSKMVVACPQLLALNMDIMKMSFEYFQNEMERDLEELVEFPAFFTYGLESTVRPRHEMVAKKGFTCSLAWLLNCSDAKFDERMKYDTIGIEEMEVDNSFDTNTLSERVEDEVEDEDLDEDSDYDSTDDEFIE >ONIVA05G16500.1 pep chromosome:AWHD00000000:5:17545174:17546350:-1 gene:ONIVA05G16500 transcript:ONIVA05G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAGTLIYGHMEIKSGYHAGFAIRVEHGSPHTKIYDAITNLSFVPFRGGNRRELPVCQAVWIFIEAVGCSCRGNAAASCQLTIFITVCFHYEACFFSLVTLPFNKDGTMLSLILYGDVLGIIPLIPDYL >ONIVA05G16490.1 pep chromosome:AWHD00000000:5:17542430:17543629:-1 gene:ONIVA05G16490 transcript:ONIVA05G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAICRRRLAALFPQIRGGGGGAYHVQSNPQAALLFHSYSSTAVTGGSDPEPCPDTVSYLVSCGLPAAVARHTAANTRGLRIRSTEKADAVRTLLRSYGFSDADVARIARSAPLLLTVDPDRIIRPKLEFFATMGFQPSKLSTAPLLLARSLEKHLVPTIQFLRSIIGSDDGIRRGFSRIPRALMVSLDNCMRPAVEALHRHGLTGREDVSKVLVLQMGVLMLSPVRIGEIFEDLKAMGMSITDGRFANSFRAMCSMRRATWLRKVALYRSFGLSESEVFEAFKKQPTALLGADETIKKKASFFRDTLKLEMREVMVHPVVMAYSFEKTILPRCAVLSVLMREGKINPDIQLLHALLGSAKTFSGRYVDRFAADVPDVVEAYEGKIKFKGFKGQGQGV >ONIVA05G16480.1 pep chromosome:AWHD00000000:5:17538014:17538384:1 gene:ONIVA05G16480 transcript:ONIVA05G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGEQWTEAAAARRGLRLPYRTALATVFLEGHIFLFISVIGLRSKFAKFIPKLVRISSSTGIGLFLAFIGL >ONIVA05G16470.1 pep chromosome:AWHD00000000:5:17536143:17538207:-1 gene:ONIVA05G16470 transcript:ONIVA05G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLSAPSPSPFTVAAASSTPTTAPGPLSLLPSPLPRVGATNAYLNPRLAAAASRLLVSFSPPRPLALPPPEQAAGVPGGSEEGSGGAFLRRPRHGLGVYRIWGSGPQIWRCGRQRGHGQRWLAGPHAAAEVVGRDRGAGVGERRWLNCT >ONIVA05G16460.1 pep chromosome:AWHD00000000:5:17535500:17535815:-1 gene:ONIVA05G16460 transcript:ONIVA05G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLTTAKDAGRSGGGLGVREEEDSDVGSGPMGGGGLGIRQSRSFQLASVLTRRRWMKVDYPVARGIGSLEADEDGTNGDSQRQCTRGGGD >ONIVA05G16450.1 pep chromosome:AWHD00000000:5:17533998:17535179:-1 gene:ONIVA05G16450 transcript:ONIVA05G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTAGGRRLLVLIRRASAAAAAGANPNHHHHHATLFTHRYSSSPLARTPEAESSAAASYLVASVGLSPAAAARISRKARFRSNADAEAVVSLLRGHGFSDANIAQVLPKIPGLLILNPDKILRPKLEYFASLGVVPSALSRAPLLARSLEKHLVPCVEFIRGVVGTDANLCAAISRNPWALWCDINSSMRPAVESLRRHGLSEADISRLVVINLSALTMSPDRIDGIFGDLEALEVPISHSRFVYGFWALSRLKRGAWEERMSVFMRFGVSRSELLKAFREQPGILVFTAKTIQRKLSFYQEKLKVAPADVIAHPLLLTFSLEKNIIPKCAVLNVLLREGKIKRYGREMDLLRPLQRSNASFFERFVRKYEEDVPDVVKAYEGKIKFTGFMD >ONIVA05G16440.1 pep chromosome:AWHD00000000:5:17520516:17531933:1 gene:ONIVA05G16440 transcript:ONIVA05G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCMACLPPRLVLASTAPVLVLLLFVPLSPCRAASGSGPSAVLLPVDKDGATQQYVTMFWQRTPSVPVKAVVDLAGAMLWVDCESGYESSSYARVPCGVQALPPREERRLCHRLLRCGVPGLPQRHLHRVPGVHYHAPPAATSSQTSCRCTPPAAPCRVRARATAPGFLFTCGATSLTKGLGAAATGMMSLSRARFALPTQLASIFRFSRKFSLCLAPAESSGVVVFGDAPYEFQPVMDLSKSLIYTPLLVNPVTTTGVSTTAGDKSTEYFIGVTGIKVNGRAVPLNATLLAIAKSGVGGTKLSMLSPYTVLETSIHKAVTDAFAAETAMIPRVPAVAPFKLCYDGTMVGSTRAGPAVPTVELVLQSKAVSWVVFGANSMVATKDGALCFGVVDGGVAPETSVVIGGHMMEDNLLEFDLEGSRLGFTSYLPMARLPPLAVASGALLLLFVSVSPCRAAAGGGPSSVVLPVSKDDATQQYVTMFRQRTPQVPVKAVLDLAGTMLWVDCDAGYVSSSYAGVRCGAKPCRLLKNAGCAITCLDAPSAGCLNDTCSEFPKNTATSVSTAGNIITDVLSLPTTFRPAPGPLATAPAFLFTCGHTFLTQGLADGATGMVSLSRARFALPTQLADTFGFSRKFALCLPPASAAGVVVFGDAPYTFQPGVDLSKSLIYTPLLINPVSTAPYGRKDKTTKYFIGETTIQLKGRVWREKSTDYFIGLTGIKVNGHTVPVNATLLAIDKKGVGGTKLSTVSPYTVLERSIHQAVTDAFAKEMAAIPRAPAVEPFKLCYDGRKVGSTRVGPAVPTVELVLESTAASWVVFGANSMVATKGGALCLGVVDAGTEPQTSVVIGGHMMEDNLLEFDLEASRLGFSSYLPSRQTTCNNFRLG >ONIVA05G16430.1 pep chromosome:AWHD00000000:5:17519168:17520481:1 gene:ONIVA05G16430 transcript:ONIVA05G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFPPPLASGALLLLLFVLVSPCRSAAGGRPRAVVLPVSKDDATQQYVTVFRQRTPQVPVKAVLDLAGATLWVDCDTGYVSSSYARVPCGSKPCRLTKTGGCFNSCFGAPSPACLNGTCSGFPDNTVTRVTAGGNIITDVLSLPTTFRTAPGPFATVPEFLFTCGHTFLTEGLANGATGMVSLSRARFAFPTQLARTFGFSRRFALCLPPASAAGVVVFGDAPYVFQPGVDLSKSSLIYTPLLVNAVRTAGKYTTGETSIEYLIGLTGIKVNGRDVPLNATLLAIDKNGVGGTTLSTASPYTVLETSIYKAVIDAFAAETATIPRVPAVAPFELCYDGRKVGSTRAGPAVPTIELVLQSEAASWVVFGANSMVPAKGGALCLCVVDGGPALYPSSVVIGGHMMEDNLLEFDLEGSRLGFSSYLPLRQTTCNNFRLG >ONIVA05G16420.1 pep chromosome:AWHD00000000:5:17505647:17506960:1 gene:ONIVA05G16420 transcript:ONIVA05G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMPPPLAVACTALLLLLFVSVSPCRAASGGGPSAVLLPVSKDDATQQYVTMFRQRTPQAPVKAVLDLAGATLWVDCEAGYVSSSYARVPCGSKQCRLAKTNACATSCDGAPSPACLNDTCGGFPENTVTHVSTSGNIITDVLSLPTTFRPAPGPLATAPAFLFTCGATFLTEGLAAGATGMVSLSRARFAFPTQLAATFRFSRKFALCLPPAAAAGVVIFGDAPYVFQPGVDLSKSLIYTPLLVNPVSTAGVSTKGDKSTEYFVGVTRIKVNGRAVPLNTTLLAINKKGVGGTKLSTVTPYTVLETSIHKAVTDAFAAETSMIPRVPAVAPFKLCYDGSKVASTRVGPAVPTVELVFQSEATSWVVFGANSMVATKGGALCLGVVDGGAAPETSVVIGGHMMEDNLLEFDLVGSRLGFSSSLLFRQTTCNNFRLG >ONIVA05G16410.1 pep chromosome:AWHD00000000:5:17484285:17499833:-1 gene:ONIVA05G16410 transcript:ONIVA05G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate deficiency response 2 [Source:Projected from Arabidopsis thaliana (AT5G23630) TAIR;Acc:AT5G23630] MARFEVGGKSVEGVDLLRRRHWASRLDFWPFLALYALWLVVVVPALDFTDALVVLGALSASHVLAFLFTAWSVDFRAFVKDIRAANSCKVTPAKFSGSKEIVPLHIQKTVASSSAAGETEEIYFDFRKQRFIYSSQEDNFFKLRYPTKEPFEHYIKGTGYGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVATYRCGKWVRIPGTELLPGDIVSIGRSVSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSVAGRGPEETLSVKRDKNHILFGGTKILQHTPDKSINLRAPDGGCIAFVLRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAVIASGYVLVKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVSLEDDEELITDANKLPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAISKKSGGQPVKIVHRYHFASHLKRIGRRHLPPPRAELADASGANNQREGDRWIWLSVRRERHRRASYEDGDGAPSSPTMVMATALRKLSSGSLRRSPTAAAFARRRSARSPPLRLPTAVSPGAPETIQERLVDLPAGYVETYKKYTRQGSRVLALAYKLLPDMPVNDARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELEQSSHDLVMITGDQALTACHVAGQVHICSKPVLILTRTKTGGFEWVSPDETDRAPYSAEEVAAVSGSHDLCISGDCFEMLQRTDAVIQVIPYVKVFARVAPEQKELVLTTFKTVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKSDTKSQASKSENKQGKLKKPKPSQEGSSSQLTQPANSSARASSSRPLTAAERQRERLQKMMDEMNEESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVFLSILGQFAMHLFFLISAVNEATKYMPEECIEPDSEFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSITENKPFKYALYAAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAILMFCGCYGWERILRWAFPGKMPAWEKRN >ONIVA05G16410.2 pep chromosome:AWHD00000000:5:17484285:17499833:-1 gene:ONIVA05G16410 transcript:ONIVA05G16410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate deficiency response 2 [Source:Projected from Arabidopsis thaliana (AT5G23630) TAIR;Acc:AT5G23630] MARFEVGGKSVEGVDLLRRRHWASRLDFWPFLALYALWLVVVVPALDFTDALVVLGALSASHVLAFLFTAWSVDFRAFVKDIRAANSCKVTPAKFSGSKEIVPLHIQKTVASSSAAGETEEIYFDFRKQRFIYSSQEDNFFKLRYPTKEPFEHYIKGTGYGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVATYRCGKWVRIPGTELLPGDIVSIGRSVSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSVAGRGPEETLSVKRDKNHILFGGTKILQHTPDKSINLRAPDGGCIAFVLRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAVIASGYVLVKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVSLEDDEELITDANKLPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAISKKSGGQPVKIVHRYHFASHLKRMSVVVSIHEKYYAFIKGAPETIQERLVDLPAGYVETYKKYTRQGSRVLALAYKLLPDMPVNDARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELEQSSHDLVMITGDQALTACHVAGQVHICSKPVLILTRTKTGGFEWVSPDETDRAPYSAEEVAAVSGSHDLCISGDCFEMLQRTDAVIQVIPYVKVFARVAPEQKELVLTTFKTVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKSDTKSQASKSENKQGKLKKPKPSQEGSSSQLTQPANSSARASSSRPLTAAERQRERLQKMMDEMNEESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVFLSILGQFAMHLFFLISAVNEATKYMPEECIEPDSEFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSITENKPFKYALYAAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAILMFCGCYGWERILRWAFPGKMPAWEKRN >ONIVA05G16400.1 pep chromosome:AWHD00000000:5:17482090:17484570:1 gene:ONIVA05G16400 transcript:ONIVA05G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HE79] MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEENRRSLRELLFCTPGALQYLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTIEVAGTEKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAYVSEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDAKKVSPEVIAEYTVRTLQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLSTKKPWSLSFSFGRALQQSTLKAWSGKAENIEKARAAFLTRCKANSEATLGTYKGDAVLGEGASESLHVKDYKY >ONIVA05G16390.1 pep chromosome:AWHD00000000:5:17475763:17477753:-1 gene:ONIVA05G16390 transcript:ONIVA05G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor-1 family protein [Source:Projected from Arabidopsis thaliana (AT4G15470) TAIR;Acc:AT4G15470] MASAAEMQPLAPAGYRRAPEMKEKVDASAVDLEAGTGETLYPGISRGESALRWGFVRKVYGILAAQLLLTTAVSALTVLHPTLNATLSSSPTLALVLAVLPFVLMVPLYHYQHKHPHNFVYLGLFTLCLSFSIGVACANTQGKIVLEALILTSAVVASLTAYTFWASKKGKEFGYLGPILFSALVLLVVISFIQASVFFPLGSGPVALFGGLGALVFSGFIIYDTENLIKRHTYDDYIWASVELYLDILNLFLYILNMIRSMQSDN >ONIVA05G16380.1 pep chromosome:AWHD00000000:5:17470637:17472493:1 gene:ONIVA05G16380 transcript:ONIVA05G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIYPTLPPVDRILIVASQFCSRNLLVCSPIDHRQLGFHVGRRLAGWAEAGEEEGVGSRQRSGGGRSGGDDDAGEGGDIAACASSGLERPERREKEEGIVCGR >ONIVA05G16370.1 pep chromosome:AWHD00000000:5:17433297:17440836:-1 gene:ONIVA05G16370 transcript:ONIVA05G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGAAAGAAAAAGAAPASPVAAAAAAAPEVAARDAVIGWFRGEFAAANAVIDALCGHLAQIGGDAEYEAVFAALHRRRLNWFPVLHMQKFYSVADVAAELRRVADARASAAYSEEEAASTVIHEPMDELVAMAAEPEPEPEPEQEQEPDHDHVPQDPAPEPEPDGAVNNPVSPTAAEVLDHEADGEDSSGDSSERKAPSTEDDAVADGHDHTDQGSQGEHSLPESYPICSDHEECIVRPERIKIQKGFMAKESVNVVKGLKIYEDVFTTSELMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEESNCHIEAIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFVRVRPSTPVDLSPLPSPTKAMTLWQAPPPQQQQPIPAPGMQQKPQHGGAIIGYGPAPQAVLAPAWGMAVRAAPVMMVAAPAPARPMVMAPAGGNKRMSRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >ONIVA05G16370.2 pep chromosome:AWHD00000000:5:17433297:17438486:-1 gene:ONIVA05G16370 transcript:ONIVA05G16370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHVRSARWSQPVRRDGTARLIAIKRSQTLGRVKWTRTRLAGRRVTVEKSIRSCPTLHVSMTGWTQIGFEKPEFPGSEGLDLVPCRSDRSGRSLSCVTRGWPTSTGWWGPGPITQMIILTKDLKGNTAYQRVTLSALITRSALSAPRGSRFRKVNVVKGLKIYEDVFTTSELMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEESNCHIEAIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFVRVRPSTPVDLSPLPSPTKAMTLWQAPPPQQQQPIPAPGMQQKPQHGGAIIGYGPAPQAVLAPAWGMAVRAAPVMMVAAPAPARPMVMAPAGGNKRMSRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >ONIVA05G16370.3 pep chromosome:AWHD00000000:5:17433297:17440836:-1 gene:ONIVA05G16370 transcript:ONIVA05G16370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGAAAGAAAAAGAAPASPVAAAAAAAPEVAARDAVIGWFRGEFAAANAVIDALCGHLAQIGGDAEYEAVFAALHRRRLNWFPVLHMQKFYSVADVAAELRRVADARASAAYSEEEAASTVIHEPMDELVAMAAEPEPEPEPEQEQEPDHDHVPQDPAPEPEPDGAVNNPVSPTAAEVLDHEADGEDSSGDSSERKAPSTEDDAVADGHDHTDQGSQGEHSLPESYPICSDHEECIVRPERIKIQKGLKIYEDVFTTSELMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEESNCHIEAIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFVRVRPSTPVDLSPLPSPTKAMTLWQAPPPQQQQPIPAPGMQQKPQHGGAIIGYGPAPQAVLAPAWGMAVRAAPVMMVAAPAPARPMVMAPAGGNKRMSRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >ONIVA05G16370.4 pep chromosome:AWHD00000000:5:17438833:17440836:-1 gene:ONIVA05G16370 transcript:ONIVA05G16370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGAAAGAAAAAGAAPASPVAAAAAAAPEVAARDAVIGWFRGEFAAANAVIDALCGHLAQIGGDAEYEAVFAALHRRRLNWFPVLHMQKFYSVADVAAELRRVADARASAAYSEEEAASTVIHEPMDELVAMAAEPEPEPEPEQEQEPDHDHVPQDPAPEPEPDGAVNNPVSPTAAEVLDHEADGEDSSGDSSERKAPSTEDDAVADGQLSSSFCPGLGGVKNVIRDGRCPLAQVTSSRLLLP >ONIVA05G16360.1 pep chromosome:AWHD00000000:5:17421849:17425249:1 gene:ONIVA05G16360 transcript:ONIVA05G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT5G27390) TAIR;Acc:AT5G27390] MAPAVLLRLAPSPPYPQNPPPRRRSPASACGASRRDFAIHTAIASASAAAAVSVRPATAAAADEEAPPGEPSQNKKGSPLLGGIANTKSWSQYYGSGFSIRVPPSFDDILEPEEFNVGMTYYGDKAKPRTYAARFASPDRSELVSVVIKPSNQLKITFLEAKDITDLGTLKEASKIFVPGGAKLYSARTIKVKDEDDIRTYYFYEFGVDKEHVAVMATVNSGKTYIAGATAPETKWDDDGVKLRSAAVSLSVL >ONIVA05G16350.1 pep chromosome:AWHD00000000:5:17417842:17420401:-1 gene:ONIVA05G16350 transcript:ONIVA05G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTLSLSSPLFLAAPPKVQGVISCRAALASASWNSVMLGRKSKTRETIVPDPDYRLPIVILGIAGAFAYADNLLAAAPVGLLGCLLLFQTTRVRFVFDDEALEVKVGDQLEESGENVFVGGKNRWRYSTFVNWELWWPQFPILVYFKETQTKPEGQIHFFPVIFNGRQLYDAMVERAGPSETSGPK >ONIVA05G16340.1 pep chromosome:AWHD00000000:5:17415460:17416739:-1 gene:ONIVA05G16340 transcript:ONIVA05G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumen 18.3 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G54780) TAIR;Acc:AT1G54780] MAVHAGPSPYPAPESHPPNHNPTHAIATKFPIHKTAETLVITSSAQLSSLLLPGEAAAGTAAMETLLSPSTLLSPLRGSKKKPASPAASASSSSSSPARSVVSCALRRQQPPPQAVAAWRGDGGRGGGVGSWATFLQHGLAAAALSLAISMAPAPAPAVASEFDVLNGGPPEDTYVVDDAGVLSRVTKSDVKRLVRDLESRKNIRINFITVRKLTSKADAFEYADQVLEKWYPTVEEGNNKGIVVLVTSQKEGAITGGPAFVQAVGDEILDSTVSENLPVLATDEKYNEAIYTTAKRLAAAIDGLPDPGGPTFKDNKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYISKK >ONIVA05G16330.1 pep chromosome:AWHD00000000:5:17410372:17416649:1 gene:ONIVA05G16330 transcript:ONIVA05G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPPHAAAVLLVAAAALCLGGRAEELEASSPEFNYPAVFNFGDSNSDTGGRVAAGFESIAPPYGSTFFGSPSGRFCDGRLIIDFLMDAMDMPFLNAYLDSVGAPNLRAGVNFAQAGCSITPATATSVSPFSFGLQIKQFFAFKDKVTKLLSKGDTYRRYIPQSDYFSQGLYTFDIGQNDLAGEFYWKTEDQVAASIPTILLEFETGLKKLYEQGARKFWIHNTGPLGCLPQNVAFFGKDRSQLDELRCVAKHNRVAKLFNLQLHALCTKLRGEFAGASITYVDIYTIKYSLIANYSRYGFENPIQACCGYGGPPLNYDSRVPCGQTASLNGNLVTAKGCKDSTEFVNWDGIHYTEAANFHIASQILTGRYSDPPFADKMPFLIKPKF >ONIVA05G16320.1 pep chromosome:AWHD00000000:5:17398554:17398880:-1 gene:ONIVA05G16320 transcript:ONIVA05G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGPAQPDRAAAHGGDGTLAAHLDAIQCSRCEQPTHKTPTKQIQNREASKRMQEPPNPGHDSEKKKKPARTCRTFAAAAGGEGRRRKGGGESESESESVRGPSRTR >ONIVA05G16310.1 pep chromosome:AWHD00000000:5:17398444:17405643:1 gene:ONIVA05G16310 transcript:ONIVA05G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT2G36230) TAIR;Acc:AT2G36230] MASRCAARVPSPPCAAARSGWAGPVVSIRPARSGVARGRAVVCAVSFRPCIDIHKGKVKQIVGSTLRDSSNDGTALVTNFESDKPPAEFANIYKEDELIGGHVIMLGADPASQAAAMEALHAYPGGLQVGGGINLENAISYLNEGASHVIVTSYVFSEGKMNIERLKQLVDLVGKHRLVLDLSCRKKDGRYAIVTDRWQKFSDVFVDEPTLKHLAAYADEFLVHGVDVEGKRLGIDEELVELLGRYSPIPVTYAGGVSTMDDLERIKRAGNSRVDVTVGSALDIFGGDLPYKDVVLWHKEQNMVSQP >ONIVA05G16310.2 pep chromosome:AWHD00000000:5:17398543:17405643:1 gene:ONIVA05G16310 transcript:ONIVA05G16310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT2G36230) TAIR;Acc:AT2G36230] MHILYVFSEGKMNIERLKQLVDLVGKHRLVLDLSCRKKDGRYAIVTDRWQKFSDVFVDEPTLKHLAAYADEFLVHGVDVEGKRLGIDEELVELLGRYSPIPVTYAGGVSTMDDLERIKRAGNSRVDVTVGSALDIFGGDLPYKDVVLWHKEQNMVSQP >ONIVA05G16300.1 pep chromosome:AWHD00000000:5:17394982:17398379:-1 gene:ONIVA05G16300 transcript:ONIVA05G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II [Source:Projected from Arabidopsis thaliana (AT5G59180) TAIR;Acc:AT5G59180] MFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDVGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAVPATPLSQERNEELDMDLYY >ONIVA05G16290.1 pep chromosome:AWHD00000000:5:17390648:17397454:1 gene:ONIVA05G16290 transcript:ONIVA05G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cobalt ion transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT3G21580) TAIR;Acc:AT3G21580] MAAAVHLRPLHSLSLPLPLLAAKAATPNPGCLPLSAKPRAGRRSSLLVCAASDPSKAAGKGEAGDAVARWAAWIPRAAVGGAGPEQVLRLISGAAATPICQFVDSPRTFLHAIDPRVKLVTKISPRQGTLPHLTLICSVFLDRIASKRQVWLLALVVLPARSNIYLRFGLVAYLTLLSMWVLPNHVWKDQLGRVALLSGIIFIMLGFGSDGAPSLVQTRTPPPSVIGLPNIPTSTNGYSYTIMKLGPLQFTRKGLSVASTSACLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVRNSALAIVARRINWKKLATMETIDIFFNYVRRIFKNIFDHAEQISKDVNDNTNSISPCPILHSFLVKVVLQAMIARGFRGDPNNHKIYFLTESSFGIVDVFSLLCLFALVALASISDKLV >ONIVA05G16280.1 pep chromosome:AWHD00000000:5:17388591:17390262:1 gene:ONIVA05G16280 transcript:ONIVA05G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWPM-19-like family protein [Source:Projected from Arabidopsis thaliana (AT5G18970) TAIR;Acc:AT5G18970] MATGGPSSMSAGLLFLNLVLYVVVAVIAGWAINYSIDESFNSLQGVSPPVRLFPIYFPIGNLATGFFVIFALLAGVVGVSTSLTGLHDVSQGYPASMMSAAAASIVTWTLTLLAMGLACKEISIGWRPPSLRALETFTIILAGTQLLCAGSLHAGAHAAIIQNPMVSRV >ONIVA05G16270.1 pep chromosome:AWHD00000000:5:17384242:17386424:-1 gene:ONIVA05G16270 transcript:ONIVA05G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquinol-cytochrome C reductase UQCRX/QCR9-like family protein [Source:Projected from Arabidopsis thaliana (AT3G52730) TAIR;Acc:AT3G52730] MGLWDALYRVVMRRNAVYVTFVVAGAFAGERAVDYGVHKVWEMNNIGKRYEDISVLGQRPAE >ONIVA05G16260.1 pep chromosome:AWHD00000000:5:17375655:17381856:1 gene:ONIVA05G16260 transcript:ONIVA05G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENTGGAGWQVSPSPAPSTEKHHPCLDNSPNMTEKTGGEAGEVVHDYGGLECYVTGSRRSGRAIILVSDYYGFRAPKLRQIADKVADSGYYVVVPDLLYGDPYTDDPARPFWVWIMAHSPDEAAEKTKPLIAALKKEGMSSVGIGGYCWGGKVAVELSKTEETQAVKRPIEILGGERDTITPPLVVHQFEHALDQNNRVDHFVKIFPKAPHAFACRYNASDPFAVKTAEEARADMVQWFDGYLKQPGEFQLQ >ONIVA05G16260.2 pep chromosome:AWHD00000000:5:17375655:17381856:1 gene:ONIVA05G16260 transcript:ONIVA05G16260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLENTGGAGWQVSPSPAPSTEKHHPCLDNSPNMTEKTGGEAGEVVHDYGGLECYVTGSRRSGRAIILVSDYYGFRAPKLRQIADKVADSGYYVVVPDLLYGDPYTDDPARPFWVWIMAHSPDEAAEKTKPLIAALKKEGMSSVGIGGYCWGEVKRPIEILGGERDTITPPLVVHQFEHALDQNNRVDHFVKIFPKAPHAFACRYNASDPFAVKTAEEARADMVQWFDGYLKQPGEFQLQ >ONIVA05G16260.3 pep chromosome:AWHD00000000:5:17372964:17376034:1 gene:ONIVA05G16260 transcript:ONIVA05G16260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHCLLVVALAPAAGRLALAEENNGGRARWQVYSPAPAPKKHPCLENPPNMTENTGGEAGEVVHDYGGLECYVTGPRRSGGRAVILVSDYYGFRAPKLRKIADKVAYQLGCYVVVPDLLFGDPYTDDPARPFEEWIKTHSPVEAAENTKPLIAALKKDGTSTVGVGGYCWGGKVAVEISKTEETKARSYVPLKSLGVHHLVKIFPDAPHGFACRYNATDPFAVKTAEEARADMVKWFDKYLKIMKR >ONIVA05G16250.1 pep chromosome:AWHD00000000:5:17365673:17366440:-1 gene:ONIVA05G16250 transcript:ONIVA05G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVQLLLLTVALTLPTSVHPQSGTPREDDVRCLQGIKTSLSDPDGRLASWTFSNTSAGAICELSGVSCWSPDESRIIGLSLSGFGLTGAIPSELQFCSAITALDLSSNRLGGQIPPALCDWLPFVVNLDLSGNQLSGPIPAELANCKFINSLKLSANSLSGKIPASLVLLGHLKSLDLSNNNLDGAIPPQLAASFSADAFADNPDLVEPHSGFDLGVLFGRPEAAAAIAFVFGFVGTLFFGPSIIRRVAGRSC >ONIVA05G16240.1 pep chromosome:AWHD00000000:5:17363420:17365730:1 gene:ONIVA05G16240 transcript:ONIVA05G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAARAANLQVAMKALALAVLALAYAAATARAEQCGRQAGGARCPNRLCCSRWGWCGLTDDYCKGGCQSQCRVSRDGGDDDVAAVLLTAPGGGRAGVASVVTSDQFERMLPHRDDAACPARGFYAYRAFVAAAGAFPAFAATGDADTRKREVAAFLAQTSHATSGGPYSWGYCYKEVKGATSDFCVPNARWPCAPGKAYHARGPMQIAYNYNYGAAGEAIGADLLGNPELVATDPTVAFKTALWLWMTARSPSQPSPHAVVTGQWTPTPADSAAGRAPGYGLTTNILTGGLQCAGGNGGADRVAFYKRYCDVLGVGYGPNLDCFGQAPFDGGIMSASAAK >ONIVA05G16230.1 pep chromosome:AWHD00000000:5:17352721:17354259:1 gene:ONIVA05G16230 transcript:ONIVA05G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTTAVALLVAAAAMVAQVVAEQCGSQAGGALCPNCLCCSSYGWCGSTSDYCGDGCQSQCDGCGGGGGGGGGGGGGGGGGGAVEAVVSKELFEQLLLHRNDAACPARGFYTYDAFVTAAAAFPDFAATGDDEARKREVAAFLGQTSHETTGGWATAPDGPYSWGYCFKEEIGATASYCVASAEWPCAPDKKYFGRGPIQLSYNYNYGPAGEAIGEDLLNNPELVASDPVVSFKTALWFWMTPQSPKPSCHDVITGQWTPSSGDIAAGRVPGYGVITNIINGGLECGFGPDDRVANRIGFYQRYCDVLGIGYGSNLDCYDQRPFNSGLAAVQ >ONIVA05G16220.1 pep chromosome:AWHD00000000:5:17343811:17346623:1 gene:ONIVA05G16220 transcript:ONIVA05G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRAAASLAKKAALVALAVLAAALATAARAEQCGAQAGGARCPNCLCCSRWGWCGSTSDFCGDGCQSQCSGCGPTPTPPSPSGGVGSIVPRDLFERLLLHRNDGACPARGFYTYEAFLAAAAAFPAFGGTGDTETRKREVAAFLGQTSHETTGGWPTAPDGPFSWGYCFKQEQNPPSDYCQPSPEWPCAPGRKYYGRGPIQLSFNFNYGPAGRAIGVDLLSNPDLVATDATVSFKTALWFWMTPQGNKPSSHDVVTGRWAPSPADVAAGRAPGYGVITNIVNGGLECGHGPDDRVANRIGFYQRYCGAFGIGTGGNLDCYNQRPFNSGSSVGLAEQ >ONIVA05G16210.1 pep chromosome:AWHD00000000:5:17340440:17340778:-1 gene:ONIVA05G16210 transcript:ONIVA05G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRARDPVVLEAGWEMVARHGGGAGSGVGAGGGAEWWRRWSLVVMAAAEPKLRWAANAARLGVWLPPGKIRSPPPDLGREHRASVDVLVPRRFGRRVHCGGGVNSTVRRVA >ONIVA05G16200.1 pep chromosome:AWHD00000000:5:17316204:17343635:1 gene:ONIVA05G16200 transcript:ONIVA05G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPAGGEGKVVDSFGGIKAYVSGAAESKAAVVLVSDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPFVRENTERPIEVWIKDHGADKGFEEAKPVIAALKEKGVSSVGAAGYCWGAKVVVELAKAHEIHAAVMCHPSLVTVDDMKEVKCPIAILGAEIDHVSPPEVVKQFEQVLSSKSEIGHFVKIFPGVEHGWTVRYKDDDAAAVKSAEEALADMIDWFNKNLKREFVGGKQAMASPQCCANPPTLNPAGGEGKVVDSFGGIKAYVAGAAESKAAVVLVSDVFGFEAPNLRKIADKVASSGYFVVVPDFLDGDPLVPESTEKPFQMWIKEHGPDKAFEEAKPIIAALKEKGVSSIGAVGYCWGAKVVVELAKAHEIQAAVMCHPSFVTVDDMKEVKCPIAILGAEIDRMSPPEVVKQFEQVLSSKSGIGHFVKIFPGVEHGWTVRYKNDDAAAVKSAEEALADMIDWFNKNLNWGGLCEADLKDRRCSMASSHCWENPPALDPAGGGGEVVGDFGGQKAYVAGSAGSKAAVVLISDAFGFEAPNLRKIADKVALFGYFVVVPDFLHGDPYQPDNPNNPGIWLQSHNPKEAFEEAKPVIAALKEKGASFIGAAAKVVVELAKVHEIQAAVLLHPSLLAVDDIKEVKCPISILGAEIDKTSPPELLKQFEQILSPNPEIAHFVKIFPGVEHGWAVRYNHDDVAAVKSAEEALEDMMDWFKKYLKRKIQFIVLEDHIPEKENTTTPRVYNKTHCMSETEGLRDDTFKKGTTPKVPLSPVPEGQDKAIDGWLLAVWLVTYPSIAMHPL >ONIVA05G16200.2 pep chromosome:AWHD00000000:5:17316204:17343635:1 gene:ONIVA05G16200 transcript:ONIVA05G16200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPAGGEGKVVDSFGGIKAYVSGAAESKAAVVLVSDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPFVRENTERPIEVWIKDHGADKGFEEAKPVIAALKEKGVSSVGAAGYCWGAKVVVELAKAHEIHAAVMCHPSLVTVDDMKEVKCPIAILGAEIDHVSPPEVVKQFEQVLSSKSEIGHFVKIFPGVEHGWTVRYKDDDAAAVKSAEEALADMIDWFNKNLKREFVGGKQAMASPQCCANPPTLNPAGGEGKVVDSFGGIKAYVAGAAESKAAVVLVSDVFGFEAPNLRKIADKVASSGYFVVVPDFLDGDPLVPESTEKPFQMWIKEHGPDKAFEEAKPIIAALKEKGVSSIGAVGYCWGAKVVVELAKAHEIQAAVMCHPSFVTVDDMKEVKCPIAILGAEIDRMSPPEVVKQFEQVLSSKSGIGHFVKIFPGVEHGWTVRYKNDDAAAVKSAEEALADMIDWFNKNLNWGGLCEADLKDRRCSMASSHCWENPPALDPAGGGGEVVGDFGGQKAYVAGSAGSKAAVVLISDAFGFEAPNLRKIADKVALFGYFVVVPDFLHGDPYQPDNPNNPGIWLQSHNPKEAFEEAKPVIAALKEKGASFIGAAAKVVVELAKVHEIQAAVLLHPSLLAVDDIKEVKCPISILGAEIDKTSPPELLKQFEQILSPNPEIAHFVKIFPGVEHGWAVRYNHDDVAAVKSAEEALEDMMDWFKKYLNNEICKCQEGDVSIDSNASVVTSSISRYL >ONIVA05G16200.3 pep chromosome:AWHD00000000:5:17316204:17343635:1 gene:ONIVA05G16200 transcript:ONIVA05G16200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPAGGEGKVVDSFGGIKAYVSGAAESKAAVVLVSDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPFVRENTERPIEVWIKDHGADKGFEEAKPVIAALKEKGVSSVGAAGYCWGAKVVVELAKAHEIHAAVMCHPSLVTVDDMKEVKCPIAILGAEIDHVSPPEVVKQFEQVLSSKSEIGHFVKIFPGVEHGWTSAEEALADMIDWFNKNLNWGGLCEADLKDRRCSMASSHCWENPPALDPAGGGGEVVGDFGGQKAYVAGSAGSKAAVVLISDAFGFEAPNLRKIADKVALFGYFVVVPDFLHGDPYQPDNPNNPGIWLQSHNPKEAFEEAKPVIAALKEKGASFIGAAAKVVVELAKVHEIQAAVLLHPSLLAVDDIKEVKCPISILGAEIDKTSPPELLKQFEQILSPNPEIAHFVKIFPGVEHGWAVRYNHDDVAAVKSAEEALEDMMDWFKKYLKRKIQFIVLEDHIPEKENTTTPRVYNKTHCMSETEGLRDDTFKKGTTPKVPLSPVPEGQDKAIDGWLLAVWLVTYPSIAMHPL >ONIVA05G16200.4 pep chromosome:AWHD00000000:5:17316204:17343635:1 gene:ONIVA05G16200 transcript:ONIVA05G16200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPAGGEGKVVDSFGGIKAYVSGAAESKAAVVLVSDVFGFEAPNLRKIADKVASSGYFVVVPDFLDGDPLVPESTEKPFQMWIKEHGPDKAFEEAKPIIAALKEKGVSSIGAVGYCWGAKVVVELAKAHEIQAAVMCHPSFVTVDDMKEVKCPIAILGAEIDRMSPPEVVKQFEQVLSSKSGIGHFVKIFPGVEHGWTVRYKNDDAAAVKSAEEALADMIDWFNKNLNWGGLCEADLKDRRCSMASSHCWENPPALDPAGGGGEVVGDFGGQKAYVAGSAGSKAAVVLISDAFGFEAPNLRKIADKVALFGYFVVVPDFLHGDPYQPDNPNNPGIWLQSHNPKEAFEEAKPVIAALKEKGASFIGAAAKVVVELAKVHEIQAAVLLHPSLLAVDDIKEVKCPISILGAEIDKTSPPELLKQFEQILSPNPEIAHFVKIFPGVEHGWAVRYNHDDVAAVKSAEEALEDMMDWFKKYLKRKIQFIVLEDHIPEKENTTTPRVYNKTHCMSETEGLRDDTFKKGTTPKVPLSPVPEGQDKAIDGWLLAVWLVTYPSIAMHPL >ONIVA05G16200.5 pep chromosome:AWHD00000000:5:17316204:17343635:1 gene:ONIVA05G16200 transcript:ONIVA05G16200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPAGGEGKVVDSFGGIKAYVSGAAESKAAVVLVSDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPFVRENTERPIEVWIKDHGADKGFEEAKPVIAALKEKGVSSVGAAGYCWGAKVVVELAKAHEIHAAVMCHPSLVTVDDMKEVKCPIAILGAEIDRMSPPEVVKQFEQVLSSKSGIGHFVKIFPGVEHGWTVRYKNDDAAAVKSAEEALADMIDWFNKNLNWGGLCEADLKDRRCSMASSHCWENPPALDPAGGGGEVVGDFGGQKAYVAGSAGSKAAVVLISDAFGFEAPNLRKIADKVALFGYFVVVPDFLHGDPYQPDNPNNPGIWLQSHNPKEAFEEAKPVIAALKEKGASFIGAAAKVVVELAKVHEIQAAVLLHPSLLAVDDIKEVKCPISILGAEIDKTSPPELLKQFEQILSPNPEIAHFVKIFPGVEHGWAVRYNHDDVAAVKSAEEALEDMMDWFKKYLKRKIQFIVLEDHIPEKENTTTPRVYNKTHCMSETEGLRDDTFKKGTTPKVPLSPVPEGQDKAIDGWLLAVWLVTYPSIAMHPL >ONIVA05G16190.1 pep chromosome:AWHD00000000:5:17304231:17309230:1 gene:ONIVA05G16190 transcript:ONIVA05G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54820) TAIR;Acc:AT1G54820] MADAWAASPPSPTAPSPFAADDLVDARLAPWPPFAPWPAPGQLHHNRRGGGHPNPLFTILPASALAIGVVLLVAVVVILVMTRRWKPGAVDGAGGASCNGDKPGGAPASSCGSSVRGYNNSRYYAAAAAGCIYGGRLGFSVQPRNRGAQVFTYRELESATDGFSECNVVGRGAYGVVFRGRLGDGTTAAIKRLKMDGRREGEREFRIEVDLLSRMHSPYLVGLLGYCADQSHRLLVFEFMPNGSLKSHLHRRALAPAEQPPPLDWQTRLGIALDCARALEFLHEHSSPAVIHRDFKCSNILLDHNYRARVSDFGMAKLGSNKANGQVTTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTKRPPGQHVLVSWALPRLTNREKLVQMVDPALIGQFSLKDLVQVAAITAMCIQTKADYRPLMTDVVQSLIPIVKSPLMSCTSTPLRPAHGHHHVVYMSPSRGSSNGGALETRCVMHGLD >ONIVA05G16180.1 pep chromosome:AWHD00000000:5:17285067:17287733:1 gene:ONIVA05G16180 transcript:ONIVA05G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMDLYSQLVPSRGGGARRRPDLRGAAAVLVEVAAAAVADEAEVVAVVEARRLSDLRRAAAVLVEVAAAAVADEAEVVAVVEARRLSDLRRAAAVLVDEAAAFADEVEAAAGADEAAALAEEQYVPI >ONIVA05G16170.1 pep chromosome:AWHD00000000:5:17274361:17282761:-1 gene:ONIVA05G16170 transcript:ONIVA05G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQQQQPPHRPRRKGQKRKLEDEAAASASAAAAAAAAAAAAATATPSSLGSAGADDDNEEEEDGSAGPEICCRHSQAALAREVRTQVDALHRCFSWRHADRAAAKRATHVLAELAKNEEVVNVIVEGGAVPALVCHLKEPPAVAVLQEEQQPRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPLLVNLLKRHKNATNLRAVNSVIRRAADAITNLAHENSNIKTCVRIEGGIPPLVELLESQDLKVQRAAAGALRTLAFKNDENKSQIVDCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQRSSFVSQDTHNQAGIAYNGGLVPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYMMRVGEKSVQRRVALALAHLCAPEDQRTIFIDNNGLELLLDLLVSVSLKHQLDGSVALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWNVFELMMRFIYTGSVEVTSDISQDLLRAADQYLLEGLKRLCEYTIAQDVNVDNVSDMYDLSEAFHAMSLRHTCVLFILEQFEKICVKSGSSQLIQRVIPELRNFFAKALRPSHRNAQP >ONIVA05G16160.1 pep chromosome:AWHD00000000:5:17268915:17269768:-1 gene:ONIVA05G16160 transcript:ONIVA05G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTFTTDESDKHEESYLSLGLTVSQSKKNNTEYPKVLLLLAAYLDRSVQKNEDLLDSNKIKDSSTIFHGHRAPDLSIKLYAERIFKYSECSPSCFVLALIYMERYLQQPHVYMTSLSVHRLLITSVVVAAKFTDDAFFNNAFYARVGGISTVEMNRLELDLLFNLDFRLKVDLETFGSYCLQLEKETMVLVIDRPIQQVHGVNSAKDLSRNSSIDESCKSELMRYSSQALQGCS >ONIVA05G16150.1 pep chromosome:AWHD00000000:5:17264124:17270979:1 gene:ONIVA05G16150 transcript:ONIVA05G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27550) TAIR;Acc:AT5G27550] MRCTGAPSNGRLVSASSKIKSAPPIPPLPQTPPRLLLRSPNQIPNSAPPRARRRKQIAAMEADPAPSSTPPPSSPAPAASPSRRPPGEEGGGAERVEVEEYVDPPSPDCCGGADPDHAPPPSPKGEEPVVSAEEEQAAVAGGEGEALRSFLEEFGDQGDDSLIPSPKLKQINTPDRLAALRFLGGKYNSLLERYKQQVAKCAEECAPRYDGLKKKYADECAERRRLYNELIELRGNIRVFCRCRPLSTAEISNGCSSIVQIDPSHETELQFVPSDKDRKAFKFDHVFGPSDNQETVFAESLPVVRSVMDGFNVCIFAYGQTGTGKTFTMEGIPEDRGVNYRALEELFRLSEERSSSVAYTFAVSILEVYNEKIRDLLDESSEQTGRKLDIKQTADGTQEVAGLIEAPIYTIDGVWEKLKVGAKNRSVGATSANELSSRSHSLVKVTVRSEHLVTGQKWRSHIWLVDLAGSERVNKTEVEGDRLKESQFINKSLSALGDVISALASKNAHIPYRNSKLTHLLQSSLGGDCKTLMFVQISPSSADSGETLCSLNFASRVRAIDHGPARKQADPAETFKLKQMTEKIRHEEKENAKLLESLQLTQLKYASRENVIKTLQEKIREAEQTSKTYQQRVRELENELANEKKAARDTARSTKPPLAPMRQRPPLGRIGNHIPPKAPLKLRLSKAPTIQNKENIPVMMNKGSSGADTSKAVAGKARRVSLTPVIRHIPLQPKRRSSLAVLPTQREQLSIFPDKRSVSRLSHIQMPRRSIATFNSIPATPLAAAAHKQVDGTPEARQLRRIEFSSSKFRSPPALARFNSRNNALSPQQKLRLASGSGNASKICFSVQKRVILGSPAPVKSSLLSGTGIFNPALREKMMAAKIGNAQRVFNTNRRKSVL >ONIVA05G16140.1 pep chromosome:AWHD00000000:5:17258594:17263303:1 gene:ONIVA05G16140 transcript:ONIVA05G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVASASASCFAPRSDHGGGWSYGGTRGVPSPRRGAARRLRRVLARSGGGGGGGGGGEGRGILDPLATPLQILGLDASASYTAAQLKAAFRARVKEFHPDVCKDTENADLIMRRVLEAYEILSGNQGMMIERNNVDPFDEPECASCDIFVNELLCIGTGTF >ONIVA05G16130.1 pep chromosome:AWHD00000000:5:17244011:17258353:-1 gene:ONIVA05G16130 transcript:ONIVA05G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLSLLLAPSRALSLSSPPLRLAPTHPPLRLHHDGGHLLVGTTRRQAPSPRRRRLHAARASAPSAAAASPVGPAGEGGEVGGRARKAAGYRNRFLDLARLGAVAESAAEALFRSEIRRRLAVTAVLILLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKIKGYIWWLSLGFALVAAFTVSCYSLQYSIYAASYRVKHVMITSLFLVLGAMTMTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMLTQFSGNWYSCWHYILGIAGTFILVTMGAVLVTEGCRKIKLQYYGFKLASGARSESSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGTQFWESLKETLNPKTSVGGGPWVYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPKIKPGRATVEYLTKIQTSTRFWGGILLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLRRYVRATTTARRHHHPPHLPLPSAIARRDLRREERKIRNGPPDTRETAAPRASQSSVWPSPLPPLPSRQHHRAHALLLLPPQRDAPKQTSSSSSSSLPSSTSTRRIHQHPYRPPPPPHHATSSSSYYYHHPPPPHAYHGPWHPAPRPPHPEQPALTGPPPEFVEHQQAPPEFVEHQQARKVKNDVNLHKDTIRLVPDVTDPDRRLVAFTFDAVTDGSITIYYFGKEGKNCTFSSVYPELQTPTKIPFQKGLAQKFVQTSGSGVDLGFFSLDELSNPSGEVFPLVVYAEACPPPEESHQPNSTRAQITLAVIEKHHNDLRVKVVKQMLWSDGEKYELQEIYGIVNSTEADVPDADDSDMGKECVICLTEPRDTAVFPCRHLTLRVPIVREEEFDQYNV >ONIVA05G16130.2 pep chromosome:AWHD00000000:5:17251864:17258353:-1 gene:ONIVA05G16130 transcript:ONIVA05G16130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLSLLLAPSRALSLSSPPLRLAPTHPPLRLHHDGGHLLVGTTRRQAPSPRRRRLHAARASAPSAAAASPVGPAGEGGEVGGRARKAAGYRNRFLDLARLGAVAESAAEALFRSEIRRRLAVTAVLILLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKIKGYIWWLSLGFALVAAFTVSCYSLQYSIYAASYRVKHVMITSLFLVLGAMTMTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMLTQFSGNWYSCWHYILGIAGTFILVTMGAVLVTEGCRKIKLQYYGFKLASGARSESSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGTQFWESLKETLNPKTSVGGGPWVYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPKIKPGRATVEYLTKIQTSTRFWGGILLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLRRYAD >ONIVA05G16130.3 pep chromosome:AWHD00000000:5:17252033:17258353:-1 gene:ONIVA05G16130 transcript:ONIVA05G16130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLSLLLAPSRALSLSSPPLRLAPTHPPLRLHHDGGHLLVGTTRRQAPSPRRRRLHAARASAPSAAAASPVGPAGEGGEVGGRARKAAGYRNRFLDLARLGAVAESAAEALFRSEIRRRLAVTAVLILLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKIKGYIWWLSLGFALVAAFTVSCYSLQYSIYAASYRVKHVMITSLFLVLGAMTMTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMLTQFSGNWYSCWHYILGIAGTFILVTMGAVLVTEGCRKIKLQYYGFKLASGARSESSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGTQFWESLKETLNPKTSVGGGPWVYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPKIKPGRATVEYLTKIQTSTRFWGGILLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLRRYGA >ONIVA05G16130.4 pep chromosome:AWHD00000000:5:17251864:17258353:-1 gene:ONIVA05G16130 transcript:ONIVA05G16130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLSLLLAPSRALSLSSPPLRLAPTHPPLRLHHDGGHLLVGTTRRQAPSPRRRRLHAARASAPSAAAASPVGPAGEGGEVGGRARKAAGYRNRFLDLARLGAVAESAAEALFRSEIRRRLAVTAVLILLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKIKGYIWWLSLGFALVAAFTVSCYSLQYSIYAASYRVKHVMITSLFLVLGAMTMTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMLTQFSGNWYSCWHYILGIAGTFILVTMGAVLVTEGCRKIKLQYYGFKLASGARSESSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGTQFWESLKETLNPKTSVGGGPWVYYLTYAFLVFVFNIFDIVGSIIELRRSYQAYNVMPALSKVLRRYAD >ONIVA05G16130.5 pep chromosome:AWHD00000000:5:17252033:17258353:-1 gene:ONIVA05G16130 transcript:ONIVA05G16130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLSLLLAPSRALSLSSPPLRLAPTHPPLRLHHDGGHLLVGTTRRQAPSPRRRRLHAARASAPSAAAASPVGPAGEGGEVGGRARKAAGYRNRFLDLARLGAVAESAAEALFRSEIRRRLAVTAVLILLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKIKGYIWWLSLGFALVAAFTVSCYSLQYSIYAASYRVKHVMITSLFLVLGAMTMTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMLTQFSGNWYSCWHYILGIAGTFILVTMGAVLVTEGCRKIKLQYYGFKLASGARSESSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGTQFWESLKETLNPKTSVGGGPWVYYLTYAFLVFVFNIFDIVGSIIELRRSYQAYNVMPALSKVLRRYGA >ONIVA05G16130.6 pep chromosome:AWHD00000000:5:17244011:17250235:-1 gene:ONIVA05G16130 transcript:ONIVA05G16130.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSTSRRRRDEYYAARPPPPPPPHHHHQHPYRPPPPPHHATSSSSYYYHHPPPPHAYHGPWHPAPRPPHPEQPALTGPPPEFARKVKNDVNLHKDTIRLVPDVTDPDRRLVAFTFDAVTDGSITIYYFGKEGKNCTFSSVYPELQTPTKIPFQKGLAQKFVQTSGSGVDLGFFSLDELSNPSGEVFPLVVYAEACPPPEESHQPNSTRAQITLAVIEKHHNDLRVKVVKQMLWSDGEKYELQEIYGIVNSTEADVPDADDSDMGKECVICLTEPRDTAVFPCRHLTLRVPIVREEEFDQYNV >ONIVA05G16130.7 pep chromosome:AWHD00000000:5:17244011:17250235:-1 gene:ONIVA05G16130 transcript:ONIVA05G16130.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSTSRRRRDEYYAARPPPPPPPHHHHQHPYRPPPPPHHATSSSSYYYHHPPPPHAYHGPWHPAPRPPHPEQPALTGPPPEFVEHQQAPPEFVEHQQARKVKNDVNLHKDTIRLVPDVTDPDRRLVAFTFDAVTDGSITIYYFGKEGKNCTFSSVYPELQTPTKIPFQKGLAQKFVQTSGSGVDLGFFSLDELSNPSGEVFPLVVYAEACPPPEESHQPNSTRAQITLAVIEKHHNDLRVKVVKQMLWSDGEKYELQEIYGIVNSTEADVPDADDSDMGKECVICLTEPRDTAVFPCRHLTLRVPIVREEEFDQYNV >ONIVA05G16120.1 pep chromosome:AWHD00000000:5:17241465:17248598:1 gene:ONIVA05G16120 transcript:ONIVA05G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIYTDGFNPSVISSARTLQAAASLVAGPIPPLSHASPSQIPHRFLLPNSPLLPRRSNWRCCLPTELHLAKLLGAAAAVFRPVELAGLAGALATLPSSSQSCSALQRRSIPSASRNQPAPSPPFCRAAQRRRPRGIAPRRARAAGRRHCHLAVQLAELLGAAAAFHPVELAEPAGAIATLLSGSQMFEQAVNRQKGVGVYIPSPVLSHGQLYVAFSRVTSPDGLRVLIENNPPEYIDSTHNLGTQIRLDKDLDLGGLGIHPRPRPTELGSVPKIAKLCFQIDWIGTPIAIIEIQAGDFPLEVDKLLHKLPVGILLQKDLKAHPEKRIPNQHQIQRSGQTFEPILSGKVSL >ONIVA05G16120.2 pep chromosome:AWHD00000000:5:17241465:17245779:1 gene:ONIVA05G16120 transcript:ONIVA05G16120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIYTDGFNPSVISSARTLQAAASLVAGPIPPLSHASPSQIPHRFLLPNSPLLPRRSNWRCCLPTELHLAKLLGAAAAVFRPVELAGLAGALATLPSSSQSCSALQRRSIPSASRNQPAPSPPFCRAAQRRRPRGIAPRRARAAGRRHCHLAVQLAELLGAAAAFHPVELAEPAGAIATLLSGSQMFEQAVNRQKGVGVYIPSPVLSHGQLYVAFSRVTSPDGLRVLIENNPPEYIDSTHNYEKTHNANT >ONIVA05G16110.1 pep chromosome:AWHD00000000:5:17238882:17239583:1 gene:ONIVA05G16110 transcript:ONIVA05G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTRAAGGVGEEEARVGEAVGETTGKRRKAVGAAAMASSASGGWPPTSPHHQRLPHRADSSPTSCAAASPWPHDAAVTLAIGQGSDRGSRRRGDAGAGDEAGLLGLRYGELGVAIGGRPKGILVARVGNQWHFNDAIPRNGIVAKPTLGSGRIANFSAERGGGRWDFPALGDGGIVHR >ONIVA05G16100.1 pep chromosome:AWHD00000000:5:17233078:17236470:-1 gene:ONIVA05G16100 transcript:ONIVA05G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGASLLKRNFLLLVLKLKLRSPTSRSIAAGEEKRMEVEMMEDDVFFAELSKRISLLITDDDEAADFGAARFPASAAAPIPGFSLAHVPMGASMVAPPAYTLYHHAASYNNGAGMIGAGDNAAVRAWQQQQQQLCGSKGTGVFIPRSSPGSVHPKKKGKSKGNVHKAVRGQAAPAKKQSSQK >ONIVA05G16090.1 pep chromosome:AWHD00000000:5:17216984:17218789:-1 gene:ONIVA05G16090 transcript:ONIVA05G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHHPPHGIAAGDARKAHHLGGDPKNLSWCGVGVGDHHFLPRKLVGGGGPSAAKLALVSFLAVILVLAVDLSLTGAGANRRLRLQYLHYIGDGGGGGGGGGVGGGGEEAKNNELPWLSVPDPSNFTEELIDRWLTPGGTPCRDSRTANISIPVLDDAAAAGEVTTLGSAEIHEFTFWALDDAGQRRCLGGDFFEIDLAGDEWKSRPPVVDHGDGSYSFRLQVAPRFAEGDFRLTIILLFRSFEGLKFSSLRFKYHAEMRRIPLLFGPSNSTRFLPALETCRAADFARDVWSGRWTRLAKNDSCEEVDDAGRYRCLEPQHPCEAPWCAGPLGALESNGWVYSAHCSFSLFTADAAWRCLDGKWLFFWGDSNHVDTIRNLLTFVLGVTNTSAVTRRFDAAFTNPSGGPGTVRITSIFNGHWNMSMNYLGLHSLRNKGFQRLVRSYFLAEDRAPDIVILNSGLHDGCYWTSVRAYVQAAEYAAQFWAGVMAEVRSRGHAMPRMFYRTTIATGGYARDLAFNPSKMEAFNGVLVEKLRRHGVLTGGLIDNFDMTFPWHYDNRCNDGVHYGRAPAKLLWRDGKVGHQYFVDLMLGHVLLNAICNG >ONIVA05G16080.1 pep chromosome:AWHD00000000:5:17140925:17158367:-1 gene:ONIVA05G16080 transcript:ONIVA05G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAPPGPTFLREVELRLLRCTLPSPATLPPPPSPPPRHPLAPVAASAVAAVEAGDYAAALASAAPHLLPPTAPAAPGSAARFYGDLAAAAEEFLRGDDGGAAAAGEGFECRCAVVLSAAVAAILAFTQQNVTGPPGKYSPFPFWTSSLDEGCYSNLEDEWDAWASAQLASIGSHVHGKFSLMQFIVFAELMLTSIKSLDPTDCCSVSWWLCRLSMVRQNIVDELSSTLFDQVQEYKNKTLAHFGELENVFSYWGPLLCDGEGSYFVSAAFLEAGIAEYKYGRIDQSRLHLDSAQEACGLHLSLTGMLGFRTIHQVDAKSQMVLVANTSGSASGEGQVTELTGTQDDAAALKNARSSVPGESDEFCDILRMPRLVENDNDSGNDEKKDPSKKAVLTAMQQAAVLAECLHVSRRSRHDEMSGWEMAPFIESIDSQEDSYFVVRSLCDILRIRWESTRNRTKQRALLMMENMVEDVGNDFPVAAQRAKLVFGVQMPTIPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRLLGKVADATSLINARISVTPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARALRSLARSAYNRNDFHASKMLWESALALNSLFPDGWFAYGTVAWKDKDLEKAVDAFTRSVQIDPENGEAWNNIACLRNSWEVWDNYSKVLLDTGSIQQTLEAVKMVLNLSSNKRFNIDLLEKVMAMLEEQPTHLSDTQEAESSRSTSDDANQETRKYNQLLDIIGDILQQIVRSGGSNSEIWGLYARWHKTKGNLIACSEAMLKQVRSLQGSGLWHDQTKFTKYAQASLQLCKVYMEISSSTGSQRELFSAEMHLKSSLKQASDFLHTPEYKALEDCLAEIKNLIGPA >ONIVA05G16070.1 pep chromosome:AWHD00000000:5:17120109:17128389:1 gene:ONIVA05G16070 transcript:ONIVA05G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRMASRRLPLPLVAQLVVLLGLACGLRSSSSAAAAASGLAGERVTYLPGQPPVDFDMYSGYVTVDKRAGRSLFYWLQEAPAAAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNDYRWNKVANILFLDSPAGVGFSYTNTTSDLYDSGDKRTGHYVPQLSQLVYRNNKGVKEPLINFKGFMYWWNHGIISDGTYRLLNASCVHDSGEHPAPACLAALNASTVEQGDIDMYSLYTPTCNETSTSSAAARQRRLKQGHYPWMTGSYDPCTERYSTEYYNRPEVQRALHANVTGINYTWATCSDILNDNWRDSPRSVLPIYHELIAAGLRIWVFSGDTDAVVPLTATRYSIDALGLPTTVSWYPWYDAMKVGGWSQVYKGLSLVTVRGAGHEVPLHRPRQALILFKHFLQGKPMPDAPTKVQNEA >ONIVA05G16070.2 pep chromosome:AWHD00000000:5:17120109:17128389:1 gene:ONIVA05G16070 transcript:ONIVA05G16070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRMASRRLPLPLVAQLVVLLGLACGLRSSSSAAAAASGLAGERVTYLPGQPPVDFDMYSGYVTVDKRAGRSLFYWLQEAPAAAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNDYRWNKVANILFLDSPAGVGFSYTNTTSDLYDSGDKRTAHDSYKFLVKWFEKFPQYKYRDFYIAGESYAGISLLWHYVPQLSQLVYRNNKGVKEPLINFKGFMPWMTGSYDPCTERYSTEYYNRPEVQRALHANVTGINYTWATCSDILNDNWRDSPRSVLPIYHELIAAGLRIWVFSGDTDAVVPLTATRYSIDALGLPTTVSWYPWYDAMKVGGWSQVYKGLSLVTVRGAGHEVPLHRPRQALILFKHFLQGKPMPDAPTKVQNEA >ONIVA05G16070.3 pep chromosome:AWHD00000000:5:17120109:17128389:1 gene:ONIVA05G16070 transcript:ONIVA05G16070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRMASRRLPLPLVAQLVVLLGLACGLRSSSSAAAAASGLAGERVTYLPGQPPVDFDMYSGYVTVDKRAGRSLFYWLQEAPAAAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNDYRWNKVANILFLDSPAGVGFSYTNTTSDLYDSGDKRTAHDSYKFLVKWFEKFPQYKYRDFYIAGESYAGISLLWHYVPQLSQLVYRNNKGVKEPLINFKGFMPWMTGSYDPCTERYSTEYYNRPEVQRALHANVTGINYTWATCSDILNDNWRDSPSGDTDAVVPLTATRYSIDALGLPTTVSWYPWYDAMKVGGWSQVYKGLSLVTVRGAGHEVPLHRPRQALILFKHFLQGKPMPDAPTKVQNEA >ONIVA05G16070.4 pep chromosome:AWHD00000000:5:17120109:17128389:1 gene:ONIVA05G16070 transcript:ONIVA05G16070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRMASRRLPLPLVAQLVVLLGLACGLRSSSSAAAAASGLAGERVTYLPGQPPVDFDMYSGYVTVDKRAGRSLFYWLQEAPAAAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNDYRWNKVANILFLDSPAGVGFSYTNTTSDLYDSGDKRTGHYVPQLSQLVYRNNKGVKEPLINFKGFMPWMTGSYDPCTERYSTEYYNRPEVQRALHANVTGINYTWATCSDILNDNWRDSPRSVLPIYHELIAAGLRIWVFSGDTDAVVPLTATRYSIDALGLPTTVSWYPWYDAMKVGGWSQVYKGLSLVTVRGAGHEVPLHRPRQALILFKHFLQGKPMPDAPTKVQNEA >ONIVA05G16060.1 pep chromosome:AWHD00000000:5:17113895:17114496:1 gene:ONIVA05G16060 transcript:ONIVA05G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGFGISNGDADGPEVVVMRAIRRGDNDGGAVSGCCRPATSSNNLDNNPLVAAPGHHKMATLGGLVWGRGDLFFSLALSLGNPTAWKDWEHGQMSSSCLMACRRRSAGAVATHRSQPRRRRATRLRVKAQPSGQQRRRPRTPFSPWGVVCVNFPALSEGVLQVKTMSWLLRTSGSGTQCCILVGGIV >ONIVA05G16050.1 pep chromosome:AWHD00000000:5:17107738:17110348:1 gene:ONIVA05G16050 transcript:ONIVA05G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAATSARSSSRAFDWRSVLTASTSALYCFPQCTREDDRERCELDIQGRGCNEENKGNLQLWSIRGKKAVFPGHFKMIGKIRTERGKGFLSLFCLGTKLILLTR >ONIVA05G16040.1 pep chromosome:AWHD00000000:5:17103692:17106982:1 gene:ONIVA05G16040 transcript:ONIVA05G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLPVSAGDASRVLFTGIRDHTDILIEVLLRLPPWSLCQLRCVCKLWLERTTSSTFLSAYAERHTTNPSNWFLLDRTIFIDTAPTPRGPIRALLRNSEPPKVSSIITSSRMCSIRRKESFYDQLPMVVSYSGGLILFTGNENNYYVCNPFTGDTFLLPVPKPQLRNAESLGIVARDGEYVVAELMMSCLRSFSSVNGRWEEKPLVCPQFSRGDMVFSSGGMLHWVDLNCGILSCDPFASEPTVLFINLPEASGRPTRGLDEWIHMRYVGVSAGRLCFFDIDEDDGESGSMSLWALGGNSGEWVLEYKVDFEDLWEDESYDDYSLDEDEVPLVGLVDPLNEHSVYVISQDCLFNIDLKTKQILNCTAQTNAGREVGSSPPIACVVPPLPHLVSPYPSCLRKEASNSDPQEAGSSDKPQKSGKGRRRKSKT >ONIVA05G16030.1 pep chromosome:AWHD00000000:5:17097562:17100221:1 gene:ONIVA05G16030 transcript:ONIVA05G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSHKPLELFHALPPQAPADAPPPPSAFEGFSSILTHFDAGYFRISLSLCGQALLWRTLCGGGGDGDGDEHVQPRALGALARHLPPAASVLLWSLALLSLVALTALYAARCLLRFAAVRAEFRHRIAVNYLFAPWASWLLLLQSAPSSLLRPGAAPRRVLWCAFAAPVLALDVTVYGQWFTEGRTALSMAANPTGHITVVANLVTARAAAELGWREGAVAVFAVAVAHYAVLFVTLYQRLHGANALPAMLRPVFFLFFAAPSMASLAWGAISSSFDTACKMLFFLSLFLFASLVSRPTLFRRAMRRFSVAWWAFPFPLTALAVASVEYAREVEDHAAVVLVLVLSALSVVVTVAVVVCTAIRTSDLLPHGDDDPLPCASSSVMVPLDAFTGSIVSSCV >ONIVA05G16020.1 pep chromosome:AWHD00000000:5:17081772:17085284:1 gene:ONIVA05G16020 transcript:ONIVA05G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLKAKSWVPEEKAAATASDEQNDKIKKVRELLGSQMTSEMPSFLSDATIRRFLRARNWSTEQATKALKETVKWRRQYRPDTIRWEDIPGREHEARRTYIADYFDKNGRIVFISNPTIKSKSSTKDQIKQLVYNLEIFAMHSENMEDECTVWLTDFQGWVLTNTPLPLLRECTHIIQNHYPGLISVAILSNPPRIFESFWKIVCYFIEPKLKEKVKFVYTNNPESHKIVADMFDLDKLESAFGGRNTLPFDMDKYAERMKRSDQMRGAPMHANGYSCSTQT >ONIVA05G16010.1 pep chromosome:AWHD00000000:5:17080203:17080640:-1 gene:ONIVA05G16010 transcript:ONIVA05G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVDRAKTAERRREATRGDRCQPDPADAADTEQGRRGATTRGGSWRPGAFPHCDAGEIVTRPVHHAAELIQRAIAGIDDTYFRSFIDFASSSANKAEGPVPIANADEVVVWPG >ONIVA05G16000.1 pep chromosome:AWHD00000000:5:17073529:17077152:1 gene:ONIVA05G16000 transcript:ONIVA05G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPAAATAPLVRAHRRPSARAAALTTPLPRRHLGSPVAAASRQSRRRRCRGKRAASPPPL >ONIVA05G16000.2 pep chromosome:AWHD00000000:5:17073529:17076898:1 gene:ONIVA05G16000 transcript:ONIVA05G16000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPAAATAPLVRAHRRPSARAAALTTPLPRRHLGSPVAAASRQSRRRRCRGKRAASPPPL >ONIVA05G15990.1 pep chromosome:AWHD00000000:5:17059748:17065369:-1 gene:ONIVA05G15990 transcript:ONIVA05G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSLPIQSLFPYQYFMIRDMNIAKREEDIGLYAGFVAASYFLARTFSSVPWGISVDKYGRKPCIVISILSVIFFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQKSTHIFSPKNPYLGDAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISGIGVLVYQFTVYPFIAKYFGPIRPLRPTAILSVLLLTAYPYMHNLHGLELKATITTACNILQNTAVTQKQRGAANGISVTLLSLFQGLAPAGAGILFSWSQKHVTGLFLPGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ONIVA05G15990.2 pep chromosome:AWHD00000000:5:17059748:17065369:-1 gene:ONIVA05G15990 transcript:ONIVA05G15990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSLPIQSLFPYQYFMIRDMNIAKREEDIGLIFFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQKSTHIFSPKNPYLGDAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISGIGVLVYQFTVYPFIAKYFGPIRPLRPTAILSVLLLTAYPYMHNLHGLELKATITTACNILQNTAVTQKQRGAANGISVTLLSLFQGLAPAGAGILFSWSQKHVTGLFLPGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ONIVA05G15990.3 pep chromosome:AWHD00000000:5:17059748:17065369:-1 gene:ONIVA05G15990 transcript:ONIVA05G15990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSLPIQSLFPYQYFMIRDMNIAKREEDIGLYAGFVAASYFLARTFSSVPWGISVDKYGRKPCIVISILSVIFFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQKSTHIFSPKNPYLGDAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISAYPYMHNLHGLELKATITTACNILQNTAVTQKQRGAANGISVTLLSLFQGLAPAGAGILFSWSQKHVTGLFLPGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ONIVA05G15990.4 pep chromosome:AWHD00000000:5:17059748:17065369:-1 gene:ONIVA05G15990 transcript:ONIVA05G15990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSLPIQSLFPYQYFMIRDMNIAKREEDIGLYAGFVAASYFLARTFSSVPWGISVDKYGRKPCIVISILSVIFFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQKSTHIFSPKNPYLGDAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISGIGVLVYQFTVYPFIAKYFGPIRPLRPTAILSVLLLTAYPYMHNLHGLELKATITTACNILQNTAVVFMVSKARDRVVLTRYTNKQHICSLINMVAGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ONIVA05G15990.5 pep chromosome:AWHD00000000:5:17059748:17065369:-1 gene:ONIVA05G15990 transcript:ONIVA05G15990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEETKEYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSSLPIQSLFPYQYFMIRDMNIAKREEDIGLIFFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQKSTHIFSPKNPYLGDAQISEEVEAQVDLSNIESGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSAISGIGVLVYQFTVYPFIAKYFGPIRPLRPTAILSVLLLTAYPYMHNLHGLELKATITTACNILQNTAVVFMVSKARDRVVLTRYTNKQHICSLINMVAGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >ONIVA05G15980.1 pep chromosome:AWHD00000000:5:17033903:17034179:1 gene:ONIVA05G15980 transcript:ONIVA05G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQMEDMSQPEDSDTAKMLKYIEACFAQYRKDTNRKLVLHKKRIVELEVEPWKLNNLHRMKDDYEEVKPIHVVKRLCTRIIFLMEGRFP >ONIVA05G15970.1 pep chromosome:AWHD00000000:5:16977421:17020822:1 gene:ONIVA05G15970 transcript:ONIVA05G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPEEREDGDGERLTRDVEGHSACLELPAITAAGFVDEEGLQRSGGGWVTAFVALSCATAAMGGAIYGYDISTAGGCSGGGVRRVSNYCKFDSQLLTLFTSSLYIPGLLTSVLLASCGALLSVGLGFTTQSVPLYMAEMAVARYRGAFSNGIQFSLCLGALAATTVNFTVEKVRGSWGWRLSLALAGVPAVLLTVGAVFLPETPNSLIQQGKDRDKVKALLQKIRGVDTVDDELDEIPQKR >ONIVA05G15960.1 pep chromosome:AWHD00000000:5:16971041:16972677:-1 gene:ONIVA05G15960 transcript:ONIVA05G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPVSTPIPTGESVHGIPLALQTVGTGNNQHLIVPFNQITFVRPTLIEIGQNGALGGLVMGNVPTATSVLARAFRREMVNGAALSDH >ONIVA05G15950.1 pep chromosome:AWHD00000000:5:16958503:16962514:-1 gene:ONIVA05G15950 transcript:ONIVA05G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCSVASSNKSKLADEEFSPVGMRVLLIDESTTYLKIITKLLLNCGYKGLTVTNFEITYVWRVDAVTPKTAARDAVEELHENPWSYDMVLTEVHAPAGIDGFNLLQYAGTDMDLPVVVFSADDDKRTVLKCVNSGACDYLVKPLRHEELKNIWQHVYRRNLRSGGRRAAAAGNSSKGEIKKRFRWPKRFRIALTKSKALPSCSTIPIGETVHGIPLALQAIGTGNNQHLIVPFNRITFVRPRGVEIGQNGVVGGLVTGNNNVVVPTATSIIARAFGREIVNGADLFDHGTLIRDSSSTSHDGAQNELGETNLAFELMAKKGSDVEPRIGG >ONIVA05G15940.1 pep chromosome:AWHD00000000:5:16952578:16956787:-1 gene:ONIVA05G15940 transcript:ONIVA05G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNGSVPPGCKLPAGGFFGRLHVLVVDDDAAYLEELKLMLLLAGYAVTGKTTAEEALKEVDQNPEDYFHIVMTDVHMSGMDGFDLLHRINGRVPVIMFSEGEDVVMVMRTVMNGACDYMVKPMTSEAIKFIWKHVLRWRLSALPANASSSLQPSDHLAAALAAVAPPPPPAVQLPAAPAQARNRDGEAHEEAELSTQPPALVPSGVQEAAAAVWSSRGDGQEAPPAAVAAAAKAPSKKRGASEVSDRGSNNLEATTGRKKVRTRFTWTTVSHTSFVRAYEQLKDQEGPKKIKQLMELHGIFVTKTQVSSHLQKYRSWLENERKKEEATSSSPCNPLSYTNCLDRGYSTWKQSSVITEGQQSSSFSGRPIHSMATSNGCLTTTDTQADNYVGVGAKEIENFISSHQRSLGTAIGQESTIEQASLHSEITSVSRDAHENGNSQARGSAMSNGTSGTRGVLVTNENLLHVVSASLPSNMGQPTQPSQSFYTNELAANYSIISDQNPGTSHPISSSAINNQNSKTQEMSVSQTVELGCGNDVMLDWPELVGLEDQLDNDVLMNSFFDGDLLQQGVVTAIDGTQEMLAFDSTGDLGSVPPRGLNNEIASHENTNGKNGASSGP >ONIVA05G15930.1 pep chromosome:AWHD00000000:5:16950450:16951707:1 gene:ONIVA05G15930 transcript:ONIVA05G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKPSSWTNLYLQPNPGTIMSLSLFSSSPHLNPSTLLRGLSETEAQLFVLVGTRSNHCSPYENPSLPSNHNPIGGAQLPLSLSSSIVNHNGLTCCTTPSKWIFGRKHTQNTEPQEWASLLVDFRPIEAGCCCHGLAGQGGSQVHDADDGFHAGEGAEGTTMLLSIEAVMISIT >ONIVA05G15920.1 pep chromosome:AWHD00000000:5:16944854:16948043:-1 gene:ONIVA05G15920 transcript:ONIVA05G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANIQRFICSVIQIKKDIMQNVLGWPIQSMATSNGNVTTTRSNYEHLRSEVTSVGLVVRAYGSNQARGNEMLDNDMLMNSLFYGDQLQQGVVPAMDRTKMRMLLILSVTSTQYRLKTLTVILPPIR >ONIVA05G15910.1 pep chromosome:AWHD00000000:5:16929788:16938529:1 gene:ONIVA05G15910 transcript:ONIVA05G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DUF21 domain-containing protein At1g03270 [Source:Projected from Arabidopsis thaliana (AT1G03270) UniProtKB/Swiss-Prot;Acc:Q9ZVS8] MAAAGEDTPFGSVWWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNACAMEALPIFLDRIFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIICCPISYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKPKIVPLPDKTEPNREVSGAPQLTAPLLSNNEERVESLVVDIEKPQSRQVNGNKPCSMQQNEMPYAMSRSSEDIDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTGQKGTGTQNRQGQPTGILKKPTEGDSNPSKHQVNLVEPLLENKR >ONIVA05G15910.2 pep chromosome:AWHD00000000:5:16929665:16938529:1 gene:ONIVA05G15910 transcript:ONIVA05G15910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DUF21 domain-containing protein At1g03270 [Source:Projected from Arabidopsis thaliana (AT1G03270) UniProtKB/Swiss-Prot;Acc:Q9ZVS8] MAAAVGRARGAASLAAAVRVAAATARPASSVAAAAGLGFAGLVVQGEDTPFGSVWWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNACAMEALPIFLDRIFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIICCPISYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKPKIVPLPDKTEPNREVSGAPQLTAPLLSNNEERVESLVVDIEKPQSRQVNGNKPCSMQQNEMPYAMSRSSEDIDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTGQKGTGTQNRQGQPTGILKKPTEGDSNPSKHQVNLVEPLLENKR >ONIVA05G15900.1 pep chromosome:AWHD00000000:5:16923223:16926213:1 gene:ONIVA05G15900 transcript:ONIVA05G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFFRVREREREERLSAAARRRPGAAIRPCARGKAAVTSARFIAFSPRICIQSLQDSTTAFDDCLRLLRGERDEQKLAGLREIEAVAGPRFDAAVSRAVPSLTRRRGDDTGAVVVHGEVLRRWLAVHALRRMYHAALASSSSLHIRGCQHMDFVSYSYQTSMWPMSLSWSFLMVTSSQHMDLVSAVMAFQIWTSSVSFWYTGVADQTKKPPGRRTRLSSRRRAPCRAVVDAAAGRRHRWTAGAGAAACQWHTSLATERAMAMFALATESARAAAAEDRRGADGIRRAEGSGGWRRRRDGHVARARCGAGEPDAEVEAKTTMTSSVGGPHVRRTSGEEEGGTSVGRVKSRDNGKRQKWDSLRPLLKKFASNGHTEHLPFKVVSGIIRQLRQVARQSGCCGRSTWSHQSHSVGRFWRATVSRVAEKEQEGGDIISIRTAVMLSTSLNSCLVSSFSSLPSSTGGGGGVQGFGGMTLDEGEHILVQGLCVELDLT >ONIVA05G15890.1 pep chromosome:AWHD00000000:5:16914374:16921269:-1 gene:ONIVA05G15890 transcript:ONIVA05G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLYLLLLLPLLACADEVPDRYAVLRAPQGPGASAAAKEYLDPTFPLPAPPPAAPSCTVPVLSYSFGDTYGAAPAKASYAPPAGCPAPWSLVVLTFSASCAGDQYDRVAAVWLDGAELLRTTTAEPTPEGVRWTVRKDVTRYSALLRSPPGGVLSVMLENVVNDKYTGVYSVNVSLEFHGTPPYLSDAASSSPAGVASNDPKEPMLPESYFQPADLIVPISDVAGNGKGGFWFRIQNASDSHSRLVTIPSSTYRAVLEVFVSPHSNDEYWYSNPPDIYIRENNLTTRRGNAAYREVVVSVDHRFVGSFVPFPVIYTGGINPLFWQPVAALGAFDLPTYDVELTPFLGLLVDSNTHEIGLSVFDGIAEWLVDANLHLWLDPSTSDVHAALGAYQTPRLKISRHYSTRLLEGRFKIKAKRKSSFSGWVKSSFGNFTTEVEAELKATSLVEFTGDGRNKTVSLEAKQETKTLIRAGDTRKVIGRVEREAKYPLSLFAETEDGENGTSVVTVSLTHGLSVETEVETKALESKEKLDDAQAAQGWMVLLDHDVLNGSVTTTQAYRFSDDEREYERAIDVVDGAVLSDNVTESFSALAAAAADARRRRFHAGIAALIRFRPHRRDQDYCFSSEGEGKVSTGDDLLDALVEVFPQLNFLTLVEVCMEYKNDIDGAADYIIHNVLPSIPDNNDAHANEGAHMKRPASVDNDADSVQSYLANKYTAEQEEEEEEEEKEEEEEEEEEEEEEEDEDDDDEEEDEDDEEEDEDDEEEDRLMEENSDCLVAIDLNSKPGTSAFDDDLVTHDDGLPAMNIQSSYPVRLELLDNAIADEIDKKVTLMSNVTAINQMLEDIKLKEEKTKQAVLEATQAGNDIFVKVEELKEMTMLSTEENDKVVAGEVFAEQSILASEAHGLQARLSNISEERNNYALIIDEMRHTLQNRFTEAEVETVAAEKKKVERETLAEKMLNEQELILDATKERSKMLEQQVRENAKLRELLMDRGQVVDALQGEMLGIFDKISQLQLRVDKQLPEPLLSSMSSSVNSADNIAQLQCRVDDPQHSVDDSLQLASPRLSSSFKSTDSTAQAHCRVDEPQISVDESLLPVDECEQLQLISPRLSSSVMSAQSQYRVDEPLLPVDEVLQLASSSLSSSLKSGDNIAQLLGVLDVHLPVDELLQLPSLILSSSVKSSDSIAQFQQKADADEMLQSSSLASSEKPATFKNWSSASDTITQFQQRANADESLPLPSSSLASSGKPATFKSTWSSAAESNSVFSGDEEIDDASFHDSIDLDDSWDLVDDEAIYMCAN >ONIVA05G15880.1 pep chromosome:AWHD00000000:5:16905089:16905486:-1 gene:ONIVA05G15880 transcript:ONIVA05G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLEKIQSAAREQKAKLYIIVACIALLVCGCTTAAHHHQRKGYRQCKSARCISLSTAILGGSRLISSGLSSKAN >ONIVA05G15870.1 pep chromosome:AWHD00000000:5:16901536:16902030:1 gene:ONIVA05G15870 transcript:ONIVA05G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRMVGGLELVGSLAMDRWHSLVDSSSTSHRGYSLVQTGKLKERGEVDMWKRGNRVACQAVKIKD >ONIVA05G15860.1 pep chromosome:AWHD00000000:5:16900667:16901494:1 gene:ONIVA05G15860 transcript:ONIVA05G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAIASRHDPSTSFFWSSEQQASNEATAAVLQTPGREEPPATSAAWTAKLRRCNNGAWSHWSSAEGGAMAGGPPGAQPQRALRHLDGLDRQSLWWG >ONIVA05G15850.1 pep chromosome:AWHD00000000:5:16888007:16891405:-1 gene:ONIVA05G15850 transcript:ONIVA05G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKESSKLERLRSTAKQQKGKLYIIKICISMLICGSPKAALLTFIITQKIQTDRSHIEVFTQSGWQEFLNAQTTISYQCKSIGGERGTPHTGDRSGERRARDGSHGDRPHRRGRKGGGAGGREVGEASTARSVSQRPDSCPEADSAIVIGGRGRRRSSLALVTPSSWRPAVGDGDGPRRSGMEA >ONIVA05G15840.1 pep chromosome:AWHD00000000:5:16871531:16876785:1 gene:ONIVA05G15840 transcript:ONIVA05G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT5G25590) TAIR;Acc:AT5G25590] MGCAQSRIENEEAVARCKERRQWMKSAVQARNAFAAAHSAYAMSLRDTGAALSEFAHGEGVPPPPPPPQPTTTTAAGAAGEEARPVGGGATSADRLAAGGGMGVPGVSPTSAAVDAMMMPPPPPIDTLPPPPPPLPEFSPSPAKIHRSKSMPLPPQTKGPAVLHSDSIREDDEEEAEIEEEEDEDEHLDDRRRRLRHRPQVPPLVSSPAPGTPVTPQPPPPPPPPPPDSKPGVDTWDYFFSMDEGMASIAPDDDEIIQEPEDEKYVPASPPRPPLSPPTPVAAPAPQEEFEEEPRTPEMVTPPPSLPPRPPAVSSKKKKGKGKHRAAHHQHTESAPPVTLVGGGGGKAGKVVPVELPRIDLLRVLAEIDDRFLKASESAGEVSKVLEANRMHYHSNFVDTRGHIDHSARVMKIITWNRSFRAMQNGDDGKDDFENDEWETLATVIDKILAWEKKLYDEVKAGELMKLEYQRKVALLNRQKKHNAATEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLADRMAKMWEDMHLHHENQLKTVLNLKSVDISDSHIETSDHHHSHTRQLRDIVEKWTTNFSDLMSYQKEYINALYSWLKLNLIPIESSLKEKVASPPRVQQPPVKALLQAWNEHLTKLPDDLAKTAIVSFRAVLDTILSVQDEELKQKETCEHTRKEYMRKARAFEDWYHKHAQRRAFDVDPESGEGTSQKDTVAEKRFAVESLKNKLDDEVEAHNKLSKQVREKSLSILKAHLPELFRALTDFSLASFEMYSKMRLMSLMQDQDGQMEPTHQIEDLPVIYVRKKGTSGRSVSSIVPVLFMSLNRKKTGYRVSLDV >ONIVA05G15830.1 pep chromosome:AWHD00000000:5:16863469:16865130:-1 gene:ONIVA05G15830 transcript:ONIVA05G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSRPLPRLLLLRRRCLSSAPHRDRRFLPLLRFAALAKELSDHRPRPGTPPPPTRPRSPHPYEYNRLMSAHAGTRDGAGADRALHLLDEMRALLRRRPDAACFTTVAAALSSASRPGAALAVLDAMAADGVAPDAAACTVLVGVYACRLRRFDAAYEVVRWMVANGVAPDVVTYSTLISGLCSAGQVAEALGVLDLMLEEGCQPNAHTYTPIVHAYCTGGRIHEAKRLLNTMIASGFAPSTATYNVLVEALCKVGAFEEVDALLEESTAKGWTPDVITYSSYMDGLCKAGRIDKSFALVDKMLSNGLQPSEVTLNILLDGVCRSSTAWAAKRLLECSAELGWDANVVNYNTVMRRLCDERRWLSVVKLFTDMAKKGIAPNSWTFNIVVHSLCKLGRLHKALCLLRSKEFVATVITYNTLIRHLSISGEGNEVCLLLYQMIEGGIAPNDITYSLVIDCLCREEKFLVALCCFYQSLEDDFFPSAFLSIIRGLIVGGMLGQLHTLIGCVLGQGFIIEVYIYQELIKALCKNGYCQSVEMYKVCHILERMLRLR >ONIVA05G15820.1 pep chromosome:AWHD00000000:5:16856980:16863098:1 gene:ONIVA05G15820 transcript:ONIVA05G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERD (early-responsive to dehydration stress) family protein [Source:Projected from Arabidopsis thaliana (AT4G02900) TAIR;Acc:AT4G02900] MGSLTDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRSMGTVFSKFVNADLSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPINWASGTLEKEKSLSYDQIDKLSISNLGKGSKRFWAHIVMAYVFTFWTFFVLYREYKVVTTMRLRFLAIQNRRADQFTVLVRNVPPDPDETVSEHVEHFFAVNHRDHYLSHQTVYNANTLAGLVEQKKGLQNWLVYYENQHAKNPAKKPIMKTGLWGLWGKRVDAIEHYTTAIEELCKQEDEERHKVITDPNAIMPAAFVSFKSRWGAAVCAQTQQTSNPTLWLTEWAPEPRDVFWPNLAIPFVELSVRRLIMAVALFFLTFFFMIPIAIVQSMANLDDIERMLPFLKPIIERNSLKSIVQGFLPGIALKIFLILLPTFLVMMSKIEGHTSLSGLDRRTASKYYLFLFVNVFLGSVITGTAFQQLNNFIHQSANKIPEIVGESIPMKATFFITYVMVDGWAGVAAEVLRLKPLVMFHIKNTFLVRTERDREQAMDPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGAQFWPDVQRRLVIALIVSQILLLGLLSTQEAEKSTVALLPLPVLSIWFHYVCKGRFEPAFIKFPLQDAMVKDTLERANDPTLNLREYLKDAYVHPVFQKNDIYEFAGIDEEEKNPMVATKRQSRMNTPVDSKFNSSSGTNEGEFSRMAPT >ONIVA05G15820.2 pep chromosome:AWHD00000000:5:16857033:16863098:1 gene:ONIVA05G15820 transcript:ONIVA05G15820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERD (early-responsive to dehydration stress) family protein [Source:Projected from Arabidopsis thaliana (AT4G02900) TAIR;Acc:AT4G02900] MGSLTDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRSMGTVFSKFVNADLSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPINWASGTLEKEKSLSYDQIDKLSISNLGKGSKRFWAHIVMAYVFTFWTFFVLYREYKVVTTMRLRFLAIQNRRADQFTVLVRNVPPDPDETVSEHVEHFFAVNHRDHYLSHQTVYNANTLAGLVEQKKGLQNWLVYYENQHAKNPAKKPIMKTGLWGLWGKRVDAIEHYTTAIEELCKQEDEERHKVITDPNAIMPAAFVSFKSRWGAAVCAQTQQTSNPTLWLTEWAPEPRDVFWPNLAIPFVELSVRRLIMAVALFFLTFFFMIPIAIVQSMANLDDIERMLPFLKPIIERNSLKSIVQGFLPGIALKIFLILLPTFLVMMSKIEGHTSLSGLDRRTASKYYLFLFVNVFLGSVITGTAFQQLNNFIHQSANKIPEIVGESIPMKATFFITYVMVDGWAGVAAEVLRLKPLVMFHIKNTFLVRTERDREQAMDPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGAQFWPDVQRRLVIALIVSQILLLGLLSTQEAEKSTVALLPLPVLSIWFHYVCKGRFEPAFIKFPLQDAMVKDTLERANDPTLNLREYLKDAYVHPVFQKNDIYEFAGIDEEEKNPMVATKRQSRMNTPVDSKFNSSSGTNEGEFSRMAPT >ONIVA05G15810.1 pep chromosome:AWHD00000000:5:16852120:16854507:-1 gene:ONIVA05G15810 transcript:ONIVA05G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:debranching enzyme 1 [Source:Projected from Arabidopsis thaliana (AT1G03310) TAIR;Acc:AT1G03310] MASLPAPPTPLGSCPRGRGGGRVVARPRRAGLACAARSCYRFRTDDDGVVDVAVSGEDGDGGGGGYAVSVEVPGTRGREGGLVLRASGSGEGVPLAPAAGGASLAAELSFDPPRAPFYLSFLLTDASGAEIRTHRKTSFRVPVGVGPGSPAPLGMSISGDGAVNFAVYSKNANAVSLYLYAAAADEPALEIDLDPYIHRTGNVWHVSLASVDGYVSYAFCCGGIRLPLLDPYAKVIGDFVSSNSVYDEGVTAPSMRCFASLAIAPSYNWGRDRHPRLPLEKLVVYRANVALFTKDRSSGLPDDAAGTFTGLSAKVEHFRSLGVNAILLEPVFPFHQVKGPYFPYHFFSPMNLYSSKGLSVSAIKSMKDMVRVMHRNGIEVLLEVVFTHTAEGESECQTISMRGIDNSSYYIANGIAGCKASILNCNHPVTQKLILDSLRHWVLDFHVDGFCFINAPFLVRGPGGEYLSRPPLLEAIAFDPVLSMTKIIADPWSPLDISNVQFPFPHWKRWAEVNTRFSIDVRKFLKREALISDLATRLCGSGDLFSTRGPAFSFNHVSRNSGLSLVDLVSFSNDDLLSESSWNCGEEGPSENSAVLQTRLRQIRNFLFILFVSLGVPVLNMGDECGHSAAGSVSYKDRGPLNWRGMKTTFVKEVTGFISFLTALRSRRGDIFQRREFLKLENIHWYGSDLCEPGWDDPTSNFLCMHINAEVDEMAADSVRGDLYICFNANEESVSAALPALAEGSVWLRLVDTSLAFPGFFATESNPKVQQVPGLSSYHVEAHTCVLFESKSALA >ONIVA05G15800.1 pep chromosome:AWHD00000000:5:16820101:16826055:1 gene:ONIVA05G15800 transcript:ONIVA05G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pale cress protein (PAC) [Source:Projected from Arabidopsis thaliana (AT2G48120) TAIR;Acc:AT2G48120] MAAAAPALPAFASLLLPSSSSPPRRIPWPRPLPSRRGALRPVKLLPAISAVEKGKGAAAEAKEVELEGMPPEYYDEEWQARQREKTKEWNAYRKKEEAEEERLTNEYREIGMRLKAYPQEEVRKARILVSSFIRAGEDIEEEIEKAAERGELTELVLMVIWNRLDVARRDDERDAIRSLDLLYRRVETEILRSEATPAMRLLNDLLNLHDGSDDDKWLKKCKKHMLEVFPREDPFTMVFPAGFNMEEHQGQIKLPPQDDDVLLRVDFIREVDELLKEVQAAHENNKVPTGNDPEAVATKLKYQEKLRTIRQVESLLELASSLKW >ONIVA05G15790.1 pep chromosome:AWHD00000000:5:16813588:16818350:1 gene:ONIVA05G15790 transcript:ONIVA05G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFPSSNRTAAAAAVAVAAAPFAAASAMPHPSSSSRSAGGGHHVPSVYPPPPSSSSSSACRHTPSSATLDLLILLLVLFSVAFLLASSLAHVSRSLTPLLASPPAAAALASAAAAMPYLGAAAALAGATFLSCSRLPRRRCRNPRCRGLVKALEFDVQLQTEEAVRAGTGSTSGGADAAMWREIEALPWKGGQGGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPIAKLEGWGVPKSKRRSKRSTLGLPADGGVR >ONIVA05G15780.1 pep chromosome:AWHD00000000:5:16807862:16812882:1 gene:ONIVA05G15780 transcript:ONIVA05G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G05990) TAIR;Acc:AT3G05990] MRLHLALLAALLTLAAAAQPPFRGYYYLLDCGAAASTTDSRGLEWLPDGGYVTGGEPHQLPDQGLLDPALATRRDFPHEPGKKFCYELPVDRNRRYLLRPTFFYGASSPPPPVFDLIVDGTFWTAVNTTDDVLAGSASYYEAVFGASGRNMSFCLGVNPDYTSAGPFINALQVIQLHDSVYNATNFTASAMGLIARTKFGSTDGVERYPNDTFNRYWQPFPDSKHAVSSTHNVTSADFWNLPPPGVFNTALVAEQDAPLVLQWPPIPLQNDSYYVALYFADTVSESSRTFNVYINDYSFYEGLTVTSAGLSVFATQWILSGLTRVILAPISGLPPLINAGEVFGLFPLGGYTFPRDARALEAIKRSLQNIPDDWNGDPCMPHGYAWTGVTCDKGQIPRVISLNFSSMGLSGYLSSDIARLTALTDISFANNSLSGPIPNLSNLRNLTRLHLQDNKLNGTVPQTLGIITSLRELFLQNNELDGAVPLNLLLNQGLTYQFLPGNNFFPRPPR >ONIVA05G15770.1 pep chromosome:AWHD00000000:5:16806570:16807215:-1 gene:ONIVA05G15770 transcript:ONIVA05G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAETMMAQSDTSVHGQQAVTTVGDWRQEVNAGDGKEAALVDIIGGQRGDDKKGDDDSVPQRAVFESFLVKTMSWFSLRSQGKVASVLIVTLLSGDVV >ONIVA05G15760.1 pep chromosome:AWHD00000000:5:16798870:16802177:-1 gene:ONIVA05G15760 transcript:ONIVA05G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGVDWESVAEATSGAVGALVSTTVLYPLDTCKTKFQAELQTQPGAHKYRNLSDVFWEAVQKRQILSLYQGLKTKNIQSFISQFVYFYGYSYFKRLYLEKSGAKSIGTKANLLVAAAAGACTVVVTQPLDTASSRMQTSAFGKSKGLRATLAEGTWLEAFDGLGISLILTCNPSIQYTVFDQLKQKLIQRQRRKNAEAGGGSSPVALSAFSAFLLGAISKSVATILTYPLIRCKVMIQAADPDEDDDDESERPGKSKSPKTMLGALHAMWSKEGIPGFFKGLHAQILKTVLSSALLLMIKEKISRFTWISLLALRRYIFVSQKRIKSA >ONIVA05G15750.1 pep chromosome:AWHD00000000:5:16794403:16794672:1 gene:ONIVA05G15750 transcript:ONIVA05G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASIEAVREGREREGRAETPPSGKPYPHRGASRVMSSPTADATAYAFFARFGIELTFPPYLMQIDTEDELDSAGEGTMKGEFVGGDT >ONIVA05G15740.1 pep chromosome:AWHD00000000:5:16783450:16790781:1 gene:ONIVA05G15740 transcript:ONIVA05G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAARLARRRRGGGVTVAEYRMVRGRRRGGDAGPVVVIDVEDDGEDAADDSAGGGGGAAAAVKRRVVVPGAVATRTRSRRMAIAQQAPVTPPAAAEEAPSRRRKRKGAASAEAGGGGPSKRRVRSSGSAGGRGARKRKEAEADEEEAEAEAEEEAEAEAGTPARGESMEVSQVDGGGSSGRADDASHNGNGESRVCNADGIDQASEERPSVAGGDLIEEEHCGNGEASVAGGDRIEEHCGNVEASVTNSNRDGGEIIAGEGTEDRGNTELAVVDPVNEELASDEDDYDDEMLEEKLVGDVIRAYSNGADLDTNGVDWEAEDEMEFADLDTNVVDWEAEDEMEFDDDNDNDADDDGDNFGGDADEGDKSVQMHDFSKVETQDLVSHNVNVSEVRPHEDEEAIKDEMESKGKGSLSFNEGSSYIEILDSDEEVKVVNDTGNALRRKPLVPAKLPIVPSCVAWRTRSSWGMKEERISYNTYFEVLSDEPKEDDDDTEVELDDEEDDENDDDCNSASCDEEDEEEEEEREEEEEEAQRRKQKKGIDSSDDEMIDDAVDCGIDWEEDYPEVDFTRPLTFQKDGSEAPVGSEAFTEQQKRSRFTWELERRKKLKLGMMTNHRLYERDLESDSNSSDSSQNRKNGCQGSGDHRTGRKRKNPLSKSGKKSSRMLKRQSLMKLLMDKMCSNDDGKSTPFDQKPQIEYSFKDLHPLVFSFGDDDPSPTDRSEQDAALDMLWADLDFTLESENIGTYYDDEGQEDSLLDHALAPITPCSRGKHEFIIDEQIGIRCKYCSLVNLEIRFILPLLASNFAEKPAWRNSSCLKTALMCPDLYEQTGTGDGQSQDFHINGTVWDLIPGVITDMYQHQREAFEFMWTNLVGDIRLNEIKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEQEFKKWNVNVPFHIMNTTDYSGKEDRDICRLIKKEHRTEKLTRLVKLFSWNRGHGVLGISYGLYMKLTSEKVGCTGENKVRTILLENPGLLVLDEGHTPRNERSVIWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTRVGRRHCVSKKQRDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGTILRTLPGLRECVIVLKPLPLQKSIIRKVENVGSGNNFEHEYVISLASTHPSLVNAINMTEEEASLIDKPMLERLRSNPYEGVKTRFVMEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKIFKWREGKEILQMDGKILPRYRQNSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFSNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVFTYNQIAPELS >ONIVA05G15740.2 pep chromosome:AWHD00000000:5:16783450:16790781:1 gene:ONIVA05G15740 transcript:ONIVA05G15740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAARLARRRRGGGVTVAEYRMVRGRRRGGDAGPVVVIDVEDDGEDAADDSAGGGGGAAAAVKRRVVVPGAVATRTRSRRMAIAQQAPVTPPAAAEEAPSRRRKRKGAASAEAGGGGPSKRRVRSSGSAGGRGARKRKEAEADEEEAEAEAEEEAEAEAGTPARGESMEVSQVDGGGSSGRADDASHNGNGESRVCNADGIDQASEERPSVAGGDLIEEEHCGNGEASVAGGDRIEEHCGNVEASVTNSNRDGGEIIAGEGTEDRGNTELAVVDPVNEELASDEDDYDDEMLEEKLVGDVIRAYSNGADLDTNGVDWEAEDEMEFADLDTNVVDWEAEDEMEFDDDNDNDADDDGDNFGGDADEGDKSVQMHDFSKVETQDLVSHNVNVSEVRPHEDEEAIKDEMESKGKGSLSFNEGSSYIEILDSDEEVKVVNDTGNALRRKPLVPAKLPIVPSCVAWRTRSSWGMKEERISYNTYFEVLSDEPKEDDDDTEVELDDEEDDENDDDCNSASCDEEDEEEEEEREEEEEEAQRRKQKKGIDSSDDEMIDDAVDCGIDWEEDYPEVDFTRPLTFQKDGSEAPVGSEAFTEQQKRSRFTWELERRKKLKLGMMTNHRLYERDLESDSNSSDSSQNRKNGCQGSGDHRTGRKRKNPLSKSGKKSSRMLKRQSLMKLLMDKMCSNDDGKSTPFDQKPQIEYSFKDLHPLVFSFGDDDPSPTDRSEQDAALDMLWADLDFTLESENIGTYYDDEGQEDSLLDHALAPITPCSRGKHEFIIDEQIGIRCKYCSLVNLEIRFILPLLASNFAEKPAWRNSSCLKTALMCPDLYEQTGTGDGQSQDFHINGTVWDLIPGVITDMYQHQREAFEFMWTNLVGDIRLNEIKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEQEFKKWNVNVPFHIMNTTDYSGKEDRDICRLIKKEHRTEKLTRLVKLFSWNRGHGVLGISYGLYMKLTSEKVGCTGENKVRTILLENPGLLVLDEGHTPRNERSVIWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTRVGRRHCVSKKQRDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGTILRTLPGLRECVIVLKPLPLQKSIIRKVENVGSGNNFEHEYVISLASTHPSLVNAINMTEEEASLIDKPMLERLRSNPYEGVKTRFVMEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKIFKWREGKEILQMDGKILPRYRQNSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFSNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVFTYNQIAPELS >ONIVA05G15740.3 pep chromosome:AWHD00000000:5:16783450:16790781:1 gene:ONIVA05G15740 transcript:ONIVA05G15740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAARLARRRRGGGVTVAEYRMVRGRRRGGDAGPVVVIDVEDDGEDAADDSAGGGGGAAAAVKRRVVVPGAVATRTRSRRMAIAQQAPVTPPAAAEEAPSRRRKRKGAASAEAGGGGPSKRRVRSSGSAGGRGARKRKEAEADEEEAEAEAEEEAEAEAGTPARGESMEVSQVDGGGSSGRADDASHNGNGESRVCNADGIDQASEERPSVAGGDLIEEEHCGNGEASVAGGDRIEEHCGNVEASVTNSNRDGGEIIAGEGTEDRGNTELAVVDPVNEELASDEDDYDDEMLEEKLVGDVIRAYSNGADLDTNGVDWEAEDEMEFADLDTNVVDWEAEDEMEFDDDNDNDADDDGDNFGGDADEGDKSVQMHDFSKVETQDLVSHNVNVSEVRPHEDEEAIKDEMESKGKGSLSFNEGSSYIEILDSDEEVKVVNDTGNALRRKPLVPAKLPIVPSCVAWRTRSSWGMKEERISYNTYFEVLSDEPKEDDDDTEVELDDEEDDENDDDCNSASCDEEDEEEEEEREEEEEEAQRRKQKKGIDSSDDEMIDDAVDCGIDWEEDYPEVDFTRPLTFQKDGSEAPVGSEAFTEQQKRSRFTWELERRKKLKLGMMTNHRLYERDLESDSNSSDSSQNRKNGCQGSGDHRTGRKRKNPLSKSGKKSSRMLKRQSLMKLLMDKMCSNDDGKSTPFDQKPQIEYSFKDLHPLVFSFGDDDPSPTDRSEQDAALDMLWADLDFTLESENIGTYYDDEGQEDSLLDHALAPITPCSRGKHEFIIDEQIGIRCKYCSLVNLEIRFILPLLASNFAEKPAWRNSSCLKTALMCPDLYEQTGTGDGQSQDFHINGTVWDLIPGVITDMYQHQREAFEFMWTNLVGDIRLNEIKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEQEFKKWNVNVPFHIMNTTDYSGKEDRDICRLIKKEHRTEKLTRLVKLFSWNRGHGVLGISYGLYMKLTSEKVGCTGENKVRTILLENPGLLVLDEGHTPRNERSVIWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTRVGRRHCVSKKQRDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGTILRTLPGLRECVIVLKPLPLQKSIIRKVENVGSGNNFEHEYVISLASTHPSLVNAINMTEEEASLIDKPMLERLRSNPYEGVKTRFVMEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKIFKWREGKEILQMDGKILPRYRQNSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFSNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVFTYNQIAPELS >ONIVA05G15730.1 pep chromosome:AWHD00000000:5:16770766:16780003:1 gene:ONIVA05G15730 transcript:ONIVA05G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDGGDDAGVKRVADRYLKREVLGEGTYGVVFKAVDTKTGNTVAIKKIRLGKYKEGVNFTALREIKLLKELKDCNIIELIDAFPYKGNLHLVFEFMETDLEAVIRDRNIVLSPADTKSYIQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGQLKLADFGLARIFGSPERNFTHQVFARWYRAPELLFGTKQYGSAVDIWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKSSQWPDMVYLPDYVEYQFVSAPPLRSLFPMASDDALDLLSRMFTYDPKARITAQQALEHRYFLSVPAPTKPSQLPRPPPKGDSGNNKIPDLNLQDGPVVLSPPRKLRRVTAHEGMEVHMHRADRTEEHPSGARHMDDMSSQSSRIPMSVDVGAIFGTRPAPRPTLNSADKSRLKRKLDMDPEFGYTE >ONIVA05G15730.2 pep chromosome:AWHD00000000:5:16770766:16773394:1 gene:ONIVA05G15730 transcript:ONIVA05G15730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGECRSNDYGAAAYWDARYSSPSPSSTATTGGGGGAFFDWYQAYPALRPLLRARLPASSRVLMLGCGNSLLSEDMVKDGYEEVVNVDISSVVIEQMREKHVDIPQLTYFQMDVRDMSLFGDGTFDCVLDKGTLDAMMCGDDAPLGASKMLAEVARILRPGGIYMLVHPLNLNNTITYGCPKERVQLLYQAGSHKKIELYIMPTPGYQLKWSKGVSLAQPIMEEVSLTVDGQLPPDYVLKDPESHFIYICKKPDAANEANSVVANEGETITSK >ONIVA05G15720.1 pep chromosome:AWHD00000000:5:16761493:16768571:1 gene:ONIVA05G15720 transcript:ONIVA05G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT4G17620) TAIR;Acc:AT4G17620] MDFSEQDVEIFGEDYDDAEADGGGGGGASSGSSSPSSSSSSSAAGSSSSSSGASSSSGGGGGGGGGEDEDGVDQGDARGYDDDPFDGAPARAAGGYGDEERGEGDAEEEEEEEEERDLFGSDNEDYVKTPARSNYLVPVLPSIRNTNNHSRGGYGGRNGRGPPLLPRPGGHPGRHNFGYGGRFSHGNGRNVEGFVSEMKLNKSEETLSRKFVAFQEPSEIACYSRIEGGDVYFDDRSLRLFKRNICDYVGENLNKGFESFIEKRDLGSEGFGDLLACIRNSTVPLQNIHFVTYRNNLNKILATAYLREPWKMGVHKRNGVVYLDVHKLPERPQSEVERRRCYWGYSFENLATENSIDEDGRGIDANVEFCAVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSREIQSFIAGVPYVVVGFRNDAGVLIRTERLRTKEITQKVKAKNYWQGGVCLAFADEVLCWLYGTVRENEDYILQFVHPFNRLELLRAQSPCPDAITHHVEQLSGTAG >ONIVA05G15710.1 pep chromosome:AWHD00000000:5:16745951:16757644:-1 gene:ONIVA05G15710 transcript:ONIVA05G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinases;ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT5G13530) TAIR;Acc:AT5G13530] MRVPCCSLCHVRYDEEERAPLLLHCGHGFCRACLARMLANAAGAVLACPRCRHPTAVGNSVSALRKNFPILSLLSSSPSSPSFLHSDSGGSSSDGSDDDDDDFFGRPSRRSSAEGAGAGAAAPSLQPAGCASFDLASHPDLKLARRIGSGPPGPAGQEVWSGTLSRGGGGGGAKRCKHPVAVKRVPVTAGDVLEGVQEEVERLRRAATWCRNVTTFHGAVRVGGHLCFVMDRYAGSVQTEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDTNGHAVVSDYGLSAILKNLTSRRVSDDSNMVGLDATLLSPNYTAPEAWVPLKKSMNLFWDSANGILPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKERKPPPQYSRVVGVGLPGELWKMIGDCLQFKPSRRPSFQDMLKTFLRHLLDIPRSPPASPENDFTNASMPNGMDVPPASVLDMVQDNPNALHHLVCEGDAAGVRNLLAEAASDGNGRLIRSLLEAQNADGYTALHLACRRGSAEIVEAIVAYQENVDLLDKNENPPIIFAMAAGSPQCVRALVRRSSDVNSRLREGLGPTLAHVCAHHGQPECMRELLMAGADPNAVDGEGESILHIAVAKRYTDCAIVLLENGGCRSMGIPNSVNKTPLHLCIETWNADVVKRWVEVASEEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRVLLSAGADPTAQDYPHCRTALHTAAMINDAELVKIILEAGVDVNIRNAQNTTPLLVALNRGANSCVGLLLAAGANCNLQDDDGDNAFHIAADAAKMIRENLSWIVQMLQQPSPAVDVRNHRQVILKFGENQQGWTLRDFLERLPREWISEELMETLEEKGVHLTPTIYEFADWVKFRRTVTEPAFGWQGAGPRSIGFVQSVVDHDHLVVSFCSGEARVLTSEVIKVIPLNRGQHVQLKPDVLEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIVRVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSSPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAVHWQADPSDMEKIEKFKVGDWVRVKATVPSPKYGWEDVTRSSIGVVHSLEEDGDMGVAFCFRSKPFSCSVADVEKAQPFEVGEKIHVLPSISQPRLGWSNETAATIGAISRIDMDGTLNVKVSGRNSLWKVAPGDAERLSAFEVGDWVRLKSSIGSRPTYDWSVGKISIAVVHSIQDSGYLELAGCFRKGKWLTHNTEIDKVEPLKIGHHVRFRAGITEPRWGWRDAKPDSRGIIAGVHADGEVRVAFFGVPGLWKGDPADLEIEQVYEVGEWVRLRNNADDWKSLKPGSIGVVHGIGYEDDVWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRVKLCIRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCVGDWVKVKDCVATPTYQWGDVNHNSIGVVHRAEDGELWVAFCFCERQWLCKRWEVEKVRPFRLGDRVRIRPGLVTPRWGWGEETYESKGEVVGVDANGKLRIKFRWRDGLWIGDPADIVLDDIPSLTEASNGFCS >ONIVA05G15710.2 pep chromosome:AWHD00000000:5:16745951:16757644:-1 gene:ONIVA05G15710 transcript:ONIVA05G15710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinases;ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT5G13530) TAIR;Acc:AT5G13530] MRVPCCSLCHVRYDEEERAPLLLHCGHGFCRACLARMLANAAGAVLACPRCRHPTAVGNSVSALRKNFPILSLLSSSPSSPSFLHSDSGGSSSDGSDDDDDDFFGRPSRRSSAEGAGAGAAAPSLQPAGCASFDLASHPDLKLARRIGSGPPGPAGQEVWSGTLSRGGGGGGAKRCKHPVAVKRVPVTAGDVLEGVQEEVERLRRAATWCRNVTTFHGAVRVGGHLCFVMDRYAGSVQTEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDTNGHAVVSDYGLSAILKNLTSRRVSDDSNMVGLDATLLSPNYTAPEAWVPLKKSMNLFWDSANGILPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKERKPPPQYSRVVGVGLPGELWKMIGDCLQFKPSRRPSFQDMLKTFLRHLLDIPRSPPASPENDFTNASMPNGMDVPPASVLDMVQDNPNALHHLVCEGDAAGVRNLLAEAASDGNGRLIRSLLEAQNADGYTALHLACRRGSAEIVEAIVAYQENVDLLDKNENPPIIFAMAAGSPQCVRALVRRSSDVNSRLREGLGPTLAHVCAHHGQPECMRELLMAGADPNAVDGEGESILHIAVAKRYTDCAIVLLENGGCRSMGIPNSVNKTPLHLCIETWNADVVKRWVEVASEEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRVLLSAGADPTAQDYPHCRTALHTAAMINDAELVKIILEAGVDVNIRNAQNTTPLLVALNRGANSCVGLLLAAGANCNLQDDDGDNAFHIAADAAKMIRENLSWIVQIGWTLRDFLERLPREWISEELMETLEEKGVHLTPTIYEFADWVKFRRTVTEPAFGWQGAGPRSIGFVQSVVDHDHLVVSFCSGEARVLTSEVIKVIPLNRGQHVQLKPDVLEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIVRVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSSPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAVHWQADPSDMEKIEKFKVGDWVRVKATVPSPKYGWEDVTRSSIGVVHSLEEDGDMGVAFCFRSKPFSCSVADVEKAQPFEVGEKIHVLPSISQPRLGWSNETAATIGAISRIDMDGTLNVKVSGRNSLWKVAPGDAERLSAFEVGDWVRLKSSIGSRPTYDWSVGKISIAVVHSIQDSGYLELAGCFRKGKWLTHNTEIDKVEPLKIGHHVRFRAGITEPRWGWRDAKPDSRGIIAGVHADGEVRVAFFGVPGLWKGDPADLEIEQVYEVGEWVRLRNNADDWKSLKPGSIGVVHGIGYEDDVWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRVKLCIRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCVGDWVKVKDCVATPTYQWGDVNHNSIGVVHRAEDGELWVAFCFCERQWLCKRWEVEKVRPFRLGDRVRIRPGLVTPRWGWGEETYESKGEVVGVDANGKLRIKFRWRDGLWIGDPADIVLDDIPSLTEASNGFCS >ONIVA05G15700.1 pep chromosome:AWHD00000000:5:16714513:16722854:-1 gene:ONIVA05G15700 transcript:ONIVA05G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASSHQHHYQHSAKPPVSRSSSWIRRSPPPSPPHKKLWGGGGGGGGGGRSRYVCRIVPLLVLTVYSIFTVVRIPSSSLVVSTADSERVERREDVEAFKTHLPSNQNNLEAREETRSPASLPCSALINGEAGGGQAAAESALCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSAPEKVRPYTRKFEGSIMSTIDEVTIVPVVDAGSGSNGTTAGDTGKDSLRRRCDVRHPPGVPAVVFSTGGYTGNVYHEFSDGLIPLFITAQRFAGEVVFVVLEYHYWWLGRYGAVLERLTNYKVVDFRYDRRVHCFSEMIVGLRIHGELVVDPKLMPNGKGIQDFQALLHQGYSRTPSATAAAAAAQPPVPLALAAPPSRPCLRPDDHAKVAKPKLVIFIRKQNRVLLNLPHIVTACRRAGFAPHVMNLRRQTPLPAIHAALSSADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLGYLEYKVAPEESSLAAEYGVNSTVVRDPSVISSRGWWEMKKVYMDRQNVTVNIKRFGELLRSARLHLKNATACGKAASAATTTAARRSTPVQCSAHIVMQKVSKVTRET >ONIVA05G15700.2 pep chromosome:AWHD00000000:5:16715121:16722854:-1 gene:ONIVA05G15700 transcript:ONIVA05G15700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASSHQHHYQHSAKPPVSRSSSWIRRSPPPSPPHKKLWGGGGGGGGGGRSRYVCRIVPLLVLTVYSIFTVVRIPSSSLVVSTADSERVERREDVEAFKTHLPSNQNNLEAREETRSPASLPCSALINGEAGGGQAAAESALCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSAPEKVRPYTRKFEGSIMSTIDEVTIVPVVDAGSGSNGTTAGDTGKDSLRRRCDVRHPPGVPAVVFSTGGYTGNVYHEFSDGLIPLFITAQRFAGEVVFVVLEYHYWWLGRYGAVLERLTNYKVVDFRYDRRVHCFSEMIVGLRIHGELVVDPKLMPNGKGIQDFQALLHQGYSRTPSATAAAAAAQPPVPLALAAPPSRPCLRPDDHAKVAKPKLVIFIRKQNRVLLNLPHIVTACRRAGFAPHVMNLRRQTPLPAIHAALSSADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLGYLEYKVAPEESSLAAEYGVNSTVVRDPSVISSRGWWEMKKVYMDRQNVTVNIKRFGELLRSARLHLKNATACGKAASAATTTAAR >ONIVA05G15690.1 pep chromosome:AWHD00000000:5:16703144:16712061:1 gene:ONIVA05G15690 transcript:ONIVA05G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycoside hydrolase family 2 protein [Source:Projected from Arabidopsis thaliana (AT1G09010) TAIR;Acc:AT1G09010] MAAAAAAAAAAAAAAAAEVGKRVLDTGWLAARSTEVALTGEQLTTTDPPPADPEPTAPWMHAAVPGTVLGTLLKNKLIPDPFYGLNNESIIDIAKSGRGHYTFWFFTTFQCAPAGHQHVSLNFRGINYSAEVYLNGHKEVLPKGMFRRHTLDITDVLRPDGKNLLAVLVHPPDHPGAIPPQGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSISVTGPVRIMDPHLVSTFYDDFKRSYLHCTLQLENRSSWLSDCKLKIQVSTELEGNICLVEHLQSYEISVPPNSVLEYTIPPLFFYKPNLWWPNGMGKQSLYNVEIGVDANGFGESDSSNHHFGFRKIESTIDGSTGGRIFKVNGEPVFIRGGNWILSDGLLRLTRKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDIYGLMVWQEFWITGDVDGRGIPISNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKALKNDLKLHPMFVSNHTTKSPGKDISEDPTDPSKYLDGTRVYIQGSMWDGFANGKGDFTDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPSEGWSIPIFKKRIDGYINEVPNPIWDYHKYIPYSKPGKVHDQIELYGHPSDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLDSYFIEVVNTTADELRDVAVEISAWDLDGASPYYRVTEKIAVPPKKVQQVTEMSYPKTKNPKPVYFLLLKLFKLSDNQVLSRNFYWLHLPGKDYKLLEQYRQKQIPLKINSKISISGSGYKVRMSIENRSKKPENANVSTMNLADANGSDRTGEEAIQDGHSSGLWGKIRRGLSITRSDDNVRTVEVKGADSGVSFFLHFSVHTSEPSSSQDVDKDTRILPVHYSDNYFSLVPGEKMAIDISFEAPQGSTPRVILKGWNYHLDHAVTL >ONIVA05G15680.1 pep chromosome:AWHD00000000:5:16693893:16697610:-1 gene:ONIVA05G15680 transcript:ONIVA05G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRVSPHAAVAVGGGGGQKTPTSPRGAPGADRRHPRPFAKNLDFASWASEHSSKLLLLLFVVASAAAVFLLRGAAPDAAALLCLDRSRSSSSSAAGSARLPYPDVKWAAVPPLAIAAGAPFASFRAERWVVVSVSSPPTAALGALARVKGWQLLAVGNSHTPSGWELKGAIFLSLELQAQLGYRSVDFLPYASHVRKTAGYLFAIQHGAKVIFDADDRAEVPGNDLGKHFDVDLGSGVTNHPVLLQYSHADPNRTVVNPYVHFGQRSVWPRGLPLDKVGEVAHEVFYTEVFSGQQYIQQGLSDGLPDVDAVFYFTRKPPTAAFDLRFDAEAPKVALPQGTMAPVNSFNTLFHTPAFWGLMMPVSVSSMASDVIRGYWAQRILWEIGGYVAFYPPTIYRKDHIQAYPFAEEKDLHVNVGRLIKFLNEWRSNKRTLFERILDLSYAMAEEGFWTEQDVRLTAAWLQDLLAVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVLIMHVSGPVDRTALEWRLLYGRIFKTVIILAEQSNTELAVERCALSHAYKFLPKVFARYGGADGFLFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPLENNKEEWFVKQGSMVKQVIGSSPVHFQTNYKESMGEDKIAFCGSELFYIPRQFVEDFGDLVGLVGDLELHHKVAVPMFFLAMDSPQNFDSDALAGTVFRSNLVGNETFSSIYTAQAPAVFPVKVQNEIDFIKLIRVMSTGDPLLMELV >ONIVA05G15670.1 pep chromosome:AWHD00000000:5:16676774:16678050:-1 gene:ONIVA05G15670 transcript:ONIVA05G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVLKHRRRRQRVLLEPSLSLCYGGFLSASARMAEAHCSQLGGNPNPRAMQRRFGVASEEGSSSLPGLIGATRYPIGPSGLTLVRCPRCGSAVVECRSWRQGGRVFFKCEDNEQFVPNCCTFFKWIKSYKKMVEAMELNYPDEAMSDVAMPMVADIVEKRPNSVIDAKIEKLARSMQILVFMNCSTLVFVLCMFRHETAQKWQVQVQIAQNDSFMFGNKQQATNSYTYQIWQQRTSNPFRFRQRSKFSHITKGHRFRSKFRHYRFRFREVQIQTLHTNIQILQAKDMTDSDIT >ONIVA05G15660.1 pep chromosome:AWHD00000000:5:16670860:16676680:1 gene:ONIVA05G15660 transcript:ONIVA05G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTWHELVSGLVEEPALSLSVLEPDVGVGGGSGGGGGGGEGDNGGVFFVSPARVVEQSYNKPASNAHDGRTSSHNFSATSSHNFKYPAY >ONIVA05G15650.1 pep chromosome:AWHD00000000:5:16649393:16656301:1 gene:ONIVA05G15650 transcript:ONIVA05G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Uncharacterised conserved protein UCP033271 (InterPro:IPR008322), TIM-barrel signal transduction protein, predicted (InterPro:IPR009215); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396 /.../zoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G66420) TAIR;Acc:AT5G66420] MELLCIGTADTKLDELLFLAARLRSTLAATSSAQVQVSLVDVSTTKKVTSQDFKGTTFISRDAVLSCHLGVDQHELPSDRGEAITLMSEALQSFLKRRYESGTLLGAVGLGGSGGTALIAPALRSLPLGVPKLIVSTVASGQTAPYVGTSDLVLFPSVVDICGINSVSRVILSNAAAAVAGMVHGILMESNESDETATKPTIGITMFGVTTTCVNMVKERLSKEGYETLVFHATGVGGKAMEELVKGGFIQGVLDITTTEVADHIVGGVMACDDTRFDAIIDNKIPLVLSVGALDMVNFGARDTIPPDFTGRKIHVHNEQVSLMRTTVEENKKIAEFIADKINKSSSRVIVCLPQKGISAIDAPEMPFYDPEATSTLLDELCSRIEKTDNREVKMLPYHINDPEFANVLVDAFLSMDVKASNTISPENSMVQTNQDVNTKEYCSTQRTSDSSIIWRSPVDFPDARPETLQKTKSVLHKLKQQIVEGTPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKGVPVLAGVCATDPFRRMEYFLKQLEAIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHSMGFLTTPYAFNPEEAAAMAKAGAHIIVAHMGLTTAGSIGAKTAVTLDDSVKRVQAIADAALGINPDIIVLCHGGPISGPQEAEFILKRTNRVHGFYGASSMERLPVEQAITNTMREYKRISIK >ONIVA05G15640.1 pep chromosome:AWHD00000000:5:16645567:16647495:1 gene:ONIVA05G15640 transcript:ONIVA05G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G03880) TAIR;Acc:AT2G03880] MAAASLLCHVIARSAPAGRSRRCLLHSDPHTAHPLLVAFSRLCARGPLRDALALLPDLAAAGLTADPVAVSRLIKLCVRHGTPSDGRLIHRHVFGGGDVAAPSCSSLFVSNSLVSLYAKFGLLDDALRLFDGMPHKNVVSWTTVVAALANARGRKEDALQLFVAMLRDGVAPNMYTFSSILGACSTPRVLAAMHGSIVKVGLDSDVFVRSSLIDAYMKFGDLDGGRRVFDEMVTRDLIVWNSIIAGFAQSGDGVGAIELFMRMKEAGFLSNQGTLTSVLRACTGLVTLEVGRQVHAHVLKYDKDLILHNALLDMYCKCGSLQDADALFGRMPQRDVISWSTMISGLAQNGRSIEALKVFDMMKSEGPRPNHITMVGVLFACSHAGLVEDGWYYFSSMEKLFGIQPEREHCNCMVDLLGRAGKLDDAVKFIHEMNFQPDSVIWRTLLGACRMHKNADLAAYAAKEILRLEPDDQGARILLSNTYADLRQWADAEKSWKMMRDRGVKKDPGRSWIELGKQVHVFIAGDLSHPCSESIIQELSRLFSRVTNLGYTPQTEFVLQDLATEQKEDLLKYHSEKLAIAFGTMNAMEGKPIRIMKNLRICGDCHAFAKLVSKSEGKVIIIRDPVRFHHFQDGVCSCNDYW >ONIVA05G15630.1 pep chromosome:AWHD00000000:5:16636206:16639891:1 gene:ONIVA05G15630 transcript:ONIVA05G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKERSRVLVIGGTGYIGRYIVAASAREGHLTSVLVRDPAPADPAKAAVLQGFRDSGATLVKGDLYGHQSLVAAIKSADVVISAVGYAQLADQTRIISAIKEAGNVKRFFPSEYGNDVDRVHAVEPVKSVYATKARIRRVIEAEGIPYTYVSSNFFAGRFLPSLAQAWIKGLPTDKVIVLGDGNVKGVFATEEDVGTYTIKAVDDPRTLNKILYLRPSSNILSHNELVSLWEKKVGKTFDRVYIPEDEVLKKIQESPAPLNVVLSINHSVWVKGDHTNFEIEPSFGVEATELYPDVKYTTVDEYLNRFL >ONIVA05G15620.1 pep chromosome:AWHD00000000:5:16622199:16624346:-1 gene:ONIVA05G15620 transcript:ONIVA05G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine sulfoxide reductase B 1 [Source:Projected from Arabidopsis thaliana (AT1G53670) TAIR;Acc:AT1G53670] MVMRQYAAATAASSSFRARPRARPSCLPAAALPLAPCCGVAWSRASYRRASVRAMGAASSSSSSSSSSSPSPQGQAQAQAQGKPNYSTSLTDEEWRKRLTKDQYYITRQKGTERAFTGEYWNTKTPGIYHCVCCDTPLFESSTKFDSGTGWPSYYQPIGDNVKCKLDMSIIFMPRTEVLCAVCDAHLGHVFDDGPRPTGKRYCINSLPTVDPKAHTCIGANYN >ONIVA05G15620.2 pep chromosome:AWHD00000000:5:16622559:16624346:-1 gene:ONIVA05G15620 transcript:ONIVA05G15620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine sulfoxide reductase B 1 [Source:Projected from Arabidopsis thaliana (AT1G53670) TAIR;Acc:AT1G53670] MVMRQYAAATAASSSFRARPRARPSCLPAAALPLAPCCGVAWSRASYRRASVRAMGAASSSSSSSSSSSPSPQGQAQAQAQGKPNYSTSLTDEEWRKRLTKDQYYITRQKGTERAFTGEYWNTKTPGIYHCVCCDTPLFESSTKFDSGTGWPSYYQPIGDNVKCKLDMSIIFMPRTEVLCAVCDAHLGHVFDDGPRPTGKRYCINRYM >ONIVA05G15610.1 pep chromosome:AWHD00000000:5:16612522:16613777:-1 gene:ONIVA05G15610 transcript:ONIVA05G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAAEMNPFPPIRKDTDGDNFDTLWDVYPALWLTGAWVGGAQVSVTLEPRYEEEEVGVGVMDRQRQQSSRGNASATATRGGGGGGGGKGGGNGKAAAAGKKPIKVVYISNPMRVRTSAAGFRALVQELTGRNADPSKYSPRASAGDDGGGATALPDTGAASDADALEAGAAPGRHPAETATFDEGGGGGGGGYDDDDVFRSQLLDTSYSVFSPPTLLYDDHPHSKV >ONIVA05G15600.1 pep chromosome:AWHD00000000:5:16594803:16596194:-1 gene:ONIVA05G15600 transcript:ONIVA05G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANCMRDTVLNQREQIEKFAVRFPQPCDAESFLNCVKVYTSGVLQDGKENTMFCLFVLSLLNSVHDLSRMFDTVVAAKEMTADKVEGAGKEIDITIKRGIMPSIKVPHEESSDLVSHEVTEQFHVYNIYFSGRVIWPMEAFMICNSVIFLFSGSKKANFSIPR >ONIVA05G15590.1 pep chromosome:AWHD00000000:5:16586885:16590825:1 gene:ONIVA05G15590 transcript:ONIVA05G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPMRVQKMEAHSSVQGTQPVPQDNMPMFPTGMNDQWHGASTYNTGMYTADQMMGYAGSTLSYGEPCSYGGGSSTAQHEIGPSQLDEPPPITQPIQDYGAVPHNRNAERLRSRAFGKRHRSPTGPARCRSLEPRDRFGPETGRVGSRSRRLQQRAQLLCGTDSVPHPQSARPSRPMLWYHVPTSPVYGIISLPHF >ONIVA05G15590.2 pep chromosome:AWHD00000000:5:16586885:16590825:1 gene:ONIVA05G15590 transcript:ONIVA05G15590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPMRVQKMEAHSSVQGTQPVPQDNMPMFPTGMYTADQMMGYAGSTLSYGEPCSYGGGSSTAQHEIGPSQLDEPPPITQPIQDYGAVPHNRNAERLRSRAFGKRHRSPTGPARCRSLEPRDRFGPETGRVGSRSRRLQQRAQLLCGTDSVPHPQSARPSRPMLWYHVPTSPVYGIISLPHF >ONIVA05G15580.1 pep chromosome:AWHD00000000:5:16584596:16585762:-1 gene:ONIVA05G15580 transcript:ONIVA05G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 1 [Source:Projected from Arabidopsis thaliana (AT5G26230) UniProtKB/Swiss-Prot;Acc:Q3E936] MGRSRGKDGGGRSFPSPASSSSASSSEFEFTVTLSPASKQRSAAQLCPADELFYKGQLLPLQLSPRISMVRTLLLSSASTSSASASDSTSNSSSSRDSNGSTSSSFSADCAALLLPDSAASSSRPSSATEDDRHLAPPPPPPGVAASFAAKRTGKQYLSSFATRFSSVFHRGGAPAPAAAAANAKKPPSKSLAKEVIKKYAKKVKPLYEKLSQIPKNQSNSSGQPLQQQQQQGLKKPFSFSMRKKRGDDDHAAAAAAAAAAVAAEVGGGGKYAHSNSFSGNLRFPRQKRCAASCPSSMRSSPSHSGLLTFGGAGGVGFPDVPAAAAAAMSGGISVGPVSLSTASSMEELQSAIEGAIAHCKSTMGGAVTMCSRKAAAAAAAADEICAF >ONIVA05G15570.1 pep chromosome:AWHD00000000:5:16571483:16576999:1 gene:ONIVA05G15570 transcript:ONIVA05G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal domain phosphatase-like 4 [Source:Projected from Arabidopsis thaliana (AT5G58003) TAIR;Acc:AT5G58003] MSLAAESPSPSSPSSSSGSDDFAALLDAELELTSAADSASAGDPSAATDDDDEGGDEEEEDVVVVVEQEDAIVEQSSTKRRRVEDQHRHQAVVMKSDEDTVGSSKDVKIDECPPHPGFFGGLCYRCGKRQDEEDVPGVAFGYIHKGLRLGTTEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLFDLSAAENELGIQSAAKEVVPDRSLFTLETMQMLTKLRPFVRRFLKEASDMFEMYIYTMGDKAYAIEIAKLLDPDNVYFGSKVISNSDCTQRHQKGLDVVLGDESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFGARSLSETMQDERENDGALATILDVLERIHTIFFDPVIKRVRQEVLQGCKLVFTRVFPLHQRQQDQMLWKMAEQLGAVCCTDVDSTVTHVVALDLGTEKARWAVSNKKFLVHPRWIEAANFRWQRQQEEDFPVARPKEKGKENAADAPISKENGDKNAADAANAKKGNENVADAGSTKEGSKENADDAANSEEGSKENADDAANAEKSKSDGAASGATGS >ONIVA05G15560.1 pep chromosome:AWHD00000000:5:16562596:16563450:1 gene:ONIVA05G15560 transcript:ONIVA05G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLDSPPQITPAAAAGDWSSLYAAAQAETAVKAPRHGAVAVKKAAAYGGGGGRRIKNLEMCTEALGCETGGVDGAAATAADAVVVAAAAAAAAAEDAMPVVVETDGAERKRRAREEEAGSMVELAAAAARARRAGPRGGAPLPPPLTTLARGGSRVRMVQERRDGRLAVYAVRTAGVQAERCGGRLRLLLVPCAGCSNAAECHQKEKQLIKQEAEDAAAIVTKKEEEHDAGDEATPEEEYGGVAKYVRGGRCVEAEVAAAAAARRGKKWEPEQAAPFWVATS >ONIVA05G15550.1 pep chromosome:AWHD00000000:5:16557944:16558432:1 gene:ONIVA05G15550 transcript:ONIVA05G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLFPDPYLHGGTDEVNTACWENDPVVRRFLAEGGTHNHLLEVFINTTRPFVAQELNQLPWHSVPQPLGQPVCRPPSHRIESAAATVASSFRRIAAATIAELPMNGSCNHRRTPTDSAPTSHRDHRPPSLMNRHRNHHQAPVADESPPQPSLIWATIGSPI >ONIVA05G15540.1 pep chromosome:AWHD00000000:5:16554654:16555829:1 gene:ONIVA05G15540 transcript:ONIVA05G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNDTVVVDGGGWRAVASGGSGEGTASVLHLHIILHVASHERIGWSTPVIEMMDGCYCAKSSSSTTSFHDSIVDYCSPPGMASYDVEAFMRVNLLLLNEPMWEAGSK >ONIVA05G15530.1 pep chromosome:AWHD00000000:5:16534976:16544473:1 gene:ONIVA05G15530 transcript:ONIVA05G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FZO-like [Source:Projected from Arabidopsis thaliana (AT1G03160) TAIR;Acc:AT1G03160] MFAASTSSTGLPLPRAGGLLLLPTSRSLLLPRHRHRLRLRDVGAAAAAGGGVSGGGGGAASSREPPRTLFPGGFKRPEIRVPALVLRVGADEALASGDAVVAAVARGVGIVVLEAGEEGGGRVYEAALSLKASVGDRAYLLVAERVDVASAVGASGVVLADDGIPAIVARSMMMKSNSDSIYLPLVARTIRSADTARSATSSEGADFLIIDTGSDDAINVMNGVSGTQHVKIPIFSTLSDSQSEGSYSDNTSRLLQSGASGIVMSLAGIQVLADDIIERDFSKVDTAESVLQANYSSASTLEEADNVMVLTREKAKVAGFTKLDEKVMQLISIEKPILSEAVAVIRKAAPMMEEVELLVDAASRLSEPFLLVTVGEFNSGKSTFINALLGRKYLQEGVVPTTNEIMLLSYSDVDSESAERCERHPDGQYMCYLSAPVLKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTDSELEEATAFIKENARKLLNTEDVTLFPVSSRSALEAKLLYSKNDGREHHGEALFNDPRWRNSKFYDLEHYLLSFLDGSTENGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKAIDDLTSIKDLVSGANNYAVKIEADSDSWQRQISSLIARAKGRAISLMESTLQLSNIDLIFTYTLSGGKSTPTKVTSFFQNDILSPSLDDAANLLSEYSTWLSSTNVREANIYVDCFHERWGALVAQEQRIPPEKNELVNEEEKLCVKALDGFSASAAAKVFEEEIREVAWGTFGGLGVAGLSASLLTSVLTSTLEDLLALALCSAGGFFAISNFPTRRKLAVEKIGKAAEKLSSKVDEAIQQDISRSANQLVHFVETVSKPYQDACQQKIDWLQGVQGELSTVERKLQTLKVEIQNLHES >ONIVA05G15520.1 pep chromosome:AWHD00000000:5:16524085:16531056:-1 gene:ONIVA05G15520 transcript:ONIVA05G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISCRELSPHLSSLPRAAATTTAHTHLSFRRAPPPCRLLTGTASDDGDRLRLLPSAATRDDALASLVGQLEHDVVVRHGQAAEEEEEDEELYHHDSQDHGAARRRHHRQHHQDGHELAARWREIHGRDDWAGLLDPMDPLLRSELIRYGEFAQACYDAFDYDPSSRYCGSCKYPRRAFFDRLGMPDAARGYTVTRYLYATSNFRFPNFFSQSRAGAKIWSQRANWIGYVAVSTDEETARLGRRDIAIAWRGTVTRLEWVSDLMDFLRPVADEGIPCPDREVKVESGFVDLYTDKDPTCRFCKYSAREQVLTEVRRLVTRYAALGEDVSVTVTGHSLGSALAMISAYDIAESGAASAAHGGGNEAAAAVCVYSFAGPRVGNARFKERFEGELGVKALRVVNVHDGVARMPGILLNEGAPAALRRVAEGILRVPWCYAHVGVELALDHKRSPFLKDTLDPACFHNLEAHLHLLDGYHGRGERFVLASGRDPALVNKACDFLKDHHCVPPCWRQDENKGMVRAPDGRWVQPDRHSWHLDDHDDHHGEGQHGHDGAVDGAHHRHSGHIRLVRRQPN >ONIVA05G15510.1 pep chromosome:AWHD00000000:5:16515653:16518865:-1 gene:ONIVA05G15510 transcript:ONIVA05G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDDGQLRTWVSDRLMALLGYSQGLVARLVVRLARECASAGDLAARLVDLAGFPPSPDTAAFAEDVYGRVPRSCGGGGDDAGVSEYQRQMQEAAAMAKKQSTIKLLDDDGEIGVSASPSSGGRKRFRRKAVGEDDDNAGRNARRRRSPDDEEEDGDAGEEEEMERDQIDRAQLERNIRERDAASTRRLTDRKTTKREQGELARRSDAMDKNDTSGLRRLSRRAYLQRRKEKKVEEMRDEIVDHEFLFPGVQLTAAEERDMRHKKQVYGLVHDRDDYYRMPDAYDAAANVDQETRFSVARRRYEEPAEAARDGKTANALSEQEAWEEQQIRKSRLQFGSMDRGRRGDEYELLFDDGVEFVRSTVMAGTAPADDDDTAAAAAADEYHAEAMDAKATLQDERKTLPVYKLKDDLLKAIDEHQVLIVVGETGSGKTTQIPQYLHEVGYTAGGRKKVACTQPRRVAAMSVAARVAEEMGVKLGHEVGYSIRFEDCTSEKTVIKYMTDGMLLREFLGEPDLGSYSVVVVDEAHERTLATDILFGLVKDIARLRPDMKLLISSATLNADKFSDFFDAAPVFRIPGRRFEVGIHYTVAPEADYIDAAVVTVLQLHVTEPPGGGDILLFLTGQEEIETVEEILRHRLRVLGGKVAELVICPIYANLPAELQAKIFEPAPAGARKVVLATNIAETSLTIDGIKYVVDPGFCKVKSYNPRTGMESLVVAPVSRASAEQRAGRSGRTGPGECFRLYTEYNFVSDLDDDAVPEIQRSNLASVVLALKALGINDLVGFDFMDPPPAESLLRALEELFALGALNSRGELTKTGRRMAEFPLDPMLSKAIVASERYGCSEEVITIAAMLSAGNAVFYRPRDKRVHADAARRAFHAGNAGDHVALLNVYNAWAESGYSPQWCRESFVQHRTMRRARDVRDQLGALLERVEIAPCSSAGGGDLDAVRKAVTAGYFRHAARLQRDGSYRAVKSRQTVFVHPSSGLAQAPPRWALYHELVLTTKEYMRQVTELKPEWLVEIAPHYYERKDVDEPEPKKTAAAATPQEQTTAGSTPTKQTPNLNSFFDV >ONIVA05G15500.1 pep chromosome:AWHD00000000:5:16511556:16515716:1 gene:ONIVA05G15500 transcript:ONIVA05G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPSWGSRSVDCFEKLEQIGEGTYGQVYMARETETQEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPERDEQGKPIHGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMKQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNGNLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLSKIFDVCGTPDESNWPGVTKMPWYNNFKPPRQLKRRVKEYFKHFDRLALDLLEKMLTLDPAQRISAQDALDAEYFWSDPLPCDPKSLPKYESSHEFQTKKKRQQMRQADEAAKRQKTQHPQPHGRLPPIQQTGQPHPQIRPGQPMNNPHAPIAAGPGHHYAKPRGPGGSSRYPQGGNQGGGYPNRGGQGGGGSYGNAPYPQQGRGPPPPYPGSGMAGTGGPRGGVGGGYGGGSNYPQQGGPYGPSGPGRGSNYPQQGGSRNQQQYGNWQ >ONIVA05G15500.2 pep chromosome:AWHD00000000:5:16511556:16515716:1 gene:ONIVA05G15500 transcript:ONIVA05G15500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPSWGSRSVDCFEKLEQIGEGTYGQVYMARETETQEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPVHGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMKQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNGNLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLSKIFDVCGTPDESNWPGVTKMPWYNNFKPPRQLKRRVKEYFKHFDRLALDLLEKMLTLDPAQRISAQDALDAEYFWSDPLPCDPKSLPKYESSHEFQTKKKRQQMRQADEAAKRQKTQHPQPHGRLPPIQQTGQPHPQIRPGQPMNNPHAPIAAGPGHHYAKPRGPGGSSRYPQGGNQGGGYPNRGGQGGGGSYGNAPYPQQGRGPPPPYPGSGMAGTGGPRGGVGGGYGGGSNYPQQGGPYGPSGPGRGSNYPQQGGSRNQQQYGNWQ >ONIVA05G15490.1 pep chromosome:AWHD00000000:5:16505427:16510269:1 gene:ONIVA05G15490 transcript:ONIVA05G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45290) TAIR;Acc:AT5G45290] MGSGSSKAGASSASSSSSASASGGDEASKGNGKGQRRGRGRGRGRGLLQRLPSSSSSCFRGHGTPSRDDASSASSPPPPPPPRPPRRPFESSKGEENGSLPSIAQMDKSEEDAPTIPKSHPGEGATLPSSHINRDQDVDVLQNATAVNNRVEVNQSPNHSDSSRPRFGVNFGLSRAVSLGSSVACSILSSDLSTSANPDGGHGNVDNSSDANISQQGGASTAGIDSTLDMLRDSVTAQARAAHQARRNLLESDNANLRYSNRRMGPQEPFEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRAIWPSGNASARQNSAIMQRTNSERNSELQLDSSTDSATLREANNRDLLERRSAFLERRRIRSQVRALQRLGSRYENLSGLSGHERSCILSGQHRTGNCNCRTSSRPGNSDEETNTRASISRIVMLAEALFEVLDEIHQQSAALSSRPSFSSIGSVPAPKEVVERLPVKVYRRSLKHQTEEAAQCYICLVEYAEGDCVRILPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCRSNASGIGKTT >ONIVA05G15480.1 pep chromosome:AWHD00000000:5:16493309:16496922:-1 gene:ONIVA05G15480 transcript:ONIVA05G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQTTWAASLPLFRLRPRPSSPPPCGPRLPFVPICKRRMSTQAQPSFAPLPTAQSESDAGAAGYQFRLVSYNILAQVYVKSAFFPHSPSACLKWKTRSKAVLSELKSFEADLMCIQELDEYDTFYKKNMENSGYSSIYIQRSGDKRDGCGIFYKPKSMELVQKEVLHYNDLVEKYVHTDHVNSDTSNNSSPTEEASKKVDNNKHGDPNDPRFRLKRDCVGLLAAFKLNDPFMIAGDFNSTPGDKVYNYLVSANSDSTDEAPIKLRSLYAANGGEPEFTNCTPGFTGTLDYIFLSDGSSVKPTSLLRIPRGDSAEVQGGLPNFHHPSDHLPIGADFQVLGSEG >ONIVA05G15480.2 pep chromosome:AWHD00000000:5:16493309:16496922:-1 gene:ONIVA05G15480 transcript:ONIVA05G15480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQTTWAASLPLFRLRPRPSSPPPCGPRLPFVPICKRRMSTQAQPSFAPLPTAQSESDAGAAGYQFRLVSYNILAQVYVKSAFFPHSPSACLNPCSSIIHLWTVCFPSCLRNRWKTRSKAVLSELKSFEADLMCIQELDEYDTFYKKNMENSGYSSIYIQRSGDKRDGCGIFYKPKSMELVQKEVLHYNDLVEKYVHTDHVNSDTSNNSSPTEEASKKVDNNKHGDPNDPRFRLKRDCVGLLAAFKLNDPFMIAGDFNSTPGDKVYNYLVSANSDSTDEAPIKLRSLYAANGGEPEFTNCTPGFTGTLDYIFLSDGSSVKPTSLLRIPRGDSAEVQGGLPNFHHPSDHLPIGADFQVLGSEG >ONIVA05G15470.1 pep chromosome:AWHD00000000:5:16489887:16493802:1 gene:ONIVA05G15470 transcript:ONIVA05G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64890) TAIR;Acc:AT1G64890] MEKERKMEGERRVEATRRWVIAVGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVAKPLLGLLSDAVPIRGHRRLPYVAIGALLQAISWLAIALWPAISLPVLTIFLLLSNFGASICEVANDAIVAEAGKQATSSSGSDTNLSAVSSVRKQIKELSYALCMPEIFWSVIWFSLSYAAIPFLLGTMFFYQTEVLRLDSSVIGLSKVFGQVTLLAWSVAYKKYFKTTPAQKVLSVLQFLTALVMLSDVLFVQGIYRNFGIPDSMYTIVFSGLLEGLMLFKVLPFSVLVAKLCPSGCEGSLMAFVMSALALATIISGYLGVALAEFMGVSGGDFSALPTCLLIEAACTMLPLFFSSLIKERREKEKKEE >ONIVA05G15460.1 pep chromosome:AWHD00000000:5:16488476:16488755:-1 gene:ONIVA05G15460 transcript:ONIVA05G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALGFVTLLAFCFLAPAALMLRYYHGSPELVVVGSGCSRLVETNSFIVQDIKVIILP >ONIVA05G15450.1 pep chromosome:AWHD00000000:5:16466282:16467236:1 gene:ONIVA05G15450 transcript:ONIVA05G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFFLLSLYRFPLSSSLPLFLALFLATGRTRLTTGGERSGGGDGCGGGATGDGLAVALLSALLDEKQLQRSPKPTLAEYAVRLEVPRRRPELREREDSEVGDLQDPPLRLRVERPGDADDCTAGCSQGHINILSRTKGEEMPQAFEYLASVHGGLMLVPSRSQQLQPPRSRNSPPWDQLGAKLDQIELIIVRHLETVPHAYRRRLTLAGGTAAASISPSAPLPTRRQPRSSVLPRGRLPRRERKRDRERKGSSH >ONIVA05G15440.1 pep chromosome:AWHD00000000:5:16453025:16456167:-1 gene:ONIVA05G15440 transcript:ONIVA05G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPQGAGDPPYGVVPAPPTMAAAFDLPPVTTPAPAAPSDVLLPTQPQVSGPEEFPAAAVNSNDDDMMMVDDVVVAGGVGGSGSTGNRWPREETLALIRIRSEMDAAFRNATLKAPVWEELSRRLAELGYQRSGKKCKEKFENVDKYYKRTKEGRTGRQDGKSYRFFSQLEALHAAAPPPPPQQRQGMPVEDPQPLAMARMMLPGAADLGFLSMSSESESDDESDEEEEEEEAVAPGGGGREGLGDDGDGDGEGGSSTRKLMAMFEGMMRQVTEKQDAMQRVFLETLEKWEAERTEREEAWRRKEVARINREREQLSKERAAAASRDAALIAFLQRVGGAGGEPVRLSPSSAGATRHDAAAAGLQLVPVPAPRAKAEDAWAAAGGDGSGTTAPSRWPKEEVQALIDLRMEKEEQYNDMGPKGPLWEEIAAGMQRIGYNRSAKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLDAIYRKKHFAGRGGGGGGVTIAASHSSLAIVTVSEQDNPSQRELEGKSSNDVGNVQLAVPLLVHNAPDKKVEGSEGEPNVTAAAEETDSDEMGGEYTDDGDDDDKMQYKIEFQKPTAGGGGDGNDAPVPATTAAATSSAPTSNTSFLAVQ >ONIVA05G15430.1 pep chromosome:AWHD00000000:5:16444504:16445710:-1 gene:ONIVA05G15430 transcript:ONIVA05G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGCGLLAFARCCCVGGGEMESDARVSDEPGCGGGGGGGAGSVVEKGGGVVARRFGWAEIESVTGGFSSRVIGQGGFSTVYLASLTSSRLGAVKVQRSSERLHRAFCQERDVLLSLRHPHVVRLLGYCDERDEGVLVFEYAPNGDLHERLHHRSGGKSRTVLPWARRMAVAFQVAMALEYLHESRDPAVIHGDIKSSNVLLDANHDAKLCDFGFAHVGFSAAVRPPSGATRAWGRPVMGSPGYADPHFLRSGVATKKSDVYSFGVLLLELVTGREAICAQTGHRLTAAVGPSIGEGKLADVVDRRLGGDYDVEEAATVAALALRCVSDGTGLRPSMAEVVRELQEKTTALISAVGSKPSDKMVS >ONIVA05G15420.1 pep chromosome:AWHD00000000:5:16433596:16439514:1 gene:ONIVA05G15420 transcript:ONIVA05G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HDU5] MAPAMVAAMGEKPKPAVVLGGMVSGLREVYESGRTKDLEWRQSQLKALIRLLTDKEEEIFAVLHDDLGKHRGESFRDELGILVKSIKYTLQNLKKWAAPERAESPLVAFPATAMVVPEPLGVVLVFSCWNLPLGLALEPLSGAIAAGNAVVLKPSELAPSTAAFLAANIPRYLDSRAVKVVLGGPNVGEELMEHRWDKVLFTGSARIGRIIMTKAVKHLTPVALELGSKCPCIVDWLDSKRDRQIAVNRIIGAKWSTCAGQACIAIDHVIVEERFAPILIELLKSTLKRFMAKPGGMARILNAKHFERLSGYLEDNRVAASVVHGGYMDPKKLNIEPTLLLNPPADSDVMTEEVFGPILPIITVKKIEDCIAYLKSKPKPIAMYAFTNNERLKRRIVEETSSGSVTFNDAVVQYALESVPFGGVGHSGFGQYPPWDESKIGTLRHVFSYNYFLLFFNLLGFRR >ONIVA05G15410.1 pep chromosome:AWHD00000000:5:16412742:16414711:-1 gene:ONIVA05G15410 transcript:ONIVA05G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G48870) TAIR;Acc:AT5G48870] MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDVA >ONIVA05G15400.1 pep chromosome:AWHD00000000:5:16404879:16405679:1 gene:ONIVA05G15400 transcript:ONIVA05G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANSAQGERLGISYSYCAGQTPANSAQVETLAGISYPAGQTPANAAQVEALGVSYPAGQTPEENLPKALARWREKLRAPGRAGLPPADYSYIKIGDVCDESAAVLSRLRELGASEPACVYYGDVTNAQADLSLGRLSLSGGAAARHIAESFTDDELDGVLDDGYDGDDGLEVPVFDEEGRRYDFRCGYNDDGFTGQYQLVGAGGDYQRLMANNNVVRDVAELGKGVSFLVFTFRSAALLTKHKWEEDHEASGALCMVILFFVSL >ONIVA05G15390.1 pep chromosome:AWHD00000000:5:16395081:16400828:1 gene:ONIVA05G15390 transcript:ONIVA05G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41710) TAIR;Acc:AT2G41710] MRSPPFEIPPLSSPRPPPPNGHPRFGSLFSSRLASPPTPIEASPGPAAGMQQKLEAAAAAAGGGDGAEWSRGMQKMEAVGAGGEGVGAGAEQVAPPPRRPVAARKERVCTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRQLHNSRWDASLGHLLGNDYMSLGKDITLDGKFAGTFGLERKIDLTNYIRWWLPKKTRQSDTSKMEEVTDEIRAIESSMQRTEPYKFPSLGLHSNSKPSSVVLSACDILSQSDAFKSFSEKSTKLSEECTFSKEMDEGKTVTPVPATGHDTTAVNMNVNGLLVQRAPYTLPSVTAQMKNTWNPADPSADPLFWTNFILPASQPVTMATIATTTFAKNEVSSSDPFHGQE >ONIVA05G15390.2 pep chromosome:AWHD00000000:5:16395081:16400257:1 gene:ONIVA05G15390 transcript:ONIVA05G15390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41710) TAIR;Acc:AT2G41710] MRSPPFEIPPLSSPRPPPPNGHPRFGSLFSSRLASPPTPIEASPGPAAGMQQKLEAAAAAAGGGDGAEWSRGMQKMEAVGAGGEGVGAGAEQVAPPPRRPVAARKERVCTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRQLHNSRWDASLGHLLGNDYMSLGKDITLDGKFAGTFGLERKIDLTNYIRWWLPKKTRQSDTSKMEEVTDEIRAIESSMQRTEPYKFPSLGLHSNSKPSSVVLSACDILSQSDAFKSFSEKSTKLSEECTFSKEMDEGKTVTPVPATGHDTTAVNMNVNGLLVQRAPYTLPSVTAQMKNTWNPADPSADPLFWTNFILPASQPVTMATIATTTFAKNEVSSSDPFHGQE >ONIVA05G15390.3 pep chromosome:AWHD00000000:5:16395197:16400828:1 gene:ONIVA05G15390 transcript:ONIVA05G15390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41710) TAIR;Acc:AT2G41710] MASPGPAAGMQQKLEAAAAAAGGGDGAEWSRGMQKMEAVGAGGEGVGAGAEQVAPPPRRPVAARKERVCTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRQLHNSRWDASLGHLLGNDYMSLGKDITLDGKFAGTFGLERKIDLTNYIRWWLPKKTRQSDTSKMEEVTDEIRAIESSMQRTEPYKFPSLGLHSNSKPSSVVLSACDILSQSDAFKSFSEKSTKLSEECTFSKEMDEGKTVTPVPATGHDTTAVNMNVNGLLVQRAPYTLPSVTAQMKNTWNPADPSADPLFWTNFILPASQPVTMATIATTTFAKNEVSSSDPFHGQE >ONIVA05G15380.1 pep chromosome:AWHD00000000:5:16391853:16392134:1 gene:ONIVA05G15380 transcript:ONIVA05G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLSDELDGGKGEVVREGAAARRRRPARSWRRGEAAVEERQWRCSAAHQRRRCDKGMWSWRWGEVAVEAEVGVRTVACEDATTAPRLGERQ >ONIVA05G15370.1 pep chromosome:AWHD00000000:5:16381771:16383180:-1 gene:ONIVA05G15370 transcript:ONIVA05G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRIPAVAIAIPSLIIFLVLMPGIVTAGLVPRFKVSPKANKQLRDFFKDRAWDTVGQATIPGDGDGGGSNNDGQTRAPATTFNTYVISLYVGRPPQIVYGSLDVGSELVWVPCSFCEDRTNTACDQQAKQEGFYLMSSSESFVGQTCGGTNCLNFLPNDARRCDGIGRCAYVYTYGGANGKKTSGTLSMDEFTFGSTKINVSFGCGFPDQVDFRGQPGVIGLNRGRVSLVTQLQLGRFSYYFAPEDRAGDSVFRFAEDAVPQTSRPSYTRFLTTGAAASRYPLLYIVGLAGVRVGDKSLSFSGGSDGDGSIDAFLSTSVPVTYLERTAYGLLKQELTNTVGFAIGSSVLGLDMCYTDGKVFPDMALVFAGGAVMQLQPRNYLYRDASTGLECLTILPSPDAGGLSLLGSLIQTGTHMIYDIEGSRLGFESFDQPSNRASSASAAASPQIPTAAAIACSVWCVVASMFL >ONIVA05G15360.1 pep chromosome:AWHD00000000:5:16378446:16379672:1 gene:ONIVA05G15360 transcript:ONIVA05G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRIRSFAIATVVVSLVLVPMPEMVSAGFPSELFSILRVNPKMMSKQLGKLLERGGLDLLDMGAGLVLPPDSTSEARDGNAIATTSSWHAPATTVVNYTINFTVGSQDVSGALDITSELVWVPCRMSCTAVGGGGGGDDNTSSCTMADTTAAGGVYVAGAGDIYQLGSQTYKNCIVPKECATIGSCSYTYKYGGGGGGVGGTGTSGLLAVQNFTFGDTNVGEVTFGCGTMNEGGFGTGGVVGLNRGRLSLVSQLKMGRFSYYFAPEDAAAAADSSTFIVFGNDDNQPRRTSNPRYYTPFLGIHSIPNAARYPDLYWVGLTGIRVGSKVLPISGGGGGEFAAAVLATSVPVTYLEKSAYSLLRRELIGALGINWKKGSELGLDLCATRAGGWPWRRCPTCRSSSSAAR >ONIVA05G15350.1 pep chromosome:AWHD00000000:5:16334202:16335575:1 gene:ONIVA05G15350 transcript:ONIVA05G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRTRAVSITIASHLIVILATAMVVSPNSVDERFGVSPRTNTQLGAFLKENAADMATRLPRRPYVFSLIVGTPPQNITGALHINGELVSMPCVECAANTPCNDNRPDAYLVGESRTLDVELCTSQRCQRLAPQNQRTCGGGSRACQYTYTYGGRNETAGFLATEAFAFGETRANVTFACGVRNVESFGGAPGVVGLSRGNLSLVTQLQLGRFSYYLAPEEDHAGDAGNASFVLFGDDAAPRTGNTSYTRLVVTNATGHPDYYYVALAGVRVGAKNLAIAGGGGGGGSLDVVVSSSLPITYLEKSAYDLLKQQLMSTLGSNTADGSALGLDLCYTNTGGGGVKEFPAMALVFAGGEVMELKPRNYVYRDESTGLVCLTILPSPEDVSSSALGTLIQTGTHMIYDVQGSRLGFESFDLLPSKSGSSDRPPSSAATPPRNISPATIACFVWCVVASIVL >ONIVA05G15340.1 pep chromosome:AWHD00000000:5:16320726:16321675:1 gene:ONIVA05G15340 transcript:ONIVA05G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKERTLAIAMLQHVVVVLADGVTHASTSLELQCHQQTRGTSNIIINSATMHLQQALAPTPVMMVPHHLPTLQTPQTAVAITTTNNNDDDNFLLNLPYPCDTNQAGEHQSITMALPPSKSRLSSCDATHAREFSITSQPTFKSVPPSSCLKLSLGLVVYGDEANIDSNSSCTLVKTTPEERTPMVLILQHAMGVLVASVAS >ONIVA05G15330.1 pep chromosome:AWHD00000000:5:16319054:16319979:1 gene:ONIVA05G15330 transcript:ONIVA05G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLLVYHHGNDRAAFMAARVLFTDGMSGSIPSGIVSVAPCFNFLGPSTSLVGSSHLPYYHQHRTNTFIESGSGDDDSAPHANIGNATNGGSNDDNNNNGNILCNPPHQTKRPNTTPSLSRLNVASSPSKAWLDEGIGFCKLDHLNFFYGHTNRYRTDAKDRPAYSWDNVLLSSWLNDHVVAKFQEVANHFIQNH >ONIVA05G15320.1 pep chromosome:AWHD00000000:5:16318559:16318990:1 gene:ONIVA05G15320 transcript:ONIVA05G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAIPDCDDYDQKSRTHLLWLCPMVYKDAAYVDSKYSCAPVKTSSKEQTPTTHVLLHAERVLAVSVVSRSAT >ONIVA05G15310.1 pep chromosome:AWHD00000000:5:16307271:16307936:1 gene:ONIVA05G15310 transcript:ONIVA05G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGMSPCLCSPPVHGEEAAAAAARLVFWGGAASQLVASAATTAGDVMAELPGHLVCAGDSFFIGLPIPALPAGEELAAGRTYFVLPAARFSCQQALTAASLASLSPSPAAKVSLAGGASSPFEYVTGDDGMALIRVLPEFIERAITCVARVAGGGKAGGEAAADDQLCSTPELRKHYMQLVGARQQRPWSPGLETISEAEKRRRRRSPVRLVALAKAASR >ONIVA05G15300.1 pep chromosome:AWHD00000000:5:16264647:16283944:-1 gene:ONIVA05G15300 transcript:ONIVA05G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLAGARPGAVERDIEQVSRFPILRLARLGNAEEIPAVGAITALKKGAYLLKYGRRGKPKFCPFRLSNDESILIWFSGKEEKQLRLSHVSRIIPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLITRSHQRKWRTESRSDMLSSGTTSPRTYTRRSSPLSSPFSSNDSVHKDGGENYRLRSPFGSPPKVGLEKAFSDIVSYAAPPKPFFPSDSNAGSVHSVSSGQSDNTNLHSRGIPMDAFRVSLSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGNSRVGNSGVKMDCLIPKPLEFAVKLDVQNISCGGRHATLVTKQGEIYSWGEESGGRLGHGVDCDVPQPKLIDALANMNIELVACGEYHTCAVTLSGDLYTWGNGTSNSGLLGHGNEVSHWVPKRVNGPLEGIHVSAISCGPWHTAIVTSAGQLFTFGDGSFGVLGHGDRQSLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKDTRLVPTCVAALVEPNFCQIACGHCMTVALTTSGHVYTMGSPVYGQLGNPQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRSSPTLVESLKDKQVRTVVCGINFTAAICIHKWVSGVDQSMCSGCRLPFNLRRKRHNCYNCALVFCHACSSKKSLKASLAPNPNKPYRVCDSCYSKLNKGPETDRYSSAKRGSVIQGFNDSVDEELETKSNAQLSRLSSLESFKHMDSRTSKKNKKFEFNSSRVSPIPNGSSHWSGLNISKSFGSSKKFFSASVPGSRIVSRATSPVSRRASPPRSTTPTPTLGGLTSPRVVDGVKPNDSISQEVLSLRSQVENLTRKSQLLEADLERTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAEKLPEGAGLVKNSRLPPLPGISIPTDISVASESLGSPRSSGEPCSNGSNGLLVSNGPTSVRNKLSHPEIPKNGTRLPDSDSKHESEWVEQDEPGVYITLTALPGGTRDLKRVRFSRKRFSETQAEQWWQENRARVYEQYNVRVVDKSVGSIDNDSVQAEVILRCKVALKDLSFVLADLSACFGENFMPITLLPQIERHLSNLHGLDEEERMETVRASQTKLCMVCRDEHA >ONIVA05G15300.2 pep chromosome:AWHD00000000:5:16264647:16283944:-1 gene:ONIVA05G15300 transcript:ONIVA05G15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLAGARPGAVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESILIWFSGKEEKQLRLSHVSRIIPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLITRSHQRKWRTESRSDMLSSGTTSPRTYTRRSSPLSSPFSSNDSVHKDGGENYRLRSPFGSPPKVGLEKAFSDIVSYAAPPKPFFPSDSNAGSVHSVSSGQSDNTNLHSRGIPMDAFRVSLSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGNSRVGNSGVKMDCLIPKPLEFAVKLDVQNISCGGRHATLVTKQGEIYSWGEESGGRLGHGVDCDVPQPKLIDALANMNIELVACGEYHTCAVTLSGDLYTWGNGTSNSGLLGHGNEVSHWVPKRVNGPLEGIHVSAISCGPWHTAIVTSAGQLFTFGDGSFGVLGHGDRQSLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKDTRLVPTCVAALVEPNFCQIACGHCMTVALTTSGHVYTMGSPVYGQLGNPQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRSSPTLVESLKDKQVRTVVCGINFTAAICIHKWVSGVDQSMCSGCRLPFNLRRKRHNCYNCALVFCHACSSKKSLKASLAPNPNKPYRVCDSCYSKLNKGPETDRYSSAKRGSVIQGFNDSVDEELETKSNAQLSRLSSLESFKHMDSRTSKKNKKFEFNSSRVSPIPNGSSHWSGLNISKSFGSSKKFFSASVPGSRIVSRATSPVSRRASPPRSTTPTPTLGGLTSPRVVDGVKPNDSISQEVLSLRSQVENLTRKSQLLEADLERTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAEKLPEGAGLVKNSRLPPLPGISIPTDISVASESLGSPRSSGEPCSNGSNGLLVSNGPTSVRNKLSHPEIPKNGTRLPDSDSKHESEWVEQDEPGVYITLTALPGGTRDLKRVRFSRKRFSETQAEQWWQENRARVYEQYNVRVVDKSVGSIDNDSVQAEVILRCKVALKDLSFVLADLSACFGENFMPITLLPQIERHLSNLHGLDEEERMETVRASQTKLCMVCRDEHA >ONIVA05G15290.1 pep chromosome:AWHD00000000:5:16244025:16264480:-1 gene:ONIVA05G15290 transcript:ONIVA05G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G19410) TAIR;Acc:AT5G19410] MEDLSLSSELDPALLSTSTSSSSPPDSASPSFSFYHPSPPNYTLAVSNLSCRDPRRGSGGAGLLSSLLGSFSTSSPPATGGAGLLNNVSFTASSSQILAVVGPSGAGKSTLLRILSGRGTGGEIAARPHAVVSVNGRAVTSRARLRRMCGFVTQDDNLLPLLTVRETILFAARFRLRSAVTARERGERVEALLQELRLSEVADSYVGGCGGAGGAPRGVSGGERKRVSIAVDIVHDPPVLLLDEPTSGLDSRSAMDVLSLLRDVARARRQVVVLSIHQPSYRMLAYISSLLLLSRGAVAHFGTLKSLENSLSRLGYEIPMQLNPLELAMEVTEQLEADHARFGAALATTIHQHQHNKVIDDEDESSGAGEHEHEHGYYCSRAVEVGALAVRCWRTMHRTRELFAARAAQAVIAGLGLGSVYFRIRPDPEGVALRLGLFAFSLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVVANALVFAPCLLAVSLLFSAPVYFLAGLRATPLAFACFALAVWLIVLMASSLVLFLSAVSPDFVLGNSLICMSLGVFFLFSGYFIPKESIPRYWAFMYYVSMYRYPLDLLLINEYGGSSSGRCVAWAGGVCLRTGGDVLRGRGIDEGMRWVNVGVMLGSR >ONIVA05G15280.1 pep chromosome:AWHD00000000:5:16194715:16196095:-1 gene:ONIVA05G15280 transcript:ONIVA05G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGAPELTHEMSNNPCFGGRAAILGIGTAVPVHVYEQKSFPDYYFEITDSNHLVDLKAKFANICEKTSTEKRHMYISDEWLRANPSVTAYMSTSLNVRQQVAEEGIPRLGAEAARRAIDDWGKPASSLTHIVFATTSTGCLPSADVVLTKLLGLPLSTKRVMLYQAGCFGGTTALRVAKDIAESNHGARVLVVTSEVMSLVIRGPSESHIGNLVGQAVFGDAAGAVVVGCCPTADERRPVFELVRASQDVIPGTDDAVVVKVRQEGVVITMHRDVPLHVSNAIGGVVKSTFHEIDMKITSYNEAFWLLHAGGRGIVDGVEERLGLGGEKLAATREVMRQYGNTRSSTIFLAMEEMKRRSEERGMATAGEGLEWGMLIAFGPGLTLETMLLRAVPRNS >ONIVA05G15270.1 pep chromosome:AWHD00000000:5:16183507:16184109:-1 gene:ONIVA05G15270 transcript:ONIVA05G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGGEKRTNGGLDGAGAGGEGGGALRRRGQPPTSGSAASSSYPSTSGSAGSSSSGRRVVEEEEEQGGGGGGGRKQGRRRKAVARAIRERLPAAVACWGNGAVVEGIGGRSGRRSRRERPGDDGGGEDNAGAAARAPAAAWCCACPDEECRLEANPSANGKEDPGLRALLENNDFFSDDCNPHAAVAAAAAFPESGDRSD >ONIVA05G15260.1 pep chromosome:AWHD00000000:5:16173924:16177564:-1 gene:ONIVA05G15260 transcript:ONIVA05G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT1G56590) TAIR;Acc:AT1G56590] MLQCVFLLSDSGEVMVEKQMTAHRVDRGICGWFWDYVLAHAAGDASKVVVSPTHYLFQVYRNGVTFLACTQVEMPPLLAIEFLSRVADVLTDYLGDLNEDIIKDNFVLVYQILDEMMDNGFPLTTEPNILKEMIAPPNIVSKMLNVVTGKSSNLGNKLPDAAASFVPWRTTVVKDASNEVYVNIVEELDACVNREGALVKCEAYGKIQVNSSLPGVPELTLSFSNPTIINDVRFHPCVRFRPWESNQILSFVPPDGQFELMSYRVKKLKTTPIYVKPQLTSDSGNCRVNVMVGIKNDPGKPIDSITVQFRLPPLIASADLTANYGTVDILADQTCFWTIGQIPKDKAPSLSGNLHLEEGLTHLHTFPTFEVKFKIMGVALSGLQIDKLEVKNTPNAPYKGFRAQTQAGRYEVRS >ONIVA05G15250.1 pep chromosome:AWHD00000000:5:16166265:16170659:-1 gene:ONIVA05G15250 transcript:ONIVA05G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIGKLVESVGSFFSGGDTIPWCTRDIIAGCEREVAEAANEEQKSDSIMRLSWALVHSKNQEDVNRGIGMLEASLGQSNSPLQTREKLYLLAVGHYRNGDYPRSRQLVDRCLEIQPDWRQALSLRKAIEDKIAKDGLIGIGIATTAVGLLVGGIAAIAARKNEMLLRRGEAPERGLEHRRELVAAAAVEDLVDALAVLLPVPLQRHRELRLAPRADDADDVVAVQRLPPAHHRVVQLRRLGDRQLAVDATAAVPVGAHEAHQEPPERVPEQRARRHVLLQRRGVGGAVGLPDGDEVVRRRRAGDLDEHPDVAELALLLLLQGLVGQLGVPRRRSFRAM >ONIVA05G15250.2 pep chromosome:AWHD00000000:5:16168236:16170659:-1 gene:ONIVA05G15250 transcript:ONIVA05G15250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIGKLVESVGSFFSGGDTIPWCTRDIIAGCEREVAEAANEEQKSDSIMRLSWALVHSKNQEDVNRGIGMLEASLGQSNSPLQTREKLYLLAVGHYRNGDYPRSRQLVDRCLEIQPDWRQALSLRKAIEDKIAKDGLIGIGIATTAVGLLVGGIAAIAARKK >ONIVA05G15240.1 pep chromosome:AWHD00000000:5:16165503:16170768:1 gene:ONIVA05G15240 transcript:ONIVA05G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:A0A0E0HDS3] MCCWCCCLDCIHNIPPINLLFLHFSPHSLSSSAASAGGGEAAAAAAVAPMASISVPNPAPSPTEDAESIRKAVQGWGTDENALIEILGHRTAAQRAEIAVAYEGLYDETLLDRLHSELSGDFRSALMLWTMDPAARDAKLANEALKKKKKGELRHIWVLVEVACASSPDHLVAVRKAYRAAYASSLEEDVASCSLFGDPLRRFLVRLVSSYRYGGGGVDGELAIAEAAELHDAVVGRGQALHGDDVVRIVGTRSKAQLAVTLERYRQEHGKGIDEVLDGRRGDQLAAVLKAALWCLTSPEKHFAEVIRTSILGLGTDEEMLTRGIVSRAEVDMEKVKEEYKVRYNTTVTADVRGDTSGYYMNTLLTLVGPEK >ONIVA05G15230.1 pep chromosome:AWHD00000000:5:16165024:16165215:1 gene:ONIVA05G15230 transcript:ONIVA05G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSRRRLLRAALAPRPPPPPPTRASSPDPAKGGPDPNSTAPDLAVLAKLRLSSSGLIGAPTA >ONIVA05G15220.1 pep chromosome:AWHD00000000:5:16164832:16165020:1 gene:ONIVA05G15220 transcript:ONIVA05G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSAKTIASTLSRSSPRPGQDLAIGGEELHKVGFLSRPGRRDVAAANCFPMHTGQRSSS >ONIVA05G15210.1 pep chromosome:AWHD00000000:5:16159527:16160880:-1 gene:ONIVA05G15210 transcript:ONIVA05G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:annexin 4 [Source:Projected from Arabidopsis thaliana (AT2G38750) TAIR;Acc:AT2G38750] MADEIQHLTRAFSGLGGLGVDEPAMVSALAKWRRQPEKLSGFRKSFNGFFKDHGGVIEKCEEEYMLHLAAEFSRLSMEMELIGLLWLCLFTVQNLMVMWAMHPWERDARLAHHVLHQAHPAAIVVEIACTRTAEELLGARKAYQALFHHSLEEDVAYRARDKPYCGLLVGLVSAYRYEGPRVSEETARAEAKALVAAVKSAGHAAAKLVENDDVVRILTTRSKPHLVETFKHYKEIHGRHIEEDLGHEETLREAALCLATPARYFSEVVAAAVSDGADHHAKEALTRVAVTRADVDMDAIRAAYHEQFGGRLEDAVAGKAHGYYRDALLSLVAGGK >ONIVA05G15200.1 pep chromosome:AWHD00000000:5:16152394:16153974:1 gene:ONIVA05G15200 transcript:ONIVA05G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTHLAYVLLFLVTAVLLFHLRQGGRSAPAKLTTAHRPHPNPVLGNTVEFIRNRRRFFDWYTDLLRASPSGAIEAWGPFGAGHAVTTACPAAVEHLLRGNFGNYVRGASFRAAMSELIGDGLFAADGRLWSVQRKVASYAFSSRALRRFSDDVLAVHLRGGLLPFLDAAAASGEAVDLQDALRRFAFDSICHVAFGVESSTLIETAREDSGRHEALFAAFDAAVEISFRRALAPFTLVRKLTGLVNVGSSRRLREAIGVIDDYAMSVVESKEAACRDRDSEDVDPDLLSRFMAAMDEEDGGELGAMFPTPEGKRRLLRDVVVSFVLAGKDTTSSALTWLFWLLAANPRCERRVRDEVSRSPDGDGGGDAKGMHTHYLHAAITEAMRLYPPVPFNGRVAVGDDVLPGGAAVRAGWFANYSAYAMGRMERLWGEGCLEFSPERWLRDGGEFVAVDAARYPVFHAGPRACLGKEMAYVQMKTVAAAVLRRFSVEVAAPAPAMESPPAYEMTATMKMKGGLWVRLRKRE >ONIVA05G15190.1 pep chromosome:AWHD00000000:5:16140562:16144578:-1 gene:ONIVA05G15190 transcript:ONIVA05G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTVKMASDGMWQGENPLDFALPLLALQVAVILVITQGLALALKPLRQPRVVAEILGGILLGPSALGRWGAFRCTLFPAWSAAALDTVSGLGLLLFLFLVGLELDFRSVRRVGPRSVAIAAAGIAPPFLAAAGLVPLLDVAVPAPRRASFLPLCVFVGAALSVTALPVLACILKELSLLGVPFGDTAMAAAAVNDVFAWVLLALALAVSGGGGGEPKGPPLAPVYILASGAAFVAFMLGALRPLMARLARRLGPDRAGDLACTGAVACALLAGAATDAIGVHPVFGAFVFGLAMPREGGLAERAGEKVAPLVSGLMLPLYFATSGLHTDIDNVRGAAAWGMVALVVAVAIGGKFAGTFAVAAGTGMPRREAAALGVAMSAKGLVELIVLNIGKERKVLDDTTFAIFVIMALTTTVLATPFMTALYRRTPTATTPESDDVELKGGDACPA >ONIVA05G15180.1 pep chromosome:AWHD00000000:5:16131889:16135809:1 gene:ONIVA05G15180 transcript:ONIVA05G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQDVRTKEAEEERMEENNLLHFLDSPNAHYRRKCEEYVSAHDDEAHCDASDLANARERLEHLLKQPANKFCADCGTPDPKWAALPFGALICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLAGSGGNATVNTRYEAFLPENFKKPRHDCTTEERCNFIRKKYEFQQFVTDPQFSCPLRLNTKHAPDKNQQQQNCSARHGFGHAFRNSWKRKDTDNKGLKKMTDVGMVEFVGLIKVDIRRGTNLAVRDVMSSDPYVMLNLGHQTMKTKVIKNTLNPVWNERLMLSIPHPVPPLKLQVFDKDTFSSDDRMGDVEVDIQPLIAAAREHESSAAIAGSVEVTKLLASDDGTLARDSVISVVDGKVKQDIALRLQNVEHGELEIELECVPLSQ >ONIVA05G15170.1 pep chromosome:AWHD00000000:5:16126280:16128328:1 gene:ONIVA05G15170 transcript:ONIVA05G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVDTKTIVQQFKDWEIQILVLLSFALQLLLFFFGGLRRRTSRVWLRVFLWLTYLSADFIAVYALGYLSRHLPTTTNDHICYQTCLGKTLLPSQSHHDFTLLWAPFFLVHLGGQDTVTAFAIEDNELWSRHLLNMIVQVCLVVYVMWYSMAHNLPVVPAAFLSVARVIKYGERIWALKCGSQESLKSSISSSYVAALKKLAHDEEDGIQVTKPASTVQRALKCMLGLREVFSRRQPSGNFSFEKSLDAQLTFKTAEMELSMMYDELYTKAKVIQTGKGTILRCVSLTSTVVAFVLFILMNGSKQRYYNSIGVDVAITYMLFVGAFCLEACAIIIAMVSPRAWASMEARGGGCNSSLLTRATCGSSCSSMLPSIHPEKRLWWSNSLGQYNFVSSRLADGKSRITEEMMAIVGAKEVWSNFRHTRHGPVTDEMKELIHQEIDNNKLKKPLNLSPQLFFAQAASSFEETLFMMHLYTDMYWYKPAMLPVQRNVYDLLALVLNDAGYAHTSSKEQFLETVASGEYSWDQPFMDFRAAPPDDMLQQGWQGLHAALQVMVQVWVRLLIYAAGKSQHARRLSMGGELLTFVWLLMAHRELGDIYNIQFQLVEKEKDGSVRTGPNSTITTDIYTLFRLGHPIDMIK >ONIVA05G15150.1 pep chromosome:AWHD00000000:5:16115892:16116173:-1 gene:ONIVA05G15150 transcript:ONIVA05G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSTPLILNGADLLPDDSGGRPKFSGATSQTPYIASSPRTLTRSSASAHGDGAPPSHLPRCPPLPPLLDREVGPSVVGGGAVLASMGAVDE >ONIVA05G15140.1 pep chromosome:AWHD00000000:5:16110268:16114872:1 gene:ONIVA05G15140 transcript:ONIVA05G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKEVHKKPKDPLMTPPRSSAKKRGFRDDGGGGGGGSRPRNREGAATSLTPASVPNYMRGTSSSDAKVGRGAASSASPRRRPVRVVARGKVLFPKVSAAAAAAASAGLGRATCSSTMKEAKFPDALDLAPGATDAEGPAATRVCPYTYCSLNGHAHSPAVPLRSFLASRRRLIKTQQSMKLRGVSAFRKDAAHQRPEDTNGAGGGARVAPPPPLIDEEALGDFFVEVYAGPRVSTDMSCSDMSLDEMDATVRKMEFVVFDRCGADESDEKGKNDLDVCGDGGDDDGEARPEERFGAFRDSTSECSDASTSGEFVEELPWIRYQGYEDDSLDGEFSDEHGIRDEEITRAVVSEFQEDQEEEGTSGRLGDGCEDEAAQQQEENDEQNISDFARESEIASEHEGVDFRVEACEEQERVSEDNILDAAHQTEVCREQEMQEEKNFAAVCKLGIPEQELAETADNIPDECCKEETSMEQDEGGDGTNMESESISEVAEHPNVEDEENTQDDGGSEMEISEEIISGFGCEEDFSEEVTSKYVSEGEISDSGAIVSLHVEMQKQPVENHAFEQDDSSTADNAFHQDDSTADRAFDQDDIRADGYDDSQKELDIGMREFRVASKEVGIQEANSDDPVDCTEDANMELGVFLCDLQDAFEGSGIAQESSQEGNAACFGAQMVPDITTQTTEDASEESDTAQETTLDDNSTPLAAGAQMELGIGTSELIEGSSDVTEQSGIAEETCQDDNAGYFSDDDSQKATVITTCQLQVDYEENVIAQEADDNSTGVRDDAQNEPEQTCELATSEECHFTQETIQNHGALEESVVIASASEDAHEESDPTQDGHEEDYSVGINSGAQKEGELDTSESGGASEGTTVHQEDDGHVNTTDLNGSAQKEITVSILDDSEELCSSEENNQSSNMLIPEFSDNFSAEEPQNQDSVAKESSLDDICNAFSGMHLKGDAYLDPTESMTCPGNRLIIARRRRTPEEEEYLRGFNPRAPNFLPLELDPESEKVDLKHQMMDERKNAEEWMIDYALRRAVNNLGPARKKKVELLVQAFETVLPHDEEEKKDITPTRPLVVELIKILTRELLTQWSLQYYRRRPA >ONIVA05G15130.1 pep chromosome:AWHD00000000:5:16096004:16100413:1 gene:ONIVA05G15130 transcript:ONIVA05G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRPQNISKAYGGGRNIRLGGETRSAEEKAAKDKRTKELIAAYRNSQNMIVDAKTKAECTEALKEGDELMNTGRLKQALPYYEKVMQAVDFKWSICLDSLCRSKEAMSMYSKLKNHPNSEISKKANMFMFSFQAMDFMKVNSSPLPRNTGYEKYFDKFGGQKNYYAALDEPEMGIDQIIPYMLFLVSPIFLVAFVALRKSFQL >ONIVA05G15120.1 pep chromosome:AWHD00000000:5:16092694:16093215:-1 gene:ONIVA05G15120 transcript:ONIVA05G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRTMIAPLLVLNLIMYLIVIGFASWNLNHYINGETNHPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRSWGAHSLAAGAASALIAWAITALAFGLACKEIHIGGYRGWRLRVLEAFVIILAFTQLLYVAMLHGGLFSGNHAAGAGGYGGDYPADHHHKPAAAARV >ONIVA05G15110.1 pep chromosome:AWHD00000000:5:16091156:16091843:-1 gene:ONIVA05G15110 transcript:ONIVA05G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDLLCAYVMLGRYTKISAKRHVADLVFSSFRNQPSVRSDRPTSPAMGVADDCSSERSNRSTGATMSVAASGSCCCSINIYVNNNVQGVTNSVLVRSKVAMRDPGARIRLRHPPLPCPTKRKKKTAAVTATTTATAATRSFPSSAATILIATLVLLLLALLCMWMC >ONIVA05G15100.1 pep chromosome:AWHD00000000:5:16089069:16089482:-1 gene:ONIVA05G15100 transcript:ONIVA05G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEGGAAGGAGGNRSPPPPTPGQQEEASSSSKNSKVAGWSKEEDDPIIGGGGGEKEEPTPSPVCLPLFPLTPGFHHQKQAQAPAQAPPPLAVTPGFPTPEFLVLFPLTPGLRGCDLKDNAAAMDVDSEAVRRSLS >ONIVA05G15090.1 pep chromosome:AWHD00000000:5:16087161:16087857:-1 gene:ONIVA05G15090 transcript:ONIVA05G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSMSDGSSSTRESKASPVPYRVGPLEYQPAVMCRCRPPAKAARWISWSTDNPGRRYYKCQNARGGVWAWYDGPTSSFIRELLNDLRDRVNSLRRENEVMRKEVEQSRDKVEVQSKVIDDARGVVAVKNAEIMCLKARNHKLEKERKVFVICVVSCMFVLFVVLFGKK >ONIVA05G15080.1 pep chromosome:AWHD00000000:5:16079134:16079545:1 gene:ONIVA05G15080 transcript:ONIVA05G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQELVRVAASAKVCTEHGGSAQVDLQAIVPHSKSSTTASMRLTSGKAIVSVSAEEPTKNLPKKKAGGALILLPWETKKL >ONIVA05G15070.1 pep chromosome:AWHD00000000:5:16061625:16062221:-1 gene:ONIVA05G15070 transcript:ONIVA05G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRAFFSRKGRGNSSGRSRSMREAAMNVDWSPRPSDLAAAKPRPPAAEDETERVFRKFDANGDGRISRAELAALFRSVGHAVTDDEVARMMQEADSDGDGYISLGEFAAISAPPPGDAAAAEEDLRHAFGVFDADGNGVITPAELARVLRGIGEAATVAQCRRMIDGVDRNGDGLINFEEFKLMMAAGAGFGRIAS >ONIVA05G15060.1 pep chromosome:AWHD00000000:5:16043409:16057758:1 gene:ONIVA05G15060 transcript:ONIVA05G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVPPLALEQLSQEDSWTLFCERAFRTGVAKSCEFVEIGTKIVQKCSGVPLAINSMGGLLSRKHSVRDWLAILQNNTWQENNTLTVLSLSYKHLPSFMKQCFAFCAVFPKDYEIDKDDLIHLWISNGFIPSKETSDIEETGNKVFLELLWRSFFQNAKQTRSRKEEYIYGYKDVTTCKIHDLMHDLAVSISGDECYTLQNLVEINKMPKNVHHLVFPHPHKIGFVMQRCPIIRSLFSLHKNHMNSMKDVRFMVSPCRALGLHICDNERFSVEPAYMKHLRYLDLSSSDIKTLPEAVSALYNLQILMLNRCRGLTHLPDGMKFMISLRHVYLDGCSSLQRMPPGLGQLSSLRTLTMYMVGNESDCRLHELKDLELGGKLQIHNLLKVTNPLQAKEANLENKKNLQQLALCWDSRNFICSHCSADEYLQLCRPEEVLDALKPPNGLKVLKLRQYMGSNFPMWMEDGVTLQNIVKLSLRGSVMCVKLPPVWQLPFLEVLRLKRMERLKYLCYRYPTDEEYGNQLVVFQKLKLLSLEWMESLENWHEYDTQQVTSVTFPKLDAMEIIDCPKLTALPNVPILKSLSLTGNKVLLGLVSAITNLSYLYLGASQGSSRRVRTLYYIYNGEREGSTDTKEEHILPDHLLSWGSLTKLHLQGFNTPAPENVKSRSGHMMSVQGLVLASCDCFIQHEGLQSPLWFWKSFGCLQRLEIRYCDSLTFWPEEEFRSLTSLEKLFILNCKNFTGMPPVRLSVKPSADECLCNLEYLKIEHCPNLVVFPTCFSRLKNVDIWYNSKLMSIPEGLGHQGTVHTLSIVECPRLETLPSSFQFLSNLRYLELACCISLTSLPEGMHNLTALKTLYFFECPGITALPEGLQQRLHGLQIFTVEDCPALARRCRRGGDYWEKVKDIPDLRVTSEPRPVWKDAAGSIIPKCMIAWQRRASSIYAETLLATGSFMQNVWRPGVLKTYQESFAGDLELEPCKAEPFVVVCNAVGFIKVRCFFYMYVKAMLDAVLAPYPLLCHIKNHGSSFCQRMWTQCCFFHMYIKADVRIPFDVCFVTAN >ONIVA05G15060.2 pep chromosome:AWHD00000000:5:16043409:16057758:1 gene:ONIVA05G15060 transcript:ONIVA05G15060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVPPLALEQLSQEDSWTLFCERAFRTGVAKSCEFVEIGTKIVQKCSGVPLAINSMGGLLSRKHSVRDWLAILQNNTWQENNTLTVLSLSYKHLPSFMKQCFAFCAVFPKDYEIDKDDLIHLWISNGFIPSKETSDIEETGNKVFLELLWRSFFQNAKQTRSRKEEYIYGYKDVTTCKIHDLMHDLAVSISGDECYTLQNLVEINKMPKNVHHLVFPHPHKIGFVMQRCPIIRSLFSLHKNHMNSMKDVRFMVSPCRALGLHICDNERFSVEPAYMKHLRYLDLSSSDIKTLPEAVSALYNLQILMLNRCRGLTHLPDGMKFMISLRHVYLDGCSSLQRMPPGLGQLSSLRTLTMYMVGNESDCRLHELKDLELGGKLQIHNLLKVTNPLQAKEANLENKKNLQQLALCWDSRNFICSHCSADEYLQLCRPEEVLDALKPPNGLKVLKLRQYMGSNFPMWMEDGVTLQNIVKLSLRGSVMCVKLPPVWQLPFLEVLRLKRMERLKYLCYRYPTDEEYGNQLVVFQKLKLLSLEWMESLENWHEYDTQQVTSVTFPKLDAMEIIDCPKLTALPNVPILKSLSLTGNKVLLGLVSAITNLSYLYLGASQGSSRRVRTLYYIYNGEREGSTDTKEEHILPDHLLSWGSLTKLHLQGFNTPAPENVKSRSGHMMSVQGLVLASCDCFIQHEGLQSPLWFWKSFGCLQRLEIRYCDSLTFWPEEEFRSLTSLEKLFILNCKNFTGMPPVRLSVKPSADECLCNLEYLKIEHCPNLVVFPTCFSRLKNVDIWYNSKLMSIPEGLGHQGTVHTLSIVECPRLETLPSSFQFLSNLRYLELACCISLTSLPEGMHNLTALKTLYFFECPGITALPEGLQQRLHGLQIFTVEDCPALARRCRRGGDYWEKVKDIPDLRVTSEPRPVWKDAAGSIIPKCMIAWQRRASSIYAETLLATGSFMQNVWRPGVLKTYQESFAGDLELEPCKAEPFVVVCNAVGFIKVRCFFYMYVKAMLDAVLAPYPLLCHIKNHGSSFCQRMWTQCCFFHMYIKADVRIPFDTALAQQPIKQ >ONIVA05G15060.3 pep chromosome:AWHD00000000:5:16042213:16047562:1 gene:ONIVA05G15060 transcript:ONIVA05G15060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNFPMWMEDGVTLQNIVKLSLRGSVMCVKLPPVWQLPFLEVLRLKRMERLKYLCYRYPTDEEYGNQLVVFQKLKLLSLEWMESLENWHEYDTQQVTSVTFPKLDAMEIIDCPKLTALPNVPILKSLSLTGNKVLLGLVSAITNLSYLYLGASQGSSRRVRTLYYIYNGEREGSTDTKEEHILPDHLLSWGSLTKLHLQGFNTPAPENVKSRSGHMMSVQGLVLASCDCFIQHEGLQSPLWFWKSFGCLQRLEIRYCDSLTFWPEEEFRSLTSLEKLFILNCKNFTGMPPVRLSVKPSADECLCNLEYLKIEHCPNLVVFPTCFSRLKNVDIWYNSKLMSIPEGLGHQGTVHTLSIVECPRLETLPSSFQFLSNLRYLELACCISLTSLPEGMHNLTALKTLYFFECPGITALPEGLQQRLHGLQIFTVEDCPALARRCRRGGDYWEKVKDIPDLRVTSEPRPVWKDAAGSIIPKCMIAWQRRASSSGQPDN >ONIVA05G15060.4 pep chromosome:AWHD00000000:5:16052382:16057758:1 gene:ONIVA05G15060 transcript:ONIVA05G15060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAELASGAVSSLLGLLQKEAQLLGRVGSDVEFIREEMESMNSFLEHLSRTAHLAGGHDKQVRTWMKQVRDLAHDCSNCIDKYLQSGDLAVHLARGHLRHYIWWTYWPVRKMVAQHKAALRLRELRDRVSDVGKRRLRYGVEIPKKEVAQILPSSTPSQGVVAAPGAAEDEDDDDDDTHNQVAAATAGGPDLRRRALVPRSLEDFCAEKLVNWVSSSREQEPGQQGSSSIPSIAIVALDADASAAAAQGALDLAAVHFNKSVSINLQKLHRPWDIPLLPQEILCYILFQCRQQGTGQGKSDYTNQREAFLDREKTYAEIWKEIDKINIQDKIEEVKSKIGEVGEITEEVENKKIEETKHLKAATDIPLDKPLGMLYQALRFIVNMEGPIMIGRSSEEIMQEVAMMLKWHMESVVREPLIRLDYIQYQDILQKVFLDSEPPQAQDTSTSIATTLGEDHIKEILNNHNITLDNHKIALDIIRELLRRPQLLEGNSVKEQAKGVLQGGRDQNCVIDIDITETEEKMHKNPRETKAKVTTAAVEETKEKVEEISWPIKFSLFMKGIVDKIKVFLESKRTLFILIDDSEYISQWEGIKNGLNLLLLACSNGSAVIVITKHSQNAREFCTLGEPITCSLVGLYHDIVLKITNQKRNEGGNNNSQIFHDILEKCDPNEFCMRMFTHALYANPKRSEEELCRLHGSLQVSENSTDTYATNAKMIFKFSYRDLPREHKTCLLYLSIFPQGQNIKRSTLIERWAIEGLITKEDWPTVVRHGKRCFQALVDRQLVTPVELSAAGKVKSCKVDGLVHDFITKISKKEHILDMRLSQLRARHFSTFSGLRLRASDTIDTVVEKLPRYLHKLRLLKLLDLEGCQYHLNKNHLKVICSSILHLKYLSLRGTDVADLPNEINNLHELEVLDIRQTKVSEHATRSIVLLKLRRLLAGQVDPSTSHEIGKPLRSAVQIPRKINKMENMEVLSNVKAYSRNGAELKEIRKLGQLRKLGVVISNNENHLKNLLWAMSDLKECLQSLSITILKTRNEGSIPTDQKLLPYDLYNHLIQPPKVLESLSIDGFTDIVRLLTLFAKGSDELAKVTLRRTLLKKKNLVHITTLPKLCCVRLRQNAYTDKQLTFEKEEFPHLKNFLVEQLHETDMIDFKKGAAPELEKIVLFRTSIKHLCGVGVLPNLKELELKENGYLVLLPEDGTVSADPVILEDGTASTEPIIPKDGAISSEPTNKSTLTFKKEEFKHLKYLLVEGRHMQTDIKFEGGTPELEKISLDGVDGLAKLREIDLKGNRTLLSLFATANHIAKVTLSDTCLKIDDLKILAKKPKLCWLLLLDNSYDESQLTFNKDEFPKLKLLVIKCSKISHINFAEESACKLEKITWSFTELKSLSGIDNLPELKELEFKGDSVPLQTALAQQPIKQ >ONIVA05G15050.1 pep chromosome:AWHD00000000:5:16033835:16036172:-1 gene:ONIVA05G15050 transcript:ONIVA05G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYDDDDESAAADLSEIIELYSQDDVDDSSHCRKLYNLLSLKLLPIYRKLSGNHNCNLLLAVLKVSAEDGKGNKSSVTVHLYGPNTDLVVDRKRELQQAQRTSLFFQIFKGFLTMKDGDISVLFHKNMLMRMYY >ONIVA05G15040.1 pep chromosome:AWHD00000000:5:16029859:16030179:1 gene:ONIVA05G15040 transcript:ONIVA05G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPVVAVIDGEKIMVPSGKILLANRLVDKILAIKRTLHIDDPGRGAQPSGAAGAGGEAQRGRALNGRDALCPPGQACPVPDHDPEPLAIATRRATPWSTTTKRPG >ONIVA05G15030.1 pep chromosome:AWHD00000000:5:16023400:16027744:-1 gene:ONIVA05G15030 transcript:ONIVA05G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi transport complex protein-related [Source:Projected from Arabidopsis thaliana (AT1G67930) TAIR;Acc:AT1G67930] MAAPATPRLLLSPTSKDLIAAASSSFPSPPSPTTSSDPASPLDAFASDPVLSAFLSPSFSPSDFSSAALSSGLAASRAEQLQEAIRLLRRHLRAEVLRRHPLLLSHLLSLRSASASLSSLPSHLHLLSSHLSLLSSHLSAPRAHLAHSSSSLSTLLSTADLLLHSHRLVRLSSRLLTSTPPPDLARQAELHREIRLLYEEKNLAGINAVDEEMRKVDAAASKLRSEASAVIDRGIAESNQNDVWCGLQVYYNLGELKPAVEGLVAKYKAAGAKSVAVALDMKAISMAAGGGGGPGGVQRSGTPQIGGSKKAAEALWDRMRQCMEELHRSVTAAWQLQTVLTKKRVPFTQMLFLEEVWQEGDPLLTERVWDAIVKAFASQLKSAFTASSFVKEIFTLGYPRLFSMIENLLERILRDTDVKGTLPALTPEGKNHMTAAIEIFQTAFLALCHSRLSDYINSIFPMSGRGIPSKDQISRLISRIQEEVEVVRTHGHLLARVLQEIGKVLLLLAQRAEYQISTGTEARQVTGTVTPAQLKNFALCLHLQDVHTHISSIVSTLPNVTSEKLSKSLEAIYTVACDSVSPLFKEMFDRLGSCILKMHEQDFGTHGMDATMDNNASAYMEELQKCAVHFRSEFLSKLLPSSSSRSETICTIMVRSMASRVLILFIRHASLVRPLSEAGKLRMTRDMAELELAVGQNLFPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLQDLPPSVILHHLYSRGPGELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYEMKVRSRGDKEFSPVYPLMLQIGSALSQAKG >ONIVA05G15020.1 pep chromosome:AWHD00000000:5:16017616:16022242:-1 gene:ONIVA05G15020 transcript:ONIVA05G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWPPTSAAAATAEPRSVQLLLLGVALVAASFYAGTVFRSPSAPALLLPPSASRSPDPSRTPGAPKFTNRVSLSYRTKPISVPDYGVDVCPLKYNEYIPCHDASYISQLKKLDRSRHEDLESICPPQEKRLFCLVPPPNDYKIPIRWPTSRDYVWRSNVNHSRLAEVKGGQNWVHEKGKLWWFPGGGTHFKHGASEYIERLGNMTTNSTGDLRSAGVVQVLDVGCGVASFSAYLLPLDIHTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPYPENAFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPVIWEKLMNITTSMCWKLIAKHVQTAIWIKPEDQSCRQKNADTKLLNICDSYDNSPPSWKIPLMNCVRLNKDQSNMQKLPSRPDRLSFYSRSLEMIGVTPEKFAKNNKFWRDQVSMYWSFLGVEKTSIRNVMDMNANIGGFAVALSNDPVWIMNVVPHTMSNTLPVIYDRGLIGSYHDWCEPFSTYPRTYDLLHAFHIFSHYQSRKEDCSLEDIMLEMDRIIRPEGFIIIRDENAILSGINDLAPKFLWDVTTHMLENEESKPEKVLVCRKKFWSIV >ONIVA05G15010.1 pep chromosome:AWHD00000000:5:16010844:16011521:-1 gene:ONIVA05G15010 transcript:ONIVA05G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNKNSQLQPPPGYPRLDSEQQAGKKKGRCCGSSCRRSTKRGETSFIEGCIAALCCCWLCELCCD >ONIVA05G15000.1 pep chromosome:AWHD00000000:5:15995499:15997232:1 gene:ONIVA05G15000 transcript:ONIVA05G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSSSTPSAANTSELMVAQAATAAATTTAAATTAAVPMTNFQLFGSMVPVPVASMATATAPAAVAAADNGGHGSSSASQNASGSGEGQGGSMSLSLQLRPLGSTPTAAVAVSVPPMAAAPMMAGPAAAAPAPAPPLATMAVAQNASLAAVASALAAHRRNQATHRSAALHGHLRRCAEALAASRPADADAELASIARMASADGDAVQRVAAAFAEAMARVVIRPWRGVSAALFPSDAGAAGDALTAWEAEFARQSFLNLCPLLHLAAVAVNEIILETTRNDKFIHIVDLGGIHHAHWVELLQGLATRRAAVRPCLRLTIVHEHKHFLGQAAQVLAAESDRHGVPLDLHIVESSVEALKLDALGVRSDHAVVIVSTLQLHRLVGAGILSTTAPPSPAAAAAASMITSPLPPANMSSKVDRLLRGFHLLSPRAIILIENEANHFVPSFTDRFASALPYYEQLFAAMEEAGAATVERKAAERYLLREEIKDVIACDHDGPRWARHETLGRWVVRMGAAGFALAPAITVVTAAGRVRAVAARLPGGGDERRYGVTEGGGWLILNREEKPMFCVSAWRRQ >ONIVA05G14990.1 pep chromosome:AWHD00000000:5:15965415:15967777:1 gene:ONIVA05G14990 transcript:ONIVA05G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSSSTPSAANTSEPMLGQATIAATTAVPMTNFQLFGSTVPVPVASMATATAPAAMAAPAAMAAADNGGHGSSSTSQNASGSAAMEKGSSSTPSAANTSEPMVGQAAAATTTAAMRMTNF >ONIVA05G14980.1 pep chromosome:AWHD00000000:5:15949143:15953702:1 gene:ONIVA05G14980 transcript:ONIVA05G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVPRLALGGGGGGGERLPVPPMAAAEEDSPAATSTRKRLVGTSGNLTMAAEIPRLALGGGGGGAGGERLPAAGEDSAPAATDAGKRPVVGLGFGSSLAAMAAAAAAGIQPDAFALGGPAEYPAGDGERDVLMVSFLRSIAAFLADGTCQMQVNDGLSCVVDLAGGDADGGGVGEGRSLQRLASAFAEALALRFILPCDGVCRSLHLTRAPPPPAVSAARQGFRAMCPFVRLAAAAANLSIAEVMEAERAVVHVVDLGSGVDANQWVELVRLVAARPGGPPGLLRLTVVNESEDFLSAVAAYVAAEAQRLDLSLQFHPVLSSIEELSATATGSIGSRLVVIPGHPLAVVANLQIHRLLAFPDYVDGVASRRPAAEQSGSSQHTMTTATKTKADALLRAIRDLNPKLVVLTENEADHNVAELGARVWNALNYYAALFDALEASSTPPAAVPPHERACVERWVLGEEIKDIVVREGTGRRERHETLGRWAERMVAAGFSPVTAARALASTETLAQQMVAAGGGGAGAGVLRAAHGGGCFPVICWCDVPVFSVSTWTARRVLVPAPPLWPPAAAGGAGPSGSGYGGNGPSTASSSAAMWWVG >ONIVA05G14960.1 pep chromosome:AWHD00000000:5:15929615:15931727:-1 gene:ONIVA05G14960 transcript:ONIVA05G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HDP4] MAMAAARRALLSHLRVPVLARPAAAVGSVPAARLLSSATEEGSKGSFLDKGEVADRIVSVVKNFQKVEPSKVSTVADAHRTADSDLGVLGLCSVTPNAHFQKDLGLDSLDTVEIVMAFEEEFGFEIPDNEAEKIDSIKTAVDFVASHPQAK >ONIVA05G14950.1 pep chromosome:AWHD00000000:5:15918772:15919513:-1 gene:ONIVA05G14950 transcript:ONIVA05G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSVGVRIRALLCCIAMAAMLLSSYQQGQAEASYMPWPPATPPPPAAAAANSTSTAAANNSSSSSSTTAPPQQPTAFPMYGVTPGSLRPQECGGRCAYRCSATAYRKPCMFFCQKCCASCLCVPPGTYGNKQSCPCYNDWKTKRGGPKCP >ONIVA05G14940.1 pep chromosome:AWHD00000000:5:15905403:15917319:-1 gene:ONIVA05G14940 transcript:ONIVA05G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetyltransferases;N-acetyltransferases [Source:Projected from Arabidopsis thaliana (AT2G41450) TAIR;Acc:AT2G41450] MGRPRKPAKPAAAASSSASAAAAAFSIGNCKVEIHGSSLRCQSTEQEEEELTISGPRGAKIVVSVDGAKSSSVGDGFDFILLSPSDVDERNKALLQEVLLLYKQELPTMDYAANTGRKSGKYKTLVLSSTAGHEEVTAALSYQLVPADTQYAEIPLAVVRPPYQRVGIGQLLYKELSQRLRNVGVTTIFCWADNGFESVGEVDTKGKICRIPVRADIKRALCFPGGSMLMVSHLKDLPAPQKSLLSSQQTYQFHTFAPDSISPSDTGTSTPSCEKLLPQTVACHKVSKTAAVEKNEDFHGTGGCSFSDQQENKRTHETSSSSLKSKRVRCSQHSDHHQDMNQNEICGKPLSISNTPIHENSVHLMPNELSNPSMVGHVKSKISGDAKANISSNGSPSVMLMNIADETKKTQLIEVVEMLGGVVTCEGNSCTHVITGKVRMTMNFCIALCSGAWIVSPKWLKESFKKGKFVGEAEYVFQDDEFKVKYKSELRDAVVRAKERPCSLFSGYTFCLTKNIQPSVNVLSRIIKSSGGKLINKLDDITQPLKTIFLACEEDMELALDAAKRGIKTFSGEWFLSCVMTQELDLEAPQFTESL >ONIVA05G14930.1 pep chromosome:AWHD00000000:5:15903534:15904465:1 gene:ONIVA05G14930 transcript:ONIVA05G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRIAIPSISIFRSPGCSWYPRARARRPHARGRRLEGGVGEERRPVDAVSPHGALLAGGEPKEEARRGEVGEKGGGGRASAGNTEAERERWGEVGYLR >ONIVA05G14920.1 pep chromosome:AWHD00000000:5:15901707:15902783:1 gene:ONIVA05G14920 transcript:ONIVA05G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLKCAEVWRPCVYMYVSLSLSLDIQAGMFYWYTDPIVGPGFSEEFIGLVYSVGSIGSLLGVLLYQISLKDYPFRGVLFWGQVLSSLAGMLNLIMVTRLNTRIGIPDYVFAVIDNSVSQMVGRLKWLPLLVLCSKLCPPGIEGTFYALLMSIQNAGLLMSGWWGGLMLHLLNSDQNSMLLPADMLQDNESTEARKGGQDTAEFSVLVADDSSCHALNVAVEDERIKVVDAGTGTVELIPLMNELQDRGS >ONIVA05G14910.1 pep chromosome:AWHD00000000:5:15896274:15898652:-1 gene:ONIVA05G14910 transcript:ONIVA05G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDATAASVSSLSVFPSSMTRGEKGMQPKISAFFKRQAPEPETSSGGDTHREGTCGTEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYALGNDDDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKRIVGCLVVEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLVGETLCISQCAFSPPTSSGKALARSYCKTSAFLVYKEQDA >ONIVA05G14910.2 pep chromosome:AWHD00000000:5:15896274:15903862:-1 gene:ONIVA05G14910 transcript:ONIVA05G14910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPEFPIRSKPSPTSPHLSRSASVFPAEARPPPPFSPTSPRLASSLGSPPASRAPWGDTASTGLLSSPTPPSSLLPLACGLLARARGYHEHPATAASVSSLSVFPSSMTRGEKGMQPKISAFFKRQAPEPETSSGGDTHREGTCGTEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYALGNDDDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKRIVGCLVVEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLVGETLCISQCAFSPPTSSGKALARSYCKTSAFLVYKEQDA >ONIVA05G14910.3 pep chromosome:AWHD00000000:5:15896274:15903862:-1 gene:ONIVA05G14910 transcript:ONIVA05G14910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPEFPIRSKPSPTSPHLSRSASVFPAEARPPPPFSPTSPRLASSLGSPPASRAPWGDTASTGLLSSPTPPSSLLPLACGLLARARGYHEHPATAASVSSLSVFPSSMTRGEKGMQPKISAFFKRQAPEPETSRFFILVPSSNLLAVAIRGGDTHREGTCGTEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYALGNDDDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKRIVGCLVVEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLVGETLCISQCAFSPPTSSGKALARSYCKTSAFLVYKEQDA >ONIVA05G14900.1 pep chromosome:AWHD00000000:5:15893065:15895791:1 gene:ONIVA05G14900 transcript:ONIVA05G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSSKLLPHLVVVASATRVDAIESALRRPGRFDSEIEVTVPTAEERFEILKLYTKNLHLGECVDLQSVAASCNGYVGADLQALCREAARRAYGRLSSSSESENVLTLIMEDWESAKSVAKNSVTRGVTKEIPAVSWDDIGGLKAVKKKLQQAVEWPIKHAASFDRLGISPIRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQMARLASPSIIFFDEDDAIAPKRTGPSGNSSGNATVGERLLSTLLTEMDGLELATGIIVLAATNRPNAIDAALLRPGRFDMVLYVPPPDAEGRYEILRIHTRKMPLGDDVDLWKAAERTELFTGADLEGLEGKSEAALRSLRPSLTQSVVDEYSNAAIHGPLTRRKH >ONIVA05G14890.1 pep chromosome:AWHD00000000:5:15888326:15892503:-1 gene:ONIVA05G14890 transcript:ONIVA05G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G36960) TAIR;Acc:AT4G36960] MVIWLSPLITFINSSQKVVKDEHILGNRTLEVKIATPKEEMRAPGIKKATRIFVARIPQSVDESKFRRHFEAFGEIIDLYMPKELRSKSHRGIGFITFRSAECVDNIMQETHELDGTTVAVDRATPKDEDVRHPPRRVVQGNIRKEFVSDWISCLNWLGGHLGGSLGTGKKIFVGRLPQEANTDDLWDYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADDGVADRVAQRSHEILGQEVAVDTAEPLEGGSGGGYLEPAEAYGPYGAYGSLLPYGRFSGSLGYDYDYDRNLDTDRTEDSASVTLLWQVTV >ONIVA05G14880.1 pep chromosome:AWHD00000000:5:15883501:15884528:-1 gene:ONIVA05G14880 transcript:ONIVA05G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSQASGITKLDNTATAMDLELEVTMMQTPSNLGELTGEQGFGTTTVGTREDSQGGGNRRASLLLLRLLDPRGRGDGRG >ONIVA05G14870.1 pep chromosome:AWHD00000000:5:15876400:15877836:-1 gene:ONIVA05G14870 transcript:ONIVA05G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLLLELLCFSMALAGGAALRLELAHVDANEHCTMEERVRRATERTHHRRLLHASTAAAAGGVAAPLRWSGKTQYIASYGIGDPPQPAEAVVDTGSDLVWTQCSTCRLPAAAAAGGGGCFPQNLPYYNFSLSRTARAVPCDDDDGALCGVAPETAGCARGGGSGDDACVVAASYGAGVALGVLGTDAFTFPSSSSVTLAFGCVSQTRISPGALNGASGIIGLGRGALSLVSQLNATEFSYCLTPYFRDTVSPSHLFVGDGELAGLSAGGGGGGAPVTTVPFAKNPKDSPFSTFYYLPLVGLAAGNATVALPAGAFDLREAAPKVWAGGALIDSGSPFTRLVDPAHRALTKELARQLRGSGSLVPPPAKLGGALELCVEAGDDGDSLAAAAVPPLVLRFDDGVGGGRELVIPAEKYWARVEASTWCMAVVSSASGNATLPTNETTIIGNFMQQDMRVLYDLANGLLSFQPANCSAV >ONIVA05G14860.1 pep chromosome:AWHD00000000:5:15866764:15870696:1 gene:ONIVA05G14860 transcript:ONIVA05G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/Swiss-Prot;Acc:F4J264] MAPTAPSPASVRAAASPRCCSRSRPWSSAGRVAALPADGRGDGASTAASYKELGLYSLKKRIEDAVVRVETTASSALEMEEARRIRQEEVLRGRNLWDNPAKSHETLSALADAIRVVDHLKDLRYKAEEAKLISQLSEMDVINVELFKQAYKTSVDVTEFLDRYQMYKLLKGPYDKEGACIIITAGSEGAASEAKRIFCMYSSWARKQGCKDGLVEKITSTSGRVWTAAIEIESEYMFGTLTGEKGTHRMIYPSVDNAGTYEATSARVDIIPLFLDRPVNLHLDENDLEISPSPSDHKRRDHRNSAVRVQHIRTGVTAESSGERSYFANKMKAISRLKAKLFVISRELRSSNLKTIKRQTVEELYSRETRRYKFGPQKLVHDLNTGLQLSELNSVLDGDIDPFIRGRIVSRLG >ONIVA05G14860.2 pep chromosome:AWHD00000000:5:15866764:15870696:1 gene:ONIVA05G14860 transcript:ONIVA05G14860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/Swiss-Prot;Acc:F4J264] MAPTAPSPASVRAAASPRCCSRSRPWSSAGRVAALPADGRGDGASTAASYKELGLYSLKKRIEDAVVRVETTASSALEMEEARRIRQEEVLRGRNLWDNPAKSHETLSALADAIRVVDHLKDLRYKAEEAKLISQLSEMDVINVELFKQAYKTSVDVTEFLDRYQMYKLLKGPYDKEGACIIITAGSEERIFCMYSSWARKQGCKDGLVEKITSTSGRVWTAAIEIESEYMFGTLTGEKGTHRMIYPSVDNAGTYEATSARVDIIPLFLDRPVNLHLDENDLEISPSPSDHKRRDHRNSAVRVQHIRTGVTAESSGERSYFANKMKAISRLKAKLFVISRELRSSNLKTIKRQTVEELYSRETRRYKFGPQKLVHDLNTGLQLSELNSVLDGDIDPFIRGRIVSRLG >ONIVA05G14850.1 pep chromosome:AWHD00000000:5:15843230:15847356:-1 gene:ONIVA05G14850 transcript:ONIVA05G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGVASMFALALLLGAFASIPQKAEAIGVCYGMSANNLPPASSVVGMYRSNGITSMRLYAPDQAALQSVGGTGISVVVGAPNDVLSNLAASPAAAASWVRNNIQAYPSVSFRYVAVGNEVAGGATSSLVPAMENVRGALVSAGLGHIKVTTSVSQALLAVYSPPSAAEFTGESQAFMAPVLSFLARTGAPLLANIYPYFSYTYSQGSVDVSYALFTAAGTVVQDGAYGYQNLFDTTVDAFYAAMAKHGGSGVSLVVSETGWPSAGGMSASPANARIYNQNLINHVGRGTPRHPGAIETYVFSMFNENQKDAGVEQNWGLFYPNMQHVYPISF >ONIVA05G14840.1 pep chromosome:AWHD00000000:5:15812475:15818318:-1 gene:ONIVA05G14840 transcript:ONIVA05G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HDM9] MEGRAAGWVRVAAVGWAVAACAVAAGMVARRGAARVRWNRAVAVVRDLEERCATPAELLQRVVNSLAIEMFAGLASDGGSKVRMLLTCVDALPDGSSHDMTRSEEGISYAIDLGGTSFRVLKVELGAGSTIINRKVEHQPIPENLTKGTSDDLFNFIASALKNFIEREGGEVEGRALGFTFSFPVRQTSISSGTLIRWTKEFSIEEAVGKDVAQCLNEALARNGLNMKVNVLVNNTVGTLALGHYYDDDTVAAVIIGAGTNACYIERNDAIIKSLGRVTNSERTVVNVEWGSFRPPQIELTPYDICFNNETWNYYDQGFEKMISGVYLGEIARLVFQKMAEESDIFGTAVDGLSTPFVLSTPNLAAIREDDSPDLREVGKILEEHLKLPDVPLKTRKLVARVSDIITRRAARLAAAAIVAILQKIGCDGTLCGSTQVRTMRGVRRRTVVAIEGGLFEGYSVFREYLNEALVEILGEEIAATVSLRVMEEGSGTGAALLAAAYSSARQKNSE >ONIVA05G14830.1 pep chromosome:AWHD00000000:5:15805872:15806516:1 gene:ONIVA05G14830 transcript:ONIVA05G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKRGDSGWSADASELRNRRGDGACWRRSARGVQRSTAAWGPRLADAVEDEQEGVYNLLLLVVMDDDGGSLLFVAASLLLVCGFNSLALDLAACLWIWICSLLADEDALVADGRADGMTRPTDGGSPATDACCLIPAIPTAEVRVLLLLLEPIAIVVVVFSGVGIGIGIVVVFSSGVDMRRLRRRRAPRSGCVWGECGMRVFATSAAEVEVEG >ONIVA05G14820.1 pep chromosome:AWHD00000000:5:15800366:15802087:-1 gene:ONIVA05G14820 transcript:ONIVA05G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAKGAPPGRISHTGAGGGGGGAQEDRTILKEITGEVRLGEVLAVLGPSGSGKSTLVSILGGRLAGQHAGMGAVPYRAVAHGDDVLHPHLTVRETLLFCAMLRLPTSTPATAEAAAAEAVIFELGLASCADTIFGNAFIRGFASSAPCSASRPPRPPPPRPPPPRPSSSSSASRQDDELLMEMTSDDELLFLNGIHVGGRASSSAHHRHRSLPEADAPHT >ONIVA05G14810.1 pep chromosome:AWHD00000000:5:15781831:15793721:1 gene:ONIVA05G14810 transcript:ONIVA05G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAVAAPAPYPTTGQNPSGTPLPAAAMGNPNLGLGLASSSDHAAGPPPPSRRAPRLAKRRHAAASSRSRQPPPASPAAAPWNPFGGGGGGGTDASGQDGIGGIAPGGVGVGAGEGQDGGFVFGGAASATSQQPPVASSNGEAPFVFGSVRDSLPRFDEGWAASAKLPEKMGRMNLQTRGESSVNANKKDRSSIFGVDIPGLVLNSEVNVLPEKLTQLNLGTRVPLQGDNDVPKTFVFGGNGSGPSSDGTSSDVHRAGSYASANANGVAEKLTQYGIGNQAPLGRMGTESTNDAPPVFVFGGNVSSDHADRTNDVSSGANTNPSDTFNVTDGTGLCPEKISDVPFQQKGSGGSSRQAKAFVSGSTSNEGSVFSSAANSDAFVPPSSVNNLPPELPSCLNIGGGVTQCKQSDNSGYPSEAFVFGSDASLSSHSSKKVMDDRGNFVTGANSKAFTSVHGNMESTLPARMTKLNLGHGAPSNNNKDETAHQPPEVSGFGTNAATTFSSAQAASMPFTSIQTNVSLELKGNGGNLANEDIGNITHSRSDNDQGYGPSSFVFSSGSNAVPPSEGYAEHALQEDIKKLNINREGASVGSTKVNGSSQFVFRSKAEAIPVFGAIPQPNVPESRAFSHSNHSSSFSTSGNDMPSFSFKTTNTASDTIPGESCAVRQEPAWCSRESLFGIDYIKSAYRDKKEAHKNARKNKRPTKLKQHAQPHHFASQETCTTGPDMDLAGDYSPMDCSPYSATVEQVAKEASVMSDQSVHIHDYGVSNQSSSCAEDLVSATEHLVIDADLPTCEDEGRIPNVDTSANSFASSFSSFDEEVTIPIAPQPSSSNMHDTANGKPKSAPAEVWDDAYRLNNQGQAYEENGYRTAHEIGEHATFQESSEDFSGLNFTFGASTSPQSSVSTQRRNTRRKVRTKSGQLPKPSVTQAYVQPKSSQDKKTMQFSPEKNKAGDSADEQSTRGASTSAALETCETWRTSGNQAYTNGHFATAEEYYTRGINSVSGHDSSGYCSRALMLCYSNRAATRMSLGRMREALQDCLIATSIDPTFLKAKVRAANCQLALGDLEDALRSYTACLTSSKTSGSDRKMLAEASDGLERVQSVVDWISLSEELLKKRTVSEATTALQFISNALHISSHSDKLMEMKAEALLTLRKYEEVIQLCQETVVLAEKNSSASETTEWSGRLWRTYLICKTYFLSGKLEDALELLNKHQQVTNVKESEGRTSQECFSSLSTTIRELLSHKAAGNEAFQARRYSEAVEQYSAALARNSDSRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDATYLKAISRRATLYEMIRDYGQAANDLRKLISLIEKQANNSGLSPKVLNKHSDLKQARTRLLSVEDEAKRDTPLNLYLILGIEPSSSPADIKKAYRKAALRHHPDKAAQLLVRNEKPDDGFWRDVAKEVHADADHLFKAIGEAYNVLSDSDKRQEYDIEENLRNATKRVSKGGRMHRSPEQRYTKHSVFLVLSFGEGGSWSCKDWLVGMISFDTSDVVA >ONIVA05G14810.2 pep chromosome:AWHD00000000:5:15781831:15794299:1 gene:ONIVA05G14810 transcript:ONIVA05G14810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAVAAPAPYPTTGQNPSGTPLPAAAMGNPNLGLGLASSSDHAAGPPPPSRRAPRLAKRRHAAASSRSRQPPPASPAAAPWNPFGGGGGGGTDASGQDGIGGIAPGGVGVGAGEGQDGGFVFGGAASATSQQPPVASSNGEAPFVFGSVRDSLPRFDEGWAASAKLPEKMGRMNLQTRGESSVNANKKDRSSIFGVDIPGLVLNSEVNVLPEKLTQLNLGTRVPLQGDNDVPKTFVFGGNGSGPSSDGTSSDVHRAGSYASANANGVAEKLTQYGIGNQAPLGRMGTESTNDAPPVFVFGGNVSSDHADRTNDVSSGANTNPSDTFNVTDGTGLCPEKISDVPFQQKGSGGSSRQAKAFVSGSTSNEGSVFSSAANSDAFVPPSSVNNLPPELPSCLNIGGGVTQCKQSDNSGYPSEAFVFGSDASLSSHSSKKVMDDRGNFVTGANSKAFTSVHGNMESTLPARMTKLNLGHGAPSNNNKDETAHQPPEVSGFGTNAATTFSSAQAASMPFTSIQTNVSLELKGNGGNLANEDIGNITHSRSDNDQGYGPSSFVFSSGSNAVPPSEGYAEHALQEDIKKLNINREGASVGSTKVNGSSQFVFRSKAEAIPVFGAIPQPNVPESRAFSHSNHSSSFSTSGNDMPSFSFKTTNTASDTIPGESCAVRQEPAWCSRESLFGIDYIKSAYRDKKEAHKNARKNKRPTKLKQHAQPHHFASQETCTTGPDMDLAGDYSPMDCSPYSATVEQVAKEASVMSDQSVHIHDYGVSNQSSSCAEDLVSATEHLVIDADLPTCEDEGRIPNVDTSANSFASSFSSFDEEVTIPIAPQPSSSNMHDTANGKPKSAPAEVWDDAYRLNNQGQAYEENGYRTAHEIGEHATFQESSEDFSGLNFTFGASTSPQSSVSTQRRNTRRKVRTKSGQLPKPSVTQAYVQPKSSQDKKTMQFSPEKNKAGDSADEQSTRGASTSAALETCETWRTSGNQAYTNGHFATAEEYYTRGINSVSGHDSSGYCSRALMLCYSNRAATRMSLGRMREALQDCLIATSIDPTFLKAKVRAANCQLALGDLEDALRSYTACLTSSKTSGSDRKMLAEASDGLERVQSVVDWISLSEELLKKRTVSEATTALQFISNALHISSHSDKLMEMKAEALLTLRKYEEVIQLCQETVVLAEKNSSASETTEWSGRLWRTYLICKTYFLSGKLEDALELLNKHQQVTNVKESEGRTSQECFSSLSTTIRELLSHKAAGNEAFQARRYSEAVEQYSAALARNSDSRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDATYLKAISRRATLYEMIRDYGQAANDLRKLISLIEKQANNSGLSPKVLNKHSDLKQARTRLLSVEDEAKRDTPLNLYLILGIEPSSSPADIKKAYRKAALRHHPDKAAQLLVRNEKPDDGFWRDVAKEVHADADHLFKAIGEAYNVLSDSDKRQEYDIEENLRNATKRVSKGGRMHRSPEQRYTKQYDRGFNPRPWQSNRSSGSRSRWSGYDDDYW >ONIVA05G14800.1 pep chromosome:AWHD00000000:5:15774060:15774474:-1 gene:ONIVA05G14800 transcript:ONIVA05G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVGKVSVRVSTSKRARHRLQEVSTRRLARDGSSLAATALSFRLIGSSGCGAPEMRRRLADCLSGELLLERWLSRIPADGWGMHLVSL >ONIVA05G14790.1 pep chromosome:AWHD00000000:5:15767136:15770114:1 gene:ONIVA05G14790 transcript:ONIVA05G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLLQYLKLLLLLALGGVTTMHVPKQDVPSSLEALTLDGHFSFHDVSAAAQDFGNLSSFPPVAVLHPGSVADIATTIRHVFLMGEHSTLTVAARGHGHSLYGQSQAAEGIVISMESLQGNTMRVNPGVSPYVDASGGELWINVLHETLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQTFRHGPQISNVNELEIVTGRGDVITCSPEQNSDLFHAALGGLGQFGVITRARIPLEPAPKMVRWLRVLYLDFTSFTEDQEMLISAEKTFDYIEGFVIINRTGILNNWRSSFNPQDPVRSSQFESDGKVLFCLEMTKNFNPDEADVMEQEVNTLLSQLRYMPSSLFHTDVTYIEFLDRVHSSEMKLRAKGMWEVPHPWLNIIIPRSMIHKFAKEVFGKILKDSNNGPILLYPVNKSRWDNRTSVVIPDEEVFYLVAFLSSALGPHNIKHTLDLNYRIIEFSDKAGIGVKQYLPNYTTEQEWQSHFGARWDTFQQRKKAYDPLAILAPGQRIFQKASASLPLPS >ONIVA05G14780.1 pep chromosome:AWHD00000000:5:15756071:15756259:1 gene:ONIVA05G14780 transcript:ONIVA05G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVNGGGRWRLRRRRCFGPCCRTSDITLTSKEVFIWVKRNNRRLLHVRDIDRTSK >ONIVA05G14770.1 pep chromosome:AWHD00000000:5:15750854:15754783:1 gene:ONIVA05G14770 transcript:ONIVA05G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGHETDKNIEVWKVKKLIKALDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYSRVPANGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLYGTLSGNSREVLYKFSVDLPKKHGRGGQSAVRFARLRMERRHNYLRKAAELATQFFINSATNQPNIAGLILAGSADFKTELGKSEMFDPRLQAKVIKTLDVSYGGESGFNQAIEMSAEVLSDVKFVQEKKLIGKYFEEISQDTGKYVLGVQDTITALEMGAVDTLIVWENLDVRRYELKNTATGETVIKYLNSDQEADQSNFVDEATSGEFDVIDKPLLLEWFAENYQQYGCTLEFVTNKSQEGSQFCRGFGGIGGILRYPADVAAFNDDDDDMLDEADYEDFE >ONIVA05G14770.2 pep chromosome:AWHD00000000:5:15752745:15754783:1 gene:ONIVA05G14770 transcript:ONIVA05G14770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGHETDKNIEVWKVKKLIKALDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYSRVPANGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLYGTLSGNSREVLYKFSVDLPKKHGRGGQSAVRFARLRMERRHNYLRKAAELATQFFINSATNQPNIAGLILAGSADFKTELGKSEMFDPRLQAKVIKTLDVSYGGESGFNQAIEMSAEVLSDVKFVQEKKLIGKYFEEISQDTGKYVLGVQDTITALEMGAVDTLIVWENLDVRRYELKNTATGETVIKYLNSDQEADQSNFVDEATSGEFDVIDKPLLLEWFAENYQQYGCTLEFVTNKSQEGSQFCRGFGGIGGILRYPADEPALALTASGRDKTLKHPKDRCKKIKMNWRGSQKFDDDAMGMISLFLFAQSLELEDVLKQTWLPSDAPIRRA >ONIVA05G14760.1 pep chromosome:AWHD00000000:5:15750119:15750379:1 gene:ONIVA05G14760 transcript:ONIVA05G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATQGPVIADGVRRLDIEVNVVGARFSIFHGVLDALASMRSSAASRLPPTSRSSLENGVMPWCAVRLSAWCAAAPAWLAAKCSP >ONIVA05G14750.1 pep chromosome:AWHD00000000:5:15746605:15748864:1 gene:ONIVA05G14750 transcript:ONIVA05G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLGMKSITGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSSMLSKPEASTAAQEDDEAVDETGVEPKDIELVMTQATVSRSKAVKALKAANGDIVTAIMELTT >ONIVA05G14750.2 pep chromosome:AWHD00000000:5:15746602:15748864:1 gene:ONIVA05G14750 transcript:ONIVA05G14750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEIEKQEEELRAQIEEQKLNEGDEPVVVEDDDDDEDDDDEDDKDDDDAEGGDASGRSKQSRSEKKSRKAMVKLGMKSITGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSSMLSKPEASTAAQEDDEAVDETGVEPKDIELVMTQATVSRSKAVKALKAANGDIVTAIMELTT >ONIVA05G14740.1 pep chromosome:AWHD00000000:5:15736399:15741613:-1 gene:ONIVA05G14740 transcript:ONIVA05G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVAGQRREQPEAAGGGRGGGGDGGGGGGCRGGAVRPQCVAALLLGAAMALSALFLLKPFAAHRARAGAPPPPGPPGDAFAADIVASFMLQKTVSELSGSTSKLEFDIYGEIGIPNSTVAVNFLQPIGAPNWTNVIFSIVPYPKYSSISSMYLSILRASFMSLVVEQSTLHLTESLFGDTSLFEVLKFPGGITIIPPQAAFLLQKPYASFNFTLNFPIYKVQGRMNELKDQMKAGLQLDPYENLYIKLTNSEGSTVRPPTIVQASIVLEVGNHQPSLPRMKQLAKTIANSSSGNLGLNHTVFGKVKQISLSSYLRHSLHMTLMHLVQHPCLNKAITIIINITITTTTTITTTIVMKKKGICVRLLHLYILLLNNPNIDLHRLHQQLSQYLVNTIITLIHLPTCLVLCHHHLSVHQLLFITLRITQIGTIAPLIHLQYLLMHIVLPKRQLWPLLLTHHMPRGSIRVNGLLHSCCAC >ONIVA05G14730.1 pep chromosome:AWHD00000000:5:15729470:15735737:1 gene:ONIVA05G14730 transcript:ONIVA05G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGGDRDQQNQQQQQEEAAAPAAAAAGVGGGEEQGNGRGEESVKLFVGQVPKQMTEDELAAMFAAVAVVDEVTLIRDKATKASRGCCFLICPSREEADKAVNAYHNKRTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDAEMTDLFSQYGNIKDLQILRGSQQTSKAGCAFLKYETKEQALAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQFHPSNMSNPNAMQQSSLFGAMQMGYVPQYNGYGYQPQGTYGLMQYPLSPMQNQAAFPNMVQSVNQGSSIRGVNSELSPNSAPRSFNSMQLGSPYSPVPSMQYPGSYPGNAINSRPFVNSHNSMKVPNANASSPTSSSTSSNPGPQIEGPPGANLFIYHIPQEFGDQDLAGAFQGFGRVLSAKVFVDKATGLSKCFGFISYDSPASAQTAISMMNGYQLGGKKLKVQLKRDNSKHSKTY >ONIVA05G14720.1 pep chromosome:AWHD00000000:5:15717344:15724563:1 gene:ONIVA05G14720 transcript:ONIVA05G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phospholipid-binding Copine family protein [Source:Projected from Arabidopsis thaliana (AT1G08860) TAIR;Acc:AT1G08860] MGGCLSGDVRGGMEAVGGSGGRGAAGTGGGGGGGAGQGGGANEAVDHFFNAAGLRGLYSPLELSFSASKLRNMDAFSKSDPMLVIYIRKDARLEEIGRTEVILNSLEPSWITKATISYQFEIIQPLVFKIYDIDTRYHNTPVKTLNLAQQDFLGEACCNLSEIVTNNHSLTLNLRDSCGHTLLGTVTVHAEESNSSRMAVEMTLHALNLENKDDPFLRISRLVETAGPIPICKTEVISNNLNPDNPLLVECFDFDSSGDHELIGAFQTTITQLENLKGQLFVDKFQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRSPQSLHYIDPSGRPNSYQQAILGVGEVLQFYDNDRRFPAWGFGAKTPQGYISHCFNLNATTNDCEVVGVEGIMSAYTSTLYSVTLAGPTLFGPIINKAAEIASHSLQYGNNKYFVLLIITDGVLTDIQETKDSIVRASDLPLSILIVGVGNADFKQMEILDGDNGKRLESSTGRIATRDIVQFVPMRDVQGGQISVVQSLLEELPGQFLAYMRSRDIKPRAPLQHDNASSAPPLYPPTK >ONIVA05G14710.1 pep chromosome:AWHD00000000:5:15712515:15712997:1 gene:ONIVA05G14710 transcript:ONIVA05G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRKMLDLNSHIDKEQYKLHVSRCRPLVVGPTHRFSPGAVQAQVGGRRTWSGAAGVGRGAGGDARRGVGRHCQTWSEAAGARHGAGRVRARSNDGEATRSTVRLRRSLPAPRDLDITEELVTFFGHEDLMLVGPSTNVAWILPVLRTWIAHAECQLIAL >ONIVA05G14700.1 pep chromosome:AWHD00000000:5:15698972:15704153:-1 gene:ONIVA05G14700 transcript:ONIVA05G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDFGLVEIHPGEIRFEFEVKKKIIMQAQMIAPPDLQIRDKFLVQTTVVPFGTADEDIAPAFFSKEVGRYIEENKLRVVLVSATQLEEQQLMAGVPSAKTGVEVRVAKETLNIESEASNVMNEVHHSLKTNFPPLRENPATLNKMPFAHHWKETLNVSLESHFSSTETNVVSSECPEALENTSPSKEFAILRDTLVNAENLHYVTDDVQNLMTKLSNLEAKLEEAESVIVKLREDTRTTIRERDKLKHEMVVLTRKGASRSQAGFPLLFVVYMAILGASLGYLLHL >ONIVA05G14700.2 pep chromosome:AWHD00000000:5:15698972:15704153:-1 gene:ONIVA05G14700 transcript:ONIVA05G14700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDFGLVEIHPGEIRFEFEVKKKIIMQAQMIAPPDLQIRDKFLVQTTVVPFGTADEDIAPAFFSKEVGRYIEENKLRVVLVSATQLEEQQLMAGVPSAKTGVEVRVAKETLNIESEASNVMNEVHHSLKTNFPPLRENPATLNKMPLSVKQTTILAPSKEVPAISAESAHHWKETPAESLFATNALPHSLKTSCLLRENPAILYEIPFPVRQTTILPPLKEVPVISAESAHHWKETLNVSLESHFSSTETNVVSSECPEALENTSPSKEFAILRDTLVNAENLHYVTDDVQNLMTKLSNLEAKLEEAESVIVKLREDTRTTIRERDKLKHEMVVLTRKGASRSQAGFPLLFVVYMAILGASLGYLLHL >ONIVA05G14700.3 pep chromosome:AWHD00000000:5:15698972:15704153:-1 gene:ONIVA05G14700 transcript:ONIVA05G14700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDFGLVEIHPGEIRFEFEVKKKSSCSVCLVNKSEEYVAFKVVIMQAQMIAPPDLQIRDKFLVQTTVVPFGTADEDIAPAFFSKEVGRYIEENKLRVVLVSATQLEEQQLMAGVPSAKTGVEVRVAKETLNIESEASNVMNEVHHSLKTNFPPLRENPATLNKMPLSVKQTTILAPSKEVPAISAESAHHWKETPAESLFATNALPHSLKTSCLLRENPAILYEIPFPVRQTTILPPLKEVPVISAESAHHWKETLNVSLESHFSSTETNVVSSECPEALENTSPSKEFAILRDTLVNAENLHYVTDDVQNLMTKLSNLEAKLEEAESVIVKLREDTRTTIRERDKLKHEMVVLTRKGASRSQAGFPLLFVVYMAILGASLGYLLHL >ONIVA05G14690.1 pep chromosome:AWHD00000000:5:15695542:15697530:-1 gene:ONIVA05G14690 transcript:ONIVA05G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEDVATGNTTAPAAAGSSKLFRRKSSVSASHRSSSSSSSNGCTSVSVKDVATKKEEEIEADAVEVTSAEEAAAAAVVVKKDVTIAAATLAVTEAMATAAEPKEEEEEELPESTMADEAPAAVVEAAPAKADETKEVAVVKEDQEKAEEETKAEEEETPSSPAPATDLGESKEQNTMEAKPAVNDNGEHKVEEVATTVVSESSSPSPSPSEAEKKETAAEKTNDVAVPESSSPSEAEEKKDAAADEKTAAAVAAAAASESSSPAN >ONIVA05G14680.1 pep chromosome:AWHD00000000:5:15683740:15687144:1 gene:ONIVA05G14680 transcript:ONIVA05G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPPSPAPAPEGRWADLPGDIAISVASRLQEADVCALGGCSRSWRRACDADCVWEALFRRRWPLAAAAGGGGGGEGEGASGVQGLEGKIPLAILLQDGLLVGQKGWKALYINHHRRTAVAISGVAEFVENNLRNGSLEAEYYLKAIANLASMRDIGFIDAQFFLLSRNYSAIMNLIGLHYSISSLNIPPNEVYKALQARKVEERKVCVSLYKLGRWFYGFRLPDESESHEISLSELTMSEGATILAILKRGAVHEVFRLQVSLVDINK >ONIVA05G14670.1 pep chromosome:AWHD00000000:5:15674929:15677775:-1 gene:ONIVA05G14670 transcript:ONIVA05G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRCLQAAVLCCLLVLCSGRELKTKHTPIYNSTLARTLAEYTSAVYTADLTQLFSWTCERCCDLTEGFEVIELIVDVKNCLQAYVGYASDMNAVVVVFRGTQETSIQNWIEDLFWKQLDLDYPGMPQAKVHSGFYSAYHNTTLRDGVVNGIKKTREAYGNIPIMVTGHSMGGAMASFCALDLVVNYRLKDVTLITFGQPRIGNAVFASHFKCHLPNAIRVTNAHDIVPHLPPYYHYFPQKTYHHFPREVWVHNVGLGSLVYSIEQICDDSGEDPTCSRSVSGNSVQDHINYLGISMHAEASGSCRIVTGDNKLQYKMDSDGNIVFSKQPGLSVDQLHSSQ >ONIVA05G14660.1 pep chromosome:AWHD00000000:5:15667282:15674483:1 gene:ONIVA05G14660 transcript:ONIVA05G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMLGLGLVPAGAAAALAVALVCLAAAAWWTVERAPRRLERALRAQGVGGGRYQLLLGGDVAENGRLNREAWSRPLPLGCHRIAPRVLPLLWNAVRDHVPRVMIPDPELVREVFNKFDQFGKPKMIRVGKLLATGVVSYEGEKWAKHRRILNHAFHHEKIKRMLPVFANCCTEMVTRWENSISLEAASEIDVWPEFRNLTGDVISRTAFGSSYQEGRRIFQLQEELAQYLTEALQKLFIPGYWYLPTKNNRRMREIDREVRKILLEIIGNKERAITNGENSNDNMLGLLVESNTKQPELGMSTDDIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLHHFGRTTTPDYDSLSRLKIVTMILYEVLRLYPPVVLLNRRTFKETNLGGIKFPADMNLILPILFIHHDPEIWGKDASEFNPGRFADGISNASKYHDASFFPFGWGPRICIGQSFALLEAKMALSMILQRFSLELSPSYIHAPYIVLTLRPQHGAQIKLKRI >ONIVA05G14650.1 pep chromosome:AWHD00000000:5:15665019:15666182:-1 gene:ONIVA05G14650 transcript:ONIVA05G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPSSAAAVDHGALSASAKAADDLLAASAGCGGAHGHSLFFDALVQLIPPRFYLSAADEDRPWYQGLSKSAKAAMKAQSRANVKAARRARLDPSAPPSSTLDLLKKSLADQDAHDSSSSSGEDASDDDDDDDDDEVEEREEDEGDEEGMQLAPAAVVSEDRSVTYEELRERLHRRIAELRGNRCTRPEFLNKPKREKGKKGKKGKDVGKKRKREDGGGGGGAQDGEGKDGKKSKKEEDSKAPDIVYGNVWVDPKEARRWKKRRIKNKKKALEEAKRMQQAKEDPEKATKLAWDLARRRAAGEKVHDDPKLIKESMKKDKKRQQKHAEQWKERQKMVDKQKKERQSKRTENIRERANQKKMRKIEKREKKLMRPGFEGRKEGYVNE >ONIVA05G14640.1 pep chromosome:AWHD00000000:5:15655102:15663123:1 gene:ONIVA05G14640 transcript:ONIVA05G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFGSGGKGEAKKGGGGRKDGGSADRRVARVGSDKSKSQGGLDSRKDAFIPRDANGQPIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGHLENGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEFLHDKANPPVIYRDFNFGVVFLELITGRKAIDNTKPLGEQNLVAWARPLFKDRRKFPKMADPLLAGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHSRSNASTPRARNRVGANFDQRRLHSPNHQQSPDLRKEGTTTSKYEAEVSRTNSGSGSGRRAGLDSMDVTGSQMGSPAHAGRKRESSRSTDRQRAVAEAKTWGENSRERKWPNARGSFDSTNE >ONIVA05G14640.2 pep chromosome:AWHD00000000:5:15655102:15663123:1 gene:ONIVA05G14640 transcript:ONIVA05G14640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFGSGGKGEAKKGGGGRKDGGSADRRVARVGSDKSKSQGGLDSRKDAFIPRDANGQPIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGHLENGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPLGEQNLVAWARPLFKDRRKFPKMADPLLAGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHSRSNASTPRARNRVGANFDQRRLHSPNHQQSPDLRKEGTTTSKYEAEVSRTNSGSGSGRRAGLDSMDVTGSQMGSPAHAGRKRESSRSTDRQRAVAEAKTWGENSRERKWPNARGSFDSTNE >ONIVA05G14630.1 pep chromosome:AWHD00000000:5:15648087:15650860:-1 gene:ONIVA05G14630 transcript:ONIVA05G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVARSRRGLALALSRAGAGAPSRPSPPGLGKTLGYEPTSHLHGAQFLPCWFSTIASNGSHMQKAQETCKPVAGMEHSDALKVMEGTSPKVVAFSPLEAAITKPRSSPLTIESSKVKRSEIATLVTFYMIPSLLVSSKNGLATSILVGAVFHQIYMFHKEIFLDYVHHDITRKWALIYFKLLLLIMAKETIDQSSLVNTLEFPNNLQYPTTFRKPNSLEIHDTSHVHVRAEKTAFQLSSARLNCRLDPAAAMFTRDGRPDGGDPIGCHAHMPGDRRPPVLTVN >ONIVA05G14630.2 pep chromosome:AWHD00000000:5:15646515:15650860:-1 gene:ONIVA05G14630 transcript:ONIVA05G14630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVARSRRGLALALSRAGAGAPSRPSPPGLGKTLGYEPTSHLHGAQFLPCWFSTIASNGSHMQKAQETCKPVAGMEHSDALKVMEGTSPKVVAFSPLEAAITKPRSSPLTIESSKVKRSEIATLVTFYMIPSLLVSSKNGLATSILVGAVFHQIYMFHKEIFLDYVHHDITRKWALIYFKLLLLIMAKETIDQSSLVNTLEFPNNLQYPTTFRKPNSLEIHDTRPRGPEPSEDE >ONIVA05G14630.3 pep chromosome:AWHD00000000:5:15648087:15650860:-1 gene:ONIVA05G14630 transcript:ONIVA05G14630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVARSRRGLALALSRAGAGAPSRPSPPGLGKTLGYEPTSHLHGAQFLPCWFSTIASNGSHMQKAQETCKPVAGMEHSDALKVMEGTSPKVVAFSPLEAAITKPRSSPLTIESSKVKRSEIATLVTFYMIPSLLVSSKNGLATSILVGAVFHQIYMFHKEIFLDYVHHDITRKWALIYFKLLLLIMAKETIGSAVLAAAAGFERKKPVYDVRTPAASLYSHVHVRAEKTAFQLSSARLNCRLDPAAAMFTRDGRPDGGDPIGCHAHMPGDRRPPVLTVN >ONIVA05G14620.1 pep chromosome:AWHD00000000:5:15628527:15631303:-1 gene:ONIVA05G14620 transcript:ONIVA05G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVAEVAGIAAACVALLAAVAALWCAARRMARRRGRNSDETGSSDPSTLVEWGKGGRSSSAPEHQGARQFSLDELAQATKSFSEANLVGLGSFGLVYKGLLLDGSVVAIKKRIGAPRQEFAEEVRKLSEINHRNIVTLIGYCQEGGLQMLVYEYLPNGSVSRHLYDTGKSSMTRLEFKQRLSIAIGAAKGLNHLHTLVPPLIHKDFKTSNVLVDENFIAKVADAGLVRLLRGYEDVGPSHGFSSSVYQDPEVQSVLQFSESSDVYSFGVFLLELITGREAACLISPDSRESLAQWIEGHFSSNELIDPRLGANFTSEGMKEFVGLTFQCLTPSSRRRPKMRLVATELDRILETEMSLTTIMGDGTAIITLGSTLFK >ONIVA05G14620.2 pep chromosome:AWHD00000000:5:15628525:15631303:-1 gene:ONIVA05G14620 transcript:ONIVA05G14620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVAEVAGIAAACVALLAAVAALWCAARRMARRRGRNSDETGSSDPSTLVEWGKGGRSSSAPEHQGARQFSLDELAQATKSFSEANLVGLGSFGLVYKGLLLDGSVVAIKKRIGAPRQEFAEEVRKLSEINHRNIVTLIGYCQEGGLQMLVYEYLPNGSVSRHLYDTGKSSMTRLEFKQRLSIAIGAAKGLNHLHTLVPPLIHKDFKTSNVLVDENFIAKVADAGLVRLLRGYEDVGPSHGFSSSVYQDPEVQSVLQFSESSDVYSFGVFLLELITGREAACLISPDSRESLAQWIEGHFSSNELIDPRLGANFTSEGMKEFVGLTFQCLTPSSRRRPKMRLVATELDRILETEMSLTTIMGDGTAIITLGSTLFK >ONIVA05G14610.1 pep chromosome:AWHD00000000:5:15623652:15624031:-1 gene:ONIVA05G14610 transcript:ONIVA05G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRLLPRRLPQLFRQMEQDVETVINVLQPGPIGIVEHKFTDAEIRNAQAVVRRAVENWQKSSTLERNLGSGSFAK >ONIVA05G14600.1 pep chromosome:AWHD00000000:5:15612980:15616819:1 gene:ONIVA05G14600 transcript:ONIVA05G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >ONIVA05G14590.1 pep chromosome:AWHD00000000:5:15598307:15608249:-1 gene:ONIVA05G14590 transcript:ONIVA05G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRGGRREAEEGVAVAVAVAGRSRGVAAAAARHEVAGELELASAGGLGGGGGDELVDPDQLTYIDEKLQNILGHFQKEFEGGVSAENLGSQYGGYGSFLPTYQRSPPALSQSGSPAVLPNHGSASRSPYIPLESVQKNHFVKQAIDGRRKNNYCQRTSSENDSNHSQQLLNSGPEQKTAKIRIKVNNKCLERNNAAIYSGLGLDISPSSSIDDSPQRSIEAPESKLFPDESADTIFQIMTCHSVPGGLLLSPLAENVLELRQKSTAVTKKHEAPVYDNDKEELQRNCCHTSSAAPDNNYQLVKKIKLDEQRDHLPEFENSKYRHKNATIMKKGAKPELKDISDDMDSIRAPRCAKTEKHAVGESADFIADTSGRLKEAKNGQFKGKGGGNDGDHKISPIVVDKSASMPSRCKNETTEASMAVPASEPVDQWVCCDKCETWRLLPYGMNSDTLPKKWRCSMQSWLPGMNNCKLSEGETTNAIRALYVVPIPENNISLDSRCDTATLVRSNDAAIMSDNLGMPEISKSSKKLHAPRNRDGLDCFPKLKEKQKRIESSDKGQTMAKDRMHRKRKTSGADYDNLIASKKLKKVYNEPPKHQPPQFELSKSSPSTKGSLKELPKHTNISPGMGKHALPSSGKQFCDGDNSDRGARASDAGKSDPRDLFIKKNKSKQMQLRQHGPDPRPSDAFAKHVVKEVLSESNAAKEKLGSDLKFLKVDDHEKSAHARGPVTGTNSNAIFSEKEDLIEQHLENIHFQHPLLSESSVRRNICNVQASTAATSSSSKVSSSHKNKPEFQETRTSPVESVSSSPLRTSDKKHLDRHRTNSYAVAEIVHSQESVKTGASCSKEKYGFECGSDHTKPHVSGCSNRVMHQDALEDGDLDKQNILTNGVFNNRSSGLGIRNDQGQPNSLVEQKVNSHVLPIHGSGDFRRPTPDQNGKTLPQYNSNQSDQAKLSSGKHPTQVRPDKGNVEYIDLKTNPSTVAGSKLLPGLNNKVNGNASNKSKQSVVENMKHAALHVDASTPINASALLKEARDLKHLSDRLKGKGDDLESANICFEACLKFLHVASLKEAAGVDSSKQGDPINTMTLYSDTGNLCGFCAREFERLKKMANAALAYKCVEVAYMKAAFYKHPGAIKDSHALQAASVIAPPAESPSSSASDVDNLNNPSTIAKIVSTRGLCTSQIAKNPISRSNHHLMGLLAYGLLQLIRHSLECINHESCGGA >ONIVA05G14590.2 pep chromosome:AWHD00000000:5:15598307:15608249:-1 gene:ONIVA05G14590 transcript:ONIVA05G14590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRGGRREAEEGVAVAVAVAGRSRGVAAAAARHEVAGELELASAGGLGGGGGDELVDPDQLTYIDEKLQNILGHFQKEFEGGVSAENLGSQYGGYGSFLPTYQRSPPALSQSGSPAVLPNHGSASRSPYIPLESVQKNHFVKQAIDGRRKNNYCQRTSSENDSNHSQQLLNSGPEQKTAKIRIKVNNKCLERNNAAIYSGLGLDISPSSSIDDSPQRSIEAPESKLFPDESADTIFQIMTCHSVPGGLLLSPLAENVLELRQKSTAVTKKHEAPVYDNDKEELQRNCCHTSSAAPDNNYQLVKKIKLDEQRDHLPEFENSKYRHKNATIMKKGAKPELKDISDDMDSIRAPRCAKTEKHAVGESADFIADTSGRLKEAKNGQFKGKGSTQSSLSIIDVKAANSANDDKHPKGKAKLKVTLVRNAKMESSLDDGFSHKTKSDKCNDQPVTTSSQLQIDPAKKTSLKRDRGKVVCAKDEPSQYKSKESRSLVDAESMGTTTENVAGNSSELLKGKKVSALQASLFGKKLKIKTHKKPNYDTTRKPNGENEGYVLDHRNGSTYLHTEDKSLKTEKESATSGLTDKDFSGGGNDGDHKISPIVVDKSASMPSRCKNETTEASMAVPASEPVDQWVCCDKCETWRLLPYGMNSDTLPKKWRCSMQSWLPGMNNCKLSEGETTNAIRALYVVPIPENNISLDSRCDTATLVRSNDAAIMSDNLGMPEISKSSKKLHAPRNRDGLDCFPKLKEKQKRIESSDKGQTMAKDRMHRKRKTSGADYDNLIASKKLKKVYNEPPKHQPPQFELSKSSPSTKGSLKELPKHTNISPGMGKHALPSSGKQFCDGDNSDRGARASDAGKSDPRDLFIKKNKSKQMQLRQHGPDPRPSDAFAKHVVKEVLSESNAAKEKLGSDLKFLKVDDHEKSAHARGPVTGTNSNAIFSEKEDLIEQHLENIHFQHPLLSESSVRRNICNVQASTAATSSSSKVSSSHKNKPEFQETRTSPVESVSSSPLRTSDKKHLDRHRTNSYAVAEIVHSQESVKTGASCSKEKYGFECGSDHTKPHVSGCSNRVMHQDALEDGDLDKQNILTNGVFNNRSSGLGIRNDQGQPNSLVEQKVNSHVLPIHGSGDFRRPTPDQNGKTLPQYNSNQSDQAKLSSGKHPTQVRPDKGNVEYIDLKTNPSTVAGSKLLPGLNNKVNGNASNKSKQSVVENMKHAALHVDASTPINASALLKEARDLKHLSDRLKGKGDDLESANICFEACLKFLHVASLKEAAGVDSSKQGDPINTMTLYSDTGNLCGFCAREFERLKKMANAALAYKCVEVAYMKAAFYKHPGAIKDSHALQAASVIAPPAESPSSSASDVDNLNNPSTIAKIVSTRGLCTSQIAKNPISRSNHHLMGLLAYVEDTNYAFEGTRKSQSAFFSYLSGIEKDQADGIALLTEVLNFSFHNVKGLLQLIRHSLECINHESCGGA >ONIVA05G14590.3 pep chromosome:AWHD00000000:5:15598307:15608249:-1 gene:ONIVA05G14590 transcript:ONIVA05G14590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRGGRREAEEGVAVAVAVAGRSRGVAAAAARHEVAGELELASAGGLGGGGGDELVDPDQLTYIDEKLQNILGHFQKEFEGGVSAENLGSQYGGYGSFLPTYQRSPPALSQSGSPAVLPNHGSASRSPYIPLESVQKNHFVKQAIDGRRKNNYCQRTSSENDSNHSQQLLNSGPEQKTAKIRIKVNNKCLERNNAAIYSGLGLDISPSSSIDDSPQRSIEAPESKLFPDESADTIFQIMTCHSVPGGLLLSPLAENVLELRQKSTAVTKKHEAPVYDNDKEELQRNCCHTSSAAPDNNYQLVKKIKLDEQRDHLPEFENSKYRHKNATIMKKGAKPELKDISDDMDSIRAPRCAKTEKHAVGESADFIADTSGRLKEAKNGQFKGKGSTQSSLSIIDVKAANSANDDKHPKGKAKLKVTLVRNAKMESSLDDGFSHKTKSDKCNDQPVTTSSQLQIDPAKKTSLKRDRGKVVCAKDEPSQYKSKESRSLVDAESMGTTTENVAGNSSELLKGKKVSALQASLFGKKLKIKTHKKPNYDTTRKPNGENEGYVLDHRNGSTYLHTEDKSLKTEKESATSGLTDKDFSGGGNDGDHKISPIVVDKSASMPSRCKNETTEASMAVPASEPVDQWVCCDKCETWRLLPYGMNSDTLPKKWRCSMQSWLPGMNNCKLSEGETTNAIRALYVVPIPENNISLDSRCDTATLVRSNDAAIMSDNLGMPEISKSSKKLHAPRNRDGLDCFPKLKEKQKRIESSDKGEKSTVTISSGQTMAKDRMHRKRKTSGADYDNLIASKKLKKVYNEPPKHQPPQFELSKSSPSTKGSLKELPKHTNISPGMGKHALPSSGKQFCDGDNSDRGARASDAGKSDPRDLFIKKNKSKQMQLRQHGPDPRPSDAFAKHVVKEVLSESNAAKEKLGSDLKFLKVDDHEKSAHARGPVTGTNSNAIFSEKEDLIEQHLENIHFQHPLLSESSVRRNICNVQASTAATSSSSKVSSSHKNKPEFQETRTSPVESVSSSPLRTSDKKHLDRHRTNSYAVAEIVHSQESVKTGASCSKEKYGFECGSDHTKPHVSGCSNRVMHQDALEDGDLDKQNILTNGVFNNRSSGLGIRNDQGQPNSLVEQKVNSHVLPIHGSGDFRRPTPDQNGKTLPQYNSNQSDQAKLSSGKHPTQVRPDKGNVEYIDLKTNPSTVAGSKLLPGLNNKVNGNASNKSKQSVVENMKHAALHVDASTPINASALLKEARDLKHLSDRLKGKGDDLESANICFEACLKFLHVASLKEAAGVDSSKQGDPINTMTLYSDTGNLCGFCAREFERLKKMANAALAYKCVEVAYMKAAFYKHPGAIKDSHALQAASVIAPPAESPSSSASDVDNLNNPSTIAKIVSTRGLCTSQIAKNPISRSNHHLMGLLAYVEDTNYAFEGTRKSQSAFFSYLSGIEKDQADGIALLTEVLNFSFHNVKGLLQLIRHSLECINHESCGGA >ONIVA05G14580.1 pep chromosome:AWHD00000000:5:15579506:15588203:-1 gene:ONIVA05G14580 transcript:ONIVA05G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCMMMMSQAASSTAAMAPRDGEGGGRRHHFVLVHGLCHGAWCWYRVATALRRAGHRVTALDMAAAGASPARVDEVRTFEDHSRPLLAALAALPPSGDGERVVLVGHSHGGFSVALAAERFPERLAAVVFLTASMPPVGRAMANTTDEYVSFVGADFFLDSRVLEQTNPDIPGNPEIFGPNFMAQKLYQLSPPEDLTLALSLIRPANRFTGDALMRDAGLLTKERYGSTRRVFVVVEDDHAIPVEFQRRMVAENPGVEVVDIAGADHMAMISKPAKLADLLVRIAAN >ONIVA05G14570.1 pep chromosome:AWHD00000000:5:15578416:15578928:1 gene:ONIVA05G14570 transcript:ONIVA05G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGSEGRRELASGGEREREELVGEGPRYLVSPRRSVREHIIGEGEGALRRKDSCPPCCTARETSPDAAALTRRRGATRRRGELITREWTKEDAATDDELWRGAWMMEDASAADVLRRGMDGGGCDRR >ONIVA05G14560.1 pep chromosome:AWHD00000000:5:15566156:15572543:-1 gene:ONIVA05G14560 transcript:ONIVA05G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGGAMELGGGGHESVERRRRDQHHFVLVHGLCHGAWCWYKAAAALRRAGHRATALDMAASGAHPARVDEVRTFEDYSRPLLDALAALPPAGGDGDDEERVVLVGHSQGGFSVALAAERFPERVAAVVFLTAAMPPVGRPMSATTEEHVNYVGAEFFLDSMELEQQNADIPGNPVIFGPNFMAQILYHLSPQEDLTLGLSLIRPTNKFTGDALMRDPGLLTKERYGSTRRVFVVVEDDRGIPVEFQRRMIAENPGVEVVDFAGADHMAMISSPAKLAELLVRIADKAHEP >ONIVA05G14550.1 pep chromosome:AWHD00000000:5:15556621:15560566:1 gene:ONIVA05G14550 transcript:ONIVA05G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSRISFKMMQRPFRPEEYSLKETSPHLGGGAAGDKLTTTYDLVEQMQYLYVRVVKAKDLPSKDITGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQSSVVEIIVKDKDFVKDDFIGRVLFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPNDRTRFPDVYVKAMLGNQALRTRVSPSRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVLGRTIISLQHVPRRLDHKLLNSQWYNLEKHVIVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVMQVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFVAAIVLYVTPFRVVVFLAGLYTLRHPRFRHKMPSVPLNFFRRLPARTDSML >ONIVA05G14550.2 pep chromosome:AWHD00000000:5:15556558:15557527:1 gene:ONIVA05G14550 transcript:ONIVA05G14550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQHSCLHSPPPSNPQNPIVGSKTQKNPIHPLPPALNCQIPKTQGATRKTATPFAFRKKKATGHPTTTSTTTHASSAASPRPAPLSLSLHSVCFCSREKPCGKSKNLASPLRPLPSPPHSPPKLPVVVLPPRTLGRDRVLFVVAHLLCTGNGRLEQRFLLGGGGGC >ONIVA05G14540.1 pep chromosome:AWHD00000000:5:15547964:15548626:-1 gene:ONIVA05G14540 transcript:ONIVA05G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACRTLELTLLSASDLRGVNLVSKMEVYAVVYLAGDPRARQRVATDRAGGRNPSWKGKDATVRLAVPASGAGSGAVRVLLRAERAGLGGDRDVGEVFVPLPDVLAGAGDGPTAAAVASYPVRKVGSSRTTHGVLNLSYKLGGVVHPDPAAAAAAACSCKPAAPAAGGSTMAYLAAAAAAYRAAPPPQPPLYGYRQLPPIPQPVPPMGAVAGGGVRAGR >ONIVA05G14520.1 pep chromosome:AWHD00000000:5:15516256:15524816:1 gene:ONIVA05G14520 transcript:ONIVA05G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMHGGLTPNVEVDWVVGGDPPNPGLVVFPRRESPPHVPNEALRGGKSYPNPLSKHSRARVSEHAQLAITMELDSTDASMELGRSSDPNISLQSRRHSYLQEIRSLAVDSAGGWSPSSSSRSARSSSYYSSSKSSSSRHRYAPYSISSSRRLARLEDKGPRDIARRMVRDGFMGKLIGEFGRAPRPALERWFSELDVGWVLRSALEKEKSELALDKLYDLAVQRWTRGFTVMTEALSATQRHLQE >ONIVA05G14520.2 pep chromosome:AWHD00000000:5:15523068:15525827:1 gene:ONIVA05G14520 transcript:ONIVA05G14520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFANSLVAVADKTWNPMNKLSGLMNVRSCISHASEIIMLSLKKETLWLPDSDEMLQGLLNKTSNIFSTAKDNLGQAIQRMANDAEAVTPVLSGMHSWETFPQSAEIHKATHLIMGYARLFWGHIDELNSIVGQCWPHRILKCDIIEQMISNLIDHLEKKSESFLDPILRHQKLWKVPNPELRRSLRKAIIDKVITGPTGYRTYLEAHPEQEKCGSNQQDMEDMVNELFEG >ONIVA05G14510.1 pep chromosome:AWHD00000000:5:15515803:15530348:-1 gene:ONIVA05G14510 transcript:ONIVA05G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETAGQSQIHLIFALVGLPTHPSIPPPPAPANPPPPDASTAHLIRRHPPLISLLLHGIPRCCSPARWRSRPLPIEPAPFSSRSVASRAHHHKRLDRRRRSSGGDARRPGAAEPMSTRSTTSSVLNFLRHVSFPPDPRLLPSALKSCSALRLARALHAAAAVAGVSRDAFVASSLLHAYLRFGATADARSVLDGMPHRTVVGWSALIAAHASHGDAEGAWGLLERMRSDGVEPNVITWNGLVSGLNRSGRARDAVLALVRMHGEGFLPDATGVSCALSAVGDVGDVAVGEQLHGYVVKAGCRLDACVATALIDMYGKCGRADEIVRVFDESSHMDVASCNALVAGLSRNAQVSEALRLFREFVGRGIELNVVSWTSIVACCVQNGRDLEAVDLFREMQSEGIEPNSVTIPCVLPAFANIAALMHGRSAHCFSLRKGFHHDIYVGSALVDMYAKCGRVRDARMIFEAMPYRNVVSWNAMIGGYAMHGEAENAVRLFRSMQSSKEKPDLVTFTCVLGACSQAGWTEEGRRFSAHRASICGSASAHPSAPDSASAPRPLHCSADHRQVATLPMTLSCRQGSRRHRDSSPSMRDVTRFHLFVRRRRLRGPPQESRTAAVDRDEEGQAIIEIVARVGTPAEKGTGHSKLVRHYDSEASWNNLLPHDEAIFGYVIEARETKSSSHLLHKVKELVCFTFIAPIDMILICGRVRDARMIFEAMPSRNVVSWNAMIGGYAMHGDAENAVQLFRSMQSSEEKPDLVTFTCVLGACGQSGWTEEGRRYFNEELLSKNKYLRLGSDNDSDFFSR >ONIVA05G14510.2 pep chromosome:AWHD00000000:5:15516538:15530348:-1 gene:ONIVA05G14510 transcript:ONIVA05G14510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFETAGQSQIHLIFALVGLPTHPSIPPPPAPANPPPPDASTAHLIRRHPPLISLLLHGIPRCCSPARWRSRPLPIEPAPFSSRSVASRAHHHKRLDRRRRSSGGDARRPGAAEPMSTRSTTSSVLNFLRHVSFPPDPRLLPSALKSCSALRLARALHAAAAVAGVSRDAFVASSLLHAYLRFGATADARSVLDGMPHRTVVGWSALIAAHASHGDAEGAWGLLERMRSDGVEPNVITWNGLVSGLNRSGRARDAVLALVRMHGEGFLPDATGVSCALSAVGDVGDVAVGEQLHGYVVKAGCRLDACVATALIDMYGKCGRADEIVRVFDESSHMDVASCNALVAGLSRNAQVSEALRLFREFVGRGIELNVVSWTSIVACCVQNGRDLEAVDLFREMQSEGIEPNSVTIPCVLPAFANIAALMHGRSAHCFSLRKGFHHDIYVGSALVDMYAKCGRVRDARMIFEAMPYRNVVSWNAMIGGYAMHGEAENAVRLFRSMQSSKEKPDLVTFTCVLGACSQAGWTEEGRRFSAHRASICGSASAHPSAPDSASAPRPLHCSADHRQVATLPMTLSCRQGSRRHRDSSPSMRDVTRFHLFVRRRRLRGPPQESRTAAVDRDEEGQAIIEIVARVGTPAEKGTGHSKLVRHYDSEASWNNLLPHDEAIFGYVIEARETKSSSHLLHKVKELVCFTFIAPIDMILICGRVRDARMIFEAMPSRNVVSWNAMIGGYAMHGDAENAVQLFRSMQSSEEKPDLVTFTCVLGACGQSGWTEEGRRYFNELSEPLRPERVSHMGKKQRKEC >ONIVA05G14500.1 pep chromosome:AWHD00000000:5:15514699:15516231:1 gene:ONIVA05G14500 transcript:ONIVA05G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLAITMELDSTDTSMELGRSSDPNISLQSRRHSYLEEIRSLPVVSAGGSSSSSRSARSSSYYSSSKSSGGSRHRYVPYSSSSRRLVRLEDKGPRDIARRMVRDGFMGKLVGEFGRAPISALDRWFSELDVGFVLPLALEKEKGELALDQFYNLAVQRWARGITVMAEALSATQRYLQEEGSTVEGPAVFVELPTAQVDRDDLRLVRFVEATVCKMLAFADALAADHTWHPMDKFSGLMDVRISISEVSEIVMLTLKNESLWLPDSEEMQSLINKIGNVFIHTKDNLDKATLTITNDAKAVTPVLSNHLEKKSESLSDPSLRYLFLLNNSYFLQDQIYNNFFMRDRFHAKSMTSYSLPSDKYRYYQNCYLDVSWDPMLSCLHGKMPLWFSKPSQLARFETEFQTTCRHQKLWKVPNPKLRQSLREAIIDKVITGPTGYKKYLEAHPEQ >ONIVA05G14490.1 pep chromosome:AWHD00000000:5:15483164:15484036:-1 gene:ONIVA05G14490 transcript:ONIVA05G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAQTLVSNVGQLLTEEYRQLSGVGSEVAELRDDLATMNALLRMQSEADDGAVDHFVREWMKQLRELAYDSEDCIDLYLLRIRCRLGDGARARLRHLLATLFPRRRLAGEIAALRARAVAISERHARYGVSRDALRRSQSPVTAASASPTQLLRHANNGGGAGHQQVVGIGDQVDALVERLKARADTESKVKVMSIVGFGGVGKTTLAVEVCRQLEADFQRQAMVSVSQAFEPSRDLKTLLKRVVEQVVKARTVNEENIKEEGALGEIDSLDDNKLAEKLEELVKDKR >ONIVA05G14480.1 pep chromosome:AWHD00000000:5:15475483:15477474:-1 gene:ONIVA05G14480 transcript:ONIVA05G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGPYEHGKQFNPSCQRTTAAAESLLPLGLRVWPKACSSSSVKGHYIHHMNPLKTEDSKKLFVSRAFGSSNATYPVELEDVMDKIVKKCGGLPLAIVSIASVLAGYRSAGCKDKWETICKSIGSQMESNPTLEGMRQILTLSYNHLPHELKGCLMYLSIFPEDYAIEKYRLLCRWIAEGLVLEKRGLTLMEISESYLEELVSRNMIELRFGFGYYWQVESCQVHDMLLEVMVSKSLEVNFVSLLGGQYASMSYDRIRRLSLQGDDNKSPHSLEKPKEKKAGRGIEGMDVEHVRSLSMFQHGGQKLLDHLVKFTLLRVLDLEGCEDLTDNHMRYICKLYLLKFLSLKGTNISKVPPQVDKLEHLQTFDLRDTNVIGLSEAVKRLYKLERIQTTQTWKAEFMWRLPRGLRKMKALREVGFAVLGNDIQVAQEVSELEQIQELSVYVETEYPGSDVVVEEFAKSLGKLYSLRRLIIGAIDMDKEALNFLHQLPTPPRLLRYLMIAGGMINKGLPTWVGSLTYLVHFNMSWAYLVRDQLFGVLYELPSLKTIGIQNMCYIDSELVSRTEHRFPGLTNLRVACSFDTFSAIRFEEGSMPMLETLSFNFSNRNRRIEGIEHLTSLTEVHLWGDKNNSRLGQALEQLKDENKRRRLESKNQFQIIVKYE >ONIVA05G14470.1 pep chromosome:AWHD00000000:5:15471834:15472809:-1 gene:ONIVA05G14470 transcript:ONIVA05G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKAIVCWSARSSRESVGIPLGRIRSAGIEPNVITWSGRARDADLVVIRIHGEEFLPGSTGALLCAFCGRGLGDVMNLPIGEHAAAWLCGWMLIDTYGKRDELMRLSGDSSHPMMAATTETLKHLTMEMRRLCFAPRTDHALNDVEEHEKGDILSVHRQHSEKLAVALAINNHKSWNTPSGDKELPNLCRLP >ONIVA05G14460.1 pep chromosome:AWHD00000000:5:15463339:15468756:1 gene:ONIVA05G14460 transcript:ONIVA05G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSELDTSEANFSLPSWRRSYSYLENFRSMSLVGSSSSRSARSSNYSSTVSSTDSGAGSHRRRCKTAAASGGSFLRVVGFQVPGPRDIASQMVRDGFVLALIGAFGRAPGPVLERWFSEHDVGWVLRPTDQQQEEEEAERLVWRWTRALTVMAHALSATQRHLHDERSAAAAVVGGVEFAISQPPHHTAAVDSSSDHELLLHGVGGGDDLCLVRFIDTTISKMIAFAAALATAAMANTQDSLGKAIRKMATDAEAVTPVLSAMDSWEKFTQNEGIHRATWLIVDYTSLFWGYRRVLESILCCYRSESSQNCWEIVQSLIEQMIITFLDQLEKKSQSFSDPSLRYIFLLNNSYFIQDQFLATNTDYSFPSSKGIRYWHYQNSSSGVHNNKKISFSQLFPLPLSSTTDAFPIPLSRDGRRSSPWATTAGPGGVAAPTPGRPDLTPGGGGGARRSCGGGAGARVAGWPDLTPGGSDDGAMRSCGADVGARSEFQKACKHHKLWKVPNTEHTETHSEKPLCRVLLV >ONIVA05G14450.1 pep chromosome:AWHD00000000:5:15459590:15461825:1 gene:ONIVA05G14450 transcript:ONIVA05G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPSGGIVRDVLPPLLKRLDAVGQLFFGPNFAPEPDTDGVLEMIGKEIKYLDYWCRETWEMILHEAKDPQNNCLHGYLSSSLSARVDHLRQLQIALMASQDRVETLRLVEEMRGEVDELLEEIARDMGNKLSGFLKDRGLEPFQAHLMRLRMLLSDTITRDLSEAKILLAKIRGDVASFEDAFHEINQSQKAVEGLFGTVEQLVDDLLSADDSLKRLVSKLSSVDRQVAVIAGRIGESFRLSPAEVTTTTAGRPGAHQVAAAMSKPTGLPPQQQLADEWCGVQHHLRLAINSLDTRLKRCLLCLAVFPENTVVKKRLLIHWWIGEGMVKSVPEGKEVFDQLAVDRGFIAPLARPSCDRFHSCMVPPWVRRLLVSSARSSAFLDLDARGNPKNSLARMRRACLSAGKPSHGFRADVHTIYNVDQRYIVLDQTWFKGLEALSTLQLGTWRDHDYDPVAHHIELTNEALLRDVGACRNLRYLSLRGISRIDVLPDSVGKLCNLVILDLRSCHNLVVLSKEIKSLVSLEYLDVSGCYLLAEMPKGIGKLTKLQVIKGFVVANSNSKDPCRLNEMRNLTNLRKLSVVIGATARPEEGELRVFADLGALLTLTVTWGGLTLEKAATRSTAAAACTAFALPPGLNKLELRCYPLPEFPSWADPDVLTSLKKLYIRGGMLRALGENSGWKVQILRARFLKHLNYSSSVLRSTYSELKWLEVCGCVNVQPWPACDNGLPNTFQIHVC >ONIVA05G14440.1 pep chromosome:AWHD00000000:5:15411533:15414451:-1 gene:ONIVA05G14440 transcript:ONIVA05G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNASLAWPPTWDDGDYDEPREVLRRVADVERIVQILQGCDGQEADDEELLVQGSFVLPLVGPPGIGKTTLAKLVFQHPWAASAFDVRCWVDWPRGHYFSEPRELPVQLARWLRAIAEDKSASWCVKMKKASHRRDPELSRNWLLSLKFLVVIDDAWDVAGDEQLAQFVEAFRGARPGTRVVVTTRNLGDGETTEPIIGGSLEPYVVPRLPTEEAWELFARGSLLLPAAAPAVPDHDRLQLALAAVAKEILLLCGGIPFLISHFDDVLRGEERAPGAWQRVLDEFETVCNRCEAFFAWNNHIFNVLQPQFQSCVLHCAPFPRSHTFDPEELINLWVAEDNLTPQSRYNLMSGAPDSFGAVLDEWFYPIHKPPLPLVDGSNSNHRSGVLAARYKMRPHLHLALQRIPYWTATIIPESRWINPSVREVYLLVDSKASTFPDALIKHLHLEKLVLLPEEDMLTSVDQRCEIRRLPKRLCRRTKLLVLDCRATRIKELPSEFGMLQYLRYLNLSRTDLVALPESISNLVHLKYLSISRTSISAVPEFLGMLASLEVLDLSHCEELVEIHTGALGRLVRLEILYLQGCYCLPGLPLDISSMTTLVHLNTQQCSSLTQMPMGMSQLANLEVLSGFVVSQENGGQAISELKSLGNLKELAVERLGNVLQVQHAMDARISEKHGLKSLSLQWGTDTDDDRSVAEVEVFESLQPNQGLKTLEILSYTGSKLPQWLTARQQQHNGLNSLVRIKLFNLTACQTLPPLGQLPHLKITEISGMDSIIYIDDSFYGVHGTFPSLEELVFSHMCNLAAWPHFQRKGMFPRLTELTIIQCPRFALMCMELKHVRKVSLLMSNRLLHRGGLQGVARSTRDVSISLSRELSASDGCEALQELGCIERLEISACHELAALPEGMRYLVSLRALRVANCARLQSLPQWLSSFPSLVSLHVVDCSALKSLPEGLRKRPNMQVRVERCPELH >ONIVA05G14430.1 pep chromosome:AWHD00000000:5:15395317:15395849:-1 gene:ONIVA05G14430 transcript:ONIVA05G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDQEQTIHLLAGDSSHPMMAAITDKLRRLTIDMRRLGFNLHPARTMSCMMWKGKIKMTSFLTKSWNTPLGVIKNLWICGNCHEVMKFISCF >ONIVA05G14420.1 pep chromosome:AWHD00000000:5:15390327:15391198:1 gene:ONIVA05G14420 transcript:ONIVA05G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSATLEPEDQPVLLPSATLDIQTAVQIEDQPIILPSTHPDQIEGQPIILPSTHPDLLENQESATNFVQQIQTGQARVHIPPTHEDLSQPIGSEPVLDLNVDDDPAPEQYVYIPGVSKKKRTHTFFSTNAI >ONIVA05G14410.1 pep chromosome:AWHD00000000:5:15386067:15387422:1 gene:ONIVA05G14410 transcript:ONIVA05G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLATVSELESTESADFSLPPRKNNRYLEKIRCLSVVSAGPLTVLERWFFELDVGWVLRQSADQEVADDGVAASRWMRGFTVMAHALAAMHRDLHDERSTAEGPMFAMKSPTATEQARDRDDDDLRLARFAEASVTSMLAFADGALLLVAGRRRPMDKLSQLMDLHSCISDVSEILMTWLEQEAGRLVDSAEMQVLFDKTNDVFSRTLGNLAGAIWRMAKVAEAVTPVLSGMDSCQNLPQNAEIHKDTHLIVDYARLFWKYQTVLEDVLRSYYSNDDPEDQTQYFTALIAQMITNLEQHLEKKSESFSDSSLRYMFLLNNSHFIQDQFIASTDYSLAWKAGLKHEQYQESYMLVSWEHVLHCLHYDKMPLWFPKHSSPVARFKSEFEKTCRHQKLWKVPNPKLRKSLREDIIDKVINGFKRYLEDHPDQKKCSSDQQDMEDMVNELFEG >ONIVA05G14400.1 pep chromosome:AWHD00000000:5:15375390:15377741:1 gene:ONIVA05G14400 transcript:ONIVA05G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMMTSSSTACVVLALAFVLLAVTPTLCYVTDGATRRRGASTSRRHGEARTYIVLVEPPDADGDDDEAAHRRWHESFLPGGGGGGGGEERASPTRIRHSYTGVVSGFAATLTRGELAAVSRRRGFVRAFPERRLPLLTTRSPGFLGLTPERGVWKAAGYGEGVVVGLLDTGIDAAHPSFRGEGMPPPPARWKGACTPPARCNNKLVGAASFVYGNETGDEVGHGTHTAATAAGRFVDGVSAFGLAAGTASGMAPGAHLAMYKVCNGQGCFESDVLAGMDAAVKDGVDVLSISLGGPSLPFDKDPIAIGAFGAMSKGIAVVCAGGNSGPTHFTLSNEAPWMLTVAAGSVDRSFRATVRLGDGEAFDGESLSQDKRFSSKEYPLYYSQGTNYCDFFDVNVTGAVVVCDTETPLPPTSSINAVKEAGGAGVVFINEADFGYTIVVEKYYGLPMSQVTAGDGAKIMGYAAVGSPAASHNATIVFNSTVVGVKPAPVVAAFSSRGPSAASPGVPKPDIMAPGLNILSAWPSQVPVGEGGESYDFNVVSGTSMATPHVTGVVALIKKLHPDWSPAMIKSAIMTTSSAVDNGGHAIMDEEHRKARLYSVGAGHVDPAKAIDPGLVYDLAAGDYAAYICALLGEASLRTITGDAAATCAAAGSVAEAQLNYPAILVPLRGPGVEVTVNRTVTNVGPARARYAAHVDAPGSGTTTTTTVKVEPAELVFEEAMERKTFAVTVTASGGGGAGGGGHVVAEGSLRWVSRRHVVRSPIVADSSVGSPSRRSAQDA >ONIVA05G14390.1 pep chromosome:AWHD00000000:5:15369462:15370867:-1 gene:ONIVA05G14390 transcript:ONIVA05G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESLQCKVLALRVSIHCEGCKKKVKKVLQRVEVSSFIGEASVETDFFPFSFHFKKAEKNVTKTDRIMCSVNSEGVYRCDVDGRSNKATVTVTGKVSADTLVRKLRRAGKHAEQWPEEQQQQQQQPNGGQCQEETKNQAAEPGMSGEPAEPEKPASGDAAEPSDPKVTHEEPKKVAGEGAAAVAPAEDGGTEITDANVSESAGDGGGGGGGGGVETVKAQQPSEPKRRRKQQPPQQQQEEKAGEATLATAAAAAASTQGNHTSHHFPAAPLQQQPVHVMSYNVARPSSSAAYYAAARPASAARPPPPLPPAPPQEHSYAYSPYYSQSQPSPYRYGGYYSYYYYGGGGGGGQRTPQRSAASPARNSYGDLFSDDNANSCSVM >ONIVA05G14380.1 pep chromosome:AWHD00000000:5:15360209:15361123:1 gene:ONIVA05G14380 transcript:ONIVA05G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRACPAMPPETPAAAARLVAVRGPQRPLRETEELPRLGGGAPPSPPTSEATTVAGHSGGRWQAGRWQHQSRQLFLCLLDCLAGKIYASKC >ONIVA05G14370.1 pep chromosome:AWHD00000000:5:15357208:15359929:1 gene:ONIVA05G14370 transcript:ONIVA05G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HDG9] MARGLKKHLKRLNAPSHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNRLKYALTYREVISILMQRHVMVDGKVRTDKTYPAGFMDVVSIAKTGENFRLLYDTKGRFRLHSIKDEDAKFKLCKVRSVQFGQKGIPFLNTNDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKSREKHKGSFETIHVEDALGHQFATRMGNVFTIGKERKPWVSLPKGKGIKLSIIEEARKRNAEAAAEA >ONIVA05G14360.1 pep chromosome:AWHD00000000:5:15351357:15351653:1 gene:ONIVA05G14360 transcript:ONIVA05G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDDGGFLGMEASGCGLKGGGGQRWAASLEAGAEVDSVPSLVEVEVDRCGPPGRRGGRRWWLLCPSRAGRRGKPAMVHLRLQMVVENVEEQPNPRG >ONIVA05G14350.1 pep chromosome:AWHD00000000:5:15329218:15340114:1 gene:ONIVA05G14350 transcript:ONIVA05G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDVKAPRPGCGGDDGGAAAASLSARGEEEEEGAVVGGEDEQVERFYALLANIRALRGMYSRYNGEEGAAGGDGDGASGRKRARRAEPPWRPAFRMEDFEFEEAAAGAGDDDAACSGRTTKKQRSGGGGHGAAVEKRRTEKEAAAAAAEDDDDEQEGGEVVEGKEEHRPGRRVEAHGPTDHWSRRSRSHVFNLQKMVSCSYNAIIDSPHQIRPNLSRSSKIGLGYFLRSTIFININMNVGNGEAAGGGGEKKAASVAPRDAGDAPSSPRAAVGGEQQSSAAAASSGDGVAAAANVDRSGGVAAAGEAEEDEDDEQRAVERFYALVANVRAMRGMYRSSGDGASADSATGGNAGGGGERKRARRADQPWRPVFRMEDFADDVAGGAATTTTRSDDGARAPPIEIEDLQLVFDLEDSLFLDVEAGGVEPLMELGSL >ONIVA05G14340.1 pep chromosome:AWHD00000000:5:15313484:15313981:-1 gene:ONIVA05G14340 transcript:ONIVA05G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSRWEKEARWEMAGEQSSYAVAAATTTATRSSTTTPVLLTGSRHRRRGDMAAAGREQDIKIGENGRTPQWSSSISKIMNGNDARMRRPLQIGPQLLPMQCAGEHIHSLFKPTGGGAPLLYTC >ONIVA05G14330.1 pep chromosome:AWHD00000000:5:15312710:15314668:1 gene:ONIVA05G14330 transcript:ONIVA05G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTDAEMVMGTAQVLGNPVSGLKPSRSSGNRGWAEQRRKRGKSRQKEGKVRRLATGDGEAGELYKSGVGVGLVVATRRGEAGVGVALSEASRCGNVEQSVGAAAQYLFQRRSNVPNEAARYLSRILDAEWVKQWCGSSPARSN >ONIVA05G14320.1 pep chromosome:AWHD00000000:5:15311573:15312370:1 gene:ONIVA05G14320 transcript:ONIVA05G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHLPPEPADERHHHNHGGKAAVHADDLKPGGRRPRRYGYYYGGGDGYCAGDPARTLCFVVLVVILLAGITALVLYLVYRPSRPAFAVNSVAVYSLSLNDTGNGAGAGGPATLAVSFQLTLVIRNPNGRSAARYDRLAAYVAYRGEPITAPAPMPPLVQDADSAVAVAPVLGAAAPPVPVSPDTAAALATDVSYGVVALRVVVLGRVRFVSGPFRSGWHSMYARCDLLVGVRKSLQAAGGGGGGAGAGPEAPLLGNPTCAVDM >ONIVA05G14310.1 pep chromosome:AWHD00000000:5:15306741:15308750:-1 gene:ONIVA05G14310 transcript:ONIVA05G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGATWTRRLHLHGLFLAVLLLLTLPAGSTAAAGGGGGTVIGIDLGTTYSCVGVYRNDRVEIIANDQGNRITPSWVAFTGGGERLIGEAAKNQAAANPGRTVYDAKRLIGRRFADAEVQRDMRLLPFAVVDKGGKPHVRVEVRGGDVRLLSPEEVSAMVLARMKETAEAYLGEEVTRAVVTVPAYFNDAQRQATKDAATIAGLAVERILNEPTAAALAYGVGKEGAGGKNVLVFDLGGGTFDVSVLAIDGGVYEVLATNGDTHLGGEDFDQRVMEHFVELVRRKHGRDIAGDARALGKLRRECERAKRALSSQHQVRVEVESLFDGVDLSEPLSRARFEELNNDLFRKTMAPVRKAMADARLSNADIDEIVLVGGSTRIPKVRQLLRDYFGGKQPNQGVNPDEAVAYGAAIQANIVGGDTDNKTRDMVVLDVTPLTLGLETAGGVMATLIPRNTPVPTKRAQLFSTYKDKQTTVTVKVFEGERSMTRDNRLLGRFDLAGIAPAPRGAPQIEVAFEVDADGILSVSAADRATGRSERITISGDDRKTSREEIDRMVGEAEEFADEDRRHRERAGARNSLEAYVYGVKNAVVGGEMAGATDGGEKEKVEAAVMEAYEWLDGNQDVGKEEYEEKLRELEDVCNPVMSAVYQRSGGSRRDGDGGGDDDHDEL >ONIVA05G14300.1 pep chromosome:AWHD00000000:5:15293338:15296681:-1 gene:ONIVA05G14300 transcript:ONIVA05G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPAMSHSSAFLLPSPSAAAAGADADGAAYALLVLNQRLPRFAPRLWDRAQVRVCADGGANRVFDGMPELFPGQDPDEVRRRYKPDVIKGDLDSVRPEVKEYYSNMGTQIVDESHDQDTTDLHKCVAFITENSAIPNKSNLCIFALGALGGRFDHEMGNINVLHLFPNNRIILLSDDCLIFLLPRTHTHNIHIERSIEGPHCGLIPIGAPSATTTTTGLQWNLDNTSMSFGGLISTSNIVREESTVVTITSDSDLIWTISLRHHS >ONIVA05G14290.1 pep chromosome:AWHD00000000:5:15286405:15289614:-1 gene:ONIVA05G14290 transcript:ONIVA05G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQVRKHEGSHGKVDAPPPKKPRSTERPLAPLAAATTTAKPRRDVVRPAARKSSASSDRGPVAPGSDLETEGYRPLTPSPPFASISSGNAFDTVVFLPADSDGLGCLTPDSTKATLQQDDYGKGEYSPGVVLSKTDSVVKMAVADVQDLPVEDLRDNEVITVDSEGPGMPLQSIPLVQDKDAIQLARTFVNEKVKELMEGVSEPGVLQSRLAKITSFLVQATSIAAGLHDEVPLQIRGQTAALVTQISGLEQQVEELSKKLCSTEDELEVTNAMLKETQAAMLEAQSDRATAITAMNSLAMRMGASFARLGTILDPPPNAADSLEKSIKQMTALVSLLGPVSHSHSLSLARSSLTVGIAALLCRERGIEGLREPSGMDTRQFVRSQGPEFHSLISQVVDSMEQRLAKTVEGSGWRDSGATKEPGKPADSNKGTMPEPH >ONIVA05G14290.2 pep chromosome:AWHD00000000:5:15286403:15289614:-1 gene:ONIVA05G14290 transcript:ONIVA05G14290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQVRKHEGSHGKVDAPPPKKPRSTERPLAPLAAATTTAKPRRDVVRPAARKSSASSDRGPVAPGSDLETEDSDGLGCLTPDSTKATLQQDDYGKGEYSPGVVLSKTVADVQDLPVEDLRDNEVITVDSEGPGMPLQSIPLVQDKDAIQLARTFVNEKVKELMEGVSEPGVLQSRLAKITSFLVQATSIAAGLHDEVPLQIRGQTAALVTQISGLEQQVEELSKKLCSTEDELEVTNAMLKETQAAMLEAQSDRATAITAMNSLAMRMGASFARLGTILDPPPNAADSLEKSIKQMTALVSLLGPVSHSHSLSLARSSLTVGIAALLCRERGIEGLREPSGMDTRQFVRSQGPEFHSLISQVVDSMEQRLAKTVEGSGWRDSGATKEPGKPADSNKGTMPEPH >ONIVA05G14290.3 pep chromosome:AWHD00000000:5:15286405:15289614:-1 gene:ONIVA05G14290 transcript:ONIVA05G14290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQVRKHEGSHGKVDAPPPKKPRSTERPLAPLAAATTTAKPRRDVVRPAARKSSASSDRGPVAPGSDLETEDSDGLGCLTPDSTKATLQQDDYGKGEYSPGVVLSKTDSVVKMAVADVQDLPVEDLRDNEVITVDSEGPGMPLQSIPLVQDKDAIQLARTFVNEKVKELMEGVSEPGVLQSRLAKITSFLVQATSIAAGLHDEVPLQIRGQTAALVTQISGLEQQVEELSKKLCSTEDELEVTNAMLKETQAAMLEAQSDRATAITAMNSLAMRMGASFARLGTILDPPPNAADSLEKSIKQMTALVSLLGPVSHSHSLSLARSSLTVGIAALLCRERGIEGLREPSGMDTRQFVRSQGPEFHSLISQVVDSMEQRLAKTVEGSGWRDSGATKEPGKPADSNKGTMPEPH >ONIVA05G14290.4 pep chromosome:AWHD00000000:5:15286405:15289614:-1 gene:ONIVA05G14290 transcript:ONIVA05G14290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQVRKHEGSHGKVDAPPPKKPRSTERPLAPLAAATTTAKPRRDVVRPAARKSSASSDRGPVAPGSDLETEDSDGLGCLTPDSTKATLQQDDYGKGEYSPGVVLSKTVSLLSFENSPFYEGDSVVKMAVADVQDLPVEDLRDNEVITVDSEGPGMPLQSIPLVQDKDAIQLARTFVNEKVKELMEGVSEPGVLQSRLAKITSFLVQATSIAAGLHDEVPLQIRGQTAALVTQISGLEQQVEELSKKLCSTEDELEVTNAMLKETQAAMLEAQSDRATAITAMNSLAMRMGASFARLGTILDPPPNAADSLEKSIKQMTALVSLLGPVSHSHSLSLARSSLTVGIAALLCRERGIEGLREPSGMDTRQFVRSQGPEFHSLISQVVDSMEQRLAKTVEGSGWRDSGATKEPGKPADSNKGTMPEPH >ONIVA05G14280.1 pep chromosome:AWHD00000000:5:15279631:15281604:-1 gene:ONIVA05G14280 transcript:ONIVA05G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Ribosome biogenesis protein Nop16 (InterPro:IPR019002); Has 104 Bla /.../s to 104 proteins in 57 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 63; Plants - 35; Viruses - 0; Other Eukaryotes - 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G02870) TAIR;Acc:AT1G02870] MGGSRRKLKRSRAKVKVGLPRKKPREFKPAFDLPEALAAAAAAEGGGHAPSWDAEGSVVKNYAAFGVVANPNLLGAHARGTPRLVQSAPLQAPDIDAARAPVDEFEPVDSGSDLESDDLKSALGKQRRDGKSAPLEPLTKVQRIYIGRLIEKHGDDYKAMFMDIKLNTMQHSVGTLKKLCERYHVVGKSIIHPLK >ONIVA05G14270.1 pep chromosome:AWHD00000000:5:15275129:15277231:-1 gene:ONIVA05G14270 transcript:ONIVA05G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >ONIVA05G14260.1 pep chromosome:AWHD00000000:5:15269444:15271149:-1 gene:ONIVA05G14260 transcript:ONIVA05G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAARRGARRSRARGLLLLAAAAAVAVVVVVAAAAAGEEERHHQQQQQPGGTFEFNLPGHGNVKASWSVADDEESRWLDRLAADAESSSSSSSSSAAGGGGGGHRIPFGDDSVKFGSDAYEFIADLRRQGTDDGEGEKPTGYWEKVDEEGSRMLDRIAANARHRAEANGDDDSIGGFVHNVFSGKSKQHGEEPSHVESDKEFSNMLIRGVNCQLLSRKLEGSLSTKARRLTCISLKV >ONIVA05G14250.1 pep chromosome:AWHD00000000:5:15253900:15269038:1 gene:ONIVA05G14250 transcript:ONIVA05G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTSTCSSFSLLLLLLLLAAAPWRSGEAAAATTAARALNFTRQDFPGEFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGKMPDKSTGDMGAGGYHRYKEDVKLMSDTSLEAYRFSISWSRLIPRGRGPVNPKGLEYYNSLIDELVERGIEIHVTLYHLDFPQILEDEYHGWLSPRVIDDFTAYADVCFREFGDRVRHWTTMDEPNVLSIAAYDSGAFPPCRCSPPFGANCTAGNSTVEPYVVAHNSILAHASVTRLYRDKYQATQKGFVGMNIYSFWNYPFSSSSADIAATQRALDFMVGWILDPLVYGDYPEIMKKKAGSRIPSFTEEQSELIRGSADFIGINHYTSVYISDASNGETVGPRDYSADMAATFRISRNDTPSGQFVPTRLPRDPKGLQCMLEYLRDTYQGIPVSGISVRTTILSMTQIENGANVKGYFVWSFLDVFELLAGYHSPFGLHYVDFEDPNLPRQPKLSAHWYSKFLRDSHATAEAALNFTRQDFPGDFVFGAGTSAYQYEGATGEDGRTPSIWDTFTHSGRMADNSTGDRAAAGYHKYKEDVKLMSDTGLEAYRFSISWSRLIPRGRGPINPKGLEYYNDLIDKLVKRGEICDCSMGIEIHVTLYHLDFPQALQDEYNGWLSPRIIEDFTAYADVCFREFGDRVRHWTTVGEPNVLSIAGYDSGVIPPCRCSPPFGTSCAAGDSTVEPYVAAHNSILAHASAVRLYRDKYQAKQKSVVGTNIYSFWPYPLSRSCADIDAVQRVLDFTIGWILDPLVYGDYPEIMKKQAGSRIPSFTKEQSELIRGSADFIAMDRTAKRQAFGIIMLIWLHTSEYAPSKTLSDPKGLQCMLEYLKDTYEGIPVYVQENGKFSNSISIHVQPNGFGQFDKEDSLNDTERVEYLSSYMGGTLAALRNGANVKGYFVWSFLDVFELFAGYHSPFGLHHVDFEDPSLPRQPKLSAQWYSKFLRSEIGINIEKIISPDEHEHAYYQ >ONIVA05G14250.2 pep chromosome:AWHD00000000:5:15253900:15269038:1 gene:ONIVA05G14250 transcript:ONIVA05G14250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTSTCSSFSLLLLLLLLAAAPWRSGEAAAATTAARALNFTRQDFPGEFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGKMPDKSTGDMGAGGYHRYKEDVKLMSDTSLEAYRFSISWSRLIPRGRGPVNPKGLEYYNSLIDELVERGIEIHVTLYHLDFPQILEDEYHGWLSPRVIDDFTAYADVCFREFGDRVRHWTTMDEPNVLSIAAYDSGAFPPCRCSPPFGANCTAGNSTVEPYVVAHNSILAHASVTRLYRDKYQATQKGFVGMNIYSFWNYPFSSSSADIAATQRALDFMVGWILDPLVYGDYPEIMKKKAGSRIPSFTEEQSELIRGSADFIGINHYTSVYISDASNGETVGPRDYSADMAATFRISRNDTPSGQFVPTRLPRDPKGLQCMLEYLRDTYQGIPVYIQENGKNGANVKGYFVWSFLDVFELLAGYHSPFGLHYVDFEDPNLPRQPKLSAHWYSKFLRDSHATAEAALNFTRQDFPGDFVFGAGTSAYQYEGATGEDGRTPSIWDTFTHSGRMADNSTGDRAAAGYHKYKEDVKLMSDTGLEAYRFSISWSRLIPRGRGPINPKGLEYYNDLIDKLVKRGEICDCSMGIEIHVTLYHLDFPQALQDEYNGWLSPRIIEDFTAYADVCFREFGDRVRHWTTVGEPNVLSIAGYDSGVIPPCRCSPPFGTSCAAGDSTVEPYVAAHNSILAHASAVRLYRDKYQAKQKSVVGTNIYSFWPYPLSRSCADIDAVQRVLDFTIGWILDPLVYGDYPEIMKKQAGSRIPSFTKEQSELIRGSADFIAMDRTAKRQAFGIIMLIWLHTSEYAPSKTLSDPKGLQCMLEYLKDTYEGIPVYVQENGKFSNSISIHVQPNGFGQFDKEDSLNDTERVEYLSSYMGGTLAALRNGANVKGYFVWSFLDVFELFAGYHSPFGLHHVDFEDPSLPRQPKLSAQWYSKFLRSEIGINIEKIISPDEHEHAYYQ >ONIVA05G14240.1 pep chromosome:AWHD00000000:5:15240322:15247346:1 gene:ONIVA05G14240 transcript:ONIVA05G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGASSIMEDANGVVDLDVDIVGLESGAACSKFVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDAAALMDAAVSDNLDRLLKKKRVTDHWRKYISPLMWRCQWLELRMKDLQSQVSRYDRQLAVLKHEKELQTKMIELDCSSSRSVPFSSHCCRKTMKRRRRKRNEEKMNASSYISNHTVFSYYEKTEADAFSIDDDEDTADENTTVNNETDWLLGIKRGEATVEQILLSIQSAQDKVLSLRSSLKKAMAKKSKGAILKVNTHMNGAQSSSCSPGKGKVLERSPRDMSDCDMDDAAMPESALSSYGEANDMDIFESTMSLLSAEVPHQMGEFHQSSEDVLIDNQAAEEGYQNFEVISHPCKRLRVSVKRESGAHSEDESVAPTVSVKKEAQEEATTSFGLQAAFFKPGYTGKRRRRMPKIQRRGGSSASPFSSWISSRIRKKRQF >ONIVA05G14240.2 pep chromosome:AWHD00000000:5:15242164:15247346:1 gene:ONIVA05G14240 transcript:ONIVA05G14240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGASSIMEDANGVVDLDVDIVGLESGAACSKFVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDAAALMDAAVSDNLDRLLKKKRVTDHWRKYISPLMWRCQWLELRMKDLQSQVSRYDRQLAVLKHEKELQTKMIELDCSSSRSVPFSSHCCRKTMKRRRRKRNEEKMNASSYISNHTVFSYYEKTEADAFSIDDDEDTADENTTVNNETDWLLGIKRGEATVEQILLSIQSAQDKVLSLRSSLKKAMAKKSKGAILKVNTHMNGAQSSSCSPGKGKVLERSPRDMSDCDMDDAAMPESALSSYGEANDMDIFESTMSLLSAEVPHQMGEFHQSSEDVLIDNQAAEEGYQNFEVISHPCKRLRVSVKRESGAHSEDESVAPTVSVKKEAQEEATTSFGLQAAFFKPGYTGKRRRRMPKIQRRGGSSASPFSSWISSRIRKKRQF >ONIVA05G14240.3 pep chromosome:AWHD00000000:5:15240328:15247346:1 gene:ONIVA05G14240 transcript:ONIVA05G14240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGASSIMEDANGVVDLDVDIVGLESGAACSKFVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDAAALMDAAVSDNLDRLLKKKRVTDHWRKYISPLMWRCQWLELRMKDLQSQVSRYDRQLAVLKHEKELQTKMIELDCSSSRSVPFSSHCCRKTMKRRRRKRNEEKMNASSYISNHTVFSYYEKTEADAFSIDDDEDTADENTTVNNETDWLLGIKRGEATVEQILLSIQSAQDKVLSLRSSLKKAMAKKSKGAILKVNTHMNGAQSSSCSPGKGKVLERSPRDMSDCDMDDAAMPESALSSYGEANDMDIFESTMSLLSAEVPHQMGEFHQSSEDVLIDNQAAEEGYQNFEVISHPCKRLRVSVKRESGAHSEDESVAPTVSVKKEAQEEATTSFGLQAAFFKPGYTGKRRRRMPKIQRRGGSSASPFSSWISSRIRKKRQF >ONIVA05G14240.4 pep chromosome:AWHD00000000:5:15240322:15243865:1 gene:ONIVA05G14240 transcript:ONIVA05G14240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGASSIMEDANGVVDLDVDIVGLESGAACSKFVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDAAALMDAAVSDNLDRLLK >ONIVA05G14230.1 pep chromosome:AWHD00000000:5:15225061:15230958:1 gene:ONIVA05G14230 transcript:ONIVA05G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRPLHLLLVFLSSPWLLLLLLLLLVVQGMSSLQFTRDDFPHDFAFGAGTSAYQYEGGAAEDGRTPSIWDTYTHSGRHPEDETGDVASDGYHKYKEDVKLMSEIGLEAYRFTISWSRLIPSGRGAVNLKALQFYNSMINELIHVVMYHMDLPQSLQDEYGGWISPKIVDDFTAYADVCFREFGDRVVHWTTVLEPNAMAQAGYDMGILPPNRCSYPFGSNCTAGNSSVEPYLFIHHSLLAHASAVRLYREKYKVAQKGIIGINIYSMWFYPFTDSAEDIGATERAKKFIYGWILHPLVFGDYPDTMKKAAGSRLPIFSNHESEMVTNSFDFIGLNHYSSVYTSNNNNVVKAPLQDLTADVATLFRVTKNDTPTPVFVPGTIVDPRGLEHALKYIREKYGNLPIYIQENGSGSSSETLDDVERINYLAKYIAATLKAIRSGANVKGYSMWSFVDLYELFGGYSTWHFGLVAVDFDSEKRRRQPRRSASWYSEFLKNNSVIRVEEDGFVSAASHAQL >ONIVA05G14230.2 pep chromosome:AWHD00000000:5:15225061:15230958:1 gene:ONIVA05G14230 transcript:ONIVA05G14230.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRRPLHLLLVFLSSPWLLLLLLLLLVVQGMSSLQFTRDDFPHDFAFGAGTSAYQYEGGAAEDGRTPSIWDTYTHSGRHPEDETGDVASDGYHKYKEDVKLMSEIGLEAYRFTISWSRLIPSIQIHVVMYHMDLPQSLQDEYGGWISPKIVDDFTAYADVCFREFGDRVVHWTTVLEPNAMAQAGYDMGILPPNRCSYPFGSNCTAGNSSVEPYLFIHHSLLAHASAVRLYREKYKVAQKGIIGINIYSMWFYPFTDSAEDIGATERAKKFIYGWILHPLVFGDYPDTMKKAAGSRLPIFSNHESEMVTNSFDFIGLNHYSSVYTSNNNNVVKAPLQDLTADVATLFRVTKNDTPTPVFVPGTIVDPRGLEHALKYIREKYGNLPIYIQENGSGSSSETLDDVERINYLAKYIAATLKAIRSGANVKGYSMWSFVDLYELFGGYSTWHFGLVAVDFDSEKRRRQPRRSASWYSEFLKNNSVIRVEEDGFVSAASHAQL >ONIVA05G14230.3 pep chromosome:AWHD00000000:5:15230792:15239454:1 gene:ONIVA05G14230 transcript:ONIVA05G14230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKVYYVCKGSTSGWRRAGSRSKRHPSIPCAGGSNGTPHAPIDRTHPSIPCTGSGTLDGTLDDVERIDCLAKYIAATLKAIRNGANVKGYSVWSFMDLYELFGGYNTWHYGLIAVDFSSAERRRQPRRSASWYSDFLKNNAVIRVEDGSSLLMLNSECCLSQVPNHMNGVNLNEYSMWSFMDPYELFGAYNTGHYGLVAVDFNSAERRRQPRRSASWYSNFLKNNAVIWVEDGSFVSATSHALNFECCHSQTILLSQ >ONIVA05G14220.1 pep chromosome:AWHD00000000:5:15213853:15218034:-1 gene:ONIVA05G14220 transcript:ONIVA05G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKMENGQQQQQEVRRRRNGEVVVDGSEILQLVENKEAFGKFVEQKFRLLDADGDGRLSVRELQPAVADIGAAIGLPARGSSAQADHIYSEVLNEFTKGKKESVSKSEFQRVLSDILLGMAAGLKRDPIVILRINGEDLNEFVESPRYEPEMAAIFSQVESGNSTLQQCMLAAIRQLTVDHGMPPASDSWVMENIIEPALQELHGDNLEQPVTQEVFFQEFRKFLAILTQRLQGHPVIVAHTENTFDGNGIKKLLSNKLELDKLLDCVWRGVPKEKDRTAKQYIRVAFDRMADSINLPPYGAVEQVDAVVDEAFKMAKAEDGKAVDETEFKKLLTEILGAVMLQLDGNPISVSTNSVLHEPMSTSSTLLSPSPPSPMMLGNGKLGDQ >ONIVA05G14210.1 pep chromosome:AWHD00000000:5:15209750:15209974:-1 gene:ONIVA05G14210 transcript:ONIVA05G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCSSKSKKAKNQKGNSNAEAKATTTTAGQQPPAAASTCGMSARASRRTNNDVMAAVTAGSLSLCVLGGPCYF >ONIVA05G14200.1 pep chromosome:AWHD00000000:5:15203229:15206890:-1 gene:ONIVA05G14200 transcript:ONIVA05G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAESNGGNSSAAATTASNGGRRRHELVPKLRLSPGYHFVPSDEELVDFYLRGKIEQRRPPMDFINEVDIMSFDPVKLIEKYKGYGENRWYFFTVRKPSKTKKKDEPNRKVVVDGVEEGSWSATGSVAYICGKDHETVIGTKRVLTYKSARSAEEDKWSMHEYVMLDKSQLKQTYEAEKKAQEEEERGVKRKRTATRKRRKGDIDQTTSQEQEDQQQETPPPGDPHDQSVVDAPYYSTQMALGGEEEVAPVPWCADCMAQPDRIEYPAVWYNQQEQQPSQLVDRSMMTQGYIGDLYYIQNQFDQQQAHDHGSINAFDEALDQCHDTNLAWDNAGIYPGNNLLDGNLDDDTQDQFGNQATLGALTGELEYGTGYQFHDALQATPGSDDASAQSMGIQPAAGHSMGDDDETCCNDDLSSLLADISRILLDGNGVINNEGNPEGSNQGLHICKDGHQWPLEESTMPTVECVLQHPGESA >ONIVA05G14190.1 pep chromosome:AWHD00000000:5:15174592:15175711:1 gene:ONIVA05G14190 transcript:ONIVA05G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAQAQFCYVVAVLLILLKVLIASLTNSPQAARGRGVAARLGGGSGSGAAAEQDASHGGGMAVE >ONIVA05G14180.1 pep chromosome:AWHD00000000:5:15170732:15171244:-1 gene:ONIVA05G14180 transcript:ONIVA05G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVPSANRTLIIFSRLFNVRNCFCFAAGKSDAARGGDRKKNVRANKEINQPPATSTGGKRRLATTPAAATTRADVRPKLQPTTSSRPAAGAAAVRADTKPRKHPAAATARAHRGVEHRRRAASRQTTPAKDESANFMLMTFTTLIFLM >ONIVA05G14170.1 pep chromosome:AWHD00000000:5:15162695:15163123:1 gene:ONIVA05G14170 transcript:ONIVA05G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATTACMPRLVRGGCRASTARPAASVSLLERIRDVVLRLIMLSAVSKASVKRTGGGKASSSSSPRAAPPPPVMTSCRRDDSIRNEAVEDCIEFLKRSSAEGDAAKLSSVTAAETVAVARDLAMATTKRRRRRARSPPTTR >ONIVA05G14160.1 pep chromosome:AWHD00000000:5:15154594:15155016:-1 gene:ONIVA05G14160 transcript:ONIVA05G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLATVVVSGELRAGVSSGHHGSARRQEEVDAAAAAVLGVDKAGLTMLLGNLIDIPAIEARSQAKGMFPPGQTRSRSASRTCCSRRRTPLCPWLPCSCIASFLPGSQDDPPFRGLHVGDIQVHPLVEKLFLLPVGNPF >ONIVA05G14150.1 pep chromosome:AWHD00000000:5:15137738:15138274:1 gene:ONIVA05G14150 transcript:ONIVA05G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HDD8] MSGAVALVAEHRDMSIWVIGFDICLFTAVYSGIVCSGVAYYVQGLVTRAQWPVFVSAFQPLCMIITAVLDSTILREDITLGSVIGAVIIAVGLYVLIWG >ONIVA05G14140.1 pep chromosome:AWHD00000000:5:15127889:15135552:1 gene:ONIVA05G14140 transcript:ONIVA05G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKFLPTLLPAITVLLVMQAISRMTTVAVDQRSPRRRIALPGCPDKCGDISIPYPFGTKEGCYLDINFIVLCNLSTTPPATAAGTIILKGNGYYFGDQENPVGVQTNKSWWAVDLIDIDVTRGEVRVAVPVSSDCSTNESYHELSIFTQSLNFSTTFLFSATRNVLLGVGQSVRARIDGVMSGTNYSAACNSLFDTPAKAENGTCMGLGCCEAELAPELGMITVSMYKQSNSMWETFPCTYAMVVERSWYNFSLQDLYGYDVLDKKFPGGVPLVLDFAIRNESCPAEGKPLPTACRSSNSLCVNTTNGQGYVCKCQEGYEGNPYLPDGCQVSVGSGLLRCRLQPLLRCRKTAEQAARERWRERQTLMSVSFEMNNLRYEISTGIIGLTSIVVVVVLFKLLFDERRKTKEFFIKNGGPVLEKVDNIKIFKKEELKPIIQSCNVIGKGGFGEVYKGLIDDKLVAIKKSINVDKLQEKQFTNEIIIQSKVIHKNIIKLIGCCLEVDVPMLVYEFVPRGSLHDILHGTRKESLPLQKRLNIAAGAAEGLAYMHSKTSTTILHGDIKPGNILLDENFDPKISDFGISRLIAIDKTHTKCVIGDMCYMDPIYLQSGLLTKQSDVYSFGVVLLELLTRQKASSGEDTRLVTTFLDAYTEDHKGAIDLFDREILLEGDTEVFDNLAILVVDCLKLELERRPEMTDVEERLQTMKRSYVPKSISDASSSIDT >ONIVA05G14130.1 pep chromosome:AWHD00000000:5:15126627:15126911:-1 gene:ONIVA05G14130 transcript:ONIVA05G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGWRREPQVVAAGLLQKSRAWSRSPRPAGSIVVNSVAACVLPTPRAHPPPAAVALCCAGGRLLPSDRSGRGEMRGWDYRRRRRWRGREGGE >ONIVA05G14120.1 pep chromosome:AWHD00000000:5:15124561:15124878:1 gene:ONIVA05G14120 transcript:ONIVA05G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVEEEATGVFRYSSPDLVEAGSGGQRSGGGGGGGQQPGEGSGGIGGWICLLEAKSGGQQSGRSGSVGNRRSKGGGGDRICISVARFIGHRSEGGSRQLVADIS >ONIVA05G14110.1 pep chromosome:AWHD00000000:5:15121881:15123207:1 gene:ONIVA05G14110 transcript:ONIVA05G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPVMEACLDGLVGDGAADPGGWVSDIGVASPLDEVASLGEWATVRAKDVRAVPEAKKGGKVQRHGCQGRDAMGTMGRNVAGMDIACRVVTAAADAGEGVRGSVTTGVGARAVVAVPKLSAGGEGAKRRSLRMVKSASRAASEAHVAAF >ONIVA05G14100.1 pep chromosome:AWHD00000000:5:15087610:15095689:-1 gene:ONIVA05G14100 transcript:ONIVA05G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMILPCRELLRLVLVGATLLILAASTDQHVATLPPITLPGCIDKCGNISIPFPFGMKKTGCFLPGFEVTCNDTFTPPRLFLGTYPQRGPNCHEFDESNYTATEKGQPLHPGSYEFLPMELMNISLTEGVARASGPVSSDCSLNETYHLLKRRMTYVMDPFLVSTRNVLTAIGWSFEAKLAKSLRGSGYLKSCSVRLYGPESATNGSCMGGGCCQAALTENITNIAVSFVYMQQNSSMWGLLPLPKNWYNFTSSDLYFDNFPKKYPRGVPLVIEFTIRDGFCPGPGEAPPPKYACASSDSSCANVTNGDSYICNCRDGYDGNPYIPNGCHDSHPELRALYPCSRNGICMNRPGGYDCPCKRGMSGDGKAGTCSEKFPLQAKIVVGAIGGLFIVAVLVFLALIHRKKRKMREFFKKNGGPILEKVNNIKIFKKEELKPILKLKLAILLEKVDSVYKGRLADNKLVAVKKSINVNAAQKDQFANEIIIQSRVLHKNIVKLIGCCVEVDIPILLSLDLRLDTAAESAEGLAYMHSKTTNTILHGDVKPANILLDDNFVPKISDFGISRLIVVDKNQHTDKVIGDMSYMDPVYLQTGLLTKKSDVYSYGVVLLELISRKKATYSDNNSLVRNFLDAHKEKRKATELFDKDITLAEDLDVLDGLVRIVVECLNLDVDQRPEMTKVTDDLFILMKSRAKETKQ >ONIVA05G14090.1 pep chromosome:AWHD00000000:5:15058363:15059778:1 gene:ONIVA05G14090 transcript:ONIVA05G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADFVPPAGARRAAASDISDNAVLSAAGAGDESFAAAKAPAPGRKTAYRGIRRRPWGRWAAEIRDPRKGARVWLGTYATAEEAARAYDVAARDIRGAKAKLNFPPTIGAAAAPPPPKKRRKAAAAANHHHHHHQQESSGSSSASSLPPTPPPAAEHQLRECMSGLEAFLGLEEEEDDGGAGEPWDAVDMMLE >ONIVA05G14080.1 pep chromosome:AWHD00000000:5:15052392:15053894:-1 gene:ONIVA05G14080 transcript:ONIVA05G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTWGVIQGRKEQLVSRVLALDFLQSVGVSDPAGELEAVELPSSLEVLQERLDFDIRLGLSIDNLSSYPLLPACSLRKNAIPVLSYLEAGDAEHRRAAMAACPLLLPLSHSVAHVVIDEEVGEGAIAHVLVDEEAVGALVAAAEQAHQVAVALPHDGAHLSLELPLAVLHQLLHPLHGNRPLAAVPQCPLEHRAKRGAEARVAAACAGLSCTDLRQVLGVAAIVADEVEHAAGIEAQGDGEAYLERAGVLTCGAHMGPTLTQPPHRIKPGSKPPKDLFVTSFD >ONIVA05G14070.1 pep chromosome:AWHD00000000:5:15047381:15051168:1 gene:ONIVA05G14070 transcript:ONIVA05G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HDD0] MAVRYEKKAMCALLLSLIMVALSVAAAGDGDAPPSAPVSPTTACNDTTDPSFCRTVLPPRGSSDLYTYGRFSVARSLDSARRFAGLVGRYLARHRGLSPAAVGALRDCQLMSELNVDFLSAAGATLRSAADALPDPQADDVHTLLSAILTNQQTCLDGLQAASSSWSERGGGGLAAPIANGTKLYSLSLSLFTRAWVPTAKGSKHHGGGKKPHQGHGKKQPPAAAASMRRGLFDAADGEMARRVAMEGPEATVAVNGVVTVDQGGGGNYTTVGDAVAAAPSNLDGSTGHYVIYVAGGVYEENVVVPKHKRYIMMVGDGVGQTVITGNRSVVDGWTTFNSATFAVVGQGFVAMNMTFRNTAGPSKHQAVALRSGADLSAFYGCSFEAYQDTLYAHSLRQFYRRCDVYGTVDYVFGNAAVVFQSCAFLSRLPLPGQCNTVTAQGRSDPNQNTGTSIQGCSLLAAPDLAAAGDGGRTLTYLGRPWKNFSRTVVMESYVGGLVDPAGWMPWSGDFALDTLFYAEYNNSGPGADTSRRVAWPGYHVLGAGADAGNFTVTSMVLGDNWLPQTGVPFTSGFLTSDPPIS >ONIVA05G14060.1 pep chromosome:AWHD00000000:5:15025403:15032431:1 gene:ONIVA05G14060 transcript:ONIVA05G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferrochelatase 2 [Source:Projected from Arabidopsis thaliana (AT2G30390) TAIR;Acc:AT2G30390] METPKNAGTNRCGSSSPAARGCCLLPLHSTTRAPRLRRLAGIEAASSPLPLSPDGIDPFPAPVSTGGGRMWSSSQASTRGVIEVGRVEAGPSHFPKRPAPRNSSRVNLSRTYAIKSCSVSSRTGLCLGQCYHKKSSACKCKLGWSSQPLSSLRHHLRVHSSASEAVLTSQSDFTKLLVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPVIATLNCNCSALRFTNYGAKTINGEKFDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALRKALCDKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELRTFSEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNSCTLAYQSRVGPVEWLRPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFITDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSKRDELPPPVTVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPLFH >ONIVA05G14060.2 pep chromosome:AWHD00000000:5:15025403:15032431:1 gene:ONIVA05G14060 transcript:ONIVA05G14060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferrochelatase 2 [Source:Projected from Arabidopsis thaliana (AT2G30390) TAIR;Acc:AT2G30390] METPKNAGTNRCGSSSPAARGCCLLPLHSTTRAPRLRRLAGIEAASSPLPLSPDGIDPFPAPVSTGGGRMWSSSQASTRGVIEVGRVEAGPSHFPKRPAPRNSSRVNLSRTYAIKSCSVSSRTGLCLGQCYHKKSSACKCKLGWSSQPLSSLRHHLRVHSSASEAVLTSQSDFTKLLVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALRKALCDKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELRTFSEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNSCTLAYQSRVGPVEWLRPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFITDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSKRDELPPPVTVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPLFH >ONIVA05G14050.1 pep chromosome:AWHD00000000:5:15019157:15020887:1 gene:ONIVA05G14050 transcript:ONIVA05G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLASLVLVLLAFVLPLLYLLLQLPGKKSGGGGGDGPRLPPSPAGCLPLLGHLHQLGPLPHVALRSMAAAHGPVLRLRLGRVPTVVVSSAAAAEEVLRARDAAFSSRPRSAMAERILYGRDIAFAPYGEYWRQARRVCVVHLLSAQRVSSFRRVREEEAAALADAVRAAGRGGGRAFDLSGLIVAYASAVVSRAAFGDESARGMYGGADGGRAVRKAFSDFSHLFGTKPVSDYLPWLGWVDTLRGRERKARRTFEALDGVLDKVIDDHRRRRDSGRRQTGDADAGHRDFVDVLLDVNEMDNEAGIHLDAIEIKAIIMLAAHLVDGCIRQDMFVAGSDATSKPMEWAMAELVSHPRHMRRLQDEIRAVVGGGRVTEDHVDKLPYLRAALKEALRLHAPLPLLVARETVADTEIMGYHVAARTRVVINGWAIGRDTAVWGETAEEFMPERFLAGGNGGGAAAADYKVQGFEMLPFGGGRRGCPGVTFGMATVEMAVASLLYHFDWEAAAADGKGGREGTPLLDMSETSGISMGLKHGLPLVAKPRFP >ONIVA05G14040.1 pep chromosome:AWHD00000000:5:15016521:15017135:1 gene:ONIVA05G14040 transcript:ONIVA05G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSVLVVVAACLAAALVCLAANVAPASCARATAALPHASIAETCSFVDDHKLCEESLSSLPLTARAAADARVLARAAVLLARQNATATAAYLSHLHAAAAAAAADGTPYDDGDDADHRCVGDCTVRYDRAVAYLGDAAAALDAGEFDEAELLVGAGRTEGELCQKGCEHARLPALLAARNGAVERLCNVAMDITRLLHQQH >ONIVA05G14030.1 pep chromosome:AWHD00000000:5:15008960:15010809:1 gene:ONIVA05G14030 transcript:ONIVA05G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMVDSSGGGGPSFSGHSLSSPDAESAKPEPPHDSGARASLEQVTVDHTKPPDSGSAKPVTVDHKSATSDSSTGTESDGGGEPSSASSSLPAAAHPFGRALAVVDGVASVAISCRGDAAAGDGTIACHPGSSLVVVMAQAIAHRVTQVWVVDADDGELVGVVRFLDVLSALADELATNEEGSKIDGGQKPPVPTNFAKFVGRSVTSGLDDACMIVRFLLGKTRVNSGWWPGKIRRLEGPWLFSFAGAARPGSAKSIRSELIAGVGGGAATVDVLGFGSGCGGGSAVWGAGERPQSRTCAAPHSSFSGFGPRFI >ONIVA05G14020.1 pep chromosome:AWHD00000000:5:14999221:14999820:1 gene:ONIVA05G14020 transcript:ONIVA05G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTVQMVAPAAAVVEDPSKHWTRHGPVLTACLVGINLLMVLLVFFYFWRFFSGKRGPPTSSTSTMASGGDDDEEEGASSSSSADTSPGRHHQDREDIASSLPVFVYSSSAAAPDVGDAGGNGKAAAAAECAVCIVEFRDGDRARLLPRCGHRFHADCIGAWLQLHSTCPLCRAAVLLHPAAAEPAKNDQPKDDDCPV >ONIVA05G14010.1 pep chromosome:AWHD00000000:5:14977727:14978155:-1 gene:ONIVA05G14010 transcript:ONIVA05G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKAEEAVAAEVEAEATGSVAVAAAAAAAATSDDGGEQGHSGKKVRLPDPYVAAILSLKREPPPSAQYLEVLSPEKELEYAGHRKELEDELEAFEKDGYFVVDESYLEETAACLAMANEQLAKLDFSGIVFGDWDYDDLD >ONIVA05G14000.1 pep chromosome:AWHD00000000:5:14972395:14973395:1 gene:ONIVA05G14000 transcript:ONIVA05G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAAPAVEDASKHWAPHGAVLTAFVVGINLLMVLLVFFYFWRFFSGKRGPPPSSSSMAGGADDEGTGRRRRSARCFRDGDLARLLPRCGHRFHADCVGAWLRLHSTWPLCRATALPLAASTATASVPNNNDDPKDDAAAHCPV >ONIVA05G13990.1 pep chromosome:AWHD00000000:5:14957493:14958164:1 gene:ONIVA05G13990 transcript:ONIVA05G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGAGGDVSGGERWAPSGRQLTASLVGLNVFVVLLIYYYLWRFFSGKSDGGVPGGGGGGDDEDAESSAAPSRAVSPKARDREAMELAITALPVFVVHVPTPSDNSGDGGGGAGDAAAADANGGGGGGGKVLECAICIAEFADGEEGRLLPRCGHRFHARCVDMWFQLHSTCPLCRAGVLPPAPALPCPTTAPHDDDGQQQVVAPPPDHTDDTNRTDNCPV >ONIVA05G13970.1 pep chromosome:AWHD00000000:5:14914074:14914502:-1 gene:ONIVA05G13970 transcript:ONIVA05G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARFKLQKPISKKHHHKKQQQRRRWWSSALLFFRRGGSSSSSSFDDDDATASVEYPSSYSTAALSPAGPLYLAAIEDDDDDGAAAACACWAPAMRSGGRHLAASELGASASVLPYVSLRDSAGVAGGARAPPAMPIYLVT >ONIVA05G13960.1 pep chromosome:AWHD00000000:5:14907502:14908190:-1 gene:ONIVA05G13960 transcript:ONIVA05G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNRDTLMQESLGMVTRRRLAMLLGDSSGTTGEARGNLGASSAMALEYGEQQLCYGAGATSCI >ONIVA05G13950.1 pep chromosome:AWHD00000000:5:14891806:14902510:-1 gene:ONIVA05G13950 transcript:ONIVA05G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVERLVPGGGGGGGGRFRYERMPARGPADDGEGEEEEEEAAVPERRPEVLAASASFRLSEAPRVFEELPRASIVAVSRPDAGDITPMLLSYTIEVHCKQRYLIRHNQFKIKKLTNLEDISNIDWSAMFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVGHDEDEADDVNVPAQAEENSIRHRNVPSSAVLPVIRPALGRQHSVSDRAKVAMQEYLNHFLGNLDIVNSPEVWVVLKPGFLALLKDPFDPKLLDVLIFDALPHMDISGEGQISLAKEIKERNPLHFGLQVSSGGRTLKLRTRSSSKVKDWVSAINAARQTPEGWCYPHRFGSFAPPRGLMPDGSMVQWFIDGEAAFQAIASSIEQAKSEIFITGWWLCPELFLRRPFQHHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQKLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYLGGLDLCFGRYDNSAHKLSDVPPVIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKAPNEQGIPLLMPHHHMVIPHYKGISQEINSEADGKQNHDKDCDVKKPVSVDSRESCQDIPLLLPQELEPPALPNGDLRVNDLDANHSDHLHKTSFNQPLLNRKAKLDSSRQDLPMRGFVDNISSLESSSIRHFDSSKEEKYHMDKNWWEMQERGDQVASVLDIGQVGPRATCHCQVIRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDETIKNRVLEALYRRILRAEREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGPKAHDYISFYGLRAHGRLCEGGPLVTNQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVSSKMNGKPWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRAQFRQSFAHCRDKIGHSTIDLGVAQEKLETYQDGDLKGTDPIERLQMIKGHLVSFPLDFMSQEDLRPYFSESEYYTSPQVFH >ONIVA05G13950.2 pep chromosome:AWHD00000000:5:14891806:14902510:-1 gene:ONIVA05G13950 transcript:ONIVA05G13950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVERLVPGGGGGGGGRFRYERMPARGPADDGEGEEEEEEAAVPERRPEVLAASASFRLSEAPRVFEELPRASIVAVSRPDAGDITPMLLSYTIEVHCKQFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVGHDEDEADDVNVPAQAEENSIRHRNVPSSAVLPVIRPALGRQHSVSDRAKVAMQEYLNHFLGNLDIVNSPEVWVVLKPGFLALLKDPFDPKLLDVLIFDALPHMDISGEGQISLAKEIKERNPLHFGLQVSSGGRTLKLRTRSSSKVKDWVSAINAARQTPEGWCYPHRFGSFAPPRGLMPDGSMVQWFIDGEAAFQAIASSIEQAKSEIFITGWWLCPELFLRRPFQHHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQKLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYLGGLDLCFGRYDNSAHKLSDVPPVIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKAPNEQGIPLLMPHHHMVIPHYKGISQEINSEADGKQNHDKDCDVKKPVSVDSRESCQDIPLLLPQELEPPALPNGDLRVNDLDANHSDHLHKTSFNQPLLNRKAKLDSSRQDLPMRGFVDNISSLESSSIRHFDSSKEEKYHMDKNWWEMQERGDQVASVLDIGQVGPRATCHCQVIRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDETIKNRVLEALYRRILRAEREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGPKAHDYISFYGLRAHGRLCEGGPLVTNQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVSSKMNGKPWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRAQFRQSFAHCRDKIGHSTIDLGVAQEKLETYQDGDLKGTDPIERLQMIKGHLVSFPLDFMSQEDLRPYFSESEYYTSPQVFH >ONIVA05G13950.3 pep chromosome:AWHD00000000:5:14891806:14902510:-1 gene:ONIVA05G13950 transcript:ONIVA05G13950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNVERLVPGGGGGGGGRFRYERMPARGPADDGEGEEEEEEAAVPERRPEVLAASASFRLSEAPRVFEELPRASIVAVSRPDAGDITPMLLSYTIEVHCKQRYLIRHNQFKIKKLTNLEDISNIDWSAMFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVGHDEDEADDVNVPAQAEENSIRHRNVPSSAVLPVIRPALGRQHSVSDRAKVAMQEYLNHFLGNLDIVNSPEVWVVLKPGFLALLKDPFDPKLLDVLIFDALPHMDISGEGQISLAKEIKERNPLHFGLQIFITGWWLCPELFLRRPFQHHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQKLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYLGGLDLCFGRYDNSAHKLSDVPPVIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKAPNEQGIPLLMPHHHMVIPHYKGISQEINSEADGKQNHDKDCDVKKPVSVDSRESCQDIPLLLPQELEPPALPNGDLRVNDLDANHSDHLHKTSFNQPLLNRKAKLDSSRQDLPMRGFVDNISSLESSSIRHFDSSKEEKYHMDKNWWEMQERGDQVASVLDIGQVGPRATCHCQVIRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDETIKNRVLEALYRRILRAEREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGPKAHDYISFYGLRAHGRLCEGGPLVTNQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVSSKMNGKPWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRAQFRQSFAHCRDKIGHSTIDLGVAQEKLETYQDGDLKGTDPIERLQMIKGHLVSFPLDFMSQEDLRPYFSESEYYTSPQVFH >ONIVA05G13950.4 pep chromosome:AWHD00000000:5:14891806:14902510:-1 gene:ONIVA05G13950 transcript:ONIVA05G13950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNVERLVPGGGGGGGGRFRYERMPARGPADDGEGEEEEEEAAVPERRPEVLAASASFRLSEAPRVFEELPRASIVAVSRPDAGDITPMLLSYTIEVHCKQFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVGHDEDEADDVNVPAQAEENSIRHRNVPSSAVLPVIRPALGRQHSVSDRAKVAMQEYLNHFLGNLDIVNSPEVWVVLKPGFLALLKDPFDPKLLDVLIFDALPHMDISGEGQISLAKEIKERNPLHFGLQIFITGWWLCPELFLRRPFQHHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQKLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYLGGLDLCFGRYDNSAHKLSDVPPVIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKAPNEQGIPLLMPHHHMVIPHYKGISQEINSEADGKQNHDKDCDVKKPVSVDSRESCQDIPLLLPQELEPPALPNGDLRVNDLDANHSDHLHKTSFNQPLLNRKAKLDSSRQDLPMRGFVDNISSLESSSIRHFDSSKEEKYHMDKNWWEMQERGDQVASVLDIGQVGPRATCHCQVIRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDETIKNRVLEALYRRILRAEREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGPKAHDYISFYGLRAHGRLCEGGPLVTNQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVSSKMNGKPWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRAQFRQSFAHCRDKIGHSTIDLGVAQEKLETYQDGDLKGTDPIERLQMIKGHLVSFPLDFMSQEDLRPYFSESEYYTSPQVFH >ONIVA05G13940.1 pep chromosome:AWHD00000000:5:14867777:14868372:1 gene:ONIVA05G13940 transcript:ONIVA05G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHNFLRCPPTASVMMFSLAGHVGNATSDGGRLGSTRDSRLRITPVTVTTAGSTTSFAACARDGQIRATDAADDDGEEEVAGSGVAFPTRGDNGSGGGEAWRPSPAWIQRRPPSPHMAAAADLKVVRHGTRRPRGSDGDRPPLTRRQRI >ONIVA05G13930.1 pep chromosome:AWHD00000000:5:14858154:14861558:-1 gene:ONIVA05G13930 transcript:ONIVA05G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHISSLLQGLARSLSVGKERKGGDGDDGKAAAATATAVLRTSGTLWGEGSETFAAVCSRRGEKGINQDCSIVCEGFGCEEGSVLCGIFDGHGQWGHYVAKAVRESLPPALLRRWREAVTLAALIDGGEKRLCECRPDLWRQSYLAACAAVDAELRASRRLDAVHSGCTALSLVKHGDLLVVANVGDSRAVLATASPDDGGGARLAAVQLTVDFKPNLPQERERIMECNGRVQCLADEPGVHRVWRPDREGPGLAMSRAFGDYCVKDYGVISAPEVTHRRITAQDHFVILATDGVWDVVSNEEAVQIVASAPEREKAAKRLVEFAVRAWRRKRRGIAVDDCSAICLFFHSPPS >ONIVA05G13920.1 pep chromosome:AWHD00000000:5:14854716:14859269:1 gene:ONIVA05G13920 transcript:ONIVA05G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVAASACTLRPGPPGAVRSKSNGPDRLSHLPTRPLSAPHLHLRRSPEPTHRRLPLMASSPSAAATGSGGSFPEMNSVDDFAAVTAPRGGGRVSVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKVRDSVATVREFSSLSVEPCEGELIVVTVFEIKEDEVPAFIEREHEFRFLAVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYYQRYGRYNIDRIWRDDILPCRAYLRHCVLAAKNLGEPAYSNFLDHTYLGDRTTTIREYLATTGTGIMEEEPPESLKSRYGG >ONIVA05G13920.2 pep chromosome:AWHD00000000:5:14854716:14859269:1 gene:ONIVA05G13920 transcript:ONIVA05G13920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVAASACTLRPGPPGAVRSKSNGPDRLSHLPTRPLSAPHLHLRRSPEPTHRRLPLMASSPSAAATGSGGSFPEMNSVDDFAAVTAPRGGGRVSVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKEFSSLSVEPCEGELIVVTVFEIKEDEVPAFIEREHEFRFLAVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYYQRYGRYNIDRIWRDDILPCRAYLRHCVLAAKNLGEPAYSNFLDHTYLGDRTTTIREYLATTGTGIMEEEPPESLKSRYGG >ONIVA05G13920.3 pep chromosome:AWHD00000000:5:14854716:14859435:1 gene:ONIVA05G13920 transcript:ONIVA05G13920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVAASACTLRPGPPGAVRSKSNGPDRLSHLPTRPLSAPHLHLRRSPEPTHRRLPLMASSPSAAATGSGGSFPEMNSVDDFAAVTAPRGGGRVSVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKEFSSLSVEPCEGELIVVTVFEIKEDEVPAFIEREHEFRFLAVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYYQRYGRYNIDRIWRDDILPCRAYLRHCVLAAKNLGEPAYSNFLDHTYLGDRTTTIREYLATTGTGIMEEEPPESLKSRYGG >ONIVA05G13920.4 pep chromosome:AWHD00000000:5:14854716:14859210:1 gene:ONIVA05G13920 transcript:ONIVA05G13920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVAASACTLRPGPPGAVRSKSNGPDRLSHLPTRPLSAPHLHLRRSPEPTHRRLPLMASSPSAAATGSGGSFPEMNSVDDFAAVTAPRGGGRVSVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKVRDSVATVREFSSLSVEPCEGELIVVTVFEIKEDEVPAFIEREHEFRFLAVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYYQRYGRYNIDRIWRDDILPCRAYLRHCVLAAKNLGEPAYSNFLDHTYLGDRTTTIREYLATTGTGIMEEEPPESLKSRYGG >ONIVA05G13920.5 pep chromosome:AWHD00000000:5:14854716:14859210:1 gene:ONIVA05G13920 transcript:ONIVA05G13920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVAASACTLRPGPPGAVRSKSNGPDRLSHLPTRPLSAPHLHLRRSPEPTHRRLPLMASSPSAAATGSGGSFPEMNSVDDFAAVTAPRGGGRVSVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKEFSSLSVEPCEGELIVVTVFEIKEDEVPAFIEREHEFRFLAVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYYQRYGRYNIDRIWRDDILPCRAYLRHCVLAAKNLGEPAYSNFLDHTYLGDRTTTIREYLATTGTGIMEEEPPESLKSRYGG >ONIVA05G13920.6 pep chromosome:AWHD00000000:5:14858010:14859773:1 gene:ONIVA05G13920 transcript:ONIVA05G13920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAANCIDNEVVLGGDPAVRHLRRRDDTVILDAVVAEGARHGEAWALPVRPPHPVHPGLVGEALHPPVGLEVDGELHGGEAGAATVVGGGGGQDGARVADVGDDEEVAVLDEGQRGAAAVDGVEAAAGAELGVDGGAGGQVGLAPQVGPALAEPLLAAVDERRQRHGLPPPPEQRRRQRLPHRLRHVVAPLPVPVEDAAQHAPLLAPESLTTHKTNLLWFRIQLKNLIFTCFFCQILYSLYFIVQVLFICF >ONIVA05G13920.7 pep chromosome:AWHD00000000:5:14859023:14859439:1 gene:ONIVA05G13920 transcript:ONIVA05G13920.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFKCLRECLTANLRGRLGLKSTVSCTAARRAPPPSSGEAVARTARESPTLATTRRSPCLTRDSAVQPLWTASRRRLARSSASTAAQAAR >ONIVA05G13910.1 pep chromosome:AWHD00000000:5:14847091:14850688:-1 gene:ONIVA05G13910 transcript:ONIVA05G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases;DNA primases [Source:Projected from Arabidopsis thaliana (AT5G41880) TAIR;Acc:AT5G41880] MAREDEKADAMEIDGQHQQVANTAVPDGFNADYLRIYYGKLFPHVDFFKWLSYGNDGKHPGCDQSYVGRREFSFTLENDIYVRFQSFDSVAEMENSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIMILVSITYCGYIVVVVVSIAGLNNEQRAAIADYFRVYKVGGENTMKKVSLTGAVLHPFLVRSYTDVLKGFFEDKLLLSQQLFASEERYQKILDLIPDENVASELHDKWQGNRRSSISKEDVNATRWEQLKSTLQSGKHKGLRRCIEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNNCDDFDPTTVPTLSQGIVSATIAESLQGGIRKLIQCQAPAVQEYLDLVKFTQLPADLTNLVPHEKLLLHETNH >ONIVA05G13910.2 pep chromosome:AWHD00000000:5:14847091:14850688:-1 gene:ONIVA05G13910 transcript:ONIVA05G13910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases;DNA primases [Source:Projected from Arabidopsis thaliana (AT5G41880) TAIR;Acc:AT5G41880] MAREDEKADAMEIDGQHQQVANTAVPDGFNADYLRIYYGKLFPHVDFFKWLSYGNDGKHPGCDQSYVGRREFSFTLENDIYVRFQSFDSVAEMENSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIMILVSITYCGYIVVVVVSIAGFVIVEQESMHDFGDPKMLWYFPVRLNNEQRAAIADYFRVYKVGGENTMKKVSLTGAVLHPFLVRSYTDVLKGFFEDKLLLSQQLFASEERYQKILDLIPDENVASELHDKWQGNRRSSISKEDVNATRWEQLKSTLQSGKHKGLRRCIEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNNCDDFDPTTVPTLSQGIVSATIAESLQGGIRKLIQCQAPAVQEYLDLVKFTQLPADLTNLVPHEKLLLHETNH >ONIVA05G13900.1 pep chromosome:AWHD00000000:5:14838985:14839503:-1 gene:ONIVA05G13900 transcript:ONIVA05G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGATYKGGIKAYWKRRGYGRLDPASSAHRRPRLPTAELGDGRGAAGGAGRWRRGWRVRRHGLGRRILRALSPRRLLARLRDAYVRGMLRLASSAAVAGGGSALYGGPAGGADPFGRPRPLREYDEKALVEIYRSILARGGGGGGGVVPVAGDAAAVVAVARLPTVAGA >ONIVA05G13890.1 pep chromosome:AWHD00000000:5:14835213:14835633:-1 gene:ONIVA05G13890 transcript:ONIVA05G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGFVRDEAKGGKSGGVAPLRRPAAHVPCSFTARSASSKKGVEECSRQEVYEKAPTPARGGKKCCNASQHTFTTAPCIGDLPVTAKPSRMLAGKIAIGLFGDEVPAGASRLLSLGVG >ONIVA05G13880.1 pep chromosome:AWHD00000000:5:14829682:14834158:-1 gene:ONIVA05G13880 transcript:ONIVA05G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSSAAAAARRLQARYDLYMGFNDADAAGVEEVEARGGGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGVYATSSHLTPIVTVRCLRRYQQISTVTNQDQRKLAGFRSWNFGLFGWSYRIGCFGVWLLRSQLYSLQIVKHISTCMSHLCYKGWSGLDRSLDNAAWKRRVRKISSGSHSLLSLLRKDLRDGSLQSFLGGSSYVSNPPAAAPDPFLSSLICSLPVAEPSKDLHSDSSDNNFLLNKFPDDKTAERAEPSLSEKDQKERAQRSKFVRGLVLSTIFEDDNL >ONIVA05G13880.2 pep chromosome:AWHD00000000:5:14829682:14834158:-1 gene:ONIVA05G13880 transcript:ONIVA05G13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSSAAAAARRLQARYDLYMGFNDADAAGVEEVEARGGGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGAKSPKNIFRVPFFVVFVEKGLKRWQSAVLSWWIILYDKTAERYVTSSFALSAASLRSLFATIPLAEPSLSEKDQKERAQRSKFVRGLVLSTIFEDDNL >ONIVA05G13880.3 pep chromosome:AWHD00000000:5:14829682:14834158:-1 gene:ONIVA05G13880 transcript:ONIVA05G13880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSSAAAAARRLQARYDLYMGFNDADAAGVEEVEARGGGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGDLRDGSLQSFLGGSSYVSNPPAAAPDPFLSSLICSLPVAEPSKDLHSDSSDNNFLLNKFPDDKTAERAEPSLSEKDQKERAQRSKFVRGLVLSTIFEDDNL >ONIVA05G13870.1 pep chromosome:AWHD00000000:5:14827278:14829143:1 gene:ONIVA05G13870 transcript:ONIVA05G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARIGEEEVEAEAVRPCVRRGGGDTQVRRGGGGRLGFWCGVATSAPFIPKPTIARLRKDDMNPLLTSPNQFIPEGIEEEMACARFRRGSSEAGGKELV >ONIVA05G13860.1 pep chromosome:AWHD00000000:5:14824090:14827135:-1 gene:ONIVA05G13860 transcript:ONIVA05G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKHATMPARSVCGGRRKERMIIHMHPWYSLRMILRWQWFSRKIKPDNLGILSHRTFQFGHSGAQCNARKQKQYLLLFSCKYGVIASYISLFSLNVDNYVYIEHALRISSTQRSA >ONIVA05G13860.2 pep chromosome:AWHD00000000:5:14824406:14827135:-1 gene:ONIVA05G13860 transcript:ONIVA05G13860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKHATMPARSVCGGRRKERMIIHMHPWYSLRMILRWQWFSRKIKPDNLGILSHRTFQFGHSGDCNN >ONIVA05G13850.1 pep chromosome:AWHD00000000:5:14818223:14822289:-1 gene:ONIVA05G13850 transcript:ONIVA05G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLHDATTSPSDPDDLGGGGEEEEERLASKPLLSSPSTYPSAGTEEGVEELELDRRYAPYARRDAYGAMGRGPLGAAGAGRLAVGAAVLFPLRLAAGVLVLVAYYLVCRVCTLRVEEEEREGGGGGAAGEVEGDGYARLEGWRREGVVRCGRALARAMLFVFGFYWIREYDCRFPDAEDEHQEQSKELGRPGAVVSNHVSYVDILYHMSSSFPSFVAKRSVARLPMVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQKNSPMMLLFPEGTTTNGDYLLPFKTGAFLAKAPVKPVILRYPYKRFSPAWDSMSGARHVFLLLCQFVNNLEVIHLPVYYPSEQEKEDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHAALNGNNSLPRALHQKDD >ONIVA05G13840.1 pep chromosome:AWHD00000000:5:14813121:14816236:1 gene:ONIVA05G13840 transcript:ONIVA05G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:farnesylated protein-converting enzyme 2 [Source:Projected from Arabidopsis thaliana (AT2G36305) TAIR;Acc:AT2G36305] MATPASFHHPASPQPAIPGAAAAAVAACAAMAVSYVAVLYAPTALLRLPPPTSLRVFLHRRFLCAAFSSSASLLATASLLRVWSIGDSSVVLAVFGIRRDHLLQAVAIPLLLTSLVYAGSFVARLWLLANSCGGGYEEPEIGWAQRLAHRIRASVGDVMVWRNCVVAPITEELVFRACMVPLLLCGGFKIYNIIFLSPVFFSLGVQLGYTVIFGWSGISSISGWFIVLLLAPFPCDEPKTI >ONIVA05G13830.1 pep chromosome:AWHD00000000:5:14806155:14812465:1 gene:ONIVA05G13830 transcript:ONIVA05G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSTGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIQYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSMIPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDFIDVIEGNRKYIKCVYVYNKIDVVGIDDVDKLARQPNSLLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCTVEDFCNHIHRSLVKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEKEDGGRGRFKSHTNAPARISDREKKAPLKT >ONIVA05G13830.2 pep chromosome:AWHD00000000:5:14806155:14812465:1 gene:ONIVA05G13830 transcript:ONIVA05G13830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSTGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIQYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSMIPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDFIDVIEGNRKYIKCVYVYNKIDVVGIDDVDKLARQPNSLLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCTVEDFCNHIHRSLVKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEKEDGGRGRFKSHTNAPARISDREKKAPLKT >ONIVA05G13830.3 pep chromosome:AWHD00000000:5:14806322:14812465:1 gene:ONIVA05G13830 transcript:ONIVA05G13830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSTGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIQYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSMIPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDFIDVIEGNRKYIKCVYVYNKIDVVGIDDVDKLARQPNSLLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCTVEDFCNHIHRSLVKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEKEDGGRGRFKSHTNAPARISDREKKAPLKT >ONIVA05G13820.1 pep chromosome:AWHD00000000:5:14799196:14799705:-1 gene:ONIVA05G13820 transcript:ONIVA05G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPTMLLLRLRLPGDGSWWPWTRARRARTRSPGASPNVVSSSSGDTLVLLHARRPRPVYATMDSSGYMMTSDVMASMDKYAAVSFQVRQTWGGAGDCNGIGHGSVGGGDREMEDMTCGPKDILELSRAFSLLFSQKLLF >ONIVA05G13810.1 pep chromosome:AWHD00000000:5:14794221:14801253:1 gene:ONIVA05G13810 transcript:ONIVA05G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HD89] MASPARTGEAAVVVVGVAEQEAAVVEQQREEEEQAAAVGAAAVLLPVGMVMVQVFTAVTLLLSELALCAGAARPLVLLVYRNLVGAAAVAPLAVLFERGMMKKMNAVVCGWISINATFGVLLATGMYYYGLRDTNAAYSANFLNLIPIVTFIIAVIFRAEKLAIASCAGKMKVLGTVLSVSGTMVVSLFRGQLLHLWPTHLLRLGSHAAAVAPPSSSSSSPAGTTISGTLLLCGSCLSYALWFIVQAKLAKVFPSKYWATVLTCLSGSLQALVAGVLTTGDWSEWKLSWDLRLLAVAYSGVFNTGITFVLISWAITHRGPIYPSIVIGALLIIVGLYAFLWGKGQELQLKAAGVKQERHKAAGDDDPEI >ONIVA05G13800.1 pep chromosome:AWHD00000000:5:14786934:14787182:1 gene:ONIVA05G13800 transcript:ONIVA05G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKSSHIEVELASSHEEERLRLEREAKSAKKRTTCIVEEYERVILLIGSLTCLLFSSLAVDHWSPLRRCHALWMGSLVRS >ONIVA05G13790.1 pep chromosome:AWHD00000000:5:14781568:14786051:1 gene:ONIVA05G13790 transcript:ONIVA05G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G47490) TAIR;Acc:AT2G47490] MPGDVATSPAPAPPSASSQQSHHATSARGLLCHAAAGASAGVVAATFVCPLDVIKTRFQVHGWPKLATGTIGGSVIIGSLQQIAQREGFRGMYRGLSPTILALLPNWAVYFTVYEQLKSLLSSNDRSHHLSLGANVIAASCAGGATTIATNPLWVVKTRFQTQGIRAGVIPYKGTLAALKRIAHEEGIRGLYSGLVPALAGISHVAIQFPAYEKIKAYLAERDNTTVEALSFGDVAVASSLAKVAASTLTYPHEVVRSRLQEQGAHSKARYTGVMDCIRKVYHIEGLTGFYRGCATNLLRTTPAAVITFTSFEMIHRFLLDVFPPLPEQQPQPLKH >ONIVA05G13780.1 pep chromosome:AWHD00000000:5:14772210:14778715:1 gene:ONIVA05G13780 transcript:ONIVA05G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56310) TAIR;Acc:AT1G56310] MGCSKTQTQEDSEFNQCNEERSLCLHSFSDLSHVSAATFMYLLKDCYLYGTDKATPKFKILQLQVKRALNNDPQPGPFTYVVQCMYIVPLLGKTHAEGFSHMLISSLRHLKSVESVQKDFLDAKHLAARLILDIVASIVPHEERILIKLLEAYDIELRDMADALYGSELGDEDLVKAKEHLKQYVQCLMESESYVTAVNLITRFSIQCYDESFLTKLIENNHLEAAEKWAVFMGNEMICLIIQTYLDIKMLKRANELVKQHDLTEKFPDVNYLYKESVLKKLAEKGCWDIAEVRAKKETKLMEYLVYLAMEAGYMEKVEELCQRYSLEGYVNSLVPEEICCGSDYLDLKELILEDIIWVDEIDGLLNSISYIEACKIIGVDCEWKPNFEKGSKPNKVSIIQIASDKKAFIFDLIKLYEDDPKVLDSCFRRIMCSSNILKLELKCFQSYEMLLDIQKLFKGTTGGLSGLSKKILGAGLNKTRRNSNWEQRPLTQNQKEYAALDAVVLVHIFHHVKGQPQFGVTEGCKVEWKSHIVSRVKNSRSTLRF >ONIVA05G13780.2 pep chromosome:AWHD00000000:5:14772300:14778715:1 gene:ONIVA05G13780 transcript:ONIVA05G13780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56310) TAIR;Acc:AT1G56310] MGCSKTQTQEDSEFNQCNEERSLCLHSFSDLSHVSAATFMYLLKDCYLYGTDKATPKFKILQLQVKRALNNDPQPGPFTYVVQCMYIVPLLGKTHAEGFSHMLISSLRHLKSVESVQKDFLDAKHLAARLILDIVASIVPHEERILIKLLEAYDIELRDMADALYGSELGDEDLVKAKEHLKQYVQCLMESESYVTAVNLITRFSIQCYDESFLTKLIENNHLEAAEKWAVFMGNEMICLIIQTYLDIKMLKRANELVKQHDLTEKFPDVNYLYKESVLKKLAEKGCWDIAEVRAKKETKLMEYLVYLAMEAGYMEKVEELCQRYSLEGYVNSLVPEEICCGSDYLDLKELILEDIIWVDEIDGLLNSISYIEACKIIGVDCEWKPNFEKGSKPNKVSIIQIASDKKAFIFDLIKLYEDDPKVLDSCFRRIMCSSNILKLELKCFQSYEMLLDIQKLFKGTTGGLSGLSKKILGAGLNKTRRNSNWEQRPLTQNQKEYAALDAVVLVHIFHHVKGQPQFGVTEGCKVEWKSHIVSRVKNSRSTLRF >ONIVA05G13770.1 pep chromosome:AWHD00000000:5:14768529:14768932:-1 gene:ONIVA05G13770 transcript:ONIVA05G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFYVVELDCWSSSSSNRGGRARALRQKKELELELGTMEDAKLDIGEDEDRNLQDQLIQWFTVVLGGESSKREKLEKKSGLKVKYVAKISGPN >ONIVA05G13760.1 pep chromosome:AWHD00000000:5:14763070:14763555:-1 gene:ONIVA05G13760 transcript:ONIVA05G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHRPYRSDLDLRPPPPPPSSASFPHSNGYYLPSSSSSSSSPTANGYFSSKGTGGFAANGDRRIEIYTTAPPPPLPPPPRLALPPPPGRRDGYLGGGGAGGGGGGGGSGGMWCFSDPEMKRRRRVASYKAYSVEGKMKASLRRGLRWFKGKCSEIFHGW >ONIVA05G13750.1 pep chromosome:AWHD00000000:5:14750961:14752477:-1 gene:ONIVA05G13750 transcript:ONIVA05G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 33 [Source:Projected from Arabidopsis thaliana (AT2G40320) TAIR;Acc:AT2G40320] MKAPPPPSPVAKRARVSPFVFLLVLFLLLFSFLYGEDLKELLGSQAQARPSLHFNAAAAGDGIELPAATAATTEGRTTTRRWRGRLPFAANGDGEEEEEECDVFSGRWVPDEAARPLYREADCPYIPAQLACEAHGRPETAYQRWRWQPRGCALPAFDAAAMLDRLRGKRVMFVGDSLGRGQFTSLVCLLLAAVPDPAARSFATSPDQQRSVFTAAAYNATVEFYWAPFLLQSNADNAAVHRISDRMVRRGSIGHHGRHWEGADVIVFNTYLWWCTGLQFRILEDGPFDAGGNSSTTTWVSTEEAYAMAFREMLQWAREHMDFATTRVFFTSMSPTHGKSQDWGGGEPGGNCYGETEMIGDAAYWGSDSRRGVMRAIGEVLDGDGADVPVTFLNVTQLSLYRKDAHTSVYKKQWTPPTPEQLADPKTYADCVHWCLPGLQDTWNELLYTKLFYP >ONIVA05G13740.1 pep chromosome:AWHD00000000:5:14743163:14743510:1 gene:ONIVA05G13740 transcript:ONIVA05G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAAANHGRCSLLLFAAIAMVLLVFVATTTATAARDVRRPAAVEKMMAAVMRHDVPSSGPSPVHNGAPTPPAAANEPTVAVTERLVPPDRTRCTTCPVLYKAAHRPRRRLIN >ONIVA05G13730.1 pep chromosome:AWHD00000000:5:14741012:14742038:1 gene:ONIVA05G13730 transcript:ONIVA05G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHAQRRRCLLFMALVFAVAVAAVAAARPPPSFSGTADGDDGNVLVAAAGSRGNQMRWQIGMDNEQTLSSYVSS >ONIVA05G13720.1 pep chromosome:AWHD00000000:5:14739137:14739645:-1 gene:ONIVA05G13720 transcript:ONIVA05G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRRGGGGLGAGGRRDAQSDAGRGRAARSTAASSSTAAPGDGNRTYAAIKFRGVEGWRPTSISAWRIMGDDLKQVRTSLTRSLWMSNLTKEEFVHVLRR >ONIVA05G13710.1 pep chromosome:AWHD00000000:5:14729163:14731192:1 gene:ONIVA05G13710 transcript:ONIVA05G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLHPSPRSVCLAFAIAVCLLLPCCFGSKAAVEMFEKACHCFDDHNVYSECKEELRLGVEGAFHVGKESVDEYCGGPCLMETKMALQCVEEVAHDGFRFYSGASLPAVKAALDTGCSYTPDRGTFEIRERKQCGDEYYHYSHHEQTTEQQYGGYYGSEEGEYPTTTSTLPASDYCYGAGASSLGLRYSLLQMLMLFSASMVLLLLVI >ONIVA05G13700.1 pep chromosome:AWHD00000000:5:14723264:14725449:1 gene:ONIVA05G13700 transcript:ONIVA05G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHAMACLKLRHVCLTVVLFSIGISSCRGQGGGGAGGGGAVVPGTQDAIQIVAQAALCFDNRQVINGCLQSMGINVTTTTGGSGATTSAPAAANGSAAATMCSAPCFGQMTMMMGCVNGIFGNFAGYSPGLMQGVQAVFQMACGNVNGQGGAGAAAGGGGGGSAGASGGSGGGVGGAGGATGGGAGSGNASPNSGKNVWLADYQLISYS >ONIVA05G13690.1 pep chromosome:AWHD00000000:5:14718581:14719044:1 gene:ONIVA05G13690 transcript:ONIVA05G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPAAAVVVVLAFMAVMVVVPVFGADGGDGRVQVQSLERPVGGGGGGNGTSYNATSVAGRKDGGGGGGGGGGSSGGSSWSYGWGWGWGTDGGGGGSSGGGGATTRVCTGWGTMRRCRGALLVCQMQCEGPCFYDCDANCKAHYHF >ONIVA05G13680.1 pep chromosome:AWHD00000000:5:14714813:14716860:-1 gene:ONIVA05G13680 transcript:ONIVA05G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L29 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HD75] MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSVLNLFHCSERAKEITMIASWTAELAHQEALALVPGLLTTTTGHLQCWTQWLLTLPSSVLCRKP >ONIVA05G13670.1 pep chromosome:AWHD00000000:5:14713561:14717014:1 gene:ONIVA05G13670 transcript:ONIVA05G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTGGGGGGSPRRVVVAVDESEESMHALSWCLSNVVSAAAKSPTAAPPPAVVLVHARPARPLYYPVIDGGGYVLTQEVMDSMDRYMATAADSVVAKARDICTAFPNVKVETRVEKGDPRDVICGAVEKAGADMVVMGSHGYGFLQRTLLGSVSNHCVQHCKCPVVVVKRPGTNAKAS >ONIVA05G13660.1 pep chromosome:AWHD00000000:5:14705168:14707682:-1 gene:ONIVA05G13660 transcript:ONIVA05G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHELSSSSSSSEPSSPSFSSSSSSSSSSSLLRQCRICHEEEDEWCAAIESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFESDYTIPPKKVQVVETAVTVRDEEMLPEELSQEDQEQYAGSEAQTGNGDCSSWCRSLTITFTIMLLVWHLIAVVTIEAADHCAFSLVTMFLLRAAGILLPFYAIKRMVRMIQQGQRQFRLQLLQDQRRRNASNLHSMSGQEQHQQLVINVH >ONIVA05G13660.2 pep chromosome:AWHD00000000:5:14705168:14707682:-1 gene:ONIVA05G13660 transcript:ONIVA05G13660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHELSSSSSSSEPSSPSFSSSSSSSSSSSLLRQCRICHEEEDEWCAAIESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFESDYTIPPKKVQVVETAVTVRDEEMLPEELSQEDQEQYAGSEAQTGNGDCSSWCRSLTITAVQGVSWILISSVILVQFTIMLLVWHLIAVVTIEAADHCAFSLVTMFLLRAAGILLPFYAIKRMVRMIQQGQRQFRLQLLQDQRRRNASNLHSMSGQEQHQQLVINVH >ONIVA05G13660.3 pep chromosome:AWHD00000000:5:14705166:14707682:-1 gene:ONIVA05G13660 transcript:ONIVA05G13660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHELSSSSSSSEPSSPSFSSSSSSSSSSSLLRQCRICHEEEDEWCAAIESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFESDYTIPPKKVQVVETAVTVRDEEMLPEELSQEDQEQYAGSEAQTGNGDCSSWCRSLTITFTIMLLVWHLIAVVTIEAADHCAFSLVTMFLLRAAGILLPFYAIKRMVRMIQQGQRQFRLQLLQDQRRRNASNLHSMSGQEQHQQLVINVH >ONIVA05G13650.1 pep chromosome:AWHD00000000:5:14700183:14702069:1 gene:ONIVA05G13650 transcript:ONIVA05G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPKPTTYLLLLPSSSSSAAAAVHGDLQVAAAAALLPAAATRAGDGAPVPVRDAPPPRPHRLLHRPHRPLPPLLPLPHRPPPRPSPLPPPHLTSAAAAASLPLLSPLACGARCVSTCSASDGDEVEGEGEGGSPAHADSSHPEHVGRVCAAIADVVAAGAGAGADASLEAALTALSPPLSEALVLAVLDRFKHAHRPSHRFFRWAAASGGFAHTTITYCKMVHILGKARQFQSMVALIQEMGKEGALCMDAFKIAIKSFAAAGEIKNAVGVFEMMRTHGFDDGVESFNCLLVALAQEGLGREANQVFDRMRDRYAPDLRSYTALMLAWCNARNLVEAGRVWNEMLENGLKPDVVVHNTMIEGLLRGQRRPEAVKMFELMKAKGPAPNVWTYTMLIRDHCKRGKMDMAMRCFEEMQDVGCQPDVATYTCLLVGYGNAKRMDRVTALLEEMTQKGCPPDGRTYNALIKLLTNRNMPDDAARIYKKMIKKGLEPTIHTYNMMMKSYFLGGRNYMMGCAVWEEMHRKGICPDVNSYTVFINGHIRHGRPEEACKYIEEMIQKGMKTPQIDYNKFAADFSKAGKPDILYELAQKVKFTGKFDASNVFHQWAERMKKRVKRNVPNQTESRTF >ONIVA05G13640.1 pep chromosome:AWHD00000000:5:14680895:14690859:1 gene:ONIVA05G13640 transcript:ONIVA05G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMAATVEEQMMVKAIREELPWESLPKRIQAALVSKDDWHRRIVDYCIRKRLPWTSCFARKICKEGEYYEELMRYLRRNLALYPYHLADHICRVMRISPFKYYCDVLFEAMKNEQPYDSIPNFSAADSLRITGVGRNEFIDIMNKCRSKKIMWKLSKSIAKELLPALPADLAIEPWWGVRFVNFTLEEFKRLSEAEASAIDKISKDEDNSYVLFDPKVINGLYKRGMVYFDVAVYPEDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELAKTLQADLYQLQAAASFACRLGWAVKLMDADSILRDSNGSTIPSNILTDDDEGSLTSINSERSGHALLTSDSDGPRRISGASYVGFIVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIADLCKDLASLEGKRFEGVLQEFANHAFSLRCFLECLLSGGTSPDEAIEPNSQEFCLQENFSKTLSKESIDEGISNVVKSNGGSLETVDTADTDHHNELSQADHPMVDSDVADASTSSPSSIVSESKESTDKNDSDTSKTPLPDGSTDSSSLSKTKRSYRINILRCESLASLSATTLERLLVRDYDIMVSMIPLPYSSVLLPSTAGLVHFGPPSYSSMTPWMKLALYTSGSCGPVSAVFMKGHRLRMLPEPLASCEKALIWSWDQSVVGGLGGKFEGNLVKGSLLLHYLNSMTKHSAVIVQPLSLNDLDETGNLVTVDVPLPLKNADGSIASTVASMDLPEEKILNLSSLLYNLSSRVELGTVGYLSLVRLHRISKSNEIFSKDENYEWIPLSLEFGIPLFNPKLCEKICERVVESHMLQKDDLTEHYEAMQNVRKRLRELCAEYQATGPTARLFNQRGSSKNSPRQLINIVSGRWSPFHDTVAPTTGGSPRENDRLKVARRQKCFTEVLSFDGSILRSYALAPVYEAATRSVTEDQPTTPVAKPEPDDADTKDVVLPGVNLIFDGAEIHPFDIGACLQARQPLSLIAEASAASLAMK >ONIVA05G13630.1 pep chromosome:AWHD00000000:5:14633598:14637727:-1 gene:ONIVA05G13630 transcript:ONIVA05G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRRKGGAGPLVGAPSRRAQVAAVFALALLLGVSVLYDSAHIAASLRRHGVGGGGSSGGGGGGGGDGARAYTNTKLSATTEEAEAAEVRSPPAQGVESAVEATDRGEAPPEQPVAADSGASSAETPPSLLEQVTETPPPSPSSSSAAAAAAAAEAQVGGDHGGESCDVYKGRWVYDEANAPLYKESACEFLTEQVTCMRNGRRDDDYQKWRWQPDGCDLPRFDAKLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSEAPWEKKSLVKNDSLNVFRLEEYNATIEFYWSPFLVESNSDDPNMHSIVDRIIKPTSIAKHAANWEGVDYLIFNTYIWWMNTPEMKILSEGWGKPDAIKCFSETQPAINYTKKLEVGTDWDLFSTAHHVTKAMKRVPVHFINITALSEIRKDAHTSVNTLRQGKLLTKEQKANPRKFADCIHWCLPGLPDTWNEFIYGHIVSSPQRRPVEPIENQPQR >ONIVA05G13620.1 pep chromosome:AWHD00000000:5:14603801:14626142:1 gene:ONIVA05G13620 transcript:ONIVA05G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIGSRRLTVLREFRPHGLAAEEADGEGGPGERPPQDYDYFLFDPALAASPAPEPGEEAASSSSGADGDHELFIRGNRIIWSAGSRVHKRYLSPNTVIMVRLPVFHYHMLFHQYGLFLLAFYFRNLLMEAIWLDMMKDFDEKTIWTSDIVPLMASYHKGKFQHSVWQIDGTTYQEEINDNAVPPIPCDISMHKFAFRKIWQGKCSQSAASKVFLATDIDGTPIICFLLHEQKILLAVRFQVDENNGESFGDIKPHMSWNIPALAAAPVVVTRPRAWAGVLPFTDILILTPDNDLLLYSGKQCLCRYTLPTELGKGIFSNYELNSGVTEFYSDMEITSITDAVEGRINVTCSNGLMLRCSLRKSPSSSLVSDCITAMAEGLQSCFYSHFVSLLWGDSDAAYLCSSSHVDSEWESFSYEVEKICAKYGQISPAKSSESPCTAWDFLINSKHHAKYGKQSRTSLPMSYNTSSMSFHSFPQDGNSADVSFYIRFISETLDTLHALYENLKLNILRKQDLASLASLLCRVASSLGENSYVDYYCRDFPDNLVEFHSLSSATALRAPPCLFRWLENCLRHGCDLKTSDDIPALMCKEKSSAALDKCRESPPDDWPAPAYVLVGRDDLAMARMGSGRRENGFWNNDNLTSISVPYMLHLQPVTVLTTALDVPPSEILNSEDTDSVYRSVEDGMEHIFTSTTQLRYGRDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEALVFPKLVLAGRLPAQQNATVNLDLSSRSVSEFKSWAEFHNGVAAGLRLAPFQEHDITRLGLLLGLAASNRGTMHPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGLVALGRGSNAFGFMDTFLDRLFEYIGSKEVYHEKHLNAAIAADEQSGNTGQMMEGAQINVDVTAPGAIIALALIFLKAESEEIAARLSVPNSHFDLQYVRPDFVMLRIVARNLILWNRIQPTKDWVESQVPSFVNFGVSNTSQEAMDSDELDSEALFQAYVNIVTGACIALGLKYAGSRNSDAQELLYAYAVHFLNEIKHISIQTASILPKGLLQHVDRGTLELCLHLIVLSLSLVMAGSGHLQTFRLLRYLRGRSSAEGQVSLAIGFLFLGGGTHTFSTSNSAVAALLITLYPQPRWIQTVDVDTGLPVYCPLEVTVAETEYYDETNYCEVTPCLLPERSVLKNIRVCGPRYWSQVITLTPEDKPWWKSGDRTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLLSRAMHEVCDTPSTSCSNQANSATRSSLRVDQLVSTFSANPSLIAFAKLCCQSWKDRRNGSFEEFCSQILYECMSKDRPALLQVYISFYTIIETMWEHLKIGHFPFSDSLFLSSLKVASAYNEALIDGRITTGGIIQSTFLESLMKRIEYIFAGLPNLHDSFINYLNKGKWPDAQNEAVLLSWYLQWYSIPPPHIVSSAIEKVKPRTRTSLSMLPLLRLQLPTTHLVGLMEIEKLHMTHGHEGLTLH >ONIVA05G13620.2 pep chromosome:AWHD00000000:5:14603801:14626142:1 gene:ONIVA05G13620 transcript:ONIVA05G13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIGSRRLTVLREFRPHGLAAEEADGEGGPGERPPQDYDYFLFDPALAASPAPEPGEEAASSSSGADGDHELFIRGNRIIWSAGSRVHKRYLSPNTVIMVRLPVFHYHMLFHQYGLFLLAFYFRNLLMEAIWLDMMKDFDEKTIWTSDIVPLMASYHKGKFQHSVWQIDGTTYQEEINDNAVPPIPCDISMHKFAFRKIWQGKCSQSAASKVFLATDIDGTPIICFLLHEQKILLAVRFQVDENNGESFGDIKPHMSWNIPALAAAPVVVTRPRAWAGVLPFTDILILTPDNDLLLYSGKQCLCRYTLPTELGKGIFSNYELNSGVTEFYSDMEITSITDAVEGRINVTCSNGLMLRCSLRKSPSSSLVSDCITAMAEGLQSCFYSHFVSLLWGDSDAAYLCSSSHVDSEWESFSYEVEKICAKYGQISPAKSSESPCTAWDFLINSKHHAKYGKQSRTSLPMSYNTSSMSFHSFPQDGNSADVSFYIRFISETLDTLHALYENLKLNILRKQDLASLASLLCRVASSLGENSYVDYYCRDFPDNLVEFHSLSSATALRAPPCLFRWLENCLRHGCDLKTSDDIPALMCKEKSSAALDKCRESPPDDWPAPAYVLVGRDDLAMARMGSGRRENGFWNNDNLTSISVPYMLHLQPVTVLTTALDVPPSEILNSEDTDSVYRSVEDGMEHIFTSTTQLRYGRDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEALVFPKLVLAGRLPAQQNATVNLDLSSRSVSEFKSWAEFHNGVAAGLRLAPFQEHDITRLGLLLGLAASNRGTMHPAISKMLYFHVPSRHPSSTPELELPTLLQGEIGRRSGGDNVLEREGYAVAAGSALGLVALGRGSNAFGFMDTFLDRLFEYIGSKEVYHEKHLNAAIAADEQSGNTGQMMEGAQINVDVTAPGAIIALALIFLKAESEEIAARLSVPNSHFDLQYVRPDFVMLRIVARNLILWNRIQPTKDWVESQVPSFVNFGVSNTSQEAMDSDELDSEALFQAYVNIVTGACIALGLKYAGSRNSDAQELLYAYAVHFLNEIKHISIQTASILPKGLLQHVDRGTLELCLHLIVLSLSLVMAGSGHLQTFRLLRYLRGRSSAEGQVSLAIGFLFLGGGTHTFSTSNSAVAALLITLYPQPRWIQTVDVDTGLPVYCPLEVTVAETEYYDETNYCEVTPCLLPERSVLKNIRVCGPRYWSQVITLTPEDKPWWKSGDRTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLLSRAMHEVCDTPSTSCSNQANSATRSSLRVDQLVSTFSANPSLIAFAKLCCQSWKDRRNGSFEEFCSQILYECMSKDRPALLQVYISFYTIIETMWEHLKIGHFPFSDSLFLSSLKVASAYNEALIDGRITTGGIIQSTFLESLMKRIEYIFAGLPNLHDSFINYLNKGKWPDAQNEAVLLSWYLQWYSIPPPHIVSSAIEKVKPRTRTSLSMLPLLRLQLPTTHLVGLMEIEKLHMTHGHEGLTLH >ONIVA05G13610.1 pep chromosome:AWHD00000000:5:14596395:14596637:-1 gene:ONIVA05G13610 transcript:ONIVA05G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTESNGGDCSAVAAPASNGGRRRHELVPKLQLSPGHHFVVSDEELVDFYLRGEIEQRRPPRRPWTSSTRLTLLVSIQSN >ONIVA05G13600.1 pep chromosome:AWHD00000000:5:14583163:14583864:1 gene:ONIVA05G13600 transcript:ONIVA05G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAVMVQVTAARLRLRVRGKATETRRIRTLFLQVSMRERESAGDGVGRCSASARAALRGHAGGGA >ONIVA05G13590.1 pep chromosome:AWHD00000000:5:14580960:14581894:1 gene:ONIVA05G13590 transcript:ONIVA05G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGCVPHLHRAPGPRVRRRRALFLPWWWGPRASSPPLRTRRPLPHRSSSTSAHMPDFWRKKSASVFVHGRYSKSTLINGEDRDYAQEGAEVLHGQEAVTRTKLSEELRSRTIRKSCDKVEIKVTHGGRTPCRKVIATGFAKSSASVEIRKEIGIKTV >ONIVA05G13580.1 pep chromosome:AWHD00000000:5:14564470:14565210:-1 gene:ONIVA05G13580 transcript:ONIVA05G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPWVRRGRATGRLTQSPSFSPSCVASPPSSGAGGGGGKADHQGIGSVLDDDDAAPAPPAPGSRNVLHRVRSSTKLRACKSFAVAAEAAAAAVAGERRVVLYFTSLRAVRGTFEDCRDVRAILRGLRVAVDERDVSMDAAYLAELRALMRRDRPALPQLFVGGRLVGDADEVRLLHESGELHRVVAGAARAAATPCASCGGTRFVPCGTCDGSHRRYSEKTGGFRVCTACNENGLVRCAACCSGG >ONIVA05G13570.1 pep chromosome:AWHD00000000:5:14549070:14551744:-1 gene:ONIVA05G13570 transcript:ONIVA05G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSRVAVAVLLLLCLQLQLGISGGGGGGGHGARRGPHLCSIIRRLIHAKKSSSSSQQQQQRSRHAGSKAGWPSTSSTPSNPFGLPMLLPPPPPLKDWPPWLDMPPVQGPSSSPSPSPSPAPSPASSAAVAEHAAPPRRGEEHARPRSIALPPASSSGDAGETSRPEVTDGSATRRGGGGGKTNYVLVAAAGASVLLAASAAAFAACYRSSKVVRSVRPWATGLSGQLQRAFVTGVPALRRAELEAACEDFSNVIGSLPEYTMYKGTLSSGVEIAVVSTTKTSPKDWSKKCEAHFRKKITSLSRVNHKNFVNLLGYCEEEQPFTRMMVFEYAPNGTLFEHLHARDEGHLDWPTRLRVAVGVAYCLEHMHQLAPPEIVRTLDASTVYLTDDFAAKISDVGFCEEEMAAAAAAPAMADRESVVHGYGMLLLEMMAGRLAASEGGLVQGWAAALLRGERRLRDVMDPALRGAFHAETVDRLDAVVRSCADRDPRRRPSMADVAARLREITAMPPDAATPKVSPLWWAELEIISTEAA >ONIVA05G13560.1 pep chromosome:AWHD00000000:5:14543342:14548337:1 gene:ONIVA05G13560 transcript:ONIVA05G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITQILLSAQSADGNLRVVAEGNLKQFQEQNLPNFLLSLSVELSDNEKPPESRRLAGIILKNSLDAKDSAKKELLIQQWVSLDPSIKQKIKESLLITLGSSVHDARHTSSQVIAKVASIEIPRREWQELIAKLLGNMTQQGAPAPLKQATLEALGYVCEEISPEHLEQDQVNAVLTAVVQGMNQTELSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVICDTAVSKEVEIRQAAFECLVAIASTYYVHLDPYMQTIFNLTANAVKGDEEAVALQAIEFWSTICDEEIELQEEYEGSDDANSTVNYRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLIARTVGDAIVPLVMPFVEGNITKPDWHCREAATFAFGSILEGPSVEKLAPLVQAGLDFLLNTTKDPNSQVRDTTAWTLGRVFELLHSPASANPIITSANLTRIMTVLLESSKDVPNVAEKVCGAIYFLAQGYEDAESISSVLTPFLPNLIAALLSAADRADTTHFRLRASAYEALNEIVRVSNISETSGIIGQLLQEIMRRLNLTFDLHILSSGDKEKQSDLQALLCGVLQVIIQKLSSSDAKSIIAQTADQLMFLFLRVFACHSSTVHEEAMLAIGALAYATGTDFVKYMPEFFKYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDGIMTVLLKDLSNSMLNRSVKPPIFSCFGDIALAIGDNFEKYLPYAMPMLQGAAELLAVLDHTDEDMVDYGNQLRRGIFEAYSGILQGIKGAKAQLMIPYASHLLQFTEAVYKDRSRDESVTKAAVAVLGDLADTLGSSSKDLFKSNLFHVEFLRECHDLDDEVRDTASWAQGMINQALVS >ONIVA05G13550.1 pep chromosome:AWHD00000000:5:14533591:14538981:1 gene:ONIVA05G13550 transcript:ONIVA05G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT2G30100) TAIR;Acc:AT2G30100] MALSSRAAAFPHISLPSPRPRVSLRPPPPAPRSLRLDRVVADTTAELGLDGGGGGGLLAAAIEHLEREPAFAGEGEDAAAAASAQLAALSPRELQLVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGGGGDVADVAALLGEMDCVGLRPGFSLVEKAVALYWDRGDRGSAVDFVRDVLRRGGVGVGVGDGGEYGGASDGERGGPVGYLAWRMMMDGDYRDAVKLIIEFKESGLQPEVYSYLIGLTALVKEQKEFSKALRKLNSSVKEGSISKLDSETMHSIENYQSELLSDGVLLSKWAIEEGSSDVLGLVHERLLSLYTCAGCGLEAEHQLWEMKLLGREPDTQLYDVVLAICASQGEVAAVRRLLAGVESTSAGRRKKSLSWLLRGYVKGGFYLEASETLMKMLDMGLYPEYLDRAAVLTALRRNIQESGSLESYMKLCKRLSETDLIGPCVIYLYVRKFKLWMMHML >ONIVA05G13540.1 pep chromosome:AWHD00000000:5:14527253:14527994:1 gene:ONIVA05G13540 transcript:ONIVA05G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQRDTPSGTVGVDGFLSGGGFGLMLRKHGVTSDLVVDATKGHQQAGQVLEGQVRLRTSKNMPSQNMPSQVWETTWSWLLKDGAGLLILDPYGGEMVRVAPAVTSFSHRQALYNIQYYGFWSKSGAAAAEKDMGWMRGLYSKMEPYVSKNPRGGAAAIAADTGSLAVCRSWRGGIWLHGQSCHFEITSFDSEIIK >ONIVA05G13530.1 pep chromosome:AWHD00000000:5:14519671:14523308:-1 gene:ONIVA05G13530 transcript:ONIVA05G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIGEGVRPTDLRLHLMKEVSGMATSLTKEPQQAAASPDSSEPSSSGTTK >ONIVA05G13530.2 pep chromosome:AWHD00000000:5:14519673:14522144:-1 gene:ONIVA05G13530 transcript:ONIVA05G13530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHNTSMTCEGDYETEQKKQAAADVLFHYSQFVMVCIGEGVRPTDLRLHLMKEVSGMATSLTKEPQQAAASPDSSEPSSSGTTK >ONIVA05G13520.1 pep chromosome:AWHD00000000:5:14509635:14518153:1 gene:ONIVA05G13520 transcript:ONIVA05G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGGSPGHYGGGGIHLVCEYCGHGSEYAEDDADDGFFTCRQCSAIHTSTQNTATNPFDFPMTPAHLSAHRRPTQPTPTPKPFPAPRGAATGAAAPDFDDLGEPSEPRDFATGANAWGNPEDVAARVRWRYVRGLQVILQRQLEALVERHRVGSLAASLAGTIWLRWVAASKVFDEMWVHKMLAIAASVEEGHSASKDKQSELEGDAQKSQSSYEFLFLRSLRMMLPVYSTLAVCFLACHVARETILPTDICRWAMEGKLPYVAAFTQVDKLLGSSLNDCPLSSRQLFRPTRVIGAWQLEAAAGSIAQKIGLLLPSVNFYLIAQRFLKELSLPIEKILPHACRIYEWAMPAELWLSSNPGRVPSRVCVMAILIVALRVLYGINGQGIWESIAQTENAVGSDPEASAPHSIEPDSNNSEEFDARELLCTLAASYDKIDVGHDYSKEVHSYLKYCKDVVFTGMTFSLEEEHLIDIFWDMYKGKEDENAKLCQEKLRTTNGVNKRCRDGRFEDNGINNKHNSIRINKGILIFHIIPDYHPENPWNVLHPSNKRFEERKSCTDAGMLPGPLLPLPPPPPPELVVYPSVPSLPPSATPPSSSSSIGSSIAIVVLVVITTAIVTVAIVVIRRSYRRGRRLSCSSFSPRRSLSPRALSSSPSAMSQMWRAAVAAVGSSPRASAASARSWPEMAAPSSAPGDPGRGPPVALSSSAQGAVQGTAGLMASSATSAAAMAPPPSAPSLPEVERVILELLWLPPSPLQPAMSGSTATCFICNKLLLPTDLHLVLPVCSHMFHQRCLVAWLRSRVTPLLYCPECHAPITTRCRTDKRSLVPTFCSGEYDIESQILAVPAPPGEEVAEAVGGSRGWLRSSLDRLSGSWRACSGSRAVAAVAAPGCSSSRRTTGSWSPGTGSTSGRHLHLGADSRGVQTQVQLQLQLPVLPLADEEVAAADDDAGGSRGWLRSSLATLSGSWAVFPTTSRSTAMELPVSSSRRTAAGSTDSWSGSWDPEALRVSEPQPRERPSVLDYARWVFRNSGKYTKHRH >ONIVA05G13510.1 pep chromosome:AWHD00000000:5:14497639:14498196:-1 gene:ONIVA05G13510 transcript:ONIVA05G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSSAMSNIAPVAPTRITRYDKDDKHKPVIVCALAALPIPSQPPKASQERKRQRRTFWTKCATCKEKNKFPITNLACQVVCPACTETFTAIEVARPRNTSLYCKEKLESSSSVAANSSLQSTAVTPIADVAYHPPNIQGKRKDGEVKISEAFPKPAVEKLLQARMKEILEKKLNDRQAKDEGQ >ONIVA05G13500.1 pep chromosome:AWHD00000000:5:14475105:14477867:-1 gene:ONIVA05G13500 transcript:ONIVA05G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Sgf11, transcriptional regulation (InterPro:IPR013246); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 29 /.../urce: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G58575) TAIR;Acc:AT5G58575] MSSSTDAPVSPRSQFALACFEELLETAVVDVASECHRIARLGLDRGVDAEEEELRAWAARDHPGAEEGGGAVGGGGGNKGVVDVFGQVLPAVAADLVDCMNCGRPVAAGRFAPHLEKCMGKGRKARTKTTRSSTAGRTRNNNGEDNSNHILPEP >ONIVA05G13500.2 pep chromosome:AWHD00000000:5:14475105:14477867:-1 gene:ONIVA05G13500 transcript:ONIVA05G13500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Sgf11, transcriptional regulation (InterPro:IPR013246); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 29 /.../urce: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G58575) TAIR;Acc:AT5G58575] MSSSTDAPVSPRSQFALACFEELLETAVVDVASECHRIARLGLDRGVDAEEEELRAWAARDHPGAEEGGGAVGGGGGNKGVVDVFGQVLPAVAADLVDCMNCGRPVAAGRFAPHLEKCMGKGRKARTKTTRSSTAGRTRNNNGSAASSYSPYSSPAIANRASLPNGVTDGSASVTGEDNSNHILPEP >ONIVA05G13490.1 pep chromosome:AWHD00000000:5:14453387:14454196:-1 gene:ONIVA05G13490 transcript:ONIVA05G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40220) TAIR;Acc:AT2G40220] MEPSDDACTVAAPAAETAASSSGAGGGGGGGRTKKKAAGKGGPENGKFRYRGVRQRSWGKWVAEIREPRKRSRKWLGTFATAEDAARAYDRAALLLYGPRAHLNLTAPPPLPPPPPSSAAASSSSAASSTSAPPPPPLRPLLPRPPHLHPAFHHQPFHHHLLQPQPPPTPPPLYYAATASTSTVTTTTTAPPPQLAAAAPAAVLVAAAVSSTAETQAVVATAPEDAASAAAAAAAEEEAAWGFHGGDEEDYAAALLWSEPDPWFDLFLK >ONIVA05G13480.1 pep chromosome:AWHD00000000:5:14440024:14442760:1 gene:ONIVA05G13480 transcript:ONIVA05G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSAAICYVFLLLKGFGTLRWVCDVVMYVIVVGTNSKKKLTEVALYEQLLGAAIASFSTNTEWIFVDEAPSRLQACFKQPPSTLLCTMYNYVYKMLRVNEKYKSNPDNDFNYYALLFAKNDLLDRSYV >ONIVA05G13470.1 pep chromosome:AWHD00000000:5:14437875:14438786:-1 gene:ONIVA05G13470 transcript:ONIVA05G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHGEGSSSSAAAAGGKIKGSWSPEEDEQLRGAVARHGPRNWTAISEEVPGRSGKSCRLRWCNQLSPGVHRRPFTPDEDALIVAAHAKYGNKWATIARLLDGRTDNSVKNHWNSSLRRNRRAAAAAAAAAASVSYQSMDLTEEADNDDEGTSDDSVAIPAQSSPAAVVAGVPVLPPPPPPAKRLCVAPPTGVEHRAPPPDPPTSLSLSPPGAAAAAISASTVVGGSSAARAEEEAVAREKARMEQDPWLMAMMRQMITEEVQRQVSVVYSLVASSAAVAAQTGNAGGVGRKGPDGRPSNGQD >ONIVA05G13460.1 pep chromosome:AWHD00000000:5:14435724:14436464:1 gene:ONIVA05G13460 transcript:ONIVA05G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSRRQPATWVGSGDVNVPHRFPRAVGGRAEAEAMPWAAATHADREAAGGRAGGSDGGGGGSGNWHLRQKSVMTTTLLLLLLTPSRCSGDANANGGSSAPSPSAAAAPNRCLCCARMRRRRHKVVWGPPFGQ >ONIVA05G13450.1 pep chromosome:AWHD00000000:5:14421987:14433632:1 gene:ONIVA05G13450 transcript:ONIVA05G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKSDLMSGSPDGHGYFSGQRGLYSAASLERSASFRESGDSYAAFPVSGSSRSPAVDSATLLQSLAMDLRATTLEPKTSRLDVKKSISLILGISPEESTSTPCTGRNSSLPFEEIRRMKNNLSDISNKARERSKAYGAAVTKIERCCPNILRKRSRGDGSSNERSTALLSGGLISKMPPQGHLNADDTELVSPRGEERIKNAGQNRRLRTSMSEMDARTTVLSRGLGSTDRSADPGKVTGGGPAVPEEKIRGLATGIDGWEKPKMKKKRSAIKADVSMTGPSRNVDVDREQKPGMQHKFNNEARARMTNSPSFRSGTVSSVSSISKSDLLSGQNGVGRSLSRSDQDSGFHPTNKRDRQAVLDKEISAPKSHNKPSEDDGGANVTAVPKANGSTRGPRSNSGSLLKSSPNIHRLQANSDDWEHPSGMTKLNSTSGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITNTDGQSVSGSLESPSINEESAGLPRRASINCSQQTRRGDHGLSTGSEGDESGVAEKKLRDKSKRAGELDDGHSGFQKIAMLGHPSKRNKLSADDDVGDAARRQGRIGRGFTPTRPSTPASIDKLENAPTTKQRSVRTVTERNESKSGRPLIKKMSERKGNARPRHISSNAQLDSPVQSEDDHEELLAAANSALRSANSSPFWRQVEPFFSYLTTEDIAYLSQQIHLSDDSTASRSIEGDESRKYKGSLEYISQPSTPAGSNKDDHSALQNGYTLNEIDNDVGIAWETSCIEPILDQLVQGIGARGGASVGQRLMQALIDEDKVDNITNNIYRSETYPFDTHEIHFEEGGWKSHSQGYKLESLMNFEAAGKGSNGLMLDSDWKYHDELSHKGNNAMEKAKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDINSEICKLEGQLHKEVVDKKNLLRKLDGVLRTKKESQHREFSRRAMERLLLIAYEKYMAFCGSSSSKNVNRAGKHAALSFVKRTIARCQNYEESGACCFDETPFKDMFVSATSHRSDPDSASQDNITVPKSVQRASTSDASRASSHLTDLSFSKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSEREGKGHNRDGSRSGRPSSSNAKGERKNKTKPKQKTANISAPVSSALTRDPQSQAKITPSGNGRDNTSAASARHEEPANASNDAEMPDLSNLELPGMDVDFGGWLNIEDDDGLQDLDLMGLEIPMDDINEINLMI >ONIVA05G13450.2 pep chromosome:AWHD00000000:5:14422680:14433632:1 gene:ONIVA05G13450 transcript:ONIVA05G13450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMASSTKSDLMSGSPDGHGYFSGQRGLYSAASLERSASFRESGDSYAAFPVSGSSRSPAVDSATLLQSLAMDLRATTLEPKTSRLDVKKSISLILGISPEESTSTPCTGRNSSLPFEEIRRMKNNLSDISNKARERSKAYGAAVTKIERCCPNILRKRSRGDGSSNERSTALLSGGLISKMPPQGHLNADDTELVSPRGEERIKNAGQNRRLRTSMSEMDARTTVLSRGLGSTDRSADPGKVTGGGPAVPEEKIRGLATGIDGWEKPKMKKKRSAIKADVSMTGPSRNVDVDREQKPGMQHKFNNEARARMTNSPSFRSGTVSSVSSISKSDLLSGQNGVGRSLSRSDQDSGFHPTNKRDRQAVLDKEISAPKSHNKPSEDDGGANVTAVPKANGSTRGPRSNSGSLLKSSPNIHRLQANSDDWEHPSGMTKLNSTSGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITNTDGQSVSGSLESPSINEESAGLPRRASINCSQQTRRGDHGLSTGSEGDESGVAEKKLRDKSKRAGELDDGHSGFQKIAMLGHPSKRNKLSADDDVGDAARRQGRIGRGFTPTRPSTPASIDKLENAPTTKQRSVRTVTERNESKSGRPLIKKMSERKGNARPRHISSNAQLDSPVQSEDDHEELLAAANSALRSANSSPFWRQVEPFFSYLTTEDIAYLSQQIHLSDDSTASRSIEGDESRKYKGSLEYISQPSTPAGSNKDDHSALQNGYTLNEIDNDVGIAWETSCIEPILDQLVQGIGARGGASVGQRLMQALIDEDKVDNITNNIYRSETYPFDTHEIHFEEGGWKSHSQGYKLESLMNFEAAGKGSNGLMLDSDWKYHDELSHKGNNAMEKAKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDINSEICKLEGQLHKEVVDKKNLLRKLDGVLRTKKESQHREFSRRAMERLLLIAYEKYMAFCGSSSSKNVNRAGKHAALSFVKRTIARCQNYEESGACCFDETPFKDMFVSATSHRSDPDSASQDNITVPKSVQRASTSDASRASSHLTDLSFSKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSEREGKGHNRDGSRSGRPSSSNAKGERKNKTKPKQKTANISAPVSSALTRDPQSQAKITPSGNGRDNTSAASARHEEPANASNDAEMPDLSNLELPGMDVDFGGWLNIEDDDGLQDLDLMGLEIPMDDINEINLMI >ONIVA05G13440.1 pep chromosome:AWHD00000000:5:14415222:14418270:1 gene:ONIVA05G13440 transcript:ONIVA05G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVDRPEDEEEASAAAAAAAGGEEEDTGAQVAPIVRLEEVAVTTGEEDEDVLLDMKAKLYRFDKEGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICANHLVASTTKMQEHAGSDKSCVWHAADFADGELKEEMFAIRFGSVENCKKFKDLVEEISESLAKTEGKETVEDSSAAGLLEKLSVTEKKSEEVATKEESTEAVKETDTKSAATSE >ONIVA05G13440.2 pep chromosome:AWHD00000000:5:14415152:14418270:1 gene:ONIVA05G13440 transcript:ONIVA05G13440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVDRPEDEEEASAAAAAAAGGEEEDTGAQVAPIVRLEEVAVTTGEEDEDVLLDMKAKLYRFDKEGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICANHLVASTTKMQEHAGSDKSCVWHAADFADGELKEEMFAIRFGSVENCKKFKDLVEEISESLAKTEGKETVEDSSAAGLLEKLSVTEKKSEEVATKEESTEAVKETDTKSAATSE >ONIVA05G13430.1 pep chromosome:AWHD00000000:5:14407495:14411690:1 gene:ONIVA05G13430 transcript:ONIVA05G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT3G51800) TAIR;Acc:AT3G51800] MSSDDEVREEKELDLSSSDVVTKYKDAADIINMSLCKPKAKIVDICEKGDSYIREQTGNIYKNVKRKIERGVAFPTCVSVNNTVCHFSPLATDEAVLEENDMVKIDMGCHIDGFIAVVAHTHVIHDGAVTGKAADVLAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLLPSGSQRVTSHSLQELQPTKSIEDNAEIKAWLALGTKTKKKSGGKKKKGKKGDAAEAVPMEEGSNDANKE >ONIVA05G13420.1 pep chromosome:AWHD00000000:5:14378438:14401330:-1 gene:ONIVA05G13420 transcript:ONIVA05G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVACTCSRPTTAQLSTQLVVVTLTHALTHRLLARRLYELGARRVIVTGTGPLGCVPAELALHSRRGECAAELTRAVDLYNPQLVNMVRGLNRAIGAEVFVTANTNRMNFDYISNPQNYGFTNVQVACCGQGPYNGIGLCTAASNVCDDREAFAFWDAFHPTEKANRIVVGQFMHGSTEYMHPMNLSTILAVDDEERRL >ONIVA05G13410.1 pep chromosome:AWHD00000000:5:14369267:14378268:-1 gene:ONIVA05G13410 transcript:ONIVA05G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding;ATP-dependent helicases;DNA helicases [Source:Projected from Arabidopsis thaliana (AT3G27730) TAIR;Acc:AT3G27730] MDYSYLHRDSSASTNRREPASNANQNLKFLSVAIIHGSSPPPPPPPHLETPEGPHAMAAMGHLGDPYALRSVADLPPPFHSVFGFRYFNSLQSECFPACFLSDVNMVISAPTGSGKTVLFELCILRLLSRFLSSEWRFNLIKGTLKTIYIAPMKALVQEKLRDWNMKLGSLGISCLEMTGDNEFYNTKSIHDADLILTTPEKFDSVSRHGIRDGGLGFFSDIALVLIDEVHLLNDPRGAALEAIVSRIKMLSRLGTMKIAPLANVRFIAVSATIPNIEDIAEWLAVPSEGIKRFGEEMRPVKLTTKVFGYAPARNDFLFERRLQSFIFDILMQHSRGKSALVFCSTRKGAQEAAQCLSQTASSLGYSNPFMKSMQQYEHLKEAALTCSDKQLQACLVHGVGYHNGGLCLKDRSVVEGLFLKGDIQILCTTNTLAHGINLPAHTVVINNKEKGLYVEYERSMVLQMCGRAGRPPFDDTGTIIIMTRRETVHLYENLLNGCEMVESQLLPCAVEHLNAEIVQLTNPQHYGIKKDIPRELLEKQMKDICVEKIHELGEYGLIWTDEDGFLLKPLEPGRLMTKFYLKFDTMKLIVKASACCTLEDLLHIICHSAEITWIQLRRNEKKLLNEINADKEGRLWFHVVGANGKRKKRIQTREEKIFILANDCLTGDPLVHDLSLNQEMNSICSNGCRVAKCMREYFIYKKNYKSAISSMLLAKCLHQKLWESSPFLLKQLPGIGIVTAKALKTAGIDSFESLATVDARKIESVTGRNYPFGDSIKSYLPSLGPKIDINIEDAGNRQGKSTIIVTLTRLSQAVGSSKQNYADMVVGSEEDNAILFHEKINPYSVKLYVPCPPNARATLKVDVIFEEYVGLDIHKKHVVSREDFHVTKVFGIKKAEPLYNLPAESCLVSSKTTRTNQSKYHNGQNPLSKEVCVIEDDFRAKAPDKADNDLEILGTREYNNLASLEAPSFTLLHEEDYEDVPDVLASEPVEAECKSATNNTIFDHIRKKSRDFPNLMLSKSMDSSYEPLILKKMKTSGDQFGLDQSSLHAYEVTPMVFDRTEARVSPTNTDERCRNILTRTAETRSFQFTGKMDSISQKSEILNRTQGKNSTQLAGKKAFEKSKTPDENSLHFVGKRDSSSEKSKALSKTPDENSLQFLGKMDSSSEKSKFCFSSPLADFQPMQCTKQVAASVQPLTIQDYCKDILASAKSSGTGASFLDVKSVFSFL >ONIVA05G13400.1 pep chromosome:AWHD00000000:5:14365539:14370367:1 gene:ONIVA05G13400 transcript:ONIVA05G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0E0HD40] MSNAGRRRSLTSIAPSPVSSSSFPSGAREAQAPPSQLPSWKFSQVLGELPLAAAGEGHDNGTLQDDGDTISAIEFDGRGEHLAAGDHAGRVILFRRTDDESHPPPPPSRADLERTDYAAAAPPAYAYMAEFQSHEQELGDRREGEEAEMVRAAEQLVAVHARHQRPHRQALEASRQVSEQRSRKGNGQPRRRLSTPTSASLSEIALLGEGDSSVRNGYYYEWASKKARNYLSPDSAEHSEKAGEVGEGYTAKCRRVFARAHVYNINSISNNCDGETFVSADDLRINLWHLEVITSAEFHPSSCSLLAFGSSRGFVRLVDLRQSALCDRNVRIFQDRGNSVQPRTLFTEIISCISDVKFTGDGKYLLTRDYMNLKLWDLRVESSPVATYKVHEFLRPKLSELYNNDCIFDRFSCCSSKGGDYFATGSYRFLLLQHINLISGV >ONIVA05G13400.2 pep chromosome:AWHD00000000:5:14365539:14370367:1 gene:ONIVA05G13400 transcript:ONIVA05G13400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0E0HD40] MSNAGRRRSLTSIAPSPVSSSSFPSGAREAQAPPSQLPSWKFSQVLGELPLAAAGEGHDNGTLQDDGDTISAIEFDGRGEHLAAGDHAGRVILFRRTDDESHPPPPPSRADLERTDYAAAAPPAYAYMAEFQSHEQELGDRREGEEAEMVRAAEQLVAVHARHQRPHRQALEASRQVSEQRSRKGNGQPRRRLSTPTSASLSEIALLGEGDSSVRNGYYYEWASKKARNYLSPDSAEHSEKAGEVGEGYTAKCRRVFARAHVYNINSISNNCDGETFVSADDLRINLWHLEVITSAEFHPSSCSLLAFGSSRGFVRLVDLRQSALCDRNVRIFQDRGNSVQPRTLFTEIISCISDVKFTGDGKYLLTRDYMNLKLWDLRVESSPVATYKVHEFLRPKLSELYNNDCIFDRFSCCSSKGGDYFATGSYRFLLLQHINLISGV >ONIVA05G13390.1 pep chromosome:AWHD00000000:5:14356518:14365348:1 gene:ONIVA05G13390 transcript:ONIVA05G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14580) UniProtKB/Swiss-Prot;Acc:Q9S7G6] MSMAVASLRLLARGGRRRARFPAPLSVPGGRAAFLSGAAEEVAQADAPPPPPPGRKVLESFREEFEIGGRVISFETGKMARFANGSVVISMDDTHVLSTVAAAKSSEPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPPGFYHEVQIMVNVISSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGNFVLNPTVDELGLSDLNLVYACSRDKTLMIDVQAREITERDLQAGMKLAHAEAVKCINPQLRLAKRAGKKKKEYKISLISDKSYEKIRTLSEASIEEVFTDSTYGKFERGEALENITQSVKAKLEEECDEDSLKFLHKAVDTVRKQVIRKRIIEKGLRVDGRQLDEVRPLYCESSTYPILHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHDGLHGPIRLGDELRVWIEHKALDPTGFLLTNRLVFWERQWLSAGTLAEKALLAVLPPEGEFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSEVDQTTGDISSYRILTDILGLEDHLGDMDFKIAGTRRGITAIQLDIKPAGIPLDIICESLEPARKARNQILDRMDQEISSARAFNDGSSPRLATLSFSSDSLRKLLFHRKKIEQETGARVSVSDGTVTIVAKTQPIMDKAIEKVEFLVGREIEVGRTYKGVVSSIKEYGAFVEFNGGQQGLLHISELSHDKVSKVSDVVSVGQVLSLTCIGQDLRGNIKLSLKATLPHAHEKKDLASNHTDPLPSQEVVGWTAVENMPSKDVNAEPSISKDEDNMIEETPGCSTPAVIIRSAAECDAQDVTNDPKKKRPKVAKSSPKLSKPASERQEVKRTSAKKTSGASTTAKKNKKEKADSSNDVLDAIPEQNKSNIMNYSSPSNFRSGSMKLGDVVTAKVYQIRAYGLVLELSDGVRGMHKFAENGHKDFEVGEELLVKCSSFNAKGIPVFSLLD >ONIVA05G13380.1 pep chromosome:AWHD00000000:5:14338421:14338815:-1 gene:ONIVA05G13380 transcript:ONIVA05G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQQQGRSELDRMAREGQTVVPGGTGGKSLEAQENLAEGRSRGGQTRKEQMGEEGYREMGRKGGLSTGDESGGERAAREGIDIDESKYKTKS >ONIVA05G13370.1 pep chromosome:AWHD00000000:5:14331457:14337463:1 gene:ONIVA05G13370 transcript:ONIVA05G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G51820) TAIR;Acc:AT3G51820] MATSHLLAAASSTAASSATFRPPLLSLRSPPPSSLRLNRRRHFQVVRAAETDKETKANAPEKAPAGGSSFNQLLGIKGAKQENDIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMIMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWALLLAGLGLGALLDVWAGHDFPIIFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYIGLPWWAGQALFGTLTPDIVVLTSLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGMETAKWICVGAIDITQLSVAGYLFSSGKPYYALALLGLTIPQVVFQASAQPFFVLGLLVTALATSH >ONIVA05G13370.2 pep chromosome:AWHD00000000:5:14331457:14337463:1 gene:ONIVA05G13370 transcript:ONIVA05G13370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G51820) TAIR;Acc:AT3G51820] MATSHLLAAASSTAASSATFRPPLLSLRSPPPSSLRLNRRRHFQVVRAAETDKETKANAPEKAPAGGSSFNQLLGIKGAKQENDIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMIMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWALLLAGLGLGALLDVWAGHDFPIIFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYIGLPWWAGQALFGTLTPDIVVLTSLYSIAGSLPVAFGMETAKWICVGAIDITQLSVAGYLFSSGKPYYALALLGLTIPQVVFQASAQPFFVLGLLVTALATSH >ONIVA05G13360.1 pep chromosome:AWHD00000000:5:14326396:14326785:-1 gene:ONIVA05G13360 transcript:ONIVA05G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTTPRPWVLRASPPHHHQQQPAQTAAVDMQDAAGALAAQFLPQGWAVDELLQFSDYETVDKASPPQPPARLPKKEKRGVKEREMRKGKREEADVAATLTCGAHVGPTLTQQPRRIKPGSKPPRDLL >ONIVA05G13350.1 pep chromosome:AWHD00000000:5:14322883:14324301:-1 gene:ONIVA05G13350 transcript:ONIVA05G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAPTSRKRVAGTQINKDNPEADDDSTEQEMGTFKRASEEVMATRRIVKVRRQQPSSAPSNPFSAIRFTPSDTSAQATIPVSEPQPSDVITANAKDSSSEKADEGSNGSGKDALPVTDKSAGSSEVAETEKDGSDLKGSDEKAKSSDSIEPPSQPVETTDEAKDLGGGSVVAGEAKEDNSKASDIEDKTAKEGDAEEEDGANEAGAEDKISKGDDEKKDGDESETKDGSSEEQKDADNKGQSSSPTPLFSFKNLSSGQNAFTGLAGTGFSGSSFSFGSGSKESSSAPLFGLKTDGSSFPSFSIGASNNGSSSPALATSAEAPKKFAMPEGPVETGEENEKAIFTADSALYEYLDGGWKERGKGELKLNIPVSGGERSRLVMRTKGNYRLVLNASLYEDMSLKDMDKKGVTFACMNSIGDSQSGLATFALKFRDTSIREEFKAAVEMHKAKKASGTLKTPENSPKASDD >ONIVA05G13340.1 pep chromosome:AWHD00000000:5:14320771:14321961:1 gene:ONIVA05G13340 transcript:ONIVA05G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKKDGVDRCQAVIVPISSTFHYLLKTPVSFPLTSSLSMHDDARAPSAGPSWPPPRGGDGAASAPPIRPEGYSTSDSEVDGLGKYFSEEDEEEEAAEEFVEDIVAQEEWEGFTLEYDHGSNTDEDAAE >ONIVA05G13330.1 pep chromosome:AWHD00000000:5:14296678:14307507:-1 gene:ONIVA05G13330 transcript:ONIVA05G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDASDPSYRLHLACAALVGASVAAASGYYLHCRSVAAQLGDGLSRSASAQRRGGGGRAPPRAAGKSPAPPPPPPRRAPAGSSSLPDLSSLYSVGLGVGGAGAARRYPVAEEEEEEDDDDGMKELADAAEACLPVPLGLPRLHVGPDGNKQLVRSSSNRRVGIIKPNSPKSPVASASAFESVEGSDEDDAKQPNGKLENGYLKMNGNVEGEQKGNKVLENGAAAPLAAASLIRSHSISNDLHGVQPDPVAADILRKEPEQESFIKLLTAPHEIPSPDEIEVYKLLQKCLELRDCYLFREEVAPWEKEVINDPCTPKPDPNPFTYVPEPKSEHVFQMVDGVVHVYADKDYTEILYPVADATTFFTDLHYMLRVISAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLTASKYQMAEYRISIYGRKQSEWDNLASWIVNNELSSENVVWLVQIPRLYNVYKEMGIVTSFQTLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVLPLSLSPHPIHLMQTLISGSSVLVIYAHWIGKNYYKRGPTGNDIHKTNVPHIRVQFRDLLLEVSMRSVVIHIVSGFQADERETSNQVVPPKSTTKRRMKRLSWVLDFGV >ONIVA05G13330.2 pep chromosome:AWHD00000000:5:14296678:14307507:-1 gene:ONIVA05G13330 transcript:ONIVA05G13330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDASDPSYRLHLACAALVGASVAAASGYYLHCRSVAAQLGDGLSRSASAQRRGGGGRAPPRAAGKSPAPPPPPPRRAPAGSSSLPDLSSLYSVGLGVGGAGAARRYPVAEEEEEEDDDDGMKELADAAEACLPVPLGLPRLHVGPDGNKQLVRSSSNRRVGIIKPNSPKSPVASASAFESVEGSDEDDAKQPNGKLENGYLKMNGNVEGEQKGNKVLENGAAAPLAAASLIRSHSISNDLHGVQPDPVAADILRKEPEQESFIKLLTAPHEIPSPDEIEVYKLLQKCLELRDCYLFREEVAPWEKEVINDPCTPKPDPNPFTYVPEPKSEHVFQMVDGVVHVYADKDYTEILYPVADATTFFTDLHYMLRVISAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLTASKYQMAEYRISIYGRKQSEWDNLASWIVNNELSSENVVWLVQIPRLYNVYKEMGIVTSFQTLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASAHWIGKNYYKRGPTGNDIHKTNVPHIRVQFRDLLLEVSMRSVVIHIVSGFQADERETSNQVVPPKSTTKRRMKRLSWVLDFGV >ONIVA05G13320.1 pep chromosome:AWHD00000000:5:14290335:14293081:-1 gene:ONIVA05G13320 transcript:ONIVA05G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSAAGADGLLPGLRFNPLSAPPPWMLLADHGRGDEAAFLEDARAKNANGKRQNHTADGGGFWQGKRMCVGGPDDGGGGGGGLAFLPPTIFPSPPPARHCSTPLSPSSPPFQPRGLLVRWAPPPAPRCSTPLSPYSPPFRPARLIVRWARPPPGWHKLNFDGSVFHDGSRQASIGGVIRGCDGGVVLAFAETTEHRGVGVVEARAMMRGLRLALSCGVERLVVEGDDLVLVELLRGEKPHTRIPAAMHEEILSLLRRFAEVEVRHIYREGNSVAHTLCRQAYVCPGIWSEGGGGMPAVVWDKVDDDRRGVVHERLRKKKTKIKRVLDNIVSGPLICGVSGVEMVCDSTDGQRSGQQLDGGASERDAHHMFGEMPSQLGHDSSAVLHVAVSHGLFPVTHEVLSQVYDAYGAVAVQRAQLFPRNGADVTPTKSSASGTSGTITKPVAESTAVAVEHVFPATPASSAPLISSTAMMTPISLTMTKEADADMGKCITENFLTKKVEPALPYSHFINRNEIQSYKILG >ONIVA05G13310.1 pep chromosome:AWHD00000000:5:14283290:14285409:-1 gene:ONIVA05G13310 transcript:ONIVA05G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATYDAFNREKLSPHAGLSRFAIRRFFEWAQLRGHAAAYRVTRFLYATSCVAVPVLSEVARLVSMYQDEELSITATGHSLGAALATLNAFDIVANGYNRHPGHRSRFDGARGLGLRLLRVHNARDVVPRYPTAPPNHGVGTELAIDTGESPYLRRLANELVWHKLDSYLHGVAGARGEGGAKRWRGAAGKRAVADGAERRRSAAGGGAGRGRQPPSHRLLWAPPSSSSPTTAATPPPPFPRGGARRPRWIWTWEELAGLPVPPPIPPPPRLMFDVTAMPVFGVDPGRLSPDMPSMHVSAAMDTIMEVGLFRHTVPPSCWKAMRRLKIGPERKLAAAHAALHVFVSEMMEKARKQEAAPSSMSMDVLSSYINDPDYVGLLHSILITYMVAGRDTVGTTLPWFFYNLAMNPRVVSGIREELAHNIATSTCNGDGAPVMFSPEDTKSLVYLHAALFETLRLYPPGWIERKTVVADDVMPSGHEVRAGDAVLISIYSMGRMESLWGEDCRVYRPERWLYDSRGGRKMRHVPSHKFLSFNSGPRMCPGKNIAVMQMKIIAAAVVWNFDLEVVEGQAVVPKLSCLLQMKNGVMVKVKKRAV >ONIVA05G13300.1 pep chromosome:AWHD00000000:5:14279594:14279821:-1 gene:ONIVA05G13300 transcript:ONIVA05G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEILSLLRRFTEFEVRHIYREGNSVAHTLCRQAYQGRGLWTERVPMPGAVREKIDEDCAARAPVQEEEVTPSA >ONIVA05G13290.1 pep chromosome:AWHD00000000:5:14277741:14277944:1 gene:ONIVA05G13290 transcript:ONIVA05G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGELQMMTSVTWQRCVVYGFGWLGDVPSNSDEMACDVARADDALQAPSSSAPAGVLLEQQADEF >ONIVA05G13280.1 pep chromosome:AWHD00000000:5:14267562:14274262:-1 gene:ONIVA05G13280 transcript:ONIVA05G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGADGLLPGPKLDPSDDELVGGYLLRRLQGQPLPLEADPLSARPRNLAADHGRGDEAFFLAEAQAKNAKGKRQRSTVEGQSMCVDGGRLRVPDDGRGGGGLAFSHFLPLSPSIVPSPAPSPRCSTSTPLSPPKLLADHGRGDEAAFFADAWAKNGKRQKQRSTRLRVADDGGGGSAFLPPSILPSLLPAPRCSTPLSPTSPPFRPSRVIVRWARPPPGWCKLNFDGSVFNDGSRRASIGGVIRDSDAGVVLAFAETTEHWTVGVVEARAMIRGLRFALACFIERLEVEGDDLVLVKLIRGEETETRIPAAMQAEILNLLRCFAEVDVRHIYREGNSVAHTLCRQAYVCPGIWSQRGGGMPAAVWDKVDDDRRGVVHERIRKNNGSVLGAVQTQRGVAKRFAQTDTVAHSKTGTGSALEQILVVSCWFYHQWCHYSSIEMKLEMNKVMRQWKWAPPPVGWCKLNFDGSVFNDGSRRASIGGVIRGCDGGVVLAFAETTEHLTVGVVEARALIKGLKLALKCFVERIVVEGDDLVLVQLLRGEETQTRIPAAMHDEILSLLRRFTEFEVRHIYREGNSVAHTLCRQAYQGPGLWTERVPMPGAVREKIDEDCRGVLHERLCKKNK >ONIVA05G13270.1 pep chromosome:AWHD00000000:5:14262377:14262898:1 gene:ONIVA05G13270 transcript:ONIVA05G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVARGGGKLNPWAEPFVPAGWSATYWRCGGVAAVEPAVAEVEDFSPEWWRLVGSSPAFRDSWLRDYSALGLLDDNDNGDGDDLEGFLLPDDLFSSTPHLVGEPADEKEGKGFGGAGGEKVKGGSAEVVAWGIDKWWRAHSSPPEVPRYADKAPRRVAAAAARVNPRPIQQPR >ONIVA05G13260.1 pep chromosome:AWHD00000000:5:14255012:14258120:1 gene:ONIVA05G13260 transcript:ONIVA05G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HD23] MSAAVAASSGAPAADVEKGAAAADANVDGGGAPAAAAASGEGVVSAVVRRWRRQDLLEKSGSALRVAAWAFSLLAFVVMGANDHGDWRQFEHYEEYRYVVAIGVLAFIYTTLQLVRHGVRLTGGQDLQGKVAVLVDFAGDQVTAYLLMSAVSAAIPITNRMREGADNVFTDSSAASISMAFFAFLCLALSALVSGFKLAKQTYI >ONIVA05G13250.1 pep chromosome:AWHD00000000:5:14238924:14239367:1 gene:ONIVA05G13250 transcript:ONIVA05G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGPTCQSHFLHLYPFLSGVSLFSKVQEQTDAREDERERSVAADPPSRAPTPLPPIRGDGAQPPIPIRFCSSIGLCHAPPRGSPVSAMPSDVPVMVLLPSWLHLRFVYDVLTAAVVTADAGTLRAGTMSTGASSPESWLSVKTRQL >ONIVA05G13240.1 pep chromosome:AWHD00000000:5:14222083:14225356:-1 gene:ONIVA05G13240 transcript:ONIVA05G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G51670) TAIR;Acc:AT3G51670] MSPTATPSPAPAEVAAAPKPPPSGTGAKRSLMSSLMEATALLRSSSFKEDSYVASALPASDLRALADLRALLSTHPDPISIWGVPLNPAPPQGGEGAPAPAAAADDRADVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFRADAVLDEDLGFKDLEGVVAYMHGWDREGHPVCYNAYGVFKDRDMYDRVFGDGERLARFLRWRVQVMERGVRALHLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFINVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLFKFIRPELVPVQYGGLSRAGDLENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWELEYGAEYVPAAEDSYTLCVERTRKVPAAADEPVHNAFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSA >ONIVA05G13230.1 pep chromosome:AWHD00000000:5:14167426:14167956:1 gene:ONIVA05G13230 transcript:ONIVA05G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAEEEAMMTARRENERLVESLYAAVAAGDGAAASAVLAGDVDWWFHGPRRCEHMRRRLTGEAEAASASSFVFVPRRVAAVGRGGGWVVAEGWEGPRAYWVHAWAVEGGRITRLREYFNTSVTVRDVGGGGHCRPQLDGGVVRRRAAVCWQSQRGRGGGDDDDDRSLPGLVLAI >ONIVA05G13220.1 pep chromosome:AWHD00000000:5:14134653:14138109:-1 gene:ONIVA05G13220 transcript:ONIVA05G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNGGAVEEERKPLSEVVGDCVQRWFQDALKEARRGDSAMQVLVAQMYHSGYGIPKNEHKGRAWAEKASRYRPSVWKVGTKRPGYNASDSDSDEMNDDEKQ >ONIVA05G13210.1 pep chromosome:AWHD00000000:5:14120068:14121257:-1 gene:ONIVA05G13210 transcript:ONIVA05G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGQQRLRRKHCFGPRCRTLGSTLTSKEVFTWANSNNQRLLHVGDIDRTSKSYICTSCSMWLAAEDRVESADDGDVGGWE >ONIVA05G13200.1 pep chromosome:AWHD00000000:5:14118848:14119495:-1 gene:ONIVA05G13200 transcript:ONIVA05G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEQRRTGSSMLLGFILVSDWTSNGIVMERVSLVAVSRTMPTTTATPPPLTSPLYLLHRCEEPVRRHYASSVGAATMRSPSAAAMPSPPPARPLRLLGCCKEPVHHRYASTSGSAAAMPPLPPTPPLLLHCLREELVRRRYASSSAGAAALRHPLPRGALSAAASPYSSPLLHLLHHHDGATLDRREIRRREERLTSGSHTGFYPPLPCWHAT >ONIVA05G13190.1 pep chromosome:AWHD00000000:5:14107269:14109139:-1 gene:ONIVA05G13190 transcript:ONIVA05G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGGLDHGFTFTPPPFITSFTELLSGGGGDLLGAGGEERSPRGFSRGGARVGGGVPKFKSAQPPSLPLSPPPVSPSSYFAIPPGLSPTELLDSPVLLSSSHILASPTTGAIPAQRYDWKASADLIASQQDDSRGDFSFHTNSDAMAAQPASFPSFKEQEQQVVESSKNGGAAASSNKSGGGGNNKLEDGYNWRKYGQKQVKGSENPRSYYKCTYNGCSMKKKVERSLADGRITQIVYKGAHNHPKPLSTRRNASSCATAAACADDLAAPGAGADQYSAATPENSSVTFGDDEADNASHRSEGDEPEAKRWKEDADNEGSSGGMGGGAGGKPVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASHDTRAVITTYEGKHNHDVPVGRGGGGGRAPAPAPPTSGAIRPSAVAAAQQGPYTLEMLPNPAGLYGGYGAGAGGAAFPRTKDERRDDLFVESLLC >ONIVA05G13180.1 pep chromosome:AWHD00000000:5:14100299:14103060:1 gene:ONIVA05G13180 transcript:ONIVA05G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSRKKMIKDHRRGFDTIATLVAWTIWKKETIGSLTKRAGLGRRLPGLCQGKRTFGGWLGQPYRPWSLRKTMKTVCLLKAGGEEGFGADTMGEPIYRRQGLSLVGAFAGKSPWVPVWSAAAMVGGSGGARSEDQWKNRRMEMAPCGGVGCNSVFGQEVADLLLATTATASRGLRRLDLLSLGAPSSWCMMIARRRCGGGFSGAWTRASNAKVPSVKIRRISLPHPRTSIQKDSSSFATIRVLQWVWGPGFGFHLPICFRLACSMQGLLFGLSSRSSDLMANSVDISC >ONIVA05G13170.1 pep chromosome:AWHD00000000:5:14094972:14097908:-1 gene:ONIVA05G13170 transcript:ONIVA05G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGADGLLPGPKLDPSDDELVGGYLLRRLQGQPLPLEADPLSARPRNLAADHGRGDEAFFLAEAQAKNAKGKRQRSTVEGQSIAGCVDYGGAGFAIALLRGKAKGSAEGPAVEASQRDLRLQRQRAGCRPDSTWCGKEVCAQQDEDWISTRADTCSFMLVLPSMVPLFLHRDEAGDEQGDAPVEVTHGDEQGEGGNPNSSGSIVLEHEGATDQDEARAIV >ONIVA05G13160.1 pep chromosome:AWHD00000000:5:14089385:14089582:-1 gene:ONIVA05G13160 transcript:ONIVA05G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPARQRLPSGRLPFLHIWQRKGITAMPPPPSTLPSGHRRLLPSRRVTAASYPHAGLPPPPL >ONIVA05G13150.1 pep chromosome:AWHD00000000:5:14080076:14081493:-1 gene:ONIVA05G13150 transcript:ONIVA05G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inorganic carbon transport protein-related [Source:Projected from Arabidopsis thaliana (AT1G70760) TAIR;Acc:AT1G70760] MDTTAALLRCLLHDTPPPAPPPAADEISSPPLRKLAAALQCGAIWAAVEAPAALAVTGEEDLDILGILPTVAAIAFVYLFVFPPIIMNWMRLRWFKRKFVETYLQFMFTYLFFPGMMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIETYK >ONIVA05G13150.2 pep chromosome:AWHD00000000:5:14080076:14081502:-1 gene:ONIVA05G13150 transcript:ONIVA05G13150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:inorganic carbon transport protein-related [Source:Projected from Arabidopsis thaliana (AT1G70760) TAIR;Acc:AT1G70760] MDTTAASWRLLPPAASSPPPRRQAAALLRRHPAATTTSSSSGKRTTRLLRCLLHDTPPPAPPPAADEISSPPLRKLAAALQCGAIWAAVEAPAALAVTGEEDLDILGILPTVAAIAFVYLFVFPPIIMNWMRLRWFKRKFVETYLQFMFTYLFFPGMMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIETYK >ONIVA05G13150.3 pep chromosome:AWHD00000000:5:14080076:14081493:-1 gene:ONIVA05G13150 transcript:ONIVA05G13150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:inorganic carbon transport protein-related [Source:Projected from Arabidopsis thaliana (AT1G70760) TAIR;Acc:AT1G70760] MDTTAASWRLLPPAASSPPPRRQAAALLRRHPAATTTSSSSGKRTTRLLRCLLHDTPPPAPPPAADEISSPPLRKLAAALQCGAIWAAVEAPAALAVTGEEDLDILGILPTVAAIAFVYLFVFPVRSGPPFLPIIMNWMRLRWFKRKFVETYLQFMFTYLFFPGMMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIETYK >ONIVA05G13140.1 pep chromosome:AWHD00000000:5:14072214:14078800:1 gene:ONIVA05G13140 transcript:ONIVA05G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTPQSDYVSNSETTQQGARFVESSYGASHSHDPAHIKEKKDKIPIGPCKIGPLVHRHEGRLRRRRSDRPSSPIPPPFSASPSPEQPPAKPRGRKDGGGGALLVSSRSGGLGSLPGMDWRWGRAMVAAEVSAPGGVVGDGDGVGAVVVVADPAAACPDPAAARRPAVAHPAAATGVLRSPSRRRPALVIRHVIGWGRGGLESGRRAGGVNIAGTLGVQVTFGVFCCGCYG >ONIVA05G13120.1 pep chromosome:AWHD00000000:5:14041495:14042349:-1 gene:ONIVA05G13120 transcript:ONIVA05G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPDAPRAGAAEEQPGPSSSASAPAPAASSNEEEGRHQSQAQQQVQEAQPQPLAQQAPAAAGLSRYESQKRRDWNTFLQYLRNHKPPLTLPRCSGAHVIEFLKYLDQFGKTKVHADGCAYFGEPNPPAPCACPLRQAWGSLDALIGRLRAAYEESGGRPESNPFAARAVRIYLREVREAQAKARGIPYEKKRKRGAAAAAAAPPVVVAPPPVVTAPDDATGTSGGAGEDDDDDEATHSSEQQDTTPAASPTTPPATSVGTTTAAATAAAAKGSAAKGSATSS >ONIVA05G13110.1 pep chromosome:AWHD00000000:5:14022698:14024838:-1 gene:ONIVA05G13110 transcript:ONIVA05G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 7 [Source:Projected from Arabidopsis thaliana (AT1G55300) TAIR;Acc:AT1G55300] MEEQFILRVPPSVAERIERLMNESAAASSSSNPEDASLDLSFSEDGRNGTFMIGNESFPASLLDLPTVVESYKTYDDSVLIKTADIGQMIMVREEEDPAPEGVEYKHGLTPPMRDARRRRFRREPDLNNASAILRAGEGGDRKKAGPAPATKPNVKQPAANGEEAEAERSDSDESVDP >ONIVA05G13100.1 pep chromosome:AWHD00000000:5:14020252:14020881:1 gene:ONIVA05G13100 transcript:ONIVA05G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDKGVGEEVVAIEEEVGAHEHLWSLVLASSSTSALMSVWRMPSTRRLGKMSARGANVVDVEEQLLVTKVEAVEEHDTEDIDPAWLEWEPPPKLIGGAASDEEVAVAAHGLPLRSPVVGEGHRGEGEKEIVDSACEEEDKVGEDKINGQGILVHI >ONIVA05G13090.1 pep chromosome:AWHD00000000:5:14006029:14006726:-1 gene:ONIVA05G13090 transcript:ONIVA05G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral organ boundaries (LOB) domain family protein [Source:Projected from Arabidopsis thaliana (AT2G30130) TAIR;Acc:AT2G30130] MGGGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQELPAQQRGDAVSSLVYEANARMRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQHRDDGAAHQLDAAADHHPLLDQQQQQQMVVDAADAAASFLVQNGGGGGGPAAQLISGYGSPAAGGGGGHGVVHYAAAQEHLKRESLWT >ONIVA05G13080.1 pep chromosome:AWHD00000000:5:13999434:13999682:-1 gene:ONIVA05G13080 transcript:ONIVA05G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGPAAVQRYGPDMTLREALDGRGDIYRTLLWEATAVLLNAYYNTPGVLSCSRLVGGGDVSTELACVVFLGEDRGAKQLRG >ONIVA05G13070.1 pep chromosome:AWHD00000000:5:13991785:13998143:-1 gene:ONIVA05G13070 transcript:ONIVA05G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPRRLLRRLRSMLPSAAPAAAAAGEDEEEGERRPWEPPFDASQPAPPPPRRRILACHDFRGGYRDDAAPQGGHDPGAYALWHWHLIDVFVYFSHYLVTLPPPCWVNAAHLHGVKVLGTFITEWEKGAEICEEMLATEASAQMYAERLTELAAYLGFDGWLINIEVKLDIQFIDNLKEFINHLTKTMHAAVPGSLVIWYDAITIKGALDWQNKLNEYNKPFFDLCDGLFSNYTWKAKYPQESAVVAGERKYDVYMGIDVYGRNTFGGGQWNTNVALDLLKKDDVSAAIFAPGWVYETKQPPNFRTAQNRWWGLVQESWGVLQSYPKQLPFYSDFDQGHGYQVSIEGVKVYGAPWDNISCQSFQPMLKYAGDRGLQTVINFEDEPYSGGNCVTVKGSLQQNEIFSEQLFNGGLSMEGESVYVFYSVKADERSGLGLSLDLSSGNNESSSILIADDTAAFTRKKQHRKYGSYVKADKAEPHTPVHQNWVVYKATIQPSAGFTLTGINIVCTMKTTSGTDPETDGDGSSEAGANRSLHYHASLGHVSIRNTEETEFPPARSWVTEGDYISWSNGSDESKLASLKISWELENKQQAPFMKYNVYVEKLTADSNAKAPRIFLGVASVQVFYVSDLEVPSEVTALKFFIQPCGRDGSCQGLHECPKFHLVPVDSAM >ONIVA05G13060.1 pep chromosome:AWHD00000000:5:13985193:13989827:1 gene:ONIVA05G13060 transcript:ONIVA05G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHSRLPPRPPPQSSAVASNSWAGRPGPRTTARVTDLEAPTNGGDSLGSIHRVHAPPAARTAHLKRGEPGGWVAAAASSSSFQSPPNFGWIRGGGLGIWIWIGERGEMDQVLNKVGSYWFSKRASKEIDSIGDDISLLVEADAADTGKGIEWNSRRRLVEIVTVQSISTSIGTGAKWMVNKIKGKMQKALPDLLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSACDVGYKDSSVLRFFTCVTGYLEKGKLSDIEGLKTKVLVWTKVTAIKTEGSKVHFTAGVKKTRSRDAYEVVRDGIPIDKF >ONIVA05G13050.1 pep chromosome:AWHD00000000:5:13984752:13985129:-1 gene:ONIVA05G13050 transcript:ONIVA05G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFRCRGPARPIGVRTVYKQLGTQTLALEVKERTAKRTLLRRLRSSLHGASSPPSVSRASREH >ONIVA05G13040.1 pep chromosome:AWHD00000000:5:13982037:13984222:-1 gene:ONIVA05G13040 transcript:ONIVA05G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARRKIQKDKGLEPTEFEDTVAQAFFDLENGNQELKSDLKDLYINGAVQMDLPGNRKAVIIHVPYRLRKAYKKIHVRLVRELEKKFSGKDVVLVATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYHLDGRKIMKIFLDPKERNNTEYKLDTFSSVYRRLCGKDVVFDYPMTETA >ONIVA05G13030.1 pep chromosome:AWHD00000000:5:13976268:13979142:-1 gene:ONIVA05G13030 transcript:ONIVA05G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDQRTTAKAIMPPVEMPPVQPGRKCGGEESTGNLDSVQPIGALPCNEHALLAQQTPKGDAPSVGSKIWKKRPRRSRDGPTSVAETIKRWAELNNQQELDPQGPKKARKAPAKGSKKGCMKGKGGPENTRCDFRGVRQRTWGKWVAEIREPNRQSRLWLGTFPTAEAAACAYDEAARAMYGPMARTNFGQHHAPAASVQVVAQAAVKCALPGGGLTASKSRTSTQGASADVQDVLTGGLSACESTTTTINNQSDVVSTLHKPEEVSEISSPLRAPPAVLEDGSNEDKAESVTYDENIVSQQRAPPEAEASNGRGEEVFEPLEPIASLPEDQGDYCFDIDEMLRMMEADPTNEGLWKGDKDGSDAILELGQDEPFYYEGVDPGMLDNLLRSDEPAWLSADPAMFISGGFEDDSQFFEGL >ONIVA05G13030.2 pep chromosome:AWHD00000000:5:13976268:13979142:-1 gene:ONIVA05G13030 transcript:ONIVA05G13030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDQRTTAKAIMPPVEMPPVQPGRKKRPRRSRDGPTSVAETIKRWAELNNQQELDPQGPKKARKAPAKGSKKGCMKGKGGPENTRCDFRGVRQRTWGKWVAEIREPNRQSRLWLGTFPTAEAAACAYDEAARAMYGPMARTNFGQHHAPAASVQVVAQAAVKCALPGGGLTASKSRTSTQGASADVQDVLTGGLSACESTTTTINNQSDVVSTLHKPEEVSEISSPLRAPPAVLEDGSNEDKAESVTYDENIVSQQRAPPEAEASNGRGEEVFEPLEPIASLPEDQGDYCFDIDEMLRMMEADPTNEGLWKGDKDGSDAILELGQDEPFYYEGVDPGMLDNLLRSDEPAWLSADPAMFISGGFEDDSQFFEGL >ONIVA05G13020.1 pep chromosome:AWHD00000000:5:13968402:13979281:1 gene:ONIVA05G13020 transcript:ONIVA05G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTAPPDMPAGDGDGDMETLPLASDYASVASTFDPLLSSAAAAASPPSPTIAAAAAFPLSPSSSSSFVDPPSYADVAASSSSSPRSASASASASPRSAASDYALIAVSDPTPEAEPAATSLVPGSAPTYISYLVTSARRGDHRRHAVRRRFRDFVTLADRLAEAFRGHFVPPRPDKNTVESQVMQRDEFVAQRRAALERYLWRLAEHPAIGPSDELRVFLQAEGKMPLPSTTDVASRMLDGAARLPRQLLAGEEAVAAPQEVVQPAKGGRDLLRIFKELKQSVVSDWGGVRPPLVEEDKEFLDKKQKLQDWEQQLTSASQQAEALVKAQQDMGETMGALGLAFIKLTKFETEEAMYDSQRIRAADSKRIATAAVKASRACRDLNTQTVKYLDTLHEHLGIMLSVHTAFSDRASALLTVQTLMSDLASLQLRIEKLEAAASKIFGGDKSRLRKVEELRETIRATEDAKCCALREYERIKENNRSELNRLDREKKEDMLEMIKGYVTSQAAYAEKIVEGWETVAEETSGYARRSDNNIAW >ONIVA05G13020.2 pep chromosome:AWHD00000000:5:13968402:13979272:1 gene:ONIVA05G13020 transcript:ONIVA05G13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTAPPDMPAGDGDGDMETLPLASDYASVASTFDPLLSSAAAAASPPSPTIAAAAAFPLSPSSSSSFVDPPSYADVAASSSSSPRSASASASASPRSAASDYALIAVSDPTPEAEPAATSLVPGSAPTYISYLVTSARRGDHRRHAVRRRFRDFVTLADRLAEAFRGHFVPPRPDKNTVESQVMQRDEFVAQRRAALERYLWRLAEHPAIGPSDELRVFLQAEGKMPLPSTTDVASRMLDGAARLPRQLLAGEEAVAAPQEVVQPAKGGRDLLRIFKELKQSVVSDWGGVRPPLVEEDKEFLDKKQKLQDWEQQLTSASQQAEALVKAQQDMGETMGALGLAFIKLTKFETEEAMYDSQRIRAADSKRIATAAVKASRACRDLNTQTVKYLDTLHEHLGIMLSVHTAFSDRASALLTVQTLMSDLASLQLRIEKLEAAASKIFGGDKSRLRKVEELRETIRATEDAKCCALREYERIKENNRSELNRLDREKKEDMLEMIKGYVTSQAAYAEKIVEGWETVAEETSGYARRSDNNIAW >ONIVA05G13010.1 pep chromosome:AWHD00000000:5:13963608:13964559:-1 gene:ONIVA05G13010 transcript:ONIVA05G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNMVWQPQVVEEMLRYYKEKIQAEGRQFIFKEVHYEECAKQINEKYHTKFTSRQVYHKFHKLKAQWKVIMEAKNLNGANFDDVEKRFLYDETEVVQTNNFINLKAPYDHPMTEDTDFIGEKNGSPSDVDPSLHYDSDYLPEENNNRSSSSSKRPKGSKIDKGKRVKADDNPILHITGAMNNMSDTMRFTHVTHLNESLFKIIDEMVEYPTIVRLQLQTYLTTHESIAAMLKGRPLDAIKDYVAQWIVENYPAPM >ONIVA05G13000.1 pep chromosome:AWHD00000000:5:13962324:13964503:1 gene:ONIVA05G13000 transcript:ONIVA05G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVDLVLERRIDAHPCVWMMWCLGSLRIWTSVAAHGIFGVNMMAGSEQGWFGGACIFSL >ONIVA05G12990.1 pep chromosome:AWHD00000000:5:13951085:13954227:-1 gene:ONIVA05G12990 transcript:ONIVA05G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQDAHFVRLRCSVVRRSKYLAAEDDGRGVCLSGQRGAHNTVWAVEHITGDVPGAAPGPYVRLRGAYGRYLVATDLQAKAGPAHGVTAEQRDAAHHPTPPPWAWQAFRRRSSSLLRNGTGRYLRANGRYLRWRTAVTVAGDNASPMMLWAVEVVPPKPGRVTLVDRPAQLIRRRRGPATEGETSRVIRFVRGDEGGEFEESEWRALRVNTNSLMHLRLTLANLLGHNRDALHTTVCVRAGAYAQLSPLLVDLPIGNDRIDVVVLSHGTPAEDALKYPCVDA >ONIVA05G12980.1 pep chromosome:AWHD00000000:5:13941924:13948221:-1 gene:ONIVA05G12980 transcript:ONIVA05G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G30600) TAIR;Acc:AT2G30600] MLLPPHPVEEKKRSITVAPFECAWDEEFRFREAGRGCITFEASAHNDVTLVFREQPGSQHYHYKMDNSRHYIVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNRNVQYVGLSSWDKHVGYRNISLMPSAPQNSILWSQIECAYVEPDGAGGHTRKQESKDGLDQRALANFLENWDFSDSIFVVGSERKVVPAHKVVLGSCGDFPFNLMMSRPAIELPSVSYPVLHSLLEYIYTGSTQISEWQLVSLLELSSQFKVKPLVMYCEEIIGCLKMSDAVSESSKKIQLSSGGSQAHQFYYFPFKAPLNTQKIEQFLVNGEHSDVNIYVNGHGLVTHAHKLILSLWSMTFDKMFTNGMKESSASNVFFEDVPVEAFFLLIQFMYSGELKVDIEEITPVLVELLLLSDQFGITALQFECCKRIMEFLSKIMILPTLVILVLILQHGHMTVTSEERVLDAILTWCMEACDCFNWTSVHELLSTSRPEKLFGGRLTAINTLLPFVRFPLVQPSVLHLMEKSNLAKNIEAFRQLVAEAIEFSNAGLRMATNTCERFHHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNITVTASSPNSRYTDPKALVSKNYQATCFAGPRLEDGKMCSWWMVDIGPDHQLMCNYYTVRQDGSATFMRSWVLQGSMDGRSWTSLHVHEDDQTICQPGQFASWPITGQTALLPFRFFRVMLTAPATGVSNTWNLCICFLELYGYFR >ONIVA05G12980.2 pep chromosome:AWHD00000000:5:13941924:13948221:-1 gene:ONIVA05G12980 transcript:ONIVA05G12980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G30600) TAIR;Acc:AT2G30600] MLLPPHPVEEKKRSITVAPFECAWDEEFRFREAGRGCITFEASAHNDVTLVFREQPGSQHYHYKMDNSRHYIVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNRNVQYVGLSSWDKHVGYRNISLMPSAPQNSILWSQIECAYVEPDGAGGHTRKQESKDGLDQRALANFLENWDFSDSIFVVGSERKVVPAHKVVLGSCGDFPFNLMMSRPAIELPSVSYPVLHSLLEYIYTGSTQISEWQLVSLLELSSQFKVKPLVMYCEEIIGCLKMSDAVSESSKKIQLSSGGSQAHQFYYFPFKAPLNTQKIEQFLVNGEHSDVNIYVNGHGLVTHAHKLILSLWSMTFDKMFTNGMKESSASNVFFEDVPVEAFFLLIQFMYSGELKVDIEEITPVLVELLLLSDQFGITALQFECCKRIMEFLSKHGHMTVTSEERVLDAILTWCMEACDCFNWTSVHELLSTSRPEKLFGGRLTAINTLLPFVRFPLVQPSVLHLMEKSNLAKNIEAFRQLVAEAIEFSNAGLRMATNTCERFHHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNITVTASSPNSRYTDPKALVSKNYQATCFAGPRLEDGKMCSWWMVDIGPDHQLMCNYYTVRQDGSATFMRSWVLQGSMDGRSWTSLHVHEDDQTICQPGQFASWPITGQTALLPFRFFRVMLTAPATGVSNTWNLCICFLELYGYFR >ONIVA05G12980.3 pep chromosome:AWHD00000000:5:13941924:13948221:-1 gene:ONIVA05G12980 transcript:ONIVA05G12980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G30600) TAIR;Acc:AT2G30600] MLLPPHPVEEKKRSITVAPFECAWDEEFRFREAGRGCITFEASAHNDVTLVFREQPGSQHYHYKMDNSRHYIVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNRNVQYVGLSSWDKHVGYRNISLMPSAPQNSILWSQIECAYVEPDGAGGHTRKQESKDGLDQRALANFLENWDFSDSIFVVGSERKVVPAHKVVLGSCGDFPFNLMMSRPAIELPSVSYPVLHSLLEYIYTGSTQISEWQLVSLLELSSQFKVKPLVMYCEEIIGCLKMSDAVSESSKKIQLSSGGSQAHQFYYFPFKAPLNTQKIEQFLVNGEHSDVNIYVNGHGLVTHAHKLILSLWSMTFDKMFTNGMKESSASNVFFEDVPVEAFFLLIQFMYSGELKVDIEEITPVLVELLLLSDQFGITALQFECCKRIMEFLSKARLVHIVKMICITHMSYLISENQIMILPTLVILVLILQHGHMTVTSEERVLDAILTWCMEACDCFNWTSVHELLSTSRPEKLFGGRLTAINTLLPFVRFPLVQPSVLHLMEKSNLAKNIEAFRQLVAEAIEFSNAGLRMATNTCERFHHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNITVTASSPNSRYTDPKALVSKNYQATCFAGPRLEDGKMCSWWMVDIGPDHQLMCNYYTVRQDGSATFMRSWVLQGSMDGRSWTSLHVHEDDQTICQPGQFASWPITGQTALLPFRFFRVMLTAPATGVSNTWNLCICFLELYGYFR >ONIVA05G12970.1 pep chromosome:AWHD00000000:5:13927493:13939201:-1 gene:ONIVA05G12970 transcript:ONIVA05G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58450) TAIR;Acc:AT5G58450] MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYVLALKGLILERMGKPDEALSVCLNAKEQLYSDNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVKQQQTAIKMYKTVGEERFLLWAICSIQLQVHFSIGGEKLLPLAEALLKKHITSHSLHEPEALALYISILEQQSKYDAALEVLSGDLGSLMGREEDKLRLQGRLLARACNYTAASEIYQKILESCPDDWESFLHYLGCLLEHDVNLPKSRTSEHPSSLPVDSALALKTSLSDELVESRLASALSFVQKLQVNDTSDCVRGPHLASIEIERQRCRSGNPTDRKFIEALINYFHRFGHLSCAASDVEIYLHMLSSDETTELLDTISRSFDASSLSVKGLGLAITTFKVQELLGTFFSKSTTELQHIAKGMVEAFYKNLPLSRDLDPQESMHGEELLCMASSILVQLFWRTRNLGYLIEAILVLEFGLTVRKYVTLEVKNILLESASHHILPQMLNSPLLQQTADLVIEFVQFKERLQHSMQYLSVRSDSIILSLKQKAESLDEVESILENVNHGARLVELSNEDNVKRFTFNEDLQARPWWTPTTSVNFLSEPFDEGSTPACFRAKACEHKSTEKDDSKIKYAERKALLPRLVYLSMHGCASSLRETQLNGSGLDTDATEMKPLLLKYARSIGYSIDDALSVILGMSSGKKSVKDFTPDIVSWMSFAVFINAWNLWSNESVVPRADESSPSSWQIVDSLVKICVEEQLIDANRILTSPGNNIPVLVQMITEPISWHLVVIQSCVRSMAPQGKKKKKGGPSERPNVPRLQAIQRSVQCMIDTLRSVQSWLSDQMRPEEQALDILLSYLQGGNEDGPGQISCILEENSARHNPELGERIAQSLETWSSAGVVRRIVGAEKELLVELKKICDSKLKLLASVSASLSSALH >ONIVA05G12970.2 pep chromosome:AWHD00000000:5:13927493:13939201:-1 gene:ONIVA05G12970 transcript:ONIVA05G12970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58450) TAIR;Acc:AT5G58450] MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYVLALKGLILERMGKPDEALSVCLNAKEQLYSDNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVKQQQTAIKMYKTVGEERFLLWAICSIQLQVHFSIGGEKLLPLAEALLKKHITSHSLHEPEALALYISILEQQSKYDAALEVLSGDLGSLMGREEDKLRLQGRLLARACNYTAASEIYQKILESCPDDWESFLHYLGCLLEHDVNLPKSRTSEHPSSLPVDSALALKTSLSDELVESRLASALSFVQKLQVNDTSDCVRGPHLASIEIERQRCRSGNPTDRKFIEALINYFHRFGHLSCAASDVEIYLHMLSSDETTELLDTISRSFDASSLSVKGLGLAITTFKFLTPSSLFNNPELQHIAKGMVEAFYKNLPLSRDLDPQESMHGEELLCMASSILVQLFWRTRNLGYLIEAILVLEFGLTVRKYVTLEVKNILLESASHHILPQMLNSPLLQQTADLVIEFVQFKERLQHSMQYLSVRSDSIILSLKQKAESLDEVESILENVNHGARLVELSNEDNVKRFTFNEDLQARPWWTPTTSVNFLSEPFDEGSTPACFRAKACEHKSTEKDDSKIKYAERKALLPRLVYLSMHGCASSLRETQLNGSGLDTDATEMKPLLLKYARSIGYSIDDALSVILGMSSGKKSVKDFTPDIVSWMSFAVFINAWNLWSNESVVPRADESSPSSWQIVDSLVKICVEEQLIDANRILTSPGNNIPVLVQMITEPISWHLVVIQSCVRSMAPQGKKKKKGGPSERPNVPRLQAIQRSVQCMIDTLRSVQSWLSDQMRPEEQALDILLSYLQGGNEDGPGQISCILEENSARHNPELGERIAQSLETWSSAGVVRRIVGAEKELLVELKKICDSKLKLLASVSASLSSALH >ONIVA05G12970.3 pep chromosome:AWHD00000000:5:13927495:13939201:-1 gene:ONIVA05G12970 transcript:ONIVA05G12970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58450) TAIR;Acc:AT5G58450] MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYVLALKGLILERMGKPDEALSVCLNAKEQLYSDNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVKQQQTAIKMYKTVGEERFLLWAICSIQLQVHFSIGGEKLLPLAEALLKKHITSHSLHEPEALALYISILEQQSKYDAALEVLSGDLGSLMGREEDKLRLQGRLLARACNYTAASEIYQKILESCPDDWESFLHYLGCLLEHDVNLPKSRTSEHPSSLPVDSALALKTSLSDELVESRLASALSFVQKLQVNDTSDCVRGPHLASIEIERQRCRSGNPTDRKFIEALINYFHRFGHLSCAASDVEIYLHMLSSDETTELLDTISRSFDASSLSVKGLGLAITTFKVQELLGTFFSKSTTELQHIAKGMVEAFYKNLPLSRDLDPQESMHGEELLCMASSILVQLFWRTRNLGYLIEAILVLEFGLTVRKYVTLEVKNILLESASHHILPQMLNSPLLQQTADLVIEFVQFKERLQHSMQYLSVRSDSIILSLKQKAESLDEVESILENVNHGARLVELSNEDNVKRFTFNEDLQARPWWTPTTSVNFLSEPFDEGSTPACFRAKACEHKSTEKDDSKIKYAERKALLPRLVYLSMHGCASSLRETQLNGSGLDTDATEMKPLLLKYARSIGYSIDDALSVILGMSSGKKSVKDFTPDIVSWMSFAVFINAWNLWSNESVVPRADESSPSSWQIVDSLVKICVEEQLIDANRILTSPGNNIPVLVQMITEPISWHLVVIQSCVRSMAPQGKKKKKGGPSERPNVPRLQAIQRSVQCMIDTLRSVQSWLSDQMRPEEQALDILLSYLQGGNEDGPGQISCILEENSARHNPELGERIAQSLETWSSAGVVRRIVGAEKELLVELKKICDSKLKLLASVSASLSSALH >ONIVA05G12960.1 pep chromosome:AWHD00000000:5:13892914:13893486:-1 gene:ONIVA05G12960 transcript:ONIVA05G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYISSLIPSIADQLAPPYRSASLLLTTACRRFPAASARRPSRPAGHSTQRRLPPKPVAIASGHRRLPTPTPGDYSAVHDLSQPPIVRGHIAARSLITISPSRRLTISRSPRRFLQIRAKSCSPSSAPPSGPRSYVANLQPSIAREDRAGAEVGRRGAHAGRPVRHCHWAPTSRFRADCRYSSGLAEPKQ >ONIVA05G12950.1 pep chromosome:AWHD00000000:5:13851770:13853767:1 gene:ONIVA05G12950 transcript:ONIVA05G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRPDGAAPPVPVAAAPVVPVDFTVVKRRLGGGGDMEVRDASGGLAFRFVAAAAAGGGGGGGRALLDAAGGVLVTVRSGEGEWQAFRGNSLDYKDIIYTAKSISVCSNRNEVHVFMPPRSNFQDTKASYRLIGNTSRRACTIISGDSIVSQTNLLYKLKKVVYSTRKFRVTIYPGNDTLLVMAMVMNFFMEK >ONIVA05G12950.2 pep chromosome:AWHD00000000:5:13851882:13853767:1 gene:ONIVA05G12950 transcript:ONIVA05G12950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRPDGAAPPVPVAAAPVVPVDFTVVKRRLGGGGDMEVRDASGGLAFRFVAAAAAGGGGGGGRALLDAAGGVLVTVRSGEVMGEWQAFRGNSLDYKDIIYTAKSISVCSNRNEVHVFMPPRSNFQDTKASYRLIGNTSRRACTIISGDSIVSQTNLLYKLKKVVYSTRKFRVTIYPGNDTLLVMAMVMNFFMEK >ONIVA05G12950.3 pep chromosome:AWHD00000000:5:13850824:13853767:1 gene:ONIVA05G12950 transcript:ONIVA05G12950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSNFQDTKASYRLIGNTSRRACTIISGDSIVSQTNLLYKLKKVVYSTRKFRVTIYPGNDTLLVMAMVMNFFMEK >ONIVA05G12940.1 pep chromosome:AWHD00000000:5:13837188:13843400:-1 gene:ONIVA05G12940 transcript:ONIVA05G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRVDKATSELLLGPDWTLNIDICDAVNSDHGQAKEVIKALKKRLQHKNSKVQFFALTLLETLMKNCGDHVHSQVVERDILQEMIKIVKKKTDMQLRDKILVLLESWQEAFGGNGGKHPQYYWAYAEMKKLGLEFPRRSPDAAPILTPPITRPTSLESYHQPSYGMPVNSSSRFDEAMPSNGPSLSSSEMERMLGAVEVLSEMLKAVNPHDRGAVNDEIITELVKQCRSDQKKIISLVTSLRDEELLGQALDLNDRMQILLGKHDAIASGSPLPDEETDIMNESSAETTSTPVATGAPRAAVAAIVPTNVFDEEEEDEDDEFSQLARRNSKFRSTNAESTPSGVATSLSTAHDDEITSSGSSGTSTVSPPVQSHALALPDPPAPVRTAEEQVMSDLLALTISNPSPPQTPPTPEATTTMNQGGSQANDHPQPSYSNQGLAAASYNSYAAPWAQPQCQTPGIQLQQHQQPSQSQLPYNSSPYPPPPWASEDTAESNPFIAASLKNQPNSASPVNVPLNLRPLQQSNSFGVPLRSAGPQSPINGSTKQPMSAGARRPSYVSSNKYFDDLFEKNADGSLMKVGGSVGGGASSPYKT >ONIVA05G12930.1 pep chromosome:AWHD00000000:5:13829006:13838795:1 gene:ONIVA05G12930 transcript:ONIVA05G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERSRAGGAAVAVDGESLRRPEEEGGRRKKGGWITFPFMAVSLLAFGLSSAGAMGNLVVYLVKEYHVPSVDAAQISTIVSGCISVAPVAGAIVADAFFGCFPVVAVAMVFSVLALVVFTLTASVRGLRPAACVPGATACEAATAGQMAVLYAGVFLLCVSSAGARFNQATMGADQFDAAADRDVFFNWYFIFFYGSAVLGSTVLVYVQDAVSWELGFGLAATIAAAGLAALLLGARYYRRPAARGSPFTGIARVVVAAARKRKIDVAAAAAAASGDLKFYYGPRSGDGDDDGGKPSDDNNFAPSDSFSFLNRAAVITDGDVDAADAAAPLRPWRVCTVRQVEDLKAVLRILPLWSSSIFLSISIGVQLNFTVLQALAMDRAIGRFHVPAASMVVSSFVAVVVSLGLIDRALLPLWRALTGGRRAPTPLQRIGVGHVLTVLSMAASAAVERRRLATVRAHGEAARDDPAWVSPLPAAWLVLPFALSGAGEAFHFPAQVTLYYQEFPPSLKNTASGMVAMIVALGFYLSTALVDAVRRATAWLPDNMNASRLENLYWLLAVLVAINFGYYLACAKLYKYQNFGK >ONIVA05G12930.2 pep chromosome:AWHD00000000:5:13837514:13838084:1 gene:ONIVA05G12930 transcript:ONIVA05G12930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGREMSCRAIVTGMVVDAAEAECLEFDIEAEPKEQHSCCLDDFKDKWVGNKNIVGNQNNLRAS >ONIVA05G12920.1 pep chromosome:AWHD00000000:5:13823031:13823492:1 gene:ONIVA05G12920 transcript:ONIVA05G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIPIESSNPSTNRSMPQPSTFIPLRTPPFPALPCRIASLPSAATRTSTRHCLCALTGKASRPLPPSAASPTFPSAAVANGYPGSNGRAAFLPRSAQPASQAAARPPSLAPVQPMGDNTPAEEPPSQPTGDDAACHQRRHHNKGDTAPLLES >ONIVA05G12910.1 pep chromosome:AWHD00000000:5:13810946:13811663:1 gene:ONIVA05G12910 transcript:ONIVA05G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSRRLKPPTFCQTLDRDIWIDGWIYLDIQISFAISPKSLIWPGNKALLWAQEAKGMSSAASPPHFFISPCPFRMRFARHWRFALLLFLHWRVRMDFAVLSQRKWKGLYHIEMSIRFPPQMRWGISHLCPFIFMKGIKARPGSRRSNNRRGAPQYTIARSNWESYYT >ONIVA05G12900.1 pep chromosome:AWHD00000000:5:13807507:13809990:-1 gene:ONIVA05G12900 transcript:ONIVA05G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRTETKTSIQQCASALLSILYKGMKPDQKARVNGVEFGELVLPGRGRIAVSADSVHRVFGLPNGGDPVPYEFDSTADAFIDSPYGLTGKQRKGKERQELQTMVVNQESQEIFMANKLEA >ONIVA05G12890.1 pep chromosome:AWHD00000000:5:13805299:13806858:-1 gene:ONIVA05G12890 transcript:ONIVA05G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAARLSAIPQEIADIENTKLELEQMLGVLGEPLFLAFVDPAVIIQDDIVLVQNQIRILENRKKALLEEQQSLIVMAAHHGSKEEEVFLAGVNPKTFHRLQSICDLYNRYRKQVNRWSRHLGCGDLSRLSEYLMGIVIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQSC >ONIVA05G12880.1 pep chromosome:AWHD00000000:5:13801989:13803213:-1 gene:ONIVA05G12880 transcript:ONIVA05G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKNNSNSRLVGAGAGERIAYGAGVKGAFIDIEIIIERAGLRAASALFLEGDPTYRRHFVIPLEDRIVIDALSDVLLIKAQKFIDPKVHIYGKTVMSGVGNDIGPGLRNEFNSWNGVNSVAVFDIHEVLSRVDLNMLF >ONIVA05G12870.1 pep chromosome:AWHD00000000:5:13794034:13796908:-1 gene:ONIVA05G12870 transcript:ONIVA05G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGFHARRHRSFSTRGRGDRAPLFLAHYPPRPRRSDTPLPPLLRVLAGPAAAVLLARSSCSPLPPLCRVLAGAAAAVLLLPHRHAPLPALRRVLTGDAARSCFSHSDQPPEPPPEPTSAPSPLPEPNSTSASDGAGVVCPSARPTASPISSSISARRIPSRLCNHDSGLASSVYHAPPPPRSTPMDLLSVMQSQSMEGTDASCHHSPTTAAGEALVQQSGGQTKKINEGTVNFQSRGLPFSCKDHCDTIMSVDSVLACLASEALDLEKKV >ONIVA05G12870.2 pep chromosome:AWHD00000000:5:13792579:13796908:-1 gene:ONIVA05G12870 transcript:ONIVA05G12870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGFHARRHRSFSTRGRGDRAPLFLAHYPPRPRRSDTPLPPLLRVLAGPAAAVLLARSSCSPLPPLCRVLAGAAAAVLLLPHRHAPLPALRRVLTGDAARSCFSHSDQPPEPPPEPTSAPSPLPEPNSTSASDGAGVVCPSARPTASPISSSISARRIPSRLCNHDSGLASSVYHAPPPPRSTPMDLLSVMQSQSMEGTDASCHHSPTTAAGEALVQQSGGQTKKQLQSFGMQQLERYSVNYLSNAKQCIAVLDARYLVLDLFGKFSHVHETNVIQYSYSYLLCFPREMKKRKQRKEGICFMHVTPVVYLIICILFYPMIICLLKCFDWMERL >ONIVA05G12870.3 pep chromosome:AWHD00000000:5:13794615:13796908:-1 gene:ONIVA05G12870 transcript:ONIVA05G12870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGFHARRHRSFSTRGRGDRAPLFLAHYPPRPRRSDTPLPPLLRVLAGPAAAVLLARSSCSPLPPLCRVLAGAAAAVLLLPHRHAPLPALRRVLTGDAARSCFSHSDQPPEPPPEPTSAPSPLPEPNSTSASDGAGVVCPSARPTASPISSSISARRIPSRLCNHDSGLASSVYHAPPPPRSTPMDLLSVMQSQSMEGTDASCHHSPTTAAGEALVQQSGGQTKKVR >ONIVA05G12860.1 pep chromosome:AWHD00000000:5:13781343:13781734:-1 gene:ONIVA05G12860 transcript:ONIVA05G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCLRPTHQEVMLFCLVLLLCSAIPAQTRDIGQTTNEIQKDMSTGVKNKNSFGELYYKPDHCVQTPGGFYCCALDQLCYPTIGLCIPECTPSKVRRGS >ONIVA05G12850.1 pep chromosome:AWHD00000000:5:13778098:13778583:-1 gene:ONIVA05G12850 transcript:ONIVA05G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMEERTLNLDQASCRGDAGDEATDDDGRRGGGGWLREGSGAGGTGGRRGRGGQLGEGGGVGGAVGRRGGGGRLGKNGDGAGGVASCGVHAREFQASGEDYSLTRGSACVGCIPRKGGFGACSTSKHKQPNEA >ONIVA05G12840.1 pep chromosome:AWHD00000000:5:13752412:13752991:-1 gene:ONIVA05G12840 transcript:ONIVA05G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYQGQHGYGADRVDVYGNPVAGQYGGGATAPGGGHGVMGMGGHHAGAGGQFQPVKEEHKTGGILHRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGNNHQQQQMMGNTGGAYGQQGHAGMTGAGTGTGVHGAEYGNTGEKKGFMDKIKEKLPGQH >ONIVA05G12830.1 pep chromosome:AWHD00000000:5:13745029:13745871:-1 gene:ONIVA05G12830 transcript:ONIVA05G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQHGGHASSRADEHGNPAVTTGNAPTGMGAGHIQEPAREDKKTDGVLRRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGNNQQQQQEHTTTTTGGAYGPQGHDTKIATGAHGGTAATTADAGGEKKGIVDKIKEKLPGQH >ONIVA05G12820.1 pep chromosome:AWHD00000000:5:13740915:13741690:-1 gene:ONIVA05G12820 transcript:ONIVA05G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGENSGIAGAGGNSGASGGGGNATAPGDNTNGGNTNASTSSAPFSCLPGQANWRPRNTGPAASNPTTPHISAANCPLNVHAKKKLSIAYTTLRGRHKLPMPRPGHSMFGATMSLGVVASGQCGLKEMMDAAAT >ONIVA05G12810.1 pep chromosome:AWHD00000000:5:13735159:13735558:-1 gene:ONIVA05G12810 transcript:ONIVA05G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCMTYTHQGVMLFFLVLLVCSAIPAQIRGQNTNKIRSDMPMGVKGRNGFLGLDYKPDHCVQTRGGFYCCSLDQLCYPTLEGCLPNCTPPKVHRGS >ONIVA05G12800.1 pep chromosome:AWHD00000000:5:13704822:13705064:1 gene:ONIVA05G12800 transcript:ONIVA05G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERQIGRFRVAIRFVFGVAVAVVVAMAAVLTAGSMAAAAPNGLTYKGFIPNQPVCARSCVPGRSNLPQRGCLKIYRCR >ONIVA05G12790.1 pep chromosome:AWHD00000000:5:13699810:13700493:-1 gene:ONIVA05G12790 transcript:ONIVA05G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTFTCLHTTARAKMEHQGQHGHVTSRVDEYGNPVGTGAGHGQMGTAGMGTHGTAGTGGGQFQPMREEHKTGGVLQRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGEQQHAMGGTGTGTGTGGAYGQQGHGTGMTTGTTGAHGTTTTDTGEKKGIMDKIKEKLPGQH >ONIVA05G12780.1 pep chromosome:AWHD00000000:5:13693369:13693958:-1 gene:ONIVA05G12780 transcript:ONIVA05G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYQGQHGYGADRVDVYGNPVGAGQYGGGATAPGGGHGAMGMGGHAGAGAGGQFQPAREDRKTGGILHRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGNNQQQQQMMGNTGGAYGQQGHAGMTGAGTGVHGAEYGNAGEKKGFMDKIKEKLPGQH >ONIVA05G12770.1 pep chromosome:AWHD00000000:5:13666556:13666885:1 gene:ONIVA05G12770 transcript:ONIVA05G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWPGDGVVERPARWRRGLGWLGGRAVAAEVALVSSRRPEWHLCWRHDQEVGWQWWRWRRRHWCRPRGKVQGSGGSAKGAGSGRSSSSFPVGTLALGGPPILYREFLS >ONIVA05G12750.1 pep chromosome:AWHD00000000:5:13647967:13649832:-1 gene:ONIVA05G12750 transcript:ONIVA05G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTPGCEQKVYVVSLYHSVNYRVFQGNTLQQLLLRGVHSEHWGTPGKWSITPANVGHPEANPFGARVVRLYLRDVRDSQAKVRGIAYEKKRRKRPPTSFSHSQAAAAATCPASPAASLTPERSADMGACVAIAVAVGCTPLSLAARRGCSYCALARRH >ONIVA05G12740.1 pep chromosome:AWHD00000000:5:13645933:13647247:-1 gene:ONIVA05G12740 transcript:ONIVA05G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGQLDPAPPAPYSPEYSTYEPCRHVWRSCSPLRCCRRTCGSPPSSCCSSSSPPSATSSPLPYSPEQWGHQTAGNESGAARSSATSPMAVAPARRWPGAALPSSEGPGQDLQASYLSMKIGGMFQEVIAMSVPVLNQSSSAPFQAIIGTTSDILLTAKPGSHFSLSGWHVSFLLVKS >ONIVA05G12730.1 pep chromosome:AWHD00000000:5:13639703:13642400:-1 gene:ONIVA05G12730 transcript:ONIVA05G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14910) TAIR;Acc:AT5G14910] MSSPAATARLPASPSLGPRSLRLLRGAPARRGSRRLGVVRAASAEVAAPDAAAAAPGYTSDSLILYFKAEGTMEERAIPKITQALEGVEGVSDLEVLIEEGIGSVVLTKETTVQATGVASNLVEAIQGAGFKLQTLSLSFDDFNEDAATVAGEDDDQATE >ONIVA05G12720.1 pep chromosome:AWHD00000000:5:13620525:13626012:-1 gene:ONIVA05G12720 transcript:ONIVA05G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATGLAALVAVLNLPSSSPLSSTCRSSTVNVVSRIIRYNEIWGAVGLYTMIDANGLALAVRSTVTTRSSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYFSTTAAVSATRSESGKRWPERKDLACCMCASHWRCEATATVMLPLSRGGSSAGDEKGSGNINVSTNGRAKVTLDLAKGVYAKFIDWDEQMFDRETSYISVSFSTAISEDLGQVEYVLSDGTGTLTENIMIFRRCCMSDTLYGENNGDALKDARLLDAVSCNDPDIVKFLTVMALCSTVVPIKSNGGTITYQAQSQDEEALVTAASKLNMVLRFLSTVFTSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >ONIVA05G12720.2 pep chromosome:AWHD00000000:5:13620525:13626012:-1 gene:ONIVA05G12720 transcript:ONIVA05G12720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATGLAALVAVLNLPSSSPLSSTCRSSTVNVVSRIIRYNEIWGAVGLYTMIDANGLALAVRSTVTTRSSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYFSTTAAVSATRSESGKRWPERKDLACCMCASHWRCEATATVMLPLSRGGSSAGDEKGSGNINVSTNGRAKVTLDLAKGVYAKFIDWDEQITAISEDLGQVEYVLSDGTGTLTENIMIFRRCCMSDTLYGENNGDALKDARLLDAVSCNDPDIVKFLTVMALCSTVVPIKSNGGTITYQAQSQDEEALVTAASKLNMVLRFLSTVFTSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >ONIVA05G12720.3 pep chromosome:AWHD00000000:5:13620525:13626012:-1 gene:ONIVA05G12720 transcript:ONIVA05G12720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATGLAALVAVLNLPSSSPLSSTCRSSTVNVVSRIIRYNEIWGAVGLYTMIDANGLALAVRSTVTTRSSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYFSTTAAVSATRSESGKRWPERKDLACCMCASHWRCEATATVMLPLSRGGSSAGDEKGSGNINVSTNGRAKVTLDLAKGVYAKFIDWDEQITAISEDLGQVEYVLSDGTGTLTENIMIFRRCCMSDTLYGENNGDALKDARLLDAVSCNDPDIVKFLTVMALCSTVVPIKSNGGTITYQAQSQDEEALVTAASKLNMVLVSKDSNTAEISFNGCKFYYDLLDILEFTSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >ONIVA05G12720.4 pep chromosome:AWHD00000000:5:13620525:13626012:-1 gene:ONIVA05G12720 transcript:ONIVA05G12720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATGLAALVAVLNLPSSSPLSSTCRSSTVNVVSRIIRYNEIWGAVGLYTMIDANGLALAVRSTVTTRSSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYFSTTAAVSATRSESGKRWPERKDLACCMCASHWRCEATATVMLPLSRGGSSAGDEKGSGNINVSTNVTLDLAKGVYAKFIDWDEQMFDRETSYISVSFSTAISEDLGQVEYVLSDGTGTLTENIMIFRRCCMSDTLYGENNGDALKDARLLDAVSCNDPDIVKFLTVMALCSTVVPIKSNGGTITYQAQSQDEEALVTAASKLNMVLRFLSTVFTSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >ONIVA05G12720.5 pep chromosome:AWHD00000000:5:13620525:13626012:-1 gene:ONIVA05G12720 transcript:ONIVA05G12720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATGLAALVAVLNLPSSSPLSSTCRSSTVNVVSRIIRYNEIWGAVGLYTMIDANGLALAVRSTVTTRSSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYFSTTAAVSATRSESGKRWPERKDLACCMCASHWRCEATATVMLPLSRGGSSAGDEKGSGNINVSTNVTLDLAKGVYAKFIDWDEQITAISEDLGQVEYVLSDGTGTLTENIMIFRRCCMSDTLYGENNGDALKDARLLDAVSCNDPDIVKFLTVMALCSTVVPIKSNGGTITYQAQSQDEEALVTAASKLNMVLRFLSTVFTSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >ONIVA05G12720.6 pep chromosome:AWHD00000000:5:13620525:13626085:-1 gene:ONIVA05G12720 transcript:ONIVA05G12720.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIDANGLALAVRSTVTTRSSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYFSTTAAVSATRSESGKRWPERKDLACCMCASHWRCEATATVMLPLSRGGSSAGDEKGSGNINVSTNVTLDLAKGVYAKFIDWDEQMFDRETSYISVSFSTAISEDLGQVEYVLSDGTGTLTENIMIFRRCCMSDTLYGENNGDALKDARLLDAVSCNDPDIVKFLTVMALCSTVVPIKSNGGTITYQAQSQDEEALVTAASKLNMVLRFLSTVFTSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >ONIVA05G12720.7 pep chromosome:AWHD00000000:5:13620525:13626012:-1 gene:ONIVA05G12720 transcript:ONIVA05G12720.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATGLAALVAVLNLPSSSPLSSTCRSSTVNVVSRIIRYNEIWGAVGLYTMIDANGLALAVRSTVTTRSSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYFSTTAAVSATRSESGKRWPERKDLACCMCASHWRCEATATVMLPLSRGGSSAGDEKGSGNINVSTNVTLDLAKGVYAKFIDWDEQITAISEDLGQVEYVLSDGTGTLTENIMIFRRCCMSDTLYGENNGDALKDARLLDAVSCNDPDIVKFLTVMALCSTVVPIKSNGGTITYQAQSQDEEALVTAASKLNMVLVSKDSNTAEISFNGCKFYYDLLDILEFTSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >ONIVA05G12720.8 pep chromosome:AWHD00000000:5:13620525:13626085:-1 gene:ONIVA05G12720 transcript:ONIVA05G12720.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIDANGLALAVRSTVTTRSSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYFSTTAAVSATRSESGKRWPERKDLACCMCASHWRCEATATVMLPLSRGGSSAGDEKGSGNINVSTNVTLDLAKGVYAKFIDWDEQITAISEDLGQVEYVLSDGTGTLTENIMIFRRCCMSDTLYGENNGDALKDARLLDAVSCNDPDIVKFLTVMALCSTVVPIKSNGGTITYQAQSQDEEALVTAASKLNMVLVSKDSNTAEISFNGCKFYYDLLDILEFTSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >ONIVA05G12710.1 pep chromosome:AWHD00000000:5:13616824:13617500:-1 gene:ONIVA05G12710 transcript:ONIVA05G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPLATIGFGALPTSSMVEDSSDHTVVDQLAEEEGHYIDDSHHLVPSSGQEEGSSGRDVVIPGDHNGEDNYPNDLIPDLDLDILVDSIVSPVPSGHLNADAAIILDVTIS >ONIVA05G12700.1 pep chromosome:AWHD00000000:5:13602274:13603798:-1 gene:ONIVA05G12700 transcript:ONIVA05G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQKRASLHEKLQILRTLTHSHAVNKMSIISDASTYIKDLKQKIAALNKELGCAKNMNICEEPSPVVRVQVLDKGFLINVFMDKNSPGLLSSILQAFDELGLTVIEARASCSNSFRLEAVGGEHEEADGGIDANAVELAVMQAIKSTPGK >ONIVA05G12690.1 pep chromosome:AWHD00000000:5:13591447:13601326:1 gene:ONIVA05G12690 transcript:ONIVA05G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLERQPRRVLPQLGASMIPLINVPSNYGVLFILLIDDILIHFAENKAALILPAQPGDWDDLVQQQQAADIAIEESWGQDHPMGQVMEVNVDERLALVPIVDNNTQIQQPAHEPQVENFLKALEVLARNESPRHPYFYPMTVLPKKSIFDSTPSVVQKNDVWVLWPIEYASSPQEGSQAHAEMEIVDVVPLDIQPPSSLVCVAPPVLKLPKAHVKKRDGKTSFFNPYRRQSSRLSNEQRRSEGGSQDGDSIKESDFFENLNDDLHFDSFPSDCSISVLQKMGVDNWTSASQKKYGVDMELWTPHTSKLKGKYLL >ONIVA05G12680.1 pep chromosome:AWHD00000000:5:13580076:13589099:-1 gene:ONIVA05G12680 transcript:ONIVA05G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40460) TAIR;Acc:AT2G40460] MEEEAKQEFTKDGSVDLRGRPAVAARTGRWKACSFLVGYEAFERMAFYGVAANLVVYLTTELREETVSSVRNVNNWTGSVWMTPIAGAYIADAFLGRFWTFTVSSLIYLTFHYRFSLKSSVSPETSALLPLDGLYMLAWDFMTSRLQRCTPDGACAPATRSQVAFFYAALYTMAIGAGGTKPNISTFGADQFDDFDARESRTKASFFNWWMFSSFTGGLVAVLVLVYVQENVGWGVGYAIPTAGLALSLLLFYVGTPFYRHKPVRRGAAAGPARLVGRVFRAAFANRRRQLHGDQLHEHDAAWYAAAGTKRRLHHTRGYRFLDKAALPAAAAEAEACTVTEVEEVKLITGMIVVWLTTLVPCTIWAQVNTLFVKQGTTLDRTVGGVRIPAASLGSFITISMLLSIPVYDRVLVPLASRRTGEPRGITLLQRLGVGSALQVAAVACACLVELRRMRAIRAASATAAHDTVPMSIFWMLPQYILIGVGDVFSSVGILEFFYEQSPQGMQSLGTTFFTSGLGVGNFFNSLLVTTVDRATRGGGAGKSWIGDNLNDSHLDYYYAFLLLLAVINLAVFVWVATRYEYKKEYLSDGGDVVAGMASRETEMAGGGKGKVVERSKVIDAPLVVVEEVRAV >ONIVA05G12680.2 pep chromosome:AWHD00000000:5:13580076:13589099:-1 gene:ONIVA05G12680 transcript:ONIVA05G12680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40460) TAIR;Acc:AT2G40460] MEEEAKQEFTKDGSVDLRGRPAVAARTGRWKACSFLVGYEAFERMAFYGVAANLVVYLTTELREETVSSVRNVNNWTGSVWMTPIAGAYIADAFLGRFWTFTVSSLIYLTCTPDGACAPATRSQVAFFYAALYTMAIGAGGTKPNISTFGADQFDDFDARESRTKASFFNWWMFSSFTGGLVAVLVLVYVQENVGWGVGYAIPTAGLALSLLLFYVGTPFYRHKPVRRGAAAGPARLVGRVFRAAFANRRRQLHGDQLHEHDAAWYAAAGTKRRLHHTRGYRFLDKAALPAAAAEAEACTVTEVEEVKLITGMIVVWLTTLVPCTIWAQVNTLFVKQGTTLDRTVGGVRIPAASLGSFITISMLLSIPVYDRVLVPLASRRTGEPRGITLLQRLGVGSALQVAAVACACLVELRRMRAIRAASATAAHDTVPMSIFWMLPQYILIGVGDVFSSVGILEFFYEQSPQGMQSLGTTFFTSGLGVGNFFNSLLVTTVDRATRGGGAGKSWIGDNLNDSHLDYYYAFLLLLAVINLAVFVWVATRYEYKKEYLSDGGDVVAGMASRETEMAGGGKGKVVERSKVIDAPLVVVEEVRAV >ONIVA05G12670.1 pep chromosome:AWHD00000000:5:13579597:13579948:-1 gene:ONIVA05G12670 transcript:ONIVA05G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLHTIIPSGRDPFCPLEYSKRSPAASAGHGAAPVCTHGRHGRRRCNTHARTVDTLPRDHELLVGGTGCGAHTRTIDALPRDRELLVGGAGAGVGEEEPSADAVQMRK >ONIVA05G12660.1 pep chromosome:AWHD00000000:5:13578981:13579380:-1 gene:ONIVA05G12660 transcript:ONIVA05G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDAAASDELRRGADGGGCGHRRRAPTWDVDDGTTGDDALNRMIPDRYHPIPVRYQNLIPRKYHPLRDKNRMVPDRYHLIPCKYHLIRGRNA >ONIVA05G12650.1 pep chromosome:AWHD00000000:5:13569682:13571149:1 gene:ONIVA05G12650 transcript:ONIVA05G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERAASATSTTTMASRLLHSPDAAVSNPSFRVYYGVASAGSVPFLWESAPGTPKNDAISAAALPPLTPPPSYYTTSKKGSAAAAKAKLAKSTSSKRLLCSSSRQAASFVHSIIPKLRRSHTMPSPMRSSASATAAAAGDGEQVQCGAPRKRLVASPRSSFSSTSRGDDDDGEVASPTSTLCFRTRHSGGGGGGRRLHGLLASVVGDIH >ONIVA05G12640.1 pep chromosome:AWHD00000000:5:13542061:13554495:-1 gene:ONIVA05G12640 transcript:ONIVA05G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f [Source:UniProtKB/TrEMBL;Acc:A0A0E0HCT9] MALLQFGGTLAPKLGEKPQVLPRSPALTRVIYADPRFLVSKSGSRGRLKHLVSPTASLQSRTSSRLFNHAPSPRFRHRRSSRFIVRADADFYSTLGVSRNASKSEIKSGSLGHAVIGAPYNRWSITQLCFFSSLSLVVGRRHRGSRALHDLRLPNPSRLLARRPRLPSPRLPLAPISILLAEVAPASSCSRRTRILIHPIRPRPRISSPRPPLAPIPILRAEVAPGVLLLPPHRRSPVSIPPYPHLIRCNLVIESHPNTLRTKNTLTGEFCKRQKQSNTSTSSYFLIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQEIGEKLSDIDGTLDYPLLNKELVLDVKRLWQDPAIQEDVLYARVRTNGVVQIQFSPVGENKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFILFLNKFDIFEKKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >ONIVA05G12640.2 pep chromosome:AWHD00000000:5:13542061:13554495:-1 gene:ONIVA05G12640 transcript:ONIVA05G12640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f [Source:UniProtKB/TrEMBL;Acc:A0A0E0HCT9] MALLQFGGTLAPKLGEKPQVLPRSPALTRVIYADPRFLVSKSGSRGRLKHLVSPTASLQSRTSSRLFNHAPSPRFRHRRSSRFIVRADADFYSTLGVSRNASKSEIKSGSLGHAVIGAPYNRWSITQLCFFSSLSLVVGRRHRGSRALHDLRLPNPSRLLARRPRLPSPRLPLAPISILLAEVAPASSCSRRTRILIHPIRPRPRISSPRPPLAPIPILRAEVAPGVLLLPPHRRSPVSIPPYPHLIRCNLVIESHPNTLRTKNIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQEIGEKLSDIDGTLDYPLLNKELVLDVKRLWQDPAIQEDVLYARVRTNGVVQIQFSPVGENKRGGEEARGMRGESGFIFLKVLMRYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFILFLNKFDIFEKKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >ONIVA05G12640.3 pep chromosome:AWHD00000000:5:13542061:13554495:-1 gene:ONIVA05G12640 transcript:ONIVA05G12640.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f [Source:UniProtKB/TrEMBL;Acc:A0A0E0HCT9] MALLQFGGTLAPKLGEKPQVLPRSPALTRVIYADPRFLVSKSGSRGRLKHLVSPTASLQSRTSSRLFNHAPSPRFRHRRSSRFIVRADADFYSTLGVSRNASKSEIKSGSLGHAVIGAPYNRWSITQLCFFSSLSLVVGRRHRGSRALHDLRLPNPSRLLARRPRLPSPRLPLAPISILLAEVAPASSCSRRTRILIHPIRPRPRISSPRPPLAPIPILRAEVAPGVLLLPPHRRSPVSIPPYPHLIRCNLVIESHPNTLRTKNIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQEIGEKLSDIDGTLDYPLLNKELVLDVKRLWQDPAIQEDVLYARVRTNGVVQIQFSPVGENKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAISEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFILFLNKFDIFEKKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >ONIVA05G12640.4 pep chromosome:AWHD00000000:5:13542061:13554860:-1 gene:ONIVA05G12640 transcript:ONIVA05G12640.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome f [Source:UniProtKB/TrEMBL;Acc:A0A0E0HCT9] MGSWWSSVCTLARSRRTTGPDSAAAACPTAAIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQEIGEKLSDIDGTLDYPLLNKELVLDVKRLWQDPAIQEDVLYARVRTNGVVQIQFSPVGENKRGGEEARGMRGESGFIFLKVLMRYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFILFLNKFDIFEKKIQKVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >ONIVA05G12630.1 pep chromosome:AWHD00000000:5:13538521:13541104:1 gene:ONIVA05G12630 transcript:ONIVA05G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTINVYEPKVKHHWVPSGSWIQIENGTGCGDVNDEAQNKSCTDHSRPGFVQDPKTKKLTVEYGNRILAKFSIQLHEGNGQLYKVGWICSGSNRLRKFSTNGHFASEAYGRVAFIRDILIVNENKKLVNPNPVKAYAGS >ONIVA05G12620.1 pep chromosome:AWHD00000000:5:13528800:13529942:-1 gene:ONIVA05G12620 transcript:ONIVA05G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HCT7] MSPYVKAIRKQPQPHYHPCRSPEAAAAARRCVEREVAALRRVRGHPHVVGLLDVLATRSTVYLVLELARGGSVLSALDGRGGGHYDEPAARRLFAQLASAVAHAHSLGVFHRDVKPENLLLDERGDLRFTDFGLSAFTDADQHLGATDGLAATHCGSPAYVAPEILLKWRYDAGKADVWSCGVVLFVLTAGYLPFNDGNLMAMYRKICAAKFQCPKWCSPELRSLIGRMLVPEPDTHIKIGEIFDHPWFQQDGSLSSFGMIQAMELNAFDIIGFASGCDLSGLIGPLPDRVRFVVPGADSRSDLDRVEKLGQEEGLVVRRKEEEWCGGVHVEATSGKFTAYVRVSLLPKKMLMIEAERYIGSENPKFWHQLQIGNLFVRK >ONIVA05G12610.1 pep chromosome:AWHD00000000:5:13525976:13526203:-1 gene:ONIVA05G12610 transcript:ONIVA05G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLCHFDRLGVVLAAAEFVFTEPYSKRLMLRLRLRGEVLHGSSGVTLEQGHAVEFAVHDRLYNACAHRWRRDW >ONIVA05G12600.1 pep chromosome:AWHD00000000:5:13525641:13526885:1 gene:ONIVA05G12600 transcript:ONIVA05G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAAEPSSSQSHPLSQQERLCAHGCPGACSQGHRHVDLGVLATVDQIRTSHRAISTALISPPRPPKNPNRRRKRHGDRLPLPRQNLAILDLINAHTSA >ONIVA05G12600.2 pep chromosome:AWHD00000000:5:13521806:13527305:1 gene:ONIVA05G12600 transcript:ONIVA05G12600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGAREGKVGGLDGSSDSGREVERSLPGDKICTEACVAYALAPLASIWAVGFTADGVGVGARGTPAGARTHCPKDGVADDPTWISDGDEVVCGHGRAEEASVAVEKPSLTPNFPKAVAGDDNGGEGEEVVAASKQQQQTATTTATTEKRLQLEHRSSNSRRLDGERGGKGKKGKRERRLVRKRSSQKINNKMRERGNFGILLSTWHDT >ONIVA05G12590.1 pep chromosome:AWHD00000000:5:13520878:13521138:1 gene:ONIVA05G12590 transcript:ONIVA05G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMRRASGGSWICSPCPEPPPRHPLPDPPPPAPRAASMWMDTLPGSISAAGFVARTPPGNILQTMGLLSSHCCGCGCTPRPQLVA >ONIVA05G12580.1 pep chromosome:AWHD00000000:5:13508399:13510090:-1 gene:ONIVA05G12580 transcript:ONIVA05G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIIPSSSGSDGEEARTKLGRLNAAVERSWVGRRFKLAARGSTFTTELRAGTTTFLTMAYILAVNASILSDSGATCTADDCDAPSPACRFPPVDPGYAACVARARRDLIVATAASSVIGSFIMGTFANLPIALAPGMGTNAYFAYTVVGFHGSGTLPYRTALAAVFLEGLIFLFISLVGLRSKLAKFIPKPVRISSSAGIGLFLAFIGLQSSEGVGLVGFSSSTLVTLGACPASQRASVAPVVTFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLAVVGFLIIAFCLIKNVKGAMIYGILFVTFISWPRNTAVTVFPDTPAGDESFGYFKKVFDVHRIQSTAGALDFRGARHGYFWEALFTFLYVDILDTTGGLYSMARFAGFVDDATGDFEGQYFAFMSDATAIVFGSLLGTSPVTAFIESSTGIREGGRTGLTALTAAAYFAAALFVTPLLASIPSWAVGPPLVLVGVMMMRAVAEVDWADMRQAVPAFLTLALMPLTYSIAYGLIGGIASYMLLNSWDWACHAVAVLRSRRRRGARAETRSAAAGDNGEQRKNLEMA >ONIVA05G12570.1 pep chromosome:AWHD00000000:5:13495952:13496158:-1 gene:ONIVA05G12570 transcript:ONIVA05G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMTMTMMMWPLQNHVMLMINAMPIKVAFANDGESDLHFLDHWWVLDQRLKANLKQKFHLLNETLQ >ONIVA05G12560.1 pep chromosome:AWHD00000000:5:13490088:13494790:1 gene:ONIVA05G12560 transcript:ONIVA05G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HCT0] MMELEKNGNILLRRYEIGKLLGQGTFAKVYHGRNIVTSQSVAIKVIDKDKIFKVGLMDQIKREISVMKLVRHPNIVQLYEVMATKSKIYFVLEYVKGGELFNKVAKGRLKEDAARKYFQQLVSAVDFCHSRGVYHRDLKPENLLVDENGNLKITDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGVKVDTWSCGVILFVLMAGYLPFQDSNLMEMYRKIGKAEFKCPAWFSSDVRKLVSRILDPNPRSRMPITKIMETYWFKKGLDSKLILKNVETNEPVTALADVNVVFSSMGSSSSKKTEEKQDAGKLTNLNAFDIISLSEGFDLSGLFEETDKKKEARFTSSQSASAIISKLEDVASCSKLTVKKKEGGVLKMEGASEGRKGVLAIDAEIFEVTPSFHLVEIKKNNGDTLEYQHLWKEDMKPALKDIVWAWQGERQDQQPEDHGQP >ONIVA05G12550.1 pep chromosome:AWHD00000000:5:13487580:13488434:-1 gene:ONIVA05G12550 transcript:ONIVA05G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGEGKGRRHQDNIPSVVLLELWGHVADDDHRPDATTATSTTSTSLPISVTLCAATPPSFSHLSVDCPGLVDLDPNPSDKFVAPTVISTDADLVLLRVPVDRFARFDHCFSDYFVYKVHSHSESAKLHRLPSPRGRGFADDNIAILSCGNDDDDSYAVAALQPLHHVHFRLHLCRSTPDGKPGSWTSHQLTVEEPLRGTVCPVPDSALRRIFHATTKVITLGGAKGTVGWVDLWRGILLCDVLDEIESPKLRDLPLPLPSTGNWPLFFNRCPYYCRDIVVN >ONIVA05G12540.1 pep chromosome:AWHD00000000:5:13445382:13449261:-1 gene:ONIVA05G12540 transcript:ONIVA05G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLSRRAPYRCRSPPPRPPAPALPLRRPPSPLHPLACPLPWMTMAASSLSQSQLLFLCALRGQCLGRRRRGPRPALLAAAVRSEPAGLVPEGGQSKRSGSVGGRAQWRSGGFDGQRLYALDQAEQPGHQLYATKNRATRLDLGLTGRRFALSRAHPSRARCSHWTPDWTSWWCIDYVAVWTQYSWSWKVWTEAGRLGDQSLSVLSQEAEKKAQNKAFDTYIEYIPCR >ONIVA05G12530.1 pep chromosome:AWHD00000000:5:13438756:13444465:1 gene:ONIVA05G12530 transcript:ONIVA05G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISSVPPLRSSHRFAPPPPTISSMPPPIQAVGTPAHTFAATDLHLAACLCVASSSSARVAGLVVAKPVKPAASSTNVLLRIKRHRGQPKSHLPPIGDIVGLIVYLGPLQHVYNRLYREVTLLNENIWVQSSQSHTIKLSLRKLQSVLKKDEPLDNDCFNMSIRKFMYENI >ONIVA05G12520.1 pep chromosome:AWHD00000000:5:13433825:13434010:-1 gene:ONIVA05G12520 transcript:ONIVA05G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANASVPSNRGTPNPSDLDVVTITQPYYSNRLNRAWSPYIQPCPHRITNSILASAVAPP >ONIVA05G12510.1 pep chromosome:AWHD00000000:5:13429796:13431482:1 gene:ONIVA05G12510 transcript:ONIVA05G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKYELGDLGQKIQITTELNNDIMKCIHDQNANHVVQKCIEHVPPQFIQFFLEDMYGHVIELSVHPYGCRVVHHGKALVRSLIINIFIGKIVTMSKQKYASNVIEKSLVLVSDQYANYVVQKVIVTCDEWQRKIPEDAPQAAPQLHLCKACRCTDREAH >ONIVA05G12500.1 pep chromosome:AWHD00000000:5:13425353:13429777:-1 gene:ONIVA05G12500 transcript:ONIVA05G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDQLRSGSNSPVAIRSPHQNPSFLEAAKAVAPELLATAQATSGEYDLARRQGDDGITSFLARRQDDDGITFFYPHAEVCLRCLRHCADFEHAKESSTPVATMRQFDLLNEYAEEMVAYCHAGEYDHMQETIDILRQYLDDEKNEKAKICEVLDAKENELKLTIETLNQCRLECLAMKKQLEEVKFSRARLLYLTLVITVLFACLMFSGSTYAYNSTDIHEQYYWFIAALLAKYLRDGAGYIVTANRLLQVHRH >ONIVA05G12490.1 pep chromosome:AWHD00000000:5:13417664:13425856:1 gene:ONIVA05G12490 transcript:ONIVA05G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGGGEGLADERTSTLDEKVPIEVADMHFSQDIQSKAEIQPACGTKEQPSKAIYGSCISGQMFGLQDSQYILAKPSSSERFYNDKFSDAIGSNHLKLHRQISADGNAESRSELNKDAIGVLSGEKSPLAKSGKQIEQLMVNDLSAHPYNPVCPFSQGVSYYSSSSQHIVSSSGEVKYIQNSGQEMQIACDIVEEPSHIALYGSKNPYELTGLGNPHDKFNAPSFFEGVNISSISSTSSGMNLSTNSAKENNEYNQLKLQKQIDGYQRFEIGSGLNMDDADGDLTATGVPQKVQVGKNMPYQPVFTVLHGTSYSLSSLHPNKLNQLLEHPEYHSRLHCIQPSPQPIIKDVSTSMTLYVKEPCSQENFYLADNFPSLQGFDNVADNNPRMSVPHNTVVSARNARNQSPTMSGMVHADVLEIYYSLDQQTSANNIQIQYALQAARQPSQPFLHANVAETNSPFGHQFVDNLLLRLQHNHQTYPYMGATTAAYGLDLLMELFKSSSSHITSNDVISGALPKINLQGGTMIGKDDLKHKNLHGQIGFHENVASHYFGMNPELPRISSFLHQKCSEFLQLNSDAMRCLTRVEKQVEQSTIIGPPFLSVTVPSPLSGNHLSSMSRGYCLGYKDPNNQGIGFSPMYEPSGFNTNYTLPLRMEAHAMESYDIDALSKATRQSCQRKQYRHLERHHKKIVASEAQALHSNVETPIRINKAKEFNEIVRDHEACFLSFYHPTPIPSHVLNMLHWCFGIDMERKMPLPRGIQHNAMVHQGLSSLIAAETLLSIHMHARLFPMCGSRFIQQKLQNATPEEKFMVFEEIMPHAIELVTDIYGNYVLQKVATGKSFTDSWSSFNAGEVVQGSAGVVDGGSGAGNGAGAIDGGGRQRCRAAAQATATEGGVAGGGAGFGAGAGRRRSRPTADATTTEGEDLRRWEDECAGAYTCIPHRVGNWGSNQSPSVWYFNKNLGEDVRLIGDTDLSDIFEMYATEASFHLLVVVLEESMDVASVTTVHTQDNT >ONIVA05G12490.2 pep chromosome:AWHD00000000:5:13417664:13425856:1 gene:ONIVA05G12490 transcript:ONIVA05G12490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGGGEGLADERTSTLDEKVPIEVADMHFSQDIQSKAEIQPACGTKEQPSKAIYGSCISGQMFGLQDSQYILAKPSSSERFYNDKFSDAIGSNHLKLHRQISADGNAESRSELNKDAIGVLSGEKSPLAKSGKQIEQLMVNDLSAHPYNPVCPFSQGVSYYSSSSQHIVSSSGEVKYIQNSGQEMQIACDIVEEPSHIALYGSKNPYELTGLGNPHDKFNAPSFFEGVNISSISSTSSGMNLSTNSAKENNEYNQLKLQKQIDGYQRFEIGSGLNMDDADGDLTATGVPQKVQVGKNMPYQPVFTVLHGTSYSLSSLHPNKLNQLLEHPEYHSRLHCIQPSPQPIIKDVSTSMTLYVKEPCSQENFYLADNFPSLQGFDNVADNNPRMSVPHNTVVSARNARNQSPTMSGMVHADVLEIYYSLDQQTSANNIQIQYALQAARQPSQPFLHANVAETNSPFGHQFVDNLLLRLQHNHQTYPYMGATTAAYGLDLLMELFKSSSSHITSNDVISGALPKINLQGGTMIGKDDLKHKNLHGQIGFHENVASHYFGMNPELPRISSFLHQKCSEFLQLNSDAMRCLTRVEKQVEQSTIIGPPFLSVTVPSPLSGNHLSSMSRGYCLGYKDPNNQGIGFSPMYEPSGFNTNYTLPLRMEAHAMESYDIDALSKATRQSCQRKQYRHLERHHKKIVASEAQALHSNVETPIRINKAKEFNEIVRDHEACFLSFYHPTPIPSHVLNMLHWCFGIDMERKMPLPRGIQHNAMCGSRFIQQKLQNATPEEKFMVFEEIMPHAIELVTDIYGNYVLQKVATGKSFTDSWSSFNAGEVVQGSAGVVDGGSGAGNGAGAIDGGGRQRCRAAAQATATEGGVAGGGAGFGAGAGRRRSRPTADATTTEGEDLRRWEDECAGAYTCIPHRVGNWGSNQSPSVWYFNKNLGEDVRLIGDTDLSDIFEMYATEASFHLLVVVLEESMDVASVTTVHTQDNT >ONIVA05G12480.1 pep chromosome:AWHD00000000:5:13415286:13417509:1 gene:ONIVA05G12480 transcript:ONIVA05G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHEVGGLYPIDLASDVDDDEDSGKAIWDDLASEIGGGAATVDTPATAMAAAPPRERKRVVG >ONIVA05G12470.1 pep chromosome:AWHD00000000:5:13376937:13377398:1 gene:ONIVA05G12470 transcript:ONIVA05G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLAIAACSASAQFDVLGQSYRQYQLQSPLLLQQQVLSPYNEFVRQQYGIAASPLLQSATFQLRNNQVWQQLRLVAQQSHYQDIKIVQAIAQQLQLQQFDDLYFDRNLAQAQAQALLALNLPSRYCIYPRYYSAPSSITTLGGVLY >ONIVA05G12460.1 pep chromosome:AWHD00000000:5:13350835:13356951:1 gene:ONIVA05G12460 transcript:ONIVA05G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCVWHNGKPLLAISCVSTKKQPSLSIARAGAQQSHYQDINIVQAIAQQLQLQQFGDLYFDRNLAQAQALLAFNVPSRYGIYPRYYSAPITITTLCGVWY >ONIVA05G12440.1 pep chromosome:AWHD00000000:5:13342574:13343287:1 gene:ONIVA05G12440 transcript:ONIVA05G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSSTRPLAPSCVACGLLAPCRRALARLFRIPASAALSVRAFRFRTLRRAASKMSPRRHRHRRHPRRRTFRSVRAVFWPLVPPPPTTTASSTDQGESAAGATARSVVAPEEEAAVEEEEAATVLAPVPSPETPAYVKMVARLRSRRSGGEEEEGPCRSFEERLMEMLLEEGKVRDLQDVEELLRCWERLKSPVFVELVCRFYGELCKDLFSPGEEDGGDEGASSTTTITPAGDSS >ONIVA05G12430.1 pep chromosome:AWHD00000000:5:13336825:13337127:-1 gene:ONIVA05G12430 transcript:ONIVA05G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVAAVAAARARISGGDGHSGALIRLPPSLAPASSRRWRWRPSGRRWWWPGGGTRCGGDLPPLDLEGGHAAAAQRQEVVAVAAPSSQFFFDQALLSIL >ONIVA05G12420.1 pep chromosome:AWHD00000000:5:13286531:13292780:-1 gene:ONIVA05G12420 transcript:ONIVA05G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSAPTPLPPETANTSPAPIGATAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTSKEGPSHEPVFKSTVVINNTSYGSLPGFSNRKAAEQSAAEVALMEIVKSIPANANIPAVQETGLCNIGSQQLNLREMHREADHHHCLLATLARHRRLAAAATLFSSTLRTARALNSLLAAICSSPAFLRFAPKVLLLAAPSVSPNATSFHILTSTLCQAHRPTAAADLLCCMPSLLLDPDLASCRAVLSSLCQYASAQDAVAFLDKMCHWGISPSRSDYHAVFDALLQEGKVAEAYEVMKNKMGSNGVAPALAYFKLIMQAFSETAEFDSVEEGFDEMLLRGLVPDVDVYNVYISALCRKGDLAGARRMMTCMEHAGCPPDIRTFGVVVSGCMSAGDMGTVRELVQEAIRRGLRWDPTALSELIGLRQAGGGATQAHELLLEPLFVHDALVLGQLIGALCKQGLLGPAAQETGLCKNLLQEYAQKMNYAIPSYICTKPASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKAKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEDEPPRDIEMVQPDKENQHSEAALVQPDDEARVEQEPSRDISVVQPNEEAISAKQEPSIDAATLQPKEEAIHFLWQLQKPLKLLGKHYTHNMSAGSQRVITGLLVGEHGGGLWAERTTGERGGRQSG >ONIVA05G12420.2 pep chromosome:AWHD00000000:5:13286531:13292780:-1 gene:ONIVA05G12420 transcript:ONIVA05G12420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSAPTPLPPETANTSPAPIGATAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTSKEGPSHEPVFKSTVVINNTSYGSLPGFSNRKAAEQSAAEVALMEIVKSIPANANIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKPASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKAKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEDEPPRDIEMVQPDKENQHSEAALVQPDDEARVEQEPSRDISVVQPNEEAISAKQEPSIDAATLQPKEEAMKTGCVALVLCLNISVDPPDVIKISPYPFSMAAPKALETIGKTLHSQYERWQPKARYKLQLDPTLEETGLLVGEHGGGLWAERTTGERGGRQSG >ONIVA05G12420.3 pep chromosome:AWHD00000000:5:13286602:13292780:-1 gene:ONIVA05G12420 transcript:ONIVA05G12420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSAPTPLPPETANTSPAPIGATAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTSKEGPSHEPVFKSTVVINNTSYGSLPGFSNRKAAEQSAAEVALMEIVKSIPANANIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKPASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKAKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEDEPPRDIEMVQPDKENQHSEAALVQPDDEARVEQEPSRDISVVQPNEEAISAKQEPSIDAATLQPKEEAIHFLWQLQKPLKLLGKHYTHNMSAGSQSLRGVNRRGC >ONIVA05G12420.4 pep chromosome:AWHD00000000:5:13288981:13292780:-1 gene:ONIVA05G12420 transcript:ONIVA05G12420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSAPTPLPPETANTSPAPIGATAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTSKEGPSHEPVFKSTVVINNTSYGSLPGFSNRKAAEQSAAEVALMEIVKSIPANANIPAVQETGLCNIGSQQLNLREMHREADHHHCLLATLARHRRLAAAATLFSSTLRTARALNSLLAAICSSPAFLRFAPKVLLLAAPSVSPNATSFHILTSTLCQAHRPTAAADLLCCMPSLLLDPDLASCRAVLSSLCQYASAQDAVAFLDKMCHWGISPSRSDYHAVFDALLQEGKVAEAYEVMKNKMGSNGVAPALAYFKLIMQAFSETAEFDSVEEGFDEMLLRGLVPDVDVYNVYISALCRKGDLAGARRMMTCMEHAGCPPDIRTFGVVVSGCMSAGDMGTVRELVQEAIRRGLRWDPTALSELIGLRQAGGGATQAHELLLEPLFVHDALVLGQLIGALCKQGLLGPAAQETGLCKNLLQEYAQKMNYAIPSYICTKPASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKAKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEDEPPRDIEMVQPDKENQHSEAALVQPDDEARVEQEPSRDISVVQPNEEAISAKQEPSIDAATLQPKEEAVSVKPEGFSLSTPEVA >ONIVA05G12420.5 pep chromosome:AWHD00000000:5:13286531:13288305:-1 gene:ONIVA05G12420 transcript:ONIVA05G12420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSITMKTGCVALVLCLNISVDPPDVIKISPYPFSMAAPKALETIGKTLHSQYERWQPKARYKLQLDPTLEETGLLVGEHGGGLWAERTTGERGGRQSG >ONIVA05G12420.6 pep chromosome:AWHD00000000:5:13288981:13292780:-1 gene:ONIVA05G12420 transcript:ONIVA05G12420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSAPTPLPPETANTSPAPIGATAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTSKEGPSHEPVFKSTVVINNTSYGSLPGFSNRKAAEQSAAEVALMEIVKSIPANANIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKPASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKAKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEDEPPRDIEMVQPDKENQHSEAALVQPDDEARVEQEPSRDISVVQPNEEAISAKQEPSIDAATLQPKEEAVSVKPEGFSLSTPEVA >ONIVA05G12410.1 pep chromosome:AWHD00000000:5:13272683:13279530:-1 gene:ONIVA05G12410 transcript:ONIVA05G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34400) TAIR;Acc:AT2G34400] MPPSAFAQIDGDAAAAAAEAEYRCTGARRGISSPAMVRISSSPAATASYLASNLLPKCRSLAAVKQLHAHLLHRASFPYNHFLSKLLSLFTSSSSSSAAAAASDYALLLLASHSAPTAFSYNVAIRFFASSRPHTSLRLFLHMLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARTCRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLNLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSRSLQVGCVLDAKFVSLWLGWHEPEMVKPGSAVQVTKRQNVALKTDTGKVYSGNMDAKWLLMQKWVKLYYFSEASALINSAGLILMLTVSAINCEMHTRKRAATVPATKPARQTPENTPKAAARRDGGTLSAT >ONIVA05G12410.2 pep chromosome:AWHD00000000:5:13273185:13279530:-1 gene:ONIVA05G12410 transcript:ONIVA05G12410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34400) TAIR;Acc:AT2G34400] MPPSAFAQIDGDAAAAAAEAEYRCTGARRGISSPAMVRISSSPAATASYLASNLLPKCRSLAAVKQLHAHLLHRASFPYNHFLSKLLSLFTSSSSSSAAAAASDYALLLLASHSAPTAFSYNVAIRFFASSRPHTSLRLFLHMLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARTCRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLNLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSRSLQVGCVLDAKFVSLWLGWHEPEMVKPGSAVQVTKRQNVALKTDTGKVYSGNMDAKWLLMQKWGGCTL >ONIVA05G12410.3 pep chromosome:AWHD00000000:5:13273719:13279530:-1 gene:ONIVA05G12410 transcript:ONIVA05G12410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34400) TAIR;Acc:AT2G34400] MPPSAFAQIDGDAAAAAAEAEYRCTGARRGISSPAMVRISSSPAATASYLASNLLPKCRSLAAVKQLHAHLLHRASFPYNHFLSKLLSLFTSSSSSSAAAAASDYALLLLASHSAPTAFSYNVAIRFFASSRPHTSLRLFLHMLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARTCRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLNLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSRSLQVGCVLDAKFVSLWLGWHEPEMVKPGSAVQVTKRQNVALKTDTGKVYSGNMDAKWLLMQKWVKLYYFSEASALINVGIREGELLHHAGLQSLSD >ONIVA05G12410.4 pep chromosome:AWHD00000000:5:13272683:13279530:-1 gene:ONIVA05G12410 transcript:ONIVA05G12410.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34400) TAIR;Acc:AT2G34400] MPPSAFAQIDGDAAAAAAEAEYRCTGARRGISSPAMVRISSSPAATASYLASNLLPKCRSLAAVKQLHAHLLHRASFPYNHFLSKLLSLFTSSSSSSAAAAASDYALLLLASHSAPTAFSYNVAIRFFASSRPHTSLRLFLHMLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARTCRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLNLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSRSLQVGCVLDAKFVSLWLGWHEPEMVSHANCLRLTSFVTQVHKQVKPGSAVQVTKRQNVALKTDTGKVYSGNMDAKWLLMQKWRAATVPATKPARQTPENTPKAAARRDGGTLSAT >ONIVA05G12410.5 pep chromosome:AWHD00000000:5:13273185:13279530:-1 gene:ONIVA05G12410 transcript:ONIVA05G12410.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34400) TAIR;Acc:AT2G34400] MPPSAFAQIDGDAAAAAAEAEYRCTGARRGISSPAMVRISSSPAATASYLASNLLPKCRSLAAVKQLHAHLLHRASFPYNHFLSKLLSLFTSSSSSSAAAAASDYALLLLASHSAPTAFSYNVAIRFFASSRPHTSLRLFLHMLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARTCRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLNLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSRSLQVGCVLDAKFVSLWLGWHEPEMVSHANCLRLTSFVTQVHKQVKPGSAVQVTKRQNVALKTDTGKVYSGNMDAKWLLMQKWGGCTL >ONIVA05G12410.6 pep chromosome:AWHD00000000:5:13273719:13279530:-1 gene:ONIVA05G12410 transcript:ONIVA05G12410.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34400) TAIR;Acc:AT2G34400] MPPSAFAQIDGDAAAAAAEAEYRCTGARRGISSPAMVRISSSPAATASYLASNLLPKCRSLAAVKQLHAHLLHRASFPYNHFLSKLLSLFTSSSSSSAAAAASDYALLLLASHSAPTAFSYNVAIRFFASSRPHTSLRLFLHMLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARTCRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLNLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSRSLQVGCVLDAKFVSLWLGWHEPEMVSHANCLRLTSFVTQVHKQVKPGSAVQVTKRQNVALKTDTGKVYSGNMDAKWLLMQKWVKLYYFSEASALINVGIREGELLHHAGLQSLSD >ONIVA05G12410.7 pep chromosome:AWHD00000000:5:13273719:13279530:-1 gene:ONIVA05G12410 transcript:ONIVA05G12410.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34400) TAIR;Acc:AT2G34400] MPPSAFAQIDGDAAAAAAEAEYRCTGARRGISSPAMVRISSSPAATASYLASNLLPKCRSLAAVKQLHAHLLHRASFPYNHFLSKLLSLFTSSSSSSAAAAASDYALLLLASHSAPTAFSYNVAIRFFASSRPHTSLRLFLHMLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARTCRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLNLLVDEMRLEGYVPNLDVEVLPWASVYAAIHCLVSRSLQVGCVLDAKFVSLWLGWHEPEMVYSGNMDAKWLLMQKWVKLYYFSEASALINVGIREGELLHHAGLQSLSD >ONIVA05G12400.1 pep chromosome:AWHD00000000:5:13266597:13276493:1 gene:ONIVA05G12400 transcript:ONIVA05G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADELGHLLVFGFLFNLGVYMVAPAMTDVTMDALCPGQDECSLAIYLTGLQQAARPTRQVHDDKAVWSLAVASGDTACDIWGKIDRDPLYRILRRVRVRVAPRYITGLGALVATPIVGNLSDKYGRKALLLLPATASILPLACNRTKAFFYAYYITRMVTAMVAEGSMHCLSLAYVADKVPPSRRAAAFGVFSGVCLAGFVAGTVAARFLAVAAVVTAAAAVYMRAFVKETDGGASLLRATAGDENSSSHPLCVPSCSSSSSQDVAPPTLPPLRKALSLSDMADLLTTSSTFSREALVIFFYSLGETGLQTAILYFLKVQFQYSKNQYANLLLVIGIAGSLSQLVMMPILAPKLGEQKLLIIALLGGCVHVPYLGASFVIVSILVNPSIRSIVSKRAGPFEQGMVQGCLTGISSTANVISPIVFSPLTAWFLSETAPFNFRGFSLACAGFAMLIALTVSINMRPAELQPDSK >ONIVA05G12400.2 pep chromosome:AWHD00000000:5:13266597:13275999:1 gene:ONIVA05G12400 transcript:ONIVA05G12400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADELGHLLVFGFLFNLGVYMVAPAMTDVTMDALCPGQDECSLAIYLTGLQQAARPTRQVHDDKAVWSLAVASGDTACDIWGKIDRDPLYRILRRVRVRVAPRYITGLGALVATPIVGNLSDKYGRKALLLLPATASILPLACNRTKAFFYAYYITRMVTAMVAEGSMHCLSLAYVADKVPPSRRAAAFGVFSGVCLAGFVAGTVAARFLAVAAVVTAAAAVYMRAFVKETDGGASLLRATAGDENSSSHPLCVPSCSSSSSQDVAPPTLPPLRKALSLSDMADLLTTSSTFSREALVIFFYSLGETGLQTAILYFLKVQFQYSKNQYANLLLVIGIAGSLSQLVMMPILAPKLGEQKLLIIALLGGCVHVPYLGASFVIVSILVNPSIRSIVSKRAGPFEQGMVQGCLTGISSTANVISPIVFSPLTAWFLSETAPFNFRGFSLACAGFAMLIALTVSINMRPAELQPDSK >ONIVA05G12400.3 pep chromosome:AWHD00000000:5:13266597:13276493:1 gene:ONIVA05G12400 transcript:ONIVA05G12400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADELGHLLVFGFLFNLGVYMVAPAMTDVTMDALCPGQDECSLAIYLTGLQQAITGLGALVATPIVGNLSDKYGRKALLLLPATASILPLACNRTKAFFYAYYITRMVTAMVAEGSMHCLSLAYVADKVPPSRRAAAFGVFSGVCLAGFVAGTVAARFLAVAAVVTAAAAVYMRAFVKETDGGASLLRATAGDENSSSHPLCVPSCSSSSSQDVAPPTLPPLRKALSLSDMADLLTTSSTFSREALVIFFYSLGETGLQTAILYFLKVQFQYSKNQYANLLLVIGIAGSLSQLVMMPILAPKLGEQKLLIIALLGGCVHVPYLGASFVIVSILVNPSIRSIVSKRAGPFEQGMVQGCLTGISSTANVISPIVFSPLTAWFLSETAPFNFRGFSLACAGFAMLIALTVSINMRPAELQPDSK >ONIVA05G12400.4 pep chromosome:AWHD00000000:5:13266597:13275999:1 gene:ONIVA05G12400 transcript:ONIVA05G12400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADELGHLLVFGFLFNLGVYMVAPAMTDVTMDALCPGQDECSLAIYLTGLQQAITGLGALVATPIVGNLSDKYGRKALLLLPATASILPLACNRTKAFFYAYYITRMVTAMVAEGSMHCLSLAYVADKVPPSRRAAAFGVFSGVCLAGFVAGTVAARFLAVAAVVTAAAAVYMRAFVKETDGGASLLRATAGDENSSSHPLCVPSCSSSSSQDVAPPTLPPLRKALSLSDMADLLTTSSTFSREALVIFFYSLGETGLQTAILYFLKVQFQYSKNQYANLLLVIGIAGSLSQLVMMPILAPKLGEQKLLIIALLGGCVHVPYLGASFVIVSILVNPSIRSIVSKRAGPFEQGMVQGCLTGISSTANVISPIVFSPLTAWFLSETAPFNFRGFSLACAGFAMLIALTVSINMRPAELQPDSK >ONIVA05G12390.1 pep chromosome:AWHD00000000:5:13261538:13261947:1 gene:ONIVA05G12390 transcript:ONIVA05G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYISGFCYKTYIHRYLLGIGRKNVTKNQGTCCWWGLLQFFGLSGNHETMSVLTKFSLLIQLKFYILLATGCPTERFCRFQRKRKERCCGEFSS >ONIVA05G12380.1 pep chromosome:AWHD00000000:5:13191858:13192127:1 gene:ONIVA05G12380 transcript:ONIVA05G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGASSHRPCAERDGHRNRATATFPFITVAGHLPSGEFGKRRGGEGREGGGKERGATTVTLPLSLPAATDRALLPSVHIWKRDGRV >ONIVA05G12370.1 pep chromosome:AWHD00000000:5:13189652:13189921:-1 gene:ONIVA05G12370 transcript:ONIVA05G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSSSRSRAVAMWLVALMVVFLVAGPQPASAARPLRPAGWNAPSIDGEGHYASGVVDKYAPLLLSMLPRGPVTPSGPSGGTNGDGN >ONIVA05G12360.1 pep chromosome:AWHD00000000:5:13181240:13182022:-1 gene:ONIVA05G12360 transcript:ONIVA05G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHERFRLSHLMPNSWFYKLRDMKRPRPTSSRRITAADHAARSSRRSSSSSSSIHHYYLHGHGTTTPKPLPLSPPRRSYYPYLERAKQMPLMEKESQLISHSPLHQRIPATAIPGDHHDGEFQDLQLRPIRTRPPSAAAASAEPRRTASGSGTCPSSPRMRSRRLHVLGGCECRAGSGRRRSGGGGFAVVKASAEPARDFRESMVEMVVGNGMRSPEDLLELLECYLSLNAREHHGVIMEAFRGVWVEIVADADCCVGL >ONIVA05G12350.1 pep chromosome:AWHD00000000:5:13175892:13177853:1 gene:ONIVA05G12350 transcript:ONIVA05G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIQLWNDWELQVLVLLSFMLQVFVFFSGGLRQRSTNSALRILVWLAYLVADFIAVYALGQLSRQKTDASEAGQPHKFAFFWTPFLLIHLGGQDTITAFSVEDNELWLRHLLNLLVQVCLALYVFWKSAAGNQFVVSAIFAFISGIIKYGERTWALKSASQKSLRRSTDGGVVGQFPELEDYQELGYKTMVMFALSSSPVVRNLLVGRKIDQMEERVRHAFSGRLYTQVSENAQLVFKILEIELGMMYDNLYTKARVIRTWTGAILRFITCISLMVAFVLFLTGNKKWHHSRVDVAITYALFIGALCLEVCAIFFMVMMSPWTWASLQYWKYHRLADAAWYVFKSLQTESISCFSDNVFGKVMSLVGAKEFWRNFRYSQCVGVKAEMKKLVFEAKCLAEIFGASQTSSVDADPNSGVGSALDIILREQFEVAILSLHVYTDIFLHRCMNPTSADSCDATRERRHLVDACGTISEYMCYLLVVHPEMLPVSGSVRDVLDKASETVAKVSSGAAASKGRVRVVLEKLATDRDLNDLSDPITLAGFVFRGHKEEAVHCHESLQVLARAWVGVLLYAAGKSRGENHARQLSMGGEFLSFVWLHMAHCSLGDMGTFEVELVRPSAVNEDGRKMFVWGYQRPR >ONIVA05G12340.1 pep chromosome:AWHD00000000:5:13172010:13174226:-1 gene:ONIVA05G12340 transcript:ONIVA05G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGDRSLGEDRVKEMMREGYIGGEQTAGVEKEEQRELTGYKPADERNRRCRHRSPTPVAGHSSARALAVRIDLRLAGRRLWTGWEQKEHNDEQSGGLKRVFKLLLATSSTDGVLFLATDRNAAGASPWLPGVGFLGSGAWYRASTAFHRWLEEDSHRRSPNHHRRLDLERNHDTL >ONIVA05G12330.1 pep chromosome:AWHD00000000:5:13171656:13172306:1 gene:ONIVA05G12330 transcript:ONIVA05G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLQPSVECGRRAIPCTAPKKADARQPWGSTCCIPICCEEEDAVGRRGRQEELRGNVSPSAREIAAGKGLGAKSIC >ONIVA05G12320.1 pep chromosome:AWHD00000000:5:13166783:13169837:-1 gene:ONIVA05G12320 transcript:ONIVA05G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N2,N2-dimethylguanosine tRNA methyltransferase [Source:Projected from Arabidopsis thaliana (AT3G56330) TAIR;Acc:AT3G56330] MAISAAAAAAAALSFPRALPSPFQPRRHRHRRRSAEPPACAHSERGVTFDPGSAFYRSDSAAGRDLAVLAATLHRRAAGPSAPFLCLDAMCGCGVRALRYLAQVGADFVWANDASEALRPVIVGNLSRFERAPDRRWAVSHLDATRLLSERYLRREYFDVIDVDSFGGDAAYIRAALLALRIGGLLYLTSTDWRSARGYGSRSSLSSYGAYIRPVPYPNEVGLRMLIGGAAREAAILGFHITPLFSYYAYHGPIFRVMVQLHNGKQDGISNYGFICHCKSCGQSRTFGFDELGQITCGCADKIDPDSITVVGPLWTGPLHDTTFLTEMLSLATEWGWANTIENGVSLEKLLDTMIEESDSRLPPGYIRLDEIASRAKVNSPPLGTLINSLRKEGYAACRSHIGANAIKTNCPIECCLDVAQEIRNLR >ONIVA05G12320.2 pep chromosome:AWHD00000000:5:13166783:13169837:-1 gene:ONIVA05G12320 transcript:ONIVA05G12320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:N2,N2-dimethylguanosine tRNA methyltransferase [Source:Projected from Arabidopsis thaliana (AT3G56330) TAIR;Acc:AT3G56330] MAISAAAAAAAALSFPRALPSPFQPRRHRHRRRSAEPPACAHSERGVTFDPGSAFYRSDSAAGRDLAVLAATLHRRAAGPSAPFLCLDAMCGCGVRALRYLAQVGADFVWANDASEALRPVIVGNLSRFERAPDRRWAVSHLDATRLLSERYLRREYFDVIDVDSFGGDAAYIRAALLALRIGGLLYLTSTDWRSARGYGSRSNYGFICHCKSCGQSRTFGFDELGQITCGCADKIDPDSITVVGPLWTGPLHDTTFLTEMLSLATEWGWANTIENGVSLEKLLDTMIEESDSRLPPGYIRLDEIASRAKVNSPPLGTLINSLRKEGYAACRSHIGANAIKTNCPIECCLDVAQEIRNLR >ONIVA05G12310.1 pep chromosome:AWHD00000000:5:13164266:13166011:1 gene:ONIVA05G12310 transcript:ONIVA05G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMAPPPPTAAALPSRRRLRERIQSERQVVGGLLKKAEALVARAKEDVHGGRAAAAHSEACALPRRGRFLRRPEARPEAEATAAMDGAASPRKKRRKAATSASSIVEVEVIEPTMPKAQRDRLYGLLSSLSAEMPLPPHIVALMQSQCCCVVDPNGEEMDVDLGSAKDAALFQLLNLLEEFAQQQTTKIQPRLAEEQEPPKIEAPDATSRSSSICQLMEDGEVADEGADMDMDICGGVSPLVVDKAQFSPLPKQEEDDELINTSGGGGFSLQSPPAKQQEEEFVRDASPVAVDKFPQTESPSSSTGSSSGSSSSSSSSGGSSGSSCSGCSSSGSDSNDDGDSASSRPDNSELPTEAAAKPLEQQQVTVCGGVSPLIDEFSPLPKQQEDDELIDVTGGVSPVSVNKFPDSPRSSSSGSSSSSSSGSSSSSSENDSDDDGDSASSKPDTADHPTEAEAPKLQPLEQHEVAEQDKKLIAERAASPNTEMQELIARAQERQKLRLELERKTARELERKMAREQLQEMERTARPVYDSIDPSVMKQLGISGDAQYIVSPVKSRHSLHRRGGGGLLQKLGFFLKE >ONIVA05G12300.1 pep chromosome:AWHD00000000:5:13158358:13163670:1 gene:ONIVA05G12300 transcript:ONIVA05G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HCN9] MALRTLASRKTLAAAARPLAAAAAARGVTTVALPDLPYDYGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVAKGDAPAIVHLQSAIKFNGGGHVNHSIFWNNLKPISEGGGEPPHAKLGWAIDEDFGSFEALVKKMSAEGAALQGSGWVWLALDKEAKKLSVETTANQDPLVTKGANLVPLLGIDVWEHAYYLQYKNVRPDYLSNIWKVMNWKYAGEVYENATA >ONIVA05G12290.1 pep chromosome:AWHD00000000:5:13152554:13156379:1 gene:ONIVA05G12290 transcript:ONIVA05G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79600) TAIR;Acc:AT1G79600] MAATAAAAGPASPAVSFSLPSPPLPRRADCRRGRGHGHRPRRGSPLRAASTAAPPSSSPSSQSPGSLSIQLSPRASPPAAPTHVASLARDRAEDLQAESRAMTRAAAATVFSPELLSSRYGSRPVKANPPILTAPLSAEVVSKIGAFGLKVFLDEQRGQSSSAVRRARAVELRTILTRLGPTFVKIGQGLSTRPDLCPPEYLEELSELQDSLPTFPDEEAFACIERELGFPLDSIYSTISPSPIAAASLGQVYKARLKYSGQLVAVKVQRPGIEDIIGLDFYLLRGLGYLINKYVDFISSDVVALMDEFARRVYQELNYVQEGQNARRFKKLYADKQDVLVPDIFWDYTSAKVLTMEWIEGVKLNQQAAIEKQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHMVNRDYEAMARDYYALDFLEPDVDVSPIVPALKSFFDDALNSTVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRQVYVLMKWNRLENLLVQGSQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAITFGTLIDSYNAAPEFLKPLISSGNPAGPFKISDTEREQMIELRDRVFRIWGLLRSSDGFDPTILQPIVQVLQEPEARVLGSRVAGGVTQRLAARLLQQLLRTPPAPGSP >ONIVA05G12280.1 pep chromosome:AWHD00000000:5:13137048:13140453:-1 gene:ONIVA05G12280 transcript:ONIVA05G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGATTRGTSTYRRREWCALSPSWGGTAWKRISIAAVVSKVSGDKRFDLFVTEKLELEQPWRNPWANEQCPPNPGVTARFLVLHFAKDEKIKLGRSHLAQMMLTRGARYIGGTDWKQVSSGTGLYKVSDGLLSNPTPISWSSLALFPDKTPEHLASALEKKISEEISSCNYKGNIC >ONIVA05G12280.2 pep chromosome:AWHD00000000:5:13137048:13140453:-1 gene:ONIVA05G12280 transcript:ONIVA05G12280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGATTRGTSTYRRREWCALSPSWGGTAWKRISIAAVVSKVSGDKRFDLFVTEKLELEQPWRNPWANEQCPPNPGVTARFLVLHFAKDEKIKLGRSHLAQMMLTRGASTDWKQVSSGTGLYKVSDGLLSNPTPISWSSLALFPDKTPEHLASALEKKISEEISSCNYKGNIC >ONIVA05G12270.1 pep chromosome:AWHD00000000:5:13135340:13137019:1 gene:ONIVA05G12270 transcript:ONIVA05G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGLLPGLKFDPSDHDLVGRYLLRRLQGQPLPLDGVILEADPLSAPPWKLLADHGRGDEAFFFAEAHAKNGKGKRQKRTVEGGGFWQGQKTCVDGERLCVPDDGGLEIAWRKYVLSFFANGERGSSGWVMHEYAVTAPDGLASSQLRLYRVRFSGYGKKRKREPQCPGAHGDDDGELQRAPPPRSMAGTALLEERGPLPHPVLGPASVVDQCTDQCSSGVIDDSSVVFRDLPDLIDLPVAEEADASHGAETALLNEHLPLPPPQLFVPPTAVPLDLADDSNGADQNSYGMMGDDQLLLPDLPGTINDDMPDLFVSQAEEASAVPAISYHSSGFMGNEVAALSDFELPESYSSSDAMDGEALALSNYEFPESFEEDLSCFDFATANASSLGFPMDGYPMDELFDDMPDQGSSGAMDDSSVVFRDLPGLINLPAAEEADAIGDAETALLRDLADDSNGTDRNSYGVMGDDQDRLLLPEIPRRIDMPDLFVSQAEEAGLGGGAALDSSSGAMDGEALALSDFEFPESVEEVLSCMDFSTVDMSCLDVPIDELLDDLPAD >ONIVA05G12260.1 pep chromosome:AWHD00000000:5:13130468:13131196:1 gene:ONIVA05G12260 transcript:ONIVA05G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAVAPDNGDHAVLRDDERGIPRSLSLLTALVEAEALRHAAAADADADSDLIRAFRGGATPTVRIGEFLERIHTFIQRESVRHVIEIQDACYVLAGIYLFRFIRSGAAREAGILVDPSTAHRLVAVAIFVGAKFGGPIDRLPTRWTVVFETSSDAAIRAREMAGLERRFLIAVDYRLFVRADEFDWFCRVLERGPRPSGRCGGGGGGRKRTAAEAVEGEAEDDHRRVRASLPPPSVVAN >ONIVA05G12250.1 pep chromosome:AWHD00000000:5:13087875:13088872:-1 gene:ONIVA05G12250 transcript:ONIVA05G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAANKVGVWYGDMKREDSKATEHFKVESQGAATVVGAEKAAAAVRAEKAATSAVVWTDKVSAGEGGWWPRWEGQVVDAAGRASSFLRA >ONIVA05G12240.1 pep chromosome:AWHD00000000:5:13011478:13017817:-1 gene:ONIVA05G12240 transcript:ONIVA05G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQERGKMKSRDTSLAASRKTPSPTPPNRRTAEAGSSGAEALHGAPTCHDRWPPANRSGAQHGRVARHIEQESLIAGCGIKASSPPRYYRLIKVKFQKISALNIVLCSRSEIYGAAREAGILIDPSIAHRLVAVAIFVGAKFGGPIDMLPTRWPASNGASSLSSITACSSVLTSSTGSAVSWSEGRVQAGDAAAAVVVGRGRRQKLWREKRRTTAAASGPPCRRHQLSPTRSTISASTGGKGGEDAVGVGRVRSDEARVEKMQPALEEFDQRP >ONIVA05G12240.2 pep chromosome:AWHD00000000:5:13011478:13017817:-1 gene:ONIVA05G12240 transcript:ONIVA05G12240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQERGKMKSRDTSLAASRKTPSPTPPNRRTAEAGSSGAEALHGAPTCHDRWPPANRSGAQHGRVARHIEQESLIAGCGIKASSPPRLLPFSAFGAAREAGILIDPSIAHRLVAVAIFVGAKFGGPIDMLPTRWPASNGASSLSSITACSSVLTSSTGSAVSWSEGRVQAGDAAAAVVVGRGRRQKLWREKRRTTAAASGPPCRRHQLSPTRSTISASTGGKGGEDAVGVGRVRSDEARVEKMQPALEEFDQRP >ONIVA05G12240.3 pep chromosome:AWHD00000000:5:13011478:13019050:-1 gene:ONIVA05G12240 transcript:ONIVA05G12240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAVAPDDGDHAVLRDDECGIPRSLSLLAALVEAEALCHATAADADADSDLIRAFRGGATPTVRIGEFLERIHTFIQQESVRHVIEIQGACYVLAGIYLIRSTGSVVSWSEGRGQAGDAAAAVLAGRGRRRKLWREKRRMAAAASGPPCRRHLSSPTRTTISASTGGKGGEDAVGVGRVRSDEARVEKMQPALEEFDQRP >ONIVA05G12240.4 pep chromosome:AWHD00000000:5:13018287:13019050:-1 gene:ONIVA05G12240 transcript:ONIVA05G12240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAVAPDDGDHAVLRDDECGIPRSLSLLAALVEAEALCHATAADADADSDLIRAFRGGATPTVRIGEFLERIHTFIQQESVRHVIEIQGACYVLAGIYLIRFIRSGAAREAGILFDWFCRVLERGPRPSGRCGGGGAGRKRTAAEAVEGEAEDGRRRVRASLPPPSVVAN >ONIVA05G12220.1 pep chromosome:AWHD00000000:5:13002925:13005353:1 gene:ONIVA05G12220 transcript:ONIVA05G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIFHLSPQSRPEPRPAAHGRPSRRRGMVPFCRRRWGRPRTWKREWRSRLRGLEFDLFVTEKIGARAAMVELAPGGLSANARRQPRRSPQGGEALTLVTWSKEKTWWKSLGTSGAGKGHEPDWRELLRIYDPGTSTECKQASSGAGLRLSKFAKTEQIKLGGSHLAQVLLARETRNTRDLSTRPVQFIATAGTRCWKVLSKVSGVLSGNAYDPEVLCLLVSLSISAYHGRTWPLDCALIMLNSVIS >ONIVA05G12220.2 pep chromosome:AWHD00000000:5:13002925:13004027:1 gene:ONIVA05G12220 transcript:ONIVA05G12220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIFHLSPQSRPEPRPAAHGRPSRRRGMVPFCRRRWGRPRTWKREWRSRLRGLEFDLFVTEKIGARAAMVELAPGGLSANARRQPRRSPQGGEALTLVTWSKEKTWWKSLGTSGAGKGHEPDWRELLRIYDPGTSTECKQASSGAGLRLSKVSSRLSSNGSPNPGTL >ONIVA05G12210.1 pep chromosome:AWHD00000000:5:12999102:13000094:-1 gene:ONIVA05G12210 transcript:ONIVA05G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPIFFLIDRNIWVQSSWTHTIKLSVRKLQSVLNKYEPLDRHCFNMSVHKFMYENIQTVQKTNELITKHFLDLQGSWLKIHYKVAQCKLELVGLSRTSLHANLEMWSTTFVGFMNGDELRQQFVPHLVMYQQNE >ONIVA05G12200.1 pep chromosome:AWHD00000000:5:12997505:12997780:1 gene:ONIVA05G12200 transcript:ONIVA05G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVAVLLPPPPPSPPAAFFSWPSLNYVLVNYQYANYFMQKVIKTCDEWQHKIILECLRCATGSSTIAPMQSMRLHG >ONIVA05G12190.1 pep chromosome:AWHD00000000:5:12982401:12997002:1 gene:ONIVA05G12190 transcript:ONIVA05G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKVGGLPELGRMDMMTTLTTLGFVGSDVDVDEDSGKAIWDDLASEIAAAAPPPWIDTPATFLLWPPPHRGRERGSWASGGEARFCRQEGVAVADLVTGAAKGEDGPDEHTSTLDEKVPIEVADMHLSRDIQSKAEIPPACRTLKEQSSKVVYGSCISDQMFGLRGSQYTLAKPSSSERFYTDKFSDATGSNHLKLHRQISDNGNVESRSELNKDAIGVLLSEKSLLAKSGKQVEQCMVNDLSAHPYNPMCPLSQGVNSYSSSSQQIGSSSGEVKYIQNSGQEMQIACEIVEEQSHIALYGGKNPYELTGLGDPRDKFIAPSLFEGVNISSISSTSSGMNLSTNSAKENNEYNQLKLQKQIDGYQSLRGIDNVADNNPRMSLLHNTIVSTRNAGNQSPTMSGMRQLAPQVTTQPSNISLHGSYNHNLRIGSGGDFQIELFRPFSSHITSSDVISGALPKINMQAETMVDSILITPCPLRIEAHARESYDIDTVSKATRQSCQVYFDSAEQSSQCVQEMRLDEATNSNMLTAQQQPQRQLYRHLERHHKKIIASEAQALHGNVETPIRMNKAKEFPKIVRDHEACLLSFYHPAPIPSHVMNMLHWCFGIDMERKMPLPKRYPTQCYGTPRIVHQNALISVGGCFRQQKLQTATPEEKFMVFEEIMPHAIELVTDIYGSYVLQKVATGKSLTGTWSSFDVELGDLDQKIQIAKELNNDIMKCIYDQNANHVDQKCIEHVPPQFIKFFLEDMYGCVVELSVHPYGCHAVQRVPKYFDDPIQEIFLEEIIEDVYYMTKEQYANNVVQHILQHGKALVRSLIIKRFIGKVVTMSKQKYASNVIFFVEGRRRRSGKEKRERAGVKEKGEKIGECIGEAVEVKDDDPPNEWIIDDDAPAQQPEVDAQSAAVSRKRRLIHKKSSSKAKKACVVVEEEEEEEFQSSNSEHEEEENIPYADGSSDHELDDVMVNDQYANYVVQKVIETCDECLRMRHRQLHNCTYAKHNVI >ONIVA05G12180.1 pep chromosome:AWHD00000000:5:12972764:12974175:-1 gene:ONIVA05G12180 transcript:ONIVA05G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSNAPNPGSLRPQAIMSSDVQFAKDEENKLDASHLAQVLLSKGYEEHCSQPKAWSSHLARIYRTPILHIYDPGTSTESKQASNCTLLSKVSGWLSGNSPNPETLSLLLPFHFSLKWENLALLDCAHIVLQFAEDDQIKLVVSYSTQVPLTRK >ONIVA05G12180.2 pep chromosome:AWHD00000000:5:12972764:12974175:-1 gene:ONIVA05G12180 transcript:ONIVA05G12180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSNAPNPGSLRPQAIMSSDVQFAKDEENKLDASHLAQVLLSKGYEEHCSQPKAWSSHLARIYRTPILHIYDPGTSTESKQASNCTLLSKIVLQFAEDDQIKLVVSYSTQVPLTRK >ONIVA05G12170.1 pep chromosome:AWHD00000000:5:12970550:12972050:1 gene:ONIVA05G12170 transcript:ONIVA05G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGADGLLPGLKLDPSDDELVGRCLLRRLQGQPLPLGGDILEADPLSAPPPWNLLADHGRGDEAFFLAKKGNGKRQRSSVEGQRMCVDGGRLRVPDDGRGGGGGLAFLPSIFPSPPPFIDLSHFFSGALLMKLIDLSHYFKIWQQAPD >ONIVA05G12160.1 pep chromosome:AWHD00000000:5:12961809:12962234:1 gene:ONIVA05G12160 transcript:ONIVA05G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLEVVKGYYVGDEMELEMDVGRRSSSPLIGDKKEAYATDGVDCLPSAPPLCCRLSPQPPPTGPPLLSSSPTSPSPPPRGDPILLVASEGSPTIVASLSSSTLASFALIQVEVGGCRGEIREDAVGGECRPKGETDDALR >ONIVA05G12150.1 pep chromosome:AWHD00000000:5:12960811:12962137:-1 gene:ONIVA05G12150 transcript:ONIVA05G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLASVLEESEATMVGEPSEATRRMGSPRGGGEGEVGEDDNKGGPVGGGWGDKRQQRGGAEVLYRLLIGVPYWRVALMIDEKIQFLGVGTWNVYWRLLTTFSVLYIIPD >ONIVA05G12140.1 pep chromosome:AWHD00000000:5:12954840:12956815:1 gene:ONIVA05G12140 transcript:ONIVA05G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDYLAFDMAGGGATTSRDSLNKGAAADKGGGGEADADGGALAGEKAARKGEGVEGAEKATALEGEETPGQATEAAREMDGDGGGDGGEWRWEVLKWG >ONIVA05G12130.1 pep chromosome:AWHD00000000:5:12952359:12954827:1 gene:ONIVA05G12130 transcript:ONIVA05G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVILHLSLPQKEAIEDSGLGNLLKIKKIHIYRDLCNEIARSYDKEKKAFNINEYLNLVDDVDKMRELNWSSLTLNQLLKGILKFREKETNIEGNICLLQVVDDIRGTIDCNEIPDEKAHDNDSETRSNEDFLCTSEEDNSNQSNHSRKRLIGPTGRTYQPTNRTDFIYETRGKTKDIIRTQAQTKKTIVTYAHVNGTNFRPFQVQTDKEANLPDTDELRGEKKRKQNNQTPNEISELYIEKEDLTPKIIDKGPPKNALRGQKKKKTNGQTPLKNSEELTKKEDPFITYINNTEDNKVMVHIEEVEVKRIRMKVLTQPEFLNDDVMDAYIQCLRYKEKGIRGDGKAFLEMAIKTGLLNVEGAHVEASKPRDK >ONIVA05G12120.1 pep chromosome:AWHD00000000:5:12940312:12941235:1 gene:ONIVA05G12120 transcript:ONIVA05G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPSNGRLFRFLPPHRRPQGTDVAAAAGWTVAGVATAVWLVQPFDWIKKTFLEKQEAEEGRTEA >ONIVA05G12110.1 pep chromosome:AWHD00000000:5:12936929:12939970:1 gene:ONIVA05G12110 transcript:ONIVA05G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40760) TAIR;Acc:AT2G40760] MLPSPPLAALSKAAAAAAARRAATVAARSRRRLLVLPAVASLVPGPPPALCRRVRLMPQLEGPRAPPPPHGRGFAAAAAGGDGATLDAGDSELVVVSFYRFADFPDHAELRRPLKELCEELRVSGGIILAPEGINGSICGTPEAVEKVLNFIQSDDRLKGLRMIQSPVTPEDEAIHHGHTSQSPVGAGEDAPFRWDHVRVKLKKEIVALGDPGVMPTKTVGKYVKPKDWNALISDPDTVVIDVRNMYEIRIGKFKRAVDPCTNSFREFPSWVDDQFQLAESDSQLCSENNDNTIGRQSEDVNSSKPKELPRVAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILKYLEEIPKAESLWEGECFVFDKRVSVEHGLAQGTHKLCYGCKKPVSDEDMESPEWEYGVSCPYCFATKSEEEKERARARQRQFETWGVIGGPDKGRSPKRLEANHTAEEPKQLSSSV >ONIVA05G12100.1 pep chromosome:AWHD00000000:5:12931211:12933181:1 gene:ONIVA05G12100 transcript:ONIVA05G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMSPAPAPAYAQVMEDMEKGKELAAQLQGLLRDSPEAGRFVDQILHTFSRAMRALDKAAVSAAGGEGSEVQSEVTCGGGASAGGKRKAPAANRKANCRRRTQQSSGNTVVVKNLDDGQAWRKYGQKEIQNSKHPKAYFRCTHKYDQMCTAQRQVQRCDDDPASYRVTYIGEHTCRDPATAPIIAAHVIHQVAAGDDDDGCGGLHAGSRLISFVAAPAAPVDAAAAPTTSTITTVTAPGPLLQPLKVEGSVGSSDQEEVLSSLTPGSSAARGGGVAGPFGPDQGDVTSSLHWSYDAVAGMEFFKNDEVVFDLDDIMGLSF >ONIVA05G12090.1 pep chromosome:AWHD00000000:5:12925788:12926152:-1 gene:ONIVA05G12090 transcript:ONIVA05G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSAWELGVLHPTLKQCGAPVMEMEVSSAGGVIELPSGAGVGCRQRLRGKDLLVYTKESGKINIFVCG >ONIVA05G12080.1 pep chromosome:AWHD00000000:5:12917289:12922350:1 gene:ONIVA05G12080 transcript:ONIVA05G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFAPHQVTPLSVLLAAAAGGGFDRRDRSRVVGGGGGGQEGWEMDEVLAQISEGFRLAGELMSELAATQNDPDYLAARCHAIAGAYAAAARVLQGARHGGGGVGAASTSFGGEGYLHHQHRQQLDLLRMCVGGTAPDEAAAAANPFLGGGGGATTAAFRAPSDAYGGAGAGTSGGPVRRVSSSSRSPPSPVQPRQGGAGSRRRRESGEKVTVMVAAQRTGNTEQPPDDGYTWRKYGQKDILGSRYPRSYYRCTHKNYYGCEAKKKVQRLDDDPFTYEVTYCGNHTCLTSTTPLLTLPAAAATSVTNSPTAAAVLGQDFVMAPAADQQPPQHQQAQPPLSTSIHLGIGWPMTPASLAGAVGEGSTSTTATAPQVTTMGATAAGGGGAAARDADHYPVADLADVMFNSGGSGSSSIMDGIFSSHDRRDN >ONIVA05G12070.1 pep chromosome:AWHD00000000:5:12906708:12907286:1 gene:ONIVA05G12070 transcript:ONIVA05G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIPTGALGLAAAAFDETVAASAGPSAALRGRWQRKADSPPAPARGDAAEQMIHSRMTGEIEQCGGEIEAEIERAKEVVANKGRALDDDRERFQKAAVAALNILSGGAAGSI >ONIVA05G12060.1 pep chromosome:AWHD00000000:5:12904746:12905270:-1 gene:ONIVA05G12060 transcript:ONIVA05G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSEDGSGNREEHGRSSWRPTARGAATKLVVRRQGELRRLGPRAADLVARKSWAVGDGEGTDPAVGGEGEGGRGGRGGFGSGERRGGQSRGREGHVRRMRGWEGRDRQIYDQEEHERWAAKERGSRGGCHDDDGRAPKFPVASSNPLPSPRSNVWWRYSAGLSTTAMTIQSS >ONIVA05G12050.1 pep chromosome:AWHD00000000:5:12891704:12892453:1 gene:ONIVA05G12050 transcript:ONIVA05G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQGEAAGAQGDAAGAAAVGARGDAASDAAAGNKMRNKQTKSNPTHTKKYIFSPLLLSQLTSKTSQEHGKQWRHLFTYIGKQAKNMANIGKQWHPLHELDPEVVERDGHLHRRVWQVLVAVPQQHHLVVVREVAIRHNDRRRPHDGVDEPIGAPRQRAVVHPHVARRVERDAVAVGAGAPPVVRRQGVHIRVAGGDTIVDVDVVDDDVGDVLERDARAAGAGAVTRRRSRSLLATSWNPEGVCSWWSD >ONIVA05G12040.1 pep chromosome:AWHD00000000:5:12875613:12880208:-1 gene:ONIVA05G12040 transcript:ONIVA05G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLVEKVLLGLFVAAVLALVVAKLTGKRLRLPPGPAGAPIVGNWLQVGDDLNHRNLMALARRFGDILLLRMGVRNLVVVSSPDLAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRAGWEEEARLVVEDVRRDPAAATSGVVIRRRLQLMMYNDMFRIMFDRRFDSVDDPLFNKLKAFNAERSRLSQSFEYNYGDFIPVLRPFLRRYLARCHQLKSQRMKLFEDHFVQERK >ONIVA05G12030.1 pep chromosome:AWHD00000000:5:12868531:12869859:1 gene:ONIVA05G12030 transcript:ONIVA05G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARCGGLAVVAVRRPLQPRDTNVVASPAAALAGKAAKGKGKGKGTARAASSPPSVRSYAKAGGCRVGLREVSLAEELEKARERRGRMRAAREVTGRALEERAAALRREAREWERRADEQRRLVAELMRLIGMPEVYTPVESLRSKEERKRKEGIAHSGSLDTASSLLDDVRESCCDRESEGATTETSS >ONIVA05G12020.1 pep chromosome:AWHD00000000:5:12862833:12866819:-1 gene:ONIVA05G12020 transcript:ONIVA05G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGKKRKREVEVSHPALERAVVPTNFALPIHRSALESGEDSSESVTSDAGTAKPPAKDVVHVANALCTVCTKSPKAVIEFVRRVSPSTVSRSIDWDLVNEDKSSKSLSTTPHILSA >ONIVA05G12010.1 pep chromosome:AWHD00000000:5:12859591:12862342:1 gene:ONIVA05G12010 transcript:ONIVA05G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPYQLEVLKRTYTEDLYPNETIRAELSVKLGLTDKQLQMWFCHRRLKDRKPPPKRQQLEEDVHVPVMAPPPVLPPPLPHSKLTMAPGGMYGEQLLPSSSRRGTGRPSAILDMSDLQCLPLLTSHG >ONIVA05G12010.2 pep chromosome:AWHD00000000:5:12859770:12862342:1 gene:ONIVA05G12010 transcript:ONIVA05G12010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPYQLEVLKRTYTEDLYPNETIRAELSVKLGLTDKQLQMWFCHRRLKDRKPPPKRQQLEEDVHVPVMAPPPVLPPPLPHSKLTMAPGGMYGEQLLPSSSRRGTGRPSAILDMSDLQCLPLLTSHG >ONIVA05G11990.1 pep chromosome:AWHD00000000:5:12846597:12849485:-1 gene:ONIVA05G11990 transcript:ONIVA05G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRRRRGTSVAPPVTLPDDDDLLSEILLRLPPRPSSLPRASLVCKRWRRLVTDPAFHRRFRARHRNPPLIGVFEDYLGYPFFRSVLDPPDLIPRERFRLRLAEDEGGQWRFYGCRHGRVLLFNRAKNEILVWVPDTGDHHRVAVPPEIDGKEKIIWNGAVLSAATADDGFSSCPFKVVLVGVAGNNTQMFACVYCSESGKWSDLISVAAPFLVFFFRDPGILVGHALYWMASGDHGSTILQFDLDDQTPAVIEWPSDSDPNCYTQTWLTEGDCLRVATFSRGSLQMWERKVCSEGVAKWVLQKTYELKNVLNPEIRLNVEYVTKLGYVQDIKVMFVWAAHSVFMLQLDSLQAKKVWESCVIAPIHPYASTYVADYVSLRMHYSSPFIYTRNYGLRKTGKVSRL >ONIVA05G11980.1 pep chromosome:AWHD00000000:5:12834220:12836070:-1 gene:ONIVA05G11980 transcript:ONIVA05G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTREVAFGVNLIGNNGAAPADEASSLAGWAPVGSRTTLSAHVEKDDPPAAMLPAVDDGGREHRPSGSRYVLAARRDGEEDGLRHPVPAGALVPRVTYRVAGWVAVQSGGGEHAGGESHVVRVSLHVDDGGECRVLGCGAVCAGVAGGWVEINGAFRLKATPRGATAVHVHGAPAGVDVKLMDLRVFAADRKARFRHLKEKTDKVRKRDVVLKFSGGAGVEATASIPGAAVRVVQMDNVFPLGTCINGSVIQDPNFVDFFTNNFDWAVFENELKWYWTEAQRGLLNYRDADELLDFCDRHGKPARGHCIFWAVDGSVQQWIKDLGRDDLASAVRGRLTGLLSRYAGRFPHYDVNNEMLHGRFYRDRLGDDAAALMFREAARLDPAARLFVNDYNVECANDPNATPEKYIELIDALRRGGAAVGGVGIQGHVSNPSGEVICGALDKLAASTGLPIWITELDVSEPDVSLRADDLEVVLREAYAHPAVAGVVLWGFMQGRMWRQDASLVDADGTVNEAGQRLVNLRREWTSDARGTIDGDGHFTFRGYHGTYVVQVTTATGKILKTFTVDKGDTSLVLDVEI >ONIVA05G11970.1 pep chromosome:AWHD00000000:5:12828455:12832425:-1 gene:ONIVA05G11970 transcript:ONIVA05G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HCK1] MSVSLEDLKKENVDLVSVLHHSANIAFIRESIPIQEVFAVLKSSPQGLTSADGNGRLEIFGRNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGGGRPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMASLAPQTKARRACALLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLMEGDPLKIDQSALTGESLPVNKMPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVSYMHPSATSRRRGANCLLALLTINGGQVLTAIGNFCICSIAAGMLIEIIVMYPIQHRQYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEARTAHTINLSPFVKDLDKDAIVLYAARASRTENQDAIDASIVGMLADPSEARAGIQEVHFMPFNPVDKRTAITYIDTKDGSWHRISKGAPEQIIELCRLRDDVSRRVHAIIDKFADRGLRSLAVARQKVPEGSKDAPGTPWQFLAVLPLFDPPRHDSSETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWRFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDAWRLQEIFATGIVLGTYLALATVLFFWAVRDTDFFTVTTHHPTSHASPPRALTPCVCGQRTFGVHPIGGSTEELMAAVYLQVSIISQALIFVTRARSWFFVERPGLLLVGAFLIAQLMATLIAVYANWPFAKMKGIGWSWGMVIWLFSIVTFFPLDIFKFAIRYFLSGKAWNNAFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQAETSTALFDDNKDYLELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQNHYTV >ONIVA05G11960.1 pep chromosome:AWHD00000000:5:12819876:12824038:1 gene:ONIVA05G11960 transcript:ONIVA05G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPEHRRQDAAKGIKLVPILSRFNSVKMSRKRLVGMFEYPSLEAATEKFSESNMLGVGGFGRVYKAAFDAGVTAAVKRLDGGGPDCEKEFENELDLLGRIRHPNIVSLLGFCIHEGNHYIVYELMEKGSLETQLHGSSHGSTLSWHIRMKIALDTARGLEYLHEHCSPPVIHRDLKSSNILLDSDFNAKIADFGLAVSSGSVNKGSVKLSGTLGYVAPEYLLDAAMSISGKLTEKSDVYAFGVVLLELLMGRKPVEKMSPSQCQSIVTWAMPQLTDRSKLPSIVDPVIKDTMDPKHLYQVAAVAVLCVQAEPSYRPLITDVLHSLVPLVPTELGGTLRAGEPPSPNLRNSPC >ONIVA05G11950.1 pep chromosome:AWHD00000000:5:12816885:12817365:1 gene:ONIVA05G11950 transcript:ONIVA05G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDPATSSLDLAAADLASSDTTTTVAVCTARVHETTVPTTMGARLQRGGLDHMIGWNVVHGLISPHTYKFHTQAKAPFSAKQAETYRQKHLSPHRSTRPERRQLKP >ONIVA05G11940.1 pep chromosome:AWHD00000000:5:12805482:12805673:1 gene:ONIVA05G11940 transcript:ONIVA05G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERGGERPVEVAVLVAKVGRGERPQQWQSSISVVGKDRLEVPAGTYGDALGGRESELECLR >ONIVA05G11930.1 pep chromosome:AWHD00000000:5:12804644:12805174:1 gene:ONIVA05G11930 transcript:ONIVA05G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLPRLLRLHCHHATLVSTAREGGSAILEPSGGGSTLSEPAGDRSVTPKPTRAAIVIMVAAPGAVVTRCPSASFPPHARTDQPLPSQAADSSFPSLPAADSSFPSPPAAYPSFLSLPTVDPLHAATVIVVTAHGDVVTTAARSQHYCSCGCPRPLLLQARIPPLPLGEARRPAG >ONIVA05G11920.1 pep chromosome:AWHD00000000:5:12801197:12803774:-1 gene:ONIVA05G11920 transcript:ONIVA05G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLLADGCAGASSSPALSRVATSAAHGEGSPYFAGWKAYDEDPYDAAANPDGVIQMGLAENQVSIDLLEGYLREHPEAAAWGVAGDGGGDSFRDNALFQDYHGLANFRKAMARFMEKIMGGKATFDPDRIVLTAGATAANELLTFILADPRDALLIPTPYYPGFDRDLRWRTGVNVVPVHCDSANGFQVTAAALQAAHDEAAAAGMRVRGVLITNPSNPLGTTARREALEGILGFVARNDIHLVSDEIYSGSVFAAPDLVSVAELVESSSSRARHRGEDDDGDVGVADRVHVVYSLSKDLGLPGFRVGVVYSRNDAVVAAARRMSSFTLVSSQTQRTLAAVLSDEAFVDAYVAANRARLRERHNHVVAGLARAGVPCLRGNAGLFVWMDMRRLLLGDGGDAATFAGELRLWDRLLREVKLNVSPGSSCHCSEPGWFRVCFANMSLATLDVALERISRFMDAWCKATIGKFNHLQPNRCEVNCFALERYQGHVQQ >ONIVA05G11910.1 pep chromosome:AWHD00000000:5:12796067:12798637:1 gene:ONIVA05G11910 transcript:ONIVA05G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIKLSSHASLRYKLQPCGASPPAAAAAMETSYREEEARRKASLLHCIFFFLLGALAMAAAIAVLHESSYWEWRCNRLTDIVVDGDDGDGPSSSEVVDGGGEWGMVRTRGAQFVVGGGRPFYVNGFNTYWLMVLAVDPSTRGKVTEVFRQAAAVGLTVCRTWAFNDGGWRALQKSPGVYDEEVFKALDFVVSEARKHKIRLILPLINNWDDYGGKAQYVRWAQAAAAGAGADAFFSDETVRGYFKSHVTAVLTRVNAYTGVAYRDDPTIMAWELMNEPRCASDPTGDTLQAWIAEMAFHVKSVDPAHLLGVGAEGFYGPSSPPARLRVNPNADVALAGADFVRNHRVLGVDFASVHVYPDTWLPAGATKEAQLRFATSWVEAHIADAEGALGGMPVLFAEFGVSTRGARAAFNATSRDAFIEAVYGAMLRSTRRGGGGAGALLWQVFPEGTDYMDDGYAVVLPRAAATAGIVAAHSRRLQSFNSRCAWSCRWGCNKRDNDTAETTTAEADVDVSFHHEL >ONIVA05G11900.1 pep chromosome:AWHD00000000:5:12788899:12790979:1 gene:ONIVA05G11900 transcript:ONIVA05G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYALQPCPVPSSTLFSLSLSLSHLLSVGGCIESEQLRRWMHREGVVEGEENRCSAGARGGEAIYAAAEAYCPTRRSCCPVPTGSLSWTRTALIPSPRHPFAIVAGRLATRRRCGTSVGHYGASTTISNAIEGGARWQHRRQVSFQGQLRRWRTARSSSSKTAFWNREAHVDGEVGGAEEDNMGPTRSNNPVLAHHSTPTADTADTLSAVRGPPTLASRSRRPSALPPPLAGTAARRSPSTDPMLRPSHRRKRSLADAVKKLVKQEEEWSWGEKVNFVGTGPLEVSVSLAPQGNDY >ONIVA05G11900.2 pep chromosome:AWHD00000000:5:12790093:12795359:1 gene:ONIVA05G11900 transcript:ONIVA05G11900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIRWSGGIGMATYADYLIVTVGSGQMDLWVALHPDLSSKPQYYDAILNGLEVFKLWDIGKKNLAGLNPPLPPQPKTDVNPKGVSGGGKLKAAVPAAICAVVVLITACFCVCIICRRKKVAKHSGKTDKKCLTYQTELYKSPSNLCRNFTFHEMQIATSSFDETLLLGRGGFGDVYRGEIDNGTTVAIKRSNPLSLQGVREFQTEIETLSKVRHGHLVSLIGYCQEKNEMILVYEYMARGTLREHLYSTKRPPLPWKERLKICIGAARGLYYLHTGPKETIIHRDVKTANILLDDKCHARNFYPKFQ >ONIVA05G11900.3 pep chromosome:AWHD00000000:5:12789781:12795359:1 gene:ONIVA05G11900 transcript:ONIVA05G11900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIRWSGGIGMATYADYLIVTVGSGQMDLWVALHPDLSSKPQYYDAILNGLEVFKLWDIGKKNLAGLNPPLPPQPKTDVNPKGVSGGGKLKAAVPAAICAVVVLITACFCVCIICRRKKVAKHSGKTDKKCLTYQTELYKSPSNLCRNFTFHEMQIATSSFDETLLLGRGGFGDVYRGEIDNGTTVAIKRSNPLSLQGVREFQTEIETLSKVRHGHLVSLIGYCQEKNEMILVYEYMARGTLREHLYSTKRPPLPWKERLKICIGAARGLYYLHTGPKETIIHRDVKTANILLDDKCHARNFYPKFQ >ONIVA05G11890.1 pep chromosome:AWHD00000000:5:12779281:12779601:-1 gene:ONIVA05G11890 transcript:ONIVA05G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLTSSSINPANSPTNGTLRGSSSASAAAAASASVAGAAVRHRAPFLAAAIKRSPRDIYRTATRPPEPLTSSPPGDPDLARLFVGLARSIRSVRVRPGDLKSR >ONIVA05G11880.1 pep chromosome:AWHD00000000:5:12776633:12779156:-1 gene:ONIVA05G11880 transcript:ONIVA05G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDDRASAEITTDQENHLKDALIQVFAATSEHQEGEGEGAEVVQDEEIGEGVEGVRDEEIGDINSKPDKPYVGMEFRDKDEAKNYYDDYARKWGFITKISSCRRSQITKQYNRYEFACHSERSSRESGASAGSRSRRSSRVLKTGCKARMVVVKRDEKWVVTIVDLDHNHPPLNPSALMSLKPHRLIKDEDHDLLEFLRTNKIPTQRIIKCPAGVNVL >ONIVA05G11870.1 pep chromosome:AWHD00000000:5:12761983:12775270:1 gene:ONIVA05G11870 transcript:ONIVA05G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT2G04350) TAIR;Acc:AT2G04350] MGENTGDNPNMSILQRIATSDVPLVKEYGLPGIIGAILLAVVIPIMLSSIFNKKGKKRAVQADVGGEAGLAMRNSRFSMLVEVPWEGATTMAALFEMASKKYPRHRCLGTRKLINREFVESPDGRKFEKLHLGEYEWDTYAEAFSRACNFASGLIKLGHQRDSRAAIFADTRTEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNESVKHVIYIEDEPVEAEVLNQMKHWTTFSFGEVEELGKTSHTDARLPSSTDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIIPKLGTGDVYLAYLPLAHVFELAAETVMLASGAAIGYGSALTMTDTSNKIKKGTKGDVSALKPTLMISVPAILDRIRDAVFKKVGEKGGLTKKLFDIAYKRNLGAIEGSWFGSWAPERMIWDNLIFKPIRSMLGGRIRFVLCGGAPLSSDTQRFMNICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLVSWEEGGYKISDSPMPRGEVVVGGYSITKGYFNNEAKTNEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALTTSNYVDSIMVYADPFHNYCVALVVPAHQALEQWAQNSGINYKNFDELCHNDQAIKEVQQSLSKAAKAARLEKFEIPAKIVLLPEPWTPESGLVTAALKLKREQIKTKFKDDLGKLYH >ONIVA05G11860.1 pep chromosome:AWHD00000000:5:12734900:12735460:-1 gene:ONIVA05G11860 transcript:ONIVA05G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWRFERVFIYSRITYRCHSGLRRKAVVLGEKEVVQAPDRKPSEKVQERVHLVSLLRGLPPSPSATAKLADCAGVGIGEVGASPSTRCAANTWDPITVTKEGTLIRANNSDRRRRGGREGARSSWRRRGSSIVTEAGRELVVLNKQRPDPTTLRLDPVVKGSLPSCSHHVPSPLVADDAAVDGER >ONIVA05G11850.1 pep chromosome:AWHD00000000:5:12734288:12734897:-1 gene:ONIVA05G11850 transcript:ONIVA05G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWMTKAWASAEAANIIKDTKIYVYRDVEARNRFTGAAWTCGTDGPAAWPHGTARWRGPVARHRVQEGSARRHA >ONIVA05G11840.1 pep chromosome:AWHD00000000:5:12730506:12731342:1 gene:ONIVA05G11840 transcript:ONIVA05G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPADSLAAGRSRRVGASMPRPKRVRVYFVDADATDTDSSGDERSRPRVREVIDIDVGAASPAPAPAPKHPRRLVPTATAALARRRAGMAASRRFRGVRRRPWGRFSAEIRDPSLQKRLWLGTFDTAEEAAAVYDDAALRIKGSHAVTNFPSDSDTPSAKAKQMKLHPRRHTTPDKTPSSSTAAAAKASVPDPTPAPPEEDRANDSSFNPFASPTSVLRYAAADEVTAPSFEHLLVELGDLSAPPPSTKAAEFDWLPWWEGEDFVTAGLTASNAVSVK >ONIVA05G11830.1 pep chromosome:AWHD00000000:5:12726905:12727303:-1 gene:ONIVA05G11830 transcript:ONIVA05G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGATVIAAAAAAATLAPRRRHDEEDRQRQRRLQRGDEGWQTIGSGCVSSGGSWARRQRRDEEDHRQHDGYRGARRRGQEDHRRRRPRRHAVGAAAEAINHGGSATAVRSGNRGGLGDGGERERRRERRRG >ONIVA05G11820.1 pep chromosome:AWHD00000000:5:12711532:12712183:1 gene:ONIVA05G11820 transcript:ONIVA05G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHDELDGGDSAHRLLFNLYLTYWPLLLHCHLLLFKRPVTKTRAASSTDVVAKLDLDTEGWESGEEVDLELTGTLRRRWLVKARGRQCMEEALGEAEFELANTDFMAKRCSLLEVGPGDRWTLVAVIAAEG >ONIVA05G11810.1 pep chromosome:AWHD00000000:5:12668735:12669799:-1 gene:ONIVA05G11810 transcript:ONIVA05G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPASSTATTACRAQICFQGIDSFTSPFFVKSGDCGFWEWCDDEAEPYMKQQLLLDLRNAMWSAREQVNGLEAALRDRTVAQHNLQSTPKKESNEVQGLRAAVEKMEAANCVLVDRINKQQTSVLLEFVIANWNECKIVVLFEMTVKNQLEIMETAKVMINNDIYCHIWNPLYLITSASKQEWWQ >ONIVA05G11800.1 pep chromosome:AWHD00000000:5:12654899:12658953:1 gene:ONIVA05G11800 transcript:ONIVA05G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKPQPPQTPPSLDHHHHHHTPSVGPSSPPQDSLAAQAMRASAAHRDASSLSSAYSSSSASAAAAAAAARRGHHEPSVSTPSPGSSGYEYTSMKNLNEAKYGFWGALARKAKSFLDEDGSPGQYDSPARQQPSRDAPPVGVQYTRSQQPPSETWKSETPPSHKRSEAIASSLNYIGGTIKSALEEGRTIVENKTADIIHETRKLNIRRKGAGSTTQGEAPQRFTQRNLPQNPLDYETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEDENKILRESHDKGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPPAPSRAGSGLGRSVTPATPKTASSSPSSTSIVVPETCPVVPASPKSLSRTSSKQQIKPGTTNHAED >ONIVA05G11790.1 pep chromosome:AWHD00000000:5:12643433:12651121:-1 gene:ONIVA05G11790 transcript:ONIVA05G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67570) TAIR;Acc:AT5G67570] MVIPSCPLPRLHLSLQLHNPSAIPSPRRRPRPAPTSETLRRRLLRKGVSPTPKILHALRKKEALKSLRRARKDTAAAAAAAEAASNALPREEDGAAVVGEDDEARFRAAVAEYRALMGRPWHGGASTPPRGALRGEGEGLDGLREMLAARRAGKFEWLLEDDDVEEGEEEAAVGRRGRAGVGWNSDFRDEERRIESLVRRLNEDDLSMRDWRLTRLMKKADLIYNEDNLLQILEGLEARGNWRQALSVTEWVYNENIYKHRKSRFVYTKLLSILGKAWRPTEALRVFTIMRSDAQIYPDMAAYHSIAVTLGRAGLLNELIKIIEYMRQKPSKRVMKMRRKDWDPSLEPDVLIYNSVLNACVLSQQWKGVFWVFQQMRRNSLIPTGATFGLAMEVMLKAKKYDFVQKFFQKMQKSGVPPRAITYKVLVRAYWEQGKVNEAVEAVKDMEQRGIVGAASVYYELACCLCNKGRWRDALSQVEKLKQLPLTKPLEFTFTGMILASFDGGYISECISIFESMEGHCAPNIGTINVMIKVYGRCDMFVKARDLFETIKVNLPSSNHSSHKADAYTYSSMLEAAASAQQWEYFENVYREMTLSQYHLDQSKYSWMLIKASKAGKSYLLEHALDSILERGETPNVQLFSEMICQTIAQRNYAKTLHLINIMAEASTDVSELQWSKLLEQNMHRFSVNALKDLLKYLSTSDIIKSDPELCFVSALQSQCGTTFVNDTSFVADGTYTGQSQLSLPENITKSSNSNLDQLSCMNSLNTNVFPDEKVSSEFSDYIMNTPQSDANAGLSEDIVIGSHFESEQKEQHDLGWLGTGVSAVDEVLDSMNLYGDGSCGEMPSASEILELWEQDRINGTFSAKTAEPPCEDRIFIKKGEITPEVEVDVQ >ONIVA05G11790.2 pep chromosome:AWHD00000000:5:12643433:12651121:-1 gene:ONIVA05G11790 transcript:ONIVA05G11790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67570) TAIR;Acc:AT5G67570] MVIPSCPLPRLHLSLQLHNPSAIPSPRRRPRPAPTSETLRRRLLRKGVSPTPKILHALRKKEALKSLRRARKDTAAAAAAAEAASNALPREEDGAAVVGEDDEARFRAAVAEYRALMGRPWHGGASTPPRGALRGEGEGLDGLREMLAARRAGKFEWLLEDDDVEEGEEEAAVGRRGRAGVGWNSDFRDEERRIESLVRRLNEDDLSMRDWRLTRLMKKADLIYNEDNLLQILEGLEARGNWRQALSVTEWVYNENIYKHRKSRFVYTKLLSILGKAWRPTEALRVFTIMRSDAQIYPDMAAYHSIAVTLGRAGLLNELIKIIEYMRQKPSKRVMKMRRKDWDPSLEPDVLIYNSVLNACVLSQQWKGVFWVFQQMRRNSLIPTGATFGLAMEVMLKAKKYDFVQKFFQKMQKSGVPPRAITYKVLVRAYWEQGKVNEAVEAVKDMEQRGIVGAASVYYELACCLCNKGRWRDALSQVEKLKQLPLTKPLEFTFTGMILASFDGGYISECISIFESMEGHCAPNIGTINVMIKVYGRCDMFVKARDLFETIKVNLPSSNHSSHKADAYTYSSMLEAAASAQQWEYFENVYREMTLSQYHLDQSKYSWMLIKASKAGKSYLLEHALDSILERGETPNVQLFSEMICQTIAQRNYAKTLHLINIMAEASTDVSELQWSKLLEQNMHRFSVNALKDLLKYLSTSDIIKSDPELCFVSALQSQCGTTFVNDTSFVADGTYTGQSQLSLPENITKSSNSNLDQLSCMNSLNTNVFPDEKVSSEFSDYIMNTPQSDANAGLSEDIVIGSHFESEQKEQHDLGWLGTGVSAVDEVLDSMNLYGDGSCGEMPSASEILELWEQDRINGTFSAKTAEPPCEDRWNNSRGREITPEVEVDVQ >ONIVA05G11790.3 pep chromosome:AWHD00000000:5:12644718:12651121:-1 gene:ONIVA05G11790 transcript:ONIVA05G11790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67570) TAIR;Acc:AT5G67570] MVIPSCPLPRLHLSLQLHNPSAIPSPRRRPRPAPTSETLRRRLLRKGVSPTPKILHALRKKEALKSLRRARKDTAAAAAAAEAASNALPREEDGAAVVGEDDEARFRAAVAEYRALMGRPWHGGASTPPRGALRGEGEGLDGLREMLAARRAGKFEWLLEDDDVEEGEEEAAVGRRGRAGVGWNSDFRDEERRIESLVRRLNEDDLSMRDWRLTRLMKKADLIYNEDNLLQILEGLEARGNWRQALSVTEWVYNENIYKHRKSRFVYTKLLSILGKAWRPTEALRVFTIMRSDAQIYPDMAAYHSIAVTLGRAGLLNELIKIIEYMRQKPSKRVMKMRRKDWDPSLEPDVLIYNSVLNACVLSQQWKGVFWVFQQMRRNSLIPTGATFGLAMEVMLKAKKYDFVQKFFQKMQKSGVPPRAITYKVLVRAYWEQGKVNEAVEAVKDMEQRGIVGAASVYYELACCLCNKGRWRDALSQVEKLKQLPLTKPLEFTFTGMILASFDGGYISECISIFESMEGHCAPNIGTINVMIKVYGRCDMFVKARDLFETIKVNLPSSNHSSHKADAYTYSSMLEAAASAQQWEYFENVYREMTLSQYHLDQSKYSWMLIKASKAGKSYLLEHALDSILERGETPNVQLFSEMICQTIAQRNYAKTLHLINIMAEASTDVSELQWSKLLEQNMHRFSVNALKDLLKYLSTSDIIKSDPELCFVSALQSQCGTTFVNDTSFVADGTYTGQSQLSLPENITKSSNSNLDQLSCMNSLNTNVFPDEKVSSEFSDYIMNTPQSDANAGLSEDIVIGSHFESEQKEQHDLGWLGTGVSAVDEVLDSMNLYGDGSCGEMPSASEILELWEQDRINGTFSAKTAEPPCEDR >ONIVA05G11780.1 pep chromosome:AWHD00000000:5:12640002:12641474:-1 gene:ONIVA05G11780 transcript:ONIVA05G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRREKMSKGGCGVRVIVPGSGGVQVIVPGGGGVHLGGDWRRTTKSRRNRFRFSARPKIKVTALEEEHGSGEEEEHGGGRGGEKHGGSTEEDVKCEKIGSVCLFLPSIAREVTFLPFYISAMRVFRGVYSIIAHGFQKGLAHLIVRPQRRAAARLLLPLVAARQCRSPPPSSSSRRHSRRLLPIPTYRSRIRAAEVANAPEGIHKCWEGVEKTTGVGQGGTRLYATVDLGKARLGWSRPHFLPSS >ONIVA05G11770.1 pep chromosome:AWHD00000000:5:12629913:12632727:1 gene:ONIVA05G11770 transcript:ONIVA05G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITIEDVDHILGVPSEGAELVEVPQAIQADVDAPKDKDENKALQTTKAAFLTLNHLKRSIVSFQHDKVNLKGNQILLLLWYWEKFRVSHIDPSKDYIGRIVNDIKIPIEATKEYTTEDHSGTDANQPSNMDNTKAHTTEMADAKKKKDTSNSPIAPRVRTTGRVLTSTIQLNTNFVYPENPKNKQKATPAPPQYIPKLCLAQMLKNFPNTAFYICDYVINWVVIAEFTCTEEDEFLIDYINTSPHHRVMVRMEGLLLTREQLQPLTNRFLPNGEARYVIDEIIDTYIMHLEHKYLEESQALRRVYMMKTFITGKISIDCVHEISKRQLEKGYILRITNQIAQNEQGDIDIFRRKLAAILVGSVLNDNIKIPTYKK >ONIVA05G11760.1 pep chromosome:AWHD00000000:5:12629609:12629885:1 gene:ONIVA05G11760 transcript:ONIVA05G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNNIRANKPGTQVCSQVAYWKFIQTLDKEQLQARDDLSFGGLTKMNGVQIRRLFCKQIARQYDE >ONIVA05G11750.1 pep chromosome:AWHD00000000:5:12628806:12634239:-1 gene:ONIVA05G11750 transcript:ONIVA05G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTFADKQEHALAREVVVVIKADAGGTFRRFASFVFIFVLWGINVSLDCLWDFNQFSTFRWHADNAAG >ONIVA05G11740.1 pep chromosome:AWHD00000000:5:12614259:12615598:1 gene:ONIVA05G11740 transcript:ONIVA05G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQRRNSTAGKDNMSQAWPQWTSCCQVPKMWECSSGVQIVKARFQNLAHSLSGMELDYIEHNPIPVARAVAGEGDMVDEGKMDKLTKWIQLLVLMNIGQGLLVMFGWDLAPGFSGAFLGDLLLSPATGAGCELEPAYNCFPW >ONIVA05G11730.1 pep chromosome:AWHD00000000:5:12580897:12581784:1 gene:ONIVA05G11730 transcript:ONIVA05G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTDSFVGAAAAAAAPLDRQDGGGGPGNQKLEAFLREAEAAKDEMAALRDELSRLQAAHEASKALLRPGAPRAATQAALVRLIGSAGRLRARLASMDRRAPAPATTAATAGLRGRLQDLTAGVQVLRRQVSAERRGDAARCYLAVAGEAPTEEQLDRLVAAGGANTDAEAAVRAAMKSSSEAEEVEGGLLELQQLFLDMAALVESQGARVDDIERHVAAAAGDVGAAEGELREAQQLRVAARRRRLWLSAGLAVLLLVVLAAAAAALALALARRKGGATQLAADAADLAAFL >ONIVA05G11720.1 pep chromosome:AWHD00000000:5:12577907:12578680:1 gene:ONIVA05G11720 transcript:ONIVA05G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCGSGGGRPSESELPRQPPVGGIDAEVVALTLHSIVSTARDTTSCFSKIFLGPVNLRAPRKEVQLKTEYNNYRVYICYNRTIDDNLSISLSFQMKLWGQHTCFFEISCDKVFTNLVYTLILEKN >ONIVA05G11720.2 pep chromosome:AWHD00000000:5:12577611:12578422:1 gene:ONIVA05G11720 transcript:ONIVA05G11720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPLPLSHHATYVVVARTEDANGVATSPNPFPIAASPSTATVRATGDSARKNGRRDLEVGCIDRRRGEQWRDDAALAVGGRARASFHDSRPLAGLTPKWWPLLFIQSYSWGQ >ONIVA05G11710.1 pep chromosome:AWHD00000000:5:12569244:12573314:-1 gene:ONIVA05G11710 transcript:ONIVA05G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthetic bifunctional enzyme TH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22940) UniProtKB/Swiss-Prot;Acc:Q5M731] MAAAPQQSVHPSLPSSTSTLRLLISSSPRRPPPPPPRARRYNRLAASASAAREMPWPHVLTVAGSDSGGGAGIQADIKACAALGAYCSSVVTAVTAQNTAGVQGIHVVPEEFIREQLNSVLSDMSVDVVKTGMLPSIGVVRVLCESLKKFPVKALVVDPVMVSTSGDILSESSTLSVYRDELFAMADIVTPNVKEASRLLGGVSLRTVSDMRNAAESIYKFGPKHVLVKGGDMLESSDATDVFFDGKEFIELHAHRIKTHNTHGTGCTLASCIASELAKGATMLHAVQVAKNFVESALHHMTDSGMNKKWGRSIKEAVQAAIEGGATIVQLREKDSETREFLEAAKACMEICKSSGVPLLINDRVDIALACNADGVHVGQSDMSAHEVRELLGPGKIIGVSCKTPAQAQQAWNDGADYIGCGGVFPTSTKANNPTLGFDGLKTVCLASKLPVVAIGGINASNAGSVMELGLPNLKGVAVVSALFDRPSVVAETRNMKSILTNTSRT >ONIVA05G11710.2 pep chromosome:AWHD00000000:5:12569244:12573314:-1 gene:ONIVA05G11710 transcript:ONIVA05G11710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthetic bifunctional enzyme TH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22940) UniProtKB/Swiss-Prot;Acc:Q5M731] MAAAPQQSVHPSLPSSTSTLRLLISSSPRRPPPPPPRARRYNRLAASASAAREMPWPHVLTVAGSDSGGGAGIQADIKACAALGAYCSSVVTAVTAQNTAGVQGIHVVPEEFIREQLNSVLSDMSVDVVKTGMLPSIGVVRVLCESLKKFPVKALVVDPVMVSTSGDILSESSTLSVYRDELFAMADIVTPNVKEASRLLGGVSLRTVSDMRNAAESIYKFGPKHVLVKGGDMLESSDATDVFFDGKEFIELHAHRIKTHNTHGTGCTLASCIASELAKGATMLHAVQVAKNFVESALHHSKDLVIGNGPQGPFDHLFKLKCPPYNVGSQPSFKPDQLFLYAVTDSGMNKKWGRSIKEAVQAAIEGGATIVQLREKDSETREFLEAAKACMEICKSSGVPLLINDRVDIALACNADGVHVGQSDMSAHEVRELLGPGKIIGVSCKTPAQAQQAWNDGADYIGCGGVFPTSTKANNPTLGFDGLKTVCLASKLPVVAIGGINASNAGSVMELGLPNLKGVAVVSALFDRPSVVAETRNMKSILTNTSRT >ONIVA05G11700.1 pep chromosome:AWHD00000000:5:12567266:12567757:-1 gene:ONIVA05G11700 transcript:ONIVA05G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILPGFFRRRRSSAVVPAATKEEMLELERRLWDIAPAAAYELQKRRHWTPEQVAREAEKQRWIAEEKRRIEKETKRQQQRRSSGFAVVVVVDLDKELGEEFERTRFYEELRLQQAEARRAAASKVVTTTEEEDDDDDVPARGDEGYLERRRELLGRYRLT >ONIVA05G11690.1 pep chromosome:AWHD00000000:5:12545540:12546603:-1 gene:ONIVA05G11690 transcript:ONIVA05G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVQDPQALAHLEGLNFYLSLYEQDPEWVAFIQQELNHNTPLEDIPGRLRLFLMEERTSNVRMDLIQEFLALYARNGAVLPVEPYLLEGALRSYLDSIRATDDFSILQAAYQDLRDHEEGSFFFRDVVSHNRDFLEAQSAKRTWIEVERNSLYSKIERAQARLERTEFQHTLLIFQLEDRKRGGE >ONIVA05G11680.1 pep chromosome:AWHD00000000:5:12540535:12542660:-1 gene:ONIVA05G11680 transcript:ONIVA05G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATQQGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >ONIVA05G11670.1 pep chromosome:AWHD00000000:5:12522900:12523504:-1 gene:ONIVA05G11670 transcript:ONIVA05G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESGTADGEKKSDGEVEGELCQQWGWGKGEGEQRWRCGGGGAVPTVGRFTKIYSHFKALHKYILPPYRRAGVDKSSYSRTIYAT >ONIVA05G11660.1 pep chromosome:AWHD00000000:5:12522566:12522848:-1 gene:ONIVA05G11660 transcript:ONIVA05G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPRGGRFIVGKEPLHNCYGDPLPAINHPREERFITGKRLSRKLPLHAPLLRCHFTLICSFCPRPTVGWEEHIFAEL >ONIVA05G11650.1 pep chromosome:AWHD00000000:5:12517996:12522377:-1 gene:ONIVA05G11650 transcript:ONIVA05G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08310) TAIR;Acc:AT5G08310] MSLRRLARSPSSRSLCAPRSFSFSTSASPPPRAPVPASPSYLAHHLLDEFSRPRATRDAARLRRLAAELTAPAAESVLLRLPSWRHALDFFRWAADQPGFRHSCYSLNAMASLLPRHQRAHLDRLAADAISARCLMTPGALGFLLRCLGAAGLPDTAVRAFDAARASFGCTPNSYTYNCLLDALAKAGRADDAQARLREMVARCGDGSVDKYTLTSLLRCYCNAGRPDDANDVFQRMSELGWVDEHVLTTLMVAFSKWGKVDGAVELLGSMEALGMRLSEKTLSVLVHGFTKQGRVDKAMDMFAKMVSYGFVVDLAMYSVLIEGLCQQKDIARAVKLFKEMKSSGVAPDVRLLKKVIEAFCREGDFAVIGPFINENAEYLKSGSVVPLYNVVLEELVHCGEVEAAYQLLRSMVCGGQAVNNDVAGGAHMLHIREDAKPNSDSFNIVVCGLCKVKKLDMALALTKDMISLGCKGKILMFNDLIHELCNMDRLEEGYGIFNQMKDLGLTPSEFTYNSLFYGICRRKYPKAALDLLREMQTNGHPPWIKNCTEMVQQLCFSGRVTEAVQFLDGMLQIGFLPDIVTYSAAMNGMCNTGEVDDALHLFRDISCKYYLPDVVAHNILINGFRKSSKLDEAQKIMEEMLEKGLFPSVVTYNLMIDVCCKTGRIEKAISYLDKMVYEEKQPTVITYTSLIDGFCSAGRPDEAIKLWCEMREKGCAPNNIAYTAFINGLRKCGRIETALTYFEEMVTKGFELDTFSLLYFINFLISNGHPMKGCELLKEVLQKDTYGNNLKMVGLINEAVVELSKDGITSSDILKFVDKDCSLLLSTCPSASCNYFPAAYTGVNSVVPGNLLQILEGLEARGNWRWALSVTEWDFLQELSENDEKIKSLVSMGFPEDEAKMAITRCGNVYSANLSDYEDAEFSSFGGRKNTRFMDGSKKKSGMEVGHKGIKCHLVTAMKSQCLCQILWWIGSIYFCAAARKMDYIHNLPIENRSPVLPLPPNTISEAFLPTNMWWPSRSKKTVQLLAILRGKREAYRTLCSC >ONIVA05G11650.2 pep chromosome:AWHD00000000:5:12517996:12522377:-1 gene:ONIVA05G11650 transcript:ONIVA05G11650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08310) TAIR;Acc:AT5G08310] MSLRRLARSPSSRSLCAPRSFSFSTSASPPPRAPVPASPSYLAHHLLDEFSRPRATRDAARLRRLAAELTAPAAESVLLRLPSWRHALDFFRWAADQPGFRHSCYSLNAMASLLPRHQRAHLDRLAADAISARCLMTPGALGFLLRCLGAAGLPDTAVRAFDAARASFGCTPNSYTYNCLLDALAKAGRADDAQARLREMVARCGDGSVDKYTLTSLLRCYCNAGRPDDANDVFQRMSELGWVDEHVLTTLMVAFSKWGKVDGAVELLGSMEALGMRLSEKTLSVLVHGFTKQGRVDKAMDMFAKMVSYGFVVDLAMYSVLIEGLCQQKDIARAVKLFKEMKSSGVAPDVRLLKKVIEAFCREGDFAVIGPFINENAEYLKSGSVVPLYNVVLEELVHCGEVEAAYQLLRSMVCGGQAVNNDVAGGAHMLHIREDAKPNSDSFNIVVCGLCKVKKLDMALALTKDMISLGCKGKILMFNDLIHELCNMDRLEEGYGIFNQMKDLGLTPSEFTYNSLFYGICRRKYPKAALDLLREMQTNGHPPWIKNCTEMVQQLCFSGRVTEAVQFLDGMLQIGFLPDIVTYSAAMNGMCNTGEVDDALHLFRDISCKYYLPDVVAHNILINGFRKSSKLDEAQKIMEEMLEKGLFPSVVTYNLMIDVCCKTGRIEKAISYLDKMVYEEKQPTVITYTSLIDGFCSAGRPDEAIKLWCEMREKGCAPNNIAYTAFINGLRKCGRIETALTYFEEMVTKGFELDTFSLLYFINFLISNGHPMKGCELLKEVLQKDTYGNNLKMVGLINEAVVELSKDGITSSDILKFVDKDCSLLLSTCPSASCNYFPAAYTGVNSVVPGNLLQILEGLEARGNWRWALSVTEWDFLQELSENDEKIKSLVSMGFPEDEAKMAITRCGCRVQFLWRKKEYKIHGWKQEKEWYGSGPQGNQMPFGDSHEEPMPLPNPMVDWWDSACPPEFVGSIYFCAAARKMDYIHNLPIENRSPVLPLPPNTISEAFLPTNMWWPSRSKKTVQLLAILRGKREAYRTLCSC >ONIVA05G11640.1 pep chromosome:AWHD00000000:5:12507873:12509696:-1 gene:ONIVA05G11640 transcript:ONIVA05G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRRLTEQIHSEHQVVAALLDKAEALVMAARAKGAHGGAAAAGEQHPDHPSRGKKSGRFLRRQETPPEAATAIDGDVSPPEKRRKTTAASPIVEVEVEVIEPTMPKAQRDRLYGLLASLSADTPLPPHIVGLMRSQCCCVVDPNGEEMDVDLSSAKDAALFQLLNLLVEFAQQQTTKIIEEQEPPKIEASDATSSSSICDLLEDGEIADEGAAMGMDICGGVSPLIVDSAQLLPLPKQQEDDELIDIYGGVSPVSVNNFPDSPRSSSSRSDSSSSSSSSNGSGSSSSSSSGASSGSSSCAGSSSSSSGSDTDADADSASNRPDTTTDHPTEAEVKPMVEHEVMEQDKKLITERAAASPASQLCITDMGIDICGGVSPLVVDKAQFSPLPKQQQEDDELIDICGGIDSPVSVSKFPETPRSSSSDSSSSSSCSGSSSSSERNDSASSRPDTTADHPTEAEVKPMEEQKLIIERAASPHTEMQELITERAASPHTEMQELITERAASRRTEMQELIARAQERQKLQRELERKAARELERKAAREQLQEMKRTAQPVFDIIDPRDMKQLGISGEAQYIVSPVKSRDSLRRRGGGLLQRLGFFLKAEF >ONIVA05G11630.1 pep chromosome:AWHD00000000:5:12497890:12506969:1 gene:ONIVA05G11630 transcript:ONIVA05G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVVRRLGDPTLAPGGEASPFAPVTGDHPAPALASPTSVRVRVAATSLNFATYLQVQGKYQERPALPFVPGSDYAGFVDAVGPAVRRFRPGDRVCGLAAVGSFADLIVADEKQLFSVPDGCDLVAAGALPVAFGTSHLALVHRAQLKAGQVLLVLGAAGGVGASAVQIGKVCGAVVIAVARGTEKLQYLKTIGADHVIDSSKESIMESAKSFLKAKGLKGVDVLYDPVGGKLTQDSLKLLNWGAHILIIGFASGDVPIIRANIALVKNWTIHGLYWGSYFTHRPPVLIDSLNELFSWLSKGLIKIQISHTYRLPEAHLAFAALRDRKAVGRRVSPVHRRRIAPRFVAGDDPHFLLAGYCLCVLLLRSYLASKLLPKCRSLAAIKQLHAHLLHYAHRASFPYNHFLSKLLSLFTSSSSSSAAAAASDYALLLLASHSAPTAFSYNVAIRFFASSRPHTSLRLFLHMLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARSGHLEEAWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSGRLDDSAKMRGPMRERGVNKTPGCSWVEISGKVLEFYAGDEPQHGADDMYQVLDLLVDEMRLEGYVPNLDVESDSRLPPGYINLIRSHIGPNAIATNCSIESCLEVTQEIQNLR >ONIVA05G11630.2 pep chromosome:AWHD00000000:5:12497890:12506969:1 gene:ONIVA05G11630 transcript:ONIVA05G11630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVVRRLGDPTLAPGGEASPFAPVTGDHPAPALASPTSVRVRVAATSLNFATYLQVQGKYQERPALPFVPGSDYAGFVDAVGPAVRRFRPGDRVCGLAAVGSFADLIVADEKQLFSVPDGCDLVAAGALPVAFGTSHLALVHRAQLKAGQVLLVLGAAGGVGASAVQIGKVCGAVVIAVARGTEKLQYLKTIGADHVIDSSKESIMESAKSFLKAKGLKGVDVLYDPVGGKLTQDSLKLLNWGAHILIIGFASGDVPIIRANIALVKNWTIHGLYWGSYFTHRPPVLIDSLNELFSWLSKGLIKIQISHTYRLPEAHLAFAALRDRKAVGRRVSPVHRRRIAPRFVAGDDPHFLLAGYCLCVLLLRSYLASKLLPKCRSLAAIKQLHAHLLHYAHRASFPYNHFLSKLLSLFTSSSSSSAAAAASDYALLLLASHSAPTAFSYNVAIRFFASSRPHTSLRLFLHMLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRDMVKEGTVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMEMDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGMRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNVASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARSGHLEEAWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSGRLDDSAKMRGPMRERGVNKTPGCSWVEISGKVLEFYAGDEPQHGADDMYQESDSRLPPGYINLIRSHIGPNAIATNCSIESCLEVTQEIQNLR >ONIVA05G11620.1 pep chromosome:AWHD00000000:5:12495916:12496185:1 gene:ONIVA05G11620 transcript:ONIVA05G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWMCSVDGSQGEVEASRKQAEVGGAAWREGGRRRHGGASHVARRRQAAARRGGRAGPSGGRDEVPCELRLGSMCRRRRGVAELRITE >ONIVA05G11610.1 pep chromosome:AWHD00000000:5:12403186:12407031:1 gene:ONIVA05G11610 transcript:ONIVA05G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECDHCKSEESNMCDLLRINVDRGVMIGDGKSRFTIKGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCILSCGFSTGFGATVNVAKPKKGQTVAIFGLGAVGLAAMEGARLSGASRIIGVDLNPAKFEQAKKFGCTDFVNPKDHSKPVHEVLIEMTNGGLDRAVECTGNINAMISCFECVHDGWGVAVLVGVPTKDDVFKTHPMNFLNEKTLKGTFFGNYKPRTDLPNVVELYMKKELELEKFITHSVPFSEINTAFDLMLKGESLRCVMRMDE >ONIVA05G11600.1 pep chromosome:AWHD00000000:5:12389009:12395092:1 gene:ONIVA05G11600 transcript:ONIVA05G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRLKILYTALCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTELSPGDHVLPVFTGECGDCRHCLSEESNLCDLLRINTDRGAMIGDGQTRFTARGGQPVYHFLGTSTFSEYTVVHVGCVAKIHPAAPLDKVCVLSCGISTGFGATVNVAKPPKGCTVAIFGLGAVGLAAMEGARISGASRIIGVDLNPAKFELAKKFGCTDFVNPKDYDKPVQQVIIEMTNGGVDRSVECTGNAAAMISAFECVHDGWGVAVLVGVAGKDAAFKTHPTNFLNERTLRGTFFGNYKPRTDLPGVVEMYMNKELELEKFITHSVPFSEINTAFDLMLSGESLRCIIRMED >ONIVA05G11600.2 pep chromosome:AWHD00000000:5:12389009:12395092:1 gene:ONIVA05G11600 transcript:ONIVA05G11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRLKILYTALCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTELSPGDHVLPVFTGECGDCRHCLSEESNLCDLLRINTDRGAMIGDGQTRFTARGGQPVYHFLGTSTFSEYTVVHVGCVAKIHPAAPLDKVCVLSCGISTGFGATVNVAKPPKGCTVAIFGLGAVGLAAMEGARISGASRIIGVDLNPAKFELAKKFGCTDFVNPKDYDKPVQQVIIEMTNGGVDRSVECTGNAAAMISAFECVHDVRTPSHPLIHHIYFQ >ONIVA05G11600.3 pep chromosome:AWHD00000000:5:12389009:12395092:1 gene:ONIVA05G11600 transcript:ONIVA05G11600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRLKILYTALCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTELSPGDHVLPVFTGECGDCRHCLSEESNLCDLLRINTDRGAMIGDGQTRFTARGGQPVYHFLGTSTFSEYTVVHVGCVAKIHPAAPLDKDLARL >ONIVA05G11600.4 pep chromosome:AWHD00000000:5:12389009:12395092:1 gene:ONIVA05G11600 transcript:ONIVA05G11600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRLKILYTALCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTELSPGDHVLPVFTGECGDCRHCLSEESNLCDLLRINTDRGAMIGDGQTRFTARGGQPVYHFLGTSTFSEYTVVHVGCVAKIHPAAPLDKAMEGARISGASRIIGVDLNPAKFELAKKFGCTDFVNPKDYDKPVQQVIIEMTNGGVDRSVECTGNAAAMISAFECVHDVRTPSHPLIHHIYFQ >ONIVA05G11590.1 pep chromosome:AWHD00000000:5:12373483:12380261:-1 gene:ONIVA05G11590 transcript:ONIVA05G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEHRATPVAYGWLSIPELVRSNSRCFMPSQSDEEIHQLSYLQKHMANILTRLADSVEGEGDDSLVLTMETFEYLGFLVQFSEGTSLSQAATFFANSDPDMPAAPVDVAEHSFFTRSAAKESNQQTVSDLDVTMAEANTSHTRNSTPSSNPAYYRNVLNCHDSVIYILAPLKYATVYGCSDATIVLGAVGKVVKVEHCERVHIIAASKRICIANCHVCIFYLGVNHQPLVLGDNHNLQVAPFNTCYPQLRDHLMQVGVDPSVNKWDQPFVLGVVDPHDSLSHPAGVSDVKAESATCLDPDLFTNFLVPSWFGDETQEPTNCNPFPLPEIYGTFQSKKHSALEDIQKTIWELQLDENRKRDLATALHAQFKASGNIRQLYCLQGD >ONIVA05G11580.1 pep chromosome:AWHD00000000:5:12372062:12372328:-1 gene:ONIVA05G11580 transcript:ONIVA05G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKMAVVAILAALLLMAAAEPALATAPSLVPARKLQMPRIMDVISAESKLACLPAGGFCMFRPMDCCGNCGCLYPVGVCYGSRCEE >ONIVA05G11560.1 pep chromosome:AWHD00000000:5:12351511:12351816:1 gene:ONIVA05G11560 transcript:ONIVA05G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein-RZ53 [Source:UniProtKB/TrEMBL;Acc:B9V0N8] MGLSCLGAGMMGKKKVSPAKQQQQQPVDQNQQQKNLYCSKSKDADAAEVGEKEKKIGGGSDGDHHAGVEKVEMRKKKSGSSSSSAPILMYQFPFHSRPGLL >ONIVA05G11550.1 pep chromosome:AWHD00000000:5:12339611:12350071:1 gene:ONIVA05G11550 transcript:ONIVA05G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase family-1 [Source:UniProtKB/TrEMBL;Acc:B9V0N7] MATAGKVIKCKAAVAWEAAKPLVIEEVEVAPPQAMEVRVKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTDLAPGDHVLPVFTGECKECAHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPAAPLDKVCVLSCGISTGLGATINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGIDLNANRFEEARKFGCTEFVNPKDHDKPVQQVLAEMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNYKPRTDLPNVVELYMKKELEVEKFITHSVPFSEINTAFDLMHKGEGIRCIIRMEN >ONIVA05G11550.2 pep chromosome:AWHD00000000:5:12346325:12350069:1 gene:ONIVA05G11550 transcript:ONIVA05G11550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase family-1 [Source:UniProtKB/TrEMBL;Acc:B9V0N7] MATAGKVIKCKAAVAWEAAKPLVIEEVEVAPPQAMEVRVKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTDLAPGDHVLPVFTGECKECAHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPAAPLDKVCVLSCGISTGLGATINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGIDLNANRFEEARKFGCTEFVNPKDHDKPVQQVLAEMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNYKPRTDLPNVVELYMKKELEVEKFITHSVPFSEINTAFDLMHKGEGIRCIIRMEN >ONIVA05G11540.1 pep chromosome:AWHD00000000:5:12332720:12333352:1 gene:ONIVA05G11540 transcript:ONIVA05G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTRRLRVLLLVLAVVVASAVQYSGAGAHAARVPAVLREDETTTTATDGLACRHRRRASAVVVTAAAAPPLVPVHAAAGHGVPSTKSFNEGPAPSTTGGGDDGHAIFAAAAAGRVSSRLGGGGGRGGGGGRGGGGYGRAGGGAGYAAGMYTGGGTGSAKADIIIDVLLVLTLVGGIITIVWSFCFICTDQQADSGSTDQDPNPPLPKQ >ONIVA05G11530.1 pep chromosome:AWHD00000000:5:12306659:12309741:1 gene:ONIVA05G11530 transcript:ONIVA05G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDPVPLGQIRRPPGRMTTASCLGEVGTGCGRPATEDGARRRARDGGGGLVLRRRRGAGAPTGGCGWPLCTEAVAATSCAHRRLGSDAAGRSWCRWLAEPRQPVPWPHRPVVGAAVDGGGLVDLCISQQFNKDDITAYEISCFLHPVSDIQLFILSIFRQELSIHLSALHCQMADMGARQQVMMRTGTQAKLHEKVRITLLNYHGQPNYPPEPQNRTFFTPNFANQTNNPPGSIRGGSDPTCVPSEAAPIRADAAADVQTGCRTMLGLIVTGIAGGALTQAALAEAAKPIKLGPPPPPSGEGEVLPAAAAAGGRRRRGRRSGRERLAAHDEPRSAPGAGAKAGSGGGRGAGAGS >ONIVA05G11520.1 pep chromosome:AWHD00000000:5:12291745:12292486:-1 gene:ONIVA05G11520 transcript:ONIVA05G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALVVGADMEWCDEYGTGSEEWTTKVEIKIKNVPEHANHPEIMERLVAFFCNAQTYRFDAVNKDYYICGFAQSIESIPKSKHLKLKYGTSNGVRIKSFLLNLEASLYAGPESNAITEAEDSEIYEDPEIYEDPDVVREFFETHVRLECIADGEESSSSADGSLGISVDSDWSLKYNGR >ONIVA05G11510.1 pep chromosome:AWHD00000000:5:12249053:12250652:-1 gene:ONIVA05G11510 transcript:ONIVA05G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCKRNCTVAPTNKTRKYHDVIVDLEGKDDHIHVTKRIKESCESTHKLLEKIKAQDEVYFTDIWRKGNNKMVRVLP >ONIVA05G11500.1 pep chromosome:AWHD00000000:5:12217076:12217669:-1 gene:ONIVA05G11500 transcript:ONIVA05G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPPPSSVLTAGAAAARPPASVVQPQRQAAHRRRAETLRLRRVFEMFDRDGDGVITPAELSGALCRLGARGEAPPAAAALDAVVAAYIAPGMAGLRFAEFEALHAELAGLGGRQAVAAAEAEEEKEADMREAFGVFDEDGDGYISAAELQAVLSRMGLPEAACMARVRDMIAAADRDSDGRVDYEEFKAMMAAGN >ONIVA05G11490.1 pep chromosome:AWHD00000000:5:12212684:12215290:-1 gene:ONIVA05G11490 transcript:ONIVA05G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HCE2] MMSEGEEHGSLLEKINDKIHEFKKGSSSSSSSDSDDDKKHHHKSKSKKKRLFGRTNPLHHVLGGGKAADLVLWRDKQTSGSILAGVTVIWLLFEGIGYHLLTFFCHSLIVFLTVCFVWANAASFINRGPPKFPDAILSEVQCLKIAHILRKEINEAFLTLRHVASGKDLKTYLMTVAGLWFVSIIGSCFSFLTLSYTIFLMAYTLPMLYEKYEDEVDVVGEKALIELKKQYAVLDHKLLSKIPMLAEKKQH >ONIVA05G11480.1 pep chromosome:AWHD00000000:5:12211416:12212057:1 gene:ONIVA05G11480 transcript:ONIVA05G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSSSSATLCKHHGPPTASRRRLRVCVAISVTALLLAAVVAAAVLAVAAARPRPADATVTALRLASLSVSPGGSVNATLDAVLAIRNPSPVAAFAHDAGRAEVYYRGALAADADVPPGRVAPRGSEALAVRLTVLADRLAGRAPELYGDVVGAAGDVPLTVRTTVPGTVTVLGVFRRHAVVITACDVALSVRRPGAHSSSCRVLTKL >ONIVA05G11470.1 pep chromosome:AWHD00000000:5:12185581:12186168:1 gene:ONIVA05G11470 transcript:ONIVA05G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHERDNGERGLTTIGNASFSAADDGVEGEEDTMELSLSVSLAQGWRAAREEAPATCAGCCTSRSSPSSGHRHPPLRHARLADTSVSPPCLPSCTPTVGPSPRAATRLHVRLRCRLHERATGARASPPPLPAARTTSSSALGAEGQSRRRPAGGQRGPSRAPPSAARPSMSAFAARHQRLAVRTCRPVSEREREI >ONIVA05G11460.1 pep chromosome:AWHD00000000:5:12185088:12186089:-1 gene:ONIVA05G11460 transcript:ONIVA05G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRAADGGARDGPRCPPAGRRRLCPSAPRAEEEQINGNATVSSPALGRALRDVVVISSPFPSFWTKSSRATMPLVSPHHHDQNPSRTVENEASWTEIGIIFSNSDCRLPSLIPPPLILEPFELSPSSRRFLPPPPMSIHRQVLVEPLQATVNLQ >ONIVA05G11450.1 pep chromosome:AWHD00000000:5:12184677:12185162:1 gene:ONIVA05G11450 transcript:ONIVA05G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLAKRHCVAARIRELWLRLWRSSAVRRPRCRRRMHSPSSPLALFLVAALYQHLEAVSLASSPAAIGGSFRGATKRQNEEHVIVIPFLYFSRLVDIGVAPAASPSGRRGSLKIDCGLERLDEDLAMDGHWRRR >ONIVA05G11440.1 pep chromosome:AWHD00000000:5:12155468:12168684:-1 gene:ONIVA05G11440 transcript:ONIVA05G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAAAELERRVMAAVKASAARGDPPLLQAAEAARCAREASSSISVDGGAGAALAAALVSNLCFAHNTGAMWKVLDQAMASRLVSPLLALALLTPRVVPNRQAQPEAYRLYLELLGQYTVAPVCTESVETKAMLVKSIDDALHLSDSYGFQRVDFGHTVILFVLSVIKILTDCILEDCGLPTIDGDGHDISYAIGAEKSMNIDGKGSSFDRKDEHRECLRRKNTIMTLEVVEKITANKNTQVFLRLVYRNTPENFNILLQRLQLIGALKSKNFGTAHNLLGSLMMNIRKVVTTGHQLHKGNLLGSIVSTKPCSSAARSVFGAGKSSCWIPFDMFMENTMDGRHLDTISSIEALTELAKTLQVLNRATWQETFQALWISALRLIQRMRKLECSFNDRGIPEGPFPHLHSRLCMLLAIVPLSIASILKEESDKIEGGMVSVRRGELLSSLQVLGQFFGLLSPPPAVVQSANIAARKALVALSVLKDRNERGHNYSKDISSIKAAGNMLHLIVEACIARNLVDTSVYFWPSYVVPVKDASAVEESPWSALMEGSPLMGLKDALMVTPASSLAELEKLQPFAVSGSDEEKLAASKILCGASLLRGWNIQEHVIQMVLKLLSTLLPLDSGSDGFYIHHMPMLHALISGISSIDVVHILSMYGLVPELAAILMPLCEIFGSLPSSDHRNCSFEEASVYSVFSCAFLCLLRLWKFHRPPVEYALSKHGVFVCSEISLDFLLLLRNSHFALNSPYDVSRKSIFQLDPSFQKPVYIDSFPKLRAWYFQNQACIASTLSSSYKRKSILQVANKILKIVCHKMSKSGIPPVSSQSTSSSSMSGSSLGTQDDVSQGPPATAWEVLEAVPFVLETVLAACAHGRLSSRDLITGLRNLADFLPASVAVIVSYFSAEITRGLWKSVMLNGTDWPSPAATLLAVESDIEEALASAGVHINISPRTRPPIPMLPLPIATLISLSITVKMEEFNHLQGIIDKGVEICATSSSWPSMAIIGAFWAQKVRRWHDYIIQSCSETPFTRDKNAVAQLIRSCFSSFLGPLVDGRSCFVADRGVNRLLGQAHQERSPRFSLSPGLLYTRCCRMFPDNYFVCEEILKVVIERARALANECDSSRPHLLKSGRMTLSSATCSVEQIASLAASMLCHAGGMKLVRLLYEQILPTMLLSAGEARLGSAGPVCSSFEGFALAYVLLVSGASTWGVGETSPVYTSVYTSKRQRVVDRHLEFVANVMQGNIELGCGQVTWRTYVICFVGLLVDFAPTWIPEVKLETLKKLASGLWKWHECDLALSLLERGGPKAISTVLTTRRRNEVKWY >ONIVA05G11440.2 pep chromosome:AWHD00000000:5:12154360:12168684:-1 gene:ONIVA05G11440 transcript:ONIVA05G11440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAAAELERRVMAAVKASAARGDPPLLQAAEAARCAREASSSISVDGGAGAALAAALVSNLCFAHNTGAMWKVLDQAMASRLVSPLLALALLTPRVVPNRQAQPEAYRLYLELLGQYTVAPVCTESVETKAMLVKSIDDALHLSDSYGFQRVDFGHTVILFVLSVIKILTDCILEDCGLPTIDGDGHDISYAIGAEKSMNIDGKGSSFDRKDEHRECLRRKNTIMTLEVVEKITANKNTQVFLRLVYRNTPENFNILLQRLQLIGALKSKNFGTAHNLLGSLMMNIRKVVTTGHQLHKGNLLGSIVSTKPCSSAARSVFGAGKSSCWIPFDMFMENTMDGRHLDTISSIEALTELAKTLQVLNRATWQETFQALWISALRLIQRDRGIPEGPFPHLHSRLCMLLAIVPLSIASILKEESDKIEGGMVSVRRGELLSSLQVLGQFFGLLSPPPAVVQSANIAARKALVALSVLKDRNERGHNYSKDISSIKAAGNMLHLIVEACIARNLVDTSVYFWPSYVVPVKDASAVEESPWSALMEGSPLMGLKDALMVTPASSLAELEKLQPFAVSGSDEEKLAASKILCGASLLRGWNIQEHVIQMVLKLLSTLLPLDSGSDGFYIHHMPMLHALISGISSIDVVHILSMYGLVPELAAILMPLCEIFGSLPSSDHRNCSFEEASVYSVFSCAFLCLLRLWKFHRPPVEYALSKHGVFVCSEISLDFLLLLRNSHFALNSPYDVSRKSIFQLDPSFQKPVYIDSFPKLRAWYFQNQACIASTLSSSYKRKSILQVANKILKIVCHKMSKSGIPPVSSQSTSSSSMSGSSLGTQDDVSQGPPATAWEVLEAVPFVLETVLAACAHGRLSSRDLITGLRNLADFLPASVAVIVSYFSAEITRGLWKSVMLNGTDWPSPAATLLAVESDIEEALASAGVHINISPRTRPPIPMLPLPIATLISLSITVKMEEFNHLQGIIDKGVEICATSSSWPSMAIIGAFWAQKVRRWHDYIIQSCSETPFTRDKNAVAQLIRSCFSSFLGPLVDGRSCFVADRGVNRLLGQAHQERSPRFSLSPGLLYTRCCRMFPDNYFVCEEILKVVIERARALANECDSSRPHLLKSGRMTLSSATCSVEQIASLAASMLCHAGGMKLVRLLYEQILPTMLLSAGEARLGSAGPVCSSFEGFALAYVLLVSGASTWGVGETSPVYTSVYTSKRQRVVDRHLEFVANVMQGNIELGCGQVTWRTYVICFVGLLVDFAPTWIPEVKLETLKKLASGLWKWHECDLALSLLERGGPKAISTVRVAGSQEHAGILGSPFDISLVSTGCSAPLPFPPPPPPPA >ONIVA05G11440.3 pep chromosome:AWHD00000000:5:12156271:12168684:-1 gene:ONIVA05G11440 transcript:ONIVA05G11440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAAAELERRVMAAVKASAARGDPPLLQAAEAARCAREASSSISVDGGAGAALAAALVSNLCFAHNTGAMWKVLDQAMASRLVSPLLALALLTPRVVPNRQAQPEAYRLYLELLGQYTVAPVCTESVETKAMLVKSIDDALHLSDSYGFQRVDFGHTVILFVLSVIKILTDCILEDCGLPTIDGDGHDISYAIGAEKSMNIDGKGSSFDRKDEHRECLRRKNTIMTLEVVEKITANKNTQVFLRLVYRNTPENFNILLQRLQLIGALKSKNFGTAHNLLGSLMMNIRKVVTTGHQLHKGNLLGSIVSTKPCSSAARSVFGAGKSSCWIPFDMFMENTMDGRHLDTISSIEALTELAKTLQVLNRATWQETFQALWISALRLIQRMRKLECSFNDRGIPEGPFPHLHSRLCMLLAIVPLSIASILKEESDKIEGGMVSVRRGELLSSLQVLGQFFGLLSPPPAVVQSANIAARKALVALSVLKDRNERGHNYSKDISSIKAAGNMLHLIVEACIARNLVDTSVYFWPSYVVPVKDASAVEESPWSALMEGSPLMGLKDALMVTPASSLAELEKLQPFAVSGSDEEKLAASKILCGASLLRGWNIQEHVIQMVLKLLSTLLPLDSGSDGFYIHHMPMLHALISGISSIDVVHILSMYGLVPELAAILMPLCEIFGSLPSSDHRNCSFEEASVYSVFSCAFLCLLRLWKFHRPPVEYALSKHGVFVCSEISLDFLLLLRNSHFALNSPYDVSRKSIFQLDPSFQKPVYIDSFPKLRAWYFQNQACIASTLSSSYKRKSILQVANKILKIVCHKMSKSGIPPVSSQSTSSSSMSGSSLGTQDDVSQGPPATAWEVLEAVPFVLETVLAACAHGRLSSRDLITGLRNLADFLPASVAVIVSYFSAEITRGLWKSVMLNGTDWPSPAATLLAVESDIEEALASAGVHINISPRTRPPIPMLPLPIATLISLSITVKMEEFNHLQGIIDKGVEICATSSSWPSMAIIGAFWAQKVRRWHDYIIQSCSETPFTRDKNAVAQLIRSCFSSFLGPLVDGRSCFVADRGVNRLLGQAHQERSPRFSLSPGLLYTRCCRMFPDNYFVCEEILKVVIERARALANECDSSRPHLLKSGRMTLSSATCSVEQIASLAASMLCHAGGMKLVRLLYEQILPTMLLSAGEARLGSAGPVCSSFEGFALAYVLLVSGASTWGVGETSPVYTSVYTSKRQRVVDRHLEFVANVMQGNIELGCGQVTWRTYVICFVGLLVDFAPTWIPEVKLETLKKLASGLWKWHECDLALSLLERGGPKAISTVVEYIL >ONIVA05G11440.4 pep chromosome:AWHD00000000:5:12156271:12168684:-1 gene:ONIVA05G11440 transcript:ONIVA05G11440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAAAELERRVMAAVKASAARGDPPLLQAAEAARCAREASSSISVDGGAGAALAAALVSNLCFAHNTGAMWKVLDQAMASRLVSPLLALALLTPRVVPNRQAQPEAYRLYLELLGQYTVAPVCTESVETKAMLVKSIDDALHLSDSYGFQRVDFGHTVILFVLSVIKILTDCILEDCGLPTIDGDGHDISYAIGAEKSMNIDGKGSSFDRKDEHRECLRRKNTIMTLEVVEKITANKNTQVFLRLVYRNTPENFNILLQRLQLIGALKSKNFGTAHNLLGSLMMNIRKVVTTGHQLHKGNLLGSIVSTKPCSSAARSVFGAGKSSCWIPFDMFMENTMDGRHLDTISSIEALTELAKTLQVLNRATWQETFQALWISALRLIQRDRGIPEGPFPHLHSRLCMLLAIVPLSIASILKEESDKIEGGMVSVRRGELLSSLQVLGQFFGLLSPPPAVVQSANIAARKALVALSVLKDRNERGHNYSKDISSIKAAGNMLHLIVEACIARNLVDTSVYFWPSYVVPVKDASAVEESPWSALMEGSPLMGLKDALMVTPASSLAELEKLQPFAVSGSDEEKLAASKILCGASLLRGWNIQEHVIQMVLKLLSTLLPLDSGSDGFYIHHMPMLHALISGISSIDVVHILSMYGLVPELAAILMPLCEIFGSLPSSDHRNCSFEEASVYSVFSCAFLCLLRLWKFHRPPVEYALSKHGVFVCSEISLDFLLLLRNSHFALNSPYDVSRKSIFQLDPSFQKPVYIDSFPKLRAWYFQNQACIASTLSSSYKRKSILQVANKILKIVCHKMSKSGIPPVSSQSTSSSSMSGSSLGTQDDVSQGPPATAWEVLEAVPFVLETVLAACAHGRLSSRDLITGLRNLADFLPASVAVIVSYFSAEITRGLWKSVMLNGTDWPSPAATLLAVESDIEEALASAGVHINISPRTRPPIPMLPLPIATLISLSITVKMEEFNHLQGIIDKGVEICATSSSWPSMAIIGAFWAQKVRRWHDYIIQSCSETPFTRDKNAVAQLIRSCFSSFLGPLVDGRSCFVADRGVNRLLGQAHQERSPRFSLSPGLLYTRCCRMFPDNYFVCEEILKVVIERARALANECDSSRPHLLKSGRMTLSSATCSVEQIASLAASMLCHAGGMKLVRLLYEQILPTMLLSAGEARLGSAGPVCSSFEGFALAYVLLVSGASTWGVGETSPVYTSVYTSKRQRVVDRHLEFVANVMQGNIELGCGQVTWRTYVICFVGLLVDFAPTWIPEVKLETLKKLASGLWKWHECDLALSLLERGGPKAISTVVEYIL >ONIVA05G11430.1 pep chromosome:AWHD00000000:5:12134142:12135167:-1 gene:ONIVA05G11430 transcript:ONIVA05G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRGDKGKVVVVMGATATGKSKLAIDLALRFGGEVINSDKIQVHDGLDVVTNKVTDEERAGVPHHLIGGVPPDADYGVDDFRRDAARAVASVLARGRVPVIAGGSNRYLEALLDGEGGSFRERHELCFLWVDSRAPALHRYVRHRVDRMVEQGLVGEVRGLFRLDDADYSRGIRRSIGVPEMDAYLRQEATGALLTHGDKYKVALLASAVGEIKANTWSLARRQLRKIHRLRGLPGWSLRRLDVTRVLELKVEARSEAECAAAWEADVIAPAAREVGMFLHGGGNVVESGREEQPVVVEKMEVAAVGGAGAAAAAEKWCGRRLLETTAAYHGMEAAAAV >ONIVA05G11420.1 pep chromosome:AWHD00000000:5:12122273:12123620:1 gene:ONIVA05G11420 transcript:ONIVA05G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNVAVVAPAVVEEEKGEAVSLTVWRRSLLFNGKGFTVFDGKGNLVFRVESYAGGSPREVVLMDADGRALLTIRRKKLSFADEWLIYDGDAASPAAPAPKRFTARRHVSLRPTKSLAHLSPARASSSAAAGGGSATAPSGACRYDVEGSYAARCLDVFASASAGEQRRRVAAVCSKEAAVGPDVFRLVVEPGFEPALAMAVVILLDQMHAS >ONIVA05G11410.1 pep chromosome:AWHD00000000:5:12102608:12104198:1 gene:ONIVA05G11410 transcript:ONIVA05G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTQLSTELVLMDPNRSSGYNNFLKDPDLVHPFGQASTQSDFCHPHDDFPNAHAQFPPFSTQPPPLATGNGGLTLASISRVR >ONIVA05G11400.1 pep chromosome:AWHD00000000:5:12064784:12080815:1 gene:ONIVA05G11400 transcript:ONIVA05G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQSKINETRDVMKKKASELDKMRMERGKLDKGGYSSISGPRVIEKTFNDMSITGSGFGSGSGLGGLGMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRASPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQVLNDADGFIQLQIENQDVPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGSETYVNIEYEAAEMFDLHNVVISIPLPALREAPSVRQIDGEWKYDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRARLVAANYQVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAICLGNKENVTVQQVKQYCEMESHEEKAHKLMMQSKINETRDVMKKKASELDKMKMERGKLDKGGYSAISGPRVVEKAFGDMSITGSGFGSGSGLGGLSMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRVSPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQVLNDTDGFIQLQIENQDVPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIHGMDESSLPLSVNCWPSVSGNETYVNIEYEAAEMFDLHNVVISIPLPALREAPSVRQIDGEWRYDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRARLVTANYQVV >ONIVA05G11400.2 pep chromosome:AWHD00000000:5:12064784:12080815:1 gene:ONIVA05G11400 transcript:ONIVA05G11400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQSKINETRDVMKKKASELDKMRMERGKLDKGGYSSISGPRVIEKTFNDMSITGSGFGSGSGLGGLGMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRASPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQVLNDADGFIQLQIENQDVPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGSETYVNIEYEAAEMFDLHNVVISIPLPALREAPSVRQIDGEWNGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRARLVAANYQVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAICLGNKENVTVQQVKQYCEMESHEEKAHKLMMQSKINETRDVMKKKASELDKMKMERGKLDKGGYSAISGPRVVEKAFGDMSITGSGFGSGSGLGGLSMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRVSPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQVLNDTDGFIQLQIENQDVPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIHGMDESSLPLSVNCWPSVSGNETYVNIEYEAAEMFDLHNVVISIPLPALREAPSVRQIDGEWRYDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRARLVTANYQVV >ONIVA05G11400.3 pep chromosome:AWHD00000000:5:12064784:12080815:1 gene:ONIVA05G11400 transcript:ONIVA05G11400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQSKINETRDVMKKKASELDKMRMERGKLDKGGYSSISGPRVIEKTFNDMSITGSGFGSGSGLGGLGMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRASPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQVLNDADGFIQLQIENQDVPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIHGMDESSLPLSVNCWPSVSGNETYVNIEYEAAEMFDLHNVVISIPLPALREAPSVRQIDGEWRYDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRARLVTANYQVV >ONIVA05G11390.1 pep chromosome:AWHD00000000:5:12055609:12062347:1 gene:ONIVA05G11390 transcript:ONIVA05G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQLLSRYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDHMGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCSTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKDWIE >ONIVA05G11390.2 pep chromosome:AWHD00000000:5:12055609:12062359:1 gene:ONIVA05G11390 transcript:ONIVA05G11390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQLLSRYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDHMGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCSTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKDWIE >ONIVA05G11380.1 pep chromosome:AWHD00000000:5:12054270:12055142:-1 gene:ONIVA05G11380 transcript:ONIVA05G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGGRIRSATGKRCSWRWRLDHGDGGRREKCARRLNAEMRGIGDGDESAAAPEWFHLKPTHYNTRTDKNTPISTLLPPPSVTSGAGIAGARPARRAVACSSLGASAQATTLPSPAPARCGTPRPRRPPPRGSRSCRCATGGSAAEAVCSGGKVCMVNLRSRDAKERLVFDLRADRWKDMPPRMLAGWKGPTAASPPDNGETIYVLDEERGALTAYDWGTAR >ONIVA05G11370.1 pep chromosome:AWHD00000000:5:12049341:12051601:-1 gene:ONIVA05G11370 transcript:ONIVA05G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVGLGPNVRPIPSQPSRLSLSLKKKKRSGVIFPSPSFGGIHPNSSGKGRRRPSFAAKQASSPPSHPSRERVGEGGNEDVHAQVTLRFLRFEGREEGGSISSSVLIWRRRRRRSTTPNDMVGVLSNRVDRLDLAAGDHIYSWRTAYLYAHHGIYIGDAMVIHFTRATGHEIGTGTFLDMFLFSSSPATEEGPPCEKCGHLIKQQGVIMSCLDCFLDGGNPYLFDYAVSPAFFLAKARGGTCTLAASDPADIVIHRAQHLLNSGFGTYSLFKNNCEDFAIYCKTGLLVETAFSVGRSGQLASLTAAFSAVASSPLRFLTTSAGGLAVVTSGMYCVGRYVSDIGVRRDVVKVPVERLVEHWPPRDVAVAPPPQESHQECQGNDVAPQECHGDGDGGCVSESLS >ONIVA05G11360.1 pep chromosome:AWHD00000000:5:12044610:12051727:1 gene:ONIVA05G11360 transcript:ONIVA05G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVATGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARSAWSQCNTIGTILDQGHCGSCWAFGAVECLQDRFCIHFNMNISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPLCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >ONIVA05G11360.2 pep chromosome:AWHD00000000:5:12044610:12048827:1 gene:ONIVA05G11360 transcript:ONIVA05G11360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVATGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARVTVARVGHLVLWSVSRINISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPLCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >ONIVA05G11360.3 pep chromosome:AWHD00000000:5:12044610:12051727:1 gene:ONIVA05G11360 transcript:ONIVA05G11360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVATGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTVNNNTLLLFIAYIKTFISCLFGGLNNPPVQTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARVTVARVGHLVLWSVSRINISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPLCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >ONIVA05G11360.4 pep chromosome:AWHD00000000:5:12044610:12051727:1 gene:ONIVA05G11360 transcript:ONIVA05G11360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLHGHSVTQLGPYLGHCGSCWAFGAVECLQDRFCIHFNMNISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPLCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >ONIVA05G11360.5 pep chromosome:AWHD00000000:5:12044610:12051727:1 gene:ONIVA05G11360 transcript:ONIVA05G11360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVATGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARVTVARVGHLVLWSVSRINISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPLCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >ONIVA05G11360.6 pep chromosome:AWHD00000000:5:12044610:12051727:1 gene:ONIVA05G11360 transcript:ONIVA05G11360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKEFDARSAWSQCNTIGTILGQGHCGSCWAFGAVECLQDRFCIHFNMNISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPLCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >ONIVA05G11350.1 pep chromosome:AWHD00000000:5:12015601:12015963:-1 gene:ONIVA05G11350 transcript:ONIVA05G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSSASVATTAASCHRFYHLSAAAGRGLLFSAAGRRELGAGSVAATMGATRYAAATMREAGSATVMTGVARSVATTTGEAATMTMRPPQGHGQRFWPREGRKRWIELPPALRCRQRWR >ONIVA05G11340.1 pep chromosome:AWHD00000000:5:11997133:12024454:1 gene:ONIVA05G11340 transcript:ONIVA05G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRPVLQPVQRCNPTGAQARGFFRQQSASGVLLHAYTHKKSGDAAPSMEASSFQPSVWGDFFINYEPKQLQRSKEWMMNKADKLKQAVRTLFRTCNDMVDKMHLVDAIQRLGIDHLFQEEISSTLSDINGSQFASNSLHEVALRFRLLRENGFWVSPDVFKIFKGEDVRFTDAISNEPRGLLSLYNGAHLLVHDETELVEAISFARDHLQSICDSSELKPPLADQVKRALDLPLPRAYKRMEALHYMFEYGQEEGHIVVLLDLAKLEFNLLQHVHLKELKSFSQWWKDLYGNMGLSYIRDRAVESYVWSNMVFYEEDLAVTRMVFAKLFVLAVIMDDIYDCHANIEECRKLHEAIQRWDESAISFLPDYMKTLYNEIMNNFKEFEDQVGVKGRYRVAQTKKEFQKLSTYYLQESEWSHQNHKPSFKEQMELSTMTAGGPLLCVCTTVGRDDTLTKEAFEWAASDTGAIRAYAKILRFMNDVAAFKAMPLYNQQKTVHHHPQEKSGKKSRRKNKGDMSSTVECYMNEHNVTSEVAIAKINSLIEDEWKTINQARFERRELLPAVHRVVNLCVCVMFFYDDKKKDAYTFSSNLRETIESLFVNPIPM >ONIVA05G11340.2 pep chromosome:AWHD00000000:5:12010610:12024454:1 gene:ONIVA05G11340 transcript:ONIVA05G11340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPADVFKIFKGEDVRFTDAISNEPRGLLSLYNGAHLLVHDETELVEAISFARDHLQSICDSSELKPPLADQVKRALDLPLPRAYKRMEALHYMFEYGQEEGHIVVLLDLAKLEFNLLQHVHLKELKSFSQWWKDLYGNMGLSYIRDRAVESYVWSNMVFYEEDLAVTRMVFAKLFVLAVIMDDIYDCHANIEECRKLHEAIQRWDESAISFLPDYMKTLYNEIMNNFKEFEDQVGVKGRYRVAQTKKEFQKLSTYYLQESEWSHQNHKPSFKEQMELSTMTAGGPLLCVCTTVGRDDTLTKEAFEWAASDTGAIRAYAKILRFMNDVAAFKAMPLYNQQKTVHHHPQEKSGKKSRRKNKGDMSSTVECYMNEHNVTSEVAIAKINSLIEDEWKTINQARFERRELLPAVHRVVNLCVCVMFFYDDKKKDAYTFSSNLRETIESLFVNPIPM >ONIVA05G11340.3 pep chromosome:AWHD00000000:5:12010012:12024454:1 gene:ONIVA05G11340 transcript:ONIVA05G11340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSYIRDRAVESYVWSNMVFYEEDLAVTRMVFAKLFVLAVIMDDIYDCHANIEECRKLHEAIQRWDESAISFLPDYMKTLYNEIMNNFKEFEDQVGVKGRYRVAQTKKEFQKLSTYYLQESEWSHQNHKPSFKEQMELSTMTAGGPLLCVCTTVGRDDTLTKEAFEWAASDTGAIRAYAKILRFMNDVAAFKAMPLYNQQKTVHHHPQEKSGKKSRRKNKGDMSSTVECYMNEHNVTSEVAIAKINSLIEDEWKTINQARFERRELLPAVHRVVNLCVCVMFFYDDKKKDAYTFSSNLRETIESLFVNPIPM >ONIVA05G11340.4 pep chromosome:AWHD00000000:5:11997133:12011044:1 gene:ONIVA05G11340 transcript:ONIVA05G11340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRPVLQPVQRCNPTGAQARGFFRQQSASGVLLHAYTHKKSGDAAPSMEASSFQPSVWGDFFINYEPKQLQRSKEWMMNKADKLKQAVRTLFRTCNDMVDKMHLVDAIQRLGIDHLFQEEISSTLSDINGSQFASNSLHEVALRFRLLRENGFWVSPDVFKIFKGEDVRFTDAISNEPRGLLSLYNGAHLLVHDETELVEAISFARDHLQSICDSSELKPPLADQVKRALDLPLPRAYKRMEALHYMFEYGQEEGHIVVLLDLAKLEFNLLQHVHLKELKSFSQYASFLDIYTYTK >ONIVA05G11340.5 pep chromosome:AWHD00000000:5:12010012:12011044:1 gene:ONIVA05G11340 transcript:ONIVA05G11340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKADKLKQAVRTLFRTCNDMVDKMHLVDAIQRLGIDHLFQEEISSTLSDINGSQFASNSLHEVALRFRLLRENGFWVSPDVFKIFKGEDVRFTDAISNEPRGLLSLYNGAHLLVHDETELVEAISFARDHLQSICDSSELKPPLADQVKRALDLPLPRAYKRMEALHYMFEYGQEEGHIVVLLDLAKLEFNLLQHVHLKELKSFSQYASFLDIYTYTK >ONIVA05G11330.1 pep chromosome:AWHD00000000:5:11889833:11890207:1 gene:ONIVA05G11330 transcript:ONIVA05G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLCRKGTPNEAKETLDEMVARGFRPTVATFSAVVGCLCKRGRITRAMEMFDTTCAVGCEPTIRTYNSLIGGSATSGGSRRHWTCSTSSRSCLSPAPVCCCRHQTRPPSVAAAPVKRLRGRKR >ONIVA05G11320.1 pep chromosome:AWHD00000000:5:11887904:11888661:1 gene:ONIVA05G11320 transcript:ONIVA05G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYAPQPCPVPSSTFSLFDLLSIGGCIESEQLRVRRTGAAPELVEEKRVPELVEENQCGGGSLMPYVPQSLPRAHKIPILDKNGINPFSKAPIRHCRWETGNKETVWYFYGPSRRRGELLGAVEEAEDGEELILEDRILEQGSPYAVLSSGSEAMRLSID >ONIVA05G11310.1 pep chromosome:AWHD00000000:5:11874408:11881788:-1 gene:ONIVA05G11310 transcript:ONIVA05G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: GOLD (InterPro:IPR009038); Has 172 Blast hits to 172 proteins in 43 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 0; Plants - 63; Viruses - 0; Other Eukaryotes - 14 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G01010) TAIR;Acc:AT5G01010] MATEGLVPITRAYLARYYDKYPLPPLPDAATALADRLRAISAALALAAAAPITPDEELLEKEANGIPAHKIDENLWKNREQMEEILLLLNKSRRPVALQQRSTAEDTETFIILDDVETKLKDMLKKLEQFQIKNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVNAGGSIRDRYALLWKQQMDRRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYGQRKIEKDDIAVLQQAVAIYTEEFEKFTEFIGEVFVNAPFFISAEDAGAESRNSDDYKETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALNMVLDIGFHVEYISPSGQKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKV >ONIVA05G11300.1 pep chromosome:AWHD00000000:5:11867121:11873301:1 gene:ONIVA05G11300 transcript:ONIVA05G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRLFLLLFLCLLAGSRIASADTNPQDAAALRSLMKKWTKNVPASWRKSNDPCARWDGITCDRNSRVTSLNLFGMNLEGTLSDDIGNLTELTVFVIRCLRPMVFHDNTESPNDELHRRDLSSNRGLGGTLTPAIGKLANLRIFGLNSNQFTGKIPPSLGKLSKVTWLDLADNQLTGPIPNSRDHGSGFDQLLKAQHFHLNKNKLQGSVPDFLFNSSMDLKHILFDRNNFNGSIPASIGVLPKLEVLRLNDNAFTGPVPAMNNLTKLHVLMLSNNKLSGLMPNLTGMDMLENVDLSNNSFIPSEVPSWFTSLIKLMTLKMQSVGLSGQLPQKLFSNPNLQYVVLSDNQLNGVLDMGNISDELHVDVRNNKIISLAVYNSFTGETLELAGNPVCGDSLLSSMKPCTDLTTEPLHKPPSIDVQCANPFVETIVFRAPSFGDVVKFLPSLQANLSSKLNSCTPNNLGLVYSNDDAYLNVDIRACPVNQKRFNYSQVLNCFNLTLQTYKPPEIFGPYYVKAHPYPFHDKTSRAVLIGVVTGSLLLVIGLTLVGVYAVRQKKRAQKLVSINDPFASWGSMGQDIGEAPKIKSARCFTLEDLKLSTNDFREINAIGAGGYGTVYRGKLPDGQLIAIKRSKQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFIPNGTLSEALYGIKGVQLDWSRRLKIALDSARGLAYLHDHADPPIIHRDVKSTNILLDERMTAKVADFGLSLLVSDSEEGQFCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAQPPIHKQKYIVREVKTALDIGDQTYCGLKDVMDPVLQKTGDLRGFARFLKLALQCVEDLGTDRPSMNTIVREIEVIMQDNGIRTGMSSTSSSFSIDSRTMMVAPKYPYSNASTSSTAFDMDSRAFEYSGKFPSEGSLKNRGT >ONIVA05G11300.2 pep chromosome:AWHD00000000:5:11867121:11873301:1 gene:ONIVA05G11300 transcript:ONIVA05G11300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRLFLLLFLCLLAGSRIASADTNPQDAAALRSLMKKWTKNVPASWRKSNDPCARWDGITCDRNSRVTSLNLFGMNLEGTLSDDIGNLTELTVFGLNSNQFTGKIPPSLGKLSKVTWLDLADNQLTGPIPNSRDHGSGFDQLLKAQHFHLNKNKLQGSVPDFLFNSSMDLKHILFDRNNFNGSIPASIGVLPKLEVLRLNDNAFTGPVPAMNNLTKLHVLMLSNNKLSGLMPNLTGMDMLENVDLSNNSFIPSEVPSWFTSLIKLMTLKMQSVGLSGQLPQKLFSNPNLQYVVLSDNQLNGVLDMGNISDELHVDVRNNKIISLAVYNSFTGETLELAGNPVCGDSLLSSMKPCTDLTTEPLHKPPSIDVQCANPFVETIVFRAPSFGDVVKFLPSLQANLSSKLNSCTPNNLGLVYSNDDAYLNVDIRACPVNQKRFNYSQVLNCFNLTLQTYKPPEIFGPYYVKAHPYPFHDKTSRAVLIGVVTGSLLLVIGLTLVGVYAVRQKKRAQKLVSINDPFASWGSMGQDIGEAPKIKSARCFTLEDLKLSTNDFREINAIGAGGYGTVYRGKLPDGQLIAIKRSKQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFIPNGTLSEALYGIKGVQLDWSRRLKIALDSARGLAYLHDHADPPIIHRDVKSTNILLDERMTAKVADFGLSLLVSDSEEGQFCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAQPPIHKQKYIVREVKTALDIGDQTYCGLKDVMDPVLQKTGDLRGFARFLKLALQCVEDLGTDRPSMNTIVREIEVIMQDNGIRTGMSSTSSSFSIDSRTMMVAPKYPYSNASTSSTAFDMDSRAFEYSGKFPSEGSLKNRGT >ONIVA05G11290.1 pep chromosome:AWHD00000000:5:11856648:11861487:-1 gene:ONIVA05G11290 transcript:ONIVA05G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANADPTGVLLGGPHHRNPSPAPALAQPPGASTAAALRHDPGLSVRWTPEEQAVLEGGLASYAADAAVVRYAKIAMNLPDKTVRDVALRCRWMAKKESNKKRKEESSKKNKEKKERANDSSSKGPAHLVARPNAAPYSLPVLPMDDDDVSYKTIGGQTGQILEHNAQILNQIYTNISNMQVQENIPLLCQTRDNILAVLKEIGDVPEIMRQMPPLPVKLNEELANSMLPRPPHT >ONIVA05G11280.1 pep chromosome:AWHD00000000:5:11850094:11855433:1 gene:ONIVA05G11280 transcript:ONIVA05G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMEAAVASGLLKVAGHKLVSLIGSEFAAIARVAEDLSELHGIHGEITSWLSTVRDGSIECDPQFRWVIKLKDVAYDIDDLLHEVQLEYEKHKIHSNGDKHAIFDTLREKPKSFMFRRKMARKIKDIKVKYNEIVRQRRDANTIRSSLQVDQPIPSSNMIIGELSLLSNVEESKIPIRDQEMDTIVSMLVDSNEGENCWIVSVVGLGGSGKTTLAKHICHVNKIKELFKERIFWVHVSREFDVQKLIGKLYETIVGRKSDCQPQQQMVREISKQLCCNKFLLVLDDAWHTDGYEWGQFMVHLQDRSIGSRILLTTRDRKVAEVVKSKQIHELVFLTESESWSLFLKCSGWVEDDLGSEFIQLGKEILKKCGGVPLAIRTIAGVLCEKREISTWRAIRGSDLWNVGSVNDRVFASLKLSYIHLADKLKQCFTFCSIFPKGYVINKDRLVAQWIAHGFITPMKEEQPKDIASEYFDSLVKAGFFLQDTIEEFGYLYKMHDLIHDLAQYCEKNEVVTSRPNSMSTYQTHKCRYLSLTSGNEKVKRGLLDKVHALYMSDGNLSFDKPVKKSCYIRSVILDNENCTTFPPVLLKFEFLGYLEIHGVDCKKLPEAISGCWNLQSLHFIRCSGFVMLPESVGKLKKLRTLELNYVIDLESLPQSIGDCQGLQSLQLHSCNKLQGMPTSIGRIENLRVLHITSCPCMQKLPSEPCGESNNLEIINLSNCHNFHDLPSTFACKALRTLNFTIPRLPCYLNG >ONIVA05G11280.2 pep chromosome:AWHD00000000:5:11850094:11854892:1 gene:ONIVA05G11280 transcript:ONIVA05G11280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMEAAVASGLLKVAGHKLVSLIGSEFAAIARVAEDLSELHGIHGEITSWLSTVRDGSIECDPQFRWVIKLKDVAYDIDDLLHEVQLEYEKHKIHSNGDKHAIFDTLREKPKSFMFRRKMARKIKDIKVKYNEIVRQRRDANTIRSSLQVDQPIPSSNMIIGELSLLSNVEESKIPIRDQEMDTIVSMLVDSNEGENCWIVSVVGLGGSGKTTLAKHICHVNKIKELFKERIFWVHVSREFDVQKLIGKLYETIVGRKSDCQPQQQMVREISKQLCCNKFLLVLDDAWHTDGYEWGQFMVHLQDRSIGSRILLTTRDRKVAEVVKSKQIHELVFLTESESWSLFLKCSGWVEDDLGSEFIQLGKEILKKCGGVPLAIRTIAGVLCEKREISTWRAIRGSDLWNVGSVNDRVFASLKLSYIHLADKLKQCFTFCSIFPKGYVINKDRLVAQWIAHGFITPMKEEQPKDIASEYFDSLVKAGFFLQDTIEEFGYLYKMHDLIHDLAQYCEKNEVVTSRPNSMSTYQTHKCRYLSLTSGNEKVKRGLLDKVHALYMSDGNLSFDKPVKKSCYIRSVILDNENCTTFPPVLLKFEFLGYLEIHGVDCKKLPEAISGCWNLQSLHFIRCSGFVMLPESVGKLKKLRTLELNYVIDLESLPQSIGDCQGLQSLQLHSCNKLQGMPTSIGRIENLRVLHITSCPCMQKLPSEPCGESNNLEIINLSNCHNFHDLPSTFACKALRTLNFTIPRLPCYLNG >ONIVA05G11270.1 pep chromosome:AWHD00000000:5:11820329:11820836:1 gene:ONIVA05G11270 transcript:ONIVA05G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDKVGGGVAGQGARGALCWTEHMSAFMLRKMVELIAQGTQVYNHLCKCRSRWVRIARLKDLSGALWDDQNNMIVLEEEHYMGHTKDKSKGVEFLNVLVENYTPMSIIFGGTQAT >ONIVA05G11260.1 pep chromosome:AWHD00000000:5:11786496:11796449:1 gene:ONIVA05G11260 transcript:ONIVA05G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSHCQDNCPVVKDPDKNYTCCGLCGKVLDDQVYDGEPTFQKGADGQARLAGSILSSIESGNSVSHERTINKGREEIRQIVSSLHVAGGDTIISMAHRYYTLAVDKNFTRGRRTTHVAAACLYIACRYVLGAVFLQLCQVLLLAEHPVIQKLIDPSLFIHRFTERLLGKRDNAVSDTALRITGRKPSGLCGAALYIAALSHGYDYTKADIVAVVHVCEATLTKRLIEFENTDSGSLTIEEFLAKADEQVLVTKISPKSGEVLCKHKDKAEHFAHGLCEKCYNKFMKLSGGLEGGSDPPAFQRAEKQRLEAAKNAKGTAASKEAALESVCEARESDVENNITTPPKNIIEDKHSTIPSVKVAGDSVATEDPEGEGKNDKADEGPESLSDIDDAEVDGYLHNEEETQYKKIIWEEMNKEYLEEQAAKAALAAELAARGVVVEEGKRKRRRHNEDGKNATPAQTPAEATQNMLKRKRLGSKINDEAVNKLYNTKDEDGKADKEMDFNDEYGQDTGDGETFEGGYDYPDYNYDGYGVGAYGDYDGVDF >ONIVA05G11250.1 pep chromosome:AWHD00000000:5:11767647:11775283:-1 gene:ONIVA05G11250 transcript:ONIVA05G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKKHKEAAALSSSSSPLLPADRRALLLLLLLLIVLLARPAASSDGVRYDYRAYTECKSHPEPALYNGGILRWANKVTDFRTEDDGNYSPAFVLYNMSAATVYSFSCWVKIDGPTTAHVKAKILTLANAASQCLGTALVRNDCWSFLKGGFTLNSASETSVLYFQFAIYSLQTASPNASTISIRSASLQPFSPEQWNQHREDRIQLNRKRFVNVHVADSNGSRVVGAKVAVHQITRDFPFGSAISRTILGNKLYQEWFNKRFNAAVFENELKWYATEPYPGKEDYTVADQLLQFVQANDAVARGHNIFWEDPKYTPAWVKNLTGSQLRAAVSGRIESLLSRYKGDFVHWDVSNEMLHFDFYENRLGGNATVDFFDTAKRADPLATLFLNDFNVVEVCDDLSSSADSYVSRLRQLADGGVTFEGIGLEGHFGKPNIPYVRAVLDKLGTLRLPIWLTEIDISSSFDPKTQAAYLEEVLREGFAHPSVDGIMLWTAMDTNASCYQMCLTNQNFTNLPAGDVVDKLLGEWQTKETLGTTNDRGSFNFSAFLGEYKLSVTYLNLTAEGTFSLAHSDDTKHINIRLSPSR >ONIVA05G11240.1 pep chromosome:AWHD00000000:5:11759138:11760121:1 gene:ONIVA05G11240 transcript:ONIVA05G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTKEEVEHNEEDNTFSRLQLLAQQRHAMEEFWRRSQEQIEASTGNHEHILPIDCVKNVIRQKDDAMMLSADTPTFVTKLCELFVQELTLRAWVCANSHNRDIILGTDIAEAITTTESYHFLGNVLRSHKALGSTAPDIDTSARKHIKLDQMTSLYHPTQEMQASRLAGYPPHVPIYPPIGQMGTQHTLSPFAFMMQGESLLNMKREKSLVNEVMVCTNKMSINNFDGATSIGGGSSSDAAIVVQQGETTHPFSSQNACPFLEDNYVVPMPTGHVQSFSPPTNINVKKLHQEEKNIYSQDVAEEDMSNESLEGSQKDEDLFLHEK >ONIVA05G11230.1 pep chromosome:AWHD00000000:5:11737486:11745347:1 gene:ONIVA05G11230 transcript:ONIVA05G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HC99] MQMPFCPKLWSSAAPAPAQPGSVVINGTVVVTNHFGLSAPGKSTTLRLFSGTEVDHETRKGRLSAEAALRGGKKTRHGKASTTTYQVTFFVDGEFGTPGAVAVKNGNRNDQFFLRHVRLDLAEDRSIHFDCNSWSYLPDKTPEALRLLREEELRSLRGNGRGERKDWERIYEFDYYNDLGNPDNDDHVRPILGGTKTHPYPRRCRTGRPLSETDGVTETRKHKLINLDYYIPPDERFSPGKLAEVLAMGVQAVTHFVIPEARSIFHGDVVNFKSTEQLRADLYGKPPQPAADARVMEDLKSSVPSRKTYKQVSRIVKDNPAKFPTPQVIHYDTEAWRSDEEFAREMLAGLNPVVIKRLEVFPPNKSKITTDDIMTQIGGLTIQQAMEQKRMYILDHHDYLMPYLRRINTEGVCVYASRTLLFLRDDGALRPVAIELSLPDGGVGGGEISRVFLPASHGTDAHLWHLAKTHVAVNDSGYHQLISHWLFTHATVEPFIIATRRQLSAMHPIHKLLDPHFKDNMQINTLARSILLNAGGLLEKTMYPGKYSMEMSSDIYAHWRFTEQSLPNDLIKRGMASRDPKARGGVSLHIEDYPYAVDGIDVWLAIEGWVRSYCDHFYHADAAVAGDAELQAWWDDVRRVGHGDRQGDAACWLDLDTVAGLVETLSTLIWTASALHAAVNFGQYGYAGYPPNRPTRCRRFVPLPGSPEMAQLEADPGRFFLETVPDRFTATLGIALIEVLSNHTSDEVYLGQRATSTWTDDGEVLLLLDRFRDELRRVEKRVEERNKDPRLVNRRGPVRVPYTLLYPDAGDVAGKEKGITGRGIPNSVSI >ONIVA05G11220.1 pep chromosome:AWHD00000000:5:11727198:11728591:1 gene:ONIVA05G11220 transcript:ONIVA05G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQSNTSRGSNDEDAVGIDDEGIYSDNELLVAHSDSSYDSDLSESSDSDCSDPEFDPGQEIIDEGDDDDVPVFAYDVQDPCIDVGVVLPDVDQCKLAVTHHAILNDHAFETVKKDKKSPKYIGCKVKTSGPKHTCGSFNKCGETMVTIKWVADRVVDLLRDNSSRGAKDLQEELNRKHQIDIPYFKVFRGRERALDIINGKWDDSYDLLPTCREELLRSVLGSVVELDTKECNGDVCFRRFFVALKPCIDGFFGRM >ONIVA05G11210.1 pep chromosome:AWHD00000000:5:11719299:11724414:1 gene:ONIVA05G11210 transcript:ONIVA05G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0HC97] MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGSSKDYNVDMIPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIASTNDSHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSTEAETDNPQSELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKAVDLSVDLSAASAAEEY >ONIVA05G11200.1 pep chromosome:AWHD00000000:5:11712684:11715331:-1 gene:ONIVA05G11200 transcript:ONIVA05G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKIGLQTLTLIHSDFFPSAFPTPAAAAAAASRFRGRHGSRARGGVRVRRRRAAEPATAALARPHDSSAQGCRVPQEQVRGWAGERGCVAFDGDGPYTGVSDGRVLKWIPLECRWVEHSSASHNICCLKKKGYMVARLLPITLGQVFQRIDVVSKDFGNIVDVELGGPWLLLPVEVMATLAHKFGIIGEVGFCVQC >ONIVA05G11200.2 pep chromosome:AWHD00000000:5:11712684:11715331:-1 gene:ONIVA05G11200 transcript:ONIVA05G11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKIGLQTLTLIHSDFFPSAFPTPAAAAAAASRFRGRHGSRARGGVRVRRRRAAEPATAALARPHDSSAQGCRVPQEQVRGWAGERGCVAFDGDGPYTGVSDGRVARLLPITLGQVFQRIDVVSKDFGNIVDVELGGPWLLLPVEVMATLAHKFGIIGEVGFCVQC >ONIVA05G11190.1 pep chromosome:AWHD00000000:5:11706388:11708359:1 gene:ONIVA05G11190 transcript:ONIVA05G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGPPSPPSHPNPIDPFAAAAAAEAEGEAAPPPRNPIIPRDPPSPEMEATAEALTREEVLRRRRRRAKRLVDVYRRLYWTLGEELRARHRQYVWELGRSPLEAEQPPPPPPTSAAPGPGGDLVVVRPVSATVPRRKKCGFAGCKVRTMAMARFCHSHILSDPNQVLYKGCAYISKRQVADNPSSSPRFSDKAFWILGNSLSGSNNNLGGPQVQITCGRPILKASVPSLCNSHFQKCQKLITQGYKKFGVNPSPTGKVSPNFSLLVAECVRQIQAKRRESPS >ONIVA05G11180.1 pep chromosome:AWHD00000000:5:11699365:11701649:-1 gene:ONIVA05G11180 transcript:ONIVA05G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEHVVEQDVAMLPAAVDGEREDRPSDRYVVAARRDGEEDGLRLAVPAGALVPRVTYRVSGWVAVQVQGGGDCDGDGERSHVVRVSLCLDDCGVEGECRRIDCGAVCTGVAGGWVEINGTFRLNETPRGTTAGHVHGAPAGVDVKVMDLRVFAEDRKARFRQLKDKTDKVRKRDVVLKFGVGAGVAASIVAGAAVRVAQRGQLNYRDADALLDFCDRHGKPARGHCIFWAVDGDVQQWIKDLGRDDLAAAVQGRLNGLLSRYAGRFPHYDVNNEMLHGRFYRDRLGDDAAALMFREAARLDPGAQLFVNDYNIECANDPNATPEKYVELVDALRRGGAAAGGIGIQGHVSNPSGEVICDALDKLATTGLPVWITELDVGEPDVSLRADDLEVVLREAYAHPAVAGVVLWGFMQGRMWRQDASLVDADGTINEAGQRLVDLRREWTSDARGTVDGDGNFRFRGYHGTYVVQVTTAAGKTLKTFTVDKGDTSLVVDMAN >ONIVA05G11170.1 pep chromosome:AWHD00000000:5:11686128:11687773:1 gene:ONIVA05G11170 transcript:ONIVA05G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRIRGKLKRISQFNSAKTGRKKKISKKRPAQQEPERRLGMIRFRIMAVAKSPEQDICYICGDDDHMEHFCHYNYMFGRYFIDTCRGECPPQEHRITSRDQREFLRRFVRVTNLPPGFGVWDLEDLFSPFGALLMWDVPEFRNYRCGCTTGIHMSFGFVVFKRREDGERAVDELNGYQAGDRSLRVDWVYPSCV >ONIVA05G11160.1 pep chromosome:AWHD00000000:5:11676551:11677207:-1 gene:ONIVA05G11160 transcript:ONIVA05G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKGRAGRKKVEIKRIEKKDARDVCFSKRRQTLFNKAGELSLLCNANIAAVVISPAGRGFSFALPSVDDVADRLASMAMGIPNNHSLGGGYHDSGEVTNIAQQQKIEYVELQKSLEKSEKKKRVQEAMEKERAGHLMQSLTSEVNLLGQDELEEMHNKLSALPYTSIAKFYQVLQDAKGTRMPLPQPHIEIACQSQFLFEEQAVTPANADFPGSNT >ONIVA05G11150.1 pep chromosome:AWHD00000000:5:11647980:11648627:1 gene:ONIVA05G11150 transcript:ONIVA05G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWRAEVAAATSTPPLAAGSLPMVGGWLAEVVAAAAAAPSPPPNPARGEAASSPPLDPTPGELVGRWRAPAWQRQQRHNLVAAAAAPSPCGDDSKRGGRRWRGRCGGRRHVLPFETPVAFLGELVLDEYTSLLLSLSRIREAVTKGSRDGLEGGTAVECGW >ONIVA05G11140.1 pep chromosome:AWHD00000000:5:11641210:11641998:-1 gene:ONIVA05G11140 transcript:ONIVA05G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQISCELVILTHNIAAGSSTCFWRDPDTEFCNICGDDKGNHLELMCPYNYLSPAAYFPCRARLALWGNYTTTLRYKCSRHREEEQSEPPMHDEVNARRLRFLRCLVRVNNLPELCPPEQLVELFGLFGPLQMWYVATRGSGGTCKGFGWVVFQRHRHAEEAVEALNCWEFGGRKLRVDWAYPCLN >ONIVA05G11130.1 pep chromosome:AWHD00000000:5:11634698:11635662:-1 gene:ONIVA05G11130 transcript:ONIVA05G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARIRGDEGIGKNSCNKTWRKKISKKQSAQQGGVNQPLVVPQLFKFHKFMRLFTLQEMLFLRRRKSKWEQQQEEVELFSEEEEFSVLGC >ONIVA05G11110.1 pep chromosome:AWHD00000000:5:11575681:11596828:-1 gene:ONIVA05G11110 transcript:ONIVA05G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRGSSSCWRASPATEGSLVTEAKGDAVERQQQQLASLADDGGGWGRGGEAAAVPGEMERKPPPPRGLLDDLASASALSVPSSAKIVFDWPRRRRNRLPQCHTIHRSPAPAPADASPGPPALPHHRTAAPKPKPNAACEMNRLRRRRGTSVAPPVTLPDDDDLLSEILLHLPPRPSSLPRASLVCKRWRRLVTDPAFHRRFRARHRNPPPLIGVFEDYLGYPFFRSVLDPPDLIPRERFRLRLAEDEGGQWHFYGCRHGLLLLFNRAKNEIVVWVPDTGDHRQVAVPPEIDGKEKIIWNGAVLSAATADDGPFRVVLVGVAGNNTQMLACVYCSESGKWSDLISVAAPFLVFFFRDPGILVGNALYWMAYGERWLTILQFDLDKQTLSVIEWPYDSEPYSQTWLTEGDCLGVATLSRGSLQMWERKVCSEGVAKWVLQKTYDLKNVLNPEFRLKIGYLTKLGYAQDIKAKKVWESCVIAPIHPYASTYVAAIYHNIGMGTPKGVHRQVLLAEMSHRRGRATSPALPVTLPDDDDLLAEILLRLPPLPSSLPRASLVCNRWRRLVTDLGFRARHHRNPPIIGVFADDFGFPFFRSVMDPPDLIPRERFSMRLAEDEGRKERMFCGCRHGRVLLLDRKQNEILLWDPDTGDHLRVAIQPEIDGKEKIVWNGAALCAAAAADDDGHVHGGFSCCPFNVALVGVASNNTQMFTCFYTSETGRWSDLIFTPAPFLVFAFVDPGVLVGHSLYWFPTGLGSAILQFDLDGQTLAVIEWPSNPNYYSHYMSQIFLAEGGYLGLVTLSYDSLQIWERKVCSEGVARWVLQRTAELNKVLELVSGVKTSHLVRLGYAEDVKVMLLCADTSVFMLQIDSLQSRKLWETDIMAPLHPYASTYVAANYGLRKFGKILLRLPPQPSSLPRASLVCKRWRRLVSDRGFLRRFRARHRKPPLLGFFRQDDNLEPIFTPALDPPDRIPSSRFCWRLPGDNGDDCGFLGFRHGRAVLFNLIHRRRFVLWDPVTDDRRAVDIPPASLGESRITIYHGTVRCVDGDQGHVHGDCHSSPFEVTMLFGDEPNAFVRVYSSETGNWGNVISTAFSFGNLAYHSDILVGNFFYWLLQWDTRNAILQFDFERQSLAQIDVPPLDMHTDWDEHCRIVPAEDGGLVFLVLIDFSLDLWKNKTNCDDAAGWVLERTIQLDKLLSVEPGPRITSPCLVFVEEHNMLFVSTRIGGFLVHLESMQFKKLPQTIEVDHYYPFCSFDTKGNCFPCLFTLYM >ONIVA05G11110.2 pep chromosome:AWHD00000000:5:11575681:11596828:-1 gene:ONIVA05G11110 transcript:ONIVA05G11110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRGSSSCWRASPATEGSLVTEAKGDAVERQQQQLASLADDGGGWGRGGEAAAVPGEMERKPPPPRGLLDDLASASALSVPSSAKIVFDWPRRRRNRLPQCHTIHRSPAPAPADASPGPPALPHHRTAAPKPKPNAACEMNRLRRRRGTSVAPPVTLPDDDDLLSEILLHLPPRPSSLPRASLVCKRWRRLVTDPAFHRRFRARHRNPPPLIGVFEDYLGYPFFRSVLDPPDLIPRERFRLRLAEDEGGQWHFYGCRHGLLLLFNRAKNEIVVWVPDTGDHRQVAVPPEIDGKEKIIWNGAVLSAATADDGPFRVVLVGVAGNNTQMLACVYCSESGKWSDLISVAAPFLVFFFRDPGILVGNALYWMAYGERWLTILQFDLDKQTLSVIEWPYDSEPYSQTWLTEGDCLGVATLSRGSLQMWERKVCSEGVAKWVLQKTYDLKNVLNPEFRLKIGYLTKLGYAQDIKAKKVWESCVIAPIHPYASTYVAAIYHNIGMGTPKGVHRQVLLAEMSHRRGRATSPALPVTLPDDDDLLAEILLRLPPLPSSLPRASLVCNRWRRLVTDLGFRARHHRNPPIIGVFADDFGFPFFRSVMDPPDLIPRERFSMRLAEDEGRKERMFCGCRHGRVLLLDRKQNEILLWDPDTGDHLRVAIQPEIDGKEKIVWNGAALCAAAAADDDGHVHGGFSCCPFNVALVGVASNNTQMFTCFYTSETGRWSDLIFTPAPFLVFAFVDPGVLVGHSLYWFPTGLGSAILQFDLDGQTLAVIEWPSNPNYYSHYMSQIFLAEGGYLGLVTLSYDSLQIWERKVCSEGVARWVLQRTAELNKVLELVSGVKTSHLVRLGYAEDVKVMLLCADTSVFMLQIDSLQSRKLWETDIMAPLHPYASTYVAGAEMDYWCMIFHFILLRLPPQPSSLPRASLVCKRWRRLVSDRGFLRRFRARHRKPPLLGFFRQDDNLEPIFTPALDPPDRIPSSRFCWRLPGDNGDDCGFLGFRHGRAVLFNLIHRRRFVLWDPVTDDRRAVDIPPASLGESRITIYHGTVRCVDGDQGHVHGDCHSSPFEVTMLFGDEPNAFVRVYSSETGNWGNVISTAFSFGNLAYHSDILVGNFFYWLLQWDTRNAILQFDFERQSLAQIDVPPLDMHTDWDEHCRIVPAEDGGLVFLVLIDFSLDLWKNKTNCDDAAGWVLERTIQLDKLLSVEPGPRITSPCLVFVEEHNMLFVSTRIGGFLVHLESMQFKKLPQTIEVDHYYPFCSFDTKGNCFPCLFTLYM >ONIVA05G11100.1 pep chromosome:AWHD00000000:5:11566660:11571891:1 gene:ONIVA05G11100 transcript:ONIVA05G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVGVLLILGLMPMPFEVIMAAADDGGRVFCDNLRLVSATLPNKTSSSLHHYATAAAGQAPDVVYVLALCRGDLNDTACGKSVANTFTKLINESCDANYTAGAYYGDCAGVYSSHNFLEPSNDGDEMLLDKWNVNNITGDGENVRFIAGLIQQLLSETVETAAGAAGRFATGVVDTGRTFPLVYSLAQCTPDLSAGDCLACLRRLTGMINSTMAVRMGAQIHVTRCYFRYEAYVFYDSKPMLHLTGATAPPPAPAIPKRHKSKLWVIPIVVIPVVAFFCFIVYCGWRRRHRKGIMGLQARRTENLQGDEELVWDLEGKSPEFSVFEFDQVLEATSNFSEENKLGEGGFGAVYKGQFSDGTEIAVKRLASHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSHGEEKILVYEFLPNKSLDLFIFDENKRALLDWYNRLEIIEGIAHGLLYLHKHSRLSVIHRDLKPSNILLDSEMNPKISDFGLARIFSSNNTEGNKTRRVVGTYGYMAPEYASVGLFSIKSDVFSFGVLFLEILSGKKNSGSHHSGDFINLLGFAWSLWGEGRWHELIDESLVSKYHPAENEIMRCINIALLCVQENAADRPTMSDVVAMLSSKMMVLAEPKHPGYFNVRVANEEQSVLTEPCSVNDMTISVISAR >ONIVA05G11090.1 pep chromosome:AWHD00000000:5:11558297:11561184:-1 gene:ONIVA05G11090 transcript:ONIVA05G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLLLLSLMPLAAGELLAQLCGNGGNYTANGTYQSNLARLAAALPSNASSSPDHFATATAGKAPDAAYALALCRGDVANATACGDCVAASFRDARRACPSDKSATIFYDDCLLRFAGDDFLAAPNITENATLFQAWNQQNITGDAAVAAANVRELLTVTARTAAAAARRFATGFMDGSSESRQTLYSLAQCTPDLAAGDCLACLQRLIAMINSTTSVRLGGRVLLLRCNLRFEAFVFYAGEPTRRVSPPGSTPAPDSIDPTKNRKKSKSWVIAAIAAPVAAVLLCLIVCYYCRWSRRFRKDRVRLRERRSGRFQGGDELIIEMEGEISEFSVFEFREVIKATDNFSEENKLGEGGFGPVYKGLFSEGLEIAVKRLASHSGQGFLEFNNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYLPNKSLDFYIFDESKKDLLDWNKRLVIIEGIAQGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFGSNSNEGTTRRVVGTYGYMAPEYSSEGLFSPKSDVFSFGVIILEIISGKRNASLDQCEDFINLLGYAWKLWSEERWLELLDASLVTNWQSSCMMRCINIALLCVQENAVDRPTMSNVVAMLSSESMVLDEPKHPAYFHVRVTKNDESSTVGTCSTINDVTTNY >ONIVA05G11080.1 pep chromosome:AWHD00000000:5:11551052:11555929:-1 gene:ONIVA05G11080 transcript:ONIVA05G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRRQRRRRQTSPAAPAPAPDNDDLLSEILLRLPSQPSSLPRASLVCKQWRRLVSDPAFLRRFRARHRDPPLLGVFKDEFHHPVFRSVLDPPDLIPPDRFALRLDDDYPVAGLLGCRHGFALIFNYNTCEFLVWDPVSGDLRRVAVPPELAGGERSVMNGAVLCAAGDDDDGHAHGGGFRSCRFRLVLIGSSKMDGRIFASVYSSVTGEWGDAIFTGPVSTIYHFGSPAILVGNALYWLLSVSRHHILQFNLETSSLAVIDGNWPGTNFSSDCRYCIMRGEDDNVGLAILSYRGFQMWERKVTLGGAARWALRKTVKLHDILGLSSAVQREKIDIVGYVEDTNAFILVVDTGFYMFQVDSMQSKKLFDCNVITRCHPFTSFYTAAMEAIGPDEQPVKGNGETEFKRDLEMEHVVSAVTSELVTRTQEDMKSQRRYITNSGMLMQLKALADAMYRGHHVLDMFRCRTLIQVSNPFPPLKRFCKIVDASGKDKASHLELHRTLEILETAVDHMAEFVVLLGGCDRMSRRPYDTYLYIDNFMFGRHTEKQRLLNFLLEYNPPGVQPAVLPIIGALGVGKKTLVAHVCADERVQSQFSSILHLNEDVNEMNWEEFYKSVAQMNEGSKVVTISRLRKSEKLGTVKPMFLNNHSDEELSYLFKTLAFGSANPKDHPRLVQIAEEISMQMQFIGTLAAANATADALRGNLDVNFWLGRLKMCITLTEKNFSLYGQNPKLLPEQGRRIDITSIAFSPTAQLHVIPCTNFSSASKVTMKNLPRVRFRELLLDLSIRPKDEFNLVTWESRLPPYTSFVHFVLNCAQDMPEDAPFLGRKRQGIPS >ONIVA05G11080.2 pep chromosome:AWHD00000000:5:11552400:11555929:-1 gene:ONIVA05G11080 transcript:ONIVA05G11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRRQRRRRQTSPAAPAPAPDNDDLLSEILLRLPSQPSSLPRASLVCKQWRRLVSDPAFLRRFRARHRDPPLLGVFKDEFHHPVFRSVLDPPDLIPPDRFALRLDDDYPVAGLLGCRHGFALIFNYNTCEFLVWDPVSGDLRRVAVPPELAGGERSVMNGAVLCAAGDDDDGHAHGGGFRSCRFRLVLIGSSKMDGRIFASVYSSVTGEWGDAIFTGPVSTIYHFGSPAILVGNALYWLLSVSRHHILQFNLETSSLAVIDGNWPGTNFSSDCRYCIMRGEDDNVGLAILSYRGFQMWERKVTLGGAARWALRKTVKLHDILGLSSAVQREKIDIVGYVEDTNAFILVVDTGFYMFQVDSMQSKKLFDCNVITRCHPFTSFYTAGFGDGACRISSNQ >ONIVA05G11080.3 pep chromosome:AWHD00000000:5:11551052:11552349:-1 gene:ONIVA05G11080 transcript:ONIVA05G11080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQRRYITNSGMLMQLKALADAMYRGHHVLDMFRCRTLIQVSNPFPPLKRFCKIVDASGKDKASHLELHRTLEILETAVDHMAEFVVLLGGCDRMSRRPYDTYLYIDNFMFGRHTEKQRLLNFLLEYNPPGVQPAVLPIIGALGVGKKTLVAHVCADERVQSQFSSILHLNEDVNEMNWEEFYKSVAQMNEGSKVVTISRLRKSEKLGTVKPMFLNNHSDEELSYLFKTLAFGSANPKDHPRLVQIAEEISMQMQFIGTLAAANATADALRGNLDVNFWLGRLKMCITLTEKNFSLYGQNPKLLPEQGRRIDITSIAFSPTAQLHVIPCTNFSSASKVTMKNLPRVRFRELLLDLSIRPKDEFNLVTWESRLPPYTSFVHFVLNCAQDMPEDAPFLGRKRQGIPS >ONIVA05G11070.1 pep chromosome:AWHD00000000:5:11542010:11547947:1 gene:ONIVA05G11070 transcript:ONIVA05G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16120) TAIR;Acc:AT5G16120] MPHVQSAPAAATAAGMSAASAPAPRRWEGVDQALERMVLRACLDQAPERRRVRDAFKDVQLSIDHCLFKGQYSDIGTKESYEKNSRGVEIFSKCWYPENHRIKAIVCLCHGYGDTCIARKIASAGYGVFALDYPGFGLSEGLHGFIPSFDTLVDDVAEHFTKVKENPEHRGLPSFLFGQSMGGAVALKIHFKQPNEWDGAILVAPMCKIADDVIPPWPVQQVLIFMARLLPKEKLVPQKDLAELAFKEKKKQEQCSYNVIAYKDKPRLRTALEMLRTTKEIESRLEEVSLPIIILHGEGDLVTDPAVSKALYDKAKSSDKTLRLYKDAYHAILEGEPDEAIFQVLDDIISWLDQHSTKKVPSS >ONIVA05G11060.1 pep chromosome:AWHD00000000:5:11530930:11534638:1 gene:ONIVA05G11060 transcript:ONIVA05G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIKIELRQILKSPWLVASSSSHHLSSDDDDPPSSAATASHASPLARPWLQRPLHAEDDTAAAAAASHAHRSDQPEVAVAGTAGIQSPPLDPIHSPPPVAGSAAGMACSLLLTILPEELVVEILIRLTDLADLARAASACKPLRRLITSRAFLARLHALHAKPLLGLLLLERDRCGFLPAATAVAAAVARASDFAFSFLPDHAAGWRLRDVRHGLALLSSSSSSSYLSPLGDRGFFPDVVVCDPMRRRHVRVPPIPDDLTAGVRRIAVEHFDYLLAPAGRDGSSFRVVCRPKLPKQCDVTVFVFSSGAAFWRAAVLDACAATEKLFLPQSVHGYVYWRTHSSGTLLMLDTRDMDFFFVNIQTNKCVIGEAEEVGRLAVFNTIVDVGVHKVEILSKAIRGGADEPWRHDRTIPLLPGYKWRTARMAEGYLLLHGIVGNNSWSTPGIQLQHFTLDLKTLKLESLCDSISRGRHHHPQFDLYRSFPPPLSLSSI >ONIVA05G11060.2 pep chromosome:AWHD00000000:5:11533478:11540176:1 gene:ONIVA05G11060 transcript:ONIVA05G11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLLLTILPEELVVEILIRLTDLADLARAASACKPLRRLITSRAFLARLHALHAKPLLGLLLLERDRCGFLPAATAVAAAVARASDFAFSFLPDHAAGWRLRDVRHGLALLSSSSSSSYLSPLGDRGFFPDVVVCDPMRRRHVRVPPIPDDLTAGVRRIAVEHFDYLLAPAGRDGSSFRVVCRPKLPKQCDVTVFVFSSGAAFWRAAVLDACAATEKLFLPQSVHGYVYWRTHSSGTLLMLDTRDMDFFFVNIQTNKCVIGEAEEVGRLAVFNTIVDVGVHKVEILSKAIRGGADEPWRHDRTIPLLPGYKWRTARMAEGYLLLHGIVGNNSWSTPGIQLQHFTLDLKTLKLESLCDSISRGRHHHPQFDFPVSKNAGHSPLLAFPFLLCRRRRETLRMASPPPPPPPPPRPLLLTVLPQELVVEILIRLDDLADLARAASACRALRRLITSRAFLRRVHALHPRPLLGLLHLEHHGSRCRFLPAEPPHPSAATAAAVARAFDSDSDSSFSFLPGRPGDWRLRDVRHGLAVLSTRHAVTDDGCFSFPDVVVCNPLRRRYARIPPISDDLAAPIRSLGVGVEDFDYLVAPAGREGLSFRVICRPQLPMGCDVTVFVFSSSAVIWRAATLHACAATAQLVSPQYAHGYAYWRLIRSATRLLLLDTRDMDFFFVDFEQRSVPWQAIGEAGEVGRLAMFNIAHANHTVELLSRAIRGSADEHWRHDKTIPLLPGYKWRILKLAEGYLLLQGRILGDGASQFTPGDQLQYFTLDINTFKLERLCASTPQGISYHPQFELYRCFPPPLSFSSI >ONIVA05G11060.3 pep chromosome:AWHD00000000:5:11535402:11540176:1 gene:ONIVA05G11060 transcript:ONIVA05G11060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKRKTSCYTAVVGIIFIPVSKNAGHSPLLAFPFLLCRRRRETLRMASPPPPPPPPPRPLLLTVLPQELVVEILIRLDDLADLARAASACRALRRLITSRAFLRRVHALHPRPLLGLLHLEHHGSRCRFLPAEPPHPSAATAAAVARAFDSDSDSSFSFLPGRPGDWRLRDVRHGLAVLSTRHAVTDDGCFSFPDVVVCNPLRRRYARIPPISDDLAAPIRSLGVGVEDFDYLVAPAGREGLSFRVICRPQLPMGCDVTVFVFSSSAVIWRAATLHACAATAQLVSPQYAHGYAYWRLIRSATRLLLLDTRDMDFFFVDFEQRSVPWQAIGEAGEVGRLAMFNIAHANHTVELLSRAIRGSADEHWRHDKTIPLLPGYKWRILKLAEGYLLLQGRILGDGASQFTPGDQLQYFTLDINTFKLERLCASTPQGISYHPQFELYRCFPPPLSFSSI >ONIVA05G11050.1 pep chromosome:AWHD00000000:5:11526834:11529865:1 gene:ONIVA05G11050 transcript:ONIVA05G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRLRRLLLTLPDEVLEEIFLRLDALPDLARASAACATFRRLITARAFLRRLHSLHPRPLLGFFKREGPSCEFFPAAPPHSSSAAASAVARGAADLTFSFLPATSGGWRLRNIRRGLALLSTRDGGGGCFFPDVVVCDPLHRRYAQIPQIPDDLAAPIRRSGSLPKGFDYLLAPARREEEEEEEEDSSFKVVCRPRLTEECDITVFVFSSGAGIWRAATLGSSLATAISVTSRPRCVHRCVYWLTRFLDRLLILDTDEMELFMFDNFPPSTGFVLNHTTAAIAEAGEDRLGVFNLDVHNVNLLSRAIRGSADEQWRHDKTIPLLPGYRLAKAQGGTEQLRL >ONIVA05G11040.1 pep chromosome:AWHD00000000:5:11520426:11524172:-1 gene:ONIVA05G11040 transcript:ONIVA05G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRDSSGGGSGGGRDLNGGGTPCGQVRVLVVGDSGVGKSSLVHLILKGSAIARPPQTIGCAVDVKHITYGSPGSSSNSINSIKGDAERNFFVELWDVSGHERYKECRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGKRVSSGNLVDVARQWVEKQGLLPSSEELPLAESFPGNSGLLTAAKVARYDKEALVKFFRMLIRRRYFSNELPAPSPWSLTPREDTILPVETTNDDDLFQRKSYAGQSYKYSGVTPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSAIPDASSSRTNRADINI >ONIVA05G11030.1 pep chromosome:AWHD00000000:5:11509784:11517479:1 gene:ONIVA05G11030 transcript:ONIVA05G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVSAIASDFVNRFISFLMKKCGSQQNLETKMERLQNLLLKVHMIVEEAEGRYITNSKMLLQFKKIVKAMYQGYHVMDIIKHRTLCGSRHEEEVSSSNILSTTTCYVNLFRTSQNAAIRHDQLQNTLDSLETIASSITEFVFLLGGCERMSPKPYDTYLYFDNFMFGRQMEKQQVINILLQENLPHFSPTVLPIIGPTRVGKRTLVAYVCNNEMVRSHFSSILHLNGENIRKMECESFSKKRDLVVVQFTADTDDENWKKFYASCTHMGRGSKIIVVSRIERISRFGTVRPIHLNSLSHEEYSYLFKVLAFGSTNPEEHPQMVSIANELSMLLGGSFVAVNVFADIFRKNQNVHLWLHVLKKYRKMIQKNFSEFKEHPKLLLDKEHHIDITKLASSSSPLHLMPPNCEDNQSKRSLTKVMFSDFIADSVVIPKENFELVTWESRIPPYRKFVNIVSNYDDEMNFHHTEVPHKKRDVVNRFISFLIKKYESQENLERKMERLQNLLLKVHMIVEEAEGRHITNSKMLLQFKKIVDAMYQGYHVLDIIKNSILCKSRPEEQVSSANTISAPTCYVNPFHTSQSSTIRHDQLKSTLDSLETIVSSMTEFVYLLGGCERMSPRPYDTYLYFDNFMFGRQVEKQQVINILLQENLPPFAPTVLPIIGPSRVGKRTLVAHVCNNEIVRSHFSSILHLNGENIMKMECETFTERRDLVVVEFTADTDDDNWKKFYASCTNMGRGSKIIIVSRIEKISRFGTVRPIHLNSLSHEEYSYLFKVLAFGSTNPEEHPQLVSIANELTVLLGGSFITANVFADIFRKNQNVHLWLHVLKKYRNTVLKNFSEFSEHPKLLLEKEHLIDITKLASSSSPLRLMPPHCEGYGSKRRLTKVMFSDLIADSIVVPKEDFELVAWESRITPYRRFVNIVLYCDDEKNFQHADALHKKRQKNT >ONIVA05G11020.1 pep chromosome:AWHD00000000:5:11481601:11487254:1 gene:ONIVA05G11020 transcript:ONIVA05G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFPTSTPFFAHHGRRRPSPSVSVRTAAAVYGRGGGRRWRPLRVACEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVDNALRDAKLSFKDIDEVILVGGSTRIPAVQDLVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSAVDKGTGKKQDITITGASTLPKDEVEKMVEEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGDVKGKVEAKLTELKDAVAGGSTQTMKDALAALNQEVMQLGQALYSQQGAPGAGPTPGADAAAGSAGPSEKPGGEEGDVIDADFTDSQ >ONIVA05G11010.1 pep chromosome:AWHD00000000:5:11474469:11475248:1 gene:ONIVA05G11010 transcript:ONIVA05G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKPSARPLDTDCRCRTASHGRPPTPPPSPGEELSVTVGGGAGVVRSRRHGAWRGRHGYQERSWVAAGEGGRGRPSPRFSPSPLPHRLRRGPGGGRKRRTSASRGQRRWRRGGCRRAGELEGVDGDVVAVVELDGTVDGAAGVGLAEAVEAVEDRLVLADIEALERPNLVLLGLRHTRRFAPLTPVPPRPTGLLTYTRLPAAVAVPGSTPAMANWAETARPSTTTTSSRPTSRSTWRAVARDRAPLASSP >ONIVA05G11000.1 pep chromosome:AWHD00000000:5:11470699:11474012:-1 gene:ONIVA05G11000 transcript:ONIVA05G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGPFSPTQNMDKYTTHSPKSKARHASPSRQPTRPAPGGGAPAAAALAIVGFTPHRQPSAGCFLKMADDLGPPTVLQKIHGQSMMFSKISPYSLMKNPALYNANTSYSVPLKSYNGMDGNNGFSSVTSVSPVFASAPKEKGLSGFMIDFMMGGVSAAVSKTAAAPIERIKLLIQNQDEMIKSGRLSHPYKGIADCFGRTIKDEGVIALWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKAAKKGGGRQFNGLVDVYRKTLASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGNLQDNFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYNSSLDAFKQIVAKEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVVVFGKKYGSGGG >ONIVA05G10990.1 pep chromosome:AWHD00000000:5:11466407:11467177:1 gene:ONIVA05G10990 transcript:ONIVA05G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVLDSLAAEERWLYPGFLAMYAAIYCVGQLALLRRWAWPLRLDGASCLISLAHGTPAALAAAGAILALPPEARGFAAPNTRLQDHVLDYSVAYFTMDLLHYLAFLPGDTLFIAHHVATLFVFVTCRYLVRHGAYALLVLLVLAEVTSLLQNVWTLAGIWRAEKPAAARVYRALSPPFYFIYTVVRGVAGPLFFLKMSLFYLSGQAVDVIPWWVRISWIVVVGTAITVSNLWIWNLWKELFRERKQSMTKKST >ONIVA05G10980.1 pep chromosome:AWHD00000000:5:11432750:11438066:-1 gene:ONIVA05G10980 transcript:ONIVA05G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRDPGEEASAPPPPPPRRGEKRRMRGRTPSPEPASAPQDLCPSGACGDNVAGATTTNGKWHPHESYRPEIDDAPVFTPTEEEFKDPIRYITSIRPQAEKYGICRIVPPSSWRPPCSLKEKNFWECTEFNTRVQQVDKLQNREPTKKKSQPRVQKKRKRRKRLRFGMTHRRPSANTSEDCADADEKFGFQSGSDFTLDEFQKYADEFKQQYFGIKGSDEIPLSEIKKKKKNWQPSVDEIEGEYWRIVVCPTDEVEVDYGADLDTSMFSSGFSKLSSDSNRRDPYGLSCWNLNNLPRIPGSVLSFETEDISGVVVPWLYVGMCFSSFCWHVEDHFLYSMNYMHFGEPKVWYGVPGADAVKLEEAMRKNLPRLFEEQPDLLHELVTQLSPSVLKSEGVPVYRVVQNPGEFVLTLPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQCAVELYREQRRKTSISHDKLLLKTANEAVRQLWMNLSDCKSEQGVYRWQDTCGKDGMLTSAIKTRVKMEKAARGGNMALRYKKMDGDYDSADRECFSCFYDLHLSAVSCQCSPNRFACLNHANILCSCEMDRKTALLRYTIEELHTLVAALEGDPTAVYQWGQNDLGLVCPSGSTQYKKMDLGENTEFPDSATNVNHGCSLGSQDQYHYDPAKPAGYQQEKGIQIASEKHDKNKMVVNLESPATASNPSRSKSDCSGSLSLNHSSELPSSRIQTGNSTLASITTEKLFGVDIKSNLAQSSDGQVSQLAKPSSSQTDEVSKPAIAKYTVELLDSGTMMIGKKWCNQQAIFPKGFKSRVTFHSVLDPTRTCCYISEVLDAGLLGPLFRVTVEGLPEVSFTHTSPMQCWDSVRDRVNEEIAKQISFGKSGLPDFLSCNSLNGLEMFGFLSSPIIKEIEALDPCHQCLDYWLSRVSSVGTELPSESVMAAMVNDSTNPPIKLLGIEITRKESEQSSSFNNSCVRRSHLAGC >ONIVA05G10970.1 pep chromosome:AWHD00000000:5:11428139:11431396:1 gene:ONIVA05G10970 transcript:ONIVA05G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPQLVGPWKELNLPEEWRECKDPQLVNLGSGRFCIARFFRSNSDFGNEPIAVFTDHMVSSDEINQLEDGLADDSDLDTGASSNLGDDGAINIDSDANLDNDGAVDLDHDTDLDDDTGIDLDVI >ONIVA05G10960.1 pep chromosome:AWHD00000000:5:11427481:11428056:1 gene:ONIVA05G10960 transcript:ONIVA05G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSMGLPFSSINFRASALNVNNQSKMDCFPLASREVICTDQSGRAFLVNADTCEVGTMPSLHKPKSMPLSVFVVRSHDGYGSSLFVMERIPKPELGFNSDQLEAFVYRKSTLSSYRKAWHCHQLPPPPFVCEPKHCHSYCCSPDLEISSYAVLGGGSHICLSVNGIGTYCLETASHSWSQVGKVDTAIPW >ONIVA05G10950.1 pep chromosome:AWHD00000000:5:11425001:11425288:1 gene:ONIVA05G10950 transcript:ONIVA05G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATEAKGGPPRRPHLCFRLVGAVRMRQAGAAAGGFVAPRTQIPSLAAGVDSSDGAVGHPAVVGSGGGLIRWRCQGCHHGRGRMEGQFRLITH >ONIVA05G10940.1 pep chromosome:AWHD00000000:5:11424325:11424944:-1 gene:ONIVA05G10940 transcript:ONIVA05G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAARLSAEVARSREEKMSEDSPATSSSGLSHIALLRMPSMEEEEEDGELLMVDMEMANEDELLSLNDGGKGHEWQFYLIIRWRSENDFENVQRRAGVDASRREGSRGTVGGSGTAIFYLLSSSPSSCRRRLHGELELNEIQKKERGQEEGRREG >ONIVA05G10930.1 pep chromosome:AWHD00000000:5:11401721:11404164:-1 gene:ONIVA05G10930 transcript:ONIVA05G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGINQLLRRTLHNQSSGSSLLSSFRIKHEESSAGLRALALLGVGASGLLSFATIASADEAEHGLAAAEYPWPHAGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYPNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >ONIVA05G10920.1 pep chromosome:AWHD00000000:5:11393747:11399672:1 gene:ONIVA05G10920 transcript:ONIVA05G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoprotein phosphatase inhibitors [Source:Projected from Arabidopsis thaliana (AT5G52200) TAIR;Acc:AT5G52200] MAAAIEQVVAHNGEDGGGESGENGAAVEQESSGKREVEDEGRERGQGYPTPSDYYGTITLSCSRRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMVDDDGSLSPTRPFDKCLDETVNAEAILTALNGVASSSKTDPKDDGWASSDDDADAMEQDDDPEAEKARLSFKEHRRAHYDEFLKVKELMRSGSLIDDEADEDDRGAKGSQAKAVGKKPAGCDSTPPPQT >ONIVA05G10910.1 pep chromosome:AWHD00000000:5:11388049:11392934:1 gene:ONIVA05G10910 transcript:ONIVA05G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I [Source:Projected from Arabidopsis thaliana (AT1G76400) TAIR;Acc:AT1G76400] MATPPPLRRVAALLLLLVAAASTPTARADLVVTRADRKVDLTSHIVRVLTSLKVENSGPEAVSQFLLAFPNVQAKNLAAIRAFGTEGKVKGPSMVLPIEVVQPSGVPPELTFFSASLSKPLEKGKTLHLDVLTVFTHSVQPFPEEITQAESQLVVYQDSAQYLSPYPVKVQTLSIRLPGGRVESYTKYPNTKLAESELKYGPYEDLPPFSYSPMVVHYENNNPFAVAKEVIREIEISHWGNVQITEHYNIAHGGAKLKGEFSRIDYQSRPYIRGVSSFRHLIARLPPRAHSIYYRDEIGNISTSHLWSDSKKTQLEVEPRFPLFGGWQTTFTIGYGLPLQDFVFNSDGKRFLNITFGSPVEEILIEKLIVKVVLPEGSKDIDISVPFPTKQEQEVKYSHLDISGRPVVVLEKLDVIPEHNLYFQVYYRFNNISLLREPMMLITGFFLLFMACIVYMRTDMSISKNSPSYLAKVQWDEVQSIIQQIQAIFNQCLAAHDKLETSLHELSRSGDVKSCKVARKTADAQFKELAKELKPLLTSLQSSSQSYQIWPKVEELVAKERELQDKLMTRHSTVVDSFEKKLRGQDVENRIAAQQQKVAALRQEVESLLEYISEI >ONIVA05G10900.1 pep chromosome:AWHD00000000:5:11382409:11384060:-1 gene:ONIVA05G10900 transcript:ONIVA05G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEAMLVVCANDVGLVYDINTCEKIMCIHDCVAPPCGLAFVDGFLLAASRTDKDQPIFGSAIYFWAPSKIKEVQKSYVAEAIGPIACSKDGVYLVGGASSGHTYIWEDATLIINWFTPFVNVSLDDLNKEVHVTDKRKKVYDHGS >ONIVA05G10890.1 pep chromosome:AWHD00000000:5:11374834:11375197:-1 gene:ONIVA05G10890 transcript:ONIVA05G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVPSQFSKDVNSSIVSFNSSNLLAEQLLDLEEKRTLEAVEMIIGMNIQDRVKNQTMAKELTNMNMLLQGQVFDVMDVGADED >ONIVA05G10880.1 pep chromosome:AWHD00000000:5:11348003:11355602:1 gene:ONIVA05G10880 transcript:ONIVA05G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPLASLPKNFSISLAHINHLPHEANEQKSHFIIIHTNPLGFFRVPGFSFLLLSPPLDPSSSSPRSSALAVARGRPPTMEAAGVEVNGGGGGDVVVVVPQQHEVAAKQAAAAAKGKSVESKGVRVVGGRIYDPENGKTCHQCRQKTMDFAASCHKIKKNNKQCTIQYCRKCLFNRYGQEAEKVANDGTWTCPKCKDICNCSFCMKKKGLPPTGILAHAAKASGCASVHHLLKKGKEAVAAAQRSTQKVRSTPVKKSPKRAIQPDAAADEPLAEGDENVCIDFNAAPVKKQKRSRKVGNGVALTKDESPDAPKEQVVLPKGTPVTSVAGAEWEPEDVGLALQFFEFCRTFAEIFQVRKGQPERILRDIAGGRGLRVVSSVIADFHITLLSIIQEGRGIKPITYSRDNDAWIVDTGKCISESIFVPEGLPLDSLSQGVSGYKNLSPSCKLSVLNFLCDESLSTEKLRSCILSETKNPSREKAHSAKEKEEPKEETIKNTDEAVLLKTEGAAVAIEEDKNGISQQKDVKEVKNADTNEKKHGGFLRTNPFMVDKKVIYWKLDDYCNNTTMMLQEVDADDLMGNKDKWFMLNEDEKKIVENYLSTRPKRRGRKAAQ >ONIVA05G10880.2 pep chromosome:AWHD00000000:5:11348003:11355548:1 gene:ONIVA05G10880 transcript:ONIVA05G10880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPLASLPKNFSISLAHINHLPHEANEQKSHFIIIHTNPLGFFRVPGFSFLLLSPPLDPSSSSPRSSALAVARGRPPTMEAAGVEVNGGGGGDVVVVVPQQHEVAAKQAAAAAKGKSVESKGVRVVGGRIYDPENGKTCHQCRQKTMDFAASCHKIKKNNKQCTIQYCRKCLFNRYGQEAEKVANDGTWTCPKCKDICNCSFCMKKKGLPPTGILAHAAKASGCASVHHLLKKGKEAVAAAQRSTQKVRSTPVKKSPKRAIQPDAAADEPLAEGDENVCIDFNAAPVKKQKRSRKVGNGVALTKDESPDAPKEQVVLPKGTPVTSVAGAEWEPEDVGLALQFFEFCRTFAEIFQVRKGQPERILRDIAGGRGLRVVSSVIADFHITLLSIIQEGRGIKPITYSRDNDAWIVDTGKCISESIFVPEGLPLDSLSQGVSGYKNLSPSCKLSVLNFLCDESLSTEKLRSCILSETKNPSREKAHSAKEKEEPKEETIKNTDEAVLLKTEGAAVAIEEDKNGISQQKDVKEVKNADTNEKKHGGFLRTNPFMVDKKVIYWKLDDYCNNTTMMLQEVDADDLMGNKDKWFMLNEDEKKIVENYLSTRFLTWLKIAD >ONIVA05G10870.1 pep chromosome:AWHD00000000:5:11343297:11343833:1 gene:ONIVA05G10870 transcript:ONIVA05G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLEEEEEEEAMPWWCCRSRRRRRLSNPRESTSSAAESMIRRTYRWWQLEPTGGVSSTAISRDDLGRGLAQQHEHRSMHTNSQSTTNATLPNDITDWEGEGEMRKRLTCGPILVLDPDQVHEGSMWHMPTLSHGADQGLVFDQ >ONIVA05G10860.1 pep chromosome:AWHD00000000:5:11319938:11320568:1 gene:ONIVA05G10860 transcript:ONIVA05G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANSCFMRDAEGVEEEVRGVCNAVLFVYAHNKNEIKGSSSGATGKLAAHTHFIEHILSVLDFLNVLYCDQTNKVFNWHTVFSFGLK >ONIVA05G10850.1 pep chromosome:AWHD00000000:5:11292251:11293289:-1 gene:ONIVA05G10850 transcript:ONIVA05G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSTQQWPQACKHLVKGGFWSRLQVGRGSTDRLTMRASKHEVMLRVSCSAREESEGSRVGFLSRMREVAMQAVPIEQPCINVLGAKAELLGRCGKLDNDNSQLFSFWLCGKGFLGGVVLMASVERLSCRGGGATAPGGKLSNDNPQYLCSGN >ONIVA05G10840.1 pep chromosome:AWHD00000000:5:11283573:11285528:-1 gene:ONIVA05G10840 transcript:ONIVA05G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESCDLNKDEAEILKPSSSSSPSPSPTTASPSPPTAQITEPPPPQSTPPTPPAAAAAASAAAAPQFSAKNCEGILIEVSKKRKLAEATATDANAVVVAAVAEPLSPVLFVNRCNVCRKRVGLTGFRCRCGELFCPRHRHSETHECSFDYKTAGREEIARANPVIRAAKIIKI >ONIVA05G10830.1 pep chromosome:AWHD00000000:5:11267326:11276023:1 gene:ONIVA05G10830 transcript:ONIVA05G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGGGWWRSRKTLVGLGLGQLVSLLVTATGFASSELSRRGINVPTAQSLLNYVLLGVVYGSILLYRRKSLQMKWYYYLVLALVDVEANYLVVKAYQYTSLTSVMLLDCWAIPAVIFLTWMFLKTNYRFRKYSGVAICVSGLVLVVFSDVHAGDRAGGTSPVKGDILVIAGATLYAISNVSEEFLVKVGDRVELMGMLGLFGAIISACQISIFERNEIKSIQWSVGAVVPFIGFAVAMFMFYSLVPILLKISGSTMLNLSLLTSDMWAILIRLFAYHEKVDWMYYVAFGAVAIGLVIYSGDSNSDDGRRGQVAEATDVEGKLPDDEEAAVHPKCQGAASSGIRKFDDGNGPYHALSNEELS >ONIVA05G10820.1 pep chromosome:AWHD00000000:5:11236182:11237730:-1 gene:ONIVA05G10820 transcript:ONIVA05G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFCLLCQHNVERFGAYDGVADDNAPVVVPGLARRIEVTRAQAPGFFCIPGWDKFANDVERRYLFEMDRCQANTTKYRQSFLKSQKVHGEQAAKLQVCYRRQGCTVMPVVNSYRAHLSSSVTCQHLPQVKKPEAPYWSQPLTTS >ONIVA05G10810.1 pep chromosome:AWHD00000000:5:11232582:11236493:1 gene:ONIVA05G10810 transcript:ONIVA05G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:A0A0E0HC51] MGFIGDTVESIRSIQIRQVLAQIISLGMIVTSALIIWKGLMVATGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHEREESAEVDILTKGDNNFGDDRLLYAHGQLWLHQHHIMGRAVGFLPYVGWVTIIMTEKPFIKYLLIGALGLLVITSKE >ONIVA05G10800.1 pep chromosome:AWHD00000000:5:11229809:11230832:-1 gene:ONIVA05G10800 transcript:ONIVA05G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEVLTSIVLREVARKLGSAARDQVTAQWNFTRDLDGMRTTLESVNALLRLLRDAEQRSALEDIKQQQIASPLPTSVRGALVSPSGRPSSTSSSASVLLRRPHRRGPAVVVASTGRRSHPDGQTDGAARLHLWHRSPPATPDSSISSTTVDRGDKLPALLLRLRSSPTTSPVLPAAGTILRRLTGRPPLGRSPCGFGSSASRAAYDISDMLDEFQSIEPDARKVSS >ONIVA05G10790.1 pep chromosome:AWHD00000000:5:11219498:11219839:1 gene:ONIVA05G10790 transcript:ONIVA05G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPAWLRVWFSGGGGAREETGLKILAFEVAAAMSRLVSLYCSLSDVEDRRLRVDALLAEGVARVTSTDQSLLLWLACGEVVADLDRAASSAMRFGTRCCAARRSCTIFDRV >ONIVA05G10780.1 pep chromosome:AWHD00000000:5:11219503:11227394:-1 gene:ONIVA05G10780 transcript:ONIVA05G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPPLADDRAPMDRRMAQLGSTSGTGLPLQPLTPPSPAPPSTGGDELPALLLRRRSSPTTSPVLLAAGTILHRLTGRSPLGRSLCGFGSSASRAAYDISDMLDEFQSTEPDAGKKKGVFHKLATAPSRFPMASKMKRMRKELAKNTEEHKNFSFVPNTASFEQHRADPRPQLPELTDESVIIGRSEDKRNIIAALLTRGSEESTIILPRLCLVQRKVHVSQTFDLDKIVNTIISHVAYPEKESFISDIQLMRKRLAGLLEGRRILIVLDNIWESDQFKLDNLKIMLNVGKKGSEVDVIVTTRTEEIAKRICTVKPYKLEPLNDDIYIAFEGRGDKQQVEVIGQEIATKCGDSHGHTTLEGLARPKSPDYKQTGPQTRTNTSPQPPKRSTAGSGDGQKKGGAPRSEGVVAGMKRNRELKTGATKTTVSSMNPARNSGHHGTNPTTAATTTNFTTSAESTLGRADEQLRRSDAAGDVRRNKEEEKKEEDSKGEGTGGCRGGLRRCRRREGGRRPDSPTTEGRPPVRTGATPPRGRRQTTAHTPAHAPPRVTAASRVDLRDDPAASQAARRRSGHRQPRHLRFAPPHDTTVGAPSRSGNGSAGSTAAWPGFLAVATLRRRQKNSTSPTPERGHHCGESPAAAFLARRPALPADDRLVTLTMHDPVHDMARSVIDDELIVLDDTKENKCGQSTYRYVFITNYDKPSKEFSMILHGKIRALHLVGCSKTKLNDGAFSSAKCLRVLDLNHCSIQKLPDSIYQLKQLQYLHAPQVGDGVIPESISMLSKLNYLNLRESPKISKLPESIGKLEALTYLNLSGCSHLVELPESFGELRNLEHLDLSGCSRLVELPETVGKLDALMYLNLSGSRIVELPESFRELKNLVHLDLSNCTHLTDVSEHLGSLNRLYRPRLYSRCLVAYPRRRKIQELSSVQKENEASHIHMQNVMDAISRLVYSDSGYSARGILSEALGSLTELKYLNLSGCLLMVVLPGSFGNLENLVHLDLSGCSCLEWTPDNLVGLTKLQHLNLSHYCTGTPRSSMPSQGAARYFDRSYRTAFWKPQEATYPEPLELLPSKEPTRKFMQDRLPQAPICERLPGTGVSQDKSPSQLHQNSFLDDGNGLLLRPVLLGTQPKLYQNLVLLPNFVVHDANPAELQISCLENVQSTGEVKRIKLSQKTSISKLALEWRRDAKRFADDMNVLEQLVPPNTLSQFELRGYNNVCLPRWLTCISSYLPDLVRIVLDNIPSCSSLPPLGQLTNLQELTLRSMPSISKIDGDICGGSEPFLQLIKFTLDSMEILEEWRTSYNDHGDKLQELEILDCPKLKLKLYEPRAFQWKISNSDNIVTSCGGGQYTGPSSSSSSTTLDVQHCKVPLDQWTLLCHLPALHELRIYECDDLTCSSPEIIESLSSMSPTPSDTSRDMAAATSNARILSPVSSLAPPPPLNHTRSHAGR >ONIVA05G10780.2 pep chromosome:AWHD00000000:5:11218600:11219499:-1 gene:ONIVA05G10780 transcript:ONIVA05G10780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPQWACQKPHTRHGTTLLTKLKNTQRNEQTRRADQISPKAPERHPSSIKQITVECQDMVELPANLCQFKSLPKLILWKCLKLKSLPESTKHLTSLKSLWMVGCSSMTSLPEGLGHLASLMELNINDCPHLKSLPESIQLLPMLEVVKVSYCPELKRWYEIEENKMKLAHIGKKVSINPANLLFLQLFMLTLFAVLYCP >ONIVA05G10770.1 pep chromosome:AWHD00000000:5:11212973:11213428:1 gene:ONIVA05G10770 transcript:ONIVA05G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIITAPDKVPTGREGPNPSSQIPLSRSHFSPATSERNDGEDGDDGSASWCARAVTTAEQLLLCRLGGGGTPEGAQSRATVLAVRLGGRANGDERRVAWTSLAGGTTPSRHFQSTARDSGGVIQDSTRTGNPTRLQGGEGVAVPLPGKLR >ONIVA05G10760.1 pep chromosome:AWHD00000000:5:11210209:11211102:-1 gene:ONIVA05G10760 transcript:ONIVA05G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPAERSKHQAPSSSSVSAAAAAASAPSENTYTSYQSLLTIIRATGSTSDETRGGCRKCGRVGHLTFQCRNFLCVKDLDMDDDVEAGIRRAASSQAKLDEFRKKTSGGSSDAEEGSAEDDEEDSYDSSDSDIDPELERIIAKRELHKDGSKQSREEEKKEKKTSRQRRSSRGRSKHRRSSSKEDKKKSRRKRRERSCEDDSETDSDKKIKRRHHRKSSKEERERGRSRHRRRSYDDDSSDDDHHTLRRRRRRRRKDTFDRQ >ONIVA05G10750.1 pep chromosome:AWHD00000000:5:11207561:11208990:1 gene:ONIVA05G10750 transcript:ONIVA05G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMTLHARLAEPPGVSSLHVRLNADELRRCRSCSSRPPHLKSGESEGGNGEIIDDSGGGESKGFSPNAIVETADKKLIVLSSSVPHCPDICFYVVYEAAETSLSMIPHLPSNCRPTFTQRPLPVRRRGGDGDGGGYTLALMASASVFDEQGGRSRKDVLCMWPPPDSSKPLPLLTRRGIEPWRAKRPRLPSDKPDDFAADTGVLCCSCDDVLSGGYDVEFRYLALPPECRLDANWTTRRQPQRYRTMSRVGDTIEFVSIGDGLHRQEFTASTTLAVWALVPATGEWKWKKLHELSMATLWRLDGFKNAGLPEVMPIHPILSTKQDGVIYMVSSADDLASAGREDSSASESEGWDSDVGDESDDDDEEDGVLVEEERQYLIGLDIRNESLLSWRRLPGSGYLDRPDLMGFDVSKCLDEHCLCPPADAAPNTDGAEVLIYGSSYTQEEVAKQPKLSLI >ONIVA05G10740.1 pep chromosome:AWHD00000000:5:11165576:11170986:-1 gene:ONIVA05G10740 transcript:ONIVA05G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCTIDAITHPSDSTPGVGPPPPPPRLRRSPSRDRSSTCAGVCCFHRILASLPRRMENMQYAEELVKEFLVFRGFTSTLQSYESELSTEIGRNFQVDKILDLVFSVYIPKYQLDRLQGLFTFFKQCFTSPADAELFSALIKLELSVLRYYVVNALKSGRQDKVVEFFGANGNYLLQKREDWQAWFALLKISTEKNTIKCLKNDIKQLNNKLSELQALLEMKETEISQLRRNSTGVDLGNMNVPNTSAADSSLEGQDMPGVFEESSASRSAAQGFDSQSSSSVKSSTRDEKLHKSFQISNAENEQILVTEDDFPEVKVDFQETFLGHNSSISCCRFSASGSNVASSSVDGTANGGIKAWNADAKRVVCDLSTSKDFPSVLDLKCSPVEPVFVSAAASRRPGSTIFDRTGFASLTVWHMKTWKPLTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSSPESFSKRMHEISLDSDGKRLLVTSGLVRAPIYQVQGHESGLRTLAHSASITSVDWHPTLPMYITGSADNSVRVTSIV >ONIVA05G10740.2 pep chromosome:AWHD00000000:5:11165576:11170449:-1 gene:ONIVA05G10740 transcript:ONIVA05G10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENMQYAEELVKEFLVFRGFTSTLQSYESELSTEIGRNFQVDKILDLVFSVYIPKYQLDRLQGLFTFFKQCFTSPADAELFSALIKLELSVLRYYVVNALKSGRQDKVVEFFGANGNYLLQKREDWQAWFALLKISTEKNTIKCLKNDIKQLNNKLSELQALLEMKETEISQLRRNSTGVDLGNMNVPNTSAADSSLEGQDMPGVFEESSASRSAAQGFDSQSSSSVKSSTRDEKLHKSFQISNAENEQILVTEDDFPEVKVDFQETFLGHNSSISCCRFSASGSNVASSSVDGTVRIWTYDSSTPSSKNATIYCGSEVSALSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLSTSKDFPSVLDLKCSPVEPVFVSAAASRRPGSTIFDRTGFASLTVWHMKTWKPLTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSSPESFSKRMHEISLDSDGKRLLVTSGLVRAPIYQVQGHESGLRTLAHSASITSVDWHPTLPMYITGSADNSVRVTSIV >ONIVA05G10740.3 pep chromosome:AWHD00000000:5:11165576:11170986:-1 gene:ONIVA05G10740 transcript:ONIVA05G10740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCTIDAITHPSDSTPGVGPPPPPPRLRRSPSRDRSSTCAGVCCFHRILASLPRRMENMQYAEELVKEFLVFRGFTSTLQSYESELSTEIGRNFQVDKILDLVFSVYIPKYQLDRLQGLFTFFKQCFTSPADAELFSALIKLELSVLRYYVVNALKSGRQDKVVEFFGANGNYLLQKREDWQAWFALLKISTEKNTIKCLKNDIKQLNNKLSELQALLEMKETEISQLRRNSTGVDLGNMNVPNTSAADSSLEGQDMPGVFEESSASRSAAQGFDSQSSSSVKSSTRDEKLHKSFQISNAENEQILVTEDDFPEVKVDFQLLIGTANGGIKAWNADAKRVVCDLSTSKDFPSVLDLKCSPVEPVFVSAAASRRPGSTIFDRTGFASLTVWHMKTWKPLTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSSPESFSKRMHEISLDSDGKRLLVTSGLVRAPIYQVQGHESGLRTLAHSASITSVDWHPTLPMYITGSADNSVRVTSIV >ONIVA05G10740.4 pep chromosome:AWHD00000000:5:11165576:11170449:-1 gene:ONIVA05G10740 transcript:ONIVA05G10740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENMQYAEELVKEFLVFRGFTSTLQSYESELSTEIGRNFQVDKILDLVFSVYIPKYQLDRLQGLFTFFKQCFTSPADAELFSALIKLELSVLRYYVVNALKSGRQDKVVEFFGANGNYLLQKREDWQAWFALLKISTEKNTIKCLKNDIKQLNNKLSELQALLEMKETEISQLRRNSTGVDLGNMNVPNTSAADSSLEGQDMPGVFEESSASRSAAQGFDSQSSSSVKSSTRDEKLHKSFQISNAENEQILVTEDDFPEVKVDFQLLIGTANGGIKAWNADAKRVVCDLSTSKDFPSVLDLKCSPVEPVFVSAAASRRPGSTIFDRTGFASLTVWHMKTWKPLTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSSPESFSKRMHEISLDSDGKRLLVTSGLVRAPIYQVQGHESGLRTLAHSASITSVDWHPTLPMYITGSADNSVRVTSIV >ONIVA05G10740.5 pep chromosome:AWHD00000000:5:11165576:11170449:-1 gene:ONIVA05G10740 transcript:ONIVA05G10740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MENMQYAEELVKEFLVFRGFTSTLQSYESELSTEIGRNFQVDKILDLVFSVYIPKYQLDRLQGLFTFFKQCFTSPADAELFSALIKLELSVLRYYVVNALKSGRQDKVVEFFGANGNYLLQKREDWQAWFALLKISTEKNTIKCLKNDIKQLNNKLSELQALLEMKETEISQLRRNSTGVDLGNMNVPNTSAADSSLEGQDMPGVFEESSASRSAAQGFDSQSSSSVKSSTRDEKLHKSFQISNAENEQILVTEDDFPEVKVDFQETFLGHNSSISCCRFSASGSNVASSSVDGTVRIWTYDSSTPSSKNATIYCGSEVSALSWECRSDRLTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSSPESFSKRMHEISLDSDGKRLLVTSGLVRAPIYQVQGHESGLRTLAHSASITSVDWHPTLPMYITGSADNSVRVTSIV >ONIVA05G10730.1 pep chromosome:AWHD00000000:5:11159030:11159299:1 gene:ONIVA05G10730 transcript:ONIVA05G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAKVSCEVRSNTGWLHGRKLGAGQLHQRHGICRLPKLKPLPSILMLDYYLVNLPRELYLHSKKALLPAPPMTSLLLSSSSLSLCIKE >ONIVA05G10720.1 pep chromosome:AWHD00000000:5:11158259:11163252:-1 gene:ONIVA05G10720 transcript:ONIVA05G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAATAPATSVTAATFSPSLPLLSRYQLPRAHRAASTVAFAARRFRGVNPSSDRPRGRRASVPAAPTTSAARDDGGGGGGIDALEAELWRLQRRAELRLHRLAAEADEAYRDLRYSARVVGGDRVVLTFRRSSLRFAAAALLWSLALSAAAWALLGWAVRAWQRRGLGWRGGEGAAVVRRRDRSLGGKEVVVAVSSSPVAAPVSRVPEPAREVKRREPKARLPEWWPELREEVVVDQGPGMEKWARLANRLVRAIIDNRITGKDYKYDDAIQLRQLCKISGVKVSFDTENARDSFYRAAINFVLDDCSRAAQDIGAAQISGENPRNFLAGLASNIGLDKFRAATLVCASVAARTRSLFLQCWALEMQGKRSEALDELSKICRIHNMFPPEENSAEMEMVAGGLKKNLQVAERVHLLSLYRSICTAGNIRTAAEALGLGKLKLPDTTNCYGWGLGLQRDDEV >ONIVA05G10710.1 pep chromosome:AWHD00000000:5:11152786:11153601:1 gene:ONIVA05G10710 transcript:ONIVA05G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTPAAPTPRSEAALAGELRGRRTTRHHAGRQALGQCSGLRLGGAFFRWYIELEVIVPAWRSGEGNILLAHN >ONIVA05G10700.1 pep chromosome:AWHD00000000:5:11135712:11137794:-1 gene:ONIVA05G10700 transcript:ONIVA05G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHEVAFEVNLIEDDGGLAGWAPVGTRTALSAHAERDTTMLISGAVSAAEPNERIRRSSGRYIVASRRADEEDGLRRAVPAGALVPRVTYRVVGWVSVQGQGDGRHHAVRVGLRVDGDGGDDERGSWLDCGAARVEVGGGWAEINGAFRLRASPRVAAVHVHGAPAGVDVKVMDLQVYATDRKARLTQLKEQTDKVRKRDVILKLGGGATMAGASIRVAQLENRFPFGSCINKTAIRNPKFVDFFCDNFDWAVFENELKWYSTEPQRGQINYRDADELLDFCHRYGKSARGHCIFWAVDGDVQQWVKDLGRDDLAAAVQGRLHGLLSRYAGRFRHYDVNNEMLHGRFYRDRLGDGVAPLMFREAARLDPAARLFVNDYNVLRGNDPNATPEKYVELVDALRRGGAAVGGIGVQGHMDSPVAGQVIRAALDKLAAAGGAPIWITELDVSEPDVGLRADDLEVVLREAYAHPAVEGVVLWGFMEGQMWRRDAYLVDADGTVNEAGQRFLQLQREWRSDARGIVDGDGRFKFRGFHGTYVAQVTTATGKMLKTFTVEKGDNSLELDLDIEI >ONIVA05G10680.1 pep chromosome:AWHD00000000:5:11115902:11120341:1 gene:ONIVA05G10680 transcript:ONIVA05G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESQSQSHFPLRWESTGDQWWYATPIDWAAASGHYDVVRELLRLDANLLIKLTSLRRIRRLESVWDDDMRFADAARNRAAVARRLLHDCEPPRGAAAGGGSRPNRLIRAGYGGWLLYTAAAAGDAAFVRELLGRQPLLVFGEGEYGVTDVLYAAARSDSSEVFRLLLSAVLSPPECSAGGEGDGGTAAIAGGFMFRCEMMNRAMHAAARGGNLEVLRELLQGCSDASAFRDAQGSTILHAAAARGQLEVVKDLIASFDIVNSTDEQGNTALHLAAFRGHLPVVKALITASPSLISATNEVGDTFLHMALTGFRTPGFRRLDRQMELMKQLIGGVIMDLSSIINMQNDDGRTVLHLAVIGNLHSSLVELLMTAPLIDLNVRDNDGMTPLDLLRKQPPTASSEILIKQLILAGGIANSMDHETRSAIASQLKMHCIVGSPGTSFKIPDAEIFLHAGIDASDISERTNSFSSVGQSEPEFPESIRKKLNSMQNAAKHLKILLRWPLRKEKKSSSGARNELDDDASSVDSVKSWSHGETPTPLRQKYSRMSSLFNNKRTMAARIDSPSPSMKKSFADGLAHGVMQPESPSGSGSWSSSSLVDRIEAVHLDKNGQASPDTSVVIRRTPKKHGSLNSRLMNQYFCIGAQGIAVEDSTTGQRSSRMFRSSLLSAA >ONIVA05G10670.1 pep chromosome:AWHD00000000:5:11101231:11101840:1 gene:ONIVA05G10670 transcript:ONIVA05G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLYDKFLLSGLWIPHS >ONIVA05G10660.1 pep chromosome:AWHD00000000:5:11072992:11086510:-1 gene:ONIVA05G10660 transcript:ONIVA05G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRPWEFIILGRTAPISPPPLGISAFSRPLRLRLRLRLAAVVDAARIQTPPRVAFSAFFFFFFFFFFFFSEGLSREGTAARLPALIYRVPFIPCLLQLQIRAPHADPRPARRSAPGRTTMTSTHVATLGVGAQAPPRHQKKSAGTAFVSSGSSRPSYRKNGQRTRSLREESNGGVSDSKKLNHSIRQGLAGIIDLPNDAASEVDISHGSEDPRGPTVPGSYQMNGIINETHNGRHASVSKVVEFCTALGGKTPIHSVLVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATPEDLRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTGVSAVWPGWGHASENPELPDALTAKGIVFLGPPASSMHALGDKVGSALIAQAAGVPTLAWSGSHVEVPLECCLDSIPDEMYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRTLFKQVQGEVPGSPIFIMRLAAQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKELEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMNHGGGYDLWRKTAALATPFNFDEVDSKWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGTTRSAAITTMALALKEVQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTVTANTATVSDYVGYLTKGQIPPKHISLVYTTVALNIDGKKYTIDTVRSGHGSYRLRMNGSTVDANVQTLCDGGFLMQLDGNSHVIYAEEEASGTRLLIDGKTCMLQNDHDPSKLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVIHVVMSEGQAMQAGDLIARLDLDDPSAVKRAEPFEDTFPQMGLPIAASGQVHKLCAASLNACRMILAGYEHDIDKVVPELVYCLDTPELPFLQWEELMSVLATRLPRNLKSELEGKYEEYKVKFDSGIINDFPANMLRVIIEENLACGSEKEKATNERLVEPLMSLLKSYEGGRESHAHFVVKSLFEEYLYVEELFSDGIQSDVIERLRLQHSKDLQKVVDIVLSHQSVRNKTKLILKLMESLVYPNPAAYRDQLIRFSSLNHKAYYKLALKASELLEQTKLSELRARIARSLSELEMFTEESKGLSMHKREIAIKESMEDLVTAPLPVEDALISLFDCSDTTVQQRVIETYIARLYQPHLVKDSIKMKWIESGVIALWEFPEGHFDARNGGAVLCDKRWGAMVIVKSLESLSMAIRFALKETSHYTSSEGNMMHIALLGADNKMNIIQESGDDADRIAKLPLILKDNVTDLHASGVQTISFIVQRDEARMTMRRTFLWSDEKLSYEEEPILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTLVRQPSVSNKFSSGQIGDMEVGSAEEPLSFTSTNILRSLMTAIEELELHAIRTGHSHMYLHVLKEQKLLDLVPVSGNTVLDVGQDEATAYSLLKEMAMKIHELVGARMHHLSVCQWEVKLKLDCDGPASGTWRIVTTNVTSHTCTVDIYREMEDKESRKLVYHPATPAAGPLHGVALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETAVRKSWSSSTSGASKGVENAQCYVKATELVFADKHGSWGTPLVQMDQPAGLNDIGMVAWTLKMSTPEFPSGREIIVVANDITFRAGSFGPREDAFFEAVTNLACEKKLPLIYLAANSGARIGIADEVKSCFRVGWSDDGSPERGFQYIYLSEEDYARIGTSVIAHKMQLDSGEIRWVIDSVVGKEDGLGVENIHGSAAIASAYSRAYKETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGYSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILRWLSYVPAYIGGPLPVTTPLDPPDRPVAYIPENSCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQTIPADPGQLDSREQSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAAELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMSRLDPTLIDLKAKLEVANKNGSADTKSLQENIEARTKQLMPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEIRAVAGEQFSHQPAIELIKKWYSASHAAEWDDDDAFVAWMDNPENYKDYIQDLKAQRVSQSLSSLSDSSSDLQALPQGLSMLLDKMDPSRRAQLVEEIRKVLG >ONIVA05G10650.1 pep chromosome:AWHD00000000:5:11065339:11068666:-1 gene:ONIVA05G10650 transcript:ONIVA05G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT3G26085) TAIR;Acc:AT3G26085] MAAMLAPAALPPRGLQSKAVGNAESQLLLHGKGCIVADAIIFAAKSNERRRKSQSISQGPTFISEKASSSGSGENPTTSLEVNANDVTTDEKFTVAPRNAVLQACTLTSSLLLAGGLVLREASHFASLNGWPVADPMNLSFNFETWHLELIAGLTWPDFRYSSETANRQILTSLETFDYIVVACLPGISEEVLFRGALMPIFGLNWISAFVTGAIFGILHLGNGRKYSFAIWATFVGVAYGLATIASSSIIHFSQTGGNL >ONIVA05G10650.2 pep chromosome:AWHD00000000:5:11065804:11068666:-1 gene:ONIVA05G10650 transcript:ONIVA05G10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT3G26085) TAIR;Acc:AT3G26085] MAAMLAPAALPPRGLQSKAVGNAESQLLLHGKGCIVADAIIFAAKSNERRRKSQSISQGPTFISEKASSSGSGENPTTSLEVNANDVTTDEKFTVAPRNAVLQACTLTSSLLLAGGLVLREASHFASLNGWPVADPMNLSFNFETWHLELIAGLTWPDFRYSSETANRQILTSLETFDYIVVACLPGISEEVLFRGALMPIFGLNWISAFVTGAIFGILHLGNGRKYSFAIWATFVGVAYGLATIASSSIIVPMASHSINNIIGGLIWRFTNNTERE >ONIVA05G10650.3 pep chromosome:AWHD00000000:5:11065804:11068666:-1 gene:ONIVA05G10650 transcript:ONIVA05G10650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT3G26085) TAIR;Acc:AT3G26085] MAAMLAPAALPPRGWKCGVAVVATWKRLHSGRRNAVRIFAAKSNERRRKSQSISQGPTFISEKASSSGSGENPTTSLEVNANDVTTDEKFTVAPRNAVLQACTLTSSLLLAGGLVLREASHFASLNGWPVADPMNLSFNFETWHLELIAGLTWPDFRYSSETANRQILTSLETFDYIVVACLPGISEEVLFRGALMPIFGLNWISAFVTGAIFGILHLGNGRKYSFAIWATFVGVAYGLATIASSSIIVPMASHSINNIIGGLIWRFTNNTERE >ONIVA05G10640.1 pep chromosome:AWHD00000000:5:11034284:11052232:1 gene:ONIVA05G10640 transcript:ONIVA05G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: nucleus; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Digestive organ expansion factor, predicted (I /.../o:IPR010678); Has 25006 Blast hits to 13379 proteins in 904 species: Archae - 102; Bacteria - 5571; Metazoa - 7448; Fungi - 3168; Plants - 1056; Viruses - 466; Other Eukaryotes - 7195 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G17690) TAIR;Acc:AT1G17690] MGKPKPASATAAPRGHKRRPKRTRPSGKGGGPEPREEKRKRRELDGVGLAEEAPPPGSSLGKILSTESGAIGLEQSDEDDMEDEHTVPGDDETNDMINNKDDAFDEMDSFHRHVSRIITNEEVNALLKQKCKFKWEVPAEDIPKSKWVGTGEKMEGAYVDLIGGVKGKLRDHWQNTLSDQLNSRLNFFSLCSSYRDIMHCNKKPFYLKGGSTVDSSTMDSYLMHALNHINRTREIVVKNDAKLRSDPSKDILDDNSFLDQGFTRPKVLFLLPLKSIARRVVKRLIQLSPLSQKDIIAKFEGKFGESDDEVEEPVQSNKPADFDLLFAGDTDDEFLFGIKYTKKSMNLFSNFYSSDIIVASPLALIKKINGVDDNGKEPAKERDFDFLSSIESWSHLYAVFEQLNQLPSKEHGTNVMRIRPWYLDQHARYYRQTILLSSYLTPEMNALFNGLCLNYEGKVKLVTEFKGVLSKIQFEAPQVYERFDASSITEVDDARFDHFCKKVYPKIQESDELGISRKEQPLNSKDGSQATNLREDNIATRYITCKTLIRGAHHLIIYSLPGRKEFYPELVNMLSESENKKCTVFFSRLDLLKLERIVGTFAAQRLVSSDKSIFMFR >ONIVA05G10640.2 pep chromosome:AWHD00000000:5:11034284:11052232:1 gene:ONIVA05G10640 transcript:ONIVA05G10640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: nucleus; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Digestive organ expansion factor, predicted (I /.../o:IPR010678); Has 25006 Blast hits to 13379 proteins in 904 species: Archae - 102; Bacteria - 5571; Metazoa - 7448; Fungi - 3168; Plants - 1056; Viruses - 466; Other Eukaryotes - 7195 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G17690) TAIR;Acc:AT1G17690] MGKPKPASATAAPRGHKRRPKRTRPSGKGGGPEPREEKRKRRELDGVGLAEEAPPPGSSLGKILSTESGAIGLEQSDEDDMEDEHTVPGDDETNDMINNKDDAFDEMDSFHRHVSRIITNEEVNALLKQKCKFKWEVPAEDIPKSKWVGTGEKMEGAYVDLIGGVKGKLRDHWQNTLSDQLNSRLNFFSLCSSYRDIMHCNKKPFYLKGGSTVDSSTMDSYLMHALNHINRTREIVVKNDAKLRSDPSKDILDDNSFLDQGFTRPKVLFLLPLKSIARRVVKRLIQLSPLSQKDIIAKFEGKFGESDDEVEEPVQSNKPADFDLLFAGDTDDEFLFGIKYTKKSMNLFSNFYSSDIIVASPLALIKKINGVDDNGKEPAKERDFDFLSSIESWSHLYAVFEQLNQLPSKEHGTNVMRIRPWYLDQHARYYRQTILLSSYLTPEMNALFNGLCLNYEGKVKLVTEFKGVLSKIQFEAPQVYERFDASSITEVDDARFDHFCKKVYPKIQESDEDNIATRYITCKTLIRGAHHLIIYSLPGRKEFYPELVNMLSESENKKCTVFFSRLDLLKLERIVGTFAAQRLVSSDKSIFMFR >ONIVA05G10640.3 pep chromosome:AWHD00000000:5:11034284:11051492:1 gene:ONIVA05G10640 transcript:ONIVA05G10640.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: nucleus; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Digestive organ expansion factor, predicted (I /.../o:IPR010678); Has 25006 Blast hits to 13379 proteins in 904 species: Archae - 102; Bacteria - 5571; Metazoa - 7448; Fungi - 3168; Plants - 1056; Viruses - 466; Other Eukaryotes - 7195 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G17690) TAIR;Acc:AT1G17690] MGKPKPASATAAPRGHKRRPKRTRPSGKGGGPEPREEKRKRRELDGVGLAEEAPPPGSSLGKILSTESGAIGLEQSDEDDMEDEHTVPGDDETNDMINNKDDAFDEMDSFHRHVSRIITNEEVNALLKQKCKFKWEVPAEDIPKSKWVGTGEKMEGAYVDLIGGVKGKLRDHWQNTLSDQLNSRLNFFSLCSSYRDIMHCNKKPFYLKGGSTVDSSTMDSYLMHALNHINRTREIVVKNDAKLRSDPSKDILDDNSFLDQGFTRPKVLFLLPLKSIARRVVKRLIQLSPLSQKDIIAKFEGKFGESDDEVEEPVQSNKPADFDLLFAGDTDDEFLFGIKYTKKSMNLFSNFYSSDIIVASPLALIKKINGVDDNGKEPAKERDFDFLSSIESWSHLYAVFEQLNQLPSKEHGTNVMRIRPWYLDQHARYYRQTILLSSYLTPEMNALFNGLCLNYEGKVKLVTEFKGVLSKIQFEAPQVYERFDASSITEVDDARFDHFCKKVYPKIQESDELGISRKEQPLNSKDGSQATNLREDNIATRYITCKTLIRGAHHLIIYSLPGRKEFYPELVNMLSESENKKCTVFFSRLDLLKVRPVIHFV >ONIVA05G10630.1 pep chromosome:AWHD00000000:5:11028263:11028745:-1 gene:ONIVA05G10630 transcript:ONIVA05G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLTLVPGAKTWLSVGVSDVLEVSDEEGDQEGRRQSSSPGPIQVGMKGKVARFSAPSKGFREEFSGPAKPKTFGSRFWALGDVSDATDSELEGEKDAATSVEEAFPEARFVRRALAEGFIVDEVLKAGEHLLLNPAATSGSCTKSTTLKGNGLLAGGL >ONIVA05G10620.1 pep chromosome:AWHD00000000:5:10996210:10998783:-1 gene:ONIVA05G10620 transcript:ONIVA05G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50280) TAIR;Acc:AT5G50280] MALRAHPLLSSSSLPPPTLPILCLRCPGSSLSSKRFLRSHSRSAIRPWRNPCAARPGGPRFLGQDDADSDADEEDEEEWRWAPSAGPSGAHLVGAVDEDDSVGEGGGGVGWGAPDGDAAGGSGIRESGVDDGGQVGEWDLPMSSFRGRVQVQHHQEEEEEEEEEEDEDGGGCEWSDPGFFLRGQEEEASSSVSTTTAMEEILTLARSPAVDGQAFAEFLAGYGRGALSVEECVELMRRMGEEGLALGCLHLLRWMQAPEEEPLLLPPQAWLLAVVALGRAQMADEVLEIVESLPPERRFSEAVLYNAAMSGLAYRGRYDDTWKVFKLMEKKNIQPDHMTSLIMLDVMNKSKTSAKDAWEFFQRMERKGVKWSLDICISLIKIFCDNGLKTEALIIQSAMEKKGIASNTSMYNTLINAYCKANQIEEAEGVFVEMKEKGLSATAMTYNILMGAYCRRLQPEVVESLLLEMQDLGLRPNARSYNFLIRVYGQQKKMSEKAEDAFLRMKTDGIMPTSSTYTSLLCAYAVNGLHEKAYLTYVDMKREGLKPSLETYTALIDMFRRAGDTEKLMETWRSMINEKVPGTRVIFHMVLDGLAKHGLYVQATDVIYEFRRAGLQPTVMTYNILMNAFARGGQHYKLPQLLKEMAAMELKPDSVTYSTMIYAYARVRDFSRAFYYHKLMVRSGQLPDVSSYKKLLNTLDVKAARKNIKDKNAIVGILKGKSSLKHRKEKKDEFWKNRKKRSMMNHVYGYPRKRFL >ONIVA05G10610.1 pep chromosome:AWHD00000000:5:10982505:10987670:-1 gene:ONIVA05G10610 transcript:ONIVA05G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HC23] MAAIFIFIWLFASVLSISSTSSPLLINGSTADAAPDCGTGNPIDDCWRCDPGWADNRQRLADCAVGFGRRAVGGKGGRVYVVNDTGDDAARPAPGTLRYGLVQDEPLWIVFAGDMTISPAHELVVSSRKTVDGRGARVVVGDGGACFAVRGASDVVIHGLTIRRCRPAPKLEAGMSDGDGVGVHNSSDVWVDHCTVEACADGLIDVVVGSTRVTLSNNLLRNHDKAILLGHNDDYTDDKAMQVTVAFNRFGPGLVQRMPRCRFGLFHVINNDYIAWQKYAIGGSASPTIISHGNRFYADMAKEVTKRDDDVPESVWHHWNWVSDGDLMLNGAFFRASGEARTDNLKAPSFARSAPSVPSMTSSAGALSCKEGSHC >ONIVA05G10600.1 pep chromosome:AWHD00000000:5:10976010:10976198:-1 gene:ONIVA05G10600 transcript:ONIVA05G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRTRGRLSGTTAKSPPPTAWAQGAARVRNQRDGSRRQVEEEAALLVGRREEGSIAVTPM >ONIVA05G10590.1 pep chromosome:AWHD00000000:5:10975731:10980638:1 gene:ONIVA05G10590 transcript:ONIVA05G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAVPAGGFHGASLGRRRHHLLSSSLSRRRSRHRRVSSLSESDVGQSLSLLASIPNPLALLLLRQAPLLRRDQRMPHRRRLAKRHDLRSAMPPQPQAPSLRRPPSIPRRRSTSTADPLPGTPSPFPHTTPSHRRTLMHVSPPFARSPPERMDGCLHAASHRSALNTSVIASCPSPSRSAVPSVVDAWRGSPIGPAKKGRNFTYGSHGLKMWIKSSCSLTTQVWLPLGQSPPISISKPPTDRCGIKRPYGRIPVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVVRAARRATQFARVGWCCELQGASCGRMDPQDYES >ONIVA05G10590.2 pep chromosome:AWHD00000000:5:10975731:10980628:1 gene:ONIVA05G10590 transcript:ONIVA05G10590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAVPAGGFHGASLGRRRHHLLSSSLSRRRSRHRRVSSLSESDVGQSLSLLASIPNPLALLLLRQAPLLRRDQRMPHRRRLAKRHDLRSAMPPQPQAPSLRRPPSIPRRRSTSTADPLPGTPSPFPHTTPSHRRTLMHVSPPFARSPPERMDGCLHAASHRSALNTSVIASCPSPSRSAVPSVVDAWRGSPIGPAKKGRNFTYGSHGLKMWIKSSCSLTTQVWLPLGQSPPISISKPPTDRCGIKRPYGRIPVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVVRAARRATQFARVGWCCELQGASCGRMDPQDYES >ONIVA05G10590.3 pep chromosome:AWHD00000000:5:10975731:10980354:1 gene:ONIVA05G10590 transcript:ONIVA05G10590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAVPAGGFHGASLGRRRHHLLSSSLSRRRSRHRRVSSLSESDVGQSLSLLASIPNPLALLLLRQAPLLRRDQRMPHRRRLAKRHDLRSAMPPQPQAPSLRRPPSIPRRRSTSTADPLPGTPSPFPHTTPSHRRTLMHVSPPFARSPPERMDGCLHAASHRSALNTSVIASCPSPSRSAVPSVVDAWRGSPIGPAKKGRNFTYGSHGLKMWIKSSCSLTTQVWLPLGQSPPISISKPPTDRCGIKRPYGRGQLTTFPTVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVVRAARRATQFARVGWCCELQGASCGRMDPQDYES >ONIVA05G10590.4 pep chromosome:AWHD00000000:5:10975731:10980628:1 gene:ONIVA05G10590 transcript:ONIVA05G10590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAVTWLSSHSAYRVFCCPTWPRHRPSLLMWIKSSCSLTTQVWLPLGQSPPISISKPPTDRCGIKRPYGRIPVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVVRAARRATQFARVGWCCELQGASCGRMDPQDYES >ONIVA05G10590.5 pep chromosome:AWHD00000000:5:10975731:10980628:1 gene:ONIVA05G10590 transcript:ONIVA05G10590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAVPAVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVVRAARRATQFARVGWCCELQGASCGRMDPQDYES >ONIVA05G10580.1 pep chromosome:AWHD00000000:5:10950844:10957980:-1 gene:ONIVA05G10580 transcript:ONIVA05G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G60080) TAIR;Acc:AT1G60080] MEVEAYRRLFPLAFLERHLRESVRPDARRPAEARPTTVALAAVSSAHGSALVRLGDTAMLASIKLEVMSPSGEAPDEGSIAVEFHMPPICSPLVRPGRPAEVAPVISKNLEDILMSSGMLNLKELCLISGKASWLAYLDVYCLNADGSLFDAALISAVAAFTHLEIPLVSVGDDGRVFTVGGNEGKAKYELVNREKRKLTITNVPFSLTCALHKDNVLADPTAEEESIIETSVTIVLDSSDQIVSIQKPGGAVTSMTTIKECISLAKDRRRKLREILMDNVEAMEVDQTD >ONIVA05G10580.2 pep chromosome:AWHD00000000:5:10950844:10958028:-1 gene:ONIVA05G10580 transcript:ONIVA05G10580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G60080) TAIR;Acc:AT1G60080] MAAEAQATAAGGLAGEMEVEAYRRLFPLAFLERHLRESVRPDARRPAEARPTTVALAAVSSAHGSALVRLGDTAMLASIKLEVMSPSGEAPDEGSIAVEFHMPPICSPLVRPGRPAEVAPVISKNLEDILMSSGMLNLKELCLISGKASWLAYLDVYCLNADGSLFDAALISAVAAFTHLEIPLVSVGDDGRVFTVGGNEGKAKYELVNREKRKLTITNVPFSLTCALHKDNVLADPTAEEESIIETSVTIVLDSSDQIVSIQKPGGAVTSMTTIKECISLAKDRRRKLREILMDNVEAMEVDQTD >ONIVA05G10570.1 pep chromosome:AWHD00000000:5:10947936:10948695:1 gene:ONIVA05G10570 transcript:ONIVA05G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dolichol phosphate-mannose biosynthesis regulatory protein-related [Source:Projected from Arabidopsis thaliana (AT1G74340) TAIR;Acc:AT1G74340] MELGDKAVGFILTLTSLSIFTYYTFWVIILPFVDSDHFAHKYFLPQEYAILIPVLVGVIVLSFLSVFVGLVMLKSKKKKKTT >ONIVA05G10560.1 pep chromosome:AWHD00000000:5:10924606:10927029:1 gene:ONIVA05G10560 transcript:ONIVA05G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEETLGLATNAWYPKQYSWPLVSRENVYPYPSKKQFRNRADNGERATDSGGWGRRDDSHWEEEGNFFQERGGGEDFHFRFHPGLGFNREGGGRGWMSQGFRPRGARSFGTIRGGFAGRPGRSGAHHAGRSNLPSYGQRAMDNGTGRAEKTTTVSGSNKGGTLVLGGKKEAWQNKAGDLGASVAGKEGRGKNVVGEVVSEGDAKDQEFDRPVEEEEEELKKMADQIIDVVMEDMLGEIYDRVAREGEEQDLGNRQGQEMGDGLQEKVVLMANVEEVIVTPEGELDRMGRLEENFLGDHPFTDEEECSVSGDEQEVCDNHILDHLCGKVMEEVMDEADMHLSCDSDYIHINHHKGCKKSGNKLRRKGRGFKVGNKKGSL >ONIVA05G10550.1 pep chromosome:AWHD00000000:5:10923649:10924444:1 gene:ONIVA05G10550 transcript:ONIVA05G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLSAGVSDVLEVSDEEGDQEGRREEFSGAAKPKTFGSRFWALGDVSDATDSESKGEKDAATSVEEAFPEARFVRRALAEGFTVDEVLKAGEHLLLNPAATLGSCTKSTNLKGNGLLARRIVDSVAKRRKSSIKPRKGPLPRARISQPLTIGDKLDEAFTAKLKKLGFLLQLDKKMRQLFQGKEMKVSRERDESCAGLREEDDKEVFLATEEERRELIFGSTTGRLETR >ONIVA05G10540.1 pep chromosome:AWHD00000000:5:10921153:10921992:-1 gene:ONIVA05G10540 transcript:ONIVA05G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGYAEAAGNLEEKDWGECMCKEVGGMVYNGGTRESSDHVALPRPGKCPTARMDQYPTRAVTSSKWDLRTALVSSALQTVDIVWVKLDSLCRGELNHSNVPYSRFWSCVIRVIPTPEV >ONIVA05G10530.1 pep chromosome:AWHD00000000:5:10903908:10908394:-1 gene:ONIVA05G10530 transcript:ONIVA05G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANLEDVPSMDLMNELLRRMKCSSKPDKRLILVGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLEKKGTKVDKVLNFAIDDSILEERITGRWIHPSSGRSYHTKFAPPKVPGVDDVTGEPLIQRKDDTAEVLKSRLEAFHKQTEPVIDYYSKKALVANLHAEKPPKEVTAEVQKVLS >ONIVA05G10520.1 pep chromosome:AWHD00000000:5:10894411:10901718:1 gene:ONIVA05G10520 transcript:ONIVA05G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYLQNSPIPNPQFNPGIRLRRQAAAARRYGPTADGDTATGSCGGRWGLCSRRGRRARGLSGRQAAWARCGAAALGIGAAAAGGRQPAQQGSRQQAVRQAGQQQVYTFSMALVVICGQPCSGKSAAAACLTAALCSSTSDLTVRIIDESSLHLGRNDSYKDMVVEKNLRGVLRSEVDRSVSRDSIIVVDSLNNIKGYRYELWCLARASGIRYCVLFCDTEVDHCREWNTKRQEKGEPTYDNNMYRSYWVIMEGGRLWQVRAEEEYCGGRVAGDRRERFDDLVSRFEKPDRRNRWDSPLFELFPSRDGVMESSPVIAEAVSYLTKKVDSKTRDVKVLQPTIATQTARTTEANSLYEMDKATQEVINAIVEAQSCGLGLPVNKISLGPDLPTISFTV >ONIVA05G10510.1 pep chromosome:AWHD00000000:5:10867923:10872650:-1 gene:ONIVA05G10510 transcript:ONIVA05G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:annexin 8 [Source:Projected from Arabidopsis thaliana (AT5G12380) TAIR;Acc:AT5G12380] MATIVVPPVTPSPAEDADALLKAFQGWGTDEQAVIGVLAHRDATQRKQIRLTYEENYNENLIQRLQSELSGDLERAMYHWVLDPVERQAVMVNTATKCIHEDYAVIVEIACTNSSSELLAVKRTYHVLYKCSLEEDVAARTTGNLRSLLLALVSTYRYDGDEVNDALAKSEAKILHETVTNGDTDHGELIRIVGTRSRAQLNATFSWFRDERGTSITKALQHGADPTGYSHVLRTALRCISDANKYFVKVLRNAMHKSGTNEDSLTRVIVLHAEKDLKGIKDAFQKRASVALEKAIGNDTSGDYKSFLMALLGSGI >ONIVA05G10500.1 pep chromosome:AWHD00000000:5:10845942:10849469:-1 gene:ONIVA05G10500 transcript:ONIVA05G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSLTRPPPPPFVWNGRSLDLSPNTTGLPPPPRSTTPISLCSRPASMHDTGLSARAAGASSSSTPYAGASSATSVGDDDDESKIRKANPAFVAAAYARLHSSHRAAASFLLLLAVAATAFLIGRARPRADCPPPRLDARFLALPDAAAASDFGALGIVEWTSKDLLRGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFVARWLKPDLMIESGAFKGHSTWVLRQAMPNTKIISLSPRHPEKYLKKGPAYVDGNCTYLAGKDFIDFGSVDWGKLLRNHGISDLSRVLVFFDDHQSELKRLKQASIVGFRHIIFEDNYDTGTGDHYSLRQICDQEHIRGGGHSCFWDSDEARLRSRRKSFWEKAVETDELCGKDDAWWGVRGYMRDNFNHSNKAISYKEHFQNSRLVESVLDLYWELPPVAGPSLTHQTRYDPARASDPIIEDGRFGMFQRIGLARLDASVFNGYTQMSYVQISGSMLSREDA >ONIVA05G10490.1 pep chromosome:AWHD00000000:5:10843748:10844203:1 gene:ONIVA05G10490 transcript:ONIVA05G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCGVAASPASCGWWIQRSEATDKGRESEGSFPAPTLVDDADCSVSRPLGVSAVAVLHLPLLHVCRAASRTAVPHLFQLKLSPAAPPHARLAVELRRLTPPMAYRVASLIAHRLPEQQCCLRRLLLPSRGVGFKGIRDFWSGIERLFCIL >ONIVA05G10480.1 pep chromosome:AWHD00000000:5:10825261:10827139:-1 gene:ONIVA05G10480 transcript:ONIVA05G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTTPAGVQSCPEQTSTAKPPSIPEAASATHGGYGVQGQFLTKCSITHWTYLSKRPTIGHCAQERRNVQSSLWQRKDARFLCEGMHHVLKDNQLSLVLAKAMRDQKTTLQPVRQLMAVSNNSSNTSVLPLALTAVATHSDSNNIVTKVMSSLLCWEHAQREECTSKIHQQSLQDYRISTSRADEARKSMIYFSCGETAQFFSSDCNQRLKHQTSMWLSTSAMHLIMLQFRGTTTNSRNPHDVSRKLAEIVPYMGKKYPRIMFRNV >ONIVA05G10470.1 pep chromosome:AWHD00000000:5:10810301:10814227:1 gene:ONIVA05G10470 transcript:ONIVA05G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20020) TAIR;Acc:AT2G20020] MATSHLTSRSLLVQAQYPISRLPSNLRLSLSHHKQPAAVAKRRRAPAPSHPAFSSVIRGRPKKVPIPENGEPAAGVRVTERGLAYHLDGAPFEFQYSYTETPRARPVALREAPFLPFGPEVTPRPWTGRKPLPKSRKELPEFDSFMLPPPGKKGVKPVQSPGPFLAGTEPRYQAASREEVLGEPLTKEEVDELVKATLKTKRQLNIGRDGLTHNMLENIHSHWKRKRVCKIKCKGVCTVDMDNVCQQLEEKVGGKVIHHQGGVIFLFRGRNYNYRTRPIYPLMLWKPAAPVYPRLVKKIPDGLTPDEAEDMRKRGRQLPPICKLAKSNVRNRKYLREVDVEHETTTFICQKHLKCGITVEPMLLLLLSVFVVNVYIPFFLKIFKGKNGVYLNLVKQVREAFEACDLVRVDCSGLNKSDCRKIGAKLKDLVPCTLLSFEFEHILMWRGNDWKSSLPPLEENDFKVASDQILNSKEAGSGSALTPIELVNNATSLKKCNLIEGAEKLEDSMKSSFENGMILGSACANPGVCNSEGIDGTESSADAPIEFSPSNSARDLDPSQTSTLYCQSSLLDKSENGELIEMYPDRCGNSEQSPDVPEALTCLMGSSDEIHELETMRRNCKHLNGSDGVNSDSIVPSYMEGILLLFKQAIDSGMALVLNENEFADANYVYQKSVAFTKTAPRYLVLRHTPRKSHGTQKTEPAKNVRINKHLEEHKVSDHVKKKEIVMGGSRMQRNDHAREFLSDVVPQGTLRVDELAKLLA >ONIVA05G10460.1 pep chromosome:AWHD00000000:5:10780088:10782217:1 gene:ONIVA05G10460 transcript:ONIVA05G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATLAAPSFLAHQSILSHKPLRKLGLSLELPRTRSVKIRAAKLPAGVEVPRKQPKLSEPFLGFTRTAEIWNSRACMIGLIGTFIVELVLNKGILQMIGVEVGKGLDLPL >ONIVA05G10450.1 pep chromosome:AWHD00000000:5:10753973:10760690:1 gene:ONIVA05G10450 transcript:ONIVA05G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSTVYISVIDDVISKVRDDFISYGVGDAVLNELQALWEMKMLHCGAISGTIDRSKAAPAPSAGTPGAGTTPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPGTDAGMYNIPTGPSDYAPSPISDVRNGMAMNGADPKTGRPSPYMPPPSPWMTQRPLGVDVNVAYVENREDPDRTGQPPQLTKDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQIVEFVVSKDNAQQLWSSIVNKQGTATKESSTKETIIAPTIPQRDGMDDYNDPFYFQGVPTEDYNTPGESSEYRAPTPAVGTPKPRNDVGDDDEPPLNEDDDDDDELDDLEQGEDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKATGEFDF >ONIVA05G10440.1 pep chromosome:AWHD00000000:5:10734782:10735207:1 gene:ONIVA05G10440 transcript:ONIVA05G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGAHVPVAAGASSAASAGVVGNHRWELRHSGGAVQRRRAPRVPRRRGGALEHRRRAHWPGRCSTHPPVCRQLPPPLQPKPPWRHDGARGRPLQTTQAASQGVTL >ONIVA05G10430.1 pep chromosome:AWHD00000000:5:10724361:10730124:-1 gene:ONIVA05G10430 transcript:ONIVA05G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYRLPSHSPQSKHANAIPHASARPTHLHTFLIPRRPCPPPVRPPDTPAMPPALTSNPPSFRPLSTPLTRRRAATTFLCRVGPGKPSKDTGADDEPKKRSFFADFGKLSDGRSLIPAFPPAAAGSLFAGGRGRKDPQTVFVAGATGQAGVRIAQTLLRQGFAVRAGVPDLASAQELARLASAYRLISPTEARRLNAVESDFEDPEAIAKSIGPATKVVVTVGAAEKGPDGGVVTTDEALRVVQAADLAGVTHVVVVYDLGAGDPSGESTYNVLDGFTSFFSNLFSRVQSLPLNEFLAKVVETDVRYTLIKTSLTDDYSPESTYGLVLAKEGASSTTSSTETGKVSKLQIAGLVADVFSNVAVAENKVVQVSTSSSVTSKTIEEAFSAIPEDSRRKEYQDAVAKAQAEEETRASQRTRQAEEDTTTVKAGGKKTPSDEAAASEAQASLENLLSRAKGFGTDFSWEKLSTQLAAVATQDSDEVEPKAQIATVRGQAKAKKLAPQRAVVKPAAQKTRPTPKQPESKPDVRPVFGGLFKQETIFVDED >ONIVA05G10420.1 pep chromosome:AWHD00000000:5:10716188:10722447:1 gene:ONIVA05G10420 transcript:ONIVA05G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTFRIASRNGLFLLIIGTWQQQISRLQKLIDSGDAHVTRFLWGHPAARVHAGGAKHMPKLQCATSIDRTRCQADGRLSFREVLNLAGESYCHRRNQARGCSVENYCIEILRKASYWLYGIGVGVTIRAQQEVSCCFINDGLIHKHGYTGRSCVPLEGLVKSQELIALHRNLILCMFFPKKPYEVFLEFGGYGQSDILIRKSKARVMKPSFTVVRDKSTKSFILFIRGATSVKDCLTTATAAERLGGLQTRPFPVSAEQLSNFQTTELRFMVSSQRWIQQPQ >ONIVA05G10410.1 pep chromosome:AWHD00000000:5:10682645:10683139:-1 gene:ONIVA05G10410 transcript:ONIVA05G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMEITGLLLLNRRASSSLSRCRALLAAPNGSIRTASTASSDEGGDGGGGEAGGEVGGGYSESPVTGVAGEGPHLGAHEVVGLRGGAQRWPSSLLVSHLVAGDKAEALLAGCDGEPPSRGGGRHGRRKEGRGSNRRRKGGHGQGCADGGEIEGKKMVGPTDS >ONIVA05G10400.1 pep chromosome:AWHD00000000:5:10623837:10624079:-1 gene:ONIVA05G10400 transcript:ONIVA05G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWLRPSKRACFLARIRVIASSTVTASAYSASGVTVSPLRAAAANSGAALRHINRTTTISVFTAASSARVATNTAHRD >ONIVA05G10390.1 pep chromosome:AWHD00000000:5:10621555:10626103:1 gene:ONIVA05G10390 transcript:ONIVA05G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALYLARGASKVVRRITLETSVELKILTEKWQLLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTFIFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIVTFYSTQLPGPNYHCREGSALARLPHPQNVAEVLLINFPRGVIYGCAGSTSVLPVSIKEKDSKLKEDKTRMLNGNSVDSADWRPWTQMNGKHIENGNHLDTETTKT >ONIVA05G10390.2 pep chromosome:AWHD00000000:5:10621495:10626103:1 gene:ONIVA05G10390 transcript:ONIVA05G10390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALYLARGASKVVRRITLETSVELKILTEKWQLLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTFIFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIVTFYSTQLPGPNYHCREGSALARLPHPQNVAEVLLINFPRGVIYGCAGSTSVLPVSIKEKDSKLKEDKTRMLNGNSVDSADWRPWTQMNGKHIENGNHLDTETTKT >ONIVA05G10380.1 pep chromosome:AWHD00000000:5:10616878:10618486:1 gene:ONIVA05G10380 transcript:ONIVA05G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEPSRSRLPLLHHFSLLASLCSARWRDDSRGFLWYLAPRPPRRGPLAIVVYAHDETAMSLEQEDWEINGIDRSGLDAQVAEVFTPVTVDKVRAYVVCCRDHGPTVRAHSGGHDYEGLSYRSLRPSSDSEGSSTFRRRCGRVVAAGVAPTPPVSSPPGGCAAMS >ONIVA05G10380.2 pep chromosome:AWHD00000000:5:10616878:10617990:1 gene:ONIVA05G10380 transcript:ONIVA05G10380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEPSRSRLPLLHHFSLLASLCSARWRDDSRGFLWYLAPRPPRRGPLAIVVYAHDETAMSLEQEDWEINGIDRSGLDAQVAEAYSANLVQIASPRTAHPVLVFTPVTVDKVRAYVVCCRDHGPTVRAHSGGHDYEGLSYRSLRPSSDSEGSSTFRRRCGRVVAAGVAPTPPVSSPPGGCAAMS >ONIVA05G10370.1 pep chromosome:AWHD00000000:5:10598971:10601082:1 gene:ONIVA05G10370 transcript:ONIVA05G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVISSGSDLLFLVDGGSHHPSSPECCPVHFSPFFGSRPFILVVDFSRFNFHLSSKSVAIALQACLGGSPHGFRVKHLKNHCYSFLVCNKNIGLYVNSIRDFTCKDFHVRFFLWGNGGPNWKREYMLWQKEEADCWTLVTRKKSSVKSGTKNAVWVAKGKSHIDKPSVFKRLFHANVDPFVRQSFQFIGSSSGTSSQSLLISKKFVLHDIGPASLHVDTLSKINVVNDNLSPRIDPSLPSGGNVTQSVVSLCNTNNGFSNLDFDSSCLLRSHDEYAAVVIEPQPPAHLVGQLIQEVTNIITNQHHKHVVRVQRYPLALCIVQLPSVLERDILMDRVLGRVLVKARYKSASDVPSRIVLGDTMAYGGTRQTWTFHVYILNGEPPDMFPEDEDLLPIWQMLPPPNQQNQNHHEQNMHNANDADVPINPDIGNEGQDDNHMILDQNQNQGNQAHDSVSVEDFTMEISAGSSNRMEI >ONIVA05G10360.1 pep chromosome:AWHD00000000:5:10510783:10511415:1 gene:ONIVA05G10360 transcript:ONIVA05G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCGCIIGGREAEQLRAQWQRATAGAVSTDPAWHGCGRCDNGRLWAGGAAIPPAPLSGGGRGVGRSSMARLGHGGERRLRVGGEEAAHPATAPHPTGADPATLGRIRRGCGQHDGGSGMDLARVTAGGRRGDSGSGSRYAPRRTARPPLWRGRTPMDMEEQRRPPSRCAAVDVRSKHQQKFIDCDVRIRSI >ONIVA05G10350.1 pep chromosome:AWHD00000000:5:10484166:10485219:-1 gene:ONIVA05G10350 transcript:ONIVA05G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRARFGRLWWRRWWLKSEGERKGEVGEWICLIEAKLVAQGFGLGCFRDGDRSMADDGRHGRRERAWLMCVEGEEELAGYL >ONIVA05G10340.1 pep chromosome:AWHD00000000:5:10478672:10479070:1 gene:ONIVA05G10340 transcript:ONIVA05G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWPGVARGGWPWQAAAVVRYDGGRRLWRTATRLKDREAPRDYVVAYPAFFLGGGGPRWRGDELPRRSAAAMVAAALRSRGHKRIYGAPMSLMARGIERKLTEATARWCGLSGGVLFGRRGARRWRGRSR >ONIVA05G10330.1 pep chromosome:AWHD00000000:5:10417583:10419958:1 gene:ONIVA05G10330 transcript:ONIVA05G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLQTWEEEGKEKKGKEGSGGNRGEDGNVLAVTYLHEGMGLATLSHDMISLMASQAYVVFWGVIVAAAVRAILIIVLLIPRRRRLRVTRRRHRCVPSSLSSSSSPLVGATRCVRAILVIVLLIPPCWRRPQPQRPPRRSASSCTLLRRPLPHAAADPFERERKSEREKREEDRMRR >ONIVA05G10320.1 pep chromosome:AWHD00000000:5:10408668:10413574:-1 gene:ONIVA05G10320 transcript:ONIVA05G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRGCLLLSSLLWMLFTPCYAAADTRSKGRPWVPNPAATASLLADLVNRSSVQALAVSMPDGVPQRVVSYVELTGGGSSSSAAMGGDTQEAKELMFAAVPHLHRSDFGIHFVRYYAYWFIIGCILRNLEGNLPTANKISHETLMGCAKYTTMQPQAILIVKLASLCRSTTFLHTKTFTLDFGLLWFKAFRLLAEWSSLVTLHLPLLYILAFSSSNSLGCIKCCPFFLHMYAHFSCVARQYSLLYSIPPISTPQNYYSVKL >ONIVA05G10310.1 pep chromosome:AWHD00000000:5:10365630:10366175:1 gene:ONIVA05G10310 transcript:ONIVA05G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAHGHLVLLVAAVALLSAGFLPHAVAKKGGAVPSAVADICSRTPFPDTCKSTAGRHASKYPVIDVVAVLNMQVDAFSKRTAHARERVTKVSRGAQPQQTQALSFCDTMYMNTQDTIGAAERAITFRDKATAKIMLQLAVQDFESCDRPFKQSGINNPLEKIDVELNQMAQNCMTLASMI >ONIVA05G10300.1 pep chromosome:AWHD00000000:5:10289298:10289606:1 gene:ONIVA05G10300 transcript:ONIVA05G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPRKLAIVGGRTDEGNGGGGPRCCSSSSSSRLPARSPTARESLDHISVNCIGVGGMSGCRSVHSRHSTPTRRVRLLVPAQPRLFLDSPDWRRSAAGRE >ONIVA05G10290.1 pep chromosome:AWHD00000000:5:10287245:10291410:-1 gene:ONIVA05G10290 transcript:ONIVA05G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSFHQKEICYCPKNDVSGQKIMASFQKKHGSGPLHSFIETAYSDHSKGKSSSIPVIVKPQPPVHLIDQLIQEVANIITHQHQMQVVRIQRCSALCIVQLSSTLARDVLVGGELVLLGKWFHARFVNHDQLANWRNSPYTREGWLMILGIPLHLKTRPIIERLLICVGNSLTGTTVTESSVGYKSVNDIPAKLVMGDASAYGGLGQTWTFHVYVLNGTPADVLPGDEDLLPIWQMLPAPHHNQANQQDGIWGNMDAQGNDQNEEEQQGENHMVVDILDHEPQDSVFRRFLESTWLLQQQQHLGWQIVPYGLPIPALQINKLPEFFCLAVAQGVFSPLLLALQPPLCSPISVIPRWSLIQTTWEVKMNLVFFQELGLSPSPAKRQKISSAGKNVARTLLFSDEPSSLQNEFQATPKPRKQRQKGPTSTDNLRRSPRFMGQDKLNLAFDIPKKKSNVLPVKSLLPAPGKGLPPPIPVAHLQKIGVEKCGLLPEEVAEAKLLKANK >ONIVA05G10280.1 pep chromosome:AWHD00000000:5:10266211:10266687:1 gene:ONIVA05G10280 transcript:ONIVA05G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGERGINDGAQRLDLEKNSSISLLGAQILGPRGEDVGPTARPDSRHSSRDSDEVVVTALRARFGAPVGMMAFPMIRCMREGEERGGYDGNRTSTTNGNASFPVADDGEEGEEDEMELSALVPCCGVKTDGRRRC >ONIVA05G10270.1 pep chromosome:AWHD00000000:5:10250045:10251643:-1 gene:ONIVA05G10270 transcript:ONIVA05G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVEHAKLKQKYFDGVPANQVRTTSPCSSMTDEQWRKLVDMWSNPKHKEKCAKLKQNRENVKFHQCTGSRSYIAAAYIAKQEKYKDTELTAIDLFKLTHCSKTKGFSDDAKKAADDKEAILRRPVHEGEQEMTCIDIVAQVLTKSSTFLRNVGLQQPIAAPKSISPQMQELQAQLEAETEESAGLRQKAEESEAKAQKQDEEIENLKKAITDTQKSAADTQNLI >ONIVA05G10260.1 pep chromosome:AWHD00000000:5:10107651:10110260:-1 gene:ONIVA05G10260 transcript:ONIVA05G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHVLIAALIMVGVLEFANADKYKPTESILVNCGSDKEGQDIDGRKWLSDKDSKWLIDGEKSSIMANADFQDPSLPSPVPYMTARVFTKETMYNFSVGEERHWVRLHFYPASYHDLPAENFFFSVSTSTGITLLKNFSVYITAKALSQAYIIREFTLPPSTTGSLSLIFTPTAMNNASYAFVNGIEIISMPNIFSQAAASVDIAGNEVSTTDSSLQTIYRLNVGGSYVAPTNDSGLSRDWYDDTPYIYGAAVGVTYQANDTVQIKYPKNDPDAEYAAPASVYLTSRSMGPDPKVNKNYKLTWVFEVDGNFTYIVRLHFCELLLSKPNQRVFDILINNKTAQSGADVIGWGGQFVPVYKDYATIMPGGAGDKVLWVQLMPNVGSGSEFFDSLLNGLEIFKMSDSSGNLAGPNPDPSKLLEEAESSAQGKFKSKPSNLKATVIGGAAGGAAAFGIVAAICIVVYQSKKRKVLNNSASHSSGWLPVYGGNSHTSTSKSSGGRSAALINPNITAMCRHFSFGEIKSATKNFDESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVLEFQTEIEMLSKLRHKHLVSLIGCCEDEGEMILVYDYMAHGTLREHLYKGGKPALSWKQRLEITIGAARGLHYLHTGAKYTIIHRDVKTTNILVDEKWVAKVSDFGLSKTGPTAMNQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPREQVSLADHAMSCQRKGTLHDIIDPLLNGKIAPDCLKKFAETAEKCLADHGVDRPSMGDVLWNLEFALQMQETFENGGKTEGADRTSDSTTTSVADSMAANAAALSLISEDMDEEDIANSVVFSQLVRPTGR >ONIVA05G10250.1 pep chromosome:AWHD00000000:5:10059319:10060089:-1 gene:ONIVA05G10250 transcript:ONIVA05G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTQSEPNDGVQAPHRPELLMAARHGDRDRLKRLLGTVSPPVALPVGEVVLHVEDVETVGVGVDDDDDAEPTAAVVTSAEAVTVALDSVLHVVASSGDEPAFLESATAVHARASHLLDAGNGKGDTPLHCAARAGMVRMVSHLLDLARRGGGGGVAGDAVARAFARRRNSKGETALHEAVRLGSKAMVEALMSADPELARVVAADGGSPLYLAVSLGRRDNIARLLHEHDRGLSYAGPDGNNALHAAVQKGKGN >ONIVA05G10240.1 pep chromosome:AWHD00000000:5:10028524:10030209:-1 gene:ONIVA05G10240 transcript:ONIVA05G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFDNVHRWLRELRDHADSSIVIMMVGNKSDLIHLRAVSEDEGKALAEKEGLFFLETSAMEAVNVEEAFQTIITEVYGIVNRKALAAKEAAAASAPLPSQGKTISIDSAAGNTKRACCSA >ONIVA05G10230.1 pep chromosome:AWHD00000000:5:10012805:10013596:1 gene:ONIVA05G10230 transcript:ONIVA05G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF617 [Source:Projected from Arabidopsis thaliana (AT2G37880) TAIR;Acc:AT2G37880] MKKKTAASKYLCFASFTICIPSAKQPSGGDAKNRLSFSFPESINGGKDRRCQQHTEEEHKSESIIDPAASVVTRTDGKHCTIIVGTIFGRRSGHVTFCVQRDAAMPPPFLFELSVPMLSLAAEMGSGLLRIALECHHSSGKVVVGAADGDTINNAGTGGGGSRSVWKASCNGRDVGYAVRRRPTDQDCRVLESMRMTTTGVGVLPSTGFSEDGGGGDVLYMRATYERVVGSKDAVSYHLITPGTASGSPQQELSVFLLRTRGD >ONIVA05G10220.1 pep chromosome:AWHD00000000:5:10001533:10005116:1 gene:ONIVA05G10220 transcript:ONIVA05G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPSRLGLPRLLMVVALVLLALCGFGVHGRNHIHKKPHGGGGGGGRQHRGGGTVVSSPAVPPADEQTQPPGIVPSDPAIPAQPEQCVFDVRAFGAVGDGTTDDTEAFRAAWRAACAVESAVISVPSDGTFTITTTTFTGPCKPGLVFQVDGVLMPPDGPDCWPPSDNRRQWLVFSNLDGLTLRGAGTIEGNGEGWWNLPCKPHRGPNGSTLRGPCDSPTLVRFFMSRNLVVEGLRVENSPEFHFRFDGCSDVRVDGLSIRSPANSPNTDGIHVENTQRVAIYNSMISNGDDCISIGTGSYDVDIQNVSCGPGHGISIGSLGVHNSQACVANVTVRNAVIRNSDNGLRIKTWQGGMGSVSGINFDTVSMENVRNCIIIDQYYCLDKRCMNQSTAVHVTDVSYANVRGSYDVRAAPIHFACSDTVPCTNITMSEVELLPFSGELVDDPFCWSAYGLQQTPTIPPIYCLQDGLPDSLLDNPDLRCR >ONIVA05G10210.1 pep chromosome:AWHD00000000:5:9983190:9986007:-1 gene:ONIVA05G10210 transcript:ONIVA05G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPCEFPTAVLSFRRFLQRRFLGGRHHHRPTSTTATEYIGVNAVIGREVIAVAGRYVGLLVDHIDMDDGGFVDEVMEETKRGKGDGAVGLAGVGSKMHHLFSRYCKETYVVCDADKKEWQPVPREKYPKPLIFHDGRLAFKLTPCAAVAMYTYLPWGIFLAVFRSLAFGLLPYRVSVPLAAFTGMRSRLIAGPSPDATRRNSGTAGGRLYVCNHRTLLDPITVAAVLNKPITAVTYSVSPVSELIAPIRTARLTRDRDEDRRRMEALLARGDLVVCPEGTTCREPYLLRFSPLFAELTGEVTPVALETRIDMFYGTSTKPAAKWLDPFYFMLNSRPEYHVEFLQPVSTAPVDGEAGGHGHSINAANRVQRVLGEALAFELTEQTRKDKYEMLAGNKGNVKGEAKM >ONIVA05G10210.2 pep chromosome:AWHD00000000:5:9983190:9985524:-1 gene:ONIVA05G10210 transcript:ONIVA05G10210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGFLKEYIGVNAVIGREVIAVAGRYVGLLVDHIDMDDGGFVDEVMEETKRGKGDGAVGLAGVGSKMHHLFSRYCKETYVVCDADKKEWQPVPREKYPKPLIFHDGRLAFKLTPCAAVAMYTYLPWGIFLAVFRSLAFGLLPYRVSVPLAAFTGMRSRLIAGPSPDATRRNSGTAGGRLYVCNHRTLLDPITVAAVLNKPITAVTYSVSPVSELIAPIRTARLTRDRDEDRRRMEALLARGDLVVCPEGTTCREPYLLRFSPLFAELTGEVTPVALETRIDMFYGTSTKPAAKWLDPFYFMLNSRPEYHVEFLQPVSTAPVDGEAGGHGHSINAANRVQRVLGEALAFELTEQTRKDKYEMLAGNKGNVKGEAKM >ONIVA05G10200.1 pep chromosome:AWHD00000000:5:9975526:9976744:1 gene:ONIVA05G10200 transcript:ONIVA05G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTGAVDGGGRRWLRRRHCFGPRCRTMDSTLTSKERCSPGSIATISGCSTSATLIEQASPTFAHRALCGWPQRIGWSSLVMEMWEAGSK >ONIVA05G10190.1 pep chromosome:AWHD00000000:5:9963786:9974032:1 gene:ONIVA05G10190 transcript:ONIVA05G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30900) TAIR;Acc:AT4G30900] MTSLSITVMTLNLHEGEQPSESPNSWERRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSEDNTDEYCTIFYEKEKVELTEGEPPGFSFQIVNTNLDEDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGEKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETP >ONIVA05G10190.2 pep chromosome:AWHD00000000:5:9967983:9974032:1 gene:ONIVA05G10190 transcript:ONIVA05G10190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30900) TAIR;Acc:AT4G30900] MASSGGYEQFGISRKGSEDNTDEYCTIFYEKEKVELTEGEPPGFSFQIVNTNLDEDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGEKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETP >ONIVA05G10190.3 pep chromosome:AWHD00000000:5:9963747:9966824:1 gene:ONIVA05G10190 transcript:ONIVA05G10190.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30900) TAIR;Acc:AT4G30900] MGKKKQRGERERERAVGPSKPNLLWSVVTPTGTSPPFPSFLPIVTKFRQIAGGLLRRPPTGTAQPRAPATAARLLPPSESPIPQPLPQRKAL >ONIVA05G10180.1 pep chromosome:AWHD00000000:5:9949731:9955569:1 gene:ONIVA05G10180 transcript:ONIVA05G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADALEWVAYGACGHREVCSTCVVRLRFVMGDKHCCICKTECSSVFVTKAMGDYTKVINDFNIFPPVATEGKVGEYWYHEDSQAFFDDAEHYKMIRAMCRLSCSVCDKAEDQAGQAAQVRRKSKFRSIEQLKGHLFHQHRLYMCSLCLEGRKVFICEQKLYTRTQLNQHVKTGDSEVDGSEVERSGFAGHPMCEFCRSSFYGDNELYTHMSREHYSCHICQRQHPGQYDYFRNYDDLELHFRKDHFLCEDEACLAKKFRHNAMEHGGRMSRAQRNAALQIPTSFIYRRNEQDERRGRGRGRNSHRDGSDQDTPSMQNGSAIIGNGFPSRVDNVTGSVSVSSSSGRGESGQSSGNGRVFEHLSFPPLQDQDIPDARMDSAPDETSFPSLSEQQTRYAHALSQSSLAAKLGDESLFPPLPGSSSNRGSTSTQQGLQSLAKNTLASRLQQRSKGSVKVLHSARPRPSENPESVPPVSSSPQMWPTPDQGLLHSSSSQLRIVRENGIMSSADSAWNPGGGASNRMKHSVSTPNLMSGGSSVQALSTSNGGNKKQQPPQSSQTLPAADDVRAANKTLVERMRSALGMDEDRYSAFKEIAGEYRQGIIGTSEYLSYVEQFGLSHLVPEMARLLPDPQKQKELADAYHTNIRFRSLQENSDGLTITSKEGGRKKKGKGKSHDVTETSAAPAKDMKDSLADSFLDTVRKLQLNKTQEGEAEVLSRDGYRSSKGKAQLITGGSSSSTPCLDGDHGAISMASCAKDDVGKGGGSSNSNINKQSKKTSKFLRARLGDNSLATLDLSRPTMSPERPERESQGPQVGLPMRGVWKNGGGQKLFTGNGRK >ONIVA05G10170.1 pep chromosome:AWHD00000000:5:9937095:9945674:-1 gene:ONIVA05G10170 transcript:ONIVA05G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT3G06950) TAIR;Acc:AT3G06950] MLGLAPLRFVSPPPRGAAAVSAAAIGGHSISLGDGCHCAVPPPGEKDADKARAETTEGASYKWRMVIAYDGTKFKGWQYQPSPPTIQCSIENALTRITKLDRKELCLVGAGRTDTGVHAWGQVAHFTTPFSYHCLDSMHSAINGLLPHEIRVREISAAKPEFHARTSTRSKIYHYKIYNGPVMDPFHNHYAYHSVYKLNSQAMREAAKHFVGTHDFTSFANAVHNDRVRSPIKKIMRFDVIEMGAILQLEIEGTGFLYRQVRNMVALLLQVGREALPPDIVAKIIAARDRKELAKVALSAPPHGLYLMSVNYDKEMLQPPEGAPHISFGRTHQISKCKLLFY >ONIVA05G10170.2 pep chromosome:AWHD00000000:5:9937095:9945674:-1 gene:ONIVA05G10170 transcript:ONIVA05G10170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT3G06950) TAIR;Acc:AT3G06950] MLGLAPLRFVSPPPRGAAAVSAAAIGGHSISVKCPSPSTLPSLHPDLTLRGSQLGDGCHCAVPPPGEKDADKARAETTEGASYKWRMVIAYDGTKFKGWQYQPSPPTIQCSIENALTRITKLDRKELCLVGAGRTDTGVAHFTTPFSYHCLDSMHSAINGLLPHEIRVREISAAKPEFHARTSTRSKIYHYKIYNGPVMDPFHNHYAYHSVYKLNSQAMREAAKHFVGTHDFTSFANAVHNDRVRSPIKKIMRFDVIEMGAILQLEIEGTGFLYRQVRNMVALLLQVGREALPPDIVAKIIAARDRKELAKVALSAPPHGLYLMSVNYDKEMLQPPEGAPHISFGRTHQISKCKLLFY >ONIVA05G10170.3 pep chromosome:AWHD00000000:5:9937095:9945674:-1 gene:ONIVA05G10170 transcript:ONIVA05G10170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT3G06950) TAIR;Acc:AT3G06950] MLGLAPLRFVSPPPRGAAAVSAAAIGGHSISVKCPSPSTLPSLHPDLTLRGSQLGDGCHCAVPPPGEKDADKARAETTEGASYKWRMVIAYDGTKFKGWQYQPSPPTIQCSIENALTRITKLDRKELCLVGAGRTDTGVHAWGQVAHFTTPFSYHCLDSMHSAINGLLPHEIRVREISAAKPEFHARTSTRSKIYHYKIYNGPVMDPFHNHYAYHSVYKLNSQAMREAAKHFVGTHDFTSFANAVHNDRVRSPIKKIMRFDVIEMGAILQLEIEGTGFLYRQVRNMVALLLQVGREALPPDIVAKIIAARDRKELAKVALSAPPHGLYLMSVNYDKEMLQPPEGAPHISFGRTHQISKCKLLFY >ONIVA05G10160.1 pep chromosome:AWHD00000000:5:9924459:9932977:-1 gene:ONIVA05G10160 transcript:ONIVA05G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSIANSAEDADDAREESHIGDESWGEGMCGAHDWLACTRALHVSMGVGMGSMSMGWAGRGYRRMRSSAPDLPSLILHNHQEPLARGRSDIFQVTLMEIISKIYDGVQARAAHREESEGKFKGILGKLHRGGPYSQRLPGLFAQESIAPSRPSPAH >ONIVA05G10160.2 pep chromosome:AWHD00000000:5:9924459:9932977:-1 gene:ONIVA05G10160 transcript:ONIVA05G10160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGMGSMSMGWAGRGYRRMRSSAPDLPSLILHNHQEPLARGRSDIFQVTLMEIISKIYDGVQARAAHREESEGKFKGILGKLHRGGPYSQRLPGLFAQESIAPSRPSPAH >ONIVA05G10150.1 pep chromosome:AWHD00000000:5:9918362:9918580:1 gene:ONIVA05G10150 transcript:ONIVA05G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSVVCSGAWGGSASNTGGSSGDDGVGLGRGRSTDENLDNSGSGGGDLGNSGSSMREKMMAELALGRPWR >ONIVA05G10140.1 pep chromosome:AWHD00000000:5:9907423:9912670:-1 gene:ONIVA05G10140 transcript:ONIVA05G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTVMRKSRNFVGPSPPAPPAEITTTLELSSIDRVPGLRHNVRSLHVFRRHKNSGPVVDGDSRRPAAVIRAALARALADYPAFAGRFVGSLLAGDACVACTGEGAWFVEAAADCSLDDVNGLEYPLMISEEELLPAPEDGVDPTSIPVMMQVTEFTCGGFILGLVAVHTLADGLGAAQFITAVAELARGMDKLRVAPVWDRSLIPNPPKLPPGPPPSFQSFGFQHFSTDVTSDRIAHVKAEYFQTFGQYCSTFDVATAKVWQARTRAVGYKPEIQVHVCFFANTRHLLTQVLPKDGGYYGNCFYPVTVTAIAEDVATKELLDVIKIIRDGKARLPMEFAKWASGDVKVDPYALTFEHNVLFVSDWTRLGFFEVDYGWGTPNHIIPFTYADYMAVAVLGAPPMPKKGTRIMTQCVEDKCIKEFQDEMKAFI >ONIVA05G10130.1 pep chromosome:AWHD00000000:5:9895121:9898355:1 gene:ONIVA05G10130 transcript:ONIVA05G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDETTAAAPATTALSGHGRTVCVTGAGGFIASWLVKRLLEKGYTVRGTVRNPMDPKNDHLRALDGAGERLVLLRADLLEPDSLVAAFTGCEGVFHAASPVTDDPEKMIEPAIRGTRYVITAAADTGIKRVVFTSSIGTVYMNPYRDPNKPVDDTCWSDLEYCKRTENWYCYAKTVAEQGAWEVARRRGVDLVVVNPVLVLGPLLQATVNASTEHVMKYLTGSAKTYVNAAQAYVHVRDVAEAHVRVYECGGARGRYICAESTLHRGDLCRALAKLFPEYPVPTRCKDEAAPPVKGYLFSNQRLRDLGMDFVPVRQCLYETVRSLQDKGLLPVLPPTADDHHHPSS >ONIVA05G10120.1 pep chromosome:AWHD00000000:5:9865593:9868771:-1 gene:ONIVA05G10120 transcript:ONIVA05G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFRAETSAISLLYISSYLQLPLQGKGGAHGISACNLFNGMSSQYEVSKEEILLVMNEKVTKEETLHLIHGLRDAKRRIDEKLDRLLEMFGVKVDGEAMGLRNSMPLLRSSTPTQRLQYLHHPSVRRFAPTTTPCLTVATVVACATASVSSMESVVSEDAIFDPYIGISNHPKETHAKCSTVVLNSNNGIVQGVFPLLLSTLDIVSAPGKSTPVMALKTSMEDVKNCLGPHPPIIKSRASRCELQSAPWPTNRTGTKVQLMSPWPHPKQMDVIVSNIDLQPMPWPSFNFYLIKGHQDFFDAIGESYHLLAIKELWNYSLLGILLCTRISTKSLQLEIASMLMELVWFQEGEQKWIGVHVFSFVYPEGSLLLVNFMQDVSKNGIISYDIEGIAECTQIRYFASLLLDIAILPTEIMLTVRHWAVHLMLPWPPPHENSIVLLIDVHAFAKLGIANMVEAKEEMKLFVAKLYVLDLSCATPSIGHFGNHGPFQLLVCKIWPQFLLWKIWSSKAEIKLLIVGHPKQYIEDTILVLVEVSLYDLGGNCSFFEAERGVLTGNKHFYGEQLEFLSDKLELRKKHMLKIIDACYESWSTRKWIDVTRKWLHWMLGGGEHASNFSWYLCWTLKVDIKLKKLLQGANKISSKLKNGRDIREAFEQ >ONIVA05G10110.1 pep chromosome:AWHD00000000:5:9864021:9864386:1 gene:ONIVA05G10110 transcript:ONIVA05G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGTEEWRRNTDTHKMSAEEVRAAGVEASMRPPGRGHGPGEVLHQRGRMPYGPGTMALAGLGIFGVLGYLVLYHKARPGTPATEVAKVAVGHGDPVAGRDAHKTPDDAAAAAAAARQGK >ONIVA05G10100.1 pep chromosome:AWHD00000000:5:9852663:9859162:1 gene:ONIVA05G10100 transcript:ONIVA05G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSTDRVRNPDLPTFVRLRPGHPTPKGQGHTIVLSPNLLPAPDQIHRPLSLSLSLLRSPQRLGVSPAAAAAAAAGGRLAKMLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDNGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEYSTQKEVQLAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRTDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELQAPAVKYVVWSSDMESIALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRAIGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKIAGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITAATHGLTEIADRLAAELGENIPSLPEGKARSLLIPPAPLTASGDWPLLRVMRGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASEAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNASNALSVVFVAPPPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHSYLRALATAPIIPVAVEKGWSESASPNVRGPPALVFTFSQMEDRLKAAYKATTEGKFPEALRQFLNILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNESQAKRARQVQQQCSGKKDSCELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVGADASGLLCSPTQLR >ONIVA05G10100.2 pep chromosome:AWHD00000000:5:9852663:9859162:1 gene:ONIVA05G10100 transcript:ONIVA05G10100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSTDRVRNPDLPTFVRLRPGHPTPKGQGHTIVLSPNLLPAPDQIHRPLSLSLSLLRSPQRLGVSPAAAAAAAAGGRLAKMLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDNGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEYSTQKEVQLAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRTDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELQAPAVKYVVWSSDMESIALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRAIGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKIAGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITAATHGLTEIADRLAAELGENIPSLPEGKARSLLIPPAPLTASGDWPLLRVMRGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASEAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNASNALSVVFVAPPPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHSYLRALATAPIIPVAVEKGWSESASPNVRGPPALVFTFSQMEDRLKAAYKATTEGKFPEALRQFLNILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNESQAKRARQVQQQCSGKKDSCELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVGADASGLLCSPTQLR >ONIVA05G10090.1 pep chromosome:AWHD00000000:5:9848207:9849819:1 gene:ONIVA05G10090 transcript:ONIVA05G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASLPANARRKPRACRRRCGGRWGHCSRRGVEAGRVRPRGEAGGAAAARQRRGDVPAWGGRRRPAGQAGSCVAAAGGRRLASNQVELPAGRGSREQADFYISQAGAAAAAGARAGRREGQAGARAAGRGGPAGEAAARGKAADFFF >ONIVA05G10090.2 pep chromosome:AWHD00000000:5:9848207:9849819:1 gene:ONIVA05G10090 transcript:ONIVA05G10090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASLPANARRKPRQAGAAAAAGARAGRREGQAGARAAGRGGPAGEAAARGKAADFFF >ONIVA05G10080.1 pep chromosome:AWHD00000000:5:9782422:9782715:-1 gene:ONIVA05G10080 transcript:ONIVA05G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATIGQATEAKTKMPPTLSFLSSGWDEAATNEGIGSGGRRWMLPPLHALSNASDRGCAWRERGNRHGSHGWLAGFGWPSLASKGGSLASRIAWPFK >ONIVA05G10070.1 pep chromosome:AWHD00000000:5:9780621:9781142:1 gene:ONIVA05G10070 transcript:ONIVA05G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEHEAWVAPPSAKFLSPCHDRPPPTAGRSPPPLLPFLFPFTIGFASPRRVHFSPFPSLFTSESGHHRHHHSQPELSSLCCRSGHLLLPLCLTPPSPDARRPGTSSATNVAHPRPPEHRRPTLSLSSVTRLEGEAK >ONIVA05G10060.1 pep chromosome:AWHD00000000:5:9776901:9778441:1 gene:ONIVA05G10060 transcript:ONIVA05G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSLPCAVVAVLLLALLPTPSTAGDPDLLQDICVADLTSAVKVNGFACKAAVTEDDFYFKGLAAAGNTNNTYGSVVTGANVEKLPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLQGTLDVGFITTANKLYTKTISAGDVFVFPRGLLHFQKNNGDTPAAVISAFNSQLPGTQSLAMTLFAASPEVPDGVLTKAFQVGTKEVEKIKSRLAPKKR >ONIVA05G10050.1 pep chromosome:AWHD00000000:5:9755977:9757018:-1 gene:ONIVA05G10050 transcript:ONIVA05G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGQAIGPIGLETLDPNFLFLQFSNPQSCDSIQPAEWERRRCLWSVELVVGLATFRAVREEGRGRAESLASEANYVICGDNMLSI >ONIVA05G10040.1 pep chromosome:AWHD00000000:5:9753012:9755800:-1 gene:ONIVA05G10040 transcript:ONIVA05G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 1 [Source:Projected from Arabidopsis thaliana (AT2G47020) TAIR;Acc:AT2G47020] MVRCFCHFPHAVSTSPPIGWKCPSPRLYSTNEMNQQLPANLVGVMEQRMKLIEQRSAYLQEQINQPDASPEEYSRANKELHKLESTMDMIEELRSKQEEIEGLKSLMTNSVEEKDFREMAAQELLQALEEEKQLQHKLFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKNGWKFDAIDIMESALKGYKEASGTISGPGAYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAILPQADEVVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYEIERHRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDIFIDALLLRYEMDAIASFAS >ONIVA05G10040.2 pep chromosome:AWHD00000000:5:9753012:9755800:-1 gene:ONIVA05G10040 transcript:ONIVA05G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 1 [Source:Projected from Arabidopsis thaliana (AT2G47020) TAIR;Acc:AT2G47020] MVRCFCHFPHAVSTSPPIGWKCPSPRLYSTNEMNQQLPANLVGVMEQRMKLIEQRSAYLQEQINQVIFGWATSVSSTVQFLLSCGHVELSNFRQPDASPEEYSRANKELHKLESTMDMIEELRSKQEEIEGLKSLMTNSVEEKDFREMAAQELLQALEEEKQLQHKLFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKNGWKFDAIDIMESALKGYKEASGTISGPGAYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAILPQADEVVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYEIERHRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDIFIDALLLRYEMDAIASFAS >ONIVA05G10040.3 pep chromosome:AWHD00000000:5:9753012:9755547:-1 gene:ONIVA05G10040 transcript:ONIVA05G10040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 1 [Source:Projected from Arabidopsis thaliana (AT2G47020) TAIR;Acc:AT2G47020] MNQQLPANLVGVMEQRMKLIEQRSAYLQEQINQPDASPEEYSRANKELHKLESTMDMIEELRSKQEEIEGLKSLMTNSVEEKDFREMAAQELLQALEEEKQLQHKLFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKNGWKFDAIDIMESALKGYKEASGTISGPGAYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAILPQADEVVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYEIERHRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDIFIDALLLRYEMDAIASFAS >ONIVA05G10030.1 pep chromosome:AWHD00000000:5:9750516:9751358:1 gene:ONIVA05G10030 transcript:ONIVA05G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPFRPSPSAGSIPRGCGGDRCASGRDAWPLHHVRHDGVFCRLCSSCVLLYHPAAFCSACLLLLPPASASAATAVQEPRLDPILSPPGPTAACSSCGLFVAHHSCVPDSASFVCPSCAAAAEGKPFSYTPAGGGRRALDERGARVLLVAARLAHDSVARAAAAAREDAERCVREAAVARKRSREMLDAAFRALEAEAREAKKPAAAPPPKKKIPKSSEANRDKLLKFNAMQQPALAFAAAAAAAASSMPLSIPSSREDKKPVKQEVQGEPTLNSIKMGS >ONIVA05G10020.1 pep chromosome:AWHD00000000:5:9731856:9732860:-1 gene:ONIVA05G10020 transcript:ONIVA05G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVVQVLLLCALAYQAVDAQWTPATATFYGGSDGAGTMGGACGYGNLYNAGYGLNNAALSSALFNDGAMCGACYTIACDTSQSTWCKPGTSITITATNLCPPNYAKKSDAGGWCNPPRKHFDMSQPAWTSIAIYQAGIVPVNFKRVPCQKSGGIRFTISGRDYFELVTVFNVGGSGVVAQVSIKGSKTDWMAMSRNWGQNWQSNAYLNTQSLSFKVKLDDAREVTVWNIAPSNWNFGTTYTSNINF >ONIVA05G10010.1 pep chromosome:AWHD00000000:5:9699465:9700873:-1 gene:ONIVA05G10010 transcript:ONIVA05G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPAMLLVLVTLCAFACKRSVAQSAFATFYGGKDGSGTMGGACGYGNLYNAGYGLYNAALSSALFNDGAMCGACYTITCDTSQTKWCKPGGNSITITATNLCPPNWALPSNSGGWCNPPRQHFDMSQPAWENIAVYQAGIVPVNYKRVPCQRSGGIRFAISGHDYFELVTVTNVGGSGVVAQMSIKGSNTGWMAMSRNWGANWQSNAYLAGQSLSFIVQLDDGRKVTAWNVAPSNWFFGATYSTSWVQF >ONIVA05G10000.1 pep chromosome:AWHD00000000:5:9661355:9664218:1 gene:ONIVA05G10000 transcript:ONIVA05G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLVFLLATTLPVFLGDRWQVAREGSRVGGRGTDRARATRTPHTSTHGTVRPAMAPEAAAAALKPMKATSDGVFQGEDPLEAALPLAIVQICIVVVLTRVLAFFLRPLRQPRVIAEIIGGIMLGPSAIGRNSAFINTVFPKQSLTVLDTLANIGLLFFLFLVGLELDLRAIRRTGAGALAIAVAGISLPFVLGIGTSVVLQNTVNGGVPTGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPFVSLWVLLSGVGFVLSSFFFIRPLLSWMARRSPEGEPVKELYICTTLTIVLAAGFITDTIGIHALFGAFIVGIIVPKEGPFAGVLLEKVEDLISGLFLPLYFVSSGLKTNVLTIKGGDSWGLLVLVVATACIGKIGGTVLASLIVRVPLREAVTLGVLMNTKGLVELIVLNIGKDRHVLNDETFAILVLMALINTFITTPLVMAIYKPARRAPPYKNRAVQRPNPDDELRMMVCFHSTRNIPTMINLMESSRGTRRRGITVYAMHLVELSERSSAINMVHKARRNGMPFWNRRRNGDGDQLVVAFETYQQLSHVSIRAMTAISDLHTIHEDVVTSAHQKRAALIVLPFHKLHQMDGHMESLGDEYQHINQRVLHHAPCSVGILVDRGLGGAAQVAASDVSYNIVVLFFGGRDDREALSYATRMVEHPGIALHVIRFVPESGGGGANDKAAADDAFLAEFRGKVAGGNDSIRYEERTSRGKADVVEAIKAMGPTNLFLVGQGSPCAPLMEPSAECPELGPVGSYLAMPDFSTVASVLVMKQYDPTAEHYELVEEVADTAVDIDTPGPRRG >ONIVA05G09990.1 pep chromosome:AWHD00000000:5:9645874:9649564:-1 gene:ONIVA05G09990 transcript:ONIVA05G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pex2/Pex12 N-terminal domain-containing protein / zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT1G79810) TAIR;Acc:AT1G79810] MADPETLPSPSASTDSSSPPPDAWAAEYRRLLPQWESMRDSSKIAIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLVKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPITGKEVRTGLEGPGLSVSQKVLYCISTVGGQYIWSRLQSFSAFRRWGDSEQRPLARRAWGLVQHAEGLYRASSFFNLLLFLYGARYKTIVERILKARLVYESPNMNRAVSFEYMNRQLVWNEFS >ONIVA05G09970.1 pep chromosome:AWHD00000000:5:9618446:9619405:-1 gene:ONIVA05G09970 transcript:ONIVA05G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMEVLSNVVASQDGHELEDIRKLWRLRKLGVVIEDKKAHLEKLLRVISDLKDRIQSLSIPIHTDRSEGTLSYEGLSLENNVRDRLEKNSKCLESLSINGVTRLEKHLSLLTKGGNELTKLTLTSILLNQDNMKNLAVLPNLHCVRLRYQAYTGDSLTFKKDEFQCLNCFLVDGLHMTEIIDFESGAALELEKIALSLNSINSLVGAGSLKNQKELELKGSEILPLPLLVEDGAAPEQRTEEDRMLTFKKMEFQHLKHLLVEASLMTKIIFKDGAAPKLKKITLSLDNIMSLDGVSNLPKLTELELEGHNNLILLSCF >ONIVA05G09960.1 pep chromosome:AWHD00000000:5:9600606:9601377:-1 gene:ONIVA05G09960 transcript:ONIVA05G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPTERLRSTLRRACEVIEFCQERSCAYRFCKSDHIAKELRKVLKALKFCVAHLTALTTIINGDQTTRYFLVQQTPDVVKLQDGVQVPALALPAQHFKYNDR >ONIVA05G09950.1 pep chromosome:AWHD00000000:5:9582842:9583699:-1 gene:ONIVA05G09950 transcript:ONIVA05G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSSVTTIVTLANDITGAVNTVKQNKKKCQRLAERVGDIGEVIKELGDGSSSSSPSTAAATRRLVSQLEGALGSALLLVRSCQASSRPYRLVAGGWHSEQFNEVNVEIDRCLRDLTVALISRIERKLNAVGDTDTKVAAADTSICQTPPATRCSHGHDDGTKDDDKDKMATGAAEKNGALICYGVEDSKSKTGAATAGEGTVGVPARTVCVHQLSPPPPSYGYYLRYCHFTDGRTGGHYQQRGGHCHCAAGHGHNYSSYPWYSDSVDIRHMFSDDNPNSCSIT >ONIVA05G09940.1 pep chromosome:AWHD00000000:5:9572745:9573953:-1 gene:ONIVA05G09940 transcript:ONIVA05G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGLMFGYDIGIAGGVTAMDDFLREFFPAVLEKKTRTREVKATSNYCKYDDQGLQLFTSSLYLAALVATLLASYTTRRLGRRLTMLVAGVLFTVGAILNGAARNLATLVAGRILLGCACQQPALSL >ONIVA05G09930.1 pep chromosome:AWHD00000000:5:9558082:9570772:-1 gene:ONIVA05G09930 transcript:ONIVA05G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVEAVPLYLSEVAPARIRGGLNILFQLNVTIGILFATLVNYGANKIHPWGWRLSLSLGSMPAALLILCTLFLVDTPNNLIERGRLEKGRAVLKKIRGTDNVEPEFNEIVEASRVAQEVKHPFRNLLRRRNQPQLVIAVLLQMFQQVSGINAVMFYAPVLFNTLGFKTETSLYSAVITGGVNVLSTLVSIYSVDRAGRRMLLLEGGVYMLLSHVAIAVVFRIKVTDSSDDLGHDWAVLVVVMVCTFVFSFAWSWGPLPWLIPSETFPLEALSVGQSVTVCVNMLFTFVFAQTFLSILCHLKYTIFAFFSVCVVVMSLFVLFFLPETKNVPIEEMTEKVWKQHWFWKRFIDDNNHHVVVNSGKSKMHY >ONIVA05G09920.1 pep chromosome:AWHD00000000:5:9556479:9557149:1 gene:ONIVA05G09920 transcript:ONIVA05G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLPSSRRRGGLARWGAAAGRRLRVAGAASADGWAARGRRLGGWEGRGRRRPGGWEAAVPRLLGAGCSTAGSSAGRRLGSVGPAAGRRLGAGRGEGLGWEGRRRRQGRRRRRGEGKGVGHLDATDSGTSATRLRSGKKWSGESAEELHGSSGCCRCLACSASGTERCLTSAEKTETVPTFTVYWQDGA >ONIVA05G09910.1 pep chromosome:AWHD00000000:5:9547246:9549832:-1 gene:ONIVA05G09910 transcript:ONIVA05G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVSKLLGVIHNEALLLGRVHGDFIKEEMESINSFLARLAKTATAGIAQDDDDEQLRTWMKQVRELAHDCSNCIDIYLRRGDPGHPAIHRARGSGLRRYLWWASWFVHRMVAQRSAAIQLRDLKERARDVSERRSRYDVKVPEKEAAGGPASTPALAAEDEDDDQNQAIELANYCSGPGSLEPRVLEDYCAEKLVGWLKLQADQAGTSASRLLIPSITLVAPDTEDSGSIAREVLDLAAINFDQTVCINLPQVHRSWDLPLLPQEILCYILQACSYRKNLLDPQAKGEGETLEPSKIRAKAYSYRKNLLDQIGQMIGEIDFNDKIEEIKSKIGQVETKWSKNTSGKHKLMILGVLHQALTLIQIGPDMQMPLSWEEIMDETARMLKYNIEAVDTTAPKHNVFSAKNKVEEARTTTAPALGEDHIKEIIHNHKIALDIIRELMRGNSGKEQATCFLGGIMDHDATADAKEEAKQKMDDISLGIEEQLHIKGVADKINKYMTGKKTLIVFQDDRDCISPWEDTRNALSLINCSSGIDVTPQEFAMKIFVHDLYANPNRSSQDLRKLHDDLDSCKSVGEMMLKFSYNNLPRAYKSCLLYLAIFPPGFNIRRSNLVGRWVAEGLITKEDWASVVRHAEQCFDTLIDRWLVYSAEVGATGGVKSCIVGDKAHEFISKIATKEHILDARLSHIWARHFSIPLPSLSSLENTCEIVTCPKYVWLY >ONIVA05G09900.1 pep chromosome:AWHD00000000:5:9520051:9521717:1 gene:ONIVA05G09900 transcript:ONIVA05G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAEADEVGGDSCSGQGRRQRHTEATSMVDKDNGSGGRSGQRRQRRRTRTCATMAARPWTWTLRRMQRRVARCLALDAHGDIFYDSGDELPRQCEEEKVLTWTVRRIRKMAVMALFLTYNGLTIRWSLQSKTPFIDSME >ONIVA05G09890.1 pep chromosome:AWHD00000000:5:9516377:9518622:-1 gene:ONIVA05G09890 transcript:ONIVA05G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADRLMAEGGKRIDLGAPLRSVRHADALPYYKADLNSGPVRHPGAVPFVWEQRPGQPKSVRTRRAPPSPTTASHPQPLEHGVEDEIDGSPYHDALGEHYVGILHGVDASPACSRTGAPAPAPARDEKRAQVAEAAVLQAKKEVTEKQVVSVAAVLRKGDDDDDDEERFSDALDTLSRTESFTVNCSVSGLSGMPEPTSRAAAGAEAGVRGIMMDRFLPAAQAVAIGSPQYTFRKAGAASATSNSGRELARAAGSNASGSSGDDPGRRTPVQLPYQHLPPNYLSCSYPRREEQEDEDDDDDYDVHSTRGFASKGCGLLPSLCVKSSLLLLNPMPAMKRGKPRGNGRVREFASKGRGRGAPSPLARSSQNKHLGCASNGQSWEDVYKHKLEQKYVRPGEDGRSKLTSESNQLTFWSDSQAGNGSSPFHHSAGGGMSPYYRDVVLSSSSKADESFGTGVKEDKMSSSNGSSSLGRDPDRGSLLGSDRSSLKGSSSISSGLDRPVHVESMDHRGDIDSETSHSVLLLDSRTSLDAGGCGSQLGEQNVGKNPIGKGEDNDPLTERVSEVTECTLLAPSEKLRSVNLDDGKTSGHLEDSSVSKRDMPLQSLLPLPVPRSPSESWLSRTLPSVTSKPPVPSFLGIQLQSKKQTPWASIQPKENNVKPPRPRQIRFADVVERPNSLDAEI >ONIVA05G09880.1 pep chromosome:AWHD00000000:5:9509004:9509192:1 gene:ONIVA05G09880 transcript:ONIVA05G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAACGCGCRGLRLTGQVDNLGLGLFPMGDQIERSVESVVEFVTVSLLRCCGAIRCSVLET >ONIVA05G09870.1 pep chromosome:AWHD00000000:5:9508102:9508988:-1 gene:ONIVA05G09870 transcript:ONIVA05G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPHRVDASLAPNPSRRQPLLRPGKSTAFKREERRKRKERKQQERLALELERWEPLSAPPRPAAAAASPSRAALPDTPWVCDPPPEPEPSAAWGCGWGPPAVEPPREPPVPAAVSPQAAAVEACRAFFGEHVDHDDGDDEDEEEEEGNVARFFQELLEKDAGLRGFYEAEREKGRFLCLVCEGIGARAGKRFAGCAALVQHAGSVARAGRRMAHRAFADAVGRLLGWSAGRTTALQTDSDNAGMCDEDIHCEDVPQSAEMEMCPHQ >ONIVA05G09860.1 pep chromosome:AWHD00000000:5:9504947:9508664:1 gene:ONIVA05G09860 transcript:ONIVA05G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFIKRNKKNSTFFLYLGLTQAELTDDGKFLLAARRCRRGLHKEYAITINSDGLFHGSQSCVGNLKSNFTGTKFTIRDWQPPYEGAKAFSSRSGRWFGNKQRCPLVSTGDVEVGEVSYKYSLLRPRGPRRMSCSVQCPVLKGTAVDPQDGKRLSNSIPSSLVLNSKVPSWHEHLQCWCLNFHGRVMVASVKNFQLIAPVEPGEPSDETVVLQFGKIDDDVFTMDYRQPLSAFQAFAICLSNFGTKLACE >ONIVA05G09850.1 pep chromosome:AWHD00000000:5:9500616:9501500:-1 gene:ONIVA05G09850 transcript:ONIVA05G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARHVACYQRWTAGQEAGLGELEASSANAAAGRATDGELRAVVERCMRGYAEYVSTRRALAREDGAALFAPPWCTSFENSVLWIGGCRPSLTIRLLYSLSGEGLEEHIEEFISGRGALGAARGMGLLGITARQLELVNDLHRRTLRDEDALSDRLATLQEDVADRPLLPIVRERATAAAAALGAGASCDGLATRTRLVVATPEGAVDHEVDAAIGRYKAGLGRLLEEADELRMSTAQTLVTEILTPRQAVETLVAAKQLHLKVRSWSRRGEAAVTQPARSPVTLPPAPSSNP >ONIVA05G09840.1 pep chromosome:AWHD00000000:5:9499529:9499841:1 gene:ONIVA05G09840 transcript:ONIVA05G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHECGPTCKTDKEPVAKVRHAVLRRPSGLRTLSLQGYMTRLQQIWHHSAVSQPVPRAAWRRAARRDCLVARANY >ONIVA05G09830.1 pep chromosome:AWHD00000000:5:9499043:9499522:1 gene:ONIVA05G09830 transcript:ONIVA05G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWERRRASSAAGATEGVGTRAGEGEVGGADLAAGGNEVGSVNSVQERGRIDLRHCGGGGGAGSPSPLRWMTMAVPSSSPYPPSDGGDDNGSFLPSPYLHLSQAADAAPLSSGDLAGDDRRPLAWGLWGHRTGPCPLRRCSPLSGSVGRWKRGGVGRR >ONIVA05G09820.1 pep chromosome:AWHD00000000:5:9488085:9489521:1 gene:ONIVA05G09820 transcript:ONIVA05G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HBS8] METTSQDSQVIMGRYKLGRLLGRGTFAKVYKAYKLATGEAVAIKVFDKEAVQRSGTVEQVKREVDVMRRVHHRHVIRLHEVMATRSRIYFVMEYASGGELFTRLSRSPRFPEPVARRYFQQLITAVEFCHSRGVYHRDLKPENLLLDARGDLKVTDFGLSALDGSLRGDGLLHTTCGTPAYVAPEVLLKRGYDGAKADIWSCGVILFVLLAGYLPFNETNLVILYRNITESNYRCPPWFSVEPRKLLARLLDPNPKTRITISKIMDRPWFQQATCPLGDMSLVASAPSVLLARKEASQQHDDEEDDGFAREKKKRSKVIMSSPVIDVRPSSMNAFDIISRSRGLDLSKMFDAEERRSEARFSTRETTTAIVSKLEEIAEAGRFSFKLKEKGRVELEGSQDGRKGALAIEAEIFKVAPEVHVVEVRKTGGDSPDFRDFYKQELKPSLGDMVWAWQGGDSPPPPPPLVPAAGRRPITKRS >ONIVA05G09810.1 pep chromosome:AWHD00000000:5:9479744:9484782:1 gene:ONIVA05G09810 transcript:ONIVA05G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HBS7] MLMATVSPARREPTPQAVRASPMPSAAAALVRRGGGGSGGTVLGKYELGRVLGQGSFAKVYQARHLETDECVAIKVLDKEKAVKGGMVHLVKREINVLRRVRHPNIVQLFEVMASKTKIYFVMEYVRGGELFSRVSKGRLREDTARRYFQQLVSAVDFCHARGVFHRDLKPENLLVDENGDLKVSDFGLAAGPDQFDPDGLLHTFCGTPAYVAPEVLRRRGYDGAKADIWSCGVILFALMAGYLPFHDHNIMVLYRKIYKGEFRCPRWFSKDFTRLITRLLDANPKTRITVPEIIESDWFKKGYKPVKFYIEDDKLYNLSDDVLNLEPADPVPPPLGLAPPVPPPPQGDDPDGSGSESDSSVVSCPATLSTGESQRVRGSLPRPASLNAFDIISFSKGFNLSGLFEERGNEIRFVSGEPMSDIVKKLEEIAKVKSFTVRRKDWRVSIEGTREGVKGPLTIGAEIFELTPSLVVVEVKRKAGDNEEYEDFCNMELKSGMQHLVHQMLPAPNGTPVIEKVERSSSLQAPLTLKLIGTEGSMS >ONIVA05G09800.1 pep chromosome:AWHD00000000:5:9457522:9460420:-1 gene:ONIVA05G09800 transcript:ONIVA05G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVMVTGPNLDPVEVEAPARIWQRRPSSARISVDPAAGTAGAAVAADGRARARAGTAAGVLWGGVAVPANPATATTRASVSASKMVHRAAADGARRRLRRQLSMPGSGRPQQQTKEASAALVRLPCNKGKASRFKRSCFSEEDDAASAAMLLLACVVCTPSLPLIN >ONIVA05G09790.1 pep chromosome:AWHD00000000:5:9449663:9450969:1 gene:ONIVA05G09790 transcript:ONIVA05G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELNVCNADFSPKIITKLAPKGWQSGTTGTGSDYPYPCPRGNSCPRVYPLLYDEQGTLLMPVAHRRHVPTGMPVYPSQTHSSTFQPQISTSRETLSQFKERVQLYQVQQYKHYKFINTRW >ONIVA05G09780.1 pep chromosome:AWHD00000000:5:9393106:9395925:-1 gene:ONIVA05G09780 transcript:ONIVA05G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19290) TAIR;Acc:AT1G19290] MPHGCHFLRPLSFTCRRRRLHSSLPRADGDGTEAGAATDTTLLGRLTRLLLLHRFPAAERLLASSSPLTPALLHAALRRVRLDPDAALHLFRLAPSRPSLVSHAQLLHILARARRFHDARALLSSLPPHAEPLFPHLAEVYRDFTFSAVSFDLLLRAHADAGQLSSALNVFDGMGKVGCRPSLRSCNRLLNKLVQSGDPGMAAMVYEQMRIAGVLPDEFTVAIMAKAYCRDGRVAQAVEFVEEMEGMGLEVNLVAYHAVMDCYCGMGWTEDARRILESLQRKGLSPNVVTYTLLVKGYCKDGRMEEAERVVKEMKETGDIVVDEVAYGMMINGYCQRGRMDDATKVRNEMRDAGIHVNLFVYNTMINGLCKLGRMEEVQKVLQEMEDVGMRPDKYSYNTLIDGYCREGSMRKAFEMCRMMVRNGLAATTLTYNTLLKGFCSLHAIDDALRLWFLMLKRGVAPNEISCSTLLDGLFKAGKTEQALNLWKETLARGLAKNVITFNTVINGLCKIGRMAEAEELLDRMKELRCPPDSLTYRTLFDGYCKLGQLGTATHLMNKMEHLGFAPSVEMFNSFITGHFIAKQWHKVNDIHSEMSARGLSPNLVTYGALIAGWCKEGNLHEACNLYFEMVNNGTNPNVFICSALMSCFYKEGKVDEANLVLQKLVNIDMIPGCSISTIEIDKISYAVDTIADGNPHSANVMWNVIIFGLCKSGRIADAKSLFESLRNKRFLPDNFTYSSLIHGCAASGSIDEAFSLRDVMLSAGLTPNIITYNSLIYGLCKSGKLSRAVNLFNKLQSKGISPNGITYNTLIDEYCKEGKTTEAFKLKQKMVEEGIQPTVITYSILIHGLCTQGYMEEAIKLLDQMIENNVDPNYITYCTLIHGYIKSGNMEEISKLYDEMHIRGLLPTNWIGNWKRSDPVVVNNWNRKGGHMKTYSQC >ONIVA05G09770.1 pep chromosome:AWHD00000000:5:9385642:9388023:-1 gene:ONIVA05G09770 transcript:ONIVA05G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGRGVFPNKPALPAGPKKRPPPHTVLLPATPPPPPSRSSLPLDSLLLHLTAAPPPPQPPATRRPHPTPTPPHSFLSPAAQSLVAAISSQPLPSLPAFLSSRRDELLRSDLPSLLKALELSGHWEWALALLRWASDEGAADAAALEMVVRALGREGQHDVVCDLLDEMPLPPGSRLDVRAYTTVLHALSRAGRYERALQLFAELRRQAVVPTIVTYNVVLDVYGRMGRSWPRIVALLEEMRAAGVEPDDFTASTVIAACGRDGLLDQAVAFFEDLKARGHVPCVVTYNALLQVFGKAGNYTEALRVLKEMEDSGCQPDAVTYNELAGTYARAGFFEEAAKCLDTMTSKGLLPNTFTYNTVMTAYANVGRVDEALALFDRMKKNGYVPNVNTYNLIFGMLGKKSRFTAMLEMLEEMSRSGCTPNRVTWNTMLAVCGKRGMEDYVTRVLNGMKSCGVELSRDTYNTLISAYGRCGSRTYAFKMYDEMISSGFTPCLTTYNALLNVLSRQGDWSTAQSIVSKMLKNGFKPNDQSYSLLLQCYAKGGNAAGIESIEKEVYVGTIFPSWVILRTLVIANFKCRRLEGVEKAFQEVKAQGYKPDLVIFNSMLAMYAKNGLYSKATEMFDSIKQSGLSPDLITYNSLMDMYAKSNESWEAEKILKQLKSSQVKPDVVSYNTVINGFCKQGLIKEAQRILSEMIADGMAPCVVTYHTLVGGYASLEMFNEAREVVNYMIHHNLKPMELTYRRVVDSYCKAKRYDEAREFLSEISDTDQNFDQKLQHMLEARIKDAQFGR >ONIVA05G09760.1 pep chromosome:AWHD00000000:5:9382173:9385516:-1 gene:ONIVA05G09760 transcript:ONIVA05G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLLHLQMVPHNVKLNGFSSHLQQVNKPTIPLGAGAGAALVSAFATMNDMFHMDAKTTDRRSDPDDSPAVPVETN >ONIVA05G09760.2 pep chromosome:AWHD00000000:5:9382173:9385516:-1 gene:ONIVA05G09760 transcript:ONIVA05G09760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLLHLQMVPHNVKLNGFSSHLQQVNKPTIPLGAGAGAALVSAFATMMDAKTTDRRSDPDDSPAVPVETN >ONIVA05G09750.1 pep chromosome:AWHD00000000:5:9380055:9382847:1 gene:ONIVA05G09750 transcript:ONIVA05G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HBS0] MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >ONIVA05G09750.2 pep chromosome:AWHD00000000:5:9380078:9382847:1 gene:ONIVA05G09750 transcript:ONIVA05G09750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HBS0] MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >ONIVA05G09740.1 pep chromosome:AWHD00000000:5:9353323:9359579:1 gene:ONIVA05G09740 transcript:ONIVA05G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDLSPSSAPSSPSSSSEPLPHEFAEYAAVSPAIDGESDGCCVCDDPEVEAFLHGGRLQDRSLREAKELIRRYKPGDLVEGVCGTKSGDYVLPDITTFLLVGPRDAGKSALVNRITRVFDKDDDPDAPDRAQVSCNSKSTGTSFLREYRVPRNSNSICIYDTRSLSNNHENNFKMLQRWMTKGLSHGDIITWDNDNYSKIQNIKSMGRQYSFLRCKTRKVNFVIFVVNGASVLESIENNNKNYIDMLHKTFMYPFLSFGDDKPAVVVTHGDRLTAQQRMHVRNELVELLGIPLQQIFDISGCDDYETDLSVLDMLRYCIQHAEQNFPIKKNYLLEMHGRETLKQIAVGLMGFDAVIETAIIFLCIVILLLRVSDKLVQW >ONIVA05G09730.1 pep chromosome:AWHD00000000:5:9342507:9351397:1 gene:ONIVA05G09730 transcript:ONIVA05G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G18524) TAIR;Acc:AT3G18524] MEGDDFLPEGGKLPELKLDARQAQGFISFFKKLPQDSRAIRLFDRRDYYTAHGENATFIAKIYYHTMSALRQLGSNSDGLSSVSVSKTMFETIARNLLLERTDRTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQDSPVTVALFPVFQEGQLYVGLSFVDLTNRKLGLSEFPEDSRFTNVESALVALGCKECLLPADCEKSIDLKPLQDAITNCNVLLTERKKVEFKSRDLVQDLGRIIRGPVEPVRDLVSQFDYALGALGALVSYAELLADDTNYGNYTIEKYSLDRYMRLDSAAVRALNIAEAKTDVNKNFSLFGLMNRTCTVGMGKRLLNRWLKQPLLDVNEINNRLDMVQAFVEDPELRQGLRYQLKRMSDIDRLTHALRKRTANLQPVVKLYQSCIRVSYIKNVLQQYDGSFSALIRTKFLNSLDEWLTEDRFGRFASLVETAIDLDQLENGEYRISPRYSSDLAVLKDELSEVENHINNLHKHTAADLDLTIDKQLKLEKGQLGHVFRISKKDEQKVRKKLTSNYIIIETRKDGVKFTSSKLKKLGDQYQALLGEYTSCQKKVVDDVVRVSASFSEVFENFAAILSELDVLQSFADLATSSPIPYVRPEITASEEGDIILEGSRHPCLEAQDGVNFIPNDCTLVREKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFVPCDRASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALGHKSGDEHQHVPNLGIANYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSTAPNFSDDSKDEVGSKRKRVFSPDDVTRGAARARLLLEELASLPLDEMDGTKAAETVTKLKSDFEKDAADNPWLQQFL >ONIVA05G09720.1 pep chromosome:AWHD00000000:5:9314408:9316055:-1 gene:ONIVA05G09720 transcript:ONIVA05G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVISWLHELCSPTCCLGAPSSPPHPPADSDAKKDDAMAAAADNEVAVITHRTVDANGLRMHVAEAGPPGAPPVLLLHGFPQVWYAWRHQMRALADAGYRAVAPDLRGYGDSDAPAAELQYTAMHVVGDLVALLDAVVGAGKPVFVVAHDWGALTAWNLCLFRPDRVRALVSLSVAFTPRSPARRPVDGLRALYGDDYYICRIQEPGAIEAEFARLGTELVLRKFLAYRTPGPLMMPKSGWGSPDDEVPLPSWITEEDIKYYASKFNKTSFTGGLNYYRALNKTWELTAPWTGAEIKVPVKFIVGDLDLTYHTPGIQDFIHKGGFKKYVPLLDDVVVMKGVGHFINEEKPKEVSEHVISFIRKLSAEM >ONIVA05G09710.1 pep chromosome:AWHD00000000:5:9308860:9310622:1 gene:ONIVA05G09710 transcript:ONIVA05G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGSSLSPDALADTVAASVADAVRAALQLPAGSTTDDATSKTDVDAAADQASAVVRAALAKHLPALQQSTQLPPISPELTALLARLMLGSGGHTAPASTLPTADVADAAMVAAAATPAIASPPILPVARAGLCHIDS >ONIVA05G09700.1 pep chromosome:AWHD00000000:5:9307958:9308534:-1 gene:ONIVA05G09700 transcript:ONIVA05G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDQTMKSRILVVGGTGYIGRHVVAARARLGHLTTALVKAQLLQSFRNAGVTLLHGDLYDHASLLRAVRDTDVVISAPQCSRPSLIAAIKEIGGGRVRRFIPSEFGLDPGRGASAAVEPVPSIYGSKVGIWRTVKAKGISHTYVVCNYFVGFALLSIGQFMPKAARRDGGGEERQERGHRR >ONIVA05G09690.1 pep chromosome:AWHD00000000:5:9300711:9303620:1 gene:ONIVA05G09690 transcript:ONIVA05G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVADAASLLGLLLLLVSCCSLAQSVTTNGGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDLEDGVDEHGQPTRKVVHRVTDADNSLRAGDPFPGTPANITDVDRYAAAKELYLGDRCQVADGPSPWQFWMVMLKNGNLDTSAAICPDNGRPARPFPQGKFPCPGGAGCMNPPLVFHNRTALDATGRRLRGGLFGTYDLGAADLGNKDVSYYSVTWEKEVGGAGGWVFHHKLRTSPKYPWLMLYLRSDATSGFSGGYHYETRGMTKIVPESPNFKVRVNLEVKQGGGPSSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTPGKVGLCPPWHTFRNGTRVHRTDAARFPYAAYHMYCSPGNARAAEQPTTPCDPYSNPQPQEIMQLVPHPVWGEFGYPTAKGQGWIGDPRAWELDVGAMSQALYFYQDPGTPPAKRRWSSLDVGTEIYVSNHAEAEWTLSGFDILVPKE >ONIVA05G09680.1 pep chromosome:AWHD00000000:5:9267562:9269809:1 gene:ONIVA05G09680 transcript:ONIVA05G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSNKNQLVLGIKIWLKWGNDINSLVEVSALTLTWSYNNGYKNSDNNQLILDGRIGLVSSLCQVEPILRNPERKRKAVVLDQQFKGVFCAGQSSQSTSHVPDVYAGDFISTFHLPSRRTIRRRLSFASDNVPIPTGDGLSSGSPTLRAVETVCGLPHPPRCVAAESRKKRKVAMICRREERLYADTLSLSSRSVRRRKIPNDNIVHQPHHTQLRQHNPTSAAQPGGDGT >ONIVA05G09670.1 pep chromosome:AWHD00000000:5:9262461:9265221:-1 gene:ONIVA05G09670 transcript:ONIVA05G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLLAAEAALVLVLLFRTPFRRLALLAIDRAKRGRGPVMVKTVAATMLVVLGSSGYSIAKIRRRVGDLGQLTPTDQVLASRHLLEASLMGYSLFLGLIIDRLHHYIRELRTMKKNMEAVTKQSRTLEEAKHGSVEEIQQYQKEISRLNEQVQEFKRLSETKTEELKTAEANTLALQKQSEGLLTEYERLIAENEEFRNQLQSMDLRLSHSDNKKNT >ONIVA05G09660.1 pep chromosome:AWHD00000000:5:9255431:9258976:1 gene:ONIVA05G09660 transcript:ONIVA05G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVASLLGFVLALPFCLAAPSITTHGSDGSGGSYVSAVGDPGMRRDGLHVAWEAWNFCNEVGQEAPGMGSPRGADCFDLVRAGKFAENGVDENGQPTYKVVHRVTDADNNLRAGDPLPGSPANITDVDLYAAAKELYLGDRCQVPDSPSPWQFWMVMLKNGNLDTTAAICPENGRPARPFSQTSRFPCPGGAGCMNQPLVFHNRTALDATARRLRGGLFGTYDLDAADLGSREVSYYSVTWEKDIGSGGDGGWVFHHKLRTAPKYPWLMLYLRSDATKGFSGGYHYDTRGMTKMVPESPNFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTRRRIEECPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARAAEQPTTYCDAYSNPQPQEILQLVPHPVWGEFGYPTAKGQGWIGSGHATGEEEVVVAGRRNGDIRQQVCGGRVDAEWIRHCCAGGMHWVVPRRTRQSMFVKLVINELIKKHL >ONIVA05G09660.2 pep chromosome:AWHD00000000:5:9255431:9258976:1 gene:ONIVA05G09660 transcript:ONIVA05G09660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVASLLGFVLALPFCLAAPSITTHGSDGSGGSYVSAVGDPGMRRDGLHVAWEAWNFCNEVGQEAPGMGSPRGADCFDLENGVDENGQPTYKVVHRVTDADNNLRAGDPLPGSPANITDVDLYAAAKELYLGDRCQVPDSPSPWQFWMVMLKNGNLDTTAAICPENGRPARPFSQTSRFPCPGGAGCMNQPLVFHNRTALDATARRLRGGLFGTYDLDAADLGSREVSYYSVTWEKDIGSGGDGGWVFHHKLRTAPKYPWLMLYLRSDATKGFSGGYHYDTRGMTKMVPESPNFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTRRRIEECPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARAAEQPTTYCDAYSNPQPQEILQLVPHPVWGEFGYPTAKGQGWIGSGHATGEEEVVVAGRRNGDIRQQVCGGRVDAEWIRHCCAGGMHWVVPRRTRQSMFVKLVINELIKKHL >ONIVA05G09650.1 pep chromosome:AWHD00000000:5:9228979:9231553:1 gene:ONIVA05G09650 transcript:ONIVA05G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALSCGRRQRGKKGGGGGGDCGGRHFHVHYHLPRRVCASSFVPNLLPRFFSLLSACVLVPPVLFLAVLAFLVLFLWFTLLYFIRSLWNKDINGETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSGDSSPTVLSTFSSEFHDFSDNNEVVGLATDFLDVNNQNKAVLLDSSSHRGILDNHCKCDIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSGEFSSPNENGTSGFPFDSVCEDKNGTVAYPSVASHYNIGIEDDKCEDHTDNNIEEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEGAPHGNGMPLVRSPASWWNLCGVIDVFAGCKD >ONIVA05G09650.2 pep chromosome:AWHD00000000:5:9228979:9231553:1 gene:ONIVA05G09650 transcript:ONIVA05G09650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALSCGRRQRGKKGGGGGGDCGGRHFHVHYHLPRRVCASSFVPNLLPRFFSLLSACVLVPPVLFLAVLAFLVLFLWFTLLYFIRSLWNKDINGETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSGDSSPTVLSTFSSEFHDFSDNNEVVGLATDFLDVNNQNKAVLLDSSSHRGILDNHCKCDIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSGEFSSPNENGTSGFPFDSVCEDKNGTVAYPSVASHYNIGIEDDKCEDHTDNNIEEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEGAPHGNGMPLVRSPASWWNLCGVIDVCWQVMGGTLGQQKTLDAHPNPVLTMKKYLTVWIEIA >ONIVA05G09650.3 pep chromosome:AWHD00000000:5:9228979:9231553:1 gene:ONIVA05G09650 transcript:ONIVA05G09650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALSCGRRQRGKKGGGGGGDCGGRHFHVHYHLPRRVCASSFVPNLLPRFFSLLSACVLVPPVLFLAVLAFLVLFLWFTLLYFIRSLWNKDINGETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSGDSSPTVLSTFSSEFHDFSDNNEVVGLATDFLDVNNQNKAVLLDSSSHRGILDNHCKCGEEFSSDKEAPAYHLFENYDFVDKHETKEVVLGDTVVLTFVDDSANDDSEYREDISEQKDPNNLSGLLDNVADIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSGEFSSPNENGTSGFPFDSVCEDKNGTVAYPSVASHYNIGIEDDKCEDHTDNNIEEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEGAPHGNGMPLVRSPASWWNLCGVIDVCWQVMGGTLGQQKTLDAHPNPVLTMKKYLTVWIEIA >ONIVA05G09650.4 pep chromosome:AWHD00000000:5:9228750:9231553:1 gene:ONIVA05G09650 transcript:ONIVA05G09650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALSCGRRQRGKKGGGGGGDCGGRHFHVHYHLPRRVCASSFVPNLLPRFFSLLSACVLVPPVLFLAVLAFLVLFLWFTLLYFIRSLWNKDINGETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEVLHVNSDDSQNLPSMVSSDGCLNCKMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSGDSSPTVLSTFSSEFHDFSDNNEVVGLATDFLDVNNQNKAVLLDSSSHRGILDNHCKCGEEFSSDKEAPAYHLFENYDFVDKHETKEVVLGDTVVLTFVDDSANDDSEYREDISEQKDPNNLSGLLDNVADIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSGEFSSPNENGTSGFPFDSVCEDKNGTVAYPSVASHYNIGIEDDKCEDHTDNNIEEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEGAPHGNGMPLVRSPASWWNLCGVIDVCWQVMGGTLGQQKTLDAHPNPVLTMKKYLTVWIEIA >ONIVA05G09650.5 pep chromosome:AWHD00000000:5:9229050:9231553:1 gene:ONIVA05G09650 transcript:ONIVA05G09650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALSCGRRQRGKKGGGGGGDCGGRHFHVHYHLPRRVCASSFVPNLLPRFFSLLSACVLVPPVLFLAVLAFLVLFLWFTLLYFIRSLWNKDINGETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEVLHVNSDDSQNLPSMVSSDGCLNCKMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSGDSSPTVLSTFSSEFHDFSDNNEVVGLATDFLDVNNQNKAVLLDSSSHRGILDNHCKCDIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSGEFSSPNENGTSGFPFDSVCEDKNGTVAYPSVASHYNIGIEDDKCEDHTDNNIEEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEVSTKGSFA >ONIVA05G09650.6 pep chromosome:AWHD00000000:5:9229102:9230649:1 gene:ONIVA05G09650 transcript:ONIVA05G09650.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALSCGRRQRGKKGGGGGGDCGGRHFHVHYHLPRRDINGETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSGDSSPTVLSTFSSEFHDFSDNNEVVGLATDFLDVNNQNKAVLLDSSSHRGILDNHCKCGEEFSSDKEAPAYHLFENYDFVDKHETKEVVLGDTVVLTFVDDSANDDSEYREDISEQKDPNNLSGLLDNVADIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSGEFSSPNENGTSGFPFDSVCEDKNGTVAYPSVASHYNIGIEDDKCEDHTDNNIEEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEVSTKGSFA >ONIVA05G09640.1 pep chromosome:AWHD00000000:5:9206875:9212599:1 gene:ONIVA05G09640 transcript:ONIVA05G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATILLFLVLAAATAAMAATSASTAPVGIRRSRFLMTQQGQGADPYYYYSCSKKSAAAVCLAAGSPGATCCGGRCVDTGASGEHCGGCNKACKHGRSCCGGRAATTTVLLLLLVLAAAAATAPTTSMATIPTGRSRFLLAHQHRAAGAGDPYYYRPLPSMYGCSEKSAAMCVAPGSPGPTCCGGRCVDTAASGDHCGGCNKACKHGRTCCGGRCVDLLFDRDNCGSCSNRCSKRCTYGLCNYAQ >ONIVA05G09630.1 pep chromosome:AWHD00000000:5:9160901:9161190:1 gene:ONIVA05G09630 transcript:ONIVA05G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSDGSSSTRESKASPVHYWVGPLEYQPAVMCQCRPLAKAARWISWSTDNPGRWYYKCQNARGLNVGFFLAARGV >ONIVA05G09620.1 pep chromosome:AWHD00000000:5:9157009:9160124:1 gene:ONIVA05G09620 transcript:ONIVA05G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10330) TAIR;Acc:AT1G10330] MELHQTHEDGTGVEDVVTTMKPETALSGRHISVEAAAACGGTSSAARSYAGLDLLLQENFSAPRRVLQLHALLLTSGALSLPHPDPAATAAFPYNCLIHAHLRLRGSASPPWGPLRIFSAMLARGVRPNRHTFPSLLKSSASFDAATPTLHAQCLRRGLDEDRFVACSLLSAYGRDGHLVRDARKVFDDMASPDLATCNAMLDVLCLSGDMYGARCLFDRMVVRDVVSWTTIISGLTRIGCHWDAVEMFRAFLLQNKGRLSEATLVSVLSACANLDAVEGLAVGMAVHGHVVRHEVQFTAFLGTALIDMYGKYGKLSCCSHIFHLVRDKEVCTWNALLSVLANHGKEAEALVKFEMMRSEGFLPNHITFVAVMTACARAGLVETGLDFFEELFTEYKVIPMMVHYGCVVDLLGRAGRFLEAIQIIERMPFMADDSVWGALLGACKIHGNIELSAQIREKLIVLGGQQPGRYVTVRNMYLEEGNWYAATRMGEVMQEAGIKKIVGMSSVVLN >ONIVA05G09610.1 pep chromosome:AWHD00000000:5:9137142:9137738:-1 gene:ONIVA05G09610 transcript:ONIVA05G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAACAAAGRRAEAAAATECDARFRCLALIATVLKWLQDFSDKVEERAKGVAAEVNGLLNEAVALELDMKTLPVGVTNGD >ONIVA05G09600.1 pep chromosome:AWHD00000000:5:9134415:9134792:1 gene:ONIVA05G09600 transcript:ONIVA05G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITVFLFLLVALVVVSAAEASPEPLPARRSRFLLTSSSFYSCSKKSAAAVCLAAGSPGATCCGGRCVDTGASGEHCGGCNKACKHGRSCCGGRCVDLLSDRDNCGSCSNQCSNKCTYGFCDYA >ONIVA05G09590.1 pep chromosome:AWHD00000000:5:9122532:9132866:1 gene:ONIVA05G09590 transcript:ONIVA05G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAMKPASRKRGPPAPDPVELPPPGFVADRAEAAARVERLLRYQFRDGRLLEEALTHQSFADDAVSYQRLEFVGDSALGLAFSNFLYLTNPTLGPGPLSTLRAANISTEKLARVAVRHDLYPLLRRNCPRLDLLVGQFIETVKQEPEDDLGTVPYGGSVVKAPKVLADIVEAIAAAVYVDCKFDLEKLWKRLTWLHGLQEIRWTVNTNNIKDWINNFIYGKRLVTRWLFEPIITAETIDEQPVTMLHELCQKHGKMAQFKTWQKGGMTVVNVFVAGELVGIGSSEQKVIAKLNAARDATRKLAGAKKQVLTTGVGNGLGDEIGELRECKQKLNEQCSRQNWPKPIFKLEKEDGPAHERKFVCSVQVETAKGNFVTIGDPMSRVKDSENSAAQKMLEVILKF >ONIVA05G09590.2 pep chromosome:AWHD00000000:5:9122532:9132866:1 gene:ONIVA05G09590 transcript:ONIVA05G09590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAMKPASRKRGPPAPDPVELPPPGFVADRAEAAARVERLLRYQFRDGRLLEEALTHQSFADDAVSYQRLEFVGDSALGLAFSNFLYLTNPTLGPGPLSTLRAANISTEKLARVAVRHDLYPLLRRNCPRLDLLVGQFIETVKQEPEDDLGTVPYGGSVVKAPKVLADIVEAIAAAVYVDCKFDLEKLWKVTRWLFEPIITAETIDEQPVTMLHELCQKHGKMAQFKTWQKGGMTVVNVFVAGELVGIGSSEQKVIAKLNAARDATRKLAGAKKQVLTTGVGNGLGDEIGELRECKQKLNEQCSRQNWPKPIFKLEKEDGPAHERKFVCSVQVETAKGNFVTIGDPMSRVKDSENSAAQKMLEVILKF >ONIVA05G09580.1 pep chromosome:AWHD00000000:5:9098715:9102789:-1 gene:ONIVA05G09580 transcript:ONIVA05G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARTGHGVAASQRRAILPVGVGEQPSVDGLRLPGPCPGAGRGQHIGCLSQCHLPIVMALTTGGRFLGISGKGACSSIGCCQINIVLGYSSYLIQIHGMDQLGMDLLADTYMVDQGFNYTTDTFYSNSTEYPPRALPALLKWVIITLTSNCPRNLSAPSVAVPTALAKTRMLRHGGIDASALMTLMSVRSGRGGCMRSCGNISIEYPFGVEPGCYHAVGFNLTCNHSYQLPRLFLDDGTVQVLNISIPNGTVRINSGRINLEDNGLGSTNGTWGRWPPNWRAVLSVGVGEQTGVDGLQLLGRCLGAKRKLIGCLLQCHLPIIALTRTLLRNFQDNSTVKQRRVKKLKEKFFKQNHGLLLQQLISKNTNFGERMIITLEELQKATNNFDRSRQVGDGGHGVMFKGILDLNLLQCQFIRDIKSSNLLLDDSLTAKKPVADTFDGDSLVSHFVSLLLEGNLIDIINPQVKEEEGGEVHEVAALAALCTKLKGEEWPSTREVQMALENILSKKGPFHKGNRESSRPSKN >ONIVA05G09570.1 pep chromosome:AWHD00000000:5:9095336:9097462:1 gene:ONIVA05G09570 transcript:ONIVA05G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVASTGSGLRCSPNPMPKQQHERRSGRPIRMLTPTRRWGRGRRGGEARGVGVERKRLVEFVSGGGSNIRAIHDAALGGDVNGVVVALVTDKPGCGGAEHARGNGIPVVVFPKSKSAPEGVSTDELLNGLRELRVDFILLAGYLKLIPVELVQAYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGRTLAQRVVPVQANDTPEQLATRVLHEEHQVYVEAVTALCEDRIVWREDGIPLIRSQTNPDE >ONIVA05G09560.1 pep chromosome:AWHD00000000:5:9072885:9079510:-1 gene:ONIVA05G09560 transcript:ONIVA05G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRGTPLPTILLLLAFVGGACGTEILSKSRLESCSHDSDAGGRLKCDRKLVVDLAVPSGASGGEASLVARVAGVEEENDTPSATKSIRDPPVITVSKSATYALYALTYLDRDVAYRPDEKYVKTHKCEPYAGAKVVGECERFHVFGIGAWSLRFSIRVQVKKGSSVWDVVVGPENKTVVSGDNFLRVKVVGDYTGYTSIPSFEDNYLVTPRKGTGSSQPQDLGNEHSKWMILDRVRFTLDGLECDKIGVGYEAYRNQPNFCSAPYGSCLGNQLWNFWEYDKRRIDNSQLPLYIVEGRFQRINQHPNAGAHTFSVGVTEDLNTNLLIELMADDIEYVYQRSPAKIIDIRVPTFEALSQVGIANVTTKNIGKLESSYSLTFKCSSGISPVEEQLYTMKPDEVIARSFELRSTTDQAAVHQCEAILKASDFSELDREGYRFSTAATVYNNGAQIGPTNDHKKGGFWDSIKALWRNLIDFLTGRLCWTKCPRLFDFGCHIQYVCIGWILLLLLIPAAVVFLWLLHQEGLFDPLYDWWGLEPDDDYRARRRHQKGRHHRHHHDHRHRHGHSHGDHHHHHHGGHHQRRRHHHPPAWDVEGHHHDRQQHSHEAGRNHHRGYGEVVAAGAAPLRLDRASRPGQTEVDAVVEYRERRSRHERHGGHGHRDGHYSPSV >ONIVA05G09550.1 pep chromosome:AWHD00000000:5:9028125:9038578:1 gene:ONIVA05G09550 transcript:ONIVA05G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMNRTRDLLMEGFEGLVREGSFTWGLPRRGASPVGDGDDPDSSSSSGKQASVSGLSPKANAVVSRCARVLGTSTDELRYDFDMQASDSIKQQINYARNFLEYCCLRALAQASQIAGYLSDKSFRRLNFDMMLAWEVPSSSSQLTVKVEVESTVSLEAFSRIAPAIPTITDVVTCSNLFDVLSSSSGGRLTFPVYDKYLTGLDRAIKKMKGQSESSLLSGQRSQRGERIVEIDGTLTTQPVLEHDFDMTRLTLTDHALYFEALRVVTYDKPKAYELAEDLKQSVKPELTGPWGSRLFDKAVMYKSATLTEPVILEFPELAGHFRRDYWLAIISEILCVHRFVRKFDISGIDKEETILKAVLSILRLQAIEELAIPVSNRFESLLMFNLCDKLPGGDVILETLAGSISLRRSAQVNQPGISSARHSMSPFTVLSNLGVVSPINKGERLFVGEIVVGEMSALQKVVTESMNNYKKVELAQATVDGVKVDGLDTNLAVMKELLSPVSELWRFLVLLASWDEPIKSMGVDSLFSCFGALVFGNFHVLYKTN >ONIVA05G09550.2 pep chromosome:AWHD00000000:5:9028125:9038578:1 gene:ONIVA05G09550 transcript:ONIVA05G09550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSATLTEPVILEFPELAGHFRRDYWLAIISEILCVHRFVRKFDISGIDKEETILKAVLSILRLQAIEELAIPVSNRFESLLMFNLCDKLPGGDVILETLAGSISLRRSAQVNQPGISSARHSMSPFTVLSNLGVVSPINKGERLFVGEIVVGEMSALQKVVTESMNNYKKVELAQATVDGVKVDGLDTNLAVMKELLSPVSELWRFLVLLASWDEPIKSMVFCFSSSYIIIRGWIVYFLVLVLLFSATFMFFTRLTSHVNPMMEVKVTSPPPMNTMEQLLAVQNAISKVEELVQDANIVLLKIRALLLASPSQATDKTILALVVMALSLAIVPTRLLVLMMFLEVFTNHSPPRRASTERWTRRLREWWFSIPAAPVVVEKDKEDKKTK >ONIVA05G09540.1 pep chromosome:AWHD00000000:5:9007195:9010692:1 gene:ONIVA05G09540 transcript:ONIVA05G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGISVIVVVRHLAWLVLILCSWPRVAAAQAQQAPKTDPVEAAALNTILGRWGKKASWEWNISGELCSGFASTEIDWDYYPTINPFIKCDCSFSNNTLCHITKLGINLNNFTGVLPEELGNMTKLQQLYVASSGFSGRFPSTFSKLRNLKRASDNGFIGKIPHYFGSMTNLEDIGFEGNSFEGPIPENLSNLTRLENLRIGDIVSGASSLAFISNLTSLYQLILRNCKISGDLRALDFCKFRTLISLDLSFNNITAQLP >ONIVA05G09540.2 pep chromosome:AWHD00000000:5:9007195:9010641:1 gene:ONIVA05G09540 transcript:ONIVA05G09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGISVIVVVRHLAWLVLILCSWPRVAAAQAQQAPKTDPVEAAALNTILGRWGKKASWEWNISGELCSGFASTEIDWDYYPTINPFIKCDCSFSNNTLCHITKLGINLNNFTGVLPEELGNMTKLQQLYVASSGFSGRFPSTFSKLRNLKRASDNGFIGKIPHYFGSMTNLEDITGIFPSSAT >ONIVA05G09540.3 pep chromosome:AWHD00000000:5:9007195:9009850:1 gene:ONIVA05G09540 transcript:ONIVA05G09540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGISVIVVVRHLAWLVLILCSWPRVAAAQAQQAPKTDPVEAAALNTILGRWGKKASWEWNISGELCSGFASTEIDWDYYPTINPFIKCDCSFSNNTLCHITKLGINLNNFTGVLPEELGNMTKLQQLYVASSGFSGRFPSTFSKLRNLKRASDNGFIGKIPHYFGSMTNLEDIMSFSGFEGNSFEGPIPENLSNLTRLENL >ONIVA05G09540.4 pep chromosome:AWHD00000000:5:9007195:9009850:1 gene:ONIVA05G09540 transcript:ONIVA05G09540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGISVIVVVRHLAWLVLILCSWPRVAAAQAQQAPKTDPVEGRWGKKASWEWNISGELCSGFASTEIDWDYYPTINPFIKCDCSFSNNTLCHITKLGINLNNFTGVLPEELGNMTKLQQLYVASSGFSGRFPSTFSKLRNLKRASDNGFIGKIPHYFGSMTNLEDIMSFSGFEGNSFEGPIPENLSNLTRLENL >ONIVA05G09540.5 pep chromosome:AWHD00000000:5:9007195:9010641:1 gene:ONIVA05G09540 transcript:ONIVA05G09540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGISVIVVVRHLAWLVLILCSWPRVAAAQAQQAPKTDPVEAAALNTILGRWGKKASWEWNISGELCSGFASTEIDWDYYPTINPFIKCDCSFSNNTLCHITKLGINLNNFTGVLPEELGNMTKLQQLRASDNGFIGKIPHYFGSMTNLEDIMSFSGFEGNSFEGPIPENLSNLTRLENFTGIFPSSAT >ONIVA05G09530.1 pep chromosome:AWHD00000000:5:8964159:8967972:-1 gene:ONIVA05G09530 transcript:ONIVA05G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSPPRYESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYSSIEYHKPTKPRVRHTRPWSSCIKSSNRGNFHPSTKLRTRVNLTKLGTPTLWRYWKHFNLVSMNPNPSKEQLFHGVQQHFQSQVILGFIQAAKRLKTLYRS >ONIVA05G09520.1 pep chromosome:AWHD00000000:5:8948281:8950095:-1 gene:ONIVA05G09520 transcript:ONIVA05G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPSLRDLSDELVGEILVRLPPDDPACLLRASLACKAWRRILADRALRRRLHRAPPVVGFLRIGMGEGDMRYGSRYTPNNGAASSRRPAAAGSRDLPLWLVLDCRHGRALFATPCPRRAAAATTSLGHDLVVWDPFTNEHRRLPRPSSPLAITGRGFNAAVLCAAGGGGGGCDHRSCHGGPFLVALIWSHSPSPFLPHLPGATSARVYSDTGEWSDTTTVEHHDVFYYLEDRSPLPCRSVLVGDTLYFTWSSTHAFELRLGGGQRRLSIVYGPPRPPPLIESSSPIFMMSMGDDAVLRCVEVEPEDEKLCLRLRLRLWSRNVDDNGVAQWIRGRAIELEPLLPDGALQTPWIPSSVQLLGAVEGTDVIFVGTHSPDHPAAVYMVQLNSRGSRKVFDKCTSVVPYTSFCIPGIDAASTSEGAREGASSA >ONIVA05G09510.1 pep chromosome:AWHD00000000:5:8935369:8946896:1 gene:ONIVA05G09510 transcript:ONIVA05G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVATVDGVVVLSHPSRVVAGRKPSLGSFEPRRTAATVFPSLLFLKTSIFLGIILFLSMAAPAERRSEPDWSSGLPRKLLEFIAKKLPSGGDAASFRLVCSPWCAALPLETFYPMLMLPFDPDSPDGDAAVVTFYCAMDDDDEATIDLRLPEVRGKVACGMSHGWLALMDGAAAVTLLNPFTGAAVALPPADRNVAMASYKTVSMVDGAWILHYISGATKPIKLSNMRDVFFREIVLSASPRNSRGVDCMAMAVLASSTLVAFCRLGDARWTLVDSKLEYPVTCVVHCRDRFVAIGSLGEISIFSVDNTDGAAPLTASLLLLMPPPAHICQRSYMDINGELYLVGAILRVTTWTRYEIVVYKCNLLDENPLWSKVEDSEDIAFFVSKYFNTGFGVASTSNIRWSCVYLSEPRFCTHEDQKCTVDSYLEMVDINTNESALQAYRPTKDQKRSMHSQSETEHNESPGLKLCNPRRMAVHSAEKESQRSSGILYGEQYATLSPNVKHAGEILCGFNPISGDEARIFALYYSRVYVSKNSEDIAFFVSKNFNTGFGVASTSNNGTAFTYLNLGRVLIKIKKAQSTIYYLEMVDINTNELELQAFHPSISGFRGSMLDSSESLELSTIAGFSGGRMNDAENSKRSEEKNAFPSYYEIRI >ONIVA05G09500.1 pep chromosome:AWHD00000000:5:8881236:8894003:1 gene:ONIVA05G09500 transcript:ONIVA05G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLINHAAAALNTILGRWGKKASPEWNISGELCSGFATDKTDWDYYPNINPFIKCDCTDSNNTLCHITRLNFRNNYLTGPIPPFIGKFTSMQYLSLSLNPLSGLLPKELGNLTNLVSLGIGSNNFTGGLPEELGNLTKLQRLKASDNGFNGKIPEYLGTITNLVEIRIGDIVNGISPLAFISNMTSLSTIILRNCKISSDLGAIDFSKFEHLELLFLGNNSLTGRLPDGISSSLKAIDFSYNQLTGSFPSWASQNNLQLNLVANNFLLESTSDSTLPWGLNCLQQDTPCFRGSPKYYSFAVDCGSNGSTRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNYFQREDAKNIIYSSQNFQNVVHSELFQTARMSPSSLRYYGLGLENGNYTVLLQFAEFAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFRVVNRSFMVTVSKNFLEIHLFWAGKGTDAIPIKGYYGPMISALRVTPNFTPTVRNGIPKRESKAGAISGILIGAIVLVLAALFGVFTLIKKRRALAQQKEGDSNLNLDWVMRFEIILGIARGLIYLQEESSIRIVHRDIKASNVLLDTNLVPKISDFGLAKLYDENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQRPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGNSSNTTSS >ONIVA05G09490.1 pep chromosome:AWHD00000000:5:8797476:8805002:1 gene:ONIVA05G09490 transcript:ONIVA05G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMDGNHIMPISGQGGTWALGAVQWCRWQEGNKFERCWRNTFGAAEFHLYAGPVWNLGYNYLTGAVPSFFGKFTFMKYLAFPFNALSGPLPKELGNLTNLLSLGISFNNFSGQLPEELGNMTNLQQMITLQSFNNRRSSDNDFTGKIPDYLGIMPKLEDIRIGDIVNGSSSLAFISNLTSLSNLILRNCKISGNLAPVDFSKFGVLTLLDLSFNNIRGQIPQTILNMTNLEFLFLGNNSFTGSLPDAISPSLKAIDFSYNQLTGGLPSWATQNNFQLNLVANNFELGTIGHSTLPSGLNCLQQDTPCFRGSAEYYSFAVDCGNNRTTRGLDGTIYEPDAANLGAASYYVTSDTRWGVSNVGNYFLATDGVNIINSPQKIQNVLDSRLFETARMSASSVRYYGLGLENGNYTVLLQFAEFAYPDSQTWLSLGRRVFDIYVQGDLKEKNFDIRKMAGGKSFTAVNRSYTARVSKNFLEIHLFWADFTPTVRNGVPKRRSKAGAIVGITIGALVLGVVSLFGIFLLVKKRRTIAQQQEELYNLAGQPDVFSNTELKLATDNFSYQNIIGEGGYGPVYKGKLPDGRVIAVKQLSETSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSLDRAIFGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTRIAGTMGYLAPEYAMRGHLSEKADVFAFGVLMLETVAGRSNTNNSLEESKIYLLEWAWGLYEMGQALRVVDPCLKEFDEKEAFRVICIALLCTQGSPHQRPPMSRVVAMLTGDVDVAEVVMKPSYITEWQLRDGGSSSYTTSSYAGSSNPEFSRQRETNPLAQSSPTITKASLVGR >ONIVA05G09480.1 pep chromosome:AWHD00000000:5:8793530:8794371:1 gene:ONIVA05G09480 transcript:ONIVA05G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCSRMSGLVWVVLVCSWTWRIAAAQAPQPPKTDPLEAAALNTILGRWGKKASSEWNISGEPCSGLASDQSDWDNYPNINPFIKCDCTFSNNTLCHITRLCAVHYEFNEVPFVKSSFKYLIYIFDGSNICGQVSDC >ONIVA05G09470.1 pep chromosome:AWHD00000000:5:8782852:8783997:-1 gene:ONIVA05G09470 transcript:ONIVA05G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFHKEIRRRRRAAGVVPQGRHWVSPESRWKKMTATMHWYPRCLDLRRALGLSAHSDSGFCSRASCQGCSYSGGDQTSGWQCRRSFLAPSSSTSATSSICMLTNGHFHSVYHRAVVNHNRDRISLGYFLGPLAERRVPPGRSAAYRAVTWPEYKAVRKKAFTTGGSTLEMVSTPTATDEHNDVNKIGKQYG >ONIVA05G09460.1 pep chromosome:AWHD00000000:5:8765132:8774842:1 gene:ONIVA05G09460 transcript:ONIVA05G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSCWQLLWLVLVCSWRIAAAQGQAAPRTDPTEAAALNTILGRWGKKASSEWNISGELCSGLASDKTNWDDYPNINPFIKCDCSYNNNSVCHIIKLRVYKLNVVGQLPSELQNFTYMEDLNLAYNYLSGVVPSFIGKNLAFNPLSRQLPKEIGNLTNLLMLGVSFNNFTGELPEELGNLVKLEQLRAQDNDFTGKIPDNFGSMSSLEDIDFSYNQLSGRFPSWVNQNNLQLNLVANNFVLVGTNSSILPSGLGCLQQDTPCFRGSPEYYSFAVDCGSNSSTSASDNTIFEADPTSLGTAAYYVTSQTRWGVSSVGNFFQGTNGMDRIYSSSKHFQNTVDSKLFETARMSPSSLRYYGLGLENGNYTVLLQFAEFSFTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYTATVSKNFIEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGIVIGASVLGLAALFGIFFLVKKRRTMAQQRKELYDLVGRPDVFSSAELKLATNNFSSQNILGEGGYGPVYKGKLPDGKVVAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKSPLLVYEYLENGSLDQALFRDTGLKLDWTKRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTAIAGTFGYLAPEYAMRGRLTEKADVFAFGVVALETIAGRSNIDNSLEESKVNLFGWAWSLYEKEQALEIVDPRIKEFSRDEALRVIHVALMCTQGSPHQRPPMSKVVAMLTGDVEVAEVIMKPSYITEWLHREGDSSYFTNYAGSATPEFSGHKESELSFIS >ONIVA05G09460.2 pep chromosome:AWHD00000000:5:8765132:8774842:1 gene:ONIVA05G09460 transcript:ONIVA05G09460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSCWQLLWLVLVCSWRIAAAQGQAAPRTDPTEAAALNTILGRWGKKASSEWNISGELCSGLASDKTNWDDYPNINPFIKCDCSYNNNSVCHIIKLRVYKLNVVGQLPSELQNFTYMEDLNLAYNYLSGVVPSFIGKNLAFNPLSRQLPKEIGNLTNLLMLGVSFNNFTGELPEELGNLVKLEQLRAQDNDFTGKIPDNFGSMSSLEDIDFSYNQLSGRFPSWVNQNNLQFILPSGLGCLQQDTPCFRGSPEYYSFAVDCGSNSSTSASDNTIFEADPTSLGTAAYYVTSQTRWGVSSVGNFFQGTNGMDRIYSSSKHFQNTVDSKLFETARMSPSSLRYYGLGLENGNYTVLLQFAEFSFTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYTATVSKNFIEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGIVIGASVLGLAALFGIFFLVKKRRTMAQQRKELYDLVGRPDVFSSAELKLATNNFSSQNILGEGGYGPVYKGKLPDGKVVAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKSPLLVYEYLENGSLDQALFRDTGLKLDWTKRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTAIAGTFGYLAPEYAMRGRLTEKADVFAFGVVALETIAGRSNIDNSLEESKVNLFGWAWSLYEKEQALEIVDPRIKEFSRDEALRVIHVALMCTQGSPHQRPPMSKVVAMLTGDVEVAEVIMKPSYITEWLHREGDSSYFTNYAGSATPEFSGHKESELSFIS >ONIVA05G09460.3 pep chromosome:AWHD00000000:5:8765132:8774842:1 gene:ONIVA05G09460 transcript:ONIVA05G09460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSCWQLLWLVLVCSWRIAAAQGQAAPRTDPTEAAALNTILGRWGKKASSEWNISGELCSGLASDKTNWDDYPNINPFIKCDCSYNNNSVCHIIKLRVYKLNVVGQLPSELQNFTYMEDLNLAYNYLSGVVPSFIGKNLAFNPLSRQLPKEIGNLTNLLMLGVSFNNFTGELPEELGNLVKLEQLRAQDNDFTGKIPDNFGSMSSLEDMILRNCRISGNLGLRFFLQPAQWKISFLDYSFAVDCGSNSSTSASDNTIFEADPTSLGTAAYYVTSQTRWGVSSVGNFFQGTNGMDRIYSSSKHFQNTVDSKLFETARMSPSSLRYYGLGLENGNYTVLLQFAEFSFTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYTATVSKNFIEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGIVIGASVLGLAALFGIFFLVKKRRTMAQQRKELYDLVGRPDVFSSAELKLATNNFSSQNILGEGGYGPVYKGKLPDGKVVAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKSPLLVYEYLENGSLDQALFRDTGLKLDWTKRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTAIAGTFGYLAPEYAMRGRLTEKADVFAFGVVALETIAGRSNIDNSLEESKVNLFGWAWSLYEKEQALEIVDPRIKEFSRDEALRVIHVALMCTQGSPHQRPPMSKVVAMLTGDVEVAEVIMKPSYITEWLHREGDSSYFTNYAGSATPEFSGHKESELSFIS >ONIVA05G09460.4 pep chromosome:AWHD00000000:5:8765132:8774842:1 gene:ONIVA05G09460 transcript:ONIVA05G09460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSCWQLLWLVLVCSWRIAAAQGQAAPRTDPTEAAALNTILGRWGKKASSEWNISGELCSGLASDKTNWDDYPNINPFIKCDCSYNNNSVCHIIKLRVYKLNVVGQLPSELQNFTYMEDLNLAYNYLSGVVPSFIGKNLAFNPLSRQLPKEIGNLTNLLMLGVSFNNFTGELPEELGNLVKLEQLRAQDNDFTGKIPDNFGSMSSLEDMAFQGNSFEGPIPANYSFAVDCGSNSSTSASDNTIFEADPTSLGTAAYYVTSQTRWGVSSVGNFFQGTNGMDRIYSSSKHFQNTVDSKLFETARMSPSSLRYYGLGLENGNYTVLLQFAEFSFTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYTATVSKNFIEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGIVIGASVLGLAALFGIFFLVKKRRTMAQQRKELYDLVGRPDVFSSAELKLATNNFSSQNILGEGGYGPVYKGKLPDGKVVAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKSPLLVYEYLENGSLDQALFRDTGLKLDWTKRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTAIAGTFGYLAPEYAMRGRLTEKADVFAFGVVALETIAGRSNIDNSLEESKVNLFGWAWSLYEKEQALEIVDPRIKEFSRDEALRVIHVALMCTQGSPHQRPPMSKVVAMLTGDVEVAEVIMKPSYITEWLHREGDSSYFTNYAGSATPEFSGHKESELSFIS >ONIVA05G09450.1 pep chromosome:AWHD00000000:5:8740252:8740722:1 gene:ONIVA05G09450 transcript:ONIVA05G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRRQAAGVGGGGGGARLFPCLFCNKTFLKSQALGGHQNAHKKDRVAGGGSCNPYLYGGVAAAAGVTDDPYYAWGGGGVPGYSGGNYPPAATTTPIAGAPHGGGPSTTPVVAAGSSSSSRHGSIGCWRMASDEVDGGASETTKGGEKLDLELRL >ONIVA05G09440.1 pep chromosome:AWHD00000000:5:8730877:8731499:1 gene:ONIVA05G09440 transcript:ONIVA05G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDASQACKFFRRGAQVTNQTGPLSLTAAPTARVDGKEVRLFACLFCDKTFLKSQALGGHQNAHRKDRVATGGWNPYVYHHAAAVATAGAPSLSSSPSAASWPPAASACLDAAARSIPISSHGCNVGPEWWSGAGVGAALRFTEHAQLLAVLGSGRAVLAAGDRSAGRDDNTVDMLNWWTRASHAAVSSMGAGDEQLDFELRL >ONIVA05G09430.1 pep chromosome:AWHD00000000:5:8722447:8722884:1 gene:ONIVA05G09430 transcript:ONIVA05G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRRQAGEGGGGGRLFPCLFCSKTFLKSQALGGHQNAHKKDRLAGGGSCNPYLYGGVAAAAGVPDDPYYAWGGGGVPGYSGGNNPPAATTPIAGAPHGGGAAGLVFAARGIGCRRMGSDDGATGKKNVAGGGEKQKLDLELRL >ONIVA05G09420.1 pep chromosome:AWHD00000000:5:8710930:8711496:1 gene:ONIVA05G09420 transcript:ONIVA05G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCRRRHDGGGGGGCRRSSRVFECLFCDKTFHKSQALGGHQNAHKKEHRVAAAGDWDPYYVHGNGIHPAATAARDPYAGYPAVSTTMPPPPVAGGRTTPHGAVVTAPGLVFAATSRLLRPLPHGHGVAAAGSGGWHDIRAWPVMEYSVDDGAASFFEATRKDGGDATVDDVVVDGGEVEVLDLELRL >ONIVA05G09410.1 pep chromosome:AWHD00000000:5:8689254:8691008:-1 gene:ONIVA05G09410 transcript:ONIVA05G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAPSAPGLAPPPPPPPSKVKVATATVPTNGKIKRGARPMRVSAPAPVVEPRRRMNPLQRLAAAAIDAVEEGLVAGLLERGHALPRTADPAVQIAGNYAPVGERPPVRGLPVSGRLPAFLDGVYVRNGANPLHAPRAGHHLFDGDGMLHAVRLAGGRAESYACRFTETARLRQERDMGRPVFPKAIGELHGHSGVARVLLFGARALCGVLDASRGIGVANAGLVYHDGRLLAMSEDDLPYHVRVTHDGDLETVGRYDFHGQLDADGTMIAHPKLDPVTGELFALSYNVVSKPYLKYFYFTADGRKSRDVDIPVGAPTMIHDFAVTENYAVVPDQQIVFKLQEMVRGGSPVVYDREKASRFGVLPKRAADASELRWVEVPGCFCFHLWNAWEDDATGEIVVIGSCMTPPDAVFNEPSSPEEESFRSVLSEIRLDPRTGVSRRRAVLRDAAEQVNLEAGMVNRQLLGRKTRYAYLAIAEPWPRVSGFAKVDLESGTAERFIYGEGRYGGEPCFVPRAGAAAEDDGHVLCFVHDEERGTSELVVVDAGGAGGEAMEEVAAVKLPGRVPYGLHGTFIGANELQRQA >ONIVA05G09400.1 pep chromosome:AWHD00000000:5:8682563:8684659:-1 gene:ONIVA05G09400 transcript:ONIVA05G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSHNQLPTLVQIYARRRREGFWRLFLPDSRPATTAGSSSPVEPRPRAAAARPRPWTTADSRHPRLPNVVELVSRLHGSMAAGQCKWIV >ONIVA05G09390.1 pep chromosome:AWHD00000000:5:8668574:8668795:-1 gene:ONIVA05G09390 transcript:ONIVA05G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVTAVIAIAAVVLGWITIEMACKPCLDSGRRAMDRALDPNYDPDEPTAAANEPLLADLSAASAAAPAKAI >ONIVA05G09380.1 pep chromosome:AWHD00000000:5:8658322:8668893:1 gene:ONIVA05G09380 transcript:ONIVA05G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKISISLSKKSPPNLQKPFSYLREEATSLLAAGDWRSPPPATAMVFLQRKRPPPPPPPPSPPNPPPRAPQATRTATPDDASASAAVDDAAALLAEAGCTLLVPPHQPPALPSPLSFAPRLARALAAADAALRDRLLAGLAAFAESPARLRQLLLPTSAAHSPSLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIVGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGTVDPWAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSMIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVCVNIIPLVSTSPQMSQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKYLDGARWGSLSAMQKKTVCHSLYYAINWIRELLNAFSTQVATRVDNVSQRARDETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRFVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASLEKSTSDDKFKQSTILDAFKRAGVTISQETNRDSQPSPSGMISRVMEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEMPLYVYLLRELQNKLDNLYPSSKPFFSSSQVKSTQTYCQKSMEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEVLGCYRKLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVCSFSYLLAYDVLITMQSVLNSVIMLFEKSGEQNGKNVHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQLYLKNSDSTSDLLDELACSVLPKVPSLKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVAMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQIYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNENEAPLEE >ONIVA05G09380.2 pep chromosome:AWHD00000000:5:8658322:8668893:1 gene:ONIVA05G09380 transcript:ONIVA05G09380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKISISLSKKSPPNLQKPFSYLREEATSLLAAGDWRSPPPATAMVFLQRKRPPPPPPPPSPPNPPPRAPQATRTATPDDASASAAVDDAAALLAEAGCTLLVPPHQPPALPSPLSFAPRLARALAAADAALRDRLLAGLAAFAESPARLRQLLLPTSAAHSPSLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIVGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGTVDPWAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSMIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVCVNIIPLVSTSPQMSQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKYLDGARWGSLSAMQKKTVCHSLYYAINWIRELLNAFSTQVATRVDNVSQRARDETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRFVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASLEKSTSDDKFKQSTILDAFKRAGVTISQETNRDSQPSPSGMISRVMEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEMPLYVYLLRELQNKLDNLYPSSKPFFSSSQVKSTQTYCQKSMEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKELLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVLNSVIMLFEKSGEQNGKNVHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQLYLKNSDSTSDLLDELACSVLPKVPSLKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVAMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQIYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNENEAPLEE >ONIVA05G09380.3 pep chromosome:AWHD00000000:5:8658322:8668893:1 gene:ONIVA05G09380 transcript:ONIVA05G09380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARKISISLSKKSPPNLQKPFSYLREEATSLLAAGDWRSPPPATAMVFLQRKRPPPPPPPPSPPNPPPRAPQATRTATPDDASASAAVDDAAALLAEAGCTLLVPPHQPPALPSPLSFAPRLARALAAADAALRDRLLAGLAAFAESPARLRQLLLPTSAAHSPSLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIVGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGTVDPWAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSMIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVSQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKLNAFSTQVATRVDNVSQRARDETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRFVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASLEKSTSDDKFKQSTILDAFKRAGVTISQETNRDSQPSPSGMISRVMEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEMPLYVYLLRELQNKLDNLYPSSKPFFSSSQVKSTQTYCQKSMEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEVLGCYRKLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVCSFSYLLAYDVLITMQSVLNSVIMLFEKSGEQNGKNVHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQLYLKNSDSTSDLLDELACSVLPKVPSLKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVAMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQIYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNENEAPLEE >ONIVA05G09380.4 pep chromosome:AWHD00000000:5:8658322:8668893:1 gene:ONIVA05G09380 transcript:ONIVA05G09380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARKISISLSKKSPPNLQKPFSYLREEATSLLAAGDWRSPPPATAMVFLQRKRPPPPPPPPSPPNPPPRAPQATRTATPDDASASAAVDDAAALLAEAGCTLLVPPHQPPALPSPLSFAPRLARALAAADAALRDRLLAGLAAFAESPARLRQLLLPTSAAHSPSLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIVGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGTVDPWAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSMIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVSQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKLNAFSTQVATRVDNVSQRARDETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRFVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASLEKSTSDDKFKQSTILDAFKRAGVTISQETNRDSQPSPSGMISRVMEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEMPLYVYLLRELQNKLDNLYPSSKPFFSSSQVKSTQTYCQKSMEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEVLGCYRKLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVLNSVIMLFEKSGEQNGKNVHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQLYLKNSDSTSDLLDELACSVLPKVPSLKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVAMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQIYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNENEAPLEE >ONIVA05G09380.5 pep chromosome:AWHD00000000:5:8658322:8668893:1 gene:ONIVA05G09380 transcript:ONIVA05G09380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARKISISLSKKSPPNLQKPFSYLREEATSLLAAGDWRSPPPATAMVFLQRKRPPPPPPPPSPPNPPPRAPQATRTATPDDASASAAVDDAAALLAEAGCTLLVPPHQPPALPSPLSFAPRLARALAAADAALRDRLLAGLAAFAESPARLRQLLLPTSAAHSPSLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIVGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGTVDPWAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSMIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVSQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKLNAFSTQVATRVDNVSQRARDETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRFVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASLEKSTSDDKFKQSTILDAFKRAGVTISQETNRDSQPSPSGMISRVMEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEVRLDFNYINQLLNMVKLLRLTLFVTQMPLYVYLLRELQNKLDNLYPSSKPFFSSSQVKSTQTYCQKSMEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEVLGCYRKLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVCSFSYLLAYDVLITMQSVLNSVIMLFEKSGEQNGKNVHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQLYLKNSDSTSDLLDELACSVLPKVPSLKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVAMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQIYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNENEAPLEE >ONIVA05G09380.6 pep chromosome:AWHD00000000:5:8658322:8668893:1 gene:ONIVA05G09380 transcript:ONIVA05G09380.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARKISISLSKKSPPNLQKPFSYLREEATSLLAAGDWRSPPPATAMVFLQRKRPPPPPPPPSPPNPPPRAPQATRTATPDDASASAAVDDAAALLAEAGCTLLVPPHQPPALPSPLSFAPRLARALAAADAALRDRLLAGLAAFAESPARLRQLLLPTSAAHSPSLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIVGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGTVDPWAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSMIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVCVNIIPLVSTSPQMSQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKLNAFSTQVATRVDNVSQRARDETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRFVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASLEKSTSDDKFKQSTILDAFKRAGVTISQETNRDSQPSPSGMISRVMEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEVRLDFNYINQLLNMVKLLRLTLFVTQMPLYVYLLRELQNKLDNLYPSSKPFFSSSQVKSTQTYCQKSMEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEVLGCYRKLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVLNSVIMLFEKSGEQNGKNVHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQLYLKNSDSTSDLLDELACSVLPKVPSLKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVAMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQIYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNENEAPLEE >ONIVA05G09380.7 pep chromosome:AWHD00000000:5:8658322:8668893:1 gene:ONIVA05G09380 transcript:ONIVA05G09380.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARKISISLSKKSPPNLQKPFSYLREEATSLLAAGDWRSPPPATAMVFLQRKRPPPPPPPPSPPNPPPRAPQATRTATPDDASASAAVDDAAALLAEAGCTLLVPPHQPPALPSPLSFAPRLARALAAADAALRDRLLAGLAAFAESPARLRQLLLPTSAAHSPSLARALLSVPALQPGLLGLLLDKLPEHFDNVLDGMPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIVGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGTVDPWAARGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSMIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVSQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKLNAFSTQVATRVDNVSQRARDETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRFVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASLEKSTSDDKFKQSTILDAFKRAGVTISQETNRDSQPSPSGMISRVMEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEVRLDFNYINQLLNMVKLLRLTLFVTQMPLYVYLLRELQNKLDNLYPSSKPFFSSSQVKSTQTYCQKSMEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEVLGCYRKLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVLNSVIMLFEKSGEQNGKNVHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQLYLKNSDSTSDLLDELACSVLPKVPSLKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVAMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQIYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNENEAPLEE >ONIVA05G09370.1 pep chromosome:AWHD00000000:5:8637120:8637857:-1 gene:ONIVA05G09370 transcript:ONIVA05G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPRGLIGGRGRHGRLLARTEQLIDTQNQIEARRHRQRHARAPAASITETCSFAKLCMESQSSLPLTARAAADTSVLASAAVLLVKQNLHGATAAAGGGDLDGTPYDNVDDGMVRYGRAVAARLAGAARRRQWRRRTPLQRRHGHHQAAPTPTFFLFLVGLELDLEPASLRRTGRTALANVALALVAAALLAATAHALPLAMAREVVAREAVPRPPVPSKPCALWASPPSPSKP >ONIVA05G09360.1 pep chromosome:AWHD00000000:5:8590367:8623261:1 gene:ONIVA05G09360 transcript:ONIVA05G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSSGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTVMGRWGLTAPSEWNISGEPCSGVASDNSDWDNYPKDPAIKCDCSSNDNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFIGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLAFQGNSFEGPIPASLSNLTKLTNLRIGDIVNGSSSLGFISNLTSLTNLVLRNCRISENLETVDFSKFAALTMLYVFIIGHGACYNAFGHFLELISICSSNVSFLWHRDLSFNNITGQVPQSILNLGMLQFLFLGNNSLIGSLPDVISSSLKVIIPSGLNCLQQDTPCFRGSPKYYSFAVDCGSDISTRGSDNTIYEADATNLGDASYYVTDQIRWVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKKGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTGIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPNLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPKVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSLQSSKESETRKETNAWRQIDSDAA >ONIVA05G09360.10 pep chromosome:AWHD00000000:5:8591064:8623261:1 gene:ONIVA05G09360 transcript:ONIVA05G09360.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESGGGGGGGEVWIESRCVVSALNTVMGRWGLTAPSEWNISGEPCSGVASDNSDWDNYPKDPAIKCDCSSNDNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFIGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLVLRNCRISENLETVDFSKFLGNNSLIGSLPDVISSSLKVMNLVANNIVLGSTKNSDISTRGSDNTIYEADATNLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSEHFQTAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKKGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTGIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPNLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPKVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSLQSSKESETRKETNAWRQIDSDAA >ONIVA05G09360.2 pep chromosome:AWHD00000000:5:8591064:8623261:1 gene:ONIVA05G09360 transcript:ONIVA05G09360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESGGGGGGGEVWIESRCVVSALNTVMGRWGLTAPSEWNISGEPCSGVASDNSDWDNYPKDPAIKCDCSSNDNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFIGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLAFQGNSFEGPIPASLSNLTKLTNLRIGDIVNGSSSLGFISNLTSLTNLVLRNCRISENLETVDFSKFLGNNSLIGSLPDVISSSLKVMNLVANNIVLGSTKNSIPSGLNCLQQDTPCFHYSFAVDCGSDISTRGSDNTIYEADATNLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSEHFQTAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKKGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTGIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPNLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPKVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSLQSSKESETRKETNAWRQIDSDAA >ONIVA05G09360.3 pep chromosome:AWHD00000000:5:8590367:8623261:1 gene:ONIVA05G09360 transcript:ONIVA05G09360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSSGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTVMGRWGLTAPSEWNISGEPCSGVASDNSDWDNYPKDPAIKCDCSSNDNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFIGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLAFQGNSFEGPIPASLSNLTKLTNLRIGDIVNGSSSLGFISNLTSLTNLVLRNCRISENLETVDFSKFLGNNSLIGSLPDVISSSLKVIIPSGLNCLQQDTPCFRGSPKYYSFAVDCGSDISTRGSDNTIYEADATNLGDASYYVTDQIRWVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKKGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTGIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPNLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPKVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSLQSSKESETRKETNAWRQIDSDAA >ONIVA05G09360.4 pep chromosome:AWHD00000000:5:8590367:8623261:1 gene:ONIVA05G09360 transcript:ONIVA05G09360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSSGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTVMGRWGLTAPSEWNISGEPCSGVASDNSDWDNYPKDPAIKCDCSSNDNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFIGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLAFQGNSFEGPIPASLSNLTKLTNLRIGDIVNGSSSLGFISNLTSLTNLVLRNCRISENLETVDFSKFAALTMLYVFIIGHGACYNAFGHFLELISICSSNVSFLWHRDLSFNNITGQVPQSILNLGMLQFLFLGNNSLIGSLPDVISSSLKVMYYTFRTKLPPARHSLFPWISQIDISTRGSDNTIYEADATNLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSEHFQTAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKKGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTGIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPNLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPKVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSLQSSKESETRKETNAWRQIDSDAA >ONIVA05G09360.5 pep chromosome:AWHD00000000:5:8590367:8623261:1 gene:ONIVA05G09360 transcript:ONIVA05G09360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSSGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTVMGRWGLTAPSEWNISGEPCSGVASDNSDWDNYPKDPAIKCDCSSNDNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFIGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLAFQGNSFEGPIPASLSNLTKLTNLRIGDIVNGSSSLGFISNLTSLTNLVLRNCRISENLETVDFSKFLGNNSLIGSLPDVISSSLKVMYYTFRTKLPPARHSLFPWISQIDISTRGSDNTIYEADATNLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSEHFQTAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKKGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTGIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPNLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPKVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSLQSSKESETRKETNAWRQIDSDAA >ONIVA05G09360.6 pep chromosome:AWHD00000000:5:8590367:8623261:1 gene:ONIVA05G09360 transcript:ONIVA05G09360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSSGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTVMGRWGLTAPSEWNISGEPCSGVASDNSDWDNYPKDPAIKCDCSSNDNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFIGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLAFQGNSFEGPIPASLSNLTKLTNLRIGDIVNGSSSLGFISNLTSLTNLVLRNCRISENLETVDFSNIPSGLNCLQQDTPCFRGSPKYYSFAVDCGSDISTRGSDNTIYEADATNLGDASYYVTDQIRWVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKKGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTGIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPNLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPKVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSLQSSKESETRKETNAWRQIDSDAA >ONIVA05G09360.7 pep chromosome:AWHD00000000:5:8590367:8623261:1 gene:ONIVA05G09360 transcript:ONIVA05G09360.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSSGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTVMGRWGLTAPSEWNISGEPCSGVASDNSDWDNYPKDPAIKCDCSSNDNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFIGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLAFQGNSFEGPIPASLSNLTKLTNLRIGDIVNGSSSLGFISNLTSLTNLVLRNCRISENLETYTFRTKLPPARHSLFPWISQIDISTRGSDNTIYEADATNLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSEHFQTAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKKGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTGIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPNLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPKVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSLQSSKESETRKETNAWRQIDSDAA >ONIVA05G09360.8 pep chromosome:AWHD00000000:5:8591064:8623261:1 gene:ONIVA05G09360 transcript:ONIVA05G09360.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESGGGGGGGEVWIESRCVVSALNTVMGRWGLTAPSEWNISGEPCSGVASDNSDWDNYPKDPAIKCDCSSNDNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFIGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLVLRNCRISENLETVDFSKFLGNNSLIGSLPDVISSSLKVMNLVANNIVLGSTKNSIPSGLNCLQQDTPCFHYSFAVDCGSDISTRGSDNTIYEADATNLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSEHFQTAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKKGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTGIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPNLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPKVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSLQSSKESETRKETNAWRQIDSDAA >ONIVA05G09360.9 pep chromosome:AWHD00000000:5:8590366:8623261:1 gene:ONIVA05G09360 transcript:ONIVA05G09360.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSSGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTVMGRWGLTAPSEWNISGEPCSGVASDNSDWDNYPKDPAIKCDCSSNDNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFIGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLVLRNCRISENLETVDFSKFLGNNSLIGSLPDVISSSLKVMNLVANNIVLGSTKNSDISTRGSDNTIYEADATNLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSEHFQTAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKKGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTGIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPNLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPKVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSLQSSKESETRKETNAWRQIDSDAA >ONIVA05G09350.1 pep chromosome:AWHD00000000:5:8576833:8582982:1 gene:ONIVA05G09350 transcript:ONIVA05G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYSGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGAELFTFRFDAPARSVEFAIGDGLAVITTDNFMGNVPTAQVKRIADDPDDQSEESLLVISGIKGRINRAVWGPLNRTIITAGEDATIRIWDTEILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKI >ONIVA05G09340.1 pep chromosome:AWHD00000000:5:8571033:8572943:1 gene:ONIVA05G09340 transcript:ONIVA05G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTHKANYSEYVLAKAIWVETQYATWEKQQEEIEQTKELINRLGAGVNAGRVSSEQKKLEPRQAALSLQTTATTNGWQQPRVTAMIGRRPDE >ONIVA05G09330.1 pep chromosome:AWHD00000000:5:8568599:8570640:1 gene:ONIVA05G09330 transcript:ONIVA05G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVSTDPVLMGRAVGALVASASASPAPLHALNGLVASIRSPWTSSTRAASTAPLGVRPPPPLPSTPLPRVPDPLRRHATAHVEHLEVLKGVTVLKDVSWEVQRGEKVRLVSVNRTTHLRIAAGLEDPDTGNVVKAKKNVRIASLSQEFEVCALLTIREEFLAAFKEEMEAALEGATEDMDLGRLLDELDLLQRRSQDVEVKIQKLMPKLGFAPQDTDRLVASSGGR >ONIVA05G09320.1 pep chromosome:AWHD00000000:5:8563384:8563873:-1 gene:ONIVA05G09320 transcript:ONIVA05G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGPGSRCARSGKLREMGFYGVEQWRQFVASPYICVGVTTVAKYRAVIGNELRVGGSGAVLMALLGVTESGFRGTYQNEQPSSSPAAADGGARGSSADGGGGGGRTPGGTVLAARVELVHGLRMAATSPFSACSGVGEADAEATSAPTARAHQHRIR >ONIVA05G09310.1 pep chromosome:AWHD00000000:5:8557557:8557778:-1 gene:ONIVA05G09310 transcript:ONIVA05G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGRPAPTQKQKPKATAWASVEAEGHGTRRGEAALVTSELLAFASTEGXLSAFAANTDYRHGHSTPSPCSSA >ONIVA05G09300.1 pep chromosome:AWHD00000000:5:8542913:8561030:1 gene:ONIVA05G09300 transcript:ONIVA05G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNPAFQPAMTTSVVAQGCGLAAPVAAAVQGRGSAAPGAAAARWLLSAAVARDLHGGEVARRRLGEEQQKRPLTPPSTLITSVVMMASGGNFRCRFLLGGIVEKALSLWVSCFYCLSVAGSAVDEWCGCFFS >ONIVA05G09290.1 pep chromosome:AWHD00000000:5:8541041:8541247:-1 gene:ONIVA05G09290 transcript:ONIVA05G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGREEEDGNDEAEEAWPRGEVRWWEADATGHRGGGEEEVGGAGVGRESGGGVGWRYRGRKRSGCWR >ONIVA05G09280.1 pep chromosome:AWHD00000000:5:8523651:8526296:-1 gene:ONIVA05G09280 transcript:ONIVA05G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRDRNNMVSELSVHGIPCQETRWLTHGCSSDFQTLNPSIGGSCTGGARQVFDAMPSWLGAGAGAALHIQVSHIIYPVTNKVLYQVYDIYGAEELHILVVDAWRVEALVWFRARGDAEKARGATHGRNIYDGGCLLEAQHAQPCSKDGAMPTPTKCSTPVPSCAITKSDAESTPTTLEHVFPATMSQSMPSAASAAAAPPVSLTATKEDEADMDKSEIKPEETFQKLCAKMIAMLNNMLVTCESTASVDITRVVAVTSTNTESVPNTLEVRKEANSISLVDTNESCMATPTKCLTKGNEQMINDDDDNMATEDLVEHTEVNSKFTLLQTSFNNPWFGHQAISVVYLTCYSCLDRSSEYTARSPLVPRWRAVIPWNQAEMTFGSRPLPRPDPQLSQGSEARGGEVSMELATSQANCKGDRVEHQPQPWPNPQLNESSGDVVYLLQRWSLPDLSLRIGVAIVQEQGQLLQKQDKKNPRSALFEAGDKTDVGAFLSLGRTLSKVQWLFLFRPWDSLQTVECLTYMGKLIAKSNFVVRGCSVNLWEVHKQSYLAETLHLQYILLVGIIWDPGEFGLQGLSVQRKSNAVLDSFVIMEYWFMQQLMLTMHTERHWEFLFYPHHLDVSVRADLEPLLQIMASMTKLIKLRFWPALGRWGDQVLNQVHDLCKCCQDQSLFQFTFSIGCSLNLCHLGFKVPYAIQEIIITEMKMTWLWDLETVKLLVAILYSMFMQATAFHEAQVQENAMSRPWDPGGIASAGKLNCICSEGSMTSTNMDKQMCIVKMVVHLNFIGVMLQVTMGSSYISMLISRARDWTVKGLSKYVNSWMYFSSSTRAQWYGASYFTKIWTNCYLNYSKARIFGKN >ONIVA05G09270.1 pep chromosome:AWHD00000000:5:8516358:8519190:-1 gene:ONIVA05G09270 transcript:ONIVA05G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSASSFFLPIIIILVVVRGRRENTWKGEAASAGERGGGEEAAADPRDLYYIPGISLSLFTSMPHGLGHSAHPMRCGPRLVVGYPDTVYPTKTERGGVGDGAGLGALGIGVDGGDVGCSGDEQRRGGRAREQVHEVREVEVHVCISSPQRTSGVTTVAEYWAVVGNELRAGGALEGEGKRINHDYFCFCYRSKNVINQGIDSLAVTEVIAAELFGFNSPLIYLYDPYSVQEGEVKRINPDCFRFRYCCDNVINQGIDSLAVTEVIAVELPLLK >ONIVA05G09260.1 pep chromosome:AWHD00000000:5:8505834:8506496:-1 gene:ONIVA05G09260 transcript:ONIVA05G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHGVTLERSGALKTNPTGSSAGPPPAPAASASSPLPRQAQGHKVVSLAQLHNKRPPAATGLRLDFDDGGSEHVSTTTTSSASSILSDELATQFDRYKNEMARMFQDHVRIVDVVDRVDSLLAAAGVRLCVFYGGGVAFACLLQTERLRRTAGGRRRRRPRMRRGAAPSWRSVSRGCGRRRQRGTLPSSRGQGGAWKPPAAPDVDEVRPPLLKPPCPSL >ONIVA05G09250.1 pep chromosome:AWHD00000000:5:8505196:8505903:1 gene:ONIVA05G09250 transcript:ONIVA05G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSGVLGDFVDVLHDLHGEEKMR >ONIVA05G09240.1 pep chromosome:AWHD00000000:5:8493788:8494171:1 gene:ONIVA05G09240 transcript:ONIVA05G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPSPSPNSIHSAAAFIPFFAATIHSVAATVPFKGQWPPQPCGCVEGGGWREQAVPARATAGAPLLLLHLLVVTEAAGRGTPTESASGAPLVLIPPPLGLAAAVAAGRADPAPDASGSAVMPLPFP >ONIVA05G09230.1 pep chromosome:AWHD00000000:5:8481562:8489552:1 gene:ONIVA05G09230 transcript:ONIVA05G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCCSSNRASGLLVWLVLVCSWQIAAAQPQQAAKTDPTEVAALNTILGRWSKKASSEWNISGEPCSGVASDPSNWDNFPNINPLIKCACTYNNNTVCHITKLKVYKLDVVGQIPAELQNLTYLEDLGLALNPLSGPLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLQQLYIDSSGFSGPFPPTFSKLKNLNTLAFQGNSFEGPIPASEVPQSILNMGKLEFLFLGNNSLTGKLPDVISSSLKTIYRFLLQSAHWKLSFLGYPEQFAIQTNLMLVAITADYFFAVDCGSNSSTRGSDNTIYEAEPTNLGDASYYVTGQTRWVNSRLFETARMSPSSLRYYGLGLENGNYTILLQFAEVAYPDSQTWQSLGRRVFDIYIQGSLREKDFDIRKMAGGKSFIVVHRSYTATVSNNFLEIHLFWAGKGTCCIPTNGYYGPMISALSVSPNFTPTVRNGVPKRRSKVHTIAGILIGASILGLAALFGIFMMVKKRRTMAQQKEELYNLIGRPDVFSNTELRLATDNFSSQNILGEGGYGMVYKGKLSDGRVIAVKQLSQSSHQGKSQFVAEVTTISAMQHRNLVKLHGFCIDSNTPLLVYEYLQNGSLDTALFGHSRLNLDWGTRFNIILGIASGLTYLHEESSVRIVHRDIKASNILLETDLTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGRLTEKVDVFAFGVVVLEIVAGRSNTNNSLEESKIYLFEWLWDLYEKEQVLGIVDPSLKDFNNNKAFRVIRVALLCTQGSPHQRPPMSKALAMLTGEVELSEVVVKPSYITEWQLRDVNRSYATSSYSGSTNPEFSTQKEIEPLTQS >ONIVA05G09230.2 pep chromosome:AWHD00000000:5:8481562:8489552:1 gene:ONIVA05G09230 transcript:ONIVA05G09230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCCSSNRASGLLVWLVLVCSWQIAAAQPQQAAKTDPTEVAALNTILGRWSKKASSEWNISGEPCSGVASDPSNWDNFPNINPLIKCACTYNNNTVCHITKLKVYKLDVVGQIPAELQNLTYLEDLGLALNPLSGPLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLQQLYIDSSGFSGPFPPTFSKLKNLNTLILRNCKVSGEVPQSILNMGKLEFLFLGNNSLTGKLPDVISSSLKTIYRFLLQSAHWKLSFLGYPEQFAIQTNLMLVAITADYFFAVDCGSNSSTRGSDNTIYEAEPTNLGDASYYVTGQTRWVNSRLFETARMSPSSLRYYGLGLENGNYTILLQFAEVAYPDSQTWQSLGRRVFDIYIQGSLREKDFDIRKMAGGKSFIVVHRSYTATVSNNFLEIHLFWAGKGTCCIPTNGYYGPMISALSVSPNFTPTVRNGVPKRRSKVHTIAGILIGASILGLAALFGIFMMVKKRRTMAQQKEELYNLIGRPDVFSNTELRLATDNFSSQNILGEGGYGMVYKGKLSDGRVIAVKQLSQSSHQGKSQFVAEVTTISAMQHRNLVKLHGFCIDSNTPLLVYEYLQNGSLDTALFGHSRLNLDWGTRFNIILGIASGLTYLHEESSVRIVHRDIKASNILLETDLTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGRLTEKVDVFAFGVVVLEIVAGRSNTNNSLEESKIYLFEWLWDLYEKEQVLGIVDPSLKDFNNNKAFRVIRVALLCTQGSPHQRPPMSKALAMLTGEVELSEVVVKPSYITEWQLRDVNRSYATSSYSGSTNPEFSTQKEIEPLTQS >ONIVA05G09230.3 pep chromosome:AWHD00000000:5:8481562:8489552:1 gene:ONIVA05G09230 transcript:ONIVA05G09230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCCSSNRASGLLVWLVLVCSWQIAAAQPQQAAKTDPTEVAALNTILGRWSKKASSEWNISGEPCSGVASDPSNWDNFPNINPLIKCACTYNNNTVCHITKLKVYKLDVVGQIPAELQNLTYLEDLGLALNPLSGPLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLQQLYIDSSGFSGPFPPTFSKLKNLNTLILRNCKVSGEVPQSILNMGKLEFLFLGNNSLTGKLPDVISSSLKTIYRFLLQSAHWKLSFLGYPEQFAIQTNLMLVAITADYFFAVDCGSNSSTRGSDNTIYEAEPTNLGDASYYVTGQTRWGVSNVGKFSLASNGMNIISSSEHFQNAVNSRLFETARMSPSSLRYYGLGLENGNYTILLQFAEVAYPDSQTWQSLGRRVFDIYIQGSLREKDFDIRKMAGGKSFIVVHRSYTATVSNNFLEIHLFWAGKGTCCIPTNGYYGPMISALSVSPNFTPTVRNGVPKRRSKVHTIAGILIGASILGLAALFGIFMMVKKRRTMAQQKEELYNLIGRPDVFSNTELRLATDNFSSQNILGEGGYGMVYKGKLSDGRVIAVKQLSQSSHQGKSQFVAEVTTISAMQHRNLVKLHGFCIDSNTPLLVYEYLQNGSLDTALFGHSRLNLDWGTRFNIILGIASGLTYLHEESSVRIVHRDIKASNILLETDLTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGRLTEKVDVFAFGVVVLEIVAGRSNTNNSLEESKIYLFEWLWDLYEKEQVLGIVDPSLKDFNNNKAFRVIRVALLCTQGSPHQRPPMSKALAMLTGEVELSEVVVKPSYITEWQLRDVNRSYATSSYSGSTNPEFSTQKEIEPLTQS >ONIVA05G09230.4 pep chromosome:AWHD00000000:5:8481562:8489552:1 gene:ONIVA05G09230 transcript:ONIVA05G09230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCCSSNRASGLLVWLVLVCSWQIAAAQPQQAAKTDPTEVAALNTILGRWSKKASSEWNISGEPCSGVASDPSNWDNFPNINPLIKCACTYNNNTVCHITKLKVYKLDVVGQIPAELQNLTYLEDLGLALNPLSGPLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLQQLYIDSSGFSGPFPPTFSKLKNLNTLILRNCKVSGEVPQSILNMGKLEFLDFSYNQLTGNFPSWATQNNLQLNLVANKFDAGSNNRSSQHVFRNFKNNGYVFHILTDYFFAVDCGSNSSTRGSDNTIYEAEPTNLGDASYYVTGQTRWGVSNVGKFSLASNGMNIISSSEHFQNAVNSRLFETARMSPSSLRYYGLGLENGNYTILLQFAEVAYPDSQTWQSLGRRVFDIYIQGSLREKDFDIRKMAGGKSFIVVHRSYTATVSNNFLEIHLFWAGKGTCCIPTNGYYGPMISALSVSPNFTPTVRNGVPKRRSKVHTIAGILIGASILGLAALFGIFMMVKKRRTMAQQKEELYNLIGRPDVFSNTELRLATDNFSSQNILGEGGYGMVYKGKLSDGRVIAVKQLSQSSHQGKSQFVAEVTTISAMQHRNLVKLHGFCIDSNTPLLVYEYLQNGSLDTALFGHSRLNLDWGTRFNIILGIASGLTYLHEESSVRIVHRDIKASNILLETDLTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGRLTEKVDVFAFGVVVLEIVAGRSNTNNSLEESKIYLFEWLWDLYEKEQVLGIVDPSLKDFNNNKAFRVIRVALLCTQGSPHQRPPMSKALAMLTGEVELSEVVVKPSYITEWQLRDVNRSYATSSYSGSTNPEFSTQKEIEPLTQS >ONIVA05G09230.5 pep chromosome:AWHD00000000:5:8481562:8489552:1 gene:ONIVA05G09230 transcript:ONIVA05G09230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCCSSNRASGLLVWLVLVCSWQIAAAQPQQAAKTDPTEVAALNTILGRWSKKASSEWNISGEPCSGVASDPSNWDNFPNINPLIKCACTYNNNTVCHITKLKVYKLDVVGQIPAELQNLTYLEDLGLALNPLSGPLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLQQLYIDSSGFSGPFPPTFSKLKNLNTLRASDNEFTGKIPDYLGRLSKEILLKDQSQQRFLLQSAHWKLSFLGYPEQFAIQTNLMLVAITADYFFAVDCGSNSSTRGSDNTIYEAEPTNLGDASYYVTGQTRWGVSNVGKFSLASNGMNIISSSEHFQNAVNSRLFETARMSPSSLRYYGLGLENGNYTILLQFAEVAYPDSQTWQSLGRRVFDIYIQGSLREKDFDIRKMAGGKSFIVVHRSYTATVSNNFLEIHLFWAGKGTCCIPTNGYYGPMISALSVSPNFTPTVRNGVPKRRSKVHTIAGILIGASILGLAALFGIFMMVKKRRTMAQQKEELYNLIGRPDVFSNTELRLATDNFSSQNILGEGGYGMVYKGKLSDGRVIAVKQLSQSSHQGKSQFVAEVTTISAMQHRNLVKLHGFCIDSNTPLLVYEYLQNGSLDTALFGHSRLNLDWGTRFNIILGIASGLTYLHEESSVRIVHRDIKASNILLETDLTPKISDFGLAKLYDEKKTHVSTRIAGTLGYLAPEYAMRGRLTEKVDVFAFGVVVLEIVAGRSNTNNSLEESKIYLFEWLWDLYEKEQVLGIVDPSLKDFNNNKAFRVIRVALLCTQGSPHQRPPMSKALAMLTGEVELSEVVVKPSYITEWQLRDVNRSYATSSYSGSTNPEFSTQKEIEPLTQS >ONIVA05G09220.1 pep chromosome:AWHD00000000:5:8462535:8467547:-1 gene:ONIVA05G09220 transcript:ONIVA05G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIWLFMYCCVLRLNPCRPTDERIILTRGLELSRVHAAARDSSCTAAAFSKPSTASSPSYCAAGAAAFSELSTTSSPSSYAAGVAAFSEPSTASSSAAVVCRLIAGVAPAAYSLDQASLEMQDF >ONIVA05G09210.1 pep chromosome:AWHD00000000:5:8458074:8459240:1 gene:ONIVA05G09210 transcript:ONIVA05G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNVTAYFLVLLVFLGNSNIAAAECWETTSSSPICVGFLCKGTCWIGAKIWKAKVKVHKCMGSTLSSSCYCYYCDNKP >ONIVA05G09200.1 pep chromosome:AWHD00000000:5:8438900:8439938:-1 gene:ONIVA05G09200 transcript:ONIVA05G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGKGISSSNNGCEGGGDEPKAAWEIDLSRLRIRQGAPVKHGFRGSLFRADYDGRDVAVKLLDWGKEGFSTPEQIAHLRASLRGVAEAWHKIDHPNITKFVGASMATADLNIPQGQSTAPPLPPPPPDTPCCVVVEYLTGGTLKSYLIKHMERKLAYKDAGLSYLHSRKIVHRDVKTDNMLLDGELNLKIADFGVARIEASDPREMTGVMWTLGYMAPRCSSGSRTTASATFGICLWEIYCCEMPFMDLSLADVSSAVVHKDLRPEIPRCCPRDMALIMRRCCAANPAARPEMEEVVGMLEALDMKKGRGMVPLGETAGCFCISLRRRGS >ONIVA05G09190.1 pep chromosome:AWHD00000000:5:8425946:8427633:-1 gene:ONIVA05G09190 transcript:ONIVA05G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVCPNLDREDGLDTVLEVPVPELHREAPRRRGRRGGGGTVKSWVRARMEHGRRRDGAAPSRAEVQLMLGVVGAPLVPQAVEARKAMVAGRGVGGEGEEPLDLEASKARYIVEHYVAAVGGEAALGSATSMYAMGKVRMRTTTTSKANKGKVMGVAAGGEVAGGFVVWQKKPELWCVEMVVAGGVKMSAGSDGKVAWRQTPWQEAHASRGPPRPLRRCIQGLDPKSTADLFSSAAWVGERCVDGDDCFVLRVDADHAALRARSSGDVEVVRHAVLGYFSQRTGLLVRLEDSHLLRIGLAHAAAESAYWETTMESSIGDYRAVDGINIAHAGRTAVSLSRFESADDAAAAARGSNKRSWGTTTMEETWSIEEVDFNVVGLSMDCFLPPRDLVLNDCSKQQQKEDAAAAVVVKDAAAAVVVKDAAGAAANAKGGSDDGKTNGGDVGRGVVVKKALVPAVTGLGWFGPAKVVAVDDTVDDGVAAAADDDK >ONIVA05G09180.1 pep chromosome:AWHD00000000:5:8425435:8428409:1 gene:ONIVA05G09180 transcript:ONIVA05G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLALDASRSRGSSPSPPTPRPATMAFLASTACGTSGAPTTPSISCTSARDGAAPSRLRPCSILARTHDFTVPPPPRRPRRRGASRWSSGTGTSSTVSRPSSRSRVKWQQQCRGDMRFDR >ONIVA05G09170.1 pep chromosome:AWHD00000000:5:8409715:8417740:-1 gene:ONIVA05G09170 transcript:ONIVA05G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTLIAMDAMIGDPMSATSVEAVFEKQPSPEFRELVTPRAMAVAVVLSVVICFVGMRIQMTAGIVPALNMPASILSFFLLKWLIRLLQSCGFPMLPFTRQENMFLLTCIITCLNLALTSGFATNIIGMTSTVARSLADDPDPRDIMDHVPIGKWIVYLFLVGMTGVLINVPFNQVMIIDYKLLFPTGTVIAQLINSFHTPEGAYWFYTAGDDCGFQHFPTFGLGLYKHRFYFDFSATYIGLGMICPHIVNFGLFFGAIISWGFLYPFLETKRGQWYQTDSPTSLNGQNGYKVFISVTLIITDGMINFLTLITTASINFYQLRKEHDLGLANYFKKHPSLNYDDRKRIEVFLANRIPIPVPVAAYITCAAISTIAIPAMFNQIKFYHLAVLYMVIPVVTFCNTYATGLTDWSVAPTYAKFTTFVFAAWIAKPGAVVASLLASGVIVAALHISSQAMQDLKSGHMTLTSPRAMVTGQIFGVAVGSILCPCVFLAFQSTTKPNAPVGSKQSDYPCPFAGLYRAIGVIGTGGVKELPKHCMTFCVVAFCVTVIIDAVVLVSQKRRWSIHRYIPSMTVIALPFFAGSYFTIDMCVGSLLLLAWTRMNAKSAEMLSSAVAAGLICGEGLFTLPSALLNMFKVQPPMCMKFLSGGEEVEAADSFLNNLGTSRT >ONIVA05G09170.2 pep chromosome:AWHD00000000:5:8417748:8423082:-1 gene:ONIVA05G09170 transcript:ONIVA05G09170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASIGDPRLTSVEAAFEKNPLPGFSWLVTPRAMAVAVLLGIVFCFVGMRIQMMTGFVPALNMPVTVLSFFLLKVLARQLQKWRLTVVPFTRQENMFLITCVITCLNLAITGGFATALTGMGTIVAKTLADDLDPRDIIDYIPTGKLIIYFFLIGMAGVLSNIPLNQIMIIDYQLLFPTGSVIGHLINSFHTPEGSGCGFSSFPTFGLELYKRRFYIDFSATYIGVGMMCPHIVNFGLLFGAIISWGFLYPYLETKHGEWYQTDSPSNLDGLNGYKVFISVTLIVTDGLINFLILVTSAAINFYHIRQQQQQTSGLASYISKNPSMNYDERKRIEMFLSSKIPMFVPVAAYVAWTAISMVAMPAMFDQIKYYHVGVLYLAIPVVGFCNTYATGLTDWSVSNTYAKFSPFIFAAWIARPGAIVASLLVSGITMASLHVSSQAMQDLKSAHMTLTSPRAMIAGQVFGVALSSVVSPCIFRAFEKAAKPGAPLGSKDSVYPCPYAGLYRAICIIGMGGAKGLPKYCVELCVIAVLVTTAIDALVLVSQLKGWRLHLYIPSMTVIALPFFAGSYFTLDMCLGGLLLLLWKKIDTMSAEILSAAVAAGLICGEGLFTLPSALLNMFKVLPPMCMKFLPSGQEVEVVDSFLNSSGGTVPKT >ONIVA05G09160.1 pep chromosome:AWHD00000000:5:8390761:8395884:1 gene:ONIVA05G09160 transcript:ONIVA05G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFIQASSKSDPLEGSKRRTTQEAVARPASSPGHGDASSPGHAANTLGLCNSPIPICGSYSARGGEPPPEAGRPGSAMSALFNFNSFLTVVLLVICTCTYIKIQFPAILNDRTGCGVLVKICYHGERLSPWVALGWDVEIRVWQVPVVTLRVVPLSGKE >ONIVA05G09160.2 pep chromosome:AWHD00000000:5:8390761:8396291:1 gene:ONIVA05G09160 transcript:ONIVA05G09160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFIQASSKSDPLEGSKRRTTQEAVARPASSPGHGDASSPGHAANTLGLCNSPIPICGSYSARGGEPPPEAGRPGSAMSALFNFNSFLTVVLLVICTCTYIKIQFPAILNDRTGFRGFFWKAARIGERLSPWVALGCFAMGISTIFF >ONIVA05G09150.1 pep chromosome:AWHD00000000:5:8385967:8390121:1 gene:ONIVA05G09150 transcript:ONIVA05G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATTSGGGGGGSQPPRGVGLPLVEVQAAAASLRRSEVFYVVKELLGFVLYMHHQIPAVLQNLENEFASLKEEMTEMALPPGEMKPSDQRKYNTRKREVRRRIKKQEKLMNGLSSVFSALQKALDEVPSIEGVLLILGGSLVRPLFVYDITISHGRFDAGSANERGASKLAQSVSRKVVPLQMCIKCNIAGIQIDNQQITSIVDASRCTSESTISEVPV >ONIVA05G09140.1 pep chromosome:AWHD00000000:5:8381881:8383134:-1 gene:ONIVA05G09140 transcript:ONIVA05G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLLRLSRSILSAAAAAGRTLSTAAPPSRPRWAMIFHVEAARSSLAALRASFQLAEPPRASHVHVPAHLLGLRPLTDPRSQMRLLGGCVRGASGDGLLLLDFTDGLSTGPVVGVHPNGLARQMTGFDRRFDVTRFVCNPVTGQLFRMPDIDGTKDTSWCQFTGILTQSDRPDGPPDRYAVAWLSVDGEDNRRIAMRRFLSQKGEWDKLVGLPSPLPLARQMDVSHEVVAFAGRLWWVDVSWGVVSVDPFSDRPELQFVQLPRGSVTEPVEGIRKLGRFRRVGVSEGRLRYAEVSQKEPFVLSSFALDDNGSSWTLEHRVALSWLGVDGCHPDPEEDDTPRIGVIDPLNASTMYLTIGDSCVAVDMERGEVLGRSQIGCSTGPFSPFTGFLTPCVLPPWLEEFQIPSAGSLLSHALF >ONIVA05G09130.1 pep chromosome:AWHD00000000:5:8375931:8381659:-1 gene:ONIVA05G09130 transcript:ONIVA05G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTLSSTKASIRSKTMADTLARMLLPRHLSAAASGRLRRGLSSAASQPPWAMIQYTRIRASTAERASIELAQPPAVSHLVVPEHLVGLDPEPPVDPNSDILRTVVGDAGATSDGLLLLDFTDIRATARVVANRRAGAQAQAQQQGKKLTGLSFNLHNSRGDTQERELAGVNTNPDITRLVCNPISGELFRLPDINGTKKTMFCHLPGLLTRSAQGHGHGPPDEYAVASLSEGNGRDGKDRGFAMWRFLSQTGEWDKLESLPSPLPLARQLNVHSHHEVVAFAGRIWWVDLGWGVVSADPFSDRPELRFIELPRSSVLPEPTTGEEFMASVLAQGMYRRIGVSEGRLRYVEVSQKKPFVLSSFALDDDYGCWTLEHQNAAAGRPWQDRIPWICAIDPVNASVVCVVVGDHVLAVDMDRREVVGCSDLGECEFHERTFLIGTFLPCVLPPWLGSSRIPSAGKEEGAENKTLADVLVRSSSD >ONIVA05G09120.1 pep chromosome:AWHD00000000:5:8371470:8371769:-1 gene:ONIVA05G09120 transcript:ONIVA05G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGVTLALTAAICFLLVALASARRPADLPPQAVLLLPEPVDYREAAAEPLLPPKPVADADADASDADLTTAAALAVPDEEERGPARARASLLCFVFR >ONIVA05G09110.1 pep chromosome:AWHD00000000:5:8353909:8369300:1 gene:ONIVA05G09110 transcript:ONIVA05G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGLPRVRWTNRDVGSFEGTYLSGMLGDGIPLPQWDSFPSTSISCKSIRHEGRAGSGGRAAAVAGAREPGGGGGSDGRSQGRERRRWPESGSRRLEPESGGMGRQRWPEPESRGRGRRWWPEPRERAAAVAGAREPGEGAAAVARVGEPAVGARERRDGVAAVAGARELREGAAAVAGAREEGALGRQWLAASMSGVYRVIPGRYRVIPDRYHLIHHKYHLIGIA >ONIVA05G09100.1 pep chromosome:AWHD00000000:5:8340290:8348638:-1 gene:ONIVA05G09100 transcript:ONIVA05G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLKQVAQDELTLLLGVSSEIASLHERLNSLKDYLADAERRRITDQSVQGWVRKLKDVMYDVTDILDLCHLKAMQRGGVGSSAPPVNISCLDSLLFCLRNPLFAHDIGSHIKALNARLDAICKSAAAFSFLKLEAYEDMAVPRRPSATDRKTDPVLERSAVVGEKIEEDTRTLVKMLTNGKNKKQNAVVVVAVVGTGGIGKTTLAKKVFNDEAIKEAFDKKIWLSVTQDVNEVELLRTAIRSVSVGGASDGRESNKSLLVPTLVDAIRDKRFFLVLDDVWSDRAWSGLLKVPFSHGATGSRVLVTTRHDAVARGMQAMHPFHHVDKLCPPDAWSLLKKQVVSIEMEEVEIDETLKDIGMEIIDKCGGLPLAVKVMGGLLCKKERRRADWEKILHDSIWSVPQMPDELNYTIYLSYQDLHPCLKQCFLHYSLLPKNVDFFIDTVTSMWISEGFLHGETDDLEQLGEECYKELIYRNLIEPNAEYAGEWVSTMHDVVRSFAQHLARDEALVISSRDEIGRGALKSHKFLRLSIETDDLQPNDEFGWKIIQGQKSLRTLILVGELKINSGDSLITLSSLRTLHIENANCTSTLVESLHQLKHLRYISLKCGDITRLPENIGKMRFLQYVGLVCENLDRLPNSIVKLGQLRYLDLSGTSITSIPRGFCGLTSLRTLYGFPTQVDGDWCSLQELGPLAQLWVLGLSNLENVPAISFAAKARLGEKAHLSYLMLECSSRLGEDGFVEDENGVPAEEQRQIEEVFNALTPPLCIESIEISGHFGEQLPRWMMSRVAGAYERLSMVIMDDLACCNQLPDGLCRLPSLYYFQLTHAPAIKRVGPEFLTILPSSSQLRQAHAFPRLKRMNLIGMVEWEEWEWDQQLNSVHAMPALEELVIENCKLRRLPPGLSSQATALTSLYLRNIQQLNSVESFASLVKLEVYDNPNLESITSLNRLQKLVIDGCPKMRILEGVPELLRLELKDLDMEELPGYLLQSVSPRHLVLDCSLEMLTAISTGESSPEWSKLSRVQHVKAYADQGDNERRWHVLYTRDPYRFETNIGISSSSTSGGKTAISIGARRVVAKDELNLLFGVFLEIATLHDKLRILKDYLADADHRRITELSVQGWVTKLKHTIRIKALNARLDAICKSVATFIFLKLESYEDMVAPRRSSVADRRTDPVLEQSAVVGEKIKEDTRALVRRLTDGKHKKQDAVMVVAMAIKEASDKKIWLSVMQDVNEVDLLRTAIKSAGGASDGRESNKSLLVLALVDAIRDKRFFLVLDGVWSERSWDNLLKAPFSHGAAGSRLLITTRHDEVTQWMEAMQPFHHVDKLYPQDAWLLLKKQVASSDDMEEVEIDDTLKDIGMEIIEKCGGLPLAIKVMGGLLRRREKRRSDWE >ONIVA05G09090.1 pep chromosome:AWHD00000000:5:8338455:8339083:-1 gene:ONIVA05G09090 transcript:ONIVA05G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGASAFERLMIVMMQDLACCTQLPNSLCHLPCLKFFQVMHAPAIKRVGPKFMTIRPSSSQHHHGAHQLSSIESFASLVKLELQDNPDLERVTSLPKLQKLIIVGCSKMRALEGVPELRGLELENYDMEQLPRYLQASRV >ONIVA05G09080.1 pep chromosome:AWHD00000000:5:8334457:8337441:1 gene:ONIVA05G09080 transcript:ONIVA05G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTPAPWLALGTWQERKEHEPRERPRKEHEHSAGELGCRRQFKIRAVKVKEDLESFVPGVSVVINPEKPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIKEIAK >ONIVA05G09080.2 pep chromosome:AWHD00000000:5:8334457:8337441:1 gene:ONIVA05G09080 transcript:ONIVA05G09080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTPAPWLALGTWQERKEHEPRERPRKEHEHSAGELGCRRQFKIRAVKVKEDLESFVPGVSVPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIKEIAK >ONIVA05G09070.1 pep chromosome:AWHD00000000:5:8324377:8332071:-1 gene:ONIVA05G09070 transcript:ONIVA05G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPFRRLRSAAAPVARALSTAAGAGASASRPRWGMIHHTGLLMPPSSPRAAFQLAEPPCASHILVPEHLVDPQPCPPGMMRACSSHARASSGDGLLVLDFTDGLTAAPAAGARGPIPLDGKQLKPDVTRFVCNPLSGELFRVPDIDGTKKTLKWQLVGILTQSDRPNGPPDRYALASFSSNAAADDDDEWSFAVRRFLSQKGEWDEPVVFPSPLPLERPLFVDHDPVTFAGRIWWVDVSWGAISVDPLSDQPELRFVELPGGSVMEPVKDEKRRGLVRYRRLGVSEGRLRYAEASQKEPFVLSSFALDDNGSSWTLEHRVALSRLRVDGGLPLQQEDTPQIGVIDPLNASIMYLKIGVQCISVDMERGKVLGRSLLGDESYTRSCLTALLTPCVLPPWLPSYRIPSAGTPNTKSNIKSKTLSDILSMRTGPHRCETLSAPNALAHGGPSPRPDVTAPCPSAARVRAPLLSMDLTHREPPTPPPRLLR >ONIVA05G09060.1 pep chromosome:AWHD00000000:5:8305581:8324316:-1 gene:ONIVA05G09060 transcript:ONIVA05G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPLRRALSAAASAPVRRALSTASRRPPWAMVEKYVAVGSPERRVSLRLAEPPCGSRLIAPAHLVGYAPRVSDPDTDELNAFFAGFVKAASGDGLLLLTFMEVTGTAPLVPGGGGWRALTGISIDPDMTRFVCNPISGELFGLPDIDGTKKTAWFSDIGILTQFERLHGPPDRFRRVGVSEGRLRYAEVSQEEPYVLSSFALDDDGGGWTLEHRVALRRLWPHDQNLCKNTPQIAAIDPLNAGCMHLVVGRQVVSIDMDNGDLLGCTLGGGSDPSVDTLTPCLLPPWLASCRIPRAGDHLSCDFSREVVAFAGRLWWVDLSWGALSANPFSDRPELRFVELPRGSVTQPMEKXRRELRRFRRVGVSEGRLRYAEVSQEEPYVLSSFALDDDGGGWTLEHRVALRRLWPHDQNLCKNTPQIAAIDPLNAGCMHLVVGRQVVSIDMDNGDLLGCTLGGGSDPSVDTLTPCLLPPWLASCRIPRAETLSRNKADAKSKSLSDMLDANPTATGRRTHSRCPLRAPGLVHQLTQLQNPTCRGLSELHPPRLFGFSFAGKPTTPKMQRLPLRRALSAAASVAARRALSTAAASPSRTPPWAMIYHIPAVRSTAPRAFFILADPPGASNLYVPDHLFDRRPGPGPDNGDVMALLGGMVCTTSGDGLLLLCYSDAHAPVVSTHSGTREPKLIGVDMDPDITRFVCNPITGELFRLPDIDGTKKTLSYGPNAGLLTRSASAAGHGPPDRYAVALLNEDRSRNGDERTFVMRRFLSQTGKWEKLVGLPLPSPLPLPRRMELYLEAVAFAGQLWWVDPTWGAISADPFSHRPELRFVELPRGSVWPMPSTHPVQALGMFRRLGVSEGRLRYVELSNQDPFVLSSFALDDHGGSWTMEHQVELAPLCRDHVNGGGLPSKDYTSTPRIGVIDPLNSRCICVLIGKHVLAVDMDMGKVLGCSLTDESEGSPWAITTCLKPCVLPPWLGSSQIPNAEHRALGGTGPRSRGSPLPLSESELTSVNLVHREPAPPPRLLLDATRVPFSLAFAVRRPPPRLHRRRMQLPLRRALSAAASASAPVRRALSAAAAAAAPVRRALSAAAADADASRHPGWVMIHSIHHATEARTPSPRASLLLAEPPCSSYLLLPDHLVDRRPGPKPGTGIDVVGLLSAVIYATSGDGLLLFAYVDSHAPLSVVSKAFAAGATPTREGELDLDGLNPQDQDLTRFVCNPITGELFRLPDIDGTKKTFFWRHTGLLTRSAAGHGPPDSYAVAMLREHSNSGTFHMWRFLSRTGKWDKIDGLPSPLPLVRRLDIDTEAVAFAGRLWWVDLTWGVISADPFSDRPELHFVELPRGSVWPMPSEDLLVEVQSIHRRVGVSEGRLRYVEVSDKDPFVLSSFALDDDGGSWTLEHRVALGRICEVKGGGPEDTPRIAVIDPLNSSVICVIVGKHVLSVDMEMGKVLGSSPIEEGEGSPWFITSILKSCVLPPWLASSKIPAAGTSASNKGDAKSKTLSDILDIAVKSDPVQSSVLLSSRLYVVLVAGQSAHLFT >ONIVA05G09050.1 pep chromosome:AWHD00000000:5:8260885:8261604:-1 gene:ONIVA05G09050 transcript:ONIVA05G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQTELIVEPEKFTPQSCLKLQTINKEEKLAKQDLINYFDPKCNDSKWFQYSQNLLINFSKVALDAKIAIKDITKFQNHSTTVPTTFQCVNCF >ONIVA05G09040.1 pep chromosome:AWHD00000000:5:8259099:8259443:-1 gene:ONIVA05G09040 transcript:ONIVA05G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRMTSSCYLTGACCHGIVNVVESCPTEEVSSESSETLPSLPHLHQPNTSSGDGDCRTALGAMVLPSSESPCQSSDRHHPVELLVMTLLVAVISSPTSAGHLRWRYHLPHTRR >ONIVA05G09030.1 pep chromosome:AWHD00000000:5:8251152:8251758:1 gene:ONIVA05G09030 transcript:ONIVA05G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARVPASVVSDLIARATWESGLSKHVAATGATRGSHLVTVEPSTCGGSSCKPSPLPLPWQINMYIVVVEFTGQLWWLDLTWYIVSADPFNDRLELDFVELPRNSVCLEPSTNIIQEQGMHRRLVVSEGRLCYTELF >ONIVA05G09020.1 pep chromosome:AWHD00000000:5:8251116:8251541:-1 gene:ONIVA05G09020 transcript:ONIVA05G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMFICHGSGSGDGLQEEPPHVEGSTVTVLAEHGDDIVVRWPVAGIRAGEEASVAAPGEHGAPLGAFDVREAEQLAGERVADGFHVFWFWVTEVASASGAGGGDMLGEAAFPSSTGYEVGDDRGRDAGIHVDEVEAIAACA >ONIVA05G09010.1 pep chromosome:AWHD00000000:5:8234165:8236320:-1 gene:ONIVA05G09010 transcript:ONIVA05G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLRRALSPAAVRRAFSTAAASRPQWAMIRQAPPVRSPSPHASLLLAEPPRDSYLLVPDHLIDRRPGPDPSSDIRGILGGTVHATSGDGGLLLVVYMDSHAPAPIISKIVTGAFPARPRVSDLAGLDLSDPDFIRFVCNPITGELFRLPDIDGTKKTMFRGCDNAGLLTRSAAGAGHGSPDSYAVAVLGEDRNGGTFNMRRFLSRTGKWEKLVGLPSPLPLPRRMDMYTEAVAFAGRLWWADLTWGVVSADPFSDWPELHFVELPRNSVWPVPSTDLVQEQAMHRRLGISEGRLRYVEVSQEDPFVVSSFALDDDGSGWTLEHEVALGRICQVKGGGPRDTARIAVIDPLNASVMYLIVGKHVLGVDMDMGKVMGCSLADETEGPPYAITSVLKPCVLPPWLSSSKIPAAGTFSRDNGDAKSKTLSDILVRADSDKR >ONIVA05G09000.1 pep chromosome:AWHD00000000:5:8220809:8221939:1 gene:ONIVA05G09000 transcript:ONIVA05G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEAEVKLSIFEDAKSAFDTTEMAGEAGSEVERTRMAALAAGSQFIDAKRILKALEQAGEVALSLIESLKYKATSVVGATTKSMFMGCGSVPATRGQQDDNDAGVDQKLDSGPLHTHATGPTHAVGPIDQQMRKEAEVKLSIFEDAKSAFDIAEMAGEARSEVEKTRMAALAAGSRFIYAKRILQALEQAGEVALSLIESLKHKATSVVAATTKSTFMGCGSVPAPGGQQDDNNAGEDQKLDSGHLHTRASGPTHAVGPTD >ONIVA05G08990.1 pep chromosome:AWHD00000000:5:8219898:8220424:-1 gene:ONIVA05G08990 transcript:ONIVA05G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEAEVKLSIFEDAKSAFDIAEMAGEARSEVEKTRMAALAAGSRFIYAKRILQALEQAGEVALSLIESLKHKATSVVAATTKSTFMGCGSVPSTRGQQDDNDAGVDQKLDSGPLHTHATGPTHAVGPIDQQ >ONIVA05G08980.1 pep chromosome:AWHD00000000:5:8210379:8217405:1 gene:ONIVA05G08980 transcript:ONIVA05G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLQKSLRDPMWEAAFVVTLSLLFCIHGQLDNLGFISIDCGYITRPSYPDFKTNLTYVADVGFTNTGFIHTVDVGNLQRDLAQRYTTVRYFPNGTRNCYTLKQLTRGGKYLVRATFGYGNYDAFNSPPAFDLYLGANYWVKVNITNSSRAYVHETIAVCLVNTGSGTPFISGLDLRSLPANFYPEANVAQSLVLLSFFRETVSFGFNRFHFGTDEHHIRYPVDRYDRFWQRYEDIPGWEDVPDKINGTVKSPQNDTYGAPSDLMRSASTAVNTSRMDLPWSSDASMDVGIGPEYIVVLYFAEVQAISDNLLRQFLVSVDNTPLAAAFSPRHMLADVFSGTVLGSDQHSISLITTIISDLPPLISAMEIFLGRTLNESSTGSSDAIAMMTIQTKYSVKRNWEGDPCAPEAFVWDGLSCIHTSIGDIQYNPRGLHRITALNLSFSELIGDIDASFGQLLLLRHLDLSSNNLSGTVPTSLQEKSDSGQMILKTGNNPNLCGNHTCDPISNKNKRNKFIGFVIAAAIVATVFALSLSALFIWYRRRKTNPDVLPEADPYKSRRFKYKELQVITNDWRNVIGEGGFGHVYAGQLEDVTDVAVKVESQASLRGNHKQFLAEVQHLTRVHHKNLVSLIGYCNDKKHRCLVYEYMDGGTLEGRLRGREDPPEPPLTWLQRVNIALGSANGLNYLHTMCSPRLIHRDVKAGNILLTANLEAKISDFGLTRPSIHGTVETRTITQLAGTPGYMDPESLQVSHPSESNDVYSFGVVLMVVITGRTAIVTINGTEKNLAQCVRDWLSSGRGIEAIADPMIRDDCNLSSVEMVAQLALDCTEPAGQDRPTMADVVTTLTESLQLEMSWSSPHSMRSSTTISSSAGFTGSGRADHDAAADSIAVLQVEQAVVETSTRYVTCE >ONIVA05G08980.2 pep chromosome:AWHD00000000:5:8210379:8217405:1 gene:ONIVA05G08980 transcript:ONIVA05G08980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLQKSLRDPMWEAAFVVTLSLLFCIHGQLDNLGFISIDCGYITRPSYPDFKTNLTYVADVGFTNTGFIHTVDVGNLQRDLAQRYTTVRYFPNGTRNCYTLKQLTRGGKYLVRATFGYGNYDAFNSPPAFDLYLGANYWVKVNITNSSRAYVHETIAVCLVNTGSGTPFISGLDLRSLPANFYPEANVAQSLVLLSFFRETVSFGFNRFHFGTDEHHIRYPVDRYDRFWQRYEDIPGWEDVPDKINGTVKSPQNDTYGAPSDLMRSASTAVNTSRMDLPWSSDASMDVGIGPEYIVVLYFAEVQAISDNLLRQFLVSVDNTPLAAAFSPRHMLADVFSGTVLGSDQHSISLITTIISDLPPLISAMEIFLGRTLNESSTGSSDAIAMMTIQTKYSVKRNWEGDPCAPEAFVWDGLSCIHTSIGDIQYNPRGLHRITALNLSFSELIGDIDASFGQLLLLRHLTGNNPNLCGNHTCDPISNKNKRNKFIGFVIAAAIVATVFALSLSALFIWYRRRKTNPDVLPEADPYKSRRFKYKELQVITNDWRNVIGEGGFGHVYAGQLEDVTDVAVKVESQASLRGNHKQFLAEVQHLTRVHHKNLVSLIGYCNDKKHRCLVYEYMDGGTLEGRLRGREDPPEPPLTWLQRVNIALGSANGLNYLHTMCSPRLIHRDVKAGNILLTANLEAKISDFGLTRPSIHGTVETRTITQLAGTPGYMDPESLQVSHPSESNDVYSFGVVLMVVITGRTAIVTINGTEKNLAQCVRDWLSSGRGIEAIADPMIRDDCNLSSVEMVAQLALDCTEPAGQDRPTMADVVTTLTESLQLEMSWSSPHSMRSSTTISSSAGFTGSGRADHDAAADSIAVLQVEQAVVETSTRYVTCE >ONIVA05G08970.1 pep chromosome:AWHD00000000:5:8208497:8209194:1 gene:ONIVA05G08970 transcript:ONIVA05G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPREKKSFVPESEDEACGGDPNSEPSPDGCVVSDFEDEACGSDPDSELPPDGCIVPDSEDEACGGDPDSELPPNGGFVPKSEEKACGGDPTPNHLLTAASSLNSRMRRVAATPTPSCLPTAAPSLVGM >ONIVA05G08960.1 pep chromosome:AWHD00000000:5:8205183:8205698:-1 gene:ONIVA05G08960 transcript:ONIVA05G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGELGDIMVSVLPKNLAYTISVPSPESFHASSCYRKAEDATYAVVSHMLGFSTVPRRLRATDVATAPHRRRQQGGSAHPGISVWAEDLRLEETGGLSPLTRRCGWPLLPLLPPVRPAASLPSSPLSRRPSHQLSQAWEEKKDYGADMPAPHFLLLHLHVDSSFFLFFAD >ONIVA05G08950.1 pep chromosome:AWHD00000000:5:8201372:8202500:-1 gene:ONIVA05G08950 transcript:ONIVA05G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKFQLILSTFVVIAAFTLLPRPCASIEFHRKLSSWSNGGATWYGAANGAGSDGGACGYQAAVDQAPFSSMIAAGSPSIYKSGLGCGSCYQVKCSGNSACSGNPVTVVLTDECPGGPCLSEPVHFDLSGTAFGAMANPGQADQLRAAGVLQIQYNRVPCNWGGVMLTFAVDAGSNPSYFAVLVKYENGDGDLSGMDLMQTGAGAAWTPMQQSWGAVWKLSAGAALQAPLSIRLTSSSGKTLVASNVIPSGWKPGASYTSTVNY >ONIVA05G08940.1 pep chromosome:AWHD00000000:5:8200424:8200705:-1 gene:ONIVA05G08940 transcript:ONIVA05G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSFFSFFLPFFLTLAAELSRGVTRAANVAGPRSRLRHGRAAAAAHHRGSPPTVTMHGGIPHGAREELAFAAQQPPHTDFVDGAGARERRRE >ONIVA05G08930.1 pep chromosome:AWHD00000000:5:8198866:8199512:-1 gene:ONIVA05G08930 transcript:ONIVA05G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPLPVDDRAHHLAPPRGLAATAPHHPPERLAERRLASGVERQHLLDQVGAGSVTASGRSSCMGGAWHRYKNTARGVGIKSRSEQESVMVDAGFSGELKVVWTKLTARPQSRRSSASWSIGAMRLRNRNGNIDAAAASSGGAVNERERRGRAP >ONIVA05G08920.1 pep chromosome:AWHD00000000:5:8191061:8192590:-1 gene:ONIVA05G08920 transcript:ONIVA05G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSPRSSCLAATADAEVELVPLLMYSPQAMQFCQPFLCCRHRQADADASGSRWEALAELEEDNEMAELLLVGNGGGGGGLAAVAAGANGGSHLREGFAASCHRRCDRRGAPPAAATSTPPSSIHPWLLQASTVPAPRRSACTRQQRWWSKKRRRRIWAAAFEVTRGGGDWRRRSARENAEQRMVVASNLVVCHERWTAMEEHAKEKPKAAAPTAAEEPKAEARQRRRQSRASWSWNRRRRLS >ONIVA05G08910.1 pep chromosome:AWHD00000000:5:8187640:8190106:1 gene:ONIVA05G08910 transcript:ONIVA05G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDQGFGSLKSLRPDRILGCFAYILQMGYYRLSGISIHHSGTSCKWVVTGSLESAFTVQEPITIGVPPRVFQSTIDLDP >ONIVA05G08910.2 pep chromosome:AWHD00000000:5:8187640:8190184:1 gene:ONIVA05G08910 transcript:ONIVA05G08910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDQGFGSLKSLRPDRILGCFAYILQMGYYRLSGISIHHSGTSCKWVVTGSLESAFTVQEPITT >ONIVA05G08900.1 pep chromosome:AWHD00000000:5:8176456:8178151:-1 gene:ONIVA05G08900 transcript:ONIVA05G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HBE5] MAKVHRLMNAVLRLAAAAAAATAAVVMVTSRETTSFFGIQMEAKYSYTPSFIFFVVAYAVAAAYSLLVLAVPAGSALSRLALTTDVVLGMVLAGAVASAGAISDIAKNGNSHAGWLPVCGQIHAYCNHVMAALIAGFVGLAVHFVVVMYSLHIVTDVICPCH >ONIVA05G08890.1 pep chromosome:AWHD00000000:5:8174711:8174965:1 gene:ONIVA05G08890 transcript:ONIVA05G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLVLVLFFRCMGAVARAPAGPRRQWLRAAVVALCVALTGLVQLQIYSPAVPLSVALAIWAVSVTCFSAVFLVASARPQVAR >ONIVA05G08880.1 pep chromosome:AWHD00000000:5:8168588:8169647:1 gene:ONIVA05G08880 transcript:ONIVA05G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNPLRRWKPFLAAFASVDDAIEIEAADLGISRSEIRRTRGRIVGMLRGAEDDREAEELCSVLDEVMAESLLTLRLVPVTPRTLATTDLAGVVGALRKHDSERIRGLATDIVRRWRAAVKRDLVRIGVAMEKLSQTPERIEAADRPVSSDLDAKHGEGLRAIAAQEECSGRHGLGNKAEGANPSAEEEAAGRRDGVKPNHSDGGEKLMTAATKRKLDEAQKRRKTADMAAAAKPEGSNSLPLLKMVAPAVVASHGRRESIELRNDEEKIAAAKRKLREGYQDAEEAKKRRKIHVIEDPKMLKHKQEKMHNPILSVRSRASHASSMAEKKKSFLVSSLGRL >ONIVA05G08870.1 pep chromosome:AWHD00000000:5:8163270:8165244:-1 gene:ONIVA05G08870 transcript:ONIVA05G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEEGHHDHVPVYESGSEVLQKFQEKWNSTKQQRYPAMYSSVVGGIILDPSMMVIPIDDHMVHRGHGVFDTAMLSNGYLYELDSHLDRLLLSASKAKISSPFSRETLRAILVQMTAASKCRNGSIKYWLSAGPGDFLLSPKGCTAPAFYAVVIASGATAAAAGGGGHPRLREGVRAITSTVPMKDPFFATMKSVNYLANALAMAEAEERGAYASVWVDGDGGVAEGPMMNVAFVTGGGDLVVPAFDRVLSGYTARRVLALAPRLVDAGVLRSVGAARISAADARRCAEMMFVGSGLPLLPIVEWDGQPVGDGQVGKIALALSDMLCEDIKAGPDRLLVPYDQAS >ONIVA05G08860.1 pep chromosome:AWHD00000000:5:8154813:8159115:-1 gene:ONIVA05G08860 transcript:ONIVA05G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKGGEKPALRKPVFVKVDQLKPGTCGHTLTVKVVSANPVPARGRAGGGGPAVGSRPARIAECLVGDETGVIVFTARNEQVDMLMPGNTAILRNARIDMFKGSMRLTVDKWGRVEVTEPASFAVKEDNNLSLVEYELVNVPE >ONIVA05G08850.1 pep chromosome:AWHD00000000:5:8145338:8149929:-1 gene:ONIVA05G08850 transcript:ONIVA05G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRLLKRACLLLLVSSCLSSLADAKRKTPPSPPPPPSSFGLPVRAVCLGGWLVTEGWILPSLFDAIPNKDLLDGAQLQLKAVAAGAYLTAADQGGAAAVVANRTQAAPSASETFKLWRINETTFNFRASSGRFVGAGSDGGAAVVAVAAAPGPSETFQVVRDDGDKSRVRIRAPNGHFLQVALGSNSVTADYYGESTSWGDDDPSVFVVTKALELQGEYQICNGYGTAKATPILRNHWSTYIVEDDFKFISASGLTAVRIPVGWWIASDPNPPAPYVGGSLQTLDNAFKWAEKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQEWGASDANIAETVQVIDFLASRYAKSPSLLAVELMNEPFAPRATLESLMKYYHDGYNAVRKYSSTAYVIMSNRLGPHDPTEFLQFANGFPRAVIDVHYYTVFNDLFNNLTVQQNIDFIKSNFSSELKNVTTQNGPLTFVGEWVAEWRVPNATKEEYQRYAKVQMDVYGQATFGWSYWTLKNVNNHWNLEWMINNGYISLKT >ONIVA05G08840.1 pep chromosome:AWHD00000000:5:8095535:8097112:1 gene:ONIVA05G08840 transcript:ONIVA05G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGMFAAAQVPRRQRSRREESMERTEGQVVVSTPSGSTGNGDGDGALVVSTTSSDRNRTPRVRPRETTTVAAAADRSVLGGNGGGSVDVADGDSNNAEKEQRRKEEFDRERRRKGKMVAEEESPSTASGGGMPIGLPAADKLMGDAIRGGRRQHVTTAIGTCKNTKRRGHGKFWASLGRDGASTSSAGSDMVVPLDDIAVVHDRLRSLLNGLGAVSPPVRVYGKMMWRSDRLKSQNRLQISRKKDGELSPFDSILTLAEKSAATSKRKKKSSKPKNKKNGESKEKKDEHKEYNDNGTNKPNNEPNNGDDGLFVQAYDRTGEEYILTLKYIKANNSYRLMGRPWKTFLKNCSLTLQEDAKKKGKKAIKKVKKVAIANEAMIDLWVFRSQKLSHGKDDHNDGRLGLVMVHYFKGDAPHADAAFKANEELLLLAPKKRKKKHEGESSSHDAVTEALPQEEPNVVTAVELEIAAVMAGETGVQGGAPEPHHGVEVVMEGVQLEIRDIDLEVLLAAQTLFEMKNSGRR >ONIVA05G08830.1 pep chromosome:AWHD00000000:5:8091526:8091876:-1 gene:ONIVA05G08830 transcript:ONIVA05G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRRVLTPFGLDTADPVLTEVELAPSRPDREGAHRPRRIQDRRRRSWCRWRRSWHRQRRPWRARAEEARDGQVRLLREGRGGEEAVEVRAAWEKQAAQLVATVGRHGARCVAAAT >ONIVA05G08820.1 pep chromosome:AWHD00000000:5:8087129:8090903:1 gene:ONIVA05G08820 transcript:ONIVA05G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGMDFSPHKNSTEQNRTSFEEDDQFSIRSAPSKPSPDKKSKPKNKPNHHSDKTANPPPQRPETTAAPVTTTAAAATAGTNRGEAVSNGSLDSISSGSTRSNSLESSSTGAAAAPTVLTTSALTASVSASGQNVKRHTGGDSRWEAVQAATARDAPAPLSLAHFRLLKRLGYGDIGSVYLVELRGTSAFFAMKVMDKASIASRNKMARAETEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPSKHFSEPAARFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCTVCPTLVKSSSVHATGSGGSGSGGGIGSRGDAIDGGESMPANQGCIQPSSFFPRILPRRSRKASKSDMGLLLNGAAAVEFNAEPTEARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELIHGATPFKGAGNRATLCNVIEQPLRFPSDGGASAVARDLIRGLLVKEPHKRIAFTRGATEIKQHPFFDGVNWALVRSLTPPSVPEPVDFRQYAAAASATTPKDKKPPENAAAAAAPAAADGGGAANSSTGESYTDFEYF >ONIVA05G08810.1 pep chromosome:AWHD00000000:5:8080338:8084784:-1 gene:ONIVA05G08810 transcript:ONIVA05G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTVPSGGLPRLKAKSLLAQRNWAKLSLGTSYRRADALPASTGSRTQSTVIGHIRARGGRIRLRRARIRRSHLHRPPPPSTTAPSTLLRLQRRGRAPRGCSPRRRLPGRPRGFRRLAPAAARRLEAGEVAARAARYSKLKSEDNITSIVLSAPYSSGWVGIGFSNDGKMVGSSAMVGWIDNQGRAYIKQYYLSNQTSSGVKVDEGKLLTTDVPSAAVLYGDNIYLVFQVKFPLHIARQTPNKFHLTEHDDKTTLSFDFSSGDSVSTYYPYQLKRNHGAFAIFGWGVLVPLGAIAARYLRHKDPLWYYLHVLVQFLGYIIGFAGVVSGIALYNRTHSNFTTHRSLGISVLALGSLQVIAFFLHPNNDSQVRKCWNQYHHWLGRICIFLAAINIVLGIELSDTNISWKVIYGAIISVMIISTTFLEVMMCTKLPKEGTCNGRLQMPTHHPNS >ONIVA05G08810.2 pep chromosome:AWHD00000000:5:8080338:8085589:-1 gene:ONIVA05G08810 transcript:ONIVA05G08810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRVHVVLFVYITVILTAVAVHAQRDTCGAELNTFLPAPFNSSALLCKSVWNSFILRYSKLKSEDNITSIVLSAPYSSGWVGIGFSNDGKMVGSSAMVGWIDNQGRAYIKQYYLSNQTSSGVKVDEGKLLTTDVPSAAVLYGDNIYLVFQVKFPLHIARQTPNKFHLTEHDDKTTLSFDFSSGDSVSTYYPYQLKRNHGAFAIFGWGVLVPLGAIAARYLRHKDPLWYYLHVLVQFLGYIIGFAGVVSGIALYNRTHSNFTTHRSLGISVLALGSLQVIAFFLHPNNDSQVRKCWNQYHHCTTFLEVMMCTKLPKEGTCNGRLQMPTHHPNS >ONIVA05G08810.3 pep chromosome:AWHD00000000:5:8080338:8085589:-1 gene:ONIVA05G08810 transcript:ONIVA05G08810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRVHVVLFVYITVILTAVAVHAQRDTCGAELNTFLPAPFNSSALLCKSVWNSFILRYSKLKSEDNITSIVLSAPYSSGWVGIGFSNDGKMVGSSAMVGWIDNQGRAYIKQYYLSNQTSSGVKVDEGKLLTTDVPSAAVLYGDNIYLVFQVKFPLHIARQTPNKFHLTEHDDKTTLSFDFSSGDSVSTYYPYQLKRNHGAFAIFGWGVLVPLGAIAARYLRHKDPLWYYLHVLVQFLGYIIGFAGVVSGIALYNRTHSNFTTHRSLGISVLALGSLQVIAFFLHPNNDSQVRKCWNQYHHWLGRICIFLAAINIVLGIELSDTNISWKVIYGAIISVMIISTTFLEVMMCTKLPKEGTCNGRLQMPTHHPNS >ONIVA05G08810.4 pep chromosome:AWHD00000000:5:8084804:8085589:-1 gene:ONIVA05G08810 transcript:ONIVA05G08810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRVHVVLFVYITVILTAVAVHAQRDTCGAELNTFLPAPFNSSALLCKSVWNSFILRLAVEEGCAPEHTPRTICQPPKHTLQKGKPSTEPAIAMRDRSPPGQQHQHDTNTLKRRPHEGCDAERRRRHPSKELDKVFT >ONIVA05G08800.1 pep chromosome:AWHD00000000:5:8075889:8078576:1 gene:ONIVA05G08800 transcript:ONIVA05G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDAMSTGDSTESLDIDCLDDGDSEVVSSLQHLADDKLHISDNRDVAGVASKWTKHGCNSVEIDYIVDIDNNHEDPQLCATLAFDIYKHLRVAETKKRPSTDFVETIQKNIDTSMRAVLIDWLVEVTEEYRLVPETLYLTVNYIDRYLSSKVINRRKMQLLGVACLLIASKYEEICPPQVEELCYISDNTYTKDEVLKMEASVLKYLKFEMTAPTTKCFLRRFLRAAQVCHEAPVLHLEFLANYIAELSLLEYSLICYVPSLIAASSIFLAKFILKPTENPWNSTLSFYTQYKPSDLCDCAKGLHRLFLVGPGGNLRAVREKYSQHKYKFIAKKYSPPSIPAEFFEDPSSYKPD >ONIVA05G08800.2 pep chromosome:AWHD00000000:5:8075674:8078576:1 gene:ONIVA05G08800 transcript:ONIVA05G08800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEDAMSTGDSTESLDIDCLDDGDSEVVSSLQHLADDKLHISDNRDVAGVASKWTKHGCNSVEIDYIVDIDNNHEDPQLCATLAFDIYKHLRVAETKKRPSTDFVETIQKNIDTSMRAVLIDWLVEVTEEYRLVPETLYLTVNYIDRYLSSKVINRRKMQLLGVACLLIASKYEEICPPQVEELCYISDNTYTKDEVLKMEASVLKYLKFEMTAPTTKCFLRRFLRAAQVCHEAPVLHLEFLANYIAELSLLEYSLICYVPSLIAASSIFLAKFILKPTENPWNSTLSFYTQYKPSDLCDCAKGLHRLFLVGPGGNLRAVREKYSQHKYKFIAKKYSPPSIPAEFFEDPSSYKPD >ONIVA05G08790.1 pep chromosome:AWHD00000000:5:8056773:8061829:1 gene:ONIVA05G08790 transcript:ONIVA05G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dephospho-CoA kinase family [Source:Projected from Arabidopsis thaliana (AT2G27490) TAIR;Acc:AT2G27490] MVVHGERAVMAVRRVAVSGCWWMRLVGLTGGIASGKSTISNLFKASGIPVVDADIVARNVVQKGTGGWKKIVEAFGNDVLLENGEIDRARLGQIVFSDPEKRQVLNRLLAPHISSGIFWEILKLWIKGCKVIVLDIPLLFETKMDQWTHPVIVVWVNEATQIERLMSRDGCSEEQARNRINAQLALDWKKSQADIVIDNSGTLDETKEKFQEVLRNVSEPLTWKERLRSRDGLFSVVVCTAVGVLLAQKNLL >ONIVA05G08780.1 pep chromosome:AWHD00000000:5:8053353:8054213:1 gene:ONIVA05G08780 transcript:ONIVA05G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCAAGRRRSPVSAVAESAGAGDTTRSERSVPLWNLTNVVGRRARAAKAEKSSLTGTVANVKKGFLAVADVGFYRWGYDKIIYL >ONIVA05G08770.1 pep chromosome:AWHD00000000:5:8048472:8048837:1 gene:ONIVA05G08770 transcript:ONIVA05G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDRPAPSPTVLRHPALWPSAARTSDGDPRRMDLRRQALSATPLLCHSGESFAAAKGNSHLFTATRCTAGVVGPPPPRRSDGAPNSTAGQLLRSCCLSPTQIPHRRWAPAAGYRDLSSV >ONIVA05G08760.1 pep chromosome:AWHD00000000:5:8045255:8045530:-1 gene:ONIVA05G08760 transcript:ONIVA05G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTAAHGLLASLASTVRRPPSLTIDRLLSDKESHRRWRGSCINVDLPSMADFSDSDTTSQATGTVPSSALTTPLPQPFLFMVVIDGHRN >ONIVA05G08750.1 pep chromosome:AWHD00000000:5:8035212:8037167:-1 gene:ONIVA05G08750 transcript:ONIVA05G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTWANLVQLWSGWEIQLMILLSLFLQLFLFFTGGLRRRRTNKLILIFIWLAYVGADLVAVYALGLLSRYEYKSKIGSDSLTVIWVPFLLVHLGGQDTITAFSIEDNNLWLRHLLNLVVQVSLALYAFCNSFGQISLQLVVPAIFIFVAGIIKYGERTWALKCGSIDGLQSSAGGYKDKEQEEQKDNKYGSYHRKVFYAHQMVLYARGLFAGLTVSQLGQKVRKELTHGIRGCEKYVKAKIIELELSMMYDILYTKAMILQTWIGCILRCISHIAMVVAFVLFLVTPKHGHRMADVAITYTLFAGALLMEACAIGVVAASPLTWARWRRHNCVNLLAIGKIIGAKEERSVVPISLGQFSIATCALHDGSTPRIMSNALYAFGLEKIYRDVRHVKHVEATKIVGCFVDFFNNIPSNNDQDEVIMGVSKEGNVDVKLNLLSISQRFEVGIIQLHLFTDIFTTSILLYEPGISKEKTDIVWECVMLSNYMMYLLLAHPSMLPVSSDYAVMEHLNYMAKLLDMYKYAGGKLDPHARMKLLLNALEQMTSNTKSDLIYFAKKIVAGRELLYTTWTSDTLLKLVKETWILLLIYAASKSRGELHARRLDNGSELLTFIWLLLVHHGLGNVAEFGLTLGRYVTSEAPPSLYTMIDIP >ONIVA05G08740.1 pep chromosome:AWHD00000000:5:8004592:8011216:1 gene:ONIVA05G08740 transcript:ONIVA05G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G44635) TAIR;Acc:AT5G44635] MEAFGGFFVDEKAARVENIFLEFLRRFKEADAVEAFYETELEAMRSRESTTMYVDFAHVMRFNDVLQKAISEEYLRFEPYLRNACKRFVMEQRTGENRAPIISDDSPNKDINIAFYNIPMLKRLRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEPIICVNATCQNRSKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREAPQRKNGSGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDIDGDDSERQKFTEEEEDEVVRMRNVPDFFNKIVDSICPTVFGHQEIKRAILLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAELKRYIAFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERVIMHVESSEVDLSDFQDADDGTNVPADNDAGQPTEMDAAPQQDGPENEQAADTGKKKLVITEEHFQRVTQALVMRLRQHEESVTKDGDGLAGMKQGDLIIWYVEQQNAQGAYSSTAEVKEEVKCIKAIIERLIQRDGHLIVIDEGAAPAADDGAARRTSESRILAVNPNYVID >ONIVA05G08730.1 pep chromosome:AWHD00000000:5:8001690:8002680:-1 gene:ONIVA05G08730 transcript:ONIVA05G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGWRSRPAAAAEARDDDYLGNTTSTTTWVADGGSGHGRGRQGGRRSATARRAAVVAGQCKRGLDEAREEWMSRRGDETGAAPRKRGAKGRCRRSGVAGALDLARVVKD >ONIVA05G08720.1 pep chromosome:AWHD00000000:5:7997524:7998073:-1 gene:ONIVA05G08720 transcript:ONIVA05G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVEDILDVTMSFDELGKTAGKDLASDKTTYPKLLGLEKSQGFAEKLLSDAREQLFSGFDQEKAAPLLHLANYITYIDRTEVMVTPLIIVDL >ONIVA05G08710.1 pep chromosome:AWHD00000000:5:7992041:7994870:1 gene:ONIVA05G08710 transcript:ONIVA05G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIELSSGDKAKLVETLKNKLHALAEQHVDMLESLAPAVRKRVDVLMEIQSQHDELEAKFFEEKAALEAKYQKLYGPLFSKGETEEREEKGVPDFWLNAMKKNEILAEEIHESDETEIEWHPGYCLTQQVLTKESSESTKPITKTEECESFFNFFSPPQVPDDDAKNDKYTNQMERDYDIASTLRDKIIPHAVSWFTREAVQDEDYGASWVDDEEEDDDDDEYSDEEA >ONIVA05G08710.2 pep chromosome:AWHD00000000:5:7992041:7994870:1 gene:ONIVA05G08710 transcript:ONIVA05G08710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIELSSGDKAKLVETLKNKLHALAEQHVDMLESLAPAVRKRVDVLMEIQSQHDELEAKFFEEKAALEAKYQKLYGPLFSKEKGVPDFWLNAMKKNEILAEEIHESDETEIEWHPGYCLTQQVLTKESSESTKPITKTEECESFFNFFSPPQVPDDDAKNDKYTNQMERDYDIASTLRDKIIPHAVSWFTREAVQDEDYGASWVDDEEEDDDDDEYSDEEA >ONIVA05G08710.3 pep chromosome:AWHD00000000:5:7992041:7994597:1 gene:ONIVA05G08710 transcript:ONIVA05G08710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIELSSGDKAKLVETLKNKLHALAEQHVDMLESLAPAVRKRVDVLMEIQSQHDELEAKFFEEKAALEAKYQKLYGPLFSKGETEEREEKGVPDFWLNAMKKNEILAEEIHESDETEIEWHPGYCLTQQVLTKESSESTKPITKTEECESFFNFFSPPQVPDDDAKNDKYTLAHVARSTLRDKIIPHAVSWFTREAVQDEDYGASWVDDEEEDDDDDEYSDEEA >ONIVA05G08710.4 pep chromosome:AWHD00000000:5:7992041:7994597:1 gene:ONIVA05G08710 transcript:ONIVA05G08710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIELSSGDKAKLVETLKNKLHALAEQHVDMLESLAPAVRKRVDVLMEIQSQHDELEAKFFEEKAALEAKYQKLYGPLFSKEKGVPDFWLNAMKKNEILAEEIHESDETEIEWHPGYCLTQQVLTKESSESTKPITKTEECESFFNFFSPPQVPDDDAKNDKYTLAHVARSTLRDKIIPHAVSWFTREAVQDEDYGASWVDDEEEDDDDDEYSDEEA >ONIVA05G08700.1 pep chromosome:AWHD00000000:5:7956620:7983136:1 gene:ONIVA05G08700 transcript:ONIVA05G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:target of rapamycin [Source:Projected from Arabidopsis thaliana (AT1G50030) TAIR;Acc:AT1G50030] MKPSPHFPEIGKKPKDLIAKEHGFNIAAYISSGADVIAAALRKHVEEEARDLSGEAFLRFMEQLYEQICSLLQSNDVAENLLALRAIDALIDMPFGEGASKVSKFANFLRTVFEVKRDPEVLVPASAVLGHLAKAGGAMTADEVERQIKTALGWLGGDRVEYRRFASVLILKEMAENASTVFNVHVPEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLNYLRHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMDHILFVLRTPDERASGFVALGEMAGALGAELVPYLPLITSHLHDAIAPRRGRPSLEAISCVGSFAKAMGPAMEPHIRGGLLDAMFSAGLSDKLVEALESISTSIPSLLPTIQERLLDCISQALPKSSVRPGAAVGRGSRSSSLQQFVDSGGPVLVQLALGTLANFNFKGHELLEFARESVILYLEDEDCSTRKAAATCCCKLVAHSLSASSSSQFSSNRPNRMGGAKRRRLVEEIVEKLLMAAVADADVGVRSSVFKALYRNPSFDDFLAQADIMTSIFVALNDEEYHVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPIHKALVARLREGTGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPLVVDALLDGGAVSKREVAVATLGQVIQSTGYVISPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHKLPGQHREVLRPTMETAQHIVSMEELPTDFWPSFSASEDYYSTVAISSLMRILHDPSLSSYHQMVVGSLIFIFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLVSIVRQHIRKYLQEILSLVSELWTSSFSLPAPNRTVQGPQASPVLHLVEQLCLALNDEFRMYILHILPSCIQVLGDAERCNDYYYVPDILHTLEVFGGNLDEHMHLVAPVLVRNNDDLRKDAAEALCCLAHALGEDFTIFVSSIHKLLVKHHMRYRKWDEIENRLLRREPLISENLSVQKYTQCPPEVISDPLDDFGGVPSEEADETQRQPRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMNETSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAVCSKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQHLEVQLKESWYEKLHRWDEALKAYKAKSSQASGPLQNLDATLALARWEDLSALCREQWTGSEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRILGNTTASGDGSSNGAFFRAVLSVRCKKYEEARVYVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEFDPESSPELTLYHGHPQVVLAYLKYQYAVGDELKRRDAFCRLQDLSVQLATTTNSYSGTLASQVATSNAGVPLIARVYLTLASWKRALSPGLDDDSIQEILVSYKNATLNAKDWGKAWHLWALFNTEVMSRYTLRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQIIARIHSNNKIVRELIQSLLVRIGKDHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGPETIKENTFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLECRKLELAVPGTYSADAPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRCMLSFAPDYDHLPLIAKVEVFQHALENSEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQVTNYGNAHSHTVVNSEEAANRELMQPPRGARERELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSSLSGAGSSTQHGNEHLASGDTREVEPGLSVKVQVQRLILQATSHENLCQNYVGWCPFW >ONIVA05G08690.1 pep chromosome:AWHD00000000:5:7878601:7880715:-1 gene:ONIVA05G08690 transcript:ONIVA05G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNNNVVAAMSGTKGGSGTPCSSDVAASPLVEEAAASAEMTAEAAAGGRSRTSKGVLGHSSSGLEGLTTSALGWGGCDFWREKLRGGNAAAGIEQRDQRAATGGEIRTNPNTLIRDAIVKQPVSGQFFEIALGKPSLHPVSQSRDAVRHRNLKSWHLWMTSLPIVVETDCLIVLHLLDSKEKDRSMFASIIQEAKALVVGGGREIVIRKVSRSQNSVSHFLANKARVESCLIVCGFIDPK >ONIVA05G08680.1 pep chromosome:AWHD00000000:5:7876263:7877662:1 gene:ONIVA05G08680 transcript:ONIVA05G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSAVAMLLYWLEGRTPRWAWTEAWPAGSKATTANWGSRIRSGEGDGDSYEGSDHAVLDCYPIHIN >ONIVA05G08670.1 pep chromosome:AWHD00000000:5:7855455:7856008:1 gene:ONIVA05G08670 transcript:ONIVA05G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHDNLVSEPLTMACDADQVLRVTCKQPSSSTQQAAATPLPRIAKKLMADVLELKAMLKASNAAKKVVDGCTEQEVAEQQKMAVSTLTTSPLPQFSLPEAGVLEEQDASSLAKAIG >ONIVA05G08660.1 pep chromosome:AWHD00000000:5:7819665:7822720:1 gene:ONIVA05G08660 transcript:ONIVA05G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLATSLKDISVSQQNCKVFGRLIRLWDAINMRSKSADPLISIDGILLDEHDSIAQITVPKRFAQQFRPLLNKGSVYLISNIVAIDAKRKTYIYQCQNYILQFKHDTRIQPLESRGLTIPKFFFDFCPFDEVLGKNISSKPLIAITEASSSSATEIYIDLDTPQVREFRTRYKLPVTITDKSGSLDAVAFSFVAEGLVELDAAQASQNMKIDPADHPTALNNAIGKTKIFAIGMNTDTSSKFPISYVLKKSFTIEPTMSVPMLTDGEPLKNKEVLQLSPPAPHTDNPSTTIHNTGASSKSTPPEISLADKTPTEKTSSTTKRAIAFTKDSIEETRSKKLQHTEGKADFPEDSFEGTKG >ONIVA05G08650.1 pep chromosome:AWHD00000000:5:7802158:7804383:1 gene:ONIVA05G08650 transcript:ONIVA05G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKGSSCRYLPHSSAPCATDGARCFRCDHRPAIDEIVREQSLVTQLRAVVLPALETKADDGRAEIVAQLFGSILDCSRKVISALNSRYVGESPPDDDEIVDKRRAKRKNSEGKKGDDQVKVKPHEHKRSRRYTNSTSQITAVPHYDGHQWRKYGQKNINNSNHQRSYYRCSYKHEQNCKATKTVQQLDSAGETIMYTVVYYGQHTCKTNMSNAPLHVVETSTPQSISTTCCSDDLGDYSQKMENMHTPELAEVCSDELGSYHAIIGAEHSALGLEDEHMHKLLDTFACGALDLDSWEIDAIVRSGFC >ONIVA05G08640.1 pep chromosome:AWHD00000000:5:7796395:7797822:1 gene:ONIVA05G08640 transcript:ONIVA05G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASSDEASRSVLRPAPPLKWEDEEAHGSSPGGGGAAGWAVDSGDQENGADLGPVIAVEGLGGLVPQPEVAVVAVELLPQLVQEPGKVSPRIHEKANSGEGENGDGKYRVVYTAGRRKRCLISPGGSDGDRITPRDLAVAFHGQEAGQVAPEAATAAIADGAGVAVDSVLTKMQELVNHGSCGNGKNNVRKSRRIHMKQATAVPVSDELKNLHSQEAEAAHGKITDQSDCEIKKEPRESCMSSIVGSDDSHISGLSTRLQSLGINITSVTPILSKNVSSTDCHPNQARLLLSRHAVEGSPLLGMLTPLEDALVHSSGLPIEVLDRYGCSYDMFLRYLDSTTSYRLIVQWRNFLEMSHMIPGDLVKLGAFRFEGQLALTLLHYGNAGKAKKVLDRKLKEKKVESNSVTEKSKELTSRETEESKEELTSRKTDANDEESASSVAEAIKKKWPSEMLEAAETLLMLSCSGDKPKPSE >ONIVA05G08630.1 pep chromosome:AWHD00000000:5:7777651:7778064:1 gene:ONIVA05G08630 transcript:ONIVA05G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRATTSLSRRAACATLLRRLPPRPATTLERHPPVHPGLRPAAAAAVQLRRLSDFGVSVPRRMARRSPPTRPEGYSTSDSEADGWSVSEDDEEEVEEVQLEPMSVDDVAAGKEWEGFTLEYDHEHDLDADDEDAAE >ONIVA05G08620.1 pep chromosome:AWHD00000000:5:7764792:7770376:1 gene:ONIVA05G08620 transcript:ONIVA05G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDADITKKYEFFKQFDVVENFLDHHYADKPVGKTGKDWTKRIQYDWKLLEKDLPASIYVRVSENRMDLLRAVIIGPQGTPYHDGLFFFDAQFTSTYPSTPPVVYYHSGGLRLNPNLYACGKVCLSLLGTWSGSGCEKWNSAHSTMLQVLVSIQALVLNENPYFNEPGYETYANSATGQKSAMDYNDTTFQYSCRTMLYSLRRSPQHFDALVAGHFRHRGHAILAACKYYMEGHKVGSVVPNKDEEDAKQQDDTDAGGSGGSSSGAKPQPEKPDLCKGRAASFKTNMAVLFEELLMEFNVKGADTKKFCDEKLKKNQQAAAAAP >ONIVA05G08620.2 pep chromosome:AWHD00000000:5:7764792:7770376:1 gene:ONIVA05G08620 transcript:ONIVA05G08620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGYPKMQGGGASSSSSSSASCRAADAAVWDAVQQQKRQRCQGSSSNDQVGLSTENNSFQAPEPELQNSDCVEDEEEDYYLYDEDDVCYDDDGDYEFDETDFNQQLADKFDGLDLPPGVEATVPWLQKKDIADGPSTFKSMAELDADITKKYEFFKQFDVVENFLDHHYADKPVGKTGKDWTKRIQYDWKLLEKDLPASIYVRVSENRMDLLRAVIIGPQGTPYHDGLFFFDAQFTSTYPSTPPVVYYHSGGLRLNPNLYACGKVCLSLLGTWSGSGCEKWNSAHSTMLQVLVSIQALVLNENPYFNEPGYETYANSATGQKSAMDYNDTTFQYSCRTMLYSLRRSPQHFDALVAGHFRHRGHAILAACKYYMEGHKVGSVVPNKDEEDAKQQDDTDAGGSGGSSSGAKPQPEKPDLCKGRAASFKTNMAVLFEELLMEFNVKGADTKKFCDEKLKKNQQAAAAAP >ONIVA05G08610.1 pep chromosome:AWHD00000000:5:7743820:7748886:1 gene:ONIVA05G08610 transcript:ONIVA05G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRARSTAQRQQQLGVEVELGHAGTAVVNMDLVRGMATSVVLATGSDGDNDEVATCGHGEDDAWNGVEEGHGCQGEPRWWSAAMEKVVHSLAGGRGRPAWVAPGVSYFSAAQSPAATAPLFLQVVLGKREYNGSIGQGEFSFPVTSLRESMVMLLYNADRSLISQAELKMKAVVESGTMDVDFSLDNGGSIILRLQFLLSDEDRRRVQEMRNSAVKRKQQELLSDGYVLSQDSLLSKQVENISNISREGDESSVRKSMSLDDLQEKAVFSAIIVDPQMKDTKESPEQSGSNSAVQKMISAFQSSSPQSSSDKSSSSLATQDVSGHTEAGLVAGTSGKMQLPPGDKSFSNKRSNATEQQAVLSTTSEGRIRRLFREKDLDNSEMVITVQNRSKKRSMPKRRRAAIGPYSLEHMHPHVCITTASRQLRELVELEPPLDSFVFVGQVDIKRPNVRGGASMQDQGVRVVIVIIACGAIFLNNR >ONIVA05G08610.2 pep chromosome:AWHD00000000:5:7743820:7748886:1 gene:ONIVA05G08610 transcript:ONIVA05G08610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRARSTAQRQQQLGVEVELGHAGTAVVNMDLVRGMATSVVLATGSDGDNDEVATCGHGEDDAWNGVEEGHGCQGEPRWWSAAMEKVVHSLAGGRGRPAWVAPGAAQSPAATAPLFLQVVLGKREYNGSIGQGEFSFPVTSLRESMVMLLYNADRSLISQAELKMKAVVESGTMDVDFSLDNGGSIILRLQFLLSDEDRRRVQEMRNSAVKRKQQELLSDGYVLSQDSLLSKQVENISNISREGDESSVRKSMSLDDLQEKAVFSAIIVDPQMKDTKESPEQSGSNSAVQKMISAFQSSSPQSSSDKSSSSLATQDVSGHTEAGLVAGTSGKMQLPPGDKSFSNKRSNATEQQAVLSTTSEGRIRRLFREKDLDNSEMVITVQNRSKKRSMPKRRRAAIGPYSLEHMHPHVCITTASRQLRELVELEPPLDSFVFVGQVDIKRPNVRGGASMQDQGVRVVIVIIACGAIFLNNR >ONIVA05G08600.1 pep chromosome:AWHD00000000:5:7738155:7739584:-1 gene:ONIVA05G08600 transcript:ONIVA05G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HBA6] MAAGAGAGGLSGDYYRRSCPQLELVVDMALAPVFAVDQTSPAALLRLFFHDCQVQGCDGSILLNSDERRNITSELGSDKNFGIRDVSTIGLVKAAVERACPGQVSCADIVVLAARSAVAHAGGPRIRGVPLGRRDATAASAERADAMLPDSFLGIDGALAMFQSKGMTVEETVAILGGHTLGGGHCATVDTARRGRGRSDAAFEAALRLACPAAAPRAVAAAVPVLSDATPSWFDNLYYWNAASGRGIFAVDAEEAADARTAGHVRRFAADGRRFFRAFSSAFVKLAMSGVLTGDEGEIRRRCDVVNH >ONIVA05G08590.1 pep chromosome:AWHD00000000:5:7732727:7735536:1 gene:ONIVA05G08590 transcript:ONIVA05G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVDPCDHGEVVDAGCVRAVLAELVLTFVFVFTGVAATMAAGVPEVAGAAMPMAALAGVAIATALAAGVLVTAGFHVSGGHLNPAVTVALLARGHITAFRSALYVAAQLLASSLACILLRYLTGGMATPVHTLGSGIGPMQGLVMEIILTFSLLFVVYATILDPRSSVPGFGPLLTGLIVGANTIAGGNFSGASMNPARSFGPALATGVWTHHWIYWLGPLIGGPLAGLVYESLFLVKRTHEPLLDNSF >ONIVA05G08580.1 pep chromosome:AWHD00000000:5:7710996:7711250:1 gene:ONIVA05G08580 transcript:ONIVA05G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDDEARPTVKKVQRNDAPAGWEGQPEEKDEGGVVVVGVHGAPDGLERNGGEAEEEEAAARPTEVAPCRGPALLGSMGNGD >ONIVA05G08570.1 pep chromosome:AWHD00000000:5:7697728:7706348:-1 gene:ONIVA05G08570 transcript:ONIVA05G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLQYVAVLLSVLTLFLATSDAVLNCSTTAGNNSSTFTVDPRRKSQNNVYQTPSPSSSPPRSNNHAPFVPAGNQQGSGFNTKSFSTTVVAGTITAAIAVVAGLVVLLVCFMRKRARFNHHRLNRKTEILIEMPMEEEVHNTRRFSYAHLLAATENFSDSRKIGQGAFGAVYKAQLMNWTTPVAVKRIMRVADHERAARDYDNEIKVISKLSHPNLVPFVGSCDENGELLLVYDLIHNGTLDYHLHYANTILSWGRRYKIALGMASALNYMHGNHPRVLHRDIKPGNVMLDEEFNAKVGDFGLVRQVPIDKTSCPMTIFGSSRYIDPQYCSTGCISPASDIYSFGVVLLEIASGEIPQCLKGNGLVEKFRRLYYINSLLDAVDRRLNGDFDEEQMKRVILIGLLCVQFDHHMRPSSKEKVGYQCLNYISKHANKQNNDLASQTRLR >ONIVA05G08570.2 pep chromosome:AWHD00000000:5:7697566:7706348:-1 gene:ONIVA05G08570 transcript:ONIVA05G08570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLQYVAVLLSVLTLFLATSDAVLNCSTTAGNNSSTFTVDPRRKSQNNVYQTPSPSSSPPRSNNHAPFVPAGNQQGSGFNTKSFSTTVVAGTITAAIAVVAGLVVLLVCFMRKRARFNHHRLNRKTEILIEMPMEEEVHNTRRFSYAHLLAATENFSDSRKIGQGAFGAVYKAQLMNWTTPVAVKRIMRVADHERAARDYDNEIKVISKLSHPNLVPFVGSCDENGELLLVYDLIHNGTLDYHLHYANTILSWGRRYKIALGMASALNYMHGNHPRVLHRDIKPGNVMLDEEFNAKVGDFGLVRQVPIDKTSCPMTIFGSSRYIDPQYCSTGCISPASDIYSFGVVLLEIASGEIPQCLKGNGLVEKFRRLYYINSLLDAVDRRLNGDFDEEQMKRVILIGLLCVQFDHHMRPSSKEKVGYQCLNYISKHANKQNNDLASQTRVVYSKKQKKLKTQKKRKT >ONIVA05G08560.1 pep chromosome:AWHD00000000:5:7691281:7697282:-1 gene:ONIVA05G08560 transcript:ONIVA05G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HBA1] MARLLLPLPIAAAAASRLRLPVLSSSVARREALLFGGRVAAARAPVRLARRGVSAGAEAGGSSSAAAAAQVIGQDEAVEWVKKDRRRMLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYDIGTAFGHFGIAVEDVAKTVDLIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQLMLRVGDLDHAINFYEKAFGMELLRKRDNPQYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAISTDDVYKTAEVIRQNGGQITREPGPLPGINTKITACTDPDGWKTVFVDNVDFLKELEE >ONIVA05G08550.1 pep chromosome:AWHD00000000:5:7681279:7686276:1 gene:ONIVA05G08550 transcript:ONIVA05G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HBA0] MSPPLELDYIGLSPPVPAAADAAADNDLKGTELRLGLPGSHSPDRSPPAATLDLLPAAKGAKRGFSDEARPLPASAAAAGKGKKAAAGEEDEDAEEEDKKVAAAPQAPAAKMFANSCRRLRIMKGSDAIGLAPRAVDKSKNRN >ONIVA05G08540.1 pep chromosome:AWHD00000000:5:7658189:7667174:1 gene:ONIVA05G08540 transcript:ONIVA05G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics [Source:Projected from Arabidopsis thaliana (AT5G11560) TAIR;Acc:AT5G11560] MAPPRHLLLLLLLGFLAAASLAFTLTAAVYEDQVGLADWHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVIASLDLRSGDIFWRHVIEKNDPVDELSLSLGKYVVTLSSGGSILRAWNLPDGQMIWETNLQVSKSSKPLLHVLSNNKVAKDNLVFVLAGRWIYAVSSIDGVISWGKEFSLDGLDLKQIIKSPENDIVYAVGLAGSSKLNLYQLNAKTGETVKHIQESFPIALCGETILGSHNVLVALDETRSDLFLIELKGESISYNKVHISDLVQDLSGTVKLLPLMSNGVIALQASSTVSLLKLKGIDGLEVIQSFEQPAAVSDALTITEKDEAFAVVQHTGSEIEFVVKFTSDVTGEVIREKINIDQHRGNIQKVFLNNYIRTDKSHGFRALVVMEDHSLLLVQQGEVVWSREDGLASIVDVTTSELPIEKDGVSVANVEHNLFEWLKGHMLKLKGTLMLANADELAAIQAMRLKSSEKNKMTRDHNGFRKLLIVLTKAGKVIALHTGDGRIIWSNLLQSLRSSKSGEMPSALRICQWQVPHHRVMHENPSILIIGRSGADSAAPGVLSVLDSYSGEELNSLKLDHSVVQIIPLTVKDSSEHRLQLIVDSHSRAHLYPRSPDAMNVFLHEMPNTYLYTVDIETNVIRGHSLQKSCDNSADEYCFSTKELWSIVFPSDTERIAISETRKMNEVVHAQAKIIADQDVMYKYLSKNLVFVATVSPKASGEIGSAAPEEAWLVAYLIDAVTGRILHRVTHHGAQGPVHAVVSENWVVYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYSRPEVMVKSQSYFFTFSVKAIAATQTAKGITSKQLLIGTIGDQVLALDKRFVDPRRSVNPTQQEKEEGIIPLTDALPIIPTTHFTIFLSQSYLTHSLQVEALRGIVSIPSKLESTTLVFTYGVDLFYTQLAPSRTYDSLTDEFSYALLLITIAALVAAIVVTWIWSEKKELRDKWR >ONIVA05G08530.1 pep chromosome:AWHD00000000:5:7641695:7642177:1 gene:ONIVA05G08530 transcript:ONIVA05G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQRRGEILAQFNELRAHLHQVAGGEAAFQARLREVKKRQPVARAVAIGGEGLQGRVQVHQVTGLVRRRSKPEEVKDYRDEFKFTKLPSLVGPCQVCSQRIHGGGLDYFAKSQSGSELESTGGGVGKDGYHPSHTDC >ONIVA05G08520.1 pep chromosome:AWHD00000000:5:7638853:7639331:-1 gene:ONIVA05G08520 transcript:ONIVA05G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQALHFEDWYQRDGEHPEEQHESHLKFTRCSHDKANYMRITSPEDSPDTTGVHVVSSRNVHIMDDSISTCHDCVSIVGSSMDVRLKNREGKR >ONIVA05G08500.1 pep chromosome:AWHD00000000:5:7632522:7633318:1 gene:ONIVA05G08500 transcript:ONIVA05G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLPHHTPVMSPPHHTNVAPSWRAHARAVLCQRPRPSGDPAHVPGSELRAGRRACAGTTRRLDEPAKGKTRKKGKRKNMMAKVMNTESAPHGETSLTLISNVEAAFEAMKLQPIAEASTSTNEGGHKVRRRDKGKKGRNPTKPYG >ONIVA05G08490.1 pep chromosome:AWHD00000000:5:7629537:7630169:1 gene:ONIVA05G08490 transcript:ONIVA05G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDGSSKGSRADESVSSLGTDDHAAAAEPMSSSPPPATATARPYYECVFCKRGFTTAQALGGHMNIHRRDRAAKPAGPGAAAPPRDASTTAVSRSVDCYSQFRHLAAAYPVPAATSGAAGTAGSSSSFTMYYGGTGAGAEAAVVAGPRELSLFDAGTDHGLHLGVGRRGGGGDGGESRTPEGSEQQVAGELPERELDLELRLGRHTKQ >ONIVA05G08480.1 pep chromosome:AWHD00000000:5:7600442:7600848:-1 gene:ONIVA05G08480 transcript:ONIVA05G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLLLTAAKSLRIEEKKHELFHLLFELKYGRKSIAGGEKLSIQDERILGELTPYRELKAMADKYGLKQALKYIGITYVLGFAVLGMAVNHM >ONIVA05G08480.2 pep chromosome:AWHD00000000:5:7600849:7601374:-1 gene:ONIVA05G08480 transcript:ONIVA05G08480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRFAARKVSRGALQQATAFVSPSPAVKEEQRRLLPEHQTIASLTQNLSRMWICLVSQRALGRNLSPGIVAIMLTQDL >ONIVA05G08470.1 pep chromosome:AWHD00000000:5:7597348:7599925:1 gene:ONIVA05G08470 transcript:ONIVA05G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKACPRSRWNEDINLWRQIILPNIPVRNRGAGEFRHTSAQRRRGDKASGRRN >ONIVA05G08460.1 pep chromosome:AWHD00000000:5:7574517:7581949:1 gene:ONIVA05G08460 transcript:ONIVA05G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRRQRCSRAAGKASWEEVLPTVVALSPFSRRASLEMAGVDSLETAGEGARFTSPLLAKGRRRFRRQQRH >ONIVA05G08450.1 pep chromosome:AWHD00000000:5:7573937:7574395:1 gene:ONIVA05G08450 transcript:ONIVA05G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYYYARDEKLLVEHLLLRHSIAGNHGPGRTPLDWAAWMRIASAAAHGLAYIHHASRRGSGTPRLVHGNIKSTNILLDKAGVARLADCGLALLGSSPAAAAVRSAGYRGPEAPPPAAAPTHAPRAWPKGREEKEKEREKGERGEEEERERG >ONIVA05G08440.1 pep chromosome:AWHD00000000:5:7541301:7548242:-1 gene:ONIVA05G08440 transcript:ONIVA05G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEFQSSLVQQMIWSGSSTGTATGVGGGGGGAGSLMGSLKPCHEDQEASPNMPSLSSPSLIFSQQFQHSSPGLVPMNGAAGAAASLPSLHDGGGGGHESSMPESWSQLLLGGLAGDQERYSATAALLSKGLENWGDHAAAAAASACMVGGMKEEGSMAQAAATAAAAAYSFYGSHLAGDHQHEIQAAAAGGGASNKSQLSQMLMASSPRSCITTSLGSNMLDFSNTAAPPELRSHHHNSDNSSECNSTATGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEALSYPYMGDANGTGPMQNGPVGERNPGLFPEYPGQLLNHNGNTGAQQPAAQPEQQGANDDGKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILR >ONIVA05G08430.1 pep chromosome:AWHD00000000:5:7526490:7526987:-1 gene:ONIVA05G08430 transcript:ONIVA05G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQIKPCLATEIAHPERGGAASGMSRLKVRVVVQLVGEGRCAGERVQGDGHGVRPMEQLRRSRFKAAMDASQRG >ONIVA05G08420.1 pep chromosome:AWHD00000000:5:7520844:7525978:-1 gene:ONIVA05G08420 transcript:ONIVA05G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSSSPAKTTTTLALLLVVAAAAAAVAAEAAAVAADAARGVRQVNRRGPYLGVVVPNGFEMEPLLRSPAFSPAKKLPYLDVAGRRFRFGSIGEKKVIIVMTGLGMLNSGVTTQLLLTLFDVEGIVHFGIAGNADPDLHIGDVTVPRYWAHTGLWNWQRYGDGPEKELALESNGDYTRKYGALNFSDYGVAGGGGGNLLNGVWYQPEEVFPADGTPESRRHEFWVPVDGRYYQLAQKLEAMPLERCVNRTGTATSPATCLARAPAVARVERGCSASVFVDNAAYRQFLRSRLGVTPIDMESAAVALVVAQQGAPFIAIRSLSDLAGGGSAESNEAGVFAALAAQNAVAVAVKFISLLS >ONIVA05G08410.1 pep chromosome:AWHD00000000:5:7507668:7512984:-1 gene:ONIVA05G08410 transcript:ONIVA05G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit L [Source:UniProtKB/TrEMBL;Acc:A0A0E0HB86] MASSAAAAFYRDREDAAPPSSGPGGGGAMAAYDPSYVPDSVKTFVSHLYRHIRDRNVYETHQMYEGGFTRLSDRHFRDTPWPPAEAVAAHCDGDHVFLLLYRELWFRHAHARVQGLTPAQRAESWDNYCSLFSVVLQGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTHEEIALLKQYDQAWSVYGVLNYLKALVEKSMIGEILEREKVGLEQFTATDGYDYEGGSNVLKMLGYYSMIGLLRVHCLLGDYHTGLKCLAPIDISQQGVYTTVIGSHISTIYHYGFASLMMRRYIDGIREFNKILLYILKCKQYHQNSPQYDQLLKKNEQMYALLAICLSLCPQDKLIDENVGTQLKEKYGDKMTKMHRYDDEAYAIYDELFSYACPKFITASPPVLREPYTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIGKLAKYMDVDEVTLRTILMTYKHKMHSIDSDGKVISSADFDFYIDEDIIHVVESKLTKNHGDYFLRQILKFEEMITQLDKVQFD >ONIVA05G08400.1 pep chromosome:AWHD00000000:5:7490940:7492070:-1 gene:ONIVA05G08400 transcript:ONIVA05G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPCLAVAMLLLGALAVASAFIDEAAAAGRGLGHGARFMSKQGRVTYEKPPEPEPKPKPKPHPKPTPKPKPKPEPEPKPVPKPEPKPEPKPEPKPEPKPEPKPYPEPKPEPKPEPKPEPKPEPKPEPEPKPEPKPEPKPEPKPYPEPKPEPKPEPKPEPKPEPKPKPEPKPHPEPKPDPKPEPKPHPEPEPKPEPKPEPKPHPEPEPKPEPKPEPKPEPKPEPKPEPKPEPEPKPKPEPKPHPEPEPKPEPKPEPKPEPKPEPKPEPKPEPEPKPEPKPEPKPEPKPYPEPKPDPKPEPKPHPEPKPEPKPQPEPKPEPKPEPKPEPKPEPKPEPKPYPEPKPEPKPEPKPEPKPEAPPKKHKPPHIPPATDQ >ONIVA05G08390.1 pep chromosome:AWHD00000000:5:7476776:7477858:-1 gene:ONIVA05G08390 transcript:ONIVA05G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPCLTAAVLLLGALAVASALVDEAAAAGQGLGHGARFMSKQGRAMYEKPPELEPKPKPKPKPHPKHESKPEPKPEPKPEPKPYPEPKPETKPEPKPEPKPKPEPKPEPKPEPKPEPKPYPEPKPKPKQEPKPEPKPEHKAEPKPEPEPKPYPKPKPEPKPGPKPEPKPEPKPHPEPKPEPKPKPVPHPEPKPEPKPEPKPHPELKPEPKPEPKLHPKPEPKPHPEPEPKLKPEPKPEPKPEPEPKPEPNPEPKPEPKPYPKPKPEPKPVPKPEPIPHPGPKPKPKPDPKLEPKPHPEPKPHPMPEPEPKPKPEPKPEPKPYPEPKPKLKPEPKPGPKPIAPPKKHKPPHMPPATNQ >ONIVA05G08380.1 pep chromosome:AWHD00000000:5:7473717:7473917:-1 gene:ONIVA05G08380 transcript:ONIVA05G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWFDTTKGFGFITLEDGSEDLFVRQSSLKFDGYQSINDGDVIDLSVGSGDDSCTKATHRWIPSQ >ONIVA05G08370.1 pep chromosome:AWHD00000000:5:7439559:7441071:1 gene:ONIVA05G08370 transcript:ONIVA05G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSLDADEEDASRRLRVLHIVETAGVFDMVVPLLEQADAHGRLVLWRLEQEDGSDKGARRRDMGTRRVLVTQGWGRWVRAARPERRVRAGGDECPRRQRGNSDSFFVHFDGSRRRVQEGTQRLAAHAGRTDKLLPARWTNDGACMDRLTDATLDGRSGTE >ONIVA05G08360.1 pep chromosome:AWHD00000000:5:7431292:7433848:1 gene:ONIVA05G08360 transcript:ONIVA05G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDETYFTIQAELEAQFKEVWAKIDWSGIETADWDDFNGPNCMGSLRSQPQVSWWTRLTDLGYKLLEACHEGLRLDLPKPSDLQDDD >ONIVA05G08350.1 pep chromosome:AWHD00000000:5:7421601:7422783:1 gene:ONIVA05G08350 transcript:ONIVA05G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWRDSDYKDRSPLEYRVYVGNLPFSANDRSLKDSFANYGAISAEIAWDSVMGRSRGFGFVNFEDSESVNAAIQGMNGQDIGGRNVTVAQANTRPRRWRA >ONIVA05G08340.1 pep chromosome:AWHD00000000:5:7417156:7418344:1 gene:ONIVA05G08340 transcript:ONIVA05G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWWDSEYKDRSSLEYRVYVGNLPYSVDEQTLMDYFADYGAISAEEPCSCSCFPFRSLPIAWDSEMGRPRGFGFVNFEDNESVNAAIHGMNGQDIGGRKVTVARAQMRPRRWRA >ONIVA05G08330.1 pep chromosome:AWHD00000000:5:7411523:7413056:1 gene:ONIVA05G08330 transcript:ONIVA05G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPWWDNDYNGRTGSDSKGQESRVYVGNLPYRADERSLKDSFANYGAVSSEIAVDRETGRSRGFGFVSFQDSKSASDAIKGMNGQDIGGRNVIVQEAQPRSRR >ONIVA05G08320.1 pep chromosome:AWHD00000000:5:7403976:7404545:-1 gene:ONIVA05G08320 transcript:ONIVA05G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRGGGGGVASPTPAKVEGGGGGGGGGPGMPMAELEQVFRRYDANGDGKISAEELASVLRALGAPPGPGEVRRMMDEMDSDRDGFVDLSEFAAFHCGPTPAHGGNGGDAKDQEAASEAELREAFRMYDADSNGKISARELHRVLRQLGDKCSVADCSRMIRSVDADGDGCVNFDEFKKMMGGGGGRR >ONIVA05G08310.1 pep chromosome:AWHD00000000:5:7388616:7389071:-1 gene:ONIVA05G08310 transcript:ONIVA05G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSVEVGIQCSADGHALPHLDPAPGVASANAVAAAAAVDILVFAVTAAAAARCMRDSRIFPPSLPRSVSHPSSRGVLGGFAAGRIEPRQPPSGSPAARALRLLPPRTARLLPARRRCVAPSPASPRHHTAGRHEEKTEKEKRNREKRGKR >ONIVA05G08300.1 pep chromosome:AWHD00000000:5:7362197:7367116:-1 gene:ONIVA05G08300 transcript:ONIVA05G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGGGREGGGGMNGNGTAYAGALSPAARYAESGGASLTWENLTAVLPGGGGRATKKLVQGLYGYAVPGRVVAIMGPSGSGKSTLLDALSGRLARNVLLTGKVLLNGKKRRLDYGVLAYVTQENVLLGTLTVRETVTYSALLRLPSTMSKAEVRRVVDDTLDEMGLRECADRNIGNWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSASAFSVIETLRQLAVDGGRTIVSSVHQPSSEVFALFDDLCLLSSGECVYFGDAKLAPQFFAETGFPCPSRRNPSDHFLRCVNADFDDVAAAMKGSMKLRAVCPAAEEMFVNEADFDPLLKYSTSEIRERLVDKYRISEYAMMVRNTIHEISKIEGVIEEVVMGSQASWCKQLTTLTRRSFTNMSRDFGYYWLRIVIYVLMAVCLGTIYYDVGTSYAAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKMFTLERQNGHYGVAAYIISNFLSSMPFLLAVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVIGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGAYKNDLIGLEFEPMMPGQPKLTGEYIITKMMGLSLNHSKWLDLSMIFVLLFAYRLIFFLVLKAKEAAAPYIRVAYTRFTIKRLERRASFRKTLAMSSMSKRHNQPPHPMAVQEGLNSPMPY >ONIVA05G08290.1 pep chromosome:AWHD00000000:5:7359894:7360148:1 gene:ONIVA05G08290 transcript:ONIVA05G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREMIEKDQIALTHVARTHALPSCNAAPAPSAPPCCAGGGGRLESRGGLSAPGARRYSRCYERWSGRVPMVSGQRHEWPAPTS >ONIVA05G08280.1 pep chromosome:AWHD00000000:5:7355730:7357826:1 gene:ONIVA05G08280 transcript:ONIVA05G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTWTTNELWQFTAKAQYVFTKLAKRSIKGCQAQLGAKAAWEFGTAQIQSLLEQYRRGDRWTREASRGGGGVVGGGARGTEATHGGVTRQGGGRGRVAEEVARGTEAIHGGVTRQGGGLRRQRAMEWRSAEEHGVAERACWRSSVGSNRIYAAFLN >ONIVA05G08270.1 pep chromosome:AWHD00000000:5:7351845:7353141:-1 gene:ONIVA05G08270 transcript:ONIVA05G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYRSLYRLASTETEGVAAARASHAASPAELYWKIALPISPMPGAIRDLINPARSASQEDTDMDEVSTDAVFFLEKDLFPGSKITLHFTRGGACAMVLLRGRADAIPFASEKLPEILNQLSVPAGSRAAEDMRTTLAECEAALLGARDQAKHCVTSLEPMVEFAAASLGTRDIRAVSTEVIGTGAAETPRQEYTVEAVKPVVSVSGGNMVTCHGMPYAYAVFGCHTTTATAYAVTLAGADGTRAEALATCHGDAFPGVAEAYERVGVAAGSVPVCHIMPLGDMLWVRN >ONIVA05G08260.1 pep chromosome:AWHD00000000:5:7350291:7350863:1 gene:ONIVA05G08260 transcript:ONIVA05G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKTVAGRMAGTARRMEASTAAGRLGRVLRLWLCRYSHNEYLFPEAQPFPHADFTDEVAYLNSALPGELLLFRRSAVMSSSSPDASPRWHVYSASSSSSSSKSTFSARPLTTCGWPDQGRPCLCGRLTSGGTPLPATILHSSHGSSYCFSLHSPYSAMMYIRPPATVQEPVHPSHIPRAISDHEYDATP >ONIVA05G08250.1 pep chromosome:AWHD00000000:5:7322231:7327372:-1 gene:ONIVA05G08250 transcript:ONIVA05G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKVRPEAGDYTIFMGLDKFENEDLIKYGFPEDIWFHVDKMSSAHVYLRLNKGQTMDDISEGVLEDCAQLVKANSIQGNKTNNIDVVYTPWYNLKKTPSMDVGQVGFHNSKLVRIVKVEKRINEIVNRLNKTKVERKPDLKAEREAVSAAEKAERKVQLRDKKRREEMERLEKEKQAEIRSYKNLMVQEKMTSNKQVASGSKTLEELEDDFM >ONIVA05G08240.1 pep chromosome:AWHD00000000:5:7317607:7318708:1 gene:ONIVA05G08240 transcript:ONIVA05G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTDFPPPVAAAAICSKIASCCTRRRTLSARVGGGGEKNREGRGKKGALRAAAGVQMWPLTRRATAAASHARQPGESHPDKQADLQVVRRRVHHHGGRGDRRAGVRQRGGRDRVSSPSNASGYLGHPLTSREVFCARLPGKDVGSSCYVCAGDRGVVVRGAERYLYVIGRSADVLALDVDSDQRVRARLRLPTQHHAAPSTPLTTARRPHHLLCHSRCRHLLLLSRLTPVVSAPAAGWLAKTEEKRAR >ONIVA05G08230.1 pep chromosome:AWHD00000000:5:7317144:7317590:1 gene:ONIVA05G08230 transcript:ONIVA05G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCAAVRARPDQFTPSSFPTHVDTLNASNDENTYTNTVVCMSAHPRRRHHRLWLRQEAAQQDHNLVPPPLEAHRHGAASCASRPQPASHSAVGPQAAPSVTSRTTTTLHLRAAAFLSPPPPALVAAVGTASTLVGPPPPSSSRLVSE >ONIVA05G08220.1 pep chromosome:AWHD00000000:5:7311713:7316698:-1 gene:ONIVA05G08220 transcript:ONIVA05G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVTTIPPLLLLICSKPNQWKARVSPTREWRALLVARLVAGGMAGRPSASSLRQTVWFRRLCLPRPSLSSTLSVELSGNKTNNIDVVYTPGYNLKKTPPWMWVKLVCCFPPPKASTMPPPLLLLFFLLASAGATTAVEEPPAALSPPHKNATLSEILLRYGLPPGVFPTSVTAFTLAANGSLAVDLQGLCYSHYKYLTYFEARVVGLLRYGSPTDLSGVKVRRFLVWFDVIRVKVDLPPPPHYVYLDIGWITRKLPADEFESPHKCDDSKKCRLSSALATAAVWFQV >ONIVA05G08210.1 pep chromosome:AWHD00000000:5:7297361:7306305:1 gene:ONIVA05G08210 transcript:ONIVA05G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSISMYRGNLHRAGADTDHRWPAPRPTITPSRFRSLLRSRTLSLARLDGAARADSAASSSTSRLADGDAGVDEEEQDEEGMEMEEEEEEQEQEQEEEDGQDEQQPQEAGEEQDEGAVEDADMDDAGEVLVGGEDAHGNGDAQEGQGESEGFDPNPEGSCLDVIEERKKELSDKLDTLNKKKHDLVQMLKQILNAEEEIRMRTMQASLRTTVPQPSENAADGSSISRLVPRMTVDVNFSDVAGESEAGSNQGTPGRPLHHVHSISPSTASFARSPFGSLQHNSGHTPRSPATFSTASPSRFAATGNQGQPIGHPSISLPGINFVASSPSPAASGGSSSVFRDYRPPNST >ONIVA05G08200.1 pep chromosome:AWHD00000000:5:7288656:7292335:-1 gene:ONIVA05G08200 transcript:ONIVA05G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rhomboid-like protein 11 [Source:Projected from Arabidopsis thaliana (AT5G25752) TAIR;Acc:AT5G25752] MAAQQLLLLLPLPLPSRTFSKPLPSLPIPLPALRLSSSAYAARRRDLLRSLRCGMRRSDLVADLELAKDKQWQGRRPNGIFWILLLNVGIYVADHLFQIEHIKAMYLYHAFPSWFQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGSFALWMSYILTGAGSNLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTVTGHSLQVNNIAHLSGALIGAALVFLINRIPFPSDGDRSKSSKDTREKRS >ONIVA05G08190.1 pep chromosome:AWHD00000000:5:7285593:7286825:1 gene:ONIVA05G08190 transcript:ONIVA05G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSVVVHQRGGAGAGDEEEEIGVFTAERYFSGADEVDALWCGGSSSSLSSAFKTGGGQQEYWSAAPTTLTAATTSSEASWNSRSALLRDAAAAVAVETEEPSGAGGDLCTPGTKASSPSHNLLLRWLLGVAACACAGGGAEEAVIADDCRRDEARAAGVAVGGEKRISTEAAEAVATTRVSRGMCDGDVFDAGTATPPPLPQLAEPRRIRTADSGEVSARVFNTRDTAAAALAADERRRRSLDMFASATRQQQSSQNPAFTIVAGTSTAARDAGGAGASATIRRDDPGAAAGDDAASSDGELVECAYPPSEASVVWSVVTADGVASAGNFSSAASGYYHHYYYHNGGGGDGRRTDAGKSSRRSSAGGLLTMGCMSDRALDAISPARVVHRRPLPPGGGRRWCHVARRR >ONIVA05G08180.1 pep chromosome:AWHD00000000:5:7273874:7274128:-1 gene:ONIVA05G08180 transcript:ONIVA05G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEDHREEICEVVLLRSPEPECAEIERFRDRSRVALTGNNGIKQGGLWYANPIAFFRKDPLPNYGDILRSYNLYDDDSENGD >ONIVA05G08170.1 pep chromosome:AWHD00000000:5:7270177:7270524:1 gene:ONIVA05G08170 transcript:ONIVA05G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIDRSYQKPGSSLHGGSGPGRATEEGVSPEEEEEPRERGLAGGRLGLRGVGLRAPRRRAERGSGRVGDGEEGFGNGGRRERRRGWRPPAARFEAEEETRRECDEIGIEFEMG >ONIVA05G08160.1 pep chromosome:AWHD00000000:5:7266115:7270110:-1 gene:ONIVA05G08160 transcript:ONIVA05G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGGGWVARLAALLVVGFVLGSVDASLGDVDPQYRTCVEECHTTGIIGENIISHCQSPGNDDASVGSSWYTQEPLYMQWKQLNCMNDCRYYCMMQREGERQSRGLNPVKYHGKWPFIRVSVFQEPLSAALSAVNLLMHFTGWLSFFLLVNYKLPVRPQTKRTYYEYTGLWHIYAILSMNAWFWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRTFNVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLAWAIWAGITQHPSRFKLWVVVFGGALAMLLEVYDFPPYKGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLIKKAK >ONIVA05G08150.1 pep chromosome:AWHD00000000:5:7248211:7262365:-1 gene:ONIVA05G08150 transcript:ONIVA05G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPVTARASRWRHAETGRWQGIDKVKHQQFGWPVATHNLKKQEYITSAAQDSAFNRDENVSTWTWTLAKLGSQMKTYVTWRAAPLSHNAWNVKLSETEEVVVSE >ONIVA05G08140.1 pep chromosome:AWHD00000000:5:7246132:7248199:-1 gene:ONIVA05G08140 transcript:ONIVA05G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGGSKPGGEVPSLLPDVEVTNVASFDVTAPSPRSQPSPRPLPHPNTPTRPRAPSLVRVPRRGGEAVVLPLESPGFRSVLPVSVSLPASPSGFGAPTPVGGGGGGDSDVDLRRQAAANASREPLHSPASQAKGGGNGVRFVQPERMMFLSQPIPGGQPSRTATRGGGGRAMCRDKRYDSFKTWSGKLERQLTHLAGVGPEAPVDKERGDAIGSHHTSSLPKVDRFFAALEGPELDQLKSEEELVLPSDKTWPFLLRFPVSAFGMCLGVSSQAILWKTIATSGPTAFLHVTTKVNLVLWCVSVALMCAISATYGAKVVFFFEAVRREYYHPIRVNFFFAPWIACLFLTIGVPDSVAPTPLPHWLWYALMAPVLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLREGPVFFFAVGMAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWARITGEFGLGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNALTRALCVALSAVATLVVTALFATTMIHAFVLHKLFPNDIAIAITDGQSMIPIKELLEMHADAADADADDEDIESAAAKPTAARS >ONIVA05G08130.1 pep chromosome:AWHD00000000:5:7242140:7246051:-1 gene:ONIVA05G08130 transcript:ONIVA05G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKLKPHVSYDNQRGGRGGGGGKAGVGLRDAVVVPGGGEEVHWRAAAAVGEVGGGDWATLRRTGDVCGSVPSTPLRLPLLLMMMLVSTSAVPVSPSTSPPAAALLLSRLDRLRGRRRCCFHCRCLPPKKKPLSPPPLPLLEKENTPPETEGKEEDTASTRSSPAVGLFRMPPASLTCSAVRMRKKSEGGKGRREE >ONIVA05G08120.1 pep chromosome:AWHD00000000:5:7234845:7241623:-1 gene:ONIVA05G08120 transcript:ONIVA05G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G79890) TAIR;Acc:AT1G79890] MPAPPPPPPPPRRDFPAFPFAPYPIQSEFMSFLYGALSSGPGALALLESPTGTGKTLSIICSALQWLVDHRDAAARGSTTAAAAGGGGEGDGDEPDWMRDFTPLPPEKPTAKKGRPPAAAARSKAPRRAPAGSEKPEGSEEAEDEEFLVEEYEIDGEVGVRREAGKRPHRGGGSSSESEADGEEEEEEEVTPKVFFTSRTHSQLSQFVGELKKTEFGRRLRTVCLGSRKNLCINKDVLKLGSANRINERCLELQKSKKSSKTKVEGDNRKVCQSKTSCGCPMIRKRGLQKEFKSEVSEQGALDIEDLAQLGKKIGTCPYYGARDMVRTADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTSMYNSKITSSQLNAILSHLEAYLNRFQSVLGAGNRRYIQTLTVLTRSFLRVLTSNQDDACTMTSMTINQFLFSLDIDNINIVKLCQYVKESNIIHKVSGYANKLNINQDGVNHLSHQQQYDEGSSIASFQTLADFLRSLLNCNDNGRIIVARKKFSGQPEDAYLKFVMLCAEKIFLEITCDAYAVILAGGTLQPIEETRLRLFPNLPPSDIKFFTCNHIVPPESILPIAVTRGPSGKAFDFSYSSRSSHTMIEELGRFLCNVVTTVPEGVVMFFASYEYERFVYDAWAASGMISKISKKKHVFREPKNSVDVEMTLNKYKEAIQSCSKSSQDAGVTGALLLAVVGGKISEGINFSDGMGRCVVMVGLPYPSPSDVELTETIKYIENISKPVLVGGDNSSSSKYDDECKLQPGFDILRKCNKGGREYYENLCMKAVNQSIGRAIRHANDYAAMLLVDSRYAHTSSNRSSSSPTDKLPQWIKTRLACAQNYGEDSGGSSFTY >ONIVA05G08110.1 pep chromosome:AWHD00000000:5:7216845:7217732:-1 gene:ONIVA05G08110 transcript:ONIVA05G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAKSGGGGGGRTKRLKDRLARLLLLRSPCSSSSSTSTTFTAATNVSTTTTTTTASSTATTAAFLPRVEPFSAALDLLRHPPHPPPPPEEKKDINRRNSSRRRGHFKPKLSSNPYGFTTSDDDGEGADGDDNDDDNDGTEAFFSSRSLVSSDSSGFYACSSKQQLLPHKSKANRHRHHRRHRQQKPTTTRRRRRRASGCVDDACSVRDAAAFRPLVSTTAEEEVRKGLAVVKRSSDPYGDFRESMAEMIVERQVFAAAELERLLRSYLSLNPPRLHPVILQAFSDIWVVLRGG >ONIVA05G08100.1 pep chromosome:AWHD00000000:5:7183108:7187742:-1 gene:ONIVA05G08100 transcript:ONIVA05G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALVSFALKLGGKIMGMATGKVEKLLGVPGEITKLETTLGDLRCYLVDADNRRSLEEAVKRWVRELKDVMYDADDILDLCQLVEDEGYDDARTNPSCWNASKFWFCNPVASHKIGRKIQALNRRLDDLSRRRSRLKFLPSVCSAAGAGSSLDDRCRTGPSVEQTFIVGEKIEQDARSLVNLLVNRVDDDHDPARSSNGNVIVVAITGVGGIGKTTLATMVFNDSELENHFKEKIWLSVNQDVNEIDLLKHAIEQFGGNHEHCRGDTVLLENALERAVRKKRFLLVMDDVWSDNVWNNFLRVPLSSGASGSRVLLTTRNEGVARGMRAQHLHPVEKLDRFDGWSLLKNQAFWVTTDESEICALEDIGMKIVDRCDGLPLAIKVIGGLLRQRNNTRNSWLRIYNHSAWSVNTTDYLNRAIILSYEELPPHLKQCFLYCSLFPKDEVIRRGDIVQMWMAEGFVQDEVSNSFLLEDLGFEYFNELASRNLLEQKREFYDHSACTMHDIVRYFAQSVGKEEGILLTEGQNTSIPTIRTLRLRQLSVSKKDVNWGALKQQVSLRALMLNKISMVDSNDFLNSLSSLRVLNLQNIVNLVELPQSICHLKHLRYLAVAGTSISTIHSNIGDLKFLQVIDLVDCTNITQLPQSILKLQKLRFLNLRRTRITSIPHGFGRLKDLVFMAGFPTHSSDDRTDGWCSLEELGTLSKLKILEITGLEKAPSGSSAAKANLSSKPNLTELYLMCASMLGTDNGDVQCNISAEEQDRIEKVLSNLCPPQSTELLTIGGYFGVELPKWMQMMSAFTNLTRLELKDYACCNRLPNGMGQLPFLDHLWIERAPAIKHIGRELLFPSSYGSSVAFPKLKTMGFKWMPRWEMWDWEEQVRAMPVLEGLSISYCELKYIPPGLPCQARALKSLYLESVRQLVSIENFPSLVNLQLIENPKLERVTNNPSLKNIYIWECPALKVLEELPLLNSIYWWDLKAEKLPECFGVPMLKKLFVHCNRRLFGLISLQDTTSEWGKIQHVSQLKAYGCTLRIDLSGYTLPTGLSGYNFLREVIDLFGYVAYTKEPYSFETRTYETSEQAQRYMASIALIFQISVHAIRLRLRMCPAVLNQSSGTETNS >ONIVA05G08090.1 pep chromosome:AWHD00000000:5:7163234:7165856:-1 gene:ONIVA05G08090 transcript:ONIVA05G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEMPSWLGDGSGIVLRVQVSQVLYPVTGEVLYQVYNTYGAVAVQHWQPFNGNSVDMTPTKCSTPLPSCAITKSDAESIPTTLEHVFLTTMNPSTPSAASAAAVPPVSIITTKEGEADMGKVEDKSENTLHDLCVEIKEMINQMLETCRNSKVEPIVGDDSAGVAVVPCTVTDSVSIALETSQEIDADVGNNDDLVREEDCVENTAVETKLYHVLSFSDQWVDHKEKASSERVVVFMPLQPWPPPFRANCKSSFVEQQLEPWPFFLCNHSLIKLKLPSKEDKLNMLPEQQGGCNPWEESLENLKLQGANTLSILHPKKVVFPCQELEIHIILIVSSVPKAAIEGLQLLSEQMLQEEQLKCEVNGIILFHGFFQLLSQALLFIESLLQNLVLGWYSCYSQQFSSAFWSFFWHLQQAINWLSLDDNEKPQFLILTIWPIHEKGGGCLSNCANEGHEVQIILVNGVSLQEVLKTVMLKVPWQPPTLAIHGGGNWTEIELCSGHSSITNHISSGVFSEMVLKSWPPEGEKPNNQLGEQQWLCNSREDVDRSAEFVQCWITFASVLVDNMELQGTDYSLFILGPLQVVAVDQELVIQIERIGKSASETERKGLQLFGELLLQGEQLKCGVVKLSWSYFSNHSVGNTLIVALLTQTCVQLVPSYNQSLFGSKKSLIQQVSRLNCVTIVFRSMLLLRIMSKKSYEGNEVRAQVNGLTGPWDPGMIWFDKFLMALSSRICGIDLGTSRILRRGECHISIVGYKWDGLDPDH >ONIVA05G08080.1 pep chromosome:AWHD00000000:5:7160618:7161031:-1 gene:ONIVA05G08080 transcript:ONIVA05G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSCFSSVLASLRGGAASAAAAAEDDAGEEGTAALLRRRLLVGEAAANLEEEVGALERALAVAVAERAAVEARRRDAEARADDAEARLLAAEEEKDARAEELVLAAAEAAAKRRQIRDLELQIQAVNNMTSKFRWY >ONIVA05G08070.1 pep chromosome:AWHD00000000:5:7153278:7158517:1 gene:ONIVA05G08070 transcript:ONIVA05G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRRWWWWPWATPPPFSSSASRHHKGEGWGLGGPALVKAVGWLLLAGLLFRVLCSFPSSTSSPEISEGKCNLFDGEWIPNPSGPAYTNKTCRFIDGHQNCMLNGRPDMSYLHWRWKPYECELPQFDEVRFLSAMRNKSWGLIGDSILRNQVQSLLCLLSKAEEPIEVYHDKEYRNRRWHFQSYNFTVSLVWSPFLTKSEVFENENGQSTSEIQLHLDILDPIWTSQYETFDYVVIAGGQWFLKVAVYWENDRVIGCHYCQDKKLRELGFEHLYRRTLQQVFRFIASSNHKPAVLFRTWAPDHFENAEWFNGGTCSRVLPYKKGEYSGKDMDRIMRPIELEEFRNAMAALGGSRNSANLKLLDTYSISSMRPDGHVGPYRYPFLKGDKDAKSVQNDCLHWKLRLRAECRPKR >ONIVA05G08060.1 pep chromosome:AWHD00000000:5:7108013:7110052:-1 gene:ONIVA05G08060 transcript:ONIVA05G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYSAPILTFKRVIKKASVEEFSCIPYILALFSCLTYSWYGFPVVSYGWENMTVCSISSLGVLFEGTFISIYVWFAPRGKKKQVMLMASLILAVFCMTVFFSSFSIHNHHIRKVFVGSVGLVSSISMYGSPLVAMKQVIRTKSVEFMPFYLSLFTLFTSLTWMAYGVIGRDPFIATPNCIGSIMGILQLVVYCIYSKCKEAPKVLHDIEQANVVKIPTSHVDTKGHNP >ONIVA05G08050.1 pep chromosome:AWHD00000000:5:7104543:7106250:1 gene:ONIVA05G08050 transcript:ONIVA05G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G41850) TAIR;Acc:AT5G41850] MAPKRRRARPADESSTPPPPPPPLRTAPSHLQPVVVFAHGAGAPSSSDWMVHWKDMVKDALDAIEVVTFDYPYMSGGKRRAPPKAEKLVDHHLGVVKGAVAKHPGHPLVLMGKSMGSRVSCMVADSDDIIVSAVICLGYPLKGVNGAVRDETLLKLKIPTMFVQGNKDGLCPLDKLEATRKKMNCKNELHVIDGGDHSFKIGKKYQESTGVNQQAAEMEAVKAIAKFVQNSIAGT >ONIVA05G08040.1 pep chromosome:AWHD00000000:5:7088908:7093407:1 gene:ONIVA05G08040 transcript:ONIVA05G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPSSALPPLAGCRLPKLRLHRSVPPQLRRSPSPSAARRHRRRLDDGRSVPLLARSGLKVAGSGLGRRWRRWRLEGGSSRSKAAAAARLLEQQLEGGGGGSTTASPRPLYSAVEVPSIGSRLPAGWQLLFRVSLPVNCYCLILFQFFG >ONIVA05G08040.2 pep chromosome:AWHD00000000:5:7088908:7093407:1 gene:ONIVA05G08040 transcript:ONIVA05G08040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPSSALPPLAGCRLPKLRLHRSVPPQLRRSPSPSAARRHRRRLDDGRSVPLLARSGLKVAGSGLGRRWRRWRLEGGSSRSKAAAAARLLEQQLEGGGGGSTTASPRPLYSAVEVPSIGSRLPAGWQLLFRVSLPVNCYCLAWAHGRYHNMRQTLDLS >ONIVA05G08040.3 pep chromosome:AWHD00000000:5:7088908:7093407:1 gene:ONIVA05G08040 transcript:ONIVA05G08040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPSSALPPLAGCRLPKLRLHRSVPPQLRRSPSPSAARRHRRRLDDGRSVPLLARSGLKVAGSGLGRRWRRWRLEGGSSRSKAAAAARLLEQQLEGGGGGSTTASPRPLYSAVEVPSIGSRLPAGWQLLFRVSLPVNCYCLILFQFFGHGHMADTTI >ONIVA05G08030.1 pep chromosome:AWHD00000000:5:7076884:7077465:-1 gene:ONIVA05G08030 transcript:ONIVA05G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAICLAVFFLLAVISSAASDDPLTTVQQPISQVSHGATMEEKRSSPVKQDEGEEKAASKAVQAGDRRDGELDVGRGSGQDAEHHRGMEIKKLDNDDDDGSDWDSVDDSDSDHDSDSDHDHDSDSDHDSDFDRGSDSDHDSDSNHDIDGDDNDDDDDDNNDDEHKTNKTMSKKKKRSASGGRRVGPGGNKN >ONIVA05G08020.1 pep chromosome:AWHD00000000:5:7066318:7066986:1 gene:ONIVA05G08020 transcript:ONIVA05G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPARESVGIEEAVVGATRVAEDDGSGARMEAAPVGAVRAAEAGGSERASDDEQQRGGGLGSRRRQRRQRTTTPPPSYPYVLSLSVHDTRLRAGGHDEALPRWPLYHLVSHLTGAAAPFTPLPLIPSSLSSALKRAWRQGDRNQRWMPAATSGRLRRRSPSCRHATASSSLVFPSARPQADLVATEIGAGGRGGGNQHWGKAWGPQLASQFGWPSLASGR >ONIVA05G08010.1 pep chromosome:AWHD00000000:5:7060958:7063898:1 gene:ONIVA05G08010 transcript:ONIVA05G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVGGGGWRVGDDAAGGGGGGAMAAGAAAAAEAEHMRRLHSHAPGEHQCSSALVKHIKAPVHLVWSLVRSFDQPQRYKPFVSRCVVRGGDLEIGSVREVNVKTGLPATTSTERLELLDDDEHILSVKFVGGDHRLRNYSSIITVHPESIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLTSLAEVSERLAVQSPTSPLEQ >ONIVA05G08000.1 pep chromosome:AWHD00000000:5:7039936:7040619:-1 gene:ONIVA05G08000 transcript:ONIVA05G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARRDPNSRRTSGGSRQGGQRRLGPEAVVLHALAVGGPRAIAVMSDYRKCRRAAASRLAGVVAKSAVKAVAEEDRREKKRSSPWTSAAAVSSYERAHRPLPLPWKRR >ONIVA05G07990.1 pep chromosome:AWHD00000000:5:7031523:7035648:-1 gene:ONIVA05G07990 transcript:ONIVA05G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSPPKLLTVTPSSPVSYVVVATRANGSCLSSRLRGARHLPPSSPALSYVARPCRAPSADLKLASLLGLNPSVSRTILSLHGCSGGGRALQLAKELAENNRDARVLIACAELTLICFSNPDESKIVGHGLFGDGAGAIIVGADPLVDGERPLFEMVLASQTTIPGTEHALGMQTTCSGIDFHLSIQVPMLIKDNIRQCLLDTFRSVGNMDPNWNDLFWAVHPGGRAILDNIEGELQLQPTKLAASRHVLSEYGNMSGTTIAFVLDDLRRRQEKEGDEHEQPEWGVMLAFGPGITIEAMVLRNPLS >ONIVA05G07980.1 pep chromosome:AWHD00000000:5:7025138:7025547:-1 gene:ONIVA05G07980 transcript:ONIVA05G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAEGYRKNMNLLRDTQKMWENFHNMGDFPLGPISTKSFVFFTNQVPGALMEWSPCVIVSRRERAVAEAEPCRLALLHGDADSEWQLLGRCGQIEKDVQASCEPDGGRLLAEGGQN >ONIVA05G07970.1 pep chromosome:AWHD00000000:5:7002886:7021204:-1 gene:ONIVA05G07970 transcript:ONIVA05G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAAAVVDSRLCTQHAEGPAAVLAIGTANPANIIYQDGFADYYFGLTKSEHLTELKDKMKRICHRSGIEKRYIHLDDKLIREHPEIIDKHMPSLETRVDIVSTEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCSAPSADLKLASLLGLNPSVSRTILSLHGCSGGGRALQLAKELAENNRDARVLVACAELTLICFSNPDESKIVGHGLFGDGAGAIIVGAGPLVDEERPLFEMVLASQTTIPSTEHALGMQTTASGIDFHLSIQVPTLIKDNIRQCLIDTFRSVGNMDPNWNDLFWAVHPGGRAILDNIEGELQLQPAKLAASRHVLNEYGNMSGTTIAFVLDDLRRRREKEGDQHQQLEWGVMLAFGPAMPGTATAAVVDSRLCTQHAEGPAAVLAIGTANPANIVYQDGFVNYYFGLTKSEYLTELKDKMKRIYKQINPSTLIVALIIIISSHRSGIEKRYIHLDEKLIREHPEIIDKHMPSLETRVDIVTTEIPKLAESAARKAIAEWGRPAIDITHLIFSTYSGCSAPSADLKLASLLGLNPSVSRTILSLHGCSGGGRALQLAKELAENNRDARVLIACAELTLICFSNPDESKIVGHGLFGDGAGAIIVGADLLVDGERPVFEMVLASQTTILGTEHAVGMQTTSNGIDFHLSIQVPTLIKDNIRQCLLNTFRSVGNMDPNWNDLFWAVHPVGRAILDNIEGELQLQPAKLAASRHVLSEYGNMSGTTIAFVLDELRRRREKEGDEHQQPEWGVMLAFGPGITIEAMLHLSEKWKQGFPFPLGAHGAVGPTKHYKIYTFKGPRTLRSINEEVHPIKDSCSGVIYTLGGTLYLASMMKCPKSSIQCLQIEIMGLMEYLNTSNQLGFVARNGFCPGVALAGCRHRKKRSHSIGTNEAGRQEACSLEVFGLECLPLGVRQRRGHGFWRRSRFDGDAYMRWVSTSSDEKKAHSPADGEEAPSPRRRREGLQTKVVAAQAAAKIKRRGGSGG >ONIVA05G07960.1 pep chromosome:AWHD00000000:5:6996929:7002814:-1 gene:ONIVA05G07960 transcript:ONIVA05G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHASSVIVPKKKKEEKSWCGAGCRGYFSPSIPTSSSTPRELARRLRVPIHPGERAGAPSFVQLLLRPHPSCSFQEKC >ONIVA05G07950.1 pep chromosome:AWHD00000000:5:6990965:6996898:-1 gene:ONIVA05G07950 transcript:ONIVA05G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSQDVESKAKVHPLVQGDDEIKGEQPNTDAPLGDSDSLSAASNDNKRVSREDIELVQNLIERCLQLYMNRGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIGLKLKKQINLFNHLLEHQYHLMKYPVPQQVPLTPTQNGIRPMPVNNLPMGYPVLQQPGIPAPVQPHVNSMSCGPPGCHVVNGIPAPGGYNPIRMSSGNGMTENEVPGTAHAGAMSSEMAVSPSSAMSSNHVSFTPDISGMDVDASTVNATFGDDLGNGGPLQIGPNGGDSSSLGQQIWDFSLSDLSADLTNLGDLAALENYSGNPFLPSDSDIFESPDDDIVEYFADAINGPSQSDEEK >ONIVA05G07950.2 pep chromosome:AWHD00000000:5:6991076:6996898:-1 gene:ONIVA05G07950 transcript:ONIVA05G07950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSQDVESKAKVHPLVQGDDEIKGEQPNTDAPLGDSDSLSAASNDNKRVSREDIELVQNLIERCLQLYMNRGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIGLKLKKQINLFNHLLEHQYHLMKYPVPQQVPLTPTQNGIRPMPVNNLPMGYPVLQQPGIPAPVQPHVNSMSCGPPGCHVVNGIPAPGGYNPIRMSSGNGMTENEVPGTAHAGAMSSEMAVSPSSAMSSNHVSFTPDISGMDVDASTVNATFGDDLGNGGPLQIGPNGGDSSSLGQQIWDFSLSDLSADLTNLGDLAALENYSGNPFLPSDSDIFESPDDDIGNFVLVYLKYMQYSEF >ONIVA05G07940.1 pep chromosome:AWHD00000000:5:6975362:6977957:-1 gene:ONIVA05G07940 transcript:ONIVA05G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HB36] MANGAATLFGFLALASMVKLGFVAGGGHDYAMALRKSILYFEAQRSGVLPPNQRVSWRASSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSILEYGKQMAAAGELRNAMDAVKWGTDYFIKAHPEPDVLYGEVGDGDTDHSCWQRPEDMTTSRQAFRVDPQHPGSDLAAETAAAMAAASIVFRGTYPGYANLLLVHSKQLFEFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLFEATEDRSYLEYLAGNGEALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGDHAAALQRYRQNAEFFVCSCVGKGAVNVARTPGGMMYHQRWNNLQFVTSASFLLTVYADFAAISGRGAVRCPAGAAQPFDILKFVKSQVNYILGDNPRGTSYMVGYGASYPRQVHHRGASIVSIKRDPSFVSCQEGYSSWYGRQAGNPNLLDGAVVGGPDEYDDFADERDNYEQTEAATYNNAPLLGVLARLAASCGGLKEEEYEQETATPVVNRTSSSSSASSLRATTAIAIEQNVTRTWARRRRTYYRYAVTVTNRSRRKTVRELHLSVSGLRGRLWGLEEARYGYVPPRWLPALRPGRSLRFVYVQPASTPANVWVTGYKLV >ONIVA05G07930.1 pep chromosome:AWHD00000000:5:6941663:6949461:1 gene:ONIVA05G07930 transcript:ONIVA05G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGGACVAATLFGLLVLASMVKLGFDAGGGHDYAMALRKSILYFEAQRSGVLPPNQRVSWKASSGLFDGKANGPVTHTFAGCTCTYTNLRLLPGCSAGSHLGGTGAHGAATILLGLLALASIANLCFWPGTDHDYGMALRKSILYFEAQRSGVLPPNQRVSWRANSGLFDGNASGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWGILEYGKQMAAAGELRNAMDAVKWGTDYFIKAHPEPDVLYGEVGDGDSDLSCWERPEDMTTSRQAFRVDPQHPGSDLAADTAAAMAAASIVFRGTYPDYANLLLVHSKQLFEFADKYRGKYDASITVARKY >ONIVA05G07930.2 pep chromosome:AWHD00000000:5:6948763:6949959:1 gene:ONIVA05G07930 transcript:ONIVA05G07930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKAVFGSIPYENATNFFDRDELLWAAAWLFDATEDRFYLEYLAGNGEALDGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGDHAAALQRYRQNAEFFVCSCVAKGAANVARTPGGMMYHRRWNNLQFVTSASFLLTVYADFATMSGHGAVRCPAGAAQPFEILNFVKSQVNYILGDNPRGTSYMVHHRGASIVSIKRDPSLVSCKEGYSRWYVRKAGNPNLVDGAVVGGPDEHDGFADERSNYEQTEAATYNNAPLVGVLARLAASSGGLLMEEDDQSLTRS >ONIVA05G07920.1 pep chromosome:AWHD00000000:5:6931024:6933574:-1 gene:ONIVA05G07920 transcript:ONIVA05G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT4G23840) TAIR;Acc:AT4G23840] MKAKRRVDTRHVRASASTILQPLAAGDESIRIQSPLSLHPLLPLSLRRGDAVLPMASASASAGGETPLVDRCIDAAAGGAATVEAWRRQRRSLERLPAQLADALLRRLAARRLLFPSLLEVFQHSVEEIDLSGHIAVDAEWLAYLGAFRYLRVLKLADCKNVNSSAVWALSGMSTLKELDLSRCSKISDAGIKHIASIESLEKLHVSQTGLTDNGVMAISSLINLRLLDLGGVRFTDKALRSLQAVSMLVMVLTQLEHLDIWGSEITNEGASVLIAFTSLSFLNISWTPSFGNIDEVFSSILPSSLTYLDMSSCSSSNLYFLGNMRNLEHLDLSYSRIISDAIEYIANIGMNLMFLSLSNSEVTSQALCVLAGTVPSLTTLSLAHTKIDDSALLYISMMPSLRTLNLSRTCIKGFMMENSVKVLSLSALEELKYLESLNLNNTQLMDDVIPPLASFRALKYLFLKSDFLSDPALHALSSASNLIHLGFCGNILSTTGLRKFVPPATLRMLDLSGCWILTGDAISAFCTCHPVIEVRHELIQELQANYGGTSHLHKSSRQPQQVKAKVAKSLAGPSRLADICFVDEKIKYSKEEMMELQHQAKSNSSMNVAQLPPELRRSV >ONIVA05G07920.2 pep chromosome:AWHD00000000:5:6931024:6933574:-1 gene:ONIVA05G07920 transcript:ONIVA05G07920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT4G23840) TAIR;Acc:AT4G23840] MKAKRRVDTRHVRASASTILQPLAAGDESIRIQSPLSLHPLLPLSLRRGDAVLPMASASASAGGETPLVDRCIDAAAGGAATVEAWRRQRRSLERLPAQLADALLRRLAARRLLFPSLLEVFQHSVEEIDLSGHIAVDAEWLAYLGAFRYLRVLKLADCKNVNSSAVWALSGMSTLKELDLSRCSKISDAGIKHIASIESLEKLHVSQTGLTDNGVMAISSLINLRLLDLGGVRFTDKALRSLQVLTQLEHLDIWGSEITNEGASVLIAFTSLSFLNISWTRVTCLPILPTLRCLNMSNCIIHSICNGEFQVLIHLEKLVISAASFGNIDEVFSSILPSSLTYLDMSSCSSSNLYFLGNMRNLEHLDLSYSRIISDAIEYIANIGMNLMFLSLSNSEVTSQALCVLAGTVPSLTTLSLAHTKIDDSALLYISMMPSLRTLNLSRTCIKGFMMENSVKVLSLSALEELKYLESLNLNNTQLMDDVIPPLASFRALKYLFLKSDFLSDPALHALSSASNLIHLGFCGNILSTTGLRKFVPPATLRMLDLSGCWILTGDAISAFCTCHPVIEVRHELIQELQANYGGTSHLHKSSRQPQQVKAKVAKSLAGPSRLADICFVDEKIKYSKEEMMELQHQAKSNSSMNVAQLPPELRRSV >ONIVA05G07910.1 pep chromosome:AWHD00000000:5:6927451:6929921:1 gene:ONIVA05G07910 transcript:ONIVA05G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSALPGKLPRKPPPPPPPPSPPNSTFPRYPRSLAAHPALSSSHPSDVSALLAAAARAGDLRLGRALHRRLLRGDLLDRDAVVANSLLTVYSRCGAVASARNVFDGMRGLRDIVSWTAMASCLARNGAERGSLLLIGEMLESGLLPNAYTLCAAAHACFPHELYCLVGGVVLGLVHKMGLWGTDVAVGSALIDMLARNGDLASARKVFDGLIEKTVVVWTLLISRYVQGECAEEAVELFLDFLEDGFEPDRYTMSSMISACTELGSVRLGLQLHSLALRMGLASDACVSCGLVDMYAKSNIGQAMDYANKVFERMPKNDVISWTALISGYVQSGVQENKVMALFGEMLNESIKPNHITYSSILKSYASISDHDSGRQVHAHVIKSNQASAHTVGNALVSMYAESGCMEEARRNTTHEFRAGDTSHPRAQDIYGKLDTLVRQIKGMGYVPDTSIVLHDMSDELKEQYLLQHSEKIAVAFGLITTSAPKPIRIFKNLRVCADCHSAIKYMSKATRREIILRDSNRFHRMKDGECSCGEYW >ONIVA05G07900.1 pep chromosome:AWHD00000000:5:6917645:6917929:-1 gene:ONIVA05G07900 transcript:ONIVA05G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKPMTVKYIVTRFIEADAAEFKSVVQSLTGKDSTAAMASPEEEGSRRRRTGHYRHVVPPPAPRRWLRWNVDDGFLVDVMPSIEEMDEFLRD >ONIVA05G07890.1 pep chromosome:AWHD00000000:5:6886994:6889652:-1 gene:ONIVA05G07890 transcript:ONIVA05G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAASHFYKGLDSEISQDEVSQFTIPTFGPGVAFDVDYATRHEQFRFFGDIMKPAKLRTYVDLMVAEVEGYFARWGQSGTVNMKQEFEQLVTLIASRCLLGEEVRDKMFDEVSTLLRELNDGMRLVTILFPRLPIPAHRRRDRARARLGEIFSDIVRSRRGSSVGGGGGAPHDDMLQCLIDARYKDGRATTETEVAGMLVAALFAGQHTSSSTSTWTGARLLTNPDHLRAAVEEQARLLRRHGGDRVDHAALAAMDTLQRCVKETLRLHPPALMLLRHARRSFVVRSEDSGGGEREYEVPEGHTVASPLLLHNALPRVYRDPGEFDPGRFGAGREEGAGGLAYTAFGGGRHACVGEAFAYMQIKVIWSHLLRNFELQLVSPFPETDWTVVMPGPKGKVMVTYKRRKLT >ONIVA05G07880.1 pep chromosome:AWHD00000000:5:6885888:6886364:1 gene:ONIVA05G07880 transcript:ONIVA05G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMNCSMGASLSAAGTAMATSCPRTHIKLNLIQTRVKQASGSALACLREAIPITPLPIGGTQLLRGVATSWWSCARATASSPMTLLLPLLSPCLKQCLTA >ONIVA05G07870.1 pep chromosome:AWHD00000000:5:6866239:6871740:1 gene:ONIVA05G07870 transcript:ONIVA05G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVVQNHRRWLYVAGVKKNNKEEREDAYWTRLTGVIGDFWHSEATKPGADADWDLKKYFKKFDGKRVKQWVAEAAAWCGFFYHLIKSGHYSI >ONIVA05G07860.1 pep chromosome:AWHD00000000:5:6863414:6863602:-1 gene:ONIVA05G07860 transcript:ONIVA05G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEAGKGAEQACAAAAAAAAAATDGDDGGERPPRMIRMPQEYVDWVLAQKREAYYESPED >ONIVA05G07850.1 pep chromosome:AWHD00000000:5:6858196:6863327:-1 gene:ONIVA05G07850 transcript:ONIVA05G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAALLKEADDKFEEFQKQVRKEVEEKGYYEVGMDFFVQRAASRALFDEQWAKIDFTGIDVEEDDDDEYTEPMSTMSSWTGRKLNLGVIGLSHVKFTRASPFDINLPCF >ONIVA05G07840.1 pep chromosome:AWHD00000000:5:6853574:6858020:1 gene:ONIVA05G07840 transcript:ONIVA05G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRAPMPGGSSPLSDAFAAAALRDKPDSLPPGRLSPVSPLVHSRLPTPTSSSGSSGSAPPRPARRGGDYHGVGEGFGPLIYTSCSSSAGGGGGGGASTATSALAAGAFPAGNICPSGRLPTTPPVPPPRAARRDVLGSGTGNYGHGSVVRARCAAPVSSSEDHASAVRMSAAASAEAEEVRRAGNEQYKNGCFEEALRLYDRALALCSDSAACRANRAAALIGLSRLGEAVAECEEAIRLDPSYGRAHQRLASLQIRLGRTADARKQIGMGGLQPDVVELHKLEAVEKHLGRFADARKIGNWKSALRECNAAIAAGADSCAMLFASRAEALLQINQLDEADLAISRASKLDCSSSCSQDMMLCGFQSNSYLYYVHAQVEIAFGRFDSAMSSMEKARKIDSGNVEVMAMHKNVRTVAQARTLGNELFHSGKFAEAFLAYGEGLKHHPANSVLYCNRAACMFKLGQWEKSIEDCNEALKIQPNYWKALLRRAASYGKIEQWADSVKDYEVLRRELPGDTEVAEGHFHALVALRSSRGEDVSNMKFGGEVEALVGAEQFQMATTLPGTILLKIFPFHCFHVHHAAKPQSRPWTNEVIGQQVCVSVVHFMAPLNQQCSDIAPFVDALCTRYPSVNFLKVDITENPTVTQLENVKTVPTFKIYKDGTRVMEMICPSHQLLESSLRQYEV >ONIVA05G07840.2 pep chromosome:AWHD00000000:5:6853574:6858020:1 gene:ONIVA05G07840 transcript:ONIVA05G07840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRAPMPGGSSPLSDAFAAAALRDKPDSLPPGRLSPVSPLVHSRLPTPTSSSGSSGSAPPRPARRGGDYHGVGEGFGPLIYTSCSSSAGGGGGGGASTATSALAAGAFPAGNICPSGRLPTTPPVPPPRAARRDVLGSGTGNYGHGSVVRARCAAPVSSSEDHASAVRMSAAASAEAEEVRRAGNEQYKNGCFEEALRLYDRALALCSDSAACRANRAAALIGLSRLGEAVAECEEAIRLDPSYGRAHQRLASLQIRLGRTADARKQIGMGGLQPDVVELHKLEAVEKHLGRFADARKIGNWKSALRECNAAIAAGADSCAMLFASRAEALLQINQLDEADLAISRASKLDCSSSCSQDMMLCGFQSNSYLYYVHAQVEIAFGRFDSAMSSMEKARKIDSGNVEVMAMHKNVRTVAQARTLGNELFHSGKFAEAFLAYGEGLKHHPANSVLYCNRAACMFKLGQWEKSIEDCNEALKIQPNYWKALLRRAASYGKIEQWADSVKDYEVLRRELPGDTEVAEGHFHALVALRSSRGEDVSNMKFGGEVEALVGAEQFQMATTLPGVSVVHFMAPLNQQCSDIAPFVDALCTRYPSVNFLKVDITENPTVTQLENVKTVPTFKIYKDGTRVMEMICPSHQLLESSLRQYEV >ONIVA05G07830.1 pep chromosome:AWHD00000000:5:6838363:6847884:-1 gene:ONIVA05G07830 transcript:ONIVA05G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDSAALSLTCAGLGGAEEDDDGAVVGYAKGEHCLDNLKDLQRFLRRDDPQRREVFKQVCKWKIASRDLVPIIENYQADRNLVITAVKVLVFLTMPVEPSSENVAQQIEYLWDLKAALTRNVAMAVIVSLLEDPLDHLERASFTEDDWKLVQLVLTLFRNILAIQEITLGQKASGEATQLLCLADSFLELMFQENVMDLILVLTQHMDEPSGYLQQENLLLLEIFHYLFLGRDPELIARASTAGSKDGSKSLCKGNPNSASENSLRKIRNVQRGPQKRIAWDNELLYTPREGTMEMIRNFLEQFLSGGYNVLMQSVCDDIVKEHHSIQKSDITTFFKVARFVLAFQHEKASNAQKSNAAVQLPEASPSDHLDDNLPFLGDICGPVAATLNEGMFNLVISRWRETYDSLKETHDYKTLSAAGSLMKNMIDMVYLVLKVLPDDSRESQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDTHKQPKSDLADLLGTIHIMLQLMEKLQARGALRVAKRTRKGRKKKASKNKIENTNLNAEKMEQSNADSTDGTKSALESLPDLKNVDSVAEPPLREQEKVVSNGMDAPDELTGTSVNLDSTSHCEVEPSCADNGELKGNLIDEEDGTSDSSLDDQPPATSEVDFNVSRLISTLANNSVVQNICWLLKHYKSNSFRTNHYIICMLRRFCEDLELAPMLYQMSLLTTFYDILADQKSSTSKEYTNIVNFLSKIVRKMLRVMKKQPLLFVDVLFWKTRKECHCIDADACLSDITKDGTNKGGEIGSSKGWRGSINIADSLGDDEVDLVIPHEPYNADKDDDSSSGEREDVSKRSMGATNKSNRILSFSDSEADDNDRNTVSRGSQNSEAPKRQGRSIFSEEQEKLMRDLYEKYKDDRKCTHLIAEALDPSGKITSVQVSRKLTQLGLRNAMKRRTKVPEAPLSAQELATQMDQMLGEHNCNPKPETTRRKRLNVSHASYEDNTTDGKSSDEETLQAIKSRTKNKKVPLVGLLPSISQHQDGLADPDSDDETIGSMLRSGKKKRLLTPDNAMNIEKHQESPDSTNTSNYSPEISQKQEALQDTYSGDEIIDSMHRSGKKKRLLKSGFTANTQEHEEPLINIGQDDETISSKDNLHHGLNSSNNSGGAGETELLDDFIEPELDNVENTEQRIIDDINITESGDMATSFADQKPGLKRRHKLVIDDDDD >ONIVA05G07830.2 pep chromosome:AWHD00000000:5:6838363:6847884:-1 gene:ONIVA05G07830 transcript:ONIVA05G07830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDSAALSLTCAGLGGAEEDDDGAVVGYAKGEHCLDNLKDLQRFLRRDDPQRREVFKQVCKWKIASRDLVPIIENYQADRNLVITAVKVLVFLTMPVEPSSENVAQQIEYLWDLKAALTRNVAMAVIVSLLEDPLDHLERASFTEDDWKLVQLVLTLFRNILAIQEITLGQKASGEATQLLCLADSFLELMFQENVMDLILVLTQHMDEPSGYLQQENLLLLEIFHYLFLGRDPELIARASTAGSKDGSKSLCKGNPNSASENSLRKIRNVQRGPQKRIAWDNELLYTPREGTMEMIRNFLEQFLSGGYNVLMQSVCDDIVKEHHSIQKSDITTFFKVARFVLAFQHEKASNAQKSNAAVQLPEASPSDHLDDNLPFLGDICGPVAATLNEGMFNLVISRWRETYDSLKETHDYKTLSAAGSLMKNMIDMVYLVLKVLPDDSRESQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDTHKQPKSDLADLLGTIHIMLQLMEKLQARGALRVAKRTRKGRKKKASKNKIENTNLNAEKMEQSNADSTDGTKSALESLPDLKNVDSVAEPPLREQEKVVSNGMDAPDELTGTSVNLDSTSHCEVEPSCADNGELKGNLIDEEDGTSDSSLDDQPPATSEVDFNVSRLISTLANNSVVQNICWLLKHYKSNSFRTNHYIICMLRRFCEDLELAPMLYQMSLLTTFYDILADQKSSTSKEYTNIVNFLSKIVRKMLRVMKKQPLLFVDVLFWKTRKECHCIDADACLSDITKDGTNKGGEIGSSKGWRGSINIADSLGDDEVDLVIPHEPYNADKDDDSSSGEREDVSKRSMGATNKSNRILSFSDSEADDNDSSIQIDKIRNTVSRGSQNSEAPKRQGRSIFSEEQEKLMRDLYEKYKDDRKCTHLIAEALDPSGKITSVQVSRKLTQLGLRNAMKRRTKVPEAPLSAQELATQMDQMLGEHNCNPKPETTRRKRLNVSHASYEDNTTDGKSSDEETLQAIKSRTKNKKVPLVGLLPSISQHQDGLADPDSDDETIGSMLRSGKKKRLLTPDNAMNIEKHQESPDSTNTSNYSPEISQKQEALQDTYSGDEIIDSMHRSGKKKRLLKSGFTANTQEHEEPLINIGQDDETISSKDNLHHGLNSSNNSGGAGETELLDDFIEPELDNVENTEQRIIDDINITESGDMATSFADQKPGLKRRHKLVIDDDDD >ONIVA05G07820.1 pep chromosome:AWHD00000000:5:6833802:6837721:1 gene:ONIVA05G07820 transcript:ONIVA05G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLTAAAAVVLCFLLHGAAVSGDRPFPPRFTSIFSFGSSYSDTGNFVLQSAGLPSIPFNHSPYGDTFFRRPTGRPSDGRLPIDFIAEALGLPLVPPFLAKEANDFGGGGGANFAIVGGTALDVGFFIRHNNASVPPFQSSLRVQIGWFRSLLRRGGNATAAAAAERLATALFVVGEFGGSDYRYLLSGGKSLEQAKSFVPEVVRAICRGVERLVEEGARYVVVTGTLPAGCMPMELTKYAAAAAGAANASSTAAAAYDRRTGCLRRLNGLAQYHNWVLREAVERMRGKYPTTKLVYADFYKPSGILNHGQPYYLNLNWVVGLYHGNFVNLKIFLPAFRNVYRDRVYIGFTQQPLKACCGGGGPYNYNPGAACGSPGASTCGDPSAYVNWDGIHLTEAAYKYVAGGWLNGVYAYPSILSLLAQ >ONIVA05G07810.1 pep chromosome:AWHD00000000:5:6827596:6828159:-1 gene:ONIVA05G07810 transcript:ONIVA05G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMMVQSAGMKRLLRDIESRRTPPDHLAPITGSVAKKFSRPSSPFLAGAAGADEPIIKKGTPVSVRTRVGKIGAGLNRHLVLRLGAVVVSDADEDDGFLDVVYNVGFPPHDPFRPVRVSRDQVKVITPTAAVTNAAAPPPPPPHPTKSKSNDGGPRPTVAGKSLRLLTKLERERAGAIYYSLVLRP >ONIVA05G07800.1 pep chromosome:AWHD00000000:5:6819081:6822218:1 gene:ONIVA05G07800 transcript:ONIVA05G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRVAVLGLVWLAAAATVAVADPLPSYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGLPLLPPSANKGTNFSQGANFAVMGATALDLKYFKDNNVWSIPPFNTSMNVQLQWFDEVKQTICSSPQECREFFSKALFVFGEFGGNDYSFAWKAEWSLEKVKTMVPSVVASMVGGIERLLDEGARHVVVPGNLPAGCIPITLTMYATEDRSEYDPRTGCLKKYNSVALYHNAMLRIALDQLQRRRPDSRIVYADYYTPYIQFARTPHLYGYKRGALRACCGGGGPYNYNMSASCGLPGATTCEDPDAHVSWDGIHLTEAPYRFIANTWIRGPYAHPPLASVVRDDMVY >ONIVA05G07790.1 pep chromosome:AWHD00000000:5:6788282:6793762:1 gene:ONIVA05G07790 transcript:ONIVA05G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLRREAGGVATIILVCSTVAAAAVAAGTLAVADAGAAAGGGVEGGARRQPRQAEMPEMDGYEATMRIRKEESRYGICTPIIALTSHSMEDDLQKAIHAGMNLHMTNPIERRTIVEAVHGVCKRQ >ONIVA05G07780.1 pep chromosome:AWHD00000000:5:6782984:6787707:-1 gene:ONIVA05G07780 transcript:ONIVA05G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDIDDVLGPSRLTGGGVPPGLRLPLAVVAMKPKRLRSSRVTQTRPQPEAWILRTQWCKQSKAERLVTVAADGGEELTEPLDLGVEGAIVPNLVAEADGLEEGGVHGGGADDRHVRPLLEVAAALRRRRQRQPKVLSCIYA >ONIVA05G07770.1 pep chromosome:AWHD00000000:5:6782545:6786512:1 gene:ONIVA05G07770 transcript:ONIVA05G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVLLAAAMVVVVFAHAAAAQRYNAIYSFGDSISDTGNLCVGGCPSWLTTGQPPYGKTFFGRPTGRCSDGRVVVDFLAEHFGLPLPPASKGGGDFKKGANMAIIGATSMDAAFFKSIGLSDKIWNNGPLDTQIQWFRQLLPSVCGNDCRSYLSKSLFVVGEFGGNDYNAPLFAGRAMTEVRDYVPQVVSKIIRGLETLIRMGAVDVVVPGVLPIGCFPIYLTLYGTSNGADYDRNGCLKSYNSLSSYHNTLLKRSLSNLQRTYPHARVMYADFYSQVTAMVRSPQNFGLKYGLKVCCGAGGQGTYNYNNKARCGMSGSSACADPANYLIWDGIHLTEAAYRSIADGWLKGPYCNPPILH >ONIVA05G07760.1 pep chromosome:AWHD00000000:5:6768738:6770829:1 gene:ONIVA05G07760 transcript:ONIVA05G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCGGGGDGDGDGCKCRPLGWLLGLPFALLAVLVSIVGAIIWIIGLPISCICPCCLCVTLVLEAAVELIKAPLHVMTWFTSKIPC >ONIVA05G07750.1 pep chromosome:AWHD00000000:5:6764189:6767111:1 gene:ONIVA05G07750 transcript:ONIVA05G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 11 [Source:Projected from Arabidopsis thaliana (AT5G45940) UniProtKB/Swiss-Prot;Acc:Q8LET2] MSVVVLVVAPKNPVGEPRRRSLQSPEAPPPPPPPAATLSMRPLLARLFAPTYIAMGFSSSASPPSRRLAHLTRHLLLSSGELSSSVGAPAAAARPAYLAAPKGYAAVLVCLFEDPHGGDPRVILTKRAASLSSHSGEVSLPGGKVEEGDADATATALREAKEEIGLDPALVYIVTVLEPFLSKNGLHVTPVIGILSDKALFKPVLNESEVADIFDAPLEMFLKDDNRKTQEANWMGMNIPVQSFEYQSEDKTFVIWGLTAHILTRAAAVVLQREPSFVEFRPRYVNSPSGDTNETKR >ONIVA05G07740.1 pep chromosome:AWHD00000000:5:6759200:6759982:-1 gene:ONIVA05G07740 transcript:ONIVA05G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSVAAAPSGSNGTRRDGGSGSVTGCLPADQACFALSSSASSPGYLHASATTTRRDASATVARACCTTASYVVVLGISFGSLLAILLILCIIRWYLVWRSARPRRDDGAADEAVGSAKKRSAGLDDDAIAALPVFAYKQREEGGGGAVGAAEEEEEERECAVCLAVMADGEAARRLPRCMHVFHRGCVDVWLREHSTCPVCRAEVVVRPAGAARVEKLPESSASRALTSPVPAPAPRPTGTVVDDGRERDLEAQQ >ONIVA05G07730.1 pep chromosome:AWHD00000000:5:6748934:6753099:-1 gene:ONIVA05G07730 transcript:ONIVA05G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSGGGGGPGPLPYSMRDVDGSGAYNNAKFRHRSRLKMASQALFTNSSKYQCGKFTVGKFLSLLMVSGVIYLLVHKSSDGFVSGELHEKVGNTHTKKDFPKIRTFWRKPPRLPPRLPPNEIYRNNSLLLQSPQSEWTLRQKKVKEAFEHAWSGYRNYAMGYDELMPLTRRGIDGLGGLGATVVDSLDTAIIMGADDVVSEASKWIEDNLMKKLSEKGQVNLFETTIRVLGGLLSAYHLSGGDKARGDSGIPMTSKRANPERLLEVSKDLADRLLLAFTSSPTAIPLSDVVLRDRTAHAAPDGLSSTSEATTLQLEYSYLSTISGDPKYDLEAMKVLEHMRTLPTVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKVWVQQERYRDTSLKYLFEMYTEAMKGVKHLLVRKTIPNGLVFVGELPYGRNSGFSPKMDHLVCFLPGTLALGATKGITKKKALENHLLTAEDIDNLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGNSEGGPDGGNKSSQYVNDIIIKPLDRHNLLRPETVESLFVLYRITEDPKYREWGWQIFQAFEKYTRVDSGGYTSLDDVTSLPPPRRDKMETFFLGETLKYLYLLFGESNILPLDNGIIIRSKLVDGVIVIDLEIIVMQSDMLILEY >ONIVA05G07730.2 pep chromosome:AWHD00000000:5:6748934:6753099:-1 gene:ONIVA05G07730 transcript:ONIVA05G07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSGGGGGPGPLPYSMRDVDGSGAYNNAKFRHRSRLKMASQALFTNSSKYQCGKFTVGKFLSLLMVSGVIYLLVHKSSDGFVSGELHEKVGNTHTKKDFPKIRTFWRKPPRLPPRLPPNEIYRNNSLLLQSPQSEWTLRQKKVKEAFEHAWSGYRNYAMGYDELMPLTRRGIDGLGGLGATVVDSLDTAIIMGADDVVSEASKWIEDNLMKKLSEKGQVNLFETTIRVLGGLLSAYHLSGGDKARGDSGIPMTSKRANPERLLEVSKDLADRLLLAFTSSPTAIPLSDVVLRDRTAHAAPDGLSSTSEATTLQLEYSYLSTISGDPKYDLEAMKVLEHMRTLPTVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKVWVQQERYRDTSLKYLFEMYTEAMKGVKHLLVRKTIPNGLVFVGELPYGRNSGFSPKMDHLVCFLPGTLALGATKGITKKKALENHLLTAEDIDNLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGNSEGGPDGGNKSSQYVNDIIIKPLDRHNLLRPETVESLFVLYRITEDPKYREWGWQIFQAFEKYTRVDSGGYTSLDDVTSLPPPRRDKMETFFLGETLKYLYLLFGESNILPLDKYVFNTEAHPLPIIQSAQQISHSIVMQSDMLILEY >ONIVA05G07720.1 pep chromosome:AWHD00000000:5:6747953:6748534:1 gene:ONIVA05G07720 transcript:ONIVA05G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMPEQSCSSSPCRYIRGGRARHAQPPCRRAELLLLLVIPGHRLKPPSLPLLFPSPPLASTSSSSSSTRPPRGSRSSGPSPSPTPTLRTATPPSGARWRPSSHAASRRRRWQRRRWPHRGRRPIELEARSGVLEVIATSTTHGKGDDGKHNSGGGIDGARSEEDAAERDPPLSAMVGVVAGSSPSQGRRRRC >ONIVA05G07710.1 pep chromosome:AWHD00000000:5:6747183:6747722:-1 gene:ONIVA05G07710 transcript:ONIVA05G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWSLLNKTSCQRGRRWWAGERAREGICHLGPLETGIPIVNWSILTDATQGFPGYHAFALPPKVVQDSQHAASWIQVMQDGRPTMWLG >ONIVA05G07700.1 pep chromosome:AWHD00000000:5:6720841:6725168:-1 gene:ONIVA05G07700 transcript:ONIVA05G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKGELEQIALPAAVQKAAPPLADVPEVDLGGGACRADAARAVVAACEGHGFFKVTGHGVPAGLLARVEAAAAAFFAMAQPEKEAAAAAAAAAAPGSPFGYGSKRIGCNGDLGWVEYLLLGVAAAAAAPLPAHGEASPSPSYGSFRDILNEYVVAVRAMMWEVLKLMAEGLGLKEKDALVRLVSHEESDSVLRVNHYPPHPELKQQGHGRLTGFGEHTDPQIISVLRSNDTSGLEISLRDGSWASVPPDRKSFFVNVGDVLQPGGRIKLEELQGFLHAVSLRSRATREATRSRPAAGLLSLASPTSPPPEQPPAKPDGGKDGGGGAFYPLQHPAFGAPTGNRRRGGDASRRLRRRRRPEAAEPASLLADLAPSQPDQDEVALAALHQDEALAGAVVAPVEKAAGWGSRGAGGGDVAGGRDEAASLGAAGSGSPRPDPMPAAGPRRRPAPGRRRRR >ONIVA05G07690.1 pep chromosome:AWHD00000000:5:6713542:6713796:-1 gene:ONIVA05G07690 transcript:ONIVA05G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGIVETGIRARLRIAAVVARTQLLVNWLFIAGLYHGREFYPTADIKDIDSSNDEDKSDDVAAREDMKLAIITTVGGINYINI >ONIVA05G07680.1 pep chromosome:AWHD00000000:5:6707320:6707689:-1 gene:ONIVA05G07680 transcript:ONIVA05G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQDGDSRWPVRGVEEREEGEWICGRRMARKEKRGFAARRHVNSPAWCSANARHEARLMTGTEGDSEQSKWISS >ONIVA05G07670.1 pep chromosome:AWHD00000000:5:6700981:6702303:-1 gene:ONIVA05G07670 transcript:ONIVA05G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HB04] MPEKGTVVMSRYELGRSLGHGTFSKVYQARSLVSGETVAVKVIDKEKALRAGAGMVDQIEREVAVMRLVGRHPNVVRLHEVMASRSKIYFVMELVRGGELLARLVAGGGRLGEDAARRYFHQLVAAVDFCHSRGVYHRDLKPENLLVDDDGSGGGGNLKVTDFGLSALSASRRHDGLLHTTCGTPSYVAPEIIGDKGYDGATADVWSCGVILFLLLAGYLPFFDSNLMEMYKKITNGEFKVPDWFTPDARSLISRLLDPNPTTRITIDELVKHPWFKKGHTKRPASSNTMKLNEEEKPANAAMNMKPASLNAFDIISLSQGFDLSGMFCCHGHSSRTQDQLFVTGKPATAIVSRLEEIAETEHFTVKKKQKKRQEEDGMAVKLQGWKEGRKGQLAIDAEIFEVSPSCYVVEVKKTAGDTLEYQAFCNRDLRPSLNDICWK >ONIVA05G07660.1 pep chromosome:AWHD00000000:5:6696518:6702479:1 gene:ONIVA05G07660 transcript:ONIVA05G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQQQQQQTAAAATGVWKTVKPFVNGGASGMLATCVIQPIDMVKVKIQLGEGSAAQVTKNMLANEGIGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAIEKNDGKPLPLVQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPIAQRRNYKNAFHALYRIIADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKLGAGEVSTVLGASAVSGFFASACSLPFDYVKTQIQKMQPDASGKYPYTGSLDCAMKTFKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKFEKQIGI >ONIVA05G07650.1 pep chromosome:AWHD00000000:5:6678861:6693567:1 gene:ONIVA05G07650 transcript:ONIVA05G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPEVNGLLCVGLHQTDGGDELYATECLKMNQGSSHETNKLDAVMSASTMHSDHNNANACVDDYQHTKMMAGIQPMEEVGFGCTQPFELQSQGIVPDSEEESLPSSPETSSTSNYDMPDLAEQNLEHIYNVLGEMVDKEGPVVLSPEYVMCGTTSHVEPRLTFSADGFKIKYWDSCENDEMAAQYWKISDITCIDCKWAQSVGSVLITLHVGSGTESGNSSHDRIQFCLIDSQWPRKQQNIWHLASRYQEIWNNIPSTEEFEDVIYPKGDHDAVSISKRDVELLLPETFVNDTIIDFYVKHLSTRIEPAEKHRYHFFNSFFFRKLADLDKDQGRAPEGRAAFLRVRKWTHGDTNISAKIPCILHMDSLKGSHSGLKDIIQSYLWEEWKERHPESASDCSDKFLNLRFISLELPQQDNSFDCGLFLLHYVELFLMDTPRSFNPLKIDSFANYLSDDWFPPAEASLKRSLIRKLIHKLLKEPSQDFPKLVCCSEQLDKTHGSENAELEQMSENAEREQAKELPAQMCTDGEPDSVGTILETQQPSISTCFNDSDENGPPVSVHKLHKLEVCSANKDAIVCLSNHDEKNESPPADSYNHLDLRSCDSEEAETAKGSAGVVKDPNSHKEPLLDSLDNNQDISIQAGAEMHDSMDSKLCSISNNADLMASEERSLDKNTNENEEHNRTSEDIVESVMMLGGSKSDTELDAEPERTAGEAEVRNCDHSKDIDYIALGDINKDAAKQSLNRNIVEAEDIKCEGTLVDHTVVEDATPYNVNETSASANKINDNEHNVSSELKEGNNGNGITTSISCEMEVRNIDNLMVGDSRNGTDETRADGQEAHDNSATAETVPCEDNATTSITDAEMPHEDSTCSVKGEAISDNTASDAKRPLPDSTYIEDIPDDKCLQKDDGGGDEAKTERHYKRRKFLVSEATS >ONIVA05G07640.1 pep chromosome:AWHD00000000:5:6666588:6670959:-1 gene:ONIVA05G07640 transcript:ONIVA05G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58690) TAIR;Acc:AT3G58690] MSSGGGGGDDYKREESVALMVIVSLAALSLLSLVAAFAYYCYITRKVSRRLHSLDLPKHHRRSSSSSPPPMPPPLPPPPPSANAPTLGKESPSSNSASDGAAAAVVVGGERGAVQVFSYRQLHAATGGFGRAHVVGQGSFGAVYRGVLPDGRKVAVKLMDRPGKQGEEEFEMEVELLSRLRSPYLLGLIGHCSEGGHRLLVYEFMANGGLQEHLYPNGGSCGGISKLDWPTRMRIALEAAKGLEYLHERVNPPVIHRDFKSSNILLDKDFRARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVQILDPALEGQYSLKDAVQVAAIAAMCVQQEADYRPLMADVVQSLVPLVKNRSTPKTCNPSVQA >ONIVA05G07630.1 pep chromosome:AWHD00000000:5:6662884:6663377:-1 gene:ONIVA05G07630 transcript:ONIVA05G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIRSAPEPSAPTPSHTSSQGSTAMLGKRRLDAMDFSTMVLDLGAKQGSREDSNLKRPPPISSSGSVHSEGRFGQSIRHVGPT >ONIVA05G07620.1 pep chromosome:AWHD00000000:5:6657175:6660532:-1 gene:ONIVA05G07620 transcript:ONIVA05G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPAPAPEPMLLDAQPPAAVACDKKQQEGEAPYAEGNDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRAMDHPNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANHRMPLIYVKLYMYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKALVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREPNARLPNGRPFPPLFNFKHEILVSMRKCVLSLVLIGAAFWSLQLANSSQELISRLIPEHVQRQAAHNFFNTRS >ONIVA05G07610.1 pep chromosome:AWHD00000000:5:6652123:6655374:-1 gene:ONIVA05G07610 transcript:ONIVA05G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIAAAGGEGDAGVVAHVVVDVDESCAAAADDDDRSRRPSTGCRICHLGGGGDGEMAAESGSGRLVRLGCGCRGELAAAHRRCAEAWFSVRGNRRCEICGETAENITGWGGGGKEFMKRWHATAGVDVEGSSKACSGFCKSHSLCNLLIACLIIVIVLPWLLHNHVL >ONIVA05G07600.1 pep chromosome:AWHD00000000:5:6649118:6651373:1 gene:ONIVA05G07600 transcript:ONIVA05G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >ONIVA05G07600.2 pep chromosome:AWHD00000000:5:6649013:6651821:1 gene:ONIVA05G07600 transcript:ONIVA05G07600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >ONIVA05G07590.1 pep chromosome:AWHD00000000:5:6646477:6647880:1 gene:ONIVA05G07590 transcript:ONIVA05G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53330) TAIR;Acc:AT1G53330] MSGATAKFSSSYHLAAALRREPDPAAALRLFLSPTPTAAAGPSSSPAPPFRYSLRCYDIIVCKLAAARLFPAMESVLARLPSSLRPREPLLCRVISAYGRARLPAAARRAFAHPAFPAPRTARALNTLLHALLACRAPLPELLSECRGSGIHPDACTYNILMRAAVADSGSVDNACLLFDEMLQRGIAPTVVTFGTLVTAFCEAGRLEEAFKVKEVMSLQYNIRPNAHVYASLMKALCEKGKVDDAHRLKEEMVSNSEPLVDSGAYATLARALFRLGKKGEVVSLLEEMKEKGIKVGREVHNSMIAGFCEDEGDLDAAFAALDDMQKGGCKPDSVSYNTLVGGLCKMGRWRDASELVEDMPRRGCRPDVVTYRRLFDGICDAGGFSEARRVFNEMVFKGFAPSKDGVRKFVGWIEREGDAASLESVLCQLASVNALESSEWEKAMSGVLHDPAEQKIVKLLDNLSLA >ONIVA05G07580.1 pep chromosome:AWHD00000000:5:6627774:6628091:1 gene:ONIVA05G07580 transcript:ONIVA05G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLDCHSTTILFVLIFLASSSSLQARMLPSDVHDDDNNVHVKELSAVSSNPTASTTVDSLALMAPPPPPPAAGKPEMMPAVDGKRWGTAQLQGSVPSPGIGN >ONIVA05G07570.1 pep chromosome:AWHD00000000:5:6613596:6613859:-1 gene:ONIVA05G07570 transcript:ONIVA05G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTVAAALDWPDLSPAPMRAADPSSPGMAGAAVAAYGLRGSGMLGKSGSTTCGLWSDDDDDLVEGCNDGANKLPATSCDNDGLRR >ONIVA05G07560.1 pep chromosome:AWHD00000000:5:6611686:6611967:1 gene:ONIVA05G07560 transcript:ONIVA05G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVIFFTALLLLASSSSCLARARMMMMPGDHAVNGKETSASSKEATSPHDLLQGVAPPLPPSPPATEIIRPDSSGWMPQGSVPSPGIGHRA >ONIVA05G07550.1 pep chromosome:AWHD00000000:5:6574705:6580554:1 gene:ONIVA05G07550 transcript:ONIVA05G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding M >ONIVA05G07550.2 pep chromosome:AWHD00000000:5:6574705:6577970:1 gene:ONIVA05G07550 transcript:ONIVA05G07550.2 gene_biotype:protein_coding transcript_biotype:protein_coding KGRRKEGEEGGVQGRGEGGRTDRPLEGPAAPAPLATSHAAPLARASPPPSPPPRLTLSTDRWRDGVASPRRRVIFPYQESTPTLLCSPPATATPRISSLLVGPVPRYRGQWTKHKYQNLFDLVKAYQNYDAGNRQTEVQ >ONIVA05G07550.3 pep chromosome:AWHD00000000:5:6574705:6577638:1 gene:ONIVA05G07550 transcript:ONIVA05G07550.3 gene_biotype:protein_coding transcript_biotype:protein_coding KGRRKEGEEGGVQGRGEGGRTDRPLEGPAAPAPLATSHAAPLARASPPPSPPPRLTLSTDRWRDGVASPRRRVIFPYQESTPTLLCSPPATATPRISSLLVGPVPRYRGQWTKHKYQNLFDLVKAYQNYDAGNRQVCYVPIFVYVCIARQL >ONIVA05G07540.1 pep chromosome:AWHD00000000:5:6566257:6566571:1 gene:ONIVA05G07540 transcript:ONIVA05G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHAIVAAILCLLLLQSSESLVQAARMMPAGDRPQAPVARTSSATDTAASSSSTSQDLLQEFMAPPRPISGKPEIIAVVDIAKRRRAIQVQGSVPSPGIGHH >ONIVA05G07530.1 pep chromosome:AWHD00000000:5:6555037:6555486:1 gene:ONIVA05G07530 transcript:ONIVA05G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVILSLLALAASSASAQFDACTYGQCQQQPFMQPIMNPCNEFVRQQCSPMSLPWEQSRRLQLSSCQVMRQQCCQQMRLMAQQYHCQAICTMVQSIMQQVQFDAGFVGEPQAQAQAQVALNLPSMCGVYPRYCSTPCNVATGHCGSW >ONIVA05G07520.1 pep chromosome:AWHD00000000:5:6549710:6550315:1 gene:ONIVA05G07520 transcript:ONIVA05G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDQTASSSETTTAVADDTSSQQDAPPHPLQVTSPDSSLPSPSPRQRRCVAAYMDLTREECGRLFPSGRLRSQPLRLAGRPFYLTARCNMDQRDTFRCFGLFLAMEVDDVEEEEEGAPSPAASVTVEYDFAARTRQQSGDEFVSMYKGHYTFAAGKSCGYRNLLGMPWASFMGDGGGDSVFFIDGVLHLRAELCVKEDA >ONIVA05G07510.1 pep chromosome:AWHD00000000:5:6542305:6548013:1 gene:ONIVA05G07510 transcript:ONIVA05G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRASRGPSFAFAFNSVNFSDRVLRIEIVAGDDAAGAKGAAGEGCSSLADWAHQRKRRREELRREKAVAFDFRSYPKFESKKKWATNRNSCFPLRGIALGRPHCRLGASAVRYFWRARSRECESGKYTDLETCKVEAEECDTYEENNEEPVAMIEESPSDIGQDGEDGDSCDSSWSMECTQVLRVKSIYISSAILAAESPFFYKLFSNGMKESDQRHATLRITASDSFYLIIVCLSETEENALMELLSFMYSGKLTTNQPTLLLDILMIADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMAAAVQPLTDTAKAFLANKYKDLTKLQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARSQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACNDLDHEQATKCVTEALLYKADAPHRQRTLAADVLTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFHCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWSSFMADDSLFFIEGVLHLRAELTIKQP >ONIVA05G07500.1 pep chromosome:AWHD00000000:5:6540565:6541452:-1 gene:ONIVA05G07500 transcript:ONIVA05G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHGTISTLMIESAADDHLCHLMVMAFMAVAHLLVFSPLPRQAISNNGEVGLSRHTPSLFLTFPSSTLACNPNDGKARHEHKEDHGDEHWLEVFVGGGLAAAYVEIG >ONIVA05G07490.1 pep chromosome:AWHD00000000:5:6507723:6512790:1 gene:ONIVA05G07490 transcript:ONIVA05G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPQPPPVAATVPVRGLKCRGGDAAAEPAMAGTPNRGQERGGEEGEEEEKAVLRRGLAAARARRKAGPVTPSPSWKLEASPPRPEEPVADSSAAAAVAGAMGRRSSAVAASARQLGATLWEIRDVIKVAGAGRRIRRRGRRGGVAGDDDEADRPQSSCGGGEHLSTSLMEHDKLHGERCHARQSLSPASYTSSIGAATINIVSPTRSLDRRARFREAGSQLKTSTELLKVLNRIWSLEEQHAADVLAMKGLKSELQHAHARVQELLQERRRYHYEIDSLVRQVSEDKMTQKSKDQEKVKAALRSLQEEIEDERHLRKHSESLHRKLKKELSEMKSAFVKAVKDLEKEKKATHLLENLCDEFAFGIRNYEEEVRLLKQKHIKQYEHKFDKSVVHISEAWLDERMQMQNADPKATLAERISITERLSSEIHSFLNTRRSSKPKDDKLYISNEKQDASLCRQSLESVHLHGATSAPRLAEDDNDNSVASDLHCFELSMHGHTIQNNDLIGTRQRVTSCMYSPMRRLEFSNGVPVEGSRISTMSPCSMKDKARPNGIREQLNTSTPEISPCNDAKNAPRCAQDETVMTQVSQRLHDDLLKIKSEAPQHAYLGQKSNDHHSRAGQFRDQCTTSGNVYDLRSPARQLNQRSSLDHEITEASPTHPLEGKSTTLKAKLLQARLEGQHARMRASGYSLTSTRRK >ONIVA05G07480.1 pep chromosome:AWHD00000000:5:6473774:6474697:-1 gene:ONIVA05G07480 transcript:ONIVA05G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45700) TAIR;Acc:AT5G45700] MVSRTPTKQPLSPLPRAASGSPTKPTPSPSPSPASARRRRVLRRGSPGRIKSLAATFDTSLRGCRRRLLKLFARLAVLGSPTKRRAAAAGFRRLRSPPRSPSPPTPKPNQVAAVSPQLPLPLPPVSPGRRTLFLDLDETLIHSQTDPAARARHDFAVRPVIAGQAVTFYVAKRPGVDAFLAAAAAAFELVVFTAGLPEYASLVLDRLDPRGALFAHRLYRGACRDAGDGRLVKDLAATGRDLRRAVIVDDNPNAYSLQPDNAVPVAPFIDDADDHELERVMGILSIAAEFDDVRDAIKRYKEIVEAS >ONIVA05G07470.1 pep chromosome:AWHD00000000:5:6466791:6471334:-1 gene:ONIVA05G07470 transcript:ONIVA05G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEHGVNGQHEETRAMEEGSRDHQARCENSEQDGGSKSSSNNHPMFSVQFAQKVIAEILGTFFLIFAGCAAVAVNKRTGGTVTFPGICITWGLAVMVMVYSVGHISGAHLNPAVTLAFATCGRFPWRRVPAYAAAQVVGSAAASAALRALFGGAPEHFFGTAPAGSDVQSLAMEFIITFYLMFVVSGVATDNRAIGELAGLAVGATVLVNVLFAGPISGASMNPARTIGPAIILGRYTGIWVYIAGPVFGAVAGAWAYNLIRFTDKPLREITMTASFIRSTRRN >ONIVA05G07460.1 pep chromosome:AWHD00000000:5:6456463:6464348:1 gene:ONIVA05G07460 transcript:ONIVA05G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein phosphatase 5.2 [Source:Projected from Arabidopsis thaliana (AT2G42810) TAIR;Acc:AT2G42810] MDANSSLNEQKSEELKLKANDAFKANKFSLAIELYSQAIELNSSNAVYWANRAFAHTKLEEYGSAVQDASKAIEIDARYSKGYYRRGAAYLAMGKFKEALKDFQQVKRISPNDPDATRKLKECEKAVQKIRFEEAISVGDEEKRSVADSIDYRIIEVEPQYTGPRVDGDTITLDFVKAMLDEFEKQKCIHKRYAYQIVLQTVQLLRSVPSLVDVNVPDGSHFTVCGDVHGQYFDLLNIFKLNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCLYPTGMYLARGNHESKSMNKIYGFEGEVRSKLGEAFIELFAEAFCCLPLAHVINNKVFVVHGGLFSVDGVKLSDIRAIDRFREPPEEGLMCEVLWSDPQPQLGRGPSKRGVGLSFGADVTKKFLQENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAYIRFTAPELKPNISSFSAVPHPDVKPMAYANNFLRMFQ >ONIVA05G07450.1 pep chromosome:AWHD00000000:5:6441527:6444286:1 gene:ONIVA05G07450 transcript:ONIVA05G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAFEFAILRRISPQKKKQFVRPLGVETSANGSRRRRPTSTAPTNPFHSILHRPPPMSPPPPPYYHHLLLLRSSPTTTGGGARVLAAAELARMKLLCSACEAAEASVLCCADEAALCARCDRDIHAANRLAGKHLRLPLLSPASSSSSSAAALAPPPPSPPKCDICQESHAYFFCLEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGQEQDEHSPDPPEPSPPPPPPPPASKSDHPAPLYGEGGGGFSWDAADSPAAGGLPDWSAVDDQFGSPPPPRHTDTATVTTPPPTKRSPRAPAFGGQGGMMDWPLGEFFGGFTDFTGGFGFGFGDSGTSKADSGKLGGSTDGSPYYRSSSEDDRNADELFGQVPEIQWSVPELPSPPTASGLHWQRHPAATHGGGGGPDTTAFVPDICSPDSCFPATTSKRRRQ >ONIVA05G07440.1 pep chromosome:AWHD00000000:5:6439344:6440117:-1 gene:ONIVA05G07440 transcript:ONIVA05G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPTVCSHVLVLASSTPQTSLSARAKQADNAGISAVGDNDMAGSHDGHYGCEAHHLLACVGLLGAIDLAIHPGEPRRHSLPPPGLVLVLDINAIAVARQQLPKSHLLREHHVHPLPAHLCTWDPPCPSYTVKNLVILLLAILFHVVAPFHRRLLRGGQEWRHREDGVFHLPLVPDHRHRPMEHARQPSPCFVALPSQNSAFPPPLSPIVLASTTNRLPEIRRPYSISRQGPIVVGVVFPNSGAAVPTSASHGSGP >ONIVA05G07430.1 pep chromosome:AWHD00000000:5:6433639:6434039:1 gene:ONIVA05G07430 transcript:ONIVA05G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDGAAAAVEIRRWQQRGFEMLRLVAAVTQNQRWLQGLFGSGRGSSGEWRHGHVAGSWLTVCSLVQCLGGIGNNINKGSLVIATFPFALHNVGMTLKPPFVFNPNPIPRVKE >ONIVA05G07420.1 pep chromosome:AWHD00000000:5:6416710:6416989:1 gene:ONIVA05G07420 transcript:ONIVA05G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAX7] MQVVLQNCLIQLQKPMGNQVAGGTGLHNRTVAPHPDLEKVNLTYMARPWKEYSMTIFVQNELGALVVGWLEWKEEERQEGQNDM >ONIVA05G07410.1 pep chromosome:AWHD00000000:5:6416067:6416322:1 gene:ONIVA05G07410 transcript:ONIVA05G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVGALQNCKELLGCAIDNLNTSFHKLGGFDMTNFNKAVDDLRTWLSATLTYQGTCLDGFLNTTTAMPPPRCATALNSS >ONIVA05G07400.1 pep chromosome:AWHD00000000:5:6409953:6411977:-1 gene:ONIVA05G07400 transcript:ONIVA05G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRMVVPTLTDKEAAGAAGKDGRAGGSWEAGDGDIGVARRRQRGGTRGWFQSILGASKQCKQLQKLRKFRDEAKPTSVERRSGAIIKEINKKFDVLVTKVSFEVFEKFNLMRKISKWSFESKE >ONIVA05G07390.1 pep chromosome:AWHD00000000:5:6397046:6398399:1 gene:ONIVA05G07390 transcript:ONIVA05G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFEFLLINSGNDVATPEPDHCDLVDVKLGHEYELVVTTYSGLYRYCVCDVLCVARFKNAAPMFTFDSLLGLRGTPELLVSKTETSSQFGGPFSYCLSPTSGGTRSLGAPNSSNTAAGFSFTPTRRIPSVTTFYVMTLTGISVGRAPLAIPPSAFSSGMVIDSGTLITGLLTTAYAAVTAASDAAVTSDLLRDLTPESYVNDTALRQKAEDDHGIGLLGGDDDLPTFDCLWKYCCGYAGVSLAVARALVDGASEITINWSGGMHHASACKASGFCYINDTLIAINELLPYPCRPDRHCAAAELPESSVPPCIAAPCAGMPPCILVVGAIELEA >ONIVA05G07380.1 pep chromosome:AWHD00000000:5:6392781:6402269:-1 gene:ONIVA05G07380 transcript:ONIVA05G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSIREYAASMRGTAASRHPALSAAGDFPPMPIRRFRWWVDELHAAVSRRRRRRSSPAEAAAVARKNSKRSVSDLFAATGEAPAMDSRQRKKPRSQEDDDGVEKMKKKGIFISSTPNAPKPSRGATRAAGLSRDSSATAGRRRRRIAWVHERQKHINIENMKMYDFEIWKPENSAKVPKNSILRKHTKRSSFTVSINKEKCSNLKGSEAIELSHKLGKHVTFSGVDDIHIRNKLCSTLPQLQNHCNVYSDKSNEADRLVSAKISSHENKEASGRDIYDRWTSESSGAKDPINLIDLNRTLPCIPDFNGAFISGSEVPDLEHTENATSDLQIPGDVREEAVLKHNQDLHSKSPRSQCELNSCDLGRIINLRSIASLLPDEAINISDRGMIGHPLNSTEVNKFYADYERSSVRDDTMEGKAPYILPQHTVQYTSQFTENWYTNMNLGNFHHAGREFSSCPCENQLNSEKPMLHSEINVQHEHAVMSQRTMRLMGKDLTVSTTGGKCIGETAKVHVNSSVSCHHTTNIFLELPRQGHPFLSLQSRSFSNIQVDAPSTSHDYVGYKMHNLKRRFPEADVFSGNGIECEDRLRDFSYLHSGENALAGFSPQGGKYNTRSDQNSLSATTFLPTFIPHAKQSAVYRANSTWKHNPYPTNLLVHPPDGTNFRKDQNQIIRGVAEIPSSVNTMSRDTVWKTRKIDVDNSNISSGVRSGPVKLRPGAKHVLEPRQDTDDGNYPPMDQQVHCCH >ONIVA05G07380.2 pep chromosome:AWHD00000000:5:6392781:6402269:-1 gene:ONIVA05G07380 transcript:ONIVA05G07380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSIREYAASMRGTAASRHPALSAAGDFPPMPIRRFRWWVDELHAAVSRRRRRRSSPAEAAAVARKNSKRSVSDLFAATGEAPAMDSRQRKKPRSQEDDDGVEKMKKKGIFISSTPNAPKVHERQKHINIENMKMYDFEIWKPENSAKVPKNSILRKHTKRSSFTVSINKEKCSNLKGSEAIELSHKLGKHVTFSGVDDIHIRNKLCSTLPQLQNHCNVYSDKSNEADRLVSAKISSHENKEASGRDIYDRWTSESSGAKDPINLIDLNRTLPCIPDFNGAFISGSEVPDLEHTENATSDLQIPGDVREEAVLKHNQDLHSKSPRSQCELNSCDLGRIINLRSIASLLPDEAINISDRGMIGHPLNSTEVNKFYADYERSSVRDDTMEGKAPYILPQHTVQYTSQFTENWYTNMNLGNFHHAGREFSSCPCENQLNSEKPMLHSEINVQHEHAVMSQRTMRLMGKDLTVSTTGGKCIGETAKVHVNSSVSCHHTTNIFLELPRQGHPFLSLQSRSFSNIQVDAPSTSHDYVGYKMHNLKRRFPEADVFSGNGIECEDRLRDFSYLHSGENALAGFSPQGGKYNTRSDQNSLSATTFLPTFIPHAKQSAVYRANSTWKHNPYPTNLLVHPPDGTNFRKDQNQIIRGVAEIPSSVNTMSRDTVWKTRKIDVDNSNISSGVRSGPVKLRPGAKHVLEPRQDTDDGNYPPMDQQVHCCH >ONIVA05G07370.1 pep chromosome:AWHD00000000:5:6350184:6382793:-1 gene:ONIVA05G07370 transcript:ONIVA05G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPVASPGSGGSGGSGSVGAEKIGSRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNNSVKETIERYKKANSDTSNASTVVEINAQHYQQEAAKLKQQITNLQNANRTLVGDNITSMNHRELKQLEGRLDKGLGKIRARKNELLCAEIEYMQRRETELQNDNMYLKSKVAESERGLQTVNMMGSASTSEYVQNMIHYDPRNFLQFNIMHQPQYYPEQEDRKAFMSGLALSREDLAIMHIYKEQEAEPSTGLMMPEPAPAASPGSGSSEGSGIEDTADRQVTFCKRCNGLLKKAYELSMLCDAEVALIVFSSRGRLYEYSNNSVEETIERYKKANSDTSNASTVAEINAQHYQQEAAKLKQHITYLQNSNRFIISLSCLDFFFQLDKVVHLVIGLEKIRARKNEYMCAEIEYMQRRETELQNDNMYSKSERTANSEHDGLSIYERVRAKYDPLRSKKLPAIQYHAAAS >ONIVA05G07370.2 pep chromosome:AWHD00000000:5:6350184:6382793:-1 gene:ONIVA05G07370 transcript:ONIVA05G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPVASPGSGGSGGSGSVGAEKIGSRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNNSVKETIERYKKANSDTSNASTVVEINAQHYQQEAAKLKQQITNLQNANRTLVGDNITSMNHRELKQLEGRLDKGLGKIRARKNELLCAEIEYMQRRETELQNDNMYLKSKVAESERGLQTVNMMGSASTSEYVQNMIHYDPRNFLQFNIMHQPQYYPEQEDRKAFMSAIMHIYKEQEAEPSTGLMMPEPAPAASPGSGSSEGSGIEDTADRQVTFCKRCNGLLKKAYELSMLCDAEVALIVFSSRGRLYEYSNNSVEETIERYKKANSDTSNASTVAEINAQHYQQEAAKLKQHITYLQNSNRFIISLSCLDFFFQLDKVVHLVIGLEKIRARKNEYMCAEIEYMQRRETELQNDNMYSKSERTANSEHDGLSIYERVRAKYDPLRSKKLPAIQYHAAAS >ONIVA05G07370.3 pep chromosome:AWHD00000000:5:6349718:6382793:-1 gene:ONIVA05G07370 transcript:ONIVA05G07370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPVASPGSGGSGGSGSVGAEKIGSRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNNSVKETIERYKKANSDTSNASTVVEINAQHYQQEAAKLKQQITNLQNANRTLVGDNITSMNHRELKQLEGRLDKGLGKIRARKNELLCAEIEYMQRRETELQNDNMYLKSKVAESERGLQTVNMMGSASTSEYVQNMIHYDPRNFLQFNIMHQPQYYPEQEDRKAFMSAIMHIYKEQEAEPSTGLMMPEPAPAASPGSGSSEGSGIEDTADRQVTFCKRCNGLLKKAYELSMLCDAEVALIVFSSRGRLYEYSNNSVEETIERYKKANSDTSNASTVAEINAQHYQQEAAKLKQHITYLQNSNRFIISLSCLDFFFQLDKVVHLVIGLEKIRARKNEYMCAEIEYMQRRETELQNDNMYSKSEMKDKYGSVSCMCFLQGE >ONIVA05G07370.4 pep chromosome:AWHD00000000:5:6349718:6382793:-1 gene:ONIVA05G07370 transcript:ONIVA05G07370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPVASPGSGGSGGSGSVGAEKIGSRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNNSVKETIERYKKANSDTSNASTVVEINAQHYQQEAAKLKQQITNLQNANRTLVGDNITSMNHRELKQLEGRLDKGLGKIRARKNELLCAEIEYMQRRETELQNDNMYLKSKVAESERGLQTVNMMGSASTSEYVQNMIHYDPRNFLQFNIMHQPQYYPEQEDRKAFMSAIMHIYKEQEAEPSTGLMMPEPAPAASPGSGSSEGSGIEDTADRQVTFCKRCNGLLKKAYELSMLCDAEVALIVFSSRGRLYEYSNNSVEETIERYKKANSDTSNASTVAEINAQNEYMCAEIEYMQRRETELQNDNMYSKSEMKDKYGSVSCMCFLQGE >ONIVA05G07370.5 pep chromosome:AWHD00000000:5:6349718:6382793:-1 gene:ONIVA05G07370 transcript:ONIVA05G07370.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPVASPGSGGSGGSGSVGAEKIGSRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNNSVKETIERYKKANSDTSNASTVVEINAQHYQQEAAKLKQQITNLQNANRTLVGDNITSMNHRELKQLEGRLDKGLGKIRARKNELLCAEIEYMQRRETELQNDNMYLKSKVAESERGLQTVNMMGSASTSEYVQNMIHYDPRNFLQFNIMHQPQYYPEQEDRKAFMSAIMHIYKEQEAEPSTGLMMPEPAPAASPGSGSSEGSGIEDTADRQVTFCKRCNGLLKKAYELSMLCDAEVALIVFSSRGRLYEYSNNSVEETIERYKKANSDTSNASTVAEINAQHYQQEAAKLKQHITYLQNSNRTANSEHDGLSIYERMKDKYGSVSCMCFLQGE >ONIVA05G07370.6 pep chromosome:AWHD00000000:5:6349718:6382793:-1 gene:ONIVA05G07370 transcript:ONIVA05G07370.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPVASPGSGGSGGSGSVGAEKIGSRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNNSVEETIERYKKANSDTSNASTVAEINAQNEYMCAEIEYMQRRETELQNDNMYSKSEMKDKYGSVSCMCFLQGE >ONIVA05G07360.1 pep chromosome:AWHD00000000:5:6344625:6345227:-1 gene:ONIVA05G07360 transcript:ONIVA05G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAASVSAAADAAAASYTTPRNILITGAAGFIASHVANRLLRDHPWYRVVALDKLDDYCATLNNLAPAMASPNFAFVRGDVASADLVLHLLLAERINTVMHFAAHTHVDDNSFEFTKNNVLGTHVLLEACCRKAPPAPSAASSTSALTRSTATLPRRATTRRRGRGCSPPTNLLKSGLRHLAISFSISYTRYNGLKL >ONIVA05G07350.1 pep chromosome:AWHD00000000:5:6342707:6344499:-1 gene:ONIVA05G07350 transcript:ONIVA05G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGLPAITTRGNNVYGPRQFPEKLKLKALGWSERTPWEEGIKKTAEWYVEHGAEWWGDVDVSATLLPHPRMLTPQQSFDTTPRQCAIYAVASALPHTWHRCRCRHHLHLRTHPELPPPSRRAFSSIVFPSTRLIRLPPARGGVATLVAVDRLYQAPR >ONIVA05G07340.1 pep chromosome:AWHD00000000:5:6334306:6335147:-1 gene:ONIVA05G07340 transcript:ONIVA05G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSTSPPPPPPANATEDQPSSRQLVYDLAVDLSFRNAHRCLSIRYLDTKLGPADDALPLFRQNPKNTTVLHPAFRGVVAVDGGTAAELEREAAEGDGAREVQFDSHNIDSIRYGSCSCIPETRYIHYSTIKTGVE >ONIVA05G07330.1 pep chromosome:AWHD00000000:5:6331399:6331812:-1 gene:ONIVA05G07330 transcript:ONIVA05G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGIGVAAAAAAVVAAALVVSCLRASPAEAYSGGGLGYSQLLTTAHLGAISSSSCGGRLGRQCSAVVGADGGLLRRALAARKPTNRYVSYSALDANKVPCNKRGQTYYQNCASQQAANPYRRGCSAITRCSRNMN >ONIVA05G07320.1 pep chromosome:AWHD00000000:5:6323167:6326305:1 gene:ONIVA05G07320 transcript:ONIVA05G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVRARARRRWRGAPVILRVRRTLLAAMDMHHRHRPLSRRLVAARDCRRVVAVRLLDRRRIRRPHSSWPWRIYPRCQIEPPRAQLRQIATS >ONIVA05G07310.1 pep chromosome:AWHD00000000:5:6320242:6321909:1 gene:ONIVA05G07310 transcript:ONIVA05G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGHCDCADKSQCVKKGTSYGVVIVDAEKSHFEMAEEVGYEENDGKCKCTTGCSCAGCNCGK >ONIVA05G07300.1 pep chromosome:AWHD00000000:5:6309267:6312188:1 gene:ONIVA05G07300 transcript:ONIVA05G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRYGVSEGDVLRVMNEEMLTRDEAIHWLLEDFELMESQRRFDDKLNRLLQMFGVKEERMPTKCSTPGPSYATTKAVLNRTPTKPERVFPSTTSLSAPSIISAARATTLPSIETEEAEGDMTQVEEETEDTLHDLCAKVELKQRADSLISVELKAPRPPPTKYLICMKWPRFISTKAPSIVAQQKLLLETNQRTLITRISPIEEKWVAGLKDKIRLEDVDFNWKILGLHDKEVVPKLSLIKNAVGRVAVKLSIKAMRVANYMQELQTHWDPGGTGNNLHRLEDKSNIKERGLLGTQLGCRWAKLVMFQSWPKQAQLAAYIYEQQQQALGGIKHLESAKAASATTGGIKHLESAKAASATTAAATWVARLGTLVDLLLYPSSFSTCLETTITFYSCGFLTGEASADSAPLGLVGGGSHAEQMGEGCRGRGGRRAEVVSTRLPPSAA >ONIVA05G07290.1 pep chromosome:AWHD00000000:5:6306525:6307847:1 gene:ONIVA05G07290 transcript:ONIVA05G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMAVNTSLEPTNCFLLLSKNKDQAELRRSYSEYSNTTRAADHLVAGAGVVAVASSGGASGGGGGGGGSSDVETTVRCACCSVTEECTAAYIRRIRAAHYGHWVCGLCAEAVRERMRGGGGGGGVEAALRWHMEVCRDFNSTTRLNPKLSLAGSMRDIARRSFNRRTTASTSAAATCHDQLRAAKTMARTLSCQQRYLQVI >ONIVA05G07270.1 pep chromosome:AWHD00000000:5:6272649:6273323:1 gene:ONIVA05G07270 transcript:ONIVA05G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPQQQQPEPEPEMGAQPQQQWQGAVEARLPSTPAAAAWPHLAAFCSLHRYNPGIDVCERVAGDDGVPGCVRYVASRPPPPPPRAKDGGDDDDDDQQPAAAAAGVETWAREELLERDDARRRLVYAVVGSNLGFGRYVATMTLVDDDGEDVDVNAPAPAAAAAAGSKLVWAFECEPVKGWTRDGLLAYLDAAGKGMAERIEAAAAAAVTDIAVEDNAAAARS >ONIVA05G07260.1 pep chromosome:AWHD00000000:5:6263962:6265400:1 gene:ONIVA05G07260 transcript:ONIVA05G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIEDADVEMPELVELVDDEGGLQEIIDIVRVHRWKATYERIIATAADVNSLILSNHVQLLYQFDKNLDSCPLFKAAYCLGGLAKSWPSHMLSRSG >ONIVA05G07250.1 pep chromosome:AWHD00000000:5:6261473:6262290:1 gene:ONIVA05G07250 transcript:ONIVA05G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPLPPSGSGNEQGSGGSVLPREWQGRPPPVSGDSGSPTTGGAAASSPTTGSVAALLLPNPFLYSLICIPNVFWSEFEKLSRERIRVYQA >ONIVA05G07240.1 pep chromosome:AWHD00000000:5:6247741:6248340:1 gene:ONIVA05G07240 transcript:ONIVA05G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAARRKQELEWTGRVTAAAPAATADEAWALLSDFLAFHRWHPGVAKCRRVSGSPRSPGCVRYCEGVPGRAGGVAGAADWAHETLLEHDAAGRALRYEMNDNNMGFGTFFATLSVAAAGAGGCELRWEFECEPVAGTAKEALAARLQDGIDGMARRVQEALAGRRGDAAAAAAVAMEAANSGDVNKLGTSIAV >ONIVA05G07230.1 pep chromosome:AWHD00000000:5:6244468:6246097:-1 gene:ONIVA05G07230 transcript:ONIVA05G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRSLLNPDTDEDVRWGDSARLILTGDRSLILLSSSSFSFSLPFYLPWGREATIGTHGGKGEVGTEGGSKGIAGNGGRATGDGSHANDTGWQRPPWMVVSLW >ONIVA05G07220.1 pep chromosome:AWHD00000000:5:6234041:6234457:1 gene:ONIVA05G07220 transcript:ONIVA05G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKFGYIRKAVAAARGGITTTVEFDLFTLPGGVDAFDKAARYCYHANFQLSVRNAAALLCASAFLDMQPPLARWPDASTRPRSWRQGRVPWLRRHGRASAPASRGEGGEAARQPPGPLPTRGCLAPLRPGVAAAAPS >ONIVA05G07210.1 pep chromosome:AWHD00000000:5:6207704:6208447:-1 gene:ONIVA05G07210 transcript:ONIVA05G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATTPARRPMEAGMARRLWHVVLAVCHMLRRGLCRKRLMVDLHVLLGRGKLAGRALRGLLAHHAAAGHGHHLAASSSSSAALASFYGRRPREVEFSCTTTPSSYPHYGLFPFKSRGGGGGRRGGGGGGEYGGLDAAAVARAFEMMSAEVEGTPSSSAAAVQGGGGGGWATATPSPMVAWILGRSPAGVRPLRVTDSPFPAVPENGGGEQRVDDAEFEDFINKFYEQLRMQPSAATPDCQLRRRGR >ONIVA05G07200.1 pep chromosome:AWHD00000000:5:6188111:6197357:-1 gene:ONIVA05G07200 transcript:ONIVA05G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDSVVGSGDKAGAAPGDDGSSTPLPETVQVANSPTYKLDRKLGKGGFGQVYVGRRISSPGVTDRTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTLSGIHGVPRVHYKGRQGDYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGTPGTLEEKKLFLVDLGLATRWKDTGSGEHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKVGQKRGRLTMDEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADGRLAQHITKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSQHFLHKEWIMDQWERNFYITSLAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFTDQVVELDFLYPSEGIHRRWDNGFRITATAATWDQAAFILSIPRRKPADETQETLRTSAFPSQHVKEKWSKNLYLASICYGRTSLYRQWMNGGKDIRGNFTNHIKLTTCSKYSKKALIQQVFTHVC >ONIVA05G07190.1 pep chromosome:AWHD00000000:5:6183005:6189316:1 gene:ONIVA05G07190 transcript:ONIVA05G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLAAGGAVSWPLYAATVAAALLVTAIVLRLAARSTAAACKARPPAGSLGWPLVGETLQFISAAYSSRPESFVEKRCRRYGKVFRSHLWGSPAVVSADAEASRAVLQSDASAFVPWYPRSLMELMGESSILVLGGALQRRVHGLAGAFFKSPELKARVTADMRGRLAAAMDAWRATAATGAGAAVRVQDEAKSIVFEILVRALIGLEQGQEMNYLRQQFHIFIAGLISLPIKLPGTQLYRSLKAKKRMTSLIQNIIQEKRRRIFEGKDLCAVSRDLIDVLMSNGSDELSLTDELISDNMIDFMIPAEDSVPVLITLAIKYLSECPLALQQLEEENMELKRQKSDVGETLEWTDYMSLTFTQHVITETLRIGNIISGIMRKAVRDVEVKGQGDVVIPKGWCVLVYFRSVHLDANIYDDPYAFNPWRWKERDMAAATTNSGSGFTPFGGGQRLCPGLDLARLQTSIFLHHLVTNFTWVAQGDVVVNFPTVRLKRGMPIKVTPKT >ONIVA05G07180.1 pep chromosome:AWHD00000000:5:6182627:6183622:-1 gene:ONIVA05G07180 transcript:ONIVA05G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRPRMSAVTRALSSGDLKKAPARPCTRRWRAPPSTRMDDSPISSISDLGYHGTNADASLCSTARLASASALTTAGDPHSTTDAATTYARCRCHGGGGARWPATAHISGIAMKTMF >ONIVA05G07170.1 pep chromosome:AWHD00000000:5:6176213:6176630:1 gene:ONIVA05G07170 transcript:ONIVA05G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEEEPVDILSPLSGHAGSGVGFHFRGSGSRGLDLNSQADAFPDFASYHQILQSDGHDLPPIRPGSRSTGAPSRPPFLGVRRDISRGGRGGRGRARSLTIGAGSARGMRGFVPPAQLPAMEMS >ONIVA05G07160.1 pep chromosome:AWHD00000000:5:6170487:6172973:-1 gene:ONIVA05G07160 transcript:ONIVA05G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAU6] MSKYGTIPTSSSSDGPPPGSSSSSPLDFISRAKARGATALAERRPWRELADPRAASVPRGLGGAYRRARANLGHFSMNYAIVVLAVVFLSLLWHPVSLIVFLACMVAWLFLYFLRDEPLALCGRAVGEGAVLAVLSVLTLVLLLLTGATVNILTSLLVGVVIVLLHAVFHRPADSIDEEAGRYYTPVPPQPSY >ONIVA05G07150.1 pep chromosome:AWHD00000000:5:6164217:6167773:1 gene:ONIVA05G07150 transcript:ONIVA05G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVVPKGRSTISVDLTSLTILKPARRPHPSVLPPLLGAATTAAAGPKISVVPVLVLSVSPFRGFVGHAAVARTQEREGPSAAQLLQARSSSTTDGFALGFQLSEFNVVVFKNKLEGKIIYSVLLSLFCLPYHNNYGSFWSGS >ONIVA05G07150.2 pep chromosome:AWHD00000000:5:6164217:6166795:1 gene:ONIVA05G07150 transcript:ONIVA05G07150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVVPKGRSTISVDLTSLTILKPARRPHPSVLPPLLGAATTAAAGPKISVVPVLVLSVSPFRGFVGHAAVARTQEREGPSAAQLLQARSSSTTDGFALGIFLY >ONIVA05G07140.1 pep chromosome:AWHD00000000:5:6155124:6164112:-1 gene:ONIVA05G07140 transcript:ONIVA05G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDGAVTVGTTSAGRLAAAVNFGNDDDAGWGWRRLWPLRMAMGKGMLNGTGASAPNGEDLGSGGDWGRLRPRRMGMGKTSAPVTRMGVGKTSAPTGAVVESSGERGRPRLPRRLENSAPARAAVEGSGGSRRSEEECHRWG >ONIVA05G07130.1 pep chromosome:AWHD00000000:5:6137443:6152530:-1 gene:ONIVA05G07130 transcript:ONIVA05G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:atypical CYS HIS rich thioredoxin 2 [Source:Projected from Arabidopsis thaliana (AT4G29670) TAIR;Acc:AT4G29670] MAEALLPLPRRLVVTASTPACSSASSSTSPSPHCLLSRANPRPPRLAAPSPPRHRRLKAHAAVSDKSEQPKWWEKNAGPNMIDIHSTQEFLDALRDAGDRLVIVEFYGTWCGSCRALFPRLCRTAVENPDILFLKVNFDENKPMCKRLNVKVLPYFHFYRGADGQLEAFSCSLAKFQKLKDAIAVHNTARCSIGPPVGVGDVLDSPEEKPAEASPRHLYY >ONIVA05G07130.2 pep chromosome:AWHD00000000:5:6148910:6152530:-1 gene:ONIVA05G07130 transcript:ONIVA05G07130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:atypical CYS HIS rich thioredoxin 2 [Source:Projected from Arabidopsis thaliana (AT4G29670) TAIR;Acc:AT4G29670] MAEALLPLPRRLVVTASTPACSSASSSTSPSPHCLLSRANPRPPRLAAPSPPRHRRLKAHAAVSDKSEQPKWWEKNAGPNMIDIHSTQEFLDALRDAGDRLVIVEFYGTWCGSCRALFPRLCRTAVENPDILFLKVNFDENKPMCKRLNVKVLPYFHFYRGADGQLEAFSCSLAKFQKLKDAIAVHNTARCSIGPPVGVGDVLDSPEEKPAEASPR >ONIVA05G07120.1 pep chromosome:AWHD00000000:5:6121584:6129938:1 gene:ONIVA05G07120 transcript:ONIVA05G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHDHQYATPPSPSSSSFRSFSAGTTTTTSRDDNSSLMLAAATAAASCHHQTEVSSQILLPRPGQAARRSSGGHGAATAFRPYSRYLGPKKHLLRRPGAATTTTTGGGGGQRAFKKAISVLSKIHAARLAQYYQIMEMAARASPAATGGGGGGENQPLQLQHVLSERKRREKLNDSFKALRDVLPPATKKDKASVLMRAKDYVNVLKGRIAELEEKNRKLSESQQLHAGDGDGGERDDRPDDDKIEVNMSRSPADHDSKCQELHLKIVLGSSSGCSAMDAVAGILQGLNEKRDVSLLATGHNSSSSGRRRLLPRAKSSQQPASSSCDEEILKESVVKDDSPAAVKDVMQSVTLHG >ONIVA05G07110.1 pep chromosome:AWHD00000000:5:6115935:6118776:1 gene:ONIVA05G07110 transcript:ONIVA05G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPPGAEEGGGRVPERGGVPGTGGGRTNGSSRTSAVTAPPKSPTAGHCLSKLIVDRNCTATGRNGCQDQGHLFFYMVVDETDFWFVYLSQMYLPYFLRT >ONIVA05G07090.1 pep chromosome:AWHD00000000:5:6101269:6105685:-1 gene:ONIVA05G07090 transcript:ONIVA05G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G26100) TAIR;Acc:AT2G26100] MPLHHHRHHHHAAAVAVAVADDDDEAKPRRPYSTFASPRAPTSAAAFSTHRLLVLFSVACLLVAAASLAFAFSARAATLQQQQQPHPAAAEATAKVAFRCGRAEDTLRAFLASSSGNYSSAAVGREREKVLAVVGVHTEIGSAARRAALRATWFPPKPEGIFGTWNWFVVGRSKDKEKMADLQKEVDMYHDFLFVDAEEDTKPPQKMLAFFKAAYDMFDADFYVKADDAIYLRPDRLAALLAKDRLHQRTYESSWELLGNEYFSHASGLLYALSSEVVGSLAATNNDSLRMFDYEDVTVGSWMLAMNVKHEDNRAMCDSACTPTSIAVWDSKKCSNSCNTTEIVKALHNTTLCSKSPTLPPENQNPAYVRSE >ONIVA05G07090.2 pep chromosome:AWHD00000000:5:6101770:6105685:-1 gene:ONIVA05G07090 transcript:ONIVA05G07090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G26100) TAIR;Acc:AT2G26100] MPLHHHRHHHHAAAVAVAVADDDDEAKPRRPYSTFASPRAPTSAAAFSTHRLLVLFSVACLLVAAASLAFAFSARAATLQQQQQPHPAAAEATAKVAFRCGRAEDTLRAFLASSSGNYSSAAVGREREKVLAVVGVHTEIGSAARRAALRATWFPPKPEGIVSLEHGTGLSFRFVVGRSKDKEKMADLQKEVDMYHDFLFVDAEEDTKPPQKMLAFFKAAYDMFDADFYVKADDAIYLRPDRLAALLAKDRLHQRTYESSWELLGNEYFSHASGLLYALSSEVVGSLAATNNDSLRMFDYEDVTVGSWMLAMNVKHEDNRAMCDSACTPTSIAVWDSKKCSNSCNTTEIVKALHNTTLCSKSPTLPPEVEDE >ONIVA05G07080.1 pep chromosome:AWHD00000000:5:6088171:6089052:-1 gene:ONIVA05G07080 transcript:ONIVA05G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPNPPPAMANAGGGGATASFPAPKSQMYQRPIYRPQAAAAKRRRGRSCRCSFCCCFCWALLVVILLALVAAVAGGAFYLLYRPHRPSFTVSSVKLTALNLSSSPTSPSLTDSIQLTVTAKNPNKKVVYLYDDFSFSASTAANAVPLGAATSPGFTHDAGNTTVFTATIAANAVAVDPAAAASDIKKSGAFSVAVDAETRAGVRVGSLKTKKIGIQVHCEGIKVTPPPPAALPRPKAVKGKNGTVLAPAPAPADSDTAATTAATVSTAAHSCKVRVRVKIWKWTF >ONIVA05G07070.1 pep chromosome:AWHD00000000:5:6080023:6082793:-1 gene:ONIVA05G07070 transcript:ONIVA05G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELEEKLTGARIVKQIWSDGGYPAVVAASRGGQMLGRGGGCRTLPSARSGRRGGGGLQRPPGGGGGLPRPAAAASSPPPDPVEGEAAVSRGCPVVAAGSQGWRLPGECSGYPMEAARRWRWLPRPPLYHIRREGKRRSPDEGGSGSGSGGGAPPPPPSRRPKGLIATASSSRPLWFDNA >ONIVA05G07060.1 pep chromosome:AWHD00000000:5:6068943:6069563:-1 gene:ONIVA05G07060 transcript:ONIVA05G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAGAARKRKRRGEGKPRPKATKGGSKAKPKGKAAAAAAAAAAAEEAAAAVEKVAAEPGVVVEEEEEEDYAEGITEESIAEVMSWLELEIKLASSAAAAGAAATPAPFAPPPPPPPAAGGGGYMPAAKGVNTSNMEGSCGASFSVSASTVMASVDLRAGAPPPPPLPWPLPGHGGGATAAAAAEEAVDDDEWVDQLLTDGPAME >ONIVA05G07050.1 pep chromosome:AWHD00000000:5:6054676:6057406:1 gene:ONIVA05G07050 transcript:ONIVA05G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKVQNAGNRRSTLLGLDDKLREKSRRISRSDSHRFNQLLEGIDGDRSPAGDLRRRPDAAVARRPCSSRIAPPPVRPSTASPGTAPSPTAARAASPRRPARPASTTSRGSRHSLLYRKLNILLQVLTLVSILFRSLRRRDESWPAISIGRAEQGRGSCDVPMAQFIVNLNASMPASDKFILHMLDPTHMFVQPHVAEMIRGKISEFRDQNSYEKPT >ONIVA05G07040.1 pep chromosome:AWHD00000000:5:6024604:6028252:1 gene:ONIVA05G07040 transcript:ONIVA05G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHGQRIRFDRERVASTRCLPSSRRGPEPSERDPPTVRSEIDGGCCHVGPTCQWQRGRVNPIAAVHIGVAAAATSPPAGNHHDDIFLARFFLLLRAYDLDFSSPFFLFPATRGIAGGFAASLAVDSARAGAFLSDVRRKRGIESNPIQSRVGVKPAIFEGAFSFIFLLFGWLGIRRRCPMERFVQFLRRGNGLMAASLAAGSCAEEVAKAEGAGCRDDAAALRLKGVAMATILVAGVVGVGLPLAGRKRRALRTDSAAFVAAKAFAAGVILATGFVHMLHDAEHALSSPCLPAHPWRSFPFPGFVAMSAALATLVLDFLATRFYEGKHRAETERVKAAAAAALAASSASDDDITVVTVTEDDNDNKAPLLQPHSHSHSHPHGHGHGHELAQPEGSGGEGEVPAQVRSVVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKTLSAAIMACFFAITTPAGIAAGAGVASFYNANSPRALVVEGILDSVSAGILIYMSLVDLIAADFLGGKMTGSTRQQVMAYIALFLGALSMSSLAIWA >ONIVA05G07030.1 pep chromosome:AWHD00000000:5:6020271:6020687:1 gene:ONIVA05G07030 transcript:ONIVA05G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGVSCAVAGDAPSSTRGGGGGGMLGLTLFDPPGGEQPAERIGRLVRESPVVIFARRGCCMCHVMRRLLAAVGAHATVIELDEAAEEAAASAAAAAAVPALFVGGAPVGGLDGLMGLHLSGRLVPRLREVGALCG >ONIVA05G07020.1 pep chromosome:AWHD00000000:5:5986690:5994149:-1 gene:ONIVA05G07020 transcript:ONIVA05G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDMLTEQYDNTDDVDIDELAGSMRADLNRRIALNEYRGNGNKCCLICKINDRNAYEPSVLSIGPYHHSELPLLAMETEKWICVDYILKLNHHVSLREYPSLLSGLEKQVRGCCSEDIDMDSKEFLQMLLLDSCFILVYLGGMQGICRAKDTHEASVDGHGIQEDSDTVEWYNSSAVYDLLLLENQIPFFVIRAIYQLFSRDTMATTPLLTSDISEFMEGILYHFPKAITEANRPVDFYHLLHLCRMYLKPEHVHRWRRAVDYHEAGIEFKKRDFHEEDPHSLLDIRFRKGVMEIPCLPIDDKSSLLFRNLVALEQTCPQVGDDITAYIVLMSEFVSTAADVALLAQKGIIVHQMESDEEVSTLFTKLFEYFNDLFGDLYSYTPCDTLIPIVIQSPV >ONIVA05G07020.2 pep chromosome:AWHD00000000:5:5986690:5994149:-1 gene:ONIVA05G07020 transcript:ONIVA05G07020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDMLTEQYDNTDDVDIDELAGSMRADLNRRIALNEYRGNGNKCCLICKINDRNAYEPSVLSIGPYHHSELPLLAMETEKWICVDYILKLNHHVSLREYPSLLSGLEKQVRGCCSEDIDMDSKEFLQMLLLDSCFILVYLGGMQGICRAKDTHEASVDGHGIQEDSDTVEWYNSSAVYDLLLLENQIPFFVIRAIYQLFSRDTMATTPLLTSDISEFMEGILYHFPKAITEANRPVDFYHLLHLCRMYLKPEHVHRWRRAVDYHEAGIEFKKRDFHEEDPHSLLDIRFRKGVMEIPCLPIDDKSSLLFRNLVALEQTCPQVGDDITAYIVLMSEFVSTAADVALLAQKGIIVHQMESDEEVSTLFTKLFEYVAFDFRGEHYLKSLYCAMEAHYQSRLNRWNAWLWHNHFSNPWLGFAAITSAFIFNDLFGDLYSYTPCDTLIPIVIQSPV >ONIVA05G07010.1 pep chromosome:AWHD00000000:5:5977915:5982581:1 gene:ONIVA05G07010 transcript:ONIVA05G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDGISDVEIEELGNSMKDKLKKYMSLDTVHADGSEFCLIPRIHEHIRMIDRDSYEPLILSIGPYHNGSSALSFMERKKWNCLDYILKLNCQKGLKDYLTIINRLEKRARSCYSGDIKMNKRKFLQTLLLDGCFVLVSLSQYNEFLWPDSLRYIPSPSNDKTFEGALSFGDHQKVTGRNESQQVNKGKQSAMKSTQLDHDRHSMEEYSISDIELSSEISGQYQDPSQQIGQWYDMFVPHDLLLLENQISLFVIQGIHEIVVSKLASKLTTTTALRRSIVQCIEQFVPCYPKAIRESNRPEDFDHLLHLCHMYVRPSPNQDEHHGHTGHHIRHFLQLGWDYLHLTYKQEAANLGSSQNGHFPYRWRRASQYHEAGIEFRRRAYSESNRHSLLDIKLRDLEIPFLLVDESTSFLFRNFVALEQTCPKVGNDVTAYVIFMAKLMNMPDDVALLARKGIIAHHLRTDRDVSQLFTKLTKGVVFDFYGNYYLMPLSLALEAHYQNRLHRWIAWLKHNHLSNPWLAVAGLAGVIVLFCTVAQTVLTVLSYVDPSSFLIPQGAFDKEGYPELLHFHAFNLVNPFGAYSQRDTSHIRWLPQINCPGDLHIFILRRKKSHNLPYLGETGENFPDWVTALVHDGAQHPDMPSDNWCYAEEQLPALIATMKNTVALVLAVLFLLIADLMIASALGRDVLDLPEDSNISKRHIKRSHSGSSMNGQKPGFSEDKGFVLLKPTIRPIYLPPCASTASLHPSRGTKREKLC >ONIVA05G07010.2 pep chromosome:AWHD00000000:5:5977915:5982581:1 gene:ONIVA05G07010 transcript:ONIVA05G07010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDGISDVEIEELGNSMKDKLKKYMSLDTVHADGSEFCLIPRIHEHIRMIDRDSYEPLILSIGPYHNGSSALSFMERKKWNCLDYILKLNCQKGLKDYLTIINRLEKRARSCYSGDIKMNKRKFLQTLLLDGCFVLVSLSQYNEFLWPDSLRYIPSPSNDKTFEGALSFGDHQKVTGRNESQQVNKGKQSAMKSTQLDHDRHSMEEYSISDIELSSEISGQYQDPSQQIGQWYDMFVPHDLLLLENQISLFVIQGIHEIVVSKLASKLTTTTALRRSIVQCIEQFVPCYPKAIRESNRPEDFDHLLHLCHMYVRPSPNQDEHHGHTGHHIRHFLQLGWDYLHLTYKQEAANLGSSQNGHFPYRWRRASQYHEAGIEFRRRAYSESNRHSLLDIKLRDLEIPFLLVDESTSFLFRNFVALEQTCPKVGNDVTAYVIFMAKLMNMPDDVALLARKGIIAHHLRTDRDVSQLFTKLTKGVVFDFYGNYYLMPLSLALEAHYQNRLHRWIAWLKHNHLSNPWLAVAGLAGVIVLFCTVAQTVLTVLSYVDPSSFLIPQGAFDKEGYPELLHFHAFNLVNPFGAYSQMQLQPLPSFFLKYAGDLHIFILRRKKSHNLPYLGETGENFPDWVTALVHDGAQHPDMPSDNWCYAEEQLPALIATMKNTVALVLAVLFLLIADLMIASALGRDVLDLPEDSNISKRHIKRSHSGSSMNGQKPGFSEDKGFVLLKPTIRPIYLPPCASTASLHPSRGTKREKLC >ONIVA05G07000.1 pep chromosome:AWHD00000000:5:5975653:5977861:1 gene:ONIVA05G07000 transcript:ONIVA05G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSISDVEIEELGNSMKDELRNYLSLNIERHPPSVHLYSRPLEHGEEDGNGNGNEHGKHPLILHFVPATSLSLPARWREARLCAPQLRSLGSTGLLTPPPPALHRSAPLVCSTRLLVSLAATHSAPLA >ONIVA05G06980.1 pep chromosome:AWHD00000000:5:5949967:5951789:1 gene:ONIVA05G06980 transcript:ONIVA05G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSTAAWSVSSETVSVSRSAAAAVAAATFSTFLVAAMEAAVTSGFVSNGVEEHTGPRYLTHWL >ONIVA05G06970.1 pep chromosome:AWHD00000000:5:5938917:5940176:1 gene:ONIVA05G06970 transcript:ONIVA05G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGRSLLLLLLLVTLAAGHGVVVVVAFDPNPLQDFCVADPTSKVRVNGLPCKDPAAVTADDFFFSGVGEPAAGGGRGATASRRYGFTARSVDIPGLNTLGASAARVDVAPGGVFPPHYHPRASETAVVLAGAVYFGFVTSYPDSRVVAKVLRRGDVFAVPQGLVHFLHNNGSEPAALYASLSSQNPGLVLVADALLAAPLPVDLVAKTLLTDEATVDKIRANFIVHRS >ONIVA05G06960.1 pep chromosome:AWHD00000000:5:5937910:5938203:-1 gene:ONIVA05G06960 transcript:ONIVA05G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGLHVAQPGGHGCSVPDGREDGDGDGSEDDDACGDGDDATAAARLDPAVPCLDLAPLHWIQPEDVPALGEAGGRWLQLEEVAGMARVADGGSGG >ONIVA05G06950.1 pep chromosome:AWHD00000000:5:5937542:5937817:-1 gene:ONIVA05G06950 transcript:ONIVA05G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDYERRHRRPWAASRGGMADGCIWPARERWEECSEASSVRRGTVDGSEAGVMQGGVAGSGGSRLGARKRGQRWEADLVRGGVANGGRG >ONIVA05G06940.1 pep chromosome:AWHD00000000:5:5933170:5935621:-1 gene:ONIVA05G06940 transcript:ONIVA05G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGRAEEGYDWKPENGLCSFLLLTYPPAPSPLTINESGRGLPVPDLEIPRAFPSLDPPEPC >ONIVA05G06930.1 pep chromosome:AWHD00000000:5:5925964:5933159:-1 gene:ONIVA05G06930 transcript:ONIVA05G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSPRGLHLGVMPSGRMSIDANPPPTPLHRLLVDIVRSGSLFFAMKKWRSWKSNAKSSPTQNWSWKSNKITEAASCELKTEAFINQDMNMS >ONIVA05G06920.1 pep chromosome:AWHD00000000:5:5925150:5932076:1 gene:ONIVA05G06920 transcript:ONIVA05G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAR9] MVGSDGDGGGGEAHAPAAPAHHHRRPPRPRGGSGAIVEGFAAALRRRIRSGAAAAARASFGGDSGDEAASGEPSSSSSSSPSRRRGGDSNGAEASSAAGGGGGRGGGGDFSAFTFRAAAPVHRKAKESPLSSDAIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFNDKSLRDWPLLILSLPAFPLGAFAVEKLAFNNVITDAVATCLHIFLSTTEIVYPVLVILKCDSAVLSGFLLIFIACIVWLKLVSFAHTNHDIRQLTMGGKKVDNELSTVDMDNLQPPTLGNLIYFMMAPTLCYQPSYPRTSCVRKGWLIRQIILYLIFTGLQGFIIEQYINPIVVNSQHPLKGGLLNAVETVLKLSLPNVYLWLCMFYAFFHLWLSILAEILRFGDREFYKDWWNAKTIDEEVAVLISFLVSAVLHEICVAVPCRILKFWAFLGIMLQIPLIVLTAYLKSKFRDTMVGNMIFWFFFCIYGQPMCLLLYYHDVMNRIEKAR >ONIVA05G06910.1 pep chromosome:AWHD00000000:5:5918428:5919417:1 gene:ONIVA05G06910 transcript:ONIVA05G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMDHGGGGAGLLAVAAAPAPAAVLTTMHGRELSHSSCSFSSAAALLSSPSSSTSSRSYHAAMSGKSLSCESIPEIMDKQSSFSSSASSYESFIQLEAADLDRITAAAAATRAPAVQTMMASHEQQQLAVAGGSGGYDPKRLPSSIFRTGSTSSGGGDWSVASNDSLFSINLRHSGDLSARYNSSNHSSSGDLFYDASGGGFHRIPSSTSAAAAAAGGGGGGGGLCVSGSCARCTTIAAGKNRKSVRFAPDAEIVSGEITNPSAVFPTEAAAPATEGKEAAKSPDAAAQGGWCLFRCCWPSPPSVWWPRCGCGGGCGVFCCGGENCRC >ONIVA05G06900.1 pep chromosome:AWHD00000000:5:5914229:5916065:-1 gene:ONIVA05G06900 transcript:ONIVA05G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEDEEGILASVEEGEIGIGYSRGCQRKRKRKEERYMGNIISFYLPKMKGNDTFASEGDKLSTLRVKRELFHDYKLAYMHIVLIGVSRNGTKRLHAVHEFQVKLS >ONIVA05G06890.1 pep chromosome:AWHD00000000:5:5907755:5909491:-1 gene:ONIVA05G06890 transcript:ONIVA05G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMLSSPEPTLSTMAMSAAHGEDSPYFAGWRAYDEDPYDPITNPQGVIQMGLAENQVSFDLLEEYMREHPEASDCGAGFRENALFQDYHGLKSFRKAMASFMETIRGGKARFDPDRVVLTAGATAANELLTFILADPGDALLVPTPYYPGFDRDLRWRTGVNIVPVSCDSAAGFQVTAGALRAAYDEAVAAGTRVRGVLITNPSNPLGTTAARGVLEGILDFVARHDMHLISDEIYSGSVFAAPDLVSVAELVDERRRARGGAADAEDIARRVHVVYSLSKDLGLPGFRVGVVYSYNDAVVAAARRMSSFTLVSSQTQRTLAAMLSDAAFAAAYVRSNRDRLRERHARAVAGLRRAGVACLRGANAGLFVWVDMRRLLGDGEATVAGELRLWRRVVAEAKLNISPGSSCHCREPGWFRVCFANMSLETLDVALHRLGCFIKKWEQEQHEN >ONIVA05G06880.1 pep chromosome:AWHD00000000:5:5891416:5900367:1 gene:ONIVA05G06880 transcript:ONIVA05G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYKAACPCVNCASVPVPVPVLVPNKRRAKFPLVVSSLACATADPPSIPPRRRRPEQRGVRLAAAAAAAASAASPAAPHRIYPVMMGVTTTLNEDTEPSIPPGFGPFATLPLWGIHNDAKPAVTHSTPVQALQSIRKDSEECQPSAAVSRSDTPCSTSGTQTCRKSLRNRPPIDYSRFEHISDEDSDVEIVEKVIAKWNPAGARRPALDEAPVFYPTEEEFEDTLKYIESIRPMAEPYGICRIVPPSSWKPPCLLKDKSIWEGSKFSTRVQKVDKLQNRKSSKKGRRGGMMKRRKLAESEENSATAHTQTGMQQSPERFGFEPGPEFTLQTFQKYADDFSKQYFRKDTSMDSVPSVEDIEGEYWRIVEVPTEEIEVIYGADLETGTFGSGFPKLSPETKSDAEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGVPGKDAVNLESAMRKHLPELFEEQPDLLHNLVTQFSPSLLKSEGVHVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGHNAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNTADNMRWKSICGADSTIFKALKARIETELAQRKTLGVPAQSRKMDAEFDSIDRECALCYYDLHLSASGCPCCPEKYACLVHAKQLCSCDWDKRFFLFRYDVNELNILADALGGKLSAIHRWGVSDLGLSLSSCVKREKVQDSKTVRRLTDGPRRSYMSQASAVSLVSSSTSNEQKDEGNKIMKIASPQTNNVCPSVEQRKSENISPLKEPCVRNELSCTTNSDSNGLQYNGGLGGHKGSAPGLPVSSSPSFSSNVATRPISTSSVSMKIVQGLVASKSCIQASSRTGDSRSLLGEHHNRSPAMIPDGTNMKSSLESSNNSCRLIASDYNATPCHSSKDQVLVTPGTNASVATLKDSSQVHSASSQQFVRTGPWTQSASHEALSPSTSALKPSLDPPAMKNLYGGFTQGSAHPGPPSFSNQQPNDGRLQRTSESLPGVEARARGHPTVTAQPALEIHSRNGGAQKGPRIANVVHRFKCSVEPLEIGVVLSGRLWSSSQAIFPKGFRSRVKYFSIVDPIQMAYYISEILDAGMQGPLFMVKLENCPGEVFINLSPTKCWNMVRERLNMEIRRQLNMGKSNLPTLQPPGSVDGLEMFGLLSPPIVQAIWARDRDHICTEYWRSRPHVLIEDPNNRHMLSQGPPLLALRGLIQRANRDELQVLRSLMTNSNNLDDSSRQQAAHIIEEEIAKQLC >ONIVA05G06870.1 pep chromosome:AWHD00000000:5:5880332:5882537:-1 gene:ONIVA05G06870 transcript:ONIVA05G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVCAGAGVLGAPDPRRRLRGRGRARRLPPAAVHFGRGRGDVPNLHDVVASATPEPTAPGRIWKPATQRRAPACRSSPSASTGFPSDVAALSSSSRPPRRPFPICSTQATTTTRSRKSLHRSVAGGPRPVAALHPRKNIKVDIMDDTLTTGSIRSTEGEKRRLAEASLAYNCERI >ONIVA05G06870.2 pep chromosome:AWHD00000000:5:5880332:5882537:-1 gene:ONIVA05G06870 transcript:ONIVA05G06870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVCAGAGVLGAPDPRRRLRGRGRARRLPPAAVHFGRGRGDVPNLHDVVASATPEPTAPGRIWKPATQRRAPACRSSPSASTGFPSDVAALSSSSRPPRRPFPICSTQATTTTRSRKSLHRSGPDSGWRTPADGLVSHYELFLCLQMDDTLTTGSIRSTEGEKRRLAEASLAYNCERI >ONIVA05G06860.1 pep chromosome:AWHD00000000:5:5876180:5877548:-1 gene:ONIVA05G06860 transcript:ONIVA05G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDREATTSPALVSLSLLLCLAAARAAATRTAAAGLHPHARAWEGGPARGRRNRPDPCHPAGSGGGAAGGGAERCGGGVWWWWQ >ONIVA05G06850.1 pep chromosome:AWHD00000000:5:5866581:5869054:-1 gene:ONIVA05G06850 transcript:ONIVA05G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSGSGSGSVSVDVERISFGGKEHQVRTRCGSLSVAIYGDEDKPALITYPDIALNHMSCFQGLLFCPEVASLLLHNFCIYHINPQGHELGAAPIPSDVPVPSVEDLADQVADVLDFFGLGSVMCLGVTAGAYVLTLFATKYRERVIGLMLVSPLCRAPSWSEWLYNKVLLNLIYYYGTRGLVKECLLQRYFSKKVCGSGHYLESDIVQACRNLLDERQGENIWRRHDLTDALRKLQCRTLIFVGENSQFHEDAIHMTTKLDKRYCALVEVQGCGSLVTEEQPHAMLMPMEYFLMGYGLYRPYQMNSSPRSPLSPCCISPELLSPESMGVKLKPIKTRIAVDY >ONIVA05G06850.2 pep chromosome:AWHD00000000:5:5866581:5869054:-1 gene:ONIVA05G06850 transcript:ONIVA05G06850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSGSGSGSVSVDVERISFGGKEHQVRTRCGSLSVAIYGDEDKPALITYPDIALNHMSCFQGLLFCPEVASLLLHNFCIYHINPQGHELGAAPIPSDVPVPSVEDLADQVADVLDFFGLGSVMCLGVTAGAYVLTLFATKYRERVIGLMLVSPLCRAPSWSEWLYNKVLLNLIYYYGTRGLVKECLLQRYFSKKVCGSGHYLESDIVQACRNLLDERQGENIWRFLHSINEYALPPLILFPSQWEYFISWSSYKLHSIRRHDLTDALRKLQCRTLIFVGENSQFHEDAIHMTTKLDKRYCALVEVQGCGSLVTEEQPHAMLMPMEYFLMGYGLYRPYQMNSSPRSPLSPCCISPELLSPESMGVKLKPIKTRIAVDY >ONIVA05G06840.1 pep chromosome:AWHD00000000:5:5859849:5867703:1 gene:ONIVA05G06840 transcript:ONIVA05G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTQGQIKSSMASHLGIMQGLGWSIASACEQQQEEDSLCFQANLIDLANILLLVVYLATLVIAGCEKRFLVAARWGGLRLRPPWLCLVSSPCCAVLGVACVCLGALRSSSSSASAAAAVAVVRGVVWVFVAVSVVVRPTRLSSAVAMAWWAAMAAMRTAYGVEVVARGGSLPVLDVAAWGVSLVLLLCVFVVGRAGRRDDVAGGGDGETSTEPLLSARGGGERSSAFGEAGFLSRLLFTWMNPLLRLGYSKPLGLGDVPPLDADDEAAQACDTFLREWHRRRSATPGGGGEEKAASRLVFAVLAACYKKDLLLTALYTLLRTAAFGAMPVMLYSLVSYSYRRRERGLAAGMALIAALVVMKLMESLSQRHWFFGSRRLGMRMRSAAMAAVFEKQLRLSGEARRRNSAGEIVNYIAVDAYRLGEFPYWLHLAWSMPVQLALAVALLFWTVGAGALPGLVPVAACGVLNVPFAKLLQRYQSRFMAAQDERQRATAEALGAMKVVKLQSWEEFFRGNVQQLRDAEVRWLANAQVSKAYGSSLYWMSPTIISAVIFAGTAALRSAPLDAAVVFTILATLRVISEPMRMLPEVLSIMIQIKVSLDRIGKFLMEEEFRDDAVLPLPMPSSDMITMAINNGVFSWEPSKAIATLKSISIAAMQGEKIAVCGPVGAGKSSLLCAMLGEIPRMSGSVAMSGSIAYVPQTPWIQSGTVRDNILFGKPMNNEEYDRAIRCCALDKDMENFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYNGADVYLLDDPFSAVDAHTAATLFNDCVMAALENKTVILVTHQVEFLSKVDKILVMENGEITQEGTYSELLQSGTAFEQLVNAHKDSKTILDTDDRREGAKELGAFQYQVPLIQQNSEAEISTGNLKSVQLTEEERRELGDIGLKPYKDYVSVSKGWFLLSMILVTQCAFFGLQCLATYWLAVAIQNQQFSAGVVIGVYAVMATVSCLFAYVRSLIAAHFGLKASREFFSGFMDSVFKAPMVFFDSTPTGRIMTRASSDLSILDFDIPFAMTFVISGSIEIATTIAIMILVTWQLVLVAIPVIVALLYIQRYYIASARELVRINGTTKAPVMNYAAESMLGVITIRAFAETKRFIQTNLQLIDTDATLFFYTNAALEWVLLRVEALQILVIVASSILLVLLPEGAVAPGFLGLCLSYALMLSSAQVFVTRFYSNLENYIISVERIKQFMHLPAEPPAVITDRRPPPSWPSAGRIELENLRVKYRRNAPTVLRGITCTLAAGHKIGVVGRTGSGKTTLLSTLFRLIDPYSGRILIDDLDICTIGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLGLHTDEDIWEALNKCQLKKTISALPGLLESPVSDDGENWSAGQRQLFCLARVLLRRNKILVLDEATASIDSATDAVLQRVIKQEFSGCTVITIAHRVPTVTDSDMVMVLSYGKLIEYDRPSRLMENEDSAFCKLVAEYWSNYS >ONIVA05G06820.1 pep chromosome:AWHD00000000:5:5820322:5821638:-1 gene:ONIVA05G06820 transcript:ONIVA05G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCSGQRVLDHSISSSNSGSTTAAAATACGGLRLFGVQLQVGGGSSPLKKCLSMECLASPAYYGASASPSVSSSSSSLVSIEENTERVSNGYLSDGLMGRVQERKKGVPWTEEEHRMFLAGLDKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQNSMTQKKRRSSLFDVVEGSKRAAAMPISGSASELQIPGMSIGVGVVKEEVVLPPCLNLMSNSSSASQHSPSLTLLANPQVQLQMPDLELKMSTSRLSDQSGPSPSTPFFGTIRVT >ONIVA05G06810.1 pep chromosome:AWHD00000000:5:5794335:5795735:-1 gene:ONIVA05G06810 transcript:ONIVA05G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRDTARVNPTAVSGGGLSDLYSRASSSPPLHHGGSRQRLRTNTLPRRSWRRGEELESKMMMMGEGAHAPPWQQHVASPVSGVEGGGGRESEVVAAPYHLLDALRHYLPSNEAAAAEDEEEAAAVAAAVDAYACDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRRYCYSGTACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPPSQQQGSNSPRGCGGGGAGAAASPLAESYDGSPLRRQAFESYLTKSIMSSSPTSTLVSPPRSPPSESPPLSPDAAGALRRGAWAGVGSPVNDVLVSLRQLRLGSPRSAPSCASFLPAGYQYGSPKSPAAAAAAAAALYSLPSTPTRPSPVTVTTASGATVTVEPLDLGLIEEEQPMERVESGRALREKVFERLSKEATVSTDAAAAAAGVAPDVGWVSDLIN >ONIVA05G06800.1 pep chromosome:AWHD00000000:5:5765619:5794311:-1 gene:ONIVA05G06800 transcript:ONIVA05G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWWWPWLQLQYKKKKKIRNGSRYVTLNLAHPQENDDQRVFSSYKAYGKAELKQQNKRSHQGRGMVTIRLRKYTKEAMTKGTADFLNAHKENHP >ONIVA05G06790.1 pep chromosome:AWHD00000000:5:5764992:5772108:1 gene:ONIVA05G06790 transcript:ONIVA05G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILGLHRVAHIGQRASHPHSSPSSILRRTVRGLLVPLASRRGAAAAAMAHQIRVSLSPSSSFSAPTRRGSQECRVLAPKAMAMDSLELPNGCKNLWNRQKCDVGAPHHDYYT >ONIVA05G06780.1 pep chromosome:AWHD00000000:5:5762221:5764052:-1 gene:ONIVA05G06780 transcript:ONIVA05G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPTPQHAILCFPLSHRPSPTPSQRRCADPRMHHLQIWRWLGFGLEWWLAAGRTRVRSGGAAAGAVAACARQQVAGGDARRQREIEDIKLVGALYLRDYSIFSATG >ONIVA05G06770.1 pep chromosome:AWHD00000000:5:5760773:5761399:1 gene:ONIVA05G06770 transcript:ONIVA05G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRPPLLLAATAAWIPPLAKEEPAAAVGSSFGNAVFGRRCGVRWCRWGWLRQRCMDDGQQQKNIAGGEMISIADDEQRRGAWSGGGAHSHAHVVIIGCGSGGELWTRIGVKQISQASCFTSTHGHRTSTCALSSGVVAELN >ONIVA05G06760.1 pep chromosome:AWHD00000000:5:5757688:5760164:1 gene:ONIVA05G06760 transcript:ONIVA05G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAQ2] MEAATVVAAPILAADAAAKALQKKLLDLELPPFPAPAKKAAAKVVAAAPKKKLAGGAGGYVLEDVPHLTDYLPNLPSFPNPLQNHPAYSVVKQYFVNADDTVAKKIVVHKGSARGTHFRRAGPRQRVFFQPDEVSAAIVTCGGLCPGLNTVIRELVCGLHDMYGVTSVVGIEGGYRGFYARNTVELTPRSVNGIHKRGGTVLGTSRGGQDTGKIVDSIQDRGINQVYIIGGDGTQKGAATIHAEVQRRGLKCAVVGVPKTIDNDIAVIDRSFGFDTAVEEAQRAINAAHVEAESAENGVGVVKLMGRNSGFIAMYATLASRDVDLCLIPESPFYLEGKGGLLEFAEKRLRENGHMVIVVAEGAGQDVIARSMRLADAHDASGNKVLLDVGLWLCAKIKDHFKKKANFPITLKYIDPTYMIRAVPSNASDNVYCSLLAHSAIHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSTEDVEKAGQDDEEPIVPLVEGENSLVKAPPLLANAGDGAALCNGAA >ONIVA05G06750.1 pep chromosome:AWHD00000000:5:5728847:5741843:-1 gene:ONIVA05G06750 transcript:ONIVA05G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAQ1] MGYWADNILGFFLGREYFGLKGPIVLRFGPLLLTLVSSHLSCPLTSLQNPTVAAAPAAMTSSPAARRRPPGPLALGLESSANKIGIGVVSLSGEILSNPRHTYVTPPGHGFLPRETAHHHLAHLLPLLRAALGEAGVTPADLACVCYTKGPGMGAPLQVAAAAARALSLLWGKPLVGVNHCVAHVEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSFIEATAIEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAYAHGMTTPLEESTFTQRFRTDEVHAIWREKEMPVLTNIRAHAMAEVSKDEASVPTPIAVILVLFLPIQGNRILGKEARVQRHVTTIISEQFPPDVDASIATFRVDSDVVSLNGREALQADAEAGRDGRVHAVIRCSASTSTTGGGAARSGVSRAYGASNAMTPRASNLTGVEIYSLAEMKWKERSGTAKTAKMAKRRGGWENDATRMAAGEQERQASQVLGEGARRHPGLDPDLRLRGSEDVVQEDCRRRRRHRRDARSSGLPRLAATAKPTSSPPPRASAGCACGSSSAAAVARRSSSSASTERRRTPPPCSSLASTERRLTPPPCSPRRPPPPPCSSSHEIALNENHFGHVGMYGSIQARMSSDGASGSIGMKHDDGDHRPITGASSRRCPSCGHDPDCNKPFDMVGMPAGVRFDPTDQELIEHLEAKVKDGGSTSHPLIDEFIHTIQGEDGICYTHPENLPGVTRDGLSKHFFHRSAKAYPTGTRKRRKVLADQQPDDHPQASKGRNVAAAETRWHKTGKTREITVRGQPKGCKKILVLYTSFGKKRKAEKTSWVMHQYHLGELDDEKEGELILSKVFYQTQTRSAAAAEAPVSSGAAMEVQGQQQQVLKLQADDGHFSSAPTKKRLHQDVVAQVKVDRGHHCMPAQRQVKFNLKVTPVPTTSSFPVVIDKQLEELQFISPKRQIGLTSAGLLTTKINMDNFLDSQ >ONIVA05G06740.1 pep chromosome:AWHD00000000:5:5718388:5725128:1 gene:ONIVA05G06740 transcript:ONIVA05G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTSSPSPFSPVGGQALGCPIWRR >ONIVA05G06740.2 pep chromosome:AWHD00000000:5:5718368:5719052:1 gene:ONIVA05G06740 transcript:ONIVA05G06740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTSSPSPFSPVGGQALGCPIWRR >ONIVA05G06730.1 pep chromosome:AWHD00000000:5:5709561:5710070:-1 gene:ONIVA05G06730 transcript:ONIVA05G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVSVSSTKKRKAEEESSTVDGGLEEEHPPAKKMWLLPQEEVDWILAESNETVCTVYRELKRANPSLVPSPEEEKDESTMLLYTCARNAYEDDAKFAKFQAWVRDEYARKGFVEVDYDYFGERAEVSRLYDEAREEVMGHWDHPSDTDDDDEDWKLFIRAIRRTFV >ONIVA05G06720.1 pep chromosome:AWHD00000000:5:5693045:5694899:-1 gene:ONIVA05G06720 transcript:ONIVA05G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATLLLLLFLTAAASAWEMNIRLPTEMLNGGEAVVAPVIHALRPLLGSGGQLAARAGVACDSWRLGVEAHNVIGWKTVPARCEGYVGHYMLGGHYRRDSAVVVDEAVAYAESLQLAGNGKEIWVFDIDETSLSNLPYYAKHGFGATLYNDTSFREYVAEGSAPALPETRRLYRRLLQLGVKPVFLTGRTEDQRNITVTNLRRQGYSGWMELLLKPAVHAAGELQGSAVAYKSGERQKLEDAGFTIVGNIGDQWSDILGTPEGARTFKLPDPMYYIG >ONIVA05G06710.1 pep chromosome:AWHD00000000:5:5688957:5689226:-1 gene:ONIVA05G06710 transcript:ONIVA05G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVWSLSVMTSKAIGGEQEQWMHHAWALAPPLVLPPAPSSPLLLFHFLAEATALDGVARDDNNTSDLNGAAHKDELEGSILFSTAILL >ONIVA05G06700.1 pep chromosome:AWHD00000000:5:5681115:5681318:-1 gene:ONIVA05G06700 transcript:ONIVA05G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARLLLLLFLTAAASAWEMNIRLPTERLAYGGGEAVVAPLIHALRPLLGSGGQLSHATPAYVNV >ONIVA05G06690.1 pep chromosome:AWHD00000000:5:5671898:5677245:-1 gene:ONIVA05G06690 transcript:ONIVA05G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQGHRGREGAAAESHGEDLLSVLPDEILLHIRSMLPAEAVARTRFLSRRWAKLPLHSTPPASSLLQQAWRGGGGARSGGVLDLEEGWRDVLTGVAKLKSIHTNSDFGGFSPDEYMHIYTLVYYMCTQKGHRDYPKELYHLCKQALDDHLDSIVLPSLNEKHGNFLLAEMLQSWEKHKLMVRWLRRFFDYLDRVYITWKSLHSLEHMGWIGFRDMINDERNGLLIDRALLKNVIHMCNKFGDMAEECLQKEREQVYSHSTTEPKDTSDKGMALLKNGTDTAKSRKDTSDKGMALLKNGTDTAKSRKDEKMNMMPIDLLGGSNIINQILERAVEQTDVCHTPPKSCSTSLDHQKAEEFIGTSWSESQEYYLVFLSLDLAEELEKMDEIYQDIRKRKRHSSRANVEHQWKLIEDHARKCGDIKKKIAAAGGCYQDIPSYMISFDDKKNGTSVYAMIGRSVHRAMHAHLGGVREEAAEPREVSCDGLRLSLPKKTGAEASRKRIWSRIRME >ONIVA05G06690.2 pep chromosome:AWHD00000000:5:5671898:5677245:-1 gene:ONIVA05G06690 transcript:ONIVA05G06690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQGHRGREGAAAESHGEDLLSVLPDEILLHIRSMLPAEAVARTRFLSRRWAKLPLHSTPPASSLLQQAWRGGGGARSGGVLDLEEGWRDVLTGVAKLKSIHTNSDFGGFSPDEYMHIYTLVYYMCTQKGHRDYPKELYHLCKQALDDHLDSIVLPSLNEKHGNFLLAEMLQSWEKHKLMVRWLRRFFDYLDRVYITWKSLHSLEHMGWIGFRDMINDERNGLLIDRALLKNVIHMCNKFGDMAEECLQKEREQVYSHSTTEPKDTSDKGMALLKNGTDTAKSRKDEKMNMMPIDLLGGSNIINQILERAVEQTDVCHTPPKSCSTSLDHQKAEEFIGTSWSESQEYYLVFLSLDLAEELEKMDEIYQDIRKRKRHSSRANVEHQWKLIEDHARKCGDIKKKIAAAGGCYQDIPSYMISFDDKKNGTSVYAMIGRSVHRAMHAHLGGVREEAAEPREVSCDGLRLSLPKKTGAEASRKRIWSRIRME >ONIVA05G06680.1 pep chromosome:AWHD00000000:5:5669909:5670418:1 gene:ONIVA05G06680 transcript:ONIVA05G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVSVSSTKKRKAEEESSTVDGGLEEEHPPAKKMWLLPQEEVDWILAESNETVCTVYRELKRANPSLVPSPEEEKDESTMLLYTCARNAYEDDAKFAKFQAWVRDEYARKGFVEVDYDYFGERAEVSRLYDEAREEVMGHWDHPSDTDDDDEDWKLFIRAIRRTFV >ONIVA05G06670.1 pep chromosome:AWHD00000000:5:5658860:5659369:-1 gene:ONIVA05G06670 transcript:ONIVA05G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVSVSPTKKRKAEEGSSAVDGGLEEEHPPAKKMWLLPKEEVDWILAQSNEPVPTEFRELKRANPSLVPSPEEEKDESTMLLYACVRNCYEEEAKFAEFQAWVRGEYARKGFVEVDYDYFGERAEVFKLNDEAREEVMGHWDHPSDSDDDDEDWKLFIREIRRTFV >ONIVA05G06660.1 pep chromosome:AWHD00000000:5:5655476:5655730:-1 gene:ONIVA05G06660 transcript:ONIVA05G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDHEGPLEGALEAAVLAGVCENTRNGGGGRGRDSGEGGGGGGGGGGGGGGGIKSMAATTRVQAATATNLFQSSLTPRSSSF >ONIVA05G06650.1 pep chromosome:AWHD00000000:5:5652860:5653420:-1 gene:ONIVA05G06650 transcript:ONIVA05G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEVTVSVSPTKKRKGESSALDGGEDDALAHPSKKKKMWLLPKEEVDWILAQSNEPICARFRELKRANPSLVPSPEEEKDEYTMLLYECTRESYEDEAKYAKFQAWVRGEYARKGFVEVDYDYFAKREEAIRLNEEAREEVLGHWSDRHHPSHTDLDDEDWKLVRSILERFDQRSAISRFNRRN >ONIVA05G06640.1 pep chromosome:AWHD00000000:5:5650098:5650475:-1 gene:ONIVA05G06640 transcript:ONIVA05G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLPKEEVDWILAQFNEPVCAWFRELKRANPSLVPSPEEKDEYTMLLYKWTRDSYEDEAKFAKFQAWVRGEYARKGFVEVDYYYFAKREDAIRLNEEARVEVFGHWSDHHYPSTPILTMKIGSL >ONIVA05G06630.1 pep chromosome:AWHD00000000:5:5608933:5609376:-1 gene:ONIVA05G06630 transcript:ONIVA05G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSLMIFLLTPGRTTLYDDTCFREYVAEGSGLALPETRRLYRRLLQLGVKPVFLTSRTEDESNITVTNLRRQGYYGWMKLLLKPAVHTAGELLGSVVAFKSGERQKLEDVGFIIVGNIGDQWSDILGAPEGARTFKLPDPLYYIG >ONIVA05G06620.1 pep chromosome:AWHD00000000:5:5603472:5606221:-1 gene:ONIVA05G06620 transcript:ONIVA05G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGIVWSLSEAVSNTRLVIGSSNSGCTSRELAATRPPSRTFLSSHGVAQVTNNTSVGRLTRTSSTVAAFSPPLSSSSSTRGALLYPSHPPARPCPPMHAPASRPFAVMGDNLGGCAQEQLGVGDKLGRWIRIVCITAESRRNNEEEGSSTVRVTAAVFFVGWLYH >ONIVA05G06610.1 pep chromosome:AWHD00000000:5:5599516:5603341:-1 gene:ONIVA05G06610 transcript:ONIVA05G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRLPTERLAYGGGEAVVAPIIHALRPLLGSGRQLAARAGVACDSWRLGVEAHNVIDWRTVPAECEGYIGHYMLGEHYRRDFAVVVDEAVAYAETLKLAGNGKEIWVFDIDETSLSNLPYYAKHGFGATPYNATSFHEYVAEGSAPALPETRRLYHRLLELGVKPVFLTGRTEDQRTITVTNLHRQGFSGWEKLLLKPAVHATGELQGSAVEYKSGERQKLQDAGFIIVGNIGDQWSDILGAPEGARTFKLPDPLYYIG >ONIVA05G06600.1 pep chromosome:AWHD00000000:5:5596531:5596752:-1 gene:ONIVA05G06600 transcript:ONIVA05G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGARRQAAAATGGRPRLRGATCGAEGRHASATSNTRWHGRRAQHARGKMNRNGQRLMVAAFDTAWASRLMR >ONIVA05G06590.1 pep chromosome:AWHD00000000:5:5593963:5594490:-1 gene:ONIVA05G06590 transcript:ONIVA05G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVDEVAVPLCVAFFLALKRGTWRMVGWGSHRRGAEESEFLDAYHATSSTDLAIFDHCWLSRFFLTLPDHRGQAHPGSNAARIPPKRVRRANAMLPAPSSSPKNHQNVNFFSSPLCSAQGTTAVPTTCLPVYTAGSLGSSASRSSPATSQGRPTSSAVPPHSLARARVDKGQS >ONIVA05G06580.1 pep chromosome:AWHD00000000:5:5591321:5591721:-1 gene:ONIVA05G06580 transcript:ONIVA05G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMVRAAGIAMSVVLFTDPAMAPRPVVEGTCSAGGLYRQMLPLWRQLATVVQGGWPDRDPRSRSSASSVEVGGVESSRRAGGVNNAGTIRWYLGASAVDALVYRVSEVKTLFRSGASNGNALGRRSTS >ONIVA05G06570.1 pep chromosome:AWHD00000000:5:5570183:5580289:1 gene:ONIVA05G06570 transcript:ONIVA05G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSTSSDDECSSNSKSDNKFSGSIKRKRGRTDKPVRRQKQKRTKLQYDQDKSGSAESPKPRSRLNITYFSNLIEGLSNEQRSIIENSSFGSLLNFQRCAIPLSFVKWIASHTDVSCSDIVVNGRSIPINPNTTNFILGIQNGGLEIKHDNDAGKHFFHQHYGSTKPLISFFGTKLLSDKGVNKLPEDDVLRCFMVVALSTFLCPNSDTHPSPKYLEPLIDVKSSSKWNWSKFVYEWLMTYIAKFSKESKSMEQTSKTIGSCEHLLAIKATEKLSYDAICSGARCIEQQGNNSNMSRSNALQQYHSKSFNPSLLMTFPQFASGSQENILTQHTEKNAQLAAKPLKATQPTSTQPDKEESNKDDLFMEPIYTIPAKKEEVQPTKDLESNSTEFVIDIEGPYDVKYITGHTTDKTKFILVNYSNSSEDHKSQDPTQDESDNIPNKSTNQPQINNEQSSPQTPLHINITSARTPATKQVIQIVYFLKNWEGAGKEEDYENCARQTFTFARNKKPLHYYDLLIFPCLYDNHWFVFTVDIKGHHFIFLDSIYDENSKYHKKIQGLLIPGLIAMWEEFSDVEKDFSKFDIQYPPITRQNNG >ONIVA05G06560.1 pep chromosome:AWHD00000000:5:5561333:5587080:-1 gene:ONIVA05G06560 transcript:ONIVA05G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMGGRCTATTATTMEAATMATTNPLLYPLPDLSEGRKPPPGRTSVERTDPPWRWRGEHGRGALGGGARPSPLTSSRPTPPGHGEREADAAGPWAAVLSWRLSALYLTSNRFEYDNTFWGFDPHNGDVQESKVARWLENGMKSEAKGIRPSRCEIWTKKRDENLQCLNSSALAFDGTLAVPSPAPSAAARGAQPTSPSTSCLGRISGTFRSQDSASLLEKTQMLSTREAEALSRGVTGEAVATKNSLKLKQGRKGPNDYNAVYNDAAEKHTAASKQSGQKKYELPPNYRGHPKYLPEPQNRIFFTPNYTNQTKYPLTRFEARRQLAPGGRRARSQAAGGG >ONIVA05G06550.1 pep chromosome:AWHD00000000:5:5545188:5546118:-1 gene:ONIVA05G06550 transcript:ONIVA05G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLILLLTVAAAAAGSCCFCSAQEVIVGGVGEQLATAPPAAPAPSPPPPYCGSVRTAVEAHNIIGWKTVPADCAEYVSDYLTGERYGRDSDVVINEAIAYAESLKLSGHGKEIWVFDVDETALSTLPYQAKHGYGTKPYDHASFVQYVAGGSAPALQGTLRLYRRLLQLGIKPVFLTDRTEDQRAVTTHNLLLQGYYSWEKLLLQPVGLQTTTQAFKTGERQKLVSAGYVIIGNIGDQWSDILGSPEGYRTFKYPNPIYYVA >ONIVA05G06540.1 pep chromosome:AWHD00000000:5:5521986:5523185:-1 gene:ONIVA05G06540 transcript:ONIVA05G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLLLVLTVVAAAGSCFCSAQEAKPPPYCGSVRTAIEAHNIIGWKTFTADCAKYLADYLTGDRYPRDADVVINEAIAYAESLKLSGSGKEIWVFDVDETALSTLPYQANHGYGAPALQGTLRLYQRLLQLGVKPVFLTDRTEDQRTVTTNNLLSQGYCSWEKLLFQPVGLQTTTQAFKTDERQKLVDAGYVIVGNIGDQWTDILGSPEGCRTFKYPNPMYYVA >ONIVA05G06520.1 pep chromosome:AWHD00000000:5:5465881:5472782:-1 gene:ONIVA05G06520 transcript:ONIVA05G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDRTEDRRAVTTHKSPPAGLLLRAILLLGEATAPTGCPPDLNSIVQGRTKVLESSKEGDGNNGDVEAAPSGHTVAQRRAPASAAASAADEGAAAAAAYCGSVRTALIGGAEYHRSAGRRCRRTALATSPAGYMTGDRYGRDSDVVINEGIAYAESLKLSGNGKESIALRPRELPPVNGRRECTRATGNATTLSMAALGIKPVFLTDRAENQRAITTHNLHLQGLLQLGEAIVPVGWTPDLNCFFKTSEQKKLVIAGYVIIDNIGDQWSNILGGPEGCRNFKYPNPMYYVA >ONIVA05G06510.1 pep chromosome:AWHD00000000:5:5461854:5462654:1 gene:ONIVA05G06510 transcript:ONIVA05G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSSSSTSSSGPPPRSVRCLAGRLAHSLPRPARPAAAAPSSPPGLVAAAPSARLVQPPPRPLAAAAGSPPGLFVVVGPLPASALSSSRTRTPLPAAASSSSSAARREPPRGEEASAPCTGGGDEASARPPRPAAAIRPAAVAQAAAESHLEERRRRHHAQEEETRRRPGRLAQPPPSAPPPPSPRSPPPRAAWRRGGVGAMHRRRRRGIGPAASPSCRHPPRRRRPGRRRARPPPRPGEGEGGGEASRGGARGRWEAGEEEKR >ONIVA05G06500.1 pep chromosome:AWHD00000000:5:5461769:5462488:-1 gene:ONIVA05G06500 transcript:ONIVA05G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLLCMAPTPPLLQAALGGGDLGDGGGGADGGGWARRPGRRLVSSSCAWCRRLLSSRWLSAAAWATAAGRMAAAGRGGRADASSPPPVHGADASSPLGGSRRAADDDDEAAAGSGVRVRDDDKAEAGSGPTTTNRPGGEPAAAASGRGGGWTSRALGAAATKPGGELGAAAAGRAGRGSEWASRPARQRTERGGGPDDDVDEEDPDDDIDEVAVRQRAERGGGSERPIRFFYFWYF >ONIVA05G06490.1 pep chromosome:AWHD00000000:5:5458539:5460046:-1 gene:ONIVA05G06490 transcript:ONIVA05G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLLLLLTVVAAAAGSCFCSGQEAKPPTPPPPPPYCGSVRTAVEAHNIIGWKTFPADCAKYVADYITGDRYGRDSDVVINEAVAYAESLKLSGSGKEVWVFDVDETALSTVPYQAKHGYGVQPYDHANFLQYVAGGSAPALQGTLRLYQRLLQLGIKPVFLTDRTEDQIAITTHNLLSQGYSSWEKLLLQPIGLQTSTQAFKTSERKKLVDAGYIIIGNIGDQWSDILGSPEGCRTFKYPNPMYYVA >ONIVA05G06480.1 pep chromosome:AWHD00000000:5:5450837:5455324:-1 gene:ONIVA05G06480 transcript:ONIVA05G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDELPTEDVVVGDELRCGPWMGEEAAAGDEVWIQCGAWTGEDAATGDNASTPRRLAPEDDSTTLHSQP >ONIVA05G06470.1 pep chromosome:AWHD00000000:5:5450294:5456308:1 gene:ONIVA05G06470 transcript:ONIVA05G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCALRRRPNSVAGPLRLAPSSPAIDPATSSGLHPIYLLLRESKETRLGAKNLYYPILARYQDLIHHKHHPPRGKNRMIPDRFNMILLKYHLIPRNYHLLRGKNHMITYMYHLITSWYRVIHTRYHAILPRIRYYTIPITYQAILVRYHMIPIRYRAISTTYRGDTCEASRCRGIVASGRVLPRPRSTLNPNLIAGSRFLPHPWSTSELVTNDHVLRWELITGSRHHLSQRPRPPCQSSSLAPPPSPRRILRRPRPTPELVAGTVFVTTQILRRPCDHAPHRSSPAATSSTPEVVGAGRVSATGVSLICAAPTVACALASEGGGQGAIPLGQYPNGIPLYSSPQNHTSCLSPLINYSLTYQPLSSFLSMFSLIDRSLLPRIHSSPAGSDVRQRASMVGFWQRQRRQLRAVEAEERWAFDDGDGKINGRSHIHKSWFQRHAWASNNDGSGVGELGDGGCCVGSLVMMGCSVMAILALGSLAVADLAALASRKANPPIAASGATGPRGDNNGVFNAWELDGGRSATAGLSP >ONIVA05G06460.1 pep chromosome:AWHD00000000:5:5450257:5450742:-1 gene:ONIVA05G06460 transcript:ONIVA05G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEGANRRGPATELGRRRRAHRLAMQMEPRVGVNGGAVDGRRRCWSSRRQSDDHFTDSHVASDGVGAPAEGAPAGHVDGALGSNLATTSPAATSLAAASSSPRAGLLPQPVPKSPMATSSSQLRRSGSFLFGWERWTKGIPLGIPVYSISVNFYIRKLF >ONIVA05G06450.1 pep chromosome:AWHD00000000:5:5434912:5449652:-1 gene:ONIVA05G06450 transcript:ONIVA05G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARGYSSCSRWQRAAASAAARKRRSRRRTAAALKLSGHGKEIWVFDIDETTLFTLPYQAKHGYGHTTNASFLQYVARVSALSLQGTLRLYHRLLQLEIKPVFLSGRTEDQIGVTTHNLLSQGYSSWEKLLLQPVGLQTSTQAFKIKPP >ONIVA05G06440.1 pep chromosome:AWHD00000000:5:5434248:5434776:1 gene:ONIVA05G06440 transcript:ONIVA05G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWLAEVAAAGTPPMAGGWLAEVATAAAAAPSPLPDPAGGEVASSSPLDPVPGELVGRRRAPACQRRRRRNLAAAAAAPSPCGDDGDRGGQRWHGLFFEETPVAFLGDLDLDEYTSLLLSLSRIREVVMKGSRDGLEGGTTVECGW >ONIVA05G06430.1 pep chromosome:AWHD00000000:5:5425736:5427639:-1 gene:ONIVA05G06430 transcript:ONIVA05G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTARLLLLLTVAGFCLCHTTGQEAAPPPPPYCGSLRTAVEARNIIGWKTVPPPCAKYVADYITGERYGRDADVVINEAIAYAESLKLSGTGKEIWVFDVDDTALSTVPYQANHGYGVQPFDNQSFLKYVVQGSAPALQSTLRLYRRLLQLGIKPVFLTDRTEDQRTVTTNNLIQQGYCNWEKLVLQPVGLQTSTLAFKTCERQKLVNDGYIIVGNIGDQWNDIRRSPDGCRTFKFPNPIINNGTDGPI >ONIVA05G06420.1 pep chromosome:AWHD00000000:5:5424938:5425321:-1 gene:ONIVA05G06420 transcript:ONIVA05G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDTVALPTKPLAKEREKIRRRGTGPCPPQDPERWSRKPPAARSGGDEPMPPATVALPLHLPTTGRCRPTLGPVGKSRRHPPPAPRRPSSLPLSATTYLRPDPKGRSRRHPPLPLGKGEEDTCRGE >ONIVA05G06410.1 pep chromosome:AWHD00000000:5:5423858:5424085:1 gene:ONIVA05G06410 transcript:ONIVA05G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCAAMGRARAVAGESGKWWAPLLGWSGKADYIEAPTLAKEKARELRARMAETESFHDAMYHSAIASRLAHSA >ONIVA05G06400.1 pep chromosome:AWHD00000000:5:5408418:5421718:-1 gene:ONIVA05G06400 transcript:ONIVA05G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLIGNLVKKRVRWLKAQPYDNRSFLQYVEQGSAPALAGTLRLYRRLLELGIKPVFLTVRTENQRAVTIRNLSQQGYSGWEKLVLQPTGGLSIEAFKSGERQKLVSDGYAIVVGH >ONIVA05G06390.1 pep chromosome:AWHD00000000:5:5402968:5405303:1 gene:ONIVA05G06390 transcript:ONIVA05G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis protein COQ4 homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAL3] MFLVGLCGLSKSLSQASPTLVGRIVFREVVRPITDWPIILQLGHSELRIHGRRAQLYAGGGDGEDHVTCGSARRQRRSISSLPPHDSEAQPSGERERSTARCAHANPVPLEVATTPPQVMQGARVNLKGWQQAAVAFGSAFGALLDPRRADLIATLGETTGKPAFHRVLQRMRNSAEGRDVLLERPRVISTQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFIDTDELAYVATRACEVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWLQKLVLSAQILCLCTMRSIFMKIWRK >ONIVA05G06390.2 pep chromosome:AWHD00000000:5:5402968:5405303:1 gene:ONIVA05G06390 transcript:ONIVA05G06390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis protein COQ4 homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAL3] MFLVGLCGLSKSLSQASPTLVGRIVFREVVRPITDWPIILQLGHSELRIHGRRAQLYAGGGDGEDHVTCGSARRQRRSISSLPPHDSEAQPSGERERSTARVMQGARVNLKGWQQAAVAFGSAFGALLDPRRADLIATLGETTGKPAFHRVLQRMRNSAEGRDVLLERPRVISTQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFIDTDELAYVATRACEVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWLQKLVLSAQILCLCTMRSIFMKIWRK >ONIVA05G06380.1 pep chromosome:AWHD00000000:5:5399878:5401098:1 gene:ONIVA05G06380 transcript:ONIVA05G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDLLLLLLLLSSSRLALAAAFGVWINGAASSSPQSQEYEALQALKAAVVEDPRGALASWQGPNVCAYRGVYCSAPPDDAAASGAVVAGIDLNRANLRGTLPAAVSLLAHLTFLHLNSNRLAGQPPDSLRDLQYLTELDLSNNLFSGPFPAAALLIPSLVYLDLRFNAFSGGIPAEAFAKSSLDALFLNNNQFDGEIPETLWSSPATVITLANNRLTGPVPSAYGYGGRVREVLFLNNKLTGCIPEELGFLPTIEVLDLSYNSLSGHLPPTLSCLAGIEVLNIAHNQFTGELPDLVCDLKRITNLSVSFNFFSGISQHCDRLAGRSVFDFVGNCVPGRGLQRPPPECDGGPGDGGLSCLRSIPVTRPVPCAQASVSVGVGVGVGVIVGGAMPSFGAGGVVTVTVP >ONIVA05G06370.1 pep chromosome:AWHD00000000:5:5390002:5398166:1 gene:ONIVA05G06370 transcript:ONIVA05G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding;sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT4G03090) TAIR;Acc:AT4G03090] MIDMVSVIEELSGLTSRELGEMLKESENFVLQEKTEDGGTKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGMRFLHSLSELAARHTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKVDIFMDVAFDSLHDDVRSLSHRLSTLSTNTFPVGPFDSRLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSRSILKLGVPECLKGSIDIAASMSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTLDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILAIPHDEFVSNWCSVNLPVIEEDANLDYDPFGAAELALAAAGNKLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQKYLVSGNPRSSVDHPASADLKATTVCRNLGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKIDPSSESKEDFKPLQHPLIPSTVVPDSSINNLPKNMEEPTPTNMEEPAPTPSTKQEGNARDETPRSTVALNGGFLQNSVGQDLVHLGVARTSSGFLGGGTSTSTGSLRCKMDLDPASSSMDHFKTPDRKESGLQDDEKGDTHMYDERQPKRRKRTIMNDRQINEIEKALIDEPEMHKNAALLQAWSEKLSGQGSEITLNNRKAKLARIAKERGVLSEGENADKPSTPATPHHCDSSESAGEESYLPPARVMSALGISKGSRFVSPDGNETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDNDGKEVGRGKIFQVEGRLQGKALTDTRVCIVDVIELKIEKWRELPHPSEASGRTFQEAESRNGGVMRVAWDVIRLSPVVQ >ONIVA05G06370.2 pep chromosome:AWHD00000000:5:5390002:5398773:1 gene:ONIVA05G06370 transcript:ONIVA05G06370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding;sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT4G03090) TAIR;Acc:AT4G03090] MIDMVSVIEELSGLTSRELGEMLKESENFVLQEKTEDGGTKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGMRFLHSLSELAARHTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKVDIFMDVAFDSLHDDVRSLSHRLSTLSTNTFPVGPFDSRLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSRSILKLGVPECLKGSIDIAASMSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTLDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILAIPHDEFVSNWCSVNLPVIEEDANLDYDPFGAAELALAAAGNKLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQKYLVSGNPRSSVDHPASADLKATTVCRNLGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKIDPSSESKEDFKPLQHPLIPSTVVPDSSINNLPKNMEEPTPTNMEEPAPTPSTKQEGNARDETPRSTVALNGGFLQNSVGQDLVHLGVARTSSGFLGGGTSTSTGSLRCKMDLDPASSSMDHFKTPDRKESGLQDDEKGDTHMYDERQPKRRKRTIMNDRQINEIEKALIDEPEMHKNAALLQAWSEKLSGQGSEITLNNRKAKLARIAKERGVLSEGENADKPSTPATPHHCDSSESAGEESYLPPARVMSALGISKGSRFVSPDGNETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDNDGKEVGRGKIFQVEGRLQGKALTDTRVCIVDVIELKIEKWRELPHPSEASGRTFQEAESRNGGVMRVAWDVIRLSPVVQ >ONIVA05G06370.3 pep chromosome:AWHD00000000:5:5390002:5398773:1 gene:ONIVA05G06370 transcript:ONIVA05G06370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding;sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT4G03090) TAIR;Acc:AT4G03090] MIDMVSVIEELSGLTSRELGEMLKESENFVLQEKTEDGGTKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGMRFLHSLSELAARHTRLEQVLLDDVKLSEQVMDLIFFVLSILSHWKKVDIFMDVAFDSLHDDELSRNGGILSLSRSILKLGVPECLKGSIDIAASMSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTLDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILAIPHDEFVSNWCSVNLPVIEEDANLDYDPFGAAELALAAAGNKLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQKYLVSGNPRSSVDHPASADLKATTVCRNLGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKIDPSSESKEDFKPLQHPLIPSTVVPDSSINNLPKNMEEPTPTNMEEPAPTPSTKQEGNARDETPRSTVALNGGFLQNSVGQDLVHLGVARTSSGFLGGGTSTSTGSLRCKMDLDPASSSMDHFKTPDRKESGLQDDEKGDTHMYDERQPKRRKRTIMNDRQINEIEKALIDEPEMHKNAALLQAWSEKLSGQGSEITLNNRKAKLARIAKERGVLSEGENADKPSTPATPHHCDSSESAGEESYLPPARVMSALGISKGSRFVSPDGNETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDNDGKEVGRGKIFQVEGRLQGKALTDTRVCIVDVIELKIEKWRELPHPSEASGRTFQEAESRNGGVMRVAWDVIRLSPVVQ >ONIVA05G06360.1 pep chromosome:AWHD00000000:5:5377406:5386271:1 gene:ONIVA05G06360 transcript:ONIVA05G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sister-chromatid cohesion protein 3 [Source:Projected from Arabidopsis thaliana (AT2G47980) TAIR;Acc:AT2G47980] MDETLASLRRPKRGRPPRPREDHLAAEDFEEEGEDEEAEAEALARPQTKRKRAASAAAAAALEDQTLIDIIKHNGRLISHAVKKLVEDYESDPKSVMFQILAMLFEACGARHNFYADYLYEADVDGVVFSLVELAKKGMVEDNYNTKQKDLKNFKENLVSFWDTLVHDTPPRVYRQVASLVGLQLVTSLISVAKTLSGQRETTQRQLNAEKKKQTDGPIVESLNKKLAHTHKSITYLEELMRKIFSGLFMHRYRDVDPEIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYEVDENIPSLGLFTERFYSRMIQLADDVDISVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPLIRRAIGELVYDHLIAQNIKTSQSGARDGNNDSSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWKCIISMLLDENPLTELTDMDGTNLVRMLRASAKKAVGERIVPATDNRKMYYNKGQKEILENSKHEITTALLKKYPQLLRKYISDKAKISPLIDMMMLMKLELYSLKRQDQHFKAAIDLIADAFFKHGDKETLRSCIKAITFCCTNCQADLQNYAENKLKDLEDELVLKVKTAIKEVEAGDDEYSLMVNLKRFYELQLSKPVKNDGLFEDMYRILSHLKDMDNEVKSFLLLNMYLQLAWCLNAIDGENPSEASIDELLSRQSSLFEKLYYYLVVLPTYQKEGRSTTILSCRVCVITAEMWCLFKKPKYSSTRLESLGYLPQLDVVHNFWKLCEQQLNIPDEIEDEDANEEYIEDTNKDVVMIAAAKLVLADTVSKDYLGPELVSHYASHGTSTTEIIKHLITSLRKNADNNMGALFFEALKRAYERYMAHVSDGENQTLIGKSYSECQDLAGRLAGSYVGASRNKNKSEILKIIQDGVSFAFVDLPKQLSFLEAALLPFVSKLPSSDIPDILIDVQKRTQDTNTNEDPSAWRPYFTFVEHLRDKHAKNEVLQEEKEEKPVKRRGRPRKVRDVPARNLFDGHKSSDEESVSDSDQQGHGEDNDDDDADQPLINTFRSSASKLRSLKVSQQGTSGQKGPSRASGSNS >ONIVA05G06360.2 pep chromosome:AWHD00000000:5:5377406:5385932:1 gene:ONIVA05G06360 transcript:ONIVA05G06360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sister-chromatid cohesion protein 3 [Source:Projected from Arabidopsis thaliana (AT2G47980) TAIR;Acc:AT2G47980] MDETLASLRRPKRGRPPRPREDHLAAEDFEEEGEDEEAEAEALARPQTKRKRAASAAAAAALEDQTLIDIIKHNGRLISHAVKKLVEDYESDPKSVMFQILAMLFEACGARHNFYADYLYEADVDGVVFSLVELAKKGMVEDNYNTKQKDLKNFKENLVSFWDTLVHDTPPRVYRQVASLVGLQLVTSLISVAKTLSGQRETTQRQLNAEKKKQTDGPIVESLNKKLAHTHKSITYLEELMRKIFSGLFMHRYRDVDPEIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYEVDENIPSLGLFTERFYSRMIQLADDVDISVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPLIRRAIGELVYDHLIAQNIKTSQSGARDGNNDSSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWKCIISMLLDENPLTELTDMDGTNLVRMLRASAKKAVGERIVPATDNRKMYYNKGQKEILENSKHEITTALLKKYPQLLRKYISDKAKISPLIDMMMLMKLELYSLKRQDQHFKAAIDLIADAFFKHGDKETLRSCIKAITFCCTNCQADLQNYAENKLKDLEDELVLKVKTAIKEVEAGDDEYSLMVNLKRFYELQLSKPVKNDGLFEDMYRILSHLKDMDNEVKSFLLLNMYLQLAWCLNAIDGENPSEASIDELLSRQSSLFEKLYYYLVVLPTYQKEGRSTTILSCRVCVITAEMWCLFKKPKYSSTRLESLGYLPQLDVVHNFWKLCEQQLNIPDEIEDEDANEEYIEDTNKDVVMIAAAKLVLADTVSKDYLGPELVSHYASHGTSTTEIIKHLITSLRKNADNNMGALFFEALKRAYERYMAHVSDGENQTLIGKSYSECQDLAGRLAGSYVGASRNKNKSEILKIIQDGVSFAFVDLPKQLSFLEAALLPFVSKLPSSDIPDILIDVQKRTQDTNTNEDPSAWRPYFTFVEHLRDKHAKNEVLQEEKEEKPVKRRGRPRKVRDVPARNLFDGHKSSDEESVSDSDQQGHGEDNDDDDADQPLINTFRSSASKLRSLKVSQQGTSGQKGPSRASGHALTSLRMTELGSNECSRFVAL >ONIVA05G06350.1 pep chromosome:AWHD00000000:5:5372518:5374227:1 gene:ONIVA05G06350 transcript:ONIVA05G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAASMVAVGLVWGTTNALMRRGALVWDHRLRSLPATSSSVTGVLRRWAELLLTWQYSAPFAVNLAASAAFFSLLGAAPISVAVPVTNATTFAATAVAAALLGEGTRAAPAALGTALIVLGVWLLEMMNELWSNLFSTVAVLGILEIEQTKCEPVSASDSWNSMISVDESSEEDPNAVYKCNMYCNLEKASNNFDMQQVISWRAHMHLLPPSWTHLAGWMARIDDQQAPPPMDYGCLIIRVKAEEKSQIHNSQLPAGMGRQHAWLMVLGSYHPKIQSEMFAAASANSSTWADGHMAA >ONIVA05G06340.1 pep chromosome:AWHD00000000:5:5367998:5368267:1 gene:ONIVA05G06340 transcript:ONIVA05G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGAKKSRNPHKAPADYRSDRKSASGMSGEPKKGGRGGKFTWEGADGYADEDLDLISPKNSTSHSKSAASAAAADSSSNKKSGDDE >ONIVA05G06330.1 pep chromosome:AWHD00000000:5:5366650:5367343:-1 gene:ONIVA05G06330 transcript:ONIVA05G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRLLLELILIQEVVMKGSRDGLGGGTMMECGW >ONIVA05G06320.1 pep chromosome:AWHD00000000:5:5353193:5354924:-1 gene:ONIVA05G06320 transcript:ONIVA05G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAK3] MIARYGVQLEKGAFEKRTADFLWMMIFGAISLLALSAIPFLDIYFLGVPMVSMLLYVWSREYPNSQISMYGLVQLRSFYLPWAMLGLDVIFGSEILPGLLGILVGHTYYFLSVLHPLATGKNYLKTPMRTLRSDPRPPTPDQAPSEEGATDSVNRSNLEDTLCCKPAMPIWEMQCRMKQGTSMGQPGRY >ONIVA05G06310.1 pep chromosome:AWHD00000000:5:5349738:5350748:-1 gene:ONIVA05G06310 transcript:ONIVA05G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAATRVVHVHYNNATVTIGTVGVAREDDGDDAEAVAKQIVVRLGIHPPAGSGADAHAVVDLRFALQEPTPAASVPWRWLAFSLCRFLDLPADGSRLEDELCSFATDVAGDGAGGALHLLLVDVRYLGVYDERPSTQEWLPVQLYLTPATDDDGAVVVLPLCPRHTGAEAERWCHACLGEFKVGDTLATPACCRRRAVHQECLRRHLAKGPDESCPLCGGATALTPAAAEADAARMQGMWWRYFLAGNLLYWLSTTAAVVTLRLADRRGVAGVHHYLTLGAASAAWLFHSVGTLLLADDAFGFGFTIDELARFLRPLCSPVTFILSSLANKRS >ONIVA05G06300.1 pep chromosome:AWHD00000000:5:5345081:5349304:1 gene:ONIVA05G06300 transcript:ONIVA05G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASRLAVVVGREEGASSPVDGVASDEAGFREGGERSAARRRSERFPRVRGARRRELLRFFPVERARLERASEAASDPLAHAVLSFYDLENSQTGQLLPCSDVFCKHLSSSTSIPCQKDHPCIHFEKYGTENLLHGKIVQDFLVLEIVINHYKTSKVRTKVQFGSISQHLKSLSSSFTVDGVMGLGPSNTSLVYQLAKSQKWKKMFAHCLDGKRSGGIFVLGHIVGPKVRKTPLDQTRYRTTLLEITVGETSLSLSAGNVEIKSQNMTILETGSLISYLPEKIFSDLEDISVINIGGYSCFHYERRFPEVVFHFKELLTLRVYPHEYMFHNMENKGIIERRTCSFWVASKSSVHVRDEPTGKIYEVGSHRMNSDVKWDDEDVWSHDRVKLETEHTTPADNTSEKTEVHSGLLSRSRTRLLAMIGALVCYARRSITKLFAMIGATICYGILLATLFLCTTFPSIYQLEKYEYAEMVVGSSCGGDFGYHCRVHSFVDKAHRHCFPQIYSFEEKVLHVVDDTYNCKQPVCIVPHKTLKQGVEKVNCTYFLPVKI >ONIVA05G06290.1 pep chromosome:AWHD00000000:5:5322835:5324405:1 gene:ONIVA05G06290 transcript:ONIVA05G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRNMWGGGRKEQKGEAPASGGKRWSFGKSSRDSAEAAAAAAAAAAEASGGNAAIARAAEAAWLRSVYADTEREQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSKGRSAPVLAATVAGDTRSLAAAAVRIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQATVRAHRSGAGAAANLPHLHHAPFWPRRSLVRRWLNLADDIAMYMFDVDVVCWRWMQQERCAGDDTRSEHGVAAYSRRLSASIESSSYGYDRSPKIVEVDTPKSRSSSSRRASSPLLLDAAGCASGGEEWCANSMSSPLPCYLPGGAPPPRIAVPTSRHFPDYDWCALEKARPATAQSTPRYAHAPPTPTKSVCGGGGGGIHSSPLNCPNYMSNTQSFEAKVRSQSAPKQRPETGGAGAGGGRKRVPLSEVVVVESRASLSGVGMQRSCNRVQEAFNFKTAVVGRLDRSSESGENDRHAFLQRRW >ONIVA05G06280.1 pep chromosome:AWHD00000000:5:5320915:5321367:1 gene:ONIVA05G06280 transcript:ONIVA05G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLSAISISSFVFSSFCPLLPGVDRLPEVRGGRTWTGYGKGKLGMGRLATADCSEGRSEVRRDWLRCRQGRRKGIGKRQITRSGTCTVSTKID >ONIVA05G06270.1 pep chromosome:AWHD00000000:5:5295034:5298547:-1 gene:ONIVA05G06270 transcript:ONIVA05G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAJ8] MVAAAVAAAEQVVAALREECATPAARLDGVAAAMAGEMAAGLAEEGGSKIKMIVSYVDNLPNGTEEGLFYALDLGGTNFRVLRVQLAGKEKRVVKRESREVSIPPHLMSGNSSELFGFIASALAKFVADEGHNAVFNDRQRELGFTFSFPVRQTSIASGTLIKWTKAFSIDDAVGEDVVAELQMAMEKQGLDMRVSALINDTVGTLAAGSYYDEDVVVGVILGTGSNAAYLEKANAIPKLEGELPKSGNMIFEKLISGMYLGEIVRRVLLKISLQSSIFGNLDQTKLKTRFILRTPDISAMHHDGTPDLRIVAEKLADNLKITDTSLETRKMVVEICDIVTRRSARLAAAGIVGILRKIGRGVPGDKRKSVIAIDGGLYEHYTEFRQCLETTLTELLREEASKSVAVKLANDGSGLGAALIAAAHSQYLN >ONIVA05G06260.1 pep chromosome:AWHD00000000:5:5291281:5294660:1 gene:ONIVA05G06260 transcript:ONIVA05G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAJ7] MAGATDLPPKGGFSYDNCARNAMLVEKGLKMPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTSLTLLKSHLFSYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIQLVAEAIRGGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYVSSKGYNFTKGQTVVLSTKITQLKPKVEVTEGDAMEE >ONIVA05G06260.2 pep chromosome:AWHD00000000:5:5291321:5294660:1 gene:ONIVA05G06260 transcript:ONIVA05G06260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAJ7] MAGATDLPPKGGFSYDNCARNAMLVEKGLKMPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTSLTLLKSHLFSYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIQLVAEAIRGGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYVSSKGYNFTKGQTVVLSTKITQLKPKVEVTEGDAMEE >ONIVA05G06250.1 pep chromosome:AWHD00000000:5:5287146:5289181:1 gene:ONIVA05G06250 transcript:ONIVA05G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAJ5] MAWNGRFGEDGEEERSLELSLALPGYFSSSGLQGNTSTAADGAKGNDGFKASKKMLKFAPKFSAIRSRPAAPVVGWPPVRSFRRNLASSSSSSKPPRGGRDAAAAAAGGKVARFVKVNMDGVPIGRKVDLAAHGGYGELSAAVDRLFRGLLAAQRDPTMATAAAAAAAGESCTGEEEAIAGLLDGGSGEYTLVYEDDEGDQMLVGDVPWNMFIAAARRLRVLRSSDLNASTIRAGSRKRATAE >ONIVA05G06240.1 pep chromosome:AWHD00000000:5:5264550:5265964:1 gene:ONIVA05G06240 transcript:ONIVA05G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAECEDESPESAMVASGDVGSVRVEHAQERLILVLQQPAGILSPLSSGTALLEATESIDGDESHA >ONIVA05G06230.1 pep chromosome:AWHD00000000:5:5260155:5280890:-1 gene:ONIVA05G06230 transcript:ONIVA05G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWGVGTSASRGRGGEASAREEEERRCSRASAREGEERHRSRRRIEGKRDKGGIVIMEFDKLSASTGTRPLTAGGDVTIDSDTPVKTCT >ONIVA05G06220.1 pep chromosome:AWHD00000000:5:5257568:5258173:1 gene:ONIVA05G06220 transcript:ONIVA05G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFTMPCPKKVMHDKKVPLTNCSIIMGELGFLIVIGINQICVFYSLLMAVYTSKFRL >ONIVA05G06210.1 pep chromosome:AWHD00000000:5:5248753:5252080:-1 gene:ONIVA05G06210 transcript:ONIVA05G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVEDTYGEDRATEDQLITPWSFSVASGYTLLRDPRHNKGLAFSEAERDAHYLRGLLPPSIVSQELQEKKLMHNLRNYTVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNESLLNDEFYIGLKQRRATGEEYHELLEEFMTAVKQNYGEKVLVQFEDFANHNAFDLLAKYSKSHLVFNDDIQTEIPINDCRKKVWLVDSRGLIVESRKESLQHFKQPFAHEHEPVKTLLEAVQSIKPTVLIGTSGVGKTFTQEVVEAMAAFNEKPVIFALSNPTSHSECTAEEAYTWTKGSAVFASGSPFDAVEYEGKTYVPGQSNNAYIFPGFGLGVVISGAIRVHDDMLLAASEALAEQVSEDNFARGLIFPPFTNIRKISAHIAAKVAAKAYELGLASRLPRPDDLVKYAESCMYTPAYRCYR >ONIVA05G06200.1 pep chromosome:AWHD00000000:5:5232825:5243736:-1 gene:ONIVA05G06200 transcript:ONIVA05G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMPKGSLLRHAYYHLGPGPRTVVEHAVVPFLPNGPRPKGHDRVESTTMLPRNFESAGAVPLVEGNIAREEAMWEPEAREDGPPQLCATAWWRECEAPPTSRVPPTSAWTASPRPSLLPCAAAAAWPYPPRANGGRICGYLDEQFNQLEELQDESSPNFVEEVAALFFKDSSRLLTNIEQAIDKYPQDFYRLDSLVQQLKGSGSSIGALRMKNECSVFKANCNDRNLEGCRRSLQKMKREHATLKQKLESYFQLLRQVGPRDYAVSSRNNNLIIKK >ONIVA05G06200.2 pep chromosome:AWHD00000000:5:5232896:5243736:-1 gene:ONIVA05G06200 transcript:ONIVA05G06200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMPKGSLLRHAYYHLGPGPRTVVEHAVVPFLPNGPRPKGHDRVESTTMLPRNFESAGAVPLVEGNIAREEAMWEPEAREDGPPQLCATAWWRECEAPPTSRVPPTSAWTASPRPSLLPCAAAAAWPYPPRANGGRLLGYLDEQFNQLEELQDESSPNFVEEVAALFFKDSSRLLTNIEQAIDKYPQDFYRLDSLVQQLKGSGSSIGALRMKNECSVFKANCNDRNLEGCRRSLQKMKREHATLKQKLESYFQLLRQVGPRDYAKS >ONIVA05G06200.3 pep chromosome:AWHD00000000:5:5232896:5243736:-1 gene:ONIVA05G06200 transcript:ONIVA05G06200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMPKGSLLRHAYYHLGPGPRTVVEHAVVPFLPNGPRPKGHDRVESTTMLPRNFESAGAVPLVEGNIAREEAMWEPEAREDGPPQLCATAWWRECEAPPTSRVPPTSAWTASPRPSLLPCAAAAAWPYPPRANGGRISTGYLDEQFNQLEELQDESSPNFVEEVAALFFKDSSRLLTNIEQAIDKYPQDFYRLDSLVQQLKGSGSSIGALRMKNECSVFKANCNDRNLEGCRRSLQKMKREHATLKQKLESYFQLLRQVGPRDYAKS >ONIVA05G06190.1 pep chromosome:AWHD00000000:5:5223294:5232603:-1 gene:ONIVA05G06190 transcript:ONIVA05G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVHAMAASPATFPSSHHHAAVSSYCALPATAAFSRSRSRVAAAAAATLSAPLTPVLEVYCGRGDKKTKRGKRFNHSYGNTCHLLTAVCSSQARPRNKKKGTGPARLFAPPAPPRKDQFDDGECLCKFVNLLGIFRNRGFTIAKTPLLLIPERGGGGGGNRSCCRGRRRERSSPATESATAAPTLRKTTRKSSLLRPPPPPSPATLGISLFTSPHHHLLPKNPPHADCSNQPAMEGTQVISPTLITKKKKIIKKIQTFEQEIETFFLTARRLWPRT >ONIVA05G06190.2 pep chromosome:AWHD00000000:5:5223294:5232603:-1 gene:ONIVA05G06190 transcript:ONIVA05G06190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVHAMAASPATFPSSHHHAAVSSYCALPATAAFSRSRSRVAAAAAATLSAPLTPVLEVYCGRGDKKTKRGKRFNHSYGNARPRNKKKGTGPARLFAPPAPPRKDQFDDGECLCKFVNLLGIFRNRGFTIAKTPLLLIPERGGGGGGNRSCCRGRRRERSSPATESATAAPTLRKTTRKSSLLRPPPPPSPATLGISLFTSPHHHLLPKNPPHADCSNQPAMEGTQVISPTLITKKKKIIKKIQTFEQEIETFFLTARRLWPRT >ONIVA05G06190.3 pep chromosome:AWHD00000000:5:5223294:5231540:-1 gene:ONIVA05G06190 transcript:ONIVA05G06190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIWEAVLKNRLINQSIRSDHLIELRKVVNNSYQMAAALDLSGADPARNRGFTIAKTPLLLIPERGGGGGGNRSCCRGRRRERSSPATESATAAPTLRKTTRKSSLLRPPPPPSPATLGISLFTSPHHHLLPKNPPHADCSNQPAMEGTQVISPTLITKKKKIIKKIQTFEQEIETFFLTARRLWPRT >ONIVA05G06190.4 pep chromosome:AWHD00000000:5:5231886:5232603:-1 gene:ONIVA05G06190 transcript:ONIVA05G06190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAVHAMAASPATFPSSHHHAAVSSYCALPATAAFSRSRSRVAAAAAATLSAPLTPVLEVYCGRGDKKTKRGKRFNHSYGNTCHLLTAVCSSQARPRNKKKGTGPARLFAPPAPPRKDQFDDGEVTPIDIDDDILE >ONIVA05G06180.1 pep chromosome:AWHD00000000:5:5222229:5227211:1 gene:ONIVA05G06180 transcript:ONIVA05G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVAGDGGGGGRRSEDLRVVFLRVGAAVALSVAGLLLSRRRPRQQLRLPPPPPPRSDSDGMKGGGGLKDELRILKNEDTKAKIINGNSVHTTTTTTTMTTTALVPLPPKCRTLDDDEEFLLPEFNEIVLKEFGRDMGNIATSPAPRVSEEDATKNREIFELREMVRSLQEREKTLELQLLESYGLQEQDVAVRELENQLKINTVESKLYTLKIESLQSENERLQAQLTESSKLASELEAARMKCKLLKKKLRQDAEQAKERIASLQEMADSWQCKEIITEGKFSAEVEEKLSKLEELENEARELRVVNSRLQQENAHLARRLELTRLPPVPKPINNMEVKALQEADHLRQENDKLAKEVEQLKTDRFSDVEELVYLKWINACLRYELRNQDAPSGKNVARDLSKTLSPQSEEKAKQLIMEYANAGPDEKNFDHIEFCSEYSSSRASSLGEPDDASIDVSLMNKHKNPKKKKFFSKLRKLVLGKEKENKTIPTLERRISISSCSFDEFNGRESIDSYSSFMTEPGNSGNQQHDNHGSRWHSMDSQSARHLSKEIADARNLHLGVKSVSFGEGRVSNFGHSSHLGNGEATVPEDAKKIHKFAEALKTSRPGSRSSRKDH >ONIVA05G06170.1 pep chromosome:AWHD00000000:5:5210355:5217852:-1 gene:ONIVA05G06170 transcript:ONIVA05G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFARNLAAIIPLARCNATATTAAAPSSLVLKVGDTLRERRRFTDGEVEAYAAMSGDRNPVHLDDAFARQVGGFGRGRVVHGMLVASLFPALIAAHFPGAVYARQSLKFAAPVYVGDKVLVQVQALHIRASTKHIVKFGTKCFTSDSDDLLAVDGEAMAVLPSLYLRQQLNQILIGGFAIFGPLNLSFSMAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYVSGGVARNIAECICKLETRPFMISVVGNDMAGDFLLKYWRSAGLCTDVSNVFDGSGELIAGVASVGAVEKFLSPSWICQFRLHISTAPLLMLDANLSPDSLEAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >ONIVA05G06170.2 pep chromosome:AWHD00000000:5:5210353:5217851:-1 gene:ONIVA05G06170 transcript:ONIVA05G06170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYVSGGVARNIAECICKLETRPFMISVVGNDMAGDFLLKYWRSAGLCTDVSNVFDGSGELIAGVASVGAVEKFLSPSWICQFRLHISTAPLLMLDANLSPDSLEAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >ONIVA05G06170.3 pep chromosome:AWHD00000000:5:5210353:5217851:-1 gene:ONIVA05G06170 transcript:ONIVA05G06170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIHAKPSMQPHPGTTVPGMVKYVSGGVARNIAECICKLETRPFMISVVGNDMAGDFLLKYWRSAGLCTDVSNVFDGSGELIAGVASVGAVEKFLSPSWICQFRLHISTAPLLMLDANLSPDSLEAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >ONIVA05G06170.4 pep chromosome:AWHD00000000:5:5210353:5217851:-1 gene:ONIVA05G06170 transcript:ONIVA05G06170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMEKFLSPSWICQFRLHISTAPLLMLDANLSPDSLEAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >ONIVA05G06160.1 pep chromosome:AWHD00000000:5:5193939:5205984:1 gene:ONIVA05G06160 transcript:ONIVA05G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPLARLRLRLRLPHLALLALLAVASGNPSLGGFDRGDAEAEAYSILTFHDYTPPPPPSLPPPPPAPSATCAGDLRGVGDLDTRCVVPASVRLRGPGVYISGNGTLVLVDGVALTCERPGCVVSANLSGGIFFGREARVVAGWVSLSATNITLSSDAVIDTTALAGDPPDKTSGVPTGSYGDGGGHGGRGASCYVKEGQAQEDSWGGDMYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFAKDIMMNGTILANGGDGGTKGGGGSGGSIYLKAKTMQGGGTISACGGDGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLEPPYDPLWTNVFIKNHAKVSLPLRWSRIQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGRESGVATSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIVVGPGAVLQGPLVNGSSDDVAPKLNCEDESCPMEIFHPPEDCNLNTSLSFTLQICRVEDIVVSGLVQGTVINFNRARNVTVRSSGTISATGLGCRGGIGRGRMLSSGLSGGGGHGGKGGDAFYSGSHAGGGTAYGSADLPCELGSGSGNVSTSSSTAGGGIIVMGSLEQSLPLLSLAGSIEANGGSFAGAVTHAANEGPGGGSGGTILLFVRALSLEEGSVLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGTVDGQGFPGENGTVTGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTNELPHRAVYISIRGGVTETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEHISEIVYEDAFNKFVDEINALAAYQWWEGSIYSILCILSYPLAWSWQQWRRRRKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRLPMSLIFGGDGSYMAPFSLHSDSVVTSLISQGVPSSIWHRLVAGLNAQLRLARRGNLKATFLPVLKWLETHANPALNTYRVRVDLAWFQATALGYYQFGIVIHSVGPFSSGLQGGSRMKFDYHAQFQNTNVDSQLDHSRNNDAVMLKRITGRVLDIDNLRTLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLLGSIFPLLDVSRKPGLIKIVAFACGLVHYKSSAKRHPSMQPWNLGGDDTSWWLFPTGLVLCKCIQARLVDWHVSILEIQDRAVYSNDPTIFWQ >ONIVA05G06160.2 pep chromosome:AWHD00000000:5:5193939:5205984:1 gene:ONIVA05G06160 transcript:ONIVA05G06160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPLARLRLRLRLPHLALLALLAVASGNPSLGGFDRGDAEAEAYSILTFHDYTPPPPPSLPPPPPAPSATCAGDLRGVGDLDTRCVVPASVRLRGPGVYISGNGTLVLVDGVALTCERPGCVVSANLSGGIFFGREARVVAGWVSLSATNITLSSDAVIDTTALAGDPPDKTSGVPTGSYGDGGGHGGRGASCYVKEGQAQEDSWGGDMYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFAKDIMMNGTILANGGDGGTKGGGGSGGSIYLKAKTMQGGGTISACGGDGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLEPPYDPLWTNVFIKNHAKVSLPLRWSRIQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGRESGVATSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIVICRVEDIVVSGLVQGTVINFNRARNVTVRSSGTISATGLGCRGGIGRGRMLSSGLSGGGGHGGKGGDAFYSGSHAGGGTAYGSADLPCELGSGSGNVSTSSSTAGGGIIVMGSLEQSLPLLSLAGSIEANGGSFAGAVTHAANEGPGGGSGGTILLFVRALSLEEGSVLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGTVDGQGFPGENGTVTGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTNELPHRAVYISIRGGVTETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEHISEIVYEDAFNKFVDEINALAAYQWWEGSIYSILCILSYPLAWSWQQWRRRRKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRLPMSLIFGGDGSYMAPFSLHSDSVVTSLISQGVPSSIWHRLVAGLNAQLRLARRGNLKATFLPVLKWLETHANPALNTYRVRVDLAWFQATALGYYQFGIVIHSVGPFSSGLQGGSRMKFDYHAQFQNTNVDSQLDHSRNNDAVMLKRITGRVLDIDNLRTLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLLGSIFPLLDVSRKPGLIKIVAFACGLVHYKSSAKRHPSMQPWNLGGDDTSWWLFPTGLVLCKCIQARLVDWHVSILEIQDRAVYSNDPTIFWQ >ONIVA05G06160.3 pep chromosome:AWHD00000000:5:5193939:5205984:1 gene:ONIVA05G06160 transcript:ONIVA05G06160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPLARLRLRLRLPHLALLALLAVASGNPSLGGFDRGDAEAEAYSILTFHDYTPPPPPSLPPPPPAPSATCAGDLRGVGDLDTRCVVPASVRLRGPGVYISGNGTLVLVDGVALTCERPGCVVSANLSGGIFFGREARVVAGWVSLSATNITLSSDAVIDTTALAGDPPDKTSGVPTGSYGDGGGHGGRGASCYVKEGQAQEDSWGGDMYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFAKDIMMNGTILANGGDGGTKGGGGSGGSIYLKAKTMQGGGTISACGGDGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLEPPYDPLWTNVFIKNHAKVSLPLRWSRIQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGRESGVATSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIVVGPGAVLQGPLVNGSSDDVAPKLNCEDESCPMEIFHPPEDCNLNTSLSFTLQICRVEDIVVSGLVQGTVINFNRARNVTVRSSGTISATGLGCRGGIGRGRMLSSGLSGGGGHGGKGGDAFYSGSHAGGGTAYGSADLPCELGSGSGNVSTSSSTAGGGIIVMGSLEQSLPLLSLAGSIEANGGSFAGAVTHAANEGPGGGSGGTILLFVRALSLEEGSVLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGTVDGQGFPGENGTVTGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTNELPHRAVYISIRGGVTETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEHISEIVYEDAFNKFVDEINALAAYQWWEGSIYSILCILSYPLAWSWQQWRRRRKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRLPMSLIFGGDGSYMAPFSLHSDSVVTSLISQGVPSSIWHRLVAGLNAQLRLARRGNLKATFLPVLKWLETHANPALNTYRVRVDLAWFQATALGYYQFGIVIHSVGPFSSGLQGGSRMKFDYHAQFQNTNVDSQLDHSRNNDAVMLKRITGRVLDIDNLRTLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLAHRGLCVWTCALQVFSQKASKHATLEFGRDLDTIEL >ONIVA05G06160.4 pep chromosome:AWHD00000000:5:5193939:5205889:1 gene:ONIVA05G06160 transcript:ONIVA05G06160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPLARLRLRLRLPHLALLALLAVASGNPSLGGFDRGDAEAEAYSILTFHDYTPPPPPSLPPPPPAPSATCAGDLRGVGDLDTRCVVPASVRLRGPGVYISGNGTLVLVDGVALTCERPGCVVSANLSGGIFFGREARVVAGWVSLSATNITLSSDAVIDTTALAGDPPDKTSGVPTGSYGDGGGHGGRGASCYVKEGQAQEDSWGGDMYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFAKDIMMNGTILANGGDGGTKGGGGSGGSIYLKAKTMQGGGTISACGGDGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLEPPYDPLWTNVFIKNHAKVSLPLRWSRIQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGRESGVATSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIVICRVEDIVVSGLVQGTVINFNRARNVTVRSSGTISATGLGCRGGIGRGRMLSSGLSGGGGHGGKGGDAFYSGSHAGGGTAYGSADLPCELGSGSGNVSTSSSTAGGGIIVMGSLEQSLPLLSLAGSIEANGGSFAGAVTHAANEGPGGGSGGTILLFVRALSLEEGSVLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGTVDGQGFPGENGTVTGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTNELPHRAVYISIRGGVTETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEHISEIVYEDAFNKFVDEINALAAYQWWEGSIYSILCILSYPLAWSWQQWRRRRKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRLPMSLIFGGDGSYMAPFSLHSDSVVTSLISQGVPSSIWHRLVAGLNAQLRLARRGNLKATFLPVLKWLETHANPALNTYRVRVDLAWFQATALGYYQFGIVIHSVGPFSSGLQGGSRMKFDYHAQFQNTNVDSQLDHSRNNDAVMLKRITGRVLDIDNLRTLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLIVAFACGLVHYKSSAKRHPSMQPWNLGGDDTSWWLFPTGLVLCKCIQARLVDWHVSILEIQDRAVYSNDPTIFWQ >ONIVA05G06150.1 pep chromosome:AWHD00000000:5:5174365:5177898:1 gene:ONIVA05G06150 transcript:ONIVA05G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFLELEPFSTPLFEIPDDADFEYTSMLAADDFLLMDGDFLADQPPVSVEVVAGGGDASGKRSFHADDGEAMGVVSRSSKRRKKAPRNSSSLSNRDGSGGEGSEPTAERPGGRRVWVRERSTEWWDHMRDPVACPEADFRRAFRMPRAVFDKLCDDLAAAGWNGYAIT >ONIVA05G06140.1 pep chromosome:AWHD00000000:5:5165244:5167772:-1 gene:ONIVA05G06140 transcript:ONIVA05G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGMALLEEDLVGRSSRSVAQAYSGDIRGGGDSSNIMVWYIEAWGGSSSKLWGDEARIYPMGTGWQGGSTDHWSSVSRSHCSNGCRTTQKPSSRRVLPPPNPSSSSQYLLGIPIAASRCMATNEEARRRWWRGRQPAGANRSRIRRALGASLLARRRGPFVTNPSTSRLLEGRGVSVSVINAETWDMMPSLAAALYVIEDFYGMIQICKWCSTRCCWLPATHGR >ONIVA05G06130.1 pep chromosome:AWHD00000000:5:5157324:5157932:1 gene:ONIVA05G06130 transcript:ONIVA05G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLAVVERGGGRRRGTGGGGSATWPGASARAAAAGRVAGRWLGAAPGEKRWGTEETAAVGERRMAAVWRRMPRWRDWCGMEGGGELRGSAPASSRLNRAPRCWVEEGTKATVDGGEEDSAVEWNGGPTRVHGSARPRQRRRATRARSQLSAGRGDGVGGARGRAVRGSARRRPRKEETTVRTTAASRHDSKIKNREKGRK >ONIVA05G06120.1 pep chromosome:AWHD00000000:5:5152228:5153657:1 gene:ONIVA05G06120 transcript:ONIVA05G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFLELEPFSTPLFEIPDDADFEYTSMLAADDFSLMDGDFLADQPPAPAEVVAGGGDASGKRSFHANDGEAMCVVSRSSKRRKKAPTNSTSPSNRDGSGGGGGGEGNEPTAERRGSRRVWVRERSTEWWDRMRDPAACPEADFRRAFRMPRARVAVCLWRLATGDPLREVSRRFGLGISTCHNIIVQVCAAITAVLLTRVVRWPDSHAAAAASRFQALSWIPGVVGAVHTEHFRIVTPREHAGKYYDHRLTGRNNKATYSVAMQAVVDADGAFTDVCIGHPGSLSDAAILAKSALYARCEAGLLLGHDKLGWQQPLWLVGGASYPLTSWMLVPYTQPNLTWAQDRLNARVADARAAAVGAFRRLRARWQCLRRAEVKLPELANMLGACCVLHNLCERSGHGLDADLLDLLDDELVDDGVVAGGGNTVRSPAAEQVRDRIAHGLLDQYVGNASLSG >ONIVA05G06110.1 pep chromosome:AWHD00000000:5:5136758:5138179:-1 gene:ONIVA05G06110 transcript:ONIVA05G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSFFEPFTDFDYSSVLAVGDFPLMDGDFFAGHNPDQPPSPAEVVVAVGGGDASGKRSFQADDDDGAMGVVSRSSKRTRKAPSRSSSPSHSGGGGEGNEPAAERGGGRRVWVRERERSTEWWDRMRDPAACPEADFRRAFRMPRAVFDKLCDDLAAAVAKEDTTLRAAIPVAQRVAVCLWRLATGDPLREVSRRFGLGISTCHCIILQVCAAITAVLLTRVVRWPDSHAAAASRFQDLSGIPGVVGAVHTEHIPIVAPRENAGEYYDRRLTDRNNKATYSVAMQTVVDADGAFTDVCIGYPGSLSDAAVLAKSALYARCEAGLLLGDGRDWRQPPQWLVGGASYPLTTGAVRAAEPDVGAGEAQRAGGRRARGGGGRVPAAQGAVPVPAAARRGEAAGAPQHAGRLLRAAQPLRAQRRELDADLLHDELVDDGVVAGGGNTVRSAAAEQVRDRIAHGLLHAGNAASSSYQDS >ONIVA05G06100.1 pep chromosome:AWHD00000000:5:5120068:5120643:1 gene:ONIVA05G06100 transcript:ONIVA05G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVDDEGAFTDVCIGHPGSLSDAAVLAKSALYARCEAGLLLGHDKLGWQQPLWLVGGASYPLTSWMLVPYTQPNQTWAQDRLNAHVADARAAAVGAFRRLRARWQCLRRAEVKLPELANMLAVCCVLHNLCERIGEELDANLLHDELEVVDDGVVACGGNTVRSAAAEEVRDHRIAHVRSSSRRQCFFE >ONIVA05G06090.1 pep chromosome:AWHD00000000:5:5115949:5116197:1 gene:ONIVA05G06090 transcript:ONIVA05G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSGGGSLCPISPSSRSGSGYGGGVGGGRIQRRMHSSLPLFSLRRRRRQWWRSRMDSVATAEGGAGDGYGWIRRQASEIL >ONIVA05G06080.1 pep chromosome:AWHD00000000:5:5112699:5113202:-1 gene:ONIVA05G06080 transcript:ONIVA05G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKLAICSLFVLAVAAATVVHCSDAQNSPQDYLSPQNAARSAVGVGPMSWSTKLQGFAESYARQRKGDCRLQHSGGPYGENIFWGSAGADWTAADAVRSWVDEKKYYNYASNSCAAGKVCGHYTQVVWRDSTNVGCARVRCDANRGVFIICNYEPRGNIVGRRPY >ONIVA05G06070.1 pep chromosome:AWHD00000000:5:5070774:5071817:-1 gene:ONIVA05G06070 transcript:ONIVA05G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAAGVPTSAAHLLRPRTIRGRPPWRFLPHSRHVHGRLEVEDCHQGRLLLRNCDTNELTVFDPVARRVLAKLTPPRHGNKRAFHHNSGSFLIPGRVGDQAASSSSFRVVTMQRCTTVIYASGGDQRLDMERLAVYDSSTGAWSARPWTSTGDIDMPLPLKVKRYSAMHAPGRICWKRLRGGSPNSLVSLDAETMGFPEVVPPPGVLLDPSYAVGDTDDGSMCLVNMEERETMRLVMLSKKKWHLRVWLLGKSGCGGRAWVLDQEQEASLTTSTEDAADMLWDYDQACRIVAVNAGVVLMCLHSPRRLNDRYIAFRLSSLQVVASFSASELVFQYQMPWPPVLLPTA >ONIVA05G06060.1 pep chromosome:AWHD00000000:5:5042833:5044279:1 gene:ONIVA05G06060 transcript:ONIVA05G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLELEPFSTPLFEIPADADFEYLSMLAADDFPLMDGDFLADQPPASAEVVAGGGDASGKRSFHADDGEAMGAVPVSRSSKRRRKAPSSSSSPSHGGGGEGNEPGRWPAGVGAEAEHRVVDRMSDPAACPEADFRRAFRMPRAVFDKLCDDLAAAVAKEDTMLRSAIPVPQRVAVCLWRLATGDPLREWLVGGASYPPTSWMLVPYTHPNLTWAQDRHNVQVADARAAAVGAFRRLRARWQCLRRAEVKLPELANMLAACCVLHNLCERSGEEIDADLLHDDLVDDGVVAGGGNTVRSPAAEQVRDRIAYDLPHQHVGNASLSDYY >ONIVA05G06050.1 pep chromosome:AWHD00000000:5:4957595:4961761:-1 gene:ONIVA05G06050 transcript:ONIVA05G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAG4] MGGGGGGGGNTRELDQTPTWAVASVCGVIVLISILLEKGLHKIGEFFSHRKKKAMVEALEKVKTELMVLGFISLLLVFGQNYIIKICITEKVADTMLPCRLKASTIHAETGKSHHGDAGGHGATTMEAGGEHGATPAGGEHGATPAGGENGATPAGGEQGATPAGGEHEATPAGGEQGATPAGGEHEATPAEHFSLVGVGPPFAAAFSRPHHRLLAEAKMKTKCPDGKVSLISINALHQLHIFIFFLAVFHIRGWKEWEKEAAGQDFEFANDPTKFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRRADYCALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLLLNVSGLHTMLWISIMPVVTILSVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFSRPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKEFVFARLCLGVVMGSTMKQSIFDDQTSKALKNWRAGVKKKPAAASSSKHGDAAGDHAAASPHSGSPKGEAGGVALTQRKAGGGEAGDAQQAGGGSGSKKGEEGDYEFVKLEP >ONIVA05G06040.1 pep chromosome:AWHD00000000:5:4953913:4956024:-1 gene:ONIVA05G06040 transcript:ONIVA05G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVEVSRCGYWAIRAPDSSSANSKVHKKKTLREQKESPCLCLIEEGFLSFGVFVAPMQIKATKIWLQIPLYDRLWF >ONIVA05G06030.1 pep chromosome:AWHD00000000:5:4935055:4936181:-1 gene:ONIVA05G06030 transcript:ONIVA05G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVGLNPEAFFFSNSYSYSSSPFMASYTPEFSAAAIDANLFSGELDFDCSLPAPALAGAGQEYPENENTMMRYESEEKMRARVNGRIGFRTRSEVEILDDGFKWRKYGKKAVKNSPNPRNYYRCSTEGCNVKKRVERDREDHRYVITTYDGVHNHASPAAAAAALQYAAAAGDYYSPPLSSAGSPPAAYSAGGSLLF >ONIVA05G06010.1 pep chromosome:AWHD00000000:5:4920320:4925021:-1 gene:ONIVA05G06010 transcript:ONIVA05G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEAAAAAASPPELASFLAIGLDQRTAENALANRKVTANLTAVIAEAGVSGCDKSVGNLLYTVATKYPANALVHRPVVIQYIVSSKIKTPAQLDAALSFLSTLGPDPLDTAKFEETCGVGVVVSTEEIQSMVTDILKENMEAIVEQRYHINVGSLCGQVRKWHPWGDAKFIKEEIDKRLTEILGPKTEADNVKPVKKKKEKPAKVEEKKTAVAAPAPPSEEELNPYSIFPQPEENLKVHTEIFFSDGNIWRAHNRKDILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVRWMGWEPYKVTYTSDYFQELYELAVCLIKKGLAYVDHQTPEEIKEYREKQMNSPWRDRPIEESLKLFEDMRHGLVAEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLVSLDQYQPYVWEYSRLNISNNVMSKRKLNKLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGMGITRSDNSLIRVERLEYHIREELNKVAPRAMVVLHPLKVVITNLDYGTIIDLDAKKWPDAPGDDASAYYKVPFSRTVYIEQSDFRVKDSKDYYGLAPGKTVLLRYAFPIKCTEVIYGDNSDNIIEIRAEYDPSKATKPKGVLHWVAEPSPGVNPLKVEIRLFEKLFLSENPVELEDWLGDLNPRSKEVIKGAYAVPSLATAALGDKFQFERLGYFAVDSDSTAEELVFNRTVTLRDSYGKAGPK >ONIVA05G06000.1 pep chromosome:AWHD00000000:5:4914904:4920779:1 gene:ONIVA05G06000 transcript:ONIVA05G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQAGMGLTRVVVLIGAGMAGSVVLRNGRLSEILGELQEILDKGEKGKDGEGGGGADMTDALTRQVRNLAMEVKQLASSRGSITVLNGGSGQTGVSGLIVPAATVGALGYGYMWWKGISFADLMYVTKRNMANAVSSMTKHLEQVQTSLAAAKRHLTQRIERLDDKLDQQKALSGQIRDDVTDARLKLENIGSEIKNIKQLVWGLDEKMDSMEAKQNFSCAGVMYLCQFIEQNGGKLPERLEGSKMAGKRFGSQNLIQGLQLAIETGNFDKETFNALKNNSDSR >ONIVA05G06000.2 pep chromosome:AWHD00000000:5:4914904:4919838:1 gene:ONIVA05G06000 transcript:ONIVA05G06000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQAGMGLTRVVVLIGAGMAGSVVLRNGRLSEILGELQEILDKGEKGKDGEGGGGADMTDALTRQVRNLAMEVKQLASSRGSITVLNGGSGQTGVSGLIVPAATVGALGYGYMWWKGISFADLMYVTKRNMANAVSSMTKHLEQVQTSLAAAKRHLTQRIERLDDKLDQQKALSGQIRDDVTDARLKLENIGSEIKNIKQLVWGLDEKMDSMEAKQNFSCAGVMYLCQFIEQNGGKLPERLEGSKMAGKRFGSQNLIQIFKSAMMRC >ONIVA05G05990.1 pep chromosome:AWHD00000000:5:4908708:4914604:1 gene:ONIVA05G05990 transcript:ONIVA05G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAF8] MTDGHHFNNISLGGRGGNNPGQFKLYSGGLAWKRQGGGKTIEVEKSDITSVTWMAIPRSYQLGVSTKEGLFYRFFGFREQDISSLTNFMEKNMRITPEEKQLSVGGHNWGGIEINGNMLSFNVGSKEAFEVSLADVAQTQMQGKTDVVLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFPGDENRPSAQVLWQAILNKADVGSSEEAVVTFDGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSILRLFVLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAVVQRDLTLSDEVLAEKYKDRLENSYQGLIHEVFSKVLRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEYVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFISGKHLKILNLGEAQGRAGGVTAVLQSTDDDAVDPHLERIRNQTGDDESDEEDEDFVADKDDSGSPTDDSGEEGSDASLSGGEKEKSSKKEASSSKAPLKKRKPKGGDAAEGSEKRKPKKKKDPNAPKRAIAPFMYFSKAERANLKNSNPELATTEIAKKLGERWQKMTAEEKLPYVEQSQVDKKRYAEESAAYRGAAAMDVDSGPASD >ONIVA05G05980.1 pep chromosome:AWHD00000000:5:4894362:4898159:-1 gene:ONIVA05G05980 transcript:ONIVA05G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine-guanine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) TAIR;Acc:AT1G71750] MQNLGIPPFLPAGPATAPFHSRKTSSPPPPPSPPASNKSPNPARPRRPRRRGTLTLALPAAAMVGGGAGADAGIDRVLWTEAEIAARVSEVAAELAADLRALREPAVVVGVATGAFLFLADLVRRVDAPLAVDLVRAESYGDGTESSGRPRITSDLKVDVAGKHVVVVEDIVDTGNTVSCLIAYLEKKGASSISVCTFLDKPARRTANFQLVGDGKFYRGFECPDSFVVGYGMDYAELYRNLPYVGVLKAEMYKKDTSN >ONIVA05G05970.1 pep chromosome:AWHD00000000:5:4890079:4892433:-1 gene:ONIVA05G05970 transcript:ONIVA05G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39470) TAIR;Acc:AT4G39470] MAMSFLSTTAAAAASSSQPPLPARRLPRRNPSLPFPLRPPHRLSPFSAPPPEPHRLTYRTHSTSSSSRTPTAAGLLSPVISTSRTLIFLLVASLLSLSGVRPLPSLASPPPPTQQPQETEEQEQQQESEEKQQQQQEEEGVEAEVEEAWLRQDEEEEVEEKEEEEEEADDEVQMYMEILSRDPGDVDALKCALFAKMRRAEWGGALGFARRLREAEPGEVEWRFMEALLHELKGDLAEAERLFNEVLAEKPLLVRALHGLALCMHKRSEGPTVFEMLEKALQLAISEERVPEERNIKLLIAQMHVVKGQLDVASEKLQNLINEDPRDFRPHLCQGIVYALLDKKEEADELFDTYRSLVPDEFPDKSFISDVIQAARVESKDRLQKDFGSEFLSKK >ONIVA05G05960.1 pep chromosome:AWHD00000000:5:4888503:4888925:-1 gene:ONIVA05G05960 transcript:ONIVA05G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNPRLLLRAAASLLRPSSSSAAAAPTPALPPLLRRPPLFFPEGCPHRRAFSTADFGKDVDEVNRKFAEAREEIEAAMDSKETVYFDEEAACARDAAGEALAAFESLLARLPPPDADSLRRSMGLKMEQLKAELKQLDE >ONIVA05G05950.1 pep chromosome:AWHD00000000:5:4886042:4886575:1 gene:ONIVA05G05950 transcript:ONIVA05G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAKEEMRRAAATADSPDAGEPHAIAAHLQGWCRACLHLAALAFLACAFVQTAGRARHDPWDLAFVVAAYASLAALFVVLRRAERLTPESPADDRRWLQRAAWTLSTVLSCLFAYRVARIMPAAMAVAVWAMTASVVVGGLYFLVLNDGDRGSEEDCHVADDGKLVFQKIPREEMV >ONIVA05G05940.1 pep chromosome:AWHD00000000:5:4878918:4884206:1 gene:ONIVA05G05940 transcript:ONIVA05G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAKEEIRRAATTTDSPDAGEPHAIAVHLQGWCRACLHLAALAFLACAFVQTTRPALSDPWDLAFVVAAYSNIAALFVVLRRVKQLTPMSPAKERRRLLRQAWELLTALSYAFAYRVEQTMPAAMAMFVWAMTASVVVGGVYFLFSTMAVDQGIATVQRKQTWRST >ONIVA05G05930.1 pep chromosome:AWHD00000000:5:4878162:4886439:-1 gene:ONIVA05G05930 transcript:ONIVA05G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTATAMAAGMIRATLKQAAEHSGQRPGRALQPPAIVGGRLRREALGAAEDDEERGEAGVGGDDEGEVPWIVARPAGRLDEGAGEEGERGEVQARPAPPLQGSGEEEHKKLSLILAHAECRLSTPSVVPVDFRDRKSAFTLGEKLRYALFSYKDLLVSPLRITKWSDREIDPTDDDGGRHGPDEHGHGGWHGLLYPVRKRVAEGSQQLPGLSQQPPALLRRRHRRELLDAAEDDEERGDVGVGGDDEREVPRVRQRWARRLDEGAGEEGKRGEVQARPAPPLQVDGYGVGFAGVRRVGGGGGTAYLLLGIVHSTQLAGSPWPWMQQQLSSGGLRVGERMIL >ONIVA05G05930.2 pep chromosome:AWHD00000000:5:4878348:4886439:-1 gene:ONIVA05G05930 transcript:ONIVA05G05930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTATAMAAGMIRATLKQAAEHSGQRPGRALQPPAIVGGRLRREALGAAEDDEERGEAGVGGDDEGEVPWIVARPAGRLDEGAGEEGERGEVQARPAPPLQVDGYGVGFAGVRRVGGGGGTAYLLLGIVHSTQLAGSPWPWMQQQLSSGGLRVGERTDSKT >ONIVA05G05930.3 pep chromosome:AWHD00000000:5:4884015:4886439:-1 gene:ONIVA05G05930 transcript:ONIVA05G05930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTATAMAAGMIRATLKQAAEHSGQRPGRALQPPAIVGGRLRREALGAAEDDEERGEAGVGGDDEGEVPWIVARPAGRLDEGAGEEGERGEVQARPAPPLQGSGEEEHKKLSLILAHAECRLSTPSVVPVDFRDRKSAFTLGEKLRYALFSYKDLVILSHTWPTPPSVAPEL >ONIVA05G05930.4 pep chromosome:AWHD00000000:5:4878162:4883841:-1 gene:ONIVA05G05930 transcript:ONIVA05G05930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASISGVAVDAASNQALPSRFRRPAVLARLEIDPTDDDGGRHGPDEHGHGGWHGLLYPVRKRVAEGSQQLPGLSQQPPALLRRRHRRELLDAAEDDEERGDVGVGGDDEREVPRVRQRWARRLDEGAGEEGKRGEVQARPAPPLQVDGYGVGFAGVRRVGGGGGTAYLLLGIVHSTQLAGSPWPWMQQQLSSGGLRVGERMIL >ONIVA05G05930.5 pep chromosome:AWHD00000000:5:4878348:4883841:-1 gene:ONIVA05G05930 transcript:ONIVA05G05930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASISGVAVDAASNQALPSRFRRPAVLARLEIDPTDDDGGRHGPDEHGHGGWHGLLYPVRKRVAEGSQQLPGLSQQPPALLRRRHRRELLDAAEDDEERGDVGVGGDDEREVPRVRQRWARRLDEGAGEEGKRGEVQARPAPPLQVDGYGVGFAGVRRVGGGGGTAYLLLGIVHSTQLAGSPWPWMQQQLSSGGLRVGERTDSKT >ONIVA05G05920.1 pep chromosome:AWHD00000000:5:4875772:4876296:1 gene:ONIVA05G05920 transcript:ONIVA05G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQSSPKEEQHQQCHGGRGGWVFQAIAMLVATAVTTQAAYRARREPWDLAFVLFSYADLGLLFLCLSVYERLPPPPLEEVQEGDSGDDGAAVRWRLKMVVWALSTALSVAFAWRVAAVMPAPAMKAALWGMTSTVAVAGFYLLFVYRPVTISSSEMDTCKHKQSSPKLDQMV >ONIVA05G05910.1 pep chromosome:AWHD00000000:5:4856262:4861460:-1 gene:ONIVA05G05910 transcript:ONIVA05G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALLLLCSEADRIVSGAHENFPENDTGNAALTLTSEANSTSRPAGGGGEECGAELRVSGLMGDESRATREPDELLAAAVLLLPRVPSKAPAGACLEDHIRCCQSARRNMAGGRMCRTQQGGKRYCRAPNFPITTCDTM >ONIVA05G05900.1 pep chromosome:AWHD00000000:5:4852929:4855949:-1 gene:ONIVA05G05900 transcript:ONIVA05G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPHSRFDSAMSYLEMLRSSKVLGNSDEFCQCQACLGRYTLLADEENPRLAMFERRLPFFGCGIGWCCFLLGFLCPLIWYIAALLYYCKYYNRDPRERPGLAASAFLAVIFTAATIVTLSVLLIIIYGVRFA >ONIVA05G05900.2 pep chromosome:AWHD00000000:5:4852929:4855949:-1 gene:ONIVA05G05900 transcript:ONIVA05G05900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPHSRFDSAICLILLMVLAPIFVLAQEFDIGNSDEFCQCQACLGRYTLLADEENPRLAMFERRLPFFGCGIGWCCFLLGFLCPLIWYIAALLYYCKYYNRDPRERPGLAASAFLAVIFTAATIVTLSVLLIIIYGVRFA >ONIVA05G05890.1 pep chromosome:AWHD00000000:5:4851302:4852852:1 gene:ONIVA05G05890 transcript:ONIVA05G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGALLSFLLSYPEFILAAACFLAFAAIRRARDARRRAAPVPVSWPVVGMLPFVVAHLGRLLDAAAAALPELGCTFMFRGPWLVGADFLVTCDPAVFRHCLVANFAGYDKGRDFAEMFDVVGDGLLVADAASWAAKRHLAASVFTSAAFRGFVLSTVERQTRRLLVPFLDHAGGVVELEDVFMRYSLDVSYTVAFAADLDSLSVASAAEPFPPFGEATRVTGEAVLLRHIAPAGWWKLMRWLNVGVERRLADAKAVLDEFVYREIANRRSRPAPAVAGGDDLLCMYMASPIDPAMSDQTLRDAAVGFMFAAKDLIAAALTWLFYMICTHPHVEAKILDELRSLHTTTTAGDVVFDADELRAATYLHAAVLETLRLYPSAPFEEKEAVGDDVLPGGTAVRKGTRVVFCLYAMGRVEGIWGSDCREFRPERWLSTGDGDGGAGKVRQEPSYKFAAFNAGPRSCLGKDLGLSNIKIAAAAIVYNFTVELVAGHVVEPKDSVVLHTKNGLMVRVKRRETA >ONIVA05G05880.1 pep chromosome:AWHD00000000:5:4843500:4849601:1 gene:ONIVA05G05880 transcript:ONIVA05G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNESGIVAVARQRGIDVVLNEESKRETPAVVCFGDKQRFIGTAGAASSTMNPRNSVSQIKRLLGRAFADPELQRDLASFPFRVSEGPDGFPLVHARYLGEDRAFTPTQLLAMVLSNLKGIAEGNLNAAVFDCCIGIPAYFTDLQRRAVADAATIAGLRPLRLFHETTATALAYGIYKTDLPEKEWLNVAFIDVGHASMQVSIVGYKKGQLNMLSHAYDRSLGGRDFDEVLFKHFADKFKDEYKIDVYQNARACVRLRVACEKLKKMLSANPEAPLNIECLMDEKDVRGFIKREEFEQISSPVLQRVKAPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIRIITEFFGKEPRRTMNASECVARGCALQCAVLSPTFKVREFEVNDGFPFSIALSCKPDSENTESEQTIVFSKGSPVPSAKTVTFYRSNTFAVDVVSVDADDLQMAKKISSYTIGPFQSSKPEKAKVNVKACLNIHGIVSIESAMMLEEEVDVPVATTNETLKDDTKMDTDDALGDPAPGTDENMQESKCSADATHGAAENGKPDSEEISAPMDTDAKAEPLIKNVKKIDVPVSGLVYGALGSEELVKASENEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYTDFVMSEYKEGFIAKLQEVEDWLYEDGEDETKGVYIAKLEELKKVGDPIEIRYKEWAERSSSINQLVHCINGFKEVALSNSQAFDHIDMSEKQKVLDECSEAEIWLIEKQQQQDALPKHADPVLLISDMKKKAEALDRSCRPIMSKPKPAPKPQTPPPPTPPTESPTTPEPQTPEQQQQSNGAGEAEEPTSEGGAQDQEPTAEQMDTDKPDGWAEPSA >ONIVA05G05870.1 pep chromosome:AWHD00000000:5:4841431:4841628:1 gene:ONIVA05G05870 transcript:ONIVA05G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLPGRRLSGRRSVKGDHDHPHGGGAPATAATARWIDGKAVDQAVAYALMAAALVATYLLH >ONIVA05G05860.1 pep chromosome:AWHD00000000:5:4832132:4835104:1 gene:ONIVA05G05860 transcript:ONIVA05G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPSGSVAAAAAAAAAGEEEGAMVEAVAEDGGAESRITALLFDVSQQVQEALQGMLKMTGEIEQCGAEIEAEIERAKEAVADKGRALDDDRERFQKAAVAALNILSGGAAGDI >ONIVA05G05850.1 pep chromosome:AWHD00000000:5:4820045:4831243:-1 gene:ONIVA05G05850 transcript:ONIVA05G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGEFRFFLSCDISLPLAFRVDRLLHYPTPTQPSPPQDCTTLATNKKVPELVVECKLYIDGIQFGLPVSTRLESSGPPYFWNEVMTLTAKYRDLTSLSQLAFTVWDMSSGEDGNIVGGATIFLFNNKKQLKTGRQKLRLWPQKEADGRVPTTTPGKVVPKNERGEIERLERLVNKHERGQIQHVEWLDRLFFRAMDKAKEKCERTENLYPSLVVEFCSFEHRVVFQESGANFYAPAPVLLSNQLVTVWDPELGRTNPSEHKQLKLARSLTRGIIDKDLKPSSNERKCLQRIIKFPPTRSLQVDEKQLVWKFRFSLMSEKKALTKFVRAVDWSDIQEAKQAVELIRKWETIDVADALELLSPDFESEEVRAYAVNILERADDEELQCYLLQLVQALRFERSDESCLAHFLVKRAVSNIEIASFLRWYVVVELRDTAYARRYYSTYDLLENGMMKFARDDGDEDGFRLWQSLTRQTELMAQLGSIMKDVKQVRGSAQKKIDGLRQLLSGVFSELTNFDEPIRSPLAPTVLLTGVIPQESSIFKSALHPLRLAFKTANGGISKIIYKKGDDLRQDQLVIQMVSLMDRLLKLENMDLHLTPYRVLATQPDEGMLEFIPSSSLAQILSEHRTITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYIMGVGDRHLDNLLLTDDGRLFHVDFAFILGKDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFNLMRRSNIPDITNEENAGLKLQEKFRLDLEDEEAIHFFQDLINESVSALFPQMVETIHRWAQYWR >ONIVA05G05840.1 pep chromosome:AWHD00000000:5:4806289:4807708:-1 gene:ONIVA05G05840 transcript:ONIVA05G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRVAVAPPDAGDAADGKPRGGAAALFKVPRLLVGMAAAAAAARPCECDSPARSPTSPLDLRAFAAPPLLRSPRSWDARRAGLGGLIDDGLAEPPGAAAMSRLLMPQMRPTKPRPCGPAQPELGNAVGAAAGMSVPCSSRFYGDVKSGPEVTVAGAAQLRVNGGAHAAAADLGKFPATGSLPASIGRPPPPPRYIGSVSATVVEQSEDYTRIIARGPNPKTTHIFGDCILEPCTESYWLVKLSGSGDELRRLCSSCKKNLDGSDLCFYRGEKAFCSGDCREQEILIEDEEESNTAVSSPISIDSSLSFHDDLFMAGMAVLDMSTSSPHA >ONIVA05G05840.2 pep chromosome:AWHD00000000:5:4806289:4807690:-1 gene:ONIVA05G05840 transcript:ONIVA05G05840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRVAVAPPDAGDAADGKPRGGAAALFKVPRLLVGMAAAAAAARPCECDSPARSPTSPLDLRAFAAPPLLRSPRSWDARRAGLGGLIDDGLAEPPGAAAMSRLLMPQMRPTKPRPCGPAQPELGNAVGAAAGMSVPCSSRFYGDVKSGPEVTVAGAAQLRVNGGAHAAAADLGKFPATGSLPASIGRPPPPPRYIGSVSATVVEQSEDYTRIIARGPNPKTTHIFGDCILEPCTESYWLVKLSGSGDELRRLCSSCKKNLDGSDLCFYRGEKAFCSGDCREQEILIEDEEESNTAVSSPISIDSSLSFHDDLFMAGMAVLDMSTSSPHA >ONIVA05G05830.1 pep chromosome:AWHD00000000:5:4801005:4804527:-1 gene:ONIVA05G05830 transcript:ONIVA05G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHHLLLSPPPPHPRLSLVTSRRRPRAGHVAAACSPSPSALAAGRRAVLLVGVSVLPLLRLRDAAFAAAAARPPSTTTVDLVTDRIDTAKTEETQPEEPSAEESLAEVKVPPAVNPLAGLLNAIAVIASGVFAGLFGASQREKEALQSTVSTMEIKLAENEAAMSMLRENYEKQIWNEHAEQKKQARMFQEKEASLLDQLTLTKRTVTSLNEEVRREKELVEQLKQEIHRLKSSIAQAEDDKHVFEGKLREKLEALDSLQDKVNLLSQEVNSKEEAIRELSSSLSSKEEDYQKLQLIYNETEASLEYANSKIEQLEEDYSATKDDLNSKMSSIDSLNKEVQTLYTAKTGAEEKISELKKQYADLAASSEMRASCDSELLIEKDNLLNQLEEKLSAALSDTSKNKIIIAELNNELDTNITMLDNEVEAHKKLSEILQSTEGALTDYRDKVFNLSEELNRVKISNQQLITQITKLTDESNITKQVLSNKIAEAEAVSKVLSDELASVRDVLQKTQEKLDVTSNQLVSTMEAREDLNKELLDAYKKLESATDELVRERKINATLNRELEALVEQSIVESEARQALQADLDEVTNSQKEVDESTQFLSERLDTSNSRISSIEEKKEMLSEALEQQKRSTMEAQKDMEDAQNLIKMLGTERENFEIRSKKLEEELATAKGEILRLRRQISASGYLRTELAEASVTSNTSQPEQDVNDPDQNSNNTDAGDTRSPTRIYRRRKTKRAT >ONIVA05G05820.1 pep chromosome:AWHD00000000:5:4789136:4789948:-1 gene:ONIVA05G05820 transcript:ONIVA05G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPMLLLPLHARPRSINKFKQVLPQRQEWREHAALNSLRREIESKEEKSRRKEYVVEKPFNASYFIKLSEKFEGGSGVSMGSVDAWGTHVESAPERRGGDWGDRVTAEERRVHLMCVGRSKVGLIGPVIFWWPVQLGNEWNIPF >ONIVA05G05810.1 pep chromosome:AWHD00000000:5:4774615:4775856:-1 gene:ONIVA05G05810 transcript:ONIVA05G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEVVLVAMVVVVVVSWVVAAGGEAAAAAAVVVDPAWRFPSARLRDAYVALQTWKQTAIFSDPKNLTADWVGPAVCAYTGVFCAPLPGAGGAPGEVAVAGVDLNHGDIAGYLPAELGLLTDLALLHLNSNRFCGLVPDALRRLRRLHELDLSNNRLVGAFPAAVLDLPALRFLDLRYNDFEGAVPRQLFDLPLDAIFLNHNRLRFALPDNFGNSPASVIVLAGNHFGGCLPASLGNMSATLNEILLINNGLDSCLPPEVGLLREVTVFDVSFNSLAGPLPPEVAGMRKVEQLDVAHNRLAGAVPEAVCDLPRLKNFTFSYNYFTGEPPSCARVVPAADGDRRNCLPNRPYQRTPRQCAAFYAAPPVDCAAFQCKPFVPSPPLPPPPPPAYPGPLPPVYPVPYASPPPPPLYR >ONIVA05G05800.1 pep chromosome:AWHD00000000:5:4760780:4762252:-1 gene:ONIVA05G05800 transcript:ONIVA05G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAD3] MARRQQAHFLIVTYPSQGHVTPARHLARRLVHGAGARATVCVPVSAFRKMFPADDGEVVVEEEGGAVAYAAYSDGYDGGFDRAVDDHTRYMAQLSTVGARTVAGVLRRLRGEGRPVTCAVYTLLLPWVAGVARDHGVGAVAVFWIQPTTALAAYYHYFRGGRDAVVAAAASGDASAEVNLLPGLPPLRVRDIPSFLAITSDDDPFAFVLSEFAELIDTLERGGGGGGELPTYVLANTFDAMERDALASLRPHIDVVAVGPVLSFLHDADETKTASSPNDLFDHDGGGYLDWLGTKPARSVVYISFGSSSVMSKNQVAEIAAAMAESKKPFLWVIRKDNCKDDDDDNEAIKKLVAAAAAADTGGGGMAVEWCDQARVLSHASVGCFVTHCGWNSTVEAVACGVPVVAAPQYSDQGTSAWVVERIGVGVRAAARAGDGVVEAAELGRCVGAAMSEAVAGRAAAWREEARAAVARGGASERNLREFMRRFVPK >ONIVA05G05790.1 pep chromosome:AWHD00000000:5:4752465:4753416:-1 gene:ONIVA05G05790 transcript:ONIVA05G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARVLGGGGGGGGDGETTVVLAHGYGGSSHIWDDVAPALAKTFRVVVFDWSFSGDVVVDDDDDAAAVSEDISCSYFGFADELVAMMDELALTAVVFVGHSMAGMIGCIASVARPELFRHLVLVGASPRYINDDGDGYVGGFERGEVDAMLAAIEADFAAWAPLFAEAVVGPAPSPGAGAVAKFAKQLGRMRPAAALRVMRAVLTCDVRAVLRDVAAPCTIVHCARDAVAPLAVARYMQRAMARGVDGAPAPAVVVMDSSGHFPQLTAPMEFVRVMEAILLDH >ONIVA05G05780.1 pep chromosome:AWHD00000000:5:4747985:4752490:1 gene:ONIVA05G05780 transcript:ONIVA05G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSRRGAAAAAEEARIGTSNVFAALDTLKRRKKPSSSSKKHAEKEKEVLWAPAPLTTRSWADVEDDDDDDYFATTAPPRPVWGTHHHAADAHDDDHDEQAALEQELESEDEEVDDDAEDEHEHETEDATPAEPAMNKAAAPPAPPKDTERQLSKKELKKKELEELDAILAELELSSKSNNDAQNETNGKKGAEQAADGENKEGAPAPAESKSSKKKKAKKDKSAKEAKETQELNGGVEEAAGAEPDEEVASMDVKDRIKKVASMKKKKSSKEMDTAAKIAASEAAARSAKLAAAKKKEKSHYNQQPVR >ONIVA05G05770.1 pep chromosome:AWHD00000000:5:4736674:4737710:1 gene:ONIVA05G05770 transcript:ONIVA05G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEMIQGMDVWEGWHG >ONIVA05G05760.1 pep chromosome:AWHD00000000:5:4710938:4714006:-1 gene:ONIVA05G05760 transcript:ONIVA05G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDLAAWILGMPSALSPPSLFLDTWSTSVGPTCDARNLWPVCSAFLNLLDDVIPGTTEGGGFHASSGRLVAWPFYWAAQGCFLFVVWIIAHQCGHHALQDDTLGLVLHLWLLAPYFSWKYSHQRHHSNTSSQERDEVQVRSAVELPVRVQVQQRPVARLLLLGMQLTVGWPMYLVFNTWGRWYPRFASHFDPSGAIYMRRERVFIAISDIGMLAVSLAL >ONIVA05G05750.1 pep chromosome:AWHD00000000:5:4686045:4688287:1 gene:ONIVA05G05750 transcript:ONIVA05G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMAAAFAHFLQGPNLVASLSQSASQPSPFPSHPVIPFQPPSFSPFCTQPPGPPAPPPSPPNTAKAKPSSSRSARRHRVTASPEAAVDPKRKMVSILGLFQ >ONIVA05G05740.1 pep chromosome:AWHD00000000:5:4685804:4690801:-1 gene:ONIVA05G05740 transcript:ONIVA05G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAC4] MPLRAASFSTCRNTSSGCVAADCADDLAAPSGAGTDQSCNFRYFNPCGRRDVSLKNFGIGDARLAEDIRKETNIIVHMAATVNFAERYDTALAINTMGVKHMIDFASKCTNLELVLLVSTAYVNLMKQGIMMEKPLQQWRSYDGRSDLDISEEMAFKDEKLKELVYNNASERTIRHTMKKIGAQRAKKFGWANAYVFTKAMGEMLAYEQNSRLPIVIIRPSATTSTWKEPFPGWIEGAKAIDTWITNYGKGTLKFFPTDVATVIDIVPADIVVNAMLCIISYHPQGTADFIYQIGSSMSNPIKLGQMSQTTYKYFSQIPFVGAKGDVVKVKQPNFLATMASFYETMDKHYKMPLQDMLRRGLSTTEDRHIYNHLKREYDFTVAVAEVYWPFTISKTRFDDSKMQNLMGMVTERDRELIPCNIKFINWDKYFMETHIPGDQQQLLGKQSHGDGVQIWSSKALPWLCLGAMEVELAGPAAAYRRGKTMEVGMGSPGFHTDVPPSAASTPAMGEEAGCCEREGNGEFVTEGEHGRGAKLWHAWEGDCGRG >ONIVA05G05740.2 pep chromosome:AWHD00000000:5:4685804:4690801:-1 gene:ONIVA05G05740 transcript:ONIVA05G05740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAC4] MPLRAASFSTCRNTSSGCVAADCADDLAAPSGAGTDQSCNFRYFNPCGRRDVSLKNFGIGDARLAEDIRKETNIIVHMAATVNFAERYDTALAINTMGVKHMIDFASKCTNLELVLLVSTAYVNLMKQGIMMEKPLQQWRSYDGRSDLDISEEMAFKDEKLKELVYNNASERTIRHTMKKIGAQRAIDTWITNYGKGTLKFFPTDVATVIDIVPADIVVNAMLCIISYHPQGTADFIYQIGSSMSNPIKLGQMSQTTYKYFSQIPFVGAKGDVVKVKQPNFLATMASFYETMDKHYKMPLQDMLRRGLSTTEDRHIYNHLKREYDFTVAVAEVYWPFTISKTRFDDSKMQNLMGMVTERDRELIPCNIKFINWDKYFMETHIPGDQQQLLGKQSHGDGVQIWSSKALPWLCLGAMEVELAGPAAAYRRGKTMEVGMGSPGFHTDVPPSAASTPAMGEEAGCCEREGNGEFVTEGEHGRGAKLWHAWEGDCGRG >ONIVA05G05740.3 pep chromosome:AWHD00000000:5:4688117:4705421:-1 gene:ONIVA05G05740 transcript:ONIVA05G05740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAC4] MELAGVAERFHSRTVLITGATGFIAKLLVEKILRLQPGVKRLYLLVRAADQVSANRRVESEIMQLPIFQPLREKYQTHFSSWFWDKVFPLAGDVSLKNFGIGDARLAEDIRKETNIIVHMAATVNFAERYDTALAINTMGVKHMIDFASKCTNLELVLLVSTAYVNLMKQGIMMEKPLQQWRSYDGRSDLDISEEMAFKDEKLKELVYNNASERTIRHTMKKIGAQRAKKFGWANAYVFTKAMGEMLAYEQNSRLPIVIIRPSATTSTWKEPFPGWIEGAKAIDTWITNYGKGTLKFFPTDVATVIDIVPADIVVNAMLCIISYHPQGTADFIYQIGSSMSNPIKLGQMSQTTYKYFSQIPFVGAKGDVVKVKQPNFLATMASFYETMDKHYKMPLQDMLRRGLSTTEDRHIYNHLKREYDFTVAVAEVYWPFTISKTRFDDSKMQNLMGMVTERDRELIPCNIKFINWDKYFMETHIPGVMDYESRELTRARL >ONIVA05G05740.4 pep chromosome:AWHD00000000:5:4690985:4705421:-1 gene:ONIVA05G05740 transcript:ONIVA05G05740.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAC4] VHLAELLLRLLYCQAHPHRSCSRNHHPSRLLDQSGHGARRCCRALPQPDRTHHGRHRFHSETTCGEDPAAAAGCEEALPSRARCGSGFREQESRIRGLSGVL >ONIVA05G05730.1 pep chromosome:AWHD00000000:5:4665731:4666779:1 gene:ONIVA05G05730 transcript:ONIVA05G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGGSSLCFLKVSNFVSGYRSVALPSTSFIKGVWRPQVGDARRGVRAGAVRVSVVVCVVPAQPEVRRRVPRRAGEPDGGGGEAAGGDREGEKQFRMEVATISSTHHLNLIRLISFCSEGRHRLLAYEFMKNGSLDAFLFTDAPGCKMPWPTQFAVTVGTARGITYLHEKCRDCIIHCNIKPENILLDEHHNAKVFDSAATASHASTLLKSARRSSLGGGRETRRSPGTLMATAPPSTKERKMRRGGNVGNKGQMSPTKIFSTPI >ONIVA05G05720.1 pep chromosome:AWHD00000000:5:4652229:4653428:-1 gene:ONIVA05G05720 transcript:ONIVA05G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEIAAVPNISEGLAKISTKMMDLAAQLQALAAPSAEQAMSLGAAERSDRQAMTFLRPRQHEFKQRLRGANSRRRMSCLPEPKAAVPRGRLPGVMPLISAETRLGGVVLGMASTAPLLPKPPSTTTAKRHPSPCLQAPQPVGLSPVTAVRWSLPPSLLAALQQSEPLETTREKLRATDDTPSRAAVPTFVTPTLETRRRDQGRWRACPAGTRPRCSAWQCRRGYWRSQRRQRRRLRVPLPWRRSTVRASVRFPGDAIMRIGFHSGRRKFRRRLEQSHGKRSGFFGRQVWHDLLANRALRADGPARALDWLPRRRGDGADRSESERWLEKLAIRSQLAASLLSAWPREEKDRVSVFFQLGWLVWSGWFPRWPMNHRWFFLMFWAMNHASKLLLLPLLLG >ONIVA05G05710.1 pep chromosome:AWHD00000000:5:4646023:4651391:1 gene:ONIVA05G05710 transcript:ONIVA05G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L23/L15e family protein [Source:Projected from Arabidopsis thaliana (AT4G39880) TAIR;Acc:AT4G39880] MGSRLGRRVIHFANLPLKLMLPPAPLSSVQEFAVKTVPSASKVDIRRCLESMYGFSVAEVRTLNMEGKKLRRGPFLAAKPDYKKAYVTLRAPLPVSPDLFPIGLVLGERERKASAAAARRKAVEGAEVEGEGKGKHWMEDEKVGFSRAGRGKVVYGNPGRLGKKRNGGTKVKDRAGEEVGKFPWSGTRLATEKKPARKQQYAPKKKGIVLKQKSWKGSVHRQPKKVEA >ONIVA05G05700.1 pep chromosome:AWHD00000000:5:4634216:4635037:-1 gene:ONIVA05G05700 transcript:ONIVA05G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAERHPGGGFVVDSDNEASGGVPNSDLPLGSGFVLDSKDEVCGGDPESELPPEGTFVPDSEGEEYGAVPNSELPPGGGFVPNFEDEMCGGDPDSPLPPDGCVVPDSEEEACGGDPDSELPPDGCVVPDSEEEEACGGDPDSELPPDSYVVPDSEDEAYGGDPDSQLPPDGCVVPDSEEEACGGDPDSELPPDRCVVPDSEDETCGGDPDSELPPDGCVIPNSEDEACGGDHDSELPPDGVAAGDEGVDEFAEIRKSMLRILLPLYFPIPHK >ONIVA05G05690.1 pep chromosome:AWHD00000000:5:4619009:4620426:-1 gene:ONIVA05G05690 transcript:ONIVA05G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPDKAVERLSQKLVHPSSPTPSAPLRLSWLDRYPTQMALIESLHVFKPDPARDAAGQGLAPARAIETALARALVEYYPLAGRLAVSRDSGELQVDCCGGAGGHGGVWFIEAAVPCRLEDVDYLEYPLAISKDELLPHPRPRPTRDEEDKLILLVQVTTFACGGFVVGFRFSHAVADGPGAAQFMGAVGELARGGERITVAPSWGRDAVPDPAGAMVGALPEPAGASRLEYLAIDISADYINHFKSQFAAATGGARCSAFEVLIAKAWQSRTRAAAFDPSTPINLSFAMNARPLLLPRGGAGFYGNCYYIMRVASTAGRVATASVTDVVRMIREGKKRLPSEFARWAAGEMAGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVTAFHDAMVDIN >ONIVA05G05680.1 pep chromosome:AWHD00000000:5:4606390:4606674:1 gene:ONIVA05G05680 transcript:ONIVA05G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAIASASASICSAYRHLSATPADDNGDDNGARPLSSSEELPKSSSSSRRMTKPAELRRRCYAVLKQQRTRLYILRRCVSMLLCWHEHDLSD >ONIVA05G05670.1 pep chromosome:AWHD00000000:5:4594614:4599231:1 gene:ONIVA05G05670 transcript:ONIVA05G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPVESETRSEETDNFPLLADHMENTGHHAHAVDIPWDSSPSTSRRDNHNGFDQLPRILEGSPGTSTPSNSQNGPLARRDDNRGRRQPSPLNSGCWISVELVVNVSQIIAAICVLSVSRNEHPHSPLFEWVIGYTVGCTATLPHLYWRYLHRNLPTTGQEPTVQNIPPNNTPEANSYGVTGTNGVSRNNEATVNPRFQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDLNQNRGASAETINALGTCKFKSKKTRDGDGNEVGVGVVAAGTNKERVVSAEDAVCCICLARYVDNDDLRELPCAHFFHKDCVDKWLKINALCPLCKAEIDGVSTSPPAIGFGRRHSDNRVGNDIESQL >ONIVA05G05670.2 pep chromosome:AWHD00000000:5:4594771:4599231:1 gene:ONIVA05G05670 transcript:ONIVA05G05670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPVESETRSEETDNFPLLADHMENTGHHAHAVDIPWDSSPSTSRRDNHNGFDQLPRILEGSPGTSTPSNSQNGPLARRDDNRGRRQPSPLNSGCWISVELVVNVSQIIAAICVLSVSRNEHPHSPLFEWVIGYTVGCTATLPHLYWRYLHRNLPTTGQEPTVQNIPPNNTPEANSYGVTGTNGVSRNNEATVNPRFQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDLNQNRGASAETINALGTCKFKSKKTRDGDGNEVGVGVVAAGTNKERVVSAEDAVCCICLARYVDNDDLRELPCAHFFHKDCVDKWLKINALCPLCKAEIDGVSTSPPAIGFGRRHSDNRVGNDIESQL >ONIVA05G05670.3 pep chromosome:AWHD00000000:5:4594679:4599231:1 gene:ONIVA05G05670 transcript:ONIVA05G05670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPVESETRSEETDNFPLLADHMENTGHHAHAVDIPWDSSPSTSRRDNHNGFDQLPRILEGSPGTSTPSNSQNGPLARRDDNRGRRQPSPLNSGCWISVELVVNVSQIIAAICVLSVSRNEHPHSPLFEWVIGYTVGCTATLPHLYWRYLHRNLPTTGQEPTVQNIPPNNTPEANSYGVTGTNGVSRNNEATVNPRFQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDLNQNRGASAETINALGTCKFKSKKTRDGDGNEVGVGVVAAGTNKERVVSAEDAVCCICLARYVDNDDLRELPCAHFFHKDCVDKWLKINALCPLCKAEIDGVSTSPPAIGFGRRHSDNRVGNDIESQL >ONIVA05G05670.4 pep chromosome:AWHD00000000:5:4594531:4599231:1 gene:ONIVA05G05670 transcript:ONIVA05G05670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAHPSLPPHPKKNFKKSISKDSYPKKRADFFPFSKYTSPLQRKGRRTRVKSPTFGVLLAPARCFSRRCNQLNSYATFWLMAVPPVESETRSEETDNFPLLADHMENTGHHAHAVDIPWDSSPSTSRRDNHNGFDQLPRILEGSPGTSTPSNSQNGPLARRDDNRGRRQPSPLNSGCWISVELVVNVSQIIAAICVLSVSRNEHPHSPLFEWVIGYTVGCTATLPHLYWRYLHRNLPTTGQEPTVQNIPPNNTPEANSYGVTGTNGVSRNNEATVNPRFQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDLNQNRGASAETINALGTCKFKSKKTRDGDGNEVGVGVVAAGTNKERVVSAEDAVCCICLARYVDNDDLRELPCAHFFHKDCVDKWLKINALCPLCKAEIDGVSTSPPAIGFGRRHSDNRVGNDIESQL >ONIVA05G05660.1 pep chromosome:AWHD00000000:5:4588341:4592663:1 gene:ONIVA05G05660 transcript:ONIVA05G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDLLMEKVLCMNIMSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKILWTWYEPYLKDDEEFSPGSNGRMTTMGVYVRDLILGQYYFDSLLPRVPLPVIRQVTSNLEKMKLPTKLSGITGESNRHGSEDTARRPPSVKASLSVSFGQRAPHRASTRESSPVRRTVTHDGHRKSSSPSRRSGSREVPDRDRSSRDRSSRDYDRSSHDRDRDHSSRDYDRSSHDRDRDRDRSSRDYDRSSRDRDHDRDIRDYHRRDRDSRDRDYRSRHSSERQDDRRDRDREGSRHRRSSSRHRSRSRSRSRSRSRSRSRSRNEERSSPFGNAGKEKTAAISSNLAKLKDLYGDVTEKKDDGEAPRRDSCAEEVIRLGGPRWR >ONIVA05G05650.1 pep chromosome:AWHD00000000:5:4586851:4587222:1 gene:ONIVA05G05650 transcript:ONIVA05G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGPAAIPIYEVEPLLLLFNLFTLIGTIGSRDNFVVDQPATGLDKAVIAFSIISEIVTGKVALTQLNIETSIPVTEIESLVLFNVSSTIATPVTGRHSPISHWPPLPRSPAGHVGRKEKKE >ONIVA05G05640.1 pep chromosome:AWHD00000000:5:4584273:4584847:-1 gene:ONIVA05G05640 transcript:ONIVA05G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANMNSRVVGPAMIWNGDVRLEDQGLSSRVATSDGSAIVFGDIVPPMWTVGQTFKGKYGQF >ONIVA05G05630.1 pep chromosome:AWHD00000000:5:4583496:4583927:1 gene:ONIVA05G05630 transcript:ONIVA05G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVKSIKPFEEDCEQLLKDAHQRTGCIVKVLAIHPITGERHEVIVDSTNDGDVSTLAEDHFTVCVVRRYLRLKGRLGEVTLRMLSEELVGAMRLADVKKGSQTCCAEAVQGSEDVHRAGAAARVGSPRLFLPCSSSPGDNT >ONIVA05G05620.1 pep chromosome:AWHD00000000:5:4563849:4568337:-1 gene:ONIVA05G05620 transcript:ONIVA05G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAA8] MSVETERSSTESSAASGLDFEDTALTLRLPGSSSSSSSSSSSSSSPSEPDRKRASATDDDPDNWSIWPWTARRTCARWTSRRTGATTSCSPPSRTSKLGNEEMKLVDAVSGNEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKSSEAHQDLLDESQISAPVFEKHERKPSLLAEISQANAAITQM >ONIVA05G05620.2 pep chromosome:AWHD00000000:5:4566072:4568337:-1 gene:ONIVA05G05620 transcript:ONIVA05G05620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAA8] MSVETERSSTESSAASGLDFEDTALTLRLPGSSSSSSSSSSSSSSPSEPDRKRASATDDDPDNWSIWPWTARRTCARWTSRRTGATTSCSPPSRTSKLGNEEMKLVDAVSGNEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKSSEAVNLVATVTFCMVQQRIFGQNPKFSPFSHAAPRSAR >ONIVA05G05610.1 pep chromosome:AWHD00000000:5:4546156:4548343:-1 gene:ONIVA05G05610 transcript:ONIVA05G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPSAQEMTYKDHVQRRHEEKGCLYACLFTLCCCFCCYETCECCLETLCCCC >ONIVA05G05600.1 pep chromosome:AWHD00000000:5:4544286:4544578:-1 gene:ONIVA05G05600 transcript:ONIVA05G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDSARHRVGDHVVLPLPFGQVTEHIEHEVVAVVYGSSAPHASWRNKLMDVDGEVDNARARATCGHGLLRRREVEDAAPPLLSDAPCFVTGK >ONIVA05G05590.1 pep chromosome:AWHD00000000:5:4541590:4543226:-1 gene:ONIVA05G05590 transcript:ONIVA05G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMTSSSTSPTSPLAAAAHNGVAAAYFNFRGAERVPESHVWKGMHEKDTAPVAAADADGGDAVPVVDMSGGDDAAVAAVARAAEEWGGFLLVGHGVTAEALARVEAQAARLFALPADDKARGARRPGGGNTGYGVPPYLLRYPKQMWAEGYTFPPPAIRDEFRRVWPDAGDDYHRFCSAMEEYDSSMRALGERLLAMFFKALGLAGNDAPGGETERKIRETLTSTIHLNMFPRCPDPDRVVGLAAHTDSGFFTFILQSPVPGLQLLRHRPDRWVTVPGTPGALIVVVGDLFHVLTNGHFHSVFHRAVVNRERDRISMPYFLGPPADMKVTPLVAAGSPESKAVYQAVTWPEYMAVRDKLFGTNISALSMIRVAKEEDKES >ONIVA05G05580.1 pep chromosome:AWHD00000000:5:4533901:4539132:1 gene:ONIVA05G05580 transcript:ONIVA05G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSPSPSPPHGAAAVKQPASLPDARLTPSSVVAAAAVGIGVTPPRRSCDDAASCVVNDVDAFARTIASIRSKPASAAAAAASSSSDGGGDHLATVLAHYAARWLPDVASSPSGRFLLPPQSPTATWIRKRLLLESLVAALPPDGGDGDDGGGGVTCDFLLRLLRAGSMAGADAALLADLEARAARRLDQASLGAVMIPAFRAAAGDAPGAGATLLDVPLVLRLVRGFLREGGKAGAGGGGGAAAACRVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARAADDGLYRAVDTYLKAHPHAGKEERRSLCRLIDARKLTAEAAAHAVQNERLPVRCVVQVLFSEHGSKLTRLAEWTTGSFRSLQSRSPADLIVTGGGANGGARCPSKREVAAQHHELRRLREDVSRLQVQCHALQAQVDRLSSERRRRPGGLFKLLFGGGGGAGATGAVVVDDSDSGLDRTPLSGKKGVVVRATAAAAAASTPASGTPAVARWRRSHS >ONIVA05G05570.1 pep chromosome:AWHD00000000:5:4522973:4525031:1 gene:ONIVA05G05570 transcript:ONIVA05G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAA3] MNSLDDAPKPHFVLIPFMAQGHTIPMIDMAHLLAKHGAMVSFITTPVNAARIQSTIDRARELNIPIRFVPLRLPCAEVGLLDGCENVDEILEKDQVMKMTDAYGMLHKPLVLYLQEQSVPPSCIVSDLCQPWTGDVARELGIPRLMFNGFCAFASLCRYLIHQDKVFENVPDGDELVILPGFPHHLEVSKARSPGNFNSPGFEKFRAKILDEERRADSVVTNSFYELEPSYVDSYQKMIGKRVWTIGPMFLCNTDRSTIADRGAKRHQLIKSILEEIALGLEASKRPFLWVIKSDNMPSETDKLFLPEGFEERTRGRGLIIQGWAPQALILSHPSVGGFVTHCGWNSKIEGVSAGLPMITWPHCAEQFLNEELIMNALKVGLAVGVQSITNRTMKAHEISVVKRDQIERAVVELMGHETGAEERRARAKELKEKARKAIDEGSSYNNVRQLIEYISSRGTRTDVQ >ONIVA05G05560.1 pep chromosome:AWHD00000000:5:4516166:4533857:-1 gene:ONIVA05G05560 transcript:ONIVA05G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVEVGVWSNDQSSNYEMKTVENGIMSTGGASNVVKPAGTTQALTTHTHPCKHTYANLTLINIFKDWAKEILKIDEVTIGASLSTASYLPQSRLTTGLSKDGLAHWTLGQAIIYMGCTCTTTISAAGAAAAAVVGDPELTAGSRLVSTWVVGQADNFTVVDTHRLIANLASLTEGHVQPDFIGRKVVSAMAWYVGIRNKKKRIRWVPSSKEAARPNLRTSVASMEAVATLYFTCTRGRASAGESTSPSPSPLP >ONIVA05G05550.1 pep chromosome:AWHD00000000:5:4514493:4516055:-1 gene:ONIVA05G05550 transcript:ONIVA05G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HAA1] MAAAPLHFVLVPLPAQGHVIPMMDMARLIAGHGGGGARVTVVLTPVMAARHRAAVAHAARSGLAVDVSVLEFPGPALGLAAGCESYDMVADMNLFKTFTDAVWRLAAPLEAFLRALPRRPDCVVADSCSPWTAGVARRLGVPRLVFHGPSALYILAVHNLARHGVYDRVAGDLEPFDVPDLPAPRAVTTNRASSLGLFHWPGLESHRQDTLDAEATADGLVFNTCAAFEDAFVRRYAEVLGGGARNVWAVGPLCLLDADAEATAARGNRAAVDAARVVSWLDARPPASVLYVSFGSIARLNPPQAAELAAGLEASHRPFIWVTKDTDADAAAAAGLDARVVADRGLVIRGWAPQVTILSHPAVGGFLTHCGWNSTVESLSHGVPLLTWPHFGDQFLNECLAVDVLGAGVRAGVKVPVTHVDAVNSPVQVRSGEVASAVEELMGDGAAAAARRARARELAAEARAAMADGGSSARDLADMVWHVARRRDMVVVDPPPPPSPGGIAGGHGKMVSPSVASEVA >ONIVA05G05540.1 pep chromosome:AWHD00000000:5:4512667:4513200:-1 gene:ONIVA05G05540 transcript:ONIVA05G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAVDLQLDLAGRDGDGHNWWLWPAIAKREEDGRNEEGDRVVFVTEKANPPRPVAFIHV >ONIVA05G05530.1 pep chromosome:AWHD00000000:5:4509534:4510706:-1 gene:ONIVA05G05530 transcript:ONIVA05G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDSRDGRRGWIPPPAEKLTDDLLVEILSRVPYKSLCRSKCVSRRWRRVISHPDHRHLLPRYHLGDAIVGFFYSDTFTNVTGEGRPFVDPSLPFLPKCEFLNVVDSCNGLLLCRCWRLADPRRFDYLVVNPATEQWVILPDSGWSDKVQTARLGFDPVVSSSHFHVFEFVEDGAGDADGNVDDDDDFDGHVKGVEIYSSVTGEWSHKDNGWDWEIRIRDEWNSVFFDGVLHLITLEYVVAAVDVEGNAWRTIPMPQSLVEPFDGIGEGFIGLSQGSLYFVNTDHDEPYKVSVWVLEDYSSEQWIWKHTVSHLHLFRTKRLLFGHDYKVVSIHPEGNIIFLVLPHSKILMSYEMESREVCFICGIGGSSDWLLYLPYVPLYSESLADGH >ONIVA05G05520.1 pep chromosome:AWHD00000000:5:4505656:4506335:1 gene:ONIVA05G05520 transcript:ONIVA05G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGIPSPKRDSSSSTARFLLTRCHRRPPSLPRPSPVVFAVAATAAPIPHRHRAHPPSTPPRPSPTAAVAVPRRRVCHPLPPPLSRPSPAVAVAVLIYKGQLQGANRACRGAPPSTGCPAISSRNHLIPGRYHPIPRKYHAIYGRNHLIPDKYHMIPREYHTIRGRNRLIHDRFHMIPREYHAIRGRNRMIPDKYHMVLVSIT >ONIVA05G05510.1 pep chromosome:AWHD00000000:5:4501006:4502177:1 gene:ONIVA05G05510 transcript:ONIVA05G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADSRDGRRGWIPAPAEKLTDDLLVEILSRVPYKSLCRSKCVSTRWRRVISHPDQRRRLPRYHLGNDIVGYFYKSNTFTNVTGEGRPFVDPSLPFLPKCEFLNVVDSCNGLLLCRCWRLADPRRFDYLVVNPATEHWVILPDSGWSDKVQTARLGFDPTVSSSHFHVFEFVEDGAADVNGNVDRDDYDGHVKGVEIYSSVTGEWSHKDNGWNWEIRLRDESNRCGGSGRCGGEYLEDHSYASSLVEPFNGIGEGFIGLSQGSLYFVNSDHDKPYKVSVWVLEDYSSEQWIWKHTVSHLHLFQTKRLFFGHDYKVVSIHPERNNIFLVWPHSKMLMSYELDSREVHFICGIGGCEWVMYYLPYVPLYLESLADGH >ONIVA05G05500.1 pep chromosome:AWHD00000000:5:4498075:4499994:1 gene:ONIVA05G05500 transcript:ONIVA05G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLRRLCVRHRRRPKPQALAAAVSNGSTSAIAEELTDDLLVEILSRVPYKSLCRLKCVSMRWRRVISHPDHRRQLPRHHLQPLAGFLYADYRVLNRFQIVPALDPPPLIDPSFSFLPKCDDLQLVDSCNGLLLCRCWNQLNEHRTFNYVVCSPTAKKFVVFPDSTCSKKVRPFLGFDPAVSSHFHVFEVVEDWDYYDCDDDDLECDGVEDVVGLRIYSSETGVWSDEIDNGWSNRIRIRRDQKGGSKSVFFNGMLHLVAIQPVVAVVDVEGKNWRTIPLAHKDGSPLCGAHPPCASGPEGFIALSRGLLHFASTDSYGDWEISVWVLDDYYGGQWTLQHTVSTMRPFERTMRRRMNPDDCTLVWIEGRKMFFMFYDYVHCILTSYEMDSGEVRCLSRPRSYCEPPYLPYIPLFLESLADGP >ONIVA05G05490.1 pep chromosome:AWHD00000000:5:4488206:4495940:-1 gene:ONIVA05G05490 transcript:ONIVA05G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILAPTLYIFFASALPVVAFGEQLSNDTDGALTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYIYNFAKNHPNLGERLFLPWAGWVCIWTAFMLFLMAMFNAAVVINRFTRFAGELFGMLITILFMQEAVKGMLGEFSVPEGKDHSLPIYQFQWAYVNGLLGIIFSMGLLYTAIRSRSARSSLYGTVWTALSYSLPSKIPSGVPRRLFTPLPWEPKSLQHWTVAKVVMQFILIPGSNDLFSVPPPYIFLAIVPAVMVAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDILVLSFMDTNALQVLICGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTAKEGMMNNASSSEVYGKMQEVFIKMDDKSNAKSVRKELKELKDAVIPEGNGAGRVSEVFDPEKHIEAYLPVRVNEQRVSNLLQSLLIAGCVGVMPIIQKIPTSVLWGYFAYMSIDSVPGNQFWERTQLLFISPQRRYKLLEGAHASFMESVPIKKISAFTIFQLVYLLIVWGMTWIPVAGILFPLLFFFLIVIRQYILPKFFDPRHLWELDAAEYEELEGVRRDPSTDEDASVSRCSDASPEYASEILDEFTTNRGELKHRTKSFRDERLIQLNSVKMTRELSRIPTFTPPRS >ONIVA05G05480.1 pep chromosome:AWHD00000000:5:4476422:4476772:-1 gene:ONIVA05G05480 transcript:ONIVA05G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSASTVSFSARPSAASAVRPCAAAGRARARAAAGESGKWWAPLLGWSGKADYIEAPAPAVVAAAEESEARRRPFVGGLTEEKARELRARMVETESFHDAMYHSAIASRLARSA >ONIVA05G05470.1 pep chromosome:AWHD00000000:5:4471907:4474541:1 gene:ONIVA05G05470 transcript:ONIVA05G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSSSSSTQFDDAAARRQQQLQQQRKPPLLMLLPLIYAPVLPLIRIGLRHNPVWRDRLFYGVLAGAFAHGTYLIYISKESSFNMCE >ONIVA05G05460.1 pep chromosome:AWHD00000000:5:4468185:4471736:-1 gene:ONIVA05G05460 transcript:ONIVA05G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: mitochondrial proton-transporting ATP synthase complex assembly; LOCATED IN: mitochondrial inner membrane; EXPRESSED IN: 18 plant structures; EXPRESSED DURING: 7 growth stages; CONTAINS InterPro /.../N/s: ATPase assembly factor ATP10, mitochondria (InterPro:IPR007849); Has 168 Blast hits to 168 proteins in 86 species: Archae - 6; Bacteria - 0; Metazoa - 2; Fungi - 107; Plants - 30; Viruses - 0; Other Eukaryotes - 23 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G08220) TAIR;Acc:AT1G08220] MMRARWAAGMLRRASSGEASLAARAVFARGFLGLNMKASKETIEKKEKDKARLMDEMSRGYFADIAEIRKNGGKLATANKIIIPEIAAVKFPDLSVKSPDGRTVNLPLVAPPRNDDPQTGNTVDTQNDDCKAGDMVVPDASLVCLSFRASSQKMAETWSLPFLDAFSSAGNIQVYEVSFIDSWLLSSSPVRQVFLKVMTKSNNPQRHAVYAFGDHYYFRKKLHILNLLTGYIYLVDRLGRVRWQGFGSATQEELSSLTACTSILLDGK >ONIVA05G05450.1 pep chromosome:AWHD00000000:5:4460834:4463234:-1 gene:ONIVA05G05450 transcript:ONIVA05G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGDEDPRSRRCNTDCVYFLASPFTCTKGSKCEYRHADGARFNRRNCWYWFKGNCVNPSCTFRHPPLENLNKTKSLADPLSLCSTSVKAANPCYFYYNSHCSKGDNCPYLHEPLTSNDAVGTSCKATTSNPAISKSYVGDEMVEESKDTITNPCQDTSCHIKEVPVSINPEFGEAEAVSGALETSTDIDEYMKCSAVSDLNSGDSTMDHTEQDECDSSPGFDVLVDDCLSNKSDLEHQLTTESDNKVLHAEYGIRDPVLYDMYYHDPEYYNYEPEFCGLDDRQGYLYLCQPNGAHEHESEITLGHLLPQNTEVTSDEYDRRFFNPRNFTSSVADTNFVHQHTQIRHISKRRPENRKGAKGKKDCIKRSRCLEPKNSTQQIESMPTRQRKDYLMGECPQPANHATFRGRRKKNRGKQQHVLSAKSSEHPTADFTGPKTLAQIKEEKCKSNSSFSHSTACTPNVRSFSDDFEGPKSLTELLMTKSRSSVGK >ONIVA05G05440.1 pep chromosome:AWHD00000000:5:4458300:4458822:-1 gene:ONIVA05G05440 transcript:ONIVA05G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGLQRSSQTFRRSGSSGLVWDGRLMSEDQNQSDQRATGDAEAGSLESKELRHSRSVGSSIKVQRRCSDSVERSRSGNQAFRTRHVPPAMDPPSPKVSRCLFCGIFSKEEPSQPPKPRSLYWQP >ONIVA05G05430.1 pep chromosome:AWHD00000000:5:4444977:4450423:-1 gene:ONIVA05G05430 transcript:ONIVA05G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0HA89] MAANAGMVAGSRNRNEFVMIRPDGDAPPPAKPGKSVNGQVCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRHKGSPRVQGDEEEEDVDDLDNEFNYKHGNGKGPEWQIQRQGEDVDLSSSSRHEQHRIPRLTSGQQISGEIPDASPDRHSIRSGTSSYVDPSVPVPVRIVDPSKDLNSYGINSVDWQERVASWRNKQDKNMMQVANKYPEARGGDMEGTGSNGEDMQMVDDARLPLSRIVPIPSNQLNLYRIVIILRLIILMFFFQYRVTHPVRDAYGLWLVSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGGRKKKSKSYMDSKNRMMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQKRLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAVALGQCGVNC >ONIVA05G05420.1 pep chromosome:AWHD00000000:5:4444058:4444319:-1 gene:ONIVA05G05420 transcript:ONIVA05G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGYAWLIIARPPTTPQKRDSENNATTSRHKDAAISHILRKMWFSPKENLPRIKRGTLSGALRGNDTRRHSLCRPSDPLSDAFA >ONIVA05G05410.1 pep chromosome:AWHD00000000:5:4438497:4441994:1 gene:ONIVA05G05410 transcript:ONIVA05G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGFGRGGGGRGDGGGRGGGGGRGFGRVGDSGGRGGRGGRGGRTPRGRGGGRGGGGRGGMKGGSKVVVVPHKHDGVFIAKAKEDALCTKNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGGASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPARYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKGTS >ONIVA05G05400.1 pep chromosome:AWHD00000000:5:4431098:4437501:-1 gene:ONIVA05G05400 transcript:ONIVA05G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQVWIPPAAEKLTDDLLVEVLSRVPYKSLCRSKRVCRQWRRVISDPDHRRLLPRYHLHDAVVGFFDHRSFISFSGRGRFVGPSLPFLPDCGDLRLLDICNGLLLCRRRRLSDPRRFDYLVANPATEQWIVLPESGWTHKEQIARLGFDPAVSSSHFHVFEFELVEHSAMGMSGDHDGNVVAVEIYSSETGVWIHRNNGWGCIIRTLDIWRSVFFKGMLHLITMDDVVAVVDVEGNSWRTIPMPETFVDPYYGVDDGFIDDYGSDQWTLKHTVSHLHLFGTDKQHFGYDYKVVSIHPKRNIIFLVSLNDGIFISYEMDSREVHYICELGDILTRHYLPYVPLYSESLSNGARSWNGQMDALEIYSSETGVWSHKDIGWGHQIGVLDDWSRSSTGCCI >ONIVA05G05390.1 pep chromosome:AWHD00000000:5:4430811:4431092:-1 gene:ONIVA05G05390 transcript:ONIVA05G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVVAVVDVEGNSWRTIPMPQTLDDPDCNVDDGFVDLSQGRLYFVNTDRYDLYNSLSVWVLQDYSSDQWTLKHTVSHLHLFGRRRKDFGHDY >ONIVA05G05380.1 pep chromosome:AWHD00000000:5:4423214:4424639:-1 gene:ONIVA05G05380 transcript:ONIVA05G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSNRWRREQVGLATRWWPRSGSPGESSTAAGAAVWMRRLPCIGGGGDSSAMAGMAVWMRWLCCIGGGGDSSGSEGNGLDATAALHRREAGDGWMPMKLMKFKDKRATLTTGWNALVGANLFEQADVCVFLFTEVPILPKLIAPLAGITATNLNLLLEIKLRPTRFKYLLYESCTRYKQQWLKQLMHTAYNSPHQMVEKMPESSGWLRRRMGRGGGGDVDAPATADAAGGDGDVYAAALKPRRPWRGRCDGCSAASMRQRLRRPRYDSGRRVCDAQPRRLDATEAKACVMRGRGGHDATVAGDEVEGRRREQAIAIG >ONIVA05G05370.1 pep chromosome:AWHD00000000:5:4412487:4412719:1 gene:ONIVA05G05370 transcript:ONIVA05G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFRVASIVPTDITAVPSTCEERTSTTAILEGDRPCKVSYLAKVWFLFKSLRRRQTYAEKGKYDIYRGAGK >ONIVA05G05360.1 pep chromosome:AWHD00000000:5:4403879:4406151:1 gene:ONIVA05G05360 transcript:ONIVA05G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAALFDEQGRMTHLLKNMAAICHSIRTTFRICHSIRNVFRTCHRAHELFHSVTLRLSKVTRCRPSVSRHLLRHLAAAGVGRKAADMGEEELGRRWEEELAIPGGRARPHVRGRSLPTWRGALPAQEEELAGLVRLGKEELVGVGKRSSAGTGGRARWRGKEEPPALGRRSWPALGRKSSPFRCREELASMCGGEACRCGEEELRRRGRKSSPAWKGGARRRRKEELCWRVKKSPLEPPALGRKSSREEELRRRGKESSPACTGKNFAGVGRKSSPAWGGGAADMGRKKNSLADLFDLVEQLHNVKLTLGNLDMDSIYVKNLDGSIKLLVLLTEERHSVAMDPITLGFSYFIKQEFCTSDKLRSYPDDWDDYRKGEFLMSLQNMHPRSLRELFKNVDGIGWPVKDKYLPKILSDIVEVDSLQGREHNLKDFSDYVNLLRNRYKHFNGLPDEVKAVLVNRKGLVKIISEWTPNFWIVVYERTGWPKTNLPGSLL >ONIVA05G05350.1 pep chromosome:AWHD00000000:5:4305315:4311403:-1 gene:ONIVA05G05350 transcript:ONIVA05G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSKKKKGSSATRNPAAELTDDLIVEILSRLPAKSVHRCRRVCRRWRRLISDPHHRKKLPQTLAGFFHLSVNESRFPVEARHFVNVSGIGGRLPHVCPSFSFLPRFERISMVDSCGGLLLCQCFESSDAFRYVVFNPCTEEWIVLPESGFHPKDRGFCARLGFDPDVSSQFHVFEFVPCDDVTGVKIYSSETREWNYRESEWCTDTGISDICRSAFCNGMLHLVSYQRSIVSVDVEGRTWRTTKVPKMEGVEEVRDWLPGSICQSEGKLYYLSQYNTVPISLSIWLLEDYSKDEWTLKHSVTNELLYEKINSKYKSSEFCYVVIVHLDCNLIYYITRDYTLMAYDMDHKESRVIQALGLHFEPQAEEWQLQIQEGEGIRRPSSPTTSSWRSSPASRVPAKSVRRCSCVSRRWRGLISDPDHRKKLPQTLAGFFYHSENESRFPMEARHFVNVSGRGRPLVYPSFSFLPRFESIRMVDSCGGLLLCRCFESSDASRYVVCNPATEELVALPESSYDAEGGGEEEMCARLGFDPDVSSQFHVFQFVTDARLWLVLRSTLRKSENGITVLEDYSKDEWTLKHKVTIELLSGKISCKYQTMFYRGVTVHLDCNLVYYIADYILMSYDMDRKEPRVIQDLGSDCMMEYLPYVPLYVKTLSSGR >ONIVA05G05350.2 pep chromosome:AWHD00000000:5:4305317:4309323:-1 gene:ONIVA05G05350 transcript:ONIVA05G05350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSLFVYSDRDMAGDVDDWKSPWALSAEIQEGEGIRRPSSPTTSSWRSSPASRVPAKSVRRCSCVSRRWRGLISDPDHRKKLPQTLAGFFYHSENESRFPMEARHFVNVSGRGRPLVYPSFSFLPRFESIRMVDSCGGLLLCRCFESSDASRYVVCNPATEELVALPESSYDAEGGGEEEMCARLGFDPDVSSQFHVFQFVTDARLWLVLRSTLRKSENGITVLEDYSKDEWTLKHKVTIELLSGKISCKYQTMFYRGVTVHLDCNLVYYIADYILMSYDMDRKEPRVIQDLGSDCMMEYLPYVPLYVKTLSSGR >ONIVA05G05350.3 pep chromosome:AWHD00000000:5:4309392:4311403:-1 gene:ONIVA05G05350 transcript:ONIVA05G05350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSKKKKGSSATRNPAAELTDDLIVEILSRLPAKSVHRCRRVCRRWRRLISDPHHRKKLPQTLAGFFHLSVNESRFPVEARHFVNVSGIGGRLPHVCPSFSFLPRFERISMVDSCGGLLLCQCFESSDAFRYVVFNPCTEEWIVLPESGFHPKDRGFCARLGFDPDVSSQFHVFEFVPCDDVTGVKIYSSETREWNYRESEWCTDTGISDICRSAFCNGMLHLVSYQRSIVSVDVEGRTWRTTKVPKMEGVEEVRDWLPGSICQSEGKLYYLSQYNTVPISLSIWLLEDYSKDEWTLKHSVTNELLYEKINSKYKSSEFCYVVIVHLDCNLIYYITRDYTLMAYDMDHKESRVIQALGLHFEPQAEEWQLRAFIRNRRAP >ONIVA05G05340.1 pep chromosome:AWHD00000000:5:4302151:4303294:-1 gene:ONIVA05G05340 transcript:ONIVA05G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGAWIGGVAIRRASAGRRWWAQTCGGRMAIAAGALLLYLSVAFFSSSVAKLRPFKSGQRKKLRKW >ONIVA05G05330.1 pep chromosome:AWHD00000000:5:4286631:4300800:-1 gene:ONIVA05G05330 transcript:ONIVA05G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIKRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISTESSLSISEADKSPSHSSMASPSPSQALESTGSPLTPPSTKIHYMKAAGTKPLTITIDPSAADFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYASGSATSNNENGVTLNFSRLKDLRDSRESEAVSLREEATNRSDGMHPELPGDNAAPKQEAQAKKSGMSKPSGSVEVTTEAATSGQVGEKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIEIRFMRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCFNRENILNQETAQHVSKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRHQFARGLNAQLIDKTRAIVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKTMLECHHKQFIIISLAYHVKSVTTVQQGEHHHRAATHLWNELDCFSSSFKIWVTAHKSYVESLNAWLQKCVLQPAQDRWRRKRKVSFPPRHALSPPIFVLCRDWLTMMESQSLPTDELCKSIKEVVQLLRGSFDHQADHQNKMTTESHLRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEMARDDYKMGRSNAHLNSELREQFQLHDIQKELKMTWLQSSLFSGGGAASSPTPTTARSSPKPSPASSTAARTNHAAPKLARHFVNVTGRGRSLICPTISFLPRFDLEGMRMMDCCGGLPRFRLLPRVAVLHLGEIVEDVFALVSCFIGQSQGKLCYLSAYDTVPWNLSICVLEDYSKDEWTLKHKLTTEQLSEKINCKCKTDFDKAWYYHVVAVHPECNLIYYIAGGDTLMSYDMGHKQSCVIENLGPGLQSKWTTVLDSLTKFSEASLKHYEELKQNYEMACDDYKTESNCNCMTFRRNRDDLAAVKPLLSGIWAQRPKTHTLSLPLVVPLATPPLQEKPSPPPPPPSSPPLALLGDMAREKSKKEEGSSATRNPAAELTDDLIVDILSRLPVKSVCRCKCVSRRWRGLISDPDHRKKLPQTLAGFFYSSENESSFPDEETFPIVICETLVALGRLSLKQSNNNAFSEGTEEHLAAVRHLLRKEKVVNLSSVRMNISLQLDMVIWLLAELKDVEAGRLCSTSCTNIGSFININTNVGNTRMTYIVKRREYIG >ONIVA05G05330.2 pep chromosome:AWHD00000000:5:4286631:4300800:-1 gene:ONIVA05G05330 transcript:ONIVA05G05330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIKRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISTESSLSISEADKSPSHSSMASPSPSQALESTGSPVHRGSQLTPPSLSISEADKSPSHSSMASPSPSRAVESTGSPVHRGSQLTPPSTKIHYMKAAGTKPLTITIDPSAADFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYASGSATSNNENGVTLNFSRLKDLRDSRESEAVSLREEATNRSDGMHPELPGDNAAPKQEAQAKKSGMSKPSGSVEVTTEAATSGQVGEKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIEIRFMRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCFNRENILNQETAQHVSKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRHQFARGLNAQLIDKTRAIVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKTMLECHHKQFIIISLAYHVKSVTTVQQGEHHHRAATHLWNELDCFSSSFKIWVTAHKSYVESLNAWLQKCVLQPAQDRWRRKRKVSFPPRHALSPPIFVLCRDWLTMMESQSLPTDELCKSIKEVVQLLRGSFDHQADHQNKMTTESHLRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEMARDDYKMGRSNAHLNSELREQFQLHDIQKELKMTWLQSSLFSGGGAASSPTPTTARSSPKPSPASSTAARTNHAAPKLARHFVNVTGRGRSLICPTISFLPRFDLEGMRMMDCCGGLPRFRLLPRVAVLHLGEIVEDVFALVSCFIGQSQGKLCYLSAYDTVPWNLSICVLEDYSKDEWTLKHKLTTEQLSEKINCKCKTDFDKAWYYHVVAVHPECNLIYYIAGGDTLMSYDMGHKQSCVIENLGPGLQSKWTTVLDSLTKFSEASLKHYEELKQNYEMACDDYKTESNCNCMTFRRNRDDLAAVKPLLSGIWAQRPKTHTLSLPLVVPLATPPLQEKPSPPPPPPSSPPLALLGDMAREKSKKEEGSSATRNPAAELTDDLIVDILSRLPVKSVCRCKCVSRRWRGLISDPDHRKKLPQTLAGFFYSSENESSFPDEETFPIVICETLVALGRLSLKQSNNNAFSEGTEEHLAAVRHLLRKEKVVNLSSVRMNISLQLDMVIWLLAELKDVEAGRLCSTSCTNIGSFININTNVGNTRMTYIVKRREYIG >ONIVA05G05330.3 pep chromosome:AWHD00000000:5:4286631:4300800:-1 gene:ONIVA05G05330 transcript:ONIVA05G05330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIKRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISTESSLSISEADKSPSHSSMASPSPSQALESTGSPVHRGSQLTPPSLSISEADKSPSHSSMASPSPSRAVESTGSPVHRGSQLTPPSTKIHYMKAAGTKPLTITIDPSAADFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYASGSATSNNENGVTLNFSRLKDLRDSRESEAVSLREEATNRSDGMHPELPGDNAAPKQEAQAKKSGMSKPSGSVEVTTEAATSGQVGEKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIEIRFMRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCFNRENILNQETAQHVSKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRHQFARGLNAQLIDKTRAIVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKTMLECHHKQFIIISLAYHVKSVTTVQQGEHHHRAATHLWNELDCFSSSFKIWVTAHKSYVESLNAWLQKCVLQPAQDRWRRKRKVSFPPRHALSPPIFVLCRDWLTMMESQSLPTDELCKSIKEVVQLLRGSFDHQADHQNKMTTESHLRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEMARDDYKMGRSNAHLNSELREQFQLHDIQKELKMTWLQSSLFSGDSEPADVNGIWAQRPKTHTLSLPLVVPLATPPLQEKPSPPPPPPSSPPLALLGDMAREKSKKEEGSSATRNPAAELTDDLIVDILSRLPVKSVCRCKCVSRRWRGLISDPDHRKKLPQTLAGFFYSSENESSFPDEETFPIVICETLVALGRLSLKQSNNNAFSEGTEEHLAAVRHLLRKEKVVNLSSVRMNISLQLDMVIWLLAELKDVEAGRLCSTSCTNIGSFININTNVGNTRMTYIVKRREYIG >ONIVA05G05330.4 pep chromosome:AWHD00000000:5:4286631:4300800:-1 gene:ONIVA05G05330 transcript:ONIVA05G05330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIKRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISTESSLSISEADKSPSHSSMASPSPSQALESTGSPLTPPSTKIHYMKAAGTKPLTITIDPSAADFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYASGSATSNNENGVTLNFSRLKDLRDSRESEAVSLREEATNRSDGMHPELPGDNAAPKQEAQAKKSGMSKPSGSVEVTTEAATSGQVGEKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIEIRFMRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCFNRENILNQETAQHVSKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRHQFARGLNAQLIDKTRAIVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKTMLECHHKQFIIISLAYHVKSVTTVQQGEHHHRAATHLWNELDCFSSSFKIWVTAHKSYVESLNAWLQKCVLQPAQDRWRRKRKVSFPPRHALSPPIFVLCRDWLTMMESQSLPTDELCKSIKEVVQLLRGSFDHQADHQNKMTTESHLRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEMARDDYKMGRSNAHLVALGRLSLKQSNNNAFSEGTEEHLAAVRHLLRKEKVVNLSSVRMNISLQLDMVIWLLAELKDVEAGRLCSTSCTNIGSFININTNVGNTRMTYIVKRREYIG >ONIVA05G05320.1 pep chromosome:AWHD00000000:5:4281079:4286305:1 gene:ONIVA05G05320 transcript:ONIVA05G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTAAVAAAKGSRGSGLPLASLNHISIVCRSLQESLTFYTDVLGFFPVRRPGSFDFDGAWLFNYGIGIHLLQAEDPDSLPGKTEINPKDNHISFQCESMVAVERRLKELGIPYIQRCVEEGGIYVDQIFFHDPDGFMIEICNCDNLPVVPLGADQPLVMAACKRAAVIKQQQQASSSPATAAAAAQCAVPSSTKAIHVGEEAHISCA >ONIVA05G05310.1 pep chromosome:AWHD00000000:5:4275862:4276404:1 gene:ONIVA05G05310 transcript:ONIVA05G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYTASASSRWMGKPALPLIQCPQCELKTIVRRKAKTSKNYGRIFYTCPSHQRDGTGCDFWYWEEYYEQYLIKRGYLQACSRSRGKRQVIDLHGEGEGVGGRQVAEQIEDKQLVKKMNVLIEIGSEIVLLLKCFVACCVCILAINIYALFRNG >ONIVA05G05300.1 pep chromosome:AWHD00000000:5:4254656:4260315:-1 gene:ONIVA05G05300 transcript:ONIVA05G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPKARGGLGFRLSLPCSAAPTQPPPIPPHAANPAYPGRLPGPSGALRPCIPFPALCRRMSCSSSSSSDAQQVGRKRKASKCFKIPFKVIRETVDKGEHILKIIKPDPEKVVTRIEKYPIVYLKGEDAGNVHAFSIMHNLHHENLISMRALAKDKRKNSDIVPLVAFVEPYTGVLWSDHLEEDKCADSLNHIPSLKLQRVLREIVAGTDFLRQNGFYHGSLNWGLVLYVHPCKVKLAGFKCQDSMSLEEAQWNDWLCFIQMLEEIATRADQSNSSLPQKERYFCGNLNDLITMLQSLDQTALPIIKKAILDHPFLWDFGTTVDFFAKTVSLRLNDSNFREKVKKSSLRKAPWDGDSSADFMSLLDVMNEYRRENGMDPYDTHDLEHYVRCICGAYSHWTLIKKLEGSFQGQTKMG >ONIVA05G05290.1 pep chromosome:AWHD00000000:5:4238925:4240163:-1 gene:ONIVA05G05290 transcript:ONIVA05G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSNNSSSSKRPRRTAPPRRDNPAERLTEDLLVEILSRVPYMSLARCSRVCRRWRRVISHPDHRRLLPRYHLRSAIAGLFCVEPYWRPLADPRRFYTVPAPEPPPLIDPSFSFLPKCERILLLDSCNGLILCFCSKLNNPDVFNYVVCNPATKKSFVLPDFSWCHSRIARLGFDPAVSSHFHVFEFAEEYLDIDGYDHYLRLNIYSSKTGEWSGEMDSGWSTEVGTLNRPKTVFFNGMLHLLAVEPLSITDSKLVAVDVEGKTWRTIRLPHDEEGHPLYGAHHSFTPYKEELIDLSQGLLHFVSTASNDATKLLVWVLDDYDSERWSLQHIVSSMHLLGRAISPYLGYGYVVVSVQERKMFFVIFGQDRMLMSYEMDKREVCFIHKFGCGYEQRYLPYLPLFMKSLADGP >ONIVA05G05280.1 pep chromosome:AWHD00000000:5:4165545:4166495:-1 gene:ONIVA05G05280 transcript:ONIVA05G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAGAFQIRSAGDRSGRDDDGRDNDSGSGRGCGGAVGGCEGSRTYSGVVHGLRLLPREGASCMVSAGDWHLCGSHALKGFWMVAAVGSDGDVAWEGQRFFGRKSRLFRVGSGSAFWRRNLLGDVGVESSSFLGDYSGENCPTPGTGDGDTLGVVTSLEVSFPTNL >ONIVA05G05270.1 pep chromosome:AWHD00000000:5:4151040:4154961:1 gene:ONIVA05G05270 transcript:ONIVA05G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSCGGGARLQCAAADWGGCFLALPPAAAAGPSGGDTDGGFNLAWTLHQSFHPASGLFASVGVGFPATSSSSPSPPPDAPGDPYAKYVSPEIEHHALPGQSVEVGLMEKGNKKNKKKDKAFKLKIKVGNPHLKRLISGGIAGAVSRTAVAPLETIRTHLMVGSNGNSTTEVFHSIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEQKKVPLPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLHALVKIVREEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKAYKKMFKTNEIGNVPTLLIGSAAGAISSTATFPLEVARKHMQVGAVGGRKVYKNMLHTLLSILEDEGVGGLYRGLGPSCMKLVPAAGISFMCYEACKKVLTEEEDD >ONIVA05G05270.2 pep chromosome:AWHD00000000:5:4151067:4154961:1 gene:ONIVA05G05270 transcript:ONIVA05G05270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSCGGGARLQCAAADWGGCFLALPPAAAAGPSGGDTDGGFNLAWTLHQSFHPASGLFASVGVGFPATSSSSPSPPPDAPGDPYAKYVSPEIEHHALPGQSVEVGLMEKGNKKNKKKDKAFKLKIKVGNPHLKRLISGGIAGAVSRTAVAPLETIRTHLMVGSNGNSTTEVFHSIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEQKKVPLPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLHALVKIVREEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKAYKKMFKTNEIGNVPTLLIGSAAGAISSTATFPLEVARKHMQVGAVGGRKVYKNMLHTLLSILEDEGVGGLYRGLGPSCMKLVPAAGISFMCYEACKKVLTEEEDD >ONIVA05G05260.1 pep chromosome:AWHD00000000:5:4146917:4147901:1 gene:ONIVA05G05260 transcript:ONIVA05G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPSPRPPHPIRPQLCHASSTVSGNSVVNNGVHGAFLLRRRRTPPSPSSSSSPPRRRRPPSPPMPRRHGGRGGEAGVHVHDSGEDELRVAGADVGRRQRRVRGRVPERGVRRAAAGRRGVGGARAVRRRHVPRRRAVRVRRLLPLPPPRRPRRVGAGVGAGVRAWRRRRGEAVHLLLRLAAARRRLVRPQPLPQGVAGHGGAPDEHLRFTSRLG >ONIVA05G05250.1 pep chromosome:AWHD00000000:5:4139541:4143169:-1 gene:ONIVA05G05250 transcript:ONIVA05G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0E0HA65] MAKILLHGTMHVTIFEAESLSNPSRPSSQAPQFLRKLVEGIEDTVGVGKGTSKVYATIGLDKARVGRTRTLADDTAAPRWYESFHVYCAHLATHVAFTLKAKNPIGASLLGVGYLPVRDVLAGDEVERWLPLCDDTDARTPIGDGDGKVHIKLQYFDISKDRSWGRGVRSGKYPGVPYTFFSQRQGCKVTLYQDAHVPDGFIPRIPLDGGRSYEPHRCWEDIFDAINGARHFVYVTGWSVYTEIALIRDADRPKPGGGVTLGELLKKKAGEGVRVLMLVWDDRTSVGMLKKDGLMATHDEETMNYFQGTEVNCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHDMPSSRHGGGGGRRRVVSFVGGLDLCDGRYDTPFHSLFRTLGTAHHDDFHQPNFATATVAKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDLLVQLRDLAETVIPPSPAMFPEDAESWNVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDQIIDRSIQDAYIAAIRRARSFIYIENQYFLGSSYCWKPNDGVKPEDVGALHLIPKELSMKVVSKIEAGERFTVYVVVPMWPEGIPESGSVQAILDWQRRTMEMMYTDIAHAIQAKGIDADPKDYLTFFCLGNREAKSAGEYEPPEQAEPDTGYFHAQQNRRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPHHLAAAGRPARGQVHGFRMALWYEHLGTVDDAFQRPESLDCVRKVNAMADRCWDLYAGDGPERDLPGHLLTYPVGVAGDGTITQLPGVEFFPDTQARILGAKSDYLPPILTT >ONIVA05G05240.1 pep chromosome:AWHD00000000:5:4135554:4139095:1 gene:ONIVA05G05240 transcript:ONIVA05G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAAATSRAWPASPRRRRHVASCSSPPPTTTTATTTTSSLNRCPVAGAGAPVLPLGIHGGRMLLASPLLWNSGAAARKTAVATAAAASPPAEGGGKADGGAVAGGISRTVQLGAMILVWYLLNIYFNIFNKLVLKSVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLGQYAKILPLALVHTMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPSFLVLGSLVPIVGGVVLASMTEVSFNWIGFWSAMASNLTNQSRNVFSKKLLADKEETLDDINLFSIMTVMSFLLSAPLMLSVEGIKFSPSYLQSNGVNLQELCMKAALAGTCFHFYQQVSYSLLARVSPVTHSVANCVKRVVVIVSSVLFFRTPISPINALGTGVALAGVFLYSRFKKAKPKAKTA >ONIVA05G05240.2 pep chromosome:AWHD00000000:5:4135554:4139095:1 gene:ONIVA05G05240 transcript:ONIVA05G05240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAAATSRAWPASPRRRRHVASCSSPPPTTTTATTTTSSLNRCPVAGAGAPVLPLGIHGGRMLLASPLLWNSGAAARKTAVATAAAASPPAEGGGKADGGAVAGGISRTVQLGAMILVWYLLNIYFNIFNKLVLKSVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLGQYAKILPLALVHTMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPSFLVLGSLVPIVGGVVLASMTEVSFNWIGFWSAMASNLTNQSRNVFSKKLLADKEETLDDINLFSIMTVMSFLLSAPLMLSVEGIKFSPSYLQSNGVNLQELCMKAALAGTCFHFYQQVSYSLLARVSPVTHSVANCVKRVVVIVSSVLFFRTPISPINALGTGVALAGVFLYSRFKKAKPKAKTA >ONIVA05G05230.1 pep chromosome:AWHD00000000:5:4134423:4135434:-1 gene:ONIVA05G05230 transcript:ONIVA05G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAPALWAPHTECDVAFMANQALASMIGSHRSPPGEPIPAAVAVKDGAGVVEDGGGAGAEGGGGADAVGRGRTEAALARWRAEAAPTRWAEGGRRWRRHGAGDWRR >ONIVA05G05220.1 pep chromosome:AWHD00000000:5:4132915:4134379:-1 gene:ONIVA05G05220 transcript:ONIVA05G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEGVRRTREAEGAEWEYRESSRGLPAVRRPGQHRPPLLAADPLLTRYGAIVVDDAHDGMALTGVVLSYGNRGHTRQAAARVVSAQMPVRKVVMRCGNRARNEAHSCGNRRCGNHWLTGVAEINDGNGGRR >ONIVA05G05210.1 pep chromosome:AWHD00000000:5:4128689:4132684:-1 gene:ONIVA05G05210 transcript:ONIVA05G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTWLQRATTWARRRWPRRGFGAGAVASVPAKSGGDGRHRHWHCLLCSPFLSFSFFSPDGLLPSALEGAGVAMRRRPPHGASNGDVRQWAALSSPPAPAAPAAVWMGRRLRTARWPRGSSPSPAPRRVVLAAAASSSPSPDANSSSNSNSPGSGGGGGGEEEEEEREDAARMEKAAAFLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLKSFEEEEPVLRLRRSLKKAVEEERFEDAAKYRDELKILAPHALLKCSSDATTLGIRVQVRSVYIESRSQPLKGQFFFAYRIRITNNSQRPVQLLRRHWIVTDANGRTENIWGVGVVGEQPVIFPRTGFEYSSACPLNTPNGRMEGDFEMKHIDKAGSSTFNVAIAPFSLSILGDDNDDVLL >ONIVA05G05200.1 pep chromosome:AWHD00000000:5:4115023:4124837:-1 gene:ONIVA05G05200 transcript:ONIVA05G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRAAASLLAVLVLSLVVSASSAAAGVAPAAPPDVLSSPAAAAGEGEAEALLAVKAALHDTANVLADWNASSGGVVAGGGGGGPCNWSMVTCSKTGHVSVLDLAHRNLSGTLSPAIGKLRRLRLLFLQHNAISGPIPDTIGRLKVLQTLDLAYNHFTGTIPSILGHSKGIFLMDLSFNNLSGPAPVFSAKSVFCEGTCTSVIEETIAVPSAAEEPVAVSIEEPIIHRKGLAGTARRVSFYIAAVLLIVFLIAGFVASISQWRRRHQIFADFDGPEIYLGHLKQFMIKEIKEATNNFDRRNILGQGGFGIVYKGRLRDGTIVAVKRMKDCFSVCGDDQFHTEVEVISLIVHRNLLRLTGFCITDTERLLVYPFMPNGTVSSKLQEYVGGKPTLDWTRRRKIALGAARGLVYLHEQCDPKIIHRDIKASNVLLDEYFEAVVADFGLVKLLDHGESHAVTAVRGTMGRIPPEYLMTGQTSEKTDVYGFGFLLIELITGRKTMELHEDEYQEGGILDWAKELLEGNKLSSFVDSRLRDNYVIAELEEMVKIALLCTMYNPDQRPSMAEIARMLQESDGSVVEKWETLKDAERSKPSTPEFMLSSPVNFAPDECNSIQLEAVELSGPRKFFFSPEFLVDMFGLFLSEVKSGLLAAAVAKCELSRESSGFGRHTLSCSNALVTC >ONIVA05G05190.1 pep chromosome:AWHD00000000:5:4110482:4110802:-1 gene:ONIVA05G05190 transcript:ONIVA05G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTLIIVPLALRGASLLGNAVAAAVVPSSSPEQQQQRRPRPPPGSKNGASPSSSAHGQHWKDQSRHAAFTRRRFGTGGGDGGGGGGFFSDDKRFSPTGSNPLHNL >ONIVA05G05180.1 pep chromosome:AWHD00000000:5:4105887:4106635:1 gene:ONIVA05G05180 transcript:ONIVA05G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYIQR >ONIVA05G05170.1 pep chromosome:AWHD00000000:5:4096076:4100292:-1 gene:ONIVA05G05170 transcript:ONIVA05G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFFLSGHLVGDGFQVDEAAAACAAARAWAARPGAEEDVGRGAEAERRACWRLARRKTTTAVARRGLSAAVRPARRHCGRRRPAARLVLDYGGGASGSRGGGLKAAATTLVVAAAVVDVAGRKPSLGSFEPRRTAAARRSVTLSGGRSGASPLLCCVLALSICLLGRP >ONIVA05G05160.1 pep chromosome:AWHD00000000:5:4080643:4082487:1 gene:ONIVA05G05160 transcript:ONIVA05G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSVGGGGRNIGVAMDFSACSKAALRWAAASLARPGDRLVLVHVKPSFHAAMIPLVELADPRVSRIYGVAPDAETIGILTSAANQKGVEVVAKVYWGEPAKKLTEAAQGIPLHWLVVGNRGLGAGTDGEREHVRGQPRHLPRHRRQGEPAAAAAAAAAATAARRNGGELLLMTHQPTYVSVSCKVACCVTGSLGLSLLVHSKKKIRGCLKLYFCNNFVK >ONIVA05G05150.1 pep chromosome:AWHD00000000:5:4075233:4092557:-1 gene:ONIVA05G05150 transcript:ONIVA05G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKYELSFCMATGDKDEKGTGNHEHSAAKKKTPTCIPGLELKDPIDGQRHRLTDATLDQRDHPHGRRKGSAFAVLEASATHAGAAKFWKLGQEASGSPPVEEEEEPPLLRQHRLKTSGTRREKTSVLPPPDAELKPGTICDLPSPPPRRRVEQKNGEETTPRVPRQQPTAMAKSDDGVKKKNGMNENGQMGVSYGVLGVPGSRWVFEIQPTIHARTNLAAVATGCGGCGGGGGGGGRFSLTTVTGQVAWLATYVLTLPIKTNTTIQREIEMHGCACLLTAPRPLFPTTSQCRGIPCAASVSFFAGSPQYTFATTSTCIHPFISPTPPVISPDPEPLPRGGCGGCVAPPVPVAGASTLHTLQVQQLNFHFTCLASAALARHGNPREIGDSFDSRDHHESTTLLLSLDSMGAR >ONIVA05G05140.1 pep chromosome:AWHD00000000:5:4070823:4075202:-1 gene:ONIVA05G05140 transcript:ONIVA05G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G14550) TAIR;Acc:AT5G14550] MKPPRRWMYGRGGGKGKPAGLLLLGVFLCLSVVLLLLLHGSSPSLEGEGRKPEAVEAAGGGGEEEEVAVARAEVEEAPLPPGNARLAFLFIARNRLPLDLVWDAFFRGDKEGRFSIFVHSRPGFVLTRATTRSGFFYNRQVNNSVQVDWGEASMIEAERVLLAHALKDPLNERFVFVSDSCVPLYNFNYTYDYIMSSSTSFVDSFADTKAGRYNPRMDPIIPVENWRKGSQWAVLTRKHAEVVVEDEEVLPEFQKHCRRRPLPEFWRDWDRPIPAEAWKAHNCIPDEHYVQTLLAQHGLEEELTRRSVTHSAWDLSSSKDRERRGWHPVTYKISDATPALVKSIKDIDNIYYETENRKEWCTSNGKPAPCFLFARKFTRAAGLKLLDLSLIAANGASTM >ONIVA05G05130.1 pep chromosome:AWHD00000000:5:4058767:4064516:-1 gene:ONIVA05G05130 transcript:ONIVA05G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLMASCCFIISYKRPRPIIATFVPFLLLLFFFAVVVAASSSSNGTAAALHPGEELLRLERIRAQLARSPDGDVIDCVPSHLQPAFEHPRLRGQKPEEPPSARPTETTTTRRRRRRSHAHGGGGGEHREEEDDDEHGLRQAWWAAGEACPEGTIPVRRTTEADLLRASSAAAAGGRFGMKPRGVGVVGGAARRDSTSSGHEHAVGYMSGGQFYGAKASLNVWPAKVASPAEFSLSQIWLISGSFGNDLNTIEAGWQVSPQLYGDNNPRFFTYWTNDAYQETGCYNLHCSGFVQTNSRIAMGAAISPISSFAGRQFDITLLIWKDPKQGHWWLQLGSGALVGYWPSFLFSHLGARADMAQFGGEVVNTRPSGSHTPTQMGSGRFPGEGYGRAAYFRNVQVVDWDNNLIPAAALRLLADHPACYDIAGGQGAAWGRYFYYGGPGRNARCP >ONIVA05G05120.1 pep chromosome:AWHD00000000:5:4057378:4057878:-1 gene:ONIVA05G05120 transcript:ONIVA05G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFVDDEGRRREGHGVRGTVLRHGGDGRRHLRLRHQHRGRRVVHGVVPKGLITGSAPADGGRRRWRAPRQQLLQVRQLAADALHLVALHLRPAHLRAPPSWVTASRGRRASMILGGFAYIAGVAASGTAVNVSIAILGRAFLGVGLGFTTEVTTLKKSKLFSNS >ONIVA05G05110.1 pep chromosome:AWHD00000000:5:4055302:4057287:-1 gene:ONIVA05G05110 transcript:ONIVA05G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARYWGAFSNGIQFSLCFGALAATTVNFAVEKIRGGWGWRLSLALAGVPIIDRHISYDH >ONIVA05G05100.1 pep chromosome:AWHD00000000:5:4046862:4055545:1 gene:ONIVA05G05100 transcript:ONIVA05G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRWRAAPMASRSPPAAAAAMVMACVVVVLRVSCVLAVDEQGAALLAWKGTLRGDGGALADWKAGDASPCRWTGVTCNADGGVTELSLEFVDLFGGVPGNLAAAVGRTLTRLVLTGANLTGPIPPELGELPALAHLDLSNNALTGTIPAALCRPGSKLETLYLNSNRLEGAIPDTIGNLTSLRELIVYDNQLAGKIPASIGKMSSLEVLRGGGNKNLQGALPAEIGDCSSLTMIGLAETSITGPLPASLGRLKNLTTLAIYTALLSGPIPPELGRCGCLENIYLYENALSGSIPAQLGGLGKLRNLLLWQNQLVGVIPPELGSCAALAVVDLSLNGLTGHIPPSFGNLSSLQELQLSVNKLSGAVPPELARCSNLTDLELDNNQLTGGIPAELGRLPALRMLYLWANQLTGSIPPELGRCGSLEALDLSSNALTGAIPRSLFRLPRLSKLLLINNNLSGELPPEIGSCAALVRFRASGNHIAGAIPPEIGMLGNLSFLDLASNRLAGALPPEMSGCRNLTFVDLHDNAISGELPPRLFRDWLSLQYLDLSDNVIAGGIPPEIGMLTSLTKLVLGGNRLSGPMPPEIGSCTRLQLLDVGGNSLSGHVPGSIGKIPGLEIALNLSCNGFSGAIPAEFAGLVRLGVLDVSRNQLSGDLQPLSALQNLVALNVSFNGFTGRLPETAFFARLPTSDVEGNPALCLSRCSGDASEREVEARRAARVAMAVLLSALVVLLAAAALVLFGWHRRGGGARGGEDKDGEMSPPWDVTLYQKLEIGVSDVARSLTPANVIGHGWSGEVYRASMPSSGVTIAVKKFRSCDEASIEAFAAEVSVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGATGGGATTTAAVVEWEVRLAIAVGVAEGLTYLHHDCVPGIIHRDVKADNILLGDRYEACLADFGLARVADDGASSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEMITGRRPLDPAFGEGQSVVQWVRDHLCRKRDPAEIIDVRLQGRPDTQVQEMLQALGIALLCASPRPEDRPTMKDVAALLRGIRHDDGVEARKAGNGVGTDAETRKRADPRQPISPTKLMALARPAQAQAQAQAQLQARANSGSLGLLNDQE >ONIVA05G05100.2 pep chromosome:AWHD00000000:5:4048448:4055545:1 gene:ONIVA05G05100 transcript:ONIVA05G05100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRWRAAPMASRSPPAAAAAMVMACVVVVLRVSCVLAVDEQGAALLAWKGTLRGDGGALADWKAGDASPCRWTGVTCNADGGVTELSLEFVDLFGGVPGNLAAAVGRTLTRLVLTGANLTGPIPPELGELPALAHLDLSNNALTGTIPAALCRPGSKLETLYLNSNRLEGAIPDTIGNLTSLRELIVYDNQLAGKIPASIGKMSSLEVLRGGGNKNLQGALPAEIGDCSSLTMIGLAETSITGPLPASLGRLKNLTTLAIYTALLSGPIPPELGRCGCLENIYLYENALSGSIPAQLGGLGKLRNLLLWQNQLVGVIPPELGSCAALAVVDLSLNGLTGHIPPSFGNLSSLQELQLSVNKLSGAVPPELARCSNLTDLELDNNQLTGGIPAELGRLPALRMLYLWANQLTGSIPPELGRCGSLEALDLSSNALTGAIPRSLFRLPRLSKLLLINNNLSGELPPEIGSCAALVRFRASGNHIAGAIPPEIGMLGNLSFLDLASNRLAGALPPEMSGCRNLTFVDLHDNAISGELPPRLFRDWLSLQYLDLSDNVIAGGIPPEIGMLTSLTKLVLGGNRLSGPMPPEIGSCTRLQLLDVGGNSLSGHVPGSIGKIPGLEIALNLSCNGFSGAIPAEFAGLVRLGVLDVSRNQLSGDLQPLSALQNLVALNVSFNGFTGRLPETAFFARLPTSDVEGNPALCLSRCSGDASEREVEARRAARVAMAVLLSALVVLLAAAALVLFGWHRRGGGARGGEDKDGEMSPPWDVTLYQKLEIGVSDVARSLTPANVIGHGWSGEVYRASMPSSGVTIAVKKFRSCDEASIEAFAAEVSVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGATGGGATTTAAVVEWEVRLAIAVGVAEGLTYLHHDCVPGIIHRDVKADNILLGDRYEACLADFGLARVADDGASSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEMITGRRPLDPAFGEGQSVVQWVRDHLCRKRDPAEIIDVRLQGRPDTQVQEMLQALGIALLCASPRPEDRPTMKDVAALLRGIRHDDGVEARKAGNGVGTDAETRKRADPRQPISPTKLMALARPAQAQAQAQAQLQARANSGSLGLLNDQE >ONIVA05G05090.1 pep chromosome:AWHD00000000:5:4040042:4043387:-1 gene:ONIVA05G05090 transcript:ONIVA05G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQILGLAASGAGAAAAAAAASSSPPAAGGGGGGGLFDLMSPDPQEDGGGHARRGQQQHGGADEVVPSYDFQPIRAAPAAAAPASAASPWGSLDSKAASSNLKSAGMLESHVLKKVSHEEERGNFSAVSIADIDRTMKKYADNLLHALESVSSRLSQLEGRTHHLENSVGELKLIIGNYNGSTDGKLRQFENTLREVQAGVQILRDKQEIVETQVQLSKLQLSKAEDAQSEKAGVGQADSRQQPTLPQPQHQAPPPSHPPALPALPAPNAPPPPAPQSQPPSQFPGHLPHSQVQSVPPAPPTPLAPTIPQESYYPPSAVQPTDTTHQQYQAPPAPQSQAPPAPPQHYQTPPQYVQYSQPPPASANPSTAVPPSVHQQPEEVAAPYGPPPQSYPPNVRLPSPYVPPPSGPAPPFYGPNPGMYEPPAVRPNSGPPPSYNTGYKPQGGGGFPEPYGYSGSPSHRGNAGMKSPSPFHPTGSAGSGNYSRLPTAQMLPQAASASSTPSASSGNRVPIDDVVDKVATMGFSREQVRAAVRQLTESGQNVDLNMVLDKVMNGADAQPQRGWHGR >ONIVA05G05080.1 pep chromosome:AWHD00000000:5:4027702:4031070:1 gene:ONIVA05G05080 transcript:ONIVA05G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGGGGGKDGGGGMAAQAGRLGVVASVAFNLAALAFYLRRRYFGGDDAAAARKKAEAEVAPSSGKPPVTKDSIINLDHGDPMMYEAFWLGGAGERATIVIPGWQTMSYFSDVGSLCWFLEPGLEREVRRLHRLVGNAVADGYHVLVGTGSTQLFQAALYALSPPGPSAPMNVVSPAPYYSSYPAVTDFLKSGLYRWAGDAKMFDGDTYVELVCSPSNPDGGIREAVLKSGDGVAVHDLAYYWPQYTPITSAAAHDIMLFTVSKCTGHAGTRLGWALVKDRAVAQKMSKFIELNTIGVSKDSQLRAAKILKAITDGYDRAAGAAAAGDDDDDSSGRLFHFARRKMVSRWAKLRAAVAASGIFTLPDELPGHCTFANETVSAYPPFAWLRCGKEGVDDLEGYLRERKIISRGGGKFGADGRVVRISMLDTDEAFAIFVDRLAAMN >ONIVA05G05070.1 pep chromosome:AWHD00000000:5:4019929:4024304:1 gene:ONIVA05G05070 transcript:ONIVA05G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18e [Source:Projected from Arabidopsis thaliana (AT5G05150) UniProtKB/Swiss-Prot;Acc:Q9FHK8] MGHVEEASGSDDDGVELLSVSWNQDNSCFIAATTNGFRVFSCKPFHETMRRMFGPNGGIGIAEMLFRTSIFGLAGAESNTEFPPTMLQLWDDYNERRIHKYNFTSEIRAVRLSKDYFVVVLEKTINVYRFKDLRLFYQARTVSNPNGLCCLSHHANASVFACPGTSKGQVLIEHFGLKETRFIAAHDSPLSCMTMALDGTLLATASVRGTLIRIFNTRDGTCVQEVRRGLDRAEIYSIALSPNVQWLAVSSDKGTVHVFSLRVKDAEEDAKKGESATAGAQVNDNCNYGSTVPVTQTKIGSNTSSSLSFMKGILPKYFSSEWSFAQFRLPEITRYIMAFGDQDTVMMIGLDGSFYRYSFDPVNGGEMMLKEYHLFLKASKSL >ONIVA05G05050.1 pep chromosome:AWHD00000000:5:4012433:4014265:-1 gene:ONIVA05G05050 transcript:ONIVA05G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0HA45] MGGCVGKGRRHIEEDKLDFKGGNVHVITSKEDWDRKIEEANKDGKIVVANFSASWCGPCRVIAPIYAEMSKTYPQLMFLTIDVDDLMDFSSSWDIRATPTFFFIKNEKQVDKLVGANKPELEKKVQALADGS >ONIVA05G05040.1 pep chromosome:AWHD00000000:5:4006404:4008455:-1 gene:ONIVA05G05040 transcript:ONIVA05G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMQKTQSRKSSSWWWDSHISQKSSKWLSDNLEVMETQIKETLELIEEGETSAEKAGVLITHVQNFQQMYRVLAERYGNVTGELRKNIPSSLQSSVSFGISESDSEAQSPSSPERDLQEKMSQKQKPRSDCFDVSIGSGMSSDVSKKGSDGSSSSSESDLELDEAKEENGNSIFYALSQKIIELEDELHEVRGKFDASEEKNMRCHCNFGANSELSEHEEKQQVSDVETSSLQKDLDEVKSEKEALEAVVLVNKDEIDRLKESMVSAAKQFEVELAHRDTETDKCKQELEVLSEKYLHDISALEAEIGKLQGVIKNFEDDIAKISQEKLLLESRVEELEQSVNSSNYSVSEMVKLQELMKDTQAELEQVSQEKEVLRERVLEFEQLFRDFENSGMEVAKLPETIKNLGAQIEGTLQEKSVLQDRIKELEQVVHDSLQNHSLEKSSLSAELSKLSEANASLEAKLASVEAELKQVYDEKANESLNSEKEISRLNQELANVKTDLELLLSEKSLVDNKLTTLLTDITTRDETMKQMDDQLNQLQLEHSKLMAQADLARKSLSELHARVCELEKEVEMQKLVISESAEGKREAIRQLCFSLEHYRSGYQELRQLLHDQKRPLVMAT >ONIVA05G05030.1 pep chromosome:AWHD00000000:5:3994624:3997678:-1 gene:ONIVA05G05030 transcript:ONIVA05G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALWMKFFEHKPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRSIQLSLSVLLFGVGVATVTDLQLNAVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFDYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCTLEGQQKNAEVSPQQAKEGDSAPLISDSLSKVENGGGVVDDEPLKVPMWSSKYSRA >ONIVA05G05020.1 pep chromosome:AWHD00000000:5:3983897:3986443:-1 gene:ONIVA05G05020 transcript:ONIVA05G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0HA42] MLAKMQSRRWILAYLLVVLVSLHGGANGFYLPGTFMHTYTPNEVISAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEILMGDQIDNSPYRFRVNVNESVYLCTTDPLTKEQAELLKKRARDLYQVNMVLDNLPVMRFTEQNGVTIQWTGFPVGYNPMGSNEDYIINHLRFKVLVHQYQAQGDVVITSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYDKVDSVKCPLELEKSQAIRENERITFTYDVEYVKSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTSEGWKSVAWLTSCFFPGIVFVILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAASIEYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >ONIVA05G05010.1 pep chromosome:AWHD00000000:5:3978854:3982609:1 gene:ONIVA05G05010 transcript:ONIVA05G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSPAAAHHHRLLLLLLLYSSALLIPLASAYRPGDIVPMLRSGQYHGSRSVWFDVVGRHCPSFAVNHEVMMPIPKPTGFTGADPYKITFQIGHEKFHLPWLYVINRKSSEVPMIDFHLKYSGNDLLGVTAKVVDMPHIYVEHHPDIRKNFWDQQNWPKYVLVRYTWEEQSEIDVPGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRFVREAVNDSSLPEGGFAKVE >ONIVA05G05000.1 pep chromosome:AWHD00000000:5:3972912:3976631:-1 gene:ONIVA05G05000 transcript:ONIVA05G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10970) TAIR;Acc:AT3G10970] MESCSFRTAASPSPFPSLPSSSRQAPLCSSLRFPRPRNGRMVGVRRRASGFEAFPPLPGKVFVEEAIGAEYGEGFETFRMDGPLKVDVDYLNEKLQECFLQRIRHAMKPDEACGLIFSWDNILSGKDIPNAGHLQKSILHGAADHVLRKVLYWAKDESQMERLKARLIELYYENLFKLDTPVNGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMELSKYFKAIVTDEDDMESIAHRYELVQADLAIAKYSELSVINLRRLFAHKGLSFMDLQKQIIERSPPKRKLTVDTIF >ONIVA05G05000.2 pep chromosome:AWHD00000000:5:3972912:3976631:-1 gene:ONIVA05G05000 transcript:ONIVA05G05000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10970) TAIR;Acc:AT3G10970] MESCSFRTAASPSPFPSLPSSSRQAPLCSSLRFPRPRNGRMVGVRRRASGFEAFPPLPGKVFVEEAIGAEYGEGFETFRMDGPLKVDVDYLNEKLQECFLQRIRHAMKPDEACGLIFSWDNDIPNAGHLQKSILHGAADHVLRKVLYWAKDESQMERLKARLIELYYENLFKLDTPVNGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMELSKYFKAIVTDEDDMESIAHRYELVQADLAIAKYSELSVINLRRLFAHKGLSFMDLQKQIIERSPPKRKLTVDTIF >ONIVA05G04990.1 pep chromosome:AWHD00000000:5:3968460:3970522:-1 gene:ONIVA05G04990 transcript:ONIVA05G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRIRREVEIESVADDSANSVQNIRLVIDIGDRTIQVPLNGHTVAQNIGRQAAAAVAGDSSAGGVSEKAGGGGEEWLQEQDGLLCMRGWLMAVATLFAAMAFQAALQPPGWMPRPRDWFAALLAADPAAAAVTRDQAGKAMLYLIVNTCTFATSLAVLLMLLAVGGGGGGCASRRVTARLIANMMTAVALFAAATFALCAADDYRLMAFVGTVVAVYAVVTVVFVRCNLALPFRRGGGGHASGVKMTIRIAEQFIRLVIDIGETGRSRSLSMATPSFRTSAVRLPLPSPAVSVRKPVVLGGSGNSGAASACCAYADGWMVVATLFAAMALEAALQPPAWMPRPRDWFAALLAVPDRQHVHFRHVSGRDPHG >ONIVA05G04980.1 pep chromosome:AWHD00000000:5:3968187:3968381:-1 gene:ONIVA05G04980 transcript:ONIVA05G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVALFAAATFALCAADDYRLMAFVGIVVAVYAAVTVVFVRCNPALPFPGGDAGHGCSWVSRL >ONIVA05G04970.1 pep chromosome:AWHD00000000:5:3953057:3955438:1 gene:ONIVA05G04970 transcript:ONIVA05G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPHEPAGSEPSENVQNIEEDNEYLNNKRGWLMAVATLFVGMAFQAAIQLPAWFPDDWPQAFSSHNMKHSGIIFRATVASAPSPISPQQHAATTLTEGQMRGIRWYIMFNTVTFTIALALLITLVAVGRSLASHSMRLMNAILFTLIISTSFTFVLAISSDWTVIRWMLPVLLVLGSYTLFISLVWPKIIEYRKEKKRQREAQSNTASPPP >ONIVA05G04960.1 pep chromosome:AWHD00000000:5:3935528:3936140:1 gene:ONIVA05G04960 transcript:ONIVA05G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSPGGNPVNVQHREGDGVQSNSGSTAPGDTNNMRRSRSFDEYMRDRPARVDDDGCRALRTNGLPGGAAAADRLVSATPFLPKQNQCCSGSVDHHLCSFSKSSLSNCRNAGSNQPHQVPNCDSIGVRRLQHGHFRDGAHNSGHAPAGE >ONIVA05G04950.1 pep chromosome:AWHD00000000:5:3887300:3889575:-1 gene:ONIVA05G04950 transcript:ONIVA05G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKGMIKLCVKTKGDSRDSIFFALMEDMNPRKILYGIREREREREQETHIPNIEQRRDSGTETEHKLDWGSRQRASSQELTIDEHNIPLSPMPHRRH >ONIVA05G04940.1 pep chromosome:AWHD00000000:5:3887114:3904472:1 gene:ONIVA05G04940 transcript:ONIVA05G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRHWRQRYVVLVNGEFLAARSLPGSPIQFVLGFSPRIPPLLNVGDMTKTNTYLGGYYG >ONIVA05G04930.1 pep chromosome:AWHD00000000:5:3872040:3872717:-1 gene:ONIVA05G04930 transcript:ONIVA05G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNGKEEVYVKEGSKLYSRMMSKEAAAAPLAVPSFRVYYGVASAGSVPFLWESQPGTPKSSPSTAVLPPLTPPPSYYASAGKKGGGGGASSGSRRRGWSAGGARGVLGAMFRRPWRRTSPCSTSSSSSWSYSSPSSVSMSPVFTVHQASPMAVARSHHTRACSAGAAYDDAAAARCFGMERECERGLVKGCGVAVAVRNALSSVVGHKSGGHGGAPAAAAAAY >ONIVA05G04920.1 pep chromosome:AWHD00000000:5:3857394:3859232:-1 gene:ONIVA05G04920 transcript:ONIVA05G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARRSCSMPAAGAGPGSAAAAVNTITPCAACKLLRRRCAQECPFSPYFSPLEPHKFASVHKVFGASNVSKMLLEVHESQRGDAANSLVYEANLRLRDPVYGCMGAILTLQQQVQALEAELAAVRADIVRHKYRLPSAAAVLPSSHASHLLAAAAAARQLPVAGTMGVVTTATLPASASSSSTTAVYAAASSSTDYSSITNENVPYFS >ONIVA05G04910.1 pep chromosome:AWHD00000000:5:3853252:3857830:1 gene:ONIVA05G04910 transcript:ONIVA05G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERKGEVSIGMYTYNHNSDSGFDVHEIYVKRSRFRVLLSYIGMVFLLASVCQLYLSKEGLSTGSVWSIAFGILVAKCLQYKPVKKESVVIMPTFGVQLEIHFWSGRVHRRFVPIGKILKPLLNECVTPVTCYWSLALLLRDEEELRLVFQSVELDAAAYTAVVDDDDADAGSVAVVTTPMVPATGSWRAAAAAARRCEAWEEGSTAAAEGRRYLCLTMSARTAASSASRAWTCCCKVRMAPMQPYTGSRSRRLASYTRLFAASPRWLSCTSCNDDDDDG >ONIVA05G04900.1 pep chromosome:AWHD00000000:5:3846620:3847132:1 gene:ONIVA05G04900 transcript:ONIVA05G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDMIRIVHLNGRVEEYGRPVAAGEILAANPNHVLSKPCCSQGGAVAVRRTILIVSPDSELERGEIYFLIPASSVPDKKKKSGGGAAAATPAASGRHGKSKQAAPSSDHGGNGRRHVRDVSSEKRSSSLHRRRMSAGSRTAAWRPHLECIVEDT >ONIVA05G04890.1 pep chromosome:AWHD00000000:5:3828660:3829628:-1 gene:ONIVA05G04890 transcript:ONIVA05G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPICQVRRPAGPATCDSLRARSGCPSWATSTCSRRCHTRHSTASPRATARSSTSASVPSARTARIFQESLWKWRPPPPPPSTGRPRSPSRRRRTTTRYSGGGGGGAPLQLHLDSFHASTSLPPSYHRYAHTSTPLFPASGGYGWLSSKEHCLTLGGAADLSLDKPADHHHHDTTSATTTEKAAGRFLDEWPRSDDGRTPWDGTQLSISIPTAAASSPDLAIAGAASRYHNNGDHLRTNE >ONIVA05G04880.1 pep chromosome:AWHD00000000:5:3818682:3823660:-1 gene:ONIVA05G04880 transcript:ONIVA05G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQHLNSQTVDLARNLRENQLGGVIFGCKHNTIEECFEKQLFGLPSVHYSYVRNVKPGLPLFLFNYSDRKLHGIFEAASPGQMCIDPYAWSHDGSLRTSFPAQVRICTKTRYPPLMESQFRTVLGDNYYNHHHFYFELDHAQTKALIAVFKSLAPANFTQVPAVSSKRTIAPLPSTKRQAPAIPDQKKGSASPKDINPFSVLSQSGGAVKDNWADSDVENGSISRSSDEKESRELVSDWEDLDDNVLHGQLGLCSNPDEISQNSSYNSVAKGAEFVECSHLVVNPVNGGIQSSDGDMLVISDDVHSGAVGVDGIESGGQNGPDDVSIQPERLSILQKLKELFVLRQQAVLSDQNLAYSNSDEYAPEETQANVSLSCPEQCAPEEPQANTTPSCPKLHVLEEIQANVSLLCPEQYVPEEPQANASLSCPEQHVPEEPQANASLSCPEQHVLEETQPNVSLPCPGQYVPEEPQDDASFSCPEQHVPEETQTNASLPCPDQHVPEETQDDASFSCPEQHVPEETQANASLPCPDQHVPDNASLPCPEQHVPEETQINAGLSCPDQHLPEETQFNVSLAYPDQHVPEETQVTAGISCPDQHVPEETQVNASLPSPNQHVPEETKATAAISCPDQHVTQANASLSQHEFGAKVEDNTSLEQNQGNAELIKIVLDLIKKTDSLDMRQNKSHEEILSLKEVVKDSGTKVKQLEYRIDELQFKLDSSLSLVRDACDTLDKPSIFLIGGYNGVSWLSSLDAFSPEKDILVPLAPLSSARSYASVATLEGCIFVCGGGVGDSFGNTVECYNTMCNEWMACPCLNNKKGSLAAVSLDGKIYAIGGGDGIVTYSDVEMFDPFLGKWICSPSMMNSRFALGAAEMNSVIYATGGFDGFSYLQSAERYDPREGFWARLPSMNVRRGCHTVAALGGVLYAIGGYNGDRMVSSVEIFDPRRNSWRVGDPMNFPRGYASTVTLGDNVFVIGGLQSSEKFMDSVEVYNVKCGWSVPGFSSIGVRCFASAAVV >ONIVA05G04880.2 pep chromosome:AWHD00000000:5:3818680:3823499:-1 gene:ONIVA05G04880 transcript:ONIVA05G04880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQHLNSQTVDLARNLRENQLGGVIFGCKHNTIEECFEKQLFGLPSVHYSYVRNVKPGLPLFLFNYSDRKLHGIFEAASPGQMCIDPYAWSHDGSLRTSFPAQVRICTKTRYPPLMESQFRTVLGDNYYNHHHFYFELDHAQTKALIAVFKSLAPANFTQVPAVSSKRTIAPLPSTKRQAPAIPDQKKGSASPKDINPFSVLSQSGGAVKDNWADSDVENGSISRSSDEKESRELVSDWEDLDDNVLHGQLGLCSNPDEISQNSSYNSVAKGAEFVECSHLVVNPVNGGIQSSDGDMLVISDDVHSGAVGVDGIESGGQNGPDDVSIQPERLSILQKLKELFVLRQQAVLSDQNLAYSNSDEYAPEETQANVSLSCPEQCAPEEPQANTTPSCPKLHVLEEIQANVSLLCPEQYVPEEPQANASLSCPEQHVPEEPQANASLSCPEQHVLEETQPNVSLPCPGQYVPEEPQDDASFSCPEQHVPEETQTNASLPCPDQHVPEETQDDASFSCPEQHVPEETQANASLPCPDQHVPDNASLPCPEQHVPEETQINAGLSCPDQHLPEETQFNVSLAYPDQHVPEETQVTAGISCPDQHVPEETQVNASLPSPNQHVPEETKATAAISCPDQHVTQANASLSQHEFGAKVEDNTSLEQNQGNAELIKIVLDLIKKTDSLDMRQNKSHEEILSLKEVVKDSGTKVKQLEYRIDELQFKLDSSLSLVRDACDTLDKPSIFLIGGYNGVSWLSSLDAFSPEKDILVPLAPLSSARSYASVATLEGCIFVCGGGVGDSFGNTVECYNTMCNEWMACPCLNNKKGSLAAVSLDGKIYAIGGGDGIVTYSDVEMFDPFLGKWICSPSMMNSRFALGAAEMNSVIYATGGFDGFSYLQSAERYDPREGFWARLPSMNVRRGCHTVAALGGVLYAIGGYNGDRMVSSVEIFDPRRNSWRVGDPMNFPRGYASTVTLGDNVFVIGGLQSSEKFMDSVEVYNVKCGWSVPGFSSIGVRCFASAAVV >ONIVA05G04870.1 pep chromosome:AWHD00000000:5:3813087:3816027:-1 gene:ONIVA05G04870 transcript:ONIVA05G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family [Source:Projected from Arabidopsis thaliana (AT2G30080) TAIR;Acc:AT2G30080] MSGTGCFPAGEMAAVARVCRDGAAAARLKTGSLLAILVASAVGICLPVALTGAFRGKAGYARGLLLVKCYAAGVILSTSLVHVLPDAHAALADCAVATRRPWRDFPFAGLFSLVGALLALLVDLSASSHLEAHGHHQHAEEGESPPPPPPTHQPYAPIPTTKKSPVFELSGEMSPKKRAHSDDTDRDDVALFGAKSAVRSDEVVVAPRVGCHGHHDVVEVGEEGGGGEEEEARRKQKMVSKVLEIGIVFHSVIIGVTMGMSQDVCAIRPLVVALSFHQVFEGMGLGGCIAQAGFGIATVGYMCVMFSVTTPLGILLGMAIFHMTGYDDSSPNALIIEGLLGSLSAGILVYMALVDLISLDFFHNKMMSSSNKLKKVSYVALVLGSASMSILALWA >ONIVA05G04860.1 pep chromosome:AWHD00000000:5:3799452:3808101:-1 gene:ONIVA05G04860 transcript:ONIVA05G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLSALLLALARDVAAGVVKENPKSSQFADHKAEIEAVGSGDERLEQEAATRTPLGASGGPGQLPHPPPRQRLGMEYYHSSDSEQGLRLGISATTRPTMDSSALPRSTVAHAADVATQDRKGMRKTKTKKKRRKKRKESVLASLPRDQLASKTTPLSPLAMGEGSTTAAAERDAADSSSEYSSPLRRPMVYYNSDVAAVDLYHGQFFKYQEKKARLEQLPTLKQSDYYQNIADWHNLNQKRAVLGVAKSVLSLSSNHDEKEIYRCTGIIIEWDEVSKSATLVTSSQILCNEESQDNSIYYPNTKMIAHLLDGTTSEMELLYFSKHYEIVFFKVNGALDLQVALLDTELEFGSEACVLARDKNLDLICRQTTIVAMDPCEHQKNHYLFIDASDCEDCNGGALTNFNRNIVGMVLYALPNVAFIPSSLILKCFALWKKFRKLGRPHLGLKLTVNFLDISHLENLSRVYGISSGLIVAKVSNGSPAERNGIRMGDVIFHCQQESISTTAQFEDVLLDVCEKHFEKGINLNSKVDVELVVYNLRKRSRRTVSLSVELSDGMEGYGTTRTANCCEEIVIL >ONIVA05G04850.1 pep chromosome:AWHD00000000:5:3791808:3792825:1 gene:ONIVA05G04850 transcript:ONIVA05G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRPNMFSNIPQRYVGTKRADSLFFIKVQVASTDYKVQIKEHLVNNCGIRSKAVDKWFQAVTVATGFTAVEVENFYYILTCAHLFEYFYSAEIKVDCDKLNSWFNILIICQHYESDMIANHPNLYADPIKSNDPRFYLPARIVKLDQSKDLMLLKVSKRYLYGNHTMQLCQMPHPVLSLATVKPRPADDIMLVSWPPCRKDSVITGQLVARDRVYGQLTQYLSKGYSMHLVELNVVGGAGCSGAPVLSHQAAVIGLYHGRIESLGYAVSAADIYEFCLGAHQVYIYMTTL >ONIVA05G04840.1 pep chromosome:AWHD00000000:5:3787466:3788002:1 gene:ONIVA05G04840 transcript:ONIVA05G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSPVAYDANVLLAAVTALSAAIAFVAALHLYARCLLRRRVAGAGAGAAGNPHALRRPVTPGGNYELEVISVAACALEGGGLDAKQLGALPVFTWGSSSPATAAADAAVQCAVCLGEMEDGELGRLLPACRHVFHAECIDRWLALSSTCPVCRAAVGAAEDDEPAAAPVAGVSPAS >ONIVA05G04830.1 pep chromosome:AWHD00000000:5:3777708:3787156:1 gene:ONIVA05G04830 transcript:ONIVA05G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructose-2,6-bisphosphatase [Source:Projected from Arabidopsis thaliana (AT1G07110) TAIR;Acc:AT1G07110] MGTSGSKGIDGVGGVGGGAAGLGGGEAGDGGGGVGGAASRSWHGGAQLYVSLKMENARITGDLVPHVYGSEPIIGSWDPARALAMERELASMWALSFVVPPDHETLDFKFLLKPKDADTPCIIEEGPTRHLTGGMLEGDVRIAWFKMNGDHETLEFRVFNKADIVSPLDLAASWRVFKENFQPSKVRGIPDVSINVAPAHGTEDTSTESLELDLEHYVIPTPSAATSEYAANLAANPASLIQTGALWTNDMLLSDGIQSPSSATADFEDHNNCNKDNVALPSDSFKKLQVSGIVESKSVDTLTTLQKQDGQKGLFVDRGVGSTKFGKSSSACSLASGLNFGTGKAMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETRHFNVGKYRRLKHGANQSADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRYMLMKMAEGNCKIIFLETICNDPNIIERNVRLKIQQSPDYADQPDYETGVRDFKERLANYEKVYEPVQEGSYIKMIDMVKGQGGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSEDGELYSKKLANFIEKRLKSEKTASIWTSTLQRTILTASPIVGFPKIQWRALDEINSGVCDGMTYEEIKKVMPEEFESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLREVPDIEMPLHTIIEIQMGVTGVEEKRYKLMD >ONIVA05G04820.1 pep chromosome:AWHD00000000:5:3771432:3774756:1 gene:ONIVA05G04820 transcript:ONIVA05G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQQQIWQEGKQQQHLHHGGYDDLSSVYRGTVVLPRRQGGLAPEPPPPRPSSSSGRSAAAQATAMRIHSEAERRRRERINAHLATLRRILPDAKQMDKATLLASVVNQVKHLKTRATEATTPSTAATIPPEANEVTVQCYAGGEHTAAARTYVRATVSCDDRPGLLADIAATFRRLRLRPLSADMSCLGGRTRHAFVLCREEEEEEDAAAEARPLKEAVRQALAKVALPETVYGGGGRSKRQRLMMESRYSTAVVHTHVDPQYCWYNSR >ONIVA05G04810.1 pep chromosome:AWHD00000000:5:3763347:3767917:-1 gene:ONIVA05G04810 transcript:ONIVA05G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGISTVVTTHSHLSRPLRNRHTLLVSRKVRAAKTLSRQPSVRHPRCCRLPPARLHRQRERVTMRSRINQTNALDKKLEAKHSATAADCQEVLAALSPDRVLDLPGHGSNWLGLDVAGLFYFDMDINPPRSDVIFELVMFVYISGTFLGACILCFFPIFYDLLPL >ONIVA05G04800.1 pep chromosome:AWHD00000000:5:3753760:3758084:-1 gene:ONIVA05G04800 transcript:ONIVA05G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAGGGGGGKVGLPALDVALAFPQATTASQFPPAVSDYYQFDDLLTDEEKTLRKKVRGIMEREIAPIMTEYWEKAEFPFHAIPKLATLGLAGGTTKGYGCPGLSLTASAISVAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKQKYLPSLTQFRTVGCWALTEPDYGSDASSLRTAAAKVPGGWHLDGQKRWIGNGTFADVLIILARNSDTNQLNGFIVKKGAPGLKCTKIENKIGLRMVQNADIVLNKVFVPDEDRLTGINSFQDINKVLAMSRIMVAWQPIGISMGVFDMCHRYLKERKQFGVPLAAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKAWTSKKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGIASFKPAALTKSRL >ONIVA05G04780.1 pep chromosome:AWHD00000000:5:3748683:3752864:1 gene:ONIVA05G04780 transcript:ONIVA05G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDARWAVRSALRFGGRGPRPTLTRGERRQIRDSGEGGGAGAGEMANAPPVREEMREGERDGVRGGDSPAATGARYQCLRVCRGKNLLSVSGWKKPSANLPLRETSFSSFGSASPAEIVSVAAEHAGECMEKSRGTRMMKVV >ONIVA05G04770.1 pep chromosome:AWHD00000000:5:3741579:3748658:-1 gene:ONIVA05G04770 transcript:ONIVA05G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVDASLTASLHETIAAVACSREEEEREDQTLVSSSSGGGGRGGGGGEDEPSTGSASHEEISLPHVIAQYDKGFILFHYNNSQMSRMDHMDRLNNEPPPFGQKLFMHPRSDPANGAGSSGYVGNTMRSNDLPSSSYAGQAYGQQNRAPIHASYSGHAPAGSSSGSYAPYNTQHMPASNYPHGSEDNFIPSSHVDGRRVALKRRNPIIHPTDGFGVGNYYAGSSSNTQFSRPMPPNPIPPPESCVRMPSHLGSNHWNDHRYVNHEGSQRNVRGRHDHSTIHLEQSPAAACPSSSINVPPYHPNANGPFGSTPVQRDRAPLSVHPRILPPGPDGSSIAFRERPYYPAPQSTNISAPVPTLPISCDSAPFAHGGYAPRSAHRNNLRTYPPPAFASSSNPGAVSHEPAIPSYPPAAPSYPPATSAASSSVQPFHAEAAAHLRHPRHVSVGGSGSARSRRMRDSYHGFHHLMIEDNNLGRSAAERFMMLDQLVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGHVNTGLADEKISGCVMEVACCSSSHLQDDQDNERCVICLEEYKHEDTLGRLKCGHGFHCNCIKKWLQVKNTCPVCKAAAADEGS >ONIVA05G04770.2 pep chromosome:AWHD00000000:5:3740548:3748658:-1 gene:ONIVA05G04770 transcript:ONIVA05G04770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVDASLTASLHETIAAVACSREEEEREDQTLVSSSSGGGGRGGGGGEDEPSTGSASHEEYNNSQMSRMDHMDRLNNEPPPFGQKLFMHPRSDPANGAGSSGYVGNTMRSNDLPSSSYAGQAYGQQNRAPIHASYSGHAPAGSSSGSYAPYNTQHMPASNYPHGSEDNFIPSSHVDGRRVALKRRNPIIHPTDGFGVGNYYAGSSSNTQFSRPMPPNPIPPPESCVRMPSHLGSNHWNDHRYVNHEGSQRNVRGRHDHSTIHLEQSPAAACPSSSINVPPYHPNANGPFGSTPVQRDRAPLSVHPRILPPGPDGSSIAFRERPYYPAPQSTNISAPVPTLPISCDSAPFAHGGYAPRSAHRNNLRTYPPPAFASSSNPGAVSHEPAIPSYPPAAPSYPPATSAASSSVQPFHAEAAAHLRHPRHVSVGGSGSARSRRMRDSYHGFHHLMIEDNNLGRSAAERFMMLDQLVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGHVNTGLADEKISGCVMEVACCSSSHLQDDQDNERCVICLEEYKHEDTLGRLKCGHGFHCNCIKKWLQVKNTCPAFANEAPSSHPR >ONIVA05G04770.3 pep chromosome:AWHD00000000:5:3741579:3748658:-1 gene:ONIVA05G04770 transcript:ONIVA05G04770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVDASLTASLHETIAAVACSREEEEREDQTLVSSSSGGGGRGGGGGEDEPSTGSASHEEYNNSQMSRMDHMDRLNNEPPPFGQKLFMHPRSDPANGAGSSGYVGNTMRSNDLPSSSYAGQAYGQQNRAPIHASYSGHAPAGSSSGSYAPYNTQHMPASNYPHGSEDNFIPSSHVDGRRVALKRRNPIIHPTDGFGVGNYYAGSSSNTQFSRPMPPNPIPPPESCVRMPSHLGSNHWNDHRYVNHEGSQRNVRGRHDHSTIHLEQSPAAACPSSSINVPPYHPNANGPFGSTPVQRDRAPLSVHPRILPPGPDGSSIAFRERPYYPAPQSTNISAPVPTLPISCDSAPFAHGGYAPRSAHRNNLRTYPPPAFASSSNPGAVSHEPAIPSYPPAAPSYPPATSAASSSVQPFHAEAAAHLRHPRHVSVGGSGSARSRRMRDSYHGFHHLMIEDNNLGRSAAERFMMLDQLVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGHVNTGLADEKISGCVMEVACCSSSHLQDDQDNERCVICLEEYKHEDTLGRLKCGHGFHCNCIKKWLQVKNTCPVCKAAAADEGS >ONIVA05G04770.4 pep chromosome:AWHD00000000:5:3741579:3746974:-1 gene:ONIVA05G04770 transcript:ONIVA05G04770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFNMSKISLPHVIAQYDKGFILFHYNNSQMSRMDHMDRLNNEPPPFGQKLFMHPRSDPANGAGSSGYVGNTMRSNDLPSSSYAGQAYGQQNRAPIHASYSGHAPAGSSSGSYAPYNTQHMPASNYPHGSEDNFIPSSHVDGRRVALKRRNPIIHPTDGFGVGNYYAGSSSNTQFSRPMPPNPIPPPESCVRMPSHLGSNHWNDHRYVNHEGSQRNVRGRHDHSTIHLEQSPAAACPSSSINVPPYHPNANGPFGSTPVQRDRAPLSVHPRILPPGPDGSSIAFRERPYYPAPQSTNISAPVPTLPISCDSAPFAHGGYAPRSAHRNNLRTYPPPAFASSSNPGAVSHEPAIPSYPPAAPSYPPATSAASSSVQPFHAEAAAHLRHPRHVSVGGSGSARSRRMRDSYHGFHHLMIEDNNLGRSAAERFMMLDQLVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGHVNTGLADEKISGCVMEVACCSSSHLQDDQDNERCVICLEEYKHEDTLGRLKCGHGFHCNCIKKWLQVKNTCPVCKAAAADEGS >ONIVA05G04760.1 pep chromosome:AWHD00000000:5:3739062:3739859:-1 gene:ONIVA05G04760 transcript:ONIVA05G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 11 [Source:Projected from Arabidopsis thaliana (AT5G03170) UniProtKB/Swiss-Prot;Acc:Q8LEJ6] MAASATTTILVAAMLVVMAVESPVANGQAAAAAPAPAPAAPKTITAILTKAGQFTKFLQLLQSTQAGEQINNQIKGKASSSGGLTVFAPPDNAFAALPTGTLNKLSDQQKTSLVQFHVVSALLPMAQFDTVSNPLRTQAGETAAGKYPLNVTAEGSRVNISTGVVNATVDNTLYSGDRLVVYQVDKVLLPWALYGPPVPAPAPSPADKAKKKAGPVGVADAPAADTAAGTTTTTVATASEAAARGTVRRGLVGVAVAVAVAWCGM >ONIVA05G04750.1 pep chromosome:AWHD00000000:5:3727625:3737583:-1 gene:ONIVA05G04750 transcript:ONIVA05G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGGPPPPPPPPMGAAPPPPGTGAPPPPLPAAAAAAGPPGGGKPLTPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTSKVDRRERRTIEEDEDENFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDVWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPTMPNEFLTYADTKVETRHPIRLYSRYIDKVQIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNMLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDSGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNTPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFT >ONIVA05G04740.1 pep chromosome:AWHD00000000:5:3721136:3725249:-1 gene:ONIVA05G04740 transcript:ONIVA05G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYRRDGRGGGGGGGGGGSAPRSVEDIFKDFRARRTAILRALTHDVEDFYAQCDPEKENLCLYGYANEAWQVALPAEERLFGMMNDLPTVYEVVSGSRQSKERDRSGMDNSSRNKISSKHTSDVARVENNIKEEDEGYDEDDGDHSETLCGTCGGIYSADEFWIGCDVCERWYHGKCVKITPAKAESIKQYKCPSCSSKRPRQ >ONIVA05G04740.2 pep chromosome:AWHD00000000:5:3721136:3725249:-1 gene:ONIVA05G04740 transcript:ONIVA05G04740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYRRDGRGGGGGGGGGGSAPRSVEDIFKDFRARRTAILRALTHDVEDFYAQCDPEKENLCLYGYANEAWQVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLVSVAFYYAARLNRNDRKRLFGMMNDLPTVYEVVSGSRQSKERDRSGMDNSSRNKISSKHTSDVARVENNIKEEDEGYDEDDGDHSETLCGTCGGIYSADEFWIGCDVCERWYHGKCVKITPAKAESIKQYKCPSCSSKRPRQ >ONIVA05G04730.1 pep chromosome:AWHD00000000:5:3712861:3722716:1 gene:ONIVA05G04730 transcript:ONIVA05G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAAAAATATATAAAAAAPSPARFPLRLVVTPRASLGHCRASSSARSPRRACYATTMGDETSTSVATQSQEPAAVGAGSVKQQLSKLVIASLRTTVPEVEVDPMVEVCTAKFGDYQCNNAMGLWSRIKGSGTSFKNPNAIGQAIAKNLPPSDIIESTSVAGPGFVNIVLSNSWVAKRIQDMLVNGIKTWAPILPVKRAVLDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVEVLRRNHVGDWGTQFGMLIQYLFEKFPNWEEIGSQAIGDLQTFYKASKNRFDGDAEFKDRAQQAVVRLQGGEERYRAAWNKICEISRNEFDMVYKLLNVKLEEKGESFYNPFIPQVLEELNNKGLIKESEGAKVIFIEGHQIPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFNAARMAGWLPDPKEKKYPKTNHVGFGLVLGSDGKRFRTRSTEVVRLIELLDEAKSRSKSELLQRLTENGKIVDWTEEELEKTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKMSGAISLDHPDERVLGLYLIRFAEVVEEACTNLLPNVLCEYLYNLSEMFTRFYTNCQVVGSPEETSRLLLCQATAVVMRQCFELLGITPVYKL >ONIVA05G04720.1 pep chromosome:AWHD00000000:5:3705295:3708922:-1 gene:ONIVA05G04720 transcript:ONIVA05G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGGDNDFAKAVLAGLDKCEELGYEDVELWSDSQRACGVLSDFETINMDDRNRMECMLLRFKSTRFKRLVSVQKPREIKDWSLCEILFMVDDILIEKVHSRYILRNLLKKWSPYLRGQSIYSITHTKLTRYIIRTKLTRDIIRHPIGAVPLHEAAERFSVDMIKLLFRQGASANVRTIGDEVTADLLPLHVAVEKYLHAYISMWRTIYPVSAGLYLRAHSSAMPT >ONIVA05G04710.1 pep chromosome:AWHD00000000:5:3703125:3704412:-1 gene:ONIVA05G04710 transcript:ONIVA05G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAAAGTKKKARKPYTITRPRERWSAEEHERFLDALILFGRDWKRIEAFVATKTAIQIRSHAQKHFLKARKFGLAGGLPPPLHPRRATLLRANAAAADMMPPPWLPSAGGGSIGCSAPPSGVQQSMAGRSPACYSTDEASFRPLIHSNDNDCSFIETPNCIGSGGESWIDDDAFFMQDETIRLPISPDDLGFAQVYKFVGDMFGSGERRPVEAHLRRLQGMDPAISETILLVLKNLEANLSA >ONIVA05G04700.1 pep chromosome:AWHD00000000:5:3698005:3700712:-1 gene:ONIVA05G04700 transcript:ONIVA05G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVDPNDRYEPRGRNSRLYVGHISLCTRAEDLENLFSRYGRVRFVDLKNEYGFVEFSDPRDANDARLDLDGRKYDGSDIIVQFARGVERGLGGSRGYKARPAHGSDHCFNCGMEGHWHRNCTAGDWTNRCYGCGERGHILRECKNSPKDLNGPPSHWGSHGADREERLHSRRDGRGYSRSPRRHDSPSNQRNHSPRRYALPSNERYDGTRRYASPSYGRDRSPGGNALPANGRSHNLTSDGMNPSPRERDDQNGSHRRGDSDYLSRDR >ONIVA05G04690.1 pep chromosome:AWHD00000000:5:3694602:3697130:1 gene:ONIVA05G04690 transcript:ONIVA05G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTFRKLKLVLALVGIITWIIGTCNAKFTPADNYLVNCGSTVDATVGQRVFVADNSQSIVLTTPQSQSIAARTTLNSVSGFDNAELFQTARIFTAPSSYSFKMRSSGRHFVRLYFFPFLYQSYDLASSKFKVSTEDVVLIDNFPQPSNSISVVMEYSLNITRDRLILTFVPEGNSTSFVNAIEVVSVPDDLITDSAQLLGVGQYLGLAAQPLQTFHRINVGGPKVTAENDTLARTWFADQSFFRNPTVAQAVTYQERLNYKDGSATQDDAPDSVYNTARRLVGQRNASSTPNMTWEFNVDGRSSYLIRFHFCDIVSKAAFQLYFDVYVYNFSAAKDLDLSAREFGTLAAPFYMDIVLPSSDPSGNLTVSIGPSSLPNATPDGILNGLEIMKMNFSSGSVYVVKPPSAAKQQLPIILGSVLGGIGAVIIVVVLCVVFRRKKKMKKPQTPLTSRPSSSWTPLSLNALSFLSTGTRTTSRTTYTSGTNSDTSYRIPFVVLQEATNHFDEQMVIGVGGFGKVYKAVLQDSTKVAVKRGNQKSHQGIREFRTEIELLSGLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKGHLYGGDQPPLSWKKRLEICIGAARGLHYLHTGFAKSIIHRDVKSANILLDENLMAKVSDFGLSKTGPEFDQTHVSTAVKGSFGYLDPEYYRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPRDMINLAEWAIKWQKRGELDQIIDKRIAGTIRPESLRKYGETVEKCLAEYGVERPTMGDVLWNLEFVLQLQEAGPDMSNIDSMNQISELPSNAQRISSLEISTADESRTAMDYSQMSTSNAFSQLINTEGR >ONIVA05G04680.1 pep chromosome:AWHD00000000:5:3676105:3686508:-1 gene:ONIVA05G04680 transcript:ONIVA05G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAGRSGVARAVRGYADAVAHHAGQAVADILQDRTHEIDGEPRQRQASLSRAPQRMVLRNACGVSLFGTAIATVGHAASHNNGLVDTKRDTARALAVSKSETALLRGPPPRSGFASDDRRYRIAGDYKSFKKTVARLEEAAVSCRGGERVELLRRWLGALQDIEAELSGSDLKDPEDRDPSSETDISKAPLALFYDADIEGGPMNFRDVFLYSQALEGITLSMVLEAPSEEEVSLLLEIFGLCLTGGKEVNKKIMDTVQDLAKALSNYKDEVLVKREELLEYTQSVISGLKRNADIMRIDAETLELWKKLDEKEKSRAQITEDQDKSSGNISVENIEGLKEALIEVRLCSRVEELVLKKKSISPGDSLEIHSQKAGLYSLANSSSKAEQRILENRRQKEDALNFRVKKENEVSTVEKEVLDEIAELEKQRDELEAQLKKVNISLNAAAGRLKKTREERDQFDEANNQIIFKLKTKEDDLSKSIASCNVEANVVKTWINFLEDTWQLQSTYNEQKEKKTCDELERCVSSFLKLTKHHLSVFKEVLSPSIESIRTYVDNLVVLNSREETKQDEDDEASEKTNPRISLEEEYLETEKKIIIALSIADHIKKLFYSEQRANSRRDDPEVKNLIAEIEKLRGEFESIERPMLSIEANKSKPLPEERSELSPSPIQAPATPKAAHVDSPKSPMKPEQHLNPDNELANLGAELGSEDRDFSGEEINGWEFDELEEDLKN >ONIVA05G04680.2 pep chromosome:AWHD00000000:5:3676105:3686508:-1 gene:ONIVA05G04680 transcript:ONIVA05G04680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAGRSGVARAVRGYADAVAHHAGQAVADILQDRTDYKSFKKTVARLEEAAVSCRGGERVELLRRWLGALQDIEAELSGSDLKDPEDRDPSSETDISKAPLALFYDADIEGGPMNFRDVFLYSQALEGITLSMVLEAPSEEEVSLLLEIFGLCLTGGKEVNKKIMDTVQDLAKALSNYKDEVLVKREELLEYTQSVISGLKRNADIMRIDAETLELWKKLDEKEKSRAQITEDQDKSSGNISVENIEIDKLKILADSLANSSSKAEQRILENRRQKEDALNFRVKKENEVSTVEKEVLDEIAELEKQRDELEAQLKKVNISLNAAAGRLKKTREERDQFDEANNQIIFKLKTKEDDLSKSIASCNVEANVVKTWINFLEDTWQLQSTYNEQKEKKTCDELERCVSSFLKLTKHHLSVFKEVLSPSIESIRTYVDNLVVLNSREETKQDEDDEASEKTNPRISLEEEYLETEKKIIIALSIADHIKKLFYSEQRANSRRDDPEVKNLIAEIEKLRGEFESIERPMLSIEANKSKPLPEERSELSPSPIQAPATPKAAHVDSPKSPMKPEQHLNPDNELANLGAELGSEDRDFSGEEINGWEFDELEEDLKN >ONIVA05G04680.3 pep chromosome:AWHD00000000:5:3676105:3686508:-1 gene:ONIVA05G04680 transcript:ONIVA05G04680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAGRSGVARAVRGYADAVAHHAGQAVADILQDRTDYKSFKKTVARLEEAAVSCRGGERVELLRRWLGALQDIEAELSGSDLKDPEDRDPSSETDISKAPLALFYDADIEGGPMNFRDVFLYSQALEGITLSMVLEAPSEEEVSLLLEIFGLCLTGGKEVNKKIMDTVQDLAKALSNYKDEVLVKREELLEYTQSVISGLKRNADIMRIDAETLELWKKLDEKEKSRAQITEDQDKSSGNISVENIEIDKLKILADSLANSSSKAEQRILENRRQKEDALNFRVKKENEVSTVEKEVLDEIAELEKQRDELEAQLKKVNISLNAAAGRLKKTREERDQFDEANNQIIFKLKTKEDDLSKSIASCNVEANVVKTWINFLEDTWQLQSTYNEQKEKKTWEETKQDEDDEASEKTNPRISLEEEYLETEKKIIIALSIADHIKKLFYSEQRANSRRDDPEVKNLIAEIEKLRGEFESIERPMLSIEANKSKPLPEERSELSPSPIQAPATPKAAHVDSPKSPMKPEQHLNPDNELANLGAELGSEDRDFSGEEINGWEFDELEEDLKN >ONIVA05G04660.1 pep chromosome:AWHD00000000:5:3665897:3666581:1 gene:ONIVA05G04660 transcript:ONIVA05G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGLAEKCKRTLDDPCLGRRLADGRSDHCLTGQEDGVTNSANDRSSSMWKWEWMDDQTMWTRSCLIKTLCYDAGNQHLITGSSHIRHTAIIQLLR >ONIVA05G04650.1 pep chromosome:AWHD00000000:5:3660946:3663371:1 gene:ONIVA05G04650 transcript:ONIVA05G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGVNETVAVAVAIDAPGVGQDHGAAGEVRRPSARRLAPAGSGGRLMAELLGVFNGLTERMGEDVATSSSSRLLFRALKLALPALRDGGGDGGGGQSVSRALVVAASLADLQMDAEVISAGMVRGALDTGALAMADVEAQLGASAAGLVEESLKVKRAPSEVDVADEEAASALRKRCLSSYDIRAVILELAVKLDAMKHLDVLPKHQQRTTSLEVLKVFAPLAHAVGAGELSLELEDLSFQRLYPQAYAHIDQWLSSQEDDCKRVIAASKEELLRALTADDELRRTVTGVDVMGRYKSRFSTMKKLVKDGRRPEDVNDILGMRVILDPRPGGGGDGGDGGDRACLRTHEVIKAMWKDVPARTKDYITRPKGNGYRSLHVAVDMSEPGPEGKKRPLMEIQVRTREMDMAAVGGQASHALYKGGLTDPEEAKRLKAIMLAAAEVAAQHLRDESAGDGGQTAAAASATAGNVERAFQLLDKNGDGRISMEELTEIMEDLGAGGHDAEELMRLLDANSDGSLSSDEFALFQKRVELKTKLEDKDDEYKEILKQKLQKVDDTGLIHVYRKNLSDKLVLA >ONIVA05G04640.1 pep chromosome:AWHD00000000:5:3648442:3651268:1 gene:ONIVA05G04640 transcript:ONIVA05G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGEVVVVDPAAAAVAPRLTPAGSGGRLMAELLGVFNGLTERMGDDVATSSSWTLLFHALKLALPALRDAAGGRSLSRALIVAASLADLQMDAEVISAGIVRQAMDAGAVTMADAEAQLGPGAAALLRESLDVKNAPSRVDVADEEAASALRNRILSGYDVRAVILELAIRLDAMKHLDGVPKHQQRTTSLEVLKVFAPLAHAVGAGALSKELEDLSFWRLYPQAYAQVDQWLSGQEDDCKRVLATCKDDLLQALAADDELRHTVAGFDVKGRYKSRFSAMKKLVKDGRRPEDVHDILGMRVILDHRAGDGHRACIRTHEVIKGMWKDVPARTKDYIARPKGDGYRSLHIAVDMSEPGPEGKKRPLMEVQIRTKEMNDAAAKRLKDIMLAAAEVAAQHLRDEPATGDQTGVPAAAAAAANAGNIERAFRLLDKNGDGRISMEELTELMEDLGAGGKDAEELMRLLDDNNDGSLSSDEFALFQKRVELKAKLEDRDDEYKEILKQKLQKVDDTGLIHVYRKNLSDKLVSG >ONIVA05G04630.1 pep chromosome:AWHD00000000:5:3636059:3639863:1 gene:ONIVA05G04630 transcript:ONIVA05G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSKIPLPPGTVIPCTRRRKPKDIYTARDVASTPDKLMIRKAARSVVAIETTYSDGKIIAVFSGIVVSWNETTRSATIVTCSEAVCDDGALIDPKPKVLVHLPNKTILDGQLLFFNDHYRIMLLECGSGGSVIDQHGDVVGMAIGAPPNPDILPISIVQTCIEMWTKFSRIARPVLNMELRAFELIEVSHQEEIELDHNINDGFIVAVLVVYDAVRHATRSITYPLEFSDASERDSS >ONIVA05G04630.2 pep chromosome:AWHD00000000:5:3636059:3639863:1 gene:ONIVA05G04630 transcript:ONIVA05G04630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLECGSGGSVIDQHGDVVGMAIGAPPNPDILPISIVQTCIEMWTKFSRIARPVLNMELRAFELIEVSHQEEIELDHNINDGFIVAVLVVYDAVRHATRSITYPLEFSDASERDSS >ONIVA05G04620.1 pep chromosome:AWHD00000000:5:3633815:3634753:-1 gene:ONIVA05G04620 transcript:ONIVA05G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQNQPEPPRVPHVFSNEPGHYAATTAVNSVFLVEAVIRHLTEIGDDEESTASYYNRSRAVYRNLAQRVRRQTEGIGNIGSTSSSAAAAAAGSSGWGKRSRPPSDEGTSDKTPSGPSEPKGVTMGITEGTKYRYSTGFAIAERGEILRILTCAHIFEDIFTKNVHELTVENLNIMFRFCVICVHQEREVRQKPSRLRQTTTARVIAVDTQKDLLVLEINTGYLCLFSGENQRTEYCRFEHPPIPIAPRPPRTMEEVVLLGWPPQRSESASTGTVSFIGRTYDMICTEDSNVLMDILEVHF >ONIVA05G04610.1 pep chromosome:AWHD00000000:5:3628958:3633046:1 gene:ONIVA05G04610 transcript:ONIVA05G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-activated RelA/spot homolog [Source:Projected from Arabidopsis thaliana (AT3G17470) TAIR;Acc:AT3G17470] MATAATTSAAAIPTGGGGRRQHPHPRRLHRLRLPAQAAAAAAASSPSTSSSSSSSSTPAEGGGRLVAELVGAFNELTGRMGEGLATSSSSRLLFRALKLALPALRDGDGGRALARALAIAASLADLQMDAEVISAGILREALDAGAISMRDVKSEIGISTAHLLHESLRLKHAPSKLDVLDDESASALRKFCLSYYDIRAVILELALKLDMMRHLDCLPRYLQRIKSLEVLKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYDHIDQWLRSQETENKLLIDSYKEQLLQALKDDDELSQIVQDISIQGRYKSRFSTMKKLVKDGRKPEEVNDILALRVILEPRCDGSSLDWGPRACHRTHEIIQAMWKEVPGRTKDYVTRPKENGYQSLHVAIDVSEPGKMRPLMEIQIRTKEMHKFAVGGEASHSLYKGGLTDPGEAKRLKAIMLAAAELAAMRLRDLPASDQGDSNCTNRAFCQLDKNGDGRISIEELTEVMEDLGAGGKDAKELMHLLDANSDGSLSSDEFEAFQRQIELMRSLDDKDDRYRKILKEKLQTIDSAGLIQVYRKQLGDKLLVS >ONIVA05G04600.1 pep chromosome:AWHD00000000:5:3613367:3618615:1 gene:ONIVA05G04600 transcript:ONIVA05G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9Z2] MAAPSAATRRKLQRKFKLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEADEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLAIHGEAGDKAALYRDRYQVLLQRLARDIYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGACYAFLSTKHKITSGFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVIPTEETVRLSTLEKKAMNDMFVILSDVWLDNYETMEKLGVVLDGYDSLEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWSYDHCLRLYPTPHTIVLGDKSDQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES >ONIVA05G04600.2 pep chromosome:AWHD00000000:5:3613367:3618615:1 gene:ONIVA05G04600 transcript:ONIVA05G04600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9Z2] MAAPSAATRRKLQRKFKLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEADEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEYVLLQRLARDIYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGACYAFLSTKHKITSGFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVIPTEETVRLSTLEKKAMNDMFVILSDVWLDNYETMEKLGVVLDGYDSLEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWSYDHCLRLYPTPHTIVLGDKSDQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES >ONIVA05G04600.3 pep chromosome:AWHD00000000:5:3613367:3618633:1 gene:ONIVA05G04600 transcript:ONIVA05G04600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9Z2] MAAPSAATRRKLQRKFKLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEADEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLAIHGEAGDKAALYRDRYQVLLQRLARDIYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGACYAFLSTKHKITSGFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVIPTEETVRLSTLEKKAMNDMFVILSDVWLDNYETMEKLGVVLDGYDSLEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWSYDHCLRLYPTPHTIVLGDKSDQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES >ONIVA05G04600.4 pep chromosome:AWHD00000000:5:3613408:3618633:1 gene:ONIVA05G04600 transcript:ONIVA05G04600.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9Z2] MAAPSAATRRKLQRKFKLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEADEAVDAASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEYVLLQRLARDIYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIPTEETVRLSTLEKKAMNDMFVILSDVWLDNYEKTMEKLGVVLDGYDSLEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWSYDHCLRLYPTPHTIVLGDKSDQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALES >ONIVA05G04590.1 pep chromosome:AWHD00000000:5:3594122:3604273:-1 gene:ONIVA05G04590 transcript:ONIVA05G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTLHTPQSPYLYKSLVRERRENTHHLPCRLRASLRPPPHKSSVPPARMPPKRSGTMKATAAAAVGAAGTAGASPVGGGPAGDASAAAGRRVTRLRSRELGLEATTGAGGDVASDDPGPARKKGKVQPATKGAKGKAARADPPTPPPRPRLPPFPKSGDPMDFLKWDKEFRRICALPEPAGTVIPCTVRRKPTGPRTVSITGVASVADNSMIRKASRSVVGISSRIPDGKEIMQCSGIVVDWNKTSRLATIVTCSAAVCFDGALVHPNPKLLVHLPNRSTAEGQLLFFNAHYRIALLEALVDFPLEPANFGSSPKFGQKVFTLARDKKSSFFARSGTVLLQDPPFFLKYKYWLSLSSAIELCGTGGPAIDEHGDVAGMTFGRLPNPDVLSISILQTCIDMWRRFSRVARPFLDMDLIAFETLDITHQEEIESEHGITDGFIVDLVCDDSTAGRLGISRGDVIVSYNGLRDFTLHTFEEYLLNLGWGFLESTDPSWTINLELEIYDPVRGTIRGVTFPLGFSDICEDVCNILS >ONIVA05G04580.1 pep chromosome:AWHD00000000:5:3578640:3580884:1 gene:ONIVA05G04580 transcript:ONIVA05G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRSGTMKATAAAAVGAAGTAGASPVGGGPAGDASAAAGRRVTRLRSRELGLEATTGAGGDVASDDPGPARKKGKVQPATKGAKGKAARADPPTPPPRPRLPPFPKSGDPMDFLKWDKEFRRICALPEPAGTVIPCTVRRKPTGPRTVSITGVASVADNSMIRKASRSVVGISSRIPDGKEIMQCSGIVVDWNKTSRLATIVTCSAAVCFDGALVHPNPKDEEKSSKINHLMGTCTKQNENVDVVRDKENYLRWRNKKQQLSVACN >ONIVA05G04570.1 pep chromosome:AWHD00000000:5:3570017:3571044:-1 gene:ONIVA05G04570 transcript:ONIVA05G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGRLVILVAVAVVVVVAGGGAAEGAGECGRASADRVALRLAPCVSAADDPQSAPSSSCCSAVHTIGQSPSCLCAVMLSNTARVAGIKPEVAITIPKRCNMADRPVGYKCGDYTLP >ONIVA05G04550.1 pep chromosome:AWHD00000000:5:3561090:3564653:1 gene:ONIVA05G04550 transcript:ONIVA05G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH/CRA/RING-U-box domains-containing protein [Source:Projected from Arabidopsis thaliana (AT3G55070) TAIR;Acc:AT3G55070] MEMAIDTPSPSPSASSAAAGRQTRAAESVRLEHQLLRVPLEALKSTVRTNHRLAEKEIAAVLSSAAAAPGGGGGGSGDAAAVDHLTSLVSRLHGLKRKMEEGARAEELQVQRCRARLNRLASASSGDDAEWEELRLKRILVDYMLRMSYYDTAANLAETSGIQDLVDVDVFLDAKRVIDSLQNKEIAPALAWCAENRSRLKKSKSKLEFFLRLQEFVELVKAKNFMHAIAYARKYLSPWGATHMKELQRVTATLVFRSSTNCAPYKVLFEQNQWDSLVDQFKQEFCKLYGMTLEPLLNIYMQAGLTALKTPFCFDGNCPKEDPLSLPGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPLVFPNGYVYSTKALDEMAKKNGGKVTCPRTGDICNYTDLVKAYIS >ONIVA05G04540.1 pep chromosome:AWHD00000000:5:3555833:3559774:1 gene:ONIVA05G04540 transcript:ONIVA05G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9Y5] MAMASLARRRAAEAALLRRAPAAAWASAWRGYAAAAAGEESDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKASFAHHGIKFSNLEIDLPAMMSQKDKAVAGLTKGIEGLFKKNKVEYVKGFGKFVSPSEVSVDLLDGGSTTVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALALSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFASDIVPSMDGEIRKQFQRMLEKQKMKFMLKTKVVGVDTSGSGVKLTVEPAAGGEQSVLECDIVLVSAGRVPYTSGLGLNALGVETDKGGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKASGVAYQVGKFPLLANSRAKAIDDAEGLVKVVAEKETDRILGVHIMAPNAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTYLKAIHI >ONIVA05G04530.1 pep chromosome:AWHD00000000:5:3545093:3547724:-1 gene:ONIVA05G04530 transcript:ONIVA05G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRVLHGKSSCNRGVLRFSAPRHARASRRLCRGVSTTIITSDEGRGGSCRDAFRDRFKVLKNHAMQEDINPCFFYAVTGYFDYRAENRPRHKESMFQDGATLVGGQQDRDSLKRQTTYRSMSLGESSNAPHAASSILGEIPKPLRYTHQYNWADLAVVYEEAIFFVLQAYNEEVIHLSMRYDMLWTCSAGNHALDDAFRLAHQICAQKNCKCPIILFLKIMILKLGFTGSLTVPNVLVGMQTLPVEKKAGSSCSPAMNSKGEEDSSKLEEPKASKTPEYRSSLIVPNVLNETQTLPVEKKAGSSCSHALNSKGKEESSGRLGKEPQASRANNPVLLSTPKSRSQGIKHKPQTGEKAASSRCSTAFNSKRGEDSSGCSSVSVPIGAMMINVNLLD >ONIVA05G04520.1 pep chromosome:AWHD00000000:5:3541762:3542031:1 gene:ONIVA05G04520 transcript:ONIVA05G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGRYIKEDENGKYIYEWNKSILRPLYEETEPSLQEGLAEFRNEYEEKGYIEAGEDYHTYMAEVQEWSKKYWDLYFNTSEMEEEEDD >ONIVA05G04510.1 pep chromosome:AWHD00000000:5:3522573:3536891:-1 gene:ONIVA05G04510 transcript:ONIVA05G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMNGCGGGGGGGGGGVMKRMGLLRVQYYCVMGFVAAAVVLATLRYMPAPATAPPTVDGGGATVRSSAATVDSAAAAAAAAPGGGGVERGKGKRKHVWSGEEEEVAAEKKAAAGVVVFNFGDSNSDTGGVAAVMGIHIAAPEGRAYFHHPTGRLSDGRVILDFISTLDRTTRTARLPAPGTRHARGGVADTWQPSKLCDPLANGEYGVYWFGVVFVYFQCKNSKARSSRKEHLCTFNEGESLNTHHLSPFMRPLGADYNNGVNFAIAGSTATPGETTFSLDVQLDQFIFFKERCLESIERGEDAPIDSKGFENALYTMDIGHNDLMGVLHLSYDEILRKLPPIVAEIRKAIETLHKNGAKKFWIHGTGALGCLPQKLATRGEIDRDLDEHGCITRINNVAKRFNKLLSETCDDLRLQFASSTIVFVDMFAIKYDLVANHTKHGIEKPLMTCCGHGGPPYNYDPKKSCTANDKDLCKLGEKFISWDGVHFTDAANEIVASKVISGEFSIPRIKLTASVVRPKKAKNSRRELRRARAMAMASPTNGGGGGGNKVISLRLQYYCVLAAVVVAVMVLSLAFVSPSAMGAAVRQNLGSVVAATAAAGEGADASAAASGAGVAATTAGEEEREQAAAAGVVLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFHHPTGRLSDGRVIIDFICESLNTRELNPYLKSIGSDYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKHRSLELFERGQKGPVSKEGFENALYMMDIGHNDVAGVMHTPSDNWDKKFSKIVSEIKDAIRILYDNGARKFWIHGTGALGCLPALVVQEKGEHDAHGCLANYNKAARQFNKKLSHLCDEMRLQLKNATVVYTDMFAIKYDFVANHTKYGIKWPLMVCCGNGGPPYNFKPGKFGCDDLCEPGSKVLSWDGVHFTDFGSGLAAKLAMSGEYSKPKVKLASLVNAGSNKSSDS >ONIVA05G04510.2 pep chromosome:AWHD00000000:5:3522571:3536891:-1 gene:ONIVA05G04510 transcript:ONIVA05G04510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMNGCGGGGGGGGGGVMKRMGLLRVQYYCVMGFVAAAVVLATLRYMPAPATAPPTVDGGGATVRSSAATVDSAAAAAAAAPGGGGVERGKGKRKHVWSGEEEEVAAEKKAAAGVVVFNFGDSNSDTGGVAAVMGIHIAAPEGRAYFHHPTGRLSDGRVILDFICESLNTHHLSPFMRPLGADYNNGVNFAIAGSTATPGETTFSLDVQLDQFIFFKERCLESIERGEDAPIDSKGFENALYTMDIGHNDLMGVLHLSYDEILRKLPPIVAEIRKAIETLHKNGAKKFWIHGTGALGCLPQKLATRGEIDRDLDEHGCITRINNVAKRFNKLLSETCDDLRLQFASSTIVFVDMFAIKYDLVANHTKHGIEKPLMTCCGHGGPPYNYDPKKSCTANDKDLCKLGEKFISWDGVHFTDAANEIVASKVISGEFSIPRIKLTASVVRPKKAKNSRRELRRARAMAMASPTNGGGGGGNKVISLRLQYYCVLAAVVVAVMVLSLAFVSPSAMGAAVRQNLGSVVAATAAAGEGADASAAASGAGVAATTAGEEEREQAAAAGVVLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFHHPTGRLSDGRVIIDFICESLNTRELNPYLKSIGSDYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKHRSLELFERGQKGPVSKEGFENALYMMDIGHNDVAGVMHTPSDNWDKKFSKIVSEIKDAIRILYDNGARKFWIHGTGALGCLPALVVQEKGEHDAHGCLANYNKAARQFNKKLSHLCDEMRLQLKNATVVYTDMFAIKYDFVANHTKYGIKWPLMVCCGNGGPPYNFKPGKFGCDDLCEPGSKVLSWDGVHFTDFGSGLAAKLAMSGEYSKPKVKLASLVNAGSNKSSDS >ONIVA05G04500.1 pep chromosome:AWHD00000000:5:3518586:3521904:1 gene:ONIVA05G04500 transcript:ONIVA05G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi apparatus membrane protein TVP23 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9Y0] MDRPQDFDRSPLGGREVGSLSQIQRFLGLGFMGVLSARIQVVTENYANPVTCLFHVLFKAGALVFYILFSLFVKSFVIIFVITVFLAALDFWVVKNVSGRILVGMRWWNEIDDEGNSVWKFECLDGEALARMNKKDSWLFWWTLYLTAAAWIILGIFSLIRLEADYLLVVGVCLTLSLANIVGFTRCNKDAKKNIRGYFEGHAQNAITSRITSTLQSAFGVI >ONIVA05G04490.1 pep chromosome:AWHD00000000:5:3501669:3514763:1 gene:ONIVA05G04490 transcript:ONIVA05G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin protein ligase 6 [Source:Projected from Arabidopsis thaliana (AT3G17205) TAIR;Acc:AT3G17205] MFFSGDPTARKRVDLGGRSSKERDRQVLLEQTREERRRRLGLRLQNSSATKIQKCFRGKRDLELARSEVRGNFCSTFGEHCQRVDWSSFGANSDFLRQFLFFFNAKKDSDIAILCQVCNLLLDYVKHGGDVLRLFGGTNYSSLEPLVIHRVKKLALICVQTVHQKRHDWGSQLLMPPESTPKPCGSLLETAACLINPKLAWNCKVVGYLQRKKIYCLFRGIIISIPQTARNLEHNNNTSALEQVLMLIASHIGDDPCCCPVVDPRWSFSSQLLSIPFLWHRLSHFKKVFSANGLSKYYIHQIACFLPSCADVLPNDISSNHPGYACVLANVLEAATWILSEPKFASETAADIIAVCTSLLDALPTITSPTGRADDDDDTPMDDVINGLDADLEKQITAAIDSRLLQHLVNALFRGTISTDYSYPTGPSDIEVEAVGSICAFLHVTFNTLPAERIMTGLAYRTEIVPALWNFIKRCNENQSWPQFSKFVSSPPADAVGWLLPVSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKKALWELLWVIPSHASPAKKVLPNPSGFKKLSIENVKIGARIGLSELLAQLQDWNNRLPFTSSNDFYSQQATSENFVSQVALLGNTRASEIIKLAPFLAPFTSRVKIFTSQLANSRQSTTSHSALTRHRFKIRRNRLLEDAFDQLSMLSEEDLKGPIRVVFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAIYEGILVDLPFATFFLSKLKHKYNFLNDLPSLDPELYRHLLSLKHYDGDISQLELYFVIVNNEYGEQSEEELLPGGRDMRVTSENVITYIHLVANHRLNYQIRAQSTHFLRGFQQLIPNEWINMFNEHEFQVLISGSLESLDIDDLRSNTNYSGGYHPDHELIDIFWEVLKSFSSHNQKKFLKFVTGCSRGPLLGFQYLEPKFCIQRAGVPGMEEEDEDRLPTSATCMNLLKLPPYRNKTQLESKLLYAINAEAGFDLS >ONIVA05G04490.2 pep chromosome:AWHD00000000:5:3501669:3514763:1 gene:ONIVA05G04490 transcript:ONIVA05G04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin protein ligase 6 [Source:Projected from Arabidopsis thaliana (AT3G17205) TAIR;Acc:AT3G17205] MFFSGDPTARKRVDLGGRSSKERDRQVLLEQTREERRRRLGLRLQNSSATKIQKCFRGKRDLELARSEVRGNFCSTFGEHCQRVDWSSFGANSDFLRQFLFFFNAKKDSDIAILCQVCNLLLDYVKHGGDVLRLFGGTNYSSLEPLVIHRVKKLALICVQTVHQKRHDWGSQLLMPPESTPKPCGSLLETAACLINPKLAWNCKVVGYLQRKKIYCLFRGIIISIPQTARNLEHNNNTSALEQVLMLIASHIGDDPCCCPVVDPRWSFSSQLLSIPFLWHRLSHFKKVFSANGLSKYYIHQIACFLPSCADVLPNDISSNHPGYACVLANVLEAATWILSEPKFASETAADIIAVCTSLLDALPTITSPTGRADDDDDTPMDDVINGLDADLEKQITAAIDSRLLQHLVNALFRGTISTDYSYPTGPSDIEVEAVGSICAFLHVTFNTLPAERIMTGLAYRTEIVPALWNFIKRCNENQSWPQFSKFVSSPPADAVGWLLPVSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKKALWELLWVIPSHASPAKKVLPNPSGFKKLSIENVKIGARIGLSELLAQLQDWNNRLPFTSSNDFYSQQATSENFVSQVALLGNTRASEIIKLAPFLAPFTSRVKIFTSQLANSRQSTTSHSALTRHRFKIRRNRLLEDAFDQLSMLSEEDLKGPIRVVFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAIYEGILVDLPFATFFLSKLKHKYNFLNDLPSLDPELYRHLLSLKHYDGDISQLELYFVIVNNEYGEQSEEELLPGGRDMRVTSENVITYIHLVANHRLNYQIRAQSTHFLRGFQQLIPNEWINMFNEHEFQVLISGSLESLDIDDLRSNTNYSGGYHPDHELIDIFWEVLKSFSSHNQKKFLKAGVPGMEEEDEDRLPTSATCMNLLKLPPYRNKTQLESKLLYAINAEAGFDLS >ONIVA05G04480.1 pep chromosome:AWHD00000000:5:3492007:3497768:-1 gene:ONIVA05G04480 transcript:ONIVA05G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSISLMLEAQLEPGSQPLDKLTLTLVASKGLNFNQQYIMQPRGDKFSNGQKCVVDRIGHTFFHCSMERPISAWITSITAPATTQPLGHFILSYHKEDSLHVCLLSFVWLYMDSIDMLFRGLDHFILIRHYMMT >ONIVA05G04480.2 pep chromosome:AWHD00000000:5:3491092:3498347:-1 gene:ONIVA05G04480 transcript:ONIVA05G04480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRGDKFSNGQKCVVDRIGHTFFHCSMERPISAWITSITAPATTQPLGHFILSYHKEDSLHVCLLSFVWLYMDSIDMLFRGLDHFILIRHGHRTPMHRLN >ONIVA05G04480.3 pep chromosome:AWHD00000000:5:3489225:3498347:-1 gene:ONIVA05G04480 transcript:ONIVA05G04480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVLARCATAAGLAWRSRYSSSPTSPLNPMLRQPYPSGLAGPARCFSAGAIAKNAAVAASSLAATGAAAGTPCPTHRKNPCAAHAVATCLATAPRSADMSTVAIVTPPPPPTTPDAAAAGGAAATTVSCLAGVVAEGTTMIDRSIESGRMRGRNGVGDWSSGCWSWLR >ONIVA05G04470.1 pep chromosome:AWHD00000000:5:3488914:3497180:1 gene:ONIVA05G04470 transcript:ONIVA05G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSATTPARQETVVAAAPPAAAASGVVGGGGGVTIATVDMSAERGAVARQVATACAAHGFFRCVGHGVPAAAPVAARLDAATAAFFAMAPAEKQRAGPASPLGYGCRSIGFNGDVGELEYLLLHANPAAVAHRARTIDAMDPSRFSEICLHISASEYCSGVMQRSISAIVNEYIEAMKKLACEILDLLGEGLGLKDPRYFSKLTTNADSDCLLRINHYPPSCNIHKLDHDDQCNIKSLVSTKASNGGNLMAGGRIGFGEHSDPQILSLLRANDVEGLQVFVPDHEGKEMWVQVPSDPSAIFVNVGDVLQALTNGRLISIRHRVIATACRPRLSTIYFASPPLHARISALPETITASSPRRYRSFTWAEYKTTMYSLRLSHSRLELFKIDDDDSDNASEGKA >ONIVA05G04460.1 pep chromosome:AWHD00000000:5:3473284:3485774:-1 gene:ONIVA05G04460 transcript:ONIVA05G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGLENPRDDNADDQRTTPNEKLQARNNSPRIAPLFTFSSPPPFPSMATLLLLLHTAQQ >ONIVA05G04450.1 pep chromosome:AWHD00000000:5:3469165:3473250:-1 gene:ONIVA05G04450 transcript:ONIVA05G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9X2] MAVAAAAAARRRDVSCLLLLLCFSSSMAATAGGGGEQEADRVARLPGQPASPAVSQFAGYVGVDERHGRALFYWFFEAQASPAPEKKPLLLWLNGGPGCSSIGYGAASELGPLRVARQGAALEFNQYGWNKEANLLFLESPVGVGFSYTNTSSDLSNLNDDFVAEDAYSFLVNWFKRFPQYKDNEFYISGESYAGHYVPQLADLVYERNKDKRASTYINLKGFIVGNPLTDDYYDSKGLAEYAWSHAIVSDQVYERIKKTCNFKNSNWTDDCNAAMNIIFSQYNQIDIYNIYAPKCLLNSTSASSPDRAFFANNQEQFRWRIKMFSGYDPCYSSYAEDYFNKHDVQEAFHANASGLLPGKWQVCSDQILNSYNFSVLSILPIYSKLIKAGLRVWLYSNMHLHQKYATMPYNLPESVSVHQSSGDADGRVPVISSRYCVEALGLPIKTDWQSWYLDKQVAGRFVEYHGMTMVTVRGAGHLVPLNKPAEGLMLINAFLHGEKLPTSR >ONIVA05G04440.1 pep chromosome:AWHD00000000:5:3454237:3467993:1 gene:ONIVA05G04440 transcript:ONIVA05G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGMSRSIVRVSSPPSEGKLISPRTGFVISWDGATKRAMIVTLSTYFKKKPHEPQPEVHLPDKSIVQGRLIFMNRHYNLSILEITSDLPLQVPAFGSAPKYGQKILALSRDENMSLVARRGAITWSDGSFMWRNHYMFVDCDVPEGGVGGPVVDSCGSTIAMVYRAGPGAIIISISIICTFFEMWKQFSCVARPVFDVDLRSVELAGVSLREELSVKHNINGGFMVKRIADDSALEHLGVRQGDVIFFEDECGTNLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFSVDSGKFGCFDEQTDSEKKNARRRRRLVRREADMPPKSSPAMSARSKSTPDSDLRSHDGIPNPGSWSGRLTRSRAKQIGLVLPIQAVESPGISSNNNKKRRIEEETAGESPATVIPTTTTTGEPGTLARRPIHPPQPHSTAPRIGRESSWIAQRKVDRLRKATLRDAEDEPSTAAAMPTPSDKKMVLGMSRSIVRVSSPPTEGKFISPLTGTVISWDGATKRAMILTIYSTDFKSKPHEPQPELWVHLPDKSIVQGRLIFVNRHYSLAILEITSDLPLQVPTFGSAAKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFLDCDVPEGGEGGPVVDYCGSIIAVVYRDGPCAAIISISIIHALYEMWEQFSCVARPVFDMDLKSVELASFSLREELSLKHNIEGGFIVEHIANDSALENLGVRRGDVIFFEDKCGTMLPEIEDYLLHLGRRYLGEKRSMVLKLEVHDIEGTCKETITLPLEFKVSSGKVA >ONIVA05G04440.2 pep chromosome:AWHD00000000:5:3454213:3467993:1 gene:ONIVA05G04440 transcript:ONIVA05G04440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPRKSVAALSGPKSSPARSTRSRSKPDSDIAEHDDSPKSSSGRLTRSRAKAIEQVGNRTVEESAGVSSNKKKRRIEEETAGESPATGAPEGHGTLASRPLPPTHPPPTPRVRKSSCIVRLEVARLQKLTLRRDKKDDPSTAAALPTPSDKNMVLGMSRSIVRVSSPPSEGKLISPRTGFVISWDGATKRAMIVTLSTYFKKKPHEPQPEVHLPDKSIVQGRLIFMNRHYNLSILEITSDLPLQVPAFGSAPKYGQKILALSRDENMSLVARRGAITWSDGSFMWRNHYMFVDCDVPEGGVGGPVVDSCGSTIAMVYRAGPGAIIISISIICTFFEMWKQFSCVARPVFDVDLRSVELAGVSLREELSVKHNINGGFMVKRIADDSALEHLGVRQGDVIFFEDECGTNLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFSVDSGKFGCFDEQTDSEKKNARRRRRLVRREADMPPKSSPAMSARSKSTPDSDLRSHDGIPNPGSWSGRLTRSRAKQIGLVLPIQAVESPGISSNNNKKRRIEEETAGESPATVIPTTTTTGEPGTLARRPIHPPQPHSTAPRIGRESSWIAQRKVDRLRKATLRDAEDEPSTAAAMPTPSDKKMVLGMSRSIVRVSSPPTEGKFISPLTGTVISWDGATKRAMILTIYSTDFKSKPHEPQPELWVHLPDKSIVQGRLIFVNRHYSLAILEITSDLPLQVPTFGSAAKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFLDCDVPEGGEGGPVVDYCGSIIAVVYRDGPCAAIISISIIHALYEMWEQFSCVARPVFDMDLKSVELASFSLREELSLKHNIEGGFIVEHIANDSALENLGVRRGDVIFFEDKCGTMLPEIEDYLLHLGRRYLGEKRSMVLKLEVHDIEGTCKETITLPLEFKVSSGKVA >ONIVA05G04440.3 pep chromosome:AWHD00000000:5:3454213:3467993:1 gene:ONIVA05G04440 transcript:ONIVA05G04440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPRKSVAALSGPKSSPARSTRSRSKPDSDIAEHDDSPKSSSGRLTRSRAKAIEQVGNRTVEESAGVSSNKKKRRIEEETAGESPATGAPEGHGTLASRPLPPTHPPPTPRVRKSSCIVRLEVARLQKLTLRRDKKDDPSTAAALPTPSDKNMVLGMSRSIVRVSSPPSEGKLISPRTGFVISWDGATKRAMIVHLPDKSIVQGRLIFMNRHYNLSILEITSDLPLQVPAFGSAPKYGQKILALSRDENMSLVARRGAITWSDGSFMWRNHYMFVDCDVPEGGVGGPVVDSCGSTIAMVYRAGPGAIIISISIICTFFEMWKQFSCVARPVFDVDLRSVELAGVSLREELSVKHNINGGFMVKRIADDSALEHLGVRQGDVIFFEDECGTNLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFSVDSGKFGCFDEQTDSEKKNARRRRRLVRREADMPPKSSPAMSARSKSTPDSDLRSHDGIPNPGSWSGRLTRSRAKQIGLVLPIQAVESPGISSNNNKKRRIEEETAGESPATVIPTTTTTGEPGTLARRPIHPPQPHSTAPRIGRESSWIAQRKVDRLRKATLRDAEDEPSTAAAMPTPSDKKMVLGMSRSIVRVSSPPTEGKFISPLTGTVISWDGATKRAMILTIYSTDFKSKPHEPQPELWVHLPDKSIVQGRLIFVNRHYSLAILEITSDLPLQVPTFGSAAKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFLDCDVPEGGEGGPVVDYCGSIIAVVYRDGPCAAIISISIIHALYEMWEQFSCVARPVFDMDLKSVELASFSLREELSLKHNIEGGFIVEHIANDSALENLGVRRGDVIFFEDKCGTMLPEIEDYLLHLGRRYLGEKRSMVLKLEVHDIEGTCKETITLPLEFKVSSGKVA >ONIVA05G04440.4 pep chromosome:AWHD00000000:5:3454213:3467711:1 gene:ONIVA05G04440 transcript:ONIVA05G04440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPRKSVAALSGPKSSPARSTRSRSKPDSDIAEHDDSPKSSSGRLTRSRAKAIEQVGNRTVEESAGVSSNKKKRRIEEETAGESPATGAPEGHGTLASRPLPPTHPPPTPRVRKSSCIVRLEVARLQKLTLRRDKKDDPSTAAALPTPSDKNMVLGMSRSIVRVSSPPSEGKLISPRTGFVISWDGATKRAMIVTLSTYFKKKPHEPQPEVHLPDKSIVQGRLIFMNRHYNLSILEITSDLPLQVPAFGSAPKYGQKILALSRDENMSLVARRGAITWSDGSFMWRNHYMFVDCDVPEGGVGGPVVDSCGSTIAMVYRAGPGAIIISISIICTFFEICVARPVFDMDLKSVELASFSLREELSLKHNIEGGFIVEHIANDSALENLGVRRGDVIFFEDKCGTMLPEIEDYLLHLGRRYLGEKRSMVLKLEVHDIEGTCKETITLPLEFKVSSGKR >ONIVA05G04430.1 pep chromosome:AWHD00000000:5:3438866:3440759:-1 gene:ONIVA05G04430 transcript:ONIVA05G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTVERVDKAARPRAAQRDGARERRIGRRRSGCRRGRQRLGCAQAREAPRCGGGLAQPRARRGRVAYGGGLAPWRREVRSWGLAGMRTTMMAASVAEADDNEGMEFDRGGEEEAGGWKGKEAMGRVSRRRSCTPCGSRVAAHRAWSPSVGDWLADRPRTLRFRLPTTKDHTTERISGGGKVDFQWVGLRKITGVGCYVSGKIFRECTRAG >ONIVA05G04420.1 pep chromosome:AWHD00000000:5:3413160:3414685:1 gene:ONIVA05G04420 transcript:ONIVA05G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDWHGYAAANAGVASMADLNNDNVFPAPHLLTHPAKHHLVSKTIHSVFNIEVNVRHQEEDNKSAFRHFCRILKRLPINKNLDIAEIEETTIPTEVCTGFVIEQRGNILYLLTTAHALDDYYDAKNHDLTPKDLNKSFIFKVLCIHQERHLLSLQGVDDKSEHLNRYFCDAKVVAVNTQVDLMLLKLNREDIYYSYQDADNFIICPEDHPLIKLGKSPPVESENVFLQGWPALRSQTSVWGHISHTNRRYDTLTSLNVKGYKMNLIEVPEFQCAAGTSGSAILNGAARCVAVYHGIQKNCKAGYAISYQDVKTFVDTALANLPGDGDGDGDGDGDDDEENDDNDSDSDYDESDEEEEERKRKRGKGIGSGRGRGRGRASGSEKGRGSGKGKAKMR >ONIVA05G04410.1 pep chromosome:AWHD00000000:5:3410254:3410700:-1 gene:ONIVA05G04410 transcript:ONIVA05G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQICVSSTGVSEENRGGGSGGVEEGMEAVHSGVIGDGVDGTATERRDVATAGDCGCDDDKEGSVAGNGGALKWWRRVQEWVL >ONIVA05G04400.1 pep chromosome:AWHD00000000:5:3382857:3403486:1 gene:ONIVA05G04400 transcript:ONIVA05G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPRRSVAALTVGGHDAPKSSPAMSTRSKSTPDSDLRSHDGSNPSPESWSGRLTRSRAKQIGLVLPIQAVESPGISSNNKKKRKRREIEEETAKKQAQMEGHGTLASRPLPPMHPQTTPRVRRSSQITRLEVDRLQKLTLRRDKEDEPSTAAALPTPSHKNMGKLISPHTGIVISWDGATKCAMILTLFTYFKKKPHEPQPELQVHLPDKSIVQGRLRFMNRHYNLSILEITSELPLQVPAFGSAPKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFVDCDVPEGGEGGPVVGTGGSTIGMVYIDGPGAVIISISIICTFFEIWKQFSCVARPVFEVDLKSVELAGVLFREELSLKHNINGGFIVERIADDSALEHLGVRRGDVIFFEDECGTTLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFSVDSGKAAMSPKRRKSVAASSGGPKSSPEARSTRSKSKPDSDLAEHDDSPRSSSGRLTRSRAKVLEESAGVSSSKKKRRIEEESPAATTTTSTTATTGVMHREGHGTLASRSHPPIHPPTTPRVRKSSRIVRLEVDRLQKLTLRRDKKDDPSTAAALPTPSDKNMVLGMSRSIVRVSSPPSEGKLISPRTGFVVSWDGATKRAMIVTLSTYFKKKPHEPQPEVHLPDKSIVQGRLIFMNRHYNLSILEITSDLPLQVPAFGSAPNCVARPVFDVDLRSVELAGVSLREELSVKHNINGGFIVKRIADDSAPEHLGVRRGDVIFFENECGTSLPEIEDYLLSLGWRYLQGMKKSMVLKLEVHDIEGPCEETITLPLKFSVDSGKFGREGVDPSSKNSILRFEKLDSCREKIDPAARASVRVHEVDQRELEISWWSSRTLLG >ONIVA05G04400.2 pep chromosome:AWHD00000000:5:3382901:3403486:1 gene:ONIVA05G04400 transcript:ONIVA05G04400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPRRSVAALTVGGHDAPKSSPAMSTRSKSTPDSDLRSHDGSNPSPESWSGRLTRSRAKQIGLVLPIQAVESPGISSNNKKKRKRREIEEETAKKQAQMSAAAAGVIHREGHGTLASRPLPPMHPQTTPRVRRSSQITRLEVDRLQKLTLRRDKEDEPSTAAALPTPSHKNMGKLISPHTGIVISWDGATKCAMILTLFTYFKKKPHEPQPELQVHLPDKSIVQGRLRFMNRHYNLSILEITSELPLQVPAFGSAPKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFVDCDVPEGGEGGPVVGTGGSTIGMVYIDGPGAVIISISIICTFFEIWKQFSCVARPVFEVDLKSVELAGVLFREELSLKHNINGGFIVERIADDSALEHLGVRRGDVIFFEDECGTTLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFSVDSGKAAMSPKRRKSVAASSGGPKSSPEARSTRSKSKPDSDLAEHDDSPRSSSGRLTRSRAKVLEESAGVSSSKKKRRIEEESPAATTTTSTTATTGVMHREGHGTLASRSHPPIHPPTTPRVRKSSRIVRLEVDRLQKLTLRRDKKDDPSTAAALPTPSDKNMVLGMSRSIVRVSSPPSEGKLISPRTGFVVSWDGATKRAMIVTLSTYFKKKPHEPQPEVHLPDKSIVQGRLIFMNRHYNLSILEITSDLPLQVPAFGSAPNCVARPVFDVDLRSVELAGVSLREELSVKHNINGGFIVKRIADDSAPEHLGVRRGDVIFFENECGTSLPEIEDYLLSLGWRYLQGMKKSMVLKLEVHDIEGPCEETITLPLKFSVDSGKFGREGVDPSSKNSILRFEKLDSCREKIDPAARASVRVHEVDQRELEISWWSSRTLLG >ONIVA05G04390.1 pep chromosome:AWHD00000000:5:3380934:3381188:1 gene:ONIVA05G04390 transcript:ONIVA05G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPASNHGAACKNSGSNKERRRMRRCVEVRRKMEALRRLVPGGGGGEDSGEELLFRAADYIARLQVQVKVMQLMVDVLEQTKD >ONIVA05G04380.1 pep chromosome:AWHD00000000:5:3374137:3378324:-1 gene:ONIVA05G04380 transcript:ONIVA05G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPRLLLASHAAVRAAASARRGRLAGDHHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPSVQSPWVMVSVNDDCRDLHFRKAEFDPEDCPPDCSKPCEKVCPADAISLERVMIEGKHSQSDPSSGKLEGGVITERCYGCGRCLSVCPYDRIRAMSYVRDPTKTVELLKRNDVDAIEIHTTGKGTDMFNTLWSNLGDSINNVKLIAVSLPDVGDSTVNFMNAIYTTMQSHLQGYNLWQLDGRPMSGDIGRGATRETVSFAVHLSSMSNRPPGFYQLAGGTNSYTIESLKKAGLFQSTTFAATSGVTYCQQAFIGGIAYGGYARKIVGRVLRKIPAQFGHARIEDHPDYLLEALQEALSLVGPVKGYPTLPSL >ONIVA05G04380.2 pep chromosome:AWHD00000000:5:3374180:3378324:-1 gene:ONIVA05G04380 transcript:ONIVA05G04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPRLLLASHAAVRAAASARRGRLAGDHHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPSVQSPWVMVSVNDDCRDLHFRKAEFDPEDCPPDCSKPCEKVCPADAISLERVMIEGKHSQSDPSSGKLEGGVITERCYGCGRCLSVCPYDRIRAMSYVRDPTKTVELLKRNDVDAIEIHTTGKGTDMFNTLWSNLGDSINNVKLIAVSLPDVGDSTVNFMNAIYTTMQSHLQGYNLWQLDGRPMSGDIGRGATRETVSFAVHLSSMSNRPPGFYQLAGGTNSYTIESLKKAGLFQSTTFAATSGVTYCQQAFIGGIAYGGYARKFYAKYQHNLAMHALRTTQIISWKRYKKLYH >ONIVA05G04370.1 pep chromosome:AWHD00000000:5:3370298:3372114:-1 gene:ONIVA05G04370 transcript:ONIVA05G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17020) TAIR;Acc:AT3G17020] MAGEAAAAAAAERWVGAAVDFSEGSRGALRWAADNLLRAGDHLILLHVLKDPDYEQGETLLWEATGSPLIPLSDFSEPTIAKKYGAKPDAETLDMLNTVARQKEVVVVFKVLWGDPREKLCQAINEIPMSCLVIGSRGLGKLKRVLLGSVSDYVVNNATCPVTVVKTADG >ONIVA05G04360.1 pep chromosome:AWHD00000000:5:3368723:3369250:1 gene:ONIVA05G04360 transcript:ONIVA05G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKVEMSLNVLISPSQLSPQGLLLRKAVIVRLLEDIANRKASKDHGYYIAVSELKAISEGKVRELTGDVLFPVTFTCITQKPMKGEVLVGSVDKILKHGIFLKSGPIESIFLSEKTMSDFKYIGGENAVFMNEHSKLEKDTVVRFKVMGFRWMEADRQFQLLATLAGDYLGPL >ONIVA05G04350.1 pep chromosome:AWHD00000000:5:3360333:3364699:-1 gene:ONIVA05G04350 transcript:ONIVA05G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGTVAAEVLIPLAAVIGILFAVLQWYVVSRVAVPPHDGVGGAGKGDRENDGGGDVDDDEEDGVDYRGVEARCAEIQHAISVGATSFLMTEYKYLGAFMAAFAAVIFVSLGSVGRFSTSPEPCPYDAARRCRPALANAAFTAAAFLLGATTSVVSGYLGMRVATFANARTALEARRGIGRAFAVAFRSGAAMGFLLASSALLVLFAAVNAFGLYYGDDWGGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGADHDFAAMMYPLLVSAAGIVACAATTLVATDAGELGAADEVAPALKRQILISTVLMTAAVAAVTFLSLPRSFTLFDFGERMLVKNWHLFICVSAGLWAGLVIGYVTEYFTSNAYGPVQTVAQSCRTGAATNVIFGLAVGYKSVIVPIFAIAGAIYASFRLAAMYGIALAALGMLSTIATGLTIDAYGPISDNAGGIAEMAGMPRRVRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGIRTVNVVSPRVFVGLLAGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFDEIPGLAEGLAAPDYATCVRISTDASLREMVAPGALVMASPLVAGTLFGVEALAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGATEEARSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILVKLMAVEALVFAPFFAAHGGIVFNHL >ONIVA05G04340.1 pep chromosome:AWHD00000000:5:3347585:3359135:1 gene:ONIVA05G04340 transcript:ONIVA05G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALALALALAAAAPRSPSPPRFPSFPGGEAGLGRATMGEEEQGPRRKQAGPDDAVQTSMQRVKVYRLTDDGKWDDQGTGHVTIDYIEGSREIALTVVDEEDSDTLLLHHITSDDIYRKQEETIISWRDPEKALELALSFQEAAGCSYIWESVCTIQRNLQFNVLDVQEATPPAAFESLEASRNPPFHDESLSSTSRELKKLPPLDLSSLSSILKTILECSMTERMRVSVAELILQYHDFFPQLVNLFRTCESSGNMDALHMIFRLVKGIILLNSSAIYDKIFSDDFILEIIGALEYDPEAHTVQNHRTFLKEHVVFKEDVILPRVLDDSTMASIAAIIHANNAAVVCLLKDDASFVKELFAKMRSSNISAESKRELSQDKVLVSAGTDILVYFLNQDPNLLRSYIARQENSQEGNSLLGLLVQGMVTDFGEGMHCQFLEILKILMDEFATNMPTNYRGAIDVFHEKHLDKLIDVIALASSPMDITQSTSSPVGVGTRVENHSVKTEILSNICELLCFCVVHHPNKIKVNFLRSNSVEKILTLTHRREKVLVVAAVRFMRTVIARNDELLLSHVIKFNSLKPIIEVFVENGDRYNMLHSVVLELLEYIRKLMESGETTQSISLVDMRKKPEERGLDKEEEDYFNKGSDEEDSDKQTSCAQKESLDKLPKGSDIRHIPARSKSGGLVDYDDDDEGYNPPPKRAVKADEDDEALVIKRNPVDDKQADGRSPKKPKMEPRFICSKIVAAASVAGRRSNSADKQGPHPPSSRTKTSEGNGDVGEEGPGSQNLQHDPGSLDSTHQNGDDCTKDAGNSPSEMTVNTSKATDSEPYSVR >ONIVA05G04340.2 pep chromosome:AWHD00000000:5:3347585:3359135:1 gene:ONIVA05G04340 transcript:ONIVA05G04340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALALALALAAAAPRSPSPPRFPSFPGGEAGLGRATMGEEEQGPRRKQAGPDDAVQTSMQRVKVYRLTDDGKWDDQGTGHVTIDYIEGSREIALTVVDEEDSDTLLLHHITSDDIYRKQEVQEATPPAAFESLEASRNPPFHDESLSSTSRELKKLPPLDLSSLSSILKTILECSMTERMRVSVAELILQYHDFFPQLVNLFRTCESSGNMDALHMIFRLVKGIILLNSSAIYDKIFSDDFILEIIGALEYDPEAHTVQNHRTFLKEHVVFKEDVILPRVLDDSTMASIAAIIHANNAAVVCLLKDDASFVKELFAKMRSSNISAESKRELSQDKVLVSAGTDILVYFLNQDPNLLRSYIARQENSQEGNSLLGLLVQGMVTDFGEGMHCQFLEILKILMDEFATNMPTNYRGAIDVFHEKHLDKLIDVIALASSPMDITQSTSSPVGVGTRVENHSVKTEILSNICELLCFCVVHHPNKIKVNFLRSNSVEKILTLTHRREKVLVVAAVRFMRTVIARNDELLLSHVIKFNSLKPIIEVFVENGDRYNMLHSVVLELLEYIRKLMESGETTQSISLVDMRKKPEERGLDKEEEDYFNKGSDEEDSDKQTSCAQKESLDKLPKGSDIRHIPARSKSGGLVDYDDDDEGYNPPPKRAVKADEDDEALVIKRNPVDDKQADGRSPKKPKMEPRFICSKIVAAASVAGRRSNSADKQGPHPPSSRTKTSEGNGDVGEEGPGSQNLQHDPGSLDSTHQNGDDCTKDAGNSPSEMTVNTSKATDSEPYSVR >ONIVA05G04340.3 pep chromosome:AWHD00000000:5:3347585:3359135:1 gene:ONIVA05G04340 transcript:ONIVA05G04340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALALALALAAAAPRSPSPPRFPSFPGGEAGLGRATMGEEEQGPRRKQAGPDDAVQTSMQRVKVYRLTDDGKWDDQGTGHVTIDYIEGSREIALTVVDEEDSDTLLLHHITSDDIYRKQEETIISWRDPEKALELALSFQEAAGCSYIWESVCTIQRNLQFNVLDVQEATPPAAFESLEASRNPPFHDESLSSTSRELKKLPPLDLSSLSSILKTILECSMTERMRVSVAELILQYHDFFPQLVNLFRTCESSGNMDALHMIFRLVKGIILLNSSAIYDKIFSDDFILEIIGALEYDPEAHTVQNHRTFLKEHVVFKEDVILPRVLDDSTMASIAAIIHANNAAVVCLLKDDASFVKELFAKMRSSNISAESKRELVRLDLASEGVFDIMSDVLQSQDKVLVSAGTDILVYFLNQDPNLLRSYIARQENSQEGNSLLGLLVQGMVTDFGEGMHCQFLEILKILMDEFATNMPTNYDELLLSHVIKFNSLKPIIEVFVENGDRYNMLHSVVLELLEYIRKLMESGETTQSISLVDMRKKPEERGLDKEEEDYFNKGSDEEDSDKQTSCAQKESLDKLPKGSDIRHIPARSKSGGLVDYDDDDEGYNPPPKRAVKADEDDEALVIKRNPVDDKQADGRSPKKPKMEPRFICSKIVAAASVAGRRSNSADKQGPHPPSSRTKTSEGNGDVGEEGPGSQNLQHDPGSLDSTHQNGDDCTKDAGNSPSEMTVNTSKATDSEPYSVR >ONIVA05G04340.4 pep chromosome:AWHD00000000:5:3347585:3359135:1 gene:ONIVA05G04340 transcript:ONIVA05G04340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAALALALALALAAAAPRSPSPPRFPSFPGGEAGLGRATMGEEEQGPRRKQAGPDDAVQTSMQRVKVYRLTDDGKWDDQGTGHVTIDYIEGSREIALTVVDEEDSDTLLLHHITSDDIYRKQEVQEATPPAAFESLEASRNPPFHDESLSSTSRELKKLPPLDLSSLSSILKTILECSMTERMRVSVAELILQYHDFFPQLVNLFRTCESSGNMDALHMIFRLVKGIILLNSSAIYDKIFSDDFILEIIGALEYDPEAHTVQNHRTFLKEHVVFKEDVILPRVLDDSTMASIAAIIHANNAAVVCLLKDDASFVKELFAKMRSSNISAESKRELVRLDLASEGVFDIMSDVLQSQDKVLVSAGTDILVYFLNQDPNLLRSYIARQENSQEGNSLLGLLVQGMVTDFGEGMHCQFLEILKILMDEFATNMPTNYDELLLSHVIKFNSLKPIIEVFVENGDRYNMLHSVVLELLEYIRKLMESGETTQSISLVDMRKKPEERGLDKEEEDYFNKGSDEEDSDKQTSCAQKESLDKLPKGSDIRHIPARSKSGGLVDYDDDDEGYNPPPKRAVKADEDDEALVIKRNPVDDKQADGRSPKKPKMEPRFICSKIVAAASVAGRRSNSADKQGPHPPSSRTKTSEGNGDVGEEGPGSQNLQHDPGSLDSTHQNGDDCTKDAGNSPSEMTVNTSKATDSEPYSVR >ONIVA05G04330.1 pep chromosome:AWHD00000000:5:3338217:3344194:-1 gene:ONIVA05G04330 transcript:ONIVA05G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9V2] MNSAAAAAACASAPAWVVGGGAGGGARSARGPCGIRVCGLGGEAVALRSLRISQGAAVRRAAVAARAAAENGVAGSGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSLGLQVSSPGYDRQAVADHANNLASKIRSNLTNSMKALGVDILSGFGAIVGKQKVRYGKVGFPGKEITARNIIIATGSVPFVPKGIEVDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINPRNIDYHTGVFASKITPAKDGKPVQIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFIPVDERMRVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEINVVKTSFKANTKALAENEGDGLAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRLQDIKFAVHAHPTLSEVLDELFKAAKPREGPERKPKHPPQPLLKVLSFVTRLLTSQKRNRQP >ONIVA05G04320.1 pep chromosome:AWHD00000000:5:3332772:3336801:-1 gene:ONIVA05G04320 transcript:ONIVA05G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin gamma chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9V1] MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLVDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLANPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARNKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFEDNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDAGEANVAAALDSKSYKNATLIA >ONIVA05G04310.1 pep chromosome:AWHD00000000:5:3327563:3332266:1 gene:ONIVA05G04310 transcript:ONIVA05G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT3G62600) TAIR;Acc:AT3G62600] MAAPRWIGPLLLLLLHFVAAVAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPNNEEANKRFAEINNAYEILTDQEKRKIYDRYGEEGLKQFQAQGGRGGGGGMNIQDIFSSFFGGGGGGMEEEEEQIIKGDDVIVELDASLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNEVYHRQIGPGMYQQMTEQVCDQCANVKYVREGDFLTVDIEKGMQDGQEVSFFEEGEPKIDGEPGDLKFRIRTAPHERFRREGNDLHTTVTISLLQALVGFEKTIKHLDNHMVEIGTKGITKPKEVRKFKGEGMPLYQSNKKGDLYVTFEVLFPKTLTDDQKSKLKSILT >ONIVA05G04300.1 pep chromosome:AWHD00000000:5:3319976:3322884:-1 gene:ONIVA05G04300 transcript:ONIVA05G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQISRKALASLLLLVAAAAAVSTASADDVLPLTESTFEKEVGQDRAALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEYVNSEAATNVKIAAVPSSVVVLTPETFDSVVLDETKDVLVEFYAPWCGHCKHLAPIYEKLASVYKQDEGVVIANLDADKHTALAEKYGVSGFPTLKFFPKGNKAGEDYDGGRELDDFVKFINEKCGTSRDSKGQLTSEAGIVESLAPLVKEFLGAANDKRKEALSKMEEDVAKLTGPAAKFGIVTVYGKIYVNSAKKIMEKGSEYTKKESERLQRMLEKSISPSKADEFVIKKNILSTFSS >ONIVA05G04300.2 pep chromosome:AWHD00000000:5:3319976:3322884:-1 gene:ONIVA05G04300 transcript:ONIVA05G04300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQISRKALASLLLLVAAAAAVSTASADDVLPLTESTFEKEVGQDRAALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEYVNSEAATNVKIAAVPSSVVVLTPETFDSVVLDETKDVLVEFYAPWCGHCKHLAPIYEKLASVYKQDEGVVIANLDADKHTALAEKYGVSGFPTLKFFPKGNKAGEDYDGGRELDDFVKFINEKCGTSRDSKGQLTSEAGIVESLAPLVKEFLGAANDKRKEALSKMEEDVAKLTGPAAKYGKIYVNSAKKIMEKGSEYTKKESERLQRMLEKSISPSKADEFVIKKNILSTFSS >ONIVA05G04290.1 pep chromosome:AWHD00000000:5:3315827:3319457:1 gene:ONIVA05G04290 transcript:ONIVA05G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFFWSKKKKKQTKKRPKLLLLLRLSLFCSSFHSQTLQEEEVASQSPLAIPTWPRMVGGGGGGEAAMSPPSGGGKRGRDPEEDVYVDNLHSHKRYLSEIMASSLNGLSVGDSLVDNIMESPARSENTSYFRPCGRSTLFDDRTLAQVTFFNMPMNTHLDTHADDTSTLQDEIISQYSPMSEDSDDYRCYDTQLPNGSQTDAMVSPSTSPMSSPHRFQKPQSGLLSANPYPLPSCSLSSVVCSNPRRGSENEGRFPSSPNDMCHGGDLRKTALLRSVQMRVQGPHAYELSFCGRQEQEHAHDHEDEHQHEHLEGLEGAERSSSHRETISDGVGYQMPENSYGRPEHDIDYIEDCTPHGCLSDLKFKQEDKDCSKLTSMDKNR >ONIVA05G04280.1 pep chromosome:AWHD00000000:5:3314285:3315011:1 gene:ONIVA05G04280 transcript:ONIVA05G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKTEGTQRGVGLGCGVALASARQWRWYEQVASADVVTAVTELMDGGTMRRARTRELAVRARATTVMWVQGGIAGATPVEIGVVPSPPFPIIVVRVAWGYTR >ONIVA05G04270.1 pep chromosome:AWHD00000000:5:3306075:3307938:1 gene:ONIVA05G04270 transcript:ONIVA05G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMSSVRRGAAELVAPARATPHEFKPLSDIDDQEGLRFYRSGLFLYRRRAAMDGVDPAAVLRAALAEALVHYYPLAGRIVEASPGRKLLVECTGEGAVFVAAESGVAMDELGEVTGPPVPRHEELLCAADGAYADGGVVGRPLLYFQVTRMRCGGFVWGLQICHCLADAAGVAQFMTAVGEFARGVPGAPTVKPVWARELLSARRPPLPRDVAAPRHPEYEAVPDAGRDKVSHSDALVHRPFFFGRREIAALRALAPPSLASRSSRFDLIAAFTWRCRANALQYDAADAVRVQFVVNARGGGRGRRSNAPPLLPDGYYGNAFAFAVAESPAGELRRRPFAHALRLVVDAKARAMEEGHLQSVADLMAARGRPRFAVARTYVVSDLTRSGLDGVDVGWGAPAYGGPATATLATFHVAGRRSGDGEEGVEVPIRLPAPAMERMVVEVARELGGVDAHTEAYYYEDKSVG >ONIVA05G04260.1 pep chromosome:AWHD00000000:5:3294041:3299531:1 gene:ONIVA05G04260 transcript:ONIVA05G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVVAIAGDGDADAGHRRPLLASADDDDEIRPYPASSPSPQHPAGAERKPRRVASLDVFRGLTVAMMILVDDAGGAWPGMNHSPWLGVTVADFVMPAFLFIIGVSAALVFKKTPNKTVATKKAAIRAIKLFILGVILQGGYIHGRHNLTYGIDLDHIRWLGVLQRIAIGYFLAAISEIWLVNNISVDSAISFVKKYFVEWIVAVMISALYVGLLLGLYVSNWEFKVQTSNSILTIPTPGNEIGMKMIQCGVRGSLGPPCNAVGFVDRVLLGENHLYKNPVYKRTKECSVNSPDYGPLPPNAPDWCLAPFDPEGSFTSNAIMATSFHCVNSLRISTSVISKPLYTVSYMLLTGGVSGFLLLLLYYIVDVINIKKPFILFQWMGMNALIVYVLAACEIFPTLVQGFYWRSPENNLVDLTESLLQTIFHSKRWGTLAFVVLEIIFWCLAACFLHMKGIYLKL >ONIVA05G04260.2 pep chromosome:AWHD00000000:5:3294041:3299531:1 gene:ONIVA05G04260 transcript:ONIVA05G04260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVVAIAGDGDADAGHRRPLLASADDDDEIRPYPASSPSPQHPAGAERKPRRVASLDVFRGLTVAMMILVDDAGGAWPGMNHSPWLGVTVADFVMPAFLFIIGVSAALVFKRIAIGYFLAAISEIWLVNNISVDSAISFVKKYFVEWIVAVMISALYVGLLLGLYVSNWEFKVQTSNSILTIPTPGNEIGMKMIQCGVRGSLGPPCNAVGFVDRVLLGENHLYKNPVYKRTKECSVNSPDYGPLPPNAPDWCLAPFDPEGSFTSNAIMATSFHCVNSLRISTSVISKPLYTVSYMLLTGGVSGFLLLLLYYIVDVINIKKPFILFQWMGMNALIVYVLAACEIFPTLVQGFYWRSPENNLVDLTESLLQTIFHSKRWGTLAFVVLEIIFWCLAACFLHMKGIYLKL >ONIVA05G04250.1 pep chromosome:AWHD00000000:5:3286424:3292173:-1 gene:ONIVA05G04250 transcript:ONIVA05G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9U2] MSLRPSERAEVRRSRYKVAVDADEGRRRREDNMVEIRKSRREESLLKKRRDGLPAAAAAAAASPLLAHSSALQQKLEGLPAMVQAVQSDDSAVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFIAFLQREDYPQLQFEAAWALTNIASGTSDNTKVVVESGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLASGGLYPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDMQTQCVIDHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNAYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNAQNGFNFGNQQPNISAAGKEIWCVGLVIPRSLAPGILFLLDCLFLDH >ONIVA05G04250.2 pep chromosome:AWHD00000000:5:3286426:3292145:-1 gene:ONIVA05G04250 transcript:ONIVA05G04250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9U2] MSLRPSERAEVRRSRYKVAVDADEGRRRREDNMVEIRKSRREESLLKKRRDGLPAAAAAAAASPLLAHSSALQQKLEGLPAMVQAVQSDDSAVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFIAFLQREDYPQLQFEAAWALTNIASGTSDNTKVVVESGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLASGGLYPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDMQTQCVIDHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNAYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNAQNGFNFGNQQPNISAAGKEIWCVGLVIPRSLAPGILFLLDCLFLDH >ONIVA05G04250.3 pep chromosome:AWHD00000000:5:3286426:3292145:-1 gene:ONIVA05G04250 transcript:ONIVA05G04250.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9U2] MSLRPSERAEVRRSRYKVAVDADEGRRRREDNMVEIRKSRREESLLKKRRDGLPAAAAAAAASPLLAHSSALQQKLEGLPAMVQAVQSDDSAVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFIAFLQREDYPQLQFEAAWALTNIASGTSDNTKVVVESGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLASGGLYPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDMQTQCVIDHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKIFHCHYGLLTTYEMSTRYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNAYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNAQNGFNFGNQQPNISAAGKEIWCVGLVIPRSLAPGILFLLDCLFLDH >ONIVA05G04250.4 pep chromosome:AWHD00000000:5:3288087:3292145:-1 gene:ONIVA05G04250 transcript:ONIVA05G04250.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9U2] MSLRPSERAEVRRSRYKVAVDADEGRRRREDNMVEIRKSRREESLLKKRRDGLPAAAAAAAASPLLAHSSALQQKLEGLPAMVQAVQSDDSAVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFIAFLQREDYPQLQFEAAWALTNIASGTSDNTKVVVESGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLASGGLYPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDMQTQCVIDHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNAYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNAQNGFNFGNQQPNIPIWNDVPLFLSYLLGASQSWGSLVVGVLVGSWRSGLRQS >ONIVA05G04250.5 pep chromosome:AWHD00000000:5:3288087:3292145:-1 gene:ONIVA05G04250 transcript:ONIVA05G04250.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9U2] MSLRPSERAEVRRSRYKVAVDADEGRRRREDNMVEIRKSRREESLLKKRRDGLPAAAAAAAASPLLAHSSALQQKLEGLPAMVQAVQSDDSAVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFIAFLQREDYPQLQFEAAWALTNIASGTSDNTKVVVESGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLASGGLYPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDMQTQCVIDHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKIFHCHYGLLTTYEMSTRYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNAYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNAQNGFNFGNQQPNIPIWNDVPLFLSYLLGASQSWGSLVVGVLVGSWRSGLRQS >ONIVA05G04240.1 pep chromosome:AWHD00000000:5:3278340:3280606:-1 gene:ONIVA05G04240 transcript:ONIVA05G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDQKVIGIAAAAAAAAAAAAAEEAGRRCCVECGATTTPMWRGGPTGPRISEIVISRSESDCEGTMEGNCVPLKRIFYYHLLGLQKAANVLLFLVPIRVLTMENNSCDILHIIRIIGRGCGIESKTRIIDR >ONIVA05G04230.1 pep chromosome:AWHD00000000:5:3274628:3280521:1 gene:ONIVA05G04230 transcript:ONIVA05G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVAALASQLLRRQLLMRRPPPRFLSSAAAAASGPLDRLRSPPFARTAARHGSPALSPWSRFGGQKRGMFIQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEVFAAIMDFYSSGQSLFLDSSTAASMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDGDEEAELTGQLE >ONIVA05G04220.1 pep chromosome:AWHD00000000:5:3266945:3269813:-1 gene:ONIVA05G04220 transcript:ONIVA05G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSCDCIEPLWQADDLLVKYQYISDFFIALAYFSIPLELIYFVKKSAFFPYRWVLIQFGAFIVLCGATHLINLWTFAIYTKTIAVVLTVAKAATAVVSCITALMLVHIIPDLLNVKLRERFLKDKADELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVELGRTLALAECALWMPTRSGSALQLSHTIYNSAAIGSVVPINLPIVSKVFNSNRVVKIPHTSPLASITADKSRYVPPEVVAIRVPLLHLTNFQINDWPELSAKSFAVMVLMLPPDSAREWRPHERELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDAARREAEMAICARNDFLAVMNHEMRTPMRAIVSLSSLLLETNLSAEQRLMVETILKSSDLLATLTNDVLDVSKLENGSLELEIAPFNLHSTFTDVVNLIKPVAACKRLSVMVTLAPELPLHAIGDQKRLMQIILNVAGNSIKFTKEGHVSITASMARPDALRGPHEPDYHPVVSDGFFYLAVQVKDTGCGISPQDMPHTFRKFAHPENAGKWNSGSGLGLALSRRFVSLMEGNIWLESEGVGKGCTAMFFVKLGMPEKPNANLRRMAPHPLQPNQGAGGPDALSISIMDSNPRVPRWRYLGHAGISFIGILESLISVVRVIGWS >ONIVA05G04210.1 pep chromosome:AWHD00000000:5:3263683:3268677:1 gene:ONIVA05G04210 transcript:ONIVA05G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPLSLRRLAKFMEGKEENNIAVIVGTVTDDKRIQEIPKMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >ONIVA05G04200.1 pep chromosome:AWHD00000000:5:3254950:3261272:-1 gene:ONIVA05G04200 transcript:ONIVA05G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGRTEMEVRPGGVALITISNPPVNALSIHVLYSLKDHYEEALRRNDVKAIVVTGKGGVFSGGLDINTFGAIQRNKAEQLKVDYVSIDVMTNTLEAAGKPSVAAINGPALGGGLEISMVCQARISIPTAQLGLPELQLGVIPAFGGTQRLPRLVGLTKALEMMLMSKPIKAEEAHQLALIDAIVSPNDLLNTACRWALDISESRRPWVHTLSRTDKLESPDEAREILKFARAQVQKQAGNLRHPLVCIDVIEEGIVSGPQAGLRKEAIAFQDLVFSDTCKSLVHVFFSQRATSKVPGITDLGLMPRKVSKVAIVGGGLMGSGIATALMLSNYPVVLKEVNDKFLDAGIDRIKANLQSRVRKGKMTKEIYEKTLSLLTGVVDYERFKDVDLVIEESNTSNCYLAIYFIEQYWMAVVENVKVKQQVFADLERYCPSHCVLATNTSTIDLDLIGEKTNSQDRIAGAHFFSPAHVMPLLEIVRSNHTSPQVVVDLLDVGKKIKKTPVVVGNCTGFAVNRMFSPYTSIALLLVDRGMDVYKIDQVCTEFGMPMGPFRLLDLVGFGVALASGMQYLENSPGSVDKSMLIPLMFEDKRTGEASQKGFYKYEGNRKAIPDPDIFKYVEKSRRMAGTVPDLELLKLDDKEIMEMVFFPVINEACQVLSEGIANKASDLDIASIFGMGFPPYRGGIVYWADSIGAKRIHARLSEWEMKHGQLFRPCSYLSERAAEGVPLSSTAKNNAKARM >ONIVA05G04190.1 pep chromosome:AWHD00000000:5:3246166:3247864:-1 gene:ONIVA05G04190 transcript:ONIVA05G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 28 [Source:Projected from Arabidopsis thaliana (AT3G52860) UniProtKB/Swiss-Prot;Acc:Q9LFA5] MAEPPSQPPAQTPPQAAQQQQQGPGEKARDDMMACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDRPSTEEMLRKDIAIMEEELKTKSELIDKHKKLIEGWQKELKDQLGKHVTELERV >ONIVA05G04180.1 pep chromosome:AWHD00000000:5:3234550:3247393:1 gene:ONIVA05G04180 transcript:ONIVA05G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGDAVMARWLQSAGLQHLAASSTSSSSASTAGGGVDPRGGGGVGVGALGGGAGGGSLLPSLLMQGYGPQSIEEKQRLYMLLRSLNFNGETAPPSISEPYTPTAQSFGGGNSLEGFYSPELRGELGAGLLDLHAMDDTELLSEDVASEPFEPSPFIPKEMDEDDDDMLPGSQPGPSDNYNAVANEKESTARENNVAKIKVVVRKRPLNRKEVSRKEEDIITVHDSSSLTVYEPKLKVDLTAYVEKHEFCFDAVLDEQVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHIIVTDTRRQRDRDANESKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGSCEHTLNTLRYADRVKSLSKGSNTRKEQPTGPTIPSSKDSSSAPSYPMPIETEEIANQIQEKRPVETSRKAAENFTSNSSMEPDRNPVSMIPSYSNRGKEENGSSGLNDRERVDLNSSRISYNSKPQSVQSSANLQEEEKVTKVSPPRRKAYRDDKPERQSNYAKKDSGPETSRPGYKVQQAKQLQQQQRPTSASASQNSSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSHAWLGLFCQFFHCMMRHAQHKRRSGERREREREGRESSRARAARREEMSGEVAAAVGGGAPEENGAPPNVTIYINNLNEKIKLEELKKSLRAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEALKSMQDFPFHNKPMRIQYAKTKSDIIAKADGTFVPRERRKRNDEKPEKKQKREQHHDVSQVGLGVNAYPGVYGAPPLSQLPFAGAQKVMMPEIIVPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEGQATAAMNHLQGFKITKDNQMLISYAKK >ONIVA05G04180.2 pep chromosome:AWHD00000000:5:3234550:3247393:1 gene:ONIVA05G04180 transcript:ONIVA05G04180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGDAVMARWLQSAGLQHLAASSTSSSSASTAGGGVDPRGGGGVGVGALGGGAGGGSLLPSLLMQGYGPQSIEEKQRLYMLLRSLNFNGETAPPSISEPYTPTAQSFGGGNSLEGFYSPELRGELGAGLLDLHAMDDTELLSEDVASEPFEPSPFIPKEMDEDDDDMLPGSQPGPSDNYNAVANEKESTARENNVAKIKVVVRKRPLNRKEVSRKEEDIITVHDSSSLTVYEPKLKVDLTAYVEKHEFCFDAVLDEQVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHIIVTDTRRQRDRDANESKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGSNTRKEQPTGPTIPSSKDSSSAPSYPMPIETEEIANQIQEKRPVETSRKAAENFTSNSSMEPDRNPVSMIPSYSNRGKEENGSSGLNDRERVDLNSSRISYNSKPQSVQSSANLQEEEKVTKVSPPRRKAYRDDKPERQSNYAKKDSGPETSRPGYKVQQAKQLQQQQRPTSASASQNSSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSHAWLGLFCQFFHCMMRHAQHKRRSGERREREREGRESSRARAARREEMSGEVAAAVGGGAPEENGAPPNVTIYINNLNEKIKLEELKKSLRAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEALKSMQDFPFHNKPMRIQYAKTKSDIIAKADGTFVPRERRKRNDEKPEKKQKREQHHDVSQVGLGVNAYPGVYGAPPLSQLPFAGAQKVMMPEIIVPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEGQATAAMNHLQGFKITKDNQMLISYAKK >ONIVA05G04170.1 pep chromosome:AWHD00000000:5:3227348:3228986:-1 gene:ONIVA05G04170 transcript:ONIVA05G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEQIDQERERKGSDEEEEEDAVGARDRSPRARQIPAARAPSPGRPSPVPIWWDPGRRSTGW >ONIVA05G04160.1 pep chromosome:AWHD00000000:5:3221846:3227332:-1 gene:ONIVA05G04160 transcript:ONIVA05G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREEVREEEGEEGEGVGGKEEEKAAAAAAAVSCSICLDAVVAAGGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRPTQDVNMDEWAHDEDLYDVSYSEMLLYLLHLGQLIHAHMWHTCILFHHWRHHQALMFLRELWMVLHIMIPGTPWLGLQMQGVPFGTTRAARVDGDTQRRGSSISPSYFSNGSGSRSRAPNVPPMVPQFMRAHGSISEQYQQSSSSSLFAGAHRSGGMRTAPPPPLPENPAFCLFPPGSSGHNSMETDDAGGNRFYAWERDRFAPYPLMPVDCETNWWSSQQSHGTSEPAPAPRRLFGQWIGVGRSSPENRSPEGSSYRQMHTPRM >ONIVA05G04160.2 pep chromosome:AWHD00000000:5:3221846:3227332:-1 gene:ONIVA05G04160 transcript:ONIVA05G04160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREEVREEEGEEGEGVGGKEEEKAAAAAAAVSCSICLDAVVAAGGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRPTQDVNMDEWAHDEDLYDVSYSEMGRRIITPIHDFMGQHMFTEHVAAVSAAPGAAHPCPYVAYLHPLPSLASSSSSHVPERTMDGPAYHDPWHPLAGPSDGRPLQSVQPADFHHNHWAHVPNSYPQPNNNNGVAEQQGVPFGTTRAARVDGDTQRRGSSISPSYFSNGSGSRSRAPNVPPMVPQFMRAHGSISEQYQQSSSSSLFAGAHRSGGMRTAPPPPLPENPAFCLFPPGSSGHNSMETDDAGGNRFYAWERDRFAPYPLMPVDCETNWWSSQQSHGTSEPAPAPRRLFGQWIGVGRSSPENRSPEGSSYRQMHTPRM >ONIVA05G04150.1 pep chromosome:AWHD00000000:5:3213678:3219728:-1 gene:ONIVA05G04150 transcript:ONIVA05G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAMMA-TUBULIN COMPLEX PROTEIN 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) TAIR;Acc:AT3G53760] MLHELLLALLGFTGDFVLDAASSPSATRRRRPVPPEAAAGGDVGPASFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWINSPIDVSPTHGEKTVKAKVRKGSAYRRAIANGIAEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLHELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYNEFFIRRQEDRDEENDSSQVDVADKFTQKSAKETSLTSWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQESMNQSQNVKGSYRMQSLIGGSGALKELPNFPNISAEELLPQAEADKIDAMLKELKHSSEFHKRLFESAVGSIRTMAANHLWQCFLEESRQLMRLPPRQSTAEADLMVPFQLAALKTIGDEDKYFARVSLRMSSFGIKASTSQKDLQKSSTPEISSQGKATSELALDGWDSIALEYSVDWPLQLFFTPDVVSKYRKVFQYLIRLKRTQMELEKSWAAVMHQDHADFSDYCKDRKNGDATQLRRQRSKPFWRVREHMAFLIRNLQFYIQVDVIESQWNVLQAHVQDSHDFTELVTFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYENRRNMLEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNYNSFFETTARGVMNSAGGRLRPSTAGTQL >ONIVA05G04140.1 pep chromosome:AWHD00000000:5:3210627:3212913:1 gene:ONIVA05G04140 transcript:ONIVA05G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDQAVAVAAAPRANKRAKVAAEEEEYDEPCRSPPAPAAKKKVARLTVWCKSLVFHGEGYAVFDDADGRMVFRVDSYGAGRRRVALMDHAGRVLLTVVRRHRRHCRVLLMSLTPETWEVYKGDVGDGDGAATCSQDEPPRLVMRATKDLGNPSCTVSMLAAGAGAGNGDEAACGHGVYRMSWSRREEWSRVHCSSGCINSLVAEIKYYSNSAQNDAPNRYRGEKEERRAEEDDAAGEGRVVTDGAARDGPGRRHGHAHDLQLLPLTDRLTG >ONIVA05G04130.1 pep chromosome:AWHD00000000:5:3188686:3189596:-1 gene:ONIVA05G04130 transcript:ONIVA05G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIWLAITFLLMFQLPASMFVARSTKANAGDMATMRVLAQFLGADRALGWGRASPDPCDGSWLGITCDASGYVVYIIANNSGLTGHLPRETRNLSMLAAIYLNNNSLSGDVPPLGPNLMEISLSYNRFMSISPEFFKEMEIVFSLSAVNANIIGPIPEFVGDHFKYLVTMKLQGNSLFGHIPTSFASTRICSVQLQDQRGLDKLSGPIFMLNATNIVYLDLHGNQFTGPIPNLASNIEMEYIDLSKNALTGDVPQSLMQLHHLRVLNLSDNSLCGQLPKFIKKYDCKD >ONIVA05G04120.1 pep chromosome:AWHD00000000:5:3156406:3173578:-1 gene:ONIVA05G04120 transcript:ONIVA05G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDVDDDDDDPDDDDEWATTMTSWVVAAAATPTPTIRRRRASSRPDPVAAGLVPPRSGGGSGRRDRGRREWELAAAAGAGASRGWRLGLQRLSYRSPRKIRSHYRSWKDVTYTILFHDYSTPLLLLHIG >ONIVA05G04110.1 pep chromosome:AWHD00000000:5:3146664:3150071:-1 gene:ONIVA05G04110 transcript:ONIVA05G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPPPEDGDGRPIAMEGQAAEDLAASALAARGLVTPPGGLGFEALAVAADGHVQQNANASALVAARTLLPNAPLPAAMEINLPVLQVQRKNVTKAAAAEPSTPWRPTREEILRDSPGRVTRSKLAMLLREGTSSQTDTTSPKLRQVQERLAAYQPSQVSQCSSHGQAPAGQPSQAAASQPSDQLAAWEHIN >ONIVA05G04100.1 pep chromosome:AWHD00000000:5:3133919:3134754:1 gene:ONIVA05G04100 transcript:ONIVA05G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQATLLEQAWSTAMQGPVIMLQLRWLRQQMGLVSQEPALFNDTIRANIAYGKQGEVIESYIGCAAQLVNAHKFISSLHQVKFKFSSPSIIETWCSCFPTENVSPEETKCRDMEPWSGSVELSYHINLRLCMLDGVGSKSLAQAKRCDSLVHD >ONIVA05G04080.1 pep chromosome:AWHD00000000:5:3117852:3121630:1 gene:ONIVA05G04080 transcript:ONIVA05G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMGNYKVPTGICTLHRGYQEGPDLGARRWNKAAEWESSYPNHDFEWKHIAEPVMQVYTETTYGSSIEPKESALLWHYLDADHDFGSCQAKELLGHLERVLSNEPVVVKCGHYIVEVKPQGVSKGLAVDKKPSKVKYYVDDAGEVVRLLKNVAGISSHREAVSHGRVIFRDVMDYVD >ONIVA05G04070.1 pep chromosome:AWHD00000000:5:3111023:3116501:-1 gene:ONIVA05G04070 transcript:ONIVA05G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPLPPTHVLPPPPASDAEPPTCRHAVLSAAVPPSSSLDVERTRGALWQRDELRRCRHFSPESKENGVIIHQQSGAHYCADLGHQGAVIQTPFFTTGIWDHEGSLSSSGSSTDSSPINLSTESIQRQCIPKDKPC >ONIVA05G04060.1 pep chromosome:AWHD00000000:5:3110195:3111718:1 gene:ONIVA05G04060 transcript:ONIVA05G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGLPWQSPAGERWDATSLAGALKAAAGVRSATHVMPLYAVLLKLGLSASAILATSLAHLALRCGLPGYARRVFDEMPHRDVVSWTSLITGHAHQGLYQDSLALLRRMVISGVVPNGYSLSGALLACAGIGSGALAAGKEIHARVVKMSLHGSVDAVVENGVLDMYTRCGKIDYARKLFGVMLVRDIVAWNSMMAGCLRSGQAEEALGLFSSMVSSGVDADGFSFAISVDACGELALLKQGMQAHARVIRGGFDSDVVVRNSLVDMYAKCGCVDSAGLVFRDALSLDAVLWTTMISAYGKFGRVHDAICMFDRMSQLGIKRDGVAYLAVLSACSHSGLVKEGWNYFKLMFHGQNSVKMQPEHYGCMADLLCRSGYLEEALDFITNMPFESSIAAWSALLNSCRIHGNAKLGQLAASRLVQLDPENHSNWVALSNVHASESDWHETWMIRESMSIECVKKEPGCSWVELHDGVHVFLMADQSQPELVDVLQTLDSLKEDIYWLMPT >ONIVA05G04050.1 pep chromosome:AWHD00000000:5:3096415:3100825:-1 gene:ONIVA05G04050 transcript:ONIVA05G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGGGGAAAAANAKKKKKMGEEKLIIMSEKVRFIDILSLLLLRRPITSYHFVDAGDATAAAAGELGSTPGEWLVALTEIIQKALAAAYYPAKYLGAAVEFFLNFVSLNGGVIGILWNIVRFKLVIPLNREAPNFRSMIAMIDGRTELKPMKPAATAGVEDDDLESGGCAAAGVPLIRRHLVDGEHLLAEQYSISEFNFVGFYSCWNRSTNLVVAGNGGRGAEFIGSETTQAFVMTERATDAAAIVVAFRGTEPFNMQDWSTDVNLSWLGMAAMGHVHVGFLKALGLQEVDAKDAARAFPREPPAAAALVGRSFAYYKLRDVLRDQLRRHPNARVVVTGHSLGGALAAAFPALLAFHGEADVVSRIAAVHTYGQPRVGDATFAGFLAANAATPVAFQRVVYRYDIVPRVPFDVPPVADFRHGGTCVYYDGWYAGRTLAAGEDAPNKNYFNPKYIVSMYGNAWGDLFKAMFLWAKEGKDYREGPVSIVYRAAGLLFPGLASHSPRDYVNAIRLGHVAPKEA >ONIVA05G04040.1 pep chromosome:AWHD00000000:5:3089933:3094791:1 gene:ONIVA05G04040 transcript:ONIVA05G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSDEDPELEAYGSDTCALLEAGDIKVMNDKNMYQCPFCSDEKNDYNAHGLLQHALAVGSADDRPAKEKANHRALALHLKDDTAESSRPLSQPPQHSKNDLFVWPWMGIIVNMPAEYVGKSANRLKEHFLCYHPSKVYSVYSKGRPSGNAIIEFGKDWSSFRNALQFESEFEKKGCGKKAWLDSGRGGPEPFGWIARADDYNSSGPIGEHLKRNGDLKTVSDVENEGTVKTDKLMASLACQVKEKEIHLEKLQSVYDERYLSLGKMMESRENMLKSCNTEIQKMQQLAYEQAQRTVDENQKLRLNLQSMMHELDANCKRLEELTAQADSDKRNLEVLMQKNAKKADHLRLAELEQQKADGNVLRLVEEHNREKEATLNSNMRLHEQLDRKQKLELEIAHLKGKLQVTKHTQGTEDSDLEKTIEALTNELEEKIEDMNYMDNMNQTLILKEKRSKDELQEARKLVIDSLQRLPTDIRSQAQIGIKRIGELDLKVFSDACRRRLSEDFAEVESSVLCSKWQNEIKDPEWHPFKSVWVNGKESKVIREDDEKLQQLKEEYGQEVYDAVTNALFELDMHSIGGRDPFLELWNYEEGRKAGTREVIQQVIKLYKATKRRR >ONIVA05G04040.2 pep chromosome:AWHD00000000:5:3089933:3094791:1 gene:ONIVA05G04040 transcript:ONIVA05G04040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSDEDPELEAYGSDTCALLEAGDIKVMNDKNMYQCPFCSDEKNDYNAHGLLQHALAVGSADDRPAKEKANHRALALHLKDDTAESSRPLSQPPQHSKNDLFVWPWMGIIVNMPAEYVGKSANRLKEHFLCYHPSKVYSVYSKGRPSGNAIIEFGKDWSSFRNALQFESEFEKKGCGKKAWLDSGRGGPEPFGWIARADDYNSSGPIGEHLKRNGDLKTVSDVENEGTVKTDKLMASLACQVKEKEIHLEKLQSVYDERYLSLGKMMESRENMLKSCNTEIQKMQQLAYEQAQRTVDENQKLRLNLQSMMHELDANCKRLEELTAQADSDKRNLEVLMQKNAKKADHLRLAELEQQKADGNVLRLVEEHNREKEATLNSNMRLHEQLDRKQKLELEIAHLKGKLQVTKHTQGTEDSDLEKTIEALTNELEEKIEDMNYMDNMNQTLILKEKRSKDELQEARKLVIDSLQRLPTDIRSQAQIGIKRIGELDLKVFSDACRRRLSEDFAEVESSVLCSKWQNEIKDPEWHPFKSVWVNGKESKVIREDDEKLQQLKEEYGQEVYDAVTNALFELDMHSIGGRDPFLELWNYEEGRKAGTREVIQQVIKLYKATKRRR >ONIVA05G04030.1 pep chromosome:AWHD00000000:5:3085113:3089141:1 gene:ONIVA05G04030 transcript:ONIVA05G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEAATAAAAAQLHHLDLVRFEAAEGDEKRHGDHGLVVYTAPKTTVMCVDGAVVDKTDAAALVVVDRSSLHPGMEVTSASDPAGQIGVVTAVSTAVDLVEHRADGDYGDAEAAPAARGLSPSGLRRVTEFNLGDYVVCSGGGDQWLGRVVEVCVAVDVVFDDGAACRITGDRAQDRVIEVEVAANTYRRREMNGAFYPGQRVTGHHVLASPSIAFKDARWLRGYWKLTRLEGTVAKVAMTGVLVYWIASAQLGTSKSLINASSPPAFQDPGDLTLFCSDDECPWAFGDRCFIATPPRHRRRRRQPRVPTDDDKQEASPAAATTSSSTTTTNQDAAAAAPPVEKNENTYRNQLRKFFYKRDLRATRWGARARAVDKVMLVSGTRTTADVLWQDGTLRRGVPSLELVPFDILNDHEFFPGQHVVVDTMAAAEATTAAARRVGVVRSVDPKDQTVRVSWLDGGDGGGEETVASAYDLRKYSRHDVFYGDVVVRLLPPPPESADAAGEGAAPPAQGTKAAAADLSWVGRVVDVRDGHVQVRWGNGETSTAVHSEVRGVDMRSFWALEHEVGPWLAEGRDRAAAALAQPPPPPPPPPPPPAAGNNNNNVANAGAAGNTAGPAAAAPEPSPTLIVRVSAAVRKVFDAASQLVALGKSYLVTVSSSSSISAPAATATGNAEAPPPAGPTAGGDVNVEPAPAVPAAVAVNGVAGEDAAAPAPDAAALPSSSDAGGGDGDGDGDGGDSAGDGGGKEKVEDDSLGVAHFDVVQCPPDHHFLDCKLEGAAHGNKWVKRVQKEWQILGNDNLPGTIYVRAFEDRMDLLRAAMVGAAGSPYHDGLFLFDLHLPATYPAAPPEVYYHSFGLRVNPNLYPSGTVCLSLLNTFDGEGVEVWSPARSTLLQVLVSIQGLVLTAEPYYNEAGYDAYAGTPGGRRNAASYAENACLLTLRSALHLLRRPPRGFEGVVGAHFRRRGAHVLAACESYLRGTRVAGDGGDGGGGERTCSAGFRLALRNVVPVLAAAFAEIGVEGCERFGDGELGQCSLTAIDDSAASADASD >ONIVA05G04020.1 pep chromosome:AWHD00000000:5:3078162:3084473:1 gene:ONIVA05G04020 transcript:ONIVA05G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:villin-like 1 [Source:Projected from Arabidopsis thaliana (AT2G29890) TAIR;Acc:AT2G29890] MKGVDDAFLGVGDKPGLDIWCIMGSNLIAIEKSLHGKFYTGNTYIILSTVELKSGVRQHNVHYWVGEEAKEEDCLTASDKAIELDVALGSNTVQYRETQGEESDKFLSYFKPCIIPIQGSLSSHMRIYGDKSKDTTMFRCEGEHVARVTEVPFSRSSLDHKAVFVVDTESKIFLFSGCNSSMQTRAKALDVVKHLKENRHCGRCEIATIEDGKLVGDSDAGDFWNLFGGYAPIPRDVQDTVMTELMTTSSKKLFWINKRNLVPVETNLLEREMLNSDRNYILDCGTEVFLWMGMTTLVSERRTSVTALEDYVRCEGRQSNARSVILTEGHETVEFKMHFQHWPKNAVPKLYEAGREKVAAIFKHQGYDVTEIPEDKPCHFISCNGSLKVWLVDNGSVTLLCTEEQEQLYNGDCYIIRYSYIEDGKDYHLFFAWSGLNSINEDRVAAASLMSGMIDSVKGHAVVAQVFEGREPEMFFLVFKSLIIFKGGRSMAYKNFVSQRSDANGWYQKNGVALFRVQGLKHDCIRAIQVDLPLKQSLLVREGSEPDRFWEALGGRSEYSKEKQAKEVFSFSQDDLVTEEILILDCVEELHIWVGHQSGVLSKEQALDIGKMFLQAGIHQDGRRPIDTTMYIVTEGDEPRFFTSFFNWDYSKQTEAGNPERNFTKAGDTGEKPTQIIIVFAAEKVAGDIVVGADHAGAASGGEDVRLGLYREATQGEITGRAVTVAVDAFAVSEKPLLRLLVAGVVELDAVHGGAAALPALAARVGGGGCHRDPSPAVSFS >ONIVA05G04010.1 pep chromosome:AWHD00000000:5:3071726:3072901:1 gene:ONIVA05G04010 transcript:ONIVA05G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDHEGDTMARKKRRVYVAIEEIESHGHEEDDDGGEEVEEEDEQSHGEADGDGDDAAAAMEESDGHDEEGDNGGDEPDQSPDGDDMEEEEERGGGGGGGVHGGEAEVETFRHSEQASSARPVVAVAGVTVEDADALECGVCCLPLRPPIFQCEVGHVVCAPCRDKLAPAGRCHVCRAAPLGRAISVLCIHPHAAPAAEMQCELRLHVSRPADDAGGGLCISHYQKSVFHIGYSDLADGVPDRRRRFQFVVPRHVVGGDNEDGVQIRVRIKY >ONIVA05G04000.1 pep chromosome:AWHD00000000:5:3064588:3069033:1 gene:ONIVA05G04000 transcript:ONIVA05G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9R1] MPPPPPPPPPPPPPPRPFSRKPSEPAAPSTRAVVAVTGVTVEDADALECGVCFLPLRPPIFQCEVGHVVCSPCRDKLAPAGRCHVCRVAVAGGEYRRCYALERLVDAIRVACPHAAHGCGATPAYHALDAHRRACPHAPCHCPGERCGFVGSTVALQDHIAATHGWPCTTNVRAGETVSVHLRDGLAFLRVHHHRRRGSATYSDHLIMLNVTREPYGRAVSVLCIRPHAAAAAAAAEHQVSPPPPPAMQCELLLVSRFGYDGDGGHCRSHYQKSEFLIGCSDLADGLPDREQSFQFMVPRCVVGDDDEGGIQIHVPMSEEEEDDNGGGEEEESQRETAVVEEEEEESTGVHVGEAEMAASEEQAPPSSSRRAFVTVADADALECGVCRLPLRPPVFQCEDGHVVCSPCRDKLAAAAAVRCHATGAATPWSAWWTPSASRARTPPTAAPPHGLDAHRRACPHAPCHCPGERCGFVGSTAALVDHFAAAHRWPCAWASEAVSVLLRDGLNFLRVVDLRRPGDASHHRLVMLNVTREALGRAISVLCIHPLAAAAAAKTMQCELELFVPLNGDDGVDGGQLRRRHYQKSEFPLGCGDLADHKTTFKFVVPRCVVGDDDEGGIRIRDKVSE >ONIVA05G03990.1 pep chromosome:AWHD00000000:5:3061990:3063120:1 gene:ONIVA05G03990 transcript:ONIVA05G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9R0] MAASSSSSKRRAMGMAASDQGDTSAHAMKKPRVRVAPDSSASEDDTDEEYGHDEGDGGEEEEEEEEPDGDGEEESQSYQDPLESDGDGVDEEASAGDMAASEPAAPSTRAAVAGVTVEDADALECGVCFLPLRPPIFQCEVGHVVCAPCRDTLAPAGRCYVCRVAVAGGEYRRCYALERLVDAIRVACPHAAHGCAARPAYHDVEAHRLACPHGPCHCPGERCGFVGSTAALLDHFAATHNWPCTTNVRAREVFDVRLHDGFNFLVVVGASRHHLVMMNMTREPLGRAITVLRIHPHATGRIQCELSLSRHVVLGDSWGLYRSHYQKSVFDVACSDLADGLPDAKQCFQFVVPRCVAGDDDEGGTGIRINVLITVD >ONIVA05G03980.1 pep chromosome:AWHD00000000:5:3056720:3059665:1 gene:ONIVA05G03980 transcript:ONIVA05G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGVRGGGGGASGSGGGGGERWRWILFFAMVSVFFLLSLLLLLFSSSPPRLRLPGPAAAAPSLADDLRCGHGAPPCLAYLLVGARGDGARLLRLLLAVYHPRNRYVLHLSADASDSERRDLAAWVAAATPAVGAFRNVAVVGAPTAGTPVGSSGLAGTLRAAAVLLRLHPDWDWFITLNAADYPVVTQDDLIYVLSNVSRQFNFVDHTSDIGQKESEKVQSMIVDAGIYLAGRTNFFRASEKRPTPDAFKFFTGISCCLCFCFGSWDSSPWVILNRQFIEYCILGWENLPRILLMYFNNIMLPQEGYFHSVICNSLEFRNFTVNNDLRYKAWDNPPQTEPVFLDMTHYDKMVDSGAPFARRFRENESLLDKIDGNVLGRWGHGPVPGAWCSGRKSWFSDPCSQWSDVNIVRPGPQGIKLRQYINRALEEGEFGSKSCRS >ONIVA05G03980.2 pep chromosome:AWHD00000000:5:3056720:3059103:1 gene:ONIVA05G03980 transcript:ONIVA05G03980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGVRGGGGGASGSGGGGGERWRWILFFAMVSVFFLLSLLLLLFSSSPPRLRLPGPAAAAPSLADDLRCGHGAPPCLAYLLVGARGDGARLLRLLLAVYHPRNRYVLHLSADASDSERRDLAAWVAAATPAVGAFRNVAVVGAPTAGTPVGSSGLAGTLRAAAVLLRLHPDWDWFITLNAADYPVVTQDDLIYVLSNVSRQFNFVDHTSDIGQKESEKVQSMIFIEYCILGWENLPRILLMYFNNIMLPQEGYFHSVICNSLEFRNFTVNNDLRYKAWDNPPQTEPVFLDMTHYDKMVDSGAPFARRFRENESLLDKIDGNVLGRWGHGPVPGAWCSGRKSWFSDPCSQWSDVNIVRPGPQGIKLRQYINRALEEGEFGSKSCRR >ONIVA05G03970.1 pep chromosome:AWHD00000000:5:3046826:3050738:-1 gene:ONIVA05G03970 transcript:ONIVA05G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLFFPHRFLRSRHVSFLDSNSLCLCVAAASSQSNLVNCIFIEFFLSHGMEQAIQEGICWTCGSNDSQGSMNGLRALWQFILVCNGIDLSF >ONIVA05G03960.1 pep chromosome:AWHD00000000:5:3046105:3046495:1 gene:ONIVA05G03960 transcript:ONIVA05G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNNKFVKCPNLEHTPYAYRFFVGRSVCAIFGHWPCRSGTSDRTWTFNVEAMSSMGIEGLELKGFAAVGRMLAYLTVVQALLLLLILVVVISK >ONIVA05G03950.1 pep chromosome:AWHD00000000:5:3041534:3041944:1 gene:ONIVA05G03950 transcript:ONIVA05G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFKHTGDAAEVGTTTGCAAAAVAGPRRVKLPMPQATIGFILAWRKGPPPNLEEMDDSEFLSPEHRRQREELHAYLDKLDLEFDEFQDEVRREVQETGGYLQTFDEAAHAETEKVVAQAREEWVGIDWAALHRL >ONIVA05G03940.1 pep chromosome:AWHD00000000:5:3029495:3031900:1 gene:ONIVA05G03940 transcript:ONIVA05G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQLFVDLVFVQLFFVCQVLSDQMEVQFYNNFKFCNHLKCVAKCCKKLIVVLNL >ONIVA05G03930.1 pep chromosome:AWHD00000000:5:3027426:3027821:1 gene:ONIVA05G03930 transcript:ONIVA05G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASGGGGGGGRRLFPCLFCEKKFVKSQALGGHQNAHRKERGAAAAAAGCLNPYVFYGAGAAAAAAPATLSLLLQVDNSYTTTSYIDEHGRAAAPPPPNSDHICWTTAGAASRGGAGAGGEVDLELRLF >ONIVA05G03920.1 pep chromosome:AWHD00000000:5:3017796:3021806:-1 gene:ONIVA05G03920 transcript:ONIVA05G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTDADAAPVAPVLEEKPPTPPPDGDEVPSAPAAAAAAEQPKVVEEEEVRLEGKGGGFGGQEVEVAGDGEDGGEVEVAEAKDEGGGGEFAGGDAKAASSLLAAAAEEEEEEEASNGELGEEDAYPASSDAAVGEEKGELGEEPEEKAPALAPEANGAAESDVEEKPEEDNEGEEVATGGGDDGELGMEKEVDVSAGAAEAPQPEDKVAPEAEANGDLGDKAEEEASASAAVEVVEESNAPEELLEKAVVSEANGVAATVELAVEEKLEDNKGEEEEMEAKPEPVSGVIPVVVDDTSSETIAPVSAESAVEESTEKEQTVDDTSSEMIAHVSAESAVEESTEKEQTVESEASESVEIVGVEKPSEDESNVDGGASSVVSRELAPEETKENNVGQEDEGVAEVIDREEDADDDEEIVLAAADDEDDGTNEADDDEDGVSSDRGPARVAIIESSEAAKQIMKELGEGSASVSPVSGLSSSREYTNSMDGQIVLDDSEEDGDDDDNEDDDEKGFDSAALAALLKAATGASADGNVTVSSQDGSRIFSMDRPAGLGSSAPSLRPTAPRPVARSNLFSPSELAVTAEPTEEMTEEEKKLHDKVELIRVKFLRLVYRLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLDNARKKAMLLEAEGKEELNFSCNILVLGKIGVGKSATINSIFGEEKSKTDAFSSATNSVREIVGNVDGVQIRIIDTPGLRPNVMDQGSNRKILASVKKYTKRCPPDIVLYVDRLDSLSRDLNDLPLLKTITSVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRRNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPNPGKLFGFRFRSPPLPFLLSSLLQSRAHPKLSPDQGGNEGDSDIDLDDYSDIEQDEDEEEYDQLPPFKPLTKSQLARLTKEQKNAYFDEYDYRVKLLQKKQWKDEIRRLKEMKKRGKTDMDAYGYANITGENDLDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEETLALLNKFPANMAVQVTKDKKEFSIHLDSSISAKLGEDASSLAGFDIQTVGRQLAYILRGETKFKNIKKNKTTGGFSVTFLGDIVATGLKVEDQLSLGKRLALVASTGAMRAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITVRTSTSEQVQIALLGLIPVAASIYRSFRPSEPSFAY >ONIVA05G03910.1 pep chromosome:AWHD00000000:5:3015049:3017768:-1 gene:ONIVA05G03910 transcript:ONIVA05G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACTPKIVPIIILAEEGGQRKAHSSRVVQIFLKNKNIKKNPQKSVDGVHTRTRVNPSGPHVTSTHRRVGPTSHRAHMSVTREPLLLEAPRLFDANQTAQTERRVIEPLTLKKKKLKKNGEKKLDASIKSSPSYKIPEFPTPKNPSKRIPKFQQEHLRFRSTNLTQHPISMADSGSDAPISNRPEEEVTVEKTPEMEAAAEEERLRYLEFVQQAAAQVLVLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVYDRFHGVPLDLLKFLDRKVGESVQELDRRVPPVVKEAPGLARSAAAEVRQAGLVGTATGLAKSAIARAEPRARDLYTRYEPVAERKAAEAWAALNRLPLVPSVTRAVLPAAASLSARYNTAVADGAKRGSAVATYLPLVPTERLSRVFGYPLADAAASPAPEMQPIPSQ >ONIVA05G03910.2 pep chromosome:AWHD00000000:5:3015049:3017783:-1 gene:ONIVA05G03910 transcript:ONIVA05G03910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLIRDSKFKSYTGGQRKAHSSRVVQIFLKNKNIKKNPQKSVDGVHTRTRVNPSGPHVTSTHRRVGPTSHRAHMSVTREPLLLEAPRLFDANQTAQTERRVIEPLTLKKKKLKKNGEKKLDASIKSSPSYKIPEFPTPKNPSKRIPKFQQEHLRFRSTNLTQHPISMADSGSDAPISNRPEEEVTVEKTPEMEAAAEEERLRYLEFVQQAAAQVLVLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVYDRFHGVPLDLLKFLDRKVGESVQELDRRVPPVVKEAPGLARSAAAEVRQAGLVGTATGLAKSAIARAEPRARDLYTRYEPVAERKAAEAWAALNRLPLVPSVTRAVLPAAASLSARYNTAVADGAKRGSAVATYLPLVPTERLSRVFGYPLADAAASPAPEMQPIPSQ >ONIVA05G03900.1 pep chromosome:AWHD00000000:5:3013493:3014074:1 gene:ONIVA05G03900 transcript:ONIVA05G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSPO(outer membrane tryptophan-rich sensory protein)-related [Source:Projected from Arabidopsis thaliana (AT2G47770) TAIR;Acc:AT2G47770] MASAAAAAAAAQEGITHRAVRGDGGDAAATAGGGEAASRDPRKAGRAKRGLRSLAAAVSVSVALMAASFYGSGSASASASAARVTVARAGSVAAEAVMALAAWMVWAEGGLHRRPGATLAPFVAQLVAALAWAPLALGLAAPAAGLACCAAMAAGAAACARGFGGVNPVAGDLAKPCVAWAVLLAVINYKMMN >ONIVA05G03890.1 pep chromosome:AWHD00000000:5:3011459:3012555:1 gene:ONIVA05G03890 transcript:ONIVA05G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARRAIAVAAAVLHLLLLTASQLAAPALSAMAPPAAPLPGSADPRCHASPPRRGAVAVYPSDMAHIQFLLNAKFVEAEWFLHGALGRGIDFIDSALSGGGPPPTGARKATLDFRATEVAAEVGYQEVGHIRAITQSMGGFPRPAIDLSDAVFAAVMDDAMATRLDPPFDPYASSVNFLLASYILPHITASAALQASMLAVEAGQDAVIRMMLYERADEVVAPYRGRTVAEFTRRISEWRNAASRCGAKDEGVKVLDRRQGAERRTVSNILGAGDDSLGFARTPAEVLRILYGSGNEQVPGGFLPRGGNGTIARGFFQLA >ONIVA05G03880.1 pep chromosome:AWHD00000000:5:2995779:2997400:-1 gene:ONIVA05G03880 transcript:ONIVA05G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKSQSKGAAPRGSKGPKIGGGGGKR >ONIVA05G03880.2 pep chromosome:AWHD00000000:5:2995779:2998519:-1 gene:ONIVA05G03880 transcript:ONIVA05G03880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEILELVNGDGFFFFSLIVDLDTVTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKSQSKGAAPRGSKGPKIGGGGGKR >ONIVA05G03880.3 pep chromosome:AWHD00000000:5:2997410:2998925:-1 gene:ONIVA05G03880 transcript:ONIVA05G03880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPTDHTHRIQPDGPHADGSGPYRPVPNFPNLKPGPLVSSPPSHKKPSRSTRCSPHSLPRRRRRRHRRIAAADGCAPKNSVRI >ONIVA05G03870.1 pep chromosome:AWHD00000000:5:2993127:2993486:-1 gene:ONIVA05G03870 transcript:ONIVA05G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASLAHPLASSSSTRVEVEVMGDVFVSSDDIARNLIIDYGSGKIALTAACIHFRIPGAALNSPFHHCVASVLPTIALLSLPPKKKPLPPLSPTAVAKRSPQPPQPFVLRPVWRCGPS >ONIVA05G03860.1 pep chromosome:AWHD00000000:5:2987296:2992648:-1 gene:ONIVA05G03860 transcript:ONIVA05G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKHGNDGMSKDMGRATRLKRLQTPINLFEDECVFCHSFRTPPQFHGPMAHYQKGKLVSSDNGSPCPTDIIYVHKKCLEWAPQVFYEGDTIVNLELEIKRAAKLKCKRCKLPGAALGCYYTKCNRSYHVPCAMMTLNCRWDVDNGCVMCPEHATMPLPCDKISSPRNESGNSSSFPQSQSSIEQSDSADCEWEYPIIDQWNTSSSLSQGQSSAKEGISAVPKREIDQLNTSCSSFPEGQYLDKEGISTDEYRKEKQTDHLYTERDCPSDLWVLLGSALSESEKDSLQEFASWTDATVVNEWTENVTHVIVGKSAGSAWSRSYEVLMALLFGKWVVTFIPSPEASFELRFSHDSRASIGGNKKRRNQASEGAQKLFSGLNFCLSVYINPDDRQHIQSLIAAAGGQILETNGGSHSLRENLEKVAVKPCYFVYDGGAPRDFTQGLLDDLPKEMEEGREYAACGAQVISHLRVFDAIAAYDAQILSHKDHFTPDV >ONIVA05G03860.2 pep chromosome:AWHD00000000:5:2987296:2992648:-1 gene:ONIVA05G03860 transcript:ONIVA05G03860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKHGNDGMSKDMGRATRLKRLQTPINLFEDECVFCHSFRTPPQFHGPMAHYQKGKLVSSDNGSPCPTDIIYVHKKCLEWAPQVFYEGDTIVNLELEIKRAAKLKCKRCKLPGAALGCYYTKCNRSYHVPCAMMTLNCRWDVDNGCVMCPEHATMPLPCDKISSPRNESGNSSSFPQSQSSIEQSDSADCEWEYPIIDQWNTSSSLSQGQSSAKEGISAVPKREIDQLNTSCSSFPEGQYLDKEGISTDEYRKEKQTDHLYTERDCPSDLWVLLGSALSESEKDSLQEFASWTDATVVNEWTENVTHVIVGKSAGSAWSRSYEVLMALLFGKWVVTFIPSPEASFELRFSHDSRASIGGNKKRRNQASEGSKFSAATISMMIMRCLQAQKLFSGLNFCLSVYINPDDRQHIQSLIAAAGGQILETNGGSHSLRENLEKVAVKPCYFVYDGGAPRDFTQGLLDDLPKEMEEGREYAACGAQVISHLRVFDAIAAYDAQILSHKDHFTPDV >ONIVA05G03850.1 pep chromosome:AWHD00000000:5:2982380:2984501:1 gene:ONIVA05G03850 transcript:ONIVA05G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRRRRNRDAEFDMAEIDRMVPVMKLDPARQPWLTVPGRSSCTCDCCNVTTKKKPSNKAVIPAWLFRSDDDDDDHHEESAEEEEEDNLLQGLNKHLAEYRIGQYEIRKCLHHGYELTDKLNAELPAGERRYTPTFVLEGSSHRNGFFGLSWHSEYRMHETAPTSLPRSRFTTPHPRWDYEADNMLQLCSFSLAAGAGSGGDGRRLLVYGIVAARDDMEGLPNFVFNRTRDNAQEVTLSSPALELSSPLRGISAFEHVLLEFDLKLKNTAGDGADADADDVLVDACIEFVDRTITCSAGRLLRSRIEGPICSLDMDYMFVKSSVEAAVEVFLGDSWATPARAASRAWPPSTAPSPATVTAAATGLSSTRSPSRFL >ONIVA05G03840.1 pep chromosome:AWHD00000000:5:2972843:2979991:1 gene:ONIVA05G03840 transcript:ONIVA05G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKSPSKSTQSSSSGARSNLPVSTGKALTPTFSGQSGSVSGFRHPGSLAPRGSSMTGSSSLGVQPPRGSISGAWFPPNSLQASIYQVGYPALSNRGAAPVCGNFGPRITYVASVAGGGNIGRTISSAGMSMPTVASPVNLSGSGALNIRGSNQMGGTHQQGLPAMNMLGSSSSAPGGTLSKNQLQAGSSSSGSPGMRHDGPLRQQAFGINAVQQNQEFRIHNEDFPALPRLEGMELHRKDHLPKNANIMQAQHYPMGKSSGFNTGSSCPPRKQHKQTANSVQNTGPENVGPRPVNSPRSPLNPRPREQVIQQNHEPQAQKSVRLQSSSGPESHKVQSPKSSQRTDTTLESHKVQSPKPSQRTDTAPESHKVQSPKSSQRTDTAPDPYDPYGLHGLLRVMKLKEEGPASLALGIDLTTLGLDMNSSDNLYKTFGSPWSSEPVKEEYSYEIPDCYSSMQPPPLQALHFLRFHLMTLFYIFYRMQLSYMPRMKYANTGGFTTKSCVSGLKELLIRPLSSKLQLMNKDSAIFLMPTFGMQFPRTTLFSVMTISRRYLPFLPFFLPPKMVVRFSVLKIRVSWLGSIVGIIKWYESSARAWTPSAAASSIGQALVVVDGLTLYARLGAGAGDDLLSGLAFGAADEALLRIKMNTIMSCRPPLDQGDHLLLQAARRGCLWRSEQWTHKRILLHGHHLLVRRQHILGGSLARLHVLRHRHRILDNFIKLVSINTVRIAVAAATTKEEEDMPSSPCYAATEAANATVAMWTLDKSAGET >ONIVA05G03840.2 pep chromosome:AWHD00000000:5:2972843:2979991:1 gene:ONIVA05G03840 transcript:ONIVA05G03840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKSPSKSTQSSSSGARSNLPVSTGKALTPTFSGQSGSVSGFRHPGSLAPRGSSMTGSSSLGVQPPRGSISGAWFPPNSLQASIYQVGYPALSNRGGMHVGGSPVFTGNMSAIDGSIQASSSYLTKDGNHNSALGLAAAPVCGNFGPRITYVASVAGGGNIGRTISSAGMSMPTVASPVNLSGSGALNIRGSNQMGGTHQQGLPAMNMLGSSSSAPGGTLSKNQLQAGSSSSGSPGMRHDGPLRQQAFGINAVQQNQEFRIHNEDFPALPRLEGMELHRKDHLPKNANIMQAQHYPMGKSSGFNTGSSCPPRKQHKQTANSVQNTGPENVGPRPVNSPRSPLNPRPREQVIQQNHEPQAQKSVRLQSSSGPESHKVQSPKSSQRTDTTLESHKVQSPKPSQRTDTAPESHKVQSPKSSQRTDTAPDPYDPYGLHGLLRVMKLKEEGPASLALGIDLTTLGLDMNSSDNLYKTFGSPWSSEPVKEEYSYEIPDCYSSMQPPPLQALHFLRFHLMTLFYIFYRMQLSYMPRMKYANTGGFTTKSCVSGLKELLIRPLSSKLQLMNKDSAIFLMPTFGMQFPRTTLFSVMTISRRYLPFLPFFLPPKMVVRFSVLKIRVSWLGSIVGIIKWYESSARAWTPSAAASSIGQALVVVDGLTLYARLGAGAGDDLLSGLAFGAADEALLRIKMNTIMSCRPPLDQGDHLLLQAARRGCLWRSEQWTHKRILLHGHHLLVRRQHILGGSLARLHVLRHRHRILDNFIKLVSINTVRIAVAAATTKEEEDMPSSPCYAATEAANATVAMWTLDKSAGET >ONIVA05G03830.1 pep chromosome:AWHD00000000:5:2962643:2965566:1 gene:ONIVA05G03830 transcript:ONIVA05G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFVSQELLLVLLISLQCLSCLAIANTTLDRQAEALLQWKSDLTYYSDLDLWTNATSPCRWPGIGCSSMVAHGHGHERDAILVVTNITLYSCGISGGLSKLRFTELPHLVHLDLAMNSLSGPIPSDIGRLAELSYLDLSGNVLNGSIPPSIGNLTNLAFLDLSSNYLSGRIFDCTPGTLHNLEYLNLTYNKLTGPIPSSLGNLTRLYHLHLGFNNLSGHIPREIGMLHSLVLLYLAYNNINGSIPTTIGNLTNLNLLDLSLNKITGFIPESIGNLTSLQNMDLSTNEITGLIPTSIGNLTSLRSMDLSNNRIISPIPSTFWKLTNLRTVGLESNDLSGVLSPEIGVLGNLTDLDLSNNRFTGSIPPEIGQCRNLFSVRMSGNLLTGPIPQELGYCFDLHELDLSRNYLSGAIPLRLSYLYKLQDLNLSYNSLSGRFLGLSTIKSVTVVSLDHNMGICGDPQYGLTGCKASKYDDKIMVIALRILLVFALFYVFCLAIGSITVAYRRRKLAKVSSIRNSGDLLSMWNFDGNLAFQDILNATENFDEKYCIGVGGYGAVFRAELQGRGTFAVKLLHTLEDSFDDGAFHAEVEVLTKIRHRCIVKLHGYYSHSQWKFLVYDLIERGSLASIWHDQELAKELDWPKRVTVVMDIGQALCYLHHDYDDPIVHRDIKSSNILLDHDFKAYLSDFGMAKKLKDNSSSWSTIFAGTCGYIAPELSSTMVLTEKCDVYSFGVVTLEVVMGKHPGDLLLPFFCRTEQHTKLKDILDKRIVEPTSDEEKDVILLVLVAFACLQICPKSRPTMQQVYQALTARSLPAPIVKPLNEIKLQHFHDFCETIRNI >ONIVA05G03820.1 pep chromosome:AWHD00000000:5:2958887:2962595:1 gene:ONIVA05G03820 transcript:ONIVA05G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRRALAGITAGAGGHRLLTPVSSMLPPPHPSPRGGVRLVMVAAAAASNPNLSRMGIFSHNSGFLKARNDLLNIVKLSI >ONIVA05G03820.2 pep chromosome:AWHD00000000:5:2958887:2962210:1 gene:ONIVA05G03820 transcript:ONIVA05G03820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRRALAGITAGAGGHRLLTPVSSMLPPPHPSPRGGVRLVMVAAAAASNPNLSRMGIFSHNSGFLKARNDLSYCLSSWSNNTSPCDWTGIACSTSGQSDAVPAVVLTISLQSCHISRGLDKLQFAELPHLQILNLGRSSFSGPIPSSIGGLAELSELDLSSNRLSGSIPTSIYSSI >ONIVA05G03810.1 pep chromosome:AWHD00000000:5:2952689:2956889:-1 gene:ONIVA05G03810 transcript:ONIVA05G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELSIENHSPISMDELSFGSLCLVAMATLALALALMVVMGAHRRGGEKGATTGAKNLPPGPWNLPVTGSLHHLLGASPPPHRALLRLSRRHGPLMLVRLGEVPTVIVSGSDAAMEGWVLKAHDPAFADRARSTTVDAVSFGGKGIIFAPYGEHWRQARRVCLAELLSARQVRRLESIRQEEVSRLVGSIAGSSNAAAVDMTRALAALTNDVIARAVFGGKCARQEEYLRELGVLTALVAGFSMADLFPSSRVVRWLSRRTERRLRRSHAQMARIVGSIIEERKEKKASDDGVGAKDEDDDLLGVLLRLQEEDSLTSPLTAEVIGALVIDIFGAATDTTASTLEWVMVELMRNPRAMEKAQQEVRNTLGHEKGKLIGTDISELHYLRDLTAASLFCTNSTTEQGNCRVMGYDIPQATPVLINTFAVARDAKYWDNAEEFKPERFENSGADIRTSTAHLGFVPFGAGCRQCPGALFATTTLELTLANLLYHFDWALPDGVSPESLDMSEVMGITLHRSSSLHLHATLSRLGFVSHSGQ >ONIVA05G03800.1 pep chromosome:AWHD00000000:5:2950529:2950927:1 gene:ONIVA05G03800 transcript:ONIVA05G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9N4] MVVIALFLLVVAGAGAGAQGGDEGSSPPAPAATGEWVPIGGDVIRGDGLYRQVARFALVVRMLAFGAAEGELTLVEVVAGSVQAAGAGNNYRLLLRAAGGGGVGTYEAVVWGVPGSTAWTWKVLSFRRVAGD >ONIVA05G03790.1 pep chromosome:AWHD00000000:5:2938007:2948376:1 gene:ONIVA05G03790 transcript:ONIVA05G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLHSPPTAPAAFGGAGSADWRRRSWSSSSRAPVAKGGHLRPCVWRRGGDDGGGEDHHADGGGGGGGGAAWRARATTAGVSSSSSTAKGLQANIIEHETPRITKWPNESRDLDDHQQNNEADEEADDELQPLVEQVRSMLSSMEDGAITASAYDTAWVALVPRLDGEGGTQFPAAVRWIVGSQLADGSWGDEALFSAYDRVINTLACVVALTRWSLHHDQCKQGLQFLNLNLWRLAEEEPDTMPIGFEIAFPSLVEAARGLGIDFPYDHPALKGIYANRELKLKRIPKDMMHIVPTSILHSLEGMPGLDWQRLLKLQCSDGSFLFSPSATAYALMQTGDKKCFAYIDRIIKKFDGGVPNVYPVDLFEHIWVVDRLERLGISRYFQREIEQNMDYVNRHWTEDGICWARNSNVKEVDDTAMAFRLLRLHGYNVSPSVFKNFEKDGEFFCFVGQSTQAVTGMYNLNRASQISFPGEDILQRARNFSYEFLREREAQGTLHDKWIISKDLPGEVQYTLDFPWYASLPRVEARTYIGQYGGNDDVWIGKTLYRMPIVNNATYLELAKQDFNRCQALHQHELEGLQKWFIENGLEAFGMTPEDVLRAYFLAAACIFEPNRASERFAWARVSVLANTISRHFYSDMSSMKRMERFMWSSLYEENGNVLGLEGYAKDGILARTLCQLIDLLSQETPPWIEWMMQQINMKDGRYGKGRVMHPGSCIVHNKETCLLIAQIVEICAGRIEEAASMINNTEGSWFIQLASSICDSLHAKMLLSQDTKKNETTINQIHKEIELGMQELAQYLLPRVDDRRINNKTKQTFLSIVKSCYYAANCSPHMLDQHISEVIFEQC >ONIVA05G03790.2 pep chromosome:AWHD00000000:5:2938007:2948376:1 gene:ONIVA05G03790 transcript:ONIVA05G03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLHSPPTAPAAFGGAGSADWRRRSWSSSSRAPVAKGGHLRPCVWRRGGDDGGGEDHHADGGGGGGGGAAWRARATTAGVSSSSSTAKGLQANIIEHETPRITKWPNESRDLDDHQQNNEADEEADDELQPLVEQVRSMLSSMEDGAITASAYDTAWVALVPRLDGEGGTQFPAAVRWIVGSQLADGSWGDEALFSAYDRVINTLACVVALTRWSLHHDQCKQGLQFLNLNLWRLAEEEPDTMPIGFEIAFPSLVEAARGLGIDFPYDHPALKGIYANRELKLKRIPKDMMHIVPTSILHSLEGMPGLDWQRLLKLQCSDGSFLFSPSATAYALMQTGDKKCFAYIDRIIKKFDGGVPNVYPVDLFEHIWVVDRLERLGISRYFQREIEQNMDYVNRHWTEDGICWARNSNVKEVDDTAMAFRLLRLHGYNNFEKDGEFFCFVGQSTQAVTGMYNLNRASQISFPGEDILQRARNFSYEFLREREAQGTLHDKWIISKDLPGEVQYTLDFPWYASLPRVEARTYIGQYGGNDDVWIGKTLYRMPIVNNATYLELAKQDFNRCQALHQHELEGLQKWFIENGLEAFGMTPEDVLRAYFLAAACIFEPNRASERFAWARVSVLANTISRHFYSDMSSMKRMERFMWSSLYEENGNVLGLEGYAKDGILARTLCQLIDLLSQETPPWIEWMMQQINMKDGRYGKGRVMHPGSCIVHNKETCLLIAQIVEICAGRIEEAASMINNTEGSWFIQLASSICDSLHAKMLLSQDTKKNETTINQIHKEIELGMQELAQYLLPRVDDRRINNKTKQTFLSIVKSCYYAANCSPHMLDQHISEVIFEQC >ONIVA05G03780.1 pep chromosome:AWHD00000000:5:2914840:2924174:1 gene:ONIVA05G03780 transcript:ONIVA05G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPPTGFPNMFNDPDLVANLGKSSSQPAFVHPQFMFPYAHSQFPAFCTQPPPPLVVDSRAAAQGAQDLASTIGFQQIPNPKCQIPSCQFQIPHPKMRMDLCIH >ONIVA05G03770.1 pep chromosome:AWHD00000000:5:2905390:2913168:1 gene:ONIVA05G03770 transcript:ONIVA05G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVLHKSSALVGEMGEGHGWWSVNNLRPPFVEQLHNPASLFLPSSSTTSTTPSSSSSPLHSFSSLLLSNHYPLPTTATTCTAAAAPWHDTGSRHGQHLQDSWNHILLGGLASGEEGYNKNWEGQVLFPTTPAAAAAEADHGSNSYNNIYSTTTTSHGSSTSDDASQLAVAARPSSSPWGGIHGHHPHHNALQQQASSPRSSCITSTTSLGSNGVLEFSNNTSPRECISTASGAAFKKARTQEPSPAQATVKVRKEKLGDRITALHQLVSPFGKALSSPYLGNGGSSSSGGGGSNSKLQHQPEASRVQGERNSIFPEDPGQLLHDNAVKKRGQPDQDESYEEAKTMDLRSRGLCLVPVSCTVDVGVDAGPADYWAAPPAFGIGFGR >ONIVA05G03770.2 pep chromosome:AWHD00000000:5:2905390:2913168:1 gene:ONIVA05G03770 transcript:ONIVA05G03770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVLHKSSALVGEMGEGHGWWSVNNLRPPFVEQLHNPASLFLPSSSTTSTTPSSSSSPLHSFSSLLLSNHYPLPTTATTCTAAAAPWHDTGSRHGQHLQDSWNHILLGGLASGEEGYNKNWEGQVLFPTTPAAAAAEADHGSNSYNNIYSTTTTSHGSSTSDDASQLAVAARPSSSPWGGIHGHHPHHNALQQQASSPRSSCITSTTSLGSNGVLEFSNNTSPRECISTASGAAFKKARTQEPSPAQATVKVRKEKLGDRITALHQLVSPFGKVQGERNSIFPEDPGQLLHDNAVKKRGQPDQDESYEEAKTMDLRSRGLCLVPVSCTVDVGVDAGPADYWAAPPAFGIGFGR >ONIVA05G03760.1 pep chromosome:AWHD00000000:5:2894685:2897297:-1 gene:ONIVA05G03760 transcript:ONIVA05G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVACGLTRQRATWRWQAALRWTDLIETMSSLRRRHQQLGGGGGGGGGVSSVGDGFVPTAVQGMYDESKCLASTNKKPERVWSDTINQESGRLGSRAGGSTVGECKEEADEKAVDDAYAEIIESHARTRLVSVEDDGGRRRRYDACRL >ONIVA05G03750.1 pep chromosome:AWHD00000000:5:2881922:2887109:-1 gene:ONIVA05G03750 transcript:ONIVA05G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulphide oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G32360) TAIR;Acc:AT4G32360] MGRVRPLHHAARRLLLLFPRPRAFSSAAAAAPSREPLHVCVVGSGPAGFYTADKMLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANDRCQFYGNVTLGRDVSLSELRKTYDVVVLAYGAESDRSLGIPGEDLRGIHSAREFVWWYNGHPDMCNLAPDLQSTDSAVVLGQGNVALDVARILLRCTSELAATDIADYALDALRGSTIKKVYLVGRRGPVQAACTAKELREILGLKNVHVFIKEADLVTLPADEEEIRNSRIKRRVYELLSKAAIAHKGKNNNGQKELHFVFFRRPTRFLPSEDGVTVGAVQLEKTCLKDDGVAGKQVAVGTGEFEDLKCGLVLKSIGYKSLPVEGLPFDKYRGIVPNLKGRVLSSESENATVEPGLYVVGWLKRGPTGIVATNLHCAEETVASILEDDKKGLFMGPSDSKKQGRRGLLEILEQKNIRFVPFDGWEKIDSKEKMAGELKNKPREKITTWNEILMASN >ONIVA05G03750.2 pep chromosome:AWHD00000000:5:2881920:2887109:-1 gene:ONIVA05G03750 transcript:ONIVA05G03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulphide oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G32360) TAIR;Acc:AT4G32360] MGRVRPLHHAARRLLLLFPRPRAFSSAAAAAPSREPLHVCVVGSGPAGFYTADKMLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANDRCQFYGNVTLGRDVSLSELRKTYDVVVLAYGAESDRSLGIPGEDLRGIHSAREFVWWYNGHPDMCNLAPDLQSTDSAVVLGQGNVALDVARILLRCTSELAATDIADYALDALRGSTIKKVYLVGRRGPVQAACTAKELREILGLKNVHVFIKEADLVTLPADEEEIRNSRIKRRVYELLSKAAIAHKGKNNNGQKELHFVFFRRPTRFLPSEDGVTVGAVQLEKTCLKDDGVAGKQVAVGTGEFEDLKCGLVLKSIGYKSLPVEGLPFDKYRGIVPNLKGRVLSSESENATVEPGLYVVGWLKRGPTGIVATNLHCAEETVASILEDDKKGLFMGPSDSKKQGRRGLLEILEQKNIRFVPFDGWEKIDSKEKMAGELKNKPREKITTWNEILMASN >ONIVA05G03750.3 pep chromosome:AWHD00000000:5:2881922:2887109:-1 gene:ONIVA05G03750 transcript:ONIVA05G03750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulphide oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G32360) TAIR;Acc:AT4G32360] MGRVRPLHHAARRLLLLFPRPRAFSSAAAAAPSREPLHVCVVGSGPAGFYTADKMLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKVVLAYGAESDRSLGIPGEDLRGIHSAREFVWWYNGHPDMCNLAPDLQSTDSAVVLGQGNVALDVARILLRCTSELAATDIADYALDALRGSTIKKVYLVGRRGPVQAACTAKELREILGLKNVHVFIKEADLVTLPADEEEIRNSRIKRRVYELLSKAAIAHKGKNNNGQKELHFVFFRRPTRFLPSEDGVTVGAVQLEKTCLKDDGVAGKQVAVGTGEFEDLKCGLVLKSIGYKSLPVEGLPFDKYRGIVPNLKGRVLSSESENATVEPGLYVVGWLKRGPTGIVATNLHCAEETVASILEDDKKGLFMGPSDSKKQGRRGLLEILEQKNIRFVPFDGWEKIDSKEKMAGELKNKPREKITTWNEILMASN >ONIVA05G03740.1 pep chromosome:AWHD00000000:5:2878214:2881064:1 gene:ONIVA05G03740 transcript:ONIVA05G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVASQELLLVLLISLQCLSCLAIANPALDRQAEALLQWKSGLRGDLSYCGLEEWSNATSPCNWSGIYCSYKVRRGHERDAILVVTYITLFSCNISGGLSKLRFAQLPHLVFLDLSINSLYGPIPSDIGRLAELSYLDLSNNKLTGSIPPSIGNLTNLGFLELSNNYLSQGILSCLPDTLHNLQYLDLSHNSLTGPIPSKLYLSINEITGFIPESIGNLRSLIKLYLSTNEITGSIPESIGNLTSLQNMDLSNNRIIGPIPSTFSKLISLITLKLESNVLNDILPPELGFLRNLFVLDLSSNQFTGSIPPQIGQFHHLSLLRLRNNLLTGPIPEELGYCTDLTELDLSRNNLSGAIPMTFMMLYRLLELNLSYNSLGGRFFGFYTTEASSVVSLDHNTGICGDPLYGLTPCQPSNPNPDSLHTNENSDSKHLVPRLLLAFAMFSCIWLVGGSITVNI >ONIVA05G03740.2 pep chromosome:AWHD00000000:5:2878214:2881651:1 gene:ONIVA05G03740 transcript:ONIVA05G03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVASQELLLVLLISLQCLSCLAIANPALDRQAEALLQWKSGLRGDLSYCGLEEWSNATSPCNWSGIYCSYKVRRGHERDAILVVTYITLFSCNISGGLSKLRFAQLPHLVFLDLSINSLYGPIPSDIGRLAELSYLDLSNNKLTGSIPPSIGNLTNLGFLELSNNYLSQGILSCLPDTLHNLQYLDLSHNSLTGPIPSKLYLSINEITGFIPESIGNLRSLIKLYLSTNEITGSIPESIGNLTSLQNMDLSNNRIIGPIPSTFSKLISLITLKLESNVLNDILPPELGFLRNLFVLDLSSNQFTGSIPPQIGQFHHLSLLRLRNNLLTGPIPEELGYCTDLTELDLSRNNLSGAIPMTFMMLYRLLELNLSYNSLGGRFFGFYTTEASSVVSLDHNTGICGDPLYGLTPCQPSNPNPDSLHTNENSDSKHLVPRLLLAFAMFSCIWLVGGSITVE >ONIVA05G03730.1 pep chromosome:AWHD00000000:5:2864882:2867884:1 gene:ONIVA05G03730 transcript:ONIVA05G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHGHGHERDAILVVTNITLYSCGISGGLSKLRFTELPHLVHLDLAMNSLSGPIPSDIGRLAELSYLDLSGNVLNGSIPPSIVFSSIFRSRVALSQGGSGGDKPGRPLPPPSSSASPPPKLSRPLDLCRPGRIWRGGGAAETASVAVAWMVTATTESQR >ONIVA05G03720.1 pep chromosome:AWHD00000000:5:2848042:2860894:1 gene:ONIVA05G03720 transcript:ONIVA05G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tocopherol cyclase, chloroplast / vitamin E deficient 1 (VTE1) / sucrose export defective 1 (SXD1) [Source:Projected from Arabidopsis thaliana (AT4G32770) TAIR;Acc:AT4G32770] MDLAAAAVAVSFPRPSPPPRRCAPRRHRRALAPRAASSSPSPSTAVAAPVYAPTPRDRALRTPHSGYHYDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMSDLDRVIHGSRFTGVGAQILGADDKYICQFTEKSNNFWGSRHELMLGNTFIPNNGSTPPEGEVPPQCFCIKEFKSKYVPNVQTARWEYSTRPVYGWGDVTSKQKSTAGWLAAFPFFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGAGFPRKWYWVQCNVFSGASGEVALTAAGGLRKIGLGETYESPSLIGIHYEGKFYEFVPWTGTVSWDIAPWGHWKLSGENKNHLVEIEATTKEPGTALRAPTMEAGLVPACKDTCYGDLRLQMWEKRNDGGKGKMILDATSNMAALEVGGGPWFNGWKGTTVSNEIVNNVVGTQVDVESLFPIPFLKPPGL >ONIVA05G03720.2 pep chromosome:AWHD00000000:5:2848042:2856965:1 gene:ONIVA05G03720 transcript:ONIVA05G03720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tocopherol cyclase, chloroplast / vitamin E deficient 1 (VTE1) / sucrose export defective 1 (SXD1) [Source:Projected from Arabidopsis thaliana (AT4G32770) TAIR;Acc:AT4G32770] MDLAAAAVAVSFPRPSPPPRRCAPRRHRRALAPRAASSSPSPSTAVAAPVYAPTPRDRALRTPHSGYHYDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMSDLDRVIHGSRFTGVGAQILGADDKYICQFTEKSNNFWGSRHELMLGNTFIPNNGSTPPEGEVPPQCFCIKEFKSKYVPNVQTARWEYSTRPVYGWGDVTSKQKSTAGWLAAFPFFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGAGFPRKWYWVQCNVFSGASGEVALTAAGGLRKIGLGETYESPSLIGIHYEGKFYEFVPWTGTVSWDIAPWGHWKLSGENKNHLVEIEATTKEPGTALRAPTMEAGLVPACKDTCYGDLRLQMWEKRNDGGKGKMILDATSNMAALEVGGGPWFNGWKGTTVSNEIVNNVVGTQVDVESLFPIPFLKPPGL >ONIVA05G03720.3 pep chromosome:AWHD00000000:5:2857219:2859630:1 gene:ONIVA05G03720 transcript:ONIVA05G03720.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tocopherol cyclase, chloroplast / vitamin E deficient 1 (VTE1) / sucrose export defective 1 (SXD1) [Source:Projected from Arabidopsis thaliana (AT4G32770) TAIR;Acc:AT4G32770] MTSHLALMSCLLVLLLSLDKFLLHYLKKRWLSPRISTSPSKSRRSMAAAAAGGGGAKWSETAMLVIDMQKDFVDPAMRSPMLVDGGQAVVPTVAEAVAVARERGIYVVWSRLLGLVECDEDCEFRGRIQEESCVACGALSKCHRFLGSVQCMCSSVGSKFVGGFPSARRFGLQVVREHDPSGADVEIFRRRYYSGGKGPTVKGLKGADLADGLVIKEGEYKLVKTRFSAFFATPLDSVLKTSGIKKLVIVGVQTPNCIRQTVFDAVALDYEKVTVIIDATAAARPEIHLCE >ONIVA05G03710.1 pep chromosome:AWHD00000000:5:2846226:2846779:1 gene:ONIVA05G03710 transcript:ONIVA05G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMWQSSLLVASGLCQPGVGCTTIGVGCSMASGSTTGLAGLAFDDAGRCDRNSLGRSGGHTMRWALGGMRQTLLQTRALLRQRLATTRWPRWQAYIGKSGSTLLSHPLLLQLKDVDEVEHIGMSSSYLLADR >ONIVA05G03700.1 pep chromosome:AWHD00000000:5:2834148:2838095:-1 gene:ONIVA05G03700 transcript:ONIVA05G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEILHFPPPCTVAGEIPLKSPNRLAPSQSPKSACFQGREESRAITTAVTSESTAIIGAAGLRERGRAAGLREGRLMTAPTSASMAMDLTFRGEGKQAPSSQPSQITQQQGPLPDSAFIQQNQPSTRPVALTTATKEGRAKITRQRRRHEVLQRRRQISLSKKKVLLQGRRRGLH >ONIVA05G03690.1 pep chromosome:AWHD00000000:5:2832954:2833529:1 gene:ONIVA05G03690 transcript:ONIVA05G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSQGLVAAPMASSSSASACTRRNKKDGSGYNFWFWEEGYMKYLKKNGLIAGEEAADVNAQVAASLKNAGQLDETEVLREDDDELKQTLITAVSIGRELVVVLKNMLVLGWLGVAVLVCILVVLMMK >ONIVA05G03680.1 pep chromosome:AWHD00000000:5:2809994:2815753:-1 gene:ONIVA05G03680 transcript:ONIVA05G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVGDGVPSSSPASTTEVAVKAVPWWRRLPLLSGCGTGRKAVRAASVGAAVLIAAVLLSYYARGDYDEMPSSLFTTTTATRAAGGRARRHPRQIRRRLVLCLPLSPDPLRRCQIRAGGRRWSHPAVEEVEPKVVEPAVARHRGRSAVPQLDPRAWEAKQRSERRPEPCGKEAVKGEVESPDGGGGGRASHSLGPGYLFVGSGATRGCRTGYRWWRKWFTSRAPPARQSLTRRKRGEDRRERGEMMGENNERRGENNHSAFHYTCSKELARDRRVALYD >ONIVA05G03670.1 pep chromosome:AWHD00000000:5:2806591:2808911:-1 gene:ONIVA05G03670 transcript:ONIVA05G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLDQLPDQLSCGLEDAPPSNSNLTGDQLLGDLLSAAFSWQSCRSRHEALQVRCGPGTAPYEKALRQLKSGDGAIAADGDDDDCRYVVSMVYDRGLGNRVIPIISAFLYAVLTERALLVAPYNGDVDALFCEPFPGTTWIHPGGRRFPLRRLRDLDGKSRESLGTLLKSNAVSVDAGGNGSTSSSWSGRPPPPYVYLHLDGGADYHDKLFYCDEQQRLLRGVPWLLMKTDSYLVPGLFLVPSLRGELERMFPEKDAVFHHLSRYLLHPANAVWHAITAYHRDHLAGAGHLVGIQIRVYHEETPPVSQVVLDQVLSCARRENLLPAAGNTSSTCDALLTTGFSTFGYVAQGLAGLRPWIMPRRPWWEKEAATAVPDPPCARVATPEPCFHSPSYYECAARRNYDDIGKVVPYVRRCEDVSWGIQLVNGSSQSQW >ONIVA05G03660.1 pep chromosome:AWHD00000000:5:2802077:2806858:1 gene:ONIVA05G03660 transcript:ONIVA05G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT1G15220) TAIR;Acc:AT1G15220] MATEEDVKQRQIIESRARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIKSGKSDKEIYKKLQADYGETILYTPKFDLQTAAIWLSPVIVGGVAAGVWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDVLTPPPPANKCFTLPLALAASIDELDAPADVLAAPHYDGEWKHGSGVATRAHGGSGTAVAASFSHHGRRGMIHGRNPASPCAT >ONIVA05G03660.2 pep chromosome:AWHD00000000:5:2802431:2806858:1 gene:ONIVA05G03660 transcript:ONIVA05G03660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT1G15220) TAIR;Acc:AT1G15220] MATEEDVKQRQIIESRARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIKSGKSDKEIYKKLQADYGETILYTPKFDLQTAAIWLSPVIVGGVAAGVWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDVLTPPPPANKCFTLPLALAASIDELDAPADVLAAPHYDGEWKHGSGVATRAHGGSGTAVAASFSHHGRRGMIHGRNPASPCAT >ONIVA05G03650.1 pep chromosome:AWHD00000000:5:2792691:2800609:1 gene:ONIVA05G03650 transcript:ONIVA05G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLKSSAYKRVPSRDAAMDLDVETPAKMADGGAPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAVSALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGIVVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCAESPQWLYKCGRTTEAEIQFEKLLGPLHVKSAMAELSRSERGDDGENVKYSELFYGRNFNGTSCYFVHYKSLFATVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPPNLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGLQAVGANRHHLGSASVYLSVGGMLLFVLTFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWFVVLAASGATWSTSSVHNVFLSLCGSSNICAAPYFISEFEATTTYMISI >ONIVA05G03650.2 pep chromosome:AWHD00000000:5:2792691:2800609:1 gene:ONIVA05G03650 transcript:ONIVA05G03650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLKSSAYKRVPSRDAAMDLDVETPAKMADGGAPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAVSALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGIVVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCAESPQWLYKCGRTTEAEIQFEKLLGPLHVKSAMAELSRSERGDDGENVKYSELFYGRNFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPPNLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGLQAVGANRHHLGSASVYLSVGGMLLFVLTFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWFVVLAASGATWSTSSVHNVFLSLCGSSNICAAPYFISEFEATTTYMISI >ONIVA05G03650.3 pep chromosome:AWHD00000000:5:2792662:2800609:1 gene:ONIVA05G03650 transcript:ONIVA05G03650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLKSSAYKRVPSRDAAMDLDVETPAKMADGGAPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAVSALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGIVVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCAESPQWLYKCGRTTEAEIQFEKLLGPLHVKSAMAELSRSERGDDGENVKYSELFYGRNFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPPNLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGLQAVGANRHHLGSASVYLSVGGMLLFVLTFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWFVVLAASGATWSTSSVHNVFLSLCGSSNICAAPYFISEFEATTTYMISI >ONIVA05G03650.4 pep chromosome:AWHD00000000:5:2792662:2800609:1 gene:ONIVA05G03650 transcript:ONIVA05G03650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLKSSAYKRVPSRDAAMDLDVETPAKMADGGAPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAVSALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGIVVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCAESPQWLYKCGRTTEAEIQFEKLLGPLHVKSAMAELSRSERGDDGENLSGINSVFYFSSTVFRSVGVPPNLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGLQAVGANRHHLGSASVYLSVGGMLLFVLTFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWFVVLAASGATWSTSSVHNVFLSLCGSSNICAAPYFISEFEATTTYMISI >ONIVA05G03650.5 pep chromosome:AWHD00000000:5:2792945:2800609:1 gene:ONIVA05G03650 transcript:ONIVA05G03650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLKSSAYKRVPSRDAAMDLDVETPAKMADGGAPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAVSALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGIVVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCAESPQWLYKCGRTTEAEIQFEKLLGPLHVKSAMAELSRSERGDDGENVKYSELFYGRNFNGTSCYFVHYKSLFATVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPPNLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGLQAVGANRHHLGSASVYLSVGGMLLFVLTFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWFVVLAASGATWSTSSVHNVFLSLCGSSNICAAPYFISEFEATTTYMISI >ONIVA05G03650.6 pep chromosome:AWHD00000000:5:2792662:2800609:1 gene:ONIVA05G03650 transcript:ONIVA05G03650.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLKSSAYKRVPSRDAAMDLDVETPAKMADGGAPSWRMSLPHVGSIADGIGRRRAFQLSALPMIIGAAVSALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGIVVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCAESPQWLYKCGRTTEAEIQFEKLLGPLHVKSAMAELSRSERGDDGENVKYSELFYGRNFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPPNLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGLQAVGANRHHLGSASVYLSVGGMLLFVLTFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWFVVLAASGATWSTSSVHNVFLSLCGSSNICAAPYFISEFEATTTYMISI >ONIVA05G03640.1 pep chromosome:AWHD00000000:5:2783373:2785206:-1 gene:ONIVA05G03640 transcript:ONIVA05G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKERQRRRRESKAKKAWRLLRDAPTNIDDLPDDLLECVLLRLAASSLLCLIRAAFACKRFCRLVADARFRSLVDGGRPGGGPAVLGHYYTLNRPANCRHHPPASDPPFVPSSSSPPTGHCFSLDYLPFDRPRELIDSHGSLLLFVNGPWGTSWGHRHYRRFSDLLVCEPLTRRFQGIARMPPPLGSLVFCGAYLIDGGGGERGVGMSNYRVLCMFGELACVFSPGNDGGWRFVDTGLKYGDGEDVEFPEDTQFVGRAAGKIYWWAPGGLVQVFDEATDTFFLMEFPKHMRWEYHKSNLRVIGGVDGGGIRVVRMTGEDLEIYGESGGGEWAVERSVRLADATRGLPGRQEVFFTAEAPAARIVTAGGDGFVTLAPAEDETWLFSVDLETMEAERDHERNKHVGEAHPCSAPPLAAVFRACGVELIFIGARTLASLSPGAAGSSSRPSPPTPPPFSASPSPERPPAKPRGRKGGGGALLVSSRSGGLGSRPEW >ONIVA05G03630.1 pep chromosome:AWHD00000000:5:2782900:2783259:-1 gene:ONIVA05G03630 transcript:ONIVA05G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALGRRRGGRRWREHAGIPRLPLAHRPALVVRGAAGSGFLAQIQRILGWGRGGLESSRRADGVNIAGDIWWLPSWMLWLMGAPRQKPCSALGASNGDALGRRSPS >ONIVA05G03620.1 pep chromosome:AWHD00000000:5:2772523:2775658:1 gene:ONIVA05G03620 transcript:ONIVA05G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHLPKENVVENEGYHPHSGSMIPPRGRGVESSNFNDGSRYGYELASKGGERLQYVSPPNGRGRPHRRDGGAPGKDFIFINGEYVHRNDPNLSPREGDWICQNPTCGNLNFARRSHCNNCNKERYAPVMYKSSYSPDRRQFNSPPQGPPSRVIGPPSGRDLPREKQRYRSPPRGWGMVRPDDHRDYSTQLSQDRPGRMADPMHRDRINFGDELPHRQRGKFDWDGYNHREHPRDGPYLDRREPRLGSPRGNWGQAVRDRSHSPMRNKPMNRGLDLRERSRSPFRDRPLNKASIGRGGPDRDYVHPFDTHGRPHNLGNSHGHGYRQEDDLFPIRNQGDQRVLSRHRNGIH >ONIVA05G03620.2 pep chromosome:AWHD00000000:5:2770085:2775658:1 gene:ONIVA05G03620 transcript:ONIVA05G03620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRRDASASDSDDADARSSSPRPRRRPDPAPPRAPLLSSLVVKPQPRGPEEEHGAADRLERRRDPPPAARRPPREPSPPGFMRRRESRQTPPPQQPHAAPRRRGGSASPPPPRRRGSPLGFRPRYPQPREEPQGYHPHSGSMIPPRGRGVESSNFNDGSRYGYELASKGGERLQYVSPPNGRGRPHRRDGGAPGKDFIFINGEYVHRNDPNLSPREGDWICQNPTCGNLNFARRSHCNNCNKERYAPVMYKSSYSPDRRQFNSPPQGPPSRVIGPPSGRDLPREKQRYRSPPRGWGMVRPDDHRDYSTQLSQDRPGRMADPMHRDRINFGDELPHRQRGKFDWDGYNHREHPRDGPYLDRREPRLGSPRGNWGQAVRDRSHSPMRNKPMNRGLDLRERSRSPFRDRPLNKASIGRGGPDRDYVHPFDTHGRPHNLGNSHGHGYRQEDDLFPIRNQGDQRVLSRHRNGIH >ONIVA05G03620.3 pep chromosome:AWHD00000000:5:2770085:2771179:1 gene:ONIVA05G03620 transcript:ONIVA05G03620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRRDASASDSDDADARSSSPRPRRRPDPAPPRAPLLSSLVVKPQPRGPEEEHGAADRLERRRDPPPAARRPPREPSPPGFMRRRESRQTPPPQQPHAAPRRRGGSASPPPPRRRGSPLGFRPRYPQPREEPQGAKNRSKEYDRANQG >ONIVA05G03610.1 pep chromosome:AWHD00000000:5:2761675:2768203:-1 gene:ONIVA05G03610 transcript:ONIVA05G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSAPVAPPPPPQQKKPAVPVSRPWPMSFTPHPPPPPPPPLPSNYYQYVFSARVYCDGCHCSPCGNKIENENIRKEAIETILLRNPLAFQPKIENSPNTVTVRKDNSEAIPPIPKHNKGCHCRKSECLKKYCECFQANILCSKNCRCQDCKNFEGSEERKALVQMKNASDRNHIQEAANFALNGATTGSLGYKSSPVRRKKYQENSLGEQILSEAQFQPLASSCTGFGGDIAINYQSKSSEMIYRSPLANTIPLIEVNDLVKNALVACRKAPEAFLTKAGNKVEMQAEKQYQTNDEINNDKTKEQNLKEASLKDIQNKACIDRQNINGTEPHLANSFKDSRPASPGTQALMCDEMDTTFGNEDYRSPFVVPSRDQDISELNADQERIVLTGLRDYLRVLITRGNINEPNGRCHSNQILVRDSNGIGFPEASWSNNCFFPRQIYVFQLS >ONIVA05G03610.2 pep chromosome:AWHD00000000:5:2761675:2768203:-1 gene:ONIVA05G03610 transcript:ONIVA05G03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSAPVAPPPPPQQKKPAVPVSRPWPMSFTPHPPPPPPPPYCECFAARVYCDGCHCSPCGNKIENENIRKEAIETILLRNPLAFQPKIENSPNTVTVRKDNSEAIPPIPKHNKGCHCRKSECLKKYCECFQANILCSKNCRCQDCKNFEGSEERKALVQMKNASDRNHIQEAANFALNGATTGSLGYKSSPVRRKKYQENSLGEQILSEAQFQPLASSCTGFGGDIAINYQSKSSEMIYRSPLANTIPLIEVNDLVKNALVACRKAPEAFLTKAGNKVEMQAEKQYQTNDEINNDKTKEQNLKEASLKDIQNKACIDRQNINGTEPHLANSFKDSRPASPGTQALMCDEMDTTFGNEDYRSPFVVPSRDQDISELNADQERIVLTGLRDYLRVLITRGNINEPNGRCHSNQILVRDSNGIGFPEASWSNNCFFPRQIYVFQLS >ONIVA05G03610.3 pep chromosome:AWHD00000000:5:2762246:2768203:-1 gene:ONIVA05G03610 transcript:ONIVA05G03610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSAPVAPPPPPQQKKPAVPVSRPWPMSFTPHPPPPPPPPLPSNYYQYVFSARVYCDGCHCSPCGNKIENENIRKEAIETILLRNPLAFQPKIENSPNTVTVRKDNSEAIPPIPKHNKGCHCRKSECLKKYCECFQANILCSKNCRCQDCKNFEGSEERKALVQMKNASDRNHIQEAANFALNGATTGSLGYKSSPVRRKKYQENSLGEQILSEAQFQPLASSCTGFGGDIAINYQSKSSEMIYRSPLANTIPLIEVNDLVKNALVACRKAPEAFLTKAGNKVEMQAEKQYQTNDEINNDKTKEQNLKEASLKDIQNKACIDRQNINGTEPHLANSFKDSRPASPGTQALMCDEMDTTFGNEDYRSPFVVPSRDQDISELNADQERIVLTGLRDYLRVLITRGNINGECNLLTLLL >ONIVA05G03610.4 pep chromosome:AWHD00000000:5:2762246:2768203:-1 gene:ONIVA05G03610 transcript:ONIVA05G03610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSAPVAPPPPPQQKKPAVPVSRPWPMSFTPHPPPPPPPPYCECFAARVYCDGCHCSPCGNKIENENIRKEAIETILLRNPLAFQPKIENSPNTVTVRKDNSEAIPPIPKHNKGCHCRKSECLKKYCECFQANILCSKNCRCQDCKNFEGSEERKALVQMKNASDRNHIQEAANFALNGATTGSLGYKSSPVRRKKYQENSLGEQILSEAQFQPLASSCTGFGGDIAINYQSKSSEMIYRSPLANTIPLIEVNDLVKNALVACRKAPEAFLTKAGNKVEMQAEKQYQTNDEINNDKTKEQNLKEASLKDIQNKACIDRQNINGTEPHLANSFKDSRPASPGTQALMCDEMDTTFGNEDYRSPFVVPSRDQDISELNADQERIVLTGLRDYLRVLITRGNINGECNLLTLLL >ONIVA05G03600.1 pep chromosome:AWHD00000000:5:2741013:2744743:-1 gene:ONIVA05G03600 transcript:ONIVA05G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMCAGGAAAIIFLTALSSAASALGVAAAAAACVPSERAALLAIKAGFTSDPDGRLASWGAAADCCRWDGVVCDNATGHVTELRLHNARADIDGGAGLGGEISRSLLGLPRLAYLDLSQNNLIGGDGVSPSPLPRFLGSLSDLRYLNLSFTGLAGEIPPQLGNLTRLRHLDLSSNVGGLYSGDISWLSGMSSLEYLDMSVVNLNASVGWAGVVSNLPSLRVLALSDCGLTAAPSPPARANLTRLQKLDLSTNVINTSSANSWFWDVPTLTYLDLSGNALSGVFPDALGNMTNLRVLNLQGNDMVGMIPATLQRLCGLQVVDLTVNSVNGDMAEFMRRLPRCVFGKLQVLQLSAVNMSGHLPKWIGEMSELTILDLSFNKLSGEIPLGIGSLSNLTRLFLHNNLLNGSLSEEHFADLVSLEWIDLSLNNLSMEIKPSWKPPCKLVYAYFPDVQMGPHFPAWIKHQPSIKYLDISNAGIVDELPPWFWKSYSDAVYLNISVNQISGVLPPSLKFMRSALAIYLGSNNLTGSVPLLPEKLLVLDLSRNSLSGPFPQEFGAPELVELDVSSNMISGIVPETLCRFPNLLHLDLSNNNLTGHLPRCRNISSDGLGLITLILYRNNFTGEFPVFLKHCKSMTFLDLAQNMFSGIVPEWIGRKLPSLTHLRMKSNRFSGSIPTQLTELPDLQFLDLADNRLSGSIPPSLANMTGMTQNHLPLALNPLTGYGASGNDRIVDSLPMVTKGQDRSYTSGVIYMVSLDLSDNVLDGSIPDELSSLTGLVNLNLSMNRLTGTIPRKIGALQKLESLDLSINVLSGEIPSSLSDLTSLSQLNLSYNNLSGRIPSGNQLQALANPAYIYIGNAGLCGPPLQKNCSSEKNRTSQPDLHEGKGPRYEGQLGCRCTSSNYSAIS >ONIVA05G03590.1 pep chromosome:AWHD00000000:5:2732689:2739878:1 gene:ONIVA05G03590 transcript:ONIVA05G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family [Source:Projected from Arabidopsis thaliana (AT4G29010) TAIR;Acc:AT4G29010] MAGAIRVTMEVGADGVAVVTICNPPVNALHPIIIQGLKEKYAEAMDRDDVKAIVLTGAGGKFCGGFDINVFTEVHKTGNVSLMPDVSVELVSNLMEAGKKPSVAAIQGLALGGGLELTMGCHARISTPEAQLGLPELTLGIIPGFGGTQRLPRLVGLPKAIEMMLQSKFITAKEGKERGLVDALCSPDELIKMSRLWALEIANYRKPWIRSLARTDRLGSLSEARSVLNSARQQAKKVAANLPQHQACLDVMEEGVLCGGHAGVLKEAKVFKELVLSPTSKALVHAFFAQRLTTKVPGVTDVQLKPRKIRKVAVIGGGLMGSGIATALLVSNTSVVLKEVNPQFLQRGQKMIAANLEGLVKRGSLTKDKMNKAMSLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFSDLEKVCPPHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKMIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSIGIDVFRIDRVISSFGMPMGPFQLQDLAGYGVALAVKDIYAAAFGTRNLDSNLVDLMVQNGRQGKSNGKGYYLYEKGGKPKPDPSVQVVIDEYRRCAKTMPGGKPVTLSDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASILGMGFPKFRCQFTFTCIIVLCN >ONIVA05G03580.1 pep chromosome:AWHD00000000:5:2725404:2729679:1 gene:ONIVA05G03580 transcript:ONIVA05G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVTCSLPGAVTTHASTRRFGGSQFQTSQASCISFKREVSAKAVLRSVRCNATQTQSAQRKSSTATVKRSDPKGKTQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFTCVLLLDYLKEFEKNLIARRQRAGYDANNDMFQQ >ONIVA05G03580.2 pep chromosome:AWHD00000000:5:2725404:2727590:1 gene:ONIVA05G03580 transcript:ONIVA05G03580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVTCSLPGAVTTHASTRRFGGSQFQTSQASCISFKREVSAKAVLRKN >ONIVA05G03580.3 pep chromosome:AWHD00000000:5:2728274:2729679:1 gene:ONIVA05G03580 transcript:ONIVA05G03580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSVSSVAGLRALAVDEYNQAEDCTARWPQMLTQSVRCNATQTQSAQRKSSTATVKRSDPKGKTQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFTCVLLLDYLKEFEKNLIARRQRAGYDANNDMFQQ >ONIVA05G03570.1 pep chromosome:AWHD00000000:5:2720050:2722125:-1 gene:ONIVA05G03570 transcript:ONIVA05G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAVAARSRAIARAASSSLLARGLLPTSRRATCINRLPLVSGGLLSALPLHSAVASARLRSAIAPESQSWGLVPQGF >ONIVA05G03560.1 pep chromosome:AWHD00000000:5:2713720:2718235:-1 gene:ONIVA05G03560 transcript:ONIVA05G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRTLSCSLLTFVKTSPAALPLRWQQRAMAMAPLFAPPPHLLLLPATTPSSPAASLRRLLPPPLSCHARQVLDVMPQRAAEGDGAKGATAQVGVATREAGTAGRHGRGRHGGGARPWRRGEDRPARGEQHAADDGEVQGTRRGGDFKQRREGRRWTRGETQARESGKAGNSGRGQSKASGGNASRKGKKKAKGGENEVKLRVELDMCSKRGDVMGAINLYDSAVKKGIKMGQHHYSVLLYLCSSAALGFVQPAKSGNAGSGIASIGQLHSSSTQSVGNLEGDDVQSEGHSEDQEGNKTDLFASDDVTEKPSRIPVSDELREYARTRGFEIFEKMRSEEEKVPMNEAALTAVARMAMSMGNGDMAFDIVKQMKDQGIAPKLRSYGPALTAFCNSGNVEKAFEVEAHMLESGVRPEEPELETLLRASVAAQQGDKVYYLLHKFRTTVRQASSTTAKLLEDWFQSPTASKVGKRKWDVGAITKAIENNGGGWHGLGWLGRGKWTISHSHIDMNGACLACGEKLTIIDLDPKETEDFATLVAKLAIKRERRSNFENFQKWLEKHGPFDAVVDGANINIVADVIRQRFQSRKFPLIVVHNRHLTGERMQKPSNRKLVEKWKQSNAIYATPTGSNDDWYWLYAAIRCKCLMVTNDEMRDHTFQLLERDFFPKWKERHQVRFNFEDSCVTLQMPPPCSVVIQESEKGQWHIPVVSEEGSLEKDRTWGMGNRST >ONIVA05G03550.1 pep chromosome:AWHD00000000:5:2703108:2703347:1 gene:ONIVA05G03550 transcript:ONIVA05G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAAQSASGYGSPQFDTGFPSPDLASPAREAGSPPVAPRRTTRRQRRRSEGSKRRGWPRQEGECCGWSGDLPELWVVA >ONIVA05G03540.1 pep chromosome:AWHD00000000:5:2691340:2695060:1 gene:ONIVA05G03540 transcript:ONIVA05G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPCNRIIPLFLIVPVIIASSCLLVLTTTITAVKGHRSNDDETDLAALLAFKAQVSDPHGILRDGWRDNASFCQWVGVSCSRRRRRVTALVLPATPLQGSIAHHLGNLSFLSDLDLANTSLTGPIPAELGRLPRLRHLHLYRNTLSGSIPSTMGNLTRLQSLFLDRNHLSGRIPSELHNLQNLVRFGLQGNYLSGPIPDLVFNGSGASLSFINLGNNSLSGPIPSVIGSFPMLKVLVLQDNQLSGSLPPSIFNMSRLEKLYANGNDLSGSIPAGNRSFSLPMIQEMTLTQNKITGRIPSGLAACRKLQKLGLSINMLEDHVPGWLAGLSQLNLLTIGGNDLVGSIPAVLSNLTMLTVLDLAFCNLNGTIPMELGKMTQLTYLHLSSNQLSGPFPTFIGNLTQLYFLALETNLLTGPVPRTLGNLRSLYRINIGENLLQGKLDFFDALTNCRQLLSLSINMNAFSGTIPADLLANLSSNLVFFDAGDNNLTGSIPATISNLTNLNVINLINNQITGTIPDSLVLLENLQFLDLSINSMFGPIPPQIGNLKSMSELYLDDNKFSGSIPYSIGNLSMLQYLWLPYNQLTSTIPTSLVNLSNILQLNVSHNNLTGELLSDLSPLKVIDLIDISANNLVGSLQTSFGMLQLLSYLNLSHNILTGSIPNSFKGLVSIETLDLSRNSLSGGIPKYLANLTYLISLNLSYNNLQGQIPSGGVFSNITLQSLMGNAGLCGAPRLGFPPCLDKSHSTSKKHLLKFVLPAVIVTFGAIAMLLYLMIGRKMKKPDLTASFDISDAINHRLVSYQEIVRATENFNEDNLLGVGSFGKVFKGRLDDGLFVAIKVLNMQIERAIRTFDAECEVLRMARHRNLIKILNTCSNLDFRALLLQLMPNGSLESYLHTEESRQCLGSFLKRMNIMLDVSMAMEYLHHEHYEVILHCDLKPSNVLFDEEMTAHVADFGIAKLLLGEGNSTVSASMPGTIGYMAPEYALMGKASRKSDVFSFGIMLLEVFTGKRPTDPMFVGGLTLRKWVFQSFPDSLNDVADENVLQDEETHICFDHQNTSIGSSSTSRSNIILTSIFELGLLCSSESPEQRMAMNDVVSKLKGIKKDYSASMLAMERPGQ >ONIVA05G03530.1 pep chromosome:AWHD00000000:5:2682094:2701729:-1 gene:ONIVA05G03530 transcript:ONIVA05G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNDVVVTLKKIRKDYMQTTSKGPQDNMVFREHIFMLSCKKDLTAHVVSSRPEMCLQFPPTFNAWDILGCANQICPHLRCRRRRNPVPHGGARSRWWRPRDEVDVVECRRASASAAAADDARGLKN >ONIVA05G03520.1 pep chromosome:AWHD00000000:5:2681006:2687025:1 gene:ONIVA05G03520 transcript:ONIVA05G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLVDRATNDMLIGPDWAMNLEICDTLNRDPGQAKDVVKSIKKRIAHKNAKVQLLALTLLETMIKNCGDIVHMQVAEKDILHEMVKIVKKRPDFHVKEKILTLIDTWQEVFGGVRARYPQYYAAYQELLRAGAVFPQRSNGSVPIFTPPQTQPLQNYPASLRSAQQEPPGSSVPDLPSLSLAEIQNARGIMDVLSEMLNALDPSNREGLRQEVIVDLVDQCRSYKQRVVELVNTTSNEELLSQGLSLNDDLQRVLAKHDAIAAGVAVRLEKTKSLQTKIDSSPPANPGTSKAPVQSSSGTASASNQSTLALPAPASSSSPKAPAAPVPVIDLLSGDDYIKPEPANSLALVPVTEYSAADQNVLALADMFEQNNANRSNNNLTNSFNTSAPNSNFPASQAYPAPVQPALPQHPVAYSNGVSSNAIVPYYDDQNGGLPPPPWEIQQSMDNPPQPTQLGQMPLQPGQPVGMHPQSPHSGQFGQGSFMSPQQMANGQLGGTQPRQSPQPQSAPNLQYGGMMMYPNSMQVNQGAGMYSQPMFGGQFYGMSHHHQMYAVQMAGYGFGQQPGAYYIPNAAYAYVSANELTQRMNAVSVQEGNSHGGAMASRPEDSLFGDLVSIAKMKQNKPAAGKVGGL >ONIVA05G03520.2 pep chromosome:AWHD00000000:5:2681028:2687025:1 gene:ONIVA05G03520 transcript:ONIVA05G03520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLVDRATNDMLIGPDWAMNLEICDTLNRDPGQAKDVVKSIKKRIAHKNAKVQLLALTLLETMIKNCGDIVHMQVAEKDILHEMVKIVKKRPDFHVKEKILTLIDTWQEVFGGVRARYPQYYAAYQELLRAGAVFPQRSNGSVPIFTPPQTQPLQNYPASLRSAQQEPPGSSVPDLPSLSLAEIQNARGIMDVLSEMLNALDPSNREGLRQEVIVDLVDQCRSYKQRVVELVNTTSNEELLSQGLSLNDDLQRVLAKHDAIAAGVAVRLEKTKSLQTKIDSSPPANPGTSKAPVQSSSGTASASNQSTLALPAPASSSSPKAPAAPVPVIDLLSGDDYIKPEPANSLALVPVTEYSAADQNVLALADMFEQNNANRSNNNLTNSFNTSAPNSNFPASQAYPAPVQPALPQHPVAYSNGVSSNAIVPYYDDQNGGLPPPPWEIQQSMDNPPQPTQLGQMPLQPGQPVGMHPQSPHSGQFGQGSFMSPQQMANGQLGGTQPRQSPQPQSAPNLQYGGMMMYPNSMQVNQGAGMYSQPMFGGQFYGMSHHHQMYAVQMAGYGFGQQPGAYYIPNAAYAYVSANELTQRMNAVSVQEGNSHGGAMASRPEDSLFGDLVSIAKMKQNKPAAGKVGGL >ONIVA05G03510.1 pep chromosome:AWHD00000000:5:2670412:2671089:-1 gene:ONIVA05G03510 transcript:ONIVA05G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVVGRARGGWGFGHERVGGGSPDDARWDARKKERAAEEGVRSPDLRKKEGWDFGQRRVGGGSPDDDRWDLRKRTRGSPDDARWDLQKKRREEEERNGDGSPEPELGKKNDEEEKKVVVEARGEEVEEEEVKRGKKWCAGMRVPWVEEGPHMLYAGPSFLAGAAPDPSSLPIPSFGPPRRRTSSSGGGVAVRVALIFLAGLVLRLRQLERVRVSRLALLCLSQ >ONIVA05G03500.1 pep chromosome:AWHD00000000:5:2651678:2661240:-1 gene:ONIVA05G03500 transcript:ONIVA05G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0H9I3] MDAARFPYSPAEVAKVEAVQFGVLSPDEIMGLIIFRVIRFNRLSASLNARTQKLHVECVRNTIFGMRQMSVVHIEHAETMEKGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDIKFKQALKIRNPKNKLKRIYDACKNRKICAGGDNLDVQEQQGTDDPVKKRGGCGAQQPNITVDGMKMVAEYKAPKKKNDDQEQLPEPVDRKQILSAERVLNVLKHISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSGWHSEAETGFITPGDTMVRIEKGELLSGTLCKKTLGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMENINETISKAKNDVKKLIKQFRDVKKLIKQFRDNQLEAEAGRTTMESFENRVNEVLNKARDVAGSSAEKSLSESNNLKAMATAGSKGTFINISQMTACVGQQNVEGKRIPFGFTNRTLPHFTKNDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAIWIESQKLDSLKMKKAEFDNVFRYELDDENWKPNYLSTQHAEDLKTISEIRNVFEAEVQKLEADRFQLGTEIATTGDNTWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVDAIDKLQERLKVVPGDDDISIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWIIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKNIKTPSLSVHLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDAEFVQSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRVRITNDEAQKGEIQDEYGEDDVFLKKIESNMLTEMALRGIPGINKVFIKEGNVNKFEDNDGFKTEKGWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAVLCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDPLRGVSENIMLGQLAPIGTGGCDLYLNDQMLKQAIELQLPSYVEGLDFGMMTPACSPISGTPYHQGMMSPSYLLSPDIRASPTAADAQFSPYVGGMAFSPVSSPGNYTPSSGGGYSPSPPVCTPGPGSFTSSSPYNPVSPFYSPASPLSCPLTSPSYVPTSLPHSPTSPIYSATSPIYSPSSPIYSPTSLSYSPTSPVYSPTSPVYNPTSSAYSPTSPSYNPTSPSYSYSPTSPSYSPTSPSYSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPGYSPTSPSYSPSSPSYNPSSVKYTPSHAYSPSSPNYYSRVEEEAHEEAEEEEPKR >ONIVA05G03490.1 pep chromosome:AWHD00000000:5:2649521:2651664:-1 gene:ONIVA05G03490 transcript:ONIVA05G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASTDLKEGAIANGDGVKRLVAFPYSSPAREDSVATPLFVVGSPPCRLFGCGVAASPRRRCTVSLRCRRFPPPPLSYLIIVARGRSVIVVSLRRGHHPQGGAPSSSPLPPRPPSPEPRSADAVHIICHQPRIASSLRPPLASTRERIGERGRKKKAREEEHLGGGEGEGAAAALRSRRSSAAAAAVGSTMRALQTNTCHRNKYAAAADITPPNPAMRGEAEVDAEMETRRPRRGEEEHLASVGVGGAGHCLPASPRRRLPAPTHRDFLHRPPL >ONIVA05G03480.1 pep chromosome:AWHD00000000:5:2648751:2650898:1 gene:ONIVA05G03480 transcript:ONIVA05G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLANSLLILSKITMGPHVSFYYLLLPSLPFFSPFLPSRRPSQSQRRPMKEIAMGRRWKPAARGGRKAVSCSTNTDAREVLFLSPPRTTRLHLCVYFRFTAHRRVRGGDVSGGGVLVAVAGVRLQRPHRAANGRGGGAAPAAAQGGRGAFALAAAKMMWTASALLGSGDGGRGGRGEDDGAPPCGWWPRRKETTMTLLPRATMIR >ONIVA05G03470.1 pep chromosome:AWHD00000000:5:2644384:2648763:-1 gene:ONIVA05G03470 transcript:ONIVA05G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02760) TAIR;Acc:AT3G02760] MAPPAAAAAVTLGGKGAALTPAAVYALSHGLADPAIDPSALQRLSTRGPSPQDTPASLRGLALSPPESRAAAAVLLNKLLVTAGDSSALVTAATATGLAGSLDLAAALPPASRDEAAVAAASAPVAVALAAAIDCCASPLVRVADAVAALSCEAARGDVAAFDVPTSGDGLSAKDEADVAADVKMLLFGSKLVGAAGGADAASFTKVPTVNGIFREAVRALHARVRIELNAPVKLGKRDAVQTGEGKEEALVALATQLARPVQAMLKLSVARARLCVARIDDAELRKKLTDGVEIDDLKGMLGKVTIDSDAVSVLRGVYNSLLKFRDILAWEAAVAMAVIEMDSSIEKPQAGEENEAGSSTENPQASGEKPKGDKKSKKKKTLGKGTSAVLMLLRDHVTNGKEVLSVNYALLAEWGTELSLLFDPKCPRLVSLVDKVKEIVETNEVRRLPKIPKGTRDFGKEQMAIREHAFSIITGVFKMHGAVSLDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNNISSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYETMEPDFEVIKVLTELLDQLDIGTYEIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKQTFEQVKKELVDEKGISNETADKIGDLVKTRGPPLEVLLELRKEGSKFMGNAGSVTALNELEILFKALDKANAIGKIVFDLSLARGLDYYTGVIYEAVFKGTTQVGSIAAGGRYDNLVGMFSGKQVPAVGVSLGIERVFAIMEQQEMEKNQIRATETEVLVSIIGKDLILAAELVSELWNAGIKAEFKLTTRIQNHLKYATQSGIPWMVLVGESEISSGKVKLKNLAASQEEEVDRTEFAQQHRHRHGANATWDWSQVTSNLAHLCEIHIADESVPRFPGWVTDGVRPNVDDSSACLASRCNDNVCFTDNTFRVWGPGVNNGYGRIRLQKKQGYR >ONIVA05G03470.2 pep chromosome:AWHD00000000:5:2642621:2648763:-1 gene:ONIVA05G03470 transcript:ONIVA05G03470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02760) TAIR;Acc:AT3G02760] MAPPAAAAAVTLGGKGAALTPAAAARGDVAAFDVPTSGDGLSAKDEADVAADVKMLLFGSKLVGAAGGADAASFTKVPTVNGIFREAVRALHARVRIELNAPVKLGKRDAVQTGEGKEEALVALATQLARPVQAMLKLSVARARLCVARIDDAELRKKLTDGVEIDDLKGMLGKVTIDSDAVSVLRGVYNSLLKFRDILAWEAAVAMAVIEMDSSIEKPQAGEENEAGSSTENPQASGEKPKGDKKSKKKKTLGKGTSAVLMLLRDHVTNGKEVLSVNYALLAEWGTELSLLFDPKCPRLVSLVDKVKEIVETNEVRRLPKIPKGTRDFGKEQMAIREHAFSIITGVFKMHGAVSLDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNNISSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYETMEPDFEVIKVLTELLDQLDIGTYEIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKQTFEQVKKELVDEKGISNETADKIGDLVKTRGPPLEVLLELRKEGSKFMGNAGSVTALNELEILFKALDKANAIGKIVFDLSLARGLDYYTGVIYEAVFKGTTQVGSIAAGGRYDNLVGMFSGKQVPAVGVSLGIERVFAIMEQQEMEKNQIRATETEVLVSIIGKDLILAAELVSELWNAGIKAEFKLTTRIQNHLKYATQSGIPWMVLVGESEISSGKVKLKNLAASQEEEVDRTEFAQVSGGDEATATGGEVGGLEGKRRMWVR >ONIVA05G03470.3 pep chromosome:AWHD00000000:5:2642621:2648763:-1 gene:ONIVA05G03470 transcript:ONIVA05G03470.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02760) TAIR;Acc:AT3G02760] MAPPAAAAAVTLGGKGAALTPAAVYALSHGLADPAIDPSALQRLSTRGPSPQDTPASLRGLALSPPESRAAAAVLLNKLLVTAGDSSALVTAATATGLAGSLDLAAALPPASRDEAAVAAASAPVAVALAAAIDCCASPLVRVADAVAALSCEAARGDVAAFDVPTSGDGLSAKDEADVAADVKMLLFGSKLVGAAGGADAASFTKVPTVNGIFREAVRALHARVRIELNAPVKLGKRDAVQTGEGKEEALVALATQLARPVQAMLKLSVARARLCVARIDDAELRKKLTDGVEIDDLKGMLGKVTIDSDAVSVLRGVYNSLLKFRDILAWEAAVAMAVIEMDSSIEKPQAGEENEAGSSTENPQASGEKPKGDKKSKKKKTLGKGTSAVLMLLRDHVTNGKEVLSVNYALLAEWGTELSLLFDPKCPRLVSLVDKVKEIVETNEVRRLPKIPKGTRDFGKEQMAIREHAFSIITGVFKMHGAVSLDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNNISSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYETMEPDFEVIKVLTELLDQLDIGTYEIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKQTFEQVKKELVDEKGISNETADKIGDLVKTRGPPLEVLLELRKEGSKFMGNAGSVTALNELEILFKALDKANAIGKIVFDLSLARGLDYYTGVIYEAVFKGTTQVGSIAAGGRYDNLVGMFSGKQVPAVGVSLGIERVFAIMEQQEMEKNQIRATETEVLVSIIGKDLILAAELVSELWNAGIKAEFKLTTRIQNHLKYATQSGIPWMVLVGESEISSGKVKLKNLAASQEEEVDRTEFAQVSGGDEATATGGEVGGLEGKRRMWVR >ONIVA05G03460.1 pep chromosome:AWHD00000000:5:2642606:2645702:1 gene:ONIVA05G03460 transcript:ONIVA05G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G12290) TAIR;Acc:AT3G12290] MAQIIDGKAVAADIRREVAADVAALSSAHNLVPGLAVVIVGSRKDSQTYVQMKRKACAEVGIRSVDVDLAEDISEAALVAEVHRLNADPAVHGILVQLPLPKHINEEKILNEISLEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKGCMELLTRSGVTINGKRAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPNPESIVREADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSISDPTRKSGYRLVGDVDFAEVSKVAGHLTPVPGGVGPMTVAMLLKNTVDGAKRGIVQ >ONIVA05G03460.2 pep chromosome:AWHD00000000:5:2642606:2646871:1 gene:ONIVA05G03460 transcript:ONIVA05G03460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G12290) TAIR;Acc:AT3G12290] MAQIIDGKAVAADIRREVAADVAALSSAHNLVPGLAVVIVGSRKDSQTYVQMKRKACAEVGIRSVDVDLAEDISEAALVAEVHRLNADPAVHGILVQLPLPKHINEEKILNEISLEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKGCMELLTRSGVTINGKRAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPNPESIVREADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSISDPTRKSGYRLVGDVDFAEVSKVAGHLTPVPGGVGPMTVAMLLKNTVDGAKRGIVQ >ONIVA05G03450.1 pep chromosome:AWHD00000000:5:2629947:2633755:1 gene:ONIVA05G03450 transcript:ONIVA05G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIHWNEPCFSRLLFLSLSPAMAAAEEGPEPLKYQTLALKVSIHCEGCKKKVKKVLHSIEGVYKTDIDVQHQKVVVIGNVSVDTLVKKLVKTGKHAEPWPEPAPPPPAAAAAANSPGGGGGGKKKKKNKNKNKNPANGQPGEPAPPAATAEVAAGGGGGGGSVPPENDDHGGSCDEASDDEGNKQGGGGGSPKTMVAGDGQGGGAGAVAPPFAMTPHGKQPVAPPGNGNGGGGGGGGGGKKKGKKGGGGNGNGNGNAAAAAANATGDGAGAVVEASPQDAPTKPSPGNAGPLAVVDGGVFYPPATPMSYPASYYGGGGGGHMPPYVMSYSTAHPLRSSAYYYPMAGGFGGGGGGGGYLYSTAATAPPPASSYYMFSEENANACSVM >ONIVA05G03450.2 pep chromosome:AWHD00000000:5:2631373:2633755:1 gene:ONIVA05G03450 transcript:ONIVA05G03450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGPEPLKYQTLALKVSIHCEGCKKKVKKVLHSIEGVYKTDIDVQHQKVVVIGNVSVDTLVKKLVKTGKHAEPWPEPAPPPPAAAAAANSPGGGGGGKKKKKNKNKNKNPANGQPGEPAPPAATAEVAAGGGGGGGSVPPENDDHGGSCDEASDDEGNKQGGGGGSPKTMVAGDGQGGGAGAVAPPFAMTPHGKQPVAPPGNGNGGGGGGGGGGKKKGKKGGGGNGNGNGNAAAAAANATGDGAGAVVEASPQDAPTKPSPGNAGPLAVVDGGVFYPPATPMSYPASYYGGGGGGHMPPYVMSYSTAHPLRSSAYYYPMAGGFGGGGGGGGYLYSTAATAPPPASSYYMFSEENANACSVM >ONIVA05G03440.1 pep chromosome:AWHD00000000:5:2628863:2629273:-1 gene:ONIVA05G03440 transcript:ONIVA05G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATESRGFFLLFPRAIRNQKRNPRRRGHPNPRGERRRRRATDCGRSILVGSPFLLAAPAIGGGAPL >ONIVA05G03430.1 pep chromosome:AWHD00000000:5:2621887:2628799:-1 gene:ONIVA05G03430 transcript:ONIVA05G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) TAIR;Acc:AT5G27680] MEDGAAGGDVSADRVIAELLDMGFEFDEISRATGAVGTRRADVLEFMLRGSGNGKMKKASRETRSRSCVSSNAEARPSDDGNKLVNPRKRLKQSSITDHLASNTGCRRGSCGEKASTSYPCLAASDDPRAPVAISADVCSALKPESQFLLANSRSESDLGHKISAVLRKHFGFSCVKGFQKEALDAWFAHKDSLVLAATGSGKSLCFQIPALLTAKVVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDSRVEGKAMSGMYKIIYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSASKLKFLEHDIPLMALTATATIPVRADIIKSLKMSEDTVVVLTSFFRPNLRFRVKHSRTSVSAYKKDFRELVVTYNDSRNFRGKGQKALREINPDSESSSYESPDDAMSDDEDANVDSMSHEDNSFGKTKVGMPLINENAENELDVYPGVDDFDVTCGEFLECSWPENSASSSQCNKTGSSSSEIADQGPTIIYVPTRKQTVELANYLCKTGLKAAAYNAKMPKSHLRQVHQQFHCNALEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLADCTLYCNLLRAPTLLPNKRSKEQTKVAYRMLRDCFHYALNTSICRAKILVKYFGEEFGPDGCRMCDICINGPPQMHDFKEDAVMFMSVLQAQNGQATEDMGCSNIPRYISGRQRFGEVPNFRGVVSYIREKFPRFATTDKVWWEGLARILEGRGYIQEAAEIYPEVTEAGLNFLSMQSEEEGLYEYLNAAMLLVMNDPKPISTSSEWGRGWADPAIRRQRLAGKKMGRRKGKRHSRSHSTGFTTARQRLAEILSKRRR >ONIVA05G03430.2 pep chromosome:AWHD00000000:5:2621912:2628799:-1 gene:ONIVA05G03430 transcript:ONIVA05G03430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) TAIR;Acc:AT5G27680] MEDGAAGGDVSADRVIAELLDMGFEFDEISRATGAVGTRRADVLEFMLRGSGNGKMKKASRETRSRSCVSSNAEARPSDDGNKLVNPRKRLKQSSITDHLASNTGCRRGSCGEKASTSYPCLAASDDPRAPVAISADVCSALKPESQFLLANSRSESDLGHKISAVLRKHFGFSCVKGFQKEALDAWFAHKDSLVLAATGSGKSLCFQIPALLTAKVVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDSRVEGKAMSGMYKIIYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSASKLKFLEHDIPLMALTATATIPVRADIIKSLKMSEDTVVVLTSFFRPNLRFRVKHSRTSVSAYKKDFRELVVTYNDSRNFRGKGQKALREINPDSESSSYESPDDAMSDDEDANVDSMSHEDNSFGKTKVGMPLINENAENELDVYPGVDDFDVTCGEFLECSWPENSASSSQCNKTGSSSSEIADQGPTIIYVPTRKQTVELANYLCKTGLKAAAYNAKMPKSHLRQVHQQFHCNALEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLADCTLYCNLLRAPTLLPNKRSKEQTKVAYRMLRDCFHYALNTSICRAKILVKYFGEEFGPDGCRMCDICINGPPQMHDFKEDAVMFMSVLQAQNGQATEDMGCSNIPRYISGRQRFGEVPNFRGVVSYIREKFPRFATTDKVWWEGLARILEGRGYIQEAAEIGLGRSCDTPPASSRQENGKKKRKEAFSEPLYRFHHCKTEIS >ONIVA05G03430.3 pep chromosome:AWHD00000000:5:2621887:2628799:-1 gene:ONIVA05G03430 transcript:ONIVA05G03430.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) TAIR;Acc:AT5G27680] MEDGAAGGDVSADRVIAELLDMGFEFDEISRATGAVGTRRADVLEFMLRGSGNGKMKKASRETRSRSCVSSNAEARPSDDGNKLVNPRKRLKQSSITDHLASNTGCRRGSCGEKASTSYPCLAASDDPRAPVAISADVCSALKPESQFLLANSRSESDLGHKISAVLRKHFGFSCVKGFQKEALDAWFAHKDSLVLAATGSGKSLCFQIPALLTAKVVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDSRVEGKAMSGMYKIIYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSASKLKFLEHDIPLMALTATATIPVRADIIKSLKMSEDTVVVLTSFFRPNLRFRVKHSRTSVSAYKKDFRELVVTYNDSRNFRGKGQKALREINPDSESSSYESPDDAMSDDEDANVDSMSHEDNSFGKTKVGMPLINENAENELDVYPGVDDFDVTCGEFLECSWPENSASSSQCNKTGSSSSEIADQGPTIIYVPTRKQTVELANYLCKTGLKAAAYNAKMPKSHLRQVHQQFHCNALEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLADCTLYCNLLRAPTLLPNKRSKEQTKVAYRMLRDCFQCDICINGPPQMHDFKEDAVMFMSVLQAQNGQATEDMGCSNIPRYISGRQRFGEVPNFRGVVSYIREKFPRFATTDKVWWEGLARILEGRGYIQEAAEIYPEVTEAGLNFLSMQSEEEGLYEYLNAAMLLVMNDPKPISTSSEWGRGWADPAIRRQRLAGKKMGRRKGKRHSRSHSTGFTTARQRLAEILSKRRR >ONIVA05G03430.4 pep chromosome:AWHD00000000:5:2621887:2628799:-1 gene:ONIVA05G03430 transcript:ONIVA05G03430.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) TAIR;Acc:AT5G27680] MEDGAAGGDVSADRVIAELLDMGFEFDEISRATGAVGTRRADVLEFMLRGSGNGKMKKASRETRSRSCVSSNAEARPSDDGNKLVNPRKRLKQSSITDHLASNTGCRRGSCGEKASTSYPCLAASDDPRAPVAISADVCSALKPESQFLLANSRSESDLGHKISAVLRKHFGFSCVKGFQKEALDAWFAHKDSLVLAATGSGKSLCFQIPALLTAKVVVVISPLISLMHDQCLKLAKHGISACFLGSGQPDSRVEGKAMSGMYKIIYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSASKLKFLEHDIPLMALTATATIPVRADIIKSLKMSEDTVVVLTSFFRPNLRFRVKHSRTSVSAYKKDFRELVVTYNDSRNFRGKGQKALREINPDSESSSYESPDDAMSDDEDANVDSMSHEDNSFGKTKYPEVTEAGLNFLSMQSEEEGLYEYLNAAMLLVMNDPKPISTSSEWGRGWADPAIRRQRLAGKKMGRRKGKRHSRSHSTGFTTARQRLAEILSKRRR >ONIVA05G03420.1 pep chromosome:AWHD00000000:5:2606174:2611966:-1 gene:ONIVA05G03420 transcript:ONIVA05G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGSRAACAAAAPPWHSLPDEVWEHAFSFLPAAADRGAAAGACSSWLRAERRSRRRLAVANCYAAAPRDAVERFPSVRAAEVKGKPHFADFGLVPPAWGAAAAPWIAAAADGWPLLEELSFKRMVITDECLEMIAASFRNFQVLRLVSCDGFSTAGLAAIAAGCRVGRHLRELDLQENEIEDCSIHWLSLFPESFTSLVTLNFSCLEGEVNITVLERLVTRCHNLKTLKLNNAIPLDKLASLLHKAPQLVELGTGKFSADYHSDLFAKLEAAFGGCKSLRRLSGAWDAVPDYLPAFYCVCEGLTSLNLSYATVRGPELIKFISRCRNLQQLWVMDLIEDHGLAVVASSCNKLQELRVFPSDPFGAGFLTERGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCILEPHTPDYITREPLDAGFSAIVESCRGLRRLSISGLLTDLVFKSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCLLTLGACRQLARKMPRLSVEIMNDPGRSCPLDSLPDETPVEKLYVYRTIAGPRSDTPACVQIV >ONIVA05G03410.1 pep chromosome:AWHD00000000:5:2598389:2601926:-1 gene:ONIVA05G03410 transcript:ONIVA05G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKENLERERETETEEILAGMYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPAFCSTLRLAEHAAAEVALNELSKRGPSSSLAAKVLDETGIYKNLLQETAHRAGLKLPVYTTIRSGPGHTPVFTCTVELAGMTFTGNPGKTKKQAQKNAAMAACAPSEPSSSSCPPDHDDDDQEQIIVARTLASLNQTNGGKTPQQKEKQQSSNRPSSRRPSYPKSNASFYGRLHLQKHAYPSVPPEQAMYHMWHQVQATQQKPHFPMVPTMGSTGFPPPPTVLHMYPPPRGQFTMPSSQDGLGLIPCYPEASPVLPRYFSPYPASFVPRRPLPVNVHKIHEKRLVGADMVELPDAAVFSRYTAPDFSGTSENAVQDNKKEEYTESSPASEQESKSHTASSSATRSPSQQLESNQDIEIMGGLRLESKKPAEQPPESSPSRVNPVLLCETGQRHHYSSVRHGDPVHRNSPQISVATSPSPIRRGDPAHINIPQISVATPPECRSPRAQAPPRFGTRMPVNLPSSLYQQRPPWLAASVTIRTTVPVCSARPNVVNSSAGAAQPAVQILSASPRKEEPEARTNTSDTSNAATASSELNKLHI >ONIVA05G03400.1 pep chromosome:AWHD00000000:5:2587522:2598279:1 gene:ONIVA05G03400 transcript:ONIVA05G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGKYGDGDDDDSEEEQLSPSSSAGEEEEEEVEEEEGEEQQEEQGEEEEGFSGDEEEQEVEGEADGEQVEEEEEESSGGEEEAEAEGEEEEEEVEEEQGAGEEEEEEVDEEEIEAVTTGAGGDDDDEEVGDDGGAEEESQSTEDDEVAAGKDGGGEDGDELEDATGNAEIGKRERAKLREMQKLKKHKIQEILDAQNKAIDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSTEKKSRGRGRHASKMTEEEEDEEYLKEEEDALDGAGGTRLVSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPVLRAIKFLGNPEERNHIRENLLVPGKFDVCVTSFEMAIKEKTALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDDWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYKGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKLDFKKLVTDNWIEPTSRRERKRNYSESDYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNTQRLNELYEKEVKYLVQANQKKDTVGEGDDEDQLEPLTVEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRNDIKNISSEMEGKTEEEVQRYAKVFQERYKELNDYDRVIKNIEKGEARIYRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCETLIRLVEKENQEYDERERLARKDKKNMSPAKRSSSRSLDTPPQSSSKRRRQSYTEANAGSGRRRRG >ONIVA05G03390.1 pep chromosome:AWHD00000000:5:2582304:2586131:1 gene:ONIVA05G03390 transcript:ONIVA05G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAGDGGWSTLPADLLGEVSGSLSYDADVLHIHQVCAHWRASTAPLAAARPWIVAGHETSRIVSAIGEDYSFWLPHGGGQRIIPCFGNAPPAGLPYCCGTPRGWLAQADAPRSPTRLVLWEPVSRAEIAMPPLPRAGFAPQIFLSGDPLAAAASPGWMAIASQPFSVAGKWQTLFFWRPGDAAWTPQREPPMDRIDSAAFHGGFFYLTVRYWYLYAYDLRHDAGAPWPPPRVRSTFVYLDADRACELSRQGRSLRAAHVVAAADGVDLLLAVLYWECHRRSVQMVAKVFRMEWAAAAADLELSPVTDLGEHALLLGRGDALALSAAEFPAIRRNCVYFVEHDNAPHPHWAIAMDLGANASELIPHPQFQEDEPSEEDGKCAADRSLLPRSRSPSFPKISYITGGFETMFRSAIPKRICFGGFYLNAMDFGVEAQHLSVIFHGVNVNGLDIDIVGRSAKAYGAEV >ONIVA05G03380.1 pep chromosome:AWHD00000000:5:2580605:2581051:1 gene:ONIVA05G03380 transcript:ONIVA05G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPFSTLWKVDENYVMASAAKVQLGVEACLNGGLHNSGDEGKREGNPSFGDTRNRQEIPTSIKLDMQI >ONIVA05G03370.1 pep chromosome:AWHD00000000:5:2576078:2579008:-1 gene:ONIVA05G03370 transcript:ONIVA05G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted pyridoxal phosphate-dependent enzyme, YBL036C type [Source:Projected from Arabidopsis thaliana (AT1G11930) TAIR;Acc:AT1G11930] MASVAAAEGAAAALRSVLSRAQQAAARSGRAPESVRVVAVSKTKPVGVIRGVYDAGHRCFGENYVQELIDKASQLPEDIEWHFIGNLQSNKARALLAGVPNLDMVESVDDQKIANRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVELAKHVKLGCPNLVFSGLMTIGMLDYSSTPENFKALANCRKEVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >ONIVA05G03360.1 pep chromosome:AWHD00000000:5:2572664:2573008:-1 gene:ONIVA05G03360 transcript:ONIVA05G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTRLASQKAVVVFSKSSCGMSHAVTRLLRELGVDARVVELDEEPAGADMENALAGMLLAGTAANGGGRGRGVVVPTVFIGGRLVGSTDRVMSLHVAGGLVPLLRDAGALWV >ONIVA05G03350.1 pep chromosome:AWHD00000000:5:2566120:2573458:1 gene:ONIVA05G03350 transcript:ONIVA05G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT2G39090) TAIR;Acc:AT2G39090] MEAARESMAALVDAGLYDCAQTLGCFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALSAYKQAMQYSKNIPRQATSNTRSSVTATGRSPSPNSSSLAPLNENEVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASHDYKGGLDIYLDLMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELLHGNWKTSDDDGCLSLTAMIRYVRYV >ONIVA05G03350.2 pep chromosome:AWHD00000000:5:2566120:2573458:1 gene:ONIVA05G03350 transcript:ONIVA05G03350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT2G39090) TAIR;Acc:AT2G39090] MEAARESMAALVDAGLYDCAQTLGCFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALSAYKQAMQYSKNIPRQATSNTRSSVTATGRSPSPNSSSLAPLNENEVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASHDYKGGLDIYLDLMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELL >ONIVA05G03350.3 pep chromosome:AWHD00000000:5:2566120:2573781:1 gene:ONIVA05G03350 transcript:ONIVA05G03350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT2G39090) TAIR;Acc:AT2G39090] MEAARESMAALVDAGLYDCAQTLGCFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALSAYKQAMQYSKNIPRQATSNTRSSVTATGRSPSPNSSSLAPLNENEVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASHDYKGGLDIYLDLMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELL >ONIVA05G03340.1 pep chromosome:AWHD00000000:5:2558841:2565299:1 gene:ONIVA05G03340 transcript:ONIVA05G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tonneau 2 (TON2) [Source:Projected from Arabidopsis thaliana (AT5G18580) TAIR;Acc:AT5G18580] MKSAVFRIRKISFHTHFVFFLHPQQHASGWLDLDTPTKNRIAFEPSSRQGDNSHNASLPPPHALRRAPIRRPPRRPPAMSTASGDGGDGGGGGDGASSAAGGGGRRIPPASSMPWVRNLRRFVGTGAGLGSEALMELETKRILLEIFKERQRKNMEAGAIPSFYKKKPEDGSISNRVQKLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPSAFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKQELKEYADGTLTDIFIERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRIALTDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA >ONIVA05G03330.1 pep chromosome:AWHD00000000:5:2547856:2553898:-1 gene:ONIVA05G03330 transcript:ONIVA05G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQERRTIDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLRDKHDEFMLRELVKRWSNHKIMVRWLSRFFFYLDRYFISRRSLIPLEQVGLTCFRDLIYQEIKGQVKGAVIALIDKEREGEQIDRALLKNVLGIFVEIGLGSMECYENDFEDFLLKDTTDYYSLKAQSWILEDSCPDYMIKAEECLKKEKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCFALLRDDKEEDLSRMYRLFSKINRGLEPIANMFKTHVTNEGTALVKQAEDSASNKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAAHQELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGSDRLTYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLEREKDNANVYRYLA >ONIVA05G03320.1 pep chromosome:AWHD00000000:5:2543635:2547183:1 gene:ONIVA05G03320 transcript:ONIVA05G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF821) [Source:Projected from Arabidopsis thaliana (AT1G07220) TAIR;Acc:AT1G07220] MAGYAAEAGAAAGAGRGRAARHPPLTSLVVSTIAAFSAVVVFAILRSAYDAAVSRTTTLLGHNLEPTPWHLFKHDKGRPPARAAFRCAPSLTCRPPVAQPAPGTTNASANASAAPRLCPAYFGAIRRDLAPWRRGGGGVTRALLDAAQRRASMGVAITGGGRRLHVELYYACVQSRALFTAWSLLQLMRRYPGRVPDVELMFDCMDRPAINRTDYGGGGDGDHGSPPPPLPETNIEPWSKEFRDIKEGAKAIKWQDRVATAYWKGNPDVASPLRVALLNCNDTNMWHAEIMRQNWDEEVKSGYHNSKLSSQCTHRYKIYAEGFAWSVSLKYILSCGSMALVIDPQYEDFFSRGLRPEVNFWPVHIDVAAGGMCESIRDAVEWGEAHPAEAEAVGRRGQRLMEELDMDAVYDYMLHLLTEYARLMRFRPAEAPPPRPPAQEVCEASVLCLAGEKQRRFLEASAASPAVSEPCVMPPDAGE >ONIVA05G03320.2 pep chromosome:AWHD00000000:5:2543635:2547183:1 gene:ONIVA05G03320 transcript:ONIVA05G03320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF821) [Source:Projected from Arabidopsis thaliana (AT1G07220) TAIR;Acc:AT1G07220] MAGYAAEAGAAAGAGRGRAARHPPLTSLVVSTIAAFSAVVVFAILRSAYDAAVSRTTTLLGHNLEPTPWHLFKHDKGRPPARAAFRCAPSLTCRPPVAQPAPGTTNASANASAAPRLCPAYFGAIRRDLAPWRRGGGGVTRALLDAAQRRASMGVAITGGGRRLHVELYYACVQSRALFTAWSLLQLMRRYPGRVPDVELMPETNIEPWSKEFRDIKEGAKAIKWQDRVATAYWKGNPDVASPLRVALLNCNDTNMWHAEIMRQNWDEEVKSGYHNSKLSSQCTHRYKIYAEGFAWSVSLKYILSCGSMALVIDPQYEDFFSRGLRPEVNFWPVHIDVAAGGMCESIRDAVEWGEAHPAEAEAVGRRGQRLMEELDMDAVYDYMLHLLTEYARLMRFRPAEAPPPRPPAQEVCEASVLCLAGEKQRRFLEASAASPAVSEPCVMPPDAGE >ONIVA05G03320.3 pep chromosome:AWHD00000000:5:2543888:2547183:1 gene:ONIVA05G03320 transcript:ONIVA05G03320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF821) [Source:Projected from Arabidopsis thaliana (AT1G07220) TAIR;Acc:AT1G07220] MTTPETNIEPWSKEFRDIKEGAKAIKWQDRVATAYWKGNPDVASPLRVALLNCNDTNMWHAEIMRQNWDEEVKSGYHNSKLSSQCTHRYKIYAEGFAWSVSLKYILSCGSMALVIDPQYEDFFSRGLRPEVNFWPVHIDVAAGGMCESIRDAVEWGEAHPAEAEAVGRRGQRLMEELDMDAVYDYMLHLLTEYARLMRFRPAEAPPPRPPAQEVCEASVLCLAGEKQRRFLEASAASPAVSEPCVMPPDAGE >ONIVA05G03310.1 pep chromosome:AWHD00000000:5:2538422:2540470:1 gene:ONIVA05G03310 transcript:ONIVA05G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGTAAERAETMAQIDNGCEEWGFFQLVNHGVPKELLDRVKKVCLESYRLREAAFMESEPVRTLEGLMAAERRGEAAAPVDDMDWEDIFYLHDDNQWPSNPPEFKETMREYRAALRGLAERVMEAMDENLGLDKGRMRRAFTGDGRHAPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDRVGGLQVEVLSNGRYRSAWHRVLPMRDGNRRSVASFYNPAFEATISPAVGAGGEYPEYVFGEYMDVYAKQKFDAKEPRFEAVKAPKSA >ONIVA05G03300.1 pep chromosome:AWHD00000000:5:2533015:2534564:-1 gene:ONIVA05G03300 transcript:ONIVA05G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGTAAERAETMAQIDNGCEEWGFFQLPTPGGGVHGVEPVRTLEGLMAAERRGEAAAPVDDMDWEDIFYLHDDNQWPSKPPEFKETMREYRAALRGLTERVMEAMDENLGLDKGRMRRAFTGDGRHAPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDRVGGLQVLRGGEWVDVQPLADAIVVNTGNQVEVLSNGRYRSAWHRVLPMRDSNRRSVASFYNPAFEATISPTVEGGAGAGGEYPEYVFGEYMDVYAKQKFDAKEPRFEAVKAPKSA >ONIVA05G03290.1 pep chromosome:AWHD00000000:5:2523751:2531907:1 gene:ONIVA05G03290 transcript:ONIVA05G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPSRSGPKLPKSPIPKSPKPKPKSRDPATKSRVSPPLRRMSDPAPAAAASTATATAAAGSGAAASGSGAIVHPGGGGAWPRGVRFGDMVWGKVKSHPWWPGHVYSITLTSDGEVRRGYRDGLVLVAFFGDSSYGWFEPHELLPFEEHFREKFAQPGGRNFPTAIDEAADEVARRAALAFLCPCHRPNAARPHELDPRYLLVDVPGFDTDAEYHPEQVAAEREKIDPRALLDYLKGAAVEQLDAAELIGKPKRHIPAVQMSSMLEAYRLSRYALKDPTYAQAFGMDYDEAQAAKTALEKKAREGKRRVWWDRKTQEEPQDDQGESSNTTPTGRPAKGRKKAAENPGGRRRKGSAASTAARLMEKIMPSAAAMKPRAKKKDQYLLKRRDDARAPPPPSMPDAFPAAPMAPALDDVPPGFPSDPPTPPLPSSTLAADEEFLLQRRTPPPLLSAPPTPLALPPAAAGQVGDGGAPTDAATAAAAPKKATKPKKAAARKREREEPADAAAAAAAGGVAGEPKKKKKKKLAELNGVAVAAAGKPGLLPAKVDHDLKQSFKKSHENDPPEDNKASKPNAATAADGQKPPAKKKPAARPGDTTAASAKVAGVKRAPSDRQEELATKKKAKLNKIKTLATDKKAAGLEIAAAAPAAARKNDAIAARKKEKEPALAPAIKTPSPTALMMKFPPKTTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFKSDADVALKYARTNTTMFGQVAPNYYLRGVESDGDLAADAAPPPPQQRSELRLMETAPFRPGTSGGNCAPLPLSRAVPARAVVGQQPKSILKKTVTDDGAPSAAALRDAPRVKFMLDAGDSKLEPPPPAAPASGGGDAAAPLAKSATKSVGFAPPPLQPPARPAQHPHLQPPARPAQQPPRPPVTQPLPPPPPLHQHQPYQPRHTDALPLPLPPPPPPPFSVQQQQLPPPPPYHLRHSIDGMHHQLPGPPLPPSYQHRDAGGVVFPGQHQQQPYRPNNDTQLGLPGAGAAAGDVTPAWKRGGREFDEELMRVMRGIAKMVEPLTDKNGNFPYHLFTSA >ONIVA05G03280.1 pep chromosome:AWHD00000000:5:2520677:2521504:-1 gene:ONIVA05G03280 transcript:ONIVA05G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVLEVTLVSAKNLKKVTMFSKMRVYAVASISGGDPRVPTHRTHADREGGRSPMWHAPLRFPIPDAGADMRAIALHVLLRAERVFGDSDVGEVFVPVKDLVAAAPEGGEHRHLSYHVRRPVSGRKCGVLHISYQITDEAAEPASAAGARGASSTRHVSGKGLQLSAITAYPSAGRHSGGRRGAAQSPTLAYHHGSPYGGGGGGAHAQHHHHHHHHYGYGYGPAPYGHGAASHGGGGGMGMGAGLGMGVVGGAVAGMVLADMLADGEMDAGMSC >ONIVA05G03270.1 pep chromosome:AWHD00000000:5:2516816:2518752:1 gene:ONIVA05G03270 transcript:ONIVA05G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKVYGVAASPYAATVLVCLEEAGASYELVAVDMAAGENRSRHHLARSPFGKIPAFEDGEVTLFESRAIQRYVLRNYNKPDLLREGNLEESAMVDMWMEVEAHHYDPAISHIIRECVIKPMIGGGARDQAIVDENVEKLRKVLEVYERRLSESEYLAGDFVSVADLNHFPYTYYLMTTEYATLVESCTNVKAWWERLLARPAVRKVAALFPPVTST >ONIVA05G03260.1 pep chromosome:AWHD00000000:5:2514165:2516738:1 gene:ONIVA05G03260 transcript:ONIVA05G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRVTGPAGSPLVAAVLASLEEAAAGGGYELVGTAAAREQSTRPHLARNPFGKIAAFAEDGELALVDAVGGHVDGSRSHARVTS >ONIVA05G03250.1 pep chromosome:AWHD00000000:5:2506697:2512645:1 gene:ONIVA05G03250 transcript:ONIVA05G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:senescence-associated family protein [Source:Projected from Arabidopsis thaliana (AT1G66330) TAIR;Acc:AT1G66330] MATRIPGTVAASGVYYNDQYRMLCKLKGIHCMALNCIPQKAKVRKCMNGYQSTFRFCVNEKNGQTTGQSNGSLIQQGQNFRCHSYGSHNSSETKECSLEDGTDSYRDFEEHSRGASQFSDNQVAAKKKSVKSSQGLAEACKFVYNDAKFVNERAQNDILLLSRYYLLKARARKDTKKIDHSVKERAARLTHFARADLRRADSVVRRRAMEDAFMALKFVRDIHDMMANRLQEQFAKDGSSSPANSRSFITLEKNGNTFELFPHEVSTDQITAIEQAYWSMASALSEADGIDYTDPEELELLVATLIDLDAMDGKKSVSLLAECSSSPDVNTRKALANALAAAPSMWILGNAGMGALQRLAQDSNYAVARAATRAINELTKQWELEEGDSLRFVLNQNMVSKETADDSAAADDTR >ONIVA05G03240.1 pep chromosome:AWHD00000000:5:2503663:2520620:-1 gene:ONIVA05G03240 transcript:ONIVA05G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIALNMESMKGWAAYVPGKVRPGTLFARRRRADELVPAAGGGLLQARQHRRHERRSRRPDGFDLANNAEATYIAASKETLFFPSMASRSMRVATNNSSFRNRKEKRLSSDKIQPKLNENNNLVGPTHTPCHVGLTPLRLNQNHRTATQPQPLTGGANPVQGPTRHPHGSPPFLCLVGPGELRRIH >ONIVA05G03230.1 pep chromosome:AWHD00000000:5:2497213:2503646:-1 gene:ONIVA05G03230 transcript:ONIVA05G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGALVLKSGGLSVSDYDSIVAINIFVALLCSCIVIGHLLEGNRFSFFLMDISGAMTLFKKLDVGPLQLGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIEDIDIANFDSLVLLAFIGNFLYLFFTSTLLGVVAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSATILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKFTASGHTELRINAIMITSTVIVVLFSTMVFGFFTKPLLNLLIPPRPDIAADLSSQSIIDPLLGSLLGSDFDVGQPSPQNNLQLLLTIQTRSVHRVWRKFDDRFMRPMFGGRGFVPFVPGSPVERSIHGSQLGTY >ONIVA05G03230.2 pep chromosome:AWHD00000000:5:2498308:2503646:-1 gene:ONIVA05G03230 transcript:ONIVA05G03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGALVLKSGGLSVSDYDSIVAINIFVALLCSCIVIGHLLEGNRFSFFLMDISGAMTLFKKLDVGPLQLGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIEDIDIANFDSLVLLAFIGNFLYLFFTSTLLGVVAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSATILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKFTASGHTELRINAIMITSTVIVVLFSTMVFGFFTKPLLNLLIPPRPDIAADLSSQSIIDPLLGSLLGSDFDVGQPSPQNNLQLLLTIQTRSVHRVWRKFDDRFMRPMFGGRGFVPFVPGSPVERSIHGSQLGTVTEAEHS >ONIVA05G03230.3 pep chromosome:AWHD00000000:5:2498308:2503646:-1 gene:ONIVA05G03230 transcript:ONIVA05G03230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGALVLKSGGLSVSDYDSIVAINIFVALLCSCIVIGHLLEGNRWVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIEDIDIANFDSLVLLAFIGNFLYLFFTSTLLGVVAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSATILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKFTASGHTELRINAIMITSTVIVVLFSTMVFGFFTKPLLNLLIPPRPDIAADLSSQSIIDPLLGSLLGSDFDVGQPSPQNNLQLLLTIQTRSVHRVWRKFDDRFMRPMFGGRGFVPFVPGSPVERSIHGSQLGTVTEAEHS >ONIVA05G03220.1 pep chromosome:AWHD00000000:5:2488141:2496184:-1 gene:ONIVA05G03220 transcript:ONIVA05G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDAALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIEILHTINTYLQSGGIPSVRFYKYDAKVKKGSKSIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKRTQSCLLYQNIDASDLSQYMGNVCEPHCEGPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLTELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >ONIVA05G03220.2 pep chromosome:AWHD00000000:5:2488141:2496184:-1 gene:ONIVA05G03220 transcript:ONIVA05G03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSIGRYLSDQAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDAALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKRTQSCLLYQNIDASDLSQYMGNVCEPHCEGPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLTELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >ONIVA05G03220.3 pep chromosome:AWHD00000000:5:2488141:2496184:-1 gene:ONIVA05G03220 transcript:ONIVA05G03220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDAALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIEILHTINTYLQSGGIPSVRFYKYDAKVKKGSKSIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKVEDAVMLALSEHRRVRPLSGPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLTELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >ONIVA05G03220.4 pep chromosome:AWHD00000000:5:2488141:2496184:-1 gene:ONIVA05G03220 transcript:ONIVA05G03220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSIGRYLSDQAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKAAVIADAIEPEANLISRKDAALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKRTQSCLLYQNIDASDLSQVASPKCPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLTELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >ONIVA05G03210.1 pep chromosome:AWHD00000000:5:2487002:2487652:-1 gene:ONIVA05G03210 transcript:ONIVA05G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDQPPAPPASARRRQCVAAAAAATAACLAPLAVLLAVLVLAPSLLPRLLLRPHHVVPVVASAELRLLAFDAAAPAVAYNLSATLRFDNPGGLYTWRWTALRAAPSYAGQRLGDAAALPGITARGAGAGDARAAAWAGTQRVPPGRRARAVAAALARDRAAGWYVVKVDVATVQNGAESDFACVLSFPAAALARNGSGAAVFDGGRCVDAVHGEI >ONIVA05G03200.1 pep chromosome:AWHD00000000:5:2485823:2486167:-1 gene:ONIVA05G03200 transcript:ONIVA05G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKKSPPPWKTKGSQQPRGGGAATAAAAGSKKAKKKKKETPLPPLLPPGTAVEVLRNGAWVGGGTVTIRNDRTYMVRLAGGMTVLATRRRVRPAPPPVTADVSPEPDKVAGD >ONIVA05G03190.1 pep chromosome:AWHD00000000:5:2483711:2486178:1 gene:ONIVA05G03190 transcript:ONIVA05G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ribosomal protein S27/S33, mitochondrial (InterPro:IPR013219); Has 101 Blast hits to 101 proteins in 55 species: Archae - 0; Bacteria - 0; Metazoa - 8; Fungi - 59; Plants - 26; Viruses - 0; Other Eukaryotes - 8 (source: N /.../ink). [Source:Projected from Arabidopsis thaliana (AT5G44710) TAIR;Acc:AT5G44710] MGLKCADGQACHITTSLGPNTPFLGPISGYRVATPRPGGQIASWEEAAAAMSAGVGGLRQLLAAAVTTGVAEARAAIFGHALNPTGKRAATKLLRKKMVGEQLAQWYPYDIKRDDPLVMAREEKARLSKLEMLKRRGKGPPKKGQGRRAVKRSK >ONIVA05G03180.1 pep chromosome:AWHD00000000:5:2472540:2479923:-1 gene:ONIVA05G03180 transcript:ONIVA05G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAAEEDDVPRLQEPLRTVRGRGNEQDSKGRSHCSRSPPASPSSKRAQGMKRRRKMTEADHSCEDGEEKVPEKMNEGEEEEEVSSALSSPLHMPLLPFKFSGYDSDGQEILEPPDMDIVDAYQQRREEFQEKRARQLSLPTLNSSKSLCLSDPKLLDIHEPAKKAVLGAAKFILGLSSSIGGKPLARCSGFLVDWDETRKKGIVMTTSDIICSKSSLDCWSGEVECCPNAEVYVHLLDDTTVEARLIYSQTHYNLALFEIALETPSELPTFSSRVDRAQHIFKLGRDENLYLRISHGRVLYSNPYLCDRHHYMYVSSAIPEFGLGGLVIDLKGKVVGMTGLIHAFIPSSVILKCLKLWHKFRCIPRPQLGVKLWAIKFLDLPHIEMILRKTHICDGLIVSEGSILEKLGVRIGDIIEYLNGERIYDTIQLEELLLELCEGHFDNGNGLNSTLEMAVILFHIRKGAQSIKKLTANVLENGEVVKRGVFFVAGPTCEEIPNLAPLGEDALREEGWAGDSHIPTADGASTSVPLDQVGPGDPQIPTAEETSTSRPLDQVEPGKNRLSEGNAVSLYSTGLMSRTCSVLHSLPLNLM >ONIVA05G03170.1 pep chromosome:AWHD00000000:5:2462008:2466353:-1 gene:ONIVA05G03170 transcript:ONIVA05G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATGGVDVLGDDLLREILLRLPSPAALVRAALAGRSFLRAARDAGFLRRFRARHHASPPRLLGFLFVVPGTTPPVLLSAASASGPHPAGDFSLSFLPGGGWASAEWELLDCRDGLLLLIHNHGTDMKLAVADPSRRACYPFDLPTADIPVLYGLAAAKSASFRVVCIARSLDSTTLRALVLSSDEPYYWEEVDAIPCEEEASIVYAARPMKAKTSLYWKLDDGSRMAAFDAAPGKMKLSILDLPPFPATLAFDVIDTEDDDDGLRVLAMSDDFCLETWKLSSAATAAAVDDEEEEETPWTLEDTSVRFYRALESMLGERKMSDRHRRRRRGGYEFEIVGVVDGFFFFRQSGAIGAGLLAGDDLPVHHGVAAAVPESYRLGVPCPCGARAILRPRHMAPTAAAAAVVAALSDDLLREVFLLLPTAADLVRASLACKPFLRAARNAGFLRRFRRRHGPLLLGCLLHHADLPAPVFVPSSPAAAAAAAAARADGDFSLSFLPHGSWLGGGGGAPWRFLDCRNGRVLLKNRGTQELAVADPLAWSCVSLSPPPAARAVGYGLVADDGDSSVFRVFCIAQDSDGDGGVSSETRAMVLSPGELSWADVAVHPHRLNLTTASRAMQANGSLYWTLEGGASVVALNTATNEFSVLELPPPLRQLSFDVVEKGEDEDGGGGGGPLYLLTMRGFCVEVWAGAEDGGAGELTWARVEKSVRFHKAMAMLQHDSVEMYHHGLDVVGVVAGVLFLRHWNCLLSIDLETMKLRKLSDEDCSSASIYPYAMPWPPSNVGGDTMLNLQLMLAYVSRHPHPATFAGVNWPPGSCSCYPPVAGSLPLSCSPTMLTTSMVRRRRATPEEDDS >ONIVA05G03160.1 pep chromosome:AWHD00000000:5:2459385:2463568:1 gene:ONIVA05G03160 transcript:ONIVA05G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05340) TAIR;Acc:AT3G05340] MRDASSGLLNYARFSGLLASCGREGDLRLGAALHAAVVKNPAHFRLCASRPWLRHVLVAWNALVSMYARCGRREDAARVFDEMRVRDAVSWNSLIAASRGAGDALALFRRMLRSDARACDRATFTTVLSECARAGAASLPACAMVHGLVVSCGFEAEVPVGNALVTAYFECGSPASAERVFHGMAEKNVITWTAMISGMARAELYKESFSLFGQMIRTVDANNATYSCALLACARSLAAREGQQVHGLVVKAGFEADLHVESGLMDVYSKCGLMEDALTVFRSCREPDEVFLTVILVGFAQNGLEEKAFELFAEMVGAGNEIDENTVSTVLGAFGASAPFALGKQIHALVIKRCFGVNTYVCNGLVNMYSKCGELRESVQVFDEMPSKNSVSWNSIIAAFARHGHGSEVYQLFESMKADGAKPTDVTFLSLLHACSHVGSAKKGLEILNSMSSQYGVLPRMEHYACVVDMLGRAGLLDDAKSFIEDGPFTDSALLWQALMGACSFHGNSEVGKHAAEKLLLLDPSCTAAYVLLSNIYSSEGRWDDRAKVMKRMSEMGLRKDTGKSWIELEKEVHSFVVRSTSHPNSAAAVQYRKKYAPSKSKP >ONIVA05G03150.1 pep chromosome:AWHD00000000:5:2450818:2458947:1 gene:ONIVA05G03150 transcript:ONIVA05G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP protease 2 [Source:Projected from Arabidopsis thaliana (AT2G47940) TAIR;Acc:AT2G47940] MAGVAALFASPAFPFPSTSSVSSCSCRFRPAVARAPRHQPPGRRVTRRFDEVEGVSKRRRGIGGGGGGGSQASSSSSRKDRGLAVDFKESQVSDFEDLEEDKFLNAVVKVYCTHIAPDYGLPWQKQRQHASTGRQVKVKRRGDDKKYIAKVLARGIECDLALLSVENEEFWRGTEPLQLGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEETVVDQPLTTMGNASVWHFRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASKVLRKGDVITSFDGVAVGCEGTVPFRSTERIAFRYLTSQKYAGDVAQLGIIRAGNTMKVQTVLQPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEETLGLKLLAKARYSLSTFEGEQIVIVSQVIKLNGTVVKNIHHLAHLVDNCKDKFLTFEFEDNFLVVLDREEATTASSDILKEHAIPSVRSSDLSEPYVDTEQEIQKPNDDFGDSPVTNYEMGVDCLLWA >ONIVA05G03150.2 pep chromosome:AWHD00000000:5:2450818:2458947:1 gene:ONIVA05G03150 transcript:ONIVA05G03150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP protease 2 [Source:Projected from Arabidopsis thaliana (AT2G47940) TAIR;Acc:AT2G47940] MAGVAALFASPAFPFPSTSSVSSCSCRFRPAVARAPRHQPPGRRVTRRFDEVEGVSKRRRGIGGGGGGGSQASSSSSRKDRGLAVDFKESQVSDFEDLEEDKFLNAVVKVKVKRRGDDKKYIAKVLARGIECDLALLSVENEEFWRGTEPLQLGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEETVVDQPLTTMGNASVWHFRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASKVLRKGDVITSFDGVAVGCEGTVPFRSTERIAFRYLTSQKYAGDVAQLGIIRAGNTMKVQTVLQPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEETLGLKLLAKARYSLSTFEGEQIVIVSQVIKLNGTVVKNIHHLAHLVDNCKDKFLTFEFEDNFLVVLDREEATTASSDILKEHAIPSVRSSDLSEPYVDTEQEIQKPNDDFGDSPVTNYEMGVDCLLWA >ONIVA05G03140.1 pep chromosome:AWHD00000000:5:2444173:2448448:-1 gene:ONIVA05G03140 transcript:ONIVA05G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRATLEFLEKFKTSVVMGDEPDREILKMIARTTLRTKLYEGLADQLTDIVVNAVLCIRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREKMVAAERRQVDERVKRIIELKNKVCAGNDKNFVVINQKGIDPPSLDLLARAGIIGLRRAKRRNMERLVLACGGEAVDSVDDLTEDCLGWAGLVYEHTLGEEKYTFIENVKNPRSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTVEDEAVVLGAGAFEVAAKKHLIDNVKKTVKGRAQLGMEAFADALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLVVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >ONIVA05G03130.1 pep chromosome:AWHD00000000:5:2442120:2444061:-1 gene:ONIVA05G03130 transcript:ONIVA05G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT3G24110) TAIR;Acc:AT3G24110] MSGGSARNVPSSSLELHSNLHNNIAYHFISQLQNFIAEMGCVESKLVATIKYRRVEKDLDKKVAEALKERTKSKKKTFRSVNSITMGLPRFKEGLRNIRDVFDQYDEDSNGTIDNEELRNCLNKLQVQMSEEEIDNIHRYCDIDNRKGIQFPEFVVFLCLMYLLFGSDVTYRVSEFESARLNYVFDELIDAFLFFDKDGNGKMKRKDVTQRMNEATHQERTPSHITSQLFKEMDLNRNGHVNLKEFLLSIIRWAGVETDDDEARNETSP >ONIVA05G03130.2 pep chromosome:AWHD00000000:5:2442120:2443338:-1 gene:ONIVA05G03130 transcript:ONIVA05G03130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT3G24110) TAIR;Acc:AT3G24110] MGCVESKLVATIKYRRVEKDLDKKVAEALKERTKSKKKTFRSVNSITMGLPRFKEGLRNIRDVFDQYDEDSNGTIDNEELRNCLNKLQVQMSEEEIDNIHRYCDIDNRKGIQFPEFVVFLCLMYLLFGSDVTYRVSEFESARLNYVFDELIDAFLFFDKDGNGKMKRKDVTQRMNEATHQERTPSHITSQLFKEMDLNRNGHVNLKEFLLSIIRWAGVETDDDEARNETSP >ONIVA05G03120.1 pep chromosome:AWHD00000000:5:2435959:2442504:1 gene:ONIVA05G03120 transcript:ONIVA05G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G17930) TAIR;Acc:AT5G17930] MAGKEEKRSRKEKRKEARSEKQKLRFLSWVQHQGGKSKSKKPVEPSVESSPVEEKKPKKEPTNVKKRRRDTEAKPKSKSKFQEYLEMERGGAVSREEDLETERRLAKKLKVKKGKLGGPDDGMDSLFADLGFEGDFGSDDEAKEFDWNTVDDTEVDKKKGKKKKKKVKNDATEELYDGGVSEENDEAVQQSENEVDKKKGKKKKKKVKNDPTEELYDGGVGEENDEAVQQSENEEPNVVELPMASKAKYVPPSLRATSNSESEEIAQIRRRVRGLLNRLSESNVESITQEIAALFSVLSISSKKYRLPGMASLVGIDFSAKILASLAKLFEDEYSKEDGLSLRNLTLLLCYLCIFDVISSDLVYDLLSVLSKRLTELDVSTVLTILQCCGMKLRGDDPSAMKDFVLSIQNSVNQLKLKAHPAGQDNGQAEMHSKRMEFMLETICDIKNNKKRPKEDPAHHTRIKKWLQKLKAEDVLLRGLTWNKLLDPDKKGQWWLSGDVSSTVGNIEEVAAVISKEVVEAQKLVQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLDLSGKQDREIIRVIVDCCLQEKMFNKYYAVLASKLCSHDKNHKFSLQYCIWDHFKELDNMELNRSMNLAKLVAEMLANFTLSLATLKVVKNLAVEVIPKRTIHFRMLFETLLQKDDAVVWNVFTRIAGLPELEILRDGLVLFIKQHVIAKDTGKDLASKFKIAKKALDNTAGVLM >ONIVA05G03110.1 pep chromosome:AWHD00000000:5:2428887:2437055:-1 gene:ONIVA05G03110 transcript:ONIVA05G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAGDGGGDGETQALEGGTTPPLGSPVSDDGDATPSEDGVLYGETQALDDAETQMVDDGLGEEEDGVAVDWGETQLVEGSEEEEEEEECGGGIDDQEDTQLVEDSEENEGDGEDAGGACEGEDAGAAAECDNNAGDLVRTQLVEEHKEGEDCGNDGAGDGLETQFVEECPEEEEKVNNSSDDEDAGEWGKTQLVEDSDEERDDDGDDELSVDTQVLSDDEGLSNDEREVIFDMGGSNTRVKGALEDYTKNLVDSDASTDEEGDTVCEASERKCEALTVNSLQQGKEQDSIHGAHLLRKVVDNSTSFSTSLGDHPDCGIDSDSHGYVQNHDKDGTKSRSRCSTAKKLFADTIVKESENNGRCFAGLSYIESQEPGDLSQANALELVDRLISISGMSSQEPTPQKLDSAKPHVSNKRGTLMLAEKVDCNRSSNGMAEIFAWVDSREDDGGGEFFSKNKDILLHKSADRGKSKSHFPRAKKRPTKIEPGEIGDCKKCKNTKLSGKFEALPLSDLMLSSDVKGKRASANRTKKNLLKDLDLDDLSNGKYLEVQQEKESVALHDVGPDTQIALEAMEALAQCSPAKNLSAKDKPQLRNGKSKKAKGHSKNSPQKRTGSIQEGVTTRSKRRKLTELTPEPEKQMYKGSILQGNPGDLKAKTRDKEAKSVPAKSNVLKISRDGDKCHGAPVARRTRHFCRNNPGEHTELCSNKHSKRVMNLRGGVSKVGKVQNDHIANEPGQPMISERTAKSTSIYVEKESTEHTCATDAQNLQLRRDASSQHASENTAQGYEPCRSKPTIEDACRENSSHFPKQRRTQTTIVQPKDPAATQSGTNHETPQDEPRPSKKRRVFIRSVSDYVKYAKREPSNGRSTSLLSTIIKKSSAASHILNSSLSADSKTSGFSSSGNKHKGSHVEDASKSPRSNSDIHSSVLKTPSKSANELSPIFSPLNPSKSSSRSLSKPSVARELLMLDPDSNPSNCQHKDSRRKKKNTNFSILFSHHLHGDVIKRQKKILARLGVSEALSIPDATHFVADSFFRTKKMLEAIALGKLVVTSMWLENCGQAGCFIDEKKYILRDAKKEREIGFSMPTSLAAACKHPLLLGKRVYVTLNVKPSREVVIGLVLASSGQPLERIGRSITKEVPDDLLVISCEDDYETCSPLLKRGASVFESELLLNGIVIQKLEYERHRLFSDCVKQTRSTRWLKDTSHGRFVPVSKCPQFACCCRDITSSTVASPEGSMADHPPTVFLNELEKWVSVKSRESILSVRFKPHAAEVKRTLDALEVVPALKRIEQSKVVGYATCFVVAENGNSLYLLTCAHTIDHVYTATKGISVQDINRLFDTEVVCDHQENNGLGGERKFTEAIVTRVDCKKDILLVLVDKSKLLNLKGKQCRFQHPPLVASQNLPCLLEKVVMISWPPCMNRATSVGRISHPSRHYDDVSNTNEYGYNMNLIEVDMMVAEGSSGAPLLNCRANFVGLLQGGGKECFSCFVSLSDICKKLTEWGIFPREKVIQQKKSQK >ONIVA05G03100.1 pep chromosome:AWHD00000000:5:2383915:2392226:1 gene:ONIVA05G03100 transcript:ONIVA05G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGGDGGWCLVVWQPVRDAGGNEQPAARARAKASSKVVLPDPGGPNSRVILHNQKNVL >ONIVA05G03090.1 pep chromosome:AWHD00000000:5:2367248:2401576:-1 gene:ONIVA05G03090 transcript:ONIVA05G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEIQKVASMRLGGSMRGDSGSMWRRGDDVFSRSSREEDDEEALRWAALEKLPTYDRVRRAILPLGGDDGAGDGGGKGVVDVHGLGPRERRALLERLVRVADEDNEKFLLKLKDRVDRVGIDMPTIEVRFEHLEAEAEVRVGNSGLPTVLNSITNTLEEAGNALGILPNRKQTMPVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLGKDLKASGKVTYNGHGMEEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKAAAMGGQEANVNTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQTVHILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGPREDVLEFFESTGFKCPDRKGVADFLQEVTSKKDQRQYWARHDKPYRFVTVKEFVSAFQSFHTGRAIANELAVPFDKSKSHPAALATTRYGAPGKELLKANIDREILLMKRNSFVYMFRTFQLMVVSLIAMTLFFRTKMKRDSVTSGGIYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFYPAWSYTIPSWILKIPITFIEVGGYVFLTYYVIGFDSNVGSFFKQYLLMLAINQMAGSLFRFIGGAARNMIVANVFASFMLLIFMVLGGFILAREQVKKWWIWGYWISPMMYAQNAISVNELMGHSWNKIVNSSASNETLGVQVLKSRGVFPEARWYWIGFGAMIGFTILFNALFTLALTYLRPYGNSRQSVSEEELKEKRANLNGEIVGDVHLSSGSTRRPMGNGTENDSTIVDDDTEVTQRGMVLPFTPLSLSFDNVRYSVDMPQEMKAQGVADDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPEDVDSNTRKMFIEEVMELVELKSLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSSELIKYFESIPGVSKIKDGYNPATWMLEVTTIGQEQALGVDFSDIYKKSELYQRNKALIKDLSQPAPDSSDLYFPTQYSQSSLTQCMACLWKQNLSYWRNPPYNAVRFFFTTVIALLFGTIFWDLGGKVTKSQDLFNAMGSMYAAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIEIPYTLVQATVYGIIVYAMIGFEWTAAKFFWYLFFMVFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFVIPRPRVPIWWRWYCWACPVAWTLYGLVVSQFGDIETPMEDGTPVKVFVENYFGFKHSWLGWVATVVAAFAFLFASLFGFAIMKEMDAAAEMQKVVSLRRGGGGSSSRGAASMWWSADNGVFSRSRASSSGEDGEDDEEALRWAALEKLPTYDRVRRAVLPVVEEGGGGGEAGKKVVDVLSLGPQERRALLERLVRVAEDDNERFLLKLKERIDRVGIDIPTIEVRFEHLEAEAEVRVGNSGLPTVLNSMTNKLEGAANALGILPNKKQTMPILHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGRLGKDIKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKNYSDTMVGDDMVRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKSLRQAIHILGGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREGVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWMQHDKPYRYVPVKDFASAFQSFHTGKSIANELATPFDKSKNHPAALTTSRYGVSAMELLKANIDREFLLMKRNSFVYIFRACQLMVVSAIAMTVFFRTKMHRDSVTDGVIFMGALFFSVMMIMFNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKIPMSFIEVGGFVFMSYYVIGFDPSAGRFFKQYLLMLAINQMAAALFRFVGGAARNMIVANVFGSFMLLIFMVLGGFILVREKVKKWWIWGYWISPMMYAQNAISVNEFLGHSWDKVLNNSLSNETLGVQALRSRGVFPEAKWYWIGFGALLGFIMLFNGLFTLALTYLKPYGKSQPSVSEEELKEKQANINGNVLDVDTMASSTNLAIVDNTETSSEIADNSQPTQRGMVLAFAPLSLTFDNIKYSVDMPQEMKAHGIVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSSELIKYFEGIKGVSRIKDGYNPATWMLEVSTISQEQALGVDFCDIYRKSELFQRNKALIQELSTPPPACLWKMHLSYWRNPPYNAIRLFFTTVIALLFGTIFWDLGGKTGKSQDLFNAMGSMYSAVLFIGVLNSQSVQPVVSVERTVFYRERAAGMYSAFPYAFGQVAIEFPYTLVQSIIYGIIVYSMIGFKWTAAKFFWYLFFMFFTFLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWRWYCWICPVAWTLYGLVASQFGDIMTPMDDGTPVKIFVENYFDFKHSWLGVVAVVIVAFTMLFAFLFGFAIMKLNFQKRYKLQVKSKEPNFPLNGKTPHFFSHTNLVLALLAARCLRRPLPAATRPDTSLHHHHWALAEMSSAGVVEMQKAASFRREGGGSMASMWLSADGNGAFSRSSSSSSRRMRGEEDDEEALRWAALQKLPTYDRVRAAILPMVEGEGGEAGGGGGGRRVVVDVHSLGPHERRALLERLVRVADDDNERFLLKLKERISRVGIDMPTIEVRFEHLEVEAEVRVGNSGIPTVLNSITNKIEEAANALGILPTRKQTLRILHDISGIIKPKRMTLLLGPPGSGKTTFLLALAGRLKDLKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELTRREKAANIKPDADVDAFMKASAMEGQESNLITDYILKILGLEICADTMVGDDMVRGISGGQRKRVTTGEMLVGPANAFFMDEISTGLDSSTTFQIVKSLRQTIHILGGTAVISLLQPAPETYDLFDDIILLSDGHIVYQGPRENVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWAQHDKPYRYVPIKEFASAFQSFHTGRSIANELATPFDKSKSHPAALTTSRYGVSAMELLKANIDRELLLIKRNSFVYIFRTIQLMTVSAMAMTVFFRTKMHRDSVADGVIFMGALFFAVMMIMLNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKSPMSFIEVGGFCFMSYYVIGFDPNVGRFFKQYLLMLAVSQMAAALFRFVGGAARNLIVANVFGSFMLLIFMVLGGFILARDKVNKWWIWGYWISPMMYAQNAVSVNEFLGHSWDKVLNNSLSNETLGVQALRSRGIFPEAKWYWIGLGALLGFIMLFNILFTLALTYLKPDGKSQPSISEEELKEKQANINGNVLDVDTMASSNNLAIVGSTGTGSEIADNSQPTQRGMVLPFTPLSLTFEDIKYSVDMPQEMKAHGIVEDRLELLKGVSGCFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSSELIKYFEGIQGVSKITDGYNPATWMLEVTTVSQEQALDVDFCDIYRKSELFQRNKALIQELSTPPPGSSELYFPTQYSQSFLIQCLACLWKQHLSYWRNPPYNAIRLFFTTVIALIFGTIFWDLGGKMGQSQDLFNAMGSMYAAVLFIGVLNGQSVQPVVSVERTVFYRERAAGMYSALPYAFGQVAIEFPYTLVQSVIYSIIVYSMIGFQWTVAKFFWYLFFMFFTLLYFTFYGMMAVGLTPSYHVASIVSSAFYAIWNLFTGFVISRPATPVWWRWYCWICPVAWTLYGLIVSQYGDIVTPMDDGIPVNVFVENYFDFKHSWLGFVAVVIVAFTMLFAFLFGFAIMKLNFQKR >ONIVA05G03090.2 pep chromosome:AWHD00000000:5:2367248:2401576:-1 gene:ONIVA05G03090 transcript:ONIVA05G03090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEIQKVASMRLGGSMRGDSGSMWRRGDDVFSRSSREEDDEEALRWAALEKLPTYDRVRRAILPLGGDDGAGDGGGKGVVDVHGLGPRERRALLERLVRVADEDNEKFLLKLKDRVDRVGIDMPTIEVRFEHLEAEAEVRVGNSGLPTVLNSITNTLEEAGNALGILPNRKQTMPVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLGKDLKASGKVTYNGHGMEEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKAAAMGGQEANVNTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQTVHILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGPREDVLEFFESTGFKCPDRKGVADFLQEVTSKKDQRQYWARHDKPYRFVTVKEFVSAFQSFHTGRAIANELAVPFDKSKSHPAALATTRYGAPGKELLKANIDREILLMKRNSFVYMFRTFQLMVVSLIAMTLFFRTKMKRDSVTSGGIYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFYPAWSYTIPSWILKIPITFIEVGGYVFLTYYVIGFDSNVGSFFKQYLLMLAINQMAGSLFRFIGGAARNMIVANVFASFMLLIFMVLGGFILAREQVKKWWIWGYWISPMMYAQNAISVNELMGHSWNKIVNSSASNETLGVQVLKSRGVFPEARWYWIGFGAMIGFTILFNALFTLALTYLRPYGNSRQSVSEEELKEKRANLNGEIVGDVHLSSGSTRRPMGNGTENDSTIVDDDTEVTQRGMVLPFTPLSLSFDNVRYSVDMPQEMKAQGVADDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPEDVDSNTRKMFIEEVMELVELKSLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSSELIKYFESIPGVSKIKDGYNPATWMLEVTTIGQEQALGVDFSDIYKKSELYQRNKALIKDLSQPAPDSSDLYFPTQYSQSSLTQCMACLWKQNLSYWRNPPYNAVRFFFTTVIALLFGTIFWDLGGKVTKSQDLFNAMGSMYAAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIEIPYTLVQATVYGIIVYAMIGFEWTAAKFFWYLFFMVFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFVIPRPRVPIWWRWYCWACPVAWTLYGLVVSQFGDIETPMEDGTPVKVFVENYFGFKHSWLGWVATVVAAFAFLFASLFGFAIMKEMDAAAEMQKVVSLRRGGGGSSSRGAASMWWSADNGVFSRSRASSSGEDGEDDEEALRWAALEKLPTYDRVRRAVLPVVEEGGGGGEAGKKVVDVLSLGPQERRALLERLVRVAEDDNERFLLKLKERIDRVGIDIPTIEVRFEHLEAEAEVRVGNSGLPTVLNSMTNKLEGAANALGILPNKKQTMPILHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGRLGKDIKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKNYSDTMVGDDMVRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKSLRQAIHILGGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREGVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWMQHDKPYRYVPVKDFASAFQSFHTGKSIANELATPFDKSKNHPAALTTSRYGVSAMELLKANIDREFLLMKRNSFVYIFRACQLMVVSAIAMTVFFRTKMHRDSVTDGVIFMGALFFSVMMIMFNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKIPMSFIEVGGFVFMSYYVIGFDPSAGRFFKQYLLMLAINQMAAALFRFVGGAARNMIVANVFGSFMLLIFMVLGGFILVREKVKKWWIWGYWISPMMYAQNAISVNEFLGHSWDKVLNNSLSNETLGVQALRSRGVFPEAKWYWIGFGALLGFIMLFNGLFTLALTYLKPYGKSQPSVSEEELKEKQANINGNVLDVDTMASSTNLAIVDNTETSSEIADNSQPTQRGMVLAFAPLSLTFDNIKYSVDMPQEMKAHGIVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSSELIKYFEGIKGVSRIKDGYNPATWMLEVSTISQEQALGVDFCDIYRKSELFQRNKALIQELSTPPPGSSELYFPTKYSLSFLNQCLACLWKMHLSYWRNPPYNAIRLFFTTVIALLFGTIFWDLGGKTGKSQDLFNAMGSMYSAVLFIGVLNSQSVQPVVSVERTVFYRERAAGMYSAFPYAFGQVAIEFPYTLVQSIIYGIIVYSMIGFKWTAAKFFWYLFFMFFTFLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWRWYCWICPVAWTLYGLVASQFGDIMTPMDDGTPVKIFVENYFDFKHSWLGVVAVVIVAFTMLFAFLFGFAIMKLNFQKRYKLQVKSKEPNFPLNGKTPHFFSHTNLVLALLAARCLRRPLPAATRPDTSLHHHHWALAEMSSAGVVEMQKAASFRREGGGSMASMWLSADGNGAFSRSSSSSSRRMRGEEDDEEALRWAALQKLPTYDRVRAAILPMVEGEGGEAGGGGGGRRVVVDVHSLGPHERRALLERLVRVADDDNERFLLKLKERISRVGIDMPTIEVRFEHLEVEAEVRVGNSGIPTVLNSITNKIEEAANALGILPTRKQTLRILHDISGIIKPKRMTLLLGPPGSGKTTFLLALAGRLKDLKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELTRREKAANIKPDADVDAFMKASAMEGQESNLITDYILKILGLEICADTMVGDDMVRGISGGQRKRVTTGEMLVGPANAFFMDEISTGLDSSTTFQIVKSLRQTIHILGGTAVISLLQPAPETYDLFDDIILLSDGHIVYQGPRENVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWAQHDKPYRYVPIKEFASAFQSFHTGRSIANELATPFDKSKSHPAALTTSRYGVSAMELLKANIDRELLLIKRNSFVYIFRTIQLMTVSAMAMTVFFRTKMHRDSVADGVIFMGALFFAVMMIMLNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKSPMSFIEVGGFCFMSYYVIGFDPNVGRFFKQYLLMLAVSQMAAALFRFVGGAARNLIVANVFGSFMLLIFMVLGGFILARDKVNKWWIWGYWISPMMYAQNAVSVNEFLGHSWDKVLNNSLSNETLGVQALRSRGIFPEAKWYWIGLGALLGFIMLFNILFTLALTYLKPDGKSQPSISEEELKEKQANINGNVLDVDTMASSNNLAIVGSTGTGSEIADNSQPTQRGMVLPFTPLSLTFEDIKYSVDMPQEMKAHGIVEDRLELLKGVSGCFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSSELIKYFEGIQGVSKITDGYNPATWMLEVTTVSQEQALDVDFCDIYRKSELFQRNKALIQELSTPPPGSSELYFPTQYSQSFLIQCLACLWKQHLSYWRNPPYNAIRLFFTTVIALIFGTIFWDLGGKMGQSQDLFNAMGSMYAAVLFIGVLNGQSVQPVVSVERTVFYRERAAGMYSALPYAFGQVAIEFPYTLVQSVIYSIIVYSMIGFQWTVAKFFWYLFFMFFTLLYFTFYGMMAVGLTPSYHVASIVSSAFYAIWNLFTGFVISRPATPVWWRWYCWICPVAWTLYGLIVSQYGDIVTPMDDGIPVNVFVENYFDFKHSWLGFVAVVIVAFTMLFAFLFGFAIMKLNFQKR >ONIVA05G03080.1 pep chromosome:AWHD00000000:5:2365944:2366882:1 gene:ONIVA05G03080 transcript:ONIVA05G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIEGPKLIQRAVLAAQYITREKPYAPTPVPASPSCKRRWRRAAAGSVFPIAGGPSRQGEFTARFIHAPKHSVNKIKTV >ONIVA05G03070.1 pep chromosome:AWHD00000000:5:2356828:2358936:1 gene:ONIVA05G03070 transcript:ONIVA05G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVRIEVLVLLGVAALFVLLILGSYRRQSSRNAVRVSIWVAYAASIPMVSYTLGLMQSSPYKNSLFSVWAIILFIFLGSADSLSAYRLQDNDNWKRFYFEQLIHSFWVGWLMVSSGAGSDFRYVLWPIYVIVVLKSGTRILSFKLASRRSMLSESTKWVADYMTYERELSTAGEWDPVTMRGYRYVVAGEEKQRRKVEAPEYVSKLDGDDRAKAKLVTVEQIWRCNGSLLCGDGDRAGQLKDVCLSMALSKMLNRRFAGFHKLVESELDKTHDFLFRGLLHGQKYVERAFRVIEVELAFVHDYFYTKYFVIYMYRHDDTVLSCAMIPFCGWLAYMLFQRVHVPNDELKLIDDHNNNFDALITAVLIIGVALVEGLQVYIYLASAWCKVALISKYVARESWSSRQWVANLIGCITSFKSFRSWEDKLGQYTLLKNVDYIPINFMYYATMFLVDRTKKGRKEDKRVRLSMKVKKAVIDTLRSSNGQLTNGVKSLKANGIEVFRKLSWSCTTVRTTTHTIIAWHIATTLCEVEDEERHRMDSTTTNYKDVACSLSRYCAYLVAFAPELLPDHSFVSQTIFDALVDEATQELLNLKTLEQRCEKLKEIGKVSDMEQNGGDNRLIVLGARLGCQLLEIENPSRRWKVLSDFWAEMVLYLAPSDDARERLETLTRGGEFITHLWALLTHGGILERSTTGAGQCQNV >ONIVA05G03060.1 pep chromosome:AWHD00000000:5:2341943:2346238:-1 gene:ONIVA05G03060 transcript:ONIVA05G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPWRIAAVGWGVTVVGWLIAPIMNLLVNKFVSYIGFNASRKLRELEIHTLPKLEDMLRELEEQRMQKEAEDDRSAVKKLEQPCEELRSALYEAEDILDLIDYHQIKNKVNDCDDDINWLQYLQDAVGACIKLCTSKSAALFPISRPPPDSTDPNCSSKSAALLPISRSPLDTPAPDPNCSSSKSASAAPLPICRPPPDTTDPFPLEGVDIVPSLQRGSDSFLTICAKRKLRKRIEAIEEILNDWKHLCTESHQSHLSNQERSSRWNTISSNYRQSIKPVTKPTVFGRDEEREVIHRILREGPDDHAASSSNRSGKTTLAKYVCDYEKEDKDKYFDPIILIHVSETLRADYIFHDMLEEITENRHSSITDRRELQNKLKKELRGKRFLLVLDDVNDKNDQEQRDLLSPLDVGKRGSRILVTARRTDVALRANRYIQISDLDKEIYFSMFMHYALEGTSFDDRDFIPLGRKIAEKLQRSPIAAVIVGVRLKQNPDITYWRATSNLDVLNSTTGALLWSYQQLDMDVRRCFEFYSIFPRRYELERERLISMWIAHGLVKTTNAREEDMEDVGELYFHELQVCSFLQLKRKVNSDTSSGEYFTAHDSLYDVAKMVAGSDRVEIKKGIVQHISKYVRHVCIMFYDEVFPEQILELANLRTLIMCYSIKEMSKNDFERVLMRLRKLRVVYLDLQDMRTVPACIGELKHLRYLGISPSLNYNDITLPAEFAKLYHLHEFSVTPFVNLQFSSPAKMGNLVNLRYMHTWKGLDIPNIGRLKSLRNLFRFTVRKEKGYEIHQLEYLNNLRGRMFIDCLDNIRSKEEAVRARLADKRHITDLTLSWGGDERSASRTAPVTEMSSGPELQMQAEVLEELHPPAWITSLCIREYNGTTYPSWLSVKGVVQQGEFPAALQILMFWSCKGSNNPPMIGERFGLLHHLSITDCSWNSLPANLDCLTKLDILTIQECPNIQSLPTLPQSLVNIVVFQCNRFLTESCRIRGHPNWQNIRHIPYQSIH >ONIVA05G03050.1 pep chromosome:AWHD00000000:5:2339248:2340827:1 gene:ONIVA05G03050 transcript:ONIVA05G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSLVLLLLLCSYHSFVARAGNEQSYKVLDLNPSRAVCSEPNATIPSSSDGTSSVTLSHRYGPCSPADPNSGEKRSTDEELLRRDQLRADYIRRKFSGSNGTAAGEDGQSSKVSVPTTLGSSLDTLEYVISVGLGSPALTQRVVIDTGSDVSWVQCEPCPAPSPCHAHAGALFDPAASSTYAAFNCSAAECAQLGDSGEANGCDAKSRCQYIVKYGDGSNTTGTYSSDVLTLSGSDVVRGFQFGCSHAELGAGMDDKTDGLIGLGGDAQSLVSQTAARYGKSFSYCLPATPASSGFLTLGAPASGGGGGASRFATTPMLRSKKVPTYYFAALEDIAVGGKKLGLSPSVFAAGSLVDSGTVITRLPPAAYAALSSAFRAGMTRYARAEPLGILDTCFNFTGLDKVSIPTVALVFAGGAVVDLDAHGIVSGGCLAFAPTRDDKAFGTIGNVQQRTFEVLYDVGGGVFGFRAGAC >ONIVA05G03040.1 pep chromosome:AWHD00000000:5:2324453:2326344:1 gene:ONIVA05G03040 transcript:ONIVA05G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPCGSETATQLLVAIPPLFSLLLQSFSPSKAKAGCAAVSPSSTFCRLTIAPAGSPLTDHVEDPTGLAGIEVDLEGYTEASEGHVPGFGQVDMIRNGGTRESSDRLALLRPSKCPTARTDQYPTGQ >ONIVA05G03030.1 pep chromosome:AWHD00000000:5:2314652:2315651:1 gene:ONIVA05G03030 transcript:ONIVA05G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEVHHSRLQHEHDHAYLTQQLDLPLVEASNLITNFTGTADSFAGRLWTIAAGGVLSQLGMLGLVVSALVPTLCPTPCGAAAAAS >ONIVA05G03020.1 pep chromosome:AWHD00000000:5:2297761:2303567:-1 gene:ONIVA05G03020 transcript:ONIVA05G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVTRAVAAAVLVVVVVVAAAELVAAEPPPSERSALLAFLAATPHERRLGWNSSTSACGWVGVTCDAGNATVVQVRLPGVGLIGAIPPGTLGRLTNLQVLSLRSNRILGGIPDDVLQLPQLRLLFLQNNLLSGAIPPEVSKLAALERLVLSSNNLSGPIPFTLNNLTSLRALRLDGNKLSGNIPSISIQSLAVFNVSDNNLNGSIPASLASFPAEDFAGNLQLCGSPLPPCKSFFPSPSPSPGVSPADVPGAASSSKKRRLSGAAIAGIVVGAVVLALLLLVAAVLCAVSKRRRGASEGPKSTTAAAAGAGAAAARGVPPPGSGEGTGMTSSSKEDMGGASGSAAAAVAAVAAEPSRLVFVGKGAGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVARREFDAHMDALGKVEHRNVLPVRAYYFSKDEKLLVFDYLPNGSLSAMLHGSRGSGKTPLDWDARMRSALSAARGLAHLHTVHSLVHGNVKSSNVLLRPDADAAALSDFCLHPIFAPSSARPGAGGYRAPEVVDTRRPTYKADVYSLGVLLLELLTGKSPTHASLEGDGTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGGGHGRTTTEESEEGVRGTSEEERSRGTPPAAPTP >ONIVA05G03010.1 pep chromosome:AWHD00000000:5:2291150:2293000:1 gene:ONIVA05G03010 transcript:ONIVA05G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLPLPLPATRHPPKPHEASASASASLHAALASLSQQCGAGGGGGGALRDAFALVARAERDACPAAVVSVGPEAYASLLQCCVAAGCLRAGRQVHAAAVKRGPRYCRHAYIGTKLAVFYARCGALGDAERAFSALPAKNAFAWAAVIGMWSRAGLHGKALAGYAAMLEAGVPADNFVVPNVLKACAGLGLLGPGRAVHGYAWKAGVGNCVYVMSSLVDFYGKCGEVDDAREVFDVMPERTVVSWNSMLMGYIHNGRIDEAADLFYEMRVEGVLPTRVSVLSFLSASADLEVLDGGRQGHAVAVSSGLEMDLILGSSMINFYCKVGLVEAAEVIFEQMVERDIVTWNLMISGYLQDGQTDKALTTCHRMLESGLKFDCVTLASVIMACVKSYRMEVGGAAHAYAVRNNLESDKTVFCSLIELYSSSGRIEQMRRVFDSIRRRDIATWKAMICAYADHGMGSEALKLLYQMQLEGTSPTAACWDSVLSAFIRNGQLDDALSTFYEMLQTSTRPNLRTWSLLISGLSRNGMHPEVMNLCCKMQEVEPAPSPTIFSAALLAVKSAASVQYGKAMHACIVKKGLLLSKSVVQSLLNMYGSFNDRGTVESLLRFLAAAQ >ONIVA05G03000.1 pep chromosome:AWHD00000000:5:2285178:2286839:-1 gene:ONIVA05G03000 transcript:ONIVA05G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQILEDHFDEGNSLDSTIDIEIGVFHTRKGVRSTLNLTTNVSDKGEVVAIYPSPEKRFIQVVQLTKQIQVFPILPLAKRGHPPEYPPAGPSFVLN >ONIVA05G02990.1 pep chromosome:AWHD00000000:5:2277846:2283516:-1 gene:ONIVA05G02990 transcript:ONIVA05G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35850) TAIR;Acc:AT4G35850] MDPRVKTLFLSLSRFVFLLHTQRQRHHHLRRSLHCAAGAMSLLSNSLLLQGRLRHAAASAVAAAAMGRRGVATSTEEYNRRNYAKNISEYNTVFGHYLLRDAYDDMMLDGVQPVRDTFHNLIVGAMKGSRLQDAFYFRDQMKEMGLQPDVNLYNFLISTCGKSKNSDAAIMLLEEMKAHGVKLKGETYICLLNALAATGRTDQVYAIVRDMTAAGLGLNKFCYAGLITAFKNKAPTTEETMTKIVEFVQQSKGWKNVERVSKDSSENIMMNVSEEELYNLPTAEYVHRRAFVYKQMTIYHVAIHACADLKSKETLEVLLDMLIKDGFTYDAFIAMQAMRCYLQCGDIDSAVKIFEEYTSSKSPPAELYVTLAEGAMIGHTPRGMQLAQETIEKMTSRNFFLNARMGTDLLLAASGEKTGGYTIANYVWDLMQNRRITPSLPAVEAYYKGLKEREIPSDDPRLMNVSRVLDNLSIRFGPRRNSNAQA >ONIVA05G02990.2 pep chromosome:AWHD00000000:5:2277846:2283516:-1 gene:ONIVA05G02990 transcript:ONIVA05G02990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35850) TAIR;Acc:AT4G35850] MDPRVKTLFLSLSRFVFLLHTQRQRHHHLRRSLHCAAGAMSLLSNSLLLQGRLRHAAASAVAAAAMGRRGVATSTEEYNRRNYAKNISEYNTVFGSLTAQRRHVSRHYLLRDAYDDMMLDGVQPVRDTFHNLIVGAMKGSRLQDAFYFRDQMKEMGLQPDVNLYNFLISTCGKSKNSDAAIMLLEEMKAHGVKLKGETYICLLNALAATGRTDQVYAIVRDMTAAGLGLNKFCYAGLITAFKNKAPTTEETMTKIVEFVQQSKGWKNVERVSKDSSENIMMNVSEEELYNLPTAEYVHRRAFVYKQMTIYHVAIHACADLKSKETLEVLLDMLIKDGFTYDAFIAMQAMRCYLQCGDIDSAVKIFEEYTSSKSPPAELYVTLAEGAMIGHTPRGMQLAQETIEKMTSRNFFLNARMGTDLLLAASGEKTGGYTIANYVWDLMQNRRITPSLPAVEAYYKGLKEREIPSDDPRLMNVSRVLDNLSIRFGPRRNSNAQA >ONIVA05G02980.1 pep chromosome:AWHD00000000:5:2272421:2275060:-1 gene:ONIVA05G02980 transcript:ONIVA05G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKATPVKASKNLQLQKQSPTNLKETNGHVCKKEVVNGECPVRDVKCISTWICKNLACKAVVTSEDSFCKRCSCCICHQFDDNKDPSLWLVCASENDDKNCCGSSCHIECALQHKRVGCFNLGNIIQLDGSYSCASCGKVSGILGYWKRQLVIAKDARRVDMLCHRIYLSYRLLGGTTRFKELHGIIEDAKAKLESEVGPLDGMSAKMARGIVSRLPAGSDLQKLCSLAIERADELLSSPDLHLQDSLPAACRFRFVEITSSSIVIILKETPLPSSDTIRGYKLWYWKNREEPSMEEPVVLSKDQRKVLVFNLAPCTQYSFRIISFTDDGILGHSESKCYTGSKELLFKRTTQNVAGADAHTHRREKTQAFKSTGFKIRDVGKILRQAWTEEGRFEGFCEDMHEASCDRSVTDVEQTENSEQGQLLSGACRKLQFSTFSVPDLNVEAPVPMDISPDKCYNSKIVPVRSNDSGGSETCAVGRSAEAEPPAVESRPEGCEQDGASTICREKQLARPRELDEDFEYCVQKIRMLECKGHIDNDFRMKFLTWFSLRSTENDRRVVTTFIKTLINEPSGLAEQLVDSFGEAINCKRQRNGFCNELWHDDKGQ >ONIVA05G02970.1 pep chromosome:AWHD00000000:5:2268626:2271845:1 gene:ONIVA05G02970 transcript:ONIVA05G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT3G27550) TAIR;Acc:AT3G27550] MWAVRSLRRNLLRAASSPLHPRCPLPPGSFAAGCGGEAAAAASARSALLPPPGAGGGWWRRRMMSTTKGRSMRSKVEKRMARETGRTQRELRRAVKLRKKLMTDDERLIYSLRRAKKKVALLLQKLKKYELPDLPAPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLTRLSGGIVINIHNTKTIIMFRGRNYRQPKNLIPLNTLTKRKALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDTQPTAGTTEIKEPHDQQSEDSDQEELDRFIAEIETAAEQQWEEEEAAEKEESSRLRYWDREEGSERRGFNRSYDNPGNEYRDQGRYTRDNNRRTADSRRWDDDSEIDVSGEEWDSDDDRDNVFDNDVDAPDEHPRRFESMRSEKSRSDRSRNYTSRGSRSSIEKPRNSATASGRSVFRESEDDELDTEDDELWGPDLKEERNLRAPKVNFANYHSGTSSEEDTDDNWKQDSRTGNTRKNTDESWDSD >ONIVA05G02960.1 pep chromosome:AWHD00000000:5:2264816:2265358:-1 gene:ONIVA05G02960 transcript:ONIVA05G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTSRPLAIISMDHADDDHRRRRGHVPPPPPPAAAEAEERTGDSEYDDAAAAVSSSSSLTTGEGSSVADDDDDDAESCSGGSGGGYGRKNGNGQYVVGGGEEEEGVESAVDEKAGVMMTPVPWWCWAAEKAPVTAAKAKATDGGARRAPPAAEAVEDSGGHTAESNRLFWEACIAHGY >ONIVA05G02950.1 pep chromosome:AWHD00000000:5:2257239:2262994:-1 gene:ONIVA05G02950 transcript:ONIVA05G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUGAR-INSENSITIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G47990) TAIR;Acc:AT3G47990] MAMRGVDFKWYDGFFLSMLATSLIIVSINWKRYRLCAHPLHIWIVVDYTTVFIFRLLMFVDNGLAAGMGLDLGWQQRYARFCGRIIVLSVLVLLLYPFLWVWTVIGTLWFSTARGCLPEEGQKWGFLIWLLFSYCGLACIACVAVGKWLSRRHALQQRAQQGIPVSEYGVLVDMIRVPDWAFEAVGLEMRGMGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRPSSEPDRPSASEVTAATMARYVRSSQPAGQSYLLRLQGLLPRQVVVRHGGSDDMASAGALHVAAAVTAPATTGGVESELPSIVVDGGHQLPDR >ONIVA05G02940.1 pep chromosome:AWHD00000000:5:2252568:2256626:1 gene:ONIVA05G02940 transcript:ONIVA05G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDGSARLMIVSDLDQTMIDHNDPKNLSLLRFQALWESEFSQDSLLVFSTGRSPISYRGLRTQKPLITPDITIMSVGTVIAYGEEMIHDVGWAEFLSNKWDRDIPERSQGPHKVSFFVDKEGAREVMDSLPETLNRRGLDVKIIFSSGEALDVLPQGAGKGQALLYLLKKFNSDGKPPNSTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENARGNPMMIHATERCAAGIMQAIGHFNLGPNDSPRDLEFPYPKLDAIKPADVVVKFYVLYEKWRRGEVQKAPSIIQYLKRITHPNGTTIHPSGRECSLHASIDALSSCYADKQGKKFQLWVDRIVASSIGTINWLVRFDKWEMEGNVRYCCLTTLLLTMKPETEDGFEITHIHKTWLEGYSAGNEHACILSATSNFFQNQASHQAGETRPISAVHW >ONIVA05G02940.2 pep chromosome:AWHD00000000:5:2252568:2256462:1 gene:ONIVA05G02940 transcript:ONIVA05G02940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDGSARLMIVSDLDQTMIDHNDPKNLSLLRFQALWESEFSQDSLLVFSTGRSPISYRGLRTQKPLITPDITIMSVGTVIAYGEEMIHDVGWAEFLSNKWDRDIVVEETAKFPKLKPQACSMNCLITNPKFSISMSALKIVSMQPERSQGPHKVSFFVDKEGAREVMDSLPETLNRRGLDVKIIFSSGEALDVLPQGAGKGQALLYLLKKFNSDGKPPNSTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENARGNPMMIHATERCAAGIMQAIGHFNLGPNDSPRDLEFPYPKLDAIKPADVVVKFYVLYEKWRRGEVQKAPSIIQYLKRITHPNGTTIHPSGRECSLHASIDALSSCYADKQGKKFQLWVDRIVASSIGTINWLVRFDKWEMEGNVRYCCLTTLLLTMKPETEDGFEITHIHKTWLEGYSAGNEHACIL >ONIVA05G02940.3 pep chromosome:AWHD00000000:5:2252568:2256430:1 gene:ONIVA05G02940 transcript:ONIVA05G02940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDGSARLMIVSDLDQTMIDHNDPKNLSLLRFQALWESEFSQDSLLVFSTGRSPISYRGLRTQKPLITPDITIMSVGTVIAYGEEMIHDVGWAEFLSNKWDRDIPERSQGPHKVSFFVDKEGAREVMDSLPETLNRRGLDVKIIFSSGEALDVLPQGAGKGQALLYLLKKFNSDGKPPNSTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENARGNPMMIHATERCAAGIMQAIGHFNLGPNDSPRDLEFPYPKLDAIKPADVVVKFYVLYEKWRRGEVQKAPSIIQYLKRITHPNGTTIHPSGRECSLHASIDALSSCYADKQGKKFQLWVDRIVASSIGTINWLVRFDKWEMEGNVRYCCLTTLLLTMKPETEDGFEITHIHKTWLEGYSAGNEHACIL >ONIVA05G02930.1 pep chromosome:AWHD00000000:5:2238702:2243570:-1 gene:ONIVA05G02930 transcript:ONIVA05G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G03190) TAIR;Acc:AT1G03190] MKFDLEGLTVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLASPSRPLRLIYCTRTVHEMEKTLAELRLLFAHLPAAASRSLLALGLSSRKNLCVHPQASAAAARDSVDTACRRLTASWVRDRAASDPDSTPLCDFFESFDRAAAAGDLASYMPPGVYTLADLRALGRDRGICPYFLARQMVKYANVVVYSYQYLIDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALSVSIRKQTLEGAERNLRRISQEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPALPEDILKEAVPGNIRRAEHFLAVLRRLVRFLDGRLETENVENEMPVAFVASIHSQAGIDQKMLRFCYDRLHSLMLTLEITDTDEFMPIQTICDFATLIGTYTRGFSIIIEPYDERMPDIRDPVIQLSCHDASLAIQPVFERFQTVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFFVSYSYMDGIVNSWNEMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRRMAQPYDKTGSGGNKTLLTEEDLQNMAQDAMEM >ONIVA05G02920.1 pep chromosome:AWHD00000000:5:2214967:2224771:1 gene:ONIVA05G02920 transcript:ONIVA05G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase BSL1 [Source:Projected from Arabidopsis thaliana (AT4G03080) UniProtKB/Swiss-Prot;Acc:Q8L7U5] MGTAGKGAWVVPAPAYREVEGWEGAGDDSPGFRCGHSLTVVAPTKGHGPRLILFGGATAIEAGASSGMPGIRLAGVTNSVHSYDVDTRRWTRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPDGDRPSARMYATASARTDGMLLLCGGRDASGMPLSDAYGLLMHTSGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSHDHDASSDLLRRCRHAAASVGTQIYIYGGLRGDILLDDFLVADNAPIQSEFTSSMYDRVPRAENQNRNHNFNSDSPTTNNRHEIASGFSTDKKSIDMLTEASAAEAEAVSAVWRAAQEASHASSEDSLSEGIGSESPLSETSPMPEDLDDGGSLEPDVKLHSRAVVVSKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPAKKALNRQRSPQGLHKKVISFLLKPRNWRAPAERAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTIEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNRLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERAMDATWMQELNIQRPPTPTRGRPQSASDRNSLAYI >ONIVA05G02920.2 pep chromosome:AWHD00000000:5:2214967:2224771:1 gene:ONIVA05G02920 transcript:ONIVA05G02920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase BSL1 [Source:Projected from Arabidopsis thaliana (AT4G03080) UniProtKB/Swiss-Prot;Acc:Q8L7U5] MGTAGKGAWVVPAPAYREVEGWEGAGDDSPGFRCGHSLTVVAPTKGHGPRLILFGGATAIEAGASSGMPGIRLAGVTNSVHSYDVDTRRWTRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPDGDRPSARMYATASARTDGMLLLCGGRDASGMPLSDAYGLLMHTSGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSHDHDASSDLLRRCRHAAASVGTQIYIYGGLRGDILLDDFLVADNAPIQSEFTSSITDKKSIDMLTEASAAEAEAVSAVWRAAQEASHASSEDSLSEGIGSESPLSETSPMPEDLDDGGSLEPDVKLHSRAVVVSKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPAKKALNRQRSPQGLHKKVISFLLKPRNWRAPAERAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTIEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNRLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERAMDATWMQELNIQRPPTPTRGRPQSASDRNSLAYI >ONIVA05G02920.3 pep chromosome:AWHD00000000:5:2214967:2224771:1 gene:ONIVA05G02920 transcript:ONIVA05G02920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase BSL1 [Source:Projected from Arabidopsis thaliana (AT4G03080) UniProtKB/Swiss-Prot;Acc:Q8L7U5] MGTAGKGAWVVPAPAYREVEGWEGAGDDSPGFRCGHSLTVVAPTKGHGPRLILFGGATAIEAGASSGMPGIRLAGVTNSVHSYDVDTRRWTRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPDGDRPSARMYATASARTDGMLLLCGGRDASGMPLSDAYGLLMHTSGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSHDHDASSDLLRRCRHAAASVGTQIYIYGGLRGDILLDDFLVADNAPIQSEFTSSMYDRVPRAENQNRNHNFNSDSPTTNNRHEIASGFSTDKKSIDMLTEASAAEAEAVSAVWRAAQEASHASSEDSLSEGIGSESPLSETSPMPEDLDDGGSLEPDVKLHSRAVVVSKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPAKKALNRQRSPQGLHKKVISFLLKPRNWRAPAERAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTIEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGLILQSLPQNVPWTPHGCRNLTYNGHLHQLEDGHSLLVTEILLLIYDTSLNHLQLLDPCFLTEISLPVTSVQCS >ONIVA05G02910.1 pep chromosome:AWHD00000000:5:2199372:2209566:-1 gene:ONIVA05G02910 transcript:ONIVA05G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEAAAAAAVVAPPASVGGDEVVVGGGGGGASEQARTLIGALNLLSRNLPLPPAVLHAVSSIYHGGDAWEGEGEEGGEEEVAAAAAAVGDGCGESGEGEEDRADASPGADEGVTLIQELEDAVLKNQGPYMSYSELTALKEDRFNTSIQHRLTELEGLPSTRGEDLQMKCLLELYGLKLLDLQKKVRTDISAEYWLHKKCAHPDRQLFDWGMMRIRYPFTMYGIGDSFSMDADDINRKKRFSERISRLEEEEKNQAEIRKRKFFSEILNAAREYQLQVPASYKRKKQRNDGVLAWHVRARQRINRMEKSRLQVLKAGDQEAYLRMVEESKNERLKLLLGKTNELLEGIGKAVQRQKDAEHVSRPDGSELPKGSESDDCSQISGLKVESPDEESPSDVDADHHSSADHSKFNAGHRLDSTVHSIEEKVTEQPSALEGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLEKKEVTGPHLIIAPKAVLPNWSNEFKTWAPSIGTILYDGRPDDRKALREKNFGQRQFNVLLTHYDLILKDLKFLKKNFEEWFNAPFACEVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSNGRVSLGSGLKSKALQNLSMQLRKCCNHPYLFVEHYNMYQRQEIVRSSGKFELLDRLLPKLQRAGHRVLLFSQMTKLLDILEVYLQIYQFKYMRLDGSTKTEERGRLLADFNKKDSEYFLFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKDRRALLQEILRRGTSSLGTDIPSEREINRLAARNDEEFWLFEKMDEERRQRENYKPRLMEGIEVPDWVFANDTLTEKIPADEPQNVLLTTKRRRKEVVYSDSFGDQWMKADDVVEETPRMAPRAKRSAYSSDVQEVDFSERRKRHKSLVNIADDASIPMWTPEKVRAGVSSYSKDENEDDAEDESTTSGLQGSSFTWKTLRRKRSSHFSNSSDSKGRSAF >ONIVA05G02910.2 pep chromosome:AWHD00000000:5:2199372:2209566:-1 gene:ONIVA05G02910 transcript:ONIVA05G02910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEAAAAAAVVAPPASVGGDEVVVGGGGGGASEQARTLIGALNLLSRNLPLPPAVLHAVSSIYHGGDAWEGEGEEGGEEEVAAAAAAVGDGCGESGEGEEDRADASPGADEGVTLIQELEDAVLKNQGPYMSYSELTALKEDRFNTSIQHRLTELEGLPSTRGEDLQMKCLLELYGLKLLDLQKKVRTDISAEYWLHKKCAHPDRQLFDWGMMRIRYPFTMYGIGDSFSMDADDINRKKRFSEDSLFGIWEQQRISRLEEEEKNQAEIRKRKFFSEILNAAREYQLQVPASYKRKKQRNDGVLAWHVRARQRINRMEKSRLQVLKAGDQEAYLRMVEESKNERLKLLLGKTNELLEGIGKAVQRQKDAEHVSRPDGSELPKGSESDDCSQISGLKVESPDEESPSDVDADHHSSADHSKFNAGHRLDSTVHSIEEKVTEQPSALEGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLEKKEVTGPHLIIAPKAVLPNWSNEFKTWAPSIGTILYDGRPDDRKALREKNFGQRQFNVLLTHYDLILKDLKFLKKNFEEWFNAPFACEVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSNGRVSLGSGLKSKALQNLSMQLRKCCNHPYLFVEHYNMYQRQEIVRSSGKFELLDRLLPKLQRAGHRVLLFSQMTKLLDILEVYLQIYQFKYMRLDGSTKTEERGRLLADFNKKDSEYFLFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKDRRALLQEILRRGTSSLGTDIPSEREINRLAARNDEEFWLFEKMDEERRQRENYKPRLMEGIEVPDWVFANDTLTEKIPADEPQNVLLTTKRRRKEVVYSDSFGDQWMKADDVVEETPRMAPRAKRSAYSSDVQEVDFSERRKRHKSLVNIADDASIPMWTPEKVRAGVSSYSKDENEDDAEDESTTSGLQGSSFTWKTLRRKRSSHFSNSSDSKGRSAF >ONIVA05G02900.1 pep chromosome:AWHD00000000:5:2191338:2196339:-1 gene:ONIVA05G02900 transcript:ONIVA05G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: P60-like (InterPro:IPR011687), Tumour suppressor protein Gltscr2 (InterPro:IPR011211); Has 709 Blast hits to 643 proteins in 201 species: Archae - 0; Bacteria - 32; Metazoa - 224; Fungi - 154; Plants - 45; Viruses - 0; Ot /.../karyotes - 254 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G40430) TAIR;Acc:AT2G40430] MGKASKGSRRGKKAWRANISTDDIEDFFEKQTRDAHAGAAAIPSLPSDSLFFVDKPASAASTSSAADAAAADAAPKDIPVKRKIEKKREKVLYHESVLKRNPYVQPVPSSLTTKKDKKKSKKKELKETQEAKIVPMEDDSGDKILDIWGEDVKGDHKAKKRSTASVIPAVEVEAPGCSFNPPFEAHQDSLAQAVADEMRKIYTKELGPKPVPLIVPGEAITEEDKFFLDADDGDEAVEEGDEDQAADALAVQRKTKTKRVTRVELNKRARRKERLRAEAEAKKMENLSKEIDSLPDIINEIAKEDEEKEKRHIRRTVAKQERLKSAPPQEISGSLRKLKGCCNLARDRYKSIEKRGILAPSRKIRI >ONIVA05G02900.2 pep chromosome:AWHD00000000:5:2191338:2196339:-1 gene:ONIVA05G02900 transcript:ONIVA05G02900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: P60-like (InterPro:IPR011687), Tumour suppressor protein Gltscr2 (InterPro:IPR011211); Has 709 Blast hits to 643 proteins in 201 species: Archae - 0; Bacteria - 32; Metazoa - 224; Fungi - 154; Plants - 45; Viruses - 0; Ot /.../karyotes - 254 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G40430) TAIR;Acc:AT2G40430] MGKASKGSRRGKKAWRANISTDDIEDFFEKQTRDAHAGAAAIPSLPSDSLFFVDKPASAASTSSAADAAAADAAPKDIPVKRKIEKKREKVLYHESVLKRNPYVQPVPSSLTTKKDKKKSKKKELKETQEAKIVPMEDDSGDKILDIWGEDVKGDHKAKKRSTASVIPAVEVEAPGCSFNPPFEAHQDSLAQAVADEMRKIYTKELGPKPVPLIVPGEAITEEDKFFLDADDGDEAVEEGDEDQAADALAVQRKTKTKRVTRVELNKRARRKERLRAEAEAKKMENLSKEIDSLPDIINEIAKEDEEKEKRHIRRTVAKQERLKSAPPRLGRHKFEPAPVQVLLTEEISGSLRKLKGCCNLARDRYKSIEKRGILAPSRKIRI >ONIVA05G02890.1 pep chromosome:AWHD00000000:5:2186987:2192460:1 gene:ONIVA05G02890 transcript:ONIVA05G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREADPVPEPFTIDDEVSHLTRIRSEPSQKTLGAFYAGRKRGISTFGLLSGRESGRSGAGGFSRADCAYAARKHLPTKGPWCVDDMTSEAYVSQFSSDGSLLVAGFRGSRIRIYDADNGWKVHKDISCRSLQWTVSDIALSPDQQLLAYSSLSPTVHIVNVQSSGKESQANVTEIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNLLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQPRFFDWDYRWMSFPLEARHCKHPNDQSLATYRGHSVLRTLIRCYFSPVYSTGQRYIYTGSSDENVYIYDVVTGDIVEKLSWHGSIIRDCTWHPYNLTIVSSSWDGYLARWEASGDEDDLSVLTENEQRTSPYRQSYTRHLLL >ONIVA05G02890.2 pep chromosome:AWHD00000000:5:2186987:2192460:1 gene:ONIVA05G02890 transcript:ONIVA05G02890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREADPVPEPFTIDDEVSHLTRIRSEPSQKTLGAFYAGRKRGISTFGLLSGRESGRSGAGGFSRADCAYAARKHLPTKGPWCVDDMTSEAYVSQFSSDGSLLVAGFRAYSSLSPTVHIVNVQSSGKESQANVTEIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNLLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQPRFFDWDYRWMSFPLEARHCKHPNDQSLATYRGHSVLRTLIRCYFSPVYSTGQRYIYTGSSDENVYIYDVVTGDIVEKLSWHGSIIRDCTWHPYNLTIVSSSWDGYLARWEASGDEDDLSVLTENEQRTSPYRQSYTRHLLL >ONIVA05G02880.1 pep chromosome:AWHD00000000:5:2179767:2186405:-1 gene:ONIVA05G02880 transcript:ONIVA05G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein [Source:Projected from Arabidopsis thaliana (AT1G57600) TAIR;Acc:AT1G57600] MSWRRLELAALCAYALGFYLVVIRKSLRLSHDYSGRLYGLRAGSLAGHLNDLSDAQWRNFRGNLPVLTIVMGAFLMLANMLRYCYSLKGRGASLLFSRYKYCVGLIWSFNLAVLILNRVYEGYSFSLFGQQLAFLDNHRVVLRMISFGCDYCWSLRSSQFDHKERALIGDKYTLLTYLCYLTYAPLYIAGPIVSYNAFAAQLDAPQKNYSVAQISWYGVRWILSFLLMEAMTHFFHYNAFVVSRLWQQLSPFEIFIISYGVLNFMWLKFFLIWRYFRFWSLVGGVETPENMPRYLYIPLGGAQRKLLSIWVVFTFVAVWHDLEWKLISWAWLTCLFFVPEILVKSLSNKFQPRSALGLFIHRELSAIAGAVTISCLMVANLVGYVVGPSGIKLLMSRMLGKDALPVLAFIFISFYVGVKVIPLLITFFLTLQNKGDSLSHSW >ONIVA05G02870.1 pep chromosome:AWHD00000000:5:2177583:2177909:-1 gene:ONIVA05G02870 transcript:ONIVA05G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAPTLGVEPRGQPSADAAVLKKKQPRRIVAPRRCARTSVATSVRLAAEAIDSEELQQQPTPLWPAGATRHRRLQETIAANSARCGTAPCVRTSTSPAEAFDSEER >ONIVA05G02860.1 pep chromosome:AWHD00000000:5:2172465:2175724:-1 gene:ONIVA05G02860 transcript:ONIVA05G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAADELALRRLEDATRDARRLQLETLRAILAENAGAAYLRRYIPSDGGAHHLLRSTDLAAAADEFRRLVPVTSYDDYAESIRRVADGDAAPDELSPRPLLCFFLSSGTSSLRPKLIPYLDSPGARAATAAVMQANSALVRRLFPPRPAVSKALWFLYAGEVRKTKGGYEATAATAWGIRSSGIRGASPVMSACVSPAEVILGADHQQQMYCHLLCGLRRWDAVDCIRAPYAAALARALRLLQSKWRQLCDDLECGTVCADVVTDAAMRGAVQDGVLAGPCPELAGRVRRICERDDWRGVLRQLWPDARYISCVTTGSMEQYFPAIKHFAGEALPVLGTDYLASECAIGINLERTSPPEETTYVLLPRAAYFEFIPFDMDAAGRGAAAAEPVDIAGVEAGKTYELVATTFRGLYRYKVGDVVKIAGFHHSSPRLQFVTRAPPPQEHGEVLTERDVMAAMDTFQLMLKDGGEVIEFAAFIIDGDGGQRRRRRATIAVEVSNGSKLLDHERSAAFLRRCIAPLEGCLGGAYRLSRATGDVAPLEVAVVRPGTFERLAEAAIRGGAPANQYKPPKIVRHRHLVDVLQSSVMEAASSSGALPLPLPLLVHDLGTRSDDSQTQFSICNQALSTAAIELLRDFRCFETPQGWVLTLNPASLQTFLWRPQDSKKIDLPTAKQNFPRSCKCLLSGNPISSSSDCAVLVLDLDTPAMLVCKIGGSEWDSFSYELSMVSKNNKTLEVHMAKLQGIDAVAGKVYYTFSGDALGVIEFSPESCGELFLVVVFFLGHNLHRIAEVAVYKMDFSGPSEWCKVDGIGDSVFLLGGDFIGASNFGASCSASDHGLSGNCIYFVNNIAAEENFVHVIDLEKGTEEVLRPFRHKGYPLPLRPPFWLLTTHD >ONIVA05G02850.1 pep chromosome:AWHD00000000:5:2171463:2171921:1 gene:ONIVA05G02850 transcript:ONIVA05G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAKIGPWGGDGGDPQDIALGAGAAPHRLVSIEVRSGGAIDALSFTYAAIDGAKHAAGPWGGSGGEKHKVKLGEAERVTEVSGTLGPWGPHACVVRSLAFVTSAGKTHGPFGEEVGGAAFRVPVKGGGRVVGFFARSGWLLDAVGVYVHP >ONIVA05G02840.1 pep chromosome:AWHD00000000:5:2162155:2168969:1 gene:ONIVA05G02840 transcript:ONIVA05G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H990] MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGDKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPETISRIRNEKARRYLNSMRRKKPIPFTQKFPNADPLAMRLLERMLAFDPKDRPSAEEALADPYFKNIANVDREPSAQPITKLEFEFERRRITKEDIRELIYREILEYHPKMLREFLEGTESTGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPCVVYSDNRPQSTASVTEDLSRCLIRDNNLKSQDSASVGASRIPQGAAARPGKAVGSVLRYGNCSTSAAEQQYEQRRVVRNPAIAPNSSVPLGSSYPRRNQTCKSETGDVERIDSSQTGPPKPYVANKLPATVDGRSGHW >ONIVA05G02830.1 pep chromosome:AWHD00000000:5:2156953:2160450:1 gene:ONIVA05G02830 transcript:ONIVA05G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication protein-related [Source:Projected from Arabidopsis thaliana (AT5G49010) TAIR;Acc:AT5G49010] MSSWEDDDSAAAAAAAAAASTDVELLKRAWRNEKASPEILRFDSPLVSRVREQIQLLEETLDDFGESGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIEKYMMHISKSEDLLSRLSQQEQRFAKSCTEMMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMGIPFDLIIIPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVESGQIDLI >ONIVA05G02830.2 pep chromosome:AWHD00000000:5:2156973:2160453:1 gene:ONIVA05G02830 transcript:ONIVA05G02830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication protein-related [Source:Projected from Arabidopsis thaliana (AT5G49010) TAIR;Acc:AT5G49010] MDLDRTLFLLRSYLRLRLQKIEKYMMHISKSEDLLSRLSQQEQRFAKSCTEMMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMGIPFDLIIIPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVESGQIDLI >ONIVA05G02820.1 pep chromosome:AWHD00000000:5:2150880:2153855:-1 gene:ONIVA05G02820 transcript:ONIVA05G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLSSLLAAASSASPVVLAPSKELHGVHLPMPLQGKRPPLQPQDAAVHIEAAAAAAAAFQGQVMVPGHAHAGAPAAFQAFAVPDMAALIDVQADSHPDSVQLSLGIAEQCSRQEKILKFLRSGSDVKELDVSLLTELTGHQTLPINLGTQPYIPDDKLSALPISMASQPYIPDDKLSIYEFELDEPQQYLPENQLVIPDPLVEFFQSHGSALTIDQNGRILFNGNGDDMRYLLSIVLAFNMSKREISSCKTAYLVPYFERKRRSRASSHASNSKLASTAVDASKSTANGKSKSSSRKKQKSKNIKERDLYPKNYFHASEAFLSMLLDKDNSSSTIHSLKKAGPEISELLTKCSIGIAGTGLAVLLSVVCKMATGMKSPIAATRLVNTGVGLGLFWLSWAVNGLRDTITSIFRSPGDMNLKDEEVAVRIQKSMNDILFRAITILAITAFKFA >ONIVA05G02810.1 pep chromosome:AWHD00000000:5:2145692:2152928:1 gene:ONIVA05G02810 transcript:ONIVA05G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27400) TAIR;Acc:AT5G27400] MAAAAAEQELLLHLKLAFLAREPPACVLSLARKAGGGSVTPHVQNFILESCTGNNAGGDQNCSYVTTILKRIIAEAELSSDIVIDGLYEEFGQFMSSKSNNSSLNRTEKIYKEISFISPTSALFGHQLGSGVGLVGVCLNYVSASKVILTDGDASTLENMKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCVPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFSSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >ONIVA05G02810.2 pep chromosome:AWHD00000000:5:2145692:2152928:1 gene:ONIVA05G02810 transcript:ONIVA05G02810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27400) TAIR;Acc:AT5G27400] MKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCVPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFSSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >ONIVA05G02810.3 pep chromosome:AWHD00000000:5:2145692:2152928:1 gene:ONIVA05G02810 transcript:ONIVA05G02810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27400) TAIR;Acc:AT5G27400] MAAAAAEQELLLHLKLAFLAREPPACVLSLARIIAEAELSSDIVIDGLYEEFGQFMSSKSLGSGVGLVGVCLNYVSASKVILTDGDASTLENMKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCVPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFSSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >ONIVA05G02810.4 pep chromosome:AWHD00000000:5:2148020:2152928:1 gene:ONIVA05G02810 transcript:ONIVA05G02810.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27400) TAIR;Acc:AT5G27400] MEKDSAAFDGEDRLRHRTGTLGSGVGLVGVCLNYVSASKVILTDGDASTLENMKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCVPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFSSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >ONIVA05G02800.1 pep chromosome:AWHD00000000:5:2142602:2142859:-1 gene:ONIVA05G02800 transcript:ONIVA05G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAAGSVGVVAGDGQAAAVCVDKVAKIGRQREDVDGVGGGGDDAAVAVAVDRVEEIGGNGVDIWAQIFIDQTRRKMNSQLNK >ONIVA05G02790.1 pep chromosome:AWHD00000000:5:2126748:2126993:-1 gene:ONIVA05G02790 transcript:ONIVA05G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVERTKEIKISRVERIDDEPETKTKVIINAARTPRTAGGGGAPPERAAAAGRNIDKASQAYIDRLKQKWAAEEAADHSS >ONIVA05G02780.1 pep chromosome:AWHD00000000:5:2123578:2123799:-1 gene:ONIVA05G02780 transcript:ONIVA05G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGDGGVLDGEVHVQRVDKIVVVMNGVAPTASEKKAGDGLMNIDEIAADFIRRKKEAFQRGNNKPTGQVD >ONIVA05G02770.1 pep chromosome:AWHD00000000:5:2120455:2120691:-1 gene:ONIVA05G02770 transcript:ONIVA05G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCAGAKNMSSDLPVEGVVRVRKVEKIQAYNLVTKPSATTSRISPITGQAESMAVTVVRVGAVAGKPDDIVPVARMNN >ONIVA05G02760.1 pep chromosome:AWHD00000000:5:2115114:2115416:-1 gene:ONIVA05G02760 transcript:ONIVA05G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKNSSSGNGEVDVQKVEKIAPVYNLVTKPSVYGANRRATTVKARPATTAVAAGFAGSSNSRQPAPAPASWPHGSVVTKEFISKYIEDKKRQFNQGDE >ONIVA05G02750.1 pep chromosome:AWHD00000000:5:2111808:2112095:-1 gene:ONIVA05G02750 transcript:ONIVA05G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSDSEVRVQKVDKLDLVFNILTKPPVYGAGKGNNPPKAPAPRRPAATGGDHGSGGAVAGRKQPGVVSIEDINKRSENYIRDRKRMFFGQN >ONIVA05G02740.1 pep chromosome:AWHD00000000:5:2100525:2100848:-1 gene:ONIVA05G02740 transcript:ONIVA05G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWQSQKIIRKGGAGGGGSTAAPATAAAAGGIGGGGAGEVAVQKVYHNLAPKPTFRKIDSIKEDINKKADRFIKMTRARLFNQTKSFRQPAGSPPATAAGRDGKLF >ONIVA05G02730.1 pep chromosome:AWHD00000000:5:2091571:2092650:-1 gene:ONIVA05G02730 transcript:ONIVA05G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme 4 [Source:Projected from Arabidopsis thaliana (AT5G18930) UniProtKB/Swiss-Prot;Acc:Q3E9D5] MASCGFEGFEKRLELVFELPASGGEVARRGLRLLPAEALREVLDAAQCAVVSAAGNAAADAYVLSESSMFVYPGRVVLKTCGTTPLLRAVPVLLRAAAALRLRLRSCRYSRGEYLFPEAQPFPHAHFADEVAYLDAALPRELLRFRRSAVMPSSSSSSPGASHRWHVYSASSSASSSDADGAARLTAEVCMTELDRGMAARFYQRPGDGRTSHAIGDEMTAASGIGGVVDENDPRSLVCAYAFAPCGYSMNALDGARYATVHVTPEDGHCYASFECATDDAAAALAAIRRAVAAFRPATVSVSISHTSSAASSLCTPVAEALEPLGLACHCRAAEVFPGAGTVTYQTFTAPATKHEHDN >ONIVA05G02720.1 pep chromosome:AWHD00000000:5:2079190:2087938:1 gene:ONIVA05G02720 transcript:ONIVA05G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTPTGAAADDLAAANANANAKECVFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTPLGVDQARAAGKGILDVVSSAANWKVYFYVSPYERTRATLREIGAAFPRHRVIGAREECRVREQDFGNFQVEERMRAVKETRDRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLNNFDNCEFRVMQLGPAGEYSLLIHHTKEELQRWGLSPEMIADQQWRASANRRSWADECSSFLATFFDHWNEDDNDDDDDGKEENGKTNKLLE >ONIVA05G02720.2 pep chromosome:AWHD00000000:5:2080476:2087938:1 gene:ONIVA05G02720 transcript:ONIVA05G02720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTPTGAAADDLAAANANANAKECVFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTPLGVDQARAAGKGILDVVSSAANWKVYFYVSPYERTRATLREIGAAFPRHRVIGAREECRVREQDFGNFQVEERMRAVKETRDRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLNNFDNCEFRVMQLGPAGEYSLLIHHTKEELQRWGLSPEMIADQQWRASANRRSWADECSSFLATFFDHWNEDDNDDDDDGKEENGKTNKLLE >ONIVA05G02720.3 pep chromosome:AWHD00000000:5:2079190:2087938:1 gene:ONIVA05G02720 transcript:ONIVA05G02720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTPTGAAADDLAAANANANAKECVFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTPLGVDQARAAGKGILDVVSSAANWKVYFYVSPYERTRATLREIGAAFPRHRVIGAREECRVREQDFGNFQVEERMRAVKETRDRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLNNFDNCEFRVMQLGPAGEYSLLIHHTKEELQRWGLSPEMIADQQWRASANRRSWADECSSFLATFFDHWNEDDNDDDDDGKEENGKTNKLLE >ONIVA05G02710.1 pep chromosome:AWHD00000000:5:2068000:2072547:-1 gene:ONIVA05G02710 transcript:ONIVA05G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAAHPPLGPAAAAQEKAASRNKRKYRAEPPSAELGSFGLEYPLTADCVGFEFMSPEKAAIAAAAAAAAVAAEGVNLDLIPGSCDCKDIHPTAEELLECQRYVNWNDPNEALLEEILLKGLDATFDNAVGVIIAMGYSEPTARAAVLRAATQYNWRESLAGFGDAAVEVLKTEGDMLSEGASEEDMRKIEQAVLGGMIALVNQAQPFYTTGDVMFCLLMSDMNVANACAMDYNPASLPAVDTQVIAQPVVGNYEPNNPSSDLSVSITNPQTGVTFRGKLTPVPPNSYNTAKADSSATPANLNVPSSKPSVSGKAQSEIPNLKPKENSNPVPGHSEEQPFVAAATQPVKDDKPIPSKRGSSKRDSLHRQKLMSFDKSSRALGSKGSLRSSKHSSSGSAVLDRKCRSFSDSTTSSLKASSKVGKGFSASMKGPEVPPDLSFTGAALPSNPSFDAKLSSNLNPLPAASTDLSLSLPLPSSNDSPAPSSNHDANTEGMDSSSKINLSYDEDQKVWIPQDKKDEMVLILVQRQKELQAHMRDWTDWAQQKVMQVTRRLAKEKEELHSLRKEKEEADRLQEERHNLEESTRKKLLEMESAISRANTQLEKAESSARRREAENEQLRIQMEAAKRHALVSATNILELSKKDENSHKRSQHWESERALLQEDLAAQRNKLSQVHQQLHHAKEQKDQIQARWRQEEAGKIEAIARVSLEKKERDQIETSLRSEENFLHLKAENDTQRYKSQIRALEQQISQLKVSLDSLRVGAPPKWGADNRTNALRLSEGRKNGSAQILANIAAVPQDFDFDDIQRDRECVMCLSEEMSVVFLPCAHQVVCAKCNDLHDKQGMKECPSCRTPIQRRVCARLAGC >ONIVA05G02710.2 pep chromosome:AWHD00000000:5:2068000:2071975:-1 gene:ONIVA05G02710 transcript:ONIVA05G02710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAAHPPLGPAAAAQEKAASRNKRKYRAEPPSAELGSFGLEYPLTADCVGFEFMSPEKAAIAAAAAAAAVAAEGVNLDLIPGSCDCKDIHPTAEELLECQRYVNWNDPNEALLEEILLKGLDATFDNAVGVIIAMGYSEPTARAAVLRAATQYNWRESLAGFGDAAVEVLKTEGDMLSEGASEEDMRKIEQAVLGGMIALVNQAQPFYTTGDVMFCLLMSDMNVANACAMDYNPASLPAVDTQVIAQPVVGNYEPNNPSSDLSVSITNPQTGVTFRGKLTPVPPNSYNTAKADSSATPANLNVPSSKPSVSGKAQSEIPNLKPKENSNPVPGHSEEQPFVAAATQPVKDDKPIPSKRGSSKRDSLHRQKLMSFDKSSRALGSKGSLRSSKHSSSGSAVLDRKCRSFSDSTTSSLKASSKVGKGFSASMKGPEVPPDLSFTGAALPSNPSFDAKLSSNLNPLPAASTDLSLSLPLPSSNDSPAPSSNHDANTEGMDSSSKINLSYDEDQKVWIPQDKKDEMVLILVQRQKELQAHMRDWTDWAQQKVMQVTRRLAKEKEELHSLRKEKEEADRLQEERHNLEESTRKKLLEMESAISRANTQLEKAESSARRREAENEQLRIQMEAAKRHALVSATNILELSKKDENSHKRSQHWESERALLQEDLAAQRNKLSQVHQQLHHAKEQKDQIQARWRQEEAGKIEAIARVSLEKKERDQIETSLRSEENFLHLKAENDTQRYKSQIRALEQQISQLKVSLDSLRVGAPPKWGADNRTNALRLSEGRKNGSAQILANIAAVPQDFDFDDIQRDRECVMCLSEEMSVVFLPCAHQVVCAKCNDLHDKQGMKECPSCRTPIQRRVCARLAGC >ONIVA05G02700.1 pep chromosome:AWHD00000000:5:2064441:2067177:-1 gene:ONIVA05G02700 transcript:ONIVA05G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase (SpoU) family protein [Source:Projected from Arabidopsis thaliana (AT4G15520) TAIR;Acc:AT4G15520] MAAAAAVESVVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDVSAFGSHGATSHLRFRHFASLPLARAYLKDERGCDICGVEITHNALPVTAHPFRRSTAFLFGNEGTGLSQKECEICDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFPERGREGNKFVVADKPKGQSRGLYCTDSIEEVIEERKMRRENACDIFEENGSSEHQESNVLGTMLSD >ONIVA05G02690.1 pep chromosome:AWHD00000000:5:2060501:2061835:-1 gene:ONIVA05G02690 transcript:ONIVA05G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKQQLRFSVRRREPELVGPAAPTPRETKRLSDLDDHETLRVQVKFAFFYRAGGEHDAAGVVRRALGEALVPYYPLAGRVREVEERKLVVDCTGEGVLFVEADADVRLEELEEDGDGGGELRPPFPSMDQLLLDVEGSGGGVLGSPLLLVQVTRLLCGGFVLAVRVNHTMCDAIGAAQFLLAVGELARGLPAPTVRPAWCRELLDARSPPAPSFPHREFDVVPPPPPPGDLVTRTFTFTAADVAAIREGLPPRLRGTATTFEALTAFLWRARTAALELPDGEDARLVVIANLRGVAELNLPGGYYGNACVAPTAITTGEALLRRGSLGDAAEMVREAKAAVTAEYARSAADVLVLRGRPLLALSNVFVVSDHRHAGFHRLDLGWGEPAYGGGADVVFGLAFLVAVKNGGGGGESAVGALVSLPPPAMERFASEMEKLYTRPN >ONIVA05G02680.1 pep chromosome:AWHD00000000:5:2049126:2057193:-1 gene:ONIVA05G02680 transcript:ONIVA05G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTSALDGDEKRRSRKESPLPEEKFNIFLLCTAFVYRVMNGLGTLATIWATVVLLGGFSTLVKKQDFWYVTVIAFVQSIGILGGYEDPAHQIFLRAPEALIKNREAEAWERRKSWWRRRGTQQQQQQQPRGRPKRRKQEEEKARKWCDDIYGFQAFLGIAIYVAMWLGKVAAVATCIALSSKRLEKQDYLESGDDEKGDHQNIRWSLNIFYSLVLAQGIIFICMLLNPLTVYFVLKVRRKYKLFEPSGLKIIYRYKKYNYLGFIAGNVRATLNMHLVTFAKNLAVSNTIDDQLAGVRAMDRILMSVEFRSLALRRLRASMEPDDLGKLIDMLGFVSTMEEEQNIIRGHAARVVLKFSPDLMLQSYPQILYLISSSLLSTSNKRVCKCNMDSDLVWFGLHILDKLTDNPENCRKAKDDDSDLLLPTIIDLANLCGHGHGNSVRSNTTISDSWIEQEIIPLLQKEDDIPLPFINKIDQEIIVGMALNILSKLVAVPGEAGEKLRKETSKDLHFLTNTGMIMEHVEATRVISCLAVDKEARQDIGKLPEIIKKLKDCLLSKTPYVNMTKVAAKLLLMEYTSEELLNRVLLFIEENRTVEDQSFSLPISAFIEELYLDQLPQSVVQRLDLEDVLSSPRVNHSEAAAKALILLTTGCENNVEAFLKGINEKELNKIVNVLSSEDRDKEKRRALAQFEGRHHLDPETLRIVKKIILAEGEEQATSMHAKLLQNLRAYSGPKEFDEYMKLIDAALPKVLKAVVDAVATLEDPSSSENLNHVKDDLWIKQGKVLESFIGLAVQICRSPNATSDFSTALKDANLTVHTFIKKLKKILEVYRSPSTDFPCIRVSTLELITWMVEENSSYREILLKCGIYEELNEVARTARKLESFKLFHCGVGIPTDGPIECISSRATELQEKLQQSPNFEKRYICYGEHASSISVLIA >ONIVA05G02670.1 pep chromosome:AWHD00000000:5:2048159:2057669:1 gene:ONIVA05G02670 transcript:ONIVA05G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTFFTDIRNFASILGRDLLSGACNVK >ONIVA05G02670.2 pep chromosome:AWHD00000000:5:2048159:2057669:1 gene:ONIVA05G02670 transcript:ONIVA05G02670.2 gene_biotype:protein_coding transcript_biotype:protein_coding NPLPTAANPNGAGSSSSKPWEGAATATAEKAGWPTADERGDRADGACTSSSELAIPEVLLEFGVIWQTNCETEQQSTACHCDHCVHGFYHHHRALNEQASYCRLFYATAHTHQLATTSRGF >ONIVA05G02670.3 pep chromosome:AWHD00000000:5:2048158:2057669:1 gene:ONIVA05G02670 transcript:ONIVA05G02670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARVPPSHGKAPRRRRRRKRGGRRPMSVATGRMEPALARVIWQTNCETEQQSTACHCDHCVHGFYHHHRALNEQASYCRLFYATAHTHQLATTSRDMPGLTFFTDIRNFASILGRDLLSGACNVK >ONIVA05G02670.4 pep chromosome:AWHD00000000:5:2048139:2057596:1 gene:ONIVA05G02670 transcript:ONIVA05G02670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTKAMTVTYQKSCFLTRVENPPSRTTVAQIVASVPRPFITLYTKAVQSRKMLNFSSGSGLSFLDLLFSSPSKADVDSSMGPISLVRTIGRKKAIECAILPSSYFKRRTRKPTITFKM >ONIVA05G02670.5 pep chromosome:AWHD00000000:5:2056835:2057669:1 gene:ONIVA05G02670 transcript:ONIVA05G02670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTKAMTVTYQKSCFLTRVENPPSRTTVAQIVASVPRPFITLYTKAVQSRKMLNFSSGSGLSFLDLLFSSPSKADVDSSMLHAQ >ONIVA05G02660.1 pep chromosome:AWHD00000000:5:2039611:2039856:-1 gene:ONIVA05G02660 transcript:ONIVA05G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAADGETKEEESKVKMFLPEDFLNTVLLCTAFLYKAMNTIGTLATIWATVVLLGGFSTLIKKKDFWYVTAIAFVQSIG >ONIVA05G02650.1 pep chromosome:AWHD00000000:5:2030797:2034098:-1 gene:ONIVA05G02650 transcript:ONIVA05G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTAVQFIDRFLGGYEDPAQQIFLRAPEALMKYKRTSTLKGLSWWRRRGTQQQQQEPTRRTRGGRRHKEEKIWYLCSIYGCQALLGMTILAAVWLARVAAVVTILALSWKRLKKQDYLNPGDIMNDQNQNITWSLNIFYSLVFAQGIMFVTILLNPLSYYFLFNAGIKYKLFDPSGLKIILNYKRCNYLEFIAGNVKATLQTHLVTFAKKLAVSNMADDKLLGVGAMDRILRSMEFRSLALRKLRAFMEPDELGKLVNMLGYDKSHKNKTTEEEDIRGHTARVVLKLSPDLLVQSYPQILYAISSSLLSTSKSNNKRVCKCNMDSDLVWFGLRILDKLTDNPENCRKATNDESGGDLLSTIIDLTIPCCHGHGHGRSMRSNTSTISDSWIEQEIIPLLQTENEIPLPFIDKLDQEIIVGMALNILSKLVAAPDEAGEKLRKETSKHVHFLTNTGMILDHVQATRVISCLAVDKEGREYIGKFPEIIKKLKDCLLSKTPYVNITKVAAKLLVLECTGDEQLLNQIQLFIEENRTVEDQSFSLPISAFIEELDFDQLHQPWIWNFVQSLDVEDALFAPRVNHSDAAAKALILLTTECASNVEAFLQGINKEELNKIVNALSSEDGDKEKRRALAQFEGRRNLDPETLRKVKKIILAEGQEQATGPKEFDEYMKLIDAALPKVLKAVVDAVATLEDPSSAENLDHVKDDMWIKQGKVLESFIGLAVQICSSPNKRSDFSTALKYANLTVDTLIKKLKKILEVYMSPSTDFPCIRVSTLELITWMVEENNSYWEILLQCGVYEELNEVARTARKLESFKLFHCSIGIPTERTIECISSLATKLQEKLKKIPDFERRYRYGEHASRISVLIA >ONIVA05G02640.1 pep chromosome:AWHD00000000:5:2028624:2037041:1 gene:ONIVA05G02640 transcript:ONIVA05G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWIWFNNCSSPVHSRTNSLAATLVIFTYGVLESRQSLSFLMISGNLPIYSRPSLSTAKHDMTLVAWTWSKIIPVLVRKCTCFDVSFLSFSPASSGAATSLLKMLSAMPTIISWSSLSMKGRGISFSV >ONIVA05G02640.2 pep chromosome:AWHD00000000:5:2028624:2037041:1 gene:ONIVA05G02640 transcript:ONIVA05G02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWIWFNNCSSPVHSRTNSLAATLVIFTYGVLESRQSLSFLMISGNLPIYSRPSLSTAKHDMTLVAWTWSKIIPVLVRKCTCFDVSFLSFSPASSGAATSLLKMLSAMPTIISWSSLSMKGRGISFSV >ONIVA05G02640.3 pep chromosome:AWHD00000000:5:2032974:2037041:1 gene:ONIVA05G02640 transcript:ONIVA05G02640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMTTRDVFWVVRELVQDTQAKPDQVRIHVALAHPLVVGLGCAEQGRRYGIEDLRITLNQKIWTNFPSSSGSMKARSFLRARLLNSIDLRMRSMAPTPRCLASDSESSGNGARGTQVR >ONIVA05G02640.4 pep chromosome:AWHD00000000:5:2028624:2037041:1 gene:ONIVA05G02640 transcript:ONIVA05G02640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTSHRKTARWRRRRPRKRGGRRRMRVAGGGSSLPRAGARMALRFNQMISVPCLRLRKQWQWCTRDTGKVMLQPCNSLLPNGEWERRAIYGDRALSERAATCCSVQGLGTSSVCHGTEEISMVRIRR >ONIVA05G02630.1 pep chromosome:AWHD00000000:5:2024999:2025971:-1 gene:ONIVA05G02630 transcript:ONIVA05G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQFPPQKQETQPGKEHAMDPRPEAIIQSYKPANKLKDKVAIVTGGDSGIGRAVCLCFALEGATVAFTYVKGQEEKDAEETLRALRDIRARTGAKDPMAIPADLGYDDNCRKVVDEVAGAYGGAIDILVNNAAEQYERPSITDITEDDLERVFRTNIFSYFFMSKHAVKRMRDRRGGAGAGGCSIINTSSINAYKGNKTLLDYTATKGAIVAFTRALALQLAEEGIRVNGVAPGPIWTPLIPASFAEEKVRQFGSQVPMGRAGQPSEVAPSFVFLASDDASYMSGQMLHVNGGVIVNG >ONIVA05G02620.1 pep chromosome:AWHD00000000:5:2014252:2018871:-1 gene:ONIVA05G02620 transcript:ONIVA05G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNVLGFAINDMRVTETGSFQYLLEYLDYPDEEKTWTEVFEKNPAYGKRNSNVVRERECMLRPSYPELYWGDQVPEQFPKSNVIVSVCDTPKVGDLVEWLSEGSYWTAKVTKLLSEDMVKVQLLKPPIGEGGSYTAYCKDIRPALDWCLEKGWTVPLSQANGRCWHAARLIHHKSDTEMSGSDEESTSDDDEEEAQKSLKRASNSSQEAPGSNLEITSDTTSSSRINSQTATIATTKGISRSSPVIDMSNSTNVSELDRISNSTIAAESLPKI >ONIVA05G02610.1 pep chromosome:AWHD00000000:5:2010159:2014347:1 gene:ONIVA05G02610 transcript:ONIVA05G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMFSTIRTSEGSFLRKKIRPLHRASLKPANPQTLVSPTAAAAAMAAVTNADVEAVDFDPDDDDLMDEDAADPTPAPAPRLRSTIAGGGGGGDDGQRKTKGRGFRDDAAPRDSRLAGAGRASDFDSLGSDGGPGPVRSIEGWIVLVTGVHEEAQEDDLHNIFRDFGQVKNLHLNLDRRTGFVKGYALIEYETFEEAQAAIKALDGTELLTQIISVDWAFSNGPITKTLQIPTEEKILSAPHHVMMVL >ONIVA05G02600.1 pep chromosome:AWHD00000000:5:2008900:2009287:1 gene:ONIVA05G02600 transcript:ONIVA05G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYCFYAAALFILCFLLPAAVAEEMRSQMDGDGSEETLCAVGGTASAIGPFFPSHTTTAASKPRALAATAFDLRDAIVDGEADLFRVEQQRHRRRRPGTGKCK >ONIVA05G02590.1 pep chromosome:AWHD00000000:5:2005124:2007492:1 gene:ONIVA05G02590 transcript:ONIVA05G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTESLLPIGRVEAAAAEEEVVLGAGDDDSDVESGGESSFSREVRKRVSRLSVEGGGGGGGGVRDRRGSSGGGRRVLPPPHAWLAVEETAARKSYGSDPEEQWMRVLQGGAYGGGGVAAAAAAQRQVQRRSSFSVVRRERAAREAWLDRAWEMKRSWHERNGGAPDADTPVVVVVGKGPPSSPTSHAAGSVGGGGVAMDMEEVRACRDLGLELPSDCTVEIQCYGLSATSSPTHTNSGSCSSGAASPSAAAACSLPSPGADDPMDVKARLKVWAQAVALASTTHLGS >ONIVA05G02580.1 pep chromosome:AWHD00000000:5:1991390:1996110:1 gene:ONIVA05G02580 transcript:ONIVA05G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLTEADRRGAAPTVSTERTSGSSDVNPSSAGALGNLSHLLSETAQSSMLLPVYDKNHPETASLPRPKVPPKELFLDQLTAGHESPSSCRSSGPTLYFPFQQPLGYSNECGTGDGASMNSLWFNQNDFNCSTISTVMPPVSPSALSTSMGLNLPPENPRHGGTGIGNTPFYWDGSNPSSSGSTGSSGSNSMGFEPQSTTSILENSVFPWTDIGQEKDTRVHLVEELKWPDLLHGTFAEATTAMQNQSQSLYDDVIKAESQFNMEGICASWFQNQQPQQQLQAASDMYDKDLQRLPLSFEHI >ONIVA05G02570.1 pep chromosome:AWHD00000000:5:1991141:2001050:-1 gene:ONIVA05G02570 transcript:ONIVA05G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHARLAAFLPPTGRVIYQNQDVDRTLISCMQELAGEKGLSGDSLTFSALAIGTGLPPFRKDYI >ONIVA05G02560.1 pep chromosome:AWHD00000000:5:1974093:1974701:1 gene:ONIVA05G02560 transcript:ONIVA05G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSSVADFDDEADELDNFNDMSTYAETKYPQGEDSDKLEADSDDCKEVAALNAATAALNAATSQVSSSHTSGLKPNKKSFKKCGKPKTLPQSHNDKGKLKAKSSSGLHDDDDIDVLITSTLVGIKDNLAKPIQTAAPQDTNAPLWDMLKKIALEPEDKMRVGLHLCKPEFQAHRSFLISMGQEYLERWVYKFLSGDDTGL >ONIVA05G02550.1 pep chromosome:AWHD00000000:5:1914666:1922752:-1 gene:ONIVA05G02550 transcript:ONIVA05G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELERATKKRRGGIDDLPDDLIVDILSRLPAKSVCRFKCVSWRWRRLISHRDHRKKLPHTLSGFISRYCGPLNDDELVSIPHFDSIDGGEEDEEEHLLVPDPSLSFLLGYMSISPKDCCNGLLLCLCCKNSPRDESDYVVCNPATQRWIILPEIDDYDQLATIRLCFDPALSPYFHVFAILEDADGCITGVEIFSSETGRWSHRENGWVDQDDHMVRPDAKSVFVDGMVNFISFRSAIIAVDMEGKKWKTIPFLEQMNCQCFCSGNLAFIGQSQGHLYYINSRNRDSSILSVWTLDDYCSRQWSFKYNISTSQLFGWTNMRLERKYNLIAIHPDCNMIFYVSRDEGQNTLLSYDMNRGEINSICNIRDPFWNPWDPCLPYVPVFMENRIPPQKSSSFLPKRLGRNLSLGAIKISEHGPAERVKEECKEGHGNINNAGAGEGEDAAGEEAALPKEAAEPDLAMLSAKVDAFLVGREADAPTSFSELERATKKRCGGIDGDNPAADLTDDLIVEILSRLPAKSVCRFKCVSWRWRRLISHRDHRKKIPHTLAGFISHYSVPLHDGIVLIPHFDSIDGGEEDEEEHRLVPDPSLSFLPGYRTIFPKDCCNGLLLCLCCKNSPRDESDYVVCNPATQRWIILPEIDRADPVSTVRLGFDPALSPYFHVFAILEHVDGCVSGVEIFSLETGAWSHRENGWGDEDDHTVHPDAKSAFVDGMVNFISYNSAIIAVDTEGKKWKTIPFLEEMTCECISNGILAFIGQSQGHLYYINFRDRDSSILSVWTLDDYCSCGWSFKYNISTSQLFGWTNMKLEREYSLIAIHPECNMIFYVFRDEGQNTLLSYDMDRGKVNSICNMRDPFWKTWDPCLPYVPVFMESLPDHA >ONIVA05G02540.1 pep chromosome:AWHD00000000:5:1909910:1912589:1 gene:ONIVA05G02540 transcript:ONIVA05G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGNDTGSDLAELLWDNGAPAPLRPPPPPPFQPFTCSAAATTSPPAHDYLFIKNLMRGGGAANHHHHDDDDDDDDDDVPWLHYHPVVDDDDDADADTAPLPPDYCAALLSGLSDHLPPPAAAASRVDPDPCSSSHGAVVPSTSAAAAKQARTSGGGGGGVMNFTFFSRPLQQRPSGGETASASASAAATSTVPVESTVVQAATNRLRSTPLFSDQRMAWLHPPKPSPRAAAPPPPPPPLAPTTRHRLDTATATATVAQRLPPSEAKAPDAPPPAATATATTSSVCSGNGDRRQLNWRDSHNNQSAEWSASQDELDLDDELAGVHRRSAARSSKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSMGTGMFVPPMMLPAAAAAMQHHHMQMQQMAGPMAAAAHFPHLGAAAAMGLAGFGMPAAAQFPCPMFPAAPPMSMFAPPPPPPPFPHAAATAIEQTPSPPGAADAGNAPAVKQA >ONIVA05G02530.1 pep chromosome:AWHD00000000:5:1895067:1909964:-1 gene:ONIVA05G02530 transcript:ONIVA05G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPERRMDGGARRRRENRGGVEIQEPLKTNTKSMALAAALDGGPAARLRLAEPNLRARWMLCLVAACC >ONIVA05G02520.1 pep chromosome:AWHD00000000:5:1894365:1896924:1 gene:ONIVA05G02520 transcript:ONIVA05G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMASLVKFFLFLPNSLSLAGTLRKIRKRSDLFGNFPGAKEGRAHACARRRWRHFCVFGAGRWGCKAEARLAGSVAYAASAGDAGPTTSSTQQPSTASIWRAGWAPLGGGVRQVRHRGQQPGPSIWKAMPSKGSSQMTMTNQRNLSDRRAQQPLSQVYVDVDSEHGTAERQEIGTTKRARWSHQMKMFLIELLTDHDVPGFRTQNAWSKEAWTNIVCRLNTKFGTSFTTNQVKQKEQDLKKDYRSVKDLLDQSGFGWDSDRMMVSAPQSVWDTFADRKNKDAIHWRDKSFPYFDDLAPLYDGRYAEGRTRHGMDHYARKTKNAPAHSTQEANAVDTYQSPSPNSNAPGESGLQFPFGEEVETANLDFSQHSPTPVHLTKVPPSSAQTPSEVPESRPGKKQKIKSVSPDDGFHERYLKLKKEEIDRFAAIEEKKLEDPYSINKCITVLEGLHGLQIGDILVAADIFKGKDNREVFLSFSSDALRLAWIRKEIAALE >ONIVA05G02510.1 pep chromosome:AWHD00000000:5:1891274:1891486:1 gene:ONIVA05G02510 transcript:ONIVA05G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGWDGLVAWLRGEFAAANAIIDLLAHIRDNVDPAAAPPGFDAVAAAVQRRRHHWAPVLHLQQDDEVI >ONIVA05G02500.1 pep chromosome:AWHD00000000:5:1884342:1884903:-1 gene:ONIVA05G02500 transcript:ONIVA05G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTANCIDILIAIILPPLGVFLKFGCGHEFWICLLLTFLGYIPGIIYAIYAITKDGLQTASSIFSIAVCLLEFGNDICIQNSVLSAPILVI >ONIVA05G02490.1 pep chromosome:AWHD00000000:5:1882017:1882904:1 gene:ONIVA05G02490 transcript:ONIVA05G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRRPFAAPVINYLLLALWLAASSSFAVAVAVAGHGRGRRSHVSSIVTEEMYNKSLFIHKDDAACPARNFYTYAAFLRAADQYPSFGGAGGRDTRRREVAAFLAQVSHETTGGWATAPDGPYTWGLCFKEELKPASNYCDAAVAARWPCFPGKSYHGRGPIQLSWNFNYGPAGEAVGFDGLREPEVVAGDAVVAFKTALWFWMTPRPPSKPYSCHDVMTGRYRPSRADAAANRTAAGFGLTTNIINGGLECNNRTGGDPRVEDRIGFFRRYCGALGVDVGDNLDCAHQLPYS >ONIVA05G02480.1 pep chromosome:AWHD00000000:5:1871563:1877627:1 gene:ONIVA05G02480 transcript:ONIVA05G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMNLAGIHGRSFRRRRIGRPAGGASHGRWPEEGTHHPQPAGDQHQRQHRRASSSPPRQEAAPPLLLLRRRHAVVVVVVDDDGDAHRRRRGGGGGDEEVVAAVRRRDGVRLRGRPGHVVYARQQVQALRRRRRRHLLTPAGRPPLTGDPSIHPLHGDRDGDRVHTAIAPSLQICREMQQH >ONIVA05G02480.2 pep chromosome:AWHD00000000:5:1871563:1877494:1 gene:ONIVA05G02480 transcript:ONIVA05G02480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRPKNPPPPDPADRHPHIPPPPTRKARTILNQQATNTSGSIDEHHHRHRAKKPRRHSSSSAAGTPSSSSSSTTTATRTGGDVEEEVVMRRSSQLYGDVMACAYEDVQVMWSMLDNKSRLCAAAAAATS >ONIVA05G02470.1 pep chromosome:AWHD00000000:5:1871022:1871204:1 gene:ONIVA05G02470 transcript:ONIVA05G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASAASWSRRRIAAGDPNTSLRYDCECVDVKEAGELMQRVARLHHQVRFRCNKRVSAQ >ONIVA05G02460.1 pep chromosome:AWHD00000000:5:1867974:1871003:-1 gene:ONIVA05G02460 transcript:ONIVA05G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLMSSSPPVDLWPGTKEGVMRAPLLASRCSDPAACCFPSSPPSAREPRLEEENVLGSFPVEAMHFSRLAVEEGGAAALASDEADAVSSSPFLCRVGGARRGSLRVAPPPAARPRRPPARCSYASWAAAGGGARPPLLPPPLLPPPHACSSSPTAVASPVAGR >ONIVA05G02450.1 pep chromosome:AWHD00000000:5:1864557:1866016:-1 gene:ONIVA05G02450 transcript:ONIVA05G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEDGKRESSWPWPPEYGPPDNALHSLGMEFTTITAGEVVGRLLVTATCCQPFKVLGGGVSALMAEAAASIGGYIASGYRRVAGVQLSINHIRPAHLGETVQAKAKPMQLGRTIQVWEVQIWRIDPSTSECKHLVSTARVTLLCNLPTPEDLKHYEQGFIKKHAKL >ONIVA05G02440.1 pep chromosome:AWHD00000000:5:1862980:1866375:1 gene:ONIVA05G02440 transcript:ONIVA05G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLASSRPPLVSAPATATARLPPHRHRRCRLYLSATSCASTTSYSSSVLSHGGTSAPRSLVAAELQSQSRPPPLAAGVSVRGDAATGLAFLLFVLAVVMSSFLSLAIFSFPTWRALKRLEIAVHKLSKVVAEEVPGTLSSLKLSCLEINDLTSQLKNFRQRLMINIFGNNSSVDARSQAGWPKRGKI >ONIVA05G02430.1 pep chromosome:AWHD00000000:5:1847617:1852989:-1 gene:ONIVA05G02430 transcript:ONIVA05G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMVQKQRHEEGEEERGGLCAREIKELDFFSAAGAGAGRRDDDDVLRADGISSSHAGFMVSTALDLLTAVNDGDHHEEKKGQSNIHQSKQMDAAATTVEGELRQAGEENRRLRRRLEELTSSYGALYHQLVQAQQLHTKHQQQAPIAGVQLLDALAAASPASHRRRAAAAVDGDRTADSDGGEGDENVSPSLGSKRPAAAATLTRLTPESGSGGENNGGGEQAPAAEMAPCRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMASQCPVRKQVQRCAEDKSILITTYEGTHSHPLPPAAAAMAKTTSAAAAMLLSGPAVSRDALFAAHHHVVAPPPFFHHPYAGSTMATLSASAPFPTITLDLTQPPTTTTTTAAAAMLQLHRPHAFSSLPFSMYGAGGGSHRPPVVLPPPSSVVETMTAAITRDPNFTTAVAAALSSIMAGGGAQARTPPRGGSDAAGDINGGGGADHATAGARAAAAATQPCGTSPT >ONIVA05G02420.1 pep chromosome:AWHD00000000:5:1840194:1848132:1 gene:ONIVA05G02420 transcript:ONIVA05G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRHLLLVTTCLWALSCALLLHASSDGFLRVNLNKKRLDKEDLTAAKLAQQGNRLLKTGSSDSDPVPLVDYLNTQYYGVIGLGSPPQNFTVIFDTGSSNLWVPSAKCYFSIACYLHSRYNSKKSSSYKADGETCKITYGSGAISGFFSKDNVLVGDLVVKNQKFIEATRETSVTFIIGKFDGILGLGYPEISVGKAPPIWQSMQEQELLADDVFSFWLNRDPDASSGGELVFGGMDPKHYKGDHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSEYGEMILNLLIAQTDPQKVCSQVGLCMFDGKRSVSNGIEYVVDKENLGSDAMCSVCEMAVVWIENQLRENKTKELILNYANQLCERLPSPNGESTVSCHQISKMPNLAFTIANKTFILTPEQYIVKLEQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFAKSA >ONIVA05G02420.2 pep chromosome:AWHD00000000:5:1840154:1848132:1 gene:ONIVA05G02420 transcript:ONIVA05G02420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGAAIRGSLATAASPVVLRGRGRRCAARVSCVGRGGGGFGDEGHLRYYEAPPRKAVEAVARDLAKLRAMGIASGDAAKEKVLSEATELLLEELNRMRDEEGELKKKMKEDKDAMKALKKQQKEAMKAATTMKCDDDDSSESSESSESECEEQMMTMSCVASVTMPQIGEGVAISTTVPQVAASDVATAPAMEYDKAAMKAMKKREKEEKKAAKMAMKMKKKEKKMATLTLCKDEDSTSCSSESSDSECEEVVRMSRCATITTPQTQPSSTVFPIILPQIPESVTLEPCQDAQISSEPANTMLSTTATIAVVEKPMTNRIEVCMGGKCKKSGSLAVLQEFEKKVGTDGAVVGCKCLGKCGLGPNVRLRSEGAAQKNPLFIGVVLEDVGTIASGLFGGGDDLGMVNN >ONIVA05G02420.3 pep chromosome:AWHD00000000:5:1843045:1848132:1 gene:ONIVA05G02420 transcript:ONIVA05G02420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRHLLLVTTCLWALSCALLLHASSDGFLRVNLNKKRLDKEDLTAAKLAQQGNRLLKTGSSDSDPVPLVDYLNTQYYGVIGLGSPPQNFTVIFDTGSSNLWVPSAKCYFSIACYLHSRYNSKKSSSYKADGETCKITYGSGAISGFFSKDNVLVGDLVVKNQKFIEATRETSVTFIIGKFDGILGLGYPEISVGKAPPIWQSMQEQELLADDVFSFWLNRDPDASSGGELVFGGMDPKHYKGDHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIVDSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSEYGEMILNLLIAQTDPQKVCSQVGLCMFDGKRSVSNGIEYVVDKENLGSDAMCSVCEMAVVWIENQLRENKTKELILNYANQLCERLPSPNGESTVSCHQISKMPNLAFTIANKTFILTPEQYIVKLEQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFAKSA >ONIVA05G02410.1 pep chromosome:AWHD00000000:5:1832909:1839697:1 gene:ONIVA05G02410 transcript:ONIVA05G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCSLVLRILGLQSMSESSRAFGVNASSSSSGGGGGEAVAGTTGKNGGGGSVAFHRLFAFADGTDAALMLLGTLGAVANGAALPFMTVLFGGLIDAFGGAAGGDVVARVSEVSLQFIYLAVASAAASFIQVACWMITGERQAARIRSLYLRTILRQEVAFFDKHTNTGEVVGRMSGDTVLIQDAMGEKVGKFVQLLVTFLGGFGVAFAQGWLLTLVMLATIPPLVLSGAVMSNVVARMASLGQAAYADASVVVEQTIGSIRTVASFTGEKQAVAKYSRSLKRAYSSGVREGLAAGVGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINREPEIDAYSATGRKLDDIQGDIEFRNVYFSYPTRPDEQIFRGFSLAIQSGTTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVNLKELQLRWIRSKIGLVSQEPVLFAASIRDNIAYGRDNATNQEIRAAAELANASKFIDKMPQGFATLVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRVMSNRTTVIVAHRLTTVRNADTIAVIHQGSIVEKGSHHELISDPDGAYSQLIRLQENSHDSEDANYQNKSGKKSDSGIRSGKQSFSYQSTPQRSSRDNSNNHSFSVSATPLEIDVQGGSPKKIAEETPQEVPLSRLAALNKPEIPVLLLGSVASAVSGVIFPIFAILLSNVIKAFYEPPQVLKKDAEFWSSMFLVFGAVYFLSLPIGSYLFSVAGCRLIRRIRLMTFEKVVNMEIEWFDHPENSSGSIGARLSADAAKIRGLVGDALQLVVQNLATLVAGLLIAFISNWELSLIILALIPLIGVNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMDLYKMKCEGPLRTGIRTAIISGIGFGVSIFLLFGVYAASFYAGARLVEDRKTTFPNVFRVFLALTMAAIGVSHTSNLTSDSSKAKSAVSSIFAIVDRKSRIDPSDDAGVSLEPLRGDIEFQHVSFRYPTRPDVQIFEDLCLTIQSGKTVALVGESGSGKSTAISLLQRFYDPDAGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKEGDATESDIVSSAQLANAHKFISSLHQGYETMVGERGAQLSGGQKQRIAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMMNRTTVIVAHRLSTIQGADMIAVVKNGMIIEKGKHDALIGIKDGAYASLVALHVSAAAIS >ONIVA05G02400.1 pep chromosome:AWHD00000000:5:1811736:1818549:1 gene:ONIVA05G02400 transcript:ONIVA05G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVTRTSRSLVAPSSPTPAETLPLSVIDRVAGLRHLVRSLHVFEAGGRNGGGEPARVVIREALGKALVEYHPFAGRFVEGDGGGEVAVACTGEGAWFVEATAACSLEEVKLLDHPMVIPKEELLPEPAPDVQPLDIPLMMQVTEFTCGGFVVGLISVHTIADGLGAGQFINAVADYARGLAKPRVSPVWARDAIPDPPRMPAPPPRLELLDLRYFTVDLSPDHIAKVKSAFFESTGHRCSAFDVCVAKTWQARTRALVAAAAAAGDDDQERRTVRVCFFANTRHLMLKGDGAAAAATGFYGNCFYPVAAAASGGEVAGADIVDVVRIVRDAKARLAADVARWAVGGFEEDPYELTFTYDSLFVSDWTRLGFLDADYGWGTPSHVVPFSYHPFMAVAVIGAPPAPKLGARVMTMCVEEAHLPEFRDQMNAFAAAN >ONIVA05G02390.1 pep chromosome:AWHD00000000:5:1792274:1793328:1 gene:ONIVA05G02390 transcript:ONIVA05G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLSARTYLGQNLALVEVKTLVAAVLARFEFTLSPEFGLRLRIRRAAKSKGKKNERTLKIECRFTIKSKGKKERKNTENKQSPR >ONIVA05G02380.1 pep chromosome:AWHD00000000:5:1779842:1783057:-1 gene:ONIVA05G02380 transcript:ONIVA05G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H927] MVKEGREALLGGYEMGRTLGEGNFGKVKYARHLATGGHFAVKILDRGRVVSLRAGDQIRREIATLKLLRHPHVVRLHEVAASKTKIYMVLEFVNGGELFERIAVKGKLSEKEGRRLFQQLIDGVSYCHDRGVYHRDLKPENVLVDQKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNKGYDGSLSDIWSCGVILYVMLIGYLPFDDRNIVVLYQKIFKGDTQIPKWLSHSAQNLLRRILEPNPMKRIDMAGIKSHEWFQKDYIPVLPYDDDDEDVQFGARLPAKEQINNEPGDKNSHQINAFQLIGMASSLDLSGFFEDEEVSQRRIRFTSTHPPKDAFDKIESSATDLGFQVQRGHSKLKLMRNCKGSKNPESFMVSAEVFELGPSVNVVELRKSNGDPALYRQLCERISSDMGARNTEQIFATASLEDDLQNSNAGTPLFAL >ONIVA05G02370.1 pep chromosome:AWHD00000000:5:1777291:1779051:1 gene:ONIVA05G02370 transcript:ONIVA05G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTGGYPGHRGCGGDRCGSVRDAWPLHNVRHQGVFCRLCSSCVLLYHPAAFCSACLLLLPPAAAPVAAPPGPVSACSSCGLFVAHHSCVPDPVSFVCPTCADAAVGRVYSYTPAAAAGRRRTMDERAARVLLVAARLAHESISRAAAAAREEADRAAREAAVARKHARELLDAACRAAEAEALEAKKKAEAPVAPAAAPPPPPPQPKKKAPPKSSEASRDRDNKPLKLTATQQPALAFAAAAAAAASSMPLSMPSPMEVDEKPVIEELQGSGDGSLIYDRGSLFGTLQRFASLSSPCVCDVGIGLGWLDRPTSSEQIFQSSNVITD >ONIVA05G02360.1 pep chromosome:AWHD00000000:5:1766659:1768858:-1 gene:ONIVA05G02360 transcript:ONIVA05G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEKVVPPSSVSSSDLDPLLKDLTEKKLSFRRNVVSLAAELKDVRNKLASQEQLFVRESQTRKFAETKARSMEEEISKLQKCLNDKDEQLRSSTGCTEQMHFLNNCSNYVQYLHELDDLRSKLSVTQATAEASAASAKSAQSQCLSLLKELNEKDSSLKEHERRVNKLGEQLDHLQKDLEAREYSQRQLKDEVLRIETDIMDAVAKVGSNKDNELLKILSDVSPRNIDNLNKLLNAKDAEIARLRDEIRILSAHWTNKTKELESQLEKQKRTDQELKKRILKLEFCLQESRSQIRKLQRAGEKRDKQLKELKDQVGMKQPSVPYRDDKHNFWENQSFKFIASMSMLALVMLTKR >ONIVA05G02350.1 pep chromosome:AWHD00000000:5:1761523:1766285:1 gene:ONIVA05G02350 transcript:ONIVA05G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACCGDEDTQGVPDNRNPYPGNHPARSDAYRTADPTPRGPQPVKVQPIAVPIIPVDEIREVTKNFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSSKQPDQEFLAQVSMVSRLKHEHVVELLGYCVDGNLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDIAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARTTNPGENAGIADLGLGTVVQNKCVLFAY >ONIVA05G02350.2 pep chromosome:AWHD00000000:5:1761523:1770386:1 gene:ONIVA05G02350 transcript:ONIVA05G02350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACCGDEDTQGVPDNRNPYPGNHPARSDAYRTADPTPRGPQPVKVQPIAVPIIPVDEIREVTKNFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSSKQPDQEFLAQVSMVSRLKHEHVVELLGYCVDGNLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDIAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARTTNPGENAGS >ONIVA05G02340.1 pep chromosome:AWHD00000000:5:1756161:1757351:1 gene:ONIVA05G02340 transcript:ONIVA05G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H922] MAALDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYRNESGARVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGRIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKWEKPSA >ONIVA05G02320.1 pep chromosome:AWHD00000000:5:1723310:1727504:-1 gene:ONIVA05G02320 transcript:ONIVA05G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNHQTSQIHKTLAYALISLATMISNITLVFLLMMCLSAAAAAAAAAESTSGVAGGNGLLHTIPSKNSLAHCPSTCGDIGFSYPFGIGHGCFRQGFELICDNATHPPTLLLANTTTQVIGQSGRTLEVIEIPAIAFNIAMNNSSIIDYIRYWVSPANGFTIVNESTLFVIGCGIEACLFDLDTNETMGSCITMCSDNLEIMEMHDGDCTGIGCCHIIVRRELRRFWLKLDHPDDERTTPRSYRVLSRAQVFILPTINSYQFNTNDLVSSSWMNTSSIGGTLLRGAIMDQETCPGASASKATYACTTNTNCFNATNGGYYCSCHDDVTDGNPYVNLGCSGDPGMTIGIGCGLGSIILALGAIVLINKWKRGVQKRIRRAHFKKNQGLLLEQLILDEKAEDKTKIFSLEELEKATNYFDATRVLGSGGHGTVYKGILSNQCIVAIKMSKIVEQTEIDQFINEVAILSQVIHRNVVKLFGCCLEAEVPLLVYEFISNGTLYDILHSDVSVKCLLSWDDRIRIAVEAAGALAYLHSAAAIPIYHRDVKSSNILLDDNFTTKVSDFGASRTMSLDQTHVMTNIQGTFGYLDPEYYYTSQLTAKSDVYSFGVILVELLVRKKSIFINDQGTKQGLAHYFVEGHQQGVVMEILDSQVMEEATQEEIDDIVSIAESCLKTKGEERPTMKEVEMRLQFVRTTRQRKCQQFPVPEGEIEHFPFPNTSSSSDGRFSHSTGLTCDSVSGSYSLEKEFSSSINLPR >ONIVA05G02310.1 pep chromosome:AWHD00000000:5:1701427:1703604:-1 gene:ONIVA05G02310 transcript:ONIVA05G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVMHQPVPVLEVPYHRCVAKGVEEVAAAAAVAPPPVVEVEVAVQVPHMGLESAAGAPSISVDALQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGLDAAAYMKRHAMRFLFEDSEFPQASQVDETYVQSVENSVRRAFLQADLALADDLDISRSSGTTALTALVFGRQLLVANAGDCRAVLCRRGVAMEMSRDHRANYAEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKMPDGSISPLIAEPEFRQTMLTEDDEFLIMGCDGIWDVMTSQHAVSIVRRGLRQHDDPERCARELVMEAKRLETADNLTVIVVCFVSELGSPRREQVGGQAGVARPRSCKSLSAEALCNLRSWLETDR >ONIVA05G02300.1 pep chromosome:AWHD00000000:5:1695163:1700440:1 gene:ONIVA05G02300 transcript:ONIVA05G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTATDAGARMKPTTVASAVHRVQMALYDGAAASREPLLRAAASLLSGPDYADVVTERSIADACGYPACPNPLPSEDARGKAAPRFRISLREHRVYDLEEARKFCSERCLVASAAFGASLPPDRPFGVSPDRLDALVALFEGGGGGGGDGGLALGFGASGDGKEVEEGRKVEIMEKEAAGTGEVTLQEWIGPSDAIEGYVPRRDRVVGGPKKEAKQNDACSAEQSSNINVDSRNASSGESGMVLTENTKAKKKEATKTPLKMFKQDEDNDMLSSCISDSIVKQLEDVVLEEKKDKKKNKAAKGTSRVGKSKPAKRPVGRDGHEVDFTSTIIMGDHGSEMMDHGALGQYNFSSSILANEQPSSSQYAAIDSVQAYTEELDELFSNAVNIAKDETSDDSGRCALRSSLKAVGSKNAGRSVKWADENGSVLETSRAFVSHSSKSQESMDSSVRRESAEACAAALIEAAEAISSGTSEVEDAVSKAGIIILPDMVNQQQYNNDYDNDKDAGENEIFEIDRGVVKWPKKTVLLDTDMFDVDDSWHDTPPEGFSLTLSSFATMWAALFGWVSRSSLAYVYGLDESSMEDLLIAGGRECPQKRVLNDGHSSEIRRALDTCVCNALPVLVSNLRMQIPVSKLEITLGYLLDTMSFVDALPSLRSRQWQLMVLVLLDALSLHRLPALAPIMSDSKLLQKLLNLAQVSREEYDSMIDLLLPFGRSTQSQASLPS >ONIVA05G02290.1 pep chromosome:AWHD00000000:5:1670332:1687405:-1 gene:ONIVA05G02290 transcript:ONIVA05G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H918] MTMRLAAAVVVMVVVAAAAMSVEAELSVDYYKKTCPGVENVVRYHVAKALKANRKEGAALVRLIFHDCFVRGCDASVLLDPTPENPHTEKTAPINIGLAAFELIDEIKAAVEERCPGVVSCADIVIYAARDASILLSNGHVHFDVLAGRLDGRVSRAADAQRDLPDSTFTISELIRNFRRKNFTIEELVILSGAHAVGVGHCSSLRARLTAPPEQILPGYRSLLAGKCAAGEDPIVPNNVRDEDPAAVAATIPSFLPKLRKFEFLDNSYYHNNLARIVTFNSDWQLLTEKKARGHVHEYADNGTLWDEDFSDALVKLSKLPLPPKAKGEIRRHCRRLRIWIEEMAMVKLNAAAAVVLSLLVVVSVHPAAADAGGDWYGKKSIEETVRKEVEKAIKHNPGVGAALVRLVFHDCWVNGCDGSVLLDKTPYSSSTEKAAANNIGLDGFDVIDAIKSKLGAAVSCADIVVLAGRDASAILSGGRITYDVGTGRKDGVVSSAAAADAVLPESTFDFAQLKDNFASKGLTQGELVILSGAHSIGVAHLSSFHDRLAAATATPIDNTYASALAADVERQKGVQHTDNPAEKNNIRDMGAAFQSAAGYDAAGVDTAAVGALDNSYYHNNLQNRVLFKSDWVLRTDGDAAADLAEYRDNATKWDVDFAAAMAKLSKLPAEGTHFEIRKTCRCTNQNYYYYNMSHTQYCNRHKKLSNWMVKLVCFVVVVFMAAAAAMAGADRELKVGYYEKTCKDVEKIVNSIVVNSIKANRGKGAGLVRLLFHDCFVRGCDASVLLEKSEMNMHPEKESQANIGIRGMDVIDAIKAALEARCPNTVSCADIIAYAARDASRYLSRGGVDFPVPGGRLDGVVSRSRDADAFLPDSAANLTGLVRNFRRKNFTVEELVILSGAHSIGVTHCTSFAGRLTAPDAQINPGYRNLLVSKCGGVSPTATNNHVVVNNVRDEDGAAVARAMPGFAARVRKARDYLDNSYYHNNLAMAVTFHADWALLTGKEARGHVVEYAKNATLWNVDFGDALVKLSKLAMPAGSKGEIRAKCSAVNSYHH >ONIVA05G02280.1 pep chromosome:AWHD00000000:5:1664750:1666155:-1 gene:ONIVA05G02280 transcript:ONIVA05G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0IC77] MAMATAACRRPAVMLMAFAMAAAVMMSTVPPASGTTTLQYDFYSSSCPKAEETVRNVVEPMIFNDPTMGAAFIRLFFHDCFVRGCDASILLDPTSSNTQPEKTAIPLRGYDAVNKIKAAVEAVCPGKVSCADILAFAARDSAVVNGNFAFAMPSGRRDGTASSASDVARFIPSPAFHLQDLVDSFAAKGLTADDLVILSGAHSFGLTHCAFVTGRLYPTVDPTMNATFAAALKKLCPPPASGGGGRAVSNNQVTDPNVLSNQYFKNVAAGEVMFTSDQTLTSRDDTKAMVDDNAANPVAWMARFAAAMVKMGGVEVLTGNAGEVRKVCFATNTAS >ONIVA05G02270.1 pep chromosome:AWHD00000000:5:1661765:1664746:1 gene:ONIVA05G02270 transcript:ONIVA05G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNAPEFLENIQIPQRASYIRVIMLELSRIASHLLWLGPFMADLGAQTPFFYIFRERELIYDLFEAATGMRMMHNYFRIGGVAADLPYGWIDKCLDFCDYFLRGVIEYQQLITQNPIFLERVEGVGFISGEEAVNWGLSGPMLRASGIQWDLRKVDLYESYNQFDWKVQWQKEGDSLARYLVRIGEMRESIKIIQQAVEKIPGGPYENLEVRRFKKAKNSEWNDFEYRFLGKKPSPNFELSKQELYARVEAPKGELGIYLVGDDSLFPWRWKIRPPGFINLQILPQLVKKMKLADIMTILVYGLIWILPILTLLLGITIEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLFKEDILPSRGDIPLFSIGPSIADIAQIINILFQVVYELPLNLLPIGFLVFLISSLAECERLPFDLPEAEEELVAGYQTEYSGIKYGLFYKLRDQNNKEYIYYVVMIQPIAGERELVA >ONIVA05G02260.1 pep chromosome:AWHD00000000:5:1646567:1658824:-1 gene:ONIVA05G02260 transcript:ONIVA05G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPATSLKDISVGQQNCKVFGRLIRLWDAINMRSKSADPLISIDDILLDELGSIAQITVPKRFAKQFRPLLNKGSVYLISNTVAIDAKRKTYIYQCQNYILQFKHDTRIQPLASRGLTIPKFLFDFCSFDEVLGKSISSKPLIDLIGVISHIGPYDFASPTLDKKLRRIKIQNLEEQTQDVLLWGQYGESFNEDATLHKSKDGIVVAIFAGLTAGKFSAITEASSSSATEIYIDLDTPQVREFRTSYQWERPTLEQQLPKVIHLTPIQAAGKMYKLPVTITDESGSLDAVAFSFVAEDLVELDAAQASQNMKIDPADYPTTLNNAIATRGGHAILEAELILDRDEDDVEGSGINASVGDLGDAAVNPQPALLRATVKEEVEGQPSSSSSHVRSQFIGMGFSPMLVDRVLQKHGDRDSDTILEALLSQSALQKSGSESGSLGDLFDSDNEENSSHFAPRKEVIQDIKVEADSSSEKRSYLLSTMNFSQREVDLALNQLGEEASLEQLVDFIVTGQVSGCSGGNENGDASNEVKDESLFGVMDKTLHLLQMGFTEEEVSSVIDKAGPEATVLELADTIFARRIASSIEQKEVKVEPDFLDETETSYSAYHPSNSGLRYYDDDHDNIRIKRAKHMFIDDSAGSSSRAGNQPNLDPWLKDHRATTSDGSVKEEFDAMTPGIRRNVRSDVANPPYFLYGNVVEIPKATWRQLSEFLYNVEPEFVNSQFFSALSRKEGYIHNLPTEGRRNLVPRSPMTIEEAFPFTRQCWPSWDTRKQLNSVATEVAGIEQLCERLGKMVRDSGGYLSQEKKTHIMHQCKLANLIWVGPDRLSPLDPQQVERILGYPRKHTNLFGLNPQDRIEAMRYSFQTDTLGYLMSVLKDLFPDGLRVLSIYSGIGGAAIALHRLGIPLQCVVSVDQSDTNRKILRRWWSNTEQKGQLRQINTIWKLKINVLEDLVKEFGGFDIIIGGNFSSCKGGTTVNSTMGMDSNQFFEYVRVVQRVKHIMGRLQNRG >ONIVA05G02260.2 pep chromosome:AWHD00000000:5:1647309:1658824:-1 gene:ONIVA05G02260 transcript:ONIVA05G02260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPATSLKDISVGQQNCKVFGRLIRLWDAINMRSKSADPLISIDDILLDELGSIAQITVPKRFAKQFRPLLNKGSVYLISNTVAIDAKRKTYIYQCQNYILQFKHDTRIQPLASRGLTIPKFLFDFCSFDEVLGKSISSKPLIDLIGVISHIGPYDFASPTLDKKLRRIKIQNLEEQTQDVLLWGQYGESFNEDATLHKSKDGIVVAIFAGLTAGKFSAITEASSSSATEIYIDLDTPQVREFRTSYQWERPTLEQQLPKVIHLTPIQAAGKMYKLPVTITDESGSLDAVAFSFVAEDLVELDAAQASQNMKIDPADYPTTLNNAIATRGGHAILEAELILDRDEDDVEGSGINASVGDLGDAAVNPQPALLRATVKEEVEGQPSSSSSHVRSQFIGMGFSPMLVDRVLQKHGDRDSDTILEALLSQSALQKSGSESGSLGDLFDSDNEENSSHFAPRKEVIQDIKVEADSSSEKRSYLLSTMNFSQREVDLALNQLGEEASLEQLVDFIVTGQVSGCSGGNENGDASNEVKDESLFGVMDKTLHLLQMGFTEEEVSSVIDKAGPEATVLELADTIFARRIASSIEQKEVKVEPDFLDETETSYSAYHPSNSGLRYYDDDHDNIRIKRAKHMFIDDSAGSSSRAGNQPNLDPWLKDHRATTSDGSVKEEFDAMTPGIRRNVRSDVANPPYFLYGNVVEIPKATWRQLSEFLYNVEPEFVNSQFFSALSRKEGYIHNLPTEGRRNLVPRSPMTIEEAFPFTRQCWPSWDTRKQLNSVATEVAGIEQLCERLGKMVRDSGGYLSQEKKTHIMHQCKLANLIWVGPDRLSPLDPQQVERILGYPRKHTNLFGLNPQDRIEAMRYSFQTDTLGYLMSVLKDLFPDGLRVLSIYSGIGGAAIALHRLGIPLQCVVSVDQSDTNRKILRRWWSNTEQKGQLRQINTIWKLKINVLEDLVKEFGGFDIIIGGNFSSCKGGTTVNSTMGMDSNQFFEYVRVVQRVKHIMGRLQVRVHESARHRHRSPSN >ONIVA05G02250.1 pep chromosome:AWHD00000000:5:1642930:1648918:1 gene:ONIVA05G02250 transcript:ONIVA05G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVVRSSLGFQNETSTSGDADRLPNEMSNMSIRDDNKDIDDIVVNGNGTEPGHIIVTSIDGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRALAYIHNTIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPYLRSTASEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGMPMEFLVKLIPEHARKQCAFVGW >ONIVA05G02240.1 pep chromosome:AWHD00000000:5:1634634:1636430:1 gene:ONIVA05G02240 transcript:ONIVA05G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRGSRIALLLLMLLQCGGLPGIAVGSYLSPYMESSGSDFTSGVNFAVAGAAVTQKSAIPLGLDTQVNQFLHFKNRTRELRPRGAGSMIAESEFRDAVYAIDIGQNDITLAFLANLTLPEVERELAASAAMVADAVRALRASGARKFWVYNTGPIGCLPQTLAQRQKPGDELDAAGCLAEYNAAARSFNAELAAACRRLAAELGGGEDGATVVCTDMYAIKYELFANHSRYGFERPLMACCGHGGPPYNYANLKTCGQPTATACPEGERHVIWDGVHYTEDANAIVARKILSGDFSSPRTKLKALCK >ONIVA05G02230.1 pep chromosome:AWHD00000000:5:1627253:1629953:-1 gene:ONIVA05G02230 transcript:ONIVA05G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLNB1 homolog [Source:Projected from Arabidopsis thaliana (AT4G01900) TAIR;Acc:AT4G01900] MSSPATAAAAAASCGVLRHHHPPASPRPPPTTTTTSRLLLASRSRGLQRPLRVNHAPPRRLPPTAARAQSAAAAGYQPESEFYKVEAILRPWRVPYVSSGLLQMGIRGVTVSDVRGFGAQGGSTERHEGSEFAEDTFIDKVKMEIVVSKDQVEAVVDKIIEKARTGEIGDGKIFLIPVSDVIRIRTGERGERAERMAGGLADKLSSAMPIS >ONIVA05G02220.1 pep chromosome:AWHD00000000:5:1612197:1613568:-1 gene:ONIVA05G02220 transcript:ONIVA05G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMRMAMSPAMSSATAAAAASEDEGDLRRGPWTVEEDMLLVDYIANHGEGRWNSLARCAGLRRTGKSCRLRWLNYLRPDVRRGNITADEQLLILDLHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSKEFRDVVRHVWMPRLVERIQADAAAAGEVAAPAPVSAAATRSMSSPAGAMYLHHQQIPLAAGAMVVAPAVSSEAYHHHGCGGGGDTSCSEPSQAAVTMSPDDASSTLRSSSAAAENDTIHGDVLSGSWSELLATTTTTIAATAGLPDFDELGDFEDNLWSLEDIWLHQQC >ONIVA05G02210.1 pep chromosome:AWHD00000000:5:1603249:1605062:-1 gene:ONIVA05G02210 transcript:ONIVA05G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLGDGRFILVDIKKEMHEVHNEMENDVIGPYKVKKKALQVLQNPKPFDGKQVDEHTISSEL >ONIVA05G02200.1 pep chromosome:AWHD00000000:5:1594178:1599795:-1 gene:ONIVA05G02200 transcript:ONIVA05G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03810) TAIR;Acc:AT3G03810] MLLKSKFKLATAIGIVLSMLSLLVHLFLANYSAGGITRYSLHMDDVLPFGPRPRPRRLWGSLSTLDHLHPYAKPRKIYPAPDYHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISSKFKSFSYLYDEDQFISALSSDVAIVRGLPKDLREARKKIKFPTVSPKNSATPEYYVTEVLPKLSKSKVIGIIINGGKCLQSILPATLEEFQRLRCRVAFHALKFRPEIRALGNQIVSRLRVSGRPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRNQMIKRGTVKEQLSVDSVSRKINGSCPLMPEEVGLLLQALGYPSTTIIYLAGSETFGGQRILIPLRAMYANLVDRTSVCSQRELSDLVGPEAPLASDMAHPPPPKTEKELVDEWKRAGPRPRPLPPPPARPYYAHEKVGWYGWIGENDTEPDPSPVEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRKTISALFENVSDHRYHPPRNWTLAARQHLNNSASVEGIVKSAMLSKPVSFLAHPLPECSCRTPKSPGVQSTKDSHGRLLFGGEEECPDWMVHSLAMVSTKNNEPQNEDYDGDLPEDDSSQDTQQESDRSDMNKSSEQDEEMDPDD >ONIVA05G02200.2 pep chromosome:AWHD00000000:5:1594178:1599795:-1 gene:ONIVA05G02200 transcript:ONIVA05G02200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03810) TAIR;Acc:AT3G03810] MLSLLVHLFLANYSAGGITRYSLHMDDVLPFGPRPRPRRLWGSLSTLDHLHPYAKPRKIYPAPDYHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISSKFKSFSYLYDEDQFISALSSDVAIVRGLPKDLREARKKIKFPTVSPKNSATPEYYVTEVLPKLSKSKVIGIIINGGKCLQSILPATLEEFQRLRCRVAFHALKFRPEIRALGNQIVSRLRVSGRPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRNQMIKRGTVKEQLSVDSVSRKINGSCPLMPEEVGLLLQALGYPSTTIIYLAGSETFGGQRILIPLRAMYANLVDRTSVCSQRELSDLVGPEAPLASDMAHPPPPKTEKELVDEWKRAGPRPRPLPPPPARPYYAHEKVGWYGWIGENDTEPDPSPVEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRKTISALFENVSDHRYHPPRNWTLAARQHLNNSASVEGIVKSAMLSKPVSFLAHPLPECSCRTPKSPGVQSTKDSHGRLLFGGEEECPDWMVHSLAMVSTKNNEPQNEDYDGDLPEDDSSQDTQQESDRSDMNKSSEQDEEMDPDD >ONIVA05G02190.1 pep chromosome:AWHD00000000:5:1589556:1593075:-1 gene:ONIVA05G02190 transcript:ONIVA05G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRLRRNPPPATTAAAAAPAPDQFRPGDLVEVLPDEPGYRGAHFPASVTASHANPRGYTVAYDGSGCPLGEVVAASQLRPRPPDAPRGVPPAEHAVVDAFKGGAWWVGVALGGGRAAAGGRVAVCFPETREVVEFDAADVRPHLEWVAGEWLSPENMGISKTMPYKKGTQVEVAKLEGNSVVAWFSAAVEKAIWKNSLLVDYNCSKNDGSVLPKEIVDLKHIRPHPQHASAIIFCINDEVEGFQGNGWWLGVITDVHPEFRYTFKAAHSGKEIQLDQKALRLRYDWTHDQWQQVTQMIPGLFYMLLPTFHNNVTKKFAKGSKVEVTSNDDGFHGAWFQGTALKYVNNKILVEYDALKADDEITPLTEAIEVQHVRPCPPDIPVTSGFNLLDEVDACWNDGWWVGVISKVNSGDRYMVYFRSSTEETEFGHEQLRLHCDWVGGRWMRASLVCCIFPEF >ONIVA05G02190.2 pep chromosome:AWHD00000000:5:1589556:1593075:-1 gene:ONIVA05G02190 transcript:ONIVA05G02190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRLRRNPPPATTAAAAAPAPDQFRPGDLVEVLPDEPGYRGAHFPASVTASHANPRGYTVAYDGSGCPLGEVVAASQLRPRPPDAPRGVPPAEHAVVDAFKGGAWWVGVALGGGRAAAGGRVAVCFPETREVVEFDAADVRPHLEWVAGEWLSPENMGISKTMPYKKGTQVEVAKLEGNSVVAWFSAAVEKAIWKNSLLVDYNCSKNDGSVLPKEIVDLKHIRPHPQHASAIIFCINDEVEGFQGNGWWLGVITDVHPEFRYTFKAAHSGKEIQLDQKALRLRYDWTHDQWQQVTQNVTKKFAKGSKVEVTSNDDGFHGAWFQGTALKYVNNKILVEYDALKADDEITPLTEAIEVQHVRPCPPDIPVTSGFNLLDEVDACWNDGWWVGVISKVNSGDRYMVYFRSSTEETEFGHEQLRLHCDWVGGRWMRASLVCCIFPEF >ONIVA05G02180.1 pep chromosome:AWHD00000000:5:1570598:1576537:-1 gene:ONIVA05G02180 transcript:ONIVA05G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT2G47240) TAIR;Acc:AT2G47240] MEGGTKKVFTVQVEDGKPRKDGRPAVGPVFRSALSKDGFPPLEPDMKTSWDVFRVAAGKYPDNRMLGWRPVKDGVIGPYIWKSYREVYEEVLQVGSALQQLGVKPGSRIGIYGSNCPQWIVAMQACNGYSLICVPLYDTLGAGAVDFIIDHAEIDVIFVQDKKIKEILSANCKSAKRVKGLVAFTSGTSEEITSADQIGMKLYSWKDFLKMGKENPAQPCAPKPNDTCTIMYTSGTSGEPKGVMLSHESHAIYVKGVDLFMEQFDDKMTTDDVFLSFLPLAHILDRMIEEFFFHKGASVGYYHGDLNALRDDLMELKPTLLVGVPRVYEKIYEGILKALSELRPLRRLIFNALYNRKLANMKAGCTHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSNEIEEFLRVTSCAYFIQGYGLTETLGPSTVCYPDDMSLVGTVGVAATYTELRLEEVPEMGYNPLGTPSRGEICVRGNFFTGYYKNPELTNEVMADGWFHTGDIGEMNSDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYVFPPTVEDVWVYGDSFRSMLVAVVNPHEENTMKWAESNGCKGSFAEICKSEGLKEHILKELQSVAAKNKLRGFEYVKGVILDPIPFDLERDLVTATMKKKRNNMLKYYKPEIEKVYQKLEEQRVAAKSKTDTQKDNNND >ONIVA05G02180.2 pep chromosome:AWHD00000000:5:1570598:1576537:-1 gene:ONIVA05G02180 transcript:ONIVA05G02180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT2G47240) TAIR;Acc:AT2G47240] MEGGTKKVFTVQVEDGKPRKDGRPAVGPVFRSALSKDGFPPLEPDMKTSWDVFRVAAGKYPDNRMLGWRPVKDGVIGPYIWKSYREVYEEVLQVGSALQQLGVKPGSRIGIYGSNCPQWIVAMQVKARLGGRLRLLISGGAPLSNEIEEFLRVTSCAYFIQGYGLTETLGPSTVCYPDDMSLVGTVGVAATYTELRLEEVPEMGYNPLGTPSRGEICVRGNFFTGYYKNPELTNEVMADGWFHTGDIGEMNSDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYVFPPTVEDVWVYGDSFRSMLVAVVNPHEENTMKWAESNGCKGSFAEICKSEGLKEHILKELQSVAAKNKLRGFEYVKGVILDPIPFDLERDLVTATMKKKRNNMLKYYKPEIEKVYQKLEEQRVAAKSKTDTQKDNNND >ONIVA05G02170.1 pep chromosome:AWHD00000000:5:1568458:1581191:1 gene:ONIVA05G02170 transcript:ONIVA05G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48810) TAIR;Acc:AT3G48810] MCSTKCRLRLLLPLPRRHLSTAPATSHRNKIPADPSRTERPRPDPGSGDSARHHEATVRRLAAAGDVDGVQYALQEMRLRGVACTEDALVAAIGAFARAGSADRALKTFYRASDLGCRDPGVRVYNHLLDALLRENMVGAVVPVYDNMRKAGVDPNVYTYNLLIRALCQNDRVDAARKMLDEMSRKGCHPDEVSHGTIVSGMCKLGRVEEARGFLAETVPVQASYNAVVHALCGEFRMWEVFSVVNEMVQRGLQPNVVTYTTIVDAFCKARELRMACAILARMVSMGCTPNVLTFTALVKGFFEDGKVHDALSMWHWMVDEGWAPSTISYNVLIRGLCCIGDLKGALDFFNSMKRNALLPNATTYSTLVDGFSNAGDLDGAMLIWNEMKSSGCKPNVVVYTNMIDVLCKKMMFDQAESLIDKMLMDNCPPNTVTFNTLIGRLCDCGRVGRALNVFHGMRRNGCHPNDRTYNELLHGLFREGNHKDAFAMVIEMLNNGFELSLVTYNTVINCLCQMCMRKHAMLLLGRMMVQGIQPDAFTFNAIIHAYCKEGKVSIAACLLGQMNAVNCPRNVVAYTILISELCNQGKLSNAMVYLLKMLYEGICPNEATWNVLVRAIFTNIGTIGPIHLFKGICRAYLGCCTELAVRDGAQNPGSEGST >ONIVA05G02170.2 pep chromosome:AWHD00000000:5:1568458:1581191:1 gene:ONIVA05G02170 transcript:ONIVA05G02170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48810) TAIR;Acc:AT3G48810] MCSTKCRLRLLLPLPRRHLSTAPATSHRNKIPADPSRTERPRPDPGSGDSARHHEATVRRLAAAGDVDGVQYALQEMRLRGVACTEDALVAAIGAFARAGSADRALKTFYRASDLGCRDPGVRVYNHLLDALLRENMVGAVVPVYDNMRKAGVDPNVYTYNLLIRALCQNDRVDAARKMLDEMSRKGCHPDEVSHGTIVSGMCKLGRVEEARGFLAETVPVQASYNAVVHALCGEFRMWEVFSVVNEMVQRGLQPNVVTYTTIVDAFCKARELRMACAILARMVSMGCTPNVLTFTALVKGFFEDGKVHDALSMWHWMVDEGWAPSTISYNVLIRGLCCIGDLKGALDFFNSMKRNALLPNATTYSTLVDGFSNAGDLDGAMLIWNEMKSSGCKPNVVVYTNMIDVLCKKMMFDQAESLIDKMLMDNCPPNTVTFNTLIGRLCDCGRVGRALNVFHGMRRNGCHPNDRTYNELLHGLFREGNHKDAFAMVIEMLNNGFELSLVTYNTVINCLCQMCMRKHAMLLLGRMMVQGIQPDAFTFNAIIHAYCKEGKVSIAACLLGQMNAVNCPRNVVAYTILISELCNQGKLSNAMVYLLKMLYEGICPNEATWNVLVRAIFTNIGTIGPIHLFKAYLGCCTELAVRDGAQNPGSEGST >ONIVA05G02160.1 pep chromosome:AWHD00000000:5:1563073:1567243:1 gene:ONIVA05G02160 transcript:ONIVA05G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGVNDRDALIDLESGNNIVVGEHNNGADANFAVAQERTAPNGAWNGAMGTNGCLKDDVNHQHMCCCPSSPDAAAKNGDDRKSDGEEKLGLLDTSGGEKTKKKRSKKPPRPPRPPTPTPLDVSDQKLLNELSELAILKRARIERMKALKKMKNAKHGSSSGNLFPLIITIIFCLVILWQGFFSRTGSAVSFHGSPESSVRAHSSLISIRFYKNNNSNSRSREAISAAPKNAETPSRLEIHSKARKITR >ONIVA05G02160.2 pep chromosome:AWHD00000000:5:1564093:1567243:1 gene:ONIVA05G02160 transcript:ONIVA05G02160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKGVNDRDALIDLESGNNIVVGEHNNGADANFAVAQERTAPNGAWNGAMGTNGCLKDDVNHQHMCCCPSSPDAAAKNGDDRKSDGEEKLGLLDTSGGEKTKKKRSKKPPRPPRPPTPTPLDVSDQKLLNELSELAILKRARIERMKALKKMKNAKHGSSSGNLFPLIITIIFCLVILWQGFFSRTGSAVSFHGSPESSVRAHSSLISIRFYKNNNSNSRSREAISAAPKNAETPSRLEIHSKARKITR >ONIVA05G02150.1 pep chromosome:AWHD00000000:5:1540864:1543622:-1 gene:ONIVA05G02150 transcript:ONIVA05G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASMSMSKLLSPPPTSPPALARSSGRRVAPPPVPHFPFPLPYCNSRRRLTSRRVFATSCSSSDSDHAPSTSSTALSGAGDDLSAGVTQEREGVLPFVQLSSGIVLRTEEQSLLGDDAPAKASAASSFASPDELNGGCREDDHLGETPAYPAAMNALYAACLAGNATEQLWNFTWPAAVAVLHPASLLPVAVLGFFTKLVVFAAGPLVGELISSLPRIPAYRSLAAIQVTIHHPKKQHFCFKKSVTDIIVSCRVVSCQTAAHLVSAATITYAFAVHRAAAVATASLLLRPWFAVLVVSTAVDRLACVALGIIAERDFVVQLAGAGRPVALANANATLSRVDLLCETVGASIFALLLSKNDPLTCIKLSCVISLCQLPLLIFLCGEMNRLADGIFDHTENTISHATAPTSSFSIGKTVAEAVDTVRNGWSEYMRQPVLPASLAYVLVCFNVALAPGALMTTFLIHQGVRPSVIGAFGGSSAAVGILATFATARLVKELGILKAGAAGLIAQSALLGAAVVVYLTGAVSRRAGALFAFLGLIVASRAGHMAYSAIGLQVVQTGNPASKAKLIGATEIAVASLAELAMMAVAVVASDASHFGALAALSATAVAAATGMYCRWLANPSGSDELRRIFPS >ONIVA05G02140.1 pep chromosome:AWHD00000000:5:1530459:1540004:-1 gene:ONIVA05G02140 transcript:ONIVA05G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPCLLHVLLPVAASSSRGLRRRRRPTTSLLRCSSPSADTASSSWEGGREYKPSFADDFLLAFFRAKMVEEVGWDSEKPGYTGLIEVANRPMVKGKSALEIEQSAVRVLRSLFPPLLLVLFKALLVPIANARATTLSSQWLVGPCSVNSVTLSNGESLSSGVFVEKCKYLEESKCLGMCINTCKLPTQTFFKDHIGVDLYMEPNFEDYSCQFNFGVPPPPLDTDKALKEPCLDICTNAGRWRVLRTASVRLARRLPLHLPVAMAPPSPPCLLRALLPVASPSSRGLRRLSTTSLLRCSSPSADAASPSGEGGREYEPSFADDFLLAFFRAKMVEEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMVARATALSCQWLMGPCSVNSVILSNGKSLSSGVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVSPPPLDTDKALKEPCLDICTNARRRKELGTGSSTDDGNEILCSTATDMWATLTKGPDVSDFYCRRILQS >ONIVA05G02140.2 pep chromosome:AWHD00000000:5:1530459:1540004:-1 gene:ONIVA05G02140 transcript:ONIVA05G02140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPCLLHVLLPVAASSSRGLRRRRRPTTSLLRCSSPSADTASSSWEGGREYKPSFADDFLLAFFRAKMVEEVGWDSEKPGYTGLIEVANRPMVKGKSALEIEQSAVRVLRSLFPPLLLVLFKALLVPIANARATTLSSQWLVGPCSVNSVTLSNGESLSSGVFVEKCKYLEESKCLGMCINTCKLPTQTFFKDHIGVDLYMEPNFEDYSCQFNFGVPPPPLDTDKALKEPCLDICTNAGRWRVLRTASVRLARRLPLHLPVAMAPPSPPCLLRALLPVASPSSRGLRRLSTTSLLRCSSPSADAASPSGEGGREYEPSFADDFLLAFFRAKMVEEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMVARATALSCQWLMGPCSVNSVILSNGKSLSSGVSIYSYFFFVYPSLGEILQFTDIVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVSPPPLDTDKALKEPCLDICTNARRRKELGTGSSTDDGNEILCSTATDMWATLTKGPDVSDFYCRRILQS >ONIVA05G02140.3 pep chromosome:AWHD00000000:5:1530459:1540004:-1 gene:ONIVA05G02140 transcript:ONIVA05G02140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPCLLHVLLPVAASSSRGLRRRRRPTTSLLRCSSPSADTASSSWEGGREYKPSFADDFLLAFFRAKMVEEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMVARATALSCQWLMGPCSVNSVILSNGKSLSSGVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVSPPPLDTDKALKEPCLDICTNARRRKELGTGSSTDDGNEILCSTATDMWATLTKGPDVSDFYCRRILQS >ONIVA05G02140.4 pep chromosome:AWHD00000000:5:1530459:1540004:-1 gene:ONIVA05G02140 transcript:ONIVA05G02140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPCLLHVLLPVAASSSRGLRRRRRPTTSLLRCSSPSADTASSSWEGGREYKPSFADDFLLAFFRAKMVEEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMVARATALSCQWLMGPCSVNSVILSNGKSLSSGVSIYSYFFFVYPSLGEILQFTDIVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVSPPPLDTDKALKEPCLDICTNARRRKELGTGSSTDDGNEILCSTATDMWATLTKGPDVSDFYCRRILQS >ONIVA05G02140.5 pep chromosome:AWHD00000000:5:1530598:1540004:-1 gene:ONIVA05G02140 transcript:ONIVA05G02140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPCLLHVLLPVAASSSRGLRRRRRPTTSLLRCSSPSADTASSSWEGGREYKPSFADDFLLAFFRAKMVEEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMVARATALSCQWLMGPCSVNSVILSNGKSLSSGVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVSPPPLDTDKALKEPCLDICTNARRRKELGTGSSTDGLQCPQV >ONIVA05G02130.1 pep chromosome:AWHD00000000:5:1525254:1525607:1 gene:ONIVA05G02130 transcript:ONIVA05G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTARCRRPSTRNLSPALSADIILHSATTTKYIAGHHQDVIAGCVSGSEAWAIAPELTTGRWYSPALRRGEKRRRGECHVSEIILRDLT >ONIVA05G02120.1 pep chromosome:AWHD00000000:5:1517563:1522763:-1 gene:ONIVA05G02120 transcript:ONIVA05G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAAQQQPPPSPSPAPASSWPKEVRVDVIDHGGGASSASNSKPSSSFRLWRVPKHVRDINKEAYGPKFVCIGPYHRRRRRDRVADENEEEEERRLRVEKLKERYLDELLIDVVGSDDHDDHRTQRDEILLLCTCRLGEMLDSVRRFYAEEEYLRGMTDEETVRMLLLDGCFIIKHLYNIAKGEEEEELYATRWSPAQLRIDLGLLENQMPFFVLEEIFYHLTPQTFQRKITRGVAMDDDKTMWQRKRHKLIVMAMWYMLKGWFELPKRESHKLYRDIAKEEVHHLLHLVHLAHVVKFDNAPKSPLCEWQWPWHALQLLLCILPLLLLRLPLQMCRCCGGGGGKKPDPKANIASASQLRGLGVKIRMVERDRGGILDVRLRKSLEIWQIPPELEVPALSVEEATAVLLQNLVAYEQQGTPAWQGQDQQTQGQKGKDYFTTYAFLMYNLVSSTDDIAVLQEKGVLLNNFGSHETIINYFKNLCRWNQRSNEDTPIGKVLQRLRECSQYQLYRDWAEAKKYMDSPVKILALVVSTLLAISTILQTTTAFYPK >ONIVA05G02110.1 pep chromosome:AWHD00000000:5:1510345:1511670:1 gene:ONIVA05G02110 transcript:ONIVA05G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMEKSRRAHFLFDDDDDQTPPKQNGPQNDDQHNNDDGSDCLCVSCYGGGVAKPKAKSSSSTAKDVVAGGRPAAGSNCT >ONIVA05G02100.1 pep chromosome:AWHD00000000:5:1505886:1506898:1 gene:ONIVA05G02100 transcript:ONIVA05G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVRLDVPEELVEVAPLELLAAEAVAIAGGGVMKTMVRADGDELGRVRLLHVDGAHLLRDSPQTARRRSIVRPEFEMACSPAAIGVMVVRQLHKIKEEASSLAYSILFGWQMDVSSDQCKL >ONIVA05G02090.1 pep chromosome:AWHD00000000:5:1490559:1506368:-1 gene:ONIVA05G02090 transcript:ONIVA05G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSNAAKAASRRLWTMFVGKFYAEEEYVREMKDEEMVRMLLHDGCFIIKHLYNFVQGYNEEELYVTRWAPAQLRIDLGMLENQIPFFVLEEIFYHLTPQTFQRKTMMRQRKRHKLLVMAMWYMLKDWFQLPRHESDELYEVIAQEEVHHLLHLLHLAHAHLVNVDDDKGAVLGLRRRDGSATNQQQQGHDKDDDNAKAKANIVSAAQLRGLGVKISKAPTKRGGILDVRLRNGLLSPVLEVPALTVDQGTVQLLQNLVAYEQQATPPPSNDDEHPRDYFTTYAFLMYNLVSSTDDIAVLQEQGVLLNNFGSHETIIEYFKNLCRGNQRSGTEKTHIGKVLQGLRDCSQNKAYRYWAEAKKYMDSPVKILALIVSTLLAISTVLQTTVAFYPK >ONIVA05G02080.1 pep chromosome:AWHD00000000:5:1487538:1488535:1 gene:ONIVA05G02080 transcript:ONIVA05G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRRANFLFDDDDQTPPRQNGRRNVDQHNSDDGDVDSVRVSCCGGVAKPKAKSSSTAKDVVVTGQYYSSLQ >ONIVA05G02070.1 pep chromosome:AWHD00000000:5:1484100:1485723:1 gene:ONIVA05G02070 transcript:ONIVA05G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCTVYLAKQQLYIYRESRVLQYQSIITRSHTTHQTQIEEFIESSRASNQLDKQANLATYYSIQGKMDVMEKSRRAHFLFDDDDQTPKHKQNGPMNDGSGGLCVRWCYGVGKSSSTAKDDDYAAGRPAAGSNRPTGSMANSN >ONIVA05G02060.1 pep chromosome:AWHD00000000:5:1451584:1454821:1 gene:ONIVA05G02060 transcript:ONIVA05G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGSLAAAAAAFRCLLLVVVAAAADDDAGGAAATPVILATVCGNTTAADREGFDISFVNTLELIYQNVTRSGFGAAASGEGADTVYGLGQCMGYLSPTDCQLCYAQSRVKLPLCLPATGGRMYLDGCFLRFGADNFTAAATDASDTAVCSNATVSSPASFAATSAALLRNVTAAAPGARDYYYYSASSSSASALPSVSPRVYAAAQCWRSLNATACAACVASARDRVVGRCLPRAAEGYGLNAGCVVRYSTQPFYLPANAAAAAGSSTRHIIIVVIASVFCALAVIGIALVWAKMRNRRNDHHDDMDGSSEIIRTIAASQLSFKYEELRKATGDFNQINKLGQGGYGSVYKGVLLDGREIAVKRLFFNTREWADQFFNEVSLVSQVQHKNLVKLLGCSIEGPESLLVYEYLCNTSLDHYLFDAFKKTALDWERRSEIILGTAEGLSYLHNASEIRIIHRDIKASNILLDERFRPKIADFGLARNFMEDQSHLSTGLAGTFGYMAPEYIVHGQLTERADIYSYGVLVLEIITGRKSLNSVASSAEGHSLMSLIWKHYNEGTLMELLDPNLREQCTEEGALKVFHVGLLCAQASPNLRPPMWKVVEMLGSRNNELPRPTQPPFINIKGSNAKSNSSGSSSLKSNSDKSPFSLNQLSVSGVQAR >ONIVA05G02050.1 pep chromosome:AWHD00000000:5:1444455:1448050:-1 gene:ONIVA05G02050 transcript:ONIVA05G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARARTYGSSGASPGNLGDRYDNYANYSSYLNDEPMPDAASEKEQGNEYFKQKKFAQAIECYSRSIGLSPSAVAFANRAMAYLKLRRQEVANRSKPTKHTVFKFDKSGDKKDTSHAPSSSQKDSFMEVDPPSRVAVEIREKADGTSKGGSGVIFKDSTVQNYGRSGIKYSLLKLHQRIRLKPSGNFEPNIFRKDCRITCSLQINDADAETAR >ONIVA05G02040.1 pep chromosome:AWHD00000000:5:1442812:1445014:1 gene:ONIVA05G02040 transcript:ONIVA05G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMSSMKADGSVPKRRRQDVQKVVVSLKDHKVEQGPPADSWSWRKYGQKPIKGSPHLRGYYKCSSYRGCPARKQVDKCRNDASLLIITYTSDHNHDNYAATTTNSVQEQAHNPDTSDPLSNGMSLAEVVTVASSKLSGEEESCDFFDELEELPVSASPLPSLSFMVQECSFSDARTLL >ONIVA05G02030.1 pep chromosome:AWHD00000000:5:1424526:1429992:-1 gene:ONIVA05G02030 transcript:ONIVA05G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRGGGGGGGGAASEASGVAGGGSSPPHPPPPATTAAAADLTELMKAQIAGHPSYPSLLSAYIECRKVGAPPEVTTLLEEIGREGRGGGGGATAGGEIGLDPELDEFMETYCRVLERYKEELTRPFDEAASFLTGIHTQLASLCGGAPPPTDNSDEMVGSSEDEPCSGDADAADFGQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGSSSGTTLYFDTGTIGP >ONIVA05G02020.1 pep chromosome:AWHD00000000:5:1417119:1420381:-1 gene:ONIVA05G02020 transcript:ONIVA05G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLEKQIVAPQNGSVVQRVGDDDSQHGASTLGSPIPFLPEGQTFSCKVDNILRNHSAIGLKTLTLNLNDDHATFPYVDSWLQVAFTAGIEELTLRLHEKYNFPCSLLSDGVRKSIRYLELKFCAFHPTVEVGPLRSLTTLYLNSVRITGDELECLLSNSLALERLLLIDIKDIVILKIPCLQQQLNSLGVMLCQGLEVVNTPMLPSKFLHLKHLTISMISGSAFSPSYDYFSLVSLFDASPSLETLFLDVSQGRMEHESVFGGGSSAHLRQLPELHRHDRLKSVEIMGFSSAKGLVELTCCIVKKAVSLERLVLNTLRHNSCCSKEYIPPYSPFNKVVLDEAFRAVAAIRSYIQDEVPPEVNLTVVEPCARCHSFCASS >ONIVA05G02010.1 pep chromosome:AWHD00000000:5:1407793:1408250:1 gene:ONIVA05G02010 transcript:ONIVA05G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRRSSSPESNTSGGGGGGYAADERKRKRMLSNRESARRSRARKQQRLEELIAEAARLQADNARVEAQIGAYAGELSKVDGENAVLRARHGELAGRLQALGGVLEILQVAGAPVDIPEIPDDPLLRPWQPPFAAQPIVATAMADAFQF >ONIVA05G02000.1 pep chromosome:AWHD00000000:5:1392474:1399537:-1 gene:ONIVA05G02000 transcript:ONIVA05G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H8X9] MAGRAMLVALVVIATSCMVRDAHGHDYRAALAMSLLYFEGQRSGRLPPAQRVQWRADSALADGADHRVDLTGGYYDSGDNVKFGLPMAFTLAALAWSVVEYGGRLDAAGELGHALDAVRWGADYLARAHASAGGGGGGEALYVQVGDGDSDHSCWQRPEDMDTPRTAYMVTASSPGSDVAAETAAALAAAAVALTPADANFSSTLLVHAKQLFEFAKNHRGLYHNSVPSAAKFYASSGDEDELLWAAAWLYIATGGEEEYSAYIAGATNVGGVRSMFSRDDKFVGAQALFVLQGKLPADGSHAEMKTSLEQFICNLVQHSGGNGGGGGGARLSPGGMLWWDSWNNMQYVTLASLVLAVHADHLTAARSASLQCGGGGGLLSPAQLTAFARSQVDYILGANPETMSYMVGYGSRYPADVHHRAASLPSIKSSPAKVTCKGGFDYLNKGSPDPNVIAGAIVGRPDADDRYDDSMQNFRQAEPSTVTVAPIVGILARLLPS >ONIVA05G01990.1 pep chromosome:AWHD00000000:5:1390302:1393709:1 gene:ONIVA05G01990 transcript:ONIVA05G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQSFLALNPPAAAAALGGARLRPSRVTACLATPTPTPTPPPPTSAPLAPAAAAARRELSAASRAVVEDEARYIVGTYNRSRVVLVAGRGCKLYDADGREYLDMAAGIAVNALGHADPDWVAAVSAQAATLVHASNVQYTVPQVSLERVTPTAHHVFDALSNPPLVALAKRLVEASFADRVFFANTGTEANEAAIKFARKFQRVARPDGDAPTEFMSFTNCFHGRTMGSLALTSKVQYREPFAPVMPGATFAEYGNLEEAKKVIQSGKIAAVFVEPVQGEGGIHSATKEFLQGLRDACDEAGALLVFDEVQCGLGRTGYLWAYEAYGVLPDIMTLAKPLAGGLPIGVVLVTEKVASAINYGDHGTTFGGGPLVCQAALTTLDKIQKPGFLAEVAKKGENFKQLLSTKLSGNAHVKEIRGIGLIVGIELDVPAGPLVDACLDRGVIVLTAGKGNVVRLVPPLIISEKELEQAAEVIRDCLPALDASTS >ONIVA05G01980.1 pep chromosome:AWHD00000000:5:1383892:1386016:1 gene:ONIVA05G01980 transcript:ONIVA05G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVALDGGAAGRRRRRRGRPVVAVPTAGRGRGGAVAASPPTEEAVQMTEPLTKEDLVAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFHTGLALQPVGTFVIFPDHLLYPLT >ONIVA05G01970.1 pep chromosome:AWHD00000000:5:1379896:1380141:1 gene:ONIVA05G01970 transcript:ONIVA05G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGTERIGTAQPAADGKEFGGGALHRVRPRRLPRSQPPLASKAKVLGRLAFPALLAEASDYIAALEMQVSAMAALAQAL >ONIVA05G01960.1 pep chromosome:AWHD00000000:5:1371745:1372036:1 gene:ONIVA05G01960 transcript:ONIVA05G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELIGGGGQAGPKPNLAASILKKADESGVGGVEELKVQVAGRLSGGAQQVSIGEIAALRGRSLLQICLLDSRGTRRSAVWSVTWLTARVLPD >ONIVA05G01950.1 pep chromosome:AWHD00000000:5:1365474:1368920:-1 gene:ONIVA05G01950 transcript:ONIVA05G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein A2 [Source:Projected from Arabidopsis thaliana (AT3G58810) UniProtKB/Swiss-Prot;Acc:Q9LXS1] MGAAWGFHVICLVGGPDNPQSHLILLFISLASSTRERILPRSLLPISNARTRHHHHLDAGGDDHGDGNGGGGREALLIPKDGILFHGGGEIRGAAVTGHGIGLFDSRLRMDSHNSAPPQIAEVRMDISSSTSVAAGNKVCRGAACDFSDSSNSSKDARERMASMRKLIIAVILCIIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGHGHGHGHGHSHDHDHGGSDHDHHHHEDQEHGHVHHHEDGHGNSITVNLHHHPGTGHHHHDAEEPLLKSDAGCDSTQSGAKDAKKARRNINVHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLFTTIKMLRNILEVLMESTPREIDATSLENGLRDMDGVVAVHELHIWAITVGKVLLACHVTITQDADADQMLDKVIGYIKSEYNISHLLLALQCKSDQQSPTIPAGSSEC >ONIVA05G01950.2 pep chromosome:AWHD00000000:5:1365474:1368920:-1 gene:ONIVA05G01950 transcript:ONIVA05G01950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein A2 [Source:Projected from Arabidopsis thaliana (AT3G58810) UniProtKB/Swiss-Prot;Acc:Q9LXS1] MGAAWGFHVICLVGGPDNPQSHLILLFISLASSTRERILPRSLLPISNARTRHHHHLDAGGDDHGDGNGGGGREALLIPKMDSHNSAPPQIAEVRMDISSSTSVAAGNKVCRGAACDFSDSSNSSKDARERMASMRKLIIAVILCIIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGHGHGHGHGHSHDHDHGGSDHDHHHHEDQEHGHVHHHEDGHGNSITVNLHHHPGTGHHHHDAEEPLLKSDAGCDSTQSGAKDAKKARRNINVHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLFTTIKMLRNILEVLMESTPREIDATSLENGLRDMDGVVAVHELHIWAITVGKVLLACHVTITQDADADQMLDKVIGYIKSEYNISHLLLALQCKSDQQSPTIPAGSSEC >ONIVA05G01950.3 pep chromosome:AWHD00000000:5:1365655:1368920:-1 gene:ONIVA05G01950 transcript:ONIVA05G01950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein A2 [Source:Projected from Arabidopsis thaliana (AT3G58810) UniProtKB/Swiss-Prot;Acc:Q9LXS1] MGAAWGFHVICLVGGPDNPQSHLILLFISLASSTRERILPRSLLPISNARTRHHHHLDAGGDDHGDGNGGGGREALLIPKMDSHNSAPPQIAEVRMDISSSTSVAAGNKVCRGAACDFSDSSNSSKDARERMASMRKLIIAVILCIIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGHGHGHGHGHSHDHDHGGSDHDHHHHEDQEHGHVHHHEDGHGNSITVNLHHHPGTGHHHHDAEEPLLKSDAGCDSTQSGAKDAKKARRNINVHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLFTTIKMLRNILEVLMESTPREIDATSLENGLRDMDGVVAVHELHIWAITVGKVLLACHVTITQDADADQMLDKVIGYIKSEYNISHVTIQIERE >ONIVA05G01940.1 pep chromosome:AWHD00000000:5:1356540:1358512:-1 gene:ONIVA05G01940 transcript:ONIVA05G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLALDFLRRLLCAHAAAGNAGGGDDDGAVVVQVQVQQEEGRSPCVVARLMGLDAMPPPPPETECQPLRRQRRRRRSRSVSSAEGWPPPPAPYLKEEGDEFIVLSFSPDAASRHDNGEPDGKSGHVGAKKQSGGCPRRKLHYGGDDDDDEAQHPGHGRRRAAATERGMPSSSPVSVLHAQHSSSSSSSSSTTTTTTTTTTSSCSSEEVGPSSPSPTSEEIRLANNQQSSRRKLQPDFNDDLDNPLSPETSSCHVSKCSESGMRNRSVMNKSEVFIPGVSGTLQFICRLVEEDLNSVIWLTSDSENIAADMVSEILDQLTSETADELMQTGSETVRSSPGRLISMKHPSFRVDRNMQAIRSN >ONIVA05G01930.1 pep chromosome:AWHD00000000:5:1353465:1353734:1 gene:ONIVA05G01930 transcript:ONIVA05G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATTGAPSILSDWGSPDGKLDWGVQGDELHKLRKSASFAFRGQSAMPVATHAAAAEPDVSWVNSLVKDGHAAGDIFAQWPEQEQMVA >ONIVA05G01920.1 pep chromosome:AWHD00000000:5:1341849:1345428:1 gene:ONIVA05G01920 transcript:ONIVA05G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALTTTTGAQYSCCFGLAHGGAAQRRRRTAAGFGAARWSGRRLRALPPQVSEFLSPEQLVPGSPADTGDEPKKAEESEEAASSPAATMSVAGDGQPEAKIRLKVKKTKKNKKAAMKV >ONIVA05G01910.1 pep chromosome:AWHD00000000:5:1333258:1334250:1 gene:ONIVA05G01910 transcript:ONIVA05G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHHLNSSFSNPYHPLLASPPHHFAPDPLPPPPPPPLLPADPPSLERERLPQWSHAETAAFLAIRADLDHSFLSTKRNKALWEAASARLHALGFARTPDQCKSKWKNLVTRFKGTEGAAAVAAAGTDQMQGGQGGGAAARGSSSSFPFHDELRRIFDARVERARALERKKVKGKDPDDDHDGGGDGDEDDEEEADQQVDEEDDGASGDTTRAGSKKRRRKAAAAARRTGSTGGVEGEVEAMLREFMRRQIEMEERWMEAAEAREAERRAREEEWRTAMVALGEERLALVRRWREREDAWRARAEEREERRHQLVAALLAKLGAGDASA >ONIVA05G01900.1 pep chromosome:AWHD00000000:5:1303506:1306983:1 gene:ONIVA05G01900 transcript:ONIVA05G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLPQIPSPPATLMRPWCNEDEQVPQLTPFKISHGVSDTMMKQYEEDYKYLVEEGLDLEEMKKLYHELVRPVLVASCLILEHHIVSPETKALDQKFKDKFGKPPIYLSALDIMKTLIAMEPSRWSYLMDFLREKRILVTENWEVSLGSIECIMHDDSISFMMNGRVVFKGQSDGRYNHGTGTADLCTAITGVATDIVDCAIYREVPCNSPTHAELLGMYVLERRAISLKILLFDVKTDNAFVSETVRDMFPITPNTTEKDLCQVLRSMKVYFEHFNCRCEPREKLELVDSLMKMKDNELTMESIKDKWAHYLMRLPVFRAHQPTKTIRKDYINKAPTVGTVAFHGQFYIICKEVLHPRIRVIKAMGHYKTA >ONIVA05G01890.1 pep chromosome:AWHD00000000:5:1290742:1292236:-1 gene:ONIVA05G01890 transcript:ONIVA05G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTRSSLLADDEDRPRWSDLPSELTEDIASRLLGYDVAEYLRFRAVCKAWRECTPHPRELDSRFRPRRWILLSSTSGDMRCRFLNIATGACIQVDLPEELAAGGQIQIECRTEGLLVLRDKVTDAIRLLNPLTKAVTDLPPITAAMANVIPEKRVHAEIPWSLIAYAGISDETSPPTVAIFLRDMRLNIAYAKPGDRHWKLLDDKAWSTFPSSISRSDGQQLRYVNYLSVVTLRGRIYLVTYQGNILKLSIRPRPQLIPIVKDQTKHSMWHGRVLCPNVVSYLVPPNDDDHRMLMVRYYGDLTHLTDHEQRCIKRRKKNDLIKLPSKSPCRYNWRILQVFEVDIVRKKLVRVDGIADDRAVFVGDVACVSLSIDRFPSILGNTVYLGMNSCCAVGFGLCHLKDRTVEPRLEHVLESGRKAPLLVDISLFHLGRIVPFARPCTLEEYLVCSVGFKNGIKD >ONIVA05G01880.1 pep chromosome:AWHD00000000:5:1285989:1288686:-1 gene:ONIVA05G01880 transcript:ONIVA05G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; CONTAINS InterPro DOMAIN/s: Defects-in-morphology protein 1-like, mitochondrial (InterPro:IPR019190); BEST Arabidopsis thaliana protein match /.../nknown protein (TAIR:AT3G57110.1); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G60370) TAIR;Acc:AT5G60370] MALIEAALSAAAAASRPVLSSAAARRAVQLSSCAAYSAASGGDIEDSPPLPTPRRGSLLARFRDRRALAVTDITATEWCDKQQEFVLEHGKPEMTQAMKAGSERHAQLEQEVIERVEVTIRSAEESWAVKFMNFIVGANQLMLEGITREIPVIGVVEGSWMIGVIDELRMPPDGISVHPILVDTKTRYKPTVPSEAQKRNGRLQVMCYKYLWDNLISEKFPAENFFSYFDLDPGYLLSDDIKQYIRLLGLNAKTLEDVLKYFKVTCHTLPRSQEQLLLRYELQADNSLLEEYQFSYDARWLKDQFQEVLSFWQGAREPKFVSEEERWKCSFCKFANNCPINASTSRCC >ONIVA05G01870.1 pep chromosome:AWHD00000000:5:1281501:1285237:1 gene:ONIVA05G01870 transcript:ONIVA05G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEERLRRKLAASNPNPPDLTPSASLEVNVSVPPPPPPPPVQQIEEVKVPEVEQEQSKHVTVEAVPEAVPVPAQTSSLPPGVSREEQAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGNSVKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELESLRMGEQWDDSTQSKEQIEASLISRQEAAVRRERALAYAFSHQWKSTSRSVNPMFVDPNNPQWGWSWLERWMAAKPWEGRAGTDKESNLDRASAKSASLNLGEGEITKAFNLSPIFAKKKSATPKNGLSQVDDDAKSVFSVQSERPRRHSIATSTVRDDESLASSPSVPSYMAPTKSARAKLRLQGSAVTDGAETPPEKVASVGSVKKKLSFQAGMAPPSPMRRHSGPPKVEVVKDIAEPPQPEALVINGGSK >ONIVA05G01870.2 pep chromosome:AWHD00000000:5:1281501:1286198:1 gene:ONIVA05G01870 transcript:ONIVA05G01870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEERLRRKLAASNPNPPDLTPSASLEVNVSVPPPPPPPPVQQIEEVKVPEVEQEQSKHVTVEAVPEAVPVPAQTSSLPPGVSREEQAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGNSVKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELESLRMGEQWDDSTQSKEQIEASLISRQEAAVRRERALAYAFSHQWKSTSRSVNPMFVDPNNPQWGWSWLERWMAAKPWEGRAGTDKESNLDRASAKSASLNLGEGEITKAFNRRGSKPDKSSPTTPKLTRPASRQSPSTPSAKVSPIFAKKKSATPKNGLSQVDDDAKSVFSVQSERPRRHSIATSTVRDDESLASSPSVPSYMAPTKSARAKLRLQGSAVTDGAETPPEKVASVGSVKKKLSFQAGMAPPSPMRRHSGPPKVEVVKDIAEPPQPEALVINGGSK >ONIVA05G01860.1 pep chromosome:AWHD00000000:5:1268039:1276278:1 gene:ONIVA05G01860 transcript:ONIVA05G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0H8W1] MGTAAVAAAERPKQRRSSHLWKKALLHFSLCFVMGFFTGFAPSSSSSWRAGSGGGGGVQPRHQLAASHVAVNQQVSLVPDAAAAEAAGVGNGAVVDVGDDEGGEGARRMLIVVTTTRGERRRRRGELLRLAHTLRLVRPPVVWVVVEPAADAAATAEVLRGTGVMYRHLAFRPEENFTTADAEAHAQRNAALAHVEKHRLSGVVHFADAAGVYDAHFFDEIRQIEAFGTWPVATMSAGEKKVVVEGPLCSDSKVVGWFSRDFNDGTTRAVTYNTEADLNPAGAAGTRAHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVQEVVLEDRTKLKGIPSDCSQIMVWQYTMPMQVHAQTSTPKTHNRR >ONIVA05G01860.2 pep chromosome:AWHD00000000:5:1268039:1270787:1 gene:ONIVA05G01860 transcript:ONIVA05G01860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0H8W1] MGTAAVAAAERPKQRRSSHLWKKALLHFSLCFVMGFFTGFAPSSSSSWRAGSGGGGGVQPRHQLAASHVAVNQQVSLVPDAAAAEAAGVGNGAVVDVGDDEGGEGARRMLIVVTTTRGERRRRRGELLRLAHTLRLVRPPVVWVVVEPAADAAATAEVLRGTGVMYRHLAFRPEENFTTADAEAHAQRNAALAHVEKHRLSGVVHFADAAGVYDAHFFDEIRQIECPPPTRASLN >ONIVA05G01850.1 pep chromosome:AWHD00000000:5:1256699:1257438:-1 gene:ONIVA05G01850 transcript:ONIVA05G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSDTACTVATAPPSPTPTSPPPQLAATTTTVVLSPCAACKILRRRCVDRCVLAPYFPPTEPHKFTTAHRELPEEQRADAVSSMVYEANARIRDPVYGCAGAICQLQKQVNDLKAQLARAHAELAGARAQHAHLVALLCMEMAAAAPPQHPTTTAAAAAYCAAVGGAASPAAPVDALYVVDGAGAAAMQASPIGWVDEPLWT >ONIVA05G01840.1 pep chromosome:AWHD00000000:5:1249603:1251970:-1 gene:ONIVA05G01840 transcript:ONIVA05G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGHGGGTTYKGYTIPHNKRWHTVAGKGLCAVMWFWVFYRAKQDGAVLLGMRHPWDGHDDHSHGHGHEHEGSSSTSSSR >ONIVA05G01830.1 pep chromosome:AWHD00000000:5:1244580:1250477:1 gene:ONIVA05G01830 transcript:ONIVA05G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGTSLLGIVNFVTFLISIPILGGGIWLASRANSTDCIRFLQWPIIAIGLAVMVVSLMGFAGACYRQTWLLRLYLFAMFFIVVALLFFIVFAFAVTDRGDGQVVMNRRFLEYQLSDYNGWLRDRVADPAYWATISACLRDGRACAAMRRFARDPNTGMLVPETPSMFYARDLSPIQSGCCKPPTSCAYNYVNETFWTANPGVPTVVNDVDCSKWSNDQQTLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVIVYVAGCAAFRNARRIENDEPFGMARMTKTQPSRFQF >ONIVA05G01820.1 pep chromosome:AWHD00000000:5:1236714:1236950:-1 gene:ONIVA05G01820 transcript:ONIVA05G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low temperature and salt responsive protein family [Source:Projected from Arabidopsis thaliana (AT2G38905) TAIR;Acc:AT2G38905] MGSETFLEILLAILLPPLGVFLRYGIGMEFWIALLLTILGYLPGIIYAVYVLVA >ONIVA05G01810.1 pep chromosome:AWHD00000000:5:1230380:1235412:1 gene:ONIVA05G01810 transcript:ONIVA05G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLATIEGLKVNVSESDKAVAEANAVAAETKKQLEMAQATIDSLLAEGARLQECLRSKDAELGQSMARLATLEEDLKKAHNKDDVDGSFGSPDHGEAVEKVVVTIPNGNGSCGGSGAEIEQLRTALEVAEIRYQEEQTRTTIETKTAYEMLENAKSEYDRRVRDLELELKSKNDELTEAKATLAVRCDGKSDVMQPELEAKLMKSITDATELKASLMDKETALQSVMEENETLKSEAGKKAAEVQRRYEAAVAELELAKAAEQDVRMRLGYVTEEADKSSRRAARASEQLDAAQAASVEMEAELRRLRVQSDQWRKAAEAAAAALGGGGGGIGRNVERTGSLEPAEYTNSMIGGKLASSPFSDEPEEESPKRRNSGVLRRMSGLWKKSPK >ONIVA05G01800.1 pep chromosome:AWHD00000000:5:1221164:1222648:-1 gene:ONIVA05G01800 transcript:ONIVA05G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEKGAAAHGAVAKNGGEGEVSAVRRPGRPRVVHPDVAEFLLNFRRLRREKKKRATPRPAVVVVPGERARYDCAFEDEGEVGRRGGFAPGRLVWGKVRCHPWWPGQVFDPADASEQALEERRKHGATLVAFFWDKTFAWVDADELLPFRGDGGDFALLAGQSAHAMPALTASVDAALGEVARRVAAGLSCCCCCDGAAVAKKQVIENAGIREGAHGATVDAAFTRGALRGEAFVGYVSALAVAPLAGADRLDLAIATAQLKAFDRWRGAAARSLPEYTCHHGIEANAMAPRRKRGRATKNTITGNVDDDASELENFEPTPQPLSHQMSTKIGKLMSCAAQQMSRSPAVIHRDTTTTTTNGDAPPPPPPAISLTMGRCTRSADEKKKNSDIREDPFLAGLVLNFICPSAVLPLSELVNIFSKFGPIMEAKTEHAYAMVMFKRRADAEAAFSGTTKINALSSSLISFRLNYSMSASPIDSPECSLNTAMDRLLF >ONIVA05G01790.1 pep chromosome:AWHD00000000:5:1213010:1220130:1 gene:ONIVA05G01790 transcript:ONIVA05G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIEYVVAELRDEAAGAGAHVAALAALCDVLAVAADHLFDALPIAEFVARLPRLLASGEGDVPLFAARAIAEACEGVPPWATSFARYGAIEALRDKLLAIDCIELAEECLRALGVISMECPKECLSHGVPAAVLQFFDFFSMHKQKLVLKIVANVLGDFSAKDAAKAMEAAPVLCNLLQSTDKTILDSAVSCLVLVSDGACDSAQHMEKLYELNAVQATMRLMENDGWKSLSDETLSGILGLLKDLASLSARAVKSLFELNICDLLKQMITYYTSSHSDHNKVQTLVELIYYLMPPLEMCDHRTELIIAKKNVITEQSGYIQQLASILTFIIQVAKSAALSSICYSCVVVIRNIVELSTPSSLVEVQKTVNLSSLLAGWLARKNRHIIFQTLNVSKTLLRKDQKFFFETFIREGLKHAIDAILTQEKGKSRLPESCLCFDLDLETSTDDACRINNGAILKLAEEIKKNFLVKVAKSPHKFGCAFKSIKEFFSRLNCHATAPPAKDQDLCKQLSDFSRQLLSDELPSTSTFEFVQSGSIKHLAGYLSNGTYFNSNLRNCQDLIGELKEVKIRLQKFTHLALSVDNESSVKPLEILVEKLIDALHVWYDSFPVILADEQCTRESTMIPLRDSGTEEPMSLYIKFSRSAREEELEDYGGVLPVDLSSTPESIEEVLLPEICKRTGNETSYKENTQEANGSRKSVGLRNGDGHKSSRLKFSYKGTQLQSSTPLFESILRSMHEGETDLQIDPSFWDKEHKIVYRRRNKSKKISSHSSYNIQLCRVHEKLEMSLLKDPFFSTILTGKLPGDLDESDPSYNFLFMLKVLEGLNRFSYHLSMDDKLCKFAEGCLQELDDLKAAICPIPRDQFVSSLLTNKLEQQMQDSLFGDGLIPSWCIYLVETCPFLLSFEARWKYFCLTAHHSFMTDEASSSTETKKYSVTRSKILEDASSMLNKHGSDTKFIEVEFDGEVGTGRGPTFEFYTTVSHELQRVGLGMWRGDDTSQECEAGFVHAPFGLFPQPWSSANTSSQGISLSNVVQKFKLLGHLVARAVLDGRVLDIPLSKAFYKIMLEQDLDIYDIPSFDPKLGKTVMEFQALVKRKKFLEERASNPAADLSYKNVRLEDLCLDFTLPGNPEYELVPGGSEKMVTLDNLEEYVSSIVDATLKSGISNQIEAFKAGINKVFALKTLRLFSEDEMERILCGEQDSWASNKLEDHINFDYGYDANSASVISFLEILREFGREDQRAFLHFTTGAPQLPLGGLASLDPKLTVVRKQCDGKVDNELPSVNTCRHFFKLPPYSSKEIMRQKLKYAIKEGLGSFQLS >ONIVA05G01770.1 pep chromosome:AWHD00000000:5:1195713:1206187:1 gene:ONIVA05G01770 transcript:ONIVA05G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEQEQEEEVIIVGAGPSGLAAAACLSVRGVTGCLVLERDDCVASLWRHRTYDRVRLHLAKRYCALPHAPHGEASPTYLPRDDFLRYLDAYASRFGVRARLRREVRSARYDAARARWLVDAVDLATGRAERYAARHLVAAAGENDERVVPEVPGMETFPGKVVHAADYRSAEGFKGKSVLVVGGGNSGMEIAYDLAVGGAATSIVIRSELHLVSKEIWNLAMTLYRYLPVWVIDKVVLLMCAAVFGDTARYGLRRPAVGPFTMKATTTMYPVVDVGTFAKIRSGEIRVLPAAIKGVRGRDVEFADGQRHAFDAVVFATGYRSTTKHWLKSDDGLIGDDGMAGRSYPDHWKGENGLYCAGMVRRGIYGSYEDAEHIADDISKQLRSSSKPTHNNGSA >ONIVA05G01760.1 pep chromosome:AWHD00000000:5:1171893:1182122:1 gene:ONIVA05G01760 transcript:ONIVA05G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAADPLSASAPFPTIPAASSPRHPRAARPRRQPAPFRSSDHPGAASASASASSSSSSSSTRRLGVDIPVRHGVRRGAGAAGSRAPSGFVFGGGGGCGDTAAPGLVDVEGCSPTLASSWSSSSFVFGASDTRSSFSFGSSKAAPSSSFSATVGELTIDDPRNRQGDVDVSGGNGSLPEMITDSVLSPNIVRQEIDHRDEGLGAPSQTMGRESTESSCSLFGQVDRPSLCTTENVATQFAKDEGKISADGADSRESKSVFVFGENSEERGFTTKITETEIKKGDSVEKKIVAFGSDQLDASVAEGNACTESSFQGERHGCGSSMRDHRGVSTRAKASNALPFGLVVQDSGAKVSSTKLSDERRSAGVPTSELGDLGLVDEQSFTVRDNDAASREYGGVKGVSMNKRAVQQEKFSAHQVPLPLFISDQKAAPEVNLHLKEVTNFRLEDSDISKGNSGTEEEDVNCFSPQATESNRDRTVFISVTNLENSSRSDFIFAASTDHSKLHSQRRPSKKKIGGMSNHANSVESHPSSAIGLAHSEISRQQYTDLPAQWTKYNKTDPKTVTVSVGPAIKENIGHQEDCETWRIRGNQAYAEGQLAKAEECYTHGINSVSLNEASWKSLMLCYSNRAATRMSLGRMREALSDCRKATDIDSSFLKAQIRAANCLLALGDVEEAQKGFEICLKSNHEASLDSKITEEASDGIKKAKKVSNFMLLSKEYIVKKEFDKIPSALQMISDALSTSTYSDNLMMMKAEALLLLQRYEEVIRFCEETLHLAEENSFSPCQHSKIIDLDNCSSSVKLWRYYIIAKSYFFIGKLEEAHQFLKKLGQEALAAGNKAFQAGKYSEAVEHYTAALLSNTESPRFSAICFANRAAAYQAMGQILDAIADCSLAIALDSNYSKAISRRAGLYELIRDYDQAGNDLRRLISLLERQLQENIYMPSEKSDGIRSSLNRSNLRLSALERDAKKGISLNVYLILGIEPSCTFLDIKKAYRKAALRHHPDKAGNFLVRSENINDAVWRDIANDIRKDADYLFKLIGKAYAILSDQKEA >ONIVA05G01750.1 pep chromosome:AWHD00000000:5:1165220:1166833:1 gene:ONIVA05G01750 transcript:ONIVA05G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFSSVLSAAGVGGDEHEEERGEGEEAAAEQGEEGEAESGGGWSFGGLIKTLAEEIDVRPRDEQEAEEGGEEVAEGEGEVEEEADAGGGWIFGGLIKTLAEEIEEQRKVNSQLEAEEEAAAAAAAAAAAAAEEEGGETAGSGDGGEWSFGGLIQTFATRSESVLEGYRRDIQDLGSGLRLETATLRAAAARAAAAFPGALEAGASAASNRLESVGQAVDDLGAAAAVLLSHANEALRSVDADGEDGAGDGSSHPSDSASASGASWRASLPSKKYTRFEAQVLALRADPATFTEEPEDADGFAKWRDAFRIDERKEEIEGVLKESPGLESFVERLVPSVVDYDMFWCRYFFAVDKLRQAEDVRTKLVTRAMSKEDEEELSWDVDDDDEDDDNGDHKEGANTVVNKKEEQIEEPVSHKTEDDKQGADTPDVSEDKKTTLAAEKDGNGESKDEVAAPKSSNDMGREEKIDSSKESEFSAASPPSAQEEDLSWEEIEDVGDEDEKKKPIPRSSPPSKAEDIRKRFSSVEDDEELSWDIDE >ONIVA05G01740.1 pep chromosome:AWHD00000000:5:1157342:1161105:1 gene:ONIVA05G01740 transcript:ONIVA05G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHAAGRRIELLVAKTARGRTAGFRCLWSTGGAQASPHASIPLPAIGWILGFIKVSECWRRSIIQDAIRWILGFIKVSECWRRRIIQNASRSCILQL >ONIVA05G01730.1 pep chromosome:AWHD00000000:5:1155889:1156464:1 gene:ONIVA05G01730 transcript:ONIVA05G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGENNTGAHGSGNVPPPATAASTAAGTAAASGKQQARGAGAEGQSVVRRLQSELMALMMGGDPGVSAFPEGDNIFSWVGTIAGSAATAYEGTSYRLSLAFPGEYPYKPPKVRFETPCFHPNVDAHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNDSPLNTQAAALWPNQDEFRKMVEKLYKPTA >ONIVA05G01720.1 pep chromosome:AWHD00000000:5:1151928:1154447:-1 gene:ONIVA05G01720 transcript:ONIVA05G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPADAKAEAAKMDLLEDDDEFEEFEIDQEWDDKEDGDEAIQQWEDDWDDDDVNDDFSLQLRKELEECNTQKI >ONIVA05G01720.2 pep chromosome:AWHD00000000:5:1151930:1154113:-1 gene:ONIVA05G01720 transcript:ONIVA05G01720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPADAKAEAAKMDLLEDDDEFEEFEIDQEWDDKEDGDEAIQQWEDDWDDDDVNDDFSLQLRKELEECNTQKI >ONIVA05G01710.1 pep chromosome:AWHD00000000:5:1150275:1150645:1 gene:ONIVA05G01710 transcript:ONIVA05G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTDGSGTPSVTSTGGPLSSSTGCTAGCSPTPAVSKTDSRPRLAGRHWYAERDINRKASEFINRYTEGCSPAHYDDNNYYGRASKFIDRVHHGMLAGGGGEQDR >ONIVA05G01700.1 pep chromosome:AWHD00000000:5:1149270:1150163:1 gene:ONIVA05G01700 transcript:ONIVA05G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACDREREVSFASFGLKEQRQRMCLWNVPMMECFLWVQEQRQRMCLWNVPIIEREVGVCLLWVQEQRQRIGKSVFASKNLWFKSNGSSNGVRKDEAAAAEREQQRSNDSGERYYGHQQQEVLRPPAAGRGRTTTKVRSSDYDDANYYGAVWYAKRDIDRASEFIDRVHRGMLTDASGEQDG >ONIVA05G01690.1 pep chromosome:AWHD00000000:5:1142603:1146575:-1 gene:ONIVA05G01690 transcript:ONIVA05G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAVWVPSPCSPSPVPPRHRRGRWRRGSASSSSSSAFRACAGGDASGDGGGGGRPARMVLHDSLEGAGVSTEHARAAREGFAKQVGRLTRLDAETSIAISRGADLARAALCVAAEDDSLVSHSSVPLPVEAFVSRLDDLSTGFLADGYLPPAGAPPQVFLDHLDRYLYVHKGFRRKNGSSDGRAIYLHSVLTCRLGSALMLSLIYSEILKMLRLYGLLEFNVEIFFPHDLNSLPRGYDKHKSKLGDEPHIMTSKSLLVEILKTLKNTFWPFQSNQSGSLFLNAVSANQHGPGNVGDNQTTPHGNISTIEMAAAKAAQHRLMRGVWTNVRFGDMRRALAACERLILLNHDPRELRDYAALLYHCGYYEDCLQYLASYQTAVHWNNPLEIMEDEAVNTLRARVSLILAEDGWSSRRSPATSYWTKNSEPW >ONIVA05G01680.1 pep chromosome:AWHD00000000:5:1129163:1129955:-1 gene:ONIVA05G01680 transcript:ONIVA05G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMMATAARPRDDQPRRRQALLLAASALLFLLCGGGAPGAGGVVVAVAVTASATATPGLVFHVGGPRGWRVPDANTSYTWWAMNNRFHVGDSLYFRYGGGDSVLVVDREAFDGCNATEPVARFAGGATTVPLGRPGFFCFISGAPGHCDGGQRLIVRVMVHPAPGAPAPAPSAAAAATSHPGASASGPGASSGAAAVAAGGAGAAVAAAAMGVLAGLVLLLQ >ONIVA05G01670.1 pep chromosome:AWHD00000000:5:1123759:1128428:1 gene:ONIVA05G01670 transcript:ONIVA05G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAARKAAAAARLPAAVLVVVAVGAFLISYNLLAIVLRGGGGAATGAGRERDPVVAMPGWMRAAGSAGGGRRRPFHVALTATDAAYSRWQCRVMYYWYKRMQARPEGADMGGFTRVLHSGKPDALMGEIPTFVVDPLPAGKDHGYVVLNRPWAFVQWLEKAKIEEEYILMAEPDHIFVRPLPNLARDDPAAFPFFYITPSEHESVLRKYYPKERGPVTNIDPIGNSPVIIKKTQLEKIAPTWMNVSIQMKEDQETDKAFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDTKLGNTFIIHFTYGCDYTLKGVLTYGKIGEWRFDKRAYQDRPPPRNLTLPPPGVPESVVTLVKMVNEATANLPGWDDGR >ONIVA05G01660.1 pep chromosome:AWHD00000000:5:1118818:1119251:-1 gene:ONIVA05G01660 transcript:ONIVA05G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISRKGTEEEALSPTQRTCTLRRPTPAVARLATSQLLGTGSEKKRWKREKREREKVKGGGGNREEVVDM >ONIVA05G01650.1 pep chromosome:AWHD00000000:5:1112692:1117581:1 gene:ONIVA05G01650 transcript:ONIVA05G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSGTRTDTLPATSPQSLERNVASRSVVPEHLLLRVEDMDDVRRLDGADQDLLLCIEDDVVATTTAGDGAWADDLEERAANLGELGQFGLIKPHSNEIELKMGRVLLGFCKFFG >ONIVA05G01640.1 pep chromosome:AWHD00000000:5:1107839:1109165:-1 gene:ONIVA05G01640 transcript:ONIVA05G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAAAADAAATSSPAASAGRLFTAGLVASWYASNIGVLLLNKFLLSTYGFRYPVFLTACHMSACALLSYAAAAASAAAPRAARPRRSRGQLARVALLGAVFCASVVAGNVSLRYLPVSFNQAVGATTPFFTAVLAYAVAARREACATYAALIPVVAGVVIATGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEEEKLNPMELLGYMAPVAVVLLIPATFIMERNVLTMVTALAREDPSFIWILLCNSSLAYFVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFRNPVTFMGMLGYGITVAGVVLYGEAKKRSK >ONIVA05G01630.1 pep chromosome:AWHD00000000:5:1103237:1107395:1 gene:ONIVA05G01630 transcript:ONIVA05G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVLQIRAPISTSQGAAGGKQRIQATLKEPTTARVPISGSTEVKPSLKPPRALPRVATMRAPTNTAVASGIPDKRSSTGGVVNRQTVGKSVNNSVSAHSRPGGVTKSTSTSKSGALPSSLSSSAAATAASIGNMLGQKPKSSTLSNKSRIAQRIPVRSTSRTDVNKANPARASRNNIPTGGKSNRVSPSISPSSSVDSLSSVVSGASTASTVGKMSHTSENFSTRSSSLSPSLRNSNDHAPTRADADTQGKGSKPSGLRMPTPKIGYFDASIDQQIGAHMQVQPMKIQCSPQLSSAQMGNPASSILSQPESRLAASPHEKKNSVQSKASPLLPLEVVQIELEPSQAMEHEVCTPQPCPVVAAAAAAADTAKENIPALHQNIQPNDGAGSLAVDLICQRLSTISLGDATDLAS >ONIVA05G01620.1 pep chromosome:AWHD00000000:5:1101023:1102235:1 gene:ONIVA05G01620 transcript:ONIVA05G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRSKASTRSNMSEPPPASVHQQKGIAGSRPSDGNEPYHPYQFKGSYGRFPVIPNPAFQVLSV >ONIVA05G01610.1 pep chromosome:AWHD00000000:5:1082792:1098280:-1 gene:ONIVA05G01610 transcript:ONIVA05G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGALALLEAFRARGDGRLATTLRGLDEALHGGIPAGKLTEVVGPSGIGKTQMAGRILVLRPTSLSEFTKSLEQMKVTLLQHDVKLLVVDSMAALMSSSIAEFSQIPVVVTNQVRSQSNDDGYRYSFEVEKKYDSNNAEGFESHLVAALGIQWAHAVTIRLVFEAHSGHRYIKVAKSPMTPAVAFPFTVESSGIILLSDEGIDVPSPEITSIRCQGPSVSKKSNSSERMNTYANMTIIKSFKIQVTES >ONIVA05G01610.2 pep chromosome:AWHD00000000:5:1093884:1098280:-1 gene:ONIVA05G01610 transcript:ONIVA05G01610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGALALLEAFRARGDGRLATTLRGLDEALHGGIPAGKLTEVVGPSGIGKTQMAGRILVLRPTSLSEFTKSLEQMKVTLLQHDVKLLVVDSMAALMSSSIAEFSQIPVVVTNQVRSQSNDDGYRYSFEVEKKYDSNNAEGFESHLVAALGIQWAHAVTIRLVFEAHSGHRYIKVAKSPMTPAVAFPFTVESSGIILLSDEGIDVPSPEITSIRCQGCNIRTEDISSVQRDGTNG >ONIVA05G01610.3 pep chromosome:AWHD00000000:5:1083664:1093884:-1 gene:ONIVA05G01610 transcript:ONIVA05G01610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLMQAKNYPRFPRRLACIAILVPSEMLTHHLTPSCARRPNSQFDHGICQYCCSNEGIDRTYSGRPASGAARRRVAIKRDEGVRRGPLHARCWVASLILLAVTATTVPATLGELI >ONIVA05G01600.1 pep chromosome:AWHD00000000:5:1065565:1068968:-1 gene:ONIVA05G01600 transcript:ONIVA05G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNNVAEGVVEKHETAARSDSGTSESSVLNGEASGAATTPAEEGSSSTPPPPPPPPAAVLEFSILRSSASASGENDADDDEEEEATPSPPPHHQHQQLLVTRELFPSAAPSPQHWAELGFLRPDPPRPHPDIRILAHAPPPAPPPPPPQPQPQAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNLSDYEEDMRQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYDGELPTDAAAQGADVDLNLSISQPAASQQSPKRDSGSLGLQIHHGSFEAPSELASRPHRFPLLTEHPPIWTAQPHPLFPNNEDASRSSDQKRKPSEGVAVPSWAWKQVSHHHPAPPHTLPLPFFSSSSSSPSSSSAAASSGFSKAATTAAAAQHTATLRFDPTAPSSSSSSRHHHHH >ONIVA05G01600.2 pep chromosome:AWHD00000000:5:1065565:1068968:-1 gene:ONIVA05G01600 transcript:ONIVA05G01600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNNVAEGVVEKHETAARSDSGTSESSVLNGEASGAATTPAEEGSSSTPPPPPPPPAAVLEFSILRSSASASGENDADDDEEEEATPSPPPHHQHQQLLVTRELFPSAAPSPQHWAELGFLRPDPPRPHPDIRILAHAPPPAPPPPPPQPQPQAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNLSDYEEDMRQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYDGELPTDAAAQGADVDLNLSISQPAASQQSPKRDSGSLGLQIHHGSFEGSEFKRAKASCNSNDAAPSELASRPHRFPLLTEHPPIWTAQPHPLFPNNEDASRSSDQKRKPSEGVAVPSWAWKQVSHHHPAPPHTLPLPFFSSSSSSPSSSSAAASSGFSKAATTAAAAQHTATLRFDPTAPSSSSSSRHHHHH >ONIVA05G01590.1 pep chromosome:AWHD00000000:5:1056393:1056968:-1 gene:ONIVA05G01590 transcript:ONIVA05G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRAVGSTLPSIRAAAELARQELLRRELVECQLVAGIWCHGFTVSQLRSIRASLPPTARLVVAKNSDVAAAVAGTRWEAVRPFARGMNAWLFVRSDEIPPALRPYRDFQKEWKLQLNDFTGAVFEGRLYSPDDFAQLESMPTRVQSYQYLLGCLQMPAVSVLAALRARQEAMAQPPPADEPAPTPPADK >ONIVA05G01580.1 pep chromosome:AWHD00000000:5:1051952:1057434:1 gene:ONIVA05G01580 transcript:ONIVA05G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) UniProtKB/Swiss-Prot;Acc:Q84MZ4] MGSVELGAEEREVAGGEGGSKGAAPPARDIRRYKCDFCSVVRSKKGLIRAHVLEHHKDEVDDLDDYLGRGGGETCKEMDHDCKVCGASFKKPAHLRQHMQSHSLEHWIPENSKMREGLEWTSTRMGRPFWEAPSRMPLSRSSSGWGDSPEKLHQECLVHTLFQRPFSCHVDGCPFSYSRKDHLNRHLLTHQGKLFACPMEGCNRKFTIKGNIQRHVQEMHKDGSPCESKKEFICPEENCGKTFKYASKLQKHEESHVKLDYSEVICCEPGCMKAFTNLECLKAHNKSCHRHVVCDVCGTKQLKKNFKRHQRMHEGSCVTERVRCHLKDCKLSFSKKSNLDKHVKAVHEQKRPFVCGFSGCGKSFSYKHVRDNHEKSSAHVYVQANFEEIDGERPRQAGGRKRKAIPVESLMRKRVAAPDDDAPACDDGTEYLRWLLSG >ONIVA05G01580.2 pep chromosome:AWHD00000000:5:1051952:1057434:1 gene:ONIVA05G01580 transcript:ONIVA05G01580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) UniProtKB/Swiss-Prot;Acc:Q84MZ4] MGSVELGAEEREVAGGEGGSKGAAPPARDIRRYKCDFCSVVRSKKGLIRAHVLEHHKDEVDDLDDYLGRGGGETCKEMDHDCKVCGASFKKPAHLRQHMQSHSLEALNGLVSGWGDSPEKLHQECLVHTLFQRPFSCHVDGCPFSYSRKDHLNRHLLTHQGKLFACPMEGCNRKFTIKGNIQRHVQEMHKDGSPCESKKEFICPEENCGKTFKYASKLQKHEESHVKLDYSEVICCEPGCMKAFTNLECLKAHNKSCHRHVVCDVCGTKQLKKNFKRHQRMHEGSCVTERVRCHLKDCKLSFSKKSNLDKHVKAVHEQKRPFVCGFSGCGKSFSYKHVRDNHEKSSAHVYVQANFEEIDGERPRQAGGRKRKAIPVESLMRKRVAAPDDDAPACDDGTEYLRWLLSG >ONIVA05G01580.3 pep chromosome:AWHD00000000:5:1051952:1057434:1 gene:ONIVA05G01580 transcript:ONIVA05G01580.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) UniProtKB/Swiss-Prot;Acc:Q84MZ4] MGSVELGAEEREVAGGEGGSKGAAPPARDIRRYKCDFCSVVRSKKGLIRAHVLEHHKDEVDDLDDYLGRGGGETCKEMDHDCKVCGASFKKPAHLRQHMQSHSLERPFSCHVDGCPFSYSRKDHLNRHLLTHQGKLFACPMEGCNRKFTIKGNIQRHVQEMHKDGSPCESKKEFICPEENCGKTFKYASKLQKHEESHVKLDYSEVICCEPGCMKAFTNLECLKAHNKSCHRHVVCDVCGTKQLKKNFKRHQRMHEGSCVTERVRCHLKDCKLSFSKKSNLDKHVKAVHEQKRPFVCGFSGCGKSFSYKHVRDNHEKSSAHVYVQANFEEIDGERPRQAGGRKRKAIPVESLMRKRVAAPDDDAPACDDGTEYLRWLLSG >ONIVA05G01570.1 pep chromosome:AWHD00000000:5:1048692:1050589:1 gene:ONIVA05G01570 transcript:ONIVA05G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSSDFSSTTEVAATPSSAMRIILRIRLSPAWTPEEDACLERLARGYGFRHGRRVAEEMQPRERRRSPKQCRDRWRDHLARDVYHRPFTADDDAELAHLRLRGGGGDRWKDISRAAHCRTSRAMRRRWRELRKSDAFLRALYWHPDQPVPPLLDDAWSCSDVLDSSVASYRGGCDAVAGGGTIVAPGFACFAA >ONIVA05G01560.1 pep chromosome:AWHD00000000:5:1046140:1048367:-1 gene:ONIVA05G01560 transcript:ONIVA05G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRLVLVEVTYLVSLLVRGQCPKLKKKTEVLGDGSPELKKKMEGPEPELKKKKTEVGFLRSRRLELKKMEVHWACAYVIAKPWEAYQGYAFGEPASGVCVVLPV >ONIVA05G01550.1 pep chromosome:AWHD00000000:5:1035830:1040058:-1 gene:ONIVA05G01550 transcript:ONIVA05G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:integral membrane TerC family protein [Source:Projected from Arabidopsis thaliana (AT5G12130) TAIR;Acc:AT5G12130] MASASTAVASATAGTTRSFEKLSAPWMIGRGHVALPPSPGRYPAVTVAAASRRTGEGGRRRRRARGADQEDGLSLSSEIEAPSSTPPVRTTDKESTKPASETGITKKGSAIRRVTLVVLAAVFFGVSIALRDGVGKASEYFAGYLLEQSLSVDNLFVFVLVFKYFKVPQEYQRFEAVNLLLALILLFTSYKLFAEEDEESDLSDNFIVKTCQRFIPVTDYYDGDRFFTTQEGLWKATPLLLTVAVIELSDIAFAIDSIPAVFGVTRDPLVVLSSNIFAISGLRSLYVLISESMSELDYLQPAIGIVLGFIGTKMVFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASTEEKDK >ONIVA05G01540.1 pep chromosome:AWHD00000000:5:1030903:1034374:1 gene:ONIVA05G01540 transcript:ONIVA05G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQMAKKAELEEQGRSVARYIRKLYIQRCKKLNIEFEEDEEPEGVLHPSDAGPFQHTDKEYATPNPNDVGHLSEIPSQQEQAEMPRLPCLNKPVEYSMSDEYIDLLDKKAKAFFSRVSPVKQRSRKETISNGLQYLTEEAFLAFRNYIAEKDAFEEVDYKFGEILHHCFSVMEYRKVYCHYNFTVEMKNKDEECWTSRLYFAETKLMHGVKYYFCTPLEATDDGCCNACKNQGVNELKHPSEGGYEKGQSSTRCQYFDGDSDEEC >ONIVA05G01530.1 pep chromosome:AWHD00000000:5:1026882:1029117:1 gene:ONIVA05G01530 transcript:ONIVA05G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQEIRRPHRFPRTEVSSMIRILRRREAEELHPPSRTRKSASPVLEPLIQVRPSDDEEDRRRPRRLDNQRVKADTVGFHEQDKLPHQMSQL >ONIVA05G01520.1 pep chromosome:AWHD00000000:5:1023834:1026514:1 gene:ONIVA05G01520 transcript:ONIVA05G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT4G31130) TAIR;Acc:AT4G31130] MALTSAQRIALTVSFFGLLSFLLGVIAENKKPPYGTPIKGKDVVICKFPSDPTIAMGSLSVVSLVLTTIVGHAAIFYPYKSKSVPRGALFRSASLSAFFVIAELVSALAFAMLLWATVTEGLHRSNNIHHDLDTQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARSDYLDEDDKGDYGQVFASDVDGTKV >ONIVA05G01510.1 pep chromosome:AWHD00000000:5:1017430:1018308:-1 gene:ONIVA05G01510 transcript:ONIVA05G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPTMVIQEDYIDMDLTPTTTPLPPSSPRLCGGGGFREFEFHSSGAVVSKAFASPADELFYKGNLLPLHLPPRLQLVQKLLQEQQVQVQGIKKEVEDDDMVDMSKVCAAKKYSWSKRLKLMKRWTSREYIKSLFLATAKPTGIAVVGGGNGGGVMDQEELCGHRKSFSGIIRRVRLVATKAASASAPGTSPLCSTSSSSSSTPSCGNASRFFPAAPALKRSSSAGSSEEGAIQGAIAHCKRSQHQHLQQQRRSVSDVVFYSVTNTPRVSSVAAAAGGEVAQGERQEMCRG >ONIVA05G01500.1 pep chromosome:AWHD00000000:5:1011681:1013695:1 gene:ONIVA05G01500 transcript:ONIVA05G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHVRWAAASSSPSPPPPSSPEEEEMEFLHECAASTPVEDVAAALAGVAALQARLLSLCRSLRERGAAAAAAAGEVERAVAEAESYASKEQVRYNRFLSPRALREHIKNIEKTAVTALQESPEALCLQQKSSGDKLENVQLWWAGKELAMGKKLCDYIGVNDKTKIIIRLKHVPQSS >ONIVA05G01490.1 pep chromosome:AWHD00000000:5:1000112:1005964:1 gene:ONIVA05G01490 transcript:ONIVA05G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGQDAPPPPEKGVEVEVFPAWARGVEECEARLGVSASRGLSSREAAARLRAHGPNELAEHPGPTLLQLVAQQFDDTLVRILLAAAAVSFALALSSSAGAVTLSAFVEPLVIFLILVVNAAVGVWQETNAEKALEALREIQSDHAAVLRDGDWLPSLPARDLVPGDIVQLRVGDKVPADMRVLRLVTSTLRVEQGSLTGETASVNKTAHQVPHDDADIQAKECMVFAGTTVVNGSAICLVVHTGMATEIGKIHAQIHEAAQEDDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFELDGWMPRNIRFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAMGDAEGKVRSFKVDGTTYDPRDGRIHDWPAGRMDANLQTIAKISAVCNDASVAHSSHQYTATGMPTEAALKVLVEKMGIPEGMNGLSLDPSETLGCCQWWSNVAKRIATLEFDRTRKSMGVIVKSKSGRNALLVKGAVENLLERSSHIQLLDGSVVPLDEKSRKAILENLHEMSIKALRCLGFAYKEDLAEFASYDGENHPAHKLLLDPVNYAAIETNLIFTGLAGLRDPPREEVFDAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSHDEDITLKSLTGKEFMALEDKKTLLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRKSDDSLITPWILFRYLVIGLYVGIATVGIFVIWYTHGSFMGIDLTGDGHTLVSYSQLSNWGQCSTWNNFTVTPFTAGARTFTFDDNPCEYFHGGKVKATTLSLSVLVAIEMFNSLNALSEDTSLLRMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLLVALPVVLIDEVLKFVGRCTSSSGPKRRTRKQKGE >ONIVA05G01480.1 pep chromosome:AWHD00000000:5:989087:990007:1 gene:ONIVA05G01480 transcript:ONIVA05G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAEAVTVAAATNAPAGDEAATGELWRLPDGCCGGSWRGSAVAVAAVSGSGDCRRWRMRRRGRRGEVGMAAGKDAATGGVVVLSHPSRVVAGRKPSLGSFEPRRTAAAVFRRFSS >ONIVA05G01470.1 pep chromosome:AWHD00000000:5:980893:981561:1 gene:ONIVA05G01470 transcript:ONIVA05G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCKAAIGCVDARVPVRASYVSLYKWPESDAEFVRSVAMARRHGGEAAAAPPPESPAVPHHYYYNGSGSARRGGGEGWYYCSPRVVDSYSCRQIYLRSYTFSKKKETVPERTMACLGRVRDRGAAVFPLFIPHRAGSGGGGGSDAGSVNSASSITRETASTAGDRKRIRRRRRRRSSKGCAVARRLQEASCGAVRALFHRLLACTTSVEVADAGEPTSSR >ONIVA05G01460.1 pep chromosome:AWHD00000000:5:969975:972074:1 gene:ONIVA05G01460 transcript:ONIVA05G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAHTVLDVDSGGGAATAAAGPPVPYLLSFTDLSYSVRKGGGGVLSCLPSSRRRRHSNRLASADAPAPPDAPTKALLDGISGEARDGELFAVMGASGSGKSTLVDALAGRIARESLRGAVELNGEPLHGRRLRAISAYVMQDDLLYPMLTVRETLLFAAEFRLPRALSPDKKRARVDALIDQLGLARAADTIIGDEAHRGVSGGERRRVSIGTDIVHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVIMTIHQPSARILNILDRLLLLSRGRTVYAGTPAGLKPFFSEFGDPIPDNENPAEFALDTIRELEHQPDGAAPLADFNVKWQSMHAALPAADSKDSKRCTMPLELAITESVSRGKLVAGSGSGTASSTSVPTFANPLSVEVWVLMKRSFTNTGRMPELFVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRLSYVFANAVVAFPPLVFLSLAFAVTTFFAVGLAGGGGSFVFFVLIVLASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPSYWIWFHYLSLVKYPYQAVLQNEFRDATRCFSRGVEMFDGTPIGAMSRAVKLKVLDAISKTLGTNMTANTCVTTGADVLAQQAVTDIGKWKCLLVTVAWGFFFRALFYVVLLVGSKNKRR >ONIVA05G01450.1 pep chromosome:AWHD00000000:5:965326:969095:-1 gene:ONIVA05G01450 transcript:ONIVA05G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNQDCKEMPRYDDRYGSTRLYVGRLSSRTRSRDLEYHFSRYGRIREVELKRDYAFIEFSDPRDAEEARYNLDGRDVDGSRILVEFAKGVPRGPGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRNLRRERSYSRSPSPRRGRGRSRSYSRSRSRSRSYSRSRSRSLSGSPRARRELERSRSLSYSRSPRRSISPAANEKKRSPTPDGSRSPRSPQDQVSPPPKDNAERNGSDHGDSPRGRENSRSPSDGYRSPAAANGRSPSPRNNGSPSPMDNGSRSPRDGNGDGGSRGGSRSPRPSESPEA >ONIVA05G01440.1 pep chromosome:AWHD00000000:5:961293:963335:-1 gene:ONIVA05G01440 transcript:ONIVA05G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPGDCVVLDIDDAAAQSTPVPYALTFTDLSYTVGSRRAGLLPPLPTDAPPAKALLDGISGEARDGEVLAVMGASGSGKSTLLDALAGRIARGSLRGRVELNGEALHGRRVRAISAYVMQDDLLYPMLTVRETLMFAAEFRLPRALSPDKKRARVDALIGQLGLARAADTIVGDEAHRGVSGGERRRVSIGTDIVHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVIVMTIHQPSARILNILDRLLLLSRGRTVYAGSPSGLKPFFSVFGYPIPDNENLVEFALDTIRELEHQPDGAAMLVKFSSRWQSSLGALLDTKDDKDRSQRCTMPLELAIAESVSRGKLVAGSGSGTLSSTSVPTYANPWYVEVWVLMKRAFTNTRRMPELFVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRLSYVVANTAVAFPPLVVLSLAFAATTFFAVRLSGGGASFAFFALTVLASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPSYWIWFHYLSLVKYPYQAVLQNEFRDAARCFSRGVEMFDGTPIGAMSEAVKLKVLDAIGTTLGAPLTAETCVVTGADVLAQQAVTDIGRWKCLLVTVVFGFFFRFLFYIVLHFGSKNKRR >ONIVA05G01430.1 pep chromosome:AWHD00000000:5:932539:935799:-1 gene:ONIVA05G01430 transcript:ONIVA05G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMLPSKSRSGPNESPISRGRPSTPSSNHRPSTPSSIHRPSTPGATRRSIGGTPSTPRSRNNGVGGGGGGPFKSEPNSPPSATARPRLSFDRSPRSVDSKPVVERRVPKIGTPPDKQPRKEAELQARLESAQEDLKKAKDQLAFAVGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQKREEEWQREIECVRGQHAADLETLVTTTEELERFRRELAMANEAKKAALGHADDAMKIAEVNAEKVEILSSEVVRLKGLLDSTAESEESKNRETEELVKNLESEVSVLKGKLEEARIIEERLAETEKLIEELKSEVADAKKAESEARQLFEEWKHKAGLLEMELEAVTLSDKFKGESLASTTEELGKIQSALQDRESEIEVLKGKTTALEIEVARLLADVNESNEQFDASQQEVFGLQTTIDVLRNKLEAAEEAASEALNNEKAANVKIEGLTEENVKLISELNETRDREEKEKRAVEDLTAALSEESDKAKEAHERYLSKEDDHEHALAQIGDLKMALKSTKESYEVMLDEANYDITCLRKNVDKLEAEVNKYREECESKETDIVRLNKQSEEEIGALQLEVDKAVESLQDAEHQLQVANEEKEKLQERLVYTESACAEASKALHEAKTEKESLEEKLIYTEAAVAEANKSVQEATYENSQLKERLLDKENALQSLTQENDEFRLREADAMKKIDELSALLAEAMIKKHPEEEEKLVVVDEAHSSVHEEVTDSVVENGDAESENDKNPKLELDVLNRSSNGDMNHEEEKGETKVEQEEVKTECTTQERNKIIEKQPHPDRKQETVSSKDELEPKEDTNTEHPNGTVSEDTSKVAMSPTKPQQQQKKNKPLLKKFGSLLKKKNSK >ONIVA05G01420.1 pep chromosome:AWHD00000000:5:928838:931880:1 gene:ONIVA05G01420 transcript:ONIVA05G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G44580) TAIR;Acc:AT2G44580] MDAAMEDAAAQSEREWDGGGGADAVLGLAGAGASLSLCYHEAFGPHDELILLEAADDLLPDLLQGRVTVRGRPEEEAVLCTPSATYAMKFVGNSNSVFLIPPGESAAPTLRPNGADGDDNFASATDAVASIIKVASGNIELVRTAPRLDKLRKLLNERPYVLDEDLGSDLQQKGLYTWQDLCELVQASDGELTEQLSSISAVEIDGFWRMVDDSSANTILDMILHNSVLHDWSLNSMPENDVLDVMESDGFMRKIVTHCLNRFGTKVDKEARGCWSLDERRVCLQFARRALGAGKMKLENFMGKWERSIPSGMRADLQMLEGEVLCEKLGAETWVHAFSVADLPLAPADRFAALFQERPKRVHPNTCY >ONIVA05G01410.1 pep chromosome:AWHD00000000:5:922096:927798:1 gene:ONIVA05G01410 transcript:ONIVA05G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGRRPLAIPFPLLCYCFVPPSPALDGEELIIPAGAWGMGGLFVSAPMLDTCSTECLPRKCQRLIMHTYGLRRWCDEGYKPWRLLSSHLDCLVRMWLAHHHEYALLAARCSILPCP >ONIVA05G01400.1 pep chromosome:AWHD00000000:5:919120:920275:-1 gene:ONIVA05G01400 transcript:ONIVA05G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDESDKGLFSNMMHGVAGGHGYPPHQGYPPQGYPPPPGAYPPPPGAYPPPPGAYPPPPGAYPPQHGYPQPGGYPPPGGYPQHGGYPPAGYPGSSGHQGGHGSSGGGHMGAMLAGGAAAAAAAYGAHKISSHGHGGHMGYGGHGGFGGYGHGYGGHHGGKFKHGKHGHHGKFKHGKHGHGMFGGGKFKKWK >ONIVA05G01390.1 pep chromosome:AWHD00000000:5:916101:917387:-1 gene:ONIVA05G01390 transcript:ONIVA05G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKDEQQDRGLFSNLMHGFAGGGGGHGYPYPPQQGYYPPPPTAYPPPPPAGYGGGYGYPPAGYPGSSAPFQHGNHGGGNMGMLAAGAAAAAAAYGAHKLSHGHGHGGYGYGGHHGGLFGGHHGHHGGLFGGHHGHHGGGLFGGHHGHHGGLFGGHHGFGGHHGHHGHHGHH >ONIVA05G01380.1 pep chromosome:AWHD00000000:5:907968:908813:1 gene:ONIVA05G01380 transcript:ONIVA05G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aluminum sensitive 3 [Source:Projected from Arabidopsis thaliana (AT2G37330) TAIR;Acc:AT2G37330] MAALLQRLLVVVNQVDPGAPGFWREFLVGMLKPVAATAVVAMAVALSFSQRLGLEGEMLYAMARAFLQLSVIGFVLQFIFTQKSAAWILLAYLFMVTVAGYTAGQRARHVPRGKHIAAVSILAGTSVTMALLVALRVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVGMQRGVVETALALGATPRQATARQVRRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTGAFQLNDAVFAAD >ONIVA05G01370.1 pep chromosome:AWHD00000000:5:907649:912467:-1 gene:ONIVA05G01370 transcript:ONIVA05G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKGLVSNLMHGVAGGGHAYPYPPQQGYYPPPPTAYPPPAGYAAPYQAMVEEGTWLRCLERVQPSPPRRTVHTSFLPMAAMEATVTVTAVTMAVAASLAAITMATAAITANTMEAITDTTTTMAASMAVITDTTATTAASMAVTTAVTMVATINTALSFSPCLLNNDTQGSPGVGMSGSLPAERHRSGVAEAMCRLASGVRMVVLDWETYHGGRVVRVPSESLAQCFIGPTVATVSGVVTLLRALLRYPLLPRQETLGESLVQFFGWTTTVSFSVVIFLGGVWKKLLRSDEESTLMVLTQRMKEMVARLKPTRTGVERGEATERVDEDEEELVVVSERKRGRRRAREATTPSSSAFAVFLSASLAASAWRLGATWGRHAGWWGPRCSGTTVFVFFLILSVDEELQCPPLFSIFY >ONIVA05G01370.2 pep chromosome:AWHD00000000:5:909664:912467:-1 gene:ONIVA05G01370 transcript:ONIVA05G01370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKGLVSNLMHGVAGGGHAYPYPPQQGYYPPPPTAYPPPAGYAAPYQAMVEEGTWLRCLERVQPSPPRRTVHTSFLPMAAMEATVTVTAVTMAVAASLAAITMATAAITANTMEAITDTTTTMAASMAVITDTTATTAASMAVTTAVTMVATINTALSFSPCLLNNDTQGSPGVGMSGSLPAERHRSGVAEAMCRLASGVRMVVLDWETYHGGRVVRVPSESLAQCFIGPTVATVSGVVTLLRALLRYPLLPRQETLGESLVQFFGWTTTVSFSVVIFLGGVWKKLLRSDEESTLMVLTQRMKEMVARLKPTRTGVG >ONIVA05G01360.1 pep chromosome:AWHD00000000:5:904749:907050:1 gene:ONIVA05G01360 transcript:ONIVA05G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMRPVFLCGFVLEEQIEEADSLAPMGFLQNTFSVCVGIGCGIYIAQNYDVPNMKKLMRDWMGKAKEVEESYKKPGGSKN >ONIVA05G01350.1 pep chromosome:AWHD00000000:5:895897:897333:1 gene:ONIVA05G01350 transcript:ONIVA05G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVQQQQSGGGGGSTGAAAVGSTTRWCPTPEQLMMLEEMYRGGLRTPNAAQIQQITAHLSTYGRIEGKNVFYWFQNHKARDRQKLRRRLCISHHLLSCAHYYHHHLAAAAAVVPPPQLLPPLHPSSSSSSCGGGLIDHANSLLSPTSATTPTSAAAAAAAAAYTTSYYYPFTAAAAPPPPRTSPAASPLFHYNQGGGGVVLPAAEAIGRSSSSSDYSLGKLVDNFGVALEETFPAQPQQPATTMAMTAVVDTTAVAAAAGGFCRPLKTLDLFPGGLKEEQHDVV >ONIVA05G01340.1 pep chromosome:AWHD00000000:5:879416:883528:-1 gene:ONIVA05G01340 transcript:ONIVA05G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETPSFEVYTGATGLHHLDQAQATYSFQGTQGRDMCKLGLDMGLVLAQLDGPMVDCNIVRAATCMQDLTNIKLVVCNPMTGEMAILLPVLSGKDRLGLYACALLTADDLQDSADPLPPGPAAFRLLVLYKRRSFTACRIANQTNTTSM >ONIVA05G01330.1 pep chromosome:AWHD00000000:5:878404:893009:1 gene:ONIVA05G01330 transcript:ONIVA05G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGDWTVVRRRGRRRGDAAGDAASQPDAPPPLPVTPIPWSPSDPSLDPARVSRLVDRARAAISRVAASRLYGRLLLPGSPLRRRLALLAPTRLSLLGVGSFENSPSSRLQLALAALLRRDLLRLPESSAHADLFDPVLSAAECAAAAALGFTVPGVNDGCRRRADEPTLFYMPHCEASLYDALLAANWEPPSQLRHVCVLGNSFRNYAIQAEENRSGPAARAKHVLAAERFAWEERVSEKGGVDDDDDDVFNRAFNETSWHFFEVDDAADLAAAVVHTNS >ONIVA05G01320.1 pep chromosome:AWHD00000000:5:870777:874574:-1 gene:ONIVA05G01320 transcript:ONIVA05G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRSSGGGRVPRLDGEASPDATEEEEEEEEEEEESPATPSQESDAGDFSGGEENGGDVGMEEEDEEDDEVEEEEDEEDSGMGSDELEITELGEAGSEMCQVGDQSVAVPLELYDLAGLGDVLSLDAWNTLLSEEERQRLAALLPDMDQETFARTLAELLRGDNFHFGSPLAALFDRLKGGLCDPRIALYRRGTRFAERRKHYYRLQSYHNSMVRGLWDVKDCWKGCQGYNINEKLRALDAMKAQQQQQQQQQKAHLGLGGRAGSETDSESREYGDPSLMRLKPDKTVLKKSGKPEKERSKGLLRLGAPKGLGEEYIGGAGRDAAMALSELSRQDNAYGYDSGVMRRGKPRRSQQGLHSEEFGDDRDLRMIRSHRPMPKPGKKELAASYDGNLYGNNYHENQNGSSYYYGRNANANQGVTVAAAYDRPYFDTAKNAKYSDRDWMYGGQGMSSKALKGDEMDWPAGSYAGSMNDWQRGQSAGDYRSRKTQAGHGLKVKSYKSIEKQISDANFGSDHRGKIPGKIKGKSTSQYDRIGQKYSRSNAVYTQSEETESDSSEKFEGGGDMDLKRQPEHHSGSHRPAYSAKKLNKLPKASKVNYPTATEDFEPYQSKGTHRVNVTESDYLRDVHVTETEQISEMMRPPAARGERKRKVMASVDTHDHGNTELPDSNENADESLRSPENGERLASGSGCVDSNGDVEKKKMPLASCSSGSKKQKRRVEATSPAEHGEDAPSAPKLVENSSSSKKKGKKKPAAPEAVTDAVVVDEPAPVLPEVNVVVVEPEKPKKKYVPITPTIHTGFSFSIVHLLTAVRKAMATPTEDTLSAKQPDGEESRKCFNNEEHCKTPQDPSATEQAQQGHEAVDASGPEKAQQGHETADASAAEQTTPSNLPAFTVQEIVTRIRSNPGDPNILETQEPLQDLVRGVLKILSSRTAPLGAKGWKALVSYDKSNKSWLWVGPLPSGSSDGDPNEETSPDAWGIPHKMLVKLVDAFANWLKSGQETLKQIGSLPPPPAPDPANLDLKERFKDLRAQKSLNTISPSSEEARAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAAARLPARTGTRADVCTLLKDSQYLNHEESNKEAAVNQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRKESDPADPGNDDLEDDGTPTASDAKKQKTDSTASGEDKDSEDPAIQDPSAGDLEGDPDPDH >ONIVA05G01310.1 pep chromosome:AWHD00000000:5:862632:875019:1 gene:ONIVA05G01310 transcript:ONIVA05G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIGLTSPSPKSTEKSGRDLRSGGDANGGANTNSNSIPRGDKEKGVNVQVILRCRPMSDEETKSNTPVVISCNERRREVAATQIIANKQIDRTFAFDKVFGPASKQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKTKNGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPIVPEDKTKKPIALMEDGKGGVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQRMMKSAVIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLGADLEARDKQLVELKELYDAEQLLSAELSEKLGKTQKDLEDTKNVLHDLEEKYNEAESTIKEKEYVIFNLLKSEKSLVDCAYNLRAELENAAADVSGLFSKIERKDKIEDGNRSLVQRFRSQLTNQLDTLHKTVSTSVMQQENHLKEMEDDMQSFVSSKDEAAQGLRESIQKLKLLHGSGITALDSLAGEIDMNSQSTFERLNSQVQSHTSSLEQCFGGIASEADNLLNELQCSLSKQEERLTQFAKKQREGHLRAVEASRSISKITAGFFSSLDVHASKLTSILEETQSVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSHARKKKLVQTAVGNLRESAVNRTSHLQNEISTAQDFTSSVREKWGFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKAKTTMGAQQWKNAEDSLFSLGKGNVESVDSIVRTGTEANQSLRSKLSSAVSTTLEEIDIANKALLSSIDSSLKLDHDACANIGSIIKPCHEEISELKGGHYHRVVEITENAGKCLEEEYLVDEPSCSTPRRRQIDLPSMESIEQLRTPDYDELLKSFRESRASLKQANGDVKHFLEVQEATPPSITDPRAPLIARN >ONIVA05G01310.2 pep chromosome:AWHD00000000:5:862682:875019:1 gene:ONIVA05G01310 transcript:ONIVA05G01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIGLTSPSPKSTEKSGRDLRSGGDANGGANTNSNSIPRGDKEKGVNVQVILRCRPMSDEETKSNTPVVISCNERRREVAATQIIANKQIDRTFAFDKVFGPASKQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKTKNGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPIVPEDKTKKPIALMEDGKGGVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQRMMKSAVIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLGADLEARDKQLVELKELYDAEQLLSAELSEKLGKTQKDLEDTKNVLHDLEEKYNEAESTIKEKEYVIFNLLKSEKSLVDCAYNLRAELENAAADVSGLFSKIERKDKIEDGNRSLVQRFRSQLTNQLDTLHKTVSTSVMQQENHLKEMEDDMQSFVSSKDEAAQGLRESIQKLKLLHGSGITALDSLAGEIDMNSQSTFERLNSQVQSHTSSLEQCFGGIASEADNLLNELQCSLSKQEERLTQFAKKQREGHLRAVEASRSISKITAGFFSSLDVHASKLTSILEETQSVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSHARKKKLVQTAVGNLRESAVNRTSHLQNEISTAQDFTSSVREKWGFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKAKTTMGAQQWKNAEDSLFSLGKGNVESVDSIVRTGTEANQSLRSKLSSAVSTTLEEIDIANKALLSSIDSSLKLDHDACANIGSIIKPCHEEISELKGGHYHRVVEITENAGKCLEEEYLVDEPSCSTPRRRQIDLPSMESIEQLRTPDYDELLKSFRESRASLKQANGDVKHFLEVQEATPPSITDPRAPLIARN >ONIVA05G01300.1 pep chromosome:AWHD00000000:5:848634:856876:1 gene:ONIVA05G01300 transcript:ONIVA05G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGIIDFELLHTTSGKEYITSDHLKHEIKMEIKKRGRASLVDLSDILGVDLYHVERQSQKVVADDPSLMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVVNILEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQLQLQEMHGASGVSVEGSFFQSIFNGLLKEGVVLGSVRAGVQWTPAVFAHAQKESVDAFFSQNSYIGYEVLQKLAIPQPKQYLEVMEAVNVPLLFKSSARYPDGIALEAVFVHPSVVDMLDAAVGDTIENGQWIDALSVLPSYITGPDATKILSLCPSLQKAIKSSKAVVFGESCVFSNAFIKGIFDRLEKEMDSFGIKHSAGQGKPSNMSSEHRIGSDGKDLGDNDTSSIGASSDKGPKKKRGKVSGSAKGAAVEKDDDNEESIPVKGKKAHRKNKDAGSSGDAKHGGKKASEKTKEDNTNIFPDDLIEQKVLTVAPELEELGGSDDLNGPLKLLSSHLRPMLMDAWMKKRNTMLSENAERRRRLLDNLQKQLDEAVLDMQLYEKSLDVFEDDPATSAILHKHLLRTMGAPVVDKILLTLHKDNKLKNGMDVEDSEENVQLSTADRTSLAKDLPGSLSVKAQALAETLEGKRFDSFMDALRDTAEESGLLFKKLDKRLERSMLHSYRKDLTAQVSSENDPISFLPKVVALFFLQAYNKALQAPGRAVGAVIALLKDKIPAPTYKVLADYHSTTVKVLALQAAATEDGEDCATDRMLERKEDLEERLMPELKSLVLGTSKE >ONIVA05G01290.1 pep chromosome:AWHD00000000:5:844376:848495:1 gene:ONIVA05G01290 transcript:ONIVA05G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 9 [Source:Projected from Arabidopsis thaliana (AT3G46200) TAIR;Acc:AT3G46200] MASAAAAADPGAAYKLLLSCPAGLPRSRVSVKFDQSFDRIPHRDAALEESISVIWNQRLKQNPSSYSGTKFRYGGHAVHYKDEPNKEYCVSLHLGLTDYSTFVGTNLNPLWEKFLVPSEDDSVHCQHMSNPLGNGAIVQTSDEKIIVLQRSYNVGEFPGYFVFPGGHSEPQEIGILAHQTDEKDLAVLNERVSQEMFDGIIREVVEETGVPSNSLTEPVFIGISRREMNVRPTAFFFTKCNIDSGGVHELYSRAQDGFESTKMYAVSEEELLGMTDRMPGCHRGGFALYEMMKTAAKKS >ONIVA05G01280.1 pep chromosome:AWHD00000000:5:841927:842834:1 gene:ONIVA05G01280 transcript:ONIVA05G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVERNNVAAAAAALLALLPMMMLSSTATAARPVHGGPPGELPPIIITTPPTAISGESDFSVLRKVPTGPDPITSDPPPPPPPSTPTQFSVLRKVPTGPDPITSDPPPPPLSEFPVLREVPSGPDPITSDPPPPPPPLSEFPVLREVPSGPDPITSDPPPPPPPLTEFPILRKVHHKDLGIASKQDGLRPAKP >ONIVA05G01270.1 pep chromosome:AWHD00000000:5:836114:837674:1 gene:ONIVA05G01270 transcript:ONIVA05G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERNVTAAAAMASLVLLMLSTTATARRARHGPPGELPPIRTPPPPSVISGPRVSPVLRSVPTGPNPITSDPPPPPPNHERFQADEDKGRKEHHL >ONIVA05G01260.1 pep chromosome:AWHD00000000:5:832814:833672:1 gene:ONIVA05G01260 transcript:ONIVA05G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIWRRNTSPALLLLLLLAVTAAAAEARRAAVGMRQLKSASSSSQHDDPATSDDEGPVLFPSLTGTLPPSHLRGGSSGRASPLPVAGLAGGDEEVSFPAKPRGEALTLMEREWQEKELLLPRRSDDDDYTSTNTGMKRGLVELERDHRERKFGSGQWL >ONIVA05G01250.1 pep chromosome:AWHD00000000:5:826565:827917:-1 gene:ONIVA05G01250 transcript:ONIVA05G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRIESSKIVKPLYDAGGGARRRRRPARAVFDKVTYDVYMAVIYAFRPPTPPNAALELGLAKTLAVYREWAGELADGGDAVLLNDRGGGAVRGGHGERSAGGGGGRAGRELVRVQLTRFACGSLVIGFTSHHRVAYGQAAGNFLVAWGLASRRLPVAPLPVCDRATRFPPRHPPLVQFPHRDTEYYAPKKKKKNHDAGAVAVEDDDDELATVAHDKIKHVRESGRPPLARGLAAGEATTLRVSVNGRTRMRPAVPRGYFGNLVLWAFPRCAAGELASRPVQHAAELIRRAVARADDAYFRSFVDFASSGAVEAEGLAATADESQAVLCPDVEVDSWLGIDFYELDFGGGGGGGGPFYFTPSYLPMEGTVFLVPSFAGDGGIDAYVALFETHLDEFKKICYTY >ONIVA05G01240.1 pep chromosome:AWHD00000000:5:821936:826277:1 gene:ONIVA05G01240 transcript:ONIVA05G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGGTTGGSRPAAKHHRRSRPPSSPAPSSRRAARTATTSPESKGATALQDPVVSSMEETSFTFEFKRGSKRAKKTMLPEESHKGKDDSTKGFSNKRNLVPAKTPSAKERPEQVEFTHCSPGIVARLMGLDTVPRPKKALDRCQSDIQCNTQRVLSGGDQVYDASSEDQPCSSSADDLPELKDVFEVTEMENKETCIGLQSGNEEPCPRSDKDDLEFVRQKFLDAKRLSTDESHRNSKEFAEALEILYSKKDAFLEILQENSGALPGFSGHIFGHNGLQYSKLFEQENCSRMGVERDEFFNVPKELENPIPSSRLNETYGVPLDSLPPKGSKSKGSSRRSQIVVLKPNLQRKSFTPVLSSETSHFGEMSTHNCSRPQHHCMHRGRVTHSAPLNNDQVLQPKRDTPGLRGAIETPKIGSRRKSSERECQLAIGSGRATDTPSSFEDNLPIYPPNHSVGSSVCRKAKKHLSERWQMAYQSDEEISMPKDTITLGEMLEMTDRDATKVITHKISSETNYNHDNVQKAPACPVGISSKDGWKTGIYCKDNSRSGTSRNFSRSKSLPTSATNNAKLPCRKQSAPTCNLPILKDLLNAPTDESGSEHVRNRSSFRKTKQRSGRAIIHAGKENMLPVKEIHVTSEKARHSICISDLSRASNTHSEHPDGVMSNEDHQTSGSTALDDDLQSSKERMGWTELKLTPPLPVTKEDTSIHNQDNIVLKDQEGRNQQVEIDIAEAESQAIDSSHIISLENYKCSNSTASLQQICGHDTAYSGIFKGVSDGIQELRMQLKMLKMGDQDDTCGDDIYMLSSDECSDTDNLTYQLMEEQLPVFKDEYDRDFSYTNDILGSASDFLVYPEDWQVSPDVFVWLEDKYSKLLLWSKSDRRLLFDLINSILADMTAPGNSLCSNIMVKCWSEMDPRKLAENVWQTVLNRRNYEPFSLDCVEALPLDHHSEVEAIGAEIVKMLHDDILEESVAEFISQ >ONIVA05G01230.1 pep chromosome:AWHD00000000:5:812699:814577:1 gene:ONIVA05G01230 transcript:ONIVA05G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEPSFPHAADGDDDEVYSGSTTMSPLSLTSPAPDQAPVAPTMIRPLRPPGMASPTPTPLRRLPPPTSWMPAGSPVSDRWFMFGDARQARCPALLAGACAVVLDATRPDGFAMVGPEGDVFAVSSDIGGAGSDPAGGAREISWRVALFIDPNAAGVLPLPDGPYLVHSTRRGGRWRVFVRTSPDEASGVRVYVVGTRSREDLADSLHIAVDTTDDGGEDGLARTWRVMVESGLDGDTGSWRTTVHTGGRATATASHEHVSRPISGVIRSSSSSSHSRSRSSEGSSSGQHQRQSRWDQPPPPPCCSGCGTTHRVEWVMTCCHRLLCVGCAEVNPCGCPEWQNRRGFAVPILPQLALEEECVVEGAIVPQLPRWQIFYARRTGSEVYHAFFRVQDVIHDRGSVLWDSRRWHQVRFEIVELPSRYTWMRFPPMTEEDTLAFEFLVIQYRHRRRQ >ONIVA05G01220.1 pep chromosome:AWHD00000000:5:811043:819609:-1 gene:ONIVA05G01220 transcript:ONIVA05G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDSSPPDAKRLRRSPPTSTSPDHLLVDEILTRLPIAAAVRLRAVSRDWNAALTTDHFILAHRARAAAARHPELLFFAPPDHRNTTTFYACSLRGGEPPAAARELLTIDYFSAKHAVMSPTPCRGLTLVSDGRAPRYYLLNLSTGDHVALPPCQPAAKAHPDPLAWLPRGTTSYLPSMTPWRPFELSTTGLGFDTATGEHKVVRLFKRRNGEHACEVYTLGKPGGWRPCAGRVPASAASILPAMPPVFVNGYLYWLLQPAAPGDEQIRRILSFSIGAEQFGSVYVPPRLSSRMCHLANLDGSLCAVFDYRGAGGVYGLFTCSEPSASPSPSWSVRCSIYLNRLPREVSDELMEERVIVPLCTAGGRILLATGRHEVFAYDAGRNAVERVFRMQEFVDVPNDCREARLLLSVGLHDECIADLHPGAGGERMLFVNTGRRGNTVVKREVPVEYHDDSDRRFNGFSSKRNLVPANTPSAKGRVPQQLEFTHCSPDEAHRNSKEFSEALELLYSKKDVFPKILQENSGAFPGFSGHILGHSGLQYSKLFEQDNCSSMGVEHDGLFNVPKEPEMQQKRQLRQLDLNLNLEKNRKCESQAGEERQKNKCSRSAHRPASSTQLVGAFPTEGLIPEDHVMAMVPLDPEAEEVEHLEKSEEIQRRTLLGTQLLKRVVGEVYNSKYGQRHDRSGLED >ONIVA05G01220.2 pep chromosome:AWHD00000000:5:808152:811039:-1 gene:ONIVA05G01220 transcript:ONIVA05G01220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLTNEVQEDRKCEKTWRKDAKRLRRSPPSPSSSSPDHLLVDEILTRLPIAAAVRLRAVSRDWNAALTSDYFILAHRARAAAARRHPELLFFAPPEPRKTSSTTTFYACSLRGGEAPAAARELLTIDCFSAKHAVTSPRPCRGLTLVSDGREPRYHLLNLSTGDHVTLPPCQPAAELHSEPIARVLPGGITSYLPSMSPWDPFELSTTGLGFDAATGEHKVVRLFKKRNGEHVCEVYTLGKPGGWRPCTGRVPASAANFLPGLPPVFVNGYLYWLLQPAAPGDWQIRRILSFSVGAEQFGSVYMPPRLSSRMRHLTNLDGSLCAVFDNRVEG >ONIVA05G01210.1 pep chromosome:AWHD00000000:5:806028:807697:1 gene:ONIVA05G01210 transcript:ONIVA05G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCTAPVGSEAFRISRRRRLRNAMADLQEDNSKSYKTPRGYAARRRPPPLPTTSSSTRSSRASPSPPPSASAPSPATGTPPSPPTTSSSPTAPGPPPPRAATRSCSSSPPDHRNTTTFYACSLRGGEAPAAARELLTIDYFSAKHAVTSPTPCRCLTLVSDGRAPRYHLLNLSTGDHVALPPCQPAAKAHPDPLAWLPRGTTNYLPSMTPWRPFELSTTGLGFNTATGEHKVVRLFKRRNGEHACEVYTLGKPGGWRPCAGRVPASAASILPAMPPVFVNGYLYWLLQPAAPGDEQIRRILSFSIGAEQFGSVYVPPRLSSRMCHLANLDGSLCAVFDNRVEGDVYGLFTCSEPSASPSPSWSVRCSIYLNRLPREVSDELMEERVIVPLCTAGGKILLATGHHKVFAYDAERNTVERVFRMQEFVDLRHDYIKAPLLINIGLHDECIADVHNGDGGGERMLRVNMGRRDNMVVKQEVAVEYHDASNRQFNVLLKDLKRIAACFRRT >ONIVA05G01200.1 pep chromosome:AWHD00000000:5:800554:803779:-1 gene:ONIVA05G01200 transcript:ONIVA05G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHVRMTITLPSPSRIPKPPEHGGTASWAPHVILTPSVSGELPLPINPASPISPVISPPIARRRRNPKSSLPVREKRQVVVAAMGVEVCVKAAVGHPDTLGDCPFSQRVLLTLEEKKVPYEMKLIDVQNKPDWFLKISPEGKVPVFNGGDGKWIPDSDVITQVIEEKYPTPSLVTPPEYASVGSKIFSCFITFLKSKDPNDGSEKALLTELQALEEHLKAHGPFINGQNISAADLSLAPKLYHLQVALEHFKGWKIPEDLTNVHAYTEALFSRESFIKTKAAKEHLIAGWAPKVNA >ONIVA05G01190.1 pep chromosome:AWHD00000000:5:796496:798981:-1 gene:ONIVA05G01190 transcript:ONIVA05G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLTPRQARKAYGGDGGTYYEWSPADLPMLELANIGGAKLSLNAGGLALPSFSDSGKVAYVLQGKGTCGIVLPEASKEKVIAVKEGDSLALPFGVVTWWHNLPESPIELVILFLGDTSKAHKAGQFTNMQLTGATGIFTGFSTEFVGRAWDLAESDAVKLVSSQPASGIVKIKSGQKLPEPSAADREGMALNCLEAPLDVDIKNGGRVVVLNTANLPMVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYFIRGSGRVQVVGADGKRVLDTHVEGGNLFIVPRFCVVSKIADASGLQWFSIITTPNPIFSHLAGKTSVWKAISPEVLEASFNATPEMEKLFRSKRLDSEIFFAPN >ONIVA05G01180.1 pep chromosome:AWHD00000000:5:786749:792955:-1 gene:ONIVA05G01180 transcript:ONIVA05G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-hexosaminidase 1 [Source:Projected from Arabidopsis thaliana (AT3G55260) TAIR;Acc:AT3G55260] MPPKLLTYLILALLAASAAAARRHPSPASSAAAGEPVYLWPLPRNFTSGSRTLLVDPDLALDGQGPGGAAAAVAEAFERYRSLVFSPWAHAARNASGGYDVGKLTVVVASADEKLELGVDESYTIYVAAAGGVNSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRHAPWYIEDEPRFAFRGLLLDTSRHFLPVDVIKQVIDSMSFSKLNVLHWHIIDEQSFPLEVPSYPKLWKGSYSKLERYTVEDARDIVRGKGYPKLWPSPKCREPLDVTSNFTFEVISGILSDMRKIFPFGLFHLGGDEVYTGCWNATPHVKQWLHERNMTTKDAYKYFVLKAQEIAINLNWIPVNWEETFNSFKENLNPLTVVHNWLGPGVCPKVVEKGFRCIMSNQGVWYLDHLDVPWQDFYTSEPLTGINNTAQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAVRGHICPRPGNNRLGSVTLFQMPAESPWNRCSSSHKLLCSASPNWSWFMLHSVNRELQSKDRHKRVFS >ONIVA05G01180.2 pep chromosome:AWHD00000000:5:787445:792955:-1 gene:ONIVA05G01180 transcript:ONIVA05G01180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-hexosaminidase 1 [Source:Projected from Arabidopsis thaliana (AT3G55260) TAIR;Acc:AT3G55260] MPPKLLTYLILALLAASAAAARRHPSPASSAAAGEPVYLWPLPRNFTSGSRTLLVDPDLALDGQGPGGAAAAVAEAFERYRSLVFSPWAHAARNASGGYDVGKLTVVVASADEKLELGVDESYTIYVAAAGGVNSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRHAPWYIEDEPRFAFRGLLLDTSRHFLPVDVIKQVIDSMSFSKLNVLHWHIIDEQSFPLEVPSYPKLWKGSYSKLERYTVEDARDIVRGKGYPKLWPSPKCREPLDVTSNFTFEVISGILSDMRKIFPFGLFHLGGDEVYTGCWNATPHVKQWLHERNMTTKDAYKYFVLKAQEIAINLNWIPVNWEETFNSFKENLNPLTVVHNWLGPGVCPKVVEKGFRCIMSNQGVWYLDHLDVPWQDFYTSEPLTGINNTAQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERMWSQLEAISAQDLETTVLARLHYFRCLLNHRGIAAAPVTNSYARRPPIGPGSCFIQ >ONIVA05G01170.1 pep chromosome:AWHD00000000:5:781067:785150:-1 gene:ONIVA05G01170 transcript:ONIVA05G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT3G55270) TAIR;Acc:AT3G55270] MFFRSVPLALRLSAPCKTKTPASNRGPHRAAARTNLGADRTASPALKTLTHFPSSPLLSSPLPLLSPATSLLASISRSARPQAPRFAAGGSDAAGFERGIRLARIRFASPVVRFGWPWPRPTTAEGPLNITRRSLDEWPRAGSDDVGEWPNPTTPGASKAEGAGSAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVADHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQLVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCDQVMEKDARAAAFQVVRYEKVQGHIKVVREGLELPEFWDAFSSAPVNSDSNTKISKDQIDSASKTGPGNRRVESYDADFELVYKAITGGVVPAFSSSGAGDETHLPARESTWSSLRRKFISRSLARVYSDSALIRDLDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSISSKSPLHQSSNEEPSKSGLGSIRSPSKTSSIAERRGGFSSLKLPSFQKDLVLPPRVPTSLRREEEVTDKSNNNSVKQLTGVCCPEKCTGNTSTVHTKTGITERTDSISEACGNLQLLVYRWPSKEKLTTFTRKDLDPKSVLIFVTPEDSRSEAVKTVHIWVGGEYESSKCVDTVDWQQVAGDFFHLKELGNTLPVKVFKEHETENLLEVLNAR >ONIVA05G01170.2 pep chromosome:AWHD00000000:5:781067:785150:-1 gene:ONIVA05G01170 transcript:ONIVA05G01170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT3G55270) TAIR;Acc:AT3G55270] MFFRSVPLALRLSAPCKTKTPASNRGPHRAAARTNLGADRTASPALKTLTHFPSSPLLSSPLPLLSPATSLLASISRSARPQAPRFAAGGSDAAGFERGIRLARIRFASPVVRFGWPWPRPTTAEGPLNITRRSLDEWPRAGSDDVGEWPNPTTPGASKAEGAGSAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVADHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQLVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCDQVMEKDARAAAFQVVRYEKVQGHIKVVREGLELPEFWDAFSSAPVNSDSNTKISKDQIDSASKTGPGNRRVESYDADFELVYKAITGGVVPAFSSSGAGDETHLPARESTWSSLRRKFISRSLARVYSDSALIRDLDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSISSKYSSDSPSLSPSTSSPTSLGLSPASSNFSHTLVPSSRSPLHQSSNEEPSKSGLGSIRSPSKTSSIAERRGGFSSLKLPSFQKDLVLPPRVPTSLRREEEVTDKSNNNSVKQLTGVCCPEKCTGNTSTVHTKTGITERTDSISEACGNLQLLVYRWPSKEKLTTFTRKDLDPKSVLIFVTPEDSRSEAVKTVHIWVGGEYESSKCVDTVDWQQVAGDFFHLKELGNTLPVKVFKEHETENLLEVLNAR >ONIVA05G01160.1 pep chromosome:AWHD00000000:5:774000:784450:1 gene:ONIVA05G01160 transcript:ONIVA05G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASASAAVAGTLPVAAAATGKDKEDRRRLVGRCGFAVVGIMSTLLIYGLLQEKIMRVPYGAEKEFFRYSLFLVFCNRITTSTVSALVLTASKKSLDPVAPLQKYCVVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYFFAVVVTVGCSLFILYPASMDASPFNRGRENTIWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCVLSLSDCFYDVIILSSVATASQFFISYTIRTFGALTFATIMTTRQAIVFGALYTKSFLRSKPQKPAAANPSNSANNS >ONIVA05G01160.2 pep chromosome:AWHD00000000:5:784003:784839:1 gene:ONIVA05G01160 transcript:ONIVA05G01160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEDTPTLLPDVLKVIKHIIQDAGDVLRRAVLKPKRPVDEVRLEVLGTNEANAIEHVGDPVLAQDVAVLGNGVASKTWSATLEHSLSKKAIWSLRPCDRRDERSKRRPSPGLAEPAPSALEAPGVVGLGHSPTSSEPARGHSSRLRRVMLSGCSGGRQDRPFPPRGVRGGGGGMRRACPAPAPPPPPAPAGVAASGGVSREADHDAERQNFFPAPPPTPPPPSSGVAMATRIGPPATQTYTKSHQTRMVILTPFHTPQKNSKKNQKKKTKVEEPPT >ONIVA05G01150.1 pep chromosome:AWHD00000000:5:764180:772646:1 gene:ONIVA05G01150 transcript:ONIVA05G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQVAHFVRLRSSVRSSRYLAAADDGTSVFLCGRRGVHNAVWAVEPVIGVIPGASAGPYVRLRGAYGRYLVATNYGAGRGPSDGVVAEQRDLGVRPTPPGYLWQAFRRRDSFVLRNGAGRYLRANGRFRRWHKDVSVAGDNASTMMQWRVEVVPPMASRPSLVDLPAQLMHRTNPPVESDLSRVIRYVRADNAGRYGEQEWAPVRVNTNNLTHLRLTMAERLGQNCDAGQITLCVRAGRYAHLSPLLVDLPMGNNPIHIVVLNHGTPEIVPLGSLLRISSVNKRKPTNDGFEEQDQPEAAGGQQKKVLFAEAGKEFVDFVFSLLTLPVGAVVELISAGTMQGSIGRLYQSVEHINASYLLPNKDRADLLQPKVLHPDARELLLLQPESGGAGGSPLARFKLYTCAGHCTTAAMEAKAACPQCKQAMATEVALVLPSASSPAQSSAAASGGDGESSGYVKGLVTYMVTDGLEVTPMSAISSITLINKFSIGKDIELAEKYVSIAMDEGLGILNAALRSDTVLSDVFLAKKK >ONIVA05G01140.1 pep chromosome:AWHD00000000:5:760226:763855:-1 gene:ONIVA05G01140 transcript:ONIVA05G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRGGGGDRPAHGGEDAGPRVEEAGSCFFFSVDGSFYHPDSILYSQGQQLPADVARPLVGHTTTT >ONIVA05G01130.1 pep chromosome:AWHD00000000:5:757659:761002:1 gene:ONIVA05G01130 transcript:ONIVA05G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPLPLGFGDGLDATLLSSLWAFQDDLQPQESMEELKQSLMATTLELDAAKEELKKKEQSIAKLADLVRHVAKERDDARDQLQRLLAAAAAKPPPPPLVTSSVTDSDGGSLVSSPAADNPFFDPVTSSDKRCCAIASPPPAAKQQHAAAAGADAVLDMLAMKKPLPQRGRLLQSVMEAGPLLQNLLVAGLLPRWRNPPPVALDTLPVGVRAGGAVYPGASLSPGITSSAAVIGYGSGANACGKRPMAAAAAASCSPAAFSAKRHRLH >ONIVA05G01120.1 pep chromosome:AWHD00000000:5:723600:725847:1 gene:ONIVA05G01120 transcript:ONIVA05G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKAATMAEAEAEEEMMMRMMCGSGGNEMMKTKMKKKNREGEEEEEVSGGGRMRKGPWTEQEDVQLVWFVRLFGERRWDFLAKVSGLKRTGKSCRLRWVNYLHPGLKRGRITADEERLILHLHSQWGSRWSRIARSLPGRTDNEIKNFWRTHMRKIAHHAKKKTNSPSPAPTTSSGSLSSSLTTATTTMATAAALQESSSCGGDDEAVDQLVAAATTPASQLLTMDYTMDQLWNDIAAAEADTSCYDAAAMASPPSPVWEFCTDYSLWRIDDEEYYKKMLDASQ >ONIVA05G01110.1 pep chromosome:AWHD00000000:5:713962:718839:1 gene:ONIVA05G01110 transcript:ONIVA05G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAATATAAEAAARVYPWREKLAKYKGELTKGVWGYWELGAWKPLGLSARKRARLRKEVLLAGEDWTYDPPRGEMRTKRKGHKCDRISAEKRANTVELMKKMPQMLLDYKKRRWEKKMKEEESGKS >ONIVA05G01100.1 pep chromosome:AWHD00000000:5:711045:713897:-1 gene:ONIVA05G01100 transcript:ONIVA05G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phragmoplastin interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT3G55340) TAIR;Acc:AT3G55340] MVLARKKLKQKLREVVPNPGGDAEGEVEAEKGVVSSSRSKRVRPKRPKKVMTPMEAVERRKLKREEQFRREVELRREERRKVKKEKRRIRRLMETEAAGEVGEAAAEEEEEEHEAETEGEEEAAAEEQVPAKVVEKRKPKSEPKVKNQKQLQVADHAVGSDDPVLSEESEKNAKKVYVGGIPYYSSEDDIRSFFEACGSITSVDCMTFPESGKFRGIAILTFKTDAAAQRALALDGADMGGFFLKIQPYKSVREKEDFAPKMIEGYNRVYVGNLAWDITEDDLKKFFSDCKISSIRFGTDKETGDFKGYVHVDFSEGTSVAVAMKLDQKVIKGRPVRIRCAVPKKDNQKLNGNANPDSSNNKIRICYECGTPGHISSSCPNKKASEAISDEKKANVDSVTASSKKRRTCYECGIPGHLSSACPNKKAGDAVSSDKGPDDETKSAPSITPEDNKIGEESNSAPSKKRRKCYECGIPSHLSSACPNKVAAVNSDMEKSYGGSSTIPSATSDGNKASDDTNPVPAKKKKRRTCYECGIAGHLSSECPNKTT >ONIVA05G01090.1 pep chromosome:AWHD00000000:5:705575:706171:-1 gene:ONIVA05G01090 transcript:ONIVA05G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFAFEDSDMARVLMLMSSHGQQEQALALPVPVQLPLAAARGDRAPERAFVCKTCNRVFPSFQALGGHRASHKKPRLDGDGDLSLSKPKLHGCSICGLEFAIGQALGGHMRRHRAMTGGMPRAIVVDKKPDVVDVHVHGHDDDGGIKRGGLWLDLNHPPCDDAGDDDAECGHNAAGAGITFHQFLDTGAMAVDCVGY >ONIVA05G01080.1 pep chromosome:AWHD00000000:5:699943:700491:1 gene:ONIVA05G01080 transcript:ONIVA05G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSEKRELLEAHRFSSEELDDETATATAAVLDLRPTQPGEKPRVLVLRTGWAVCRFLKDVDTSAYDDMQVPPPPPSPAANWKRDVQVPSSTSSGSLLGLTATATSLGLSRPPPPPPPNSTSPAAAPLPRPPFLSLVGLMGDGRRGSPLAAPPFAAHALFLRCPRAASTPPPPHSSSPCRPS >ONIVA05G01070.1 pep chromosome:AWHD00000000:5:681558:686297:-1 gene:ONIVA05G01070 transcript:ONIVA05G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37440) TAIR;Acc:AT2G37440] MRKGNARFPKSSSWPRTKTVVKKWLNLKNEEFHSDCINESFAQGRQERRKSCSDKDGSLLTGRDLSGGWLVESSENLRPPARYGSHPPSSSCQPPKELRMFVGTWNVGGRAPDQGLDISSWLLDQQPASSPAHIYVLGFQEIVPLNAGNVLGAEDKGPTYKWLDLIRRALNPYSSERSHSFPSNYPYATEASPERPKNDRVSFSDLLAMEDRLSMVSELDDDSEPSTSNPESSSEEETTDVATRYPRSAGQGYRLAASKQMVGIFLCVWVLADLMPCITSLRVSCVGRGIMGYMGNKGSISISLTVQGSTTMCFVCTHLASGEKDGDEVRRNSDVVEILKRTRFTRRRRLSAPAAAVPSPETILEHDKIIWLGDLNYRLTGSGSGDTQELLDKNDWQALLQKDQLRVEQRAGRVFGGWEEGQISFPPTYKYLADSDTYAAAAAFTSSASKKRTPAWCDRILWRGSGMEQVRYARGESRFSDHRPVNSLFSVQLAAGGNNAKPDHLHLLLLRPRAAGPEAAAGIGLRSSRF >ONIVA05G01060.1 pep chromosome:AWHD00000000:5:677388:681435:-1 gene:ONIVA05G01060 transcript:ONIVA05G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGVVVPHEWMSEVGVAGEKPQPTPAAGDQPPPYTAPPSPLILLMRPTHHDLSMDPINDLNVNRYVTGAFEKTGSIIQCDEQMEEDWKSMPIEEMPKHIICCVILQNQLASCDLRAPTAASLNS >ONIVA05G01050.1 pep chromosome:AWHD00000000:5:673244:676376:-1 gene:ONIVA05G01050 transcript:ONIVA05G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANITKLLHIFTNKSGKGAALNGKKGSKQRGPKKREVQYSSLSLSLCRSSSSSCFCSCSSLKASNPPFSLCVVAKPLLFSCLLGFPLPRTAPPRLFVPRFRSTPTPTTKVVAMAPAVEAVEKKTGSAPVKAPALNERILSSMSRRSIAAHPWHDLEIGPGAPTIFNCVIEIPRGSKVKYELDKKTGLIVVDRVLYSSVVYPHNYGFIPRTLCEDSDPLDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAYEAIKHSMDLYATYIVEGLRR >ONIVA05G01040.1 pep chromosome:AWHD00000000:5:671584:672133:1 gene:ONIVA05G01040 transcript:ONIVA05G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0H8L8] MDVGVGGKAAKKAVGRKLGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEASHCLSLPLPNPSKPSMVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKADKPAKASKDKAAKSPKKQARS >ONIVA05G01030.1 pep chromosome:AWHD00000000:5:650765:655271:1 gene:ONIVA05G01030 transcript:ONIVA05G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGAWRVNLGVEEEDPGEAGGGGAAPDEDGGGEQRPLAVDHLRFAPSLPAPVDEERTGQTALGEENGTTFMNDELKTMQAFLIAAETMKKKDLLLKVWAEQVRSLSYDIEDCLEEFMVHVGNQSLLQQLTNLKDRHRIAVKIRNLKSRLEEVSSRNRRYNSIKMEANNTFDEIESMEDVRNHSRSNIDEAKLVGFDTPKKELLDKINMDANDDDHCRVLCVVGMGGLGKTTLVRKIFESKEDIINNFPRRSWIVVSQSFSMIEMLKDMISQLLGHESLKGLEGKPIRAHNLGTYLRDGLKELRYFVVFDDLWNTDHWEWIREFALPSKNNKRSRVIVTTRLDGVANACTTEPFVYRLKLLEKECAIDLLLRKIGKNKEDMENDDKLESIVTQLVKKCGCLPLAIVTIGAMFANKPSSKWEEMCRQLPSELENNPSPGVKAIRRVVTLSYDHLPSHLKPCFLYLSIFPEDIEIKRKHLVNRWVAEGLVRARVGMTISDVGESYFDELISRSMIQPSRVNVEGCVKSCRVHDIMRDIIVSISKEENFVYSTGDNVPTVVVEKFRHGGNYPIVRIDFSCVRSLTVFGEFDQRPMMLVGSIHSAQFTMLRVLDLENAVFSVTQKDINNIGLLRHLRYLNTHTRRRSTIYALPSSIGKLQNLQVLDIRGCEICTLPTDISKLLMLRILRCSKRPWYFYFDPDEPIKCLKHTLRMPLMLTPLVGSKARNNTIAELHRAYSSHWSETQGVRVPTGISKLKELQVLEVVDLKLTKSKAIQELGELHRLQKLWVTTKGAQDKKRKTLCEAIKKLSSLQSLCVYEDYCLEIWTLEWLGPCNFSPPPLLRKLELYGRIRVMPDSFRNLKQLRKIFLRLSELDGRAIEILGTLPNLMLLNLDCEAYVGNELAFKKHEFPNLKELRISTLFKLRGIRFDEDALPHMERMEIEYCQLRSGIVGIKHLQKIKEISLGDSCKVAGLDLLEEEVKAHPNKPALQLHEDRSTINLGSPVVLTEDQGSSDEGKAKESVHDDAGESSGK >ONIVA05G01020.1 pep chromosome:AWHD00000000:5:648383:657108:-1 gene:ONIVA05G01020 transcript:ONIVA05G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGRSKAKMIYRKWSLLSSTILIWGGAATAGLAGVFLFNAKEKFQKYLSGEGQRLRQQDRAAMGKN >ONIVA05G01010.1 pep chromosome:AWHD00000000:5:646243:648360:-1 gene:ONIVA05G01010 transcript:ONIVA05G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQTRVLYSRGCHLRSYWNTATRGEEEKEGNLGWVGIGACGRQDSPSFLALPVHSLPVCHSTIELATHPADRS >ONIVA05G01000.1 pep chromosome:AWHD00000000:5:645163:645698:-1 gene:ONIVA05G01000 transcript:ONIVA05G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRYVKVDSRFFLVDDNSSSSSCAGTGGGGGDGDYHYLDACFLCKRDITFNRHIFMYKGNAAFCSDDCRQDQMDMDSALAAVKRRHRTLQRSRDMSSSSSPAPAPAQCAANEAGLFAVIPRRPTVADLTTHAAPAVSG >ONIVA05G00990.1 pep chromosome:AWHD00000000:5:643028:644161:-1 gene:ONIVA05G00990 transcript:ONIVA05G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRWAWGPPARAFSTTAKATVPLAHLAELPASLPTSRYTVTPPVQPWPRRLTARSLARLLLRLPTPHLAVLAFRHALFHAAPLPPLXRFAQAKIYIKRTNLPLDMMESRYVKVDSRFFLVDDNSSSSSCAGTGGGGGDGDYHYLDACFLCKRDITFNRHIFMYKGNAAFCSDDCRQDQMDMDSALAAVKRRHRTLQRSRDMSSSSSPAPAPAQCAANEAGLFAVIPRRPTVADLTTHAAPAVSG >ONIVA05G00980.1 pep chromosome:AWHD00000000:5:640572:642026:-1 gene:ONIVA05G00980 transcript:ONIVA05G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16420) TAIR;Acc:AT5G16420] MAARRWAWGPPARAFSTTAKATVPLAHLAELPASLPTSRYTVTPPVQPWPRRLTARSLPPLLPPLPTPNLPALADRHALPHPPPLPPLPSLRLFLSLPSFNSHPSVRSFNALLHSLVSARRLRLAAALFRAAPTKLYITPNLVSCNILLKGLVGIGDLDAALKVLDEMPGLGITPDVVTYTTVLSAYCGKGDIEGAQKLFDDIIASGRRPDVTMYTVLIDGYCQCGKLQDAARIMDEMEAARVQPNEVTYSVVIEACCKEGKPIEARDFMREMLGAGYVPDTALGAKVVDVLCQDGKSEEANQLWRWMEKKNVPPDNMVTSTLIYWLCRNGMVRKARKLFDELERGFKPSLLTYNSLISGLCENEELQEAGRVWDDMVERGYEPNALTYEALIKGLCKTGKPNEGATVFEEMVSRGFSPSRLLFQVLVDSLSEPRHEDTIIKILENAALRGRDFLDGNSWEIFIRKVVDTTDTSKKHLDLVLDM >ONIVA05G00970.1 pep chromosome:AWHD00000000:5:635343:641643:1 gene:ONIVA05G00970 transcript:ONIVA05G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSHQEDREEEEHSHGDGEITAPFLRPSTSRGSPELEEEEENSPIEQVALTVPVSDEPETPVLTFRMWVLGTASCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAALPERAFFRGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITAVRVFYGKHISFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEARSKGGLTRNQFFLVAFICSFAYYIFPGYLFQMLTSLSWICWVFPHSVLAQQLGSGLNGLGIGAIGLDWSTVSSYLGSPLASPWFATANVAAGFFFIMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNISSIVDSHFHFDTKAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVLLFHGSEIWQLSKSAFQEKRMDIHTKLMRRYKQVPEWWFVCILIANITVTIFACEYYIEQLQLPWWGVLLACAIAFFFTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYIGTAWWLMETIPNICNTELLPSDSPWTCPGDHVFYDASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPVLVWFAHKAFPNQNWILLINMPVLIGATGQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKGVVVDGCPVYT >ONIVA05G00960.1 pep chromosome:AWHD00000000:5:633248:634200:-1 gene:ONIVA05G00960 transcript:ONIVA05G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQETECSWDAGSGTGTLILSFNCSDSKKPRLICFAEGKQPHDARALQPWRAPPCSRCSTANLAAGDLRYASSSSSYHPLPIKSGHFAPGDPFPRIRACLILSFHTEN >ONIVA05G00950.1 pep chromosome:AWHD00000000:5:630018:633005:-1 gene:ONIVA05G00950 transcript:ONIVA05G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWSEGDEYRMETLFLTVDEANTNIYSGKPWASKRAPKEEIDDQSRPIAPTPRPRRPEPSCWARTELGKTQQIQEREVSIWNRRMPPGRTGSASSHLFSVLPSHEFGAALQRFQLPSGTYVVVQTLTLPVWRFEWIKILQEFNSGN >ONIVA05G00950.2 pep chromosome:AWHD00000000:5:630018:630702:-1 gene:ONIVA05G00950 transcript:ONIVA05G00950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRTGSASSHLFSVLPSHEFGAALQRFQLPSGTYVVVQTLTLPVWRFEWIKILQEFNSGN >ONIVA05G00950.3 pep chromosome:AWHD00000000:5:629043:630702:-1 gene:ONIVA05G00950 transcript:ONIVA05G00950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGRTGSASSHLFSVLPSHEFGAALQRFQLPSGTYVVVQTLTLPVWRFEWIKILQEFNSERGGDHRIAMVIKLFFFLSRLLHTCFVVEHHGCVCCEPSQFSRVVVLVPPVRQYLIGFCLATLEKSGERDACVAYLIESIYSILDNRCPCWCTHWI >ONIVA05G00940.1 pep chromosome:AWHD00000000:5:628951:633514:1 gene:ONIVA05G00940 transcript:ONIVA05G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHHEASVQQAREEEEELDHHGDPMITSPLLRPSTSASSPENGEEENSPVELVALTVPVSDEPETPVLTFRMWVLGTASCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAATLPEHAFFRGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITGVRVFYGKTLSFFISLLVVLTTQYHQMLGFGWAGIFRRYLVEPASMWWPSNLVQVSLFSALHEKEARRKGGLTRNQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWVFPSSVLAQQLGSGLRGLGVGAIGLDWSSISSYLGSPLASPWFATVNVGVGFFIVMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNVSSIVDSHFHFDTKAYEKNGPLYLSTSLLVTYGVGFATLAATIVHALLFHGRTMILVSSEIWLLSKSAFQEKRMDIHTELMRRYKQVPEWWFICILIANIGTTIFACEYYNEELQLPWWGVLFACSIAFFFTLPIGIIKATTNQTPGLNVITEYIIGYLYPGRPVANMCFKVYGYISMKQALAFLEDFKLGHYMKIPPRTMFMAQVVGTSIAAFVYIGTAWWLMETIPNICNTELLPSDSPWTCPGDHVFYDASVTWGLISPRRIFGDLGTYSALNWFFLCGAIAPLLVWFAHKTFPGQNWILLIKTPVLIGATFQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLEDISLNWWGNDLDGCPLASCPTAKGIVVKGCAVYT >ONIVA05G00940.2 pep chromosome:AWHD00000000:5:628951:633514:1 gene:ONIVA05G00940 transcript:ONIVA05G00940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHHEASVQQAREEEEELDHHGDPMITSPLLRPSTSASSPENGEEENSPVELVALTVPVSDEPETPVLTFRMWVLGTASCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAATLPEHAFFRGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITGVRVFYGKTLSFFISLLVVLTTQYHQMLGFGWAGIFRRYLVEPASMWWPSNLVQVSLFSALHEKEARRKGGLTRNQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWVFPSSVLAQQLGSGLRGLGVGAIGLDWSSISSYLGSPLASPWFATVNVGVGFFIVMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNVSSIVDSHFHFDTKAYEKNGPLYLSTSLLVTYGVGFATLAATIVHALLFHGSEIWLLSKSAFQEKRMDIHTELMRRYKQVPEWWFICILIANIGTTIFACEYYNEELQLPWWGVLFACSIAFFFTLPIGIIKATTNQTPGLNVITEYIIGYLYPGRPVANMCFKVYGYISMKQALAFLEDFKLGHYMKIPPRTMFMAQVVGTSIAAFVYIGTAWWLMETIPNICNTELLPSDSPWTCPGDHVFYDASVTWGLISPRRIFGDLGTYSALNWFFLCGAIAPLLVWFAHKTFPGQNWILLIKTPVLIGATFQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLEDISLNWWGNDLDGCPLASCPTAKGIVVKGCAVYT >ONIVA05G00930.1 pep chromosome:AWHD00000000:5:625247:626998:-1 gene:ONIVA05G00930 transcript:ONIVA05G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08510) TAIR;Acc:AT5G08510] MEEAKQLHARALRRGVRLLQPLLLRVLAAGDHRYAARLLESYPAPPSAPLHNRLLHALASLHRPHPLLLPFFSRLHRLGLLTPLSFTLLFSSSSSSASSSTPFFLCSHSLLIKLGHFALSDPFLSSALVSFYAKSKLLVEARKVFDELTCRDTAVYNALLSAYVKGGLVDSAEKLFEEMPERNVVSWTAMVSGYAQNGRHEEAVETFLEMWERAGVQPNELTVSSVLPACAAVGAMELGRKVEEYARGKGLLRNVYVANALLEMYSKCGSIRQAWQVFQGIGRQQDLCSWNSMIMAFAVHGLWREALALFYKLRMAGVKPDGITFVGVILACTHGGLVNEGKLFFDSMEAEFGLKPRIEHYGCMVDLLGRAGLLIESYSLIASMPVEPDAVIWGALLGACSFHGNVELAELAMDKLIHLEPQNTANLVVLSNIYASSGKWDGVARVWKLLKEKDHKKSAGYSFIELDGTMHKFLVEDKSHPRFEEVYNTLNSVTMTMKLVGLENLEELKG >ONIVA05G00920.1 pep chromosome:AWHD00000000:5:618763:620515:-1 gene:ONIVA05G00920 transcript:ONIVA05G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGQPDAMRRITVHYVNPPPIAGAGEAHVDGLDDEVLDYVIGDVLQDQEGLYQSILYGKYGDDMRGARNTALAQSDGLHYYYHGENSSGEATTSRNSEIDQQIEYDLVFARQLQAMDNLTIETPADEDDDISCVPSPSDSETDEPAEGNNEEAATQDDNDDPDNMTYEQRQALVESVGNENRGLSDLLISYLETWKYKSGFFPRKANHDNCPICLSAFRRRETLITLACKHSYHEGCIARWLKIDKACPVCKYEVFGPS >ONIVA05G00910.1 pep chromosome:AWHD00000000:5:609506:617155:-1 gene:ONIVA05G00910 transcript:ONIVA05G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKFETNQANITSKGFMETLPWPTTTSESFRWTVSPANREGAVAVAAAAVVAEEALAAAAGAGAVVVAVAVAAEPAGKEGKAGPVGTAAPVAVVVVAAGKAGKAGAAGTVAAAGPVGAEATVAAAGKVGAAGTAAAMMGLDEQPTLLQFSTDQIPKIVKIIQLMQSISLGGSRMAAAANAASRSSCNLGVPLIQVQEWSIYQNVFQVRSNNERNEIEIKFTSDKIEY >ONIVA05G00900.1 pep chromosome:AWHD00000000:5:608793:615875:1 gene:ONIVA05G00900 transcript:ONIVA05G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILHHEQEKDGVYPRMRKEEILQVLFHTQLRIHGAVTFVIHMGAKDMVAHLLQVAQKYQTVATESGPAGVSNSDAQNICNMLHPITLFRFVTASRQLAKNIDHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNKLGPIEGLKSYPKQTAAKLPVQNMHEPKQLMAAAGLPNDQTNLKAMGVKTEMNTHANETHGIGPIGNGPQNAAALNNYQNPIGNGPQNAAALNNYQNILRSSVANQSLLQQEASSMFKGPTAMHNGIQLEASRSFRGPNQVHLAQFQHPASFQQPMPQQSSLQGLGVSPQYQQHVLHQLLQEAKNTNNRVLAQQQQLQQLQHAPANSGLASGGTAITGSAASGDHMNNNGAVKGGTPMVTTGPSSVINNTASILPSRSNSFKSVSSNPQVAAAAGGGIGSGGHAATPKADALHELDDLDNLGNLISTELEESGLFLGDQAGGGYSWNM >ONIVA05G00890.1 pep chromosome:AWHD00000000:5:603692:606229:1 gene:ONIVA05G00890 transcript:ONIVA05G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRMIPPALLLAAAVAAALATAVSGQGRPVTESGAQTAPTPSTFTPKDNFLIDCGSTSPVTTGGKVYKTDAQSNSLLSAKDAIKVATTDADVPSPLYLTARIFRDEAVYSFPLTVPGWHFVRLYLFPLKNSDFDLATATFTVSTDTNVLLHSFTAENKPVMKEFLVNATENHLAVKFYPLKGSAAFINAIEVVNAPDELITDMAMGIAPVGEMTGLAEAAYQVVYRINVGGPAIAPDKDTLGRQWDVDAPYVQSKEAVKDVSVPVGNIKFPDGTSKLVAPAQVYASCAKMADAGVGSPSFNMSWKMEVDPAFGYLVRLFFADIVSKSMNDLYFNVFVNGRKAISGLDLSTVTGELSAAYYKDIVVNSSIATDKLSIQVGPMGEDTGRVDALLSGVEVLKMSNSVGSLDGEFGVDGKKADDGSGSRKAVAAVGFAMMFGAFAGLGAMAVKWYKRPQDWERRNSFSSWLLPIHTGQSFTTSKGGSSKSGYTFSSTLGLGRFFSFAEIQAATKNFEESAIIGVGGFGNVYIGEIDDGTKVAVKRGNPQSEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENAEMILVYEYMHNGPFRDHIYGKDLPALTWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDNFVAKVSDFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLETLCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNQESLNKFAEAAEKCLAEFGSDRISMGDVLWNLEYALQLQDANPPEGADKPADHDGAGAAPATSSGSGVSTVPDVSTTAAGEMFAQLADMKGR >ONIVA05G00880.1 pep chromosome:AWHD00000000:5:599236:600602:1 gene:ONIVA05G00880 transcript:ONIVA05G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLGSERRVLISASALPPPETLLGRLDQLDLRLRQLEEQRRANAGDGDGGPAAHHQHSKSLPAAALQHVQAKGSLMDRLNLLESRIRQLSCELDVAAAAGGSSVPAVARPAEDRAWSEPPLPEPCKHQAPVCAAAAAGGGSWSGAHFLYKGARQLHRTKPNTSTMKNLKEAKCACEKEKRKAEERWKPARRRWFNVGC >ONIVA05G00870.1 pep chromosome:AWHD00000000:5:590028:591712:-1 gene:ONIVA05G00870 transcript:ONIVA05G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVASNLIGVYISLVHGVAVCSLINLTTIIFFSPLLFFLLSSPLLALHPSRLLLPPPQLRDFSFSKPPFFPPQALLCALLARLSRHWCASLSWLQRSDELCDSWGEEEEERRMLGASPKAKKGATVKFGSMKNPPPPPVVGAAAGAAAAAAGGKVPAEEVWEVRPGGMLVQKRGGGADEEPVNVKPVPTIRVKVKHAGITHEIYINSQASFGELKKMVAARTGLHPDDQKVMYKDKERDSKAFLDMAGVKDRSKLVVVEDPEARARRLIEERRNGHLEKAAKAVAAVTAEVDKLAPKVAALDASVRKGEKVAENDVVQVTELLMNELLKLDAVVADGDVKAQRRLQVKRVQKYVETLDAVMAKNAAIVRKSGEKLTSKQHHHPPARQQKQQPPPARQQQQQQQQAHQHHQQPAAGQTRWEMFDLLSSLPSTSSASSTTTVSSTASSGAPPPLPPPANRLDWMLF >ONIVA05G00860.1 pep chromosome:AWHD00000000:5:570564:571992:-1 gene:ONIVA05G00860 transcript:ONIVA05G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPPAFLDSSSWNDNNNNNHNQQQQQQHAHGHHQHHQVAAGCGGGGGGGDGNSHELLQQQSMIPGTLADGGGGGGAVGPAKPMSMSERARLARIPLPEPGLKCPRCDSTNTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKPASAAGSASAATTTAGSTPAGSTTTTTTSSTCATPNAPALPAMLGGNLSILPPLLRLADFDAMSLGSTFSGMAAAAGKPPPVDAAGCYSVGAATGLEQWRLQQMQSFPFFHAMDHQAAMAAPPPAMAMPGMFQLGLDGDGHGGGGGEDGGELHHAMPSSKREGYPRGMYGDHHLAGGYTSYSSATTGNHLL >ONIVA05G00850.1 pep chromosome:AWHD00000000:5:562170:565366:1 gene:ONIVA05G00850 transcript:ONIVA05G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSYYIKIIASLLHLHGQHALIPPCRCRCRLQSSVVKDSRCIADVPIPPAHAATDPLTLKRDYPPQAKTTRPAENLHVTRRIWIKMLLLMKVALLPKI >ONIVA05G00840.1 pep chromosome:AWHD00000000:5:558043:559785:-1 gene:ONIVA05G00840 transcript:ONIVA05G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKALGAVKDQTSIGIAKVSAAIAPELDVAIVRATSHEDAPAEDRHVREVVTLTAHSRPYTAACAASLSRRLSRTRDYVVAAKCLALAHRLVADGDPHFRHELVRPAGRRGAPMLALLAEFRDEAHSASWDHSAFVRAYALYLDHRARFLISLLPAPRTVRFADEMGSPPRGSTAATVQEMDTEALLGRALQLRQLLDRVLACRPSGGARQSRVVLATLYPVVKESTQLCSDVAVVLAVLLDRFFDMDYPDCVKVFEAHVSTAKQTDDLLAFYGWCDDVRLARPADFADVKRIDDKLLETLEQFVRERGRAGHSSPPPWQQQTAQSDELDMNGIKALPAPEHHAAEPSRSAPEKVAPEQMAPPPPPPPPQTGDLVDLREPVVEDEQENKLALALFSGTENGGWVAFPSDDAAEVTSAWQTPAAEAGKAEWELALVETASKLSRQKASMGGGLDPLLLHGMYDQGAVRQQVGAHAAATGSASSVAAPRRAPVLALPAPDGTARTTGGDPFAASLGVPPPAYVQMAEMERKQQLLVQEQQMWAQYRQGGMQGQVAMDRLAAGAMPVQYGMPMASAYGGYY >ONIVA05G00830.1 pep chromosome:AWHD00000000:5:550061:552395:-1 gene:ONIVA05G00830 transcript:ONIVA05G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGVSCARTGDEHDFFRAAQLGDLDALAALLAADPSLARRATLYDRLSVLHIAAANGRIEVLSMFLDRGAPPDAVNRHKQTPLMLAAMHGKIDCVLKLLQADANILMFDSVHARTCLHHAAYYGHVDCLQAILAAAQTTPVADSWGFARFVNVRDDHGATPLHLAARQGRPGCVQVLLENGAIVSALTGSYGFPGSTSLHLAARSGNLDCIRKLLAWGADRLQRDSAGRIPYSVALKRNHGACAALLNPTSAEPMVWPSPLKFISELEPEAKALLEAALMEANREREKKILNGTKYSLSSPSPGDDSADDDACSEVSDTELCCICFDQACTIEVQDCGHQMCAPCTLALCCHNKPNPTTLTPPSPACPFCRGSISRLVVAQTRSTCDPDKPSSPQLTRKRSRRSHNLSEGSSSFKGLSSAMGSFSKLGRGSSRMADSDSSNLDKPEHDL >ONIVA05G00820.1 pep chromosome:AWHD00000000:5:542911:548105:1 gene:ONIVA05G00820 transcript:ONIVA05G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H8J3] MERQIEFAGILSNDKFQNPDFYNWNKVKIRYCDGASFSGNVKNELQNGTKFFFRGQRIWEAVMSELLLKGLRHAKQAFLTGCSAGGLATFIHCDNFRTLLPKDSRVKCLADGGFFLDVEDISGQRTMRAFYNDVVRLQDLRGRFPHCGPNMDLGQCFFPSEVVKDIITPVFVLNPAYDAWQVQHVLSPVASDPQHSWLECRLDISKCDSNQLEILQGFRKKLHDTISELKHKKDWGFFIDSCFIHCQSLNSLTWHSPSSLRVNNKTIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLVFAKPFKA >ONIVA05G00820.2 pep chromosome:AWHD00000000:5:542898:548105:1 gene:ONIVA05G00820 transcript:ONIVA05G00820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H8J3] MASPTSSASAPASHHLRLWWRRRGRGGAVGATFTVALLAAALLLALSLYASSLPRAPTTPSSSSNLVGLTLVRRAKEKGAVCLDGSAPGYHLQRGSGTGSQNWLLHLEGGGWCRNLRSCASRQKSVLGSSQYMERQIEFAGILSNDKFQNPDFYNWNKVKIRYCDGASFSGNVKNELQNGTKFFFRGQRIWEAVMSELLLKGLRHAKQAFLTGCSAGGLATFIHCDNFRTLLPKDSRVKCLADGGFFLDVEDISGQRTMRAFYNDVVRLQDLRGRFPHCGPNMDLGQCFFPSEVVKDIITPVFVLNPAYDAWQVQHVLSPVASDPQHSWLECRLDISKCDSNQLEILQGFRKKLHDTISELKHKKDWGFFIDSCFIHCQSLNSLTWHSPSSLRVNNKTIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLVFAKPFKA >ONIVA05G00810.1 pep chromosome:AWHD00000000:5:540463:549760:-1 gene:ONIVA05G00810 transcript:ONIVA05G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRCETGFMFITSKGYSQSISFTSLLSKNQSPTASAMVYSADSTLDHEQNIEEVNQEDWRNTDTFMDHPSAHS >ONIVA05G00800.1 pep chromosome:AWHD00000000:5:538877:541699:1 gene:ONIVA05G00800 transcript:ONIVA05G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLASLATPCFADAAAGGGRGRGHHAAGDDAVAFDDDDAAGGCNSIGHILSFDGRDAPAFAIHGVLLPSNPSTMASTGGGGGGGASVLNDGALSIGSSSFDSSNSFSFRTLQPRQYSGPLEYCTTSPSTSGASSSRQLGPRTDKQILNDIYANRQRRRCQGSKGPPLLGRLRKAVASLLRAGPCGFPEQEEPAAMINGVGVVRNGEESISRNVDAAAADDGAERVQWARGKAGEDRVHVVVSEEHGWMFVGIYDGFNGPDATDYLADNLYAAVCRELNGVLSEDEPDPPEAAAAAGRCNGCGGAARHREVLDAMARALRRTEEGYFAEAEARAAECPELAMMGSCVLVVLMKGADVYAMNVGDSRAVLAHQAEPDLSHVVLPRGSHHDGDGDLAGVKEAIKRQFDESEHLDDPGCITNGRVKGCLKVTRAFGAGYLKEPRWNKALLEVFQVDYVGSSPYISCRPYIRHHRLGAQDKFLILSSDGLYDYFTKEEVVAQVEAFTASYPDEDPAKDGRFSKEIDDSTMTMSPSLSSPWREKFGDHHNELILSMYL >ONIVA05G00790.1 pep chromosome:AWHD00000000:5:529866:531940:1 gene:ONIVA05G00790 transcript:ONIVA05G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKCNGEIARLCGWWTLDRSPPFFLRISPQYASLLSRLYIPPPPRSSVHQQPKQEAILELNQQQHLSPSCLVKSFALPRRRRCLAAAATAAAAAAASAAAAAADARCSLMWRPQPPPRPSSSLLHPTRRALEEWRWRWRAARTAAAAATPASVAPAAAAAPAAPATESIVVVARLHEDLSYGCCYCRSEL >ONIVA05G00780.1 pep chromosome:AWHD00000000:5:523957:527573:1 gene:ONIVA05G00780 transcript:ONIVA05G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:outer plastid envelope protein 16-1 [Source:Projected from Arabidopsis thaliana (AT2G28900) TAIR;Acc:AT2G28900] MPRGGFSGSISSPRIDVAIDMGNPFLNRTVDGFLKIGAVGACKVAAEDTFDCLHRGDVSKHKLEHMLKKMCKEGAYWGTVAGVYVGMEYGVERIRGRHDWKNAMIGGALSGALISAASNNHKDKIIKDAITGGAVATAVEFINYLT >ONIVA05G00770.1 pep chromosome:AWHD00000000:5:523535:523903:-1 gene:ONIVA05G00770 transcript:ONIVA05G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger protein [Source:Projected from Arabidopsis thaliana (AT3G46560) TAIR;Acc:AT3G46560] MDAAAAMAAGGGEEEEDKARMEAVADKLQTRDAIRLYNWVSHRCFSDCVTTFYRRTLGKKEEDCVRSCVRKFLLLSSASAARFAHLADPSSAFDD >ONIVA05G00750.1 pep chromosome:AWHD00000000:5:514353:515955:1 gene:ONIVA05G00750 transcript:ONIVA05G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAELSRGAVAAMSRMEQGLRPVLQVTDVRPAAGRYLVALFDGTKSGQGVLVASMAHLVRACAIRAGTIIRVLDYLCIDTRVTAKSGLQHLSNDRGEAKFFDFDLLDEQGGEMLAKCFSSAAEKFYGLIEVDKVYLISRGLVKPAQEPFNSDYELALDASASVEGSSPVHAASGANQRLSRAMSAH >ONIVA05G00740.1 pep chromosome:AWHD00000000:5:500834:504593:-1 gene:ONIVA05G00740 transcript:ONIVA05G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSESPCRSASSPSGGTSKYASNSSVSAASVPPTPRSEGEILEAANVKAFAFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTKPGTGMVIAVKKLNQEGHQGHREWLAEVNYLGQLSHPYLVRLVGYCVEDEQRLLVYEFMPRGSLENHLFRRSTHFQPLSWNLRMKIALGAAKGLAFLHSDKVKVIYRDFKTSNVLLDANYDAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPTGEHNLVEWARPYLMSKRRIFRILDARLGGQYSLAKAQKAATLALQCISVEAKNRPNMEQVVAVLEQLQDSKETGANPQLQKKSSSKNAGSNGSKPSSKGKPANARLKEADLLTAIWARTF >ONIVA05G00740.2 pep chromosome:AWHD00000000:5:500834:504593:-1 gene:ONIVA05G00740 transcript:ONIVA05G00740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSESPCRSASSPSGGTSKYASNSSVSAASVPPTPRSEGEILEAANVKAFAFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTKPGTGMVIAVKKLNQEGHQGHREWLAEVNYLGQLSHPYLVRLVGYCVEDEQRLLVYEFMPRGSLENHLFRRSTHFQPLSWNLRMKIALGAAKGLAFLHSDKVKVIYRDFKTSNVLLDAVRSPQNYYPLCSQDSLTGDLIDRLYFQNYDAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPTGEHNLVEWARPYLMSKRRIFRILDARLGGQYSLAKAQKAATLALQCISVEAKNRPNMEQVVAVLEQLQDSKETGANPQLQKKSSSKNAGSNGSKPSSKGKPANARLKEADLLTAIWARTF >ONIVA05G00730.1 pep chromosome:AWHD00000000:5:499645:505176:1 gene:ONIVA05G00730 transcript:ONIVA05G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein match is: PGR5-like B (TAIR:AT4G1196 /.../Has 97 Blast hits to 97 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 97; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G59400) TAIR;Acc:AT5G59400] MATAAPPAASARRCSRRSTIRDSYYSGQPLIVDDMFDKVELKLRVYGSPSVVKYPRCSLKRQSTYADAEEDKSMFMALSSIWMLLLLFGTSAFLVPSLCILSLTFGDAFGARYLLYGAKSFDVITRVNDMVLIGLGYLIGYPISSASVGALQGLLTNNLVALKGSCPNCGEQVFAFVKTDNSIKAPHRAECHVCSCPLEYRTKVEKSLSGTRRTWVYGRVYLVKQGHPRKRKWLKD >ONIVA05G00730.2 pep chromosome:AWHD00000000:5:499508:505176:1 gene:ONIVA05G00730 transcript:ONIVA05G00730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein match is: PGR5-like B (TAIR:AT4G1196 /.../Has 97 Blast hits to 97 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 97; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G59400) TAIR;Acc:AT5G59400] MATAAPPAASGARRLGRPRAGLRVGAVAAAEGPSCLYVGPIETASQEMLEALYHQARDSYYSGQPLIVDDMFDKVELKLRVYGSPSVVKYPRCSLKRQSTYADAEEDKSMFMALSSIWMLLLLFGTSAFLVPSLCILSLTFGDAFGARYLLYGAKSFDVITRVNDMVLIGLGYLIGYPISSASVGALQGLLTNNLVALKGSCPNCGEQVFAFVKTDNSIKAPHRAECHVCSCPLEYRTKVEKSLSGTRRTWVYGRVYLVKQGHPRKRKWLKD >ONIVA05G00720.1 pep chromosome:AWHD00000000:5:491578:497112:-1 gene:ONIVA05G00720 transcript:ONIVA05G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLAAYQRGMAVERERQASTSANYRAALLSSRSIAQELSGLNLQLRNLEDGLAEALSVKASKESKYQLTKETISSTAAINEKLEGMVTDQRNKRDHHAAVISNHLEAVEALEAKFIEDETRMKEIEEAVIWYSKFLGFQVVGGEGVKFIFNKIDLQSPDKEYSVTLKLAKDRYNLLQCDPSIKDSEELMKDLNLTNDLFKFVRIVRERFQAEAATVNGGLLMSSVVCPDASSIPVSPPMLMPLDSRTENVLDKSLSQRNQGRKCNIQTFQGGICKIATNQTSSIHRLVDCSLAIPSPPPPPPPPSEMRKTKPSKQSRAQAQQNGGAGHSKLARYFDPEASWDKDQLLDAVHWIRQVLGLACGLLWGAVPLVGAIWIALFVTISTGLVYWYYAYLLKIDEEDFGGHGALLQEGMFASFTLFLLSWTLIYSLVHF >ONIVA05G00710.1 pep chromosome:AWHD00000000:5:487666:492926:1 gene:ONIVA05G00710 transcript:ONIVA05G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40700) TAIR;Acc:AT2G40700] MAKKLGKSPVAKEEDKEGLFASCSFTDLGLHPTLCAHLQDKMGFQAPTRIQAQAIPVAMSGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRVERTDGTFALVLVPTRELCLQVYGIAQQLVHRFHWLVPGYIMGGENRAKEKARLRKGISILIATPGRLLDHLQHTSSFVYPNMRWIVFDEADSILELGFGKALEDILEHLGSRNDASNQNKNKMEPMKRQNLLLSATLNEKVNRLAKISLKNPVMIGLDEQNSSAHGKNHTSLLSDDEEEILEKHNVTVEQAVDDFKLPAQLVQRYVKVSCGSRLAILLTILKSLFERQLSHKVVVFLSTCDSVDFHHTVLSQLEWSPGLQLDTDKKQKFISCKVFRLHGNMDQDDRKKSFLGFSSEKSAILVSTDVAARGLDFPKVKCIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPIETDYLRDLELHGASLTEYPLQKVLDSFPVNGQRLHKRKQISLDMHPWIMSLQRALESFVTSEDTTKKLARDAFCSWVRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLLGRSHQVQLKKRKKEQKRERPAKRRKIPAKR >ONIVA05G00710.2 pep chromosome:AWHD00000000:5:487666:493217:1 gene:ONIVA05G00710 transcript:ONIVA05G00710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40700) TAIR;Acc:AT2G40700] MAKKLGKSPVAKEEDKEGLFASCSFTDLGLHPTLCAHLQDKMGFQAPTRIQAQAIPVAMSGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRVERTDGTFALVLVPTRELCLQVYGIAQQLVHRFHWLVPGYIMGGENRAKEKARLRKGISILIATPGRLLDHLQHTSSFVYPNMRWIVFDEADSILELGFGKALEDILEHLGSRNDASNQNKNKMEPMKRQNLLLSATLNEKVNRLAKISLKNPVMIGLDEQNSSAHGKNHTSLLSDDEEEILEKHNVTVEQAVDDFKLPAQLVQRYVKVSCGSRLAILLTILKSLFERQLSHKVVVFLSTCDSVDFHHTVLSQLEWSPGLQLDTDKKQKFISCKVFRLHGNMDQDDRKKSFLGFSSEKSAILVSTDVAARGLDFPKVKCIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPIETDYLRDLELHGASLTEYPLQKVLDSFPVNGQRLHKRKQISLDMHPWIMSLQRALESFVTSEDTTKKLARDAFCSWVRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLLGRSHQVQLKKRKKEQKRERPAKRRKIPAKR >ONIVA05G00700.1 pep chromosome:AWHD00000000:5:479489:485467:-1 gene:ONIVA05G00700 transcript:ONIVA05G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIELPFDNQYTTTETEQPHDGSSCSSQQQLSLYNYSPSDPQLFSQPTTGSRTYLSGAVTIPGICNDKSNLGQQQFQIDAALSLEYQRIRSSDALCYISRVLMEDVDERVDLHQGEAALQAAEKPFYDILGQVYPPSLNRLALHSANEPDTPDESSSRNNYHNRYHSSHSSFNMLQPLPTPLSPYSYGRSLFLPNQHLVSTAWTSTFGIPGFQIRRGAEEAKRFVPIIDKLVIDLDTDRGLSISKMTTKAKVGDKKRYAIFELTDQRHSPYTTDLDILEGRNSKRYAITYCEIIRNDMFDRVLLCYGVENFAEASNLRKIMTKQARKNSLNGQTRGSAQRKLRGMKQLKKDVVDLRNLLIHCAQAVAADDRISASELVKKIRQHSSPDGDSNQRLAFYLVDGLEARLAGIESQVYRKLMASRTSAESLLKAYSLYLSACPFERASFAYANQTILDASKGQQPRKVHIVHFGICTGFQWPSLIQRLANEEGGPPKLRITGIDMPQPGFHPCEIIEETGKRLADYANLFKVPFQYQGIASRWETVQIEDLNIDKDEVLIVNCMFRMKNLGDEMVSMNSARDRVLKIMRMMNPRVFILGIVNGSYSSPFFITRFKEVLFHYSSLFDMIDANVPRDNEARKMIERGLFGQEALNIIACEGAERTERPESYKQWQARCLKAGFKQLPVDPATLKEIINMKKGIYHEDFVADEDGGWLLQGWKGRKSVKKKVTRNNPNINQPDVNKKITNFCFRSLKQCRSSIMDSPEYCQTNSNITLDYINRILMEEDIDEKISIKKGQDALQVTEKPFYDILGKAYPSSSKETAINGDSQADYPDNGSSSYHEQACSGSFVSDLLGSQDAHSITTDWSSEFDRLALQFRRGVEEAKRFIPNIEKLVDDPEKNGLYACKQTTETTEQKGKHENKIRNHPHDPHVEHIELMEARNSKHMAISTSETIRDEMFDSILLCNRQLPGEVANLRGMMAKEASDNPKKFQSKGYGKGQRKPHSSKKKQKEAIDLSVLLIQCAQAIASNNHPFASELLRKIRHHALPDGDGSQRLANCFADGLEARLAGTGSQMYEKLMAKQTSTRDMLKAYHLYFVACPFEMVTYYFSNKTIIDALEGKTTLHIVDFGILFSFQWPCLIQRLAKREGGPPKLRITGVDVPQPGFRPHERIEETGKRLAEYANMFNVPFQYHGIASRWETICIEDLSIDKDEVLIINCMSRMRKLGDETENIDSARDRVLHMMKRMNPQVFILGVVNGLYSSPFFLTRFREVLFHYSSLFDMLDNNVPRNHEARILVEKDLFGNDALNAVACEGAERIERPESYKQWQMRILRAGFKQRPVNQAILNRSVHYKELYHEDFVIDEDSGWLLQGWKGRIIQALSTWKVET >ONIVA05G00690.1 pep chromosome:AWHD00000000:5:476757:486677:1 gene:ONIVA05G00690 transcript:ONIVA05G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37660) TAIR;Acc:AT2G37660] MTTIAARMPFAGPRLRPPCNLTASRPGPRRAGLAVVSAAAGGPLPTVLVTGAGGRTGQIVYKKLKERADQFVGRGLVRTEESKAKIGGAADVFVGDIRDPASIAPAIDGIDALIILTSAVPKMKPGFDPSKGGRPEFYFEDGSYPEQVDWIGQKNQIDAAKSIGVKQVVLVGSMGGTDVNHPLNKLGNANILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGVRELLVGKDDEILKTETKTIARADVAEVCLQALLFEEARFKAFDLASKPEGEGVPTTDFRALFSQVNSHF >ONIVA05G00690.2 pep chromosome:AWHD00000000:5:476757:486676:1 gene:ONIVA05G00690 transcript:ONIVA05G00690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37660) TAIR;Acc:AT2G37660] MTTIAARMPFAGPRLRPPCNLTASRPGPRRAGLAVVSAAAGGPLPTVLVTGAGGRTGQIVYKKLKERADQFVGRGLVRTEESKAKIGGAADVFVGDIRDPASIAPAIDGIDALIILTSAVPKMKPGFDPSKGGRPEFYFEDGSYPEQVDWIGQKNQIDAAKSIGVKQVVLVGSMGGTDVNHPLNKLGNANILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGVRELLVGKDDEILKTETKTIARADVAEVCLQALLFEEARFKAFDLASKPEGEGVPTTDFRALFSQVNSHF >ONIVA05G00690.3 pep chromosome:AWHD00000000:5:476757:486676:1 gene:ONIVA05G00690 transcript:ONIVA05G00690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37660) TAIR;Acc:AT2G37660] MTTIAARMPFAGPRLRPPCNLTASRPGPRRAGLAVVSAAAGGPLPTVLVTGAGGRTGQIVYKKLKERADQFVGRGLVRTEESKAKIGGAADVFVGDIRDPASIAPAIDGIDALIILTSAVPKMKPGFDPSKGGRPEFYFEDGSYPEQVDWIGQKNQIDAAKSIGVKQVVLVGSMGGTDVNHPLNKLGNANILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGVRELLVGKDDEILKTETKTIARADVAEVCLQALLFEEARFKAFDLASKPEGEGVPTTDFRALFSQVNSHF >ONIVA05G00680.1 pep chromosome:AWHD00000000:5:466847:467239:1 gene:ONIVA05G00680 transcript:ONIVA05G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAAVVNAPAATGGLLLPRGRSSWSWSWSCCNNNNSSMGRRWRAAAVGEVAAEGNTYLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFVRKGGATLRANAARKDLPQIVCPNCNGLGKLGQIDK >ONIVA05G00670.1 pep chromosome:AWHD00000000:5:462926:464083:1 gene:ONIVA05G00670 transcript:ONIVA05G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSSSPPSAAGASSYWCYSCDRFVRAPAPHDDSAVACPDCGGGFLEEMSAPPPRAAYLRRPRAHHANDLRLRRTRRAAAAAAAGDRSPFNPVIVLRRSPAAAGDDDSLAAATSFELFYDDGAGSGLRPLPETMSDFLMGSGFERLLDQLTQIEAGGLARARENPPASKASVESMPTVSIAASHVGADSHCAVCKEPFELGDEAREMPCSHIYHQDCILPWLALRNSCPVCRHEMPTDAARPRPSNAGTEEETVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNNGGAPRRISWGSRQSRSTERSAIRRIFRNVFSCFGRSHSSNSQASSSHSRPELNDASDRSAVFSHGSRSRSTSWRLEDGHADAMVQR >ONIVA05G00660.1 pep chromosome:AWHD00000000:5:453688:453903:-1 gene:ONIVA05G00660 transcript:ONIVA05G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVRSAAAKRSWPEVVGMTMEEAKAAILKDKPDADIVVLPVGAPMTRDLRPNRVRIFGSATVAETPRVG >ONIVA05G00650.1 pep chromosome:AWHD00000000:5:443406:448626:-1 gene:ONIVA05G00650 transcript:ONIVA05G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGEAAAAAAAADRDMELFRSGSAPPTVEGAMASAAAAAGDVFLDDELRADPVYQSYYYSNAHLNPRLPPPLLSKEDWRSAQHRLRSSALGGIGDGRRQPAAAAQGDGLVGLPGIDLDRQGSFSSIFQESSYQLDMGKQGADRNSSDFLDSSRPQYALHRETSRAMGGLQSDSNIQSLAEVQNNDSSAHTYASLLGSSLSRSASPDPELVRRVPSPCLPPIGVKVSADDKKNNGGSSSFRRSSSAIGESDNLIAALSGMNLSSSGAASGQQTVTQSELYQDVDNVRKFLFDRQGDQSNGNQQHSYMKHPEQGHFKAPDGYSANSPNSSMIRNQINAASFTSFDNLSAGSGFASPRIGSRSPGGTLSSRQNLVGGSNFLNYNGIGSPNAATSLQTAIDPSYIQYLQAAEIAAQLAASCDDPLMASGHLGSSYMDLLGPQKAYASPLLQSQKNCGYYGNLGFGLGYSGSPLMSPVLPSSPAAPGSPLRHGERSMRMQSGIRNFGGSFGSWNPDLGGKMNINMMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSPTQIKELADQLIGRVLALSLQMYGCRVIQKVAIEVVGLDQQTKMVAELDGHVMRCVRDQNGNHVIQKCIECIPQHAIQFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPTTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQILIGEMLGSTNESEHLEVMMKDQFANYVVQKVLETCDDQQREAILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGVEEHAAGSRDG >ONIVA05G00650.2 pep chromosome:AWHD00000000:5:443599:448626:-1 gene:ONIVA05G00650 transcript:ONIVA05G00650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGEAAAAAAAADRDMELFRSGSAPPTVEGAMASAAAAAGDVFLDDELRADPVYQSYYYSNAHLNPRLPPPLLSKEDWRSAQHRLRSSALGGIGDGRRQPAAAAQGDGLVGLPGIDLDRQGSFSSIFQESSYQLDMGKQGADRNSSDFLDSSRPQYALHRETSRAMGGLQSDSNIQSLAEVQNNDSSAHTYASLLGSSLSRSASPDPELVRRVPSPCLPPIGVKVSADDKKNNGGSSSFRRSSSAIGESDNLIAALSGMNLSSSGAASGQQTVTQSELYQDVDNVRKFLFDRQGDQSNGNQQHSYMKHPEQGHFKAPDGYSANSPNSSMIRNQINAASFTSFDNLSAGSGFASPRIGSRSPGGTLSSRQNLVGGSNFLNYNGIGSPNAATSLQTAIDPSYIQYLQAAEIAAQLAASCDDPLMASGHLGSSYMDLLGPQKAYASPLLQSQKNCGYYGNLGFGLGYSGSPLMSPVLPSSPAAPGSPLRHGERSMRMQSGIRNFGGSFGSWNPDLGGKMNINMMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSPTQIKELADQLIGRVLALSLQMYGCRVIQKVAIEVVGLDQQTKMVAELDGHVMRCVRDQNGNHVIQKCIECIPQHAIQFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPTTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQILIGEMLGSTNESEHLEVMMKDQFANYVVQKVLETCDDQQREAILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTSAA >ONIVA05G00640.1 pep chromosome:AWHD00000000:5:435884:442850:1 gene:ONIVA05G00640 transcript:ONIVA05G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFDLPLFVEDDDEAAAAKQRRREESQKPRRPRPREPWFLDTPEMRERSARHSALAAKYWEHDPKTGISYYTRAVFCNLTTFDLDKETQYGPMRFTDSIIREDHRLTGSLNVLSLKVKSSDVGYPINLYGTVLVRDGLDFNCNFIFRRNRNNCQVIQSENENIILTGPTRGIVFHDIFFEINLKIKENEECNDKEFSKGLLEMKFHTRKSKIVSETFESRLSEVELVSACVKEALEGTVEITILSGPKVFHGKISACTTDVPNYIVLYDSNVCGATSVGDDRVMQLLRRVVAVSANEILILNIHAHNIHQNDNVSSRTLRFTPLARGADEEVINCDLYKMQVKVVWSVFKG >ONIVA05G00630.1 pep chromosome:AWHD00000000:5:434890:435539:-1 gene:ONIVA05G00630 transcript:ONIVA05G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVAWVTRSPATSSDATAPDEPPASRTPATSFSVAPAWGATAEDAADSDVVIGLLPTAPAPPPTIHGAAVNTHLQLHPRGRPVHPPLAPQLHREPSPIAAFVTGRRSRCESGPSSYPSAASGEQRDKTGCKELVDLVMVGYGVW >ONIVA05G00620.1 pep chromosome:AWHD00000000:5:432868:433589:1 gene:ONIVA05G00620 transcript:ONIVA05G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGPEWRRRRHGTYALARLTSVRPAPSPPPTKSTDEPTCYVCRRLILLLRGDERERRWRDGARRRSSRVVGTVVTGANNLTDTGIGRHYPCPSRPIDIPRDGGDGSRLGHLLLTKAASASTSPRHRCLRLLSHRPKWGGAANKEDAASCSGLSFLLPTHQPRSLPPAASSTPLPRHHPACATARWRNSALV >ONIVA05G00610.1 pep chromosome:AWHD00000000:5:427873:432277:-1 gene:ONIVA05G00610 transcript:ONIVA05G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEQQQVEEEGFDLPFFVEEEEAEVEAAKQKRREESLKPQRERQMTSTEAKERWARRKELSSKLFEYDPKTGTSFYTRAWFLDLTTFDLDKEILASVNVLFFPLRKLTIFSFLSAQHGPMRFADSIIKEDHQFTSSLNVLSVKILSSDVGYPINLYGTVIVRDILDFNCITIFRRNRDNCQVIQSENEDLILTGPSRGIIFWGEIFFEINLKIREDEECIDREFSKGLVDMKIYFIESQPKIVSETLESRLSEVELVFNCVKKALEGTVEIKILSDAQVFHGKITACTTNVPNHAVLLYDSDVVGCSTAVGDDRVIQLLRRVVVVSVNEMLILNIHSQNDNVSSGCSLELSPFTRGSDEEEISCGLCKMRVKVVWSTFRIISTVELRYAVVNEAVGATVEIKAVEGCFSGEVAACTTNIQDGVVLLDSTITCCVMDDNGDVQLSRRVMAVQCKEKLLLTVVNQDDM >ONIVA05G00600.1 pep chromosome:AWHD00000000:5:425211:427952:1 gene:ONIVA05G00600 transcript:ONIVA05G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDNKKVYTLEEVAKHNSKDDCWLIIGGKVYNVSKFLEDHPGGDDVLLSSTGKDATDDFEDVGHSTTARAMMDEYYVGDIDTSTIPARTKYVPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAIRIYTKSESA >ONIVA05G00590.1 pep chromosome:AWHD00000000:5:419590:421830:1 gene:ONIVA05G00590 transcript:ONIVA05G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVTVGVVLLLLCLCGGAACAAGRSGGEFSIVGYSEEDLASHDRLIELFEKWVAKYRKAYASFEEKVRRFEVFKDNLNHIDDINKKVTSYWLGLNEFADLTHDEFKATYLGLTPPPTRSNSKHYSSEEFRYGKMSDGEVPKEMDWRKKNAVTEVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTSLSEQELIDCSTDGNNGCNGGLMDYAFSYIASTGGLRTEEAYPYAMEEGDCDEGKGAAVVTISGYEDVPANDEQALVKALAHQPGVFDGPCGEQLDHGVTAVGYGTSKGQDYIIVKNSWGPHWGEKGYIRMKRGTGKGEGLCGINKMASYPTKDN >ONIVA05G00580.1 pep chromosome:AWHD00000000:5:416334:418273:1 gene:ONIVA05G00580 transcript:ONIVA05G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHEERAVVTKEENLPSLCLLRSLRRRQDTGEGRRSPSGEESRAGEGILSLNLNTMMCQWHSSSNASMPASDKFILHMLDPTHMFVQPHVADMIRSKISEFRDQNSYEKPS >ONIVA05G00580.2 pep chromosome:AWHD00000000:5:416334:418273:1 gene:ONIVA05G00580 transcript:ONIVA05G00580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHEERAVVTVRGVTVERRKSPVSLSPPLLAPPPRHRGGAAISIWRGEQSRGGDPVMCQWHSSSNASMPASDKFILHMLDPTHMFVQPHVADMIRSKISEFRDQNSYEKPS >ONIVA05G00570.1 pep chromosome:AWHD00000000:5:411441:412361:1 gene:ONIVA05G00570 transcript:ONIVA05G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHVVVQQRSGDQPAPSCDIAADEIPVNGHKPGRAVTASVYRAKIAGHSRVLTVSWSRDMLSHSFAVSVTGVDGASAECRVDLRPWQFWRRAGSRRVELAGTAPATVRVMWDLRRARFGAGLPEPRSGYYVAVEAAGEVVLVVGDMRKDALRRASPRAAPAACDAVPVARREHVFGKRRFAAKARFHDQGTVHDIAIECGGGGEGGDADMEMTIAIDGEEAVQVKHLQWKFRGNQSVTFSRAKVEIYWDVHDWLFSAGMRPALFIFRPIVLSSASAPAAAMLLDGSPPPPPATGFCLYLYAWKLD >ONIVA05G00560.1 pep chromosome:AWHD00000000:5:404715:409633:1 gene:ONIVA05G00560 transcript:ONIVA05G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:with no lysine (K) kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G48260) TAIR;Acc:AT3G48260] MPPTPPPELDLLDTEPEFAEVDPTARYGRYTEVLGKGAFKTVYPSSHARFLGFDVRFRMAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASQRLSAQELLMDPFLRDDGEKIFYPLQSNTKASDGAGSSNSSMGYKYDRDASSMAIREHTGSFAEEHPSDRYIHSTMDPQAAAGRIITVESQMKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASVSTSPQSFDDEHIEADVSERLVNLLAQQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >ONIVA05G00560.2 pep chromosome:AWHD00000000:5:404715:409633:1 gene:ONIVA05G00560 transcript:ONIVA05G00560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:with no lysine (K) kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G48260) TAIR;Acc:AT3G48260] MPPTPPPELDLLDTEPEFAEVDPTARYGRYTEVLGKGAFKTAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASQRLSAQELLMDPFLRDDGEKIFYPLQSNTKASDGAGSSNSSMGYKYDRDASSMAIREHTGSFAEEHPSDRYIHSTMDPQAAAGRIITVESQMKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASVSTSPQSFDDEHIEADVSERLVNLLAQQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >ONIVA05G00560.3 pep chromosome:AWHD00000000:5:404715:409633:1 gene:ONIVA05G00560 transcript:ONIVA05G00560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:with no lysine (K) kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G48260) TAIR;Acc:AT3G48260] MPPTPPPELDLLDTEPEFAEVDPTARYGRYTEVLGKGAFKTVYPSSHARFLGFDVRFRMAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASQRLSAQELLMDPFLRDDGEKIFYPLQSNTKASGND >ONIVA05G00560.4 pep chromosome:AWHD00000000:5:404715:409167:1 gene:ONIVA05G00560 transcript:ONIVA05G00560.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:with no lysine (K) kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G48260) TAIR;Acc:AT3G48260] MPPTPPPELDLLDTEPEFAEVDPTARYGRYTEVLGKGAFKTVYPSSHARFLGFDVRFRMAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASQRLSAQELLMDPFLRDDGEKIFYPLQSNTKASGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >ONIVA05G00560.5 pep chromosome:AWHD00000000:5:404715:409633:1 gene:ONIVA05G00560 transcript:ONIVA05G00560.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:with no lysine (K) kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G48260) TAIR;Acc:AT3G48260] MADGAGSSNSSMGYKYDRDASSMAIREHTGSFAEEHPSDRYIHSTMDPQAAAGRIITVESQMKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASVSTSPQSFDDEHIEADVSERLVNLLAQQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >ONIVA05G00550.1 pep chromosome:AWHD00000000:5:382766:391850:-1 gene:ONIVA05G00550 transcript:ONIVA05G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGVDDLGRAVHRAAGASRSSALSCPPPPPHSRRSSGIPIPFLLPSRGGPEARSCRRSVLRLTNESMRIVMVTIIGVLLGFFIGISFPSVSITKLHFPSSFVSYIEDRNSGLTTQALLNHAWTSARNARENSSEPSSNTTLKIYVPTNPKGAERLAPAIVVPETDFHLRRLWGEPSEDLPFKPKYLVTFTVGYAQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHISARKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEEYIKLVKKYHLEISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGKSENGRPAWEGVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLIGRVRVRVHPDRRWISPPRRHSLPDKEPMLRTGGGARATAAAQLLSSSSSPPPLRLPRRFLSLTATPYPLYYDLLLYRPSYPNPPKSSAADDRHTRPTDDHLDRAKRRYLRKRRSRLLPDPDATTAKPSSSSSSEFVELKPEVVDFPRLHAREEALYFHDAFALPWEKDKHYRMLYRLEKKYFPDQSLDNAFVPADASPPSSDAADKGLVFFDDDGNNKEAEVKKKKDGEDRGEVLERKVEDFFRSLKKGPGHGEANVMKAKKKEPPQVRHEADEWPQPHLASRRTELPPRWNGPTGTVVLIDKPKGWTSFTVCGKLRRLVNVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKVAASFRGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIHQFDIERSLEDRQNLIFRVICSKGTYIRSLCADLGKALGRRFCIDCAHLTALRRDSIGDFSVNDAWSFAELEEQITKGYL >ONIVA05G00550.2 pep chromosome:AWHD00000000:5:382766:391850:-1 gene:ONIVA05G00550 transcript:ONIVA05G00550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGVDDLGRAVHRAAGASRSSALSCPPPPPHSRRSSGIPIPFLLPSRGGPEARSCRRSVLRLTNESMRIVMVTIIGVLLGFFIGISFPSVSITKLHFPSSFVSYIEDRNSGLTTQALLNHAWTSARNARENSSEPSSNTTLKIYVPTNPKGAERLAPAIVVPETDFHLRRLWGEPSEDLPFKPKYLVTFTVGYAQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHISARKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEEYIKLVKKYHLEISQPGLEPDRGLTWQMTKRRGDREVHNFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGKSENGRPAWEGVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLIGRVRVRVHPDRRWISPPRRHSLPDKEPMLRTGGGARATAAAQLLSSSSSPPPLRLPRRFLSLTATPYPLYYDLLLYRPSYPNPPKSSAADDRHTRPTDDHLDRAKRRYLRKRRSRLLPDPDATTAKPSSSSSSEFVELKPEVVDFPRLHAREEALYFHDAFALPWEKDKHYRMLYRLEKKYFPDQSLDNAFVPADASPPSSDAADKGLVFFDDDGNNKEAEVKKKKDGEDRGEVLERKVEDFFRSLKKGPGHGEANVMKAKKKEPPQVRHEADEWPQPHLASRRTELPPRWNGPTGTVVLIDKPKGWTSFTVCGKLRRLVNVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKVAASFRGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIHQFDIERSLEDRQNLIFRVICSKGTYIRSLCADLGKALGRRFCIDCAHLTALRRDSIGDFSVNDAWSFAELEEQITKGYL >ONIVA05G00550.3 pep chromosome:AWHD00000000:5:382766:391850:-1 gene:ONIVA05G00550 transcript:ONIVA05G00550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGVDDLGRAVHRAAGASRSSALSCPPPPPHSRRSSGIPIPFLLPSRGGPEARSCRRSVLRLTNESMRIVMVTIIGVLLGFFIGISFPSVSITKLHFPSSFVSYIEDRNSGLTTQALLNHAWTSARNARENSSEPSSNTTLKIYVPTNPKGAERLAPAIVVPETDFHLRRLWGEPSEDLPFKPKYLVTFTVGYAQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHISARKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEEYIKLVKKYHLEISQPGLEPDRGLTWQMTKRRGDREVHNFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGKSENGRPAWEGVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLIGRVRVRVHPDRRWISPPRRHSLPDKEPMLRTGGGARATAAAQLLSSSSSPPPLRLPRRFLSLTATPYPLYYDLLLYRPSYPNPPKSSAADDRHTRPTDDHLDRAKRRYLRKRRSRLLPDPDATTAKPSSSSSSEFVELKPEVVDFPRLHAREEALYFHDAFALPWEKDKHYRMLYRLEKKYFPDQSLDNAFVPADASPPSSDAADKGLVFFDDDGNNKEAEVKKKKDGEDRGEVLERKVEDFFRSLKKGPGHGEANVMKAKKKEPPQVRHEADEWPQPHLASRRTELPPRWNGPTGTVVLIDKPKGWTSFTVCGKLRRLVNVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKVAASFRGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIHQFDIERSLEDRQNLIFRVICSKGTYIRSLCADLGKALGSCAHLTALRRDSIGDFSVNDAWSFAELEEQITKGYL >ONIVA05G00540.1 pep chromosome:AWHD00000000:5:379864:385086:1 gene:ONIVA05G00540 transcript:ONIVA05G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYHQQQGGSTFMAIPTINFQMYSEIAGDDEWWEYIPCPFCYIEVEVPFLCDHLQEEHCFDMKNAVCPICADNLDKDTDEHFRVQHSHLLKRRKSSSFSCKPSSAAADKGSYEEDSYFEAPSHCMGRPAPDSSPDPLLSQFICCSLAPPVDSPRRSEADAEGHGSSSSDDQKRREQGVMDDASKEELEERLQRIEFVKQMLMTTIAY >ONIVA05G00530.1 pep chromosome:AWHD00000000:5:370858:380104:-1 gene:ONIVA05G00530 transcript:ONIVA05G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVALRKEHASVNSPATKYLKYRLKKGKGPGVKRITNSEQRTQDRYVSDEQQHCNSVIRADTLIETLLLTWFSKASVID >ONIVA05G00520.1 pep chromosome:AWHD00000000:5:370189:376778:1 gene:ONIVA05G00520 transcript:ONIVA05G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA subunit 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H8E9] MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTEALENQVKSKVSIKGHLHTYRFCDNVWTFILTEASFKNEETTEQVGKVKIVAFEVFS >ONIVA05G00520.2 pep chromosome:AWHD00000000:5:370408:376778:1 gene:ONIVA05G00520 transcript:ONIVA05G00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA subunit 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H8E9] MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTEALENQVKSKVSIKGHLHTYRFCDNVWTFILTEASFKNEETTEQVGKVKIVAFEVFS >ONIVA05G00510.1 pep chromosome:AWHD00000000:5:354972:366153:-1 gene:ONIVA05G00510 transcript:ONIVA05G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVAGDLSRRPASAEIDIQIRSSVSEMPSLQLLQLTERGRGLLASRRKTLAVVSGALLAGGALAYARSSQGQRRRRSEGDDATTALARNGDRMGQNGVDGRLAGTKRRKGGLRSLHFLAAILLKKIGPNGTRYLLGLTLTAVLRTAVGHRLARVQGYLFRAAFLRRVPTFTRLIIENLILCFLQSAVYQTSKYLTGSLSLRFKKILTDLVHADYFQNMVYYKISHVDHRISNPEQRIASDIPKFCSELSELVQDDLAAVAEGLIYTWRLCSYASPKYMLWIVGYILVAGGAIRNFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREAYYIMQRFQALIGHLNRVLHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISTRRLNILSGYADRIRELLDVSRELSGVRDKSLNHNSSAGNYISEANHIEFSGVKVVTPASNVLVDDLTLRVERGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQEIEPLSYDGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWDVQHRRDDSSFSTEESDYTLLETDRKSDALTVQRAFMGRAKSNASSRSKEHCYTTKVIATSPKLEIEQTIQTHRVPHLRCFPRPLPARVAAMVKILVPKLLDKQGGQLLAVALLVFSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGISVLQSSANSFVAPSLRTLTGRLALGWRIRLTNHLLQYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMLLSGRRGVAILYAYMLLGLGFLRAVSPDFGHLAGQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAIVEAKFMKLLDHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILDLHKKFLELSGGINRIFELEELLRVSQRDTFVPSDATSAEETISFHEVDIVTPSQKLLASKLSCNVVQGKSLLLTGPNGSGKSSIFRVLRDLWPVCSGRVTKPSDGMFHVPQRPYTSLGTLRDQIIYPLSREEAEMKICSLYNDGNGSSASNLLDDHLKTILVNVRLVYLLEREGWDSTSNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYKLATSMGITVITSSQRPALIPFHSLELKLIDGEGNWELCEIHQ >ONIVA05G00510.2 pep chromosome:AWHD00000000:5:354972:366153:-1 gene:ONIVA05G00510 transcript:ONIVA05G00510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVAGDLSRRPASAEIDIQIRSSVSEMPSLQLLQLTERGRGLLASRRKTLAVVSGALLAGGALAYARSSQGQRRRRSEGDDATTALARNGDRMGQNGVDGRLAGTKRRKGGLRSLHFLAAILLKKIGPNGTRYLLGLTLTAVLRTAVGHRLARVQGYLFRAAFLRRVPTFTRLIIENLILCFLQSAVYQTSKYLTGSLSLRFKKILTDLVHADYFQNMVYYKISHVDHRISNPEQRIASDIPKFCSELSELVQDDLAAVAEGLIYTWRLCSYASPKYMLWIVGYILVAGGAIRNFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREAYYIMQRFQALIGHLNRVLHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISTRRLNILSGYADRIRELLDVSRELSGVRDKSLNHNSSAGNYISEANHIEFSGVKVVTPASNVLVDDLTLRVERGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQEIEPLSYDGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARDDSSFSTEESDYTLLETDRKSDALTVQRAFMGRAKSNASSRSKEHCYTTKVIATSPKLEIEQTIQTHRVPHLRCFPRPLPARVAAMVKILVPKLLDKQGGQLLAVALLVFSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGISVLQSSANSFVAPSLRTLTGRLALGWRIRLTNHLLQYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMLLSGRRGVAILYAYMLLGLGFLRAVSPDFGHLAGQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAIVEAKFMKLLDHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILDLHKKFLELSGGINRIFELEELLRVSQRDTFVPSDATSAEETISFHEVDIVTPSQKLLASKLSCNVVQGKSLLLTGPNGSGKSSIFRVLRDLWPVCSGRVTKPSDGMFHVPQRPYTSLGTLRDQIIYPLSREEAEMKICSLYNDGNGSSASNLLDDHLKTILVNVRLVYLLEREGWDSTSNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYKLATSMGITVITSSQRPALIPFHSLELKLIDGEGNWELCEIHQ >ONIVA05G00500.1 pep chromosome:AWHD00000000:5:344336:353068:1 gene:ONIVA05G00500 transcript:ONIVA05G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLRDPSAGSGGEAAPTPPPPPVAAPFAQYLSLEPLGWVEPKHSRHGELRRALQHQADDKPQELRRIRSSVADSSSKAREKVRSMQEAVQKVDRCRNVLNRKRQRSEPAAAAAAGAEKPSGSGALRIGAQNSNSSAVMSKRVRSSLADGRLEGRGNISTRQSPLVNNEKSSLVEKEKSCGRTSGLSEDKLQGLSTGGEGWEKKLKRKRSIGTVLNRGNDADRDVKSGGQHRPANEANPRPSDGPSHRHGASAVEYAGSRMDGSSQQNSNSSRILCKTDMDHATLPNERRERYVGIEKERGMVKGNRAQASEDMQTGSISPLPKVKACRAPRTGSHGMGSASSFQRSTGGSDEWEEIPYSNKASLLGGMTNRKRSIHSNASSPPIAWVGQRPQKMSRTRRANVVSPVSNFDEVLSEGSPLDTAIAAKPTSTESCGVVLTKKGTSSNTQMANTMNDIPSPVGLSESEGSAVKERKVKEKATNNGEVENEAANLVRNSAGSIVSSNKNTIPLKEELQDGGVRRQGRSGRGTMHVKEYSSSSISKEKLDAAETRKPNKGGRPGSEKNESKVGRPTMKKGSDQNNLSCFPQALNCEHTDDREELLAAVNAARGAIVGAYCGPFWKKMEPMLTFISSENLSFLKKQIDIVEELDLGMSCVPDGEYVLAPTNYSRQQTTEFSCQELVPSNSSILPEQSETNGVGLKGTIDCFSPSEENQHHVPQKIEADKWFHEMVPMEHRLLSAIVMEEDISEPNVVQRDILFEFSNSHVPCAASRFLGNELQASAISSNFGLSVDFMNSNNSSVVHQSLSNGFTSSSSFISSSSQSSVHNDNLSDEVNFVYPENGPFDNLIPQTSSLRQKPGKNFSSSPHEYQYGQMSVNDKIFIELQSIGIFPEAVPKLDDGEDNNINKMISELRKRLHDQVKQKKCKLSKLEKAIQDTKSIEERSLEQHAMNKLVERAYRKLKGGRTGSSHKAGASKSASKAAKQLALDFAKRTLLRCQKFEETKKSCFSEPSLWSVLSAPLPSSGTKSTEGGTKWNKCDREREHSRDASAKGSGTKSGRHSSGSGRSGERKNKTKPKQKIVQLLSTSGNVLDRAVESVPTPAMQEPPRPSVPLGAKITQQPRNHPENAASRLPEAPLTNLPGLFDIFAGTEGLGEQGNDISSWLTDDLDVPQDFDLSGALEIPLDDIAELGFM >ONIVA05G00490.1 pep chromosome:AWHD00000000:5:342713:343918:1 gene:ONIVA05G00490 transcript:ONIVA05G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRWAAFMAAAAAVLVVCAAALASAATPPKPSSMVVITPTKQGGVGKRNSAFTCEDTRRRRPKCMATCPDRCRTKCLVLCPTCKTFCLCDFYPGVSCGDPRFTGGDGNNFYFHGKKDRDFCLLSDAALHVNAHFIGKRNAAMSRDFTWIQALGE >ONIVA05G00480.1 pep chromosome:AWHD00000000:5:329529:339520:-1 gene:ONIVA05G00480 transcript:ONIVA05G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASPAVMASRPDPGELQAFLRGLRTHHAVLCAHAFLLRRGLLLGHRTTAGILLSAATSTATSASRPAHAHAHAHLLRLLLHHLPPPLPLFSLDNALRALAPRLPFSALLSLFAALLRSHHPAFPARFSFPTLLSKASSSSSPRLHLPSALALHAQLLRRGLLFSPPLHAANALLHFYAAATLLPCARNLFDEMPFRDVASYNTMMTAYAGAVDGIDAARHLFDGMLLRNVVSWNIMINGYVKVKRPEQALEVVRWMAEIGVRGTAVAMVGAATACARLGRLGAGKEVHCAFLRRFEERNLLFSTALVDMYGKCRNADAARKVFDRLSFRNVVCWNAMIIGHCVYGEPGDGIRLFHDMIGQDDQHGLLPDEVTFIGVLCACTRLALLDDGKAYFEQMSTMYNIKPTFAHYWCMANLYASVGLLEEAEGLLTSMPEELKAHALGGLLGLCRFRGEWELGERIVLRLIELEPSNSVHYALLCNVYASAGRWEDVHRVKAIIKERDEKLSPGHRLVNLNEILHQFRERQPENQEIYGILDGLVSRLINKQRKKKEKKLVVNRHRWSPPCTLKSSLPPPPSDPQVPLCYPAPRAVTVDASAAAAVVVVGEFLPLPSLLLPLPQASRQLMEVATPNNNAHQVIDELVSNDDDDDRLSALPDEISIDILQRLPLRTSAQTTILTRRWTHLFPSMTHLKIDINEFVPCILTRHNVARSMAMSWYTQALRTLLAPTIDPDRTIRIMHLRFYPTDSYLLSIARMVDDAVQSASASKIEVLDFAILNEVSEVHCTEKQMSRYGRRFMSFFQACPNGFRCLTSLSLWALSCSTSFYGLCDSGRNSVLKIDASPCSQLRTLRMIFCSYIKVELVHVPKLESVDCDTWVGVNPPVYFGCVPLLDKIRFSSTCLKMQQPFVLSSWLSTVPTLTSLHLDFQYEMVWIMPEEPKKLFPIFRNLKDVYLYNISNDSGLDWTLFVLEGAPSLKSFHVKISHHICGGDGFEHNAGSSNVVWEASSDIIKHKNLRLLDIIGFETEENLIKYIRLAIQRAIALQRIHLHEKEPCEDCDDIYLNTPSLSRTRFPNNEQEKDLLREQLLQGFSSAQELYLGHMQCQVVDDRLSALPDEILIDILQRLQLPTAARTTTLARRWTHLLQSMNHLEIDVADFIPRRSAPSLKRNTMTRVKVAMSRYTQAMRTLLAPRAESPQLIIIRTLHLCFYLTDPYLHSVGRMLEDAVQSAGGRASKIEVLSFSILIEVPELLCTEKHLARYGRRFMSFFQAYPNAFKRLTSLSLWALRFGDSDIPNLLASCLQLQHLTLQDCDNGKRSVLRIDAPNSQLSTLTMAFCSYIKVELINAPKLKCVDCDTWVGANPPVCFGCVPMLDRIRFSSTCHKMQLPFKLSDWLSTVPTLTSLHLDFQDEMVWILPEEPKKLFPIFRNLRNVYLCSISLDCGLDWTLFVLEGAPFLERFHVKISLHICDENGFKDRADRSNVVWEASSESIKHKTLRLLDINGFETTENLIKYIRLVIQRAVGLQRIHLHDKEPCEYCDGIYLNTPSLSRTIFPNNEAEKDLLRQQLLQGFSSSIEITIGED >ONIVA05G00480.2 pep chromosome:AWHD00000000:5:329529:339520:-1 gene:ONIVA05G00480 transcript:ONIVA05G00480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASPAVMASRPDPGELQAFLRGLRTHHAVLCAHAFLLRRGLLLGHRTTAGILLSAATSTATSASRPAHAHAHAHLLRLLLHHLPPPLPLFSLDNALRALAPRLPFSALLSLFAALLRSHHPAFPARFSFPTLLSKASSSSSPRLHLPSALALHAQLLRRGLLFSPPLHAANALLHFYAAATLLPCARNLFDEMPFRDVASYNTMMTAYAGAVDGIDAARHLFDGMLLRNVVSWNIMINGYVKVKRPEQALEVVRWMAEIGVRGTAVAMVGAATACARLGRLGAGKEVHCAFLRRFEERNLLFSTALVDMYGKCRNADAARKVFDRLSFRNVVCWNAMIIGHCVYGEPGDGIRLFHDMIGQDDQHGLLPDEVTFIGVLCACTRLALLDDGKAYFEQMSTMYNIKPTFAHYWCMANLYASVGLLEEAEGLLTSMPEELKAHALGGLLGLCRFRGEWELGERIVLRLIELEPSNSVHYALLCNVYASAGRWEDVHRVKAIIKERDEKLSPGHRLVNLNEILHQFRERQPENQEIYGILDGLVSRLINKQRKKKEKKLVVNRHRWSPPCTLKSSLPPPPSDPQVPLCYPAPRAVTVDASAAAAVVVVGRQLMEVATPNNNAHQVIDELVSNDDDDDRLSALPDEISIDILQRLPLRTSAQTTILTRRWTHLFPSMTHLKIDINEFVPCILTRHNVARSMAMSWYTQALRTLLAPTIDPDRTIRIMHLRFYPTDSYLLSIARMVDDAVQSASASKIEVLDFAILNEVSEVHCTEKQMSRYGRRFMSFFQACPNGFRCLTSLSLWALSCSTSFYGLCDSGRNSVLKIDASPCSQLRTLRMIFCSYIKVELVHVPKLESVDCDTWVGVNPPVYFGCVPLLDKIRFSSTCLKMQQPFVLSSWLSTVPTLTSLHLDFQYEMVWIMPEEPKKLFPIFRNLKDVYLYNISNDSGLDWTLFVLEGAPSLKSFHVKISHHICGGDGFEHNAGSSNVVWEASSDIIKHKNLRLLDIIGFETEENLIKYIRLAIQRAIALQRIHLHEKEPCEDCDDIYLNTPSLSRTRFPNNEQEKDLLREQLLQGFSSAQELYLGHMQCQVVDDRLSALPDEILIDILQRLQLPTAARTTTLARRWTHLLQSMNHLEIDVADFIPRRSAPSLKRNTMTRVKVAMSRYTQAMRTLLAPRAESPQLIIIRTLHLCFYLTDPYLHSVGRMLEDAVQSAGGRASKIEVLSFSILIEVPELLCTEKHLARYGRRFMSFFQAYPNAFKRLTSLSLWALRFGDSDIPNLLASCLQLQHLTLQDCDNGKRSVLRIDAPNSQLSTLTMAFCSYIKVELINAPKLKCVDCDTWVGANPPVCFGCVPMLDRIRFSSTCHKMQLPFKLSDWLSTVPTLTSLHLDFQDEMVWILPEEPKKLFPIFRNLRNVYLCSISLDCGLDWTLFVLEGAPFLERFHVKISLHICDENGFKDRADRSNVVWEASSESIKHKTLRLLDINGFETTENLIKYIRLVIQRAVGLQRIHLHDKEPCEYCDGIYLNTPSLSRTIFPNNEAEKDLLRQQLLQGFSSSIEITIGED >ONIVA05G00470.1 pep chromosome:AWHD00000000:5:323469:329166:1 gene:ONIVA05G00470 transcript:ONIVA05G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFDAFSAPCCISDVKEHNCLKPIILLAIDDIQLILAYGLISILFASTPRQYRVIYGQYYLQFLVTWRSNVTNMHTRGASSDVLRASISSAPSTSSHGSAQDDCDSLGDVYVWGEVFCENSVRVGSDTIIRSTEKTDFLLPKPLESRLVLDVYHVDCGVRHAALVTRNGDVFTWGEDSGGRLGHGTREDSVHPRLVESLAACNVDFVACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGTDAGHWIPKRISGALDGLPVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGNLTSISCPKEVESLSGLKTIAVACGVWHTAAIVEVIVTHSSSSVSAGKLFTWGDGDKHRLGHGDKESRLKPTCVASLIDYDFYRVACGHSLTVCLTTSGKVLSMGNSVYGQLGNPNSDGRLPCLVEDRIAGEHVLQVACGSYHVAVLTGRSEVFTWGKGANGRLGHGDIEDRKVPTQVEALKDRAVRHIACGANFTAAICLHKWVSGADQSQCSSCQQPFGFTRKRHNCYNCGLVHCNACTSRKALRAALAPNPGKPYRVCDSCFLKLKNASDSDSFNKRKDIVSHLAGESNGDTKASKTILSSNMDIIRSLDSKAARQGKKTDALSFLRTPQVSSLLQLRDIALSGSADMNRSVPRAVRTSAVRSVTTSRAVSPFSRKSSPPRSTTPVPTTHGLSFSKSATDNLAKTNELLNQEIDRLHAQVDNLRHRCEHQEVELHKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERIPPEQGTYDVSEAKPVHVPNGIDSHIAIYSSINVAHQPRNELLNASNAQSLNSGRSLHPNGISSQHRLLGNATEASEGSAQSHRITSPCKLDVPHRRAHSNSDDMLTASHRGDDNVSIDAMSLQNGEDGYKPRGTVSSISSSQVQAEWIEQYEPGVYITLTTLLDGTRDLKRAEKWWNENREKVYERYNVRSSERVLGWDVGFGLAGLGWQFQETGQVRIIQVRVSLR >ONIVA05G00470.2 pep chromosome:AWHD00000000:5:323469:328630:1 gene:ONIVA05G00470 transcript:ONIVA05G00470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFDAFSAPCCISDVKEHNCLKPIILLAIDDIQLILAYGLISILFASTPRQYRVIYGQYYLQFLVTWRSNVTNMHTRGASSDVLRASISSAPSTSSHGSAQDDCDSLGDVYVWGEVFCENSVRVGSDTIIRSTEKTDFLLPKPLESRLVLDVYHVDCGVRHAALVTRNGDVFTWGEDSGGRLGHGTREDSVHPRLVESLAACNVDFVACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGTDAGHWIPKRISGALDGLPVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGNLTSISCPKEVESLSGLKTIAVACGVWHTAAIVEVIVTHSSSSVSAGKLFTWGDGDKHRLGHGDKESRLKPTCVASLIDYDFYRVACGHSLTVCLTTSGKVLSMGNSVYGQLGNPNSDGRLPCLVEDRIAGEHVLQVACGSYHVAVLTGRSEVFTWGKGANGRLGHGDIEDRKVPTQVEALKDRAVRHIACGANFTAAICLHKWVSGADQSQCSSCQQPFGFTRKRHNCYNCGLVHCNACTSRKALRAALAPNPGKPYRVCDSCFLKLKNASDSDSFNKRKDIVSHLAGESNGDTKASKTILSSNMDIIRSLDSKAARQGKKTDALSFLRTPQVSSLLQLRDIALSGSADMNRSVPRAVRTSAVRSVTTSRAVSPFSRKSSPPRSTTPVPTTHGLSFSKSATDNLAKTNELLNQEIDRLHAQVDNLRHRCEHQEVELHKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERIPPEQGTYDVSEAKPVHVPNGIDSHIAIYSSINVAHQPRNELLNASNAQSLNSGRSLHPNGISSQHRLLGNATEASEGSAQSHRITSPCKLDVPHRRAHSNSDDMLTASHRGDDNVSIDAMSLQNGEDGYKPRGTVSSISSSQVQAEWIEQYEPGVYITLTTLLDGTRDLKRAEKWWNENREKVYERYNVRSSERVSSSSAASTRSAY >ONIVA05G00460.1 pep chromosome:AWHD00000000:5:317258:318938:-1 gene:ONIVA05G00460 transcript:ONIVA05G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >ONIVA05G00450.1 pep chromosome:AWHD00000000:5:314331:319413:1 gene:ONIVA05G00450 transcript:ONIVA05G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAARTWTWRWRWRCFHSTAAALSKPTPHIRFAVREKRADAKAALKNILLNGGPCQEGSNKQKRQQKGSGKSKLTNSSYGKNPHRKGKSAQKWKNFDEDDCSDTPYGNFGGKRSFTWYWPGEDDESGSPSGFQWRDESQSNKSRERVWNESDVDEEEPCYDNLRSHRISLGLPPLGPLELDHIKSAFRASALKWHPDKHQGASQAEAEERFKRCVEAYKALSGAFKPSD >ONIVA05G00450.2 pep chromosome:AWHD00000000:5:314331:317574:1 gene:ONIVA05G00450 transcript:ONIVA05G00450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAARTWTWRWRWRCFHSTAAALSKPTPHIRFAVREKRADAKAALKNILLNGGPCQEGSNKQKRQQKGSGKSKLTNSSYGKNPHRKGKSAQKWKNFDEDDCSDTPYGNFGGKRSFTWYWPGEDDESGSPSGFQWRDESQSNKSRERVWNESDVDEEEPCYDNLRSHRISLGLPPLGPLELDHIKSAFRASALKWHPDKHQGASQAEAEERFKRCVEAYKALSGAFKPSD >ONIVA05G00440.1 pep chromosome:AWHD00000000:5:303078:312166:1 gene:ONIVA05G00440 transcript:ONIVA05G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKPYVIAIIIQVIYTGLYVVSKAAFDQGMNTFVFIFYRQAAATLLLLPLAIILERRNAPAMSLRLFTKLFMYALLGNTITMNMYNVSLKYTSATVASATSNSVPVVTFFLAVLLRLEVIRLRTLSGVAKAAGVALCLAGVLVIALYAGPAISPLNHHRALSGGVHGAESSVGTGTRARWMKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLATLIQCALSTLQSLLLAAAVVRADPAAWRLRLDAGLLAVAYTGFVVTGVSFYLQAWCIEKKGPVFLAMSNPLCFVFTIFCSSFFLAEIVHLGSIVGGVLLVAGLYSVLWGKSKEHNINMQLTLAAAASTEQVKQRQDEDHKMEIAGGDEQEEIKQQQQGKMAASPEQQVSEVSKMEEKKPYVIAMLIQVIYAGMFVVTKAAFDEGMNTFVFIFYRQAAATLLLLPPALLLERKNARSMSLMLLIKLFFCAFIGNTFSLNLYNVSMKFTSATVASAASNSLPVITFFLALITRMECVKVRSSSGIAKLAGVALCFAGVMVLALYKGPALNPVSHHHHHIASFAGDARSSSSRGAWIRGIFLMVLANVTWSIWIILQAAVLREFPNKMLVTAAQCVFSTVQTAVVAAAAEREMARWKLRLDISLLAVLYTGLVVTGVSYYLQAWCVELKGPVFLAMSNPLCLLFTIFCSSFFLAEIVHLGSIIGGILLVGGLYSVLWGKSAEMTMNGNGDEQQQQQSHHKISTEMIVVEKTMISGSQEKDQNNNEQSTPTKSPLQQV >ONIVA05G00430.1 pep chromosome:AWHD00000000:5:290943:292596:-1 gene:ONIVA05G00430 transcript:ONIVA05G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0H8D6] MSSSTFSGDETAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >ONIVA05G00420.1 pep chromosome:AWHD00000000:5:285986:288843:-1 gene:ONIVA05G00420 transcript:ONIVA05G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYATPAGAGAGAGGYYYYPPSQQHKPRRPPRPAARWVKHWIPQDLATSSGKCALYKWVREDVYKNLKDGKAVPEPEAVKPEPTTEILFLCSYENCGKTFVDVAALRKHAHVHNERQYICQEPGCGKKFVDSSKLKRHHLIHTGQKDFICPHPGCGKAFSLDFNLRSHLKTHALENYHVCPFPACGKRFTSDSKLKSHVKGHEKTGTPITAQYVPSSDKPQSSSKPATPATTKPTTPAATKTTTPASTKPTTPAPTSFAERPYVCPYDGCGKAYIHSYKLNLHLKTQHPEHGQEENGRIAAHASEHAVNDRANQYNYAEIVDLAPNPKRSKTNSGHKTPSSNKAYNVKISSVLPADISGVKNQWPGKYEDDSEETEEDQGNNIEDGWRYGNQNADDEETEYED >ONIVA05G00410.1 pep chromosome:AWHD00000000:5:281722:285508:1 gene:ONIVA05G00410 transcript:ONIVA05G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPRSRSPSLSSSSSTSYSRSPASPSSFSSSPSRSRSPPRPAGGARSPSPPPPPPPPRKASPPPESTVLHVDHLSRNVNEDHLKEIFENYGEVVNVELSMDRVVNLPRGYGYVEFKNRADAEKALLYLDDSRFHHSSKELLLLQKLFTLHQKGMWLIIIKLVLVLKRPPNSSPGNHLLKESQLHLQEGDLLQVEELNHLGVGLILLQFAVEQLPLQSGVETRIPNQKALTLSAPSKTKITKADSSKEDVESSQKTTGPRRVLRSRSPRRPLRGRSASSYSRSSSSSGSPIRN >ONIVA05G00400.1 pep chromosome:AWHD00000000:5:276703:278455:1 gene:ONIVA05G00400 transcript:ONIVA05G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLITFTATGAAAAFTSPKIKRRMRMAPTMASKPGAKVKVSAGCKTCRGKGAVECPGCKGTGRNKKNGNIFERWKCFDCQGFGLKSCPSCGKEGLTPEQRGER >ONIVA05G00400.2 pep chromosome:AWHD00000000:5:276959:278455:1 gene:ONIVA05G00400 transcript:ONIVA05G00400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLITFTATGAAAAFTSPKIKRRMRMAPTMASKPGAKVKVSAGCKTCRGKGAVECPGCKGTGRNKKNGNIFERWKCFDCQGFGLKSCPSCGKEGLTPEQRGER >ONIVA05G00390.1 pep chromosome:AWHD00000000:5:268140:275635:-1 gene:ONIVA05G00390 transcript:ONIVA05G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEFDWPTSVLSTSPETENVTSSSVRSTTKNDVVVQQGSISSYWPWDVMKLENDTHFLSHSSGDGMKCTKADHFLSHANRGSLNLDYQEQPSKSNFATDLGSHAYSKEARTLGRVYHGQQPYFSPSSCSWEKSSALKVVPNSPDASGHIVGEMRTKTNILSARSNYLSYPTDVPHIRYRDEVKVDLSQDDNSKQYRRPDQFTAFSNFNGQSSEHLETAKGANYMDEITPISRQWCFDNRGPSLPRGLVYGDEIPSLSSKKCNGNVASSYSRIWDYSAETSSVRQCYGDKIPSLSHHWHYRDKIPLHSGQWCHDAEARPLSNYQQGASYGNGRLRDNISRGGRNEQVNIISSRRTMTKPRVANKVVSSTDHYKINKDNLWRNSEDIRDQVRGPRANKLNNSSTSSIKNNAIPPLVCRDQINRPEFTVQYEHAKFFMIKSYSEDDVHKGIKYNVWASTPNGNNKLDAAFHEAQILMKEQGKRCPIFLFFSVNTSGQFVGLAEMLGPVDFKKTMDFWQQDKWNGFFPVMWHIIKDIPNRFFKHITLENNEGKVVTFSRDTQEIGLPQGLEMLKIFKAYHQGTSILDDFYFYEEKENMRHAQKGRNLETTNQDLFSDDFRSISVNHASRMAQQNVGRDQSQSEDMTNYN >ONIVA05G00390.2 pep chromosome:AWHD00000000:5:268866:275635:-1 gene:ONIVA05G00390 transcript:ONIVA05G00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEFDWPTSVLSTSPETENVTSSSVRSTTKNDVVVQQGDVMKLENDTHFLSHSSGDGMKCTKADHFLSHANRGSLNLDYQEQPSKSNFATDLGSHAYSKEARTLGRVYHGQQPYFSPSSCSWEKSSALKVVPNSPDASGHIVGEMRTKTNILSARSNYLSYPTDVPHIRYRDEVKVDLSQDDNSKQYRRPDQFTAFSNFNGQSSEHLETAKGANYMDEITPISRQWCFDNRGPSLPRGLVYGDEIPSLSSKKCNGNVASSYSRIWDYSAETSSVRQCYGDKIPSLSHHWHYRDKIPLHSGQWCHDAEARPLSNYQQGASYGNGRLRDNISRGGRNEQVNIISSRRTMTKPRVANKVVSSTDHYKINKDNLWRNSEDIRDQVRGPRANKLNNSSTSSIKNNAIPPLVCRDQINRPEFTVQYEHAKFFMIKSYSEDDVHKGIKYNVWASTPNGNNKLDAAFHEAQILMKEQGKRCPIFLFFSVNTSGQFVGLAEMLGPVDFKKTMDFWQQDKWNGFFPVMWHIIKDIPNRFFKHITLENNEGKVVTFSRDTQEIGLPQGLEMLKIFKAYHQGTSILDDFYFYEEKENMRHAQKGRNLETTNQDLFSDDFRSISVNHV >ONIVA05G00380.1 pep chromosome:AWHD00000000:5:263873:271246:1 gene:ONIVA05G00380 transcript:ONIVA05G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTRIGVWPWIQNKVVDPLMQVVRRGAEPKQLAFSAALGVTLGIFPICGTTVILCGVAIAMLGSRCNAVTVMVLNFVVTPLELSLIVPFLRFGEVITGSGHFPLTSDAFKKVITGQASKDVMLSIVHAMLGWLIAAPFALAALYMVFIPCFKLMVDRFGGVPSSPRTPIKLV >ONIVA05G00380.2 pep chromosome:AWHD00000000:5:263890:271246:1 gene:ONIVA05G00380 transcript:ONIVA05G00380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTRIGVWPWIQNKVVDPLMQVVRRGAEPKQLAFSAALGVTLGIFPICGTTVILCGVAIAMLGSRCNAVTVMVLNFVVTPLELSLIVPFLRFGEVITGSGHFPLTSDAFKKVITGQASKDVMLSIVHAMLGWLIAAPFALAALYMVFIPCFKLMVDRFGGVPSSPRTPIKLV >ONIVA05G00380.3 pep chromosome:AWHD00000000:5:263890:271246:1 gene:ONIVA05G00380 transcript:ONIVA05G00380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTRIGVWPWIQNKVVDPLMQVVRRGAEPKQLAFSAALGVTLGIFPICGKEPLKPQHVFIVPDFSHSLTEQKKISKLTELSGLRQPRRL >ONIVA05G00370.1 pep chromosome:AWHD00000000:5:263628:268002:-1 gene:ONIVA05G00370 transcript:ONIVA05G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAASANGAAISHPSIYGSGGVDEIERVGNTWQDLTKKGREDKGPHKPISNLLSPHSPLLPSQPKPPGHSPMGREKVSVGGEKLDGGGRRLT >ONIVA05G00360.1 pep chromosome:AWHD00000000:5:255924:263260:1 gene:ONIVA05G00360 transcript:ONIVA05G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin folding cofactor E / Pfifferling (PFI) [Source:Projected from Arabidopsis thaliana (AT1G71440) TAIR;Acc:AT1G71440] MAAVAFRLGQRVHAAGDPARVGTVRYLGPVDGHPGDWLGVDWDAGAGGRHDGSLAGRRYFVAAGERSASFARPTALSAGITLPDAIRNRYRVEEFTKEEQDEMYVFSTSQKRVSVELVGKNKVEEKLKNLNDLTSASVSYMGVSSIGPGDELKNLVPNLRQLDLTGNLLSQWQDIFSLCEALASLEILDLTNNTMENDFTDSSSVKNIRILVLNYCGVTWELVEKIKVSFSCLSELHLMTNRIKAMMTPVGNIVQGFDTLRLLNLEDNHIDSWDEIVKLSYLRSLEQLHLNKNMLKHVTYPSNPPPLGSLGDAAVLPFEKLRVLLLGSNQIDEVLSVDSLNLFPSLTDVRLSDNPVADPAKGGVPRFVLIARLGKVKILNGSEISPRERREAEIRYVRLVMGKAESYDPEEIKRLHPRFAELKAFHGIEDEKPSSRTSGPQKMASGLISITLKCVGPSMGEKQPLTKKLPPATTVGKLKSLCESFFKLKDVKLRLYLEEEGCPMPQFFEEDTVSLMELGIGTGSTIIVDEES >ONIVA05G00350.1 pep chromosome:AWHD00000000:5:252138:255125:-1 gene:ONIVA05G00350 transcript:ONIVA05G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABD1 [Source:Projected from Arabidopsis thaliana (AT3G11730) UniProtKB/Swiss-Prot;Acc:Q9ZRE2] MSIEYDYLFKLLLIGDSSVGKSCLLLRFADDSYIDTYISTIGVDFKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNVKQWLSEIDRYASDSVCKLLVGNKCDLVDSKVVDTEEAKAFAESLGISFLETSAKESINVEEAFLTMSSDIKKRMATQPAVERKPTVHIHMRGQPIQQQNSSSSCCSS >ONIVA05G00340.1 pep chromosome:AWHD00000000:5:249436:253377:1 gene:ONIVA05G00340 transcript:ONIVA05G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFDNISRWLKELRDHADANIRIMLVGNKTDLKHLRAVATDDAHKFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKPVASDEGGAGAAGGVKEGKTINVAAGDANSEKKQCCST >ONIVA05G00330.1 pep chromosome:AWHD00000000:5:232945:239307:-1 gene:ONIVA05G00330 transcript:ONIVA05G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine S-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G49810) TAIR;Acc:AT5G49810] MAAAAEVESFLATCAASGDAAYGAAKAVLERLQDPASRPDARRLLGAVRRRFAGPAAGEECFRTFHFRIHDVVLDPHLRGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWSPSKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIVTENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQGVCERLFRRRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPVCARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKYNPCEPPAGCLNFRNLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALRLFSPALAIVDEHLTRHLPKQWLTSLAIEGKAKDTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLSVTKDVGSRLFIDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYRALSQTIELLEGHTSQISQHYYGCLFHELLAFQIADRHPQQERLPAEVIPQKMIGFSSSAMSTLKEAEFFIPDSKESSVIHMDLDRSFLPVPSAVNASIFESFVRQNITESETDVRSSIQQLVKDSYGFPADGCSEILYGNTCLALFNKLVLCCIQDQGTLLFPLGANGHYVSAAKFVNANTLTIPTKLESGFKIEPRVLADTLETVSRPWVYISGPTINPTGFLYSDSDIQELLSVCAKYGARVVIDTSFSGLEFQTDGWSRWNLERCLSAVNCPKPSFSVALLGELSFELTAAGHDFGFLILNDSSLVDTFHSFPSLSRPHSTLKYTFKKLLGLKNQKDEHFSNLIMEQKDTLKSRADHLIKTLEGCGWDVAGSHGGISMLAKPTAYIGKTIKVDGFDGKLDGCNIKEAILRSTGLCINSSSWTGIPDHCRFSFALESSEFERAMGCIVRFKELVLGSKAFHQINGN >ONIVA05G00330.2 pep chromosome:AWHD00000000:5:232945:242557:-1 gene:ONIVA05G00330 transcript:ONIVA05G00330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine S-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G49810) TAIR;Acc:AT5G49810] MGSAGVEDAAAVAAFLERCAPSGDAAYGELKAVLGRLHEPTTRRAARAFLTALRPFCSGGDSLARYGFRIHDLSLLHCAHDHQFSGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWSPSKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIVTENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQGVCERLFRRRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPVCARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKYNPCEPPAGCLNFRNLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALRLFSPALAIVDEHLTRHLPKQWLTSLAIEGKAKDTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLSVTKDVGSRLFIDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYRALSQTIELLEGHTSQISQHYYGCLFHELLAFQIADRHPQQERLPAEVIPQKMIGFSSSAMSTLKEAEFFIPDSKESSVIHMDLDRSFLPVPSAVNASIFESFVRQNITESETDVRSSIQQLVKDSYGFPADGCSEILYGNTCLALFNKLVLCCIQDQGTLLFPLGANGHYVSAAKFVNANTLTIPTKLESGFKIEPRVLADTLETVSRPWVYISGPTINPTGFLYSDSDIQELLSVCAKYGARVVIDTSFSGLEFQTDGWSRWNLERCLSAVNCPKPSFSVALLGELSFELTAAGHDFGFLILNDSSLVDTFHSFPSLSRPHSTLKYTFKKLLGLKNQKDEHFSNLIMEQKDTLKSRADHLIKTLEGCGWDVAGSHGGISMLAKPTAYIGKTIKVDGFDGKLDGCNIKEAILRSTGLCINSSSWTGIPDHCRFSFALESSEFERAMGCIVRFKELVLGSKAFHQINGN >ONIVA05G00330.3 pep chromosome:AWHD00000000:5:242096:242557:-1 gene:ONIVA05G00330 transcript:ONIVA05G00330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine S-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G49810) TAIR;Acc:AT5G49810] MGSAGVEDAAAVAAFLERCAPSGDAAYGELKAVLGRLHEPTTRRAARAFLTALRPFCSGGDSLARYGFRIHDLSLLHCAHDHQFSVVISKVIKLTACA >ONIVA05G00330.4 pep chromosome:AWHD00000000:5:241841:242557:-1 gene:ONIVA05G00330 transcript:ONIVA05G00330.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine S-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G49810) TAIR;Acc:AT5G49810] MGSAGVEDAAAVAAFLERCAPSGDAAYGELKAVLGRLHEPTTRRAARAFLTALRPFCSGGDSLARYGFRIHDLSLLHCAHDHQFSERATATSDQSQTYATC >ONIVA05G00320.1 pep chromosome:AWHD00000000:5:228748:231173:-1 gene:ONIVA05G00320 transcript:ONIVA05G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLFLVLGDDAFSDYIQLQVPINFLKKRKRRKKCSNRSKTGRKTNQIQEAASMATAADGVAAIALCPDVAVYWLNRGLCHFKRKEWAKVEEDSRRALALDDTLVKGHYLLGCAMLEKEQCALAIKEFNKALDLLKSSNLGDKMAEDIWQVLAKAKYQDWEIHSTKRVWKMQSLKEACENALQEHHFLSGTLVGDGSTNEYTDQLKLLSEVFTKATIDDTPTDVPDYLCCQITFEIFRDPVITPSGITYERSIILEHLCKVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKNHSWAYKLN >ONIVA05G00320.2 pep chromosome:AWHD00000000:5:228748:231173:-1 gene:ONIVA05G00320 transcript:ONIVA05G00320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLFLVLGDDAFSDYIQLQVPINFLKKRKRRKKCSNRSKTGRKTNQIQEAASMATAADGVAAIALCPDVAVYWLNRGLCHFKRKEWAKVEEDSRRALALDDTLVKGHYLLGCAMLEKEQCALAIKEFNKALDLLKSSNLGDKMAEDIWQVLAKAKYQDWEIHSTKRVWKMQSLKEACENALQEHHFLSGTLVGDGSTNEYTDQLKLLSEVFTKATIDDTPTDVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKNHSWAYKLN >ONIVA05G00310.1 pep chromosome:AWHD00000000:5:225023:226936:-1 gene:ONIVA05G00310 transcript:ONIVA05G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 2 [Source:Projected from Arabidopsis thaliana (AT2G39990) TAIR;Acc:AT2G39990] MAAAEGPVLLFPSTSSMSARVEAVVVFNICDSYVRRPDQAERVIGTLLGSVLPDGTVHVRNSYVVPHNESPDQVALDIEYHHNMYASHHKVNPKEVIVGWFSTGFGVSGGSTLIHDFYSREVQSPIHLTVDTGFTRGDASIKAYISSNLSLGDRHLAAQFQEIPLDLRMLEAGKVGFDILKSTIVEKLPNDLEGMESSMEKLYVLIDEIYKYVDDVVEGRVAPDNKIGRFISDAVASMPKLSPAAFDKLFNDRIQDNLALVYLSSITRTQISIAEKLNTAAQIL >ONIVA05G00300.1 pep chromosome:AWHD00000000:5:199499:218325:1 gene:ONIVA05G00300 transcript:ONIVA05G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLLLLLLLSVLLLSAASMAKDDDEQQQKVQCHEDDEAALLAIDDALGNPYNLASWTRNTSCCDWYDVDCDQDSGRVVSLSVFQDTNLTGAIPDAIANLTHLRTLLLHHLPSLSGPIPDSLAALTDLTHLTISWTAVSGPVPSFLANLTSLTMLDLSFNSLTGLIPPSLAALTNLSAINLSRNRLSGPIPPGLFSNLQLQDDDDEVYLRLSHNNLSGSVPADLLAAANLALVDLSRNALTGDASAVFRRARYVDLSRNGFVFNMSGVEFAEETYYVDVSHNAIRGGIPAQVANLTNLQTFNVSYNKMCGAVPAMPRFDAYCYQHNKCLCGAPLATACRRYYLINTISYKNKMRAMVVVLVLAAAGAAAATTKKKECNAGDKAALLAIKKALGDPYHFASWTPDNLCCEWYDVTCDDTTDRVVGLSVFQDANLTGTIPDAVAGLTHLRTLTWHHLPQISGPIPPAIAKLNRLSLLIISWTAVSGPVPSFLGGLKSLTLLDLSFNSLTGAIPPSLAALPFLSGIDISRNRLTGPLPPALFSKLNTTQQGGAYLRLSRNNLTGGIPAEYGGVAFEVMDLSRNALSFDMTGLRLQEGVSSLDLSHNMLYGGVPAQVAGLSSLQDFNQGKERLRLYWMEMARAVVLLLLAVAALSCTSAAASGPSCHADDSAALLAVKAAFNNASFFEYWTPEFPCCDWYGVDCGDDYLPSDDRVINLAITPGTIPGDAIAGLTRLRDITFFKVPGITGPIPAALANISGLRVLTISHTAVSGPIPSFIGDKFTDLGILDLSFNSLTGAIPASLAKPPKLNSIDLSRNRLTGSIPRLLLSKAGQQAFLTMSHNNLTGRIPAEFGAVNFVQIDLSRNQLTGDASMLFGSGKKELVSAYLSRNALSFNMSQLQLPEELNFLDVSHNSIYGSIPAQMANMTDMQLLNVSYNRLCGVVPTGGNMPSFDAYCFQHNNDPWISSNMASPGEMSVSNPLRFQGFPCNLGKRNGFIAARSSGLRRSQQCFHRHLCWPGVRRASVPNVRLLPTPGALVSRGLDSSLVHKSDNASEAGVIQLYRIPYLQDSETIELLRQVQAKVSSNIVGIKTEQCFNIQLDNALASEKLATLQWLLAETYEPDKLQAQSFLEEEVARNPYSVIVEVGPRMTFSTAFSTNAVSICKSLSLMEVTRLERSRRYLLCLDPGYGPLDESQLNDFTALVHDRMTECVYPKKLTSFHSDVVPEPVRIVPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPRTLFQLVKSPLKANPDNNSVIGFNDNSSAIKGYPANQLRPTVPGSTSPLSVMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIEGAYAPWEDPSFSYPSNLASPLQILIDASDGASDYGNKFGEPLIQGFTRNFGTRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLLVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVIGTINGCGKIVLIDSAAVEHAKLNGLPPPTPVEDLELEKVLGDMPQKTFEFKRVSVVSEPLDIARGVTIMDALKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPTKGLLNPKAMARLAIGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGKDGVLLHIDLSKGKRRLGGSALAQAFDQIGNDCPDIDDVLYLKKAFEAVQELLGERLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSEDSSLLQALFAEELGLLLEVHLKDLSVVKQKLQAGGISANVIGKVTASPDIELVVDGRLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFTPKFTDEKLLTASSKPKVAILREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEDYRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGSGGDMSQPRFIHNESGRFECRFTSVSIGASPAIMFKGMEGSTMGIWSAHGEGRAFFPDENVLASVVKSNLAPVRYCDDANNITEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWSPKDWQLEKSGPSPWLRMFQNARECCVTYRDLIDGHMMTEAWSYGLFWILKPCWFDALSKARRPQACNMLVQLLDAQQFAVLLLMNRIVEGRSRTRHLGQEDTNCRMRRLIVLFKAVGAKLLPSEQLANLITPSPSTYIYPHTHIVQDKLRQNRSEVEMVRAIGPLLLLAHVLVFVVSIAAAAAPVRRPRCDAGDRAALLAVKAAFNNASYFQSWTPDIACCHWYGVDCGGDDYDYDPTDGDRVLSLAIIRDDNVTGGIPGDAIARLTRLQELMFFKVPGVTGPIPAALATLTALRELTISRTALSGSIPSFIGDKFTALQSLDLSFNSLTGAIPASLAKPPKLISIDLSRNQLTGSIPRLLLSKAGQQAFLTLSHNNLSGRIPAAFGAVNFVQIDLSRNQLTGDASMLFGSGKKELGSVYLSRNALSFDMSELRLPERLSFLDVSHNAIRGGIPAQVVNLSNLQLLNVSYNRMCGEVPTGGNMARFDAYCFQHNKCLCGAPLAACH >ONIVA05G00290.1 pep chromosome:AWHD00000000:5:191505:198531:1 gene:ONIVA05G00290 transcript:ONIVA05G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MGSNTPPSRAALEPFATLDPAALAGLPASSPLTVRSAAISSPYLYLGTGGGKLLLFTLDSPSSAILPLPAVARLLLLADGLLLLADPLLSRPVRRLGSVRNVAAVAARASESDDDRPSCSLAVSVGKKLLLVDLTLHDADELEVRTRDIALVDGVKALAWVGDSVFVGTASGYSLFSTTNAQGGDIFTLPESSRPPRVKPLSGGDEVMLLVDNVGVVVDRSGHPVGSSFVFNTTPDCIAEVYPYVVVAGDSKVDVYRRKNGAHLQAIPFARPGTGGLIVASEDAGIGSDVVVIATAYKVFCYRKVSAVEQIKALLRRKSYAEAISLLQEFEADGEISNDMISFVHAQLGFLLFFDLRFEDAVNHFLLSETMQPAEIFPFIMRDPNRWSDLVPRKRYWGLHAPPKPLEEVIDDGLVTLQQALFLKKAGVDTVVDDDFLSNPPSRADLLEVAIRNIIRYLCASREKDLSSSEMEGVDTLLMYLYRALNLVDDMEKLASSQNSCVVEELESLLDDSGHLRTLAFLYGSKGMCSKSLGIWRILARNYSTGLWKDHAILPETDSLETSVGKMSGEEIAAVEASKILQASSDQDLVLEHLGWVADIDQELATAILTSEMREKQLSPEKVVAAIDPEKVGIHQRYLQWLIEEQECDEPHYHTSYALSLSRSAMDAVRLGSNNEERNNKEFDSDMQFIYLLRERLQFFLQSSDLYDPEEVLDVISESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLDLYLDPKNGKGPMFTAAVRLLHNHGESLDPIQVLERLSADMPLQLASDTILRMLRARVHHHRQGQIVHNLSRATNLDARLTRLEERSRHVQLTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQGDSASPHGRNFRKGGAFSSDTV >ONIVA05G00290.2 pep chromosome:AWHD00000000:5:191505:199190:1 gene:ONIVA05G00290 transcript:ONIVA05G00290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MGSNTPPSRAALEPFATLDPAALAGLPASSPLTVRSAAISSPYLYLGTGGGKLLLFTLDSPSSAILPLPAVARLLLLADGLLLLADPLLSRPVRRLGSVRNVAAVAARASESDDDRPSCSLAVSVGKKLLLVDLTLHDADELEVRTRDIALVDGVKALAWVGDSVFVGTASGYSLFSTTNAQGGDIFTLPESSRPPRVKPLSGGDEVMLLVDNVGVVVDRSGHPVGSSFVFNTTPDCIAEVYPYVVVAGDSKVDVYRRKNGAHLQAIPFARPGTGGLIVASEDAGIGSDVVVIATAYKVFCYRKVSAVEQIKALLRRKSYAEAISLLQEFEADGEISNDMISFVHAQLGFLLFFDLRFEDAVNHFLLSETMQPAEIFPFIMRDPNRWSDLVPRKRYWGLHAPPKPLEEVIDDGLVTLQQALFLKKAGVDTVVDDDFLSNPPSRADLLEVAIRNIIRYLCASREKDLSSSEMEGVDTLLMYLYRALNLVDDMEKLASSQNSCVVEELESLLDDSGHLRTLAFLYGSKGMCSKSLGIWRILARNYSTGLWKDHAILPETDSLETSVGKMSGEEIAAVEASKILQASSDQDLVLEHLGWVADIDQELATAILTSEMREKQLSPEKVVAAIDPEKVGIHQRYLQWLIEEQECDEPHYHTSYALSLSRSAMDAVRLGSNNEERNNKEFDSDMQFIYLLRERLQFFLQSSDLYDPEEVLDVISESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLDLYLDPKNGKGPMFTAAVRLLHNHGESLDPIQVLERLSADMPLQLASDTILRMLRARVHHHRQGQIVHNLSRATNLDARLTRLEERSRHVQLTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQGDSASPHGRNFRKGGIFKQSWLVSR >ONIVA05G00280.1 pep chromosome:AWHD00000000:5:186358:190367:1 gene:ONIVA05G00280 transcript:ONIVA05G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H8B2] MAMAMAMPSSSPPLFFSLLNLMLLLLLLAPYCSAVSVPNNTTHHRSSSPTQTTLQQLHSPDSPPPPPLPTPTVTTPTPPPPPPAPRPPRRHHRIPPPPPPLLPTPPPPPASISPTPAPPLPPPPAPAPPPTPTPKFPSSSANPSPPDAYPFTNYPFFPNFAAPPPPTQQQQQQPSGDGGLPTFPANISTLVHPTQRPPRRFPVLQALLLSFLSLCLLLLSALLSLHLFRRLRHRHHSHSHPNARSPSSRSGATNHHHDDDGDGDEEGRRLKPPPMPTSSSNPSTEFLYLGTLAAPPQQPPPTTSHLRPGSPELRPLPPLPRVGPPSGEFASRSSASDPSTAPPAAAEASSSSLSPSSPSASSPTLGSSPVHLRPPSIPQPRGRAPNPSPPKRRPQPPEPMAAHAWNPFVPMPPQAPPSEEEEEHSPSEKSMRKSRPLHSDKLKPGSLHMKDEMIHLYLNNSMAAAMPREVCLLGAPRCHGIGMLVGALGISKEQVREAILEGNAHGLGVEALRMLMQMVLTNEEELKLKYFKDDLSTKLCPVEAFLKAVLDVPFAFKRMDAMLYVANFYLEVNQLRMSYATLEAACQELKNSRLFHKVLEAVLNFGNLMSIDTGSPNSHAMEPNTLLKIVDVKGADGKAALLQYVVHEIVKPEGHSPVCKTNANTTQQYDVEYRKHGLQVVSKLAAELSNTKKASSIDMMKLSRDVSELGVGLGKIHDVLRLNSMVTSADSARRFHNTMSMFLRQAEEEILKLQAQESICLSCVKEVTEYFHGELSSGDEGHMARVFGSVREFLAMLDRICKEAGEEMKSSGWMMGRDWNMAAPMGMTTP >ONIVA05G00270.1 pep chromosome:AWHD00000000:5:180371:180565:-1 gene:ONIVA05G00270 transcript:ONIVA05G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGSLAPKTKNFVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEDMKKNDAGNSSTAGS >ONIVA05G00260.1 pep chromosome:AWHD00000000:5:174921:178128:-1 gene:ONIVA05G00260 transcript:ONIVA05G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNVAILVGSGILGSVLVGGDAKLPSAGEVLSGAAKFVKKHGNEGKDTSSNTDTHTAQLLSQVNHLRQEIQSLGSRPVTVVTNAARSGPGTFTITAVVVAGAVGYAYIKWKGWKLSDLMFVTKRGLSDACNVVGSQLDKVSDDVTSARKHLAGRIDRVDISLDETQEIIEGTRDEVTVIHGDLSAFQEDLQSVNLVVRSLESKLVSLEYTQVPAASVPPAIGSSERVVRRVSSLPQSTALPALPTTAPAAEPSPRAEVPQEEQWGFVSKTSSSREGLGRLQQQRSVVTRTSSMREGSPESSNGASSSTGASTGRNTSTGTNTSTGRFGGLRLPGLGFLASSTS >ONIVA05G00250.1 pep chromosome:AWHD00000000:5:170657:174149:-1 gene:ONIVA05G00250 transcript:ONIVA05G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAALSARSKVQAFLEAARAGDLDSLKKLAAALDEEGKGVAAVAAAVKDANKRTALHFAAREGRTHVCHFLISDLALPVDPKDDDGETPLIHATRQAHLQTVTYLLHHGADPSVASSLGATPLHHAAGIGNLDLMKLFLSKGVDVESESDAGTPLIWAAGHGQQEAVILLLQHDAKPNTENDDGITPLLSAVAAGSLPCLDILIQAGAHPNIRAGGATPLHIAADGGNMEIINCLLKAGSDPNACDDDGLKPIQVAALRNNREVVELLLPLTSPIPGVSSWSIDGIIEYTKSVEEKAQVKEATTQKADRLQIPQLVEVSSEAKERSLEAKSRGDDAFRNKDYLVAVDAYTQAIELNPNDATLHSNRSLCWLRAGQAERALEDARACRALRPDWAKACYREGAALRLLQRFEEAANAFYEGVQLEPENGELVSAFREAVEAGRKFHGTDKKQKADAST >ONIVA05G00250.2 pep chromosome:AWHD00000000:5:170657:174149:-1 gene:ONIVA05G00250 transcript:ONIVA05G00250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAALSGLRSEVASNSLAAARSKVQAFLEAARAGDLDSLKKLAAALDEEGKGVAAVAAAVKDANKRTALHFAAREGRTHVCHFLISDLALPVDPKDDDGETPLIHATRQAHLQTVTYLLHHGADPSVASSLGATPLHHAAGIGNLDLMKLFLSKGVDVESESDAGTPLIWAAGHGQQEAVILLLQHDAKPNTENDDGITPLLSAVAAGSLPCLDILIQAGAHPNIRAGGATPLHIAADGGNMEIINCLLKAGSDPNACDDDGLKPIQVAALRNNREVVELLLPLTSPIPGVSSWSIDGIIEYTKSVEEKAQVKEATTQKADRLQIPQLVEVSSEAKERSLEAKSRGDDAFRNKDYLVAVDAYTQAIELNPNDATLHSNRSLCWLRAGQAERALEDARACRALRPDWAKACYREGAALRLLQRFEEAANAFYEGVQLEPENGELVSAFREAVEAGRKFHGTDKKQKADAST >ONIVA05G00240.1 pep chromosome:AWHD00000000:5:168709:170071:-1 gene:ONIVA05G00240 transcript:ONIVA05G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRKKPNNKSPTQTQRQGRGRGKAVRLPNPNRDELGRRAIAVVAAAAAARARSPPLAPFLFLLRRPIDLDRSVSTKLYKCFSSSFTYNQHNISTKLIDQLARDDEKIKKKTRKPKPKKTVKQHQQEPQDNSRELPASEPKAPPGWPLQPPMYLPVTPAPPPPPPAFSELEAIRAVLEESEKVQEKLDKQHAGMRDELIKKSKDLRDKEFKLPYQNPTPCTEERSNCRQCYVSNAQDPLKCAEAVKRFEACVRLARQRGNTKVAQ >ONIVA05G00240.2 pep chromosome:AWHD00000000:5:168709:170071:-1 gene:ONIVA05G00240 transcript:ONIVA05G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRKKPNNKSPTQTQRQGRGRGKAVRLPNPNRDELGRRAIAVVAAAAAARARSPPLAPFLFLLRRPIDLDRSASVCNFLLWISTKLIDQLARDDEKIKKKTRKPKPKKTVKQHQQEPQDNSRELPASEPKAPPGWPLQPPMYLPVTPAPPPPPPAFSELEAIRAVLEESEKVQEKLDKQHAGMRDELIKKSKDLRDKEFKLPYQNPTPCTEERSNCRQCYVSNAQDPLKCAEAVKRFEACVRLARQRGNTKVAQ >ONIVA05G00230.1 pep chromosome:AWHD00000000:5:166267:166476:1 gene:ONIVA05G00230 transcript:ONIVA05G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIAVVLFVLLSPGLLIQLPGRHHFVEFGNLHTSAMSIVVHSIIYFALITLFVIVIGVHITTD >ONIVA05G00220.1 pep chromosome:AWHD00000000:5:162715:162933:1 gene:ONIVA05G00220 transcript:ONIVA05G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWGPVLISWVLFILLSPGLLFQIPGKCRLIEFGKFQTSVVSILVHTILFFALDAIFLVAIGVQINLGSSP >ONIVA05G00210.1 pep chromosome:AWHD00000000:5:158484:161664:1 gene:ONIVA05G00210 transcript:ONIVA05G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclophilin 20-2 [Source:Projected from Arabidopsis thaliana (AT5G13120) TAIR;Acc:AT5G13120] MAVATSFATLAIARPAAERALLASKTPSPLLSIRTGTGTARLPSSAVFGGFTPALSAAHSRARFVSSATADPKEVDLQSKITNKVYFDISIGNPVGKNVGRVVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVIEGMDIVKMIESQETDRGDRPKKKVVISECGELPVV >ONIVA05G00200.1 pep chromosome:AWHD00000000:5:152251:157551:-1 gene:ONIVA05G00200 transcript:ONIVA05G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQWRSLLFLLLLLLLVPFAAAAGQDAPFVVAQKKVALSRPGPGVERLAVTLNLYNQGSATAYDVSLNDDSWPQEAFQLISGTTSKIVEKLDPGATASHNFILETKVQGKFQGSPAIITYRVPTKAALQEAYSTPMFPLDILAERPPQQKFELRLVGKYGSLVSVVSFVEPLPVPGFPAGCSNLEEKAAAAMAAYEYESSSCSSLDPTSMPMVYSPIVLQPQECPLSFVFDNAAAAAGDNKWVPGIQGSCPCSLGSTQDMDASWGKSRKHKRSNVGLKGLEEKKARRVVLHQHDDDVKKKAKEAAGGEPPAGYIHVRARRGQATDSHSLAERVRREKISERMKMLQSLVPGCDKVTGKALMLDEIISYVQSLQNQVEFLSMKLASLSPLMYEFGPGIDMHPDVLRQLAKMPHEMVQCMGQMGSTGISLQGLGGGPTGFAQDGSSHMNMVVMQVGEQGQQQGSLHQVEMSSHCFFH >ONIVA05G00190.1 pep chromosome:AWHD00000000:5:147248:150393:1 gene:ONIVA05G00190 transcript:ONIVA05G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKNQEPPPSIQDATDRVSFCLSCFDSIFNSILFNSSTKQSNESNQTNPQISKRGDSVDDKIKKLDAELARYKDQIKKTRPGPAQEAIKARAMRVLKQRRMYEGQRDMLYNQTYNLDQVAFASEGLKDAQQTMTAMKAANKELKGMMKTVKLEDIDSLQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESNSVPSYLQPDKESDLDSELNLPAAPTGHTPAPPNRQQEDELGLPTVPQASIRS >ONIVA05G00180.1 pep chromosome:AWHD00000000:5:133368:138931:-1 gene:ONIVA05G00180 transcript:ONIVA05G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGAIDRRHLSPFTPTSDDSSSSFFSQDLVPTERQVGFWNSESMVDHKGSKSVFASPLDKIQPNGANHAGDPETPGGQAFKGLDILSLSNLMRQENASGSPSLSWGEILTNPISRLGLSTRETAFVEPTTADQHVPGYGKGLSSSSLSEVFSGKSREIVSGVLCQSTGTHTSIYDGIADGFEFTGMSTNQDDADEDIFCTGGGMELENNDSVKGDKVQDGSFKSQISSGHSINKQPSRTLVVRNITANIEDSDLTVLFQQYGDIRMLYTSFKHHGFVTVSYYDIRAAQNAMRALHSKPLGLMKLDVQFSFPKENVPGKDIDKGMLVVSNIDSSISNDDLLQMLSVYGDVKEISSSPISCTKKFVEFYDVRAAEEALHDLNKGGISGPKFKVELSQHGEAGSCLRQQHSREWKQDSLPHQPKNSSPGTIGKLGTKCQDNSTVHNLFSPVNQQLESPTQCISTAGPQILSSPIRIKSTLQHNNQDSVGDLSGPLGQGNFGRGIQTLHPRSLPEHHNRICNNSKSMTVSGRNASSRQDGVDHNIQKVGPAGFCGHSFDQNNEAFGFTEIGSCPLHGYHYTWNHTNVFPQSPSAPILWSNLQHPMHVHSYPGVPPHMLNTGSYPMDQHHLGSAPDNGGSFGNVHSFHPGSLGSIGLHGSPQLYPSELSAFASSRGNFREAMFSPVGGGFQSLQQMCNAINGRNPMIHVSTSYDATNDRMRSRRHDGNPAQSENKRQFELDIDRIAKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKTFNGKKWEKFNSEKVASLAYARIQGRSALIAHFQNSSLMNEDKWCRPMLFHKDGPNAGDQEPFPVGNNVRSRAGRNRSLISLDTKDASPSSSPDQESNSVGTANSTCRTTLEQT >ONIVA05G00180.2 pep chromosome:AWHD00000000:5:133368:138931:-1 gene:ONIVA05G00180 transcript:ONIVA05G00180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGAIDRRHLSPFTPTSDDSSSSFFSQDLVPTERQVGFWNSESMVDHKGSKSVFASPLDKIQPNGANHAGDPETPGGQAFKGLDILSLSNLMRQENASGSPSLSWGEILTNPISRLGLSTRETAFVEPTTADQHVPGYGKGLSSSSLSEVFSGKSREIVSGVLCQSTGTHTSIYDGIADGFEFTGMSTNQDDADEDIFCTGGGMELENNDSVKGDKVQDGSFKSQISSGHSINKQPSRTLVVRNITANIEDSDLTVLFQQYGDIRMLYTSFKHHGFVTVSYYDIRAAQNAMRALHSKPLGLMKLDVQFSFPKENVPGKDIDKGMLVVSNIDSSISNDDLLQMLSVYGDVKEISSSPISCTKKFVEFYDVRAAEEALHDLNKGGISGPKFKVELSQHGEAGSCLRQQHSREWKQDSLPHQPKNSSPGTIGKLGTKCQDNSTVHNLFSPVNQQLESPTQCISTAGPQILSSPIRIKSTLQHNNQDSVGDLSGPLGQGNFGRGIQTLHPRSLPEHHNRICNNSKSMTVSGRNASSRQDGVDHNIQKVGPAGFCGHSFDQNNEAFGFTEIGSCPLHGYHYTWNHTNVFPQSPSAPILWSNLQHPMHVHSYPGVPPHMLNTGSYPMDQHHLGSAPDNGGSFGNVHSFHPGSLGSIGLHGSPQLYPSELSAFASSRGNFREAMFSPVGGGFQSLQQMCNAINGRNPMIHVSTSYDATNDRMRSRRHDGNPAQSENKRQFELDIDRIAKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKVYFFFLSVKDCNCEYNFRTDFCNVHFSQNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRSALIAHFQNSSLMNEDKWCRPMLFHKDGPNAGDQEPFPVGNNVRSRAGRNRSLISLDTKDASPSSSPDQESNSVGTANSTCRTTLEQT >ONIVA05G00170.1 pep chromosome:AWHD00000000:5:127609:132675:1 gene:ONIVA05G00170 transcript:ONIVA05G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPCDGDGVCMVCRVASPPEVDLLRCSTCATPWHSPCLSKPPALADAAQWSCPDCSGDSTDAPPPAPAPLAPGSAGQLVAAIRAIECDATLSDQEKARRRQELLGGAAPAGADADDDEGDDVLEVIGKNFSCAFCMKLPERPVTTPCGHNFCLKCFQKWIHSGKRTCGKCRAQIPAKMAEQPRINSALVSVIRMAKVSKNANSAVSAAAYHYIRNDDRPDKAFTTERAKRAGKANASSGQIFVTIPPDHFGPILAENDPKRSIGVLVGDTWEDRLECRQWGAHFPHVAGIAGQSTHGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSSDQKFEKLNAALRISCLKGYPVRVVRSHKEKRSSYAPEAGVRYDGVYRIEKCWRKISVQGKFKVCRYLFVRCDNEPAPWTSDIYGDRPRPLPKVDELKGATDISERKGTPSWDFDEKEGWKWVKPPPISRKPNLSGDPATDKEIRRVARRAQMSVAERLLKEFGCSICKQVMKEPLTTPCAHNFCKLCLVGTYGSQSSMRERSRGGRTLRAQKIVKKCPSCPTDICDFLENPQINREMMDLIESLQRKAVEEGDTKTSSDVSNGAESSGDDGNNEALEKGEDDSSLKDDGKVVKAVVVIKEEDLQPKKSKGEDEKEQGDKKMDSADVVDIAVEKKQATKRASEKAEKKQARKRKGDAVATNDGKRMKTGGDAMETAAEEDAPLSGGTPVKRNSRKSSEVDAQGGGGSPVVSSPRRVTRSSAKASGEADGSPATRTRRATRAEA >ONIVA05G00160.1 pep chromosome:AWHD00000000:5:122711:124527:-1 gene:ONIVA05G00160 transcript:ONIVA05G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGSLLSSQWWCAGGGGRGRGRRRSSSQQQVSATHYTQRARGMEHYSTKFSNGGGRLVDPMPDRRSRFWQMDSQPTELICPMPRRPSPRPPFLSDSINRTLPVYRADSASDVLDLILSKNDPDVDTDSSTQSAGRAEVGSPSCGASSPKVRIEGFACGNKEPHCAVTFA >ONIVA05G00160.2 pep chromosome:AWHD00000000:5:122711:124527:-1 gene:ONIVA05G00160 transcript:ONIVA05G00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGSLLSSQWWCAGGGGRGRGRRRSSSQQQVSATHYTQRARGMEHYSTKFSNGGGRLVDPMPDRRSRFWQMDSQPTELICPMPRRPSPRPPFLSDSINRTLPVYRADSASDVLDLILSKNDPDVDTDSSTQVGFFCGSPPVRANNPIVHDPQFGKNIPSFSPLGSSFGKKSAGRAEVGSPSCGASSPKVRIEGFACGNKEPHCAVTFA >ONIVA05G00160.3 pep chromosome:AWHD00000000:5:122711:123257:-1 gene:ONIVA05G00160 transcript:ONIVA05G00160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGITRTLPVYRADSASDVLDLILSKNDPDVDTDSSTQVGFFCGSPPVRANNPIVHDPQFGKNIPSFSPLGSSFGKKSAGRAEVGSPSCGASSPKVRIEGFACGNKEPHCAVTFA >ONIVA05G00160.4 pep chromosome:AWHD00000000:5:123549:124527:-1 gene:ONIVA05G00160 transcript:ONIVA05G00160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRGSLLSSQWWCAGGGGRGRGRRRSSSQQQVSATHYTQRARGMEHYSTKFSNGGGRLVDPMPDRRSRFWQMDSQPTELICPMPRRPSPRPPFLSDSINRSTPKP >ONIVA05G00150.1 pep chromosome:AWHD00000000:5:120991:121749:1 gene:ONIVA05G00150 transcript:ONIVA05G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39810) TAIR;Acc:AT4G39810] MRRPEMVFFDVETTAASADEGQRSVLEFGAIVVCPRRLVEVDSYHTVIRPGDMSAVSKRFAAMVDVDVASAPSFDQVAERIFGVLDGRVWAGHNIQRFDCHRIREAFAAIGRAAPEPVAIVDSLNVLAHDFGRRAGDLKMATLASYFGIGKQSHRSLDDARMNLEVLKRCATLLLLESTLPPGMLHSSAAGSITRKRSNHQEEPSSSSLVNVTPSKRKQRQGSGGKIRPKAATTTPKPCFHMILRHSRTILR >ONIVA05G00140.1 pep chromosome:AWHD00000000:5:86761:87665:-1 gene:ONIVA05G00140 transcript:ONIVA05G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVASQEAGGVVVVGMNSGDAGELSYANNSDMQRTIAAATRKERQEMAAAVRRGRRQARAIAIADLGCATGPNALLMAGDAVEAMLGDAERQQEAAPAEFHVFLNDLPSNDFNSVFRQKQKLVVPSNNAGGGLGEQVPEVAVVRRGHRLPPGHEGEEEDASAADLLGAAGEEEAEPCRRAADRRLVDSFDAPFYGPCPEELREAIREEGSFQVTRMELFEVSRSRSCQSQADLDQLAAQTSSTIRAVVEPMLGPHFGWDAMDALFRRYTHLLHNYYRHNNDQLTNVFLALHKI >ONIVA05G00130.1 pep chromosome:AWHD00000000:5:73195:75479:-1 gene:ONIVA05G00130 transcript:ONIVA05G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 722, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G19630) TAIR;Acc:AT1G19630] MESLAAGAWWVVVLLLLVLTIVASWYRSWWKTTEAGGPLLPPPAAGAGPWWVWVWQWRETAAFLASHGSGRGFYHFVQERYKLYKGEGEGEATCCFRTALMGRVHVFVSASHPAASQLLTAEPPHLPKRYARTAADLLGPHSILCSTSHAHHRHARRALATTLFATPSTAAFAAAFDRLVIRHWTTLLPPHNQNQVVVVLDAALHISYRAICEMLLGAGGGKLRPLQSDVFAVTQAMLALPLRWLPGTRFRRGLHARKRIMAALREEMAARNHHHHHHHHHHDLLSVLMQRRQLGHPDALTEDQILDNMLTLIIAGQVTTATAITWMVKYLSDNRLIQDKLRAEAFRLELKGDYSLTMQHLNAMDYAYKAVKESLRMATIVSWFPRVALKDCQVAGFHIKKDWILNIDARSLHYDPDVFDNPTVFDPSRFDEEGEGDDAKLGRAQQQKRRLLVFGAGGRTCLGMNHAKIMMLIFLHRLLTNFRWEMADDDPSLEKWAMFPRLKNGCPILLTPIHNS >ONIVA05G00120.1 pep chromosome:AWHD00000000:5:72340:72898:-1 gene:ONIVA05G00120 transcript:ONIVA05G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEKRKHSRRSLVGPPHTNTGRTENNPPHRLRGGPLPVFLAIKEARINSTSSRVTSLIAPSARDGWVEVKEAVLEDGKEK >ONIVA05G00110.1 pep chromosome:AWHD00000000:5:71100:71396:1 gene:ONIVA05G00110 transcript:ONIVA05G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSLGPAAEGAVVAAAERPRLRPASCEVAKPREEEEEEVRRSGALVAGVKEQSMVAIATGAWRKKKKKKRRIKSCAAAAWRTTCFSYPIIIVSLCTV >ONIVA05G00100.1 pep chromosome:AWHD00000000:5:69232:71272:-1 gene:ONIVA05G00100 transcript:ONIVA05G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28 family [Source:Projected from Arabidopsis thaliana (AT2G33450) TAIR;Acc:AT2G33450] MATMLCSFTPATRAPLLRTSSSSSSLGFATSQLAGLSLGLSAAATTAPSAAGPKLHPILARRICPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEEGKRFVKLRLSTKALKTIEKHGLDAVAKKAGIDLNKK >ONIVA05G00090.1 pep chromosome:AWHD00000000:5:67634:68349:-1 gene:ONIVA05G00090 transcript:ONIVA05G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLEKRSRKRSRYLSPPYTFPFTTVTVQDDVSVSDSDQSEDLTNVAVADMLSALHAAALLDMDAANVHLLRRFFTLHKTTSPSSSSTRINAQAEFNPSSSRQKEEETTSKTKKKKKKEAAAAASTPTTTIRLPLTDVRNNLQKMISSLLGRSPTATATASHDHGAKLALAGEMRGLLAKVDKMLSATTPANRH >ONIVA05G00080.1 pep chromosome:AWHD00000000:5:63107:69617:1 gene:ONIVA05G00080 transcript:ONIVA05G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDPNPTTTPPSQQLQGVVAGADPQPQSQQDAAANATPAAVAVREDYVQNAVKFLSHPKVRGSPVLYRRSFLEKKGLTKDEIDEAFRRVPDPQPSATATAAASPSPSQHPNNQNHSSTVVQPYAPRQPATPAGSIIVATQPKFSWYRAFVAAGLLLGFGVSAAVFVKKLLLPRLKSWIRKVVAEGDENEGRQIKSKIDEETAEAVKASASAVSAIAKTNQELLASKDEEKKILVTLTQALDSQAKELKSLCESLNHSRDSINITREDRFSQYRALEEHAPSAARNGPVNTPWRASQQTNMYGVPNSDFGSGRPSFASTHNEATPGSFSRSYVETSAAHRGDNRSSGSKPWEMQQYSQQRIGYGSNSQLSDDGCPETQDNYGGGPSYSYHQNQNQNGKAPAPDIQAEEARPSVYISGAEERSPPPPPQRRWVPPQPPGVVMPEAVAAIRQPKSLAKQPSSEASQEAAGETHANGASSSSPLPEEALVNGSDAGRSEIEEQAEAI >ONIVA05G00070.1 pep chromosome:AWHD00000000:5:58977:59477:-1 gene:ONIVA05G00070 transcript:ONIVA05G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLEQVARAVAEAVVRMTAEVSASSLAAVVVVLLVASGYLEIKRRCGALPAAADRAAAPPQDDDTMSMMTREEAAAQEDSNCSASALAQCNSICCSLSASTFRSGGSRNDDDNHSDVSTSESDDSSSSDSAISSPAADLRRSPSLSDWLAPSSPLATSSSSADL >ONIVA05G00060.1 pep chromosome:AWHD00000000:5:49225:56519:-1 gene:ONIVA05G00060 transcript:ONIVA05G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADELGRRLAAVAVSDSDSANNNLFQVMRAVEDAEATIRQQLEENSRLKDELMLKTRELDRFRSEATKPTSLADTFSTSPHGTSTCFDTDQSNGMPRKLSGEQSAPESRVPSHLSTPSSRSLSPTRHRKEGEYDPKFNLPGQCLLPASEANSTIMWKQDLLAKVKEHEEEIAQLRRHLADYSVKEAQILNEKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVSSLLPLLTEYNLQPSVLDAQSIVSNLKVLFKHLQEKLAITEEKLKESQYQLTPWRAESSNSTNIPVQAPSHPPGNAIVTTSKANLDIVPQQAYSHVQSPMSSPVRARRDWDLLGNENHQAIPSEVTAVNTEHENVGTTSPSSSNQIKKDVVAQGTEHDSRAVRFNFESKNQNPSFKDLVRNDVPENLEGAETHISQEPPAQWGPEGSPNLASGVDDANPPYPYLPTVLEEPSSSFSEAADDDPLPAIEGLRITGEAFPGRELQASGYSINGTTSCNFEWVRHLEDGSVNYIEGAKQPSYLVTADDVDSLLAIEVQPLDDRKRKGEIVKVYANEQKKITCDPETKELIKKILSIGHVSYEVLLPVRFLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQQATAINIPYGRPTEFSILASDGAEYNLKPAENAPSRDTIVLILRLFRMKAVEKSKGRRKGIFFK >ONIVA05G00060.2 pep chromosome:AWHD00000000:5:49225:56519:-1 gene:ONIVA05G00060 transcript:ONIVA05G00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADADELGRRLAAVAVSDSDSANNNLFQVMRAVEDAEATIRQQLEENSRLKDELMLKTRELDRFRSEATKPTSLADTFSTSPHGTSTCFGTTSSSLNSRTALADQHNGLFHHTDQMLHDAIKLKYLDTDQSNGMPRKLSGEQSAPESRVPSHLSTPSSRSLSPTRHRKEGEYDPKFNLPGQCLLPASEANSTIMWKQDLLAKVKEHEEEIAQLRRHLADYSVKEAQILNEKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVSSLLPLLTEYNLQPSVLDAQSIVSNLKVLFKHLQEKLAITEEKLKESQYQLTPWRAESSNSTNIPVQAPSHPPGNAIVTTSKANLDIVPQQAYSHVQSPMSSPVRARRDWDLLGNENHQAIPSEVTAVNTEHENVGTTSPSSSNQIKKDVVAQGTEHDSRAVRFNFESKNQNPSFKDLVRNDVPENLEGAETHISQEPPAQWGPEGSPNLASGVDDANPPYPYLPTVLEEPSSSFSEAADDDPLPAIEGLRITGEAFPGRELQASGYSINGTTSCNFEWVRHLEDGSVNYIEGAKQPSYLVTADDVDSLLAIEVQPLDDRKRKGEIVKVYANEQKKITCDPETKELIKKILSIGHVSYEVLLPVRFLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQQATAINIPYGRPTEFSILASDGAEYNLKPAENAPSRDTIVLILRLFRMKAVEKSKGRRKGIFFK >ONIVA05G00050.1 pep chromosome:AWHD00000000:5:44967:46503:-1 gene:ONIVA05G00050 transcript:ONIVA05G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT1G10590) TAIR;Acc:AT1G10590] MADSGARRQPSFTKVDQLRPGTHGHNLLLKVVDSKMVLQRGGGPQGRHMRIAECLVGDETGIIVFTARNDQVDVMKAGASVDLRNAKIDMFKGSMRLAVDKWGIVKAAESPADFTVKEDNNMSLIEFELVTVVE >ONIVA05G00040.1 pep chromosome:AWHD00000000:5:38539:43188:-1 gene:ONIVA05G00040 transcript:ONIVA05G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKSAAASPSSSSSPSVALGLAATTPTTSPLPLAPAAAASSSNPNATPADTTPTSPPPASPPLPSATPPLAASPPPPPPPPPPPPRNSPSPPKPPSQAAQSPLPPTTTTTTPPTAPVPAEAPPPTAPSPYSAPSPTPTPTHTQPRPSPPLLPTPATAADPANPNKARHPSSNKSSSPAAPRRTNSSSSPPNLAIAVGAVLAILVLSLLGAAIWYTTKKKKKQRRRDNGYRAGFMSPTSPLSSHHPSSGSGASANVGSSLDPSFKTNYSAGSPKLKACMSDISMGNSRFFTYQELYQITDAFSAHNLLGEGGFGSVYKGHLPDGKQVAVKQLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNNQRLLVYDFVPNNTLHYHLHGHGRPVLDWSARVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAHVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLTQAIETGNLEELVDPRLERNFNEAEMFRMIEAAAACVRYSASRRPRMSQVVRALDSLADIDLTNGVQPGQSELFNVANTAEVRMFQRMVLGNHDDSSDMSQYGEVREERGGGDEQGDHPVGGRGGQAVGEEHEVVGGEGRGDGAARRNTYHSATLPQMQACCTRVK >ONIVA05G00040.2 pep chromosome:AWHD00000000:5:39648:43188:-1 gene:ONIVA05G00040 transcript:ONIVA05G00040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKSAAASPSSSSSPSVALGLAATTPTTSPLPLAPAAAASSSNPNATPADTTPTSPPPASPPLPSATPPLAASPPPPPPPPPPPPRNSPSPPKPPSQAAQSPLPPTTTTTTPPTAPVPAEAPPPTAPSPYSAPSPTPTPTHTQPRPSPPLLPTPATAADPANPNKARHPSSNKSSSPAAPRRTNSSSSPPNLAIAVGAVLAILVLSLLGAAIWYTTKKKKKQRRRDNGYRAGFMSPTSPLSSHHPSSGSGASANVGSSLDPSFKTNYSAGSPKLKACMSDISMGNSRFFTYQELYQITDAFSAHNLLGEGGFGSVYKGHLPDGKQVAVKQLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNNQRLLVYDFVPNNTLHYHLHGHGRPVLDWSARVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAHVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLTQAIETGNLEELVDPRLERNFNEAEMFRMIEAAAACVRYSASRRPRMSQVVRALDSLADIDLTNGVQPGQSELFNVANTAEVRMFQRMVLGNHDDSSDMSQYGWSSSRQRIGWRIECSRNV >ONIVA05G00040.3 pep chromosome:AWHD00000000:5:39881:43188:-1 gene:ONIVA05G00040 transcript:ONIVA05G00040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKSAAASPSSSSSPSVALGLAATTPTTSPLPLAPAAAASSSNPNATPADTTPTSPPPASPPLPSATPPLAASPPPPPPPPPPPPRNSPSPPKPPSQAAQSPLPPTTTTTTPPTAPVPAEAPPPTAPSPYSAPSPTPTPTHTQPRPSPPLLPTPATAADPANPNKARHPSSNKSSSPAAPRRTNSSSSPPNLAIAVGAVLAILVLSLLGAAIWYTTKKKKKQRRRDNGYRAGFMSPTSPLSSHHPSSGSGASANVGSSLDPSFKTNYSAGSPKLKACMSDISMGNSRFFTYQELYQITDAFSAHNLLGEGGFGSVYKGHLPDGKQVAVKQLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNNQRLLVYDFVPNNTLHYHLHGHGRPVLDWSARVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAHVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLTQAIETGNLEELVDPRLERNFNEAEMFRMIEAAAACVRYSASRRPRMSQVVRALDSLADIDLTNGVQPGQSELFNVANTAEVRMFQRMVLGNHDDSSDMSQYGWSSSRQ >ONIVA05G00040.4 pep chromosome:AWHD00000000:5:39883:43188:-1 gene:ONIVA05G00040 transcript:ONIVA05G00040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKSAAASPSSSSSPSVALGLAATTPTTSPLPLAPAAAASSSNPNATPADTTPTSPPPASPPLPSATPPLAASPPPPPPPPPPPPRNSPSPPKPPSQAAQSPLPPTTTTTTPPTAPVPAEAPPPTAPSPYSAPSPTPTPTHTQPRPSPPLLPTPATAADPANPNKARHPSSNKSSSPAAPRRTNSSSSPPNLAIAVGAVLAILVLSLLGAAIWYTTKKKKKQRRRDNGYRAGFMSPTSPLSSHHPSSGSGASANVGSSLDPSFKTNYSAGSPKLKACMSDISMGNSRFFTYQELYQITDAFSAHNLLGEGGFGSVYKGHLPDGKQVAVKQLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNNQRLLVYDFVPNNTLHYHLHGHGRPVLDWSARVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAHVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLTQAIETGNLEELVDPRLERNFNEAEMFRMIEAAAACVRYSASRRPRMSQVVRALDSLADIDLTNGVQPGQSELFNVANTAEVRMFQRMVLGNHDDSSDMSQYGWSSSRQ >ONIVA05G00030.1 pep chromosome:AWHD00000000:5:34156:39244:1 gene:ONIVA05G00030 transcript:ONIVA05G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H877] MMATGGGDGRRRGRRRSKMRLSRLYSFACGRRPTAVDDESSSRIGGPGFTRVVNANGGGGIPEYGYRSNSVSTTKYNVVTFVPKSLLEQFRRVANIYFLISACLTYTNLAPYTSASAVAPLVLVLLATMVKEAIEDWRRKQQDTEVNNRKTKVLQDGAFHSTKWMNLQVGDIVKVEKDEFFPADLILLSSSYEDAICYVETMNLDGETNLKLKQSLEASSGLQEDDSFNNFRAVIRCEDPNPHLYSFVGNIEIEEQQYPLSPQQILLRDSKLRNTEYVYGVVIFTGHDTKVMQNAMKAPSKRSKIERKMDRIIYLLLSALVLISVIGSVFFGIATRDDLQDGRPKRWYLRPDDSTIYFKPTKAAISAILHFFTAMMLYGNFIPISLYISIEIVKLLQALFINQDIHMYHEETDTPAHARTSNLNEELGQVDTILTDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERAMAKRKGSPLIADMASNTQGSQAAIKGFNFTDERVMNGNWVSQPHSGVIQMFFRLLAVCHTCIPEVDEESGTISYEAESPDEAAFVVAARELGFTFYQRTQTGVFLHELDPSSGKQVDRSYKLLHVLEFNSARKRMSVIVRNEEGKIFLFSKGADSVMFERLSSSDCAYREVTQDHINEYADAGLRTLVLAYRQLDEAEYANFDRKFTAAKNSVSADRDEMIEEAADLLERKLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMTQITITLEQPDIIALEKGGGDKAAVAKASKENVVKQINEGKKRIDGSVVGEAFALIIDGKSLTYALEEDAKGALMDLAVGCKSVICCRSSPKQKALVTRLVKESTGKVSLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISAMICYFFYKNITFGVTLFLYEAYTSFSGQTFYNDWALSTYNVFFTSLPVIAMGVFDQDVSARFCLRYPMLYQEGPQNLLFRWSRLLGWMAYGVASGVIIFFLTSAALQHQAFRRGGEVVDLAILSGTAYTCVVWAVNAQMTVTANYFTLVQHACIWGSVALWYVFLLAYGAITPAFSTNYFMLFTDGLAAAPSYWVVTLLVPAAALLPYFTYSAAKTRFFPDYHNKIQWLQHRGSNADDPEFGHALRQFSVRSTGVGVSARRDARDLHLPPSQSHSHSQTTST >ONIVA05G00020.1 pep chromosome:AWHD00000000:5:12808:20616:-1 gene:ONIVA05G00020 transcript:ONIVA05G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAPTASQHLKRPNLARSDPSSMPAPAPAPSQQGQGPSQNQKLTTNDALLYLKAVKDKFQDKRDKYDEFLEVMRDFKSGRIDTAGVIIRVKTLFNGHHELILGFNAFLPKGFAIKLQDLEKKPVDFMEAINFVNKIKARFQQEDHVYKSFLGILNMYRLHNKSIQDVYGEVAALFRDYPDLLEEFKHFLPDTSTAPEPVTVPRGVSSRHDDRGPLMPSARNAQIIKRERAYPSTVDRDFSIDRPDPEDDPHRRRVDKGRDGKVDRSRKDYETDVKDVEYDSKDLDGGQRKRKLARKMDGALADTQQGGVSTSTSPYDDKDALKSAYTKEFRFCEKVKEKLEPEAYQEFLKCLHIYSQEIITRSELKNLVNDILQHYPDLMNGFNDFLEQCENIGQTSQIVKIVEKGSALNSKEGATHKATTFSSKDKYNLCKPISELDLSNCQRCTPSYRLLPKNYPMPPASCRTDLGASVLNDLWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVIVAIKRVEELIEKMQDNSIKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLCKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKALLAAIKEVNEKKRKEDDMLLTIASGNRRPIVPNMSFEYVDPEIHEDLYQIIKYSCGEVCSSSDQVDKVMKIWATFLEPILGVHPRGHGVEDEKHKSRSTKAGPANVEINNASTNGTVTVKHAHSDEIVPKEQASCSRAILVGGVAADAQNSLQDAERTVCRDEERPKTMLDRRLQNTTPAVDVVPAVYAQNISTERSVESSHLSRPEQNHSRANMEVKPGINACSATPAGGEVVSEAKGGNEAIMGSGEIRIPGSFNSKDNKHCPINEYCGSHNHSKVEREEGELSPNGDVGENFGPFDGVSVDGVSKAKEDSTRRLLQGRPMDATEFAGENDVDADDEGEESAQMMEDSENASEAGEDASGSESGDGEECSREDHEDEDDMDQDDPDAKAESEGEAAENTEAQDADAGISLPFSERSHNAVKPLAKHVPRALNDHEEKFSCIFYGNDSFYVLFRLHQILYERILSAKTNSSSAEKKWKASKDTNLPDQYSKFMSALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVLQAIASDEMDNKLLQLYIYEKSRSPGRFFDLVYHENARVLLHDESIYRFERRSNPTRLSIQLMEYGHEKPEVTAVSIDPNFSSYLYNEYLSSISNTKLYDDIFLGRNKRKRGGNDDSQASLKAIDAFMVTNGLECKISCKSSKVSYVLDTEDFLFHIRKRRVSSSGTIPEKADFVKAYAVKPLYQIRDADVLRKLPCEP >ONIVA05G00020.2 pep chromosome:AWHD00000000:5:12808:20616:-1 gene:ONIVA05G00020 transcript:ONIVA05G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAPTASQHLKRPNLARSDPSSMPAPAPAPSQQGQGPSQNQKLTTNDALLYLKAVKDKFQDKRDKYDEFLEVMRDFKSGRIDTAGVIIRVKTLFNGHHELILGFNAFLPKGFAIKLQDLEKKPVDFMEAINFVNKIKARFQQEDHVYKSFLGILNMYRLHNKSIQDVYGEVAALFRDYPDLLEEFKHFLPDTSTAPEPVTVPRGVSSRHDDRGPLMPSARNAQIIKRERAYPSTVDRDFSIDRPDPEDDPHRRRVDKGRDGKVDRSRKDYETDVKDVEYDSKDLDGGQRKRKLARKMDGALADTQQGGVSTSTSPYDDKDALKSAYTKEFRFCEKVKEKLEPEAYQEFLKCLHIYSQEIITRSELKNLVNDILQHYPDLMNGFNDFLEQCENIGQTSQIVKIVEKGSALNSKEGATHKATTFSSKDKYNLCKPISELDLSNCQRCTPSYRLLPKNYPMPPASCRTDLGASVLNDLWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVIVAIKRVEELIEKMQDNSIKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLCKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKALLAAIKEVNEKKRKEDDMLLTIASGNRRPIVPNMSFEYVDPEIHEDLYQIIKYSCGEVCSSSDQVDKVMKIWATFLEPILGVHPRGHGVEDEKHKSRSTKAGPANVEINNASTNGTVTVKHAHSDEIVPKEQASCSRAILVGGVAADAQNSLQDAERTVCRDEERPKTMLDRRLQNTTPAVDVVPAVYAQNISTERSVESSHLSRPEQNHSRANMEVKPGINACSATPAGGEVVSEAKGGNEAIMGSGEIRIPGSFNSKDNKHCPINEYCGSHNHSKVEREEGELSPNGDVGENFGPFDGVSVDGVSKAKEDSTRRLLQGRPMDATEFAGENDVDADDEGEESAQMMEDSENASEAGEDASGSESGDGEECSREDHEDEDDMDQDDPDAKAESEGEAAENTEAQDADAGISLPFSERSHNAVKPLAKHVPRALNDHEEKFSCIFYGNDSFYVLFRLHQLQAIASDEMDNKLLQLYIYEKSRSPGRFFDLVYHENARVLLHDESIYRFERRSNPTRLSIQLMEYGHEKPEVTAVSIDPNFSSYLYNEYLSSISNTKLYDDIFLGRNKRKRGGNDDSQASLKAIDAFMVTNGLECKISCKSSKVSYVLDTEDFLFHIRKRRVSSSGTIPEKADFVKAYAVKPLYQIRDADVLRKLPCEP >ONIVA05G00010.1 pep chromosome:AWHD00000000:5:8527:11827:1 gene:ONIVA05G00010 transcript:ONIVA05G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIETISSLADADDVLENRGINQVQFRLDEQISLVAATEVKVRTRPGRLGFRSSWTRPTSRKMFTEYMIECDQELVPLEAHITELKRLLLLPNNEIEDIGPDIMQRGRGLQQVLYLHPPFPLYPEYEYHPPPQPQIPYQPAYATAKERENARSRDRRAQRAWWHANLTLLETKKKILEGKRIDLERGLRSEMRKALESQSDLGAGYTNYHFRHR >ONIVA05G00010.2 pep chromosome:AWHD00000000:5:8527:11827:1 gene:ONIVA05G00010 transcript:ONIVA05G00010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIETISSLADADDVLENRGINQVQFRLDEQISLVAATEVKVRTRPGRLGFRSSWTRPTSRKMFTEYMIECDQELVPLEAHITELKRLLLLPNNEIEDIGPDIMQRGRGLQQVLYLHPPFPLYPEYEYHPPPQPQIPYQPAYATAKERENARSRDRRAQRAWWHANLTLLETKKKILEGKRIDLERGLRSEMRKALESQSDLGAGYTNYHFRHR >ONIVA05G00010.3 pep chromosome:AWHD00000000:5:8527:11827:1 gene:ONIVA05G00010 transcript:ONIVA05G00010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIETISSLADADDVLENRGINQVQFRLDEQISLVAATEVKVRTRPGRLGFRSSWTRPTSRKMFTEYMIECDQELVPLEAHITELKRLLLLPNNEIEDIGPDIMQRGRGLQQVLYLHPPFPLYPEYEYHPPPQPQIPYQPAYATAKERENARSRDRRAQRAWWHANLTLLETKKKILEGKRIDLERGLRSEMRKALESQSDLGAGYTNYHFRHR >ONIVA04G30070.1 pep chromosome:AWHD00000000:4:28639583:28644430:1 gene:ONIVA04G30070 transcript:ONIVA04G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMDAFGSVFGEAKPPVTIRMRPVLFHAHAHAHTDDVSQLCLLATDLHSHAWDRSLSLSDIDDLRDDVGIGGSCSDFLDYLKSCLSSGEVNLLFPHNGLDRVHLVATKAKGLPRITISLNTLTHSALNDVIANFSLSLYAAFRTTQDHASREQERASKLMETLSSEKEKNEIMQKQLEALSFLDKRKATKPKLVANQVPSVSDVTQGSDQVIVPAQQQTPGRECEELCCKILRKMSKNSQILPFLCID >ONIVA04G30070.2 pep chromosome:AWHD00000000:4:28639583:28644430:1 gene:ONIVA04G30070 transcript:ONIVA04G30070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMDAFGSVFGEAKPPVTIRMRPVLFHAHAHAHTDDVSQLCLLATDLHSHAWDRSLSLSDIDDLRDDVGIGGSCSDFLDYLKSCLSSGEVNLLFPHNGLDRVHLVATKAKGLPRITISLNTLTHSALNDVIANFSLSLYAAFRTTQDHASREQERASKLMETLSSEKEKNEIMQKQLEALSFLDKRKATKPKLVANQVPSVSDVTQGSDQVIVPAQQQTPGRECEELCCKILRKMSKNSQILPFLCID >ONIVA04G30070.3 pep chromosome:AWHD00000000:4:28639776:28644429:1 gene:ONIVA04G30070 transcript:ONIVA04G30070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMDAFGSVFGEAKPPVTIRMRPVLFHAHAHAHTDDVSQLCLLATDLHSHAWDRSLSLSDIDDLRDDVGIGGSCSDFLDYLKSCLSSGEVNLLFPHNGLDRVHLVATKAKGLPRITISLNTLTHSALNDVIANFSLSLYAAFRTTQDHASREQERASKLMETLSSEKEKNEIMQKQLEALSFLDKRKATKPKLVANQVPSVSDVTQGSDQVIVPAQQQTPGRECEELCCKILRKMSKNSQILPFLCID >ONIVA04G30060.1 pep chromosome:AWHD00000000:4:28638762:28639458:-1 gene:ONIVA04G30060 transcript:ONIVA04G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMDAFGSVFGEAKPPVTMRMRPVLFHAHAHAHAHTDDVSQLRLLATDLHSLAWDRSLSLSNIDDLRDDVGIGSSCSDFLDYLKSCLSSGEVNLIFPHNGLDRVHLIATKAKGLPHITISLNTLTHSTLNDVIANFSLSLYAAFRTTQDYTSRGPTRSIIIHSLVLLYYPLCFCFS >ONIVA04G30050.1 pep chromosome:AWHD00000000:4:28633072:28637068:-1 gene:ONIVA04G30050 transcript:ONIVA04G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I [Source:Projected from Arabidopsis thaliana (AT2G01720) TAIR;Acc:AT2G01720] MAPSLSTAVSSLLLLLLLAAAISVSSSPPMPEDSIRVISAEKRIDLTSPIVKVFLTLKLENDATAPEASQVLLAFTPTEVEHLAIVKATRAEGKRKKKIYVPLSVKASDLAAAPNGARLYSILLSTPLKPAEVTYIKMPSNRVESFTRVDPTSRAGNEVKYGAYNNQLPNSYVPILVHYENNRPFAVVEEFVRKVEISHWGNVQITEQYKLKHGGAQHKGVFSRLEYQSRPSISGVSSFKNLLARLPPRVHSVYYRDEIGNISSSHLRSDSHKSELEIEPRYPLFGGWHCTFTIGYGLPLQDFLFESDDGRRYINLTFGCPLLDTVVDDLTIKVVLPEGSTSPQAVVPFLTEQYLETSYSYLDVVGRTTVVLKKRNVVGEHNVPFQVYYEFNPIFMLAEPLMLISAVFLFFVACIAYLHMDLSIGKS >ONIVA04G30050.2 pep chromosome:AWHD00000000:4:28633072:28637068:-1 gene:ONIVA04G30050 transcript:ONIVA04G30050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I [Source:Projected from Arabidopsis thaliana (AT2G01720) TAIR;Acc:AT2G01720] MAPSLSTAVSSLLLLLLLAAAISVSSSPPMPEDSIRVISAEKRIDLTSPIVKVFLTLKLENDATAPEASQVLLAFTPTEVEHLAIVKATRAEGKRKKKIYVPLSVKASDLAAAPNGARLYSILLSTPLKPAEVTTLEVFYALTHSLEPFPAEITQSDPQLVYYRDSAVLLSPYHVLEQVTYIKMPSNRVESFTRVDPTSRAGNEVKYGAYNNQLPNSYVPILVHYENNRPFAVVEEFVRKVEISHWGNVQITEQYKLKHGGAQHKGVFSRLEYQSRPSISGVSSFKNLLARLPPRVHSVYYRDEIGNISSSHLRSDSHKSELEIEPRYPLFGGWHCTFTIGYGLPLQDFLFESDDGRRYINLTFGCPLLDTVVDDLTIKVVLPEGSTSPQAVVPFLTEQYLETSYSYLDVVGRTTVVLKKRNVVGEHNVPFQVYYEFNPIFMLAEPLMLISAVFLFFVACIAYLHMDLSIGKS >ONIVA04G30040.1 pep chromosome:AWHD00000000:4:28626745:28630865:-1 gene:ONIVA04G30040 transcript:ONIVA04G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSNTERARKALEAMKQLGFSKKEATPVLKNLLRLFGNNWEPIEDECYRALADAILDRHQETAADRGCSATRPTPDDDHHPLTLCGASRDVDTETDEPRTKKPRATNSDPQSPPSLTDDQDVPAAISPPSHGGSPQFRPQTRASARLRQASPSSVTAAHKRPRQMMDEDFQDSAFLREPKPEPDIDMDAIQGTAATSDCPNAHLGLIDYPLNASSSRVALPLALLPPDQNVPQISGPKKRAIQPCSKVNTGEGSSVIDVASSTMGEVKMSLKCSVDPKFRMPSLEAVFKMVEDKYLHSYKILPPEFSIGSLMNEICQCVVQLGSDHIAEHNTESDVAGNGRCSQNEPMTGSIPFVKPIACEDGGNRKCKSAGESFIVEDSENSSVAKQKTHLALANLKPIHDVTDISKGEERVRISVANEFASEKCPPSFYYIRGNLVFQNAYVNISVARIGDEDCCADCFGNCLSAPIPCACTRETGGEYVYTPEGLVRTPFLDECVSMNRFPEKCHKFFCKSSCPLERSRNEASPEPCRGHLARKFIKECWSKCGCNMQCGNRVVQRGITCNLQVFFTGEGKGWGLRTLDELPKGAFVCEYVGEVLTSTELHERTLQNMNNGRHTYPVLLDADWGSEGVLKDEEALSLDSTFYGNVGRFINHRCYDANLVEIPVEVETPDHHYYHLAFFTTKKVEAFEELTWDYGIDFGDGKDPVKAFQCLCGSRYCRGIRHPRKRGKAAAK >ONIVA04G30030.1 pep chromosome:AWHD00000000:4:28611349:28624205:1 gene:ONIVA04G30030 transcript:ONIVA04G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESVRFMAREIHVLRRLDHPHVVKLEGLVTSHMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLDHCHSHGVLHRDIKGANLLLDNNGTLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGRPIMPGRTEVEQLHKIFKLCGSPSEEFWASLKLSRATVFKPQHLYHRCVNNVYKGFSSSALELLDQLLAVDPASRGTAASALESEFFTTKPHACDPSSLPKYPPSKEYDAKLRDEETRRKRMIALKGQNNETRRRKQPQSGNGDLQQRRAQANRKGTRMDDGIRGFRIDPPARVGENGIAQRVPLLHAGRSSSTLGRSNETDEKTQRFYTSQMPNLSCAAEPRGSATRSSNHGDGAKRPHLREHPSRSRYRQLTAVDSSGRSEWTHQFQERPSSSHRKEGGAANKEHTVEILKEHERQIQQAVRRARMDNQARGTQALRTDR >ONIVA04G30030.2 pep chromosome:AWHD00000000:4:28611689:28624203:1 gene:ONIVA04G30030 transcript:ONIVA04G30030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGSKQFHGANLHKSARPPSSTSNHSDSLRHLATYDSTKGQEEEEPEEAADSTAATPLALPDQVLIVTDKEEAPGVDANAAPEPKPKLSLVAHVPNGVDWEHVAAGWPRWLTQVATEAVRGWLPRKSDSFHKLHKIGEGTYSSVYKAHDLENGKVVALKKVRFANMDPESVRFMAREIHVLRRLDHPHVVKLEGLVTSHMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLDHCHSHGVLHRDIKGANLLLDNNGTLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGRPIMPGRTEVEQLHKIFKLCGSPSEEFWASLKLSRATVFKPQHLYHRCVNNVYKGFSSSALELLDQLLAVDPASRGTAASALESEFFTTKPHACDPSSLPKYPPSKEYDAKLRDEETRRKRMIALKGQNNETRRRKQPQSGNGDLQQRRAQANRKGTRMDDGIRGFRIDPPARVGENGIAQRQRRAQANRKGTRMDDGIRGFRIDPPARVGENGIAQRVPLLHAGRSSSTLGRSNETDEKTQRFYTSQMPNLSCAAEPRGSATRSSNHGDGAKRPHLREHPSRSRYRQLTAVDSSGRSEWTHQFQERPSSSHRKEGGAANKEHTVEILKEHERQIQQAVRRARMDNQARGTQALRTDR >ONIVA04G30030.3 pep chromosome:AWHD00000000:4:28611689:28624203:1 gene:ONIVA04G30030 transcript:ONIVA04G30030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGSKQFHGANLHKSARPPSSTSNHSDSLRHLATYDSTKGQEEEEPEEAADSTAATPLALPDQVLIVTDKEEAPGVDANAAPEPKPKLSLVAHVPNGVDWEHVAAGWPRWLTQVATEAVRGWLPRKSDSFHKLHKIGEGTYSSVYKAHDLENGKVVALKKVRFANMDPESVRFMAREIHVLRRLDHPHVVKLEGLVTSHMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLDHCHSHGVLHRDIKGANLLLDNNGTLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGRPIMPGRTEVEQLHKIFKLCGSPSEEFWASLKLSRATVFKPQHLYHRCVNNVYKGFSSSALELLDQLLAVDPASRGTAASALESEFFTTKPHACDPSSLPKYPPSKEYDAKLRDEETRRKRMIALKGQNNETRRRKQPQSGNGDLQQRRAQANRKGTRMDDGIRGFRIDPPARVGENGIAQRVPLLHAGRSSSTLGRSNETDEKTQRFYTSQMPNLSCAAEPRGSATRSSNHGDGAKRPHLREHPSRSRYRQLTAVDSSGRSEWTHQFQERPSSSHRKEGGAANKEHTVEILKEHERQIQQAVRRARMDNQARGTQALRTDR >ONIVA04G30030.4 pep chromosome:AWHD00000000:4:28611689:28624203:1 gene:ONIVA04G30030 transcript:ONIVA04G30030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGSKQFHGANLHKSARPPSSTSNHSDSLRHLATYDSTKGQEEEEPEEAADSTAATPLALPDQVLIVTDKEEAPGVDANAAPEPKPKLSLVAHVPNGVDWEHVAAGWPRWLTQVATEAVRGWLPRKSDSFHKLHKIGEGTYSSVYKAHDLENGKVVALKKVRFANMDPESVRFMAREIHVLRRLDHPHVVKLEGLVKCYMQQLLSGLDHCHSHGVLHRDIKGANLLLDNNGTLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGRPIMPGRTEVEQLHKIFKLCGSPSEEFWASLKLSRATVFKPQHLYHRCVNNVYKGFSSSALELLDQLLAVDPASRGTAASALESEFFTTKPHACDPSSLPKYPPSKEYDAKLRDEETRRKRMIALKGQNNETRRRKQPQSGNGDLQANRKGTRMDDGIRGFRIDPPARVGENGIAQRVPLLHAGRSSSTLGRSNETDEKTQRFYTSQMPNLSCAAEPRGSATRSSNHGDGAKRPHLREHPSRSRYRQLTAVDSSGRSEWTHQFQERPSSSHRKEGGAANKEHTVEILKEHERQIQQAVRRARMDNQARGTQALRTDR >ONIVA04G30020.1 pep chromosome:AWHD00000000:4:28610712:28611293:-1 gene:ONIVA04G30020 transcript:ONIVA04G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGCCLLLVLLIAAGGRAAANSAAAVATTNNKPQQLVQSTCNSTTYYDVCVAALAADPSISTAADVRGLCAIAVSAAATNASAAGASLLASAAAYQSQPQAPLLRACAARYADARQALISAQEAIKEEAYDYAFVHVSAAAQYPTMCRALFRRASSQQRAYPSDLAKREEGLRRLCTVVLDIISLLLLPSS >ONIVA04G30010.1 pep chromosome:AWHD00000000:4:28602235:28603786:-1 gene:ONIVA04G30010 transcript:ONIVA04G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVENGQQLVMDESLKKHIQFLEQQGIAGVNRHGVLFCKTETTATLGDDGAINRKVRDIMVRRCYAPWEHICRDVEKKSLIDQVKEMSKKMDGLGDTMGRIVALEEEYAAELIGMLHENRWERSHLEKIRMQIDDLHEEHMAKFDEMLERIKRMELADEGELIAKFGEMVERMRQRCDMDRLSLPLLSSTLVA >ONIVA04G30000.1 pep chromosome:AWHD00000000:4:28595515:28602689:1 gene:ONIVA04G30000 transcript:ONIVA04G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteinaceous RNase P 1 [Source:Projected from Arabidopsis thaliana (AT2G32230) TAIR;Acc:AT2G32230] MRVAAAAAAAAIRPTAALLLLFPPHRRTTSLRRSSLPFARPRRHSTTTTTAESHPKPNESNAARRRRARDSPEGLLKAKLDMCSRDNDLPTALALYEAAISPDSLIPLSLGHYNCLLYLCANAAAADSSSPDAAQRGFDIFSRMEADGVQPNEATLTILARLAAARRDPAMAFSIVRRMATAGTAPHLRSYGPALFAYCDAGDADGATEVEAHMDASGVVPEEAELAALLRVNSARGRPDQVYRLLHRARVLLRQVADATAQLLESWFASHAASEAGLDHWDATKVKQGLRNGGGGWHGQGWLGKGQWTVARTDMDKDGTCHRCGEKLVCIDIDPSETHSFAESVAQIAINRDANFVKFQKWLECHGPFDAVIDAANVGLYNRNSFSFYEVNRVVNGIQRITKSKKLPLIILHKNRVNGGPAKLPQNQKLLESWQRAGALYATPPGSNDDWYWLYAAVIYRSLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRLTFSGRGWNFHLPPPYSIVIQVITLNCSKVVECQECMNYTEWPEERGLMQESEDGSWHVPTTNGDDIEKPRQWICATRKISGKSSQALARAVG >ONIVA04G30000.2 pep chromosome:AWHD00000000:4:28595515:28600152:1 gene:ONIVA04G30000 transcript:ONIVA04G30000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteinaceous RNase P 1 [Source:Projected from Arabidopsis thaliana (AT2G32230) TAIR;Acc:AT2G32230] MRVAAAAAAAAIRPTAALLLLFPPHRRTTSLRRSSLPFARPRRHSTTTTTAESHPKPNESNAARRRRARDSPEGLLKAKLDMCSRDNDLPTALALYEAAISPDSLIPLSLGHYNCLLYLCANAAAADSSSPDAAQRGFDIFSRMEADGVQPNEATLTILARLAAARRDPAMAFSIVRRMATAGTAPHLRSYGPALFAYCDAGDADGATEVEAHMDASGVVPEEAELAALLRVNSARGRPDQVYRLLHRARVLLRQVADATAQLLESWFASHAASEAGLDHWDATKVKQGLRNGGGGWHGQGWLGKGQWTVARTDMDKDGTCHRCGEKLVCIDIDPSETHSFAESVAQIAINRDANFVKFQKWLECHGPFDAVIDAANVGLYNRNSFSFYEVNRVVNGIQRITKSKKLPLIILHKNRVNGGPAKLPQNQKLLESWQRAGALYATPPGSNDDWYWLYAAVIYRSLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRLTFSGRGWNFHLPPPYSIVIQESEDGSWHVPTTNGDDIEKPRQWICATRKISGKSSQALARAVG >ONIVA04G30000.3 pep chromosome:AWHD00000000:4:28600098:28602689:1 gene:ONIVA04G30000 transcript:ONIVA04G30000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteinaceous RNase P 1 [Source:Projected from Arabidopsis thaliana (AT2G32230) TAIR;Acc:AT2G32230] MEPPGKAQSFIVLVGRKETRRARRREGGGILAYTDTGSGEVWRRALHEHDDANRSCGGRFFTDGVAQRRPVLLLRRRCPEPEQEPARQDGRKAAKLLGPPTSFDAGKLTVEFAHSHGNSSSGFPRAYTLTHCDFTANLTLAVSDTIAADRRLRADDVFAEWKQQQQQEGMALHVHCFVSGANLLHGLAAGFRYYVFSKELPLVLKAVVHGDALLFAEQPELLEAKVWVHFHSSSNAKYNRLERRTRGMRCNWTAGWSNCTTQSPKAQEGVGGSGLARTPSSAPCSPFSFDAYREPHTLSFFCSALCSSNPILSSTGHLTCMYSMYPLHDQQNEKRLPFQAFLLKLTLLLLAHSNHVWITYATSCINSSSTFFIQNWGQHIAPSAMCSTYYILLPPLIE >ONIVA04G29990.1 pep chromosome:AWHD00000000:4:28590941:28595028:1 gene:ONIVA04G29990 transcript:ONIVA04G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSHTRLDVRRAQQHSSFCSPSSAAAVLVALALVAVWMASSTLVTPADFSPFRPTTTTARPRNRMDPVTVEEDADDPPPLTLRQTETGPGGDNGSHSHSPSLETATEADPQAAQSTSNTKDTPHNKQQQQTASPTPSSYAWKLCNTEAGPDYIPCLDNLQAIRNLRTTKHYEHRERHCPQHPPTCLVPLPKGYTNPIRWPNSRDQIWYNNVPHTKLVEYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQEAKKDIAWGKQTRVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGRVFDVVHCARCRVPWHIEGGKLLLELDRLLRPGGYFVWSATPVYQKLPEDVEIWEAMSTLTRSMCWEMVNKVKDRVNRVGIAIFRKPTDNSCYEARSAANPPICGEYDDPDAAWNISLQSCVHRLPTDPAIRGSQWPVEWPLRLEKPPYWLKNSEAGVYGKPATEDFQADYEHWKQVISNSYMNDLGIDWSAVRNVMDMKAAYGGFAAALRDLKLWVMNVIPIDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHANHLFSKIKKRCKLVAVMVEVDRILRPGGRLIVRDSMETMHEVESMAKSLHWEVRKSYSQDNEGLLFVEKTMWRPNEVEAKL >ONIVA04G29990.2 pep chromosome:AWHD00000000:4:28590941:28595028:1 gene:ONIVA04G29990 transcript:ONIVA04G29990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSHTRLDVRRAQQHSSFCSPSSAAAVLVALALVAVWMASSTLVTPADFSPFRPTTTTARPRNRMDPVTVEEDADDPPPLTLRQTETGPGGDNGSHSHSPSLETATEADPQAAQSTSNTKDTPHNKQQQQTASPTPSSYAWKLCNTEAGPDYIPCLDNLQAIRNLRTTKHYEHRERHCPQHPPTCLVPLPKGYTNPIRWPNSRDQIWYNNVPHTKLVEYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQEAKKDIAWGKQTRVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGRVFDVVHCARCRVPWHIEGGKLLLELDRLLRPGGYFVWSATPVYQKLPEDVEIWEAMSTLTRSMCWEMVNKVKDRVNRVGIAIFRKPTDNSCYEARSAANPPICGEYDDPDAAWNISLQSCVHRLPTDPAIRGSQWPVEWPLRLEKPPYWLKNSEAGVYGKPATEDFQADYEHWKQVISNSYMNDLGIDWSAVRNVMDMKAAYGGFAAALRDLKLWVMNVIPIDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHANHLFSKIKKRCKLVAVMVEVDRILRPGGRLIVRDSMETMHEVESMAKSLHWEVRKSYSQDNEGLLFVEKTMWRPNEVEAKL >ONIVA04G29980.1 pep chromosome:AWHD00000000:4:28586660:28588720:-1 gene:ONIVA04G29980 transcript:ONIVA04G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVEDDPPSSGSVADADDSTDRLCRVCHCVEPDLRGHSALAFLGILPPPSSPDEDADDVATATATNNTVLEFVSPRGEIFVCTAATTDLESGPLHYHHHLMDLGCSCKNDLALAHYACALKWFITHGSTVCEICGHVAVNVRPADFNKVLASLKEYEALRERTSTGDLSYLHYGADSGVDPDAVAAIRRQRLSEISSWFNPQNSHLAISQGQTEQTPASPSNNSTHHGAVVTTVVHTRWSLEGTGVFLAIGLGVIVLAWLVAPHVGKKAAVICLHMLLGGLCALTIIISLRFVSFPKDPIWIYAMLGDLVCVLVPGFWSLGITDPQYTLLMRMEIMMYMHDHKKKEKFVIGVTVNC >ONIVA04G29970.1 pep chromosome:AWHD00000000:4:28581093:28590415:1 gene:ONIVA04G29970 transcript:ONIVA04G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAMVSVQLTVAARPLRSRRALSVFTCAAPPRQRPPPGPTKHRRLRHDADAQPPRKRGHPPPPPPRRTRTRGPPARPQQSYTDDDEEEDDQDEEEGSFGGGTRAAAMPKPPAGFVLDDQGRCIAAASKRIVTIIDDTNNRPLECIIRRVFRSTLDHDCMLLCPVDMPVQVLKSANFSGWIAVDDDQIKEIIPSVAYALARHGVAFAFLKTRFKNFMILVTAVIVYLLKDGAHYMIYTPVDPLLFVAVKDKDGVLRIAEDELMDDPAVVGAIDEETEFTALVEEEEALLESVLGER >ONIVA04G29970.2 pep chromosome:AWHD00000000:4:28588997:28590394:1 gene:ONIVA04G29970 transcript:ONIVA04G29970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDYCRAAPVRTTAGGGSNRWWRRRRQQHHQQATAAIDSANKQTAIAAGGSSKQQHYISNKSSIRNGVPRNGTYSAALEGRGRRIKFGVSLY >ONIVA04G29960.1 pep chromosome:AWHD00000000:4:28579590:28583265:-1 gene:ONIVA04G29960 transcript:ONIVA04G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin folding cofactor B [Source:Projected from Arabidopsis thaliana (AT3G10220) TAIR;Acc:AT3G10220] MTRCWWWWRIGTSSCCCGGGLEEEEEENIHQNKRKCAAGIGPGLHVGPGPLLARCFLYSFCGIDEFLIPFPSFPTAGGRQLSSAPIGGGGRQLSSAPSPVIMSSSSSKLHLPADDSVLLLLTHSNLSTFSSDIRVSKQTSVEALKDKLWRKTGTSVAFMRLQLRDDTGAMIADLDHDDATLASYSPYDGYRLHIIDLDPSSITSGGWLEDTSLVEKYTISDEAYNNLDNDKQQQSDKHMEELCANIKVGDRCEVEPGAKRGTVKFVGRAEALGRGFWVGVQYDEPLGKHDGMVKGIRFFECPQGHGAIVRPEKVKVGDYPERDPFEEEEI >ONIVA04G29960.2 pep chromosome:AWHD00000000:4:28579590:28582962:-1 gene:ONIVA04G29960 transcript:ONIVA04G29960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin folding cofactor B [Source:Projected from Arabidopsis thaliana (AT3G10220) TAIR;Acc:AT3G10220] MSSSSSKLHLPADDSVLLLLTHSNLSTFSSDIRVSKQTSVEALKDKLWRKTGTSVAFMRLQLRDDTGAMIADLDHDDATLASYSPYDGYRLHIIDLDPSSITSGGWLEDTSLVEKYTISDEAYNNLDNDKQQQSDKHMEELCANIKVGDRCEVEPGAKRGTVKFVGRAEALGRGFWVGVQYDEPLGKHDGMVKGIRFFECPQGHGAIVRPEKVKVGDYPERDPFEEEEI >ONIVA04G29960.3 pep chromosome:AWHD00000000:4:28579590:28582815:-1 gene:ONIVA04G29960 transcript:ONIVA04G29960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin folding cofactor B [Source:Projected from Arabidopsis thaliana (AT3G10220) TAIR;Acc:AT3G10220] MTHVISRLQTSVEALKDKLWRKTGTSVAFMRLQLRDDTGAMIADLDHDDATLASYSPYDGYRLHIIDLDPSSITSGGWLEDTSLVEKYTISDEAYNNLDNDKQQQSDKHMEELCANIKVGDRCEVEPGAKRGTVKFVGRAEALGRGFWVGVQYDEPLGKHDGMVKGIRFFECPQGHGAIVRPEKVKVGDYPERDPFEEEEI >ONIVA04G29950.1 pep chromosome:AWHD00000000:4:28575876:28577885:-1 gene:ONIVA04G29950 transcript:ONIVA04G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGEAGTGGGLGNLRALLAILQWWGFNVTVIIINKWIFQKLDFKFPLTVSCVHFICSSIGAYIAIHVLKAKPLIQVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFEWRIWASLVPIVGGILLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAVLLEGGGVVTWFYTHDSIASALVIIIGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISPMNAIGCAITLVGCTFYGYVRHLISQQQAVAPGTGSPTTSQTNSPRSRMEMLPLVGDKQEKV >ONIVA04G29940.1 pep chromosome:AWHD00000000:4:28569771:28576800:1 gene:ONIVA04G29940 transcript:ONIVA04G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVVEIMAISYPPEDGRYYCSSVAAGDDSPAAHHTNAHDDWDWDWVPPPPADAAAADDDDDDGDPTPAGSPGSRGEDEEEERQRAQMVSAMNGQLNMLASRFLASAGVEEEWLEVVTALSWEAALLIQTHACTAGNDMDPASHVKIKCVASGRRRQSQVVRGLVFRKNAAHKHMPTKCHRPTLLLLHGALGLDSHLGFSSFDSMEQDKLILRASISHIIHTCSPNVVMVEKTVSRDIQELLLHHGVTLLLDMKLHRLQRIARCSGAPLLSFSQLLHDCPNHLKHCDYFHIDKFFEDHNTTTTTSAAALNKPSKTLMFLEGFSNPLGCTILLRGASTQELKKIKQVLHYTIFAAYHLVVETSFFEDQRVFLNDTNVDGTPQITHQTSIVSNRSLPTDYDVTCTSRGSFLEYHDGDHKATVPFTNKPDSYTQDEGTAIHCEAPPSENLLSSVSGSLRRFIDIFRYQNIYLPVTSSQDTTGHQNEQDTETSQETASDTLTKDHSCEYMDQLSDLQEQVFAKTNEKMSQPDPFGTEKHQQNVEQYRAGENINSDTDDADDVMDSQSILILLSSQCVTKQVVCEESHLYRINYYGNFDVSLGRYLQDILQNQNLSCSSCGEPPDAHMYSYTHRNGNLTINVRRLLPQHHLPGESEGKIWMWTRCLRCEHERGISKSSRRVLISTEARNLSFGKFLELSFSSHSAARRLSVCGHLVNRDCLRFFGLGSKVAKFQYSSVEIYTACKPQRTLEFHNPDMREWFEQEGRNVLARGVKLFSEVSSLIQHMKIFSEVAINCGDSLPVKEVSQLEEMLIEEKAQFVDSLVKAVDESGMSRSSVNEILGVNCLYQDLLILLYVWDHRFHQIVECKSGRMANCVGKKEAAEFAGEPAATGESAVPFENGYIKEMQYSSETLTDENSRREEQHITKVPSFRVLEGTDTQLINPECGDNRETWIWSPLHELRESYRHELQAGYLERFELVNNYSPSHLSPLHKQSSAEFIVGPGGNVLCISEDEISSIISRALAISEERRHLLLDALMVEGEAAYSRGSESSKMEKSYSSLSEASSASSSWSSIGSSDSDASFSSDDLFSSYDSSLLSSLLHPEVSVNGKSSLKGKYSVICVHANQFYTLRKKCCPSELAYITSLSRCMKWDAQGGKSKAFFAKTLDDRFIIKQIKKTEFESFIEFAPDYFKHVYHSLDTGSQTCLAKILGIHQVKQIRHGKEVKLDLMVMENLLFGHKLSRIYDLKGVVFSRHVSDSNDHGTVYLDQNFVDDMRVSPIYVGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKEKHEFVFGIIDYLRQYTWDKQLETWVKTSLVVPKNASPTVISPKEYKKRFRKFMAKYFLTVPDDWST >ONIVA04G29930.1 pep chromosome:AWHD00000000:4:28555518:28558583:1 gene:ONIVA04G29930 transcript:ONIVA04G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGVEILDASELAPKDGAGACNAFVEVEFDGQKQRTPTKPADRSPQWNHTLVFDVRDPSRLPSLPVDVSVHHDRSLTDHHATRPHTFLGRVRISAASLAPSPQDALLQRYPLEKRGLFSRVSGDIALRLYLIANDSPDPPPAPAVHHHQHQPPQSVSAEQPDSRPPPAFPHGEAQAQAQPPPPESESKGKTTHDHAPPRVFRSVPVQAPAPAASQPRRATLHAVAAPPPPPGQTVVMPRPPGPAPGPPPSAFGLVETKPPLPAKMGPRAAVAAAAKIASTYDMVEPMSYLYVSVVKARDLPTMDITGALDPYVEVRLGNFKGVTRHLEKNPNPVWRQVFAFSRDHLQSSQLEVVVKDKDVLKDDFVGRVVFDMTDIPNRVPPDSPLAPQWYRLADRSGEKIRHGEIMLAVWNGTQADEAFPEAWHSDAHSVSLDSLASTRSKVYYSPKLIYLKVVAIAAQDLIPAEKGRPLAPSIVKIQLGGQTRRTRSQGSANPMWNEEFLFVAAEPFDEPLVVTVEERVAAGRDEPVGRVIIPVAAPYVPRNDLAKSIEAKWFSLSRALTADEAAAAEATKLKSSFASKIHLRLSLETAYHVLDESTHYSSDLQPAAKKLRKSPIGILELGILGARNLAGGKSPYCVAKYGAKWVRTRTLVGTAAPRWNEQYTWEVFDLCTVVTVAVFDNCHLTGGGDAKDQRIGKVRVRLSTLETERVYTHFYPLMTLTPGGLKKTGELHLAVRFTCTAWANMLAMYGKPLLPKMHYTHPISVLQMDYLRFQAMQMVAARLGRAEPPLHREVVEYMLDVDSHMFSLRRSKANFKRMTSLFSGAVAVARWMDGICKWKNPVTTILVHVLFLILVCYPELILPTVFLYLFVIGVWNYRRRPRKPAHMDTALSHAEAEQVHPDELDEEFDTFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLATQGERAQALLSWRDPRATSIFVLLSLIIAVVLYVTPFQVVAVVVGLYLLRHPRFRSKQPSVPFNFYKRLPAKSDVLL >ONIVA04G29920.1 pep chromosome:AWHD00000000:4:28540050:28549216:-1 gene:ONIVA04G29920 transcript:ONIVA04G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHKLGFREEDALRFLFGEDLLAMHHSAAASSFDRSQPEVQVFRDVFSPSPPLHVAETSSLLPTAAAAAPAPAPSLHHHLHALQPQPAPVEVDAAAIDPKHSHGPVLHGNGNGNGGLELDAALQGFVAYWQGGGSSLSVTDADLFHDMMQPTPPQHSAGPPPPPPPAAAGMCTALAASSSNSGVEDPLPSYMEALADFSDFHNDALLSDPFLNQWLQDNNHFPTDMSFTYDQPQMLDTTSHTLYSATTPDLSVTGPDHFSLYSNTVYDTTLLPHLSRDSTASAHFVQLDNLCQNFGTPGASIGSLDDAADVPADNTQHYGTTAVASKRNLSRELPDQLEVHAQHLFMDAGWTIKPRKRNDRAKMASYFTAPHREVVHTSLTQAWKFCGKKLYEATPCSQRGKCPKEWSDVDTFWKDLTDTMAYVDKMLVNRQDAPTLLHRWELLDPFIAVVFIGRKITALQQHKTLRAVDSSTYVLNDSRNVPSESKSMLKSSDLLPTRMIQPTPVITDSDCSTLATESGNGNHALQSCHDLEDGHNGDTNLSTLCTQSQLYCAAGDTSQRTENPISESNGQRELWSGATLINSAVKKTKKKSKRISDIDSTGLDGLHSESFMQPAVEIVLNQETELASVELSFAENNMRSEEHGICSSVGTSKRHLKAESKLAKLNANNQSNKLDVLLPSEVMQTSMLQGEETVEQPAGFNILPENGSTPTESGSSKFIPIGNEEKKLLSLKESSIGIFPKDVHNLPTVNSVPVNLSYESNAAVLKTDLSQESPTCKAVAAKRKPQAWEKYAKKRPRVLRINDDDLLITAMVKNRDLGSCHKFAADSIFLDAKKFTKFKSSKKCGRLLARMSGKGGSNLLGGKRVSLARKTVLCWLIATGFLTVKDVIQYRNLKSNEVVKDGQVTWEGILCNCCTKTFSISDFKVHGGCSLPKSSLGLFLQSGKSYTLCQVEAWSAEFLSRKCDASGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHQTCLSDQELPEGSWYCHNCTCRSCGNPLSEKEVSTFSAILKCLQCGDSYHDTCIDQEMLPCGDKQSNIWFCGRYCKEIFIGLHNHVGIENFLDNELSWSILKCNTDGRKLHSSKKIAHMTECNTKLAVALTILEECFVRMVDPRTGVDMIPHVLSNFARLDYQGFYTVILEKGDEILCVASIRVHGTKAAELPFIATSVDYRRQGMCRRLMDTIEMMLRSFHVETLVLSAIPELVNTWVSGFGFKPIEDNEKKQLRNVNLMLFPGTSLLTKRLDGITAAKSEEDKDAYNVSGLPNGKCLPSRKGNGHLELHDLDLLEAELNTEDATNASFRALKHECGPATWFNHAKATHPSSSSPVLCNEFSRMQFTGPQLFYCKTERHLPTAVHDSIPSEVQVNGADSHARNNKGWIDGLIYPCNMKNECLRCNAKLYFFALLSEAPESRSLGQKQNMTDPFLSDVDRDLPKLYAVTVDGSYKLHGGQKGYLQKHG >ONIVA04G29910.1 pep chromosome:AWHD00000000:4:28525091:28537965:1 gene:ONIVA04G29910 transcript:ONIVA04G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin-1 [Source:Projected from Arabidopsis thaliana (AT2G16950) UniProtKB/Swiss-Prot;Acc:Q8H0U4] MAAAALWQPQEEGLREICTLLDAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEGKSFEARQAAGLLLKNNLRATFSSMPPASQQYVKSELLPCIGATNKAIRSTVGTVISVLFQIVRVAGWIELFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLPERPINVFMPRLLQFFQSTHAILRKLALGCINQYIVVMPAALYMSMDQYLQGLFNLAKDPSADVRKLVCSAWVQLIEVRPSILEPHLKNVTELMLQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLLSNMSYSDDDESLADAEVEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIQQNLARTDDDAWKEREAAVLSIGAIAEGCITGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLEHPNGREQFDKILLGLLRRVLDTNKRVQEAACSAFATLEEEAAEELVPHLGIILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLANSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCINLIQSQHLAKVDPAAAGALYDKEFIVCALDLLSGLAEGLGAGIESLVSQSSLRDILLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQDFLNVAAKQLNPQCVKEAVSVANNACWAIGELAIKIGKEISPVVITVVSCLVPILKSPEGLNKSLLENSAITLGRLCWVCPDIVAPHMDHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVGSLTFICQACASWNEIKSEGLHNEVCQILNGYKQWGLGTVHVHPRASGRSEIRQIRRLLSESIMCVVLSTSEWVVLIHSPIKKNSQPIMLGCPTGNLIPYPSIRLSFFLSFHGWRGSQGYDSKEERALKMLLTTPFVSSPVRVQGNGGSGASPWAGAATALRIQAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPDNQFKVGDVVELRRSRPISKTKHFLAVPLPPRDTRRKSQLLPPLQSQSQSQDQDQPPTPPPSSD >ONIVA04G29910.2 pep chromosome:AWHD00000000:4:28525091:28538399:1 gene:ONIVA04G29910 transcript:ONIVA04G29910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin-1 [Source:Projected from Arabidopsis thaliana (AT2G16950) UniProtKB/Swiss-Prot;Acc:Q8H0U4] MAAAALWQPQEEGLREICTLLDAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEGKSFEARQAAGLLLKNNLRATFSSMPPASQQYVKSELLPCIGATNKAIRSTVGTVISVLFQIVRVAGWIELFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLPERPINVFMPRLLQFFQSTHAILRKLALGCINQYIVVMPAALYMSMDQYLQGLFNLAKDPSADVRKLVCSAWVQLIEVRPSILEIFQLLISCVMLDLTLDSKLQPHLKNVTELMLQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLLSNMSYSDDDESLADAEVEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIQQNLARTDDDAWKEREAAVLSIGAIAEGCITGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLEHPNGREQFDKILLGLLRRVLDTNKRVQEAACSAFATLEEEAAEELVPHLGIILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLANSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCINLIQSQHLAKVDPAAAGALYDKEFIVCALDLLSGLAEGLGAGIESLVSQSSLRDILLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQDFLNVAAKQLNPQCVKEAVSVANNACWAIGELAIKIGKEISPVVITVVSCLVPILKSPEGLNKSLLENSAITLGRLCWVCPDIVAPHMDHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVGSLTFICQACASWNEIKSEGLHNEVCQILNGYKQMLGSGGWEQCMSTLEPAVVQRLGRYGV >ONIVA04G29910.3 pep chromosome:AWHD00000000:4:28525091:28538399:1 gene:ONIVA04G29910 transcript:ONIVA04G29910.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin-1 [Source:Projected from Arabidopsis thaliana (AT2G16950) UniProtKB/Swiss-Prot;Acc:Q8H0U4] MAAAALWQPQEEGLREICTLLDAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEGKSFEARQAAGLLLKNNLRATFSSMPPASQQYVKSELLPCIGATNKAIRSTVGTVISVLFQIVRVAGWIELFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLPERPINVFMPRLLQFFQSTHAILRKLALGCINQYIVVMPAALYMSMDQYLQGLFNLAKDPSADVRKLVCSAWVQLIEVRPSILEPHLKNVTELMLQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLLSNMSYSDDDESLADAEVEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIQQNLARTDDDAWKEREAAVLSIGAIAEGCITGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLEHPNGREQFDKILLGLLRRVLDTNKRVQEAACSAFATLEEEAAEELVPHLGIILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLANSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCINLIQSQHLAKVDPAAAGALYDKEFIVCALDLLSGLAEGLGAGIESLVSQSSLRDILLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQDFLNVAAKQLNPQCVKEAVSVANNACWAIGELAIKIGKEISPVVITVVSCLVPILKSPEGLNKSLLENSAITLGRLCWVCPDIVAPHMDHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVGSLTFICQACASWNEIKSEGLHNEVCQILNGYKQMLGSGGWEQCMSTLEPAVVQRLGRYGV >ONIVA04G29900.1 pep chromosome:AWHD00000000:4:28514648:28518104:1 gene:ONIVA04G29900 transcript:ONIVA04G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPLLPNDEEEEALPGVSDFRGRPVYRATSGGWRSALFVAVLELAGSFAYFGVSANLITYLTGPMGQSNASAAAAVNAWSGAACMLPLLGAFLADSFLGRYPSILLACTLYLLGYGMLTVVASKSQVGILYVSLYLVALAQGFDKPCGLALGAEQFDPEHPRESASRSSLFNWWYFSMATGITVSIATVSYIQENVSWGVGFAIPFAVVSCAFLLFLLATPTYRLSSAAASPLLSLRHYKQSSEEARRMLRLLPIWATCLAYGVAYAQIMTLFNKQGRTLDRHIGHAGLELPPAALQTLGPVTIMVSVPIYDRAVVPMLRRMTGNPRGLTTLQRTGTGMALSLAAVAVAAAVEGRRLETVREQRPAMSWAWLVPQYVAMGVADVLAVVGMQEFFHGEMPEGMRSLGLALYYSVMGIGGFISSALISALDGITRRDGGDGWFADDLNRGHLDYFYWLLAGVSAAELAMFLCFARSYAYRNANKGPLLLVVPSNTPVSPCTNHHHA >ONIVA04G29890.1 pep chromosome:AWHD00000000:4:28513306:28514163:-1 gene:ONIVA04G29890 transcript:ONIVA04G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWCCVAPGFRFHPTEEELVGYYLARKVVGQQDDGIIQEVDLNSIEPWDLLQAQQHDQEYYCYFFSYKDRKYPSARGTGTRTNRATAAGFWKATGRDKPVLSSSRSSSSPAVIGMRKTLVFYRGRAPNGCKTDWIIHEYRLVAHHQQPDGSCWVVCRAFHKPTTTTLQHQLHLHRPPPLLHHPGYYDDQYLYPPPAAAGGGGLLCSPALDMELEDEEDESKMMILSNDNIPLVVSPTAVHTQGTGGDIINDATTAPAAADHRRHPELLAAGPIDTLLHHHHFTC >ONIVA04G29880.1 pep chromosome:AWHD00000000:4:28501835:28510346:-1 gene:ONIVA04G29880 transcript:ONIVA04G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1-CUL4 associated factor 1 [Source:Projected from Arabidopsis thaliana (AT4G31160) TAIR;Acc:AT4G31160] MAAAAAAAAPAPATEEDEEALLTRVQTIILRVVELEDNPNPRLLHTLATICEAHEARYAQECANSPSYNNTNARNSHTIGKLANLLRENDDFYELVFCKFLSDNSYSAAVRSAAARLLLSCYSAWTPQYPHAFEDAIVENIKKWVTEDGGASNECESKHLGKNNKPTDADMLQTYAIGLLAMALCGGGQLVEDVLTMGVSAKLMHFLRVQVHGDVACAQKDSNIPLDTKHPRSRDENRSKSRLVQDSSRLDGMRSGDGISIDPTSENCDNVMGMRHAHGERWIDDAASLQPERADSSLDLFDAMEAGATNDRTYSASICDTKSRVGERLSALRPGRDEEMNENTRDDLLKRKLSRTGSRLRGKSKAGESLPESERTPLSPTSGLKIGTRTSREKNMVRIEDANKAIDVNNSSPGIEPFNAISKEEYEDRFKDCIIGLKDISDIVLKAVRAAEAEARSANAPDEAVKAAGDAAAELVKSAASEVWKTGNNGDAVVLAAEKAAATVVDAAMSTSVSRSNQVGEEHVVEEPVQISEDHELEDFVITDHGQLLQLREKYSIQCLQILGEYVEALGPVLHEKGVDVCLALLQRSIKDQGGHGHFTLLPDVLRLICALAAHRKFAALFVDRGGIQKILSVPRIAQTYTALSACLFTFGSLQSTMERICALSSDTLNNVVELALQLLECPQDSARKNAAIFFAAAFVFKAILDSFDAKDGMQKVLGILHGAASVRSGGNSGALGSSNVNQGNDRSPAEVLTASEKQVAYHSCVALRQYFRAHLLQLVDSIRPSKSIRSIARNTSSARAGYKPFDIGNEAMDAVFRQIQRDRKLGPALVRARWPVLDKFLASNGHITMLELCQAPPTDRYLHDLTQYAFGVLHITTLVPYCRKLIVHATLSNNRVGMSVLLDAANSFGYVDPEVICPALNVLVNLVCPPPSISNKSSSTGNQQPAATQAVGGAFSENRDRNAEKCTTDRNLTANQGESRERCGDGNTSQQGNTVQISTPVVPSGVVGDRRISLGVGAGGPGLAAQLEQGYRQAREVVRANNGIKILLQLLSSRMVTPPVAIDPIRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDTSGQSIGGDNSRWQNELTQVAIELIAVLTNSGKETTLAATDAAAPALRRIERAGIAAATPVSYHSRELMQLIHEHLLGSGFTATAAMLQKEADLAPLPSTAAVTPVHQVAALETSSAQQQWPSGRVQGFVPDTTKVTTDQTGQRSDSVLPSSKKKSLSFSSSFSKRTQPSHLFSGNRASNSLKSPVPIGNVDNMICAASTVNTGDAETSHKTPLSLPQKRKLVDMKDLSSASAAKRPAMVDQACQSPVFQTPAPTRRGLSVAVDSPTASFHSGRPNFNNIYTENLDDSQGTPGATITTPHHGASDQQPVNLECMTLDSLVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPSRSLSAPANIAARMGSREIRRQFSGIQIPRRDRQFIYSRFKLCRVCRDESSLLTCMTFLGDASRVAAGNHTGELRVFDCNTANILETQTCHQQLVTIVESASSGGNELILTSSLNEVKVWDAFSVSGGPLHTFEGCKAARFSHSGTSFAALSTDSTRREVLLYDVQTYNLDLRLPDNSGYSGGRGYVQPIIHFSPSDTMLLWNGVLWDRRSPNPVHQFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFKLLRSVPSLDQTVIKFNGRGDVIYAILRRNLDDVTSSIHTRRVRHPLFPAFRTIDAVTYSDIATVQIDRGVLDLATEPNDSLLGVVAMDDPDEMFSSARLFEVGRKRPTDDDSDPEDAGDTDDEDDDDNDDSEDGIIPLTDIGDSDSDISNSSDDGGDDEDIDSGDENDDDDDDAEFIDEGDFEGGGGLLEIMGEEDGDESDIMGSFSSGDEEGWIM >ONIVA04G29870.1 pep chromosome:AWHD00000000:4:28498822:28502564:1 gene:ONIVA04G29870 transcript:ONIVA04G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFKEVVVTGRHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISRIMSVQYKIPEYVHVSQPCRHLLSRIFVANPYKRISMGEIKSHPWFLKNLPRELKEEAQAVYYNRRGADHAAASASSAAAFSPQSVEDIMRIVQEAQTVPKPDKPVSGYGWGTDDDDDDQQPAEEEDEEDDYDRTVREVHASVDLDMSNLQIS >ONIVA04G29860.1 pep chromosome:AWHD00000000:4:28492641:28495697:1 gene:ONIVA04G29860 transcript:ONIVA04G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLHYVADEAAWDPPGDGQRCGGRGRRGEGRGGGPAAGVAPAEATPPSASSLPLLSLTLFSCCKPAGNEHSGAAAPPGRVEVVALSSSSSSASRKKVGAAAEARGLPRLVRYKVLVLVLVLQFLYSRQASVAAPNSGAAVDLALDTLEACVRVILSWETWLQCCTGYDGEDRVSALPDDLLVARLPSRTRLAPPRSPPAGGASGAPRRSSSAASPLCAGVEGPRGGVVDDGRRPRRGPRGGGARGGSAAAAALVADLLLSAAEAVGVAAVGRALAGHPDPFRVVHRSELAGSSTICLPASLALALNRQRERGGTEEQLTWHSDIWDPRWFHADSAVT >ONIVA04G29860.2 pep chromosome:AWHD00000000:4:28492641:28495697:1 gene:ONIVA04G29860 transcript:ONIVA04G29860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLHYVADEAAWDPPGDGQRCGGRGRRGEGRGGGPAAGVAPAEATPPSASSLPLLSLTLFSCCKPAGNEHSGAAAPPGRVVGGAGAEEEEVVALSSSSSSASRKKVGAAAEARGLPRLVRYKVLVLVLVLQFLYSRQASVAAPNSGAAVDLALDTLEACVRVILSWETWLQCCTGYDGEDRVSALPDDLLVARLPSRTRLAPPRSPPAGGASGAPRRSSSAASPLCAGVEGPRGGVVDDGRRPRRGPRGGGARGGSAAAAALVADLLLSAAEAVGVAAVGRALAGHPDPFRVVHRSELAGSSTICLPASLALALNRQRERGGTEEQLTWHSDIWDPRWFHADSAVT >ONIVA04G29850.1 pep chromosome:AWHD00000000:4:28491064:28491810:1 gene:ONIVA04G29850 transcript:ONIVA04G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMMVVSGLGTAPRSSPMVQLQRMKKHLVVVAAFKSRTKASPKVDKSNKNKSIVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAVTGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDATGLERAVIPPGKGFRAALGLSEGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGVPINEIEPLLLFNILFFFFAAINPGTGKFVTDDNDDQ >ONIVA04G29840.1 pep chromosome:AWHD00000000:4:28484486:28486812:-1 gene:ONIVA04G29840 transcript:ONIVA04G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0H833] MARPPAATAPPPPPPPPPPPPIDRLVWLACAAPLSRIPVVGTQVSYFPEGHAEQCPAPLPDPLPSAHRFFLCTITAVDLSADTTTGEPYATISLLPLRHDAPAPAPAAAELAEAESQEFRYYAKQLTQSDANNGGGFSVPRLCADHIFPALNLDDDPPVQSLTMRDLQGDSWEFRHIYRGTPRRHLLTTGWSKFVNAKQLVAGDTVVFMWCGAPAPERKLLVGVRRAARYSGESACNARGRVQPQEVMEAVRLAAEQAAFRVTYYPRHGAGEFVVPRVEVDKGLTTPWRCGMQVRAQVMEAEDTRRLAWLNGTLTNLRHQQIWRTLEVEWDASAASSSMKNRFVNPWQVQPVDFPPLPMGLKISNNNISAPVCNGDSLLVPPILMHPQPQPPADIQGARHNNGHAYADIPSSSTPSMVRTQQLFPRGLQILVPHTDIVTPQNASPPDNPVNTPLSASDGMKTIQLFGVTITSPVQGDTNGAFASAQVNQVPEGVDDETATEEASDTSLPDSLTNGHNQDGARL >ONIVA04G29830.1 pep chromosome:AWHD00000000:4:28480878:28482519:1 gene:ONIVA04G29830 transcript:ONIVA04G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGVAGGGGERPCMCYFHPREEVVGVCSQCLRERLLLLASKTSPAAAHLLADRPLHRKNSSISLPKVFALGSSFLQRLDSSRHHLRPAPHDSDANSDADTASIASLDDSFISIKFEDNGKATWDSQKAAAGEKRTDTTTTAVVEHVKRGGVTRWRKQVVGRLLQLARWKRSGNGKAAACHQLGIDGKKTAERSSSKGTTVRGRGRGRSWIRTLTITRRPRAMPLS >ONIVA04G29820.1 pep chromosome:AWHD00000000:4:28471241:28474538:1 gene:ONIVA04G29820 transcript:ONIVA04G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQMQSRSRIRGRDPPPASSGGRYRRRSPSPRRHHRAPPSNPNPHRDRRTPDRPRRHHHEDSLPLHVHLPPPPDALLTAAADRRSRADVLLEAGRLAARYLVAQGVIPEHRLRAREDPLPAARNHDVDDPRSRRNADFPRDRGDDDRLSRRSGWDRRSNSFDSRRKYNDAASADRSARRSHDYDDQRRPTMSRSYSQNDRRVSSDSRLDRRRRSRSRSRSRSRSRSRSRTRTRSYNYGSRRDSDWRASGADLDHSKVPEPGIVRDGDADVGYGDADDVPRDLKAPPRSVVVMETKESASQAAANEDTAEVESEIIEVDQAQDIYGDDDDDGDDAVAAFNYPSVAEINVTQYKLSNSNEDVVHPSQSDEEPLHRQSQFSDAEEGMEGPISPRDSCLVEPVAEEVRDGMEAPRSEVETDNADLSKDEQDLPAWYGIFDLNVVESQENCEMVEISNDSPLDNGRDSVPDQVGQMSQGANCVTSGTQGQDEHAFDNHQSEDEQVPLNQRNGMDDFNNEQGVGNQTGDEHGQDNHQLEDDQMHINHVMDVHTLDNGLMNGEEMLLKRCADEHTDHGHLVETEEMLLNQGQSTSVQVLENYNMNGEQVQLNHDADEHSGDDRPIKNEQMLLNHVMGVHDLDNHDQNSEQMLLNNGAGKQAADSAQLQEDQMLLDQAADGQATLHGQSIGQMIPVINLEDDYEEQSDTIEFSESKSDTLHKLTENVLTEHICSQGQQTSSIPDHPQTNVPAAAAASSVTLNHGNRWTRRGATVAQALKEFV >ONIVA04G29810.1 pep chromosome:AWHD00000000:4:28463944:28469733:1 gene:ONIVA04G29810 transcript:ONIVA04G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPISRLIVLSFLDFLNSVELAPGADPEALEVARECMESIFSINSSSVVERVHPGLLLELFSSMEAAQQDSSAPGPVSNKPSCSASTSTIQEDLTKCTTSNSEGQNEDTFDLDHSGDELFAKFYTSLDEINFFKTSSAGAEDPGQLSKATQFFDDALLGMRKSGRKRASLGDLAEFFKSKGNEFMRSKQHLKAVELYTCAIALSRNNAIYYCNRAAAYTLLNMFNEAVEDCLKSIEIDPNYSKAYSRLGSAYFALGKYHDALYKGYLKASELDPSNENVRQNIEVTKKKLAEQRGPPEEQNTYAPQSQASHGQFPGQSSSGVPFTFFPPGNSPTPEFFANIINRVSDISQQSSEHSININLNDIFNHANVNGNSQGTPQTETSSNHTPPPSFPTNTAVPPPFSFTGSTEGNRPQQTSSGHEGEHGQPGMHRDAGIQINLAGPEQAADAMRAVMEMLAPHMSQREGAPGSARGVKMDQARPEEQDECNSSILDFGNSLVGVRVHKLRPAEERVYSTE >ONIVA04G29810.2 pep chromosome:AWHD00000000:4:28463944:28469733:1 gene:ONIVA04G29810 transcript:ONIVA04G29810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPISRLIVLSFLDFLNSVELAPGADPEALEVARECMESIFSINSSSVVERVHPGLLLELFSSMEAAQQDSSAPGPVSNKPSCSASTSTIQEDLTKCTTSNSEGQNEDTFDLDHSGDELFAKFYTSLDEINFFKTSSAGAEDPGQLSKATQFFDDALLGMRKSGRKRASLGDLAEFFKSKGNEFMRSKQHLKAVELYTCAIALSRNNAIYYCNRAAAYTLLNMFNEAVEDCLKSIEIDPNYSKAYSRLGSAYFALGKYHDALYKGYLKASELDPSNENVRQNIEVTKKKLAEQRGPPEEQNTYAPQSQASHGQFPGQSSSGVPFTFFPPGNSPTPEFFANIINRVSDISQQSSEHSININLNDIFNHANVNGNSQGTPQTETSSNHTPPPSFPTNTAVPPPFSFTGSTEGNRPQQTSSGHEGEHGQPGMHRDAGIQINLAGPEQAADAMRAVMEMLAPHMSQREGAPGTRLDLRNKTSVTVQFLTLVHKLRPAEERVYSTE >ONIVA04G29810.3 pep chromosome:AWHD00000000:4:28463944:28469733:1 gene:ONIVA04G29810 transcript:ONIVA04G29810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTRSDSPISRLIVLSFLDFLNSVELAPGADPEALEVARECMESIFSINSSSVVERVHPGLLLELFSSMEAAQQDSSAPGPVSNKPSCSASTSTIQEDLTKCTTSNSEGQNEDTFDLDHSGDELFAKFYTSLDEINFFKTSSAGAEDPGQLSKATQFFDDALLGMRKSGRKRASLGDLAEFFKAAAYTLLNMFNEAVEDCLKSIEIDPNYSKAYSRLGSAYFALGKYHDALYKGYLKASELDPSNENVRQNIEVTKKKLAEQRGPPEEQNTYAPQSQASHGQFPGQSSSGVPFTFFPPGNSPTPEFFANIINRVSDISQQSSEHSININLNDIFNHANVNGNSQGTPQTETSSNHTPPPSFPTNTAVPPPFSFTGSTEGNRPQQTSSGHEGEHGQPGMHRDAGIQINLAGPEQAADAMRAVMEMLAPHMSQREGAPGTRLDLRNKTSVTVQFLTLVHKLRPAEERVYSTE >ONIVA04G29800.1 pep chromosome:AWHD00000000:4:28459374:28462125:1 gene:ONIVA04G29800 transcript:ONIVA04G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPGRARETKVTASASSSAGSDAAVMKKKKKKKKLVVASRKVDEKDKKQVDYKCSRSSGRDECCKVESRHQQCTEPESPSYRLALRSLFSCRNSSSSSHAGHHHHRAADGKKLGCNSSSICKVKQDNPMQQHMRRAEDELKVKDKPPVAEPYCKRRASVSACNISSERSVKKSVKQQQQEASSSLQSSASISASSCSSTAGGGGSFRGMQQLSLRRLSGCYECHMVVDPISGVFRDNSSMRATICSCPDCGEIFVRPDSLHLHQSIRHAVSELGAEDTSRNIISIIFQSSWLKKQSPVCAIDRILKVHNAARTLARFDDYRAAVKAKAMAHRHPRCTADGNELLRFHCATLSCDLGLHGATHLCDHLSCAACAIIRHGFRPPAPGAGIRTMATSGRAHDAVVSSGSEGDRRAMLVCRVIAGRVRREEAAAAAAEEEEEEEEEEEEEYDSVAGTTPGLYSNLDELDVFNPTAILPCFVVVYRA >ONIVA04G29790.1 pep chromosome:AWHD00000000:4:28450441:28451773:1 gene:ONIVA04G29790 transcript:ONIVA04G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77700) TAIR;Acc:AT1G77700] MARGGVVWLAVALLCCCFVRRCESARVFTIINQCKTMVWPAVTPGESFGGGGFALKPGQSMVFTAPVGWSGRIWGRTECDFDQAGNGSCATGSCGSELKCGGSGATPATLAEFTLATKDFYDVSLVDGFNLPMVVRPLNGEGNCSVAGCDGDLRDSCPSELSKKVNGRTVACRSACDVFDTDQYCCRGMYGNPSTCQPTFYSKKFKAACPTAYSYAYDDPTSIFTCSNADYTITFCSTRKRPVCTYHNNRLICSASTRSWPSVISALLFTFLALQFSL >ONIVA04G29780.1 pep chromosome:AWHD00000000:4:28441758:28442832:-1 gene:ONIVA04G29780 transcript:ONIVA04G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSGRLADDAFTASRLLASLPCALNSFMLNTTLRALASSPGLASALSFFSLLHYDSGNSSGSCGSRDQATVISTPQKPNSHVAQLSPDFWGLKWTSSIVDGASFCAIPPRSSLVLVSPAARVAATQTVESGLNCSIKHRICELKLAVPPKHDWVKSTNQRY >ONIVA04G29770.1 pep chromosome:AWHD00000000:4:28439291:28447785:1 gene:ONIVA04G29770 transcript:ONIVA04G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAETKGRGGGEGRKCRREEEEAFAAKSRRIDDQDDEERMNNYTPMLEEEEDGCRDSRCAELVFGEEEELDPVQMEEQLQQVKLELQDKYTEVDEEEQTRNYPSVPAMRYTHGPIARYACCDSTLQIFSIEVDSFLELTGPSRAILIVDPVEFDVELKVKGRRESDDQILSFQLFRQNGFFYVKQSVTLVRRFHPVMLGWYSKLKFTYAVLNGAVEATFCRVKVVRGSWTKENRRRIVCTTSNIGHEDFVLLDSQDAETMPIGSDDDVIKLSRRVVTVELSGQPTVCVAATRAAGETSTRDDRGGIAQNEAPSTMDEVHFRPQKSGESCATFSQLLSSITPEMKGRGGCSSIPSRMEVETEGRGSEGKGRRVEDDDEEEGRSVQGMAPAAKSRRIDGQDDDEERMNNNMLEDEDGYRDSRRVELVFGEEEEELDPVQMEEKLRQVKLEMKGKYSYTDELDEEEQMRRYHTSWDSSLSPHYGPFQRTTSSRHALYTWAYRKICSLRPIKKGLEWPLHVYGLVAVRDSVDHNRNLLFYRTRDDCQILTQKDSFLELTGPSRAILIVDPVGFEVELKVKGGRESDDQILSFQLFGQNGAFNGRQSVTLVRRFHPIMLGWYSKFKFTYAVLNGAVEATICRVKVVRGSWTKEYQGRIVCTTSSICHEDFMLLDSQDAETMPIGSDDVIKLSRRVVTVELSGELTVSLTATHVGKRTRDDDGGIAQNDEALFTTDKVRFRPQKSGESCATCKLGFCEVEITVAWSLLNCDNIE >ONIVA04G29770.2 pep chromosome:AWHD00000000:4:28439291:28443982:1 gene:ONIVA04G29770 transcript:ONIVA04G29770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAETKGRGGGEGRKCRREEEEAFAAKSRRIDDQDDEERMNNYTPMLEEEEDGCRDSRCAELVFGEEEELDPVQMEEQLQQVKLELQDKYTEVDEEEQTRNYPSVPAMRYTHGPIARYACCDSTLQIFSIEVDSFLELTGPSRAILIVDPVEFDVELKVKGRRESDDQILSFQLFRQNGFFYVKQSVTLVRRFHPVMLGWYSKLKFTYAVLNGAVEATFCRVKVVRGSWTKENRRRIVCTTSNIGHEDFVLLDSQDAETMPIGSDDDVIKLSRRVVTVELSGQPTVCVAATRAAGETSTRDDRGGIAQNEAPSTMDEVHFRPQKSGESCATCELGFCGVEITVAWIFSPPTPGG >ONIVA04G29770.3 pep chromosome:AWHD00000000:4:28443948:28447785:1 gene:ONIVA04G29770 transcript:ONIVA04G29770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGGCSSIPSRMEVETEGRGSEGKGRRVEDDDEEEGRSVQGMAPAAKSRRIDGQDDDEERMNNNMLEDEDGYRDSRRVELVFGEEEEELDPVQMEEKLRQVKLEMKGKYSYTDELDEEEQMRRYHTSWDSSLSPHYGPFQRTTSSRHALYTWAYRKICSLRPIKKGLEWPLHVYGLVAVRDSVDHNRNLLFYRTRDDCQILTQKDSFLELTGPSRAILIVDPVGFEVELKVKGGRESDDQILSFQLFGQNGAFNGRQSVTLVRRFHPIMLGWYSKFKFTYAVLNGAVEATICRVKVVRGSWTKEYQGRIVCTTSSICHEDFMLLDSQDAETMPIGSDDVIKLSRRVVTVELSGELTVSLTATHVGKRTRDDDGGIAQNDEALFTTDKVRFRPQKSGESCATCKLGFCEVEITVAWSLLNCDNIE >ONIVA04G29760.1 pep chromosome:AWHD00000000:4:28436661:28438887:1 gene:ONIVA04G29760 transcript:ONIVA04G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDEDAKKAYADFEKKVKRTIYIDHLSPQVTSSVIKAALAQCANVVDVEFIVNYTVPYDIPSAALVELDDEIQAKAALDLMNDFPFIIGGMPRPVRAICAKPEMFRERPPHPGIRKEFRWVKQEDGTEYQGMKKLRILAKRQEVENMALIKNQLEEEKELAKQQQELLDGNYNKYDMLENVVQNGNMKSLAQHYGVSLADEF >ONIVA04G29760.2 pep chromosome:AWHD00000000:4:28436661:28438887:1 gene:ONIVA04G29760 transcript:ONIVA04G29760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDEDAKKAYADFEKKVKRTIYIDHLSPQVTSSVIKAALAQCANVVDVEFIVNYTVPYDIPSAALVELDDEIQAKAALDLMNDFPFIIGGMPRPVRAICAKPEMFRERPPHPGIRKEFRWVKQEDGTEYQGMKKLRILAKRQEVENMALIKNQLEEEKELAKQQQELLDGNYNKYDMLENVVQNGNMKSLAQHYGVSLADEF >ONIVA04G29750.1 pep chromosome:AWHD00000000:4:28433764:28435612:1 gene:ONIVA04G29750 transcript:ONIVA04G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAPSSPPRSPTSRGGRPVYYVQSPSRDSHDGEKTATSVHSTPALSPMGSPRHSVGRDSSSSRFSGHPKRKGDKSSSGRKGAPAGKGWQEIGVIEEEGLLDDEDERRGIPKRCKYFLIFVLGFVVLFSFFALVLWGASRSQKPQIVIKSITFENFIIQAGTDASLVPTDMATTNSTVKLTYRNTGTFFGIHVTADPFTLSYSQLTLASGDLNKFYQARSSRRTVSVGVMGNKVPLYGGGPTLTAGKGSGSMAPVPMILRTTVHSRAYVLGALVKPKFTRAIECKVLMNPAKLNKPISLDKSCIYL >ONIVA04G29740.1 pep chromosome:AWHD00000000:4:28427000:28433120:1 gene:ONIVA04G29740 transcript:ONIVA04G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRGGLVSVVLLLLASPFFFSANPAATAVGDCPLDFSWANFTLASAACSDPTQRAACCRYINAFVAISMARYANATGRLGVPPAFSEICLSSVSETFKLRGIPTDADVFCGLGPKIRVSYQCAGRDTVLEMLQSPNFNDVVGSCRGPLSLDITCKTCLNYGIVYLHRLIGSDDNVGLSVCRNAVFVTLATQQGIFSYDDIVKCFFGVQGITIFPGPSSVTSTPASSPNVTTPVDAPAPKTKTVLQKHHQPYRITVIPGIGIAVILLAVLLQLVLVILIRRKNRELKNADLHAQNPENAFCQSQSWRCPEGQSPMFQRYSYKETMKATNNFSTVIGKGGFGTVYKAQFSDGSIAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVTLKGFCIERKERFLVYEYMANGSLKDHLHSSGRKALSWQSRLQIAMDVANALEYLHFFCNPPLCHRDIKSSNILLDENFVAKVADFGLAHASRTGAISFEAVNTDIRGTPGYMDPEYVITQELTEKSDIYSYGVLLLELVTGRRAIQDSRNLVEWAQGHLSSGKITPEFVDPTIRGLVDMDQLHLVVSIVQWCTQREGRERPSIRQVLRMLSERLDPGNGSFGEGMEDAEGGFYPRNSKCGVHRNELIPHSGDMRSLHSSSSTTRSYCSRSMLLEGGQAHSPPETL >ONIVA04G29730.1 pep chromosome:AWHD00000000:4:28423534:28426329:-1 gene:ONIVA04G29730 transcript:ONIVA04G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTFPLALLLAIVAACCVAGGEGGALVGDTCTASSASSCGAGMRCATCSPLPGMGPPVCSRTTPLDPKAHGTDLAFNRYTWLTTHNSFAIVGSPSRTGTPIIAPPNQEDTVTAQLKNGVRGLMLDAYDFQNEVWLCHSFGGKCYNFAAYQRAMDVLKEIGAFLDANPSEVITVFVEDYAGPGSLGKVVGGSGLSKYLFPPAKMPKGGGDWPLLKDMIAQNHRLLMFTSKRGKDGSDGLAYEWDYVLETQYGNDGLVGGSCPKRAESMAMDSTKQSLILMNFFSTNPSQSWACGNNSAPLVAKLKACYDASAKRWPNFIAVDYYMRSKGGGAPLATDVANGRQQCGCDSIAYCKPGSAFGSCSLSSPPAAPPKMAAASPPAAAPAPAPEMAPSSPPAEPPETATEAAGSAVRPASIKLEKDDTTGTSPADTAKSSSSNRSPHPSSCSFLFGLLLPSLLLLHLFISD >ONIVA04G29720.1 pep chromosome:AWHD00000000:4:28412566:28413818:-1 gene:ONIVA04G29720 transcript:ONIVA04G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWKAKSEEEIWLTGERREVYSCFHGAAGGCSGSGGGGGDGGGSGQTASAWRWRGQSSAAPSCDAVASAVIEMHRWSEYEIMPHHIVMYKPLAYC >ONIVA04G29660.1 pep chromosome:AWHD00000000:4:28387053:28388330:1 gene:ONIVA04G29660 transcript:ONIVA04G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDSSSAAAPLTSFGRSFLSHRRDQIPPPPPDHHSHSHTQHPSSSDLEIDAFHRHAADLLHDLLSDSNSDPSAPDLLSLAWTRRLLDSFLICLEEFRAILFALADSQPLSRPPLDRLLLDFLDRAVKALDLCNALRDGLDLIRQWRKHLAIAAAALAPAPAAQRGEAQIRRARKALTDLTILMLDDKDAGGVVGQRNRSFGRAGTTRDSLPHGHGHHRRSSSGGSSGSGSGSHLRSLSWSVSRTWSAARQLQAIGGGLTVPRANDIAATGGLASAVYAMGAVLFVVTWALVAAIPCQDRGLQAHLTAVPRTFPWAGPLITLFDRILDESKKKDRKHSCGLLKEIHQIERCSRQLMEVTDAAEFPLADDKDSEVQEATQELVQVCGSLKDGLDPLERQVREMFHRVVRTRTEILDYLSRPHNAG >ONIVA04G29650.1 pep chromosome:AWHD00000000:4:28380795:28385546:1 gene:ONIVA04G29650 transcript:ONIVA04G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H814] MSFRSIVRDFRDSFGTLSNRSFEVKISGFSGRHRGKSIGPSSELDDTPVVAQQSKWAGLPPELLRDVMKRLEEDDSNWPSRKDVVACASVCTTWRDMCKDIVRNPEFCGKLTFPVSLKQPGPRDGVIQCFIKRDKSKLTYHLYLCLSSAVLDETGKFLLSAKRSRRTTHTDYIISMDSKNISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNARTLCSQERTSRRFSSRKVSPKVPTGCYPIVQVNYELNVLGTRGPRRMQCAMHSIPASAVEPGGIVPGQPKELLPRLFEESFRSMATSFSKYSITDHSTDFSSSRFSEFGGGALQGQEQEQDGDDVNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPQPASGAASEPSQAGQAAQQQTQPSQPSSSSSSSSSNHDTVILQFGKVAKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >ONIVA04G29650.2 pep chromosome:AWHD00000000:4:28381531:28385546:1 gene:ONIVA04G29650 transcript:ONIVA04G29650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H814] MSFRSIVRDFRDSFGTLSNRSFEVKISGFSGRHRGKSIGPSSELDDTPVVAQQSKWAGLPPELLRDVMKRLEEDDSNWPSRKDVVACASVCTTWRDMCKDIVRNPEFCGKLTFPVSLKQPGPRDGVIQCFIKRDKSKLTYHLYLCLSSAVLDETGKFLLSAKRSRRTTHTDYIISMDSKNISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNARTLCSQERTSRRFSSRKVSPKVPTGCYPIVQVNYELNVLGTRGPRRMQCAMHSIPASAVEPGGIVPGQPKELLPRLFEESFRSMATSFSKYSITDHSTDFSSSRFSEFGGGALQGQEQEQDGDDVNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPQPASGAASEPSQAGQAAQQQTQPSQPSSSSSSSSSNHDTVILQFGKVAKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >ONIVA04G29640.1 pep chromosome:AWHD00000000:4:28372947:28376490:1 gene:ONIVA04G29640 transcript:ONIVA04G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H812] MGAGEVVQGLKPVAAMVVVQVVFAGVNIFYKLAVVCDGMDMRVLVAYRYLFASAVLAPLAYFVERKNRTKMTWRVLMLSFVCGLSGGSLAQNLYISGMKLTSATFATAMTNLIPAVTFVLAVLCRYERLAIRTVAGQAKVAGTLLGVGGAMLLTLYKGAELNPWHTHLDLVAALEARHPAAAAATGNNDRVIMGSMLVVGSCVFYAVWLILQAKLSREYPFHYTSTALMCVMSGAQSAAFALLVDREPARWRLGLDIRLLSVVYSGVLASGVMLVVLSWCVKRRGPLFASVFNPLMLVVVAVLGSLLLDEKMHVGTLLGAALIVVGLYAVLWGKGRETALEAAKVGDDNDNHHIHVVVVVLPEQAQPQPHQQAEADADATTTACEQPSDSDRANIASSLRHSHRYFHRSCGF >ONIVA04G29630.1 pep chromosome:AWHD00000000:4:28359553:28362919:-1 gene:ONIVA04G29630 transcript:ONIVA04G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAPWRAVLRTTHLLVDPTRLAASSFHSTPVSSAKWKDKFDCKHEHGARKLSKNYERYVVRQKRAEGKKALKDYLLFGKSSPHLQGGSTGSFANSHDIPRFKTFRKGSQSHGSTKSRQGKKDRERFYNFFREEYYVHPDKIFEDMFGENHHFTWSHISWESFSFGDSSSRFRRTGESKRERVCSDSDDESEDETTNIGSHAHRAILGLPACGPLTLDAVKTAFRASALRWHPDKHPGSSQAVAEEKFKLCVNAYNSLCNVLKAA >ONIVA04G29620.1 pep chromosome:AWHD00000000:4:28357344:28359024:1 gene:ONIVA04G29620 transcript:ONIVA04G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQHHEQQQQRMVEMRMGMAMGEAYVGGGEVVAREAAAQALGAVVQLHFDKTLEKKRAADAQKQELWRLFLAFFLFLAILLSAVSQSPPSRLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSGGDVVAAADVEVPYQEPPESYLAKFKRSWAIHFAFLITTFAFSVAAAVAILCF >ONIVA04G29620.2 pep chromosome:AWHD00000000:4:28357363:28359024:1 gene:ONIVA04G29620 transcript:ONIVA04G29620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQHHEQQQQRMVEMRMGMAMGEAYVGGGEVVAREAAAQALGAVVQLHFDKTLEKKRAADAQKQELWRLFLAFFLFLAILLSAVSQSPPSRLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSGGDVVAAADVEVPYQEPPESYLAKFKRSWAIHFAFLITTFAFSVAAAVAILCF >ONIVA04G29610.1 pep chromosome:AWHD00000000:4:28351572:28354762:-1 gene:ONIVA04G29610 transcript:ONIVA04G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDTNAAAGQPRRAPKLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIKRFFEDYKKNENKEVAVDAFLPANTARDAIQYSMDLYAQYILQSLRQ >ONIVA04G29600.1 pep chromosome:AWHD00000000:4:28345031:28346335:-1 gene:ONIVA04G29600 transcript:ONIVA04G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPNGNSNGNHHGLQGDAVGTGTARYQNPGRWIWPDPTAGRVWYRAVPILSLCSTTRRTEVEGSNPRSAGILDRSTPPLPPGSTPPTLGGELSRRRRRRRERGHRRRHRRREQRVRCWRRLLQRRRRYRRGKDDIDATAAGKDDIDAAATGIDEFATAAACFNAVVAGKDEFDAAAAARFNACRNPVLDETIRRLEQLFRFTQADNPGPAVLLRIIGRINRANTRRSTLFAQLHTYIRRRRRRHRQQQAPPSPPAGGNIASNGNGAAINNGNSNNAAAGAPPADA >ONIVA04G29590.1 pep chromosome:AWHD00000000:4:28341616:28342242:1 gene:ONIVA04G29590 transcript:ONIVA04G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMNNERSSSNKLQVDAENPAAVGDELDLAARANWLRAAVLGANDGLVSTASLMLGVGAVKAEARAMVISGFAGLLAGACSMAIGEFVSVCSQRDVELAQLERDGKRGGEEEKALPSPAQAAAASAMAFSVGAVVPLLAAGFIVNYRLRIAVVVAVASVALAAFGCVGAVLGRAAVARSSARVVLGGWAAMGITFGLMRLFKASGI >ONIVA04G29580.1 pep chromosome:AWHD00000000:4:28335671:28336918:-1 gene:ONIVA04G29580 transcript:ONIVA04G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G76920) TAIR;Acc:AT1G76920] MAASDSDSDADAAAAAAASLLPAPIHLLPPDALHNVLLRLPLRDAVVCRPVSRLFHETLSHNFLALLPSLRLLLLRHPRPDGGGCLHAFDPDRRHWLRLPFSAFLPNQSFSPVASSPSLLYLWLESPSPSPPSLPSSSSSTASTAHPPKSLAVCNPFAGTYSFLPPLGSAWARHGTVLAGPGGVVLVLTELAALSYTPSGSGKWMKHPLSLPSKPRSPILASGAAAVFALCDVGTPWRSQWKLFSCPLSMLTGGWAPVERSAWGDVFEILKRPRLLAGAGGRRVLMIGGLRSSFAIDAPCSTVLILRLDLATMEWDEAGRMPPNMYRCFTGLCEAAAQGNAMPTAVAGGNNKVKVFGGDGKVWFAGKRVRGKLAMWEEDELGNSGGKWDWVDGVPGYSDGVYRGFVFDGGFTAMP >ONIVA04G29570.1 pep chromosome:AWHD00000000:4:28322279:28328130:-1 gene:ONIVA04G29570 transcript:ONIVA04G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIEEGVAESSGPSPRAAAAAAGLGSGGGGGGGSVHGSPDIRNVIYERLVAIRNEEAISNPSSFRVELDRHFLRLPESYLIDLDVSKAEQVLLHRRILADCADPEKRPIFHARYIGVDSGSTPTENGNGGGFLPINLRDDEFTESEPYERMMEDLSLERGKGVDDFEASSARRDSKFVRTSQSSSVRQGHLSAILSDIGLNIREAHVFSTSDGFCLDVFVVDGWDTEETDGLLQKLIEAEASSHGSLSNPTNLSQSEKVLELQEKIGDSEFDRSLLQIGEKIASGSSGDLYRGTYLGVDVAVKFLRSEHVNDSSKVEFLQEIMILKSVDHENVVQFYGACTKHRKYLIVTEYMPGGNLYDFLHKQNNTLELPVVLRIAIGISKGMDYLHQNNIIHRDLKTANLLIGSGQVVKIADFGVSRLRSQGGEMTAETGTYRWMAPEVINHKPYDHKADVFSFAIVLWELVTTKIPYENLTPLQAALGVRQGMRMEIPPKVHPRLSKLIERCWDENPHVRPLFSEITVELEDILRHVLVSKTGSRHPKAKIQKKSAR >ONIVA04G29570.2 pep chromosome:AWHD00000000:4:28322279:28328130:-1 gene:ONIVA04G29570 transcript:ONIVA04G29570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIEEGVAESSGPSPRAAAAAAGLGSGGGGGGGSVHGSPDIRNVIYERLVAIRNEEAISNPSSFRVELDRHFLRLPESYLIDLDVSKAEQVLLHRRILADCADPEKRPIFHARYIGVDSGSTPTENGNGGGFLPINLRDDEFTESEPYERMMEDLSLERGKGVDDFEASSARRDSKFVRLSAILSDIGLNIREAHVFSTSDGFCLDVFVVDGWDTEETDGLLQKLIEAEASSHGSLSNPTNLSQSEKVLELQEKIGDSEFDRSLLQIGEKIASGSSGDLYRGTYLGVDVAVKFLRSEHVNDSSKVEFLQEIMILKSVDHENVVQFYGACTKHRKYLIVTEYMPGGNLYDFLHKQNNTLELPVVLRIAIGISKGMDYLHQNNIIHRDLKTANLLIGSGQVVKIADFGVSRLRSQGGEMTAETGTYRWMAPEVINHKPYDHKADVFSFAIVLWELVTTKIPYENLTPLQAALGVRQGMRMEIPPKVHPRLSKLIERCWDENPHVRPLFSEITVELEDILRHVLVSKTGSRHPKAKIQKKSAR >ONIVA04G29560.1 pep chromosome:AWHD00000000:4:28319714:28321477:-1 gene:ONIVA04G29560 transcript:ONIVA04G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPEPETTVGDYELRERLGGRPPSTVVWRAVERSSGSPVVVKQVRLTGLPSTLRDSLDCEVRFLAAVTHPNIIRLLDLIQNILLSSPDSNAILKISDFGLSRVLRPGEYTDTNCGTCLYMAPEVMLFQKYDGGVDLWSIGAILFELLNGYPPFRGRSNVQKSLFPSYVLILLIYAPDFCVAIQVPLCVQNLVFL >ONIVA04G29550.1 pep chromosome:AWHD00000000:4:28314752:28319270:-1 gene:ONIVA04G29550 transcript:ONIVA04G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15130) TAIR;Acc:AT3G15130] MERRRMIADLLRASARGSSLRGGVQLHAALMKLGFGSDTMLNNNLIDMYAKCGKLHMAGEVFDGMPERNVVSWTALMVGFLHHGEARECLRLFGEMRGSGTSPNEFTLSATLKACGGGTRAGVQIHGVCVRTGFEGHDVVANSLVVMYSKGRWTGDARRVFDVIPSRNLATWNSMISGYAHAGQGRDSLLVFREMQRRHDEQPDEFTFASLLKACSGLGAAREGAQVHAAMAVRGVSPASNAILAGALLDVYVKCHRLPVAMQVFDGLERRNAIQWTTVIVGHAQEGQVKEAMCLFRRFWSSGVRADGHVLSSVVAVFADFALVEQGKQVHCYTAKTPAGLDVSVANSLVDMYLKCGLTGEAGRRFREMPARNVVSWTAMINGVGKHGHGREAIDLFEEMQAEGVEADEVAYLALLSACSHSGLVDECRRYFSRICQDRRMRPKAEHYACMVDLLGRAGELREAKELILSMPMEPTVGVWQTLLSACRVHKDVAVGREVGDVLLAVDGDNPVNYVMLSNILAEAGEWRECQGIRGAMRRKGLRKQGGCSWTEVDKEVHFFYGGGDDAHPQAGDIRRALREVEARMRERLGYSGDVRCALHDVDEESRVESLREHSERLAVGLWLLRDGTGDDGGGGGGEVVRVYKNLRVCGDCHEFLKGLSAVVRRVVVVRDANRFHRTPRVFFLDCLLPATLAVAPSLMDHPAKVMMGWALIAKYHLPQPSSSDQAQTGPYRLVFALYVSTARPDCRQSPSDMAPGKQRGKAKGAPPPPAAAAGGFPACLRLMPPSTVAISIHAKPGSKLATITEIGDEAVGVQIDAPARDGEANAALVDFISSVLGVKKREVSIGSGSKSREKVVLVQDATLQGVFDALKKACASS >ONIVA04G29550.2 pep chromosome:AWHD00000000:4:28314752:28317112:-1 gene:ONIVA04G29550 transcript:ONIVA04G29550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15130) TAIR;Acc:AT3G15130] MYTIPNLESKTPRVFFLDCLLPATLAVAPSLMDHPAKVMMGWALIAKYHLPQPSSSDQAQTGPYRLVFALYVSTARPDCRQSPSDMAPGKQRGKAKGAPPPPAAAAGGFPACLRLMPPSTVAISIHAKPGSKLATITEIGDEAVGVQIDAPARDGEANAALVDFISSVLGVKKREVSIGSGSKSREKVVLVQDATLQGVFDALKKACASS >ONIVA04G29540.1 pep chromosome:AWHD00000000:4:28296965:28303582:-1 gene:ONIVA04G29540 transcript:ONIVA04G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVDIDKALIALKKGTQLLKYGRKGKPKFTPFRLSNDESTLIWVSNNKEKSLKLSSVSRVLSGQRTLVFQRFLLPEKDHLSFSLIYNDGKRSLDLICKDKVEAEVWFAGLNVLISPGQHGSQHQHIDGIRNGALSFECGRDSSLSSSSAYTTDSFENKLSSANSAKDRSSGEFTYSERTDVSDMQVKGASSDIRISVSSALSTSSHGSGDDSESFGDVYVWGEVMCDTTCRQGSDSNAYSATAATDILVPKPLESNVMLDVSYVACGVKHAALVTRQAEVFTWGEECSGRLGHGAGTSIFQPRLVESLSICNVETIACGEFHTCAITATGDLYTWGDGTHNAGLLGHGSNVSHWIPKRVSGPLEGLQVSAVSCGTWHTALITSSGKLYTFGDGTFGVLGHGNRETISYPKEVESLKGLRTISVSCGVWHTAAVVEVIMAQSNTSSGKLFTWGDGDKYRLGHGDRSSKLKPTCVPSLIDYNFHKAVCGHTLTIGLTTSGHIFTAGSSVYGQLGNPNNDGRYPRLVEEKLGGGGVVEVVCGAYHVAVLTQSGEVYTWGKGANGRLGHGDIADRKTPTFVEALRDRSVKRIACGSGFTAAICQHKSVSGMEQSQCSSCRQPFGFTRKRHNCYNCGLVHCHSCSSKKALRAALSPNPGKPYRVCDSCYLKLSKVLDSGIGHNKNNTPRIPGDSKADKMDSKGNRVASANSSDMIKNLDVKAAKQTKKYDYPPQFPAILQLKDIPFIGAADQQPNDSTYSSPLLRLPNLNSSSSLSSESFDILRDANELLKQEVQKLKEEVNSLRQQREQQDADLQKSEAKAHEAMTLASEEASKSKAAKDVIKSLTAQLKEMAERLPPASCDMKQTRQPYLPGGAVSPDTGRENQKRYEPGSFQYPQTPTSVASARFNGFLAQAHQISEPNGNTMVPHDSRHENNGNTKEFPVAQQMTNGGMTGYRPRTEDHDRRETERFQINLHGFNMRGSSSPSNQVEAEWIEQYEPGVYLTLVSLRDGTKELKRVRFSRRRFGEHQAESWWNDNREKVYDKYNVRGTDRISSVMTA >ONIVA04G29530.1 pep chromosome:AWHD00000000:4:28293386:28293655:-1 gene:ONIVA04G29530 transcript:ONIVA04G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRRCIAIALLFLVAATFVASCVDGARTMQASYINRTPSTAPTAMMRSGRLFGYLPRAKLIPPSGPSERHNAIGPENGDGDELISKP >ONIVA04G29520.1 pep chromosome:AWHD00000000:4:28289679:28295564:1 gene:ONIVA04G29520 transcript:ONIVA04G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAADAAIAHRRQLTKLRQAWEGKTRLAWMKESANPASTTTAPAPTHREEAKVKVKSPYSTIVVASVVCQAAWSDLVDRSGGQV >ONIVA04G29510.1 pep chromosome:AWHD00000000:4:28284059:28285300:-1 gene:ONIVA04G29510 transcript:ONIVA04G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7Z6] MEEQQQVEVEVEVPSYFVCPISLQIMRDPVTLPTGITYDRDGIERWLLTAGTCPLTKQPVPPDCDPTPNHTLRRLIQSWCALHADHGVDLVPTPKPPADRARVADLVSRLRAATSSAALLDALRELRDVAAESERNRKLLAAVPGAVDVLAAVVVASCRDAKAACDEALEIVCSLELSERCLARLVERNEELVDALVATLQRTNTTSRAHAALLLEAVTAVMPSNRLVSLPEEVFGEAVQLLRDRVSSPATRAALHVLVGTTSWGRNRVKAVDAGAVAVLVDMLLDGPVERRGCELALAALDRMCGCAEGRAALVSHGAGVAVVGRKVLRVSEVASEKAVRVLRSVARHAATAAVVQEMAQTGAVEKLCVVAQSEQCGERTRERARETLRLHARAWRNSPCLQPHLQALYPSC >ONIVA04G29500.1 pep chromosome:AWHD00000000:4:28272999:28282965:1 gene:ONIVA04G29500 transcript:ONIVA04G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSVARWLAGEGGQRGGGFCVWGEERRRRVWDKKAISLFPAQQGERVTDGRWTVYIPTYQGAEVHIAVKLPISIQSTKEGEGPCGAMILFFSTGLFSENCLGISKQLAGSKICHGGVTTSRIGSADWCDRLGLRLGGEKNTT >ONIVA04G29490.1 pep chromosome:AWHD00000000:4:28268639:28272934:-1 gene:ONIVA04G29490 transcript:ONIVA04G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFLLLLLLHVSDAAINPGDLSVLHDLRRSLTNADAVLGWGDPNAADPCAAWPHISCDRAGRVNNIDLKNAGLSGTLPSTFAALDALQDLSLQNNNLSGDLPSFRGMASLRHAFLNNNSFRSIPADFFSGLTSLLVISLDQNPLNVSSGGWTIPADVAAAQQLQSLSLNGCNLTGAIPDFLGAMNSLQELKLAYNALSGPIPSTFNASGLQTLWLNNQHGVPKLSGTLDLIATMPNLEQAWLHGNDFSGPIPDSIADCKRLSDLCLNSNQLVGLVPPALESMAGLKSVQLDNNNLLGPVPAIKAPKYTYSQNGFCADKPGVACSPQVMALLHFLAEVDYPKRLVASWSGNNSCVDWLGISCVAGNVTMLNLPEYGLNGTISDSLGNLSELSDINLIGNNLTGHVPDSLTSLRLLQKLDLSGNDLTGPLPTFSPSVKVNVTGNLNFNGTAPGSAPSKDTPGSSSSRAPTLPGQGVLPENKKKRSAVVLATTIPVAVSVVALASVCAVLIFRKKRGSVPPNAASVVVHPRENSDPDNLVKIVMVDNDGNSSSTQGNTLSGSSSRASDVHMIDTGNFVIAVQVLRGATKNFTQDNVLGRGGFGVVYKGELHDGTMIAVKRMEAAVISNKALDEFQAEIAILTKVRHRNLVSILGYSIEGNERLLVYEYMSNGALSKHLFQWKQFELEPLSWKKRLNIALDVARGMEYLHNLAHQCYIHRDLKSANILLGDDFRAKVSDFGLVKHAPDGNFSVATRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELITGMTAIDESRLEEETRYLASWFCQIRKDEDRLRAAIDPTLDQSDETFESISVIAELAGHCTSREPTQRPDMGHAVNVLVPMVEKWKPVNDETEDYMGIDLHQPLLQMVKGWQDAEASMTDGSILSLEDSKGSIPARPAGFAESFTSADGRTS >ONIVA04G29480.1 pep chromosome:AWHD00000000:4:28264077:28268206:1 gene:ONIVA04G29480 transcript:ONIVA04G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSVARLPPILVSPIRFRTTATRRRLLPSSALRLTRPLSSSCSASSLAVVASMETPPENYRTNVGICLADPSLTKIFTASRIDIANTWQMPQGGIDAGEDPREAAFRELREETGVTSAEMVAEVPVWLTYDFPVDVKEKLNARWGGTNWKGQAQKWFLFRFTGKEDEVNLNGDGSERPEFCEWTWMTPQQVIEKAVEFKKPVYEAALKHFAPYLQSDPATTTSS >ONIVA04G29470.1 pep chromosome:AWHD00000000:4:28261056:28261901:-1 gene:ONIVA04G29470 transcript:ONIVA04G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELASSPPPAAVLPVVFVDGDQTVDLGTVTVQPSLGVRKLQAVVADRVGLAPQQILASLARPRRARRVPLEEGTDLAAAVAREGSGCYVLAALRRSRRERRGGRSRREKKAAAAAAAAGAAPAAPTQERTILKRLPATDLASLASTPSPAAAAMFGVWDYEAQLQELQRQREWYLMHTAAADPYFPLAPEREEPPFWSAQPLLRQGTPSSCPECEAAAAMMREPGFHWCVRDAVTVGFRSLVGPIERPAKKSPSPSPSPPPLPPTPGRLPPSFVGMMPVY >ONIVA04G29460.1 pep chromosome:AWHD00000000:4:28251707:28257217:-1 gene:ONIVA04G29460 transcript:ONIVA04G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAQRAALLRESLQKSQSVTDAVVSILGSFDSRLSALDAAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNALLSKALVKMEDEFQKQLTQRSKPIEPDRLFDCLPSTLRPSSESHPEGGKNQSHSENQQNSEAAVYSPPALIEPRFIPFLAKLAQQLVQAGCQQQCSEIYSEARASALESSLKSLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAVKLLFAAERQLCDQVFECSQSLRDKCFAQITRNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQADIDTIFVGESCSQMRESALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHIDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKREDGTGSELATVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANHYRRIAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRAAVKERSFNVLFEEIYQKQCGWSVPDTELRESLRLAVAEILLPAYRSFLKRFGPLIENSKAPGKYVKHTPEQVELLLANLFEGKQERT >ONIVA04G29460.2 pep chromosome:AWHD00000000:4:28251707:28260143:-1 gene:ONIVA04G29460 transcript:ONIVA04G29460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDWRPGVQDREREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNALLSKALVKMEDEFQKQLTQRSKPIEPDRLFDCLPSTLRPSSESHPEGGKNQSHSENQQNSEAAVYSPPALIEPRFIPFLAKLAQQLVQAGCQQQCSEIYSEARASALESSLKSLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAVKLLFAAERQLCDQVFECSQSLRDKCFAQITRNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQADIDTIFVGESCSQMRESALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHIDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKREDGTGSELATVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANHYRRIAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRAAVKERSFNVLFEEIYQKQCGWSVPDTELRESLRLAVAEILLPAYRSFLKRFGPLIENSKAPGKYVKHTPEQVELLLANLFEGKQERT >ONIVA04G29450.1 pep chromosome:AWHD00000000:4:28245884:28250108:-1 gene:ONIVA04G29450 transcript:ONIVA04G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAADEEAAAEALRAKIELLRQAMQSSEAMQKEAAVIGTRLNNHMVAIDEAMRPAHKRTYNACRVHDNIRRSLTAAGAIVRHLDLVREAEHVILLDRPNEDLNAYLEAIDKLTSVEYFFTSKIRCRVGNDVHERVNELLSKAIHGLENEFHRLLTKCSYQSSIKQIFGDPCVEDEKDTDVVSQIVGAIHALETNLAMKAKQYKDLALGHLFLMNNIHYIVKYIGRSELKDLLGADWIERQRRIVQQHATRYRRVAWLKVLECLSTQGLTSSVGSSIDVTQGSFRNIKNSTTSRSVIKERLKCFNMRFEEICQKQMNWGVPDRDLRDSLILMIAEILLPAYRSFLKHFGPLVENSHSALKYMKYTPESLEQALGNLFAKKLRSDQVFSLHLFAACIVHVCIGRTYSFSKPGGSDQV >ONIVA04G29440.1 pep chromosome:AWHD00000000:4:28240638:28241300:-1 gene:ONIVA04G29440 transcript:ONIVA04G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIKDCGGHKGCECEKEKVPRRLCWALVALVLLTLFIVLVVWLALRPHKPRFYLQDLSVLCLNVTPPASAYLFTTMQATVAARNDNGRVGVYYDKVDVYAQYKDVAITVPTRLPVEYQGHYDQSVWSPFLQSLDHVVLPPNLAVALAQDETAGYVLVDIRLDGWVRWKVGTWISGHYHLRVNCPALLTVNDGKGSYGVNYGGGDGYFRFQQAAACAVDV >ONIVA04G29430.1 pep chromosome:AWHD00000000:4:28230752:28231369:-1 gene:ONIVA04G29430 transcript:ONIVA04G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASRAVSCLCCPCKCLACGLFSCLCSILISLLVTLGVLALIFYLIFRPHMIAATVDSAALTQFTLSTNSALAYSLTVDLTVRNPNKRVGLYYDNVESLALFDGQRFGYAPLDSFYQSTEASTKLSPAFKGQQPLQGDITAANFRSQQTAGKFDIEVKLNAKLRVKVWAFKVPGPKAKISCPITVPASAPNAPAFQRTDCKVWF >ONIVA04G29420.1 pep chromosome:AWHD00000000:4:28226539:28228014:1 gene:ONIVA04G29420 transcript:ONIVA04G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPPLVVLLQLLLLPLLSTAITLPLYRNLPPVPADTDHPHHHPLSRLAAASLARAAHLARPRPRSRQGTAPPPSVRASLYPHSYGGYAFTVSLGTPPQPLPVLLDTGSHLSWVPCTSSYQCRNCSSLSAASPLHVFHPKNSSSSRLIGCRNPSCLWIHSPDHLSDCRAASSCPGANCTPRNANANNVCPPYLVVYGSGSTAGLLISDTLRTPGRAVRNFVIGCSLASVHQPPSGLAGFGRGAPSVPSQLGLTKFSYCLLSRRFDDNAAVSGELILGGAGGKDGGVGMQYAPLARSASARPPYSVYYYLALTAITVGGKSVQLPERAFVAGGAGGGAIVDSGTTFSYFDRTVFEPVAAAVVAAVGGRYSRSKVVEEGLGLSPCFAMPPGTKTMELPEMSLHFKGGSVMNLPVENYFVVAGPAPSGGAPAMAEAICLAVVSDVPTSSGGAGVSSGGPAIILGSFQQQNYYIEYDLEKERLGFRRQQCASSS >ONIVA04G29410.1 pep chromosome:AWHD00000000:4:28221672:28224067:-1 gene:ONIVA04G29410 transcript:ONIVA04G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosome biogenesis regulatory protein (RRS1) family protein [Source:Projected from Arabidopsis thaliana (AT2G37990) TAIR;Acc:AT2G37990] MAEAAAAPPAAAATNYEVDLGNLMAYDPSYHLPAASSSRSAPIAATAIVPCCFDSVTKFPGVARREELREECLRKGTELAQAVADALFALPATEDRDGPMVRLPPPTTRFPREKHLPRPKPPTKWEQFAKMKGITKHKKNKREWDEQTQTWKRTYGYDRVNDDKDIPIIEAKATDEPGVDPFAQRREDKKKRVEKQEKNRLENLKKAAKVGALPSHIQLAATALPITGTKADIPKKSRKKDLEDVAGMASSATASGGKFDEKLPGEKPPKNPGKHRKFLPVVEGKGMGNQEKQQNDKILNALLAKSSEDQMDVGRAITMYKVKKEKQRRKDKEKSSSSNKLKPQKKTLKKSSKKKA >ONIVA04G29400.1 pep chromosome:AWHD00000000:4:28218438:28219172:1 gene:ONIVA04G29400 transcript:ONIVA04G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLVASLFLGGGGGGGNDACSLSSSSSTASSWQWPSCTQARTLSFARHDAPASADDDDSKRRRQQEEEEDDYCVYKTSVMNPAFFLDDHSTCRSYSSAASAVNDVVDDDDEVIIRGLRSSNRRLFFEPESTSSIVVKGRAVDADAAAFDGATAMSIDSADPYGDFRRSMEEMVMSHMSGGGHDWGWLEEMLGWYLRANGKKTHGFIVGAFVDLVVALASSPSSATASSSAFQLPLQKGSQIN >ONIVA04G29390.1 pep chromosome:AWHD00000000:4:28210115:28211104:1 gene:ONIVA04G29390 transcript:ONIVA04G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEFVAARKKPPPELLFAACRKKQQPPPPGMAFVPSEFAAAGVGRKRQPAPPVEIRRVWAHNVEEEFRIIRNAIDHFPYVSMDTEFPGVIHRPTKHPALLTAGDRYDLLRRNVDALHLIQVGITLAASPTAAPALAFEINLSDFDQRVHRHAAESVQLLAEHGVDLAAHRRHGVRASALAPLLMSSGLVCSHGAVKWVTFHSAYDFAYLVKLLMGRKLPRSMAEFLNLVRVFFGDEVYDVKHMMRHCGGELYGGLERVAAALQVKRAAGRCHQAASDSLLTWDVFRRMRELYFLKHGVEAYQGVLFGLELDMDMPSNKTPSLPLVAAR >ONIVA04G29380.1 pep chromosome:AWHD00000000:4:28204648:28207235:1 gene:ONIVA04G29380 transcript:ONIVA04G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGGASSSVVVVPRNFRLLEELERGEKGIGDGTVSYGMDDDGDDIFMRSWTGTIIGPLNSVHEGRIYQLKLFCDKDYPDKPPTVRFHSRINMPCVNPDTGLVESKKFHMLANWQREYTMENILTQLKKEMAAPHSRKLVQPPEGTFF >ONIVA04G29370.1 pep chromosome:AWHD00000000:4:28189130:28202115:1 gene:ONIVA04G29370 transcript:ONIVA04G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G04810) TAIR;Acc:AT5G04810] MQFLSLSAAAASSPAAAVLPPKPFKPLSSAAPFRRPSPPPPPPSPVPSPAPLPPPHRPSPSPPPNPLSSKLWLSSKLSPPPPETLEQPEPSTTTTTTPPPPEPEAEAEARRQEEFRHKGKVFVGNLPLWARKAEIAEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDDADAAAERAVEVDGVDFRGRSLTVRLDDGRKGRARAEQRARWVNDGRRREPRSPWHEGRDEACREFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGLELTIVTYSILISGFAKINDSQSADNLFKEAKTKLSSLNGIIYSNIIHAHCQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNENKCLVVFERLKECGFKPSIISYGCLLNLYVKIGKVAKALSISKEMESCGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMLRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGYAVAGDMKSALDTLDLMRRSGCVPTVMTYNALIHGLVRKHKVQRAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAEKVIEEMVDVGLKPNVKTYTTLIKGWARVSLPDRALKCFEEMKLAGLKPDEASYHCLVTSLLSRATVMEGSTYTGIISVCREMSENDLTVDLRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEFLGEPSSSISMGESDDYSDSDFSGDEDEDHNIDDS >ONIVA04G29360.1 pep chromosome:AWHD00000000:4:28187564:28188921:1 gene:ONIVA04G29360 transcript:ONIVA04G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVKWLLYLTAFDSLSPFHIGNRYWVDPLTFIPTSIDLIRAKSNACAAPAKSADSMEAREIDAGIPALCGEENAGDGTDQTSGR >ONIVA04G29350.1 pep chromosome:AWHD00000000:4:28178269:28179140:1 gene:ONIVA04G29350 transcript:ONIVA04G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7X9] MSSGEPAAVSIPIHDHHGKAPATSSAVPAAAAAAAPAAAPAVAPRKVGIPFFRRGDHHRGSRCLAFLDFILRIAAFGPALAAAISTGTSDETLSVFTEFYQFRARFDDFPAFLFFLVANAIVAGYLVLSLPFSAVLVIRPQTIGLRLLLLVCDMIMAAMLTAAASAAAAIVDLAHNGNLRANWVAICMQFHGFCQRTSGSVVASFLTVVILMFLVILAACSIRKR >ONIVA04G29340.1 pep chromosome:AWHD00000000:4:28170635:28177470:1 gene:ONIVA04G29340 transcript:ONIVA04G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSKAVACCCCRSQHHGVVVESSEKTAEEDHGESYELPAFQEFSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLEEAKSVGQLRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWEAQAMKWPMRLRVVLYLAEALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSLVQALAPLQKDLETPSYELMDIPRGGATSVQSLLLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDNAFRQKDFSSAIDCYSQFIEVGTMVSPTIYARRCLSYLMNDKAEQALSDAMQALVISPTWPTAFYLQAAALLSLGMENEAQEAIKDGCAHETSSSSGH >ONIVA04G29340.2 pep chromosome:AWHD00000000:4:28170635:28177507:1 gene:ONIVA04G29340 transcript:ONIVA04G29340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSKAVACCCCRSQHHGVVVESSEKTAEEDHGESYELPAFQEFSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLEEAKSVGQLRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWEAQAMKWPMRLRVVLYLAEALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSLVQALAPLQKDLETPSYELMDIPRGGATSVQSLLLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDNAFRQKDFSSAIDCYSQFIEVGTMVSPTIYARRCLSYLMNDKAEQALSDAMQALVISPTWPTAFYLQAAALLSLGMENEAQEAIKDGCAHETSSSSGH >ONIVA04G29330.1 pep chromosome:AWHD00000000:4:28164528:28167044:-1 gene:ONIVA04G29330 transcript:ONIVA04G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYPVSGCTMHPVNPACPLLRTRIQNTQLCASLDGFYGENAPEKRRGEGMMAGKKFERTYGSDVEAHVSHNRRAGGRKLTARGPGSVLWAKVGRARWAYLSAAQTLHMTLVFAKVCHVGPHLGTHSIRKQIRRDTICQCTGVQCIPVRAYLS >ONIVA04G29320.1 pep chromosome:AWHD00000000:4:28149612:28152492:-1 gene:ONIVA04G29320 transcript:ONIVA04G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKELLSPSELSYYAHQQHQHQHQQHQQQHRMLGGGGGGGGHSASPLAGMHGGPSVIRPMPNMGMSPTAILQSIGPGPLAGMQFQMDAAPPPPPLMHNSMASVSASAGAGSPTVPPSATPMEPVKRKRGRPRKYGPDGTMKVSTAGAAQHQQQMLSAPPRMGSVSGADMVGGGSGMDDSAQKKRRGRPPGTGKKQQLSSPVKLSGGNAFSGSAGTSFTPHIITASPSEDVAGKIVAFANHSSRAVCVLSATGSVSRVVLRHPADGAMSRVHASSHYKNPAIYEGLYEILSMSGCYNLMNEGQSDGLSVTLCSPERHIIGGVLGGALVAASTVQVVLGSFVQGGSKPKSKKAGKQQQQQAAAAAFSSDSLTGGGQDASPSSGHNQNLTPPPPVTTTGGWPSSGIFDTRIPFDAFSEEATSL >ONIVA04G29320.2 pep chromosome:AWHD00000000:4:28150248:28152492:-1 gene:ONIVA04G29320 transcript:ONIVA04G29320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKELLSPSELSYYAHQQHQHQHQQHQQQHRMLGGGGGGGGHSASPLAGMHGGPSVIRPMPNMGMSPTAILQSIGPGPLAGMQFQMDAAPPPPPLMHNSMASVSASAGAGSPTVPPSATPMEPVKRKRGRPRKYGPDGTMKVSTAGAAQHQQQMLSAPPRMGSVSGADMVGGGSGMDDSAQKKRRGRPPGTGKKQQLSSPVKLSGGNAFSGSAGTSFTPHIITASPSEDVAGKIVAFANHSSRAVCVLSATGSVSRVVLRHPADGAMSRVHASSHYKNPAIYEGLYEILSMSGCYNLMNEGQSDGLSVTLCSPERHIIGGVLGGALVAASTVQGGSKPKSKKAGKQQQQQAAAAAFSSDSLTGGGQDASPSSGHNQNLTPPPPVTTTGGWPSSGIFDTRSSNIDINSSRG >ONIVA04G29310.1 pep chromosome:AWHD00000000:4:28148287:28148490:-1 gene:ONIVA04G29310 transcript:ONIVA04G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTGAQQTFTVNSWMEPASFEDDSAAPIFAFSNTEVLSWTSHWKKDWRRMQRDNEGTKRSVTTGN >ONIVA04G29300.1 pep chromosome:AWHD00000000:4:28143272:28153646:1 gene:ONIVA04G29300 transcript:ONIVA04G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKLGVEVASAHDLMPKDGQGSASACVELTFDGQRFRTAIKDKDLNPVWNERFYFNVSDPSNLPELALEAYVYNINRSVDGSRSFLGKVRIAGTSFVPFPDAVVMHYPLEKRGMFSRVKGELGLKVYITNDPSIKASNPLPAMDPVSNNPPPTPAEQIAADITSTNLSTTHEHRAEVKTLHTIAKEVQHQHHGHGHLPASFPDQPSKYAVDPMKPEPQQPKIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRAEKHASTYDLVERMQYLFVRVVKARDLPDMDVTGSLDPYVEVRVGNYRGITRHFEKQKNPEWNAVFAFSRDRMQATILEVVVKDKDLLKDDFVGLVRFDLNDVPMRVPPDSPLAPEWYRLVHKTGDKSRGELMLAVWIGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIIEAQDIAITDKTRYPDVFVRAQVGHQHGRTKPVQARNFNPFWNEDLMFVAAEPFEDHLILSLEDRVAPNKDEVLGRVIIPLTMIDRRADDRIVHGKWFNLEKPVLIDVDQLKKEKFSTRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIVNNPGPKFNEQYTWEVYDPATVLTVGVFDNGQLGEKGGEKTSSSKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSLVNMMYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVSARLSRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVSKWFNGVCSWRNPITTVLVHILFIMLVCFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSPDVIRMRYDRLRSVAGRIQTVVGDIATQGERVQALLSWRDPRATAIFVLFCLIAAIVLYVTPLQVLAALAGFYVMRHPRFRYRLPSTPVNFFRRLPARTDSML >ONIVA04G29290.1 pep chromosome:AWHD00000000:4:28136553:28138196:-1 gene:ONIVA04G29290 transcript:ONIVA04G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G48990) TAIR;Acc:AT3G48990] METPTLTTLLKAAVATFPSRRALAVPGKVDLSHAALDALVDAAAARLAADAGVLPGHVVALAFPNTVELVIMFLAVIRARAVAAPLNPAYTQEEFEFYLSDSGARLLITNPEGNVAAQAAASKLGLAHTTASLKDAAGQVHLAGFPASAAAAAKDFANDPSDVALFLHTSGTTSRPKGVPLTQRNLAASVQNIRAVYRLTEADATVIVLPLFHVHGLLCGLLASLASGASVTLPAAGRFSASTFWADMRGAGATWYTAVPTIHQIIIDRHTSKPEAEYPALRFIRSCSASLAPAIMEKLEAAFGAPVVEAYAMTEASHLMTSNPLPEDGARKAGSVGRAVGQEMAILDEEGRRVEAGKSGEVCVRGANVTSGYKGNPEANEAAFRFGWFHTGDIGVVDEEGYLRLVGRIKELINRGGEKISPIEVDSVLLGHPAIAQAVAFGVPDAKYGEEINCAVIPREGVSLGEEEVLAYCRRNLAAFKVPKKVYIADELPKTATGKIQRRIVAQHFVVPVLPTKA >ONIVA04G29280.1 pep chromosome:AWHD00000000:4:28129436:28138810:1 gene:ONIVA04G29280 transcript:ONIVA04G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCWGGASSVLRLLLALQCGVVVVVLQCSANALGSDVSALIAFKRAIIEDPRSALADWSDADGNACDWHGVICSSPQGSVISLKLSNSSLKGFIAPELGQLSFLQELYLDRNMLFGTIPKQLGSLRNLRVLDLGVNRLTGPIPPELAGLSSVSVINFHSNGLTGNIPSELGKLQNLVQLRLDRNRLKGSIPGSNGSGFSPTANSGSTAHNGLCPSPRLNVGDFSYNFLVGKIPPCLKYLPRSSFQGNCLQDEYSVRQRAFQICISGSPAGQRGGVKGFKHPTSDHKHERSPQPTWLLVLEISTGILLLVFVITGAITASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVSVISLCAFEGHWTSQHELFYQNKVIDLARLNHENIAKFLGYCRESDPFSRMLVFEYASNGTLFEHLHYGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFTKQKHEKAPGRINNKSSFPGHLDSSEDKQADIQGNTFAFGVILLEIISGRLPYCKDKGYLIDWAIKYLQQTEEIGKLVDPELTNVRTEDLEVICSVVSRCIDPDPSKRPSMQIITGVLENEIDLSATAILKESSLAWAELALAL >ONIVA04G29270.1 pep chromosome:AWHD00000000:4:28120769:28126311:1 gene:ONIVA04G29270 transcript:ONIVA04G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosine deaminases;RNA binding;RNA binding;adenosine deaminases [Source:Projected from Arabidopsis thaliana (AT1G01760) TAIR;Acc:AT1G01760] MLPSSAASSPPPPPPPPPWDDVSWAESTSSTVLHHYNSLPKKGKPQGRESTVLAAFLLSTPQQDARRSLTVLSLATGTKCLGAARLNHHGDLVHDAHAEVVARRALLRLIYTEIGRSGASDWLVASGGVMPVPPSPSELLREQLDSVNGCDDVGFVQRKPGRGDTTLSMSCFDKITRWSVVGIQGALLSHILEPLYLSTITIGQSPTGASDGFSVENNIKKVLDARLSSLSSKLLLPFKLNKPLFYEAPIPPKEFQQTSGDLQPLTCGYSICWNKSGFHEVVLGTTGRKQGTSSKAACSPSTESLLCKRRLLEAFVLIEHPLVKKFHREEMSYRQIKDMAHEYQQTLELLRKAPFFSRWSAKPASLDSFTFPSETYIISHSSPITFQIVVAAGVSDSSLAVVNLVTLPSQRPTRMIGTDDLPVSFFLHEGLVVECRVA >ONIVA04G29270.2 pep chromosome:AWHD00000000:4:28120769:28126603:1 gene:ONIVA04G29270 transcript:ONIVA04G29270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosine deaminases;RNA binding;RNA binding;adenosine deaminases [Source:Projected from Arabidopsis thaliana (AT1G01760) TAIR;Acc:AT1G01760] MLPSSAASSPPPPPPPPPWDDVSWAESTSSTVLHHYNSLPKKGKPQGRESTVLAAFLLSTPQQDARRSLTVLSLATGTKCLGAARLNHHGDLVHDAHAEVVARRALLRLIYTEIGRSGASDWLVASGGVMPVPPSPSELLREQLDSVNGCDDVGFVQRKPGRGDTTLSMSCFDKITRWSVVGIQGALLSHILEPLYLSTITIGQSPTGASDGFSVENNIKKVLDARLSSLSSKLLLPFKLNKPLFYEAPIPPKEFQQTSGDLQPLTCGYSICWNKSGFHEVVLGTTGRKQGTSSKAACSPSTESLLCKRRLLEAFVLIEHPLVKKFHREEMSYRQIKDMAHEYQQTLELLRKAPFFSRWSAKPASLDSFTVSRTPGYAKAKVTAEKRGWRWLLTWDH >ONIVA04G29260.1 pep chromosome:AWHD00000000:4:28119706:28120074:-1 gene:ONIVA04G29260 transcript:ONIVA04G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQARPYSAMFAGGVSARTGPHALPLARIKKIMKRSAGDSSVVDGGGGARMISGEAPVVFSKACELFIAELTRRAWAATLEGKRRTVHKEDVAAAVQNTDLFDFLVDVVMADGHDDDHTDYK >ONIVA04G29250.1 pep chromosome:AWHD00000000:4:28107675:28110093:-1 gene:ONIVA04G29250 transcript:ONIVA04G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVEGPDGVLGDGQGAEPLAGAFPRLRDRSPTSTCHTTAATLRGACQWAKSKGLNLPKPHQREIV >ONIVA04G29240.1 pep chromosome:AWHD00000000:4:28080550:28082084:1 gene:ONIVA04G29240 transcript:ONIVA04G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVELGLHDRGARAEHRKRRNTRRRLPSLDPSDTDWLEVQYINSYGVLMGYMTIAVNGLGFLVVTWTTVVLLGGFVSMLGKKDFWCLTVITLFQVFGSRAEISAGPSAYITVMKIQAAMLSIVMWLLFAIYLYGLYISAGISLWRLIERDYGGDNLKQSALDVLYTLALLQGVIFGYRETFAIETQELSQPEEG >ONIVA04G29230.1 pep chromosome:AWHD00000000:4:28060656:28067199:1 gene:ONIVA04G29230 transcript:ONIVA04G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGKVGIPAHYPPNPRDIDPPPRPVEIHHAPPERVGASVPPAPLSSHCRRLTSDLPEQPLVDASAAATDFPGAAFLPPSADIR >ONIVA04G29220.1 pep chromosome:AWHD00000000:4:28056178:28057090:1 gene:ONIVA04G29220 transcript:ONIVA04G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWEARGFIFAGAATGDCPTTCGDVAMPFPFSIGAGCCRSLGFDLTCHWSSDPPRQLLGDAAAFQVLNVRRRWHSARAGTAPPLWPMLTRTAARRRRLDFTVGMGEGKKTENEGIDGNVTISSRPSKMLYAETATGAVTTVKAPYRGGLAVWVLGCIKLPAN >ONIVA04G29210.1 pep chromosome:AWHD00000000:4:28051953:28053362:1 gene:ONIVA04G29210 transcript:ONIVA04G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMAVSTLLLLAAASLLSLQLLHPPPVASAAAVRARPKVGGYSAKSRPWVSKLVAGFLKKQLRNRGNKQQQQQLGGEAASGAAPPLVINITVGTPVAQTVSGLVDITSYFVWAQCAPCAAAAGCLPPPATAFRPNGSATFSPLPCSSDMCLPVLRETCGRAGAAANATAGARCDSYSLTYGGSAANTSGYLATDTFTFGATAVPGVVFGCSDASYGDFAGASGVIGIGRGNLSLISQLQFGKFSYQLLAPEATDDGSADSVIRFGDDAVPKTKRGRSTPLLSSTLYPDFYYVNLTGVRVDGNRLDAIPAGTFDLRANGTGGVILSSTTPVTYLEQAAYDVVRAAVASRIGLPAVNGSAALELDLCYNASSMAKVKVPKLTLVFDGGADMDLSAANYFYIDNDTGLECLTMLPSQGGSVLGTLLQTGTNMIYDVDAGRLTFETAPAAAAAVSLMTMMLVPLVASLLLF >ONIVA04G29200.1 pep chromosome:AWHD00000000:4:28015569:28016210:1 gene:ONIVA04G29200 transcript:ONIVA04G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQAILKTIWSPLAAVLRPKNCAATSRNLIVVNAGGEIITGGGREDATVTRKSRSSLEDLLKIEASTNPPETKAAADPQPADMATPAINLSKESELQIVLAGIPKNGHGGVIAGRPPPSLGGGGGAVVVRAPTVRVKVNRLVVLVPSALLARSRAAKMVDAAALPAKRGSYWRIAGRGRGGDKSELFYQRPIPLGRRCRVQHLEEVTLSDD >ONIVA04G29190.1 pep chromosome:AWHD00000000:4:28014189:28014377:-1 gene:ONIVA04G29190 transcript:ONIVA04G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVSRWQIQRRIRQRGKSRVTGDSEGLDPAMGSEGQGRSWWRRWIRWRGGAWGWIEGCAR >ONIVA04G29180.1 pep chromosome:AWHD00000000:4:27995728:28001390:-1 gene:ONIVA04G29180 transcript:ONIVA04G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7V8] MNVLASKIFPSRSNVASEQQQSKREKATIDDAKNSSKNKNLDRSVDEGPRDPRPRPRLTAMAMAAAMGVASPYHAAHAAASTSCDSLRLLVAEGRPRRPRGVASSSSSSSSAGRRRRPLVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGNNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDSDITVAALPMDEKRATAFGLMKIDEEGRIVEFAEKPKGEQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVISKNVMLQLLREQFPGANDFGSEVIPGATNIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETEADKKLLGEKGGIPIGIGKNCHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTVI >ONIVA04G29180.2 pep chromosome:AWHD00000000:4:27995462:28001390:-1 gene:ONIVA04G29180 transcript:ONIVA04G29180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7V8] MNVLASKIFPSRSNVASEQQQSKREKATIDDAKNSSKNKNLDRSVDESVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGNNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDSDITVAALPMDEKRATAFGLMKIDEEGRIVEFAEKPKGEQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVISKNVMLQLLREQFPGANDFGSEVIPGATNIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETEADKKLLGEKGGIPIGIGKNCHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTVAA >ONIVA04G29170.1 pep chromosome:AWHD00000000:4:27977505:27992886:1 gene:ONIVA04G29170 transcript:ONIVA04G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7V5] MQENLEIQEKEVYELKICTERRGAGPISECIQPTTHGCEKVGKEMLDQTATNSGTRKLTALHHQPTQDQQEPEREMNADFGAPKDLAGGLQQRRSLYQPTLPPCLQGATVKVEYGDATTTIDPTCANVVAQAFPRTYGQPLVSFVAPPPDAVDKDRAPIRVGVVFSGRQSPGGHNVIWGLHDALKAYNPHSVLYGFVGGTEGLFANKTIEITDDVLASYKNQGGFDLLGRSIDQIRSTKQVNAAMTTCNNLNLDGLVIIGGVTSNSDAAQLAEALVQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILAEEVALSKLTLMEVINKICDGVQARAELGKFHGVLLIPEGLIESIPEMYALLQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMIKRTKEGRYKGRKFSSVCHFFGYQARGSVPSNFDCDYAYALGRISLHMIAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGKPAIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQYEGPGCNAKPITLTIENQDYMGDIEILKDCLSKVRTMVKPGCSREVLKAAISSMLSVTDVLTVMSHPLNAELPLYHFN >ONIVA04G29170.2 pep chromosome:AWHD00000000:4:27977505:27992886:1 gene:ONIVA04G29170 transcript:ONIVA04G29170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7V5] MQENLEIQEKEVYELKICTERRGAGPISECIQPTTHGCEKVGKEMLDQTATNSGTRKLTALHHQPTQDQQEPEREMNADFGAPKDLAGGLQQRRSLYQPTLPPCLQGATVKVEYGDATTTIDPTCANVVAQAFPRTYGQPLSPGGHNVIWGLHDALKAYNPHSVLYGFVGGTEGLFANKTIEITDDVLASYKNQGGFDLLGRSIDQIRSTKQVNAAMTTCNNLNLDGLVIIGGVTSNSDAAQLAEALVQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILAEEVALSKLTLMEVINKICDGVQARAELGKFHGVLLIPEGLIESIPEMYALLQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMIKRTKEGRYKGRKFSSVCHFFGYQARGSVPSNFDCDYAYALGRISLHMIAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGKPAIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQYEGPGCNAKPITLTIENQDYMGDIEILKDCLSKVRTMVKPGCSREVLKAAISSMLSVTDVLTVMSHPLNAELPLYHFN >ONIVA04G29170.3 pep chromosome:AWHD00000000:4:27977505:27992886:1 gene:ONIVA04G29170 transcript:ONIVA04G29170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7V5] MQENLEIQEKEVYELKICTERQTATNSGTRKLTALHHQPTQDQQEPEREMNADFGAPKDLAGGLQQRRSLYQPTLPPCLQGATVKVEYGDATTTIDPTCANVVAQAFPRTYGQPLVSFVAPPPDAVDKDRAPIRVGVVFSGRQSPGGHNVIWGLHDALKAYNPHSVLYGFVGGTEGLFANKTIEITDDVLASYKNQGGFDLLGRSIDQIRSTKQVNAAMTTCNNLNLDGLVIIGGVTSNSDAAQLAEALVQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILAEEVALSKLTLMEVINKICDGVQARAELGKFHGVLLIPEGLIESIPEMYALLQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMIKRTKEGRYKGRKFSSVCHFFGYQARGSVPSNFDCDYAYALGRISLHMIAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGKPAIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQYEGPGCNAKPITLTIENQDYMGDIEILKDCLSKVRTMVKPGCSREVLKAAISSMLSVTDVLTVMSHPLNAELPLYHFN >ONIVA04G29160.1 pep chromosome:AWHD00000000:4:27971212:27974745:-1 gene:ONIVA04G29160 transcript:ONIVA04G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase like D4 [Source:Projected from Arabidopsis thaliana (AT4G38190) TAIR;Acc:AT4G38190] MSTGPGKKAIRNAGGVGGGAGPSAGGLRGPAGQAVKFARRTSSGRYVSLSREDIDMEGELAADYTNYTVQIPPTPDNQPMLNGAEPASVAMKAEEQYVSNSLFTGGFNSATRAHLMDKVIESSVSHPQMAGAKGSRCAMPACDGSAMRNERGEDVDPCECHFKICRDCYLDAQKDGCICPGCKEHYKIGEYADDDPHDGKLHLPGPGGGGNKSLLARNQNGEFDHNRWLFESSGTYGYGNAFWPKGGMYDDDLDDDVDKLGGDGGGGGGGGPLPEQKPFKPLTRKIPMPTSVISPYRIFIVIRMFVLLFYLTWRIRNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPVNRSTDLAVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTATTILSILAVDYPVEKLACYVSDDGGALLTFEAMAEAASFANVWVPFCKKHDIEPRNPDSYFSVKGDPTKGKRRNDFVKDRRRVKREFDEFKVRINGLPDSIRRRSDAFNAREDMKMLKHLRETGADPSEQPKVKKATWMADGSHWPGTWAASAPDHAKGNHAGILQVMLKPPSPDPLYGMHDDDQMIDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFMLNFDCDHYINNAQAVREAMCFFMDRGGERIAYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGLQGPMYVGTGCMFRRFAVYGFDPPRTAEYTGWLFTKKKVTTFKDPESDTQTLKAEDFDAELTSHLVPRRFGNSSPFMASIPVAEFQARPLADHPAVLHGRPSGALTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFLGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRKLMLLQRISYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQKLDIAFLCYLLTMTITLVALGILEGLLKVMAGIEISFTLTAKAAADDNEDIYADLYIVKWSSLLIPPITIGMVNIIAIAFAFARTIYSDNPRWGKFIGGGFFSFWVLAHLNPFAKGLMGRRGKTPTIVFVWSGLLSITVSLLWVAISPPEANSNGGARGGGFQFP >ONIVA04G29150.1 pep chromosome:AWHD00000000:4:27966516:27973926:1 gene:ONIVA04G29150 transcript:ONIVA04G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSAAAAAVVLTTTSGRAPLLHLPRTPRPTTAARIAAAPDSSRARAGGAPLLLGSAVPRRRGWALVCRDSSLPGPPGVDPVAEEEENRKKTEAVAAAAAARIASSGGGGGGGGGSLSDWTTSVLIFGIWAGLMYYIFQLAPNQTPYRDTYFLQKLCNLKGDDGFRMNDVLVPLWYIMGLWPLVYSMLLLPTGRSSKSKIPVWPFLILSCIGGAYALIPYFVLWKPPPPPIDEEEIGQWPLKFLESKLTAGVTFAVGLGLIVYAAKAGGEDWQEFIRYFRESKLIHITCLDFCLLSAFSPFWVYNDLTARRWKNGSWLLPLALIPFVGPSLYLLLRPSLSSLLAATGPSDDKTQ >ONIVA04G29140.1 pep chromosome:AWHD00000000:4:27962637:27965339:1 gene:ONIVA04G29140 transcript:ONIVA04G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATTRRRCRWRRWIHARQRWIRARRRRIHAPLVEPPARWDGVGGGAPSATSSSLRSPSATAPHAPLAMATASCRAEGEREEGVGDGRKRRRQLGRERREAAAVAGEGEKGGAAAVERMRAGSDAKGKLNFPRRLGGDVHGGDQPSLTSSSALPPERLPAKPRGRKDGSGGAPRTSRLRRPGEPPEEVAAAASSSRSGGAPGGGGWRRGRSTPALDGAGTGGRQRWHRGGSLAASALASISGGTVVTVTTGGYGGADRRLAPPWLVATAWLVAGLRRPGWIWPSMAGSVASTPDSQGSLSAGRLRVAAMCGCGVGGVAAMCACGVSGTTACAGVKQEWGLMSVVVTSSLSSECFSLFSVPPFFGRTLFWSWGTLGEGGGSGLL >ONIVA04G29130.1 pep chromosome:AWHD00000000:4:27958850:27959686:-1 gene:ONIVA04G29130 transcript:ONIVA04G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRPSHSAALFCLAHLLLLHALPHASTTKVPAAANRHHRAGNATATSRRALSTGTEGWAAPSVIDLREPPPPSATTAPPPPPPRAPPGGEGCAARLRGRLTEDCFQLPHATCAVYPYDAEARAVDRASPVGGARAACLHPLCVSPGSSLERNLSSLYAARCQGRWGDPLHAYCVATTLVAQHGGTWRTLPVVPLAAADPASASASAGDVCYVELAHLNYREGYFVRCPAFNCSHHPHVSCTEFPPSAVAAAVWEHRRTTYRDTVGPLFGRYTYDA >ONIVA04G29110.1 pep chromosome:AWHD00000000:4:27956422:27957214:-1 gene:ONIVA04G29110 transcript:ONIVA04G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEYYWRDIAAEFNSNTSSNNRKRTIVINQNGDGYLKRTARTRGLRFLNQVHIHHHPTKKLRRRPDEKRSTLKGRKKPKPSLKGKEKKPAPSPLGDQPSQYFVLFNKAEIESRSGVEICRSNYQISRRKEGTN >ONIVA04G29090.1 pep chromosome:AWHD00000000:4:27949075:27951068:-1 gene:ONIVA04G29090 transcript:ONIVA04G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACSGKRRRRTATTHAGRDSSSWASLAEDLVSLIGWRVLAGDVRDYICFRAACHHWRSCTASPCGRGVVVPRFHPRRWMMLPEGNGLYPGHGKLRGFVRFFNLSTGAFVRLRLPIARDDHRILDSVDGILLLQRNRDTAVRLLHPFTGDIMN >ONIVA04G29080.1 pep chromosome:AWHD00000000:4:27938481:27940605:-1 gene:ONIVA04G29080 transcript:ONIVA04G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHYGCGTPNFQKGRRNVKLEDLPEEFIENVNAVLQEYDDKVVERFEIKIEFEDMLIDELNNWVTFAVQSRTKNLAFDLVPDILTGSHDRYMFPFHLLDEKSVSGLQSIQLSYISFKPPLGFKGFPSLRKLDLNLVHVNRESLEVMLQLRYIKIFQCKTTMVEVHTAKLDTFIFDGHLICSYYPKYISCGKCTHLAAFQDAVATLLKGIPSVRNLTLHARWLLDNRHSFSNLRHLQLLMNLKTEDANKIHYAVSLIRAAPFIEKLEVHGRGQLEFLKDVVENAPALESVTIETTQIYICESSKLSPTRMSHVT >ONIVA04G29070.1 pep chromosome:AWHD00000000:4:27923788:27931092:1 gene:ONIVA04G29070 transcript:ONIVA04G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAAFGLVRPCPARPPLQLGPGSSSCRPILLHARPLAAGIASSSRGPAAVAARSLGRLLLLPPPPPISPNRAGRGRARHVACGAAAGDAKAEEEESGLAKTLQLGALFGLWYLFNIYFNIYNKQVLKVFPYPINITTVQFAVGTVVALFMWITGILRRPKISGAQLFAILPLAVVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLGELPTVWVILSLLPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNINLFSIITVMSFFLLAPVAFLTEGIKITPTVLQSAGLNVKQVLTRSLLAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTAIALAGVFLYSQLKRLKPKPKAA >ONIVA04G29060.1 pep chromosome:AWHD00000000:4:27907379:27907678:-1 gene:ONIVA04G29060 transcript:ONIVA04G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTCIGDGQSTLFWMDRWLEGKTVSEIAPNLTKLIAKNTVRRCTVVQALDNKKWVTDINGPLTVQIWDLVKGVILQVRVPDQHVWKLSNS >ONIVA04G29050.1 pep chromosome:AWHD00000000:4:27902577:27906414:1 gene:ONIVA04G29050 transcript:ONIVA04G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7U4] MVIYQMVHLTCYLLTFVVAVHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGVIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDQKDDVASLEG >ONIVA04G29050.2 pep chromosome:AWHD00000000:4:27904531:27906414:1 gene:ONIVA04G29050 transcript:ONIVA04G29050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7U4] MVIYQMVHLTCYLLTFVVAVHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGVIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDQKDDVASLEG >ONIVA04G29040.1 pep chromosome:AWHD00000000:4:27885573:27890810:1 gene:ONIVA04G29040 transcript:ONIVA04G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7U3] MAASGEGASLASAAGGEDGRRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASDADIRRFHSDDYVAFLASATGNPALLDARAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKGEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRCIIKKVMEVYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRYLRSFNIPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYNLHIQPRSVENLNSTKDLENIKSMILDHLSKIEHVPSTQFHDRPSDPEAPEQEEEDMDKRPPQRSRLWSGGAYESDTEDPDNMKTETNDLSASSVMKDESNDDS >ONIVA04G29040.2 pep chromosome:AWHD00000000:4:27885573:27890810:1 gene:ONIVA04G29040 transcript:ONIVA04G29040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7U3] MAASGEGASLASAAGGEDGRRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASDADIRRFHSDDYVAFLASATGNPALLDARAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKGEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTCSFHKYGDFFPGTGHITDVGAGEGKHYALNVPLSDGIDDDTFRDLFQCIIKKVMEVYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRYLRSFNIPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYNLHIQPRSVENLNSTKDLENIKSMILDHLSKIEHVPSTQFHDRPSDPEAPEQEEEDMDKRPPQRSRLWSGGAYESDTEDPDNMKTETNDLSASSVMKDESNDDS >ONIVA04G29030.1 pep chromosome:AWHD00000000:4:27866906:27868618:-1 gene:ONIVA04G29030 transcript:ONIVA04G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTTILLLALLLTLLSSSSTWPPPLTACAPGQHCDDRAAAGSLPSCLLATGVRNFSLAGSPAYDALLNFSIQNLRFALPAAGAVPRPAAVVLPRGRSELTSAVLCARHASLRIRVRSGGHSYEGLSYTVGDGGDDADRVRFVVIDLMRMNRVRVDAASATAWVESGATLGEIYYAVASSSSSLAFPAGSCSTVGAGGHISGGGFGLLSRKFKLAADNVLDAILVDADGRVLDRSSMGENVFWAIRGGGGGSWGVVYAWKLRLVRVPNTLTAFTPKRTGSVDAIAGLVHRWQYVGSALPDEFYLSVFLTIGGASSSSPSRDGNVTVSFTGLVLGSKELAMSVLSERFPELGLAEPEMSEMSWVESAARFAGLSSTEELTSRASRTKHYAKSKSDYVRSPIARGAVAAILRYLAGGPAGYVILDPYGGAMAREGSGDTPFPHRAGNLYSVQYGVTWEAGDDGGGGGGGDGGGGGGGGEARMAWLRALYAYMAPHVSKNPRAAYVNYVDLDLGTNALAGNVSSPSSSVSRARSTWGSAYFSPANFDRLVGAKTLIDRSNVFSNAQSIPPLQI >ONIVA04G29020.1 pep chromosome:AWHD00000000:4:27862318:27863152:1 gene:ONIVA04G29020 transcript:ONIVA04G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQVMESEVVARALLLLVEAHLGGRAAIPLDSLAIAAHLLCRQEPSALISYLRAEKMETKNGANEDTSKVNLWGNALSKCGYLLMVTAEDYWAEHAIHRPELAASADAPMFRVGASTAWQEASPADLPPLPPPGRHLDASSSAASMLGREEGEEEK >ONIVA04G29010.1 pep chromosome:AWHD00000000:4:27850686:27858650:1 gene:ONIVA04G29010 transcript:ONIVA04G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEPFNRLVRLAARAFYDDISMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKGAKIYSAAAAAAGDGQSITKEGEEKVKMHTHSYCCLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPNCKKRYSAFDALQLISYTDEYFHCENCNGELVAESDKLASEEMGDGDDNARKRRREKLKDMQQRIDEQLKPLQAQLNRVKDLPAPEFGSLQSWERANIGAFGTADPSAADSSRNPQGQYGTPMPYLGETKVEVALSGTGVKDEGAESGTNGNGLKVLPPWMIKQGMNLTKEQRGETSNSSNLDEKSEVKDEKKQDSKEDEKSIQDEYIKAYYEALRKRQDEEEAKRKIQQEGDTFASASHSERQVGMKSKREDDDEGVEWEEEQPAGNTAETYKLADLNVEAQESGDEEDEIDWEEG >ONIVA04G29010.2 pep chromosome:AWHD00000000:4:27858644:27859980:1 gene:ONIVA04G29010 transcript:ONIVA04G29010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISGSIDVLAVAFAVAAVIVIPVPVAAAAAAAPARLDTYLVRMVNRMERKMEFDCDEWPDDFELRANGGDMNVTYETADRPGYDYLTPRVSCIWSYEGNYMSSVIIWDEEKWPEKKACLVGGGRRCELVFENKEEVLVVTTSSPAAPGTGSSRRVLGDLAVKDCSTHWYGHLLPWGAGCTYPSHDHTYAGAVHSTWTAAAMASTIGH >ONIVA04G29000.1 pep chromosome:AWHD00000000:4:27842859:27843516:-1 gene:ONIVA04G29000 transcript:ONIVA04G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPMVSIVISVMRLIQLDYYSSDDAGKGKLKAALFAFYSLALIHGVGFYSWFLLRRLVMVKVMESVHGMRPTGYLQETTSKCANILLESGYHDDHLTGVRMLDTFVVKKTRSVRRHMLSSRHSIQNLLTMLSRPTSWTLDEREIRERAARIMAGIAGDLHIARFPGALQSMSCLLDCAGHHQKHDTCGSPMQAFQQYVIREESY >ONIVA04G28990.1 pep chromosome:AWHD00000000:4:27830568:27842756:-1 gene:ONIVA04G28990 transcript:ONIVA04G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRERLREDIKRRKSASSFKPRGAKELILLIIERLAGDDHNCREICDTQGLLSKITAPITSRAFLDAVCEDDDEPALQILAQVALGPYTGLMTKEEFVSLLRDIFFGNKDNIEEKSSNGDGIAGTIMEILCEGDSKDVLDIRRYGTVVDQLTEMLVKDKQCQISAAAILEHLCSRFLKSCQLSKQDAINLLTTVLGLILSSNTERNAVAGSDSSNYAGAATEARGSDYSAIARDEESQPPKDAVQDKSPTEQDDKLSQEKKLLAALLSFTMVICEKLIDADDFSNVAHVDRELLKKLIDRDNRSDCLRIVKLSCQVAILAIQHKPSCAKDFNEHDRNHVLTKASENLLELDKCMFFAGNDHEAIKPARSLSSLVKEAQERFKEAQARARIKL >ONIVA04G28980.1 pep chromosome:AWHD00000000:4:27828094:27834934:1 gene:ONIVA04G28980 transcript:ONIVA04G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVHEGAVAAREMAAAVLNALAPAATVAQLAGADAAGLVSAILQAVRAARRNRRECRLLARRAMMVGDLLRLLPPESETMRRPEVRRALDGLGGALRQALELVESCQESGAVRGLMTAGRQAEQFREVQGEINDYMLLFPVVSHIDITRRLDLIYGLLLPADSQPHQMPEDAPGSPGDHFEADVRNVEITAAEVIPFESNQTITEPFEFGEHQVAGHQEGLEELLSKVQRLKWLCWWSQHDSLERPSTYDLLKAATNNFSSKSKIASGGWATVYKDIQTLTICKSFAAQIDNQSHLEKISFDFTFQAQMRNSLEIAIKVYPMGTGEKRVFSQYERELNLLTKLQHTNIIKLLGHCTGEWELILIYEYMPNGSLDKFIHGPNREVSFDWFSCFKIIQGIAEGLLYLHTGYIAPEYLRGGILSTKVDVYAYGVILLEIITGRRSCMSLKDDEYVLLTEYAWDLWRTGRSAELLDAALRNEARIAEITSCIQIALLCVQKDPADRPSMLDVLAMLRDEKIVAAPKKPGDLLLGDETSVESGDLLLGEETSGETAHWFASSGATCSSTEFTVPR >ONIVA04G28970.1 pep chromosome:AWHD00000000:4:27825652:27825969:-1 gene:ONIVA04G28970 transcript:ONIVA04G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTRSGNEGTDRVTPPQPAGARVKMMTDRNSQPHTVGCVCVCVGVGVGGKPELAVRQRLGHGRLQEGIIEGESARTGQLARRIRRNHCWEVETYRASAQIELAR >ONIVA04G28960.1 pep chromosome:AWHD00000000:4:27807358:27811619:-1 gene:ONIVA04G28960 transcript:ONIVA04G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGQMVHEIHSKNSWNKEMRLSTCSTALDSTLKTCSRFISPFILFVQSYPFPDIDGQLWLIGNNLHNLELWRLEVASHDLHVVKYLLDLLRLNYEDVLPVYIGDDTTDENAFKVLDSGPIYIGDDTTDENAFKEKLQQAHDIFYFCKVHEIHLKDSRNKGNAVKYMLDRLGLNSEDVLPIYIGDDTTDENAFKTITKWDG >ONIVA04G28950.1 pep chromosome:AWHD00000000:4:27792253:27801855:-1 gene:ONIVA04G28950 transcript:ONIVA04G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLAVASPHPAAAFAAARPRRRPRPAAAPSHRGVNPQRWRCEKTQAWSFWSSLRASDLPGGLYGDVSKNMLKPAAAVSVEQAEASAHLPKGDMWSVHKFGGTCMGTSQRIQNVADIILRDPSERKLVVVSAMSKVTDMMYNLVNKAQSRDDSYITALDEVFEKHMAAAKDLLGGEDLARFLSQLHADVSNLKAMLRAICIAGHATESFSDFVVGHGEIWSAQLLSFAIKKSGTPCSWMDTREVLVVNPTGSNQVDPDYLESEKRLEKWFARQPAETIIATGFIASTPENIPTTLKRDGSDFSAAIIGSLVKAGQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNMFNISAPGTMICQQPANESGDLEACVKAFATIDKLSLVNVEGYYKNVGRAHNDMDMLHFLCYYSRLFKPDDLFIVLRLCLGGEVGFQAITEAMGMAAVKAIAAITAIIAGGRLAGLSMALGAFLGGLLLAETEFSLQVESDIPPYCGLLLGLLFRTVGMSIDPKLLLSNFPAISVILGLLIIGKTMLVTFIGRVFGISTIAAVWVGLLLAPGGEFAFVALGETVNQGLLSPQLSSLLFLVVGISMALTPWLAAGGQFLASKFEQHDVRSLLPVESEIIAQLLSERLIPFVALDVRSDRVAVRRALDLPVYFGDAGSREVLHKVGAERTCTAAIIELSGL >ONIVA04G28940.1 pep chromosome:AWHD00000000:4:27760079:27780686:-1 gene:ONIVA04G28940 transcript:ONIVA04G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSPVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVIEYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMWASGSPPTSPVSGVRRGACRRAPRKPLPPIAAHVPPFPAPTSTAIAMGKPKQQVLSRFFSPKPRPSAPATADDPPPPPRPPAEPPVAAVVSFSPAKRARALSVSPKTTAKRAKPSPPPSDYVRRRLLEPPRPPPPAALNPSGKGYTPLEQQVVDLKARHPDVLLMVEVGYRFRFFGEDAAVAASVLGIIAHPDHSFLTASIPTFRLGFHVRRLVAAGHKVGVVRQTETAAIKAAHGGGAAGTPFARGLSAVYTRATIEAAAGELEGGGAPDEGSRYLVCVVDKEVDAMGTEGFEVKIGVVAIEVSTGEVVHGEFMDGVSRNGLEAVLLGLAPVEVILGTPISSATEKLMVAYAGPTSNVRVERTSRLCFSEGGALAELLSLFEKSGVDAPTVENGRHLMEMNEENNNPRGIEGIMAMPELVIHALALSVRYLKGFGMDRIICFGSSFQPFTANTEMSLSANTLQQLEVLKNHSDGSLDGSLFQTMNNTCTAFGSRLFRHWLTHPLCDRNQICTRHDAVSEISESIGSQQYSTNNLQDEVDMSCSSSVRSDLSTILSSVLRMLAGTLDIQRGITRIFHCKATAKEFVGVVQAILTAGKQLQKLVLEDTDTMSSQHRTVHSPLLRRLINTASSCTVLANAATLVSCLNKDAADQGDMLNLFIASVDQFPEVAEGHATVEMAKQKLELLITEYRKQLGVRNLEFKTVAGTTHLIELPVDRKVPSSWMKVNSTKKTIRYHTPEVSKNLENLLLAKEKLAVICRTTWNNFLMDFGRYYAQFQATVKSLATLDCLYSLATLAKQNKYVRPNFVRENEASQIHIKDGRHPVANMKIIFYCATDTISNTTGRSHTVLESLLGVNFVPNDTELHANSEYCQIVTGPNMGGKSCYIRQVALITLMAQVGSFVPASSATLHAVDGIYTRMGASDSIQHGTSTFYEELSEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCMVIFVTHYPKILNILREFEGSVGAYHVSYLATRKLLEVADRQMVINNTETKDLGEITFLYKLVAGASDRSFGLNVALLAQLPSSCIERASVMAAKLQQELSEREKNKFCRLMDVPRESSPKELCAQPYQGLAEACHRILFNVTSAQSNDELTDTLSSLREAREIALKAIKGWWQSHECIIALLPCLVSSAQLSSALRLESESSRVAATRDTDSNRGRERWEGNEAAAPLPFSGDVTTPLSSSSAAASEFINLRPFRPAIRLPGIPIGAAGNGFRACSLRRLRHRVCGGNPMGASALGGCGSRSLFYLAPNHGSPLALRTRGRALRCQGNDSLAYVDGPLEGTNGSVVDNTEDEANSSGLDEEKGDDDAENLRDLLQKARKELEVARLNSTMFEEKAQRISESAIALKDRADKAQSDVSSAVTTIQEIISKEADAKEAVRTATMALSMAEARLQLASEALDAKRGSVGPMEVSIDDVEEEALASAQEEIKECQESLSKCEEELRRIQEKKMELQKEVDRLTELAERALLDASKAEEDVANIMVLAEQAVALEMEAAQRANDAELALQKAEKAISSVDAVVELPAPAEEQVSDEEDNVSEVYDYSSDAIDDIPERDEVSNVERLIVGDLAVEGIEQLESSREMSDDESTDKLLVEPQKEAEPDIDKSKQGKKQEIERKESQPSNAPKASLKRSSRFFPASFFSSKADGEFTPTSVFKGLMKSTRKHAPKLVVGIVLLGAGAFFLNRAEKSSQLFQQQEITTSIEEVTSTAKPIVREMRKIPQRVKKLIELLPHQEVNEEEASLFDILYLLLASVVFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATTAAVGMIAHRFAVLPGPAAIVIGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEAMGMAAVKAIAAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPAISVILGLLIIGKTMLVTFIGRVFGISTIAAVRVGLLLAPGGEFAFVAFGEAVNQGLLSPQLSSLLFLVVGISMALTPWLAAGGQFLASKFEQHDVRSLLPVESETDDLQDHIIILGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRAVWALSKYFPNVKTFVRAHDVDHGVNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATVNEFRNRHLSELTELCATSGSSLGYGYSRVMSISKSKTVTSDDESETVDGALAI >ONIVA04G28940.2 pep chromosome:AWHD00000000:4:27760079:27780686:-1 gene:ONIVA04G28940 transcript:ONIVA04G28940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSPVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVIEYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMWASGSPPTSPVSGVRRGACRRAPRKPLPPIAAHVPPFPAPTSTAIAMGKPKQQVLSRFFSPKPRPSAPATADDPPPPPRPPAEPPVAAVVSFSPAKRARALSVSPKTTAKRAKPSPPPSDYVRRRLLEPPRPPPPAALNPSGKGYTPLEQQVVDLKARHPDVLLMVEVGYRFRFFGEDAAVAASVLGIIAHPDHSFLTASIPTFRLGFHVRRLVAAGHKVGVVRQTETAAIKAAHGGGAAGTPFARGLSAVYTRATIEAAAGELEGGGAPDEGSRYLVCVVDKEVDAMGTEGFEVKIGVVAIEVSTGEVVHGEFMDGVSRNGLEAVLLGLAPVEVILGTPISSATEKLMVAYAGPTSNVRVERTSRLCFSEGGALAELLSLFEKSGVDAPTVENGRHLMEMNEENNNPRGIEGIMAMPELVIHALALSVRYLKGFGMDRIICFGSSFQPFTANTEMSLSANTLQQLEVLKNHSDGSLDGSLFQTMNNTCTAFGSRLFRHWLTHPLCDRNQICTRHDAVSEISESIGSQQYSTNNLQDEVDMSCSSSVRSDLSTILSSVLRMLAGTLDIQRGITRIFHCKATAKEFVGVVQAILTAGKQLQKLVLEDTDTMSSQHRTVHSPLLRRLINTASSCTVLANAATLVSCLNKDAADQGDMLNLFIASVDQFPEVAEGHATVEMAKQKLELLITEYRKQLGVRNLEFKTVAGTTHLIELPVDRKVPSSWMKVNSTKKTIRYHTPEVSKNLENLLLAKEKLAVICRTTWNNFLMDFGRYYAQFQATVKSLATLDCLYSLATLAKQNKYVRPNFVRENEASQIHIKDGRHPVLESLLGVNFVPNDTELHANSEYCQIVTGPNMGGKSCYIRQVALITLMAQVGSFVPASSATLHAVDGIYTRMGASDSIQHGTSTFYEELSEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCMVIFVTHYPKILNILREFEGSVGAYHVSYLATRKLLEVADRQMVINNTETKDLGEITFLYKLVAGASDRSFGLNVALLAQLPSSCIERASVMAAKLQQELSEREKNKFCRLMDVPRESSPKELCAQPYQGLAEACHRILFNVTSAQSNDELTDTLSSLREAREIALKAIKGWWQSHECIIALLPCLVSSAQLSSALRLESESSRVAATRDTDSNRGRERWEGNEAAAPLPFSGDVTTPLSSSSAAASEFINLRPFRPAIRLPGIPIGAAGNGFRACSLRRLRHRVCGGNPMGASALGGCGSRSLFYLAPNHGSPLALRTRGRALRCQGNDSLAYVDGPLEGTNGSVVDNTEDEANSSGLDEEKGDDDAENLRDLLQKARKELEVARLNSTMFEEKAQRISESAIALKDRADKAQSDVSSAVTTIQEIISKEADAKEAVRTATMALSMAEARLQLASEALDAKRGSVGPMEVSIDDVEEEALASAQEEIKECQESLSKCEEELRRIQEKKMELQKEVDRLTELAERALLDASKAEEDVANIMVLAEQAVALEMEAAQRANDAELALQKAEKAISSVDAVVELPAPAEEQVSDEEDNVSEVYDYSSDAIDDIPERDEVSNVERLIVGDLAVEGIEQLESSREMSDDESTDKLLVEPQKEAEPDIDKSKQGKKQEIERKESQPSNAPKASLKRSSRFFPASFFSSKADGEFTPTSVFKGLMKSTRKHAPKLVVGIVLLGAGAFFLNRAEKSSQLFQQQEITTSIEEVTSTAKPIVREMRKIPQRVKKLIELLPHQEVNEEEASLFDILYLLLASVVFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATTAAVGMIAHRFAVLPGPAAIVIGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEAMGMAAVKAIAAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPAISVILGLLIIGKTMLVTFIGRVFGISTIAAVRVGLLLAPGGEFAFVAFGEAVNQGLLSPQLSSLLFLVVGISMALTPWLAAGGQFLASKFEQHDVRSLLPVESETDDLQDHIIILGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRAVWALSKYFPNVKTFVRAHDVDHGVNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATVNEFRNRHLSELTELCATSGSSLGYGYSRVMSISKSKTVTSDDESETVDGALAI >ONIVA04G28930.1 pep chromosome:AWHD00000000:4:27741540:27743813:-1 gene:ONIVA04G28930 transcript:ONIVA04G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASIKATTAVLTAASHSPLQAPPSSTPTTCSMKCSNDDHPWATSSSGHIDKETAPTIALDLGDGEDKVHDPCIITKDSSKVTPTTCSLKCSSPDTEPDLTMVAEVTYASAATASMELVTAQKAIGATYSDTSDHTKVMHAKCLTVVLDAIGDTGQAMVVFQTWTDAFKDDPTSVQFMDFFSSSMMANIKWNIPMPTKCLVQCLGHGNMALMPTNPLDVNPWPPPWLDGVIKGRDLRPSPWLGFNFCGTVEHLVPPWPPPTQISYLALLLTDFAILSTEMLLTVRHWARVHLMLPWPPPNNSDSKHTMIQLEHCWSWEIGFTINQSAWKEQWDLVNQRSCIFSEIYGMHELGISYHNLLQVKLFKPKIDKQIRVVLLLLLHVPVERQIHGCFVIFTEGPWRCLHHVHPFSDNGEFGVGGNKEILGWTALTHDTNNKVLLYLELMVVETTERSGIPLTVASHLTRSILYGPHDFDLNAALEYLWDAYTEGVQIIWARTICKKMSQLSCSVQKFKKLKTFWFRGDSKLQLSVSSFSCSAWIELQRFRCMSKLFLWWCMPAQTYELLLEQEQLELGIDDLSWYYISDHLVRKIVSTEMLVKSGVKIVLSCTLELGWSVNAHFRSNAKLLENYIKEIYESAQCGDSTIPFFVQLTWDPGGSGNNLHRLEDKPNVKERGLLATQLCCIWARLAMFQSKPRQAQLATYISSNSKHLGDLGTEERQRRRLALVARRAAAAATWRPVGSLSISFPTSSPSSSPPP >ONIVA04G28920.1 pep chromosome:AWHD00000000:4:27732401:27736503:1 gene:ONIVA04G28920 transcript:ONIVA04G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00590) TAIR;Acc:AT4G00590] MAGGDGGGGGGDESEFVGVGGGGGGGGEGEGNQSPPNRRFFVAVHVGAGFHAPANEKAYRRAMKRACLAAAAVLREGNGTSLDAVAAAIQVLEDDPITNAGRGSNLTESGHVECDASIMDGSTTTFGAVGAVQGVKNPIQIALHLAREQMVGPSLLGRIPPMFLVGEGACQWAKSKGLNLPEATSEGNSWLVTESAKAQWGKYRSLLASAKESVNHSTGSGSESSSVQLEAPGAEAEDITGVKKMKMITRSIMEDDQDCVMDTVGAVCVDAYGNIASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIVGCCATGAGEHLIRGFAARECCISSSLIQSGPASACTKVLRQAVQSSSEMSHDTGAGLLLIQADVLKVRGEVSALGAAELVAAYSSPSFGVGYLGSNMNSPKVAMLRSSKAAPNTINHFATRVNFDAQSDQ >ONIVA04G28910.1 pep chromosome:AWHD00000000:4:27724600:27729737:-1 gene:ONIVA04G28910 transcript:ONIVA04G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGAEYEDGSEEEEPYERVFYDDEDDGNDDSICGDPCDDSVPKEEVHRKLMHEAEPYDDLVAGEEESVENSALQAFKKERNEQELKQAQKMGYSIKQKEIPVDKEMGMKPFKKRLVKFADDVSCYTYSTESFAAAKLEKRKAQFDDQDKHLHKKQEHTPPSFPQDGGKLKEVDNTNLYVGNLPASVGSHKLIELFLPFGQIVRSRVVDDCFTGLSQGYGFVKYSDPRCASEAIKRMNGRLVEGRALEVRVAGFPSSEDNSQQPSKETDMAKLYVCNLSLSMNTDRLIHLFLPFGEVTNAKVAKDHTTGLSKGYGFVQYSSPHHAAEAVIHLNGRLVDGRKIEVRVSGIPSTLPNSAVESPSTTRTVKEIDMSNLYVCNMPSSIDTKKLVELFLPFGKITHARVVADPDTFSAKGYGFIKFTDSESATKAIAAMNGALVGGEMIIVRVAGLSPSASISAVQTTQDINKSRLYITNLPRSMTADKMVNLFAPFGQITKVLMNLEYSLVWYADAPSAIKAVQHMDGYMVEGKRLVVKRSELCTTNASQAGGKPIKEIDMANLYVGRVPSSLTEDQFIDLFRPFGRVVQARMFRFQRYGMVRFDNPSCAAAAIDHLDGYQIGGSILAVRVAGLPAESNAAKGALTSQMSSNEQGQIDMTNLYVSHLPSYVNNERLIDLFLPCGQITQAKVVVERYTGVSKGFGFVKFADAYSAAVALTHMNGYPLDGHVLEVRIAGVHPDAMSSYMAHFYSHFTMHDPAKAAVGIPTSYWPHYYDESAYNTTAENLGQVTTTSATDASAAQTSQKERLPGSKSVDLVAEKDCSSASNKVAHCSESQPTAWAGPPGFEPHAISKKCTAGSNASQACSKDHFAQSGGGHKRRSIV >ONIVA04G28900.1 pep chromosome:AWHD00000000:4:27720415:27723571:1 gene:ONIVA04G28900 transcript:ONIVA04G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphomannomutase [Source:Projected from Arabidopsis thaliana (AT2G45790) TAIR;Acc:AT2G45790] MAARKNAGVLALFDVDGTLTAPRKVVTPEMLQFMKQLREHVTVGVVGGSDLVKISEQLGKSVTTDYDYCFSENGLVAHKNGELIGTQSLKSFLGDDQLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDYEIFESDRTIGHTVTSPDDTAEQCRSLFMSK >ONIVA04G28890.1 pep chromosome:AWHD00000000:4:27716572:27718558:1 gene:ONIVA04G28890 transcript:ONIVA04G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGKLEVLLVCAKGLEDTDFLNDMDPYVILTCRTQEQKSSVAKGAGSEPEWNETFVFTVSDDVPQLNVKIMDSDAFSADDFVGEANIPLEPVFLEGSLPPAVHRVVKEEKYCGEIKVALTFTPAAETRHHHNHENEGEGYSSWN >ONIVA04G28880.1 pep chromosome:AWHD00000000:4:27709122:27715105:-1 gene:ONIVA04G28880 transcript:ONIVA04G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7S0] MAATAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFDDASVGSVLAVIKKVENLGDLFITEISRVLKAGGMVLIQSSPSDQDPNNSIQRKLLLGGFVDVQASAASSQDSEHSVTIKAKKVSWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADFRFGRDSPSPSRLPLPPRLRHATPHLAASPWLLLPSPPLPAAGAPAFAAAVLSLSILFPHADGGAAIRALRRTRVASASTEEPRFGLDSRTPKVMTSLPDRGVSSSSSDPLCEGNIAPCSSSSEQKEDCSLKQSKTSILSCVFNSPFNIFEAHQDSSANKSPKSSSGSYDWLRVLRRIVCSGSMWRFLGTSKVLTSSDVWFLGKCYKLSSEESSSDSDSESGHATFLEDFSSRIWITYRRGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRRPSEKPYNPEYIGILHMFGDSEACAFSIHNLLQAGNSYGLAAGSWVGPYAMCRAWQTLVRTNREQHEVVDGNESFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQMAVDIAADNIEADTSSYHCSTVQDLALDLIDPSLAIGFYCRDKDDFDDFCSRATELVDKANGAPLFTVVQSVQPSKQMYNQDDVLGISGDGNINVEDLDASGETGEEEWQIL >ONIVA04G28880.2 pep chromosome:AWHD00000000:4:27711748:27715105:-1 gene:ONIVA04G28880 transcript:ONIVA04G28880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7S0] MAATAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFDDASVGSVLAVIKKVENLGDLFITEISRVLKAGGMVLIQSSPSDQDPNNSIQRKLLLGGFVDVQASAASSQDSEHSVTIKAKKVSWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADFRFGRDSPSPSRLPLPPRLRHATPHLAASPWLLLPSPPLPAAGAPAFAAAVLSLSILFPHADGGAAIRALRRTRVASASTEEPRFGLDSRTPKKIRLMRAEVQS >ONIVA04G28880.3 pep chromosome:AWHD00000000:4:27713174:27715478:-1 gene:ONIVA04G28880 transcript:ONIVA04G28880.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7S0] MAATAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFDDASVGSVLAVIKKVENLGDLFITEISRVLKAGGMVLIQSSPSDQDPNNSIQRKLLLGGFVDVQASAASSQDSEHSVTIKAKKVSWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADL >ONIVA04G28880.4 pep chromosome:AWHD00000000:4:27709122:27711589:-1 gene:ONIVA04G28880 transcript:ONIVA04G28880.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7S0] MTSLPDRGVSSSSSDPLCEGNIAPCSSSSEQKEDCSLKQSKTSILSCVFNSPFNIFEAHQDSSANKSPKSSSGSYDWLRVLRRIVCSGSMWRFLGTSKVLTSSDVWFLGKCYKLSSEESSSDSDSESGHATFLEDFSSRIWITYRRGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRRPSEKPYNPEYIGILHMFGDSEACAFSIHNLLQAGNSYGLAAGSWVGPYAMCRAWQTLVRTNREQHEVVDGNESFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQMAVDIAADNIEADTSSYHCSTVQDLALDLIDPSLAIGFYCRDKDDFDDFCSRATELVDKANGAPLFTVVQSVQPSKQMYNQDDVLGISGDGNINVEDLDASGETGEEEWQIL >ONIVA04G28880.5 pep chromosome:AWHD00000000:4:27713174:27715105:-1 gene:ONIVA04G28880 transcript:ONIVA04G28880.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7S0] MAATAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFDDASVGSVLAVIKKVENLGDLFITEISRVLKAGGMVLIQSSPSDQDPNNSIQRKLLLGGFVDVQASAASSQDSEHSVTIKAKKVSWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADL >ONIVA04G28870.1 pep chromosome:AWHD00000000:4:27706401:27708025:-1 gene:ONIVA04G28870 transcript:ONIVA04G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G24318) TAIR;Acc:AT5G24318] MPPPADVARFLSRDTIFDRVRLLDADPRALRAFAGTGLAVDVTVPNADVPRLAASRASARRWVRASVAPYAEATNVSRVLVGDEVISQANRTLLLSLVPAMRNLHAALAAVLPPSPRRREIIKVSTPHSLGILAASTPPSAGRFHDGYDTAVVKPLLGFLRATGAPFMVNAYPFYGGLTNDTLDYALFRVNDGVTDDATGLLYANMLDAQLDAVHSAMRRLGFGDVDVVVSGTGWPSAGEYWEVGAGADLARNYNKNAIRHLGSGVGTPLMPNRTFELSIFSLFDENLKPGPVSERNFGLYHADMTPVYDAGILTAPQEIVGTKVTPAPAPALAPAADGRRRWCVPKPAADEVALQVNIDFVCGQGGIDCGAIRAGGSCYDPNNVHAHAAFAMNLYFQSNGQHEFDCDFGQTGVITTVDPSYKSCKFT >ONIVA04G28860.1 pep chromosome:AWHD00000000:4:27703078:27705563:-1 gene:ONIVA04G28860 transcript:ONIVA04G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDWQELAQAAVIGLLFAFLVAKLISTVIAFKEDNLRITRSTPTSPSAADTPAAPAPPPASLDGGHGDTSDGSGSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEQAQLQLYGLYKIATEGPCTAPQPSALKLKARAKWNAWHKLGAMPTEEAMQKYITVVDELFPNWSMGSSMKRKDEDTTVSASSSKGPMGPVFSSLMYEEEDQGNDSELGDIHVSAREGAIDDIAKHLAAGVEVNMRDSEGRTPLHWAVDRGHLNSVEILVNANADVNAQDNEGQTALHYAVLCEREDIAELLVKHHADVQIKDEDGNTVRELCPSSWSFMNLAN >ONIVA04G28840.1 pep chromosome:AWHD00000000:4:27696577:27699423:1 gene:ONIVA04G28840 transcript:ONIVA04G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRPCRSRAAMRDGERAEVGAAGVKRKEASASSDSEPAGKKAKKKILPKWRANAIPCEGGEVLRRKKEAVAASMLWRTPDVPGASDVWDSIEVPAEMLELWLERQKAKAEAAAAKKKRKVFKCRVPNSLVEVMITQPYKCVDHNRSQEELAELAVPHRQIYILRKFIDEKKMNYEQTLIDQYATQGYAEDEEEVTDDDDDEDPATLT >ONIVA04G28830.1 pep chromosome:AWHD00000000:4:27695928:27696521:1 gene:ONIVA04G28830 transcript:ONIVA04G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGERAEVGAAGKKRKEVSASSDSEPAAASGKKTKKEKILPAWRANAIPCEGGEVLRRKKEAVAAGKLWSTPDVPGAMGSHLWDPIEVPAEMLELWLERQKANAEAAAAKKKKRKVFKCRVPNSLVEVMIARPYKCVDHDRSQEELAELTVSHRQGYILRKFIDEKKMKYEQTLIDQYVKQGYAGDEEEVTDDDDD >ONIVA04G28820.1 pep chromosome:AWHD00000000:4:27684641:27695887:1 gene:ONIVA04G28820 transcript:ONIVA04G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQLALSSVVRSSRRRTKRSPKSHPAPTPSDPASWLLPFPTITASADLACSNVLASSAALTSFAASAASTALDDSSALTGLRPPPPSPLLPPSPPPTPQPTDSAGLATCLRNDKHCSIENSMKNNCSICYEPICFCLYRVRVSAADNPET >ONIVA04G28810.1 pep chromosome:AWHD00000000:4:27678974:27681988:1 gene:ONIVA04G28810 transcript:ONIVA04G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT4G27790) TAIR;Acc:AT4G27790] MAGAAAMALLLSTSVLLVLCLLPVDPAAPPVAAIPHRRSGRHYVPFGRHAAFGPFATEVELLLHGGGAVPDIRTFRDTLDRLPDWSHFDAELGPLERYFGSDGELNVKERLLYLFPMLHRAPKDGGVSCGELEAWLRRQAADRLDAVARRELKRHDKDGDGVVTLREYLAVDHDQHIDWTDTEHGEPGWWLHKFISADRDHSGAMDFIELNDGMDHDRDGKLSLDEFISQFHMIDHNSIVEHSADDDTSCAEAEKKFRELDSNNDGYLTVEEARPVIQSLISGEFSYAKSHAKLLMKADDNKDNKLSLEEMLNHYLSFYNIVYMDDHYDYDDIGNNIHDELR >ONIVA04G28810.2 pep chromosome:AWHD00000000:4:27678864:27679086:1 gene:ONIVA04G28810 transcript:ONIVA04G28810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT4G27790) TAIR;Acc:AT4G27790] MAWRLARQCVILGARCYKCNLTCTACSPQPRRDRSSTVPLPVPPPSPAGVSTVTASPPLPSLRPA >ONIVA04G28800.1 pep chromosome:AWHD00000000:4:27672376:27692317:-1 gene:ONIVA04G28800 transcript:ONIVA04G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRHPAAVGGGGEDELGGGGGGGDGGGIIRHNRRCRDLAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNICGSRHGDPDLHELDVRYWMNPNQVYQSGVKDNKINLADAKAICLMECPIPAADGLNFVCDYPEGDIRLSIDDWINRDYDYFEFLTPDMRNSSLQQQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGINIDENILIDKTIHKAINSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLLIRYFVAAMPWITVVLFNALVISVTMFFYIKAGWLGNDPLTVVIGESDPYVHITGREISHLHAATVVMTVVMIIAFLSSIAIIRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVLRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFVTVVSSLKRLLRYSLGSVVIGSLVVSAVEWVRFILECLRRKLKLFDSARESCFGKMTSSSSQCCLGCIDWTLKSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVVVSWALGFIVAKLFFQVVEMSIDTIILSFCQDSEEHQGNAQELGDIHVSAREGVIDDIAKHLAAGVDVNMRARPLPLTRSTTASYHSIGSRASGGGGGGMGGPLGAIIGRHPAAAGGGGGDELGGVGGGGGGDGIIRHNRRCRDLAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNICGSRHGDPDLHELDVRYWMNPNQVYQSGVKDNKINLADAKAICLMECPMPAADGLNFVCDYPEGDIRLSVDDWIDRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGINIDENILIDKTIHKAINSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLLIRYFVVAMPWITVVVFNALVISVTMFFYIKAGWLGNDPLTVVIGESDPYVHITGREISHLHAATVVMTVVMIIAFLTSIAIIRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVLRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFVTVVSSMKRLLRYSLGSVAIGSLVVSAVEWVRFILECLRRKLKLVDSARESCFGKMTSSSSQCCLGCIDWTLKSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVVVSWALGFIVAKLFFQVVEMSIDTIILSFCQDAEEHQGNAQYAPPLLMETLDEQSELQRLTQGP >ONIVA04G28800.2 pep chromosome:AWHD00000000:4:27672378:27692317:-1 gene:ONIVA04G28800 transcript:ONIVA04G28800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRHPAAVGGGGEDELGGGGGGGDGGGIIRHNRRCRDLAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNICGSRHGDPDLHELDVRYWMNPNQVYQSGVKDNKINLADAKAICLMECPIPAADGLNFVCDYPEGDIRLSIDDWINRDYDYFEFLTPDMRNSSLQQQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGINIDENILIDKTIHKAINSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLLIRYFVAAMPWITVVLFNALVISVTMFFYIKAGWLGNDPLTVVIGESDPYVHITGREISHLHAATVVMTVVMIIAFLSSIAIIRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVLRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFVTVVSSLKRLLRYSLGSVVIGSLVVSAVEWVRFILECLRRKLKLFDSARESCFGKMTSSSSQCCLGCIDWTLKSVNRNAYIMIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVVVSWALGFIVAKLFFQVVEMSIDTIILSFCQDAEEHQGNAQYAPPLLMETLDEQSELQRLTQGP >ONIVA04G28790.1 pep chromosome:AWHD00000000:4:27668336:27668698:-1 gene:ONIVA04G28790 transcript:ONIVA04G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFVTVAMPTALATEQIIDADKKLRRALVGGGAGKVAAALVLALFRSPGGVFVRSKALFDAYYVMLLAVAVFGAAEVGVGCWVSASPSDRRRGVGKLVVWASVVPIVVVAGLGGFAVLK >ONIVA04G28780.1 pep chromosome:AWHD00000000:4:27635479:27636559:-1 gene:ONIVA04G28780 transcript:ONIVA04G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQGGVWTAMCRPTAGGRATPCGRRTREGGGRRPLRMMGDRGTGFKVSAGDALSQVYIQKNTEWRFLREVDGEGEVGYLLVSPPSSLLTGRWAKGRRPLLTMFYAERWGTIDLTGPPS >ONIVA04G28770.1 pep chromosome:AWHD00000000:4:27628164:27628614:-1 gene:ONIVA04G28770 transcript:ONIVA04G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDELLLPSSSPSPFHSELKIKDDSVAVEFYSQQEQDGNYRSIVQHGTIYLHFQSCMAPSRRYEVTFGWN >ONIVA04G28760.1 pep chromosome:AWHD00000000:4:27624539:27625942:-1 gene:ONIVA04G28760 transcript:ONIVA04G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIQLHSMLGNKYVLYSSSTHICQSLSRSVPSLTMAAATATALARWSQIAAQLPGRTDNEVKNFWNSYIKKKLRDRGIDPATHKPLAADSSATPTNTTAAAASRSTATCRAVFSDAELQIPTAAAVQQQQQAPLVGAMQLVDGIKMPLDDYWPAAAAAAAPSSSTTTFSAYHHALSMQQQAAAGCGGAAAFDMDALSHCGVVVAPSASSSSTLTSMAGLSPAAADAAEQSANVAAAAATTTNLPWLDLGHANPIATMDHYAGVLDELRWSDYFDGAYQAATTATQGGALQGQCLYDGGGGGGKDVDDAVQFVDVHSLSNWC >ONIVA04G28750.1 pep chromosome:AWHD00000000:4:27605876:27606169:1 gene:ONIVA04G28750 transcript:ONIVA04G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLEQWCGGEAAGVVAAGKESAASSAAAAGGCRTPGGGGAREGGRGAAVAGECPGAPRKRRAAPGPVSQMQEQHRQRRDFYSGPDVDAFFAAHNL >ONIVA04G28740.1 pep chromosome:AWHD00000000:4:27594616:27600396:1 gene:ONIVA04G28740 transcript:ONIVA04G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMDSILLLPLVALLVVAISWLWDYTVVRLIWRPHCIAKEFREKQGIRGPAYKFLGGNNGEISRLKEEADGQVLDNLRDHNYLPRIAPHFLKWRAQYAEVFNSGSRNREAFLFWYGAKPRICIFDYELARQILSSKSGHFLKNDAPPTMVALMGKGLVLLEGTDWVRHRRVINPAFNMDKLKVTVTPPSISSHTNRFRTSPMMISTMTGCAQSLAKELEDVAAKNKDRVTEVDLNQKFRELTADIIAHTAFGSSYQLGKEAFQAQHELTEITMATLFQVQLPGLNYLPTERNRRKWRLQKNLRDTLMQIIRSRLSSKDGEYGNDLLGLMLGACASDEQGEASRLSMDEIVDECKTFFLAGHETTSLLLTWTVFLLSVYPEWQERLRNEMTMVLLETLRLYNPALFIQRKPTADITVGSLAIPAGVAVYIPVPIMHRDREVWGHDAGEFNPLRFLDGAARAAAAAGIPHALLSFSIGPRSCIGQGFAMLEAKAAMAAMLRRLSFRVSPGYVHAPVDLITLKPKFGLPVIVRLLDA >ONIVA04G28730.1 pep chromosome:AWHD00000000:4:27583776:27586386:1 gene:ONIVA04G28730 transcript:ONIVA04G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALVFLALLLPTISWLWDYIIVPHIWRPYIAAKRLREQGIRGPPYKFLKGCNEDVKKMKDAADDLVLDVHDHNYLPRITNVDGEPFVYWFGAKPRICIFNYEWARQILSSKSGHFLKNDTTPTVLALLGKGLVLVEGIDWERHRRVINPAFTMDKIKMMTKTMVACAQNMVKELEDQASSNKNGETQVELDKQFQELTADIISHTAFGSSYKLGIEAFHAQKELQEIAVKSLLNVQIPGFSYLPTKGNWRKLTLEKKLRGTLMQIIQSRLSSKGSGYGSDLLGLMLEACIATDQGREQHQLSLSIDEIIHECKTFFFAGHETTSLLLTWTVFLLSVYPEWQARLRLEALRECGKENPNGDNLSKLKEARKLMSMVFLETLRLYGPALFLQRKPLTDITVGETKIPKDHAIIIPSAIMHRDKEIWGDDTDEFNPLRFQNGVTRAAKVPHALLAFSIGPRSCIRQNFAMLEAKSVMAMILKKFSFTLSPNYVHAPVDLLTLQPKFGLPVVLRLLDA >ONIVA04G28720.1 pep chromosome:AWHD00000000:4:27580512:27587005:-1 gene:ONIVA04G28720 transcript:ONIVA04G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAARRGASDMAGQGALHPQQQGAQRSRAGNGAAPWWLILLDRPRRSVKEEIRPLRLWQSEDEAVRVTSRITTWKHQNQGVSGKSPAWYSRIGNIDACGCRILHGGAWTYFGERAKENFCRIMAITNFASNIAKF >ONIVA04G28710.1 pep chromosome:AWHD00000000:4:27577754:27580470:-1 gene:ONIVA04G28710 transcript:ONIVA04G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLCCSCDPICKSQRVELIGIVTPNLLVSVQNWNRYHNRGASRTSSLSRSCHSGYTLSKNIVHGQEGGCVPYSSFQATKCGTTRRR >ONIVA04G28700.1 pep chromosome:AWHD00000000:4:27576279:27577735:-1 gene:ONIVA04G28700 transcript:ONIVA04G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSASAAAFRRRTSSLQPRSTPPRRGPRTPCAASLLATARARHAYRPHHTHAAAAAATASSSKI >ONIVA04G28690.1 pep chromosome:AWHD00000000:4:27574986:27575288:-1 gene:ONIVA04G28690 transcript:ONIVA04G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrophobic protein RCI2B [Source:Projected from Arabidopsis thaliana (AT3G05890) UniProtKB/Swiss-Prot;Acc:Q9ZNS6] MADRTATFVDLVIAIILPPLGVFLKVGCEIKFWICLLLSFFGYLPGIIYAVWVIVNH >ONIVA04G28680.1 pep chromosome:AWHD00000000:4:27574326:27582871:1 gene:ONIVA04G28680 transcript:ONIVA04G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLLAVAAAAAAWVWWGRYAWRARAVARRLAAQGVRGPRRGGVLRGCNDEVRRRKAAAEADGVAMDVGDHDYLRRVVPHFVAWKELYGTPFLYWFGPQPRICVSDYNLVKQILSKKYGHFVKNDAHPAILSMIGKGLVLVEGADWVRHRRVLTPAFTMDKLKVMTKTMASCAECLIQGWLDYTSNSKSIEIEVEFSKQFQDLTADVICRTAFGSNSEKGKEVFHAQKQLQAIAIATILNLQLPGFKYLPTKRNRCKWKLENKLRNTLMQIIQSRITSEGNGYGDDLLGVMLNACFSTEQGEKRDELILCVDEIIDECKTFFFAGHETTSHLLTWTMFLLSVYPEWQDRLREEVLRECRKENPNADMLSKLKEMTMVLLETLRLYPPVIFMFRKPITDMQLGRLHLPRGTAIVIPIPILHRDKEVWGDDADEFNPLRFANGVTRAAKIPHAHLGFSIGPRSCIGQNFAMLEAKLVMAMILQKFSFALSPKYVHAPADLITLQPKFGLPILLKALDA >ONIVA04G28670.1 pep chromosome:AWHD00000000:4:27571085:27572860:1 gene:ONIVA04G28670 transcript:ONIVA04G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPAAAASPATDQGKTKSKKKSKKHQEDSSSSLAVAAASVDEAAEAKADGYLIKPQSVAPPLDTSAWPLLLKNYDRLNVRTGHYTPLPAGHSPLKRPIAEYLRYGVINLDKPSNPSSHEVVAWIKRLLRVDKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVSTIYESKLLEHDADRHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGETDNMVTMHDVMDARWAMDNFNDESYLRRIVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENEIEVGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMVAEGLLDKHGKPNEKTPSEWLRNAVLPAGGDAMIAGIAAAPEPEKPKVKEEADLAEETKEKKKKKHKDEAGDNANEGRKRKVGDDDLSASVSAKKIKVEEEADAVEGEKSEKKKKKKKDKAESASADGEVKAELSDGEKGGSEKKKKKKKNKEGEAGDDEAEKSEKKKEKKKKNRDAEVTQ >ONIVA04G28660.1 pep chromosome:AWHD00000000:4:27569198:27569779:1 gene:ONIVA04G28660 transcript:ONIVA04G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAARSVSVADRALRGVADLIKLLPSGTVFLFQFLSPLVTNNGHCAAAYSKALSGALLALCGAFCAFSSFTDSYVGSDGRVYYGIVTAKGLRTFAADPDAAARDLSGYRLRAGDFVHAALSLLVFATIALLDADTVACLYPALEVSERTMMAVLPPVVGGVASYAFMVFPNNRHGIGYQPTRATEDFEHKH >ONIVA04G28650.1 pep chromosome:AWHD00000000:4:27565796:27566248:1 gene:ONIVA04G28650 transcript:ONIVA04G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSPLVLLTLVIAPMLPTRATPHPRAHQGGLPHATNPFSKAERNRCSSSGGCNDAERSLYCSRLGEDETGSCTARTVVGSTKIGMMGRRKRTKRGEGEEQPHSHLLPKLLLLLLATIGIRPAAPVVDAWPPPEHRISGAAAPCCEPLQ >ONIVA04G28640.1 pep chromosome:AWHD00000000:4:27563134:27564270:-1 gene:ONIVA04G28640 transcript:ONIVA04G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVVDDPSAAAAAAAVGAAASSSFPDADVYGNGDSDDIDFPSDPNHATTQAFSSAAAAAAAAGPGGGGGAGSGSGGERRPLFQRLWTEEDEIVILRAFAEFTAQRGTAFASHQYDTDPFYEDMRRRLQLDFSKSQLVEKLRRLKRKYRNCVDRLRATGQSFSFRSPHEQAIFEIARGIWRPTSDKHGRDADSDDDALPDAAAAVAVPAPANGEVRSPSSTRAQQRRGRRRRTAAAAAATATAADASEPPQPYPPAPAPAPVKAEDALPHFFPQGAAVTATAHVHGVDPASAAASAAANAEGGILAPLFKEMVRAMLTAGMAPPSLEPPAPGIPMKGERWRQQRILELEVYLRRIDLLQDQVRTALEELKSTPPATQ >ONIVA04G28630.1 pep chromosome:AWHD00000000:4:27558651:27562442:1 gene:ONIVA04G28630 transcript:ONIVA04G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAEAKTSVWWDIDRCGVPPCCRDPHRVAHGVIAALAAAGCAGPVSIFAYGDAARVAPPVLAALSSTGISLNHVPAGAKDGTDKKMLVDMLFWAFDNPPPGNYLLISGDQDFSDLLHRLRMKLYGILLAQPSNVSSRVLAAAARTVWSWEKLVAGESLLVESTHTHGLPDCNPKLNSLDVSKCSQNKSLDASKCSQNKSLDGSKCSQSKSLDGSKCSNSKVHAVCGNGDSNVKACDRYKVKPLQKYVKKTNAVSSSTNNQGQVVVVDGFSDDSAGSTGSEQDKSSVSSSSSSSESLEVDLPSLLGTPPLADLPSLLGTPPLAQSSAQEPVVSTSSQQVGPLNMTVKSKLHSEYDIAEKNAKKGNQSKSNPIQRYVKKTNITSSPASNQLDSDGMPECSTGNTPSKLNQLPVLPPPNSESLEETKVDHSRSLGIFTLPQSSSQAPVLSTHLHKVEEPHELIVGKQPSCTSTELASRDGTNDSRVSIGHYHSTSMQSQSSLAQHTLHCNYNMGDKVGKAKARNQHKVTQRQQYIKKANIVSSSAHNEIDLVKGFSGNSKGSILSNPSKSVLTSSISESLEEAKANCSTPLKNPPFSLSSVNKPVTPTDLQHLGSEFIFGSNHSLSTECMPKDGIFYFGDINGQNSPACQQAHSSLLPEIHKSIPPSAAGHSNSVNSDVGSSYPASTGFNGVPSAQIQTSPSGLTFQNMLDICSDFSRLTISECPPGTSEKRPPFQGMPSNYTAFGMPNTSGHLRVPHEIGSTFHPGSVVSFHPSHSSARQCARSPSCNMQNTGDHGETEGSPPSSSEPEVTIRNILHALHILKAEKIFPTESNIADCIRYSEMNISGFDVKKVLELAIRHQAVIMKKLVNDMPLFVAKDESIWKCVNVTNSNAKHSKETLDAVHKYISSTDGWSAMKNSQSRYQAATILKKSCLQQHALGDVLQILQIIINYITALIFSDVAALGLAKLQWFYSQELVPS >ONIVA04G28630.2 pep chromosome:AWHD00000000:4:27558651:27562852:1 gene:ONIVA04G28630 transcript:ONIVA04G28630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAEAKTSVWWDIDRCGVPPCCRDPHRVAHGVIAALAAAGCAGPVSIFAYGDAARVAPPVLAALSSTGISLNHVPAGAKDGTDKKMLVDMLFWAFDNPPPGNYLLISGDQDFSDLLHRLRMKLYGILLAQPSNVSSRVLAAAARTVWSWEKLVAGESLLVESTHTHGLPDCNPKLNSLDVSKCSQNKSLDASKCSQNKSLDGSKCSQSKSLDGSKCSNSKVHAVCGNGDSNVKACDRYKVKPLQKYVKKTNAVSSSTNNQGQVVVVDGFSDDSAGSTGSEQDKSSVSSSSSSSESLEVDLPSLLGTPPLADLPSLLGTPPLAQSSAQEPVVSTSSQQVGPLNMTVKSKLHSEYDIAEKNAKKGNQSKSNPIQRYVKKTNITSSPASNQLDSDGMPECSTGNTPSKLNQLPVLPPPNSESLEETKVDHSRSLGIFTLPQSSSQAPVLSTHLHKVEEPHELIVGKQPSCTSTELASRDGTNDSRVSIGHYHSTSMQSQSSLAQHTLHCNYNMGDKVGKAKARNQHKVTQRQQYIKKANIVSSSAHNEIDLVKGFSGNSKGSILSNPSKSVLTSSISESLEEAKANCSTPLKNPPFSLSSVNKPVTPTDLQHLGSEFIFGSNHSLSTECMPKDGIFYFGDINGQNSPACQQAHSSLLPEIHKSIPPSAAGHSNSVNSDVGSSYPASTGFNGVPSAQIQTSPSGLTFQNMLDICSDFSRLTISECPPGTSEKRPPFQGMPSNYTAFGMPNTSGHLRVPHEIGSTFHPGSVVSFHPSHSSARQCARSPSCNMQNTGDHGETEGSPPSSSEPEVTIRNILHALHILKAEKIFPTESNIADCIRYSEMNISGFDVKKVLELAIRHQAVIMKKLVNDMPLFVAKDESIWKCVNVTNSNAKHSKETLDAVHKYISSTDGWSAMKNSQSRYQAATILKKSCLQQHALGDVLQILQIIIVRKKWLLPHSSGWQPLSINTTVVDATAVAVGEARS >ONIVA04G28620.1 pep chromosome:AWHD00000000:4:27556120:27556584:-1 gene:ONIVA04G28620 transcript:ONIVA04G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLGKDTLKIEVATEALQRRVGTGVGGGGGATATPLPLLHLDPVSSIRGKATARPPPWAPSAHAASPCAKLAAHPSAELVARGRGWGEDVVGGGGRGGKEGGLGWGKI >ONIVA04G28610.1 pep chromosome:AWHD00000000:4:27551478:27556069:1 gene:ONIVA04G28610 transcript:ONIVA04G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYSEPAARAVAEEVARWGGMRQTGVTLRYMMEFGARPTERNLLRSAQFLRRELPIRIARRALDLDSLPFGLSTKPAILKVRDWYLDSFRDLRCFPEVRNRDDELAFTEMIKMIRVRHNNVVPTMALGVRQLKKDLGGTKAFPPGIDEIHQFLDRFYMSRIGIRMLIGQHVALHEPDPEPGVIGLISKRLSPMLVAQHATEDARAICMREYGSAPDVNIYGDPDFTFPYVKLHLQLMMFELVKNSLRAVQERYMNSDKHAPPVRIIVADGAEDVTIKISDEGGGIPRSGLSRIFTYLYSTAENPPDLDGRNEGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLH >ONIVA04G28600.1 pep chromosome:AWHD00000000:4:27544505:27546915:1 gene:ONIVA04G28600 transcript:ONIVA04G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKVVTKPCKRGIRVIGAPCKKGCCNPNDPIKFELLQFCISALWPFDNEPMILRSFSPFQYSHLKDVLVTGYKGAIEQPEFLAHIVELSTVDTVEAPWNDIFGYVIRIKHQLRAPFSSNCS >ONIVA04G28590.1 pep chromosome:AWHD00000000:4:27537899:27544337:1 gene:ONIVA04G28590 transcript:ONIVA04G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7N9] MAGGEGGGAAAVPEEGRSLALTPTWSVAIVLTLLVAGSLLIERSIHRLSYWLKKTHRNPLHKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKYYNSNFSPCTREEVEESIKIKHAVSSARKHLIEVILHHAARRNLKARYHHNQSCAEGYESFVSHEEIAYISATRRQPALGRSYSFRSWSENNAIKCVFCFLAQFGQSVVRADYLILRKGFIMTHNLAPTYDFHDYMVRSMEEEFEKIVGVSGLLWGFVVAFMLFNINGSNLYFWIAILPVTLVLLVGAKLQYVIATLTAEGAKMNAYGPRIKPRDDLFWFKKPEFLLWLIHFILFQWQFGYDSCFIKNHLIVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETMHGWGKDARRRRKKHRGGDDSTIRTETSTVCSLDDDDGGDDEHGQFVETTPSRPYLKIQLQPLRCGGGSARPGTPCHPGVVGLPPLHSASTQGSSHPMLQRQPSSLSAPSSPSPRGGGMTRSMSMPGFASLTRTPGGSCPGTGAGTPTRLSDARN >ONIVA04G28580.1 pep chromosome:AWHD00000000:4:27532084:27536722:1 gene:ONIVA04G28580 transcript:ONIVA04G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2 [Source:Projected from Arabidopsis thaliana (AT1G65070) TAIR;Acc:AT1G65070] MAAAAAAAKPNLLLPVSVAIPSSRVSLPTGLVCSARTPPPRLRAAAAASQALTSPVAAETPEAKQMRVETEAALEWGGVCARLAGFASTAAGRAACGEGRVPVGRSREESERLLEQTAAAALLPAPLDFGGVEDVSSVIAAAAGARLLAVREICGVGRSIRAARRVFDQLKTLSEETPDGRSYTPLLDIMQDCDFLTELVQRIEFCLDYTLSVVLDRASDKLATIRKERRKNIDMLESLLRDTSTKIFQGGGIDSPVVTKRRSRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAIRLNNMEVKLSGDERAEELAILGLLTSSIADSEMKIRHLMGKILELDLACARGSYALWINAVRPAFTDRDSDTQLNPNSECSVFIEGIQHPLLLEQSLSMVKESTGVGKGQLSDEHLVSPMPIPLDMQVRNDTRIIVISGPNTGGKTATMKTLGLASLMSKAGMFFPAKGTPRLPWFDQVLADIGDHQSLEHSLSTFSGHISRLRKIVQVVSKDSLVLIDEIGSGTDPSDGVALSTSILKYLASRLNLAIVTTHYADLSRLKAVDDRFENAAMEFCLETLQPTYQILWGSTGNSNALSIAKSIGFDQKVLARAQEWVEKLLPDKQKERQGLLYGSLLDERKLLESQANEAASVVSDVERLYNEIRSEADDLDSRVAALRATESEKVQQELKFVKSQMDQIIKNFESQLKNSELEQYNSLMRKAEAATASLAATHQPTDFTFGDEENESSYVPEIGDKVYVEGLGGGSMASVVETLGEDGSCMVQYGKIKVRVKGNKIKLVQRGTKDTSASSPVKGKGRTPKRSAAEANQDGNVSFGPVVQTSKNTVDLRGMRVAEASHELQMAIDGCRSYQVLFVVHGMGTGAVKECALGILRNHPRVAKFEDESPLNYGCTVAYIE >ONIVA04G28570.1 pep chromosome:AWHD00000000:4:27485964:27530350:-1 gene:ONIVA04G28570 transcript:ONIVA04G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKKPNPICYVWLTYELDYKGNICGSRHGDPDLHELDVRYWMNPNQVYQSGVKDNKINLADAKAICLMECPMPAADGLNFVCDYPEGDIRLSVDDWIDRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGINIDENILIDKTIHKAINSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLLIRYFVAAMPWITVVLFNALVISVTMFFYIKAGWLGNDPLTVVIGESDPYVHITGREISHLHAATVVMTVVMIIAFLSSIAIIRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVLRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFFIACSSTVIAGSVASYYWARGEISHDIPFVTVVSSLKRLLRYSLGSVAIGSLVVSAVEWVRFILECLRRKLKLFDSARESCFGKMTSSSSQCCLGCIDWTLKSVNRNAYIMEFSWAVGKVLELGQY >ONIVA04G28560.1 pep chromosome:AWHD00000000:4:27483879:27484886:-1 gene:ONIVA04G28560 transcript:ONIVA04G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPEKDGVEDNQEDDTFSRLQLLAQQRHAMEKFWRMSQEQIEESAGNEELILPISRVKNIIHAKEGGMMLSADTPAFVTKLCELFVQELILRAWVCANSHNREIILGTDIAEAITTTESYHFLANVVHGHQALGSNIPEIGVSAWKRHKLDEMTSLCHPPQAVQVTDLANHPPNIPVCPPIGQSGTQHTTSTHVLMMQGESIHKASKEKSPLKEVMVPTNKVGMTNSSYAVPNGGGATSSKVVIDSPKGETAQVFSSQHACPSLEDNYVIPIPAGHGDSFRTLDEANIPQLHQEQKNFISQDAIVGENIPLNESLEKSKHKDEDLLFPDKDLPE >ONIVA04G28550.1 pep chromosome:AWHD00000000:4:27440064:27440594:-1 gene:ONIVA04G28550 transcript:ONIVA04G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKVSLAAVLAVAISLAMAATTTTSAQNTPQDYVNLHNSARRADGVGPVSWDPKVASFAQSYAAKRAGDCRLQHSGGPYGENIFWGSAGRAWSAADAVASWVGEKKNYHYDTNTCDPGKVCGHYTQVVWRKSVRIGCARVVCAANRGVFITCNYDPPGNFNGERPFLTLDAAAK >ONIVA04G28540.1 pep chromosome:AWHD00000000:4:27413601:27417869:1 gene:ONIVA04G28540 transcript:ONIVA04G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGVLQLWNEWGVQMLVLASFALQVFLLIFGGIRRHSSSSVLMFFLWSAYLLADSTAIYTLGHLSVDGRSDEHELVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAVYVIYTYIVGSGTDLLMASVSMFIAGLLKYGERIWALKCGNISSIRSSISTRKFKTDPYELLALGTSEEELLLGAHSQFDICKGVFADIIMLPNPSLLSRSKRRSVISYLGMDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFVSLFGTATTFLLFQLIISSSRGDGYSREDVVISYVLLVGALVLEIISVCRAVLSTWTCSLMHRRGRAWEWPLHIITSFSRRVHPASRRLWSGSIGQYNLFHLCARNTNEIGSRLATRLGLQDWWNMMHFSGTFSNTGSFSIQDLKKLVLQALEEKERALQYKDTDLNSRGSFILKSMKAYDDFARWSVNIDFDESILVWHIATELYIRRSKARHAKELVEATEVLSNYMMFLLVVKPNMLPGAARHNIHLPSCEQIEGHCRMGFGGEKDNPVAASPISWNPYCMLKELLHHDGPSCSSIPRREKLAEIVWSFCQFALGSVKAPNPHGESIRDSANMYSVLLANELLGIESRWCEQRDTLELILGVWVEMLLYAANHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGASRGADELYESNPSMRTVRSWSRSLS >ONIVA04G28540.2 pep chromosome:AWHD00000000:4:27414073:27417869:1 gene:ONIVA04G28540 transcript:ONIVA04G28540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGVLQLWNEWGVQMLVLASFALQVFLLIFGGIRRHSSSSVLMFFLWSAYLLADSTAIYTLGHLSVDGRSDEHELVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAVYVIYTYIVGSGTDLLMASVSMFIAGLLKYGERIWALKCGNISSIRSSISTRKFKTDPYELLALGTSEEELLLGAHSQFDICKGVFADIIMLPNPSLLSRSKRRSVISYLGMDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFVSLFGTATTFLLFQLIISSSRGDGYSREDVVISYVLLVGALVLEIISVCRAVLSTWTCSLMHRRGRAWEWPLHIITSFSRRVHPASRRLWSGSIGQYNLFHLCARNTNEIGSRLATRLGLQDWWNMMHFSGTFSNTGSFSIQDLKKLVLQALEEKERALQYKDTDLNSRGSFILKSMKAYDDFARWSVNIDFDESILVWHIATELYIRRSKARHAKELVEATEVLSNYMMFLLVVKPNMLPGAARHNIHLPSCEQIEGHCRMGFGGEKDNPVAASPISWNPYCMLKELLHHDGPSCSSIPRREKLAEIVWSFCQFALGSVKAPNPHGESIRDSANMYSVLLANELLGIESRWCEQRDTLELILGVWVEMLLYAANHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGASRGADELYESNPSMRTVRSWSRSLS >ONIVA04G28530.1 pep chromosome:AWHD00000000:4:27400038:27406240:-1 gene:ONIVA04G28530 transcript:ONIVA04G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitroreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G02020) TAIR;Acc:AT1G02020] MLLLRHHPASLRRRVRLDSAIHRLAMSTAASASAPPASSAASDYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFSPPSQIPLPNPPPGIAGIPYPSLFHSPPPPPSPQPLSVDSLSALLFHSLALSAWKSAGVSTWSLRVNPSSGNLHPTEAHLLFEHPRDPGRLVVSHYAPRDHLLEVRSAAPLADFPALLPPPATAVLALSSIFWRESWKYGERALRYCNHDVGHALAAVAVAAAALGWDVRLLDGLSDEDLGYLVGVEKGAPPPPDGLPDKMVRGKAPWVERQHPDCAVLLFPAGSEPKVDYGVMSAALRGLDRLEWVGKANALSKDHVVWDVIYHTAEAVKKHGPAPGESFSVNPWRRNAALSDDLYKELTVQEVVRRRRSAVDMDGVHVMGRDTFYQIMLHCLPSGDVSPEELQGPPSALPFRVLPWDAEVHATLFVHRVSELPKGLYFLVRNEEHFDMLRRAMRQDFEWERPEGCPDGLPLYRLMKGDCQQIAMQISCFQDIASHGCFSLGMIARFELVLHEKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEVLGLKDLEFQSLYHFTVGAPVLDKRIMTVVAPSPRPDPAGGDMAGSRC >ONIVA04G28530.2 pep chromosome:AWHD00000000:4:27403117:27406240:-1 gene:ONIVA04G28530 transcript:ONIVA04G28530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitroreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G02020) TAIR;Acc:AT1G02020] MLLLRHHPASLRRRVRLDSAIHRLAMSTAASASAPPASSAASDYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFSPPSQIPLPNPPPGIAGIPYPSLFHSPPPPPSPQPLSVDSLSALLFHSLALSAWKSAGVSTWSLRVNPSSGNLHPTEAHLLFEHPRDPGRLVVSHYAPRDHLLEVRSAAPLADFPALLPPPATAVLALSSIFWRESWKYGERALRYCNHDVGHALAAVAVAAAALGWDVRLLDGLSDEDLGYLVGVEKGAPPPPDGLPDKMVRGKAPWVERQHPDCAVLLFPAGSEPKVDYGVMSAALRGLDRLEWVGKANALSKDHVVWDVIYHTAEAVKKHGPAPGESFSVNPWRRNAALSDDLYKELTVQEVVRRRRSAVDMDGVHVMGRDTFYQIMLHCLPSGDVSPEELQGPPSALPFRVLPWDAEVHATLFVHRVSELPKGLYFLVRNEEHFDMLRRAMRQDFEWERPEGCPDGLPLYRLMKGDCQQIAMQISCFQDIASHGCFSLGMIARFELVLHEKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEVLGLKDLEFQSLYHFTVGAPVLDKRIMSLPAYPGPGIDA >ONIVA04G28520.1 pep chromosome:AWHD00000000:4:27392291:27397945:-1 gene:ONIVA04G28520 transcript:ONIVA04G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7M8] MKAAAMEEPLIAGSSGGAAEKNGGEEEGLVVTEIKKQLYLAGPLVVGMLLQNVVQMISVMFVGHLGELALSSASMATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHHMLGVYKQRAMLVLALVSVPIAAVWAFTGEILLVVGQDPEIAAGAGSYIRWMIPTLFVYGPLQCHVRFLQTQSAVVPVMLSAGATAANHVLVCWLLVHRLGLGAKGAALANAVSFLTNLSVLAIYVRLSPACRRTWTGFSGEAFRDVLGFLRLAVPSALMVCMEWWSFELLVLLSGLLANPKLETAVLSICLNTNSFAFMVPLGLGAAISTRVSNELGAGRPQAARLATRVVMLLAFLVGTSEGLVMVIVRNLWGYAYSNEEEVADYIAKMMPILAVSILFDAIQCVLSGVVRGCGRQQIGAFINLGAYYLAGIPVAFFFAFVCHLGGMGLWFGILCGLVVQMLLLLTITLCTNWDKEALKAKDRVCSSSLPKDLAT >ONIVA04G28520.2 pep chromosome:AWHD00000000:4:27392291:27396332:-1 gene:ONIVA04G28520 transcript:ONIVA04G28520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7M8] MVIVRNLWGYAYSNEEEVADYIAKMMPILAVSILFDAIQCVLSGVVRGCGRQQIGAFINLGAYYLAGIPVAFFFAFVCHLGGMGLWFGILCGLVVQMLLLLTITLCTNWDKEALKAKDRVCSSSLPKDLAT >ONIVA04G28520.3 pep chromosome:AWHD00000000:4:27396332:27397945:-1 gene:ONIVA04G28520 transcript:ONIVA04G28520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7M8] MKAAAMEEPLIAGSSGGAAEKNGGEEEGLVVTEIKKQLYLAGPLVVGMLLQNVVQMISVMFVGHLGELALSSASMATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHHMLGVYKQRAMLVLALVSVPIAAVWAFTGEILLVVGQDPEIAAGAGSYIRWMIPTLFVYGPLQCHVRFLQTQSAVVPVMLSAGATAANHVLVCWLLVHRLGLGAKGAALANAVSFLTNLSVLAIYELQKAS >ONIVA04G28510.1 pep chromosome:AWHD00000000:4:27386491:27389699:1 gene:ONIVA04G28510 transcript:ONIVA04G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVLVVAFAAVVVVQAAMAQRPGMPPAVVPPSLPTTTPPAPTVVAPPLPTTPPPAVVAPAPPLPPLTPPPAIVPPALPPPPPLPAIVVPPALPPTPAIAVPPALPPIPAIVPPSLPPTPEANLPCVAELAPCSEFYRNATAKPTGACCAPLKKAYESELGCLCSVLTNPAMAATVGVDTKKGLDLFGRCDVKVPADVCSSHAPAPAPNSDSSAAPHGAQWMLPQIFTLSPPTDAKEAAQPLPPCCMNDAAACRSPIASPSPMAGAPYQCTIATYPLSWSLISLRLSSVKRQERYSSRVLNCSILNHSFPDFLNFLHSGLVLHAFILMSKNMIWYSINVDPY >ONIVA04G28500.1 pep chromosome:AWHD00000000:4:27367452:27372915:-1 gene:ONIVA04G28500 transcript:ONIVA04G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLIKIKDKKKEQAASAAGKAPVKKQSAGELRLHKDISELNLPKSTSISFPNGKDDLMNFEIIVRPDEGYYLGGTFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAAVLRDNPKLFEANVKRAMAGGYFASVRT >ONIVA04G28500.2 pep chromosome:AWHD00000000:4:27367719:27372915:-1 gene:ONIVA04G28500 transcript:ONIVA04G28500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLIKIKDKKKEQAASAAGKAPVKKQSAGELRLHKDISELNLPKSTSISFPNGKDDLMNFEIIVRPDEGYYLGGTFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAAVLRDNPKLFEANVKRAMAGGYVGQHYFPRCA >ONIVA04G28490.1 pep chromosome:AWHD00000000:4:27363087:27365421:1 gene:ONIVA04G28490 transcript:ONIVA04G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7M4] MSSEKMPLVSAAAGCQRGPVPFKDVAAVVAGDDGAAAAMAHRPLADPEDEDHDELIATLPCKPPTPLMRRMRLYRGGWFPEKWLPAIMAFRRRFEARDGDVVVASLPKCGTTWLKALAFATAARGTYPPPPVAGGDDEGNRRHPLLRLNPHECVPFLESVYSTMEEESKLDATPSPRLLSTHLPYSVLPASITDSSRCKIIYVCRQPKDMLISFWHFINRDKSRDVSSSYVWESVRECTYFGSPIWDHILGYWNVSKVKPDNVLILKYEDMKRNPTENVEKIAEFIGQPFSNSEKEASIVDNIVELCSFEKMKALGASMAGSQKVISSEFPNDSFFRKGAIGDWVNHVTPEMAESLDKLLSEKFDGSGFTFL >ONIVA04G28480.1 pep chromosome:AWHD00000000:4:27313211:27318227:1 gene:ONIVA04G28480 transcript:ONIVA04G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMVLPVANATAAALARVSAVFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDDWQPEPLCPPIKEGARIDTPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKIIDSCVGSVEKYGVGSCGPRGFYGTIDVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKLTHGNKHTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAMSAVNHLEENPSVLANLRSNIALLHKELSDIPGLEIASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLMEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDIFKVSASLKRVAASVVY >ONIVA04G28480.2 pep chromosome:AWHD00000000:4:27313197:27318227:1 gene:ONIVA04G28480 transcript:ONIVA04G28480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMVLPVANATAAALARVSAVFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDDWQPEPLCPPIKEGARIDTPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKIIDSCVGSVEKYGVGSCGPRGFYGTIDVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKLTHGNKHTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAMSAVNHLEENPSVLANLRSNIALLHKELSDIPGLEIASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLMEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDIFKVSASLKRVAASVVY >ONIVA04G28480.3 pep chromosome:AWHD00000000:4:27313211:27318441:1 gene:ONIVA04G28480 transcript:ONIVA04G28480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMVLPVANATAAALARVSAVFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDDWQPEPLCPPIKEGARIDTPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKIIDSCVGSVEKYGVGSCGPRGFYGTIDVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKLTHGNKHTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAMSAVNHLEENPSVLANLRSNIALLHKELSDIPGLEIASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLMEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDIFKVSASLKRVAASVV >ONIVA04G28480.4 pep chromosome:AWHD00000000:4:27313211:27318227:1 gene:ONIVA04G28480 transcript:ONIVA04G28480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMVLPVANATAAALARVSAVFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDDWQPEPLCPPIKEGARIDTPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKIIDSCVGSVEKYGVGSCGPRGFYGTIGSYLLWLFSIIYILWPQKKNLAILWFADVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKLTHGNKHTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAMSAVNHLEENPSVLANLRSNIALLHKELSDIPGLEIASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLMEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDIFKVSASLKRVAASVV >ONIVA04G28480.5 pep chromosome:AWHD00000000:4:27313197:27318227:1 gene:ONIVA04G28480 transcript:ONIVA04G28480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTIGSYLLWLFSIIYILWPQKKNLAILWFADVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKLTHGNKHTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAMSAVNHLEENPSVLANLRSNIALLHKELSDIPGLEIASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLMEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDIFKVSASLKRVAASVV >ONIVA04G28480.6 pep chromosome:AWHD00000000:4:27313211:27318227:1 gene:ONIVA04G28480 transcript:ONIVA04G28480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMVLPVANATAAALARVSAVFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDDWQPEPLCPPIKEGARIDTPTLESAAGPHTTVDGKEVVNFASANYLDVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKLTHGNKHTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAMSAVNHLEENPSVLANLRSNIALLHKELSDIPGLEIASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLMEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDIFKVSASLKRVAASVV >ONIVA04G28480.7 pep chromosome:AWHD00000000:4:27313211:27318441:1 gene:ONIVA04G28480 transcript:ONIVA04G28480.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMVLPVANATAAALARVSAVFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDDWQPEPLCPPIKEGARIDTPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKIIDSCVGSVEKYGVGSCGPRGFYGTIGSYLLWLFSIIYILWPQKKNLAILWFADVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKLTHGNKHTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAMSAVNHLEENPSVLANLRSNIALLHKELSDIPGLEIASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLMEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDIFKVSASLKRVAASVV >ONIVA04G28480.8 pep chromosome:AWHD00000000:4:27313197:27318441:1 gene:ONIVA04G28480 transcript:ONIVA04G28480.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTIGSYLLWLFSIIYILWPQKKNLAILWFADVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKLTHGNKHTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAMSAVNHLEENPSVLANLRSNIALLHKELSDIPGLEIASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLMEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDIFKVSASLKRVAASVV >ONIVA04G28480.9 pep chromosome:AWHD00000000:4:27313211:27318441:1 gene:ONIVA04G28480 transcript:ONIVA04G28480.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMVLPVANATAAALARVSAVFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDDWQPEPLCPPIKEGARIDTPTLESAAGPHTTVDGKEVVNFASANYLDVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKLTHGNKHTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAMSAVNHLEENPSVLANLRSNIALLHKELSDIPGLEIASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLMEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDIFKVSASLKRVAASVV >ONIVA04G28460.1 pep chromosome:AWHD00000000:4:27305546:27308744:-1 gene:ONIVA04G28460 transcript:ONIVA04G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTAATAQRPEAQQHQNNISQSQWSQRQADLPLVPLGALGAPSRAPMEAAITRSGIEGGXPRRSRPPLWPLQTSTSPIRAHRAAPALAVVSVRERERRGEKAGD >ONIVA04G28450.1 pep chromosome:AWHD00000000:4:27302123:27302849:1 gene:ONIVA04G28450 transcript:ONIVA04G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDKVDAWMAKKTMSTMFLGPKGNTTTTTSTQLPIDVVVGGPLAASLNMDHELGHYGPRDRCRDPPSARRIEELGDSVEHGGCSAEIIEGSTYNNCHGSSYDRWHDLEKSTKM >ONIVA04G28440.1 pep chromosome:AWHD00000000:4:27297959:27298615:-1 gene:ONIVA04G28440 transcript:ONIVA04G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATQATAAEAAAPCSTTKTESTSSSSFLRARCATTRYPDVCYDSLLPYASTFQTSHVKLAVAAADVAAAHLRAFSARVKDMLLHRGGGSEEAAASSGGGAARVDAVLHDCASTISAAANLAKRSSAELTRLDADTAASTETSTSGGSRQARWQLSNAKTWLSAAMTNEGTCSDGFEDAGAAATASPAGKEVAAGVASVTQHTSNALALVNGIPL >ONIVA04G28430.1 pep chromosome:AWHD00000000:4:27285415:27292841:1 gene:ONIVA04G28430 transcript:ONIVA04G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTFVYHALGSFSCVCLLCFASSLVSVADAGGAPVLQALECTSTAAGNYTQDGAYAANLGRLLAMLPNETVSKNGGFFNGTVGNGTATVYGLAMCAADFSRADCMDCLVAAGISAGGVVKRCPGSTTVSAMFDQCLLRYSDSSFFGTAHISTQLRIVYFQYNIRFGRGDLDRQAASSPARFARVVPCMWDACSELCLACRKCTWDLPPDACKGCLDALAANVSATFPATARGERKSYSCRVRYDVNTSFMVVPFNLSTGSAGTPTSSLAGPGSVNSAKNNGPVMIGSIVAAVVFVVLVSVVVWLCVRHRAIKKVALAGPRSYSYEELYTATNGFSDERKLGQGAFGAVYRGVLSDPSQTLVAVKKIQRMSEAVWQEFVAEITIVTQLKHRNIVDLMAGATTVTILCLSTN >ONIVA04G28430.2 pep chromosome:AWHD00000000:4:27285415:27291078:1 gene:ONIVA04G28430 transcript:ONIVA04G28430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTFVYHALGSFSCVCLLCFASSLVSVADAGGAPVLQALECTSTAAGNYTQDGAYAANLGRLLAMLPNETVSKNGGFFNGTVGNGTATVYGLAMCAADFSRADCMDCLVAAGISAGGVVKRCPGSTTVSAMFDQCLLRYSDSSFFGTAHISTQLRIVYFQYNIRFGRGDLDRQAASSPARFARVVPCMWDACSELCLACRKCTWDLPPDACKGCLDALAANVSATFPATARGERKSYSCRVRYDVNTSFMVVPFNLSTGSAGTPTSSLAGPGSVNSAKNNGPVMIGSIVAAVVFVVLVSVVVWLCVRHRAIKKVALAGPRSYSYEELYTATNGFSDERKLGQGAFGAVYRGVLSDPSQTLVAVKKIQRMSEAVWQEFVAEITIVTQLKHRNIVDLMAGATTVTILCLSTN >ONIVA04G28420.1 pep chromosome:AWHD00000000:4:27281224:27281550:-1 gene:ONIVA04G28420 transcript:ONIVA04G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKVPTTTPTFLPLHLHGRWRVAGRPRGESATAVVLGGSESSFGGRALGKEEEVSMGLTPGAANAYRFPINDPSVRCTANTFSSASDERRMREGWKHGVGGGGEGG >ONIVA04G28410.1 pep chromosome:AWHD00000000:4:27256073:27256646:1 gene:ONIVA04G28410 transcript:ONIVA04G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGAEDRVESAVMEMKDGCYCATSSPSLYHAATYFHDSIIDYSSPPVNILLHSDYEIMGYIERNMVIVLFVDHKI >ONIVA04G28400.1 pep chromosome:AWHD00000000:4:27245106:27254061:1 gene:ONIVA04G28400 transcript:ONIVA04G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7K7] MSRGGGGCCPSMDLMRSEAMQLVQVIIPAESAHLAVSYLGDLGLLQFKDLNADKSPFQRTYASQIKRCGEMARKLRFFREQMSKAAIATSTQFSGTSLEIDDLEVKLGELEVELTEVNANNDKLQRTYNELVEYNIVLQKEMVTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNMFLRQEPVDETVTDPLSGEKVIKNAFVIFYSGERAKSKIVKICDAFGANRYPFPEDLGKQLQTIQEVSGKISELKATIEIGLAHRDSILKNISSEFEQWNTLVKKEKAIYHTLNMLSLDVTKKCLVAEGWSPVFATSQIQDALQRATVDSKSQVGSIFQVLNTQESPPTFFQTNKFTSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAIMFGDWGHGICLLLATLYLIIREKKLASQKLDDIMDMMFGGRYVILMMSLFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDATTEGLIKVRPAYSFGVDPVWHGSRSELPFLNSLKMKLSILIGVAQMNLGIMMSYFNAKFFRNAINVWYQFIPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDDLGENELFPGQKLVQLVLLLLALVSVPWMLIPKPFFLKKQHEQRHQGQQYTMLQATDESVTELEEHQDDPHHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLVLSWGYNNIFILIIGAVIFLFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFVPFAFASIIEEED >ONIVA04G28390.1 pep chromosome:AWHD00000000:4:27230350:27235733:-1 gene:ONIVA04G28390 transcript:ONIVA04G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAIDDILELVSEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEMSGHVASTCQGISDLTFPSLSPTTEVATGGAADEKGAAHVRERRPTGGEERCAYTGGGRRG >ONIVA04G28380.1 pep chromosome:AWHD00000000:4:27224358:27229508:1 gene:ONIVA04G28380 transcript:ONIVA04G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) TAIR;Acc:AT4G04955] MAMAAAKGRVLPLLAVAAALAAALLYRAPFSKSLGGEGCSLLPHDHFWIASERVVTLGRVGPAAVEVKGGLINAIAVGDYRSFLLRRPVVDYGDAVIMPGLIDVHAHLDEPGRAEWEGFSTGTRAAAAGGITTLVDMPLNSYPSTVSEETLKLKLRINYMLMVLGRPRSRECPQSKCTREPIKFRRLRAQGINDFPMTNSTHIEEGLVTLAKYKRPLLIHAERIPDVQNEDGIDGELDPKAYTTYLKSRPPAWEEAAIKDLQRAMKDTEIGGRSEGAHIHIVHLSDAKTSLGLLKDAKQNGARVSVETCPHYLAFSAEEVPDGDTRFKCAPPIRDSTNRDNLWEALLDGHIDMLSSDHSPSAPDLKLMEEGNFLRAWGGISSLQFVLPVTWSHGKKYGISLNQLASWWSERPAMLAGLKKKGAVLPGYRADIVVWKPEAQFHLDDSHPVYHKHRNISAYLGKQLSGKILSTFVGGNLVFAEDKHAKVACDTLVI >ONIVA04G28370.1 pep chromosome:AWHD00000000:4:27222864:27224505:-1 gene:ONIVA04G28370 transcript:ONIVA04G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDCLLGHFRPRSRSRSRSRLGPPVGKEETERKPKKTPPPPLHLLFPPLSFPPLLSLVSASTLTGATPTPPPSSTTPAPDRASSGAVGVDKEGR >ONIVA04G28360.1 pep chromosome:AWHD00000000:4:27220918:27222853:-1 gene:ONIVA04G28360 transcript:ONIVA04G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGEWTPPCGSCCTKKYASLVQIPWRVFCKKGCDADGDTWDECISKCTEICYKDPVLEDHQWSAYIDRSPGQDSYSLECFNACVSGCGYRFDIPAEKVEQIKPNRPSKPPPPPPPAVERATNSEPAVKGEDVPCTSA >ONIVA04G28350.1 pep chromosome:AWHD00000000:4:27219707:27222483:1 gene:ONIVA04G28350 transcript:ONIVA04G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRFKIPRKRFRLLVRTPGGTMSMQDGERLKTTPLGREVWLRWHLLIFDQTIYAVDGIRTWDAYARHLPDIAAATAAIAAVLRAYRERRVELGLFHLRPLRKLLVFRLMSPLLVMPLPDALENHE >ONIVA04G28340.1 pep chromosome:AWHD00000000:4:27217963:27218370:1 gene:ONIVA04G28340 transcript:ONIVA04G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKNKNKKNKKSSAPQARGRGSNSDRRSFTRGMLQSINNMTVMRATPRDPFVVATVTGRCDGDPIDVGNPDIAAAATAAADPVVAAAPVVAPAAADGPWDEEEEVEPAPATTDLRAAATTGGEATETETAAV >ONIVA04G28330.1 pep chromosome:AWHD00000000:4:27210700:27217483:1 gene:ONIVA04G28330 transcript:ONIVA04G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor-related [Source:Projected from Arabidopsis thaliana (AT4G17020) TAIR;Acc:AT4G17020] MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVSAPVAAAAMEEWVLDEYAAKHRVAIDRLLQLRVFVEVRDRRKEVSYKMNQKFQGNMQKYLVDGGSLPREPIPSSVTARLPTLAELESFALEQWEGNTFYLFLLIRSYCLNATNVHSPSKGTHSFLYGKIVLLAAIDQLISSRERDKFQLIHDENFSARSSEFKVHLISSLAFGIYLDGEAPRLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHTLGEAYSLNTLTDVQRNAIRDLAELGLVKLQQGFVVVETNFRMYAYSTSRLHCEILRLFSRVEYQLPNLIVGSITKESLYGAFENGITAEQIISFLQQNAHPRVADKIPAVPENVTDQIRLWETDRNRVDMTLSHLYEDFPSKDMFDQCCDYARDHGCLLWEDAKKMRLIVRVEFHSEMREFLRRLR >ONIVA04G28320.1 pep chromosome:AWHD00000000:4:27202956:27207879:-1 gene:ONIVA04G28320 transcript:ONIVA04G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein [Source:Projected from Arabidopsis thaliana (AT2G20740) TAIR;Acc:AT2G20740] MAGRVVRSCVQTGLKAVNSVLGLAGMAVILYALWMLRAWYRDVADLHYRLPVPWFIYTFIGLGVFMCLLTCSGAITTDVFLNSNWEEDFPDDPSGKFEEFKDFIRSNFEICEWIGLSVVAAQVLSIVLGMVLRALGPDRESDYDSDDDPSVPARLPLLRNQLTNENFLTMNLWMELSPCFGLKTVTKDQYGID >ONIVA04G28320.2 pep chromosome:AWHD00000000:4:27202956:27207879:-1 gene:ONIVA04G28320 transcript:ONIVA04G28320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein [Source:Projected from Arabidopsis thaliana (AT2G20740) TAIR;Acc:AT2G20740] MAGRVVRSCVQTGLKAVNSVLGLAGMAVILYALWMLRAWYRDVADLHYRLPVPWFIYTFIGLGVFMCLLTCSGHIAAETANSHCLSCVSFKQLGAITTDVFLNSNWEEDFPDDPSGKFEEFKDFIRSNFEICEWIGLSVVAAQVLSIVLGMVLRALGPDRESDYDSDDDPSVPARLPLLRNQLTNENFLTMNLWMELSPCFGLKTVTKDQYGID >ONIVA04G28310.1 pep chromosome:AWHD00000000:4:27201600:27204252:1 gene:ONIVA04G28310 transcript:ONIVA04G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSMRKSFKDSLKVLEADIQHANTLASDFSRDYDGACLQMRMSYSPAAQFFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTLSTHERKASIREFYAVIFPSLMQLHKGISDVDDRRQKAICTERYRRRDEDESKRHVSEIDVEREEECGICMEMNNKVVLPNCSHAMCMKCYRQWRSRSQSCPFCRDSLKRVNSGDLWMLTDDRDVIDMATITRENLRRLFMYIEKLPLVAPDNIFYAYDSHVK >ONIVA04G28300.1 pep chromosome:AWHD00000000:4:27194549:27195805:-1 gene:ONIVA04G28300 transcript:ONIVA04G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQSHDVSDLCIGKPPVRWLPPSSTVADAIAEIEAGGGRGPDAAVAVWDGTKGEVDGRVCMADVHLFLCGGDGEAASLASPAAALQATLSDLLAAGAPPVRRIEPHARSRARHVVVEAVDAFLDGAHCLVVPIRERWRRAAAAAAGEMCMCWLTVEDVVRFFVGCIGLFAPTASLSVSQLGIVREATLAVAAGDRALSAVPLLRAALATHSSVAVITGAGIAPRLAGEVSPSALCSCDVSVAAAIAALSAGDLTAFLHRSDLRCRRNLPGMVDLLYAGDPSSWPPSPSSSSSSSSSSSSLSSFSSSSEDEAEDGYKHYAPAPCARRDNNRQIIACHPGSSLVAVMAQAVAHRVTQVWVVDFDDGELVGVVRFLDVLWVLREHLNQPPPDLPIEFLIN >ONIVA04G28290.1 pep chromosome:AWHD00000000:4:27182911:27183998:-1 gene:ONIVA04G28290 transcript:ONIVA04G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPAELNSPRSSAAKPKNPDEILRDFHALHPIEAFSTSFGGGAALACVAGHARNGLSGYERMFCGLDDIYCVFMGRLDNLSSLIRQYGLCSRSTNEALLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDNKSGAVFAALSTDGEVPLYWGIAADGSVVICDEREIVKGGCGKSYAPFPVGCMFHSEGGLKSFEHPMNRLKAMPRVDSEGVMCGATFKVDTFTKINSMPRVGSATNWAATWDDAAA >ONIVA04G28280.1 pep chromosome:AWHD00000000:4:27169893:27171912:-1 gene:ONIVA04G28280 transcript:ONIVA04G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWCCIPKSKKGENPYSHGVSGIPPEKNIRLFSYSELRSATENFNRSNKIGRGGFGTVYKGTIRNGRDVAVKVLSAESRQGVREFLTEIDVITNVKHPNLVELIGCCVEGNNRILVYEYLENSSLDRALLGSNSEPANFTWSIRSAICIGIAKGLAYLHEEIASPIVHRDIKASNILLDKLYNPKIGDFGLAKLFPDNITHISTRVAGTTGYLAPEYAWHGQLTKRADIYSFGVLVLEIVSGKSSSRSLLADDKILLEKELVDSEMGDYPEEEVLRFIKTALFCTQAAAARRPSMPQVVTMLSKPIRINERELTAPGYIHDYNGTVSKATNSSNSRFKHSASDTSDMFSTVVPPTVSEISPR >ONIVA04G28270.1 pep chromosome:AWHD00000000:4:27165773:27171629:1 gene:ONIVA04G28270 transcript:ONIVA04G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7J3] MASFFADDGADELPRTASHPFDADDDAAPDASGGAAADDTGYGGYASFVDGGVEDVEEEEEEIAVESEGVPIGHVSGGFSPSPFSPDPELDGGDGPILPPPAQMGAEEGILLREWRRQNAIVLEEKERKEKELRAQILAEAEEFKKAFYEKRIQNCETNKVHNREREKIFVAGQEKFHAEADKQYWKSISELIPHEIATIEKRGKKDKDKKPSITVIQGPKPGKPTDLSRMRQILVKLKHAPPPHMMQPPPAPAAKDGAKDGAKDGTPAPANGTKKPAESKEKPANGSPAEAEKEQPAASE >ONIVA04G28260.1 pep chromosome:AWHD00000000:4:27151779:27158164:1 gene:ONIVA04G28260 transcript:ONIVA04G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQAALLPSALSVPKKGNLSAVVKEPGFLSVSQKAKKPSLVVRAVATPAAPVASPGAGTSKADGKKTLRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKAATAAKAAGMAAGSYTVMHLDLASLDSVRQFVDNFRRSGMPLDALVCNAAIYRPTARQPTFTADGYEMSVGVNHLGHFLLARLMLDDLKKSDYPSRRLIILGSITGNTNTLAGNVPPKAGLGDLRGLAGGLRGQNGSAMIDGAESFDGAKAYKDSKICNMLTMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQRFVTKGFVSEAESGKRLAQVVGDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWDLSEKLVGLA >ONIVA04G28260.2 pep chromosome:AWHD00000000:4:27151779:27158164:1 gene:ONIVA04G28260 transcript:ONIVA04G28260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQAALLPSALSVPKKGNLSAVVKEPGFLSVSQKAKKPSLVVRAVATPAAPVASPGAGTSKADGKKTLRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKAATAAKAAGMAAGSYTVMHLDLASLDSVRQFVDNFRRSGMPLDALVCNAAIYRPTARQPTFTADGYEMSVGVNHLGHFLLARLMLDDLKKSDYPSRRLIILGSITGNTNTLAGNVPPKAGLGDLRGLAGGLRGQNGSAMIDGAESFDGAKAYKDSKICNMLTMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQRFVTKGFVSEAESGKRLAQVVGDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWDLSEKLVGLA >ONIVA04G28260.3 pep chromosome:AWHD00000000:4:27157992:27160889:1 gene:ONIVA04G28260 transcript:ONIVA04G28260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGAEPPAASGGGLPGFFGGKSKYVRMDDVLPQEQEEDGVGGGGGGVRVRRSHSSRRYVFACSVFASLNSVLLGYDVGVMSGCILFIQRDLHINEVQQEVLVGCLSFISLLGSLAGGRTSDAVGRKWTIGLAAIVFQAGAAVMTLAPSFEVLMVGRLLAGVGIGFGVMIAPVYIAEISPAASRGSFTSFPEIFINLGILLGYISNYAFSGLPDHVSWRVMLAVGILPSVSIAFALLVIPESPRWLVMQNRADEAREVLLKVTDSEDEAKERLAEIEAAAAVASAGKYGDKTVWQELTRPSPVIRRMLITGLGIQCFQQITGIDALVYYSPTIFRDAGITTESQLLVATVAVGFFKTAFIALAIVLIDRVGRKPLLYVSTVGMTACLVVLAATLAALAHGSASRSAGIAVAILTVCGDVAFFSVGIGPICWVMSSEIFPLRLRSQAAALGAVMNRVTSGAVAMSFLSVCRAISVAGAFSVFAVISALSVVFVYRYVPETSGKTLEEIELLFGGGGGDGEAARGEVELGDGEHLVHKG >ONIVA04G28250.1 pep chromosome:AWHD00000000:4:27144694:27145638:-1 gene:ONIVA04G28250 transcript:ONIVA04G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASSPSLVLSAAAATASNKRPADSDASPPHQGDRTGQQEKKQQQLECPRCRSTNTKFCYYNNYSTSQPRHFCRACRRYWTHGGTLRDVPVGGASRRGGGGKRRRVSADADPSSASPPPPTTSTTDAYADLPAGFPFLSDGAFLPQFGLAGVAPAAFSWASAVPDLYNCGIAPWDDGTAVTGAAWDNFADIAGLDLSWPPPGN >ONIVA04G28240.1 pep chromosome:AWHD00000000:4:27138645:27145943:1 gene:ONIVA04G28240 transcript:ONIVA04G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAAAAAGHMDPVGDEAAERREMEEKEEEEEDEEFYESLDRILSSSCSSTSASDDDDQQHRRRRRHHPQPQQLSSSATFSAYEVWISEPTSVEERRRVLLRRLGLAHDSEPLPHPSPRVSSSSPRSPTPSPPSSSPPRPAPVVAAAEEPRSSGHGKPPLARNPSGGAEQCRIRNLDDGTEFEVGEVHDEVVREVGTGRQLTFEEFELCIGRSPIVQELMRRATTAASSSTSDHAAPASKPRRKPGGWLRGIRHLAGSVAYGRRSTDERDKEKEKEKKEREARRLSSATDDSLDGNGSRNAGRVRVRQYGKACKELTGLFMTQELAAHSGSIWCINFSLDGRYLASAGEDRVIHVWEVSEGERKGELLGEGTVARENGGGCSPFLAAVGNGSPELATLSLSCADGGFVEKKRRPRMQSSRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLLSSSMDKTVKLWDITTSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVHDRKIEDWNDLHEMVTAACYSPDGQVALVGSHKGSCHLFDTTEKKLQYKSQIELRIRKKKSGQKKITGFQFAPGSSSEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYVWRHDNTSHPSRSRSAVDVTNSYEHFHCHDVTVAITWPGAESRGSFGSRSSRNSDSDDAVMNTGRDAPVENSEHDLNGTVNRCTKRPVCEGVASTSNPPADGVSTSWPDEKQSSAKSSPGHCSSDLCIGALDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV >ONIVA04G28240.2 pep chromosome:AWHD00000000:4:27138645:27144329:1 gene:ONIVA04G28240 transcript:ONIVA04G28240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAAAAAGHMDPVGDEAAERREMEEKEEEEEDEEFYESLDRILSSSCSSTSASDDDDQQHRRRRRHHPQPQQLSSSATFSAYEVWISEPTSVEERRRVLLRRLGLAHDSEPLPHPSPRVSSSSPRSPTPSPPSSSPPRPAPVVAAAEEPRSSGHGKPPLARNPSGGAEQCRIRNLDDGTEFEVGEVHDEVVREVGTGRQLTFEEFELCIGRSPIVQELMRRATTAASSSTSDHAAPASKPRRKPGGWLRGIRHLAGSVAYGRRSTDERDKEKEKEKKEREARRLSSATDDSLDGNGSRNAGRVRVRQYGKACKELTGLFMTQELAAHSGSIWCINFSLDGRYLASAGEDRVIHVWEVSEGERKGELLGEGTVARENGGGCSPFLAAVGNGSPELATLSLSCADGGFVEKKRRPRMQSSRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLLSSSMDKTVKLWDITTSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVHDRKIEDWNDLHEMVTAACYSPDGQVALVGSHKGSCHLFDTTEKKLQYKSQIELRIRKKKSGQKKITGFQFAPGSSSEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYVWRHDNTSHPSRSRSAVDVTNSYEHFHCHDVTVAITWPGAESRGSFGSRSSRNSDSDDAVMNTGRDAPVENSEHDLNGTVNRCTKRPVCEGVASTSNPPADGVSTSWPDEKQSSAKSSPGHCSSDLCIGALDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPFI >ONIVA04G28230.1 pep chromosome:AWHD00000000:4:27128460:27133880:1 gene:ONIVA04G28230 transcript:ONIVA04G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIILVVVVFVLDALAFVLAIGAEKRRSTATFSEDTSGRQYCVYSSDAATGYGIGALLLLLAGQAVVMVVTRCFCCGRALSPGRWRAFSGFCFIVCWFTFVIAELCLLAGSVRNAYHTKYSTLVISGPPRCAMLRKGVFAAGAAFTFLTALFAELHYLFFAKARHAAAVPPPIVGGIGMTRM >ONIVA04G28230.2 pep chromosome:AWHD00000000:4:27131540:27133269:1 gene:ONIVA04G28230 transcript:ONIVA04G28230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIILVVVVFVLDALAFVLAIGAEKRRSTATFSEDTSGRQYCVYSSDAATGYGIGALLLLLAGQAVVMVVTRCFCCGRALSPGRWRAFSGFCFIVCCRVPRMMNQFLCLLAGSVRNAYHTKYSTLVISGPPRCAMLRKGVFAAGAAFTFLTALFAELHYLFFAKARHAAAVPPPIVGGIGMTRM >ONIVA04G28230.3 pep chromosome:AWHD00000000:4:27128460:27133269:1 gene:ONIVA04G28230 transcript:ONIVA04G28230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASIIILAVVFVLDVLAFVLAIGAEKRRNTATFSEDTSGRQYCVYSSDAATGYGIGALLLLLAGQAVVMVVTRCFCCGRALSPGRWRAFSGFCFIVCCRVPRMMNQFLCLLAGSVRNAYHTKYSTLVISGPPRCAMLRKGVFAAGAAFTFLTALFAELHYLFFAKARHAAAVPPPIVGGIGMTRM >ONIVA04G28230.4 pep chromosome:AWHD00000000:4:27131540:27133269:1 gene:ONIVA04G28230 transcript:ONIVA04G28230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASIILVVVVFVLDALAFVLAIGAEKRRSTATFSEDTSGRQYCVYSSDAATGYGIGALLLLLAGQAVVMVVTRCFCCGRALSPGRWRAFSGFCFILCLLAGSVRNAYHTKYSTLVISGPPRCAMLRKGVFAAGAAFTFLTALFAELHYLFFAKARHAAAVPPPIVGGIGMTRM >ONIVA04G28230.5 pep chromosome:AWHD00000000:4:27128460:27133269:1 gene:ONIVA04G28230 transcript:ONIVA04G28230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASIIILAVVFVLDVLAFVLAIGAEKRRNTAAYVNVDQNARPYCVYGSDAATGYGIGALVLLAAGQAVIMVATRCFCCGRALSPGRWRAFAGFCFITCCTLVISGPPRCAMLRKGVFAAGAAFTFLTALFAELHYLFFAKARHAAAVPPPIVGGIGMTRM >ONIVA04G28220.1 pep chromosome:AWHD00000000:4:27123470:27128288:-1 gene:ONIVA04G28220 transcript:ONIVA04G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEMNRSCRGDEKRGNRSPSSSPFSPARRRGRNRVARGRGWVRESGGGVALFGLEYPRLESDNRSDPQEPSRNPGIWQCTICEHGNDAKKISCEQCGVLRYFSLYFNNALEVDGRAKRRDKHYAVSVLARTLFSPSSAKSKDVVLSGGFKASRNATGSTRATLDALHKTYMTRKECHINIVPFKFDTPSPDDVVATGLKSSRSFRKDAPHVTEKRVMDNDSSTPEKDTTADSNLPVKSNEFGESSESVSVGSQNETLCLDHELQHLSLERKSQKSKANIKKPVSSSLYKPEPWMLQHEDEGIPRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKYEKEAKEKGKGSFAYAWAMDESADERERGITMTVGMGINGIGQTKEHSQLVRSFGVDNLIVVVNKMDSVEYSKERFNFIKSQLGAFLRSCGYKDSAVAWVPISAMENENLMTTASDTRLSSWYDGNCLLKAIDTLPPPSRDVSKPLRLPICDVFSSHKLGQVAIGGKVEVGATRSGSKILVMPFGELAVVKTIERNSSSCNLARAGDNVAIGLQGIDPSHVMPGGVICHPDYPVSVASCLELKILVLDITVPILVGLQFELHIHHAKVSASMVKILSLLEQKTGKASKKIPRFLTSRQTAVIEVKLEKEVCVEEFSNLKALGRVFLRSQGNTIAVGIVSRVREQA >ONIVA04G28220.2 pep chromosome:AWHD00000000:4:27123470:27128288:-1 gene:ONIVA04G28220 transcript:ONIVA04G28220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEMNRSCRGDEKRGNRSPSSSPFSPARRRGRNRVARGRGWVRESGGGVALFGLEYPRLESDNRSDPQEPSRNPGIWQCTICEHGNDAKKISCEQCGVLRYFSLYFNNALEVDGRAKRRDKHYAVSVLARTLFSPSSAKSKDVVLSGGFKASRNATGSTRATLDALHKTYMTRKECHINIVPFKFDTPSPDDVVATGLKSSRSFRKDAPHVTEKRVMDNDSSTPEKDTTADSNLPVKSNEFGESSESVSVGSQNETLCLDHELQHLSLERKSQKSKANIKKPVSSSLYKPEPWMLQHEDEGIPRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKYEKEAKEKGKGSFAYAWAMDESADERERGITMTVGVAYFDTKNYHVVLLDSPGHKDFVPNMISGATQSDAAILVIDASIGSFEAGMGINGIGQTKEHSQLVRSFGVDNLIVVVNKMDSVEYSKERFNFIKSQLGAFLRSCGYKDSAVAWVPISAMENENLMTTASDTRLSSWYDGNCLLKAIDTLPPPSRDVSKPLRLPICDVFSSHKLGQVAIGGKVEVGATRSGSKILVMPFGELAVVKTIERNSSSCNLARAGDNVAIGLQGIDPSHVMPGGVICHPDYPVSVASCLELKILVLDITVPILVGLQFELHIHHAKVSASMVKILSLLEQKTGKASKKIPRFLTSRQTAVIEVKLEKEVCVEEFSNLKALGRVFLRSQGNTIAVGIVSRVREQA >ONIVA04G28210.1 pep chromosome:AWHD00000000:4:27111281:27119610:-1 gene:ONIVA04G28210 transcript:ONIVA04G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7H9] MTTNTKRAYKLQEFVAHSSNVNCLKIGRKTSRVLVTGGDDHKVNLWAIGKPNSILSLSGHTSAVESVNFDSTEVFVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNVVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSSDKTVKFWDLETFELIGSTGPETTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRCHDTVDVGWSRLSDLNVHEGKLLGCSFNQSCVGIWVVDLTRLEPYATASGLVPVTPQRAGNGSSTKTVGNSTFASSGTNLKRGSLKSNNSSSLQNFSKIDVVPVIIPRTSSGPELATDSRSDAADVGPVLSKSGRRIEIANDSRKESSDVAAAVVPRTNSRTEMASDSAPVVGPRANLRMEVSADSAPIVPKSGRRLESSVESRKESTDVASAAAPKTSSRMEVAPDSAPLLSKAGRRVESATDSRKESADVAPVVPRTTSRMEMAPDSRREISAGRMSPFRVQSRYSELRKLNNAKADADKVDAGSKNSEADDFTCQIYLPRRNGVVQSGISEETREDAKPGVIDRMGFPSSAEPNTHRSENYVSRMRKPRDNCYIEVSRAGRTRPTASNWESRDQSPGNEEPTTSNSSSMAPTGRLYSSRGSSQAAETPTIASDEDVLSVLMEQHELFLSSTRSRLTKLQIVHQMWQRNDIRGIIAAMEKMSDHAVSADVASVLMEKSETITLDLCTVILPVLTDLLESKTDRHLGVSLELLVKLVRTFGSVIHSTVSAGPSSVGVDLQAEQRRERCNLCFIELEKVKNKLPFLSRRKGAVANTAQELSLVFQEVM >ONIVA04G28200.1 pep chromosome:AWHD00000000:4:27109613:27110212:1 gene:ONIVA04G28200 transcript:ONIVA04G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSCSFSFAVVSVLLLMLAVVTASAATTAAEEPAHEEATHNERILLADSDADTDTDAHQLLHNVEEELAAATTIAAGQAAAAGVRVASAAEDQKKDGGGDAGAAAMPVALERQEAKTKTAGRLIATQGDDKSGGGGGNEHGKEGGGGGGKEAEKSKSCVTKEECHKKRLMCGKTCTMSAHTKCAAKCSKSCIPTCG >ONIVA04G28190.1 pep chromosome:AWHD00000000:4:27102752:27105738:-1 gene:ONIVA04G28190 transcript:ONIVA04G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7H7] MANIDMGKILAGLENDDARVPKTKLVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGVLCAVMLDTKGPEIRTGFLKDGKPIKLTKGQELTVTTDYEIKGDENMITMSYKKLPVDVKPGNVILCADGTISLTVLSCDPKAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQLLGQHAKRIKLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICVEAESSLDNEAVFKEMIRSAPLPMSPLESLASSAVRTANKAKAALIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLVPLLAEGSAKATDSESTEVILDAALKSAVQKQLCKPGDAVVALHRIGVASVIKICIVK >ONIVA04G28180.1 pep chromosome:AWHD00000000:4:27098365:27101769:-1 gene:ONIVA04G28180 transcript:ONIVA04G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEDLLLAPRVDGGGGGDGEAMAAPDYAIPPLSPTAASVVHRCARIAGVEVEQLLRRFEPEKGDQPLAYARSVVEYCSYIALRVETKRHDYLSDSEFHSLTYDMMIAWEAPDDETDAALQKMSFSFVDGKDDDDCGSMFCLSPTQMAIQVDGRRTVSPEAFAKIIPACPAMAHAITVRNLFDALTNSTGGRLHFLIYHKYLKCLDKVLRFAKRISGGHKAPALQLSDGEVILDIYGAATTKPILQHIGTSTWPGRLTLTTHALYFEPVSVDFSYNEAVVYDLSRDLKQSIKRESTGPWGAQLFDKAVMYKSSSTREPVFFEFPQFKGHTRRDYWFAIIKEVLHAHKFIRKYRMINLHKAEALSVATLGILRYRTVKEGFHILPAHFKTTLAFNLAEKLPKGDKILEALYGQMKDYSSRFRVDEDSVQSSSDDLTLADPFPLSAYTLVNMGLLTLKEEDNPEEWDLTVRDVQTGGTSSVQMALERSVGYSGRVEAARATLDQVKVEDIDTNVAVLKELLFPLIEIGKRLLFLAEWEDPLKSYVFLFCFVFIVYRGWIWYIFPVFLLGSTIFMLWQRHTGNGQMIGAFEVTTPPRRRTVEQLLALQQAISQLEAQVQAGNIFLLKLRSLLLAAFPQHEQSSSYNARGICDIRVPALEKHTCTDCAGSIHEAYAGEEEEQREVGEETERVVAANPSCSCTALEASGYQEMEIEVEIEMTHIGNVGTLHMYYMYL >ONIVA04G28170.1 pep chromosome:AWHD00000000:4:27094892:27095659:-1 gene:ONIVA04G28170 transcript:ONIVA04G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRIHPVGVGSPAPAPDSQQQVGKGRSTASYGEKEQLPITAPRPYAPAPLPPPPPRRRSRGRRCCRCVCWTLLALLVLAVALGATAGILYAVFKPKIPDFHVDRLTVTRFDVNATAATVSDAFEVEVTSTNPNRRIGIYYDGGEVTASFNGTELCRGGFPALYQGHRSTVRPVILLAGETRLDSAVALQLARQQQAGFVPLTVWARVPIRIKFGAIKLWKMTGKATCNLVVDNLVAGRQIRIRSNSCSFKLKV >ONIVA04G28160.1 pep chromosome:AWHD00000000:4:27092513:27094117:1 gene:ONIVA04G28160 transcript:ONIVA04G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G23400) TAIR;Acc:AT5G23400] MAMQVSKKSRLAAPSALLLLLVVAALLPPPRAEAGHDGAGGDDEPPPTPCSPADRAALLGFKAGVTVDTTGILATWDGGDDCCGAWEGVSCDAATGRVVALQLEAPPLPPPRRSYMEGALSASLGGLEFLETLVIRDMARIGGAIPASLSRLSRLKQLYLEGSMLAGGVPGSVLSGMASLQYLSLAGNRFEGKLPPELGSLPGLVQINLAGNRLSGEVPPSYKNLSRLAYLDLSNNLLSGAIPAFFGQQLKSLAMLDLSNNGFSGEIPASLCGLRNLTDLSLRHNKLTGVIPSQIGSISSLNSLSIDSNLLVGSIPESLFGLQKLWNLNLSRNGLSGSLPPGIRHGLPSLVSMDLSHNHLVGGIDHFFRSISPARRLTKNASSDMSVIFLPRQLQHLDLSKNSITGALPEFGAGASLRWLDVSGNAIGGQIPSSVWRLVGLQRLDISRNKIRGTIPASMASMASLRWLDISGNALVGRIPDSFARMARLRHASFRGNKLCGKIPQARPFNLLPAAAYAGNLCLCGKPLPPCRQI >ONIVA04G28150.1 pep chromosome:AWHD00000000:4:27083658:27085079:-1 gene:ONIVA04G28150 transcript:ONIVA04G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT3G20015) TAIR;Acc:AT3G20015] MEFVANGKVILFLLFVSTSVLIVSPASPPRFHYINPHNFTTPASSSSSASASAVHRSRNNNNPSLSLVHRDAISGATYPSRRHQVVGLVARDNARVEHLEKRLVASTSPYLPEDLVSEVVPGVDDGSGEYFVRVGVGSPPTDQYLVVDSGSDVIWVQCRPCEQCYAQTDPLFDPAASSSFSGVSCGSAICRTLSGTGCGGGGDAGKCDYSVTYGDGSYTKGELALETLTLGGTAVQGVAIGCGHRNSGLFVGAAGLLGLGWGAMSLIGQLGGAAGGVFSYCLASRGAGGAGSLVLGRTEAVPVGAVWVPLVRNNQASSFYYVGLTGIGVGGERLPLQDGLFQLTEDGAGGVVMDTGTAVTRLPREAYAALRGAFDGAMGALPRSPAVSLLDTCYDLSGYASVRVPTVSFYFDQGAVLTLPARNLLVEVGGAVFCLAFAPSSSGISILGNIQQEGIQITVDSANGYVGFGPNTC >ONIVA04G28140.1 pep chromosome:AWHD00000000:4:27077939:27081668:1 gene:ONIVA04G28140 transcript:ONIVA04G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSHLNGHSPLARRRPRLSAASPPATGDSDAAAAAADAPLAEHDRIYFQSYSHIGIHEAMIKDRVRTDAYRSAIMHHQKFIEGKVVMDVGCGTGILSVFCARAGAKCVYAVEASEMATQAREIVKANNLDDKVVVVHGRVEDVEVEDKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNSERYEGSVDFWSDVYGINMSALVPLAKKFTSEEPSIEIIGGENVLSWPFVVKHIDCYTFKAEELKSITTKYKVSSMMLAPIHGFGLWFEVEFNGPSNPTDKSPSDLNPLDVIRTKRRRGSEDPVVLSTAPEDEPTHWHQTILYFPDPIEVKQDQIIEGSVKVSQSEENPRFLNIQLDCTM >ONIVA04G28130.1 pep chromosome:AWHD00000000:4:27063029:27072608:1 gene:ONIVA04G28130 transcript:ONIVA04G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLCACGLCNGGRERWQSSGGGASLVAKLRRRVDHRRPPTPSSSPSSPRRRQQPADVLPSCLPKQEKTFPTFIVISPPPGASLASCVCVVVVEGNDPAAVGSQEIAVPYCYTRYSSTCSRRKLGHGDVEEDEAEPSSSVGRHHIRAQDWVSGRRETPLQPRRETAAVRRRRGGATLRDDAAAAIPNPHVYSGRACYTTRAAASNSLPITGSIGLGDWEQVTSSCHGRGLQFQLAGHTLCRSDDGRSITMCTGLHPSCRWSPGPLRCPITPANAWWLKFTPIMALSRRFLNLIVDNGFPGSKSLRCIDLTLQNFFNATPPNRNGSESKVVAADACIQKQKNNNKEEEEAGASSMDSIQLPSPIFNFQASSVAHHWEIGCFPLRGCEVLCIDQNGCCFLFDGDTRNAVTMPGLCQPKRFPLSLFVPSTGVDNDSGSLFIMESVVKPESTSSGPHNDQFEAFVYRTPSPRRHLSSTCQSLPPPPFVRDPKFSNIRTKITSYAVVSGGSEMCISVEGAGTYCMDTVKHTWRHVGEWILLFNGKVEYVPELKLCFGLSAKTNHLAAADLSAMDDDCFQQPELLKTWMELSPPKNWWDLSNSHLVSLGSGRFCIARFFYTRHLMGYYYDQIVDNRFVVLTGVDVVPCVHDTSSGIANGGTGDLRMIKYESKLHI >ONIVA04G28120.1 pep chromosome:AWHD00000000:4:27062560:27062971:1 gene:ONIVA04G28120 transcript:ONIVA04G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7H0] MSDKTGVTLPALAASHVAVNQQVSLVLDAAAAEAAGVGDGNGAVVDMGDKEEEGSGSRRMLIVVTTTRSDGGVRQRCNAALAHVEKHRLFSVVHFAHASGVYDAYFFDEIRQIERCHGR >ONIVA04G28110.1 pep chromosome:AWHD00000000:4:27060459:27062078:-1 gene:ONIVA04G28110 transcript:ONIVA04G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRFLNLIVDSRFPESKSLRCIDLTLYNFFNATPPNRNGPESKVVAADACIQKQNNNKEEAATATVSSMDRIQLPSPIFNFQASHSGIDDYWKIDCLPLFDRRVPKEIPISIFVPCLEEAGDFDGGNLYIMDKRSKTGELGNNQFEAFIYCHYRGSSTLKSWTRQILPPPPCIYDRTYLGRYLEISSYALLGDGSNICISVKGVGTYCMDMRSFTWSHLGKWMLPFTGKVEYVPELKLWVGISADTQDLAAADLSSMNSQPQLLATCKEFDQPEEWKRCKDSQLINLGSGKFCIARFFRNKTPQGDSDELIGKNITVLTGVEVVPSVYHANGNDNSRKGELQMIPHKSRLYAGSDTIWAVL >ONIVA04G28100.1 pep chromosome:AWHD00000000:4:27050945:27054931:-1 gene:ONIVA04G28100 transcript:ONIVA04G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASAVCLLPPRGGSLARPDTALPPASQPATVAVKQNIPRLASPRLAVTSITLLPRRGRRCAVDLLLLHLHRLLLFLSLFSEETPNLFLPRKPAAFLKRIKSPSLIRRRNPSPQNLAAPRAVLGFELMAVEEASSSSGGGRGGGGGGGGEEGLSGCGGGWTREQEKAFENALATVGDDEEEGDGLWEKLAEAVEGKTADEVRRHYELLGSAKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNNGDTSAAQGPITGQPNGPSANPGKSSKQSPQPANAPPGVDAYGTTIGQPVGGPLVSAVGTPVTLPVPAAPHIAYGMHAPVPGAVVPGAPVNMPPMPYPMPPPTSHG >ONIVA04G28100.2 pep chromosome:AWHD00000000:4:27050945:27054931:-1 gene:ONIVA04G28100 transcript:ONIVA04G28100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASAVCLLPPRGGSLARPDTALPPASQPATVAVKQNIPRLASPRLAVTSITLLPRRGRRCAVDLLLLHLHRLLLFLSLFSEETPNLFLPRKPAAFLKRIKSPSLIRRRNPSPQNLAAPRAVLGFELMAVEEASSSSGGGRGGGGGGGGEEGLSGCGGGWTREQEKAFENALATVGDDEEEGDGLWEKLAEAVEGKTADEVRRHYELLVEDVDGIEAGRVPLLVYAGDGGVEEGSAGGGKKGGGGGGGGGGGGHGEKGSAKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNNGDTSAAQGPITGQPNGPSANPGKSSKQSPQPANAPPGVDAYGTTIGQPVGGPLVSAVGTPVTLPVPAAPHIAYGMHAPVPGAVVPGAPVNMPPMPYPMPPPTSHG >ONIVA04G28090.1 pep chromosome:AWHD00000000:4:27037010:27050108:-1 gene:ONIVA04G28090 transcript:ONIVA04G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGSTSSGGGDDRGREGHDDFTGGGQYNKYHRILEAVPSPLVRRENVVHHQYPTGLIHHPSSTMPVAPCSYVPRYTMVPTSAMLPLQHHHRQLQISQENFQDRVPSNNVAAPHLPSNFQDLRPMCNGPPFMSYGQTASNRNVLYQNLTPYSFNAWASNNMPRNPVYTSYHPTAIEDPHATPFHINNHDTDQGFFTVSTSFRVDQSFVHAPSPFPPVSSSSRSFSSAQISNGPTDAKKAKKSDIKDQPIVLRRSDTESEKNDELDQTPASEPSSMSHNSANSTIRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVMLKMDDFKLETTWNFKYRFWPNNKSRMYVLESTGGFVKQHGLQTGDIFIIYKSSESEKLVVRGEKAIKPNVIMPIVDCSCKNDLNNSEECGFAISLLTKKT >ONIVA04G28090.2 pep chromosome:AWHD00000000:4:27037010:27040916:-1 gene:ONIVA04G28090 transcript:ONIVA04G28090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGSTSSGGGDDRGREGHDDFTGGGQYNKYHRILEAVPSPLVRRENVVHHQYPTGLIHHPSSTMPVAPCSYVPRYTMVPTSAMLPLQHHHRQLQISQENFQDRVPSNNVAAPHLPSNFQDLRPMCNGPPFMSYGQTASNRNVLYQNLTPYSFNAWASNNMPRNPVYTSYHPTAIEDPHATPFHINNHDTDQGFFTVSTSFRVDQSFVHAPSPFPPVSSSSRSFSSAQISNGPTDAKKAKKSDIKDQPIVLRRSDTESEKNDELDQTPASEPSSMSHNSANSTIRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVMLKMDDFKLETTWNFKYRFWPNNKSRMYVLESTGGFVKQHGLQTGDIFIIYKSSESEKLVVRGEKAIKPNVIMPIVDCSCKNDLNNSEECGFAISLLTKKT >ONIVA04G28080.1 pep chromosome:AWHD00000000:4:27029173:27040473:1 gene:ONIVA04G28080 transcript:ONIVA04G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSYASRRRGGGGGGGMAATVLAAKVAFAAAAVAGAGSFARLAVPQLVSVAGAVFPRVWAAARVWLVPPYLFVTVHLIILVIWKLSDHKHFQAQQQQLKDPWPHPHPAVPVAAAEDAPSLVVKPKEEFNAAAAVYGGGGGGMPEHEASPEISPDSGGGESCVTTESDEDASTAASYTSDERRSLAPPPQEHSVLEREFSLPLPAVDSGRDAAAAAADDDDDDDLDATWNAIMQKTRPATAPAMAAPPAQKAPPAGPPPPRARDPSIGAEEMNRRFDDFIKKNRHSFGRQ >ONIVA04G28070.1 pep chromosome:AWHD00000000:4:27015427:27020079:1 gene:ONIVA04G28070 transcript:ONIVA04G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidine d [Source:Projected from Arabidopsis thaliana (AT5G23300) TAIR;Acc:AT5G23300] MSSSAAALAWRRSLRDALLRGSAWRGAPAANSAAARLASTASASEAAAGPKKVPPPPRKGRLLTGAMIGLAIAGGAYVSTADEAKFCGWLFKSTQLVNPLFALLDAEFAHRLAVTAASHGFVPREKRPDPSVLGLEIWGRKFTNPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPLPQEGNPKPRIFRLREHGAVINRCGFNSEGIVVVAKRLGAQHGKRKMEETSSSTSPTTSDVKQGGKAGPGILGVNLGKNKISEDATADYVQGVHTLSQYADYLVINVSSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTISRPSPADTHPLAQEAGGLSGKPLFDLSTNVLREMYILTRGKIPLIGCGGVSSGEDAYKKIRSGATLVQLYTAFAYGGPALIPRIKAELAECLERDGFKSVQEAVGADFK >ONIVA04G28060.1 pep chromosome:AWHD00000000:4:27011850:27013400:-1 gene:ONIVA04G28060 transcript:ONIVA04G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLVPRPRLLASTTLRAFHAAAHQRHQDPIIPGIYSYASLLRRSAATSDPRLAVSLHAALLKRGFLLASSHIFLCNHLLIACFKSRLHRHGLRLLDEMPRRNAVSWTTAIAGLTQGGQPREALALFKRLRRAGLPPNEFTLVSALNASSFVGGAGVGRARQLFALAVRLGFDSNVFLTNAFLAAMVRHGQLADAVRLFDNANAWDIVSWNTLLTAFAHRSSLRLCTLWRRMAIEGVSADGFSFSTVLSGLSGSANVAATGLQVHAQLVKSGFVDDVCVGNSLLEMYMKNKQLESGIRAFTEMRHRDVVSWTELAAGLLHCGEPAESLRVVSDMILDGIRPNNYTIVAAANACANLANLNQGRKIHGYVIKLGGDSNVGVNNALIDMYAKCRSVTGAHKVFQSMQRQSVVSWTAMIMAFVQNGQAREAVKVFDDMLLEGMAPNHAAFYSVLYACSQGGFVDEGWIYFEAMADKFGVEPGEDHFACMVDLLTKAGRIEEAEELISRMPFRPGVVA >ONIVA04G28050.1 pep chromosome:AWHD00000000:4:27009420:27012784:1 gene:ONIVA04G28050 transcript:ONIVA04G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thioredoxin X [Source:Projected from Arabidopsis thaliana (AT1G50320) TAIR;Acc:AT1G50320] MASAPSTTASGLAPPPFSSARGARLLPGALLRLPPPPASVGSFRVVGPAAAPPGGRRIASARVRCGAAVRFIGQSEFEAEVLQSDLPVLVDFVADWCGPCRLIAPVVDWAAEEYEGRLKIVKIDHDANPQLIEEYKVYGLPSLILFKDGKEVPGSRREGAITKAKFKEYLEPLLSTSTVA >ONIVA04G28040.1 pep chromosome:AWHD00000000:4:26997498:27009032:-1 gene:ONIVA04G28040 transcript:ONIVA04G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTVAAAAAVVPGVACGSSTRRVGVGNGNASAHAGGGCLAGGRRGAAAWVARARAAEAPPVAAEGSRQEAPAAPMVEIPVTCYQILGVTEKAEKDEIVKAAMELKNAEIEDGYMAEVSTCRQALLVDVRDKLLFEQEYAGSIKEKLPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAVLRRPDSRPYVHDVLLAMALAECSIAKASFEKSKVSLGFEALARAQYLLRRKPSLEKLPLLEQIEESLEELAPACTLELLSLPQTPENAERRQGAIAALCELLRQGLDVESSCRVHDWPCFLGQAMNKLLATEIVDLLSWDTLATTRKNKKSLESQSQRAVVDFNCFHVAMLAHFALGFSTRQADLISKAKTICDCLVASENTDLKFEESFCLYLLGEESGTTVFEKLQQLQSNGNSNSRNYGLPKKKDGNDKVTVCQSLELWLKDVALSRFADTRDCSPSLANFFGAPKRILSTSKQKLGATRMVRLSSQPSSSVSPCNRALGEQTPRLNSTSHLGEAVKQLAPNNLGVHSSMDRPANGSTTTSVPLKRNLVSHPARTLESWGLTGDIVGKLAYSALIGFALFGTLKLLRFQFGHMKPASASRGSAATQSLNEESTLEGSFITSSVRKHFEKLPKMLWLNNRLYSRSEESDLSSVANAVAATVCKQSMALQEAETLVKQWQDIKSEALGPDYQIDMLPEILDGSMLSKWQELALSAKDQSCYWRFVLLNLSVVRAEILLDESGTGEVAEIGAVLEEAAELVDESQPKKPSYYSMYEVQYILRRQSDGSWKICEASASSMARAPMLGFGILTVYKFSFFSEIPEAADILAKILSQLPINDAIRTSVLSRKWKYFWCSHTNLTLNKGTMRKPYVKTLTPYRWRWLRDYEFITRVDAVLRQHSGMGVQRMEIKFRLHSKHADHIDRWVNFAIASKTKELVVDLSGQDKGSFFTDLTHSNCIRIIKEPPYNLPPQLLGLNYGSYLRCLELTTVSLQLPADFKGFLDLKILSLVDMSITDEDVQRMLSKCNLLEFLEISYCEMVTSIRMLHPLDRLKHLVVDICPNLQEIELNCSPTTLKYSGTMVPLIFASTSRLTNISIVFINYQSALSYIITGFPSTLPRLETLTLHCGERERTIVPEGPFKFTYLRNLRLELTLCGHGNIRKTDALDYAYILKIAPFMETLELLVNTYTWMLNCRHQPYREEDGELRIVGPPHQHAHLKRVRISGFFGHKDQVELALHILRSSMTLEQMVITPKLEIGNDLAFSDPCADEYEKKHYVDGHRVATEFVCKTDHRNVVTVERVVPEPADGEVERKRRRAN >ONIVA04G28040.2 pep chromosome:AWHD00000000:4:26997498:27009032:-1 gene:ONIVA04G28040 transcript:ONIVA04G28040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTVAAAAAVVPGVACGSSTRRVGVGNGNASAHAGGGCLAGGRRGAAAWVARARAAEAPPVAAEGSRQEAPAAPMVEIPVTCYQILGVTEKAEKDEIVKAAMELKNAEIEDGYMAEVSTCRQALLVDVRDKLLFEQEYAGSIKEKLPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAVLRRPDSRPYVHDVLLAMALAECSIAKASFEKSKVSLGFEALARAQYLLRRKPSLEKLPLLEQIEESLEELAPACTLELLSLPQTPENAERRQGAIAALCELLRQGLDVESSCRVHDWPCFLGQAMNKLLATEIVDLLSWDTLATTRKNKKSLESQSQRAVVDFNCFHVAMLAHFALGFSTRQADLISKAKTICDCLVASENTDLKFEESFCLYLLGEQLQSNGNSNSRNYGLPKKKDGNDKVTVCQSLELWLKDVALSRFADTRDCSPSLANFFGAPKRILSTSKQKLGATRMVRLSSQPSSSVSPCNRALGEQTPRLNSTSHLGEAVKQLAPNNLGVHSSMDRPANGSTTTSVPLKRNLVSHPARTLESWGLTGDIVGKLAYSALIGFALFGTLKLLRFQFGHMKPASASRGSAATQSLNEESTLEGSFITSSVRKHFEKLPKMLWLNNRLYSRSEESDLSSVANAVAATVCKQSMALQEAETLVKQWQDIKSEALGPDYQIDMLPEILDGSMLSKWQELALSAKDQSCYWRFVLLNLSVVRAEILLDESGTGEVAEIGAVLEEAAELVDESQPKKPSYYRRAQWQEHPCWDILAKILSQLPINDAIRTSVLSRKWKYFWCSHTNLTLNKGTMRKPYVKTLTPYRWRWLRDYEFITRVDAVLRQHSGMGVQRMEIKFRLHSKHADHIDRWVNFAIASKTKELVVDLSGQDKGSFFTDLTHSNCIRIIKEPPYNLPPQLLGLNYGSYLRCLELTTVSLQLPADFKGFLDLKILSLVDMSITDEDVQRMLSKCNLLEFLEISYCEMVTSIRMLHPLDRLKHLVVDICPNLQEIELNCSPTTLKYSGTMVPLIFASTSRLTNISIVFINYQSALSYIITGFPSTLPRLETLTLHCGERERTIVPEGPFKFTYLRNLRLELTLCGHGNIRKTDALDYAYILKIAPFMETLELLVNTYTWMLNCRHQPYREEDGELRIVGPPHQHAHLKRVRISGFFGHKDQVELALHILRSSMTLEQMVITPKLEIGNDLAFSDPCADEYEKKHYVDGHRVATEFVCKTDHRNVVTVERVVPEPADGEVERKRRRAN >ONIVA04G28030.1 pep chromosome:AWHD00000000:4:26994726:26996273:-1 gene:ONIVA04G28030 transcript:ONIVA04G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLGHLLPRPQPSAAAAAAFFSSPHTLHDYNRLLDAFARDGDGDAALRVLRRMRHSSPACAPTAASYTSAMSALAKAGRPADAAALFDDMLANGVAPDRCAFSFLLHVYSSHLHLPSAAHSVLVWMSRLGLPPTPIDYADLVFSFCRAGRLPDALQLLDEMRALNYPLTLHSYTPILQVYCANADMQSADALISSMRSTGCHPDVVFYNIYVNGLCKVGDFDAVQRTIDESGRNGWVPDAVTYSTYIAGLCRFGYVEEALRQLEIMVTMGLQPTVVGLNILLDYVAQDLDMWAGKEVLERCQELGFVVDVVTYNTVMDHFCKKRKWLRVLKLFTDLLKKPITPNVQTCNIFISCLCRAGKFQFAKFVFSSKGFMADTVTCNILIHAFYEAGKEDELGFLFADVNAGKIAPDTITYNTLVDCLFRSGRRAEAVNLIRHIDDGYPVEPVARLAYWLVRSGNVREALRLFDDMLEKGLLLDSRIFANVIKAFCRKGPGECTEMLQLCSVLDRMLGIG >ONIVA04G28020.1 pep chromosome:AWHD00000000:4:26992667:27009066:1 gene:ONIVA04G28020 transcript:ONIVA04G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTLVKSINWKYILPTASRNTSRNNMAENPSPDDNQNFRGPSTLTTLYDYPSVIHTKTLSAFSDTLWMLVAGEGPDQDVRKRRRSRCRRSPRHETEQVKEGERKEEPKYPKKVDPQFQWLTTYSLLGPRNKANHELYKRIQRQDPQVTGISTIGAAGASCLLPSAATGGASAALARATHAAAPRRPPARHPPPACADALPFPTPTRRVEDPHATPGTTAAAAATVDIAMAGLKTSPPQP >ONIVA04G28010.1 pep chromosome:AWHD00000000:4:26988480:26992251:-1 gene:ONIVA04G28010 transcript:ONIVA04G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:staurosporin and temperature sensitive 3-like b [Source:Projected from Arabidopsis thaliana (AT1G34130) TAIR;Acc:AT1G34130] MAAATALDSLPAPLRSLRLKTKQQELLLRVSALALIYVLAFVVRLFSVLRYESMIHEFDPYFNYRTTLFLSDHGFSEFWNWFDFESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAVCPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLLPLYVLVLLVTGRYSQRLYVAYNSTYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYLLNDAKLFKSFLRITLTCVITVGTLALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRTKSKSPQTVSGKSSGSKAAAKGAVDQSLPFQQNVAIALLLGAFYLLSRYAVHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPSDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRS >ONIVA04G28000.1 pep chromosome:AWHD00000000:4:26985750:26988030:1 gene:ONIVA04G28000 transcript:ONIVA04G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G23240) TAIR;Acc:AT5G23240] MAALLMNNGAVSMPFPTTRTAARRRTSRSRCQASSSGGSSNEGGGESYRGRSGRGGSTTWVTEYDLYGLLGVERSSPQSEIKAAYRSLQKRCHPDVAGAKGHDMAIVLNEVYSLLSDPAARLAYDQEQAKQSEFVGYTGKPLYSAWFGGEAEQRAVFVDEVRCVGCLKCALYANKTFAVESVYGRARVVAQWADAEDKILDAIQTCPVDCISMVERSDLAALEFLMSKQPRGRVRVSEGNTVGARAPDIFNEVSKFQKRFQEMKQKSATRESEESEAARQSRSSAVQTIRSISNWWYWQPFRAPATTVLASLHLPAPPPSPSMPADPVTDRLQEAAARRKAGGATAARTVASYARRDDYWTPQLNLPSLASPPERPHRRQSASPPRSQTRRATPTGDGGVTLGSIDLTAPLLMAIISAGFVGYNREEVAGVGGGGIQEHVGGAAALGLVNSFELKIVLASVTWFIIGAAIAGFIQFLARSEVNFRK >ONIVA04G27990.1 pep chromosome:AWHD00000000:4:26964645:26984127:1 gene:ONIVA04G27990 transcript:ONIVA04G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C3HC4 type (RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT5G23110) TAIR;Acc:AT5G23110] MDPGGMLLEDFGQRVDLTRRIREVLANYPEGTTALRELIQNADDAGASRVRLCLDRRAHGAGSLLAPALAQWQGPALLAYNDAVFTDEDFASISRIGDSRKVSQVWKTGRFGVGFNSVYHLTDLPSFVSGKYIVLFDPQGAYLPNVSAANPGKRIDFVSSSAITLYNDQLSPYRAFGCDMKAPFQGTLFRFPLRTAEQASLSRLSRQVYTEDDILSLFAQLYEEAVYNLLFLKNVLSLEMYVWESGMSEPKIVYSCSIGSQHDNLRWHRQALVRFSGTAAESSEQKIDSFSMDFVSKSFLGEKFEKKSYTYFIVQGMASALSKIGIFATTAAKDYDLHLLPWASVAACISNVGPEEVILRQGRAFCFLPLPVKTGLSVHVNGYFEVSSNRRDIWYGADMDRGGKLRSDWNMLLLEDVVAPLFRELLLQLRTVLDSKISYYSLWPTGLYEEPWSILVEQICKFIYTSPVFHSEIKGGTWITPAESLLHDEGFSRSDDLSEALVMLGMPVVRLPGAIADMFPKFHSKYMLKIVTPATVRHFLKDFENLGTLEKSQKLILLEYCLADLDSGNIGKCMNGLPLIPLANKQFGIFSGISQENQYYVCDSIEYELLSAVSDRIIDRSIPPVILDKLYQIASSSQVNISLIEGRTFLQFFPWLFPPGWKCRNQVPWDPESGGSSPTASWFKLFWQYIGDCSYDLDLFSDWPILPSTSGHLYRASTVSKLINTGSLSNLMKELLTKLGCKILDTKYLSTCQHLSNYVYDGDASGVLHSIFGVASLEGVDLQALFQRITPAEKNELYQFVLDAKWYLGPHLSDMSINLCKKLPIFRVFDGGSPSSYGFSDLSTSRKYLPPLGVAEQLLNDDFVFCISPSDEDIIMRYYGIERMPKSNFYQRYVLNRLDELQTEFRDSVLLTILQDLPQLSLENPRFKEALKVLRFVPTTNGVLKSPQSLYDPRVEELYALLQESDCFPHGLFQNPEVLDMLLCLGLRTSVSIDTIIQSARHIDSLVHKDHHKAHSRGKVLLSYLEVHAHKWYVHKPFDGRKKVNMLAKVTTVLRSRDTSWEADLEKFWSDLRMICWCPVLVTAPSPALPWPSVSSMVAPPKQVRMQDDMWIVSASSRILDGECTSSALSYSLGWLSPPSGSVIAAQLLELGKNNEIVTDQVLRQELALVMPKIYSLLSNLIGSDEMDIVKVVLEGCRWIWVGDGFAKTDEVVLTGHLHLAPYIRVIPIDLAVFKDLFLELGIKEQLDPVDYASILTRMATRKASTSLQAEELRTAVLVVQHLAEFRFQDHQTQIYLPDSSARLCLSSELVFNDAPWLLDFDEDITGNAPSIAFNSKKYVHNFVHGNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEDLTTRLKHIVEMYADGPGILFELVQNAEDAKASEVVFLLDKTHYGTSSILSPEMAEWQGPALYCFNDSIFSPQDLYAISRIGQDSKLEKPFAIGRFGLGFNCVYHFTDIPGFVSGENIVMFDPHASYLPGISPSHPGLRIKFVGRRILEQFPDQFTPFLHFGCNLQQPFPGTLFRFPLRNEAAASRSQIKQEQYTPQDVEMLFSSFSEVVSEALLFLRNVKNITLYVKESDSQEMKLVHRVSKHNSYEMAKEPHALNTMLAFINGNQPSGMDRNQFFNKLNKTKDSDLPWSSQKVSIFEQSPAACLVHSWILTESIGGGHARKLSTASGSKSHFFVPWASVAAYLHSVTVDNTKELSGEAEVNLDDLVLKQLSLGSSKDRKFFEGRAFCFLPLPINTSMPVHVNAYFELSSNRRDIWIGNDMAGGGRVRSEWNLALLEDVAAPAYGHLLAAIAQELGPSDLFLSFWPTAVGVEPWSSMVRKLYVSIAELGLHVLYTKARGGHWVSTRQAIFPDFSFSKAIELAEVLSEAGLPVVSVSKQIVDSFLNAYPSVHLLNPHLLRNLLIRRKRGFRNREEAILVLEYCLSDMGDPSFPDKLQGLALLPLANGSFTTFTNRGEGERVFFSSQMEFELLKDSIPHLVVDNSLPDAILKKLYDIACSARSNIYLFTCNFLLELLPRILPPEWQHAKQLFWSPGHQGQPSVEWMVSLWNFLRHSCEDLSIFAKWPILPLVDGKLMQLGNASNVIIDDGWSENMYSLLQKLGCFFLRSDLQIEHPQLANFVQEATAVGVLNAVQSVASNFQDIKELFMGISLAETHELRSFIFQSKWFSGNHMNSSHMNTIRNLPIFESYKSRELVSLTSPRKWLKPEGVHEDLLNESFIRTESAKEKSILVSYFAIREPQKAEFYKDHVLPRISEFLSQPAVVSAILCDVKLLAENDTSVRAALHETPFVLAASGAWVHPSRLYDPRVPELHKLLHKETFFPSEKFMTTEVIELLASFGLKSKLGFSTLLDIARSVSLQQDDALEHGKRLLTYLNFLEFKASNMEDKKTFHGDDNQEASKTDGSFEAENDGDGYDPEETILSLFSNFDHDLPEDEFWSELKNISWCPVHVAPLLKGLPWFISEDHVAPPITTRPKSQMWLVSSKMRILSADSCSMYLQRKLGWLDPPNANILSSQLVEISKSYDELKMFSEDSTNDAVPQKEIQLIYSKLQDIIDTADTNILKRNLDGHPWVYIGDRFVPPQALAFDSPVKYHPYLYAVPSELSEYKRLLSVLGVKQTFDAADYLNVLQCLQSDAKGEPLSTEQLSFVHRVLEAFVDCYPDNQAPDMMVNSLLIPDSFGVLTPARNLVYNDAPWMNADPTSKSFVHLSIGNDLANRLGVRSLRGSSLLDDELMTDLPCMEYAKISELLALYGESDFLLFDLIELADHCNAKKVHLIYDKRDHPKQSLLQQSLGDFQGSSLTVVFEGTIMSREEVCSLQLPPPWKLKGNILNYGLGLLSSYFVCDTLSILSGGYFYIFDPLGLTGGTTSTATSSARFFSLIGNDLVERFHDQFTPMRVTQEASLSSANSTVIRMPLSSKCLKELEAGCNRVKHIFDRFTQNPSSTLLFLRSIIQVSLSTWEGGASQPTLNYSVLVDASVATLRNPFSEKKWRKFQLSRIFASTSAAIKMQAIDVHVIDNGCNYIDKWFVALCLGSGQTRNMALDRRYLAYNLTPVAGVAAHIARNGVSTNIHASSCILSPLPLSGSISMPVTTLGHFIVRHNGGRYIFGSSHDKSLSDLEMHKNKLVEAWNKELMLCVRDSYVEMVLEFQKLRKDPLSSAIESRSAHSVSTILQAYGDRVYSFWPRSKQHPASLTGYGSTVTNVNSPRASKADWQSLVEQVIRPFYVRLADLPVWQLYGGNLVKVDEGMFLSHSGSGDDDNLPSASVCSFIKEHYPVFSVPWELVREIQAVGVNVREIRPKMVRDLLKASSSILLRSIETYMDVLEYCFSDMDPYRFSDLHMHEESRVSNQQSEIMNSSISNSMPSSSSSVSYHRNTQRQGASGGDALEIVTYFGKALYDFGRGVVEDISKTGGSASHRTQAAENNVLSSIITELKGVPFPTSTKCLTRLGSTELWIASEEQQLLMRPFLHHFIHHQCLQKPFLELLLTTQVIHRPLKLRSFSPHLLSGHLKHIFDERWVHLAVEKKSPWIPWDNNANSSTAGPSPEWIRLFWKIFSSMSGDLSLLSDWPLIPAYLDRPVLCRVKECHLIFVPPADDSNPDSGDSAARVVDTSAHPGDETGEAEQNSILDTAFQSMNSAFPWLPALLYKLNIPVFDLSFPECGTICNLFPSRDRTLGQIIASKLVAIKNGGHLPLPLSLSSEDSDKLFALFVSEFRLSSNHLYQREELDVLRELPMYKTVTGTYTSLSGSDHCILSPTAFFHPADSRCLSSTANADLFLQALGVEQLSDQEILVRFALPGFGNKSAQEQEDILAYLYSNWKDLQLNSSVVNTLKETNFLTSANEFCTELFKPRELLDPSDALLTSVFSGERHKFPAERFLSDGWLVILRKAGLRTSTEADMIVQCATKIESMGNDIVSSSEDPSDFEADFSGSKNEIPFELWSLAESVVNVILANFATLYDSSFCEKIGKIAFIPAEKGFPSIGGKRGGRRVLASYSESILSKDWPVAWSSAPILTNQAIIPPEYSWGAFRLRSPPAFTTVLKHLQSVGRGNGEDTLAHWPTSSGIMTVEDAFLRILQYLDKIWGTISSSEKNELQTLAFIPVANGTRLVTVKSLFARLTINMSPFAFELPSLYLPFVTILREIGMQETLTNTYARELLLDIQKACGYQRLNPNELRAVMEILDFMCSGVNQATDGSEDIFDSVIPDDGCRLVSAVSCVYIDPYGSHLLSNIDTSRIRFAHPDLPQNICNTLGIKKLSDVIVEELDGKEELKMVNSICSVTLEKIKEKLLSKSLQDALRIVMIGVSNHFPSFEALNLAQIESVLKDISQNLQFVQRLHTRFLLLPMLQDVTRSSQRPPFPEWSSNGKHRSVCFVNKSTGQILVAEPPNFLTIHDAIAIVVSYRLGAPVILPIASVFACPDGTEKEVLKILRLGTDIGVSKREGRYNGSLGAELLSQDARQVQFLPLRPFYSGEIVAWKTGKEGEKLRYGRVPEDVRPSAGQALYRFPVETSAGETCMLLSSQVYSFKSVSMADLSSAPLQLDSGRAAGGQQGFSPINTGTEAADDVATGLEYGKVSSTELVQAVHDMLSAAGVRMDATKETLLQTTLSLQDQLKESQVALLVEQEKAEAAVREADVAKSAWSCRVCLNAEVNMTIIPCGHVLCNRCSSSVSRCPFCRTQVSRMMKIFRP >ONIVA04G27980.1 pep chromosome:AWHD00000000:4:26953602:26957927:-1 gene:ONIVA04G27980 transcript:ONIVA04G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWQPASTSGDVDRIANRKHRHIVPRRSTEKKNPHNIQFERQVAALEYRQEEQRKRANGGRLFFTLSLSSHLVENGDELETSASPSLLLFHFNDPEDLARCLTSSRALLEDSQQSDKAPDNDFSVNTFSNANVDVKRTSRKKSKKKNKRHKRVHGKKVSEASDTQSMQSKGASHCIDVAGGESLTLSSNHVAHAGSEMRCRKETFPSMADGGETLTLPPNHVADKLFGDLSSDSSVREVSAERPDSETDSSWNFSDDTENLLIDKNECPPCVQSKVTDLRGSKCGGSEGWLNKSNHDKFSCFRNSADACSGTQEMQSCSNASSDGDFLPVISRKRARKNRKVQPLGGCNVEHICGVEHGQSGKQSKISSRPSNSCTQVASKDSTKDFIHPIKVRTWTPHEVTLNDYMIGANMNHLQDPKQNRRGKPHKYSRLSEVANGGFIEEKSACTAKMLPGITHSTETGVGQTASSSASDVTVREISEEICTPIGPVQKGGLQILLREENVVGTGSLDVLNHVSSVDSEEQKKVDNAVMSRSHGMEGHHLQSQDSGSQFPGCTTDYWKTSRPTESGLEVGYHGVSAFEGRCNTNQQRSVSSKLQLGEMIKAANDACKVQGASDVHLISGHPLADFETFIYSASPVIAKTSCMRNGNCLQDPQAGSSPYQYQISDVSLRNVWEWYEEPGSYGLEVEIHRSLNSTRSACGVSEFCAYFLPSLSAIQLFEQCKNNLDHKFDSDDDFLLSQPNGVYLPKPSLSVQDHGEPLFEYFESEHPSSRPPLFEKIKQLTSGENLSTCQIFGDPKMLENLKLRDLHPASWFCVAWYPICRIPQGNCRAAFLTYHSLGKVVPQIHSPDKADEPTHLVCPVVGFWSYNDKGEQWFQLRNPEIKPMSLDVGPKTDRAEVLKQRLKTLRHGASVMSSMVIPKANGEKSINRHPDYEFFLSRSN >ONIVA04G27980.2 pep chromosome:AWHD00000000:4:26953602:26957921:-1 gene:ONIVA04G27980 transcript:ONIVA04G27980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWQPASTSGDVDRIANRKHRHIVPRRSTEKKNPHNIQFERQVAALEYRQEEQRKRANGGRLFFTLSLSSHLVENGDELETSASPSLLLFHFNDPEDLARCLTSSRALLEDSQQSDKAPDNDFSVNTFSNANVDVKRTSRKKSKKKNKRHKRVHGKKVSEASDTQSMQSKGASHCIDVAGGESLTLSSNHVAHAGSEMRCRKETFPSMADGGETLTLPPNHVADKLFGDLSSDSSVREVSAERPDSETGNDGSFITLISSTSCSDEIELSRHASYFECCEQSNSNNSRCLDSASTSTLTDSSLDGHYTDSSWNFSDDTENLLIDKNECPPCVQSKVTDLRGSKCGGSEGWLNKSNHDKFSCFRNSADACSGTQEMQSCSNASSDGDFLPVISRKRARKNRKVQPLGGCNVEHICGVEHGQSGKQSKISSRPSNSCTQVASKDSTKDFIHPIKVRTWTPHEVTLNDYMIGANMNHLQDPKQNRRGKPHKYSRLSEVANGGFIEEKSACTAKMLPGITHSTETGVGQTASSSASDVTVREISEEICTPIGPVQKGGLQILLREENVVGTGSLDVLNHVSSVDSEEQKKVDNAVMSRSHGMEGHHLQSQDSGSQFPGCTTDYWKTSRPTESGLEVGYHGVSAFEGRCNTNQQRSVSSKLQLGEMIKAANDACKVQGASDVHLISGHPLADFETFIYSASPVIAKTSCMRNGNCLQDPQAGSSPYQYQISDVSLRNVWEWYEEPGSYGLEVEIHRSLNSTRSACGVSEFCAYFLPSLSAIQLFEQCKNNLDHKFDSDDDFLLSQPNGVYLPKPSLSVQDHGEPLFEYFESEHPSSRPPLFEKIKQLTSGENLSTCQIFGDPKMLENLKLRDLHPASWFCVAWYPICRIPQGNCRAAFLTYHSLGKVVPQIHSPDKADEPTHLVCPVVGFWSYNDKGEQWFQLRNPEIKPMSLDVGPKTDRAEVLKQRLKTLRHGASVMSSMVIPKANGEKSINRHPDYEFFLSRSN >ONIVA04G27970.1 pep chromosome:AWHD00000000:4:26946684:26950124:-1 gene:ONIVA04G27970 transcript:ONIVA04G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl hydrolase 9C1 [Source:Projected from Arabidopsis thaliana (AT1G48930) TAIR;Acc:AT1G48930] MAATMNKTPATTFLLIPAAASLVLLLAAAASVEASAFDYAGAFDKCLLFFEAQRSGKLPDDRLVRWRGDSALTDGFSQGVDLVGGYYDSGDHVKFGLPMAYAVTMLSWGVVEFEKEMVDGNKLHRVLDAIRWGTNYFVKAHTQHNALWVQVGDGDSDHLCWERAEDMSTPRTAFKIDINNPGSEVAGETAAALAAAAKAFKPYDRMYSDLLLLHSKQLFTFADTFRGKYDDSLQSAKKFYPSASGYQDELLWAAAWLYEATGDEQYLRYVSQNAEAFGGTGWAVTEFSWDNKYAGLQVLLSKVLFEQGGSAAGYADTLKQYQAKAEFFLCACLQKNNGHNVKMTPGGLMYVSDWSNMQYVSSSAFLLTVYADYLAESRGTLRCPDGEVKPAEILRFARSQVDYVLGKNPKGMSYMIGYGSYYPTHVHHRGASIPSIYAMNATVGCMEGFDKYYNSKNADPNVLHGALVGGPDANDAYDDDRCNYQHAEPTLAGNAPMSGVFARLAASPADNTPEYTPAPNAPSPSNGGSPLEFVHTVTNTWKANGVDYYRHVVTAKNTCGQAITYLKLQIKELSGEIYGVSRTNAKDMYEFPSWMTRLDAGAQLTIVYIQGGPAAKIAVVEYKTA >ONIVA04G27960.1 pep chromosome:AWHD00000000:4:26940772:26942534:-1 gene:ONIVA04G27960 transcript:ONIVA04G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGANPANSCALTPLGFLERAATVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSSLGISRGDVVSVLLPNVPAMYEMHFAVPMSGAVLNSINTRLDARTVSVLLRHSGSKLIFVDPALLPVLRDALRLLPAGHTAPRVVLVEDPHEKEFPPAPAAALTYERLVEKGDPEFAWVRPASEWDPMILNYTSGTTSAPKGVVHCHRGIFLITVDSLVDWAVPPRPTYLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVDAAEVFDTIARRGVNHLCGAPVVLNMLANAPEGVRKPLPGKVRILTAGAPPPAAVLYRTEAIGFEVSHGYGLTETAGLVLSCAWKGEWDKLPASERARLKARQGVRTPGMAEVDVVDGETGRSVPRDGSTMGEIVLRGGCITLGYLNDEAATKAAIRDNGWFYTGDVGVMHPDGYVEIRDRSKDVIISGGENISSVEVESVLYGHPAVNEAAVVARPDEFWGETPCAFVSLKQGGGAVTAADVVAWSRERMPRYMVPKTVIFRDELPKTSTGKIQKYVLRNIAKEMGPTTGTNTNRNSKM >ONIVA04G27950.1 pep chromosome:AWHD00000000:4:26936391:26939839:-1 gene:ONIVA04G27950 transcript:ONIVA04G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGRERRDQEEEEAAVVSVERVFEGRVVPGWKEQVTLRALAVSALLGAMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLTSWTKLLDKAGVASVRPFTRQENTVVQTCVVACSGIAFSDADLCTGGTGGFGSYIFAMSDRISDQSGEARDEHNIKNPSLGWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKMQVKMLGKFFVMSFSWGFFQWFYTGGDGCGFMSFPTLGLEAYRNKFFFDFSATYVGVGMICPYLVNISVLLGGVMSWGIMWPLIEHKKGDWYPADLKPSSLRGIVGYRVFISISLILGDGLYNFLKVMTRTTTALVMQVRAMMSEPTLPVSGGGGGGGGQTPEETFDDKRRTELFLKDQIPNWLALSAYVVIAVVSIATVPRIFHQLRWYHVAVSYVVAPVLAFCNAYGCGLTDWSLATTYGKLAIFTVGAWADASDGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYKAFHDIGMPGSEYPSPNALVYRNMAILGVQGLGSLPKHCLDLCIGFFVAAIAVNLARDLAAPKVARFLPLPMAMAIPFYLGPYFGIDMCIGSLIRFVWDRLDGARAKAFAPPVASGLICGDGIWTLPQSVLALAGVKPPICMKFLSRTTNIKVDAFIAKLPSS >ONIVA04G27940.1 pep chromosome:AWHD00000000:4:26932218:26934037:1 gene:ONIVA04G27940 transcript:ONIVA04G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRAEQPGWLAGCCCCVQSRAGHRTIYRPVPHHPPEFIMYPKNYFCIRIPNFKMKPLQPTRLNFKIHHSQFSLDLSVICQNPSPDSSYLATRPEATDTWVSNSKTGTKLAYHASSLLITAAFTTKALFQSSPQPSLTSLTKKQTERRATLAFAACPH >ONIVA04G27930.1 pep chromosome:AWHD00000000:4:26923659:26929179:1 gene:ONIVA04G27930 transcript:ONIVA04G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQQQQPLLEVEQCVTSIPEDHEATCWGCGLRLIFASYAPVFKCGWCGAITQSNQTSRKPDSVCFSHWRNFRDRFFVTVLILFMLFVICRWWGLGGVLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKILGTVWELQITVLFYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGLVTVYIAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSLNVMHGERGLQNLIRFFGCPYSVSRVLSGYSNTGKLQDNSREINVKIKSGSDFGVI >ONIVA04G27930.2 pep chromosome:AWHD00000000:4:26923659:26929179:1 gene:ONIVA04G27930 transcript:ONIVA04G27930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQQQQPLLEKIMRPLVGDVDSVSFLQVMLLFLSVAGVEQSHKVTKLHENLTVYAFLIGGISGTGGGVWAVYPVVFSISTFCGIFHCILTGVLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKILGTVWELQITVLFYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGLVTVYIAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSLNVMHGERGLQNLIRFFGCPYSSIIGLLKHWQVTGQFEFKTSLEI >ONIVA04G27930.3 pep chromosome:AWHD00000000:4:26923659:26929179:1 gene:ONIVA04G27930 transcript:ONIVA04G27930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQQQQPLLEVEQCVTSIPEDHEATCWGCGLRLIFASYAPVFKCGWCGAITQSNQTSRKPDSVCFSHWRNFRDRFFVTVLILFMLFVICRWWGLGGVLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKILGTVWELQITVLFYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGLVTVYIAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSLNVMHGERGLQNLIRFFGCPYSVSRVLSGYSNTGKSEEIASASTACTDAT >ONIVA04G27930.4 pep chromosome:AWHD00000000:4:26923659:26929179:1 gene:ONIVA04G27930 transcript:ONIVA04G27930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQQQQPLLEKIMRPLVGDVDSVSFLQVMLLFLSVAGVEQSHKVTKLHENLTVYAFLIGGISGTGVLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKILGTVWELQITVLFYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGLVTVYIAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSLNVMHGERGLQNLIRFFGCPYSVSRVLSGYSNTGKLQDNSREINVKIKSGSDFGVI >ONIVA04G27930.5 pep chromosome:AWHD00000000:4:26923659:26929179:1 gene:ONIVA04G27930 transcript:ONIVA04G27930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQQQQPLLEKIMRPLVGDVDSVSFLQVMLLFLSVAGVEQSHKVTKLHENLTVYAFLIGGISGTGVLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKILGTVWELQITVLFYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGLVTVYIAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSLNVMHGERGLQNLIRFFGCPYSSIIGLLKHWQVTGQFEFKTSLEI >ONIVA04G27920.1 pep chromosome:AWHD00000000:4:26919392:26922088:1 gene:ONIVA04G27920 transcript:ONIVA04G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7E1] MAATAAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFADASVGSVLAVIKKVENLGNQFITEISRVLKAGGMVLVQSSPSDQDPNNSIERKLLLGGFVDVQASAASSQDNEHSVNIKAKKASWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADM >ONIVA04G27920.2 pep chromosome:AWHD00000000:4:26919392:26922479:1 gene:ONIVA04G27920 transcript:ONIVA04G27920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7E1] MAATAAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFADASVGSVLAVIKKVENLGNQFITEISRVLKAGGMVLVQSSPSDQDPNNSIERKLLLGGFVDVQASAASSQDNEHSVNIKAKKASWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADM >ONIVA04G27920.3 pep chromosome:AWHD00000000:4:26919510:26922479:1 gene:ONIVA04G27920 transcript:ONIVA04G27920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7E1] MAATAAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFADASVGSVLAVIKKVENLGNQFITEISRVLKAGGMVLVQSSPSDQDPNNSIERKLLLGGFVDVQASAASSQDNEHSVNIKAKKASWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADM >ONIVA04G27910.1 pep chromosome:AWHD00000000:4:26918938:26919135:-1 gene:ONIVA04G27910 transcript:ONIVA04G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGRACVRAAHITPAAWRWTDRLGWGGGRRIRDLVYAGTRGDLQTPVVPIAIPLQADLVYLVR >ONIVA04G27900.1 pep chromosome:AWHD00000000:4:26912118:26918507:-1 gene:ONIVA04G27900 transcript:ONIVA04G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRPGRGESIAYPFSVAMNELCDLKVHINGHHTLLLHQSVMCAFSGRLRTMVTQEKKKRKTSRAESLSVKLADFPGGGEGFELVARFCYNNGRVPLCPSNLPLLHCAAVFLEMTEEVCTCNLLTQAEAFVDGLYYWTWADVLAAVKSCEPFAAAADASGLLERLISALFSKITANPETPVAAGAVGTPNRSSSSCSSSPDTLGFGRSSSTKTPESMKPCFAREWWFDDMTSLCPQTIEKAMRVLGCYGIENKNLILTRFLLHYLRAATRRPALCREGGGGLAGLADTAVHGVALVGGTAFSCRGLFWVLRIVSAVGLSKECRHKLERLMGLMLDQATLDDLLVSGDDGGVYDVNLVMRLVRVFVGSEEEADLPSQRMRKVGRLVDKYLGEISPDHGLRVSKFLAVAESLPDSARECYDGVYRALDIYLESHPTLTIEERTTLCRCLNYEKLTLEACKDLAKNRRIPPGIAVQALASQQSKLQIRPAAAAAKGTGGGRPDPSQTPRKIIVSGSRARSVDLDAMDEKELLKLNLQKMQNRVVELERACKEMRGQMSKMAKGGRPSSSFGAAACHQTGGRGLPRLC >ONIVA04G27900.2 pep chromosome:AWHD00000000:4:26912118:26916125:-1 gene:ONIVA04G27900 transcript:ONIVA04G27900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNELCDLKVHINGHHTLLLHQSVMCAFSGRLRTMVTQEKKKRKTSRAESLSVKLADFPGGGEGFELVARFCYNNGRVPLCPSNLPLLHCAAVFLEMTEEVCTCNLLTQAEAFVDGLYYWTWADVLAAVKSCEPFAAAADASGLLERLISALFSKITANPETPVAAGAVGTPNRSSSSCSSSPDTLGFGRSSSTKTPESMKPCFAREWWFDDMTSLCPQTIEKAMRVLGCYGIENKNLILTRFLLHYLRAATRRPALCREGGGGLAGLADTAVHGVALVGGTAFSCRGLFWVLRIVSAVGLSKECRHKLERLMGLMLDQATLDDLLVSGDDGGVYDVNLVMRLVRVFVGSEEEADLPSQRMRKVGRLVDKYLGEISPDHGLRVSKFLAVAESLPDSARECYDGVYRALDIYLESHPTLTIEERTTLCRCLNYEKLTLEACKDLAKNRRIPPGIAVQALASQQSKLQIRPAAAAAKGTGGGRPDPSQTPRKIIVSGSRARSVDLDAMDEKELLKLNLQKMQNRVVELERACKEMRGQMSKMAKGGRPSSSFGAAACHQTGGRGLPRLC >ONIVA04G27890.1 pep chromosome:AWHD00000000:4:26909244:26912808:1 gene:ONIVA04G27890 transcript:ONIVA04G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis protein COQ4 homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7D6] MKARPIILQLGPIPSHSEFRTCGYRGSERRRTQLGESSSAAAATATARTTDDPSLISLTFRGATKRRGGALDGEGARVNLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFQRVLQRMRNSAEGRDVLLERPRVISTQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWASKAGLKCTDLMSVYYEKHFHEDLDEVRRNWGIIPCPDPKRSSV >ONIVA04G27880.1 pep chromosome:AWHD00000000:4:26906560:26909011:-1 gene:ONIVA04G27880 transcript:ONIVA04G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G17670) TAIR;Acc:AT3G17670] MAAAAATAFSCSFASPRHRRSPTTARASGAAVEIRVCTNRTCARQGGREVLAALEGLAPPRVDVASCGCLGRCGAGPNFGASVSGGGAAVFGHVGTAARAAQLLEHLLGDSGFDAAAGLAALAAREKAEAALEKGGAAEAEALLTEAIEMNAGGGLHLAYRSRSRARLSMGNITGALADAEEATKIAPKFPQAHLSRGDALFAMEEYRAAEDAYADALDLDPSIRRTKSFRARVQKLREKVANADVSSSS >ONIVA04G27870.1 pep chromosome:AWHD00000000:4:26903198:26905279:1 gene:ONIVA04G27870 transcript:ONIVA04G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVPPQIRASRPDLEGGRLWWSATAVDLRQLVTAVGNGGDGWLRQLAAATCTPNHTDELEAYKTSNTELES >ONIVA04G27860.1 pep chromosome:AWHD00000000:4:26899341:26903160:-1 gene:ONIVA04G27860 transcript:ONIVA04G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSRRAGLKPRLSRGSRRQRCAHTAAGLFLPRLHTRLHTVVGMLAGRRLDGGDPASHAGEDRSGLGGAGSSEEVARSGDVARRRTRPVPAASSTPRLLRPVGRRQRRLVRPVAAAASSAPSPPPSLPRRRRLPSPGSGRLRRHGRRDAPPPPSLRPAGFPVGHSGGGEAKGRGKARRRPARVGALASPLGLERHEPRWGTFAPMYLSHLYIILFKINGAKSAI >ONIVA04G27850.1 pep chromosome:AWHD00000000:4:26897520:26903118:1 gene:ONIVA04G27850 transcript:ONIVA04G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYCRRHALKLRAGPRVSTGDIASYIIVQLQVQGLHSSAVHTGLVQMADLAPLILKRMMYKWDRSVEHCHPLKFLLKPSSPCKVTETLNTGAKVPHLGSCRSSPRGDASAPTRAGRRRAFPLPFASPPPEWPTGKPAGRKDGGGGASRRPWRRRRPDPGLGRRRRRGREGGGDGADEAAAATGRTRRRWRRPTGRRRRWRRWRQDGGGAGSRDRAGPAARDVTGSGNLLAGSGAPQSGSVLSGVGGRIPSVEAATGQHADDSVQPGVEAGKKETGGCVGAALAAGPA >ONIVA04G27840.1 pep chromosome:AWHD00000000:4:26896222:26897187:-1 gene:ONIVA04G27840 transcript:ONIVA04G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDKYHALLPFHASGGGVKDHRRRPGKAVPGAAGGGPGSARFGAALSARLGSLLPLPASPLAALARLADLLALALADAVPALAGEGDAAAVAAHLDAGVALLDACNGITARLDRLRRRRLLSRFALHLLSSSSPPLPSSVRRARAALADRDERAPASPPPPLPSLPFDQPRDRVSGAATVLLAVDAVSSLAAAAASAVLCGDALHQIAFPLVSGEFPWTEAFNAVSIQLAALATKPSEVDAVDEAVGKLKSVLDNGDGDLDEAALRAAAQEVERRTEELTAPLDRLSDAVNGVFRAALCLRNAELGSIMVGPAEKTPCK >ONIVA04G27830.1 pep chromosome:AWHD00000000:4:26893147:26893948:-1 gene:ONIVA04G27830 transcript:ONIVA04G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNHLVSPALLLLLALLGASVRRAGATTFEVGGEHGWAVPPAKDAGVYNDWASKNRFLVGDSVHFKYAKDSVMVVTEDDYNKCKAEHPIFFSNNGDTEVGLDRQGLFYFISGVAGHCERGQRMVIKVIGHDAPPPASPPPPPSNAPPTPPHPSGAASALGAGGLAVAAMLLPVFVYGV >ONIVA04G27820.1 pep chromosome:AWHD00000000:4:26889018:26889764:-1 gene:ONIVA04G27820 transcript:ONIVA04G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRPLSSVPPLGCALLGPPSSASLNPPLRPAGQLAGSPLSDGDGTSGDRGSSRAMYIFLALSAALVMETMRRIRSHHGHRHLLKPIAAVTLGEAAGSALPSSLAADPCERGAERRRESCERGRDRRIRTSHHHPRRIRATVGRRDDRIQARRSEAAHGIRAGGEGVAGSAPPVADDGGSAREGGRETMGSRRGGVNPSQYGNAPSKKLNAIAGGGMVDLVGNDGFEQESGCWRYMRRWRKTQENREK >ONIVA04G27810.1 pep chromosome:AWHD00000000:4:26888988:26892486:1 gene:ONIVA04G27810 transcript:ONIVA04G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMVSITKAADSAKKMYIALELPRSPLVPSPSLNGLPASCPAGRRGGFKLADEGGPRRAQPSGGTEDSGRFFMISGEERIVEWIRGRDGG >ONIVA04G27810.2 pep chromosome:AWHD00000000:4:26888988:26894253:1 gene:ONIVA04G27810 transcript:ONIVA04G27810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKFFKFFDDYLGGMHETMDILSRDEITFPVHCRQNYSKWRLIDRSSDLTDAVADEEAVLGRPVVVHAGVFGRRHGPAVFPTDLEGGGAGTPDRCAEEGEKQQQRGGDEVVRRRHYRSRDGAMQRAESELIAGKERGWSSGKPGAQVPGGGGGGGFGVFSQGGNSGDCDYVGRIGVAAILHSCMSSMTRRLVASFDRRANQLPTANLVLTSQALS >ONIVA04G27810.3 pep chromosome:AWHD00000000:4:26888990:26892418:1 gene:ONIVA04G27810 transcript:ONIVA04G27810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMVSITKAADSAKKMYIALELPRSPLVPSPSLNGLPASCPAGRRGGFKLADEGGPRRAQPSGGTEDSGRFFMISGEERIVEWIRGRDGG >ONIVA04G27810.4 pep chromosome:AWHD00000000:4:26888988:26891975:1 gene:ONIVA04G27810 transcript:ONIVA04G27810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMVSITKAADSAKKMYIALELPRSPLVPSPSLNGLPASCPAGRRGGFKLADEGGPRRAQPSGGTEDSGRFFMISGEERIVEWIRGRDGG >ONIVA04G27810.5 pep chromosome:AWHD00000000:4:26888988:26891197:1 gene:ONIVA04G27810 transcript:ONIVA04G27810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMVSITKAADSAKKMYIALELPRSPLVPSPSLNGLPASCPAGRRGGFKLADEGGPRRAQPSGGTEDSGRFFMISGEERIVEWIRGRDGG >ONIVA04G27800.1 pep chromosome:AWHD00000000:4:26878494:26883585:-1 gene:ONIVA04G27800 transcript:ONIVA04G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uracil dna glycosylase [Source:Projected from Arabidopsis thaliana (AT3G18630) TAIR;Acc:AT3G18630] MAPPLPPTAPKTIADYLIRPSKRLRPTSPAPAAAASAPLSSSSLSPEQRRRADTNLALARARRHLRLAESKASGGTAKLEELLVEETWLEALPGELHKPYALELCRFVAHERLHSPVPVYPPPHLVFHALHATPFDRVKAVIIGQDPYHGPGQAMGLSFSVPEGIKIPSSLANIFKELQKDLGCTVPSHGNLERWAVQGVLMLNTVLTVREHQANSHAKKGWEQFTDAVIKTISLKKSGIVFILWGNSAQAKTRLIDETKHHILKSAHPSGLSASRGFFGCSTCISGLPYMRRHNIYLMLDNPPMAGTFLKRIRSWRGWDYLPLIGNSRPFEDIMWSVCLTTTSALKWAPLQWKHRKSPILEKIGTHLDGSLAAKQPVSCTVRRSF >ONIVA04G27790.1 pep chromosome:AWHD00000000:4:26871778:26873698:1 gene:ONIVA04G27790 transcript:ONIVA04G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTHCNRKASSPLLLLLAYSIARGFLDWIIGLDFELINGGSGAGSGGGEGGGGDVAEGRRRRRRRRGQEGGAGCGGGGGRRRGAERDARAGGGRQLRGPRRHRQDPPELQVQGDHGGVGDEGARAPLPRPRPQRQHDHHRLLDARHDRLRAPQARQGIVSAQGDPGGDHVVGERAEPDQPVPGGGRRGLPAQARTPLRRVAALQPYQMIARSPCWIMERMINS >ONIVA04G27780.1 pep chromosome:AWHD00000000:4:26850469:26850843:1 gene:ONIVA04G27780 transcript:ONIVA04G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKMGKTLRSKAAEAPPPCLFRFFISTPRVRFVAELRRGRRRGRRRRAPPPPAPSWLPIPAPSSLLVAVGRGGWGEDVIAGGGRGGKEGGLGWGKNMTSGPEGIFDLLHCLALLLNQKLIFY >ONIVA04G27770.1 pep chromosome:AWHD00000000:4:26849991:26850419:1 gene:ONIVA04G27770 transcript:ONIVA04G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVRAHAEDGGAWGRVWAMDGGMRAHAEDGGAWGWAWAMGGDTAPGAVVAWEGGMATGVGGGAQGRVAPMRASRDVPAAGGAEEGVGRRRGRTQLGAAGWR >ONIVA04G27760.1 pep chromosome:AWHD00000000:4:26843002:26848101:-1 gene:ONIVA04G27760 transcript:ONIVA04G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAPRSMNGTSSSAGLFVSPNTGVLGNVSVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQFPVVDSATQHLLEENNHLLNQIATNIETFKTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMIVCPPAYLPELKAVESTGN >ONIVA04G27760.2 pep chromosome:AWHD00000000:4:26843002:26847473:-1 gene:ONIVA04G27760 transcript:ONIVA04G27760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGAGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNVSVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQCQVYDGGKVHTSHKVPVVDSATQHLLEENNHLLNQIATNIETFKTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMIVCPPAYLPELKAVESTGN >ONIVA04G27760.3 pep chromosome:AWHD00000000:4:26843002:26847473:-1 gene:ONIVA04G27760 transcript:ONIVA04G27760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGAGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNVSVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQCQVYDGGKVHTSHKVPVVDSATQHLLEENNHLLNQIATNIETFKVCMSINLSHFNSKVVAFEVNECPYTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMIVCPPAYLPELKAVESTGN >ONIVA04G27760.4 pep chromosome:AWHD00000000:4:26843002:26847473:-1 gene:ONIVA04G27760 transcript:ONIVA04G27760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGAGAAAGMVMAPRSMNGTSSSAGLYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQFPVVDSATQHLLEENNHLLNQIATNIETFKTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMIVCPPAYLPELKAVESTGN >ONIVA04G27760.5 pep chromosome:AWHD00000000:4:26843002:26847473:-1 gene:ONIVA04G27760 transcript:ONIVA04G27760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGAGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNVSVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQFPVVDSATQHLLEENNHLLNQIATNIETFKTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMIVCPPAYLPELKAVESTGN >ONIVA04G27760.6 pep chromosome:AWHD00000000:4:26843457:26847473:-1 gene:ONIVA04G27760 transcript:ONIVA04G27760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGAGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNVSVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQCQVYDGGKVHTSHKVPVVDSATQHLLEENNHLLNQIATNIETFKTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDP >ONIVA04G27760.7 pep chromosome:AWHD00000000:4:26843457:26847473:-1 gene:ONIVA04G27760 transcript:ONIVA04G27760.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGAGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNVSVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQFPVVDSATQHLLEENNHLLNQIATNIETFKTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDP >ONIVA04G27750.1 pep chromosome:AWHD00000000:4:26838621:26841890:1 gene:ONIVA04G27750 transcript:ONIVA04G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDAYAASAAASAASPRTPAPPETPSTQKRRQRGLVSRVWKGIFGRREDVEKLLQALSKEEEAVRSRLRRRARASRQSAHNVLAIAAALEIAAVGYAIMTTRSPDLSWQMRATRVLPMFLIPALAALIYSTITSVTKMLDNRDQHTLENLRAERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAATVLASKLGADSGLRVFLGDESNRDATLSKSNDAQTTGPRQRKPGHLSNSTGRTYGPESLGGSYAYDGNEGVTTPNQRTVDHFRGPAGNDGGWLARAAALLVGEDPTQCYALICGNCHMHNGLARKEDFAFITYYCPHCNALNGSRQHDEHEMVSPGKETPISHCDGSIGHAGANLANSGAGSPIVKDLPTVEELPAESSVATNLPSAEELPAESPIANNLPAVEELAAESPVASSTPAIEELPAEGTVEKASIDHPAS >ONIVA04G27740.1 pep chromosome:AWHD00000000:4:26834554:26838050:1 gene:ONIVA04G27740 transcript:ONIVA04G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAADQDTVSLVRRVSRALNRRLTDLVGLLFHHKSAGSLGAVAGFAIAVVFAWKFLRSSPARPRRPPGPKRPLVGPAAPDSAARDAAEPANPGKLTTRQIVVKRLGGCRKVTCQLLGVVFEEITPQELQTHATIRPSVVELLLEIAKYCDLYLMETVLDDKSEENALLALESAGLFKTGGLMKEKVLFCSSEVGRTSFVRQLEADFHIDTSLDIISQLSRFIRCQLFVSSIEGGQLAGNVFNSPSLEQFFS >ONIVA04G27730.1 pep chromosome:AWHD00000000:4:26830610:26832769:-1 gene:ONIVA04G27730 transcript:ONIVA04G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G50420) TAIR;Acc:AT3G50420] MPPDWSRLGLEEALAAAALLLRSCSPRRAVLRRARAVHALLVVSSTPSSPALTTFLANQLLSLYARLSAVPDALALLRSTPRPSVVSYNTVLSALSRAPRHAPEGLRLFRRLHASGLRPTAPSLCALLRTAGELRDRRAGAAAHSQAATLGFLASDIVPTALLQMYSQCGAPRDANQVFDEMATRDGVAWNCVMHCNVRYGYLDRALGQFCRMVRGGLLPTESTLSSVLSGCGRAGDCLRGRVLHGWVVKLEELDPDMPLQNALLDMYSSCGDLDTALRVFERIETPDLVSWNTLIAGFSGVGDGCSAMHAFVQLKAVQFDERVVPDEYTLAAVVSASATLPAMFGGKPLHAEVIKAGLENSVFVGNTLLNMYFTNDDPHSTRILFDSITQKDVIMWTEMVAGHSSLGEGELALRYFVSMLQEGYKVDSFSLSSALNSTTELAGLKQGEMLHAQVVKSGYEGNICASGSLVDMYAKNGALPGAYLVFRNIQRPDLKCWNSIIGGYGNHGNSEMAFKLFGEMIRDGLQPDHVTYISILSACSHCGLVEKGKFYWFCMMTDGIVPGFKHYTSMVSLLGRAGLLDEAVDLMMKSPFAKKCPELWRILLSSCITFRNLSIGVHAAEQALEQDPDDISTHILLSNLYASLGKWDFVAEIRKRMRGLMADKEPGLSWVEMKNVVHVFSADDECHSHIDDCHSELLRLKGNMELLDTCENEFMSSG >ONIVA04G27720.1 pep chromosome:AWHD00000000:4:26826178:26828418:-1 gene:ONIVA04G27720 transcript:ONIVA04G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRCLCGALQEMSLLSHFCLCLQRKAGSCCRCIRFLHVFVFVLHIHGGHSQMCDPADLASLLAFSDGLDRMGAGLVGWGPNDTSCCSWTGISCDLGRVVELDLSNRSLSRNSLRGVAVAQLGRLPCLRRLDLSTNGLVGAFPASGFPAIEVVNVSSNGFTGPHPAFPGAPNLTVLDITNNAFSGSINVTALCSSPVKILRFSANAFSGDVPAGFSQCKVLNELSLDSNGLTGSLPKDLYTIPELRWLSLQENQLSGSLDKALGNLSKLTLIDLSYNMFNGNIPDVFGKLRSLESLNLASNQLNGTLPLSLSSCPMLRVVSLRNNSLSGEITIDCRLLTRLNNFDAGTNKLRGAIPPRLASCTELRTLNLARNKLQGELPESFKNLTSLSYLSLTGNGFTNLSSALQVLQHLPNLTSLVLTNNFRGGETMPMDGIEGFKRMQVLVLANCALLGTVPPWLQSLKSLSVLDISWNNLHGEIPPWLGNLDSLFYIDLSNNSFSGELPATFTQMKSSSNGSNGQASTEDLPLSFVKKNSTSTGKGLQYNQLSSFPPSLILSNNMFVGSILPSFGRLVKLHVLDLGFNNFSGPIPDELSNMSSLEVLDLAHNDLSGSIPSSLTKLNFLSKFDVSYNNLSGDVPAGGQFSTFTEEEFAGNPALCRSQSQSCYKRAVTTEMSSETRFTFGLFLTVEAGFAFGLLTVWNVLFFASSWRAAYFQMVDNFFDRFYVITMVNLNRLRRKWEHKDHP >ONIVA04G27710.1 pep chromosome:AWHD00000000:4:26817843:26821720:-1 gene:ONIVA04G27710 transcript:ONIVA04G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAAAATAHRRKPSAPRLTVRHRLGYRARLAPPVRHTPVTDGWTLSMAVCTTGRGNWGFWLCGPVGVLHVSHSLWGKLFLWKKGQMTSMDKAANVVLDIEGLPQQPDKCCTGSPKMTRALSRKGSNRMERRSGEEQEQDDLVKKLIIKVVPSQLEQLKMPLVQNKALVTPQSQCAACAPILTDSGEGRNKKFNRLTSVHPRKILLFFATLSSVGTMILIYFTLAINGGKAEA >ONIVA04G27710.2 pep chromosome:AWHD00000000:4:26817843:26821596:-1 gene:ONIVA04G27710 transcript:ONIVA04G27710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCPWQYVPPAEATGVSGSVDQGQMTSMDKAANVVLDIEGLPQQPDKCCTGSPKMTRALSRKGSNRMERRSGEEQEQDDLVKKLIIKVVPSQLEQLKMPLVQNKALVTPQSQCAACAPILTDSGEGRNKKFNRLTSVHPRKILLFFATLSSVGTMILIYFTLAINGGKAEA >ONIVA04G27700.1 pep chromosome:AWHD00000000:4:26810903:26816267:-1 gene:ONIVA04G27700 transcript:ONIVA04G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7A4] MASPQESNSLCLKRKLVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKSLIEEFRSNKSSVVAVMDDEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEVAKVGGDFEGATQGIMLDKVANSPPEVVKQVVLESSPPVPQKPATADILRKKIASVERGSEGFLFAQDLFLSGMGPFATPNNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGNNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSDIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSGNAEGPTSPWISFRVLFAMIQENISLVARELLFHHYEELKENKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSAGKIASSDPARTAAEDRSLDQTGNCSLIVSVAHGDSHAPNAVAENSTSLCTKGCDTPATGMISKGYDSLAPKGVPETSTSVGPVHGASPSVEPKVRDSPIQTVLSGNIATDCAKRQDPLVSRVAPVAHNGLLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPNNASKSCGIFAPGIRPKGGESLVPSLALGNSKYAGAEGLNSAPRVTPPGIRPKGGESFVPSLALGNSKCAGAEGLNSAPRVTPKDKEFLSLSISSQQSPVLNSGHGNPKALATEARGSLSLSIAPNVHDPPASSKEPKDDASPIAGMVSESQHSQAPNAVTKGSQKASIYRVECTVNRLGQTMLAAAMSLGQLIPSSPYQLFERRAGRCCSPLC >ONIVA04G27700.2 pep chromosome:AWHD00000000:4:26810925:26816267:-1 gene:ONIVA04G27700 transcript:ONIVA04G27700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7A4] MASPQESNSLCLKRKLVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKSLIEEFRSNKSSVVAVMDDEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEVAKVGGDFEGATQGIMLDKVANSPPEVVKQVVLESSPPVPQKPATADILRKKIASVERGSEGFLFAQDLFLSGMGPFATPNNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGNNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSDIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSGNAEGPTSPWISFRVLFAMIQENISLVARELLFHHYEELKENKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSAGKIASSDPARTAAEDRSLDQTGNCSLIVSVAHGDSHAPNAVAENSTSLCTKGCDTPATGMISKGYDSLAPKGVPETSTSVGPVHGASPSVEPKVRDSPIQTVLSGNIATDCAKRQDPLVSRVAPVAHNGLLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPNNASKSCGIFAPGIRPKGGESLVPSLALGNSKYAGVEGLNSAPRATPPGIRPKGGESLVHGLALGNSKCAGAEGINSAPRVTPLGIRPKGGESIAPSLALGNSKCAGAEGLNSAPRVTPPGIRPKGGESFVPSLALGNSKCAGAEGLNSAPRVTPKDKEFLSLSISSQQSPVLNSGKGHDGTSGAARPVHAPGHGNPKALATEARGSLSLSIAPNVHDPPASSKEPKDDASPIAGMVSESQHSQAPNAVTKGYNAPTPIPKESKGQHLQSGVHSQSSGPDDASSSNVARAADTLVALSTLREKGR >ONIVA04G27700.3 pep chromosome:AWHD00000000:4:26810925:26816267:-1 gene:ONIVA04G27700 transcript:ONIVA04G27700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7A4] MASPQESNSLCLKRKLVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKSLIEEFRSNKSSVVAVMDDEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEVAKVGGDFEGATQGIMLDKVANSPPEVVKQVVLESSPPVPQKPATADILRKKIASVERGSEGFLFAQDLFLSGMGPFATPNNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGNNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSDIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSGNAEGPTSPWISFRVLFAMIQENISLVARELLFHHYEELKENKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSAGKIASSDPARTAAEDRSLDQTGNCSLIVSVAHGDSHAPNAVAENSTSLCTKGCDTPATGMISKGYDSLAPKGVPETSTSVGPVHGASPSVEPKVRDSPIQTVLSGNIATDCAKRQDPLVSRVAPVAHNGLLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPNNASKSCGIFAPGIRPKGGESLVPSLALGNSKYAGVEGLNSAPRATPPGIRPKGGESLVHGLALGNSKCAGAEGINSAPRVTPLGIRPKGGESIAPSLALGNSKCAGAEGLNSAPRVTPPGIRPKGGESFVPSLALGNSKCAGAEGLNSAPRVTPKDKEFLSLSISSQQSPVLNSGHGNPKALATEARGSLSLSIAPNVHDPPASSKEPKDDASPIAGMVSESQHSQAPNAVTKGYNAPTPIPKESKGQHLQSGVHSQSSGPDDASSSNVARAADTLVALSTLREKGR >ONIVA04G27700.4 pep chromosome:AWHD00000000:4:26810923:26816434:-1 gene:ONIVA04G27700 transcript:ONIVA04G27700.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H7A4] MASPQESNSLCLKRKLVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKSLIEEFRSNKSSVVAVMDDEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEVAKVGGDFEGATQGIMLDKVANSPPEVVKQVVLESSPPVPQKPATADILRKKIASVERGSEGFLFAQDLFLSGMGPFATPNNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGNNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSDIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSGNAEGPTSPWISFRVLFAMIQENISLVARELLFHHYEELKENKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSAGKIASSDPARTAAEDRSLDQTGNCSLIVSVAHGDSHAPNAVAENSTSLCTKGCDTPATGMISKGYDSLAPKGVPETSTSVGPVHGASPSVEPKVRDSPIQTVLSGNIATDCAKRQDPLVSRVAPVAHNGLLRMPSGKSASLAAQVCNSVRPSTGPSGRASTEPNNASKSCGIFAPGIRPKGGESLVPSLALGNSKYAGVEGLNSAPRATPPGIRPKGGESLVHGLALGNSKCAGAEGINSAPRVTPLGIRPKGGESIAPSLALGNSKCAGAEGLNSAPRVTPPGIRPKGGESFVPSLALGNSKCAGAEGLNSAPRVTPKDKEFLSLSISSQQSPVLNSGHGNPKALATEARGSLSLSIAPNVHDPPASSKEPKDDASPIAGMVSESQHSQAPNAVTKGYNAPTPIPKESKGQHLQSGVHSQSSGPDDASSSNVARAADTLVALSTLREKGR >ONIVA04G27690.1 pep chromosome:AWHD00000000:4:26796015:26799053:-1 gene:ONIVA04G27690 transcript:ONIVA04G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYYCFFHFLVVSVLLHVHGGRSESQTCDPTDLAALLAFSDGLDTKAAGMVGWGPGDAACCSWTGVSCDLGRVVALDLSNRSLSRNSLRGGEAVARLGRLPSLRRLDLSANGLAGAFPAGGFPAIEVVNVSSNGFTGPHPAFPGAPNLTVLDITGNAFSGGINVTALCASPVKVLRFSANAFSGDVPAGFGQCKLLNDLFLDGNGLTGSLPKDLYMMPALRKLSLQENKLSGSLNDDLGNLTEITQIDLSYNMFNGNIPDVFGKLRSLESLNLASNQLNGTLPLSLSSCPMLRVVSLRNNSLSGEITIDCRLLTRLNNFDAGTNKLRGSIPPRLASCTELRTLNLARNKLQGELPESFKNLTSLSYLSLTGNGFTNLSSALQVLQHLPNLTSLVLTNNFRGGETMPMDGIEGFKRMQVLVLANCALLGTVPPWLQSLKSLSVLDISWNNLHGEIPPWLGNLDSLFYIDLSNNSFSGELPATFTQMKSLISSNGSSGQASTGDLPLFVKKNSTSTGKGLQYNQLSSFPSSLILSNNKLVGPILPAFGRLVKLHVLDLGFNNFSGPIPDELSNMSSLEILDLAHNDLSGSIPSSLTKLNFLSKFDVSYNNLSGDIPAGGQFSTFTSEDFAGNHALHFPRNSSSTKNSPDTEAPHRKKNKATLVALGLGTAVGVIFVLCIASVVISRIIHSRMQEHNPKAVANADDCSESLNSSLVLLFQNNKDLGIEDILKSTNNFDQAYIVGCGGFGLVYKSTLPDGRRVAIKRLSGDYSQIEREFQAEVETLSRAQHDNLVLLEGYCKIGNDRLLIYAYMENGSLDYWLHERADGGALLDWQKRLQIAQGSARGLAYLHLSCEPHILHRDIKSSNILLDENFEAHLADFGLARLICAYETHVTTDVVGTLGYIPPEYGQSPVATYKGDVYSFGIVLLELLTGRRPVDMCRPKGSRDVVSWVLQMKKEYRETEVFDPTIYDKENESQLIRILEIALLCVTAAPKSRPTSQQLVEWLDHIAEG >ONIVA04G27680.1 pep chromosome:AWHD00000000:4:26791131:26793129:-1 gene:ONIVA04G27680 transcript:ONIVA04G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPLGPATRTHSASGKRKPIAATPAADPHLDHVDQPRRADANQCHCMIKSCSCSGRQGKGHQEGEETEDAPSTDQQLFIEAIAVDPQFVIVPLHGDAMLLAHCILSSQAQKLSSAIPSRIKDAYMHAR >ONIVA04G27670.1 pep chromosome:AWHD00000000:4:26776139:26782514:-1 gene:ONIVA04G27670 transcript:ONIVA04G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0H799] MVAISELGLRVCASLVWSEEEEMSSSSAASIGPPQPPPPPAPPEEGGWLEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPNLPAQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDIEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVASLHDDSNALMWLRGVAGEGGFQSLNFQSPGIGSWGQQRLHPSLLSSDHDQYQAVVAAAAASQSGGYLKQQFLHLQQPMQSPQEHCNLNPLLQQQILQQASQQQIINPDAQNIQTMLSPSAIQQQLQQLQQMQQVQNDQKQKIQPDQSYQVPTSAVLPSPTSLPSHLREKFGFSDPNANSSSFITSSSSDNMLDSSFLQGSSKAVDLSRFNQPVASEQQQQQAWKQKFMGSQSVSFGGSVLHNSPTSKDGSVENKIGRDVQNQSLFSPQVDSSSLLYNMVPNLTSNVSDGNLSTIPSGSTYLQNAMYGCLDDSSGLLQNTGENDPATRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKMGKQGNDPRYLS >ONIVA04G27670.2 pep chromosome:AWHD00000000:4:26776139:26782514:-1 gene:ONIVA04G27670 transcript:ONIVA04G27670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0H799] MVAISELGLRVCASLVWSEEEEMSSSSAASIGPPQPPPPPAPPEEEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPNLPAQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDIEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVASLHDDSNALMWLRGVAGEGGFQSLNFQSPGIGSWGQQRLHPSLLSSDHDQYQAVVAAAAASQSGGYLKQQFLHLQQPMQSPQEHCNLNPLLQQQILQQASQQQIINPDAQNIQTMLSPSAIQQQLQQLQQMQQVQNDQKQKIQPDQSYQVPTSAVLPSPTSLPSHLREKFGFSDPNANSSSFITSSSSDNMLDSSFLQGSSKAVDLSRFNQPVASEQQQQQAWKQKFMGSQSVSFGGSVLHNSPTSKDGSVENKIGRDVQNQSLFSPQVDSSSLLYNMVPNLTSNVSDGNLSTIPSGSTYLQNAMYGCLDDSSGLLQNTGENDPATRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKMGKQGNDPRYLS >ONIVA04G27660.1 pep chromosome:AWHD00000000:4:26769859:26773223:-1 gene:ONIVA04G27660 transcript:ONIVA04G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAAAAAAGEASTEAGARPLAPEEEALRRNTDCVYFLASPLTCKKGNECDFRHSDNARMNPRDCWYWLNSNCLNPKCPFRHPPIDGMFGAPTTGMPAVSSHYAPFNSGKQLVPCYYFKKGNCLKGDRCAFYHGPQSVGNNPSEQVVKVSSLPLEQLQTQKNDLLGIKDSVQSTNSIQHGAPITNERGKTAIDRSTVNSARTATVAIPVASNAMSCPKSEKVKSSTPAALKESFTTSSGGDHPECYQNHFPMDSDPVRDWNQSYEMPPADDLPQNSREADELLGESSPGFDVLVDNDADGAAYLHDEDFGGDMYPVEDYEYAPADFDVCAHHERERFNGMDEQDQMGHMYDGYERKRRRSSERSMERPFHSERRFLQRDRDRVEMDGSDLRHRLRRRRINESSLAISPERNGEQQRRDERYRERAHGHRSHRDHHQSSRGSTLSSRLQGRIKLPGRSPDRVDTRSEKERDRRRLRDRLSPVRRTEFQGTRHREAGQHEEQTQRRSSELALGSRNADGQHLTKDVPDSHNFPHRKNLRDSSKANGSVEPEASLDFEGPKPLSVILQRKREAAWANGTSACSPKQDKSAEVSHRQASLAEAEKEGDNIISSDEYKSGSGDEEFRDEGHIPVEGHGQSSSHGDKLEAEDIIEVDPVENQDADNYDQREGESYYEPIGGQDYKSDDENAYEDDDEEYDDDDDFARKVGVVFS >ONIVA04G27650.1 pep chromosome:AWHD00000000:4:26764933:26767617:-1 gene:ONIVA04G27650 transcript:ONIVA04G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02720) TAIR;Acc:AT3G02720] MAPKKVLLLCGDYMEDYEAMVPFQALQAYGVSVDAACPGKKAGDSCRTAVHQGIGHQKGERKGEYTESHNGTGKTYVQLGLFLRAHNEMTDFLTYAESRGHNFALNASFDEVNINEYDGLVIPGGRAPEYLAMDEKVLDLVRKFSDAKKPIASVCHGQLILAAAGVVQNRKCTAYPAVKPVLVAAGAKWEEADTMDKCTVDGNLVTAVAYDAHPEFISLFVKALGGSVTGSNKRILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGAGEKCPTAIHDFEGDQTYSEKPGHDFALTASFDNVDASSYDALVIPGGRAPEYLALNDKGRKCTAYPAVKLNVVLGGATWLEPNPIDRCFTDGNLVTGAAWPGHPEFISQLMALLGIKVSF >ONIVA04G27640.1 pep chromosome:AWHD00000000:4:26751395:26755184:-1 gene:ONIVA04G27640 transcript:ONIVA04G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLIFVFYLSLPIRGGRDHSIMDQPSNGFAAGGLFLRHIDGQNASPPSVIVIGGGISGIAAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRLLGLRLYRTSGDNSVLYDHDLESYALFDKDGRQVPQEIVTKVGETFEKILKETVKVRAEHEDDMPLIQAISIVLDRNPHLKLDGLQYEVLQWCICRLEAWFATDVDNISLKNWDQEHVLTGGHGLMVHGYDPVIKALARDLDIHLNHRVTKIIQRYNKTIVCVEDGTSFVADAAIITVPLGVLKANIIKFEPELPDWKLSSISDLGIGIENKIALRFNSVFWPNVEVLGRVAPTSNACGYFLNLHKATGHPVLVCMVAGRFAYEFEKLSDEESVNFVMSQLKKMLPGATEPVQYLVSRWGTDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIVAAEDCQRHLSTQLGISDLFQVGKIIMREEMTEVMVPFQISRL >ONIVA04G27640.2 pep chromosome:AWHD00000000:4:26751395:26754814:-1 gene:ONIVA04G27640 transcript:ONIVA04G27640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSNGFAAGGLFLRHIDGQNASPPSVIVIGGGISGIAAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRLLGLRLYRTSGDNSVLYDHDLESYALFDKDGRQVPQEIVTKVGETFEKILKETVKVRAEHEDDMPLIQAISIVLDRNPHLKLDGLQYEVLQWCICRLEAWFATDVDNISLKNWDQEHVLTGGHGLMVHGYDPVIKALARDLDIHLNHRVTKIIQRYNKTIVCVEDGTSFVADAAIITVPLGVLKANIIKFEPELPDWKLSSISDLGIGIENKIALRFNSVFWPNVEVLGRVAPTSNACGYFLNLHKATGHPVLVCMVAGRFAYEFEKLSDEESVNFVMSQLKKMLPGATEPVQYLVSRWGTDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIVAAEDCQRHLSTQLGISDLFQVGKIIMREEMTEVMVPFQISRL >ONIVA04G27630.1 pep chromosome:AWHD00000000:4:26747058:26749685:-1 gene:ONIVA04G27630 transcript:ONIVA04G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSLKTGGLLLPTIERQCASPPSVIVIGGGISGVAAARALSNASFEVTVLESRDRVGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIGYLGLKLYRTSGDNSVLYDHDLESYALFDKAGHQVSKETVAKVEETFERILDETVKVRDEQEHDMPLLQAISLVLERHPHLKLQGIDDQVLQWCVCRLEAWFAADADEISLKNWDQEHVLTGGHGLMVNGYYPIIQALAQGLDIRLNQRVTKIARQFNGVTVTTEDGTSYSADACIITVPLGVLKANIIKFEPELPSWKSSAIADLGVGIENKIAMHFDTVFWPNVEVLGMVGPTPKACGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEAVDLVMSHLKKMLPDATEPTKYLVSRWGSDPNSLGSYSCDLVGKPADVSARFAAPVENLYFAGEAASADHSGSVHGAYSSGIAAADECRKRILMQKGIPDLVQVKAYEEMAGVIAPLQICRT >ONIVA04G27620.1 pep chromosome:AWHD00000000:4:26744969:26781096:1 gene:ONIVA04G27620 transcript:ONIVA04G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLAEARSGPPPLPPPPGCSCLHTAGSGGGEARPAFHDKESYKKTMRGAKFVFHHVDVEVSCHPPPATPPAPKPKEERKKKKKMNTKRKKACSVRDERAASEISDKASRKEGKGKLPVLVVCLICTGSIQHDDAQALRDGDQRREQPTQLIPSPSSRIRNSAHTTVAGGARRKANTNEPTQNVSNNILNRIPTDIRNSGLP >ONIVA04G27610.1 pep chromosome:AWHD00000000:4:26741904:26743989:-1 gene:ONIVA04G27610 transcript:ONIVA04G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISRAIRACAAAGSRRSMASSAKEVAAAGARAAAAVARRGREREREEDGRRVQWVFLGCPGVGKGTYASRLSQMLRVPHIATGDLVRDALASPGPFSEQLAEIVNNGKLVSDEIIINLLSKRLEEGAEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRMCSQCGGNFNVASIDMEGENGGPRMYMPPLLPPPQCESKLITRPDDTEEVVKERLRVYHDLCEPVEDFYRARGKLLEFNLPGGIPESWPKLLQALNLDPGNERSAAA >ONIVA04G27600.1 pep chromosome:AWHD00000000:4:26736366:26738362:-1 gene:ONIVA04G27600 transcript:ONIVA04G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSADPSPSASTAGAAVSPLALLRAHGHGHGHLTATPPSGATGPAPPPPSPASGSAPRDYRKGNWTLHETLILITAKRLDDDRRAGVGGAAAGGGGAGSPPTPRSAEQRWKWVENYCWKNGCLRSQNQCNDKWDNLLRDYKKVRDYESRVAAAAAANSAPLPSYWTMERHERKDCNLPTNLAPEVYDALSEVLSRRAARRGGATIAPTPPPPPLALPLPPPPPPSPPKPLVAQQQHHHHGHHHHPPPPQPPPSSLQLPPAVVAPPPASVSAEEEMSGSSESGEEEEGSGGEPEAKRRRLNRLGSSVVRSATVVARTLVACEEKRERRHRELLQLEERRLRLEEERTEVRRQGFAGLIAAVNSLSSAIHALVSDHRSGDSSGR >ONIVA04G27590.1 pep chromosome:AWHD00000000:4:26720982:26727795:1 gene:ONIVA04G27590 transcript:ONIVA04G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFFPSKPYSPQHLPSPILYFPIASHRTFALVSSYSPRTFPLPLSPTSPTLLAVPPNPTNRRAREGPIPDFAAMAGAPPTEAEKESLVTSFLEIAAGQTPETATQFLQMTSWHLEEALQLFYIDGEAALAAHPAPSPAAAAEAAAAAVAAAAEVEDAMRFAPPPAAALGDGMLQGLGEEEDVRAPLPTKRETLYGDAPMVVVRPNSTVAFRNFEEESRQSAVWDSEQNAASSSRDNLAALYRPPFALMFNGPFDKAKLEASVLDKWLLINLQSTEEFSSHMFLLLSVSFMKLNRDTWANEAVAQTIRSNFIFWQVYHDTSEGRKVCTYYNLVSVPAILLIDPITGQKMRGWNGMIHPDRLLEDLMPYLDKGPKEHHAAQPQKRPRKVDQETSIGKQGSGYVRCISRLTKSTCSQFHFFVGKTPVPVVATEDEDEELARAVAASLEESKGSDTSEEKIEPEVENEPSLSAKLNYPPLPEEPKGSRELLCRVAIRLPDGRRIQRNFLHTDPIKLLWSFCYPQVEDGDKKAFHFVQPIPRASTNLEYESDKTFKEAGLANSMINLLWD >ONIVA04G27580.1 pep chromosome:AWHD00000000:4:26716687:26720000:-1 gene:ONIVA04G27580 transcript:ONIVA04G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G34270) TAIR;Acc:AT1G34270] MARLALLAVPVALLLSLSFLLLRPASPPLPFSRQQAASGPDRRRLLRVYVAELPRALNHGLLDLYWSLPAADSRIPASSDPDHPPPRPPRAHSPYPDSPLIKQYSAEYWLLASLQPGSSSAPAVRVVADWRDADVVFVPFFATLSAEMELGWGAKGAFRRKEGNEDYRRQREVVDRVTAHPAWRRSGGRDHVFVLTDPVAMWHVRKEIAPAILLVVDFGGWYKLDSNSASSNVSHMIQHTQVSLLKDVIVPYTHLLPTMHLSENKDRPTLLYFKGAKHRHRGGLVREKLWDLMVNEPDVVMEEGYPNATGREQSIKGMRTSEFCLHPAGDTPTSCRLFDAVASLCIPVIVSDEIELPFEGMIDYTEFAIFVSVNNAMRPKWLTNYLRNVPRQQKDEFRRNMAHVQPIFEYDSIYPGRMASAAQDGAVNHIWKKIHQKLPMIQEAVTREKRKPDGTSIPLRCHCT >ONIVA04G27570.1 pep chromosome:AWHD00000000:4:26714087:26717577:1 gene:ONIVA04G27570 transcript:ONIVA04G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vitamin E pathway gene 5 [Source:Projected from Arabidopsis thaliana (AT5G04490) TAIR;Acc:AT5G04490] MAAAARPVDVVRHFPCSSSAAASSSLLLSRSKSRLASPAAAAASSMRRRLVLGVGAAAAPAVAALAASATPAASATPAALRDGAATLLITAGAYSLVRAFDGLTARRLIEQNLSRKIVHVLSGVLFMSSWPLFSNSTEARFFAAIVPLLNCIRLLTYGLRLSTDEALVKSVTREGKPEELLRGPLYYVIVLLVSVLVFWRQSPIGIVSLSMMSGGDGFADIVGRRYGSAKLPFNENKSWIGSISMFISGFLLSALMLFYFSCLGYFTVCWDLALGKLALVALAATVVECIPVNDVVDDNISVPLATMLAAYLLFGYSSCC >ONIVA04G27560.1 pep chromosome:AWHD00000000:4:26711204:26713161:1 gene:ONIVA04G27560 transcript:ONIVA04G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKSVAAALAMACFLLILAAFAPPAAAAPPDIMSIIRYNAEHGVRGLERTEAEARAAYDLWLARHRRGGGGGSRNGFIGEHERRFRVFWDNLKFVDAHNARADERGGFRLGMNRFADLTNGEFRAAYLGTTPAGRGRRVGEAYRHDGVEALPDSVDWRDKGAVVAPVKNQGQCGSCWAFSAVAAVEGINKIVTGELVSLSEQELVECARNGQNSGCNGGIMDDAFAFIARNGGLDTEEDYPYTAMDGKCNLAKRSRKVVSIDGFEDVPEDELSLQKAVAHQPVSVAIDAGGREFQLYDSGVFTGRCGTNLDHGVVAVGYGTDAATGAAYWTVRNSWGADWGENGYIRMERNVTARTGKCGIAMMASYPIKKGPNPKPSPPSPPSPAPSPPQQCDRYSKCPAGTTCCCNYGIRNHCIVWGCCPVEGATCCKDHSTCCPKEYPVCNAKARTCSKSKNSPYNVEALIRTPAAMARSVPEQPDSISFVVLNREDLV >ONIVA04G27550.1 pep chromosome:AWHD00000000:4:26706768:26710763:-1 gene:ONIVA04G27550 transcript:ONIVA04G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC-C motif-containing protein / OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT5G67170) TAIR;Acc:AT5G67170] MAQNKKKVAVAAPKARKPKRDAEEKKFAKKADMTEFRAQLDSLGLKIIEVSADGNCFFRAMGDQLEGNEEEHMKYRAMIVQYIKEHRVDFEPFIEDEEPFEKYCDSMLEDGTWAGHMELQAASILTRKNICIHMGEHYNSVRLREDPCQGPAMPVIIKADANVASTSNNAQTKAKDLKKSSDRSKYDHISVKLVMAGTGCSNIAAVEQVLKDMDGDIDAAIEYMLAEQLILGSDDADGDPYLDYACDEYVQTIEDELSMKQDESQLDEHKKEEKDCSSKGETAQKHNSSHSKKGKSKTKECSCGSARKHKPSCNLATTVASREPPKTTAPSREPPKTKGGQGKGQKGKKQKKKEQDETPAIRDHDSKVAPDLGALCI >ONIVA04G27550.2 pep chromosome:AWHD00000000:4:26706768:26710763:-1 gene:ONIVA04G27550 transcript:ONIVA04G27550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC-C motif-containing protein / OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT5G67170) TAIR;Acc:AT5G67170] MAQNKKKVAVAAPKARKPKRDAEEKKFAKKADMTEFRAQLDSLGLKIIEVSADGNCFFRAMGDQLEGNEEEHMKYRAMIVQYIKEHRVDFEPFIEDEEPFEKYCDSMLEDGTWAGHMELQAASILTRKNICIHMGEHYNSVRLREDPCQGPAMPVIIKGKSKTKECSCGSARKHKPSCNLATTVASREPPKTTAPSREPPKTKGGQGKGQKGKKQKKKEQDETPAIRDHDSKVAPDLGALCI >ONIVA04G27540.1 pep chromosome:AWHD00000000:4:26700137:26703146:-1 gene:ONIVA04G27540 transcript:ONIVA04G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAAAAAFLLLLIVGAATAAPDMSIISYNAEHGARGLEEGPTEAEARAAYDLWLTENGGGSPNALGGEHERRFLVFWDNLKFVDAHNARADERGGFRLGMNRFADLTNEEFRATFLGAKVAERSRAAGERYRHDGVEELPESVDWREKGAVAPVKNQGQCGSCWAFSAVSTVESINQLVTGEMITLSEQELVECSTNGQNSGCNGGLMDDAFDFIIKNGGIDTEDDYPYKAVDGKCDINRENAKVVSIDGFEDVPQNDEKSLQKAVAHQPVSVAIEAGGREFQLYHSGVFSGRCGTSLDHGVVAVGYGTDNGKDYWIVRNSWGPKWGESGYVRMERNINVTTGKCGIAMMASYPTKSGANPPKPSPTPPTPPTPPPPSAPDHVCDDNFSCPAGSTCCCAFGFRNLCLVWGCCPVEGATCCKDHASCCPPDYPVCNTRAGTCSASKNSPLSMKALKRTLAKLNTA >ONIVA04G27530.1 pep chromosome:AWHD00000000:4:26698127:26699581:1 gene:ONIVA04G27530 transcript:ONIVA04G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGHNARLLALALALLLTALHLHGVVCDPSHTHFAMVSRNAPSWRPDRGGQGKVSAPSLDTCGCGPAPAPADPLKAASLDKCGCPPAPAPSPEPSPEDFLNEKLQALYPVIQAFKATITSDPRGVTASWVGPNLCDSYFGGDMYKGFYCEHPPAPPGATLPKDNTTLTIASIDFNGYGLGAPSLAGFVDAFPDLALFHANSNNFSGEVPDLTGLPYFYELDLSNNNFSGAFPATVVPLGRLLFLDLRFNRFVGTVPPPVFDLTVVALFLNNNGFYGNIPDNFGSTTAEYLVVANNQFTGPIPRSIYNTSANLSEVLFLNNHLSGCLPYEIGLVEGLTVFDAGGNDITGPIPLSLGCLGLVEELNLAGNQLYGHIPDVLCALAKTGKLQNLSLSDNYFHSVGRRHCLELVRSKVLDVRLNCIPNFPHQRPALECARFYADPPQHCPFVPHIPCDLPGFRPPAAALPSAVAAEEGGGGGGGGN >ONIVA04G27520.1 pep chromosome:AWHD00000000:4:26692981:26694985:1 gene:ONIVA04G27520 transcript:ONIVA04G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H782] MATTSRRSLHALLGGGAVADLVLWRRRNVSAAAVAGATAVWFLFERAGYSFPSVMANALLLLVAILFFWAKSASLLNRPLPPLPKLEVSDVVVEKAADRALVWINKVLAVGHDIAIKRDRSVFIKVILILWVVSYIGMLFNFLTLIYIVPPLYEKYQDQVDEKIGMAHSVLSRHLDTIISKTGESTKQKKTE >ONIVA04G27510.1 pep chromosome:AWHD00000000:4:26682339:26690854:-1 gene:ONIVA04G27510 transcript:ONIVA04G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEQQQQGFRPLDEASLVAYIKATPALASRLGGRLDALTIKEVGDGNLNFVYIVLSDAGSVVIKQALPYIRLVGDSWPMSRERAYFEASALQKHRALCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLVAGVEYPLLAEHMADYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMSRLTEQVVFSDPYRVAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQAFLHGDLHTSSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKAYKKWILKTIEDSWNFFHKKFVELWNKHKDGNGEAYLPPIYNSSELLSLVQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDASKRASCERRALNCAKAILKGRRQFESIEQQLLQNPHTSAQRSLPHTRTRFPTPLAGRGHFAAGARIGQLDATATHAPAMAAAAEQQQQQQQQGFRPLDEASLVAYIKATPALAARLGGSLDALTIKEVGDGNLNFVYIVLSDAGSVVIKQALPYIRCVGDSWPMTRERAYFEASALQKHRGLCPDHVPEVYHFDRAMSLIGMRYIEPPHIILRKGLIAGVEYPLLAEHMADYMAKTLFFTSLLYNSTTDHKKGVAQYCDNVEMCRLTEQVVFSDPYMLAKYNRCTSPFLDNDAAAVREDAELKLEIAELKSMFIERAQALLHGDLHTGSIMVTPDSTQVIDPEFAFYGPMGYDIGAFLGNLILAYFSQDGHADQANDRKAYKKWILKTIEDSWNLFHKKFVELWNKHKDGNGEAYLPPIYNSSELLRLAQKKYMTSLFHDSLGFGSAKMIRRIVGIAHVEDFESIEDASKRASCERRALNCAKAILKGRRQFESIGQVIVHVQSFDRD >ONIVA04G27500.1 pep chromosome:AWHD00000000:4:26680890:26681410:-1 gene:ONIVA04G27500 transcript:ONIVA04G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATSRAPRSRFVVWLHGLGDTGRANEFLADSFPTTAAFADARWAFPTAPTAPVTCNRGMLMPSWFDIHDAPITSVRYLCHNENSPVYAMLAEARKGTIVMFT >ONIVA04G27490.1 pep chromosome:AWHD00000000:4:26677582:26678835:-1 gene:ONIVA04G27490 transcript:ONIVA04G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAAGGYGRVLWLHGSGQTGEESRAQVAPYFAAPELASVRFSFPTAPTSSIPCYGGEVITAWFAIPEVPITARTARDEKEVLKAVERVHEMLDGEVAAGTSPSNIFVCGLSQGGALAIASVLLYPMTLGGCVVFSGSLPLSKTFAESIPSEARKTPVLWFHGMADGVVLFEAGHAGCAFLQEIGMHCEFKVAYPALEHTLVDEELQYFRQWIKDRLSQGTGVPVPSLSDKMDLQ >ONIVA04G27480.1 pep chromosome:AWHD00000000:4:26674922:26677161:-1 gene:ONIVA04G27480 transcript:ONIVA04G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLRFLFSLAAAIAAASLLAAALRRRAPPSGLAARLVPAPMAAAAARNRSFVLWLHGLGDSGPANEPIRNFFSAPEFRLTKWAFPSAPNSPVSCNHGAVMPSWFDIHELPMSSGSPQDDNGVLKAVENVHAMIDEEVADGIPPENIFVCGFSQGGALTLASVLLYPKTLGGGAVFSGWLPFGSSVTERISPEARKTPILWSHGIADNVVLFEAGQAGPPFLQNAGFSCEFKAYPGLGHSISKEELYSLESWIKNHLKASQEKEN >ONIVA04G27470.1 pep chromosome:AWHD00000000:4:26664573:26671125:1 gene:ONIVA04G27470 transcript:ONIVA04G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSPPKKWGLREQREAYLRWFSLADDDGDGRVTGKDALKFFAMSNLSRPELKQVWAIADSKRQGYLGFSEFMTAMQLVSLAQAGNEISQDTLAHADLETLLPPTMEGLDKKLKKNSMSKSNSDIVVRHPSELPASANWFNSKSGKKIPLRSVTSIIDGLKKSYIEKLRPLEKTYQFDDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTNYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLKGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPIRETVAGPLGKELFEKEQEDLLSDLNDIPKKACDRRINEFVKRSRSAKVHAHIISHLKKEMPALMGKAKAQQRLLDTLDEQFAKVQKELHLPAGDFPSVDEYRETLSAYNFDKFERLKPKLVQGVDDMLAYDIPDLLKSFRNPYE >ONIVA04G27470.2 pep chromosome:AWHD00000000:4:26664573:26671125:1 gene:ONIVA04G27470 transcript:ONIVA04G27470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSPPKKWGLREQREAYLRWFSLADDDGDGRVTGKDALKFFAMSNLSRPELKQVWAIADSKRQGYLGFSEFMTAMQLVSLAQAGNEISQDTLAHADLETLLPPTMEGLDKKLKKNSMSKSNSDIVVRHPSELPASANWFNSKSGKKIPLRSVTSIIDGLKKSYIEKLRPLEKTYQFDDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTNYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKCLIQYIPSLALIILLHIFHYVALRKKLIVSIFYIAKLLDHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLKGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGYDVIFPRSFTFTYGNASINLMVLQRSHNLTTDNSKLTCDSSFNDKPIRETVAGPLGKELFEKEQEDLLSDLNDIPKKACDRRINEFVKRSRSAKVHAHIISHLKKEMPALMGKAKAQQRLLDTLDEQFAKVQKELHLPAGDFPSVDEYRETLSAYNFDKFERLKPKLVQGVDDMLAYDIPDLLKSFRNPYE >ONIVA04G27470.3 pep chromosome:AWHD00000000:4:26669144:26671696:1 gene:ONIVA04G27470 transcript:ONIVA04G27470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYQGKGRRVHPAPAPQAQADAAAAAAVVLPAAVLALASALTAEEQEVLAYLLSGGGAAGGRRRRRGAHPPEMGCGCFGCYKSFWARWDASPNRHVIHRIIDAVEEGSGGGGGGAGPPRRPPRRRRGGKRGGGDCEEDASATKEADASVEHHHHACGFDGEENGDYEGDGDDEEEEEEGDSMDGDADDETTLSEGDCSSSSSSNAEKSTVGRLVRFIGEKIRRRKKTTKKVRSSLTVTVIVVLQYEMKDRKQVADNLWALHCTSLCREINKLQHGEAEAEAEAGWKKKRDLQEEMLHLMATTDVFDRQFGRRALLCEDAKAKRKREP >ONIVA04G27460.1 pep chromosome:AWHD00000000:4:26660301:26660873:-1 gene:ONIVA04G27460 transcript:ONIVA04G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGEVMCEAAAPRYRGVRKRPWGRFAAEIRDPAKRARVWLGTYDSAEAAARAYDVAARNLRGPLARTNFPLVSSLPLPSPHYHLPGKAAAAAPPVAGPACSASSTVESSSGPRGPRPAATAAAVPRRRVPRPAPPAPDAGCHSDCASSASVVDDADDASTVRSRVAAFDLNLPPPLDRDHVDLCTDLRL >ONIVA04G27450.1 pep chromosome:AWHD00000000:4:26640710:26643733:-1 gene:ONIVA04G27450 transcript:ONIVA04G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQAQQLYSHLPPHQQPPHFDMHPPPFDSAPPPAAAPPPSDPELQKRIDKVVEYIAKNGPEFEVVIRDKQHDNPDYAFIFGGEGHAYYRYKLWVSPRPPVAPYPPGSMHMMPPPLGPMMRGPPMHQPGYPPFYDQHQHFGAHGHGEYDAAPQQSFKGLSGPLPVDVAAELHDVLTNLNGTKESIKGAKTWFMQRSPFAPALAEALKDRVFALEDSERQLHIIFLVNDILFESLQRRTNSRDLDNEALAFKFVLGSMLARIYNNPQSKDDNQIRLEKILQFWGSKEVYDQETIANLERDMKGGVAYPLPPRHVSPDPSTFSGSVHQPSKWSSDPQEEMATHPLSVPPQPVPSAQFPLNQLPAGVYPPVGQTAFPGSLPVQTATVLPQTAATPAITNDPNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTIIPPSTIPESEILERVSKFFKEIGEVNPSEGPMKQSKPDDYDNYERDIPARKGGACIPPPPNLLVNLETGMRADGSVDSKPGSTGRLGLGASADPNEIGQYDDVYSSYRKQRSSTYHSSISARSLAPK >ONIVA04G27440.1 pep chromosome:AWHD00000000:4:26638066:26639249:-1 gene:ONIVA04G27440 transcript:ONIVA04G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLAALFFAFAVVAAATLAPAAEARVQGFNHEAASEPAIAAAGESKAATGGGAPTLPGLPGLPFQLFPFLTLPFPLIPIGGSPGGGGAPPSAGSGFRFPFPLPLPFPAPASPGGAPPSSGSGFPAFPFPFPSSPVSPPSQASPASPAAPAPPSPPQPKECLTPLLSMMSCADYLTNSSAQTPPATCCEGFKSLVSTAPICLCHGINGDLSKFLPLPVDMMKMMTLPNTCGATVPLQTFSMCNTPSVPPLMPQSPSAAAPAPGVSP >ONIVA04G27430.1 pep chromosome:AWHD00000000:4:26630376:26633521:-1 gene:ONIVA04G27430 transcript:ONIVA04G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILFVGVLLMSCCFVLISSASGNGTIRPIFSMNQARKCGAIGGTARCKEIVDGYIIEDGSIFQPAGYITKTYEAHYGFIATMDVYGFPLSPGQIVSYGSAWIITDNVDDPVSNLEVIQIGWRVEPGDKRLFSTSIAMDPDLIGCIPMSYFSTLSNSATNIWFGGMVATNATFQPTPPPLPMGSGYMPADNGNMAASMKNIQLIDEQGRAWSAGNGLVGFSTKKDVYTFTPIVGDQFFYGGPLPAHKLGNHVAR >ONIVA04G27420.1 pep chromosome:AWHD00000000:4:26622409:26625533:1 gene:ONIVA04G27420 transcript:ONIVA04G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGLAMHGRGEDALSLFAGMQQAGVTPNEVTLLGVLTACCHAGLIEEGLQQLDAMPEPRIEHYGCVVDMLDRAGRLDEAEELVAAMPAHPDALIWGSLLAACRAHDDVERAERVMRRRTTDADADAGDYVLMSNTYASNGRHGEVVKVRRQMRRNEIDKVPDCSLIEIDGVVHEFKAIPTNSIR >ONIVA04G27410.1 pep chromosome:AWHD00000000:4:26621770:26622387:-1 gene:ONIVA04G27410 transcript:ONIVA04G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYIISGAPPPPAIFCPPPLSPPPPPPPIFYSPPDVSYFSPPALPCYAGFPACTGGGGGGNDGSGGDGHSGGDERRVFVCNCKSWSWVQVFVTMIASLFSACGGAYIYRSQCWKSLKKKKKPPPPLPLYRPRPPPAGDGRPDVTVHHHHHHYGANGGGGHSANGGGGLDGSSSIGTNGGGNDDLYGGGGNGSFGAASDAEACSIM >ONIVA04G27400.1 pep chromosome:AWHD00000000:4:26617928:26621394:1 gene:ONIVA04G27400 transcript:ONIVA04G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family [Source:Projected from Arabidopsis thaliana (AT3G07080) TAIR;Acc:AT3G07080] MKVDDGPGVNGSRWAKMMSTDSWRWCLGLIYIVAVASIWIAASYIVQSVVDEGVSPFLITYICNSLFVIYIPIVEAARYFEDSINNFWTKLKGKDVADLEQSADLESINLLHGSEEGNTASPTRLPEDILASEAVFPVQAELNVADGSKGLDAKGRWTRARVARVSMVVCPFWFLAQLTFNLSLRYTTVTSNTILSSTSSLFTFLVALVFLGETFTWLKLISVLLCMGGTIIVSLADSGSTANTIATNPLLGDVLSIVSAGLYAVYITLIRKKLPDEKEGQGEVSMAQFLGFLGLFNMLFFFPFALFLNFTKLEPFHRLTWEQVGLIVGKGLLDNVLSDYLWAKAILLTTTTVATAGLTIQVPIAAIVDTLTGHAPHLLDYIGAAAVLVGFAGINIPVGTPQGSQQEQETPIVSMVDDPVHLPSDRNATSMVDDPVYLPSDRNATGAVQ >ONIVA04G27380.1 pep chromosome:AWHD00000000:4:26603524:26604946:1 gene:ONIVA04G27380 transcript:ONIVA04G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLAALFFAFAVVAAAALAPSAEARVQGFNHEAASEPAIAAAGESKAATGGGAPTLPGLPGLPFPLFPFLTLPFPLFPIGGSPGGGGAPPSAGSGFRFPFPLPLPFPAPASPGGAPPSSGSGFPAFPFPFPSSPVSPPSQASPASPAAPAPPSPPQPKECLTPLLSMMSCADYLTNSSAQTPPATCCEGFKSLVSTAPICLCHGINGDLSKFLPLPVDMMKMMTLPNTCGATVPLQTFSMCNTPSVPPLMPSAAPAPASPPSS >ONIVA04G27370.1 pep chromosome:AWHD00000000:4:26588424:26593659:-1 gene:ONIVA04G27370 transcript:ONIVA04G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLRLAVPPPRSPPCPRVLPATSGARRCGGLKLVQILCEELRGDSRASGTSPMEIKMKGIFKGLKIISQIFALQKQHEMEIGCPTDVRHVSHIGVGTNFDKPPRATLPTEICTDKSGQEAASWCHDIPRGPKNPRRKKAARASSASSFLSRSRSSSFVTACGDFSELRGGLRVA >ONIVA04G27370.2 pep chromosome:AWHD00000000:4:26588424:26590008:-1 gene:ONIVA04G27370 transcript:ONIVA04G27370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKMKGIFKGLKIISQIFALQKQHEMEIGCPTDVRHVSHIGVGTNFDKPPRATLPTEICTDKSGQEAASWCHDIPRGPKNPRRKKAARASSASSFLSRSRSSSFVTACGDFSELRGGLRVA >ONIVA04G27360.1 pep chromosome:AWHD00000000:4:26586339:26587288:-1 gene:ONIVA04G27360 transcript:ONIVA04G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVATVSVGLAWLGLMAAAASATQFRVGGGRGWSVPDANAEPYNSWAGRMRFQIGDQLLFVYPKETDAVVVVDQGAYDACNTSSSVAGGGGGRYDDGNTVFTFDRSGPFFFISGNEANCRAGEKLVVVVMADRGGRHAPPPSPPAVPPPVAPVPMPSPASSPPSPAPAAATPSLAPSPVATTPSPSPSVSPMAPAPAPTTSTPSSPPAPAAMAPSPSTTPGGVAQPPPPPGTDGANATTPAAPAANDRSGAAAAAPVVAGVVVTSLGAYIGYAMLAI >ONIVA04G27350.1 pep chromosome:AWHD00000000:4:26569421:26583376:-1 gene:ONIVA04G27350 transcript:ONIVA04G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKQRKEAEAAREEEERRRLLEASPLRKEAVEAMRLDREGRHDEAIARADELAAKHPESAVVLHLAAGLHQNAATRSARDGSDGQASVMHLVYARDLYAQAKRLAPNCVQIATGFAMAKVASAKDYEPDREIMRALAISSPTDPAENNVAFDLDRTLSTTMDRLAKAREAALFHSHHIMSHMSAKIIPAVVVDMLDISKREGAATAKKQAKKALVERFGYSARAHLTHAKISLDFARGLDPNIDKKPFLNSILDALNNLVEEFSNSLEIAMFRAKLWFVMGKYCSVEVECDRAIRMEEPTDPREEDVPPGSIPGEKPEDRKSYIRTELKRLLQKLVLVCRDYWCSLASEKQDSFRLVGLKYLHLHFVTFYQDDHEAAKTISDALNFVKKNKSWRFWICPYCVGKKIPDIDSLLQHMRSKHPEGGFWTNLPQVFDPESISDTYQGDHFSDNATICQDSEENYVLHFKRMDDIFKYLFLRAADNIEEKPFSQIREEKCRKGVFILEKIKLKLNNVPTDISSSEFNEACAEIRDLWRYFLEISLMDYRVAISPLAMCFISDQLLTCMTEDKEAASNSIDVAAINAVFPFVDVCPDIDAIFPNVDDAPDGNDADTSTSGWKRIASEVVATIFQALHMSEISLHFDFNSEIEEREIESFAELPVLYDEQLCFGDNCKHCGSPKNVDVSPSNTPHIFTIGLYWFGDCENQVQLSEVLVGIAHPIDIKLLCKGVHSSAKYSLASMISYANGRYFCFARDQDKWLISDAETIEAEDSWEQLLERFRDCRLQPEVLFFEIIN >ONIVA04G27340.1 pep chromosome:AWHD00000000:4:26565297:26567946:-1 gene:ONIVA04G27340 transcript:ONIVA04G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRPVPRRESPWGLPEGDKREPKAHRCNDRAEDVVQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLQLDPPKRAEVKLE >ONIVA04G27330.1 pep chromosome:AWHD00000000:4:26560259:26564175:-1 gene:ONIVA04G27330 transcript:ONIVA04G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNSCACHGVVVTLASCLLLVAAAVSVSVSVLAAHVAVGRVWSPAGAGAGAGHHHSLSPAWVPSPSSRHAHHARELVNRRVQVGRMEAGLVQARVSIRRASRTRNCTPDDGGGFIPRGAVYRDAYAFHQSYIEMEKRFKVWTYREGEPPVVQKGGAAFAGNDGIEGHLIAELDSSGGGGRHRARHPGEAHAFFLPISVASIAGYVYRRDMIDFWDPQLRLVAGYVDGLAAMYPFWNRSRGADHFLAPILSAAKAELRGNAIRVMCDADMSDGFDPATDVALPPVVAGARATPPQGRVASERTVLAFFAAGGGGGGAVREALLARWEGRDDRVVVYGRLPAGVDHGELMRRARFCLCPCGGGEGAAAASRRVVEAITAGCVPVLVAAAAGDDGSYSPPFSDVLDWARFSVAVPAERVGEIKDILGGVSDRRYGVLRRRVLRVRRHFRLNRPPAKRFDVVNMVIHSIWLRRLNLSLPY >ONIVA04G27320.1 pep chromosome:AWHD00000000:4:26551422:26554759:1 gene:ONIVA04G27320 transcript:ONIVA04G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 77, subfamily B, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G11600) TAIR;Acc:AT1G11600] MSPFQMVDGSAFTPPTPLCRCLRRYISLPPTHSSSTPASYTKLRGEEAESDRSIECSTAAARSKMVDMNDVLLVVSAAVLAAMWWRRCSRTGGADGLPPGPPGWPVVGNLFQVILQRRPFMYVVRDLREKYGPIFTMRMGQRTLIVVTDADLIHDALVKQGAAFASRPEDSPTRLLFSVGKCTVNSAPYGPLWRALRRNFVAEIVSPPRVKGFSWIREWAVGSHLRRLRAEFAATGAVRMMANCRLSICSILICICFGAKIPDELIREIEEVLKDVMMMTMPKLPDFLPLLTPLFTKQLAAARELRRRQLGCLAPLVRARREFIRGGGERNADGNTVVGGVEMVSAPGEAYVDSLFDLEPPGRGKRLGEDELVTLCSEVMSAGTDTSATALEWAMMHLVLDAGVQDKLYGEVVSKVGTTARITEADVEAMPYLQAVVKETFRRHPPSHFVLSHAATRDTELGGYRVPADASVEFYTAWVTENPATWPDPEAWRPERFLEGGEGFDTDITATRALRMMPFGAGRRICPAATLGVLHIQLMLANMVREFRWVPPAGEGPPDPTETFAFTVVMKNPLRAALVERRVGGELATGGGGGAAASA >ONIVA04G27310.1 pep chromosome:AWHD00000000:4:26541764:26547426:1 gene:ONIVA04G27310 transcript:ONIVA04G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0H759] MGGAAAAAAVLVVLWLAVAAAGAGAGERPSEVSIGALYTYDSVIGRAAGLAIELAVGDVNADRTVLAGTTLSLISQDTNCSGFLGTIEALQLMEKNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFLRSTMSDYFQMHAVASIVDYYQWKEVTAIFVDDDYGRGAVAALSDALALSRARISYKAAIPPNSNAATINDVLFRANMMESRVFVVHVNPDAGMRIFSIANKLRMMDSGYVWIVTDWLAAVMDSSMSGDLKTMSYMQGLIVLRQHFPDSETKREFISKWNNVARNRSIASGLNSYGFYAYDSVWIVARAIDQLLDNGEEINFSADPRLHDSMNSTLRLSALKLFDSGEQLLQQLLLTNFTGLTGQLQFDSDRNLVRPAYDILNIGGSVPHLIGYWSNYSGLSVAAPEILYEKQPNTSTSAQRLKNVVWPGHSASKPKGWVFPNNGQPLRVGVPNKPSFKELVSRDTGPDNVTGYCIEIFNAAIKLLPYPVPCQFIVIGDGLKNPNYDDIINMVAANSLDAAVGDFAIVRNRTKIAEFSQPYIESGLVIVVPVKEASSSAWAFLKPFTLEMWCVTGVLFIFVGIVVWILEHRTNEEFRGSPRRQMITIFWFSFSTMFFAHRQNTVSALGRFVLIIWLFVVLIINSSYTASLTSILTVQQLATGITGLDSLLSSALPIGYQAGKFTRNYLIEELNVPESHLVPLNTIDEYADALNRGPKDGGVAAIVDEMPYIEIFLSYHCNFRIVGQEFTKEGWGFAFQRDSPLAADMSTAILQLSESGQLQRIHDEWFSRSSCSSDDSEMGATRLGLGSFWGLFLMCALICVFALVMFFARVCWQYSKYSGSEEPDEPKDDSAGTAEIAAEAVAEMQRRRPKRLGSFKELMQFVDKKEEEVRKSMKRRPSEKDNQGVGSSDAQSVA >ONIVA04G27300.1 pep chromosome:AWHD00000000:4:26531235:26533519:-1 gene:ONIVA04G27300 transcript:ONIVA04G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRSKTAQEIEEADCKFFWRSTAKGTAPPARASLSPGAAWFGMGGVGTCRDGTIVTKFPGRLDQRTGSRNVYMDELFVNHGGHRAGFAAPSPELCHPWKDGGSIDKGKMVVKSHLARSRRPDSTPVIMEEEN >ONIVA04G27290.1 pep chromosome:AWHD00000000:4:26523196:26523606:-1 gene:ONIVA04G27290 transcript:ONIVA04G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding AETTRSVTIKFTIQPFHFASSFVCLTVGVTSSALHGIGRHWDTLRCKILGILKCIVKYKFWDVVSGTLYVVLSVLTPGNVVGSVLI >ONIVA04G27280.1 pep chromosome:AWHD00000000:4:26494843:26496307:1 gene:ONIVA04G27280 transcript:ONIVA04G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVFCMKGGQGESSYLKNSKVQFRNLQMMLRALEETLDKVVLPHHGPGRLLLTAADLGCSCGRNTLVVADAIVQHMTKLCRRRGKGEHGDDAAADPEFCFYFSDLPSNDFNTLFGLLPHRGAASSGEGGRGRGTTSPPPCLARSMTVPEEVADKWSPAYNKEKVFVHGGSEETGAAYRRQFQSDMARFLRCRAAELKPGGAMFLVFLGRPSSAGPTDQGRSLSQFGAMFEESWRDLVGEGLIDGERMDSFNVPSYAATLEEFREVVDPDGSFEVNRLELVMGSPLAVDDDDDDSHDRRAVGRTVANNQRSVFASSVRSSKRTSARSSPTSCSSGCRAAQKRSTTSSWTRCGSTSTSSARFHLCDDT >ONIVA04G27270.1 pep chromosome:AWHD00000000:4:26486917:26491662:1 gene:ONIVA04G27270 transcript:ONIVA04G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTKINAMKKGISNRFEDLILSPHNYKIEEQQQDIKNVFCMEGGQGESSYINNSQSQSRNLKMMLYALEETLDKIQLPRHRPGKKPLLTAADLGCSCGHNTLLIADVIVDHMTKLCGTGSLKWYTGGAIFIVSLGRLSSTCGPTDQGYIYEVYGGMFDDSWRDLIEEGMVDGEKMDSFNAPLYAPTVEEFKEVMDADGSFKINELEIVMASPPVVDDPADRGMAGRMVANYVHALLGLLIDTHIGGVMADELFIRMQRHAEIRAEELVDEIKLRSCIFDDQDDGDAADPEFCFYFSDLPSNDFNTLFHLLPQHATAAAGDGSERRYFAAAVPGSFHDRLFPKRSIDVFTSTFSLHWLSQVPEGVADKRSAAYNKDKVFVHGASQATGAAYRRQFQSDMARFLRCRATELKAGGVMFLVCLGRPSLHACPTNQGRVQLLYGAMFEESWGDLVEEGTIGRETMGSFNVPVYAATLEEFGEAVGADGSFEINRLELVITSPLAVDDPIRDCRAVGRAVANYVRLAPRAARRRARWQGGG >ONIVA04G27260.1 pep chromosome:AWHD00000000:4:26481439:26484930:1 gene:ONIVA04G27260 transcript:ONIVA04G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYALEETLDKIQLPRHRPGNKPLLTAADLGCSCGQNTLLIADVIVDHMTDKSFGSKDDDGLEFCFYFSDLPSNDFNTLFHLLPQQAAAAGRDGRQSRRYFAAAVPGSFHDRLFPERSINVFTSTFSLHWLSQVPKRVADKQSPAYNKGKVFVHGASEETGTAYQRQFQSDMMRFLHCRAAEMKPGGAIFIVSLGRLSSTRGPTEQGYIYEVYCSMFEDSLRDLIEEEMVDGEKMDNFNVPLYAATVEEFKEAVDADGSFKINQLELVMGSPPVVDDPANRGVVGRMVANYMRALFGPLVNAHIGGAMADELFIRMQRRAEIRADELVDEMCFAHILCSLSLV >ONIVA04G27250.1 pep chromosome:AWHD00000000:4:26477379:26480257:1 gene:ONIVA04G27250 transcript:ONIVA04G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNRSCMKTQKKDRANFFMKTTVINDAATRRRSIKKNKFFVGTKILTFCRRDEYGITDMPRQVMIKQTYLFRELKLRFAGRRIDNEMKILHEQGMYDWEEYNEHKTNIEVSIAAIRNALKKYRERRIKARVFYLVPHLTEISYFVRSHLKTMPREEALKKIMLDRQRSEACERAKMESISSSKKTCAWRAPIADDEARDWDFMAWCFMPRGVAPSQRLASGERCKKGETEMAIASGSNTTLDKLVNLLRKKDEENNAESFRLEEGRVMHTLCVPHVDLVEVEAEAFAGSRSRKGNKQRMSTALDRDRTDARRSKTRGRNDRWMTIRGPPRQATRRQKNQLLNSPRVPLMGRHTAMAATAKINAMKKGISNRFENLRLSPRNYKVQQYHRVEFDRLPICSQALLRVVIVGALLELIKGTGLDQIPVLQAGLK >ONIVA04G27240.1 pep chromosome:AWHD00000000:4:26469742:26470179:-1 gene:ONIVA04G27240 transcript:ONIVA04G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSRASSSSATHKLSHAIAKAPPRKIKIVHVLAPEVIKTDARHFRDLVQRLTGKPAADGPAAASSQPDPCDTAGDEGGFVADGAGAAAATIKAEIKVEEAVAEAEAEASGGLLHALGEDDRNDMFLQWLQSGSCIDMDAVGFS >ONIVA04G27230.1 pep chromosome:AWHD00000000:4:26461370:26470229:1 gene:ONIVA04G27230 transcript:ONIVA04G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFHMEALLPSSISPKLNSILHSHIYPQVGHVFRALAKFKSLLLDVVRKKRAPTRGGGGKKYAIGYRSRPEKSKKRIASFMKLLRFNWAAAAAVTPARAKDLELHYHPYYYDYDDSTWNVVVPADGAAEELRGGGGDDGEDCGYLCWLEEETSADALPAAGEGEDGGNGNGDGDGNGAVNEIDRLAERFIARCHAKFLLEKQESYRRYQEMMARSITIGCPFGEGCHFSHFVPGGYQAVAKTLNLGNPAVPAPARAPMDHAAGGNSHPASSGKTRMCTKYNTAEGCKFGDKCHFAHGERELGKPAYMSHESAMAPPMGGRYGGRPEPPPPAAMGPPAGNFGASATAKISVDASLAGGIIGKGGVNTKQICRVTGVKLSIRDHESDPNLKNIELEGNFDQIKQASNMVGELIATISASTPAKKPAGSAAGAAPAGRGGPGGRSNYKTKLCENFVKGTCTFGDRCHFAHGENEQRKGAA >ONIVA04G27230.2 pep chromosome:AWHD00000000:4:26462561:26470229:1 gene:ONIVA04G27230 transcript:ONIVA04G27230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASAARKRSRPDTANGGAAGGKRSRETESFQTGLSSKSKPCTKFFSTIGCPFGEGCHFSHFVPGGYQAVAKTLNLGNPAVPAPARAPMDHAAGGNSHPASSGKTRMCTKYNTAEGCKFGDKCHFAHGERELGKPAYMSHESAMAPPMGGRYGGRPEPPPPAAMGPPAGNFGASATAKISVDASLAGGIIGKGGVNTKQICRVTGVKLSIRDHESDPNLKNIELEGNFDQIKQASNMVGELIATISASTPAKKPAGSAAGAAPAGRGGPGGRSNYKTKLCENFVKGTCTFGDRCHFAHGENEQRKGAA >ONIVA04G27220.1 pep chromosome:AWHD00000000:4:26452807:26455525:-1 gene:ONIVA04G27220 transcript:ONIVA04G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVVVGCFAILEIDIMNTKKIKLHDCHFGSPLCDPSPAPHLLSSAAAAGLSFHPGLVSSAAQHQQHGAGGWLHEEYYAPRSSPPSSLLAQTCVGSNATAFYAAENLPQFDFPALGTAAAAAAKAPFRSSESELYRPVDPLLLRADHSVRTYYVRPQKRDSGERTPLPPPSQQQHQDRIHGLFAGAPTTRLLSGEPKIHSFPPQVAAKPILPAMDAPSLQNQMENQLTRNCIGAATPVTPTGNLAGSGAPSKTRIRWTQDLHERFVDCVNQLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPASSEGKQLEKRATGNDMQNLDPKTGMQITEALRVQLDVQRRLHEQLEIQRNLQLRIEEQGKRLQKMFEDQLKASRSVMEPQELDDVVAFAAGDGDDDAFDDVDVQLLAVAGSGYDDAGFQSKIS >ONIVA04G27220.2 pep chromosome:AWHD00000000:4:26452805:26455080:-1 gene:ONIVA04G27220 transcript:ONIVA04G27220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKKIKLHDCHFGSPLCDPSPAPHLLSSAAAAGLSFHPGLVSSAAQHQQHGAGGWLHEEYYAPRSSPPSSLLAQTCVGSNATAFYAAENLPQFDFPALGTAAAAAAKAPFRSSESELYRPVDPLLLRADHSVRTYYVRPQKRDSGERTPLPPPSQQQHQDRIHGLFAGAPTTRLLSGEPKIHSFPPQVAAKPILPAMDAPSLQNQMENQLTRNCIGAATPVTPTGNLAGSGAPSKTRIRWTQDLHERFVDCVNQLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPASSEGKQLEKRATGNDMQNLDPKTGMQITEALRVQLDVQRRLHEQLEIQRNLQLRIEEQGKRLQKMFEDQLKASRSVMEPQELDDVVAFAAGDGDDDAFDDVDVQLLAVAGSGYDDAGFQSKIS >ONIVA04G27210.1 pep chromosome:AWHD00000000:4:26448245:26456284:1 gene:ONIVA04G27210 transcript:ONIVA04G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIASCVESVLRSSGGAAGEASLAAVLSQAEAKLGVDLAHKATFIRDQMDLFFGPRLQPPLVAKAQAAAAAAPNPPPLVAAPAPAMPQVQVQAQLQQMQQQQQQQQLAVLQPQLIFQAMPQLPAGVPGGAAGAVSPQPPVPAMAFYPPPPLAFRVTSGLAGVATGGTVSFQQPAPGTGGTASPTAAVQAAGDNKESASKRKRGGPGGLNKVCAISPELQTIVGETVMSRTQIVKQLWQYIRQNNLQDPDDKRKIICNDELRVVFGTDTTDMFKMNKLLAKHITPLDPKDQIREAKKFKPSNVATQPMPLINQPSVVISDALAKFIGMEGTVPQDDALRYLWDYIKANQLEDAITGSILCDSKLQELFGCESIPSSGLSELLAHHFIKET >ONIVA04G27200.1 pep chromosome:AWHD00000000:4:26443496:26446056:-1 gene:ONIVA04G27200 transcript:ONIVA04G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of bacterial cytokinesis Z-ring protein FTSZ 1-1 [Source:Projected from Arabidopsis thaliana (AT5G55280) TAIR;Acc:AT5G55280] MASAACSSSASALVRLTGPIHLCSAPPRGCARRRHASVRCSFAPVETARIKVLGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALLNSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESKEAIANALKDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVALEALEKLERSVDTLIVIPNDRLLDVVDENTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARIMEAKEKAANLTHKAVAAATVQPAPAATWSRRLFS >ONIVA04G27200.2 pep chromosome:AWHD00000000:4:26443496:26446056:-1 gene:ONIVA04G27200 transcript:ONIVA04G27200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of bacterial cytokinesis Z-ring protein FTSZ 1-1 [Source:Projected from Arabidopsis thaliana (AT5G55280) TAIR;Acc:AT5G55280] MASAACSSSASALVRLTGPIHLCSAPPRGCARRRHASVRCSFAPVETARIKVLGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALLNSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESKEAIANALKDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQASALEALEKLERSVDTLIVIPNDRLLDVVDENTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARIMEAKEKAANLTHKAVAAATVQPAPAATWSRRLFS >ONIVA04G27190.1 pep chromosome:AWHD00000000:4:26431449:26433471:-1 gene:ONIVA04G27190 transcript:ONIVA04G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASVKGENVTVSAAAAPRMKKLASMLCMKGGNGDGSYLNNSQAQALHARRMLHFLEETLDAMMERSSSDKLFTAADLGCSCGSNSLFIVDVIVRRVSEAYESRGRDAPEFQVPEEVGDSASPAYNGGRVFVHRATEAVAAAYKRQFQADLARFLRSRAREMKRGGAMFLACLGRSSGDPADQGGAGLLFGTHFQDAWDDLVQEGVVEGEKRDSFNIPVYAPSLQEFRDVVRADGAFAIDRLELVRGGSPLVVDRPDDTAEVGRAMANSCKAVAGVLVDAHIGERRGAQLFERLERRAARHARELVEKMHFFHVVCSLSLAP >ONIVA04G27190.2 pep chromosome:AWHD00000000:4:26431449:26433471:-1 gene:ONIVA04G27190 transcript:ONIVA04G27190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASVKGENVTVSAAAAPRMKKLASMLCMKGGNGDGSYLNNSQAQALHARRMLHFLEETLDAMMERSSSDKLFTAADLGCSCGSNSLFIVDVIVRRVSEAYESRGRDAPEFQVFFSDLPSNDFNTLFQLLPPLLAPVAGSLEECLAAGEGAATATRPYHAAGVPGTFYGRLFPGESIDVFTSTFSLHWLSQVPEEVGDSASPAYNGGRVFVHRATEAVAAAYKRQFQADLARFLRSRAREMKRGGAMFLACLGRSSGDPADQGGAGLLFGTHFQDAWDDLVQEGVVEGEKRDSFNIPVYAPSLQEFRDVVRADGAFAIDRLELVRGGSPLVVDRPDDTAEVGRAMANSCKAVAGVLVDAHIGERRGAQLFERLERRAARHARELVEKMHFFHVVCSLSLAP >ONIVA04G27180.1 pep chromosome:AWHD00000000:4:26428109:26431002:1 gene:ONIVA04G27180 transcript:ONIVA04G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLFRPKKKEKKIQSPATLSRERKRKRKKASWRRRRQPRRPPPTARRRRRRRPRRTPAPPGSPTPTASPSTPPPSSVWRPTKTRASASSSSTTIRSAKRERGRLGLNETRVDHYLVEQYRGPMCDKMLV >ONIVA04G27170.1 pep chromosome:AWHD00000000:4:26421048:26427510:-1 gene:ONIVA04G27170 transcript:ONIVA04G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVNGKLQLHDGRTAYHFQPAKFWQNGPLYHNGLYHFFYQYNPHGPLWDTGKLSWGHSVSGDLVNWAFLGTAIDPTDPFDVNGCWSGSATVLPGGRPAFLYTGRDAGGMQVQNVSFAKNPLDPLLREWEKPSCNPIIAFPADVINNNFRDPTTAWLGRDGLWRMVVAAEVAGAGSALVYRSADFLRWERNAAPMHSSAAVPVLECPDFFPVAEHGTDGLDTSANGGGTGVKHVLKLSEFDTHQDFYMVGRYDDEGDTFSPEEPDRGDNCRRWRCLDYGQAYAAKSFFDARRNRRVQWLWVNEYDSKADDVAKGWAGVQAFPRKVWLDGDGKQLLQWPADEIETLRTKRVGLQGTEVKAGGLHEIVGVASSQADVEVVFEIPNLEDEAESFDPDWLDPHKLCKDKGAASAHGGVGPFGLIVMASGDLQEQTAVFFRVFKHHGKYKVFMCTDLTRSSTKADVYKDAYGGFVDVDIQKDKSISLRTLIDHSMIESFGGGGRACITTRVYPEHAATSSSHLYVFNNGSGTVNVSKLEAWEMATATVNSADALDAITLLLAAASLYCSAMALAGLPLSVFAIAVHFCLVFSSSSSPPVCPANGHRDRTAYHFQPAKNWQNGPVYYNGMYHLFYQYNPHGALWDVGNLSWGHSVSGDLVNWAALDNALDPTAPFDANGCASGSVTILPDGVPVVMYSGIDARRRQVQNVAFPKNPRDPLLREWTKPGYNPVIPVPADVSPDNFRDPTTAWLGRDGLWRFAISAVADGVGATLVYRSADFLRWERNAAPLHASRDAVMAECPDLFPVAEHGEDGLDLDASAIAGAGAGVRHVLKVSMPDTLEDYYMVGRYDDADDTFTVPPEDLEAHGDDYRRWRRIDHGHLYASKTFYDAGKKRRVLWAWVNESDSEADDVTKGWSGLQSFPRAVWLDEGGRQLVQWPVEEIETLRRKRGVLLGGNEVEAGGLREIGGIAGSQADVEVAFEIASLAGADRLDPDHLRDPDALCGENGAAVHGGIGPFGLLVMASGDLRERTAVFFRVFRLSHGYTVLMCTDLTQSTSRAGVYKPSHGGFVDKDIEKDRAISLRTLIDHSIVESFGGGGRTCMTARVYPEHVATGSSHLYVFNNASDAVKVSKLEAWELATASVNAGDDGLISYGLHLGSQRERWPAMSNHFGGRGSRRLSREDGGEVHGGCRLDG >ONIVA04G27160.1 pep chromosome:AWHD00000000:4:26413434:26414783:-1 gene:ONIVA04G27160 transcript:ONIVA04G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKAVKPVYGDAVAAPSTADVVPLSVFDRANFDTYVSVIYAFRPPAPANSVLEAGLAKALAEYREWAGRLGVDGDGDRAILLNDAGARFVEATADVTLDSVVPLEPTPRVTSLHPSADDDCAEAEVMMVQITRFACGSLAVGFTAHHMVSDGRATSNFFLAWSQATRGVAIHPVPVHDRASFFTPRDPPRVDYEHRGVEFKTCEKLDRNENNDDGHGHGHEGEVVVTHKVHFSREFISKLKALASVGGGQRSYSTLQCVVAHLWRCITMARGLEGSVATSVSIAVDGRARMSPPVPDGYTGNVVLWARPTATARELVTMPLQHAMGLINRAVARINDGYFKSFVDFANSGAVEAERLVSSADAAEMVLSPNIEVDSWLRIPFYELDFGSGQPFLFTPSYLPVEGLLILLPSFSGDGSVDAYVPLFSHDMDTFKNCCYVLPELS >ONIVA04G27150.1 pep chromosome:AWHD00000000:4:26409836:26411176:1 gene:ONIVA04G27150 transcript:ONIVA04G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKAVKPAYGPGEFPTTTGDVVPLTVFDKANFDTYISVIYAYRPPAPANAALEAGLAKALIEYREWAGRLGVDGDGNRAILLNDGGARFVEATADVTLDSVMPLKPTAEVLSLHPSGDDAVELMLIQVTRFACGSLVVGFTTQHIVADGRATNNFFLAWSQATRGAAFHPVPVHDRVSFFAPRDTPKVEFEHRGVEFKPYDDDENVHASGGGGEDDEVVINKVHLSREFISKLKSQASAGAHRPYSTLQCVVAHLWRCMTKARGLDGRESTSVCIAVDGRARMSPPVPDGYTGNVVLWARPTATAGELVTRPLKHAVELINREVIRINDGYFKSFIDFANSGAVEEERLVASADAAEMVLSPNIEVDSWLRIPFYDLDFGGGRPFFFMPSYLPVEGLLILLPSFFGDGSVDAYVPLFSRDMDVFKNCCYSFDKDQTTSLA >ONIVA04G27140.1 pep chromosome:AWHD00000000:4:26390771:26396756:-1 gene:ONIVA04G27140 transcript:ONIVA04G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related [Source:Projected from Arabidopsis thaliana (AT1G19850) TAIR;Acc:AT1G19850] MRNHGFPPRKVGIFRAKQPRSAASHTGAAAAAAGERLGSQDQEREGKRRKGDEGSTTTLLRIIAIYCPHPTTTPTPVYSTPQPLLLPPREKAKTGVLRNAAALLDEMQLMGETQGAKKVINSELWHACAGPLVCLPQRGSLVYYFPQGHSEQVAATTRKIPNSRIPNYPNLPSQLLCQVHNITLHADKDTDEVYAQMTLQPVNSETDVFPIPTLGAYTKSKHPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNMWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLLGVRRATRQQTMLSSSVLSTDSMHIGVLAAAAHAASSGSSFTIYYNPRTSPSPFVIPVARYNKATYMQPSVGMRFAMMFETEESSKRRYTGTVVGISDYDPMRWPNSKWRNLQVEWDEHGYGERPERVSIWDIETPENTLVFPSSTLNSKRQCLPGYGVSVPGMEIGSANMSSFPRAQGNPYGSLQHIPAVGSELAIMLLNQSGQTLGSPLSFHQSSYSSIIQNVKQNYIPPLTVSTSACLTKQESLPSDDAQHQFHMANMQNGDLEGSEVQPVIDSISESKLNATSRDPRNTDPYTSRSTSEQNSKGEPRGKTRRSKKGLPHKTVSEKSDLSSAPSWICDNQQVGLESKLVGCDEQVNCGNIEDSSGALTQGNFVGQPHGHQVEQKGVLSPPKVESSKSPDGGKSVNSFPNQGCFSQFIDGLDWMTQPSYYQDSNVIQPAGVSENIFSSSADIPPSMIADTMETFQASCLSDCLPNSIQEFISSPDLNSLTFLSPDMQNLEVQLQHDGSNLPSTSNSFVQMSFSEESASQSANLSGLHMESTHRSINTTSCSQPMSTGGFDAGMYSKLPRLKESQILSLPEIHTNSMGTSACSMDATEYSLDRSAKPMKPPVRTYTKVQKQGSVGRSIDVTGFRNYHELRSAIACMFGLQGKLEHPGSSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSENGMHVLNDCIQAA >ONIVA04G27130.1 pep chromosome:AWHD00000000:4:26388272:26389656:-1 gene:ONIVA04G27130 transcript:ONIVA04G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQMDELSGRGGARKDGRDPSLETAAEGGGAAALAVVDEVCVESRTVGGDGTIGGGRARELADAGGEKVGEGENPGNDELEQLSHTVDELVGESSRSGGGVGGDEVDGAETFVEGGDKEGERAAPRRRRVGADVASRVCGGADEERVPLPRRAEVAAAGEIIVGDALVIGVEWRKTKVGDEEPSRGLAMLRRRRQGECTPSPPRRGGWGWFARVLRRKGRTVPRRFGRNWI >ONIVA04G27120.1 pep chromosome:AWHD00000000:4:26379697:26385044:1 gene:ONIVA04G27120 transcript:ONIVA04G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKTPGDHMALCLGSGGGGPNRAVSEDTGNEDTAAAEERRQVARYKQTLWEFKLSAIVIVLVLAFWVAPRPPHVRVVAPDLRQLWHGCVRTLAIHDYGLSVPKMSDFSNQEHQLPPRGPRPLLTDGYRSIIPHKRGSHQNPDRAGHQSVVAGGPTVHIPRWSSLPATTSSTVHATMELVTCDRSSVIHAPHRAHRHRRRPRPPPSRPTSEQVAGGRVFRRQRPTLELMAAEKTQIIPVLCLGNGGSGPNRAVSEDPGSEHTAEDETAREIVDAEVRRQVARYKHKLREFKLTVIGTAVFLVFWVVLLLIGTLDHHLSVSWRRFFVTCGVFECLFWLYLVVGHLREYGLSIPKISDFSNEEHQLPPVGHDRC >ONIVA04G27110.1 pep chromosome:AWHD00000000:4:26375868:26378519:-1 gene:ONIVA04G27110 transcript:ONIVA04G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H735] MKFLDAKNPVAAKDAKIGTETPAADGDAARKRPSAGDAPAPAPLADKRHRPNPPSSGSRDRDRHHRHGHSHGHARPSTAGGGEKKLRASHILIKHEGSRRKASWRDPEGVAISATTRDDAADLARALREKIVAGERKFEDVATEESDCNSAKRGGDLGPFERGKMQKAFEKAVLALKVGEISDVVDTDSGVHIILRTA >ONIVA04G27100.1 pep chromosome:AWHD00000000:4:26371897:26375138:-1 gene:ONIVA04G27100 transcript:ONIVA04G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein [Source:Projected from Arabidopsis thaliana (AT5G39590) TAIR;Acc:AT5G39590] MGASTSTSNPSLEAQEQETLASASLALPLLRAAFSRSNGSLAEALSPPPAAFRSDSPPVPPRFHDLVARLGPAIASLFFSDVGPAGDAAGWLGFLRGFNRCCARVPASQSLALLLRVYAAACADAGAPCGLQFHPDEDGAGGEGKVVGELAPGEIAVLLWMCWVMAWSGSAPGVSGGQEGGGKGEPVLLPDVTHLVLSALVSAGAVADDAGVWGWEVSRGGKGVKVQEFTSWVLSTAAGLGNCLSRYVQERFRSCAADPVEERSVSTGNTSSCNPDVYLLTRGRAWAISLSLRNTLSEKFLSASVIGMDTEDLLYRSSVHGKGLSRFWSCVEGYKGPVLILLSAFSRSGGDNVDTDQRWGIGILTEEGLHNKDTFYGSSASLCSTYPIFRMLPPSGMTCAGHFLFTTADSSLRPYCASDLAGKEKNFIYCHLHPQIRVYEAKPKPVGLGFGGTIGNERIFLDEDFSKLTVRHHAVDKTYQHGSLIPNQGYLPVEASVLDVEVWGLGGEATRRQQDMYKKREDIFSEQRRKVDLKTFGNWEDSPEKMMMDMISDPNAVRREDR >ONIVA04G27090.1 pep chromosome:AWHD00000000:4:26362841:26363888:-1 gene:ONIVA04G27090 transcript:ONIVA04G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WUSCHEL [Source:Projected from Arabidopsis thaliana (AT2G17950) UniProtKB/Swiss-Prot;Acc:Q9SB92] MDHMQQQQRQQVGGGGGEEVAGRGGVPVCRPSGTRWTPTTEQIKILRELYYSCGIRSPNSEQIQRIAAMLRQYGRIEGKNVFYWFQNHKARERQKKRLTTLDVTTTTAAAADADASHLAVLSLSPTAAGATAPSFPGFYVGNGGAVQTDQANVVNWDCTAMAAEKTFLQDYMGVSGGGGAAAAAPTPWAMTTTREPETLPLFPVGGGGGDGAHRHAGHGGFPSNFQRWGSAAATTNTTTVQQHLQQHNFYSSSSSQLHSQDGPAAGTSLELTLSSYYCSCSPYPAGSM >ONIVA04G27080.1 pep chromosome:AWHD00000000:4:26358957:26361998:1 gene:ONIVA04G27080 transcript:ONIVA04G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07860) TAIR;Acc:AT3G07860] MDPGKSFSAAEEEATDSVSTKPEEVAAYQSSEAKQAKLQSMLAALLDDPILADVPRKPSLADVDTLINLELGSAMRLTVVKLDGTSFDVAMLNTATVKDLKMAIRKKTDEIEQEKMGHRHISWKHIWDNYCLTHQNEKLIDDNSVLSSNGICNNSKVYFSPHVMSRVYRKHSRRRKHRFFHGLNRKIKL >ONIVA04G27070.1 pep chromosome:AWHD00000000:4:26358360:26358926:1 gene:ONIVA04G27070 transcript:ONIVA04G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRSRIARDWQPNQQARTLCSVSLPARPQPLRKLLYSARPSPGMATGLDQPHAAAICAKEPTTRWRRSGRGSDEDEAAWSKPAVGGLQLLAATPMARPDSGGGGDEGFGGFGAEERQIKREDLRPDCSRIQSNGWNAQPLE >ONIVA04G27060.1 pep chromosome:AWHD00000000:4:26357023:26357355:-1 gene:ONIVA04G27060 transcript:ONIVA04G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >ONIVA04G27050.1 pep chromosome:AWHD00000000:4:26350890:26355734:-1 gene:ONIVA04G27050 transcript:ONIVA04G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRSRRVSWASGGNLCKVRLFLSEDSPSQAGLRPQDNLQAKGSWLLHAAGPSSDDSLPPGFESLPPSNDLKIDMSQIPLIRWKCPPHIVLEQDWHIVAGEESREIEIQNERINGALEAIYPRPSNIPPNPFLSLDVKDAHYDDSKTLLVPLIPLEDDDASDQLEGPTLDLPSHYNITGVSNTPVSAEQQPPCGGAISSGFTIEPQAAVSATVTAIMQTIQSNQNGSMADQNGSTIDQELLFKILSDPSQLQRLMKECGPVRHEQSASSSVVAPLVSIPPPQITASSPAPFSDHVGTFHGTNPTLPPPPPMMNRPPSTIPSVAMNHPPSSSPAMNFGSALPSSSPSVNFGSVPGRGVGYYKTLIHQHGGERLEQPFEQHGMQFGMYRQPGPPQNGGIDAMNGAAAMVSRDGKVRPMKPCAYFNSPKGCRNGASCTFLHDASAPTRKDHQKQKGSKRIKLDNTMGGRN >ONIVA04G27040.1 pep chromosome:AWHD00000000:4:26341940:26346970:1 gene:ONIVA04G27040 transcript:ONIVA04G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPKNGCLKILACAGGGSDPSAGSDGDADDHVDENKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKAEIAPSNIYSSTYSYASEKPLQQEKPDEKILQQEKSDEKILQQDEPAEKILQQEKPDEKILQQDKPDEGPLNEETPDEKLIEKPIDQPGDESIEKPADEPIEKSADQITERSIEQPAERVTEVPIQEPTERVTETPIVKPNDNDVEEHTDKTDESIFVSSTEVKQEETVSLFDGSSEDHQEDCAETAAAVIQSGIRVHTEEQELPNDKDLVKLQAVIRGHLVRRQAAESLQCLLAIVKMQGLVRVHQAQQYGGKFQDSLISSSSEKLLNNGFALKLMDSMSTSKSIHIKCDPSEPDVAWKWMERWTSMIPPNTGGHLLEDRENNESVDEKIKGDAQHEENTLPLDSDISFPKLVPDDAEETLRPSDSHPLEVSACIPDRTSGMEIEDVPEPDLIEKFKEDVEKLTEPETENVAEQPLEVSVEQSTQTDTSREPIPLPEKPESSFDDTMDAYKTEQTSEMEGKKFMARKSCNPAFAAAQLKFEELTSNSTVSRSNSLDGANKPKVHTPRSQDNVSPKQSNDTSIPESSVGHDPKIVVAASECGTEISISSTLDSPDRSEADGGEIVLEIGSLEDRNRVGDNAEKDNSVLHSEVNTSGGAIEPEKEVQTDDTAIAANAIDPVPVEQPHLGQEKPDLHDELEKSVGSYVKTPEGTPLSRTTFAESHGTPSSEVSVNTKKSKSKRPKSHVSKRSLTSPSSDSVGRSSMDNLSKDYRLGRRESSGKVKSDHVDQEPRISNSTPLPSYMQFTESARAKASASVSPKLSPDVQDNNPRKRHSLPMTNGKQDSSPRMQRSSSQAQQNVKSNGAVPVPPNSSGK >ONIVA04G27030.1 pep chromosome:AWHD00000000:4:26331320:26334939:-1 gene:ONIVA04G27030 transcript:ONIVA04G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription regulators [Source:Projected from Arabidopsis thaliana (AT5G13240) TAIR;Acc:AT5G13240] MRGASGSLHKTLNMKFLEYTPFDSINLFLDNLDLGDCTIRGNLEAFSCKHTGNDRRLSISLEHEILDCLSKSSDSDHSSPVEHLSCRSSRKTLIYLVLTLSHMYPDYDFSAVRAHLFFKEEEWESFKEMTDTYLSEASKQWAATNEGTSLLDSMTNVIDEVIKIGESDIYSYNPDQDGDPFLEKGVIWSINFFFYNRKLKRVVSFRCSCISKISGDDFLTSAPSDGEEEDALIDMDI >ONIVA04G27030.2 pep chromosome:AWHD00000000:4:26331320:26334939:-1 gene:ONIVA04G27030 transcript:ONIVA04G27030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription regulators [Source:Projected from Arabidopsis thaliana (AT5G13240) TAIR;Acc:AT5G13240] MKFLEYTPFDSINLFLDNLDLGDCTIRGNLEAFSCKHTGNDRRLSISLEHEILDCLSKSSDSDHSSPVEHLSCRSSRKTLIYLVLTLSHMYPDYDFSAVRAHLFFKEEEWESFKEMTDTYLSEASKQWAATNEGTSLLDSMTNVIDEVIKIGESDIYSYNPDQDGDPFLEKGVIWSINFFFYNRKLKRVVSFRCSCISKISGDDFLTSAPSDGEEEDALIDMDI >ONIVA04G27020.1 pep chromosome:AWHD00000000:4:26324495:26333124:1 gene:ONIVA04G27020 transcript:ONIVA04G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT1G19880) TAIR;Acc:AT1G19880] MSASGEPEKVPAAAEGEEKADGAKDAAGSGGELLYCGATNFETMGRKVVGGAQGNLVSPTRMRSLMGVDIRFVASGCTACHCVALDAEGRCYTWGRNEKGQLGHGDTLQRNLPTVVSELSKYKVIKASVGRNHTVVVTDDGKSFSFGHNKHGQLGTGSLRNEIETSPMPCLVTEATNAVCGADFTVWLSSVEGSTILTAGLPQYGQLGHGTDNEYNIKDSSVKLAYDPQPRPRAIASLSGKTIVKAACGTNHTVAVDSSGYVYTWGFGGYGRLGHREQKDEWQPRLVEVFQKHNVLPPNAIISAGAASSACTAGGGQLYMWGKLKNTGDDWMYPKPLLDLSGWNIRCMASGNMHHVVGADDSCISWGTAQNGELGYGPNGQKSSANPKKVDILEGMHVISVGCGYGLSAIVVDRSIASDRLNQLDIYDGDTSTEVEDRVEVQVAKKASASTNARSNKRKKTKDVSESEEDDDEEDDSDDDENGEIKGGKGRRGRKPSNRGRGRGAKKATPEPKPSARGRGRPKKTESPAQKTGSSGRGGKRGKRGRARK >ONIVA04G27010.1 pep chromosome:AWHD00000000:4:26312456:26316638:-1 gene:ONIVA04G27010 transcript:ONIVA04G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase I [Source:Projected from Arabidopsis thaliana (AT3G07270) TAIR;Acc:AT3G07270] MTHLEARGTTTAMGALEEAHLAAAISACECECYEEEEEDDLVEGDGEAAAADAMEPAVRALLLGLGEDARREGLRRTPKRVAKAFRDGTRGYKQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIDLFSYCESCLLPFSIQFHVGYVPSGGRVVGLSKLSRVADVFAKRLQNPQRLASEVCGALHASIEPAGVAVALQCWHIPLPENLKCKTLQGWISTSHSSRSGVFEGESSSFWNDFSALLKLRGIDMERDSHSASIAWCPLRSHDVPVCNGHCKKATTNGAISPKSVPAPSNMVSAVSSMLLSLGEDPFRKELVGTPQRYVQWLMKFRACNLDVKLNGFTLNNLSVYQSPAGDAADHRAIHSELHLPFCAQCEHHLLPFYGVVHIGYLDGGDGEVIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHCGAIVVVEANHICMISRGIEKIRSSTATIAVLGQFLTDPSAKARFLQNVVDTTGLAV >ONIVA04G27000.1 pep chromosome:AWHD00000000:4:26307466:26310151:-1 gene:ONIVA04G27000 transcript:ONIVA04G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:flavanone 3-hydroxylase [Source:Projected from Arabidopsis thaliana (AT3G51240) TAIR;Acc:AT3G51240] MAPVATTFLPTASNEATLRPSFVRDEDERPRVAYNQFSDAVPVISLQGIDEAARAEIRARVAGACEEWGIFQVVDHGVDAGLVADMARLARDFFALPPEDKLRFDMSGGKKGGFIVSSHLQGEAVKDWREIVTYFSYPVKSRDYSRWPDKPAGWRAVVEQYSERLMGLACKLLGVLSEAMGLDTNALADACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGKTWITVQPIPGSFVVNLGDHAHIMHLLGNVNLQYLSNGRFKNADHQAVVNSDCCRLSIATFQNPAPDARVYPLAVRDGEEPILEEPITFAEMYRRKMARDLELAKLKKKAKEQRQLQQAALPPPPPTQVAAELAAQKPKSLDEILA >ONIVA04G26990.1 pep chromosome:AWHD00000000:4:26302726:26303193:-1 gene:ONIVA04G26990 transcript:ONIVA04G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVITIQSLVWLRRTVRRWRSRAAARPAGSKMERDGDAVPAGHVAVRVGGGGEDARRFVVRVAHLNHPAFRELLRQAEEEYGFPSGASGPVALPCDEDHFRDVLRRVSSDERHHLAFCRPAATCGVTKRRAESSSSSSSRAPLLQGMAVDSLVW >ONIVA04G26980.1 pep chromosome:AWHD00000000:4:26287788:26288219:-1 gene:ONIVA04G26980 transcript:ONIVA04G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSKIRYIVWLRQTLRRWRSRAAARAAAEAVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEEYGFPSGAYCGPIALPCDEGLFEHVLRHLSSPSSAARFVTLEDIQSGALSCCCAAAGDALPLLRGIATDKAVW >ONIVA04G26970.1 pep chromosome:AWHD00000000:4:26282550:26284182:-1 gene:ONIVA04G26970 transcript:ONIVA04G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L RNA-binding motif/Ribosomal protein S4 family protein [Source:Projected from Arabidopsis thaliana (AT5G15750) TAIR;Acc:AT5G15750] MRKLRFHEQKLLKKTNFLDFKREKGHRDAIVTQRYLLVERDDYKKYNGICLMVQKLVNIIKQMDPRDPYRAEMTDMLLDKLRRLATVMVKLKFAEHLKEAVTYIQQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVQEYNGELDDFDAMA >ONIVA04G26960.1 pep chromosome:AWHD00000000:4:26280130:26281764:1 gene:ONIVA04G26960 transcript:ONIVA04G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G24820) TAIR;Acc:AT3G24820] MAFSSFSWPFRRRGGGGGGGPSKPSASSAAAAAAAAGNEEEELGVTPQLLDFLRTLSPDSFKSSALQLQGNSHTLAISISISSFPLRSGLDRDVRVEAGDLTGWQERHAVLVLSKAKELAKIRYDLCPRHMKDKQFWRIYFLLAKSYTSPYELRAIQKEKVRRMETENGKAKDVTTVEVEMQESKCSRDSQTLPGDEESQDS >ONIVA04G26950.1 pep chromosome:AWHD00000000:4:26275742:26279875:1 gene:ONIVA04G26950 transcript:ONIVA04G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSGRTLEKVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHALFNNYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTSKLAALKGLDARLREIRGYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPTAIPSAAGS >ONIVA04G26940.1 pep chromosome:AWHD00000000:4:26273000:26274710:-1 gene:ONIVA04G26940 transcript:ONIVA04G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 7 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H716] MSCGLEVELVPYLAWPHRQHILHLLHITDYTRITKRILHLHLNLHRQLMDHINSSTDVVLPSLEDQGVRQLYPKSPDIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHMLENQIQRRKQAIEDIKQRREEAQKLLGESLLILDGNQPSLPAM >ONIVA04G26940.2 pep chromosome:AWHD00000000:4:26272746:26274176:-1 gene:ONIVA04G26940 transcript:ONIVA04G26940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 7 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H716] MATSSAYPPPPPYYRLYKDYEKDPSSAPEPPPPVDGPYQLFGATYTTDVVLPSLEDQGVRQLYPKSPDIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQEERGGTKATWGITANLRWKPAEFACNVKTCDVSASLLPNVPSWLARECTWQFTCRNSLVSSVTVGDTSGFCANSLFIACLCVVICETLNIEPILDLTVEKLQCSPVMHTVS >ONIVA04G26940.3 pep chromosome:AWHD00000000:4:26274195:26275611:-1 gene:ONIVA04G26940 transcript:ONIVA04G26940.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 7 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H716] MTTNPTLVRLRLRVGSVARLRLRLRHRHRRRRSPEALAPFLEVGIPICASSKQLFMFFPFRLGS >ONIVA04G26930.1 pep chromosome:AWHD00000000:4:26263590:26270620:-1 gene:ONIVA04G26930 transcript:ONIVA04G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPDGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQLPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSITVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKILKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDEGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTPDEKAHGYSQNGKVPPSGNNVENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTTKGSSSHSSRRSRSPSARSRTKKESSSHRESVSSDEPSDNSKRRKLHSRKSSMSPSPPKSRNRYLLSEETSGSYISYPFTYPFSYVSSLDEFRGLHIASILTAGIRLIHLRKGIGQEEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGISLHLLLIH >ONIVA04G26930.2 pep chromosome:AWHD00000000:4:26263590:26270620:-1 gene:ONIVA04G26930 transcript:ONIVA04G26930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPDGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQLPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSITVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKILKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDEGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTPDEKAHGYSQNGKVPPSGNNVENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTTKGSSSHSSRRSRSPSARSRTKKESSSHRESVSSDEPSDNSKRRKLHSRKSSMSPSPPKSRNRRVSRSPHSKHSHRRHSPYSSAERWYFHMNFSGVLSFSNMEEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGISLHLLLIH >ONIVA04G26930.3 pep chromosome:AWHD00000000:4:26263590:26270620:-1 gene:ONIVA04G26930 transcript:ONIVA04G26930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPDGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQLPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSITVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKILKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDEGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTPDEKAHGYSQNGKVPPSGNNVENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTTKGSSSHSSRRSRSPSARSRTKKESSSHRESVSSDEPSDNSKRRKLHSRKSSMSPSPPKSRNRYLLSEETSGSYISYPFTYPFSYVSSLDEFRGLHIASILTAGIRLIHLRKEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGISLHLLLIH >ONIVA04G26930.4 pep chromosome:AWHD00000000:4:26263590:26270620:-1 gene:ONIVA04G26930 transcript:ONIVA04G26930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPDGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQLPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSITVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKILKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDEGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTPDEKAHGYSQNGKVPPSGNNVENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTTKGSSSHSSRRSRSPSARSRTKKESSSHRESVSSDEPSDNSKRRKLHSHEFRGLHIASILTAGIRLIHLRKEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGISLHLLLIH >ONIVA04G26920.1 pep chromosome:AWHD00000000:4:26258363:26262895:1 gene:ONIVA04G26920 transcript:ONIVA04G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdopterin biosynthesis CNX1 protein / molybdenum cofactor biosynthesis enzyme CNX1 (CNX1) [Source:Projected from Arabidopsis thaliana (AT5G20990) TAIR;Acc:AT5G20990] MLQVEEALAAVLSAAAASAAARAAEAVPLHDALGLVLAEDVRAPDPLPPFRASIKDGYAVVASDGPGEYPVITESRAGDDALGVVVTPGTVAYVTTGGPIPDGADAVVQVEDTEQLAGAPDGSKRVRILVRPTQGQDIRNVGCDIQKDSVVLKSSEHIGPAEIGLLATVGVTTVKVYRRPTIAVFSTGDELVEPATASLSRGQIRDSNRAMLIAAAIQHKCKVVDLGIAKDTEESLKEHMDAALSSDADIILTSGGVSMGDRDLVKPCLASMGKIHFEKIRMKPGKPLTFAEIVTEDSSKPSKKVLAFGLPGNPVSCVVCFNLFVVPAIRSLSGWSNPHLPRVHARLLHPLRGDPHRQEFHRAVIRWVPDDGSGRPGYVAESTGQQASSRLLSMKSANALLEVPLVGQMLEAGTSMQAILISDMTSSPFDKLPTASNPLPSHLFPSAKSVSTDLSQVPASQNTEVKVAILTVSDTVSLGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILVKWSDIDRVSLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTYVMLQESLKVTPFAMLSRATAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHAEAAPVDQWDRSFRAASSGRGCSCEP >ONIVA04G26920.2 pep chromosome:AWHD00000000:4:26253647:26262895:1 gene:ONIVA04G26920 transcript:ONIVA04G26920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdopterin biosynthesis CNX1 protein / molybdenum cofactor biosynthesis enzyme CNX1 (CNX1) [Source:Projected from Arabidopsis thaliana (AT5G20990) TAIR;Acc:AT5G20990] MLIAAAIQHKCKVVDLGIAKDTEESLKEHMDAALSSDADIILTSGGVSMGDRDLVKPCLASMGKIHFEKIRMKPGKPLTFAEIVTEDSSKPSKKVLAFGLPGNPVSCVVCFNLFVVPAIRSLSGWSNPHLPRVHARLLHPLRGDPHRQEFHRAVIRWVPDDGSGRPGYVAESTGQQASSRLLSMKSANALLEVPLVGQMLEAGTSMQAILISDMTSSPFDKLPTASNPLPSHLFPSAKSVSTDLSQVPASQNTEVKVAILTVSDTVSLGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILVKWSDIDRVSLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTYVMLQESLKVTPFAMLSRATAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHAEAAPVDQWDRSFRAASSGRGCSCEP >ONIVA04G26920.3 pep chromosome:AWHD00000000:4:26258363:26262895:1 gene:ONIVA04G26920 transcript:ONIVA04G26920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdopterin biosynthesis CNX1 protein / molybdenum cofactor biosynthesis enzyme CNX1 (CNX1) [Source:Projected from Arabidopsis thaliana (AT5G20990) TAIR;Acc:AT5G20990] MLQVEEALAAVLSAAAASAAARAAEAVPLHDALGLVLAEDVRAPDPLPPFRASIKDGYAVVASDGPGEYPVITESRAGDDALGVVVTPGTVAYVTTGGPIPDGADAVVQVEDTEQLAGAPDGSKRVRILVRPTQGQDIRNVGCDIQKDSVVLKSSEHIGPAEIGLLATVGVTTVKIRDSNRAMLIAAAIQHKCKVVDLGIAKDTEESLKEHMDAALSSDADIILTSGGVSMGDRDLVKPCLASMGKIHFEKIRMKPGKPLTFAEIVTEDSSKPSKKVLAFGLPGNPVSCVVCFNLFVVPAIRSLSGWSNPHLPRVHARLLHPLRGDPHRQEFHRAVIRWVPDDGSGRPGYVAESTGQQASSRLLSMKSANALLEVPLVGQMLEAGTSMQAILISDMTSSPFDKLPTASNPLPSHLFPSAKSVSTDLSQVPASQNTEVKVAILTVSDTVSLGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILVKWSDIDRVSLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTYVMLQESLKVTPFAMLSRATAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHAEAAPVDQWDRSFRAASSGRGCSCEP >ONIVA04G26920.4 pep chromosome:AWHD00000000:4:26253647:26262895:1 gene:ONIVA04G26920 transcript:ONIVA04G26920.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdopterin biosynthesis CNX1 protein / molybdenum cofactor biosynthesis enzyme CNX1 (CNX1) [Source:Projected from Arabidopsis thaliana (AT5G20990) TAIR;Acc:AT5G20990] MVTRWSEKGPGLKILWIWTLGTAGIMITNVVRTRVNDMQKILQEEDEAAAAAAPMASGERNVSTYQNSLNPAVGCDIQKDSVVLKSSEHIGPAEIGLLATVGVTTVKIRDSNRAMLIAAAIQHKCKVVDLGIAKDTEESLKEHMDAALSSDADIILTSGGVSMGDRDLVKPCLASMGKIHFEKIRMKPGKPLTFAEIVTEDSSKPSKKVLAFGLPGNPVSCVVCFNLFVVPAIRSLSGWSNPHLPRVHARLLHPLRGDPHRQEFHRAVIRWVPDDGSGRPGYVAESTGQQASSRLLSMKSANALLEVPLVGQMLEAGTSMQAILISDMTSSPFDKLPTASNPLPSHLFPSAKSVSTDLSQVPASQNTEVKVAILTVSDTVSLGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILVKWSDIDRVSLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTYVMLQESLKVTPFAMLSRATAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHAEAAPVDQWDRSFRAASSGRGCSCEP >ONIVA04G26920.5 pep chromosome:AWHD00000000:4:26253647:26257817:1 gene:ONIVA04G26920 transcript:ONIVA04G26920.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdopterin biosynthesis CNX1 protein / molybdenum cofactor biosynthesis enzyme CNX1 (CNX1) [Source:Projected from Arabidopsis thaliana (AT5G20990) TAIR;Acc:AT5G20990] MIPFKKNAIRKGHPSAPRRSPSPTPSADETSLTDFPKKEKQKKNKKEISLNAGGAPAALELCSSDGDQMEREGPRPQDPVDLDPGNRWNYDYQCRPDARQ >ONIVA04G26910.1 pep chromosome:AWHD00000000:4:26250886:26253565:1 gene:ONIVA04G26910 transcript:ONIVA04G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQCTLAKHETAEMRRTWVDAWQPTERRQLGLGDAATMWWWRCVWQRRLVVATEEIGVVSLVLSSMVGGGTEMSRVGFGGADRGCGDTVLKAEIGGCTETVDEPEIGGGTEETGEAEFLVEIGGGAEETGEKGDEWRSGEWRRQLAGWEGGCGVRRATAEWTMRSRRRVGARAPGDDRGGDVHKRDGRENWRRRWISRSEGKCDYFRGAGVREGGCYSRRQIS >ONIVA04G26900.1 pep chromosome:AWHD00000000:4:26239437:26245474:-1 gene:ONIVA04G26900 transcript:ONIVA04G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRGRKRAAAAAAVAEEDSSKPEAAEAKKPAARGRGKRAKASPKPKPETEYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWNFENLEKALEEGGELYGKTVYLFGSTEPQLLEVNGESKIVLIPIVVAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALRHLKTERVKLFDYCMPYYMPLNPPEDEDDTVVNIIYPLEPPIVCDFDWEMDDYEDFADEKVKDEVLPEDEKEKFKEFIKERVRERKRELKQAKEARKKAIDDMDPKVKEAFENIKFYKFYPVKTPDTPDVSNVKAKYINRYYRHAHHLM >ONIVA04G26890.1 pep chromosome:AWHD00000000:4:26234018:26237608:-1 gene:ONIVA04G26890 transcript:ONIVA04G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLHEGDAKDWVYKGEGAANLILSYTGSSPSMLGKVLRVKKILKDKGQPAPNCIVFSSHEEHLWGKIPGLLESVKNDCLPQAYATIVMSQHLGANHVDGGVRVRVSKNFFELAGKNVLDNRPAWRVNASAIDAGADSALLISDHTLFSGNPRGSSCIAVEIKAKCGFLPSSEYISKENSIKKQVTRYKMHQHLKFHLGEISKTSEYDPLDLFSGSKERIHMAIKSFFSTPQNNFRIFVDGSLVFGGMGGGADSVHPNETEKCLEDLSKVTGLQLSDFIELLSEAIFKSGVLGKLLATQKLDDHDIEGAIHLYYNIISQPCLVCKSITDTELLRKYSTLHSLPLDKSEKIVRDFLISATAKDCSLMISFRPRQSGTTDSEYDSVFLDSVNQSYDYKAYFIDLDVKPLDKMVLLPSRENV >ONIVA04G26880.1 pep chromosome:AWHD00000000:4:26232296:26233012:-1 gene:ONIVA04G26880 transcript:ONIVA04G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVLRVDTSCDKCKRKILQTVSGLQGVDKIDIDSEKGTMTVTGSADPVDVIERTRKAGKRAEVVTIGPPPASSSSSSSNPSTEQQQIKKQAPAAEEKVYTAAAEKRAPEPPATVYVHYIPASTWSAPAWPSYEYDQSVVYHQQDPPPACSIM >ONIVA04G26870.1 pep chromosome:AWHD00000000:4:26228499:26231194:1 gene:ONIVA04G26870 transcript:ONIVA04G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGGRAAASMARTASASRRQPETTSLAVDEAGGRASRRPRGWKAVGFMIGLYLAIAMALNAFAQPVTNYLIKRYNMKPNAATNVANVFSGTYSFSPVVGAFVADAFCGRFWTLLFGAVAAFVAMVVITLSATIRQLKPPSCSDVARQAGTCAGPSGLHRAVLYIGMALLVVATGGANPTSLPFGADQFDHDDASSGSSSNEADERRRRAEEPAGLKRFYNWYYVVTMMASFMALTFIAYIQDKVSWGLGFGIPTALVAATFAVFLAGTPLYVRVPAEGSIFSSVARVVVASCRKRRLTLPHPRDARQQEAVLYNPPVVVAAGTGTSRVFKLPLTLQLSFLNKAAIVTADADEIRPDGSPARPWSLCSVQQVEEVKCLVKIVPVWISGVMWFISVAELTNYTFLQALTMDLHMGRSFTIPPVSIAAIFNLAIVLFVPVYDLLVARAAQRVTGVEGGITVLQRQGVGVAISGLALVVAAVVERRRRASALDNGGTSPMSVFVLAPQLAVMGVSAAFNMIGQMEFYNTEFPDQMRTLANAAFYCAQGASSYLATAVVNVVNARTRRRGGGQGWVAEDINAGKLDHFYYAMAVFAAINFVYFLVCSYFYRYKGEPEVAAEDSPPATPEAVLLKH >ONIVA04G26860.1 pep chromosome:AWHD00000000:4:26219237:26221516:-1 gene:ONIVA04G26860 transcript:ONIVA04G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWVTTLIFLLAGFVASLFSLLCCNRGPSTNLFHLTLVITATVCCWMMWAIVYLAQLKPLINPILSGE >ONIVA04G26850.1 pep chromosome:AWHD00000000:4:26205951:26217121:-1 gene:ONIVA04G26850 transcript:ONIVA04G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQHNAQFHKNKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMNLNHKNIVKYLGSLKTRSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDSIQRPDAKTLLMHPWLQNSRRALPSLRQPVQSPRDIDEDDEGSSGDNHSGFSGPPRDTQTPTASGLEQEDGRKDLVSESARQDIPDEFHDGMLKTTGSSSSNDVELMKDNMVLNKDPTLVFHEKLSLESSLGATDLNGKLTHEVSQDGPPNKLTSSGQESRKSDGKYVEDESKDGSSLEDGDAFSFQAGGQNINFQKEAKTSVEMANELSRFSDTPGDASFDDLFPPKKRGDHGAEASTSTTGEELQYNGAQNDLAKELKTRMAQKQKENDTEHMNGGKLLEYVMRLREEDIDGTAFDETIPGESLFPLQSVEYSKIVAQLKPGESEDVILSACQKLVSIFNQRPEQKQIYVSQNGFLPLMELLELPKNRIITSVLQLINQIVKDNTTFLENACLVGLIPVVMNFAVPDRAKEVRVQASRFLQQLCQAREMVHLAIDGIWQVFKLQHSTPRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRRRSGQLDPPMLEIFKTRLDHHHSSGSLQSLQADADRHHIIMDPSASPRFTDMAAAGHMERNDNDPIRPQRLSVSAGRTSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHLSGLERHESILPLLHASTERKTNGELDLLMAEFAEVSRQGRENGNLDSNIKTSNRVPSMKYAPSSGPTTSNEGASTSGAASQTASGVLSGSGVLNARPGSTTSSGLLAQMVSMSADVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELHDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLSHDNDHRKVEQALLKKDAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >ONIVA04G26840.1 pep chromosome:AWHD00000000:4:26203036:26207382:1 gene:ONIVA04G26840 transcript:ONIVA04G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4-glucan-protein synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G16510) TAIR;Acc:AT5G16510] MSLEIQDSEVDIVIAALQPNLTTFFEAWRPFFSRFHIIVVKDPDMAEELQIPTGFDLKVYTKSDMGVLGATSIDFSGHSCRYFGYLVSRKKYVISIDDNCLPAKDNGGLTVDAVAQHMSNLKTPATPFFFNTLYDPFRKGADFVRGYPFSLREGVECMLSCGLWLHNADYDPMTHVVKRNQRNTTYVDAVMTVPLGAMMPVSGINVAFNREVLGPVMFPALRLRKEGKHRWDTLEDVWNGLCAKVVCDRLRYGVKTGLPYVMRSDAEAGKALESLKEWEGVKVMDVVLPLFESLKLSSTSVTVEDCVKELTSIVKEKLGPQNAIFAKAADAMEEWTKLWKSHGAQSA >ONIVA04G26840.2 pep chromosome:AWHD00000000:4:26203026:26207382:1 gene:ONIVA04G26840 transcript:ONIVA04G26840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4-glucan-protein synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G16510) TAIR;Acc:AT5G16510] MRPPSLLSLTLDSALLRIAHLHDLSRLPDHLLIDLFRRTIAAGKLTEKVLKLFLATDCEEIALLVQLLNIKQPLVPVLPTTLQPNLTTFFEAWRPFFSRFHIIVVKDPDMAEELQIPTGFDLKVYTKSDMGVLGATSIDFSGHSCRYFGYLVSRKKYVISIDDNCLPAKDNGGLTVDAVAQHMSNLKTPATPFFFNTLYDPFRKGADFVRGYPFSLREGVECMLSCGLWLHNADYDPMTHVVKRNQRNTTYVDAVMTVPLGAMMPVSGINVAFNREVLGPVMFPALRLRKEGKHRWDTLEDVWNGLCAKVVCDRLRYGVKTGLPYVMRSDAEAGKALESLKEWEGVKVMDVVLPLFESLKLSSTSVTVEDCVKELTSIVKEKLGPQNAIFAKAADAMEEWTKLWKSHGAQSA >ONIVA04G26830.1 pep chromosome:AWHD00000000:4:26201638:26202864:-1 gene:ONIVA04G26830 transcript:ONIVA04G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFGKTAQRKQARVRAQEYRPGPRRPEQKESTAVVFSPPLSAPPLPPSSQAAARPSAPAHHAASRPPLIGPPNLQLASTSTSTSTSSASASASKP >ONIVA04G26820.1 pep chromosome:AWHD00000000:4:26196513:26201525:-1 gene:ONIVA04G26820 transcript:ONIVA04G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSPPTNHSSASLCTIRPSLDPAFIDTPAVREHHDVEVSSAAQNLLSLLVLNLVQCRSVNGFPVASRFMQIGKSRKLVHDVLVNSKPNSFTAQNIGSNFAFGLAHTGRACLHRKVPHGPGTSSMLGRAKESLYRNPAARNFSVLSTCSQNAFHGQLAWKQLMTIGSHVPKASPILSRVACAISLAATRSNLVPYLFAFVAGEIMLAQKTSADGEYLPIRDSLYTRAQDGRIYVTSLIFSAVELVIIIFRSIYLALLFTPSILMAPFADTLGSKYRKTWLRLVHRTLEKAGPAFIKWGQWAATRPDLFESDLCAELSKLHTKAPAHSFAYTKKTVEKAFGRKLSDLFENFEEEPVASGSVAQVHRAGLRFRYPGQHIKRVTVAVKVRHPGVGESIRRDFTIINAVAKISRYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEHGESVAHYVDDLDGHDRIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVIQPRNSNNTLLKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAEHTLRLSKQQNCPNPKAFIEEVERSFSFWGTSEGDAVHPAECMHQLLEQGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIEGLMAP >ONIVA04G26810.1 pep chromosome:AWHD00000000:4:26178926:26182300:-1 gene:ONIVA04G26810 transcript:ONIVA04G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRTPPPPTPPNPNPSVIGSGAAADGGGFGRGEAAAATKHMLAFHFLRALSRIHRATPVTRRTRTIRRAAYSSMARAASPRRAWSRALLGQARARRSRTLMRRAAVLVRRRVVAAPAPSPASARGVRIIAAGETSAAARAVPPPPRQQGEPPRADALRRLVPGGAGMEYSSLLEETADYLRSLRAQVRLLSDWGRNRM >ONIVA04G26800.1 pep chromosome:AWHD00000000:4:26175352:26175666:1 gene:ONIVA04G26800 transcript:ONIVA04G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLASLVGWNGGGGWRERRARQRLRRRQYCGGTVWLGRRRRPAGRCRLAVSRLVRWRLVAELLRPIRKALMEMAAAAGRRQLVALPQLSFPFVGTLALPAAVA >ONIVA04G26790.1 pep chromosome:AWHD00000000:4:26163931:26172036:1 gene:ONIVA04G26790 transcript:ONIVA04G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein pelota homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0H6Z2] MKLVYRNLARNGPGSVKLVPEEEDDLWHAYNLIVPGDTLQSVTVRKVLREMASGGRDAERVRLKLEIVVESVDYDKEGSVLRVRGKNITENDHVKIGQFHTMELELKRQFTLTKELWDWLALDTIQQACDPTASADLAVILMQEGLAHLFLIGRSITVTRARIETSIPRKHGPAIAGYESALKKFFEHVLQAFLKHIDFEVVQCAVIASPGFTKDQFRDYMHLEAARRDLRLIIENKQRIVLAHAPSGYKHSLKEVLDSPSVMTLIKDTKAAQEDSARACYGPKHVEIANERLAIQTLLITDNLFRNSDIATRQNYVRLVESVKKFGGTVHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >ONIVA04G26780.1 pep chromosome:AWHD00000000:4:26160500:26163170:1 gene:ONIVA04G26780 transcript:ONIVA04G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLPLDVASAPKLDDDGHPQRTGNLWTCVAHIITAVIGCGVLALSWSVAQLGWVAGPIAMVCFAFVTYISAFLLSHCYRSPGSEKMQRNYSYMDAVRVHLGRKHTWLCGLLQYLNLYGIGIAYTITTATCMRAIKRANCYHSEGRDAPCDSNGEHFYMLLFGAAQLLLSFIPNFHKMAWLSVVAAIMSFAYSTIGLGLGLAKTIGDGTVKGNIAGVAMATPMQKVWRVAQAIGDIAFAYPYTIVLLEIQDTLRSPPPESETMQKGNVIGVLATTFFYLCVGCFGYSAFGNAAPGNLLTGFGFYEPYWLIDFANACIVLHLLGGYQMFSQQIFTFADRCFAASFPNSAFVNRSYSVKILPWRRGGGGGGAGRYEVNLQRVCFRTVYVASTTGLALVFPYFNEVLGVLGALVFWPLAIYLPVEMYCVQRRISPWTPRWAALQAFSVVCFVVGTFAFVGSVEGVIRKRLG >ONIVA04G26770.1 pep chromosome:AWHD00000000:4:26157999:26159054:1 gene:ONIVA04G26770 transcript:ONIVA04G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSANDGYEYDDDAVSGSVNEVPRVTGVHQFTIRQYSGVKGKGVGKSVLSRNFTVAGREWFVRFYPDGYNSDTSDHVAFFLQSLYRPSCGSVYHVEFSFALLGGGGAVLHDVRIDRPCRFDNRNNSWGVRKYITREQLEGAALGAIHDDALTVRCTMHVIQRRRRGAGRPVATGPGGPVRVPVPPSCHARNAMEFLLSGDAPFDLEIHVGDATFGAHRLALAGQSLYFRKLLYGQVGNEASSPMIRLREMSPEAFGAVLHYVYHDSLPAEATTKGRNAAAMAREVFEAADMYAMERLKLMCASNLCRFIGDDTASGIMELAKAHSCDPLKKACENYMKRRRIPFNPDS >ONIVA04G26760.1 pep chromosome:AWHD00000000:4:26151601:26155225:-1 gene:ONIVA04G26760 transcript:ONIVA04G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSSVIPTDGSPVSGGGLSQNGKFSYGYASSPGKRASMEDFYETRIDSVDGQIIGLFGVFDGHGGAKVAEYVKQNLFSHLLRHPKFISDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGNAIAVSKDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVIDHSLEFLILASDGLWDVVTNEEAVDMTRSIHDPEEAAKKLLQEAYKRESSDNITCVVVRFLHGQGSSGYA >ONIVA04G26750.1 pep chromosome:AWHD00000000:4:26146652:26152706:1 gene:ONIVA04G26750 transcript:ONIVA04G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMGYNNPYDSSGTDDDLPPTQNRGLRGRSFSANGRASVMPFSYIRPHNDLESEIHQVEQEAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDDEHRELLNKVNEDVAIRRMRELRQGGGSLSAQHRGSRIFHDTEPGPAAKRQRTPLSIPSHSAGLQSPAMPSPSVPSSTKWGPFSGTKGKKTRTNTPLAVPSADPTSLINRKIYTRWPDDNNFYEATITDYNPATGKHALVYDMGTVAQTWESVRLSDLAPEDIIWDLDDQGISNRDGWGPHPGMRRHPGNNGTMAMASRGGGRFSRNEPTKDCVPPQNGINRNIGHIDVPNTRSVVIEVERVLSNPNMGEIEKAKKLLQDQEQSLLDAIARLDDASDSESEDMAVGAQMVSAGDHMGRNGVAC >ONIVA04G26750.2 pep chromosome:AWHD00000000:4:26146652:26155432:1 gene:ONIVA04G26750 transcript:ONIVA04G26750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMGYNNPYDSSGTDDDLPPTQNRGLRGRSFSANGRASVMPFSYIRPHNDLESEIHQVEQEAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDDEHRELLNKVNEDVAIRRMRELRQGGGSLSAQHRGSRIFHDTEPGPAAKRQRTPLSIPSHSAGLQSPAMPSPSVPSSTKWGPFSGTKGKKTRTNTPLAVPSADPTSLINRKIYTRWPDDNNFYEATITDYNPATGKHALVYDMGTVAQTWESVRLSDLAPEDIIWDLDDQGISNRDGWGPHPGMRRHPGNNGTMAMASRGGGRFSRNEPTKDCVPPQNGINRNIGHIDVPNTRSVVIEVERVLSNPNMGEIEKAKKLLQDQEQSLLDAIARLDDASDSESEDMAVGAQMVSAGDHMGRNGVAC >ONIVA04G26740.1 pep chromosome:AWHD00000000:4:26139521:26140291:-1 gene:ONIVA04G26740 transcript:ONIVA04G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCTLLVLLVAAVALVPLAAGQPWVTCGDGTYKKGSAYENNLLNLALTLRDGASSQEILFSTGSNGAAPNTVYGLLLCRGDISRSACYDCGTSVWRDAGRACHRAKDVALVYNECYARLSDKDDFLADKVGPGQLTNLMSTANISTTDIAGYDRAVTQLLAATAEYAAGDIARKLFATGQRVGTDPGFPNLYATAQCAFDITLEACRGCLEGLVARWWDTFPANVAGARMAGPRCLLRSEVYPFYTGAPMVVLRE >ONIVA04G26730.1 pep chromosome:AWHD00000000:4:26131660:26134470:-1 gene:ONIVA04G26730 transcript:ONIVA04G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRRRGGGASSAFDRRVFPILLAAAAAAARSTHRRTRHGSDATVARLLRRALSRSRPSLHPLPASLVAILPFLLTSSSASVAALSCEVLGAAALQSMEASETLASDAAIADCLARALRRGSQRVAEAACNAIMDLSASSAGREHLAGSAVLQSILSTECSKSASKANKSFYLMVDTLVLMVNSCQVDSLHNLQQDVVRKVLPLLHKIWKNVDTLGSSTDCINSKNQLQRKEHEISEAIFRLSMNIACPAHLEPDEVRRSIFGQSVSDYENFLLNYWEKLTYLITRKQKNLHVDSVFTSLLNEFDPKTPDTIIQSLVNGIVSCPAIASDELDISSFLREVQGSLGAAVKYRQDIRVVRTNDQCDQTSIGYAMEEHFFDDGMTFQDADAFVEKCKDAFKNGFSVALRGMEFRSEKIAAIASAVADLFGQPSVGANIYFSPPRAQGLARHYDDHCVLVWQLLGCKKWMIWPDTKLLLPRLYEPFEPLDDLVDDCGGRMEILLEGDIMYVPRGFVHEAHTDVDVGGFEVNSTVDCSLHLTLAIEVEPPFEWEGFTHIALHCWMEKHWSSPFVRSQEEVQTSLFALLLHVAIRLLSKNDATFRKACMIAAKLPPSSSCTTTHLKALRSNQRSIFDEIIKKIDKSCNFKEALMCVELAVREKNDEPFQWMCWLRHLPQHGGTNGQVDFCNILGALEELLEAVSCNLEQALTEFTDFKSKFCRCVVYEDACESFEMLLQMYRTTRNQYTRGMLALHRKHEI >ONIVA04G26720.1 pep chromosome:AWHD00000000:4:26126743:26130547:-1 gene:ONIVA04G26720 transcript:ONIVA04G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamine synthetase 2 [Source:Projected from Arabidopsis thaliana (AT5G35630) TAIR;Acc:AT5G35630] MAQAVVPAMQCQVGAVRARPAAAGAAGRVWGVRRTGRGTSGFRVMAVSTETTGVVTRMEQLLNMDTTPFTDKIIAEYIWVGGTGIDLRSKSRTISKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVMCDTYTPAGEPIPTNKRNRAAQVFSDPKVVSQVPWFGIEQEYTLLQRDVNWPLGWPVGGYPGPQGPYYCAVGSDKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYILERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASIDNFSWGVANRGCSIRVGRDTEAKGKGYLEDRRPASNMDPYVVTALLAETTILWEPTLEAEVLAAKKLALKFLENHAAFHSPVNILVEHHT >ONIVA04G26710.1 pep chromosome:AWHD00000000:4:26125378:26126302:1 gene:ONIVA04G26710 transcript:ONIVA04G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDQKLFCLVFVSGVLVLANLADVSSAAASSLSRQQDQVARVLGRRGRELIGEGLSGHQYRHEGKHKEQHEVVPMEATTKETAETKAGWVDDDEGAREGLIDSADYSGVAMHSPSPPKRKHPKKP >ONIVA04G26700.1 pep chromosome:AWHD00000000:4:26115961:26116232:-1 gene:ONIVA04G26700 transcript:ONIVA04G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRWSRAAVVACLLLLAAACAESARPLPAPANAAAAVVVVRPRSAFDVVVAGLVGIGLGHRWRPGGGDLVDDEKRRVPTGPNPLHNR >ONIVA04G26690.1 pep chromosome:AWHD00000000:4:26099301:26101464:1 gene:ONIVA04G26690 transcript:ONIVA04G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNASAVFLAFIVILIIVIFILLGICWKFLRPDIMRRLMRPKRAPSEVPEYFSGNMSGNLRTITYFDYATLKKATRDFHQKNQLGRGGFGPVYLGKLNDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHKNLVRLVGCCSEGQQRLLVYEYMKNKSLDKILFGVDGAPFLNWKTRHQIIIGIARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQTYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEIVSSRKNTDLSLPNEMQYLPEHAWRLYEQSKILELVDAKLQADGFDEKEVMQVCQIALLCVQPFPNLRPAMSEVVLMLTMKTTEQSVIPAPVRPAFLDRKSLKDKNNGGGSDTAAEMRSTAYWLGTPSPMVDRPYDMSCGI >ONIVA04G26680.1 pep chromosome:AWHD00000000:4:26095144:26096823:-1 gene:ONIVA04G26680 transcript:ONIVA04G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: rRNA processing; LOCATED IN: preribosome, small subunit precursor; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nucleolar, Nop52 (InterPro:I /.../01); Has 543 Blast hits to 530 proteins in 201 species: Archae - 0; Bacteria - 10; Metazoa - 211; Fungi - 164; Plants - 46; Viruses - 0; Other Eukaryotes - 112 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G20600) TAIR;Acc:AT5G20600] MAAAAAAADASAEAAAISRRLASCNGSARERAVRHLLSTFLPASAPHLSASDLLKLWKGLFFCFWHSDKPLYQSSLATRLAAGVSSGPSPAAAAAFFAAYLATLRREWVHIDTHRLDKFYLLNRRFLHHAFLLLAANSFAADLTSQVVSILSEKVLLPEADNVAAGSPRGLGYHVAEVFLDELSPVLPVSLQTMEVLLSPFFAVLEKSSDRVMVAKVKSSVFERFLESGKQLLEMAKKGEGVEKGSADEKIGKVGLLFGFSKRFSDIGAKAETVQANRKVLFGLRDAFVKVEKGLELSGVEIAVPEFKSTEVTGVENGMDLDEVKVEKKKKKKVKKASLVEGETEGAKDSKQEKKVKKEKKEKKKKKKVEVVDEGDVTEQSTDAPAEEDQQMGDGTEAVTFDETLMSNLQKQFEKAAAEAGMVNGGSSSSASPASGKAAKKRKRAKSADRLSVSDGDDVSSEGTIISQDGEKSGKKVRFSMKNNLVWKPHNPLPPQCLRLPPSATPRGSALKKGVLPGPIKETPTPVKKTKPKAKSAKKVLKKPFSAAKRLRKLQNFSA >ONIVA04G26660.1 pep chromosome:AWHD00000000:4:26069498:26073794:1 gene:ONIVA04G26660 transcript:ONIVA04G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVPSAAAASSCDDFGYNATPPPPPSLLPIMDQDGGGGNIQRDHHHHHHQQLGYNLEPSSLALLPPSNAAAAAAHHATIAHASPHDLLQFYPTSHYLAAAGGAGGGGNPYSHFTAAAAAGSTFQSYYQQPPQAAPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSSAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDGEHSGEKRRAQANKSAATKDKAGSSSKNAGIGDGFETQLLGGAHMSKDQDQAMDLGEVVKEAVDPKGKASMQQQQQQAHHGIHQQSHQQHGFPFPSSSGSCLFPQSQGAVSSTDTSNIAQVQEPSLAFHQQHHQHSNILQLGQAMFDLDFDH >ONIVA04G26650.1 pep chromosome:AWHD00000000:4:26038262:26045595:1 gene:ONIVA04G26650 transcript:ONIVA04G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 5 [Source:Projected from Arabidopsis thaliana (AT2G24520) TAIR;Acc:AT2G24520] MGGLEEIKNEAVDLENIPIEEVFEQLKCTREGLSSEEGNRRIEMFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWGEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQTVLTAIGNFCICSIAVGIVIEIIVMFPIQHRAYRSGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFTKGVDKDHVLLLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDADGNWHRASKGAPEQILTLCNCKEDVKRKVHAVIDKYAERGLRSLAVARQEVPEKSKESAGGPWQFVGLLPLFDPPRHDSAETIRKALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDASLEALPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFLLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGSYLALMTVIFFWAMHKTDFFTDKFGVRSIRNSEHEMMSALYLQVSIVSQALIFVTRSRSWSFIERPGLLLVTAFMLAQLVATFLAVYANWGFARIKGIGWGWAGVIWLYSIVFYFPLDIFKFFIRFVLSGRAWDNLLENKIAFTTKKDYGREEREAQWATAQRTLHGLQPPEVASNTLFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQNYTV >ONIVA04G26640.1 pep chromosome:AWHD00000000:4:26017609:26018186:-1 gene:ONIVA04G26640 transcript:ONIVA04G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25190) TAIR;Acc:AT5G25190] MTKYKGVRQRHWGSWVAEIRHPHLKTRIWLGTYGTAEDAARAYDEAARLMSGPAARTNFPLSSSGGNARSCLSPTLRARLEKCCGAGSSAQAQQGAVAGQDNDDAAAAAAAMGVDDGDEYVEEMIQELTFYGSIEIVQP >ONIVA04G26630.1 pep chromosome:AWHD00000000:4:26009599:26016702:1 gene:ONIVA04G26630 transcript:ONIVA04G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRARRRRSPEDDSEGEDGSASGRVTRRRLSPEEGALSLAEASASEAASGEEEEDDDEEDETEDNHGAIVSYNESKLAIEEMSRVTDAALPEAPEYGTPKEIPTRNTVVGNDFTGAWRSLSCHRNGTEPVPFSSIGSGSFSPGSPLHASPELLSAAVMEAKKWLEEKRQGLGSKPEDHGPCTLNTDMLNSGFESDMGSPVDLAKSYMQSLPPWQSPFLGSQKFKTPPSAGLHMYDYEGKSKYSLPSSKVTTKEEYLSNFWENLEESRRSRLGSSGSSPDASKVRQYGSTSRLFENDTSIFSSGTDKKVDKPEQNNKGSDKVAGAEPANGHSSPITDKNHVFVDPVDPANDIGNVVKECNAASKVHIEEISQGNQMSSTSVTKDADHDGDVKAPVAEPEIHMESDINSASELRTKDTGPHIHASLNGSTKKTSANGLRDQSNANSGVESSGNDNPSCTNSSTGVPPTSNEVDPKPAYVRRGRKRVVRGTRGRAK >ONIVA04G26630.2 pep chromosome:AWHD00000000:4:26009585:26016702:1 gene:ONIVA04G26630 transcript:ONIVA04G26630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRARRRRSPEDDSEGEDGSASGRVTRRRLSPEEGALSLAEASASVAAAGAGAESSPGWLSSIVSGARRVISSVLFSSPEEAASGEEEEDDDEEDETEDNHGAIVSYNESKLAIEEMSRVTDAALPEAPEYGTPKEIPTRNTVVGNDFTGAWRSLSCHRNGTEPVPFSSIGSGSFSPGSPLHASPELLSAAVMEAKKWLEEKRQGLGSKPEDHGPCTLNTDMLNSGFESDMGSPVDLAKSYMQSLPPWQSPFLGSQKFKTPPSAGLHMYDYEGKSKYSLPSSKVTTKEEYLSNFWENLEESRRSRLGSSGSSPDASKVRQYGSTSRLFENDTSIFSSGTDKKVDKPEQNNKGSDKVAGAEPANGHSSPITDKNHVFVDPVDPANDIGNVVKECNAASKVHIEEISQGNQMSSTSVTKDADHDGDVKAPVAEPEIHMESDINSASELRTKDTGPHIHASLNGSTKKTSANGLRDQSNANSGVESSGNDNPSCTNSSTGVPPTSNEVNDPKADAADGDSVENGTRLNSEEPAQVDPKPAYVRRGRKRVVRGTRGRAK >ONIVA04G26620.1 pep chromosome:AWHD00000000:4:26002759:26004142:-1 gene:ONIVA04G26620 transcript:ONIVA04G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIANGSLALALIGVITTGIVAVVTIVAIYKCARIAIKMWYSSSRDHHTPIANGGGSSSSRGGIGGADADVVEMGSMSHFIEGLQNERPLRFSARQLRAFTKSYAHKVGSGGFGVVYRGVFPSGAPVAVKVLNSTLGKRAEEQFMAEVGTIGRTYHINLVRLYGFCFDADVKALVYEYMEKGSLDRYLFDSSPSPAAERIGFEKLHEIAVGTAKAVRYLHEECAQRIIHYDIKPENVLLGAGMAPKVSDFGLAKLCDREDTHLTITGARGTPGYAAPELWMPLPVTHKCDVYSYGMLLFEMLGRRRNLELGAGAGAHGHGSQEWYPRWVWHRFEAGETEAVLARATAAAAGGGREREKAERVCMVALWCVQYRPEDRPSMGNVVRMLEGEDHIAAPRNPFAHLAPYSAAGSSPTTTTATTESDGSSARTGR >ONIVA04G26610.1 pep chromosome:AWHD00000000:4:25996412:25996876:-1 gene:ONIVA04G26610 transcript:ONIVA04G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGVALHALHAGVGRGAATAAASLSPAAVVILAVAATVAVAAVAAFGCADGAKRQRRKNRNDVYYYGQGYPPPPPAGAYGYPAQPPPAGYAYPAANAGRPGRSGFGPGAGLAVGAAAGLATGAIIASAVDSGGGGCGGGGCGGGGCGGGCGG >ONIVA04G26600.1 pep chromosome:AWHD00000000:4:25999310:26008689:1 gene:ONIVA04G26600 transcript:ONIVA04G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAIISSVSATAAAVVMLALIKRCRRYRRKMKKKMLARIAHEATEQHREMEARDAADSVMIEIGPVEKFLNEILSEKPMRFTSEQLAACTGNYSSELGSGGFGVVYRGELPNGLQVAVKVLKVSMNKKVQEAFMAEIGTIGRTYHVHLVRLYGFCFDADTKALVYEFLENGSLEKYLYGGGGEDRGKKLEWRTLHDIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFTPKVADFGLARLGERENTHMSLTGGRGTPGYAAPELWMALPATEKCDVYSFGMVLFEVLGRRRNYDLAAQAESQEWFPKWVWDRYEQGDMECVVSAAGIGEEDRAKAEMMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYVMSGGSGSSTLTSSSTNLSSGGTTTGSSEVAVSLPAKKSTDVMKTEIRFRHVYLPVRADEPSDSVVAVVVVGDEPAALYGARWANGLRGAAMWSSPSSIRTTLPMDGLSSGLCRALNRKGRSFWRPSMKWLMLPISTTSASAPPIPPRLLLLPPPLAMGYTSLALAGIIIGGIAAILVLKFIMRCVEAKHAERARRREEEAVPVSPPASGTYSSVDVRVEMGSVDRFLDDILREKPARFTPENLREFTGDYAERLGAGGFGVVYRGRFPGGVQVAVKILHRTLDRRAEEQFMAEVATAGRTYHINLVRLYGFCFDATTKALVYEYLENGSLDRVLFDAAAAAALEFDTLHGIVVGTARGVRYLHEECQHRIIHYDIKPGNVLLAGDYAPKVADFGLAKLCSRDNTHLTMTGARGTPGYAAPELWLPLPVTHKCDVYSFGMLVFEILGRRRNLDTQRPAESQEWYPRWAWQRFDQGRFGEVMAASGIRSKDGEKAERMCKVALWCIQYQPEARPSMSSVVRMLEGEEQIARPVNPFAYMATMDAISSSSSGGGGVSTATSASASGDSAQSTRHDICH >ONIVA04G26600.2 pep chromosome:AWHD00000000:4:26001855:26008689:1 gene:ONIVA04G26600 transcript:ONIVA04G26600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLPLYNVVVGDEPAALYGARWANGLRGAAMWSSPSSIRTTLPMDGLSSGLCRALNRKGRSFWRPSMKWLMLPISTTSASAPPIPPRLLLLPPPLAMGYTSLALAGIIIGGIAAILVLKFIMRCVEAKHAERARRREEEAVPVSPPASGTYSSVDVRVEMGSVDRFLDDILREKPARFTPENLREFTGDYAERLGAGGFGVVYRGRFPGGVQVAVKILHRTLDRRAEEQFMAEVATAGRTYHINLVRLYGFCFDATTKALVYEYLENGSLDRVLFDAAAAAALEFDTLHGIVVGTARGVRYLHEECQHRIIHYDIKPGNVLLAGDYAPKVADFGLAKLCSRDNTHLTMTGARGTPGYAAPELWLPLPVTHKCDVYSFGMLVFEILGRRRNLDTQRPAESQEWYPRWAWQRFDQGRFGEVMAASGIRSKDGEKAERMCKVALWCIQYQPEARPSMSSVVRMLEGEEQIARPVNPFAYMATMDAISSSSSGGGGVSTATSASASGDSAQSTRHDICH >ONIVA04G26600.3 pep chromosome:AWHD00000000:4:25991019:26002051:1 gene:ONIVA04G26600 transcript:ONIVA04G26600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPSMHRSPFLEGWNPNAWDYKQQLVTRQPPQPPPQPPPPHPPPPHPPPPESTAEAMMAPVASPAAAPTASPAPGPNPLRPGLPAFAAGYAYPAGGGCAGRRRVPLAVVVDVVPVLPPLPLGPVRAAERGDGCHGHRCGDGQDHHRGRAEGGGGGGGASSNAGSRTAARCALSSVSATAAAVVMLALIKRCRRYRRKMKKKMLARIAHEATEQHREMEARDAADSVMIEIGPVEKFLNEILSEKPMRFTSEQLAACTGNYSSELGSGGFGVVYRGELPNGLQVAVKVLKVSMNKKVQEAFMAEIGTIGRTYHVHLVRLYGFCFDADTKALVYEFLENGSLEKYLYGGGGEDRGKKLEWRTLHDIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFTPKVADFGLARLGERENTHMSLTGGRGTPGYAAPELWMALPATEKCDVYSFGMVLFEVLGRRRNYDLAAQAESQEWFPKWVWDRYEQGDMECVVSAAGIGEEDRAKAEMMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYVMSGGSGSSTLTSSSTNLSSGGTTTGSSEVAVSLPAKKSTDVMVE >ONIVA04G26600.4 pep chromosome:AWHD00000000:4:25991019:25999362:1 gene:ONIVA04G26600 transcript:ONIVA04G26600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPSMHRSPFLEGWNPNAWDYKQQLVTRQPPQPPPQPPPPHPPPPHPPPPESTAEAMMAPVASPAAAPTASPAPGPNPLRPGLPAFAAGYAYPAGGGCAGRRRVPLAVVVDVVPVLPPLPLGPVRAAERGDGCHGHRCGDGQDHHRGRAEGGGGGGGASSNAGLFSLDVRADAA >ONIVA04G26590.1 pep chromosome:AWHD00000000:4:25988640:25990985:1 gene:ONIVA04G26590 transcript:ONIVA04G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H6W8] MSRRIHGMCLLVLATFLSCIALSAGDHRSVLWRGGSIAVEDAAENVLVSPSGNFSCGFYKVATNAYTFAVWFTASDYDGRVVWSTNTSGTPADRAQLLDTGNLVVSDAAGNRLWQSFDWPTDTLLAGQPVTRYKQLVSASARGLPYSGYYKFYFDSSNILNLMYDGPEISSNYWPSPFNKWWDNNRTAYNSSRYGSFDRRGVFTASDQLQFKASDMGDEGVMRRLTLDYDGNLRLYSLDAAAGRWHVTWVAVGRQCYVHGLCGSNGICSFRPGPTCSCPVGYVPNDASDWSKGCRRSPDVRCGGDDVVDFVEMPHTDFWGFDVNYTAGVTFDACRRLCLDDCNCKAFGYRPGTGRCYPKIALWNGRIPIKPDQTIYLKVARSVKNQMINQSSSFLHFDGHACTVDERDASVGSSYLHGRSNEINFIYFYSFLAVVFVVEAIFVVVGYLFVFRADPVAAGRVRDDGYSLVFSHFRRFTYDELSDATCGFRDEIAKGGTGSVYKGVLEDGRSIAVKRLGELTQADEVFRSELSVIGRINHMNLVRIWGFCSEHPHRLLVSEFVENGSLHKALFCDDGESSGVVVLPWRSRYKIAVGVAKALAYLHHECLEWIVHCDVKPENILLDGDFEPKVTDFGLVKLLSRDAGSHMALSRVQGTRGYIAPECWTVGRPITGKADVYSFGVVLLELLRGQRVCDWVAAADGAWDLQRLAAWLKEKLKRDDDEEEVSTWLEELVDARLRGDFNHVQAAAMLELAVCCVDGEPNRRPSMNAVAQKLLSLHDTR >ONIVA04G26580.1 pep chromosome:AWHD00000000:4:25978750:25981209:-1 gene:ONIVA04G26580 transcript:ONIVA04G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H6W7] MNRVHGLHLIVLTSLCCVALSAGEGDRRSVLWRGGSIAVEDAADSVLVSPSGNFSCGFYKVATNAYTLAVWFTASADATVAWTANRDAPFYFDSSNILNLMYDGPEISSNYWPDPFEKWWDNNRTAFNSSRHGSFDRRGVFTASDQLQFNASDMGDGGVMRRLTLDYDGNLRLYSLDAAAGRWHVTWVAVQRQCDVHGLCRRYGICTYSQGPTCSCPDGYVPHDASDWSKGCRRTFDVRCGEDVAFAEMRHTDYWGFDLNYTAGISFDTCRRLCLVDCRCEAFGYRQGTGECYPKISLWNGRVMSIPYQTIYLKFPTGAKNLNPSLLHFDGHTCTMDERDATVSSSYLHGRRNTINFIYFYSFLAVVFVVEAIFVVVGYLFVFRADSVAAGRVGDEGYSLVFSHFRRFTYDELSDATCGFRDEIAKGGTGSVYKGVLEDGRSIAVKRLDEMTQADEVFRSELSVIGRINHMNLVRIWGFCSEHPHRLLVSEFVENGSLDRALFDGDDGEDNTGVVLPWRSRYKIAVGVAKALAYLHHECLEWIVHCDVKPENILLDGDFEPKVTDFGLVKLLSRDAGSHMALSRVQGTRGYIAPECWTVGRSINGKADVYSFGVVLLELVRGQRVCDWVAAAATADGAWNVQRLAAWLKEKLKCDDGELPAWLEELVDARLRGDFNHVQAAGLLELAVSCVDGEPSRRPSMSTVVHKLISLDTIEHHLYATHELAANAAVVGLVGDVGGHQLRLPC >ONIVA04G26570.1 pep chromosome:AWHD00000000:4:25973527:25977404:1 gene:ONIVA04G26570 transcript:ONIVA04G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSSAAMARQTWELENNIPAAASDPDALDAIYRYDEAAQARVQQEKPWANDPHPFRRAKISALALLKMVVHARAGGTIEVMGLMQGKCEGDAIVVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQIGSHHAIDHNVSEVSVFANTLMTDLAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQIFDLADKLEQAEGQLAHSRYGMLMPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSNKASTSAPDSSGPEPMVEA >ONIVA04G26560.1 pep chromosome:AWHD00000000:4:25961053:25966551:1 gene:ONIVA04G26560 transcript:ONIVA04G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRSRSLKRLLSLGRRSNNSDESNDECVVVVDVVDQPPPSNKPTWRCFSYEEVNKATNGFHRDNMVGRGGYGEVYRGVLEDGSAVAVKRLSPAAAADEKKEKDFLTELGTVGHVRHPNVTALLGCCVDRGLHLIFEFSARGSVSANLHDERLPVMPWRRRHGIAVGTARGLRYLHKGCARRIIHRDIKASNVLLTADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHKSLLAWAKPYLNDGVAQGLVDPRLGDGGYDGAQLRRLMFVASLCVRPAAAWRPTMTQVLELLESGEISQDQWLMPEEEEEDEFWDFDDLDDFEDDDDDDDDNYDNDDESDSPSISSSACSIHAND >ONIVA04G26550.1 pep chromosome:AWHD00000000:4:25953300:25954744:-1 gene:ONIVA04G26550 transcript:ONIVA04G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMAAVAAAAAMTVAMVAAQTVAGAAQTAVEAQTEEEVESRRRIAGAGRMECGHMELDEAGEGRRRSQDLGRATMRRRRAVVDFRLRMGVARGSKLRTPMGLYQPLDRTVRLRLIDQLLLANCQRLIPSVADVIAMGYLGWAAWHGLAVLGLASSVNHLLAWSVAPVRFAVMAQKARRRSR >ONIVA04G26540.1 pep chromosome:AWHD00000000:4:25949977:25952917:-1 gene:ONIVA04G26540 transcript:ONIVA04G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALAVTAGNGFAAVPTTAPAAGWVLVAFGFAAAVAVVAIAVFGCADRPKQKPKRKKDKRRRRRDDDGGGDDGPDGGDGGGDGGGHHEHGGDHGGGWHHHGGDHGGGGHHFSGDHGGGGGDHGGGGGCGGGGGCGGGVV >ONIVA04G26530.1 pep chromosome:AWHD00000000:4:25948635:25949653:-1 gene:ONIVA04G26530 transcript:ONIVA04G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALAVTAGNGFGAVPTAPAAGWILIGFGFAAALAVVAIAVFGCADGPKDSPRRKKDKRRRRREDGDGGGGDGGDGGGDGPDGGGDGARMLTAGITTMATDKAETMAADTTTMATDMAGPGTTAAAGTTITTDMAETMVEEAGTTMAETMAAAAGTTTPVTMGQAADSAVAAAVAAAGVVVVVVGSDVTGVNSATELNTALIKKDTPSVP >ONIVA04G26520.1 pep chromosome:AWHD00000000:4:25945915:25946253:-1 gene:ONIVA04G26520 transcript:ONIVA04G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLAVDAGLGRGFAAGGGGGGSVPDVSRSVVGIALVFVATVAIVAFVVFNCADGMDSSGGRKRRTNPGGGTVGVGAVCGGAACGGPACGGGGGGGGGGGGGGCGGGGGGGC >ONIVA04G26510.1 pep chromosome:AWHD00000000:4:25941955:25942284:-1 gene:ONIVA04G26510 transcript:ONIVA04G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAVDAGLGRGFTAAAGGSVPDVSRSVVGIALVFVAAVAIVAFVVFNCADGSGGRRRRTGGGGAGGGAGYGGGCGGGGGGGGGGCGGGGGGGGGGGCGGGGGSGGGC >ONIVA04G26500.1 pep chromosome:AWHD00000000:4:25936750:25939494:1 gene:ONIVA04G26500 transcript:ONIVA04G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQVPINDRLSNLSNDLICRIISNLDSRQAVQTSLLSRRWHNLWCSLTSIKVDFCEFDGETDTWEGDQARFRKFANNLLLRRDPVPLLDNFCLRSYIQHYANEQEASADANLWISHALQLRVPVVEVNQDIRTRATLELGGHAVFSSQYLTKLVLFAVSFTQGFFKQLEIGCPKLEHLSIYDSIIHVDNISSQTVKVLIIDNSEFSHDYSTSISTPSATSLTFISPGGCLPLLKDMGSLVSASVYLSTDDTNLDTAISIDQWLMGLSDNIEDNMQWCPKLNNLVNLTLGRWCLDSKLYALTVFLQNSPKLEKLRLEIDEGYTAEKITCELQERSFTCEHLKIVEVACVEDDPLEVTCLEDDDDDDPLVDRVEKFFRDSGMTSLQVNITHFDYHQPYECDIFREDHKRPRIRQ >ONIVA04G26490.1 pep chromosome:AWHD00000000:4:25926219:25927866:1 gene:ONIVA04G26490 transcript:ONIVA04G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIKDNMQWCPKFNNLVNLTLGRWCLDSKLYALTVFLQNSPKLEKLRQEIDEGYTAKDIKGELKERSFTCEHLKIVEVDCVEDDPLEVECLEDEPDPLVNRVKKFFRNSGMTSIQINITHLDYHLPYECEIFREDHKRPRIRQ >ONIVA04G26480.1 pep chromosome:AWHD00000000:4:25914474:25920693:-1 gene:ONIVA04G26480 transcript:ONIVA04G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALGTAGWVVGKLVDLLSAELLKALDESYNLGGNASAIKAELLYTHKAQGRNVAHSPALAELLRQLSHLAEDADNVLDKVDYYRIRDHVKRTHEAADDDQVVDGRLVRRSILHARHAVGKCRRSLASSLSCRGRAAVDVEFFNRSIVSERIKSLMAQMQPLCAKISDFLKLELMDPRTTAANTTAAAAFSERVTTTTSTSLEAKLYGRYAEFYAAINEITGDRDGLAVLPVVGPGGIGKTTFTQHLFHDQRVKKHFHVRIWVHVSLRFDVLRLTKEIFNNMVASEVSWRRRWGNEREPHNLEQLQTLVERRLQSRRFLLILDDMWPCDSEYKWDKFLAPFRKTSAKGSTVIVTTRSEETADMVKSETNLLIRLGGLDSRPIWAFFLACALGDERAEHHKELLDLGREIVKKLKFSPLAAKTVGRLLKKDLTRRHWSRVLDSKEWEHADSVNDIMPALKLSYDCLPFHLQKCFTYCALFPDDYQYQDSELTHLWSALGVINCSGQDDRIQDIGLKYINGLVNNGIFQKVDGVKFSHKKGREVKHTYYVMHGLLHELARIVSSRECLSIDCSNPRFAYTPPSIRHLSIRTSCTSDTVGLDHYQNFKEEIRNLKEQISVANLHTVMFIGEYDERFSEAFKEILQDVKHVRVLRLFQTTLEFLPSKLIHLRYLRIQASKKTMNTQLKLNRSVTRKWDKFRLMMGETQTPATNDHLTSLPSSLPEYYHLRFLDLQDWTGMTTVPKHMQISHLIYLRQFLASKELQSSVAKIGKLKLLQELSKFQVNREECAGFELQQLGELRDLGGALTISNLHKVKTRTEAEKAKLTLKRNLVRLKLVWDETGREQTEEEANSIEGLQPPANLRELCIKNHKGNSCPSWFDSTISLKRIEVLHLHGVSWNTLPPFGQIPYLQKLKLENIAIEKFEVRYESLENLKSIEFNGMLSMVEWVSGNTWHLFSQLEQVKVSNCPVLKELPFSHDLKLLQTPDAQERHIFRPDLQILRVIGWRTSQASPVEHKCMTLKTNLRELVVRDCPQLSLPLMPYTTKLELAKVASRPYKLLYDIHMLEIRGVDNILLTLGNLDNVLAFHDMEWLVRVTIKVCSSVPLATLQKLTSLETLAIEDCISLSSGRGESDAIQIPIKHLMLRNCYITGKELSEILACCPCLSHLEMEDCKGITGLCMQQSSHEMDDDDNDIDGMLQFPSQFTSSLSRLGIFSRDHLTMNVKDEVLKKLMSLHWLQLGGCVLSCAAMQAVHDDLPLMNNLKALRAYGYDIYDLEEDRLMTRMARTVVAGSKELEELDIGSISGVLAAPICQRLSASLHRLTFRNDTMVQHFTEEQETALKLLTSLQKLIFYGCNRLQSLPSSLRSLRSLKRLEVSYRQLQGYSPELEEQCNNLRQHIPEVGIQEEQTVADRYRQEGGLTSVELLEGPARLQNLSKKNEKGQQDFTQV >ONIVA04G26480.2 pep chromosome:AWHD00000000:4:25914581:25920693:-1 gene:ONIVA04G26480 transcript:ONIVA04G26480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALGTAGWVVGKLVDLLSAELLKALDESYNLGGNASAIKAELLYTHKAQGRNVAHSPALAELLRQLSHLAEDADNVLDKVDYYRIRDHVKRTHEAADDDQVVDGRLVRRSILHARHAVGKCRRSLASSLSCRGRAAVDVEFFNRSIVSERIKSLMAQMQPLCAKISDFLKLELMDPRTTAANTTAAAAFSERVTTTTSTSLEAKLYGRYAEFYAAINEITGDRDGLAVLPVVGPGGIGKTTFTQHLFHDQRVKKHFHVRIWVHVSLRFDVLRLTKEIFNNMVASEVSWRRRWGNEREPHNLEQLQTLVERRLQSRRFLLILDDMWPCDSEYKWDKFLAPFRKTSAKGSTVIVTTRSEETADMVKSETNLLIRLGGLDSRPIWAFFLACALGDERAEHHKELLDLGREIVKKLKFSPLAAKTVGRLLKKDLTRRHWSRVLDSKEWEHADSVNDIMPALKLSYDCLPFHLQKCFTYCALFPDDYQYQDSELTHLWSALGVINCSGQDDRIQDIGLKYINGLVNNGIFQKVDGVKFSHKKGREVKHTYYVMHGLLHELARIVSSRECLSIDCSNPRFAYTPPSIRHLSIRTSCTSDTVGLDHYQNFKEEIRNLKEQISVANLHTVMFIGEYDERFSEAFKEILQDVKHVRVLRLFQTTLEFLPSKLIHLRYLRIQASKKTMNTQLKLNRSVTRKWDKFRLMMGETQTPATNDHLTSLPSSLPEYYHLRFLDLQDWTGMTTVPKHMQISHLIYLRQFLASKELQSSVAKIGKLKLLQELSKFQVNREECAGFELQQLGELRDLGGALTISNLHKVKTRTEAEKAKLTLKRNLVRLKLVWDETGREQTEEEANSIEGLQPPANLRELCIKNHKGNSCPSWFDSTISLKRIEVLHLHGVSWNTLPPFGQIPYLQKLKLENIAIEKFEVRYESLENLKSIEFNGMLSMVEWVSGNTWHLFSQLEQVKVSNCPVLKELPFSHDLKLLQTPDAQERHIFRPDLQILRVIGWRTSQASPVEHKCMTLKTNLRELVVRDCPQLSLPLMPYTTKLELAKVASRPYKLLYDIHMLEIRGVDNILLTLGNLDNVLAFHDMEWLVRVTIKVCSSVPLATLQKLTSLETLAIEDCISLSSGRGESDAIQIPIKHLMLRNCYITGKELSEILACCPCLSHLEMEDCKGITGLCMQQSSHEMDDDDNDIDGMLQFPSQFTSSLSRLGIFSRDHLTMNVKDEVLKKLMSLHWLQLGGCVLSCAAMQAVHDDLPLMNNLKALRAYGYDIYDLEEDRLMTRMARTVVAGSKELEELDIGSISGVLAAPICQRLSASLHRLTFRNDTMVQHFTEEQETALKLLTSLQKLIFYGCNRLQSLPSSLRSLRSLKRLEVSYRQLQGYSPELEEQCNNLRQHIPEVGIQEEQTVADRYRQEGGLTSVELLEGPARLQNLR >ONIVA04G26470.1 pep chromosome:AWHD00000000:4:25913195:25913419:1 gene:ONIVA04G26470 transcript:ONIVA04G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPGKRLRYTASRRVGSTLSDLPEGVLHHIMSFLDSRQAVQMCVLLQRWRNLWRSMPRINIDCKEFEVTDKI >ONIVA04G26460.1 pep chromosome:AWHD00000000:4:25903879:25906707:1 gene:ONIVA04G26460 transcript:ONIVA04G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQSAHDTYSTNGLRGRGSDTMFKRDAPSTDMISGLPEGVLHRIMSFLTLREAVQTCVLSRRWRNLWLSMPLINADYNEFFEMTDTKAGYDEALAVAVPMFKRFVNRLLELRDPVASIDKFCLWYSISDDNEDDTESQDAAANRWISQALQKKARVVEVYVDLADLYLLVIDHSVFTSSYLTKVVFSNVLLEDGFFKQLESGCPALEDLSLDDCVISGDEISSQTLKVLTIKDTKFSMEHKTSINTPSVTSLTLWRPAHGIVVLKDMASVVTASVKPSEFIDEFDARGLRQYLWALSGVKNLEFYYLGENTPRLEKLTLKLHPFRYQQQRIIGELTERSFTCGHLKIVEVICSENDPLINHLVDFFVSSGMTTAQIHIKPKARCSIKGL >ONIVA04G26450.1 pep chromosome:AWHD00000000:4:25890268:25890438:-1 gene:ONIVA04G26450 transcript:ONIVA04G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQLEQFTSLMQQKFLSGEDFGHMDYSRIDNDEMLDDHWSKEANYDAGEKYFEED >ONIVA04G26440.1 pep chromosome:AWHD00000000:4:25886933:25888014:-1 gene:ONIVA04G26440 transcript:ONIVA04G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRAARSLEAMTRRGRWTGDGGDDGGEREADNGIEGGSEGKVALSKHRVINRQRKEVSVDEVNINLYNPGLLLKSMADPPIHDCILRVSVIVVVIRYGIPETELVQRGDWVAEFQQPVDKSLEQRRRLTVSRFRMRHLELLVIGVDAGHGAPEVAPPLGQHARLHCLARGEEGHDVVEDSFREVAEPVSTGGAPRGRGLHALARRSFHGGRARRGLSSNRWRASKLQK >ONIVA04G26430.1 pep chromosome:AWHD00000000:4:25881572:25884473:1 gene:ONIVA04G26430 transcript:ONIVA04G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLDSRQAVRTCVLSRRWRDLWRSVPRVHADICDLIPDRIIDVEGEKAKMVVFNSFVNRLLERRDPTASIETFFCRCCIPDEDGNGSADANRWISYGLQKNAWFLEVVMLLKPLELDPSVFSSIYLRRIAFDNVFMDQGFFKQLQMGCPALERFDLDGCIVADDEISSNTLKVLTFDTTKFCYEYRISISTPTVTTLGLRNTIGGKPVLKDVASLVSASVVLYCVETSDFDANDLHHYLWSFSHVKDLIFSYQGKKPHNHSSLVQLTIENNLQWCPKFFNLVGLTLGKWCLNANFYALIVFLQNSPRLEKLTLILAEDNWKTTEVFIGDLEERSFTCEHLTSVEVKCWEDDPLVNNVVDFFVGSGMSSAQIHIEYEDNDEDQFHIESDNMVGFELEDEDEDEDEDGDEDEQRSLFQSKDV >ONIVA04G26410.1 pep chromosome:AWHD00000000:4:25848265:25877545:1 gene:ONIVA04G26410 transcript:ONIVA04G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKASISIPSVTSLTLSIEDSTPMLKDMELLTTASVSVTFNTFIFSYGFDANDLRQCFWSLSGVTNLEFNYEGTELTFENNLQWCPEFIDVVNLTLGQWCLDANFYALIVFLQNSPRLEKLTLNLAKCIADKSPRIVGELMERSFTCEHLKIVEVKCLEDDPQVISVEDFFASNGMASVQFDIKHWGQYEDELPAFIRINSLSATFTVPHAHHLFDETPLRAWPPWRGVLAVARRKVKTPAHAAGMDWISDLPDEILHRIMSFLNARQAVQTCVLSRRWRNLWRTVPCINADCKEFDFFGFRRSEVEFKRFVNRLLELRDPIAMMDAFWFRYHKLDTDTTSSADTNRWISHALQKQARVLEAVMYPCHLLDLDHSSFTSRYLRRIGFSGVRLDQGFFKQLEAGCPALEDLFLHHCTIEDDKISSQTLKVLTIDRTYFLIAINATDVQKKSISAQSVTSLTMYSPEGSLPILKDMTSLVTASVSFSEFRVHFDANDFYQYLWSLSGVTNLEFNYQGPKREPYASPSPTFLSSVAKKTTLAVADESSPSPNLSRSILPTSCRANLLLTPSRFIHCSNGNATLPTRAPELAPGAVAMTSSLFRPTWSISSQAIAPKSSPHHHGQKPSRNFKNRAQTREITVIFSNSGHRVPSPIPLSSVSKADASQSRIRASTHAHYLFDETPLRHARLPARAMEAPPVKRGWRVMAPAHAGGREDRLSDLPEGVLHRVMSFLDSRQAVRTCVLSRRWRDVWRTVPRVHADFCDFTLNWTSDDDEVDEAAVAEDEVVFNRFVNRLLELRDPNASIRSFFLRFCRSDGGDDGSAEGNRWISYALQKNVRVLEVAVLSYALELDHSVFSSRYLRTMDFSNVVMNQGFFKQLEMGCPELEELFLDECFIVDDEISSQTLKVLTLDATHFCCGFKTSISSPSITSLALHYPMSGKPVLNDMEALMSTSMLLCHVKDDDFAANDLRDYLWSLYNVENLDFSYHGKKSDSRSMVSGCQLLWTNRLPSELTNIGEANPRTRNGKLQRIIGQIEERSFTCEHLTSVEVICLEDDPLVNDVVNFFVNSGIFISNNGARASMSNIHFSSLRTYETSKDHTFGAGFHARHLFDERRLSGCSAAMEEPPGKKGPAMDPAQDSVRDWLSGLPEGVLHRIMSFLDSRQAVRTCVLSRRWRDLWRSVPRVHADIYDFTPDGTIDGEGEEDVEEAEVVVVFNRFVNRLLERRDPTASIETFFFRCCIQDEDDDGSADANRWISYGLQKNAWFLEVVVQLNSLELDRSVFNSIYLRRIAFGNVFMDQGFFKQLQIGCPELERLYLDDCIVADDEISSNTLKVLTFDTTEFCYEHRISISILTVTTLALRNTICGKPVLKDVASLVSASVGRKLTIENNLQWCPKFFNLVGLTLGKWCLNANFYALIVFLLNSPRLEKLTLILAEDNCKTSEVFIGELEEKSFTCEHLTSVEMKCWEDDPLVINVVDFFVGSGMSSSQIHIEYEDDDEDQFHIESDDMFGFEFEYEDEDEDEDEDELRRTPPPIPWCSGKSRRDAGDVSAIGGRRRFPRAPPVRRNATA >ONIVA04G26400.1 pep chromosome:AWHD00000000:4:25847622:25848013:1 gene:ONIVA04G26400 transcript:ONIVA04G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKLLYALRLFSFLYFMFLFMCRRCPDVHRAIRRDTSPFRATDCKGGRLKPRLLPFRGALESRDETRRERH >ONIVA04G26390.1 pep chromosome:AWHD00000000:4:25847174:25847365:-1 gene:ONIVA04G26390 transcript:ONIVA04G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEERLMDAMKAVAAKVDGMDDIAKHLAQIDLKLAQQGELLDHVQTKVDRSMTSLGQVQQE >ONIVA04G26380.1 pep chromosome:AWHD00000000:4:25845514:25845837:1 gene:ONIVA04G26380 transcript:ONIVA04G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLADGSSGYRGCGGRRHGGLGRLTGGVADGHTWLARQRLEEGSEAGLAQRGIADATEAGTMREAQPAAVETGLAREERPMTGGRIGARGASGGGGGRRGARRRDRR >ONIVA04G26370.1 pep chromosome:AWHD00000000:4:25845235:25845426:1 gene:ONIVA04G26370 transcript:ONIVA04G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQVLAGIRSRRRRIPPPPSPEGGSGAGRARGNGVGGNDAELVVTVATMAGRQRQRVAGRR >ONIVA04G26360.1 pep chromosome:AWHD00000000:4:25822455:25833521:1 gene:ONIVA04G26360 transcript:ONIVA04G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMNRTRDLLMEGFEGLVREGSFSWALPRRGASPVDDADDPDSSSSSSSGKQPSISGLSPKANAVVSRCSRVLGTSTDELQYDFDIQASDSIKQPRNYARNFLEYCCLRALAHASQVAGYLSDKSFRRLNFDMMLAWEVPSSSSELTVKVEVDNTVSLEAFSRIAPAIPTITDVVTCSNLFDVLSSSSGGRLTFPVYDKYLTGLDRAIKKMKGQSESSLLSAQRSQRGERIVEVDGTLTTQPVLEHVGISTWPGRLTLTDHALYFEALRVVTYDKPKAYELAEDLKQSVKPELTGPWGSRLFDKAVMYKSTTLTEPVIIEFPELAGHFRRDYWLAIISEILYVHRFVRKFDISGVDKEETILKAVLSIMRLQAIEELAIPVSNRFESLLMFNLCDKLPGGDVILETLAGSISSRRSTQVNQPGTSSGRHSMSPFTVLSNLGVVSPINKGERLFVGEIVVGEMSALQKVVNESMNNYKKVELAQATVDGVKVDGLDTNLAVMKELLSPVSELWRFLVLLASWDEPIKSMVFCFSSSYIIIRGWLVYFLVLVLLFSAAFMFLTRLTSHGKPMTEVKVTSPPPMNTMEQLLAVQNAISKVEELVQDANIVLLKIRALLLAFPSQATDRAILALVVMALSLAFVPTRLLVLMMFLEAFTNHSPPRRASTERWIRRLREWWFSIPAAPVVVEKDKEDKKTK >ONIVA04G26350.1 pep chromosome:AWHD00000000:4:25798969:25799838:-1 gene:ONIVA04G26350 transcript:ONIVA04G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSMASSSQPRMMEQSLFYTQPPARAVHTRKVTAVNLHREMSLIRSLMPTFPFVAVDTQFPGVVHPHPRGAGVTADNRYAAVRANADELCLLQLGITLSAADGRLPVDGALVEFMWEFDFAGFDARYHRHAPESVHFLRAQGFDFEAARLAGVPALAFAAELAASGILGLRGVTWVAFGGMYDVAFLLRLATGGAPLPATRLGFLAQVGAIFGTQVFDAKHMASLLHMHGGLAAVGAMLRLPPQLPRCHMAGQNSVMALQLFMELRRRFSDLGGSLHSCSLKIEGLT >ONIVA04G26340.1 pep chromosome:AWHD00000000:4:25789706:25790137:-1 gene:ONIVA04G26340 transcript:ONIVA04G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSSSSSPSATSAAPASSYYWCYSCDPFVHAAPHEDSIVACPDYGGVILEEMGVPPPRTACLRHPRAHHTNDLRMRRTRRAAAAAAASDRSPFNPVIMPHRSPAAVAAGDDDGAGSGLRPLPETMSNFLMGYAFEHLLD >ONIVA04G26330.1 pep chromosome:AWHD00000000:4:25784899:25785552:-1 gene:ONIVA04G26330 transcript:ONIVA04G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSSFSSSLSAAGAAPASSSYWCYNCDPFVRAAPHKDSAVAYPNYGGGILEEMGAPPPCTAYLRHPCAHHAKDLRLQRTCCADAVAAADDCSPFNPVIVLCRSPAAVAAGDDDSLATITSFKLFYDDGVGSGLRPLLETMSDFLMGSAFERLLDQLTQIEAGGLSRARENPPASKASISMPRGRPLAQFNRRRGDRKSDGSGMVLILETSSDM >ONIVA04G26320.1 pep chromosome:AWHD00000000:4:25780843:25817966:1 gene:ONIVA04G26320 transcript:ONIVA04G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSSFSGSTAGPVPFFYYDIQQCPHQSVAVAKEAYLPFPGALEGSESRFRVSTRATCSTKRLPERAISSFHARHLFDETTLRACSATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTLSTDGLATLCRSSHFLDIVLLCDKLELNHSAFNCRYLRRIEFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDVEISSQTLKVLTIKNTHVSYGNKTTISTPSVTYLKLWSPLNGTYVLNDMPLLVTSILVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKKLTIENNLQLYPKFNNLVSLTLGQWCLDANFYGLTVFLQTSPKLEKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQDDPLVKDVVNFFVNSGLTSAQVHIITFVAPVEFHARHLFDETPLRACSAAMEGSPSKSRGVTAPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNLQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTRVEIVCSEDDPLVKDVVTFFVNCGLTSAQVHIIRRISHALHLFDETPARAVSSFYARHLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYDVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCSYRTLEPASPRMNIPGIHLVLALLYSNQDAPHAHHLFDEMPLRARPPMEDAAGRDRLSDLPDEILHRIMSFLNARQAVQTCVLSRRWRNLWHTVPCINADFVEFDSIGYQGPEVPFKRFVNRLLEFRDPASVIDTFLLKYAMPDRLDGYKASNEEANRWIGHALQKQARILEVAVFFFPLDLDHSVFTSFYLRRIEFSHVYLRKGFFEQIETGCPLLEDLLLHQCFIWDGEISSQTLKVLTVDATELYTVKEMSISTPNLTSLTLSGLEYPKAVLKDMPLLVTASVSVTFDALNFDGYYDANDLRQYLWGLSAVRNLEFHYEGAELMIANNSQWCPEFVDVVNLTLGEWCLDANFHALIVFLQNSPRLVKLTLKLAKDRWTTPQRIIGELEERSFTCEHLKIVEVICLENDPQVIGVEDFFVRSGMTSVQFHIKHWRKDEEYNFPHQHAHQLFDEMPPRALPPVEDDAGRDWLGDLPEEVLHHIMSFLDARQAVRTCVLSRRWRNLWRTVPCINADFDEFDLVFYQGDDEDYDDVLAFKRFVNRLLELRDPTAMTDTFWLRYTTRPEGNTYSNEDAYGWISHALQKQARVLEVVVFCCLFELDHSVFTSCYLRRIAFSGIVLCKGFFAQLEAGCPALEDLFLHQCGVHDDEISSHTLKVLTFDSVFFYMPMDTVEFTLLNKTSISLPSVTSLTISTPEGFTPILKDTASLVTASVSVSVTMSSFRFRFDANDLGQYLQSLSGVTNLEFNYQGSKLTIENHLQWCPEFLNVVNLTLGQWCLDSNFYALIVFLQNSPRLEKLTLKLEKSNMRISRRIIGELTEISFTCEHLNTVEVICSENDPQLITVQDFFVSSGMTSVQFHIKHWSPYANDLPAFIRSI >ONIVA04G26320.2 pep chromosome:AWHD00000000:4:25780843:25798299:1 gene:ONIVA04G26320 transcript:ONIVA04G26320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSSFSGSTAGPVPFFYYDIQQCPHQSVAVAKEAYLPFPGALEGSESRFRVSTRATCSTKRLPERAISSFHARHLFDETTLRACSATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTLSTDGLATLCRSSHFLDIVLLCDKLELNHSAFNCRYLRRIEFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDVEISSQTLKVLTIKNTHVSYGNKTTISTPSVTYLKLWSPLNGTYVLNDMPLLVTSILVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKKLTIENNLQLYPKFNNLVSLTLGQWCLDANFYGLTVFLQTSPKLEKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQDDPLVKDVVNFFVNSGLTSAQVHIITFVAPVEFHARHLFDETPLRACSAAMEGSPSKSRGVTAPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNLQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTRVEIVCSEDDPLVKDVVTFFVNCGLTSAQVHIIRRISHALHLFDETPARAVSSFYARHLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYDVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNISTHATCSTKRLCARACAHGLTAMAPAHADGEDWFSALPDDVVLHIMTFLTNAPARSDVRAVAAVAQPLAHRALHQHPHP >ONIVA04G26320.3 pep chromosome:AWHD00000000:4:25780843:25798299:1 gene:ONIVA04G26320 transcript:ONIVA04G26320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSSFSGSTAGPVPFFYYDIQQCPHQSVAVAKEAYLPFPGALEGSESRFRVSTRATCSTKRLPERAISSFHARHLFDETTLRACSATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTLSTDGLATLCRSSHFLDIVLLCDKLELNHSAFNCRYLRRIEFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDVEISSQTLKVLTIKNTHVSYGNKTTISTPSVTYLKLWSPLNGTYVLNDMPLLVTSILVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKKLTIENNLQLYPKFNNLVSLTLGQWCLDANFYGLTVFLQTSPKLEKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQLSPKAHINMFHARHLFDETPLRACSAAMEGSPSKSRGVTAPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNLQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTRVEIVCSEDDPLVKDVVTFFVNCGLTSAQVHIIRRISHALHLFDETPARAVSSFYARHLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYDVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNISTHATCSTKRLCARACAHGLTAMAPAHADGEDWFSALPDDVVLHIMTFLTNAPARSDVRAVAAVAQPLAHRALHQHPHP >ONIVA04G26320.4 pep chromosome:AWHD00000000:4:25780843:25798299:1 gene:ONIVA04G26320 transcript:ONIVA04G26320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSSFSGSTAGPVPFFYYDIQQCPHQSVAVAKEAYLPFPGALEGSESRFRVSTRATCSTKRLPERAISSFHARHLFDETTLRACSATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTLSTDGLATLCRSSHFLDIVLLCDKLELNHSAFNCRYLRRIEFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDVEISSQTLKVLTIKNTHVSYGNKTTISTPSVTYLKLWSPLNGTYVLNDMPLLVTSILVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKKLTIENNLQLYPKFNNLVSLTLGQWCLDANFYGLTVFLQTSPKLEKLTLKLEKEKPQRIIGKLEDRSFTFLCSEDDPLVKDVVNFFVNSGLTSAQVHIITFVAPVEFHARHLFDETPLRACSAAMEGSPSKSRGVTAPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNLQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTRVEIVCSEDDPLVKDVVTFFVNCGLTSAQVHIIRRISHALHLFDETPARAVSSFYARHLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYDVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNISTHATCSTKRLCARACAHGLTAMAPAHADGEDWFSALPDDVVLHIMTFLTNAPARSDVRAVAAVAQPLAHRALHQHPHP >ONIVA04G26320.5 pep chromosome:AWHD00000000:4:25780843:25817966:1 gene:ONIVA04G26320 transcript:ONIVA04G26320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSSFSGSTAGPVPFFYYDIQQCPHQSVAVAKEAYLPFPGALEGSESRFRVSTRATCSTKRLPERAISSFHARHLFDETTLRACSATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTVPCINADISEVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKHRWGKTSQRMIGELEERSFTCEHLTSVKVKCLADDPLVKGVVNFFVKMGLTSAQGIHLVLALLYSNQDAPHAHHLFDEMPLRARPPMEDAAGRDRLSDLPDEILHRIMSFLNARQAVQTCVLSRRWRNLWHTVPCINADFVEFDSIGYQGPEVPFKRFVNRLLEFRDPASVIDTFLLKYAMPDRLDGYKASNEEANRWIGHALQKQARILEVAVFFFPLDLDHSVFTSFYLRRIEFSHVYLRKGFFEQIETGCPLLEDLLLHQCFIWDGEISSQTLKVLTVDATELYTVKEMSISTPNLTSLTLSGLEYPKAVLKDMPLLVTASVSVTFDALNFDGYYDANDLRQYLWGLSAVRNLEFHYEGAELMIANNSQWCPEFVDVVNLTLGEWCLDANFHALIVFLQNSPRLVKLTLKLAKDRWTTPQRIIGELEERSFTCEHLKIVEVICLENDPQVIGVEDFFVRSGMTSVQFHIKHWRKDEEYNFPHQHAHQLFDEMPPRALPPVEDDAGRDWLGDLPEEVLHHIMSFLDARQAVRTCVLSRRWRNLWRTVPCINADFDEFDLVFYQGDDEDYDDVLAFKRFVNRLLELRDPTAMTDTFWLRYTTRPEGNTYSNEDAYGWISHALQKQARVLEVVVFCCLFELDHSVFTSCYLRRIAFSGIVLCKGFFAQLEAGCPALEDLFLHQCGVHDDEISSHTLKVLTFDSVFFYMPMDTVEFTLLNKTSISLPSVTSLTISTPEGFTPILKDTASLVTASVSVSVTMSSFRFRFDANDLGQYLQSLSGVTNLEFNYQGSKLTIENHLQWCPEFLNVVNLTLGQWCLDSNFYALIVFLQNSPRLEKLTLKLEKSNMRISRRIIGELTEISFTCEHLNTVEVICSENDPQLITVQDFFVSSGMTSVQFHIKHWSPYANDLPAFIRSI >ONIVA04G26320.6 pep chromosome:AWHD00000000:4:25780843:25798299:1 gene:ONIVA04G26320 transcript:ONIVA04G26320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSSFSGSTAGPVPFFYYDIQQCPHQSVAVAKEAYLPFPGALEGSESRFRVSTRATCSTKRLPERAISSFHARHLFDETTLRACSATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTLSTDGLATLCRSSHFLDIVLLCDKLELNHSAFNCRYLRRIEFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDVEISSQTLKVLTIKNTHVSYGNKTTISTPSVTYLKLWSPLNGTYVLNDMPLLVTSILVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKKLTMENNLQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTRVEIVCSEDDPLVKDVVTFFVNCGLTSAQVHIIRRIEPFPGAREANREFDISSSHALHLFDETPARAVSSFYARHLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYDVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNISTHATCSTKRLCARACAHGLTAMAPAHADGEDWFSALPDDVVLHIMTFLTNAPARSDVRAVAAVAQPLAHRALHQHPHP >ONIVA04G26320.7 pep chromosome:AWHD00000000:4:25780843:25798299:1 gene:ONIVA04G26320 transcript:ONIVA04G26320.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSSFSGSTAGPVPFFYYDIQQCPHQSVAVAKEAYLPFPGALEGSESRFRVSTRATCSTKRLPERAISSFHARHLFDETTLRACSATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTLSTDGLATLCRSSHFLDIVLLCDKLELNHSAFNCRYLRRIEFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDVEISSQTLKVLTIKNTHVSYGNKTTISTPSVTYLKLWSPLNGTYVLNDMPLLVTSILVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKKLTIENNLQLYPKFNNLVSLTLGQWCLDANFYGLTVFLQTSPKLEKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQDDPLVKDVVNFFVNSGLTSAQVHIITFVAPVEFHARHLFDETPLRACSAAMEGSPSKSRGVTAPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNISTHATCSTKRLCARACAHGLTAMAPAHADGEDWFSALPDDVVLHIMTFLTNAPARSDVRAVAAVAQPLAHRALHQHPHP >ONIVA04G26320.8 pep chromosome:AWHD00000000:4:25795879:25817966:1 gene:ONIVA04G26320 transcript:ONIVA04G26320.8 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFNSFLDKVLKLRDPAASIRTFCFKFYRLTRTLLCCLLNHYSAFACRFLTTINFFSVAMKQGFFKQLEKGCPSLENLSLDECIIVDSDISSQTLKVLSIIDTWFSWKDKTTISTPSVTIFSLWWPLSGTLVFYNMPLLVNSLLVLHVEQASSYFCQNLRSLSAAKNLTCQFYYCWKKSPILEKLTLELEKHRWGKTSQRMIGELEERSFTCEHLTSVKVKCLADDPLVKGVVNFFVKMGLTSAQGIHLVLALLYSNQDAPHAHHLFDEMPLRARPPMEDAAGRDRLSDLPDEILHRIMSFLNARQAVQTCVLSRRWRNLWHTVPCINADFVEFDSIGYQGPEVPFKRFVNRLLEFRDPASVIDTFLLKYAMPDRLDGYKASNEEANRWIGHALQKQARILEVAVFFFPLDLDHSVFTSFYLRRIEFSHVYLRKGFFEQIETGCPLLEDLLLHQCFIWDGEISSQTLKVLTVDATELYTVKEMSISTPNLTSLTLSGLEYPKAVLKDMPLLVTASVSVTFDALNFDGYYDANDLRQYLWGLSAVRNLEFHYEGAELMIANNSQWCPEFVDVVNLTLGEWCLDANFHALIVFLQNSPRLVKLTLKLAKDRWTTPQRIIGELEERSFTCEHLKIVEVICLENDPQVIGVEDFFVRSGMTSVQFHIKHWRKDEEYNFPHQHAHQLFDEMPPRALPPVEDDAGRDWLGDLPEEVLHHIMSFLDARQAVRTCVLSRRWRNLWRTVPCINADFDEFDLVFYQGDDEDYDDVLAFKRFVNRLLELRDPTAMTDTFWLRYTTRPEGNTYSNEDAYGWISHALQKQARVLEVVVFCCLFELDHSVFTSCYLRRIAFSGIVLCKGFFAQLEAGCPALEDLFLHQCGVHDDEISSHTLKVLTFDSVFFYMPMDTVEFTLLNKTSISLPSVTSLTISTPEGFTPILKDTASLVTASVSVSVTMSSFRFRFDANDLGQYLQSLSGVTNLEFNYQGSKLTIENHLQWCPEFLNVVNLTLGQWCLDSNFYALIVFLQNSPRLEKLTLKLEKSNMRISRRIIGELTEISFTCEHLNTVEVICSENDPQLITVQDFFVSSGMTSVQFHIKHWSPYANDLPAFIRSI >ONIVA04G26310.1 pep chromosome:AWHD00000000:4:25775749:25776742:-1 gene:ONIVA04G26310 transcript:ONIVA04G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGLPVREGVSSAKLTLIVACFLLPFLVEAESRAPVPLSFSFDFSNSASYRLEDLLFEGNASEPSNKLVDLTCNEFAETIHRCKGRMSYAHAVKFYYATTGEVASFSTRFTFAIAIRSDSSNPTDTKGDGLAFFLAAYPSTIPSNSDGGNLGLLVTKHPKAYGTNRFIAVEFDTYNNTWDPSKTYDHMGVDISAIESANTTSLPSYSLNGTMTASISFNSSTRMLLANLHFDDHPSFQPAEVSAILPDPVTLLPQEVSVGFSAATGGSGSELHQILSWSFNSTLGLALNSNSTADPPPPPRRVRRKE >ONIVA04G26300.1 pep chromosome:AWHD00000000:4:25770693:25774286:1 gene:ONIVA04G26300 transcript:ONIVA04G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSGGGSGSGQQATAGAASAGGRRGGSTFSTAKRRQARCGSVAQDPHGAPSLPPDLSPALSPPLFVVRRPGSSGSWPSASSPVSLLAPRHYDRAPRFVTPPRPRLDAPPLPVGFRLNDAPRSSLHELCLRAPSASRLHIRRAPAESVKYMHDSNQQLMPCLDPLVSIGNVASRSISTVSVHTICFSNQLVALHNICQTKNHSEFRPHDHVRLKEKESDFHACLDNKFSFKVCTGSFRLYFMHYSFVSDSLTSYQQSNNKPDHNEIPAGCIQHLLTFPLSIGLCSVEEFGTGTRGDSIYNYCTMKKGK >ONIVA04G26300.2 pep chromosome:AWHD00000000:4:25771072:25774286:1 gene:ONIVA04G26300 transcript:ONIVA04G26300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRSDVPSLPHGSWRLARVKAGALWLGGSRSPWSSITAARPQPCPLSTAVCGAPPGVVGVVAVRLQSSLAASSAPLRPRPSVKYMHDSNQQLMPCLDPLVSIGNVASRSISTVSVHTICFSNQLVALHNICQTKNHSEFRPHDHVRLKEKESDFHACLDNKFSFKVCTGSFRLYFMHYSFVSDSLTSYQQSNNKPDHNEIPAGCIQHLLTFPLSIGLCSVEEFGTGTRGDSIYNYCTMKKGK >ONIVA04G26290.1 pep chromosome:AWHD00000000:4:25758403:25759227:-1 gene:ONIVA04G26290 transcript:ONIVA04G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWMVHTCLTRDILAGYEALFIVGVLALVVVVVWIVFSCFMWTRRSNDDLDLKEIGGPRQFIYRDLNVAINKFSNEIGRGSFGVVCRGSLGGHEVAVKTLINGRKDFITELSSIGGVKHKNLVRLIGWCRQNRFNIVDFIFWWRHDKKNKLFLVYELVSNGNLAKRLHEGVLEWTTRFIIIKDIANALLYLHEECHPYIFHRDIKPDNILLDNNFNAKLADFGLSRIADPDNKHSKDDSPWD >ONIVA04G26280.1 pep chromosome:AWHD00000000:4:25756072:25758341:-1 gene:ONIVA04G26280 transcript:ONIVA04G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFGMVLLVVACTQGTSREQVWQLYQDKSLLQAADDNLRGQYDETQMERVLILGLCCSRLDDATKRPTIRQALAFLEHGGPAMFPSIAWRLW >ONIVA04G26270.1 pep chromosome:AWHD00000000:4:25735392:25735673:-1 gene:ONIVA04G26270 transcript:ONIVA04G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLAVDAGFAAAGGSMPDVSRSVVGIALVFVAAVAIVAFVVFNCADGKGSSGGRKRPSYGGGGGCGGGGGGCGGGGGCGGGGGGCGGGGGGC >ONIVA04G26260.1 pep chromosome:AWHD00000000:4:25729109:25733907:1 gene:ONIVA04G26260 transcript:ONIVA04G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDTSHHYPWLNFSLAHHCEMEEEERGAAAELAAIAGAAPPPKLEDFLGGGCNGGSSGGACPPVQTTAPTAAELYESELKFLAAGFQLSGAAGAAPPVPALLPAAALEQTDETKQLALPPRAAVAPPPEQKKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPSTTTNFPVAEYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTEEEAAEAYDIAAIKFRGLNAVTNFEIGRYNVESIISSNLPIGSMAGNRSTKAGLELAPSSSADAIAATEANHTGVAPPSTLAFTALPMKYDQADYLSYLALQHHQQGNLHGLGFGLYSSGVNLDFANANGNGAMSNCYTNVSLHEQQQQHQHQHQQEQQQDQQDDQSQSSNNSCGSIPFATPIAFSGSYESSMTAAGTFGYYPNVAAFQTPIFGME >ONIVA04G26250.1 pep chromosome:AWHD00000000:4:25716720:25723075:1 gene:ONIVA04G26250 transcript:ONIVA04G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H6S5] MALALEAARSWAASVLPPELAAAAGGDPLAALAATAAALVAGVVILAVWFRSGGGAPPKAAAPPPRPPPVKVEADADADDGRKRVTVFFGTQTGTAEGFAKAMAEEARARYEKAVFKVVDLDDYAAEDEEYEEKLRKETIVLLFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYAVFGLGNRQYEHFNKVAKVVDELLEEQGGKRLVPVGLGDDDQCIEDDFTAWKEQVWPELDQLLRDEDDTTGASTPYTAAIPEYRIVFIDKSDVSFQDKSWSLANGSGVIDIHHPVRSNVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYSENAIETVEQAEKLLDLSPDTFFSVHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNSPKKAALVALAAHASDLAEAERLRFLASPAGKDEYSQWVVASQRSLLEVMAAFPSAKPPLGVFFAAVAPRLQPRYYSISSSPKSDSLNMSLQDGSFQNSCNMCVSLWTYTNGKDSPRSLFDMDEEYSEECSWAPIYVRQSNFKLPADPTTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGNSVLFFGCRNRNMDYIYEDELQNFIQEGALSELIVAFSREGPAKEYVQHKMTEKATEIWNIVSQGGYIYVCGDAKGMARDVHRALHTIVQEQGSLDSSKTESYVKSLQMDGRYLRDVW >ONIVA04G26250.2 pep chromosome:AWHD00000000:4:25716720:25723075:1 gene:ONIVA04G26250 transcript:ONIVA04G26250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H6S5] MALALEAARSWAASVLPPELAAAAGGDPLAALAATAAALVAGVVILAVWFRSGGGAPPKAAAPPPRPPPVKVEADADADDGRKRVTVFFGTQTGTAEGFAKAMAEEARARYEKAVFKVVDLDDYAAEDEEYEEKLRKETIVLLFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYAVFGLGNRQYEHFNKVAKVVDELLEEQGGKRLVPVGLGDDDQCIEDDFTAWKEQVWPELDQLLRDEDDTTGASTPYTAAIPEYRIVFIDKSDVSFQDKSWSLANGSGVIDIHHPVRSNVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYSENAIETVEQAEKLLDLSPDTFFSVHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNSPKKAALVALAAHASDLAEAERLRFLASPAGKDEYSQWVVASQRSLLEVMAAFPSAKPPLGVFFAAVAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPTPTGRIHQGVCSTWMKNAIPSEYSEECSWAPIYVRQSNFKLPADPTTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGNSVLFFGCRNRNMDYIYEDELQNFIQEGALSELIVAFSREGPAKEYVQHKMTEKATEIWNIVSQGGYIYVCGDAKGMARDVHRALHTIVQEQGSLDSSKTESYVKSLQMDGRYLRDVW >ONIVA04G26240.1 pep chromosome:AWHD00000000:4:25712216:25713336:1 gene:ONIVA04G26240 transcript:ONIVA04G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indole-3-acetic acid inducible 33 [Source:Projected from Arabidopsis thaliana (AT5G57420) TAIR;Acc:AT5G57420] MISGAGGEQQQQQDTKRRLPAPTTSEQERRQKQHRGKMLRLSVQQGDDVTAGVVPPVTVVLDGRSICHRVHLSKHTGYRSLAAALRRMFVDADDDVGAADEAAGRSSCSDADRGGLDLSNAVPGHVVAYEDIENDLLLAGDLNWKDFVRVARRIRIIPAKPSSRMRPQS >ONIVA04G26230.1 pep chromosome:AWHD00000000:4:25701412:25706443:1 gene:ONIVA04G26230 transcript:ONIVA04G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPQIEMGAFKANGPQLQNGGLRSSMVQSWNLQRFVESALRSIRIVIFTSKLNLLLPFGPASIILHYTTSRHGLVFLFSMLGITPLAERLGYATEQLAIYTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIVHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEVSLSRFSSCIMLVAYASYLYFQLSGRNNAYSPIGSEEMPNEDAAEEDEESEIGMWESIAWLAMLTLWVSILSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGVANYLKGLMLILCYLIVAASFFVHVDPQSSDD >ONIVA04G26220.1 pep chromosome:AWHD00000000:4:25698302:25700021:-1 gene:ONIVA04G26220 transcript:ONIVA04G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATTTLPLAPLALALAPPSSPISQCSLLLLRPRAPAALSLRPSARLLVAVAAREPELGGSGGGGGGAGDGSGSGGGGDSEKPRGGGGDEEGEGEEEKMGQGLSMSQKITLAYAALVGAGGAMGYMKSGSQKSLAAGGISALVLYFVHTQLPVRPVFASSIGLGISAALLSVMGSRFKKSGKIFPAGVVSLVSLVMVGGYIHGILRSTHA >ONIVA04G26210.1 pep chromosome:AWHD00000000:4:25692838:25697842:1 gene:ONIVA04G26210 transcript:ONIVA04G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLRSRFTILKSVTIFTCAVWCTHTTTTSTLSFPNPLPISRFCSPISREKPKRERERGRGEERRGEEELVEMDLLEKKNIKKGGEVEEEVARKGEERKEEVVVVEEEKSQQQQQQQGEEELVGLSLAGGRPKVFPMSSPPPNPSQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAAASATKSNAAVAVKPPVMPAANATQAAVSPVLTRSLSLQSTSVATGQPQVVADPSSICKLQADLPIARRHSLQRFLEKRRDRLVSKAPYPTKSSEGMEASGMEVTAEGKAQ >ONIVA04G26210.2 pep chromosome:AWHD00000000:4:25692838:25700301:1 gene:ONIVA04G26210 transcript:ONIVA04G26210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLRSRFTILKSVTIFTCAVWCTHTTTTSTLSFPNPLPISRFCSPISREKPKRERERGRGEERRGEEELVEMDLLEKKNIKKGGEVEEEVARKGEERKEEVVVVEEEKSQQQQQQQGEEELVGLSLAGGRPKVFPMSSPPPNPSQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAAASATKSNAAVAVKPPVMPAANATQAAVSPVLTRSLSLQSTSVATGQPQVVADPSSICKLQADLPIARRHSLQRFLEKRRDRLVSKAPYPTKSSEGMEASGMEVTAEGKAQ >ONIVA04G26200.1 pep chromosome:AWHD00000000:4:25672419:25674548:-1 gene:ONIVA04G26200 transcript:ONIVA04G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASVLSLPAAAAIPGAAAAIAGAAGCFALGYFLALSRFPRQVVAASPASGETSDEDSEEDSEEEDDDENSGRSRVAKRAPKRAGLRLLFWARNVVTKSDSAKEAERARAKSQTAASPLEVENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKIESEEDMLVLQGRAKSLNIPTHITIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLL >ONIVA04G26190.1 pep chromosome:AWHD00000000:4:25668572:25671614:1 gene:ONIVA04G26190 transcript:ONIVA04G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVLPLLVVVVVAAAMARAPTAHAWGKEGHYMVCKIAEGFLTKEAATAVTELLPGWAGGELAETCSWADTERFRGNRLPELSVHAMIDKTLRTIMYGYGYGDCHNTNGEKDMCVVGAINNYTNALEDSSSPYDPTESLMFLAHFVGDVHQPLHCGHVKDLGGNTIIVHWYTRKSNLHHVWDVNVIETALKEFYNEDVSTMIKAIKMNITDEWSNEEKQWETCRSRTKTCADK >ONIVA04G26180.1 pep chromosome:AWHD00000000:4:25660671:25666373:1 gene:ONIVA04G26180 transcript:ONIVA04G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKPAASEGGWGSDADPDSGSSGNGGGGGSPPSSPSTPSPPSPQPLLPTLPSPQAFVDAYTGYEDQGAWDPDSLSLELGLATLRELECIRYQEAQEDWRKSDDNKSDSCKQKDKEQEAWDPDSLSLELGLAPLQELEWIRYQEAQEDWRRSDDNKSDSCKLRDKTTSDDRSKSGDNKYDSCKTRDKKPIVDLADEFENNTIKKKITLLSEKYDFFRPVDRDGSCFYRAFIFSYMERIVAMQDDLERIIEVSRIGERIGKYKQAYARFGSFGIPQEEFLKALSAFEQLINLIEKGVAVEQLYQIDETDITKNSLRFLRFLTEIEICTHEDHYKGFLLTADYSSVFEFCQVEVRPENAEASNEQMKALVEALGIPVLVENLDTTSETDTPILNQHFIYPRPESEEGTMLGPLNSHEIVSPESSGYHAARGELQNQPSTSGSSTNSSTEALGLQSIGTSSTPNERDGKGDRTINDLSPAERRRLAILLYRPGHYDILCPK >ONIVA04G26170.1 pep chromosome:AWHD00000000:4:25654220:25658966:-1 gene:ONIVA04G26170 transcript:ONIVA04G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgin candidate 2 [Source:Projected from Arabidopsis thaliana (AT1G18190) TAIR;Acc:AT1G18190] MGGHSQQMTYEIDQQAAESLGKSPSASDLTALHASSSSSAADALLDAPTRRQPPVAPPPSLGLRLAARRPNLPPPPAPAPASPAPRRSASATAVLAAQDRAAGAAVGGVAEAKVGGDREEEKGGGSESGSGSDEDDSDGSGSDDSEDSEEERRREEERRRRRAERLAAMAARAIAEREEAVAKLEGEKASLEKLLAEREKEQAQEASELQTSMIETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDQVAQLREEVDMKTLTQDKYKRKIAKMQKTSPPLVNEIESLRRFKLEEEMIDAEYALTCDRIVNLKDKDLSFFVLLVIIVLCLSMARKIKENIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLLRIEAVSRSLDNNGSSSLASSSSSSKIDIEAGTWQESHSPRLRDRIRNGQRQLGSAIRQLDSIFSAGHIFLRRNPKALVWAMVYLVCLHIWVLYILTSHPTISEARPGATFSLESLNKTGI >ONIVA04G26160.1 pep chromosome:AWHD00000000:4:25643728:25648907:-1 gene:ONIVA04G26160 transcript:ONIVA04G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transporter 91 [Source:Projected from Arabidopsis thaliana (AT1G23090) TAIR;Acc:AT1G23090] MVGMRGAYGYNDNGNEGGVVVGGGEAEAEIAAMAVLHKVAAPPAQSTASKLKARVKETFFPDDPFRGFKGKPLTTKWVMAVQYLFPILDWVPSYSFSLFKSDLDHFGNILVMYRYTPTGISYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPAAEPLLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVIHHTKEWSWQTILMAVCFLVLLLTARHVSMKWPKLFWVSACAPLACVIVSTLLVFLFKAQKHGISIIGQLKCGLNRPSWDKLLFDPQYLGLTVKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAVYNIWKMDKMDFLVCLCAFAGVIFISVQQGLAIAVGISIFRVLLQITRPKMMIQGNIKGTDIYRNLHQYKDAQRVPGFLILTVEAPINFANTNYLNERIKRWIEEESSAGTKQSELHFVILDLSAVPAIDTSGISFLIDLKKSTEKHGLELILVNPTGEVMEKIQRANDAHGHFKSDSLYLTTGEAVASLSTFSKMTAP >ONIVA04G26160.2 pep chromosome:AWHD00000000:4:25643728:25648907:-1 gene:ONIVA04G26160 transcript:ONIVA04G26160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transporter 91 [Source:Projected from Arabidopsis thaliana (AT1G23090) TAIR;Acc:AT1G23090] MVGMRGAYGYNDNGNEGGVVVGGGEAEAEIAAMAVLHKVAAPPAQSTASKLKARVKETFFPDDPFRGFKGKPLTTKWVMAVQYLFPILDWVPSYSFSLFKSDLGISYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPAAEPLLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVIHHTKEWSWQTILMAVCFLVLLLTARHVSMKWPKLFWVSACAPLACVIVSTLLVFLFKAQKHGISIIGQLKCGLNRPSWDKLLFDPQYLGLTVKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAVYNIWKMDKMDFLVCLCAFAGVIFISVQQGLAIAVGISIFRVLLQITRPKMMIQGNIKGTDIYRNLHQYKDAQRVPGFLILTVEAPINFANTNYLNERIKRWIEEESSAGTKQSELHFVILDLSAVPAIDTSGISFLIDLKKSTEKHGLELILVNPTGEVMEKIQRANDAHGHFKSDSLYLTTGEAVASLSTFSKMTAP >ONIVA04G26150.1 pep chromosome:AWHD00000000:4:25639284:25643020:-1 gene:ONIVA04G26150 transcript:ONIVA04G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSKKRKLAEEDPGIIGAGRPPSPPSGSRGSADRRLGVVVVADPDSDQGSCDSLLSDATTRLTQDDAAEAASRLLIAVVVRSPEALLAFVQRLTPEALKIIVI >ONIVA04G26140.1 pep chromosome:AWHD00000000:4:25630844:25633259:-1 gene:ONIVA04G26140 transcript:ONIVA04G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSKKRKVVAAEEGLGLGIIGAGPSSSPPSGSHDAVDAASRLLTAVVARSPEAVAAFVRRLTPETVLRCIDWDLIPSGDARKEYIILLAF >ONIVA04G26130.1 pep chromosome:AWHD00000000:4:25626740:25628497:1 gene:ONIVA04G26130 transcript:ONIVA04G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGVCARFAPVWGPNVSSCVRLRLGHPHRISRYRRPPHLTLAPPHVCSSEEEGEFFCNRRRTGGDILVAPRPQESSPPPLQGPPPQWPVVQLQGPVPYASRFAAAVAGAAAARPAPSSAPSAAVARG >ONIVA04G26120.1 pep chromosome:AWHD00000000:4:25625056:25627103:-1 gene:ONIVA04G26120 transcript:ONIVA04G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRMAPALQLLRRPRRRRTLKRMAPALAAAPPATAVADLAAAAATTPAAAALPGCHHPYGDDYRRTRPPPQMNTRAAALAGSLNLTKLSLREVDITDEDLHQFLSECNHLREVDITDCRMLTNLRVPGHLNQLKSLLVAICPLLREIKLSCGLTALDYRGPFIPLQLAIPSQTTNVSISLLTFHSALGYIFSDLPSTLTNLETLTLKSKQVERIDMLSRLPRLISLRHLTLGLTISDLPQRKIDLLDFASLLKAAPFMEKLELHMKMVCVHQRYCQDDGELRSLPRCPHSHLSWVQITGFFGEKDQLELALHILRNATFLKAMVIETSLNTESESVNCYPERLSPDGYSVALEFLGKEDHNNAVHVLEADDE >ONIVA04G26110.1 pep chromosome:AWHD00000000:4:25621566:25624669:1 gene:ONIVA04G26110 transcript:ONIVA04G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLATIGAVVFQLLVTTTTLSAAAPTPIALPGCPESCGGIQVPYPFGIGDGCSYHGFNLTCDDEAHHHQTPPKLFMATDNGTVVQVLNISLPDGTVRVRSKLSQSSIAGSSSSSNASSSRSDLPADGPFTVSSAYNWLVAFGCNIVADLTPYGNIAEGSSCAATCPLVLRHRALPYVRWEGCPLVHDPAAFVAEQGWFSANENAMLYNFTNWLPFTVESVPVVLEWWLDLIRDGAILPLSVGPNTTDFRCLSLHSSSYYNDLNYDRRRCNCSQGYKGNPYIRDGCRDIDECQQPDVYPCHGTCINMPGTYRCLAKKSVKSLPGLITIIAVSAGFGLLFSLLGVAKITNKIKQRRAKKLRRKFFKKNHGLLLQQLISSNKDIAERMKIFSLEELDQATNKFDQNHILGGGGHGTVYKGILSDQRVVAIKKSKIVVQREIDDFINEVVILSQTNHRNVVKLYGCCLETEVPLLVYEFISNGTLSFHLHGQNENPLKWKDRLRIALETARAIAYLHSAASISVLHRDIKSANILLTDTMTAKVSDFGASRSISIDETGILTVIQGTYGYLDPEYYYSSRLTEKSDIYSFGVILAELLTRVTPVFSSETSERTSLASYFVSFIRDNRLSDILDSQIVNEVGAEDAKVVAKLAEACLRLKGEERPTMRQVETTLEDVQRSKVQLNHQIARVSNSNTLKNQTYEGSKCYEGTRQYSLEKEFIQSSEFPR >ONIVA04G26100.1 pep chromosome:AWHD00000000:4:25616702:25617266:-1 gene:ONIVA04G26100 transcript:ONIVA04G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLFVVFPTTRHGIGYPEGALRRRENAGTVESNSDHPLRHRIADRIVCAASINKPAAHWTHADVFVFLLLPLVATPRH >ONIVA04G26090.1 pep chromosome:AWHD00000000:4:25614590:25615537:1 gene:ONIVA04G26090 transcript:ONIVA04G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVGALLFHLVVTALAAPVALPGCPETCGNVTVPYPFGIGHGCFRDGFELACDETHPAAPPKLRFARNGVEVIDISLPSGTVRVATRMLGTGSSSSLPRQLNGSWPAGLPANGSLAVSTRHNRFVAMGCNLLANLVANDDDDYISVCAALCVVRSALPRDAAAASSCSGFGCCQTPVARGLPSYGVHLNDLTQRSVTVGSYGAAFIADGEWFAGEQRSLQLGFVADPRKLADSTAVPTVLEWSLDMDRDQDMFWYDTRVSQWTRCVSVHSAIDDAVDGNLYGRARCNCSKGYEGNPYLANGCQGMYRLQFSD >ONIVA04G26070.1 pep chromosome:AWHD00000000:4:25598447:25607080:-1 gene:ONIVA04G26070 transcript:ONIVA04G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRLLRVLLLLVALACATSGVGCSDPRFPHLGRVRELHRGEGRPAAEQEAAARGLLARLLPSHSGSFDFRVISADQCGGKACFIVDNHPLFDGEGTPQVLLLGTSGVEISAGLHWYLKHYCAAHVSWDKTGGAQLSSVPRPGSLPRLPSGGILIQRPVGWSYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQEAIWQKVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQSWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALRSKFPSAKVTHLGNWFTVDSNPRWCCTYLLDASDPLFVEIGKLFIEEQIREYGGTSHVYSCDTFDENTPPLSDPNYISSLGAATFRGMQSGDDDAIWLMQGWLFSYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPIWINSDQCMLHNFAADFEMYGVLDMVASGPIDARLSANSTMIGVGMSMEGIEQNPIVYDLMSEMAFHHRQVDLQVWVETYPTRRYGKSIVGLQDAWKILYQTLYNCTDGKNDKNRDVIVAFPDVEPFVIQTPGLYTSSSKTYSTKLSKNYIAVDASNDEYEHPHLWYDTDAVIRALELFLRYGDEVFVKIIESYKANNVNQVSNLCQHFIDLVNDLDTLLASHEGFLLGPWLESAKGLARDKEQEMQYEWNARTQITMWFDNTKTKASLLRDYANKYWSGLLRDYYGPRAAIYFKYLILSMEKKEPFALEEWRREWISLTNNWQSDWKVFPTTATGDALNISRTLYKKYLHDADLIQPEGIIRLRNLKNTPW >ONIVA04G26070.2 pep chromosome:AWHD00000000:4:25598447:25607080:-1 gene:ONIVA04G26070 transcript:ONIVA04G26070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRLLRVLLLLVALACATSGVGCSDPRFPHLGRVRELHRGEGRPAAEQEAAARGLLARLLPSHSGSFDFRVISADQCGGKACFIVDNHPLFDGEGTPQVLLLGTSGVEISAGLHWYLKHYCAAHVSWDKTGGAQLSSVPRPGSLPRLPSGGILIQRPVGWSYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQEAIWQKVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQSWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALRSKFPSAKVTHLGNCNPRWCCTYLLDASDPLFVEIGKLFIEEQIREYGGTSHVYSCDTFDENTPPLSDPNYISSLGAATFRGMQSGDDDAIWLMQGWLFSYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPIWINSDQCMLHNFAADFEMYGVLDMVASGPIDARLSANSTMIGVGMSMEGIEQNPIVYDLMSEMAFHHRQVDLQVWVETYPTRRYGKSIVGLQDAWKILYQTLYNCTDGKNDKNRDVIVAFPDVEPFVIQTPGLYTSSSKTYSTKLSKNYIAVDASNDEYEHPHLWYDTDAVIRALELFLRYGDEVFVKIIESYKANNVNQVSNLCQHFIDLVNDLDTLLASHEGFLLGPWLESAKGLARDKEQEMQYEWNARTQITMWFDNTKTKASLLRDYANKYWSGLLRDYYGPRAAIYFKYLILSMEKKEPFALEEWRREWISLTNNWQSDWKVFPTTATGDALNISRTLYKKYLHDADLIQPEGIIRLRNLKNTPW >ONIVA04G26060.1 pep chromosome:AWHD00000000:4:25595047:25599262:1 gene:ONIVA04G26060 transcript:ONIVA04G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H6Q4] MAAPSQTTATTTHHRVLLPSHHHHRAVPPSLLRLPLRAARRGRLSAAAAAAAPAASTAAPSEPAAGAVPGKPTVLVAEKLGAAGLELLRGFANVDCSYGLSPEELRAKIPLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMSRNIAQADASLKAGKWQRNKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGMHVIAHDPYASADRAHAIGVELVSMEDALTTADFISLHMPLTPATNKMLNDETFAKMKKGVRIINVARGGVIDEDALVRALDSGIVAQAALDVFTKEPPALDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAASAVNAPMVPAEVLSELAPYVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGVRITEERILLDGSPETPIDYIQVHIAHVESKFPSAISESGEITVEGKVKDGIPHLTKVGSFQVDVSLEGSLILCRQVDQPGMIGAVGSVLGEENVNVSFMSVGRIAPRKHAVMAIGVDEEPKKSTLTKIGEIPAIEEFVFLKL >ONIVA04G26050.1 pep chromosome:AWHD00000000:4:25589519:25593512:1 gene:ONIVA04G26050 transcript:ONIVA04G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAIAIHGGAGVDPNLPEHRQEEAKRVLARCLQVGVDALRSGAAALDVVEAVVRELESDPFFNSGRGSALTRLGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARLVMDKSPHSYLAFDGAEQFARDQTPSIPFNPFRTEEGIREEFTEEQRSIRSGQISHQAIRPSGVLDHGFYD >ONIVA04G26040.1 pep chromosome:AWHD00000000:4:25578163:25580982:-1 gene:ONIVA04G26040 transcript:ONIVA04G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLAGAEKEVLVEIVRFTQKNGLKGAEGGWKDFLARNDKKFGASVSDPKKRSKDVLLAFLLTFSKDFQKVYFGKLIRRLNERSAFEQFLKEFPDKISPQLKLVQLTAEHPEYRKNYNFPSYKEGWKVLREGKITNFMKSTMLAIDCEMVLCHDGTEALVRVCVVNSNLEVKLDELVNPLKAVADYRTSITGLSKKDLKGVTCSLVDVQKSLKKLLSKGKILIGHSLSKDLHALKFDYSRVIDTAYIFKYANLPTTASASLNSLCKSVLGYAVREEGEPHNCLKDAEAAMNLVLAKLKHGFNDPIEIARDSVPESDMMKLLAHKIPVYLPCQELLKLFSGNPSIDEKIDSRVRGDYYSTCISFGDRDEVEKAFDELDGQEAKDSSGRLQKNVLLKRDNGDVASFYVRKMAYGAQLNKSELSNKRPPPTEHVEPTKEDNDGDKQKMRKTGKKHEKKKVKISVAE >ONIVA04G26030.1 pep chromosome:AWHD00000000:4:25574768:25578719:1 gene:ONIVA04G26030 transcript:ONIVA04G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor assembly of complex C [Source:Projected from Arabidopsis thaliana (AT1G59840) TAIR;Acc:AT1G59840] MEMTRSLTLAPLPATLRPASAACRRRRRRRGLPFGALFSPSPPSNQQQQEMHIRALQPRQDWVGEWVRSNDTLVRGLPILVGGASLLAVLLNRAVSGIAAVADASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRVGTGVLDSALRELLWTWDSLTTATCCKSLVVVYGGNCVLQIGVAAGSPEDGNAVMVDAQKFMQGSLYRSAMESKKQSYLANLALYPGRTELPFLPANTQALILQPIGDKGIAVIGGDTIRGFTNLDQAWIAMIADKLDATLSKS >ONIVA04G26030.2 pep chromosome:AWHD00000000:4:25574768:25579457:1 gene:ONIVA04G26030 transcript:ONIVA04G26030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor assembly of complex C [Source:Projected from Arabidopsis thaliana (AT1G59840) TAIR;Acc:AT1G59840] MEMTRSLTLAPLPATLRPASAACRRRRRRRGLPFGALFSPSPPSNQQQQEMHIRALQPRQDWVGEWVRSNDTLVRGLPILVGGASLLAVLLNRAVSGIAAVADASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRVGTGVLDSALRELLWTWDSLTTATCCKSLVVVYGGNCVLQIGVAAGSPEDGNAVMVDAQKFMQGSLYRSAMESKKQSYLANLALYPGRTELPFLPANTQALILQPIGDKGIAVIGGDTIRGFTNLDQAWIAMIADKLDATLSKS >ONIVA04G26020.1 pep chromosome:AWHD00000000:4:25573211:25574617:-1 gene:ONIVA04G26020 transcript:ONIVA04G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTATPHVLLVPFPAQGHALPLYDLAAPRGAGAPPHRRHHAGQRRPARPSPRRAPRLRPPARPPLPLPPFPPRRPREHDELPSRLHRRVHPRARRAPQPHPRVGQVAARAPRRRRRIRLLLRVDATSRRRDRRPEGRLHALRRARHRRAALALSPLVKRPVGCDDGFPVAFPAIPREPAFEWREISMLYKAYIEGLVEEQVGESLKQNCLWNLEGWGFVSNTFRALEGRYLDAPLEDLGFKRVWAVGPVAPDTDAAGERGGEAAVAAGDLSAWLDAFPEGSVVYVCFGSQAVLTPAMAAALAEALERSAVPFVWVVSGDGVVPEGFEARAAAAARGMVVRGWAPKVAALRHAAVGWFMTHCGWNSVLEAVAAGVPMLAWPMAADQFVNARLLVEDAGVALRACAGGAGVAPDAGELAAVLADAVGEKGSGARARAKELAADAAIAVRSGGSSYEDLERFVQEIQKL >ONIVA04G26010.1 pep chromosome:AWHD00000000:4:25565040:25567803:-1 gene:ONIVA04G26010 transcript:ONIVA04G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0H6P8] MKLPLLRPLWPMLAPAAGSPDSPPEPSKPSLPAAWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPINPTAHLVSLVSPPVMLAAANATTTITTTTTTTTTTVTTTTVAAEVGAHPQHHHHGPVFVGRHPIRVRPWPHPDPNELLKAHHILAAVQNAQRSSRRRGAGPPRPVIAVTPTTTSALQVPSLTSMAHTLRLVDGPLTWIVVEPEHHTDAVAAVLSRSNLNFLHITGPDSSTSRLRMHALREIRKRKMDGVVVFADENSILRTELFDEAQKVKSVGAVPVGVLGEDEGTSETFLQAPSCDAEGKLVGYHVSEETMLPANRGDMLLSSRLEWAGFVVNAQALWEGGGAASRPEWVRDIDAIDDGAAASPLSLVTDAARVEPLASCGQAALAWSHRSDALHEVKFPHEWKIDPPLVTIASRQQDAKPETPLKRTTLLNTEGQH >ONIVA04G26000.1 pep chromosome:AWHD00000000:4:25560122:25561732:-1 gene:ONIVA04G26000 transcript:ONIVA04G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLSLLLPVTCFILFVLHAVHVEARPDPAAFGDSDNGFYTLFVFGDSFADTGNLPKRRLSEQSREWYYPYGRDRGNNRPTGRFSNAMVQSDLIARMLGRHEAPPTYRRVDNYVHPHGMNFAAGGSGVFKLPSGAPTLDKQVDHFRDLVQDGTITRRNLRNSIALVAVSGNDYARLANVNDTSKMIKFVDEVTSEIAKQVHRLKNNGARKILVNNLHPVGCTPWVTRPGNYSGCSSTGNMGAYLHGSNLQQKLSHLDYVHHVDLNTAFSNIVNPDQGSKHKVSSQFEHKMQPCCESLDPNGFCGQKGHDGKDLFSVCNDPEKYFYWDDVHPTEAGWKAVMQQLEGPIKKFLGIN >ONIVA04G25990.1 pep chromosome:AWHD00000000:4:25551401:25554662:-1 gene:ONIVA04G25990 transcript:ONIVA04G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISMALAAAALLLLLSLAAADMSIVSYGERSEEEARRLYAEWKAEHGKNYNAVGEEERRYAAFRDNLRYIDEHNAAADAGVHSFRLGLNRFADLTNEEYRDTYLGLRNKPRRERKVSDRYLAADNEALPESVDWRTKGAVAEIKDQGGCGSCWAFSAIAAVEGINQIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFDFIINNGGIDTEDDYPYKGKDERCDVNRVSFVFFAPLVFQKNAKVVTIDSYEDVTPNSETSLQKAVANQPVSVAIEAGGRAFQLYSSGIFTGKCGTALDHGVAAVGYGTENGKDYWIVRNSWGKSWGESGYVRMERNIKASSGKCGIAVEPSYPLKKGENPPNPGPTPPSPTPPPTVCDNYYTCPDSTTCCCIYEYGKYCYAWGCCPLEGATCCDDHYSCCPHEYPICNVQQGTCLMAKDSPLAVKALKRTLAKPNLSFLFGNGKKSSA >ONIVA04G25980.1 pep chromosome:AWHD00000000:4:25546330:25547283:1 gene:ONIVA04G25980 transcript:ONIVA04G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGSRDKLQAAASSSHRRVLLLFATCFALATFVTFVYNTSHFASASGSGAAADSSGGGASAGSGTGAVSALPLPVFDALVHFASISNATHRMSDTDIRAISAVLRARGPCNLLVFGLGAESPLWLALNHGGRTVFLEENEFYVKYLEPRHPGLEAYDVSYTTKVRDFRDLLDAARASRAAECRPIQNLLFSECRLAINDLPNDLYDVAWDIVLIDGPSGWNPTSPGRMPSIFTTAVLARTGATAAKGPTDVLVHDFQFELEQVLSKEFLCDENRVAGSGTPSLGHFVVRPDGRRDAFCSGQDSTAAGTSSEKSGK >ONIVA04G25970.1 pep chromosome:AWHD00000000:4:25537836:25540914:-1 gene:ONIVA04G25970 transcript:ONIVA04G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRLRLLLVVLVAAAYAAGDAASSHSQPTLPAVPPVQAVRPPPAAVGGGSHSQPTFPPRAPVRAVPPPATLVSTATPGALLAAFLAKADPSAHLRFPLAVSPCSHPAVSCSADGQITRLVLESSGLNGTFAPATLSRLIELRVLSLKSNALHGPIPDLSPLENLKALFLAGNRFSGPFPASVASLRRLRSIDLAGNRLSGALPPGIEVAFPHLTFLRLDANHFNGSLPAWNQSSLKLLNVSYNNFSGPVPVTPVMAQMGAAAFAGNPELCGEVLRRECRGSHLLFFHGPGNNGSAAPPVQSAAATGDGPQRDDISLPDSSTPRSRKLRRRAAIAVAATAAAFVAVLLLCAMIAMKRGKKRRRPSSAAYPSPKKSAAMSEVSRDNTDLGYVECVPDEETAAMMMPEEKARRLERSGCLTFCAGEGASYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGAAALEAEAFEQNMDAVGRLRHPNLVSLRAFFQAKEERLLVYDYQPNGSLYSLIHGSRSSRAKPLHWTSCLKIAEDIGQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLAFLLESSEVKDDAAYRAPENMKSNRRLTPKSDIYAFGILLLELISGKPPLQHSVLVATNLQTYVQSARDDEGVDVERLSMIVDIASACVRSSPESRPTAWQVLKMIQEVKEADTAGDNDSDLTSNS >ONIVA04G25960.1 pep chromosome:AWHD00000000:4:25535686:25535979:-1 gene:ONIVA04G25960 transcript:ONIVA04G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLVAIVAARGFLHLFHLSAPLLWPLNLWLPLPRHLPAACAALYGGVVFHAALLRRAYARRGGGGNVWSRSSRGGDGGGGEADELLRQALLSISY >ONIVA04G25950.1 pep chromosome:AWHD00000000:4:25529667:25529999:-1 gene:ONIVA04G25950 transcript:ONIVA04G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHVVLLAVPAAAAAGGGFLQAFLQYSFLVWPFNLVLPLARHLPRVCVALRGAAEFLAGEMRMFLSGRRRVQLPQLSGYGRSSSLSPGERRSREELVAYTMVALVGISY >ONIVA04G25940.1 pep chromosome:AWHD00000000:4:25523316:25524195:-1 gene:ONIVA04G25940 transcript:ONIVA04G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 4 [Source:Projected from Arabidopsis thaliana (AT1G46480) TAIR;Acc:AT1G46480] MRLHHLHVAYLDHKASSSSSSPAPPSISPSSIPGSAAFPAFSFKCLRPLAPKISLPEPRKMIAPPDFVVPRARNASKLLNYTVQVPAAGTTRWNPSAEQIKVLEMLYRGGMRTPNSVQIERITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTLDPSLLPATANETKEAPEKKEKDVEDGLASCKRRCKAWGDGAGDGDAVVATEAAGGCTDEVTLELFPLHPQGKA >ONIVA04G25930.1 pep chromosome:AWHD00000000:4:25508369:25514209:1 gene:ONIVA04G25930 transcript:ONIVA04G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQAHKRTISTKNKANISEREQEKEKIARLERSLQCLNEQISFAQAECVEKDAILAKQAKVAEEAILGWEKAEGEAIAIKTQLDDTLDQKAAIEQRICHLDEALNVAMVERELLIKDTAKLISHEQVKVERLEGDVVEKINIIASLDAENRKLSEMLSMKEKMISELTEAKGVIESNFKNLEVKLESADKLNSSLRYEVCMLQKQLDIRSEERKFNLKSADAAHKQHLENVKKITKLEAECQRLRSMVRKRLPGPAAIAKMRNEVETLGNNAVITRTRRFNSTTSFNSGNLVQNSYDASHESSSLLARLHAMEDENKTMKESLSSKDGELQYSRTMLARTTSKLSQVEAQLEELSRGRVATDLVKCSPTVVENPLSSISEDGCNEDNVSCSSSWASALISELEHFKKGKLTTPSCKSTGVSDLSFMDDFEEIEKLALACDAKPTESYDSRRESRESSGKELVTVDGPIETSDQLRQHKIEKAVLKLIELIEGVVQRSSKDYSSTVVLSGGSEGDRSNTLTGYVARAFLWKTSELTSVLQNFVLGCNELLYGSTDVERFVLEISITLDWIISHCFSLQDVSDMRETIIKNLEINSSSGLEVVPVIKHTGIQTTDGMCEPRTPNKMQMAIVSVSSLMDIGYKADDDSEIFRNKIPVSKCEESEGKASSLRAELNALKETGKMMAHGVDGESTINELGKPSNSDINKGNQHGVSSLESKLQLERFPAKEGPKCVSRNEDQHVQMRLEISTASEKLIECQETILNLGKQLKALASPKDAILFDKVVHTKIQSERKPRSQSLNEMLAMDDGGFDYLSSPKTKEIICAELRSRHERSCSVDNGGDDSVTCSSHPMPVAPPMRPYDVNGTCKDEAALKVVALMPSKQKGNTNLLKRILAGRRKEAMTKPNVVATA >ONIVA04G25920.1 pep chromosome:AWHD00000000:4:25498764:25504007:1 gene:ONIVA04G25920 transcript:ONIVA04G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPAAEAAPPPLSPSADDSGASSSSAAAVVEIPDDADDDSAAVVVVTRQFFPPAVPGGGGDPAPGNARAGWLRLAGAAPPVAATGPAASAAVSKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAGEFEPPAAATGDAAEHNLDLSLGSSAGSKRGNVDGGGDDEITGGGGGGAGSDQRVPMAFDLDWQTAAARSTKAKFLSNGDPGTAGGLSLTIGAGMAGHWPPQQQQGWGNAGGMSWPLPPHPPPPPTNAAAAATATAAAASSRFPPYIATQASTWLQKNGFHSLTRPT >ONIVA04G25910.1 pep chromosome:AWHD00000000:4:25472579:25473277:-1 gene:ONIVA04G25910 transcript:ONIVA04G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEYHRSSSEDSAASAAAAAAAAAAAMAPLAAAAAAVAAKEEQAAAAAVLPLQQQQPRRQYRGVRMRKWGKWVAEIREPHKRTRIWLGSYATPVAAARAYDTAVFYLRGRSARLNFPEEISSLASLSEGGGASEPREPDGGTLSAASIRKKAIEVGSRVDALQTGMMVAPTTHHRERQKHHHHHHHHPHLQPHGEEQHHHHEQKHQRTAWSGRAKNPDLNQAPSPENSDAE >ONIVA04G25900.1 pep chromosome:AWHD00000000:4:25464452:25470095:1 gene:ONIVA04G25900 transcript:ONIVA04G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKNSVEQLADVFGFDHASSSGNPVMDQQGYWNNILGSVESHNLQGYQVNRSDGTIPYGNGVHQNGTFLGFWESGEASASGSSLHFGGSNEIKAEQRNIGGGLRIGERRLVAERNLSLDNVDIGLNINGNDLSGENSNVNGASQGSELHGGCSHTGSNGQASELRLHPYRTFILGADQPEPFNSLNGSENPLGDFSLMPEGIDQRPGSSLDGRRLACKRKNIEGVNGQCSAGASTSFSHRNDSIFHNIASSSHNPSPSTNLPSPNCLLVPSTLDEQLPRYGATTAGLSSSSYDPSGGNNNSGGSQRSFRPRTSLAQHIGPYGVWPSSSTIRHSNSWNHQPPPFQSSFDEPPEVIPVVSSLNFQYQHPMNVVPGIPQMSHRFTGPGASSSRTGNLENRIIGSEEFSARNVVATSFPDAVPPAALDMRHLIPEPSSWNVDGRATTIPGNVPSSSRANTNSMVNPPAGSPFIAHQNLHRRNPRNLSEEISRLSGALRGHQHPRLRSGFLLERQGDGVWGVPLSTRSREGRRLIEIRNALEMIHRGENVRFESIFYGGVDIHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLSEEEVTKLLKQRKFSSWRLEASVEEEPCCICQEEYVDGDDLGTLDCGHDFHVGCVRQWLVVKNTCPICKNTALKS >ONIVA04G25900.2 pep chromosome:AWHD00000000:4:25464214:25470095:1 gene:ONIVA04G25900 transcript:ONIVA04G25900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKNSVEQLADVFGFDHASSSGNPVMDQQGYWNNILGSVESHNLQGYQVNRSDGTIPYGNGVHQNGTFLGFWESGEASASGSSLHFGGSNEIKAEQRNIGGGLRIGERRLVAERNLSLDNVDIGLNINGNDLSGENSNVNGASQGSELHGGCSHTGSNGQASELRLHPYRTFILGADQPEPFNSLNGSENPLGDFSLMPEGIDQRPGSSLDGRRLACKRKNIEGVNGQCSAGASTSFSHRNDSIFHNIASSSHNPSPSTNLPSPNCLLVPSTLDEQLPRYGATTAGLSSSSYDPSGGNNNSGGSQRSFRPRTSLAQHIGPYGVWPSSSTIRHSNSWNHQPPPFQSSFDEPPEVIPVVSSLNFQYQHPMNVVPGIPQMSHRFTGPGASSSRTGNLENRIIGSEEFSARNVVATSFPDAVPPAALDMRHLIPEPSSWNVDGRATTIPGNVPSSSRANTNSMVNPPAGSPFIAHQNLHRRNPRNLSEEISRLSGALRGHQHPRLRSGFLLERQGDGVWGVPLSTRSREGRRLIEIRNALEMIHRGENVRFESIFYGGVDIHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLSEEEVTKLLKQRKFSSWRLEASVEEEPCCICQEEYVDGDDLGTLDCGHDFHVGCVRQWLVVKNTCPICKNTALKS >ONIVA04G25890.1 pep chromosome:AWHD00000000:4:25448063:25454806:1 gene:ONIVA04G25890 transcript:ONIVA04G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAAVVEIIDDDDDDVAAASTPPALHKRSHAVAAAAPDSPDAFSPSPPDPKRRQLAASTIVLDDTPTPPKRRPPPVAADRSASVVADTPRSFVPCSLRNRAIAGDTPDSVLPSPSFHLDAPDSATPGSDVPCSVGLDDIVPETPGFNSPRLARPPAVPGLTSPMTARKFSGVSCPISLDSDDELDDTVYRESLTRTPSNMAKPEHAIQPCTTSCTDKVENTKSTDKKDYSKSNVGYQTNNSACKNNGTTSYNQPPRANSPCEDSTLKEADPFINNHCPQEENALPIEERKKKQQEEKRLKKEKKAREIEEKKQKRLETKKQKEAMKAELAELKKLEKEKKKWESGKLATKCIVAEIDSSVIESGSVGGVLNKSHLVQGFHEKGLCFRVTSNSIKGSILWKMQIPNEFTQDQASTSQVPYILFVLQAEEFCDLVSGGTLLDHVQKVRRQYPEFTICYVTNKLMSFIKRREQNQYNKTTSNSNSWKRPPVEEALCKLATHYARVRSRHCTDEAEVTEHIVGLTYSLANCKFRQVTKPLTWLSVHANGSNISKGCVDKDRIKKSAWLKSLVAIPGVSPGQAIAIEKKYPFMRSLLNVHEKEHLLEDLRLEGPLGDFKRRLGPACSKKVYTILMAQNGAAEVEVDRRGA >ONIVA04G25890.2 pep chromosome:AWHD00000000:4:25448063:25454806:1 gene:ONIVA04G25890 transcript:ONIVA04G25890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAAVVEIIDDDDDDVAAASTPPALHKRSHAVAAAAPDSPDAFSPSPPDPKRRQLAASTIVLDDTPTPPKRRPPPVAADRSASVVADTPRSFVPCSLRNRAIAGDTPDSVLPSPSFHLDAPDSATPGSDVPCSVGLDDIVPETPGFNSPRLARPPAVPGLTSPMTARKFSGVSCPISLDSDDELDDTVYRESLTRTPSNMAKPEHAIQPCTTSCTDKVENTKSTDKKDYSKSNVGYQTNNSACKNNGTTSYNQPPRANSPCEDSTLKEADPFINNHCPQEENALPIEERKKKQQEEKRLKKEKKAREIEEKKQKRLETKKQKEAMKAELAELKKLEKEKKKWESGKLATKCIVAEIDSSVIESGSVGGHLVQGFHEKGLCFRVTSNSIKGSILWKMQIPNEFTQDQASTSQVPYILFVLQAEEFCDLVSGGTLLDHVQKVRRQYPEFTICYVTNKLMSFIKRREQNQYNKTTSNSNSWKRPPVEEALCKLATHYARVRSRHCTDEAEVTEHIVGLTYSLANCKFRQVTKPLTWLSVHANGSNISKGCVDKDRIKKSAWLKSLVAIPGVSPGQAIAIEKKYPFMRSLLNVHEKEHLLEDLRLEGPLGDFKRRLGPACSKKVYTILMAQNGAAEVEVDRRGA >ONIVA04G25890.3 pep chromosome:AWHD00000000:4:25448063:25454806:1 gene:ONIVA04G25890 transcript:ONIVA04G25890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAAVVEIIDDDDDDVAAASTPPALHKRSHAVAAAAPDSPDAFSPSPPDPKRRQLAASTIVLDDTPTPPKRRPPPVAADRSASVVADTPRSFVPCSLRNRAIAGDTPDSVLPSPSFHLDAPDSATPGSDVPCSVGLDDIVPETPGFNSPRLARPPAVPGLTSPMTARKFSGVSCPISLDSDDELDDTVYRESLTRTPSNMAKPEHAIQPCTTSCTDKVENTKSTDKKDYSKSNVGYQTNNSACKNNGTTSYNQPPRANSPCEDSTLKEADPFINNHCPQEENALPIEERKKKQQEEKRLKKEKKAREIEEKKQKRLETKKQKEAMKAELAELKKLEKEKKKWESGKLATKCIVAEIDSSVIESGSVGGVLNKSHLVQGFHEKGLCFRVTSNSIKGSILWKMQIPNEFTQDQASTSQVPYILFVLQAEEFCDLVSGGTLLDHVQKVRRQYPEFTICYVTNKLMSFIKRREQNQYNKTTSNSNSWKRPPVEEALCKLATHYARVRSRHCTDEAEVTEHIVGLTYSLANCKKPLTWLSVHANGSNISKGCVDKDRIKKSAWLKSLVAIPGVSPGQAIAIEKKYPFMRSLLNVHEKEHLLEDLRLEGPLGDFKRRLGPACSKKVYTILMAQNGAAEVEVDRRGA >ONIVA04G25890.4 pep chromosome:AWHD00000000:4:25448063:25454806:1 gene:ONIVA04G25890 transcript:ONIVA04G25890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAAVVEIIDDDDDDVAAASTPPALHKRSHAVAAAAPDSPDAFSPSPPDPKRRQLAASTIVLDDTPTPPKRRPPPVAADRSASVVADTPRSFVPCSLRNRAIAGDTPDSVLPSPSFHLDAPDSATPGSDVPCSVGLDDIVPETPGFNSPRLARPPAVPGLTSPMTARKFSGVSCPISLDSDDELDDTVYRESLTRTPSNMAKPEHAIQPCTTSCTDKVENTKSTDKKDYSKSNVGYQTNNSACKNNGTTSYNQPPRANSPCEDSTLKEADPFINNHCPQEENALPIEERKKKQQEEKRLKKEKKAREIEEKKQKRLETKKQKEAMKAELAELKKLEKEKKKWESGKLATKCIVAEIDSSVIESGSVGGHLVQGFHEKGLCFRVTSNSIKGSILWKMQIPNEFTQDQASTSQVPYILFVLQAEEFCDLVSGGTLLDHVQKVRRQYPEFTICYVTNKLMSFIKRREQNQYNKTTSNSNSWKRPPVEEALCKLATHYARVRSRHCTDEAEVTEHIVGLTYSLANCKKPLTWLSVHANGSNISKGCVDKDRIKKSAWLKSLVAIPGVSPGQAIAIEKKYPFMRSLLNVHEKEHLLEDLRLEGPLGDFKRRLGPACSKKVYTILMAQNGAAEVEVDRRGA >ONIVA04G25880.1 pep chromosome:AWHD00000000:4:25445400:25446378:1 gene:ONIVA04G25880 transcript:ONIVA04G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLLRPCAVPVRACACMAWRFLSSAWFARSLARPVSAVQALCSRKVEKRCDACWFSMPWSTCLCSLVYRRDGIPLVHLSHPKRNEVERLSREEVVAIGDSGAGWGRRPARQEEGAAAGDVVTGLPPGAPAPPRAIHGTAVNAHLRLHPHGRLVRPPLAPQLRRRRHGRRIAA >ONIVA04G25870.1 pep chromosome:AWHD00000000:4:25443803:25444591:1 gene:ONIVA04G25870 transcript:ONIVA04G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAVGVSLMLALSLALFLTIAVLLLADLFCSHLRRRWMRAEEGEALSRRRAKLGLAGTSRAAAGDDDAVSVATTATTTTAREALSSTPPFYYAHGVMQAAADTKDLLLAIPKLESAVWRWSPARRSPPSSSSSANSDRFVCISNPVYERGAAPPADVRGDTDTPFETPDASPSPFGITEEVEEEGGEFSPPLSVMRKLPPLGVVACPPPSMGFIDGRPSLGFTDGRPSLTAWSGTVTDTNRASSSSSNLTAHFFSSWSPK >ONIVA04G25860.1 pep chromosome:AWHD00000000:4:25436784:25441549:-1 gene:ONIVA04G25860 transcript:ONIVA04G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (ubiquitin-hydrolase) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G42160) TAIR;Acc:AT2G42160] MFSLLIQSVDFTDDAAAAAATAVAAGETSGTSHPLSPRSGDPLPITTSASVTAPLELPGATSASPARNPKINYTRGILHLYRSSSSSSTASYASAVAATPSSSSSGPAAPQLASDCLLPPWRGTRLLVLAVPTHVSPDDFVRFCGPYIEHASDIHVVRDDGVEDRYSVLVEFEDQKSADGFYLDLNGWRFSSSEVEVCHVLFIVAVQYTPSTKPAVTPPVGSTELPTCPVCIERLDQDISGIMATTCDHSFQCSCVSMWVNSSCPVCQFCQKQSKNPTCSVCQTSGNLWICIICGFVGCGRYEEGHAIRHWKETQHCYSLDLETQRVWDYVGDSYVHRLNHSKSDVKHSKFKSKCKYSGDKCANCSCNDEEDIGGAIFSSKAETIVDEYNRLLASQLETQREYYEARLSEAKKEKEQHISDAVDKAVNDKSKEIQQKIENAMLEKKKLADMNEKLTKNQDIWRRTLKEIEERERAQLKLKDDTIRDLEEQIKDFKFSIKLQKSIEKNKNADDLKGGLLVPLPMVPDSGTKDRPYEWQNENATVAQNANTNEAALTM >ONIVA04G25860.2 pep chromosome:AWHD00000000:4:25436784:25441549:-1 gene:ONIVA04G25860 transcript:ONIVA04G25860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (ubiquitin-hydrolase) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G42160) TAIR;Acc:AT2G42160] MFSLLIQSVDFTDDAAAAAATAVAAGETSGTSHPLSPRSGDPLPITTSASVTAPLELPGATSASPARNPKINYTRGILHLYRSSSSSSTASYASAVAATPSSSSSGPAAPQLASDCLLPPWRGTRLLVLAVPTHVSPDDFVRFCGPYIEHASDIHVVRDDGVEDRYSVLVEFEDQKSADGFYLDLNGWRFSSSEVEVCHVLFIVAVQYTPSTKPAVTPPVGSTELPTCPKDWIKTSAELWQRLVIIRSSVHVCQFCQKQSKNPTCSVCQTSGNLWICIICGFVGCGRYEEGHAIRHWKETQHCYSLDLETQRVWDYVGDSYVHRLNHSKSDVKHSKFKSKCKYSGDKCANCSCNDEEDIGGAIFSSKAETIVDEYNRLLASQLETQREYYEARLSEAKKEKEQHISDAVDKAVNDKSKEIQQKIENAMLEKKKLADMNEKLTKNQDIWRRTLKEIEERERAQLKLKDDTIRDLEEQIKDFKFSIKLQKSIEKNKNADDLKGGLLVPLPMVPDSGTKDRPYEWQNENATVAQNANTNEAALTM >ONIVA04G25850.1 pep chromosome:AWHD00000000:4:25422046:25428991:1 gene:ONIVA04G25850 transcript:ONIVA04G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVESLDLSHNKLSGAIPWQLTRLSSLSVFSVMYNNLSGCIPNSGQFGSFDMDSYQGNNLLHPASEGSECAPSSGHSLPDDGDGKGNDPILYAVTAASFVERAALMDIKSSLTRANSMVVLDSWGQGDDCCVWELVVCENSTRRISHLHLSGIYYPPISTPSDRWHLNLSVFSAFHELQFLDLSWNYPSSLSFDGLVGLKKLQYLDFTYCSLEGSFPVFNGEFGALEVLVLNHNHLNRGLSAQAFQNLQNLRQLNLSLNHFGGELPTWLFELPHLKILDLSNNLFEGSIPTSSSLKPFALEILDLSHNHLSGELPTAVLKNIRSLNLRGNQFQGSLPVSLFALPQLKFLDLSQNSFDGHIPTRTSSEPLLLEVLNLQNNRMSGSLCLWSERAFGNLQNLRELYLSSNQFSGSLPTFLFSLPHIELLDLSANLLEGPIPISISSNLSLSLKNIRFSQNNLSGTFPFIWLRNLTKLEEIDFSGNPNLAVDINFPGWIPPFQLKRLVLSSCELDKSTLSEPYFLHTQHHLKVLDLSDNHLTGNMPNWLFTKETALVRLNLGNNLLTGSFAPVCRTCEFFQYLNLSMNRIEGQLPSNISSMFPILSTLDFSNNNFSGQIPTSFCQIGSMDYLDLSDNRISGKLPACMFTNYMLVTLKVSNNELSGLIFDGVNNLSIISQLYLDNNKFEGTIPHNLSGQLKIIDLHGNRLSGKLDASFWNLSSLRALNLADNHITGEIHPQICKLTGIVLLDLSNNNLTGSITDFSCTSELRFLNLSRNYLSGNLSESYFNTSNLIALDITYNQFTGNLNWVGYLGNTRLLSLAGNNFEGQITPNLCKLQYLRIIDFSHNKLSGSLPACIGGLSLIGRANDQTLQPIFETISDFYDTRYSLRGFNFATKGHLYTYGGNFFISMSGIDLSANMLDGEIPWQLGNLSHIRSLNLSYNFFTGQIPATFASMNEIESLDLSHNNLSGPIPWQLTQLASLGAFSVAYNNLSGCIPNYGQLSSFSIDSYLGNNNLHKISQGKRCSPSPGAVAKEDVGERYDDPVLYIVSAASLVMAFWATVAFSFCHSYRQSVKNKM >ONIVA04G25840.1 pep chromosome:AWHD00000000:4:25419592:25422006:1 gene:ONIVA04G25840 transcript:ONIVA04G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSFPWGSFCFFLVVLCLPDSNISTSSHGCFVEERTALMDIGSSLTRSNGTAPRSWGRGDDCCLWERVNCSNITGRVSHLYFSNLYDSNEVLDAHGHSFWRFDTTVFSSFPELQFLDLSMNNATFQSWDVFESLRNLRELDLSSNRLNGSIPSLFSLPRLEHLSLSQNLFEGSIPVTPSSNITSALKTFNFSMNNLSGEFSFFWLRNLTKLQKIDVSGYANLVVAVNFPSWSPSFQLKVLVLSGCNLDKNIVREPIFLRTQHQLEVLDLSNNSLSGSMPNWLFTEQATLVYLNLGNNSLTGSLGPIWYPQMNLQAISLPMNRISGHLPANISSVFPNMSFLDVSSNTISGEIPSSLCNITRMEYLDLSNNSLSGELPNCLLTEYPILTTLKVSNNKLGGPIFGGTNHLSIKHALYLDGNKFEGTLPRYLTADFDAHGTLDLHDNNLSGKLDFSQWNLSILCTLSLAGNSLIGEIHPSICNLTRIMLLDLSHNKLSGAIPNCMTALELDFFIVSHNSLSGHIVPFSFFNSSTVMALDLSHNQFNGNIEWVQYLGESKYLSLGSNKFEGQISPSLCQLQSLRILDFSHNSLSGPLPSCIGNLSFGQNPVGIPLWSLLCENHFRYPIFDYIGCYEERGFSFRTKGNIYIYKHNFINWMSGIDLSANMLSGQIPRELGNLGHIKALNLS >ONIVA04G25830.1 pep chromosome:AWHD00000000:4:25409864:25413395:-1 gene:ONIVA04G25830 transcript:ONIVA04G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80460) TAIR;Acc:AT1G80460] MAEEVYVASIDQGTTSTRFIVYDRHAKPVASHQLEFKQHYPEAGWVEHDPMEIMESVKICMAKALDKAAADGHNMDVGLKAIGITNQRETTVMWSKSTGLPLYNAIVWMDARTSPICRRLESDLSGGRTHFVETCGLPISTYFSALKVLWLIENVDAVKNAVRAGDALFGTIDTWLIWNLTGGIGGTDRDGNKVFGHHVTDCSNASRTMLMNLKALDWDKPTLETLGIPAEILPKIISNSERIGVVANGFPLAGVPIAGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEPTQSSHGLLSTIAYKLGPSAPTNYALEGSIAIAGAAVQWLRDSLGIISTAADIEKLADTVQDSGGIYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAVGVWSKEQIFAGLHKENTTVFRPKLDEAHRRKRADSWYKAVSRSFDLADLSL >ONIVA04G25820.1 pep chromosome:AWHD00000000:4:25406060:25406913:-1 gene:ONIVA04G25820 transcript:ONIVA04G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEARLCEEKGKRRRCARRCSGHHRRQEKDEAAVLVKREHPGRAVAADTARRERFDRIAASDSAAAACHLWSAFDSMTRRKDPLDGLKLYSGDEHYWSGQFDGSTTATVEYMTGRGGERGEYLGDGALLTVVPSSSSLLLPANVGHSGGDGVIEAERWSSLVTVTRWWRSERNTARKGILVIRV >ONIVA04G25810.1 pep chromosome:AWHD00000000:4:25402337:25403953:-1 gene:ONIVA04G25810 transcript:ONIVA04G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVNSITREIDLYWSAVESDEGNKLCSIHKVPQHILEVDRNAYEPIILSIGPYHHGAPKLTAMEREKWKCLDFILKLNCELSLQDYIRAIHKLEKQARCYYSEEIPMEKMMFVRLLLLDSCFILVKVDRTVVAAMQLKEVPTDVTPGSIAVKDGLNSGQEVESNCPMQRRETEIAVHEIELTKSHFDHIESKSNRTEHEQNADYASGCNNSGDWYANYAWHDLFLLENQMPFFIVEAVYNLALSKQRAKAFLRDKIVECVEDILRQFPKGIEESKKPKNFYHLLHLCHMYLRPTHKCVGTNQNHSKVRFFHHLVYLGQRYVGSNQKENEESRLLTRQKDCFQDEQLPIRWRQAVQYHEAGVQLKKRVYSIYEKHSLLDIKFSNGVLEVPCLTIDENTESLFKNLIAFEQMDSQYENYITAYIAFMSQLVSTSEDATLLTERGIIVHMLDNDDEVSAMFTRLSTHLIFGSDTYHYLQTLSYVLEDHYQSRLNRWMAWLWRNHFSNPWLALGVLAAVVVLLCTIVQTIFTVLAYRQPH >ONIVA04G25800.1 pep chromosome:AWHD00000000:4:25400788:25401193:-1 gene:ONIVA04G25800 transcript:ONIVA04G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKAAFAVAMFLLTTNMLVSVATRSPDNLLNSFPEETGHVYSASTVQKMTEYKPTTAQGPGFSSEEKIVMTGPNNFVWPTRPAPCSSRTC >ONIVA04G25790.1 pep chromosome:AWHD00000000:4:25390294:25393032:-1 gene:ONIVA04G25790 transcript:ONIVA04G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVDDQVRMRQVQRSKNKQINMSELVNSMTQELDYYWSLGTDIDHGTESCLIYKVQQHIRDIDRFSYEPCIVSVGPYHHGSADLQSMEKVKWGYVDEFAGLLNSGWGYQNVQGAVILKIPRWITRPFCICSYLMDASFCVLSGVCRDSCKIDKYIMRAKILKTQGLFAGNSQSVLRVRMMRHVERASKWGKKRAQDINKIAERADIDDLAKYVEAALRWYPKAITDSDRPKDFHHLLHLCHIYFQPSQKLEEDHNYKFVPQYIHSFLSFGRKYFRINYYLENNRHDSSFQNEVDLAQGGDQLNRWRRAAQYLEAGIKFKKREYDKLKPHSLLDIWFSNGSMDIPCIVVDEYTGSLFRNLIAFEQTCPQFGDDFTAYIVFLSQLISMPEDVTLLIQRKIIVHQLDSDERVSDLFTMLSKDVTMEAHYQSRINRWMAWLWLNHFSNPWLALAASATVIVLICTIVQTVFGILAYVNPPK >ONIVA04G25790.2 pep chromosome:AWHD00000000:4:25390294:25393032:-1 gene:ONIVA04G25790 transcript:ONIVA04G25790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVDDQVRMRQVQRSKNKQINMSELVNSMTQELDYYWSLGTDIDHGTESCLIYKVQQHIRDIDRFSYEPCIVSVGPYHHGSADLQNDLAKYVEAALRWYPKAITDSDRPKDFHHLLHLCHIYFQPSQKLEEDHNYKFVPQYIHSFLSFGRKYFRINYYLENNRHDSSFQNEVDLAQGGDQLNRWRRAAQYLEAGIKFKKREYDKLKPHSLLDIWFSNGSMDIPCIVVDEYTGSLFRNLIAFEQTCPQFGDDFTAYIVFLSQLISMPEDVTLLIQRKIIVHQLDSDERVSDLFTMLSKDVTMEAHYQSRINRWMAWLWLNHFSNPWLALAASATVIVLICTIVQTVFGILAYVNPPK >ONIVA04G25790.3 pep chromosome:AWHD00000000:4:25390294:25393032:-1 gene:ONIVA04G25790 transcript:ONIVA04G25790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVDDQIPQQVRMRQVQRSKNKQINMSELVNSMTQELDYYWSLGTDIDHGTESCLIYKVQQHIRDIDRFSYEPCIVSVGPYHHGSADLQNDLAKYVEAALRWYPKAITDSDRPKDFHHLLHLCHIYFQPSQKLEEDHNYKFVPQYIHSFLSFGRKYFRINYYLENNRHDSSFQNEVDLAQGGDQLNRWRRAAQYLEAGIKFKKREYDKLKPHSLLDIWFSNGSMDIPCIVVDEYTGSLFRNLIAFEQTCPQFGDDFTAYIVFLSQLISMPEDVTLLIQRKIIVHQLDSDERVSDLFTMLSKDVTMEAHYQSRINRWMAWLWLNHFSNPWLALAASATVIVLICTIVQTVFGILAYVNPPK >ONIVA04G25780.1 pep chromosome:AWHD00000000:4:25383351:25386565:-1 gene:ONIVA04G25780 transcript:ONIVA04G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 22 [Source:Projected from Arabidopsis thaliana (AT5G10790) TAIR;Acc:AT5G10790] MTTPSAPPPCPHLAAYRHTTRSLRFLRRCLRVRPLGRPEIRREPRELPRCSPCSSPTSSSSRLYACLSCAAVFCPTHAASHASASTSGGHQIAVDVDRAELFCGACGDQVYDPDFDHAVVLAQSSSLPPPSASASPSPSPAAPRKRRRVEYRAWAPDPAEFALISSADPTTSASAAAPAGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRYLCPRQTPMRRRSAEANDKAACLACDLDEIYSAAFSGERTPYSPAKFLYSWWQHASNLASYEQQDAHEFFISILDHIHENIKDDQHKSHAQGHGDCCIAHRVFSGILRSDVTCTHCGFTSTTFEPCMDISLDLDAGYNNSLGVANPKVHVRNGERSSGGTNTKVSTLMRCLERFTRAERLDAEQKFFCERCKERQESLKQMSIRRLPLVSCFHIKRFEHSSVKKMSRKVDHCLQFPFSLDMAPYLSSSILRSRFGNRIFPSEASDADSVSEFSSEFEIFAVIMHSGKLEAGHYVTYLRLNNHWYKCDDAWVTRVEEHTVRTSQAYMLFYVQKTLYYKACERATAV >ONIVA04G25770.1 pep chromosome:AWHD00000000:4:25347328:25351087:1 gene:ONIVA04G25770 transcript:ONIVA04G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEDGVYFRMRFFFFFFLVVLCLLDSNISTSHGCFVEERTALMDIGSSLTRSNGTVPPSWGRGDGDDDCCLWERVKCSNITGRVSHLYFSNLYDSLEVLNAHGDSFWRFNTTVFSSFPELQFLDLSSIYPSSLNIDGLVGLKLPKLQHLNLSYNWLQESILADLGELVSLEVLDASSNAMSGVVPTAVLKNLTNLKELNLSANGFSGSLPGSLLELPHLDPSGSSLAGRTPINSSLEPVSLQVLNLNNNRMSGALPTERAFGYLRNLRELHLSSNNFTGNISTFLLSLPHIERLDLSGNTFEGPIPITPSSNLSLSLKGLRFSQNNLSGKLSFFWLRNLTKLEEINLSGNINLAVDVNIPGWAPPFQLKQLALSGCGLDKGIIAEPHFLRTQHHLQELDLSNNNLSGRMPNWLFTKEATLVNLNLGNNSLTGSLSPIWHPQTALQSIVISTNRITGKLPANFSAIFPSLSTLDLSDNNFHGEIPMSLCSIKHMKDLSLSNNNFSGKMPTCVFTDFLELWTLSASNNQLGGLVFGGMKKLSIGFAMHLQNNKFEGTLPRNLSGALVIMDLHDNSLSGELDTSFWNLSKLQVLDLSGNHITGSIPQKICSLASIEILDLSNNNLSGSIPRCASASLSSLNLYGNSLSGNISDDLFNTSNLMYLDMRHNKLTGNLNWLRHLDKIKTLSLGWNDFEGQITPNLCKLKCPRIIDFSHNKLSGSLPPCVGNISCESDTAAQNYSPLLLIYVIIEAYIIVHDPIDFTFATKGGQYTYGYNFFDLMSGIDLSGNMLSGEIPWELGNLSHIKSLNLSNNFFTGQIPASFANMSEIESLDLSHNELSGLIPWQLTKLSSLAVFSVAYNNLSGCIPNSGQFGTYGMDSYQGNSNLRSMSKGNICSPDSGAGDLPSEGRDSMADDPVLYAVSAASFVLAFWGTVAFLFFHPLGQRAILATGNLVFWCGH >ONIVA04G25760.1 pep chromosome:AWHD00000000:4:25342332:25343585:-1 gene:ONIVA04G25760 transcript:ONIVA04G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67190) TAIR;Acc:AT1G67190] MEHLPVEVIGNILAHLSAARDVMVASGVCRKWRTACRKHLHSLSFNSDDFPRDMTTRQLEIVITQTIFQTMGLQCLSIHIDRTHEFSAAPVIAWLMYTRETLRSLSYNVRTNPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLSLRHVSISALDLSLLVAACPKIESLALDFLEVVTSDPQSTMELTSHTLKSLFAKSVGVDKIILDTDNLEVLNLNALNLDLFELIGKGTLKHLKIDDVSVTHMDIGESTDHLEVVDVSNFTIVRPKLYSMISRASNLRMLRFWGVVFDDEDEIVDSETIAVSFPLLRHLSLSYELRDGLLHYSLQGSSPLDNVSVLELGWTVISEHFGPWVFGMIERCPNLKKLVIHGVLSEAKTREERQMLASFTSFIVCLMRKYVHVDVQFEYE >ONIVA04G25750.1 pep chromosome:AWHD00000000:4:25328210:25335068:-1 gene:ONIVA04G25750 transcript:ONIVA04G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYKEWIELVVIMVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASPSVAAAPPASFLDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGDHDKRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLFLGGCRAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ONIVA04G25750.10 pep chromosome:AWHD00000000:4:25328210:25333929:-1 gene:ONIVA04G25750 transcript:ONIVA04G25750.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGDHDKRKHGSTRKDGKSVDAKVGYFLDMYLLAPVSFLTWLNLLGRWVFSDRTEISAKQRGCEEEQAEKKGLCAKPRDQQGQASADRARTPKSTVTGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVTNLANVQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ONIVA04G25750.11 pep chromosome:AWHD00000000:4:25328210:25333929:-1 gene:ONIVA04G25750 transcript:ONIVA04G25750.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGDHDKRKHGSTRKDGKSVDAKVGYFLDMYLLAPVSFLTWLNLLGRWVFSDRTEISAKQRGCEEEQAEKKGLCAKPRDQQGQASADRARTPKSTVTGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ONIVA04G25750.12 pep chromosome:AWHD00000000:4:25330414:25335068:-1 gene:ONIVA04G25750 transcript:ONIVA04G25750.12 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYKEWIELVVIMVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASPSVAAAPPASFFGGSGSDGRISRGQAPHAGDLPFMANEAATAATQFGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGDHDKRKHGSTRKDGKSVDAKFLDMYLLAPVSFLTWLNLLGRWVFSDRTEISAKQRGCEEEQAEKKGDEKKPVNSNFKTSSKDCFPIACLCAKPRDQQGQASADRARTPKSTQQLQPASLQLADEGVPRSSHHGAVKSFAGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVRQQLSIVIPFR >ONIVA04G25750.13 pep chromosome:AWHD00000000:4:25330414:25333929:-1 gene:ONIVA04G25750 transcript:ONIVA04G25750.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGDHDKRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLFLGGCRAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVRQQLSIVIPFR >ONIVA04G25750.2 pep chromosome:AWHD00000000:4:25328210:25333929:-1 gene:ONIVA04G25750 transcript:ONIVA04G25750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGDHDKRKHGSTRKDGKSVDAKVGYFLDMYLLAPVSFLTWLNLLGRWVFSDRTEISAKQRGCEEEQAEKKGLCAKPRDQQGQASADRARTPKSTVTGYTHSEFLMLGLFLGGCRAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVTNLANVQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ONIVA04G25750.3 pep chromosome:AWHD00000000:4:25328210:25333929:-1 gene:ONIVA04G25750 transcript:ONIVA04G25750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGDHDKRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLFLGGCRAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ONIVA04G25750.4 pep chromosome:AWHD00000000:4:25330414:25335068:-1 gene:ONIVA04G25750 transcript:ONIVA04G25750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYKEWIELVVIMVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASPSVAAAPPASFLDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGDHDKRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLFLGGCRAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVRQQLSIVIPFR >ONIVA04G25750.5 pep chromosome:AWHD00000000:4:25328210:25333929:-1 gene:ONIVA04G25750 transcript:ONIVA04G25750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGDHDKRKHGSTRKDGKSVDAKVGYFLDMYLLAPVSFLTWLNLLGRWVFSDRTEISAKQRGCEEEQAEKKGDEKKPVNSNFKTSSKDCFPIACLCAKPRDQQGQASADRARTPKSTQQLQPASLQLADEGVPRSSHHGAVKSFAGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVTNLANVQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ONIVA04G25750.6 pep chromosome:AWHD00000000:4:25328210:25333929:-1 gene:ONIVA04G25750 transcript:ONIVA04G25750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGDHDKRKHGSTRKDGKSVDAKVGYFLDMYLLAPVSFLTWLNLLGRWVFSDRTEISAKQRGCEEEQAEKKGDEKKPVNSNFKTSSKDCFPIACLCAKPRDQQGQASADRARTPKSTQQLQPASLQLADEGVPRSSHHGAVKSFAGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ONIVA04G25750.7 pep chromosome:AWHD00000000:4:25330414:25335068:-1 gene:ONIVA04G25750 transcript:ONIVA04G25750.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYKEWIELVVIMVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASPSVAAAPPASFLDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGDHDKRKHGSTRKDGKSVDAKFLDMYLLAPVSFLTWLNLLGRWVFSDRTEISAKQRGCEEEQAEKKGDEKKPVNSNFKTSSKDCFPIACLCAKPRDQQGQASADRARTPKSTQQLQPASLQLADEGVPRSSHHGAVKSFAGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVRQQLSIVIPFR >ONIVA04G25750.8 pep chromosome:AWHD00000000:4:25328210:25333929:-1 gene:ONIVA04G25750 transcript:ONIVA04G25750.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGDHDKRKHGSTRKDGKSVDAKVGYFLDMYLLAPVSFLTWLNLLGRWVFSDRTEISAKQRGCEEEQAEKKGDEKKPVNSNFKTSSKDCFPIACLCAKPRDQQGQASADRARTPKSTVTGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVTNLANVQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ONIVA04G25750.9 pep chromosome:AWHD00000000:4:25328210:25333929:-1 gene:ONIVA04G25750 transcript:ONIVA04G25750.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYCLGRDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGDHDKRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQQQLQPASLQLADEGVPRSSHHGAVKSFAGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVTNLANVQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >ONIVA04G25740.1 pep chromosome:AWHD00000000:4:25323834:25331333:1 gene:ONIVA04G25740 transcript:ONIVA04G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKAAVFLSVAYFFHRLDMTLLSFPLIYASVIALLVSIASHPSIDLPLLLGKGSNGSFPVWSWIMFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGEPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSQIESAVRWALRKRSQNKAVYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNSLHRKSLEEWAKHLLTPSKRSGESDMSIFKPVQEPLEVWNNSVPVGLTLSVPMKFLLQEKKESMPAMFICFSDSRRDIARISVLDITHQMIKSTIHPMNTSIIHRLSNDSLEISSSESYSRGREDQRLLRARRRR >ONIVA04G25740.2 pep chromosome:AWHD00000000:4:25324028:25331333:1 gene:ONIVA04G25740 transcript:ONIVA04G25740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKAAVFLSVAYFFHRLDMTLLSFPLIYASVIALLVSIASHPSIDLPLLLGKGSNGSFPVWSWIMFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGEPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSQIESAVRWALRKRSQNKAVYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNSLHRKSLEEWAKHLLTPSKRSGESDMSIFKPVQEPLEVWNNSVPVGLTLSVPMKFLLQEKKESMPAMFICFSDSRRDIARISVLDITHQMIKSTIHPMNTSIIHRLSNDSLEISSSESYSRGREDQRLLRARRRR >ONIVA04G25730.1 pep chromosome:AWHD00000000:4:25321081:25323051:1 gene:ONIVA04G25730 transcript:ONIVA04G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMSKNMKVTDDQEVTSQERDQSGGTKVGGEEEIAPLARQSSILSLTLEELQNSLCEPGRNFGSMNMDEFVANIWNAEEFQATTGGCKGAMEETKVVDSGSGSGDAGGSGLCRQGSFSLPLPLCQKTVEEVWAEINQAPAHTSAPASALQPHAGSGGVAANDRQVTLGEMTLEDFLVKAGVVRGSFTGQAAMGSGMVNGPVNPMQQGQGDPMMFPVGPVNAMYPVMGDGMGYPGGYNGMAIVPPPPPAQGAMVVVSPGSSDGMSAMTHADMMNCIGNGMMIENGTRKRPHREDGCAEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNYLKQENARLKEAEKTVLLTKKQMLVEKMMEQSKEKMNANRGGSQLRRSGSCMW >ONIVA04G25730.2 pep chromosome:AWHD00000000:4:25321081:25323051:1 gene:ONIVA04G25730 transcript:ONIVA04G25730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMSKNMKVTDDQEVTSQERDQSGGTKVGGEEEIAPLARQSSILSLTLEELQNSLCEPGRNFGSMNMDEFVANIWNAEEFQATTGGCKGAMEETKVVDSGSGSGDAGGSGLCRQGSFSLPLPLCQKTVEEVWAEINQAPAHTSAPASALQPHAGSGGVAANDRQVTLGEMTLEDFLVKAGVVRGSFTGQAAMGSGMVNGPVNPMQQGQGDPMMFPVGPVNAMYPVMGDGMGYPGGYNGMAIVPPPPPAQGAMVVVSPGSSDGMSAMTHADMMNCIGNGMMIENGTRKRPHREDGCAEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNYLKQENARLKEAELVEKMMEQSKEKMNANRGGSQLRRSGSCMW >ONIVA04G25720.1 pep chromosome:AWHD00000000:4:25296565:25303891:1 gene:ONIVA04G25720 transcript:ONIVA04G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTLIGPILPKYTSGPISGWQNVLLEINGWMRSTAAWGELERGGGSAARFSSGDRGGEPAGRSAEAEHSEEGDELIDQEFAMVALSKLVLITAVALLGWAYKVARPPPPPILGGPGGPPVSSPRVQLKDGRHLAYREAGVGREIAKYKIIFSHGFASTKESDFPVSQELAEELGIYLLYFDRAGYGDSDANPKRGLKSDATDVEELADKLQLGEKFYVVGTSMGGYVAWSCLNYIPYRLAGVALVVPAVNYWWPMPASVSASAYRKLDVGDRRTFWIAHHMPWLFYAWFNQKWFRISPIVEGKPEAFTEKDWEILAEIQRTGQLDRGRATKQGAYHSLCRDATILFGAWEFDPTAIENPFPNGDGVVSIWQGREDKIVRVEAQRYVAEKLPWVRYHEHPEGGHLFMCADGLGDKIVRELLLGEEPRVL >ONIVA04G25720.2 pep chromosome:AWHD00000000:4:25296565:25302870:1 gene:ONIVA04G25720 transcript:ONIVA04G25720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTLIGPILPKYTSGPISGWQNVLLEINGWMRSTAAWGELERGGGSAARFSSGDRGGEPAGRSAEAEHSEEGDELIDQEFAMVALSKLVLITAVALLGWAYKVARPPPPPILGGPGGPPVSSPRVQLKDGRHLAYREAGVGREIAKYKIIFSHGFASTKESDFPVSQELAEELGIYLLYFDRAGYGDSDANPKRGLKSDATDVEELADKLQLGEKFYVVGTSMGGYVAWSCLNYIPYRLAGVALVVPAVNYWWPMPASVSASAYRKLDVGDRRTFWIAHHMPWLFYAWFNQKWFRISPIVEGKPEAFTEKDWEILAEIQRTGQLDRGRATKQGAYHSLCRDATILFGAWEFDPTAIENPFPNGDGVVSIWQGREDKIVRVEAQRYVAEKLPWVRYHEHPEGGHLFMCADGLGDKIVRELLLGEEPRVL >ONIVA04G25720.3 pep chromosome:AWHD00000000:4:25299780:25303891:1 gene:ONIVA04G25720 transcript:ONIVA04G25720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSKLVLITAVALLGWAYKVARPPPPPILGGPGGPPVSSPRVQLKDGRHLAYREAGVGREIAKYKIIFSHGFASTKESDFPVSQELAEELGIYLLYFDRAGYGDSDANPKRGLKSDATDVEELADKLQLGEKFYVVGTSMGGYVAWSCLNYIPYRLAGVALVVPAVNYWWPMPASVSASAYRKLDVGDRRTFWIAHHMPWLFYAWFNQKWFRISPIVEGKPEAFTEKDWEILAEIQRTGQLDRGRATKQGAYHSLCRDATILFGAWEFDPTAIENPFPNGDGVVSIWQGREDKIVRVEAQRYVAEKLPWVRYHEHPEGGHLFMCADGLGDKIVRELLLGEEPRVL >ONIVA04G25720.4 pep chromosome:AWHD00000000:4:25299780:25302870:1 gene:ONIVA04G25720 transcript:ONIVA04G25720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSKLVLITAVALLGWAYKVARPPPPPILGGPGGPPVSSPRVQLKDGRHLAYREAGVGREIAKYKIIFSHGFASTKESDFPVSQELAEELGIYLLYFDRAGYGDSDANPKRGLKSDATDVEELADKLQLGEKFYVVGTSMGGYVAWSCLNYIPYRLAGVALVVPAVNYWWPMPASVSASAYRKLDVGDRRTFWIAHHMPWLFYAWFNQKWFRISPIVEGKPEAFTEKDWEILAEIQRTGQLDRGRATKQGAYHSLCRDATILFGAWEFDPTAIENPFPNGDGVVSIWQGREDKIVRVEAQRYVAEKLPWVRYHEHPEGGHLFMCADGLGDKIVRELLLGEEPRVL >ONIVA04G25720.5 pep chromosome:AWHD00000000:4:25300711:25302870:1 gene:ONIVA04G25720 transcript:ONIVA04G25720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSKLVLITAVALLGWAYKVARPPPPPILGGPGGPPVSSPRVQLKDGRHLAYREAGVGREIAKYKIIFSHGFASTKESDFPVSQELAEELGIYLLYFDRAGYGDSDANPKRGLKSDATDVEELADKLQLGEKFYVVGTSMGGYVAWSCLNYIPYRLAGVALVVPAVNYWWPMPASVSASAYRKLDVGDRRTFWIAHHMPWLFYAWFNQKWFRISPIVEGKPEAFTEKDWEILAEIQRTGQLDRGRATKQGAYHSLCRDATILFGAWEFDPTAIENPFPNGDGVVSIWQGREDKIVRVEAQRYVAEKLPWVRYHEHPEGGHLFMCADGLGDKIVRELLLGEEPRVL >ONIVA04G25720.6 pep chromosome:AWHD00000000:4:25296565:25300247:1 gene:ONIVA04G25720 transcript:ONIVA04G25720.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTLIGPILPKYTSGPISGWQNVLLEINGWMRSTAAWGELERGGGSAARFSSGDRGGEPAGRSAEAEHSEEGDELFRMMTRARVLDLSLSTYLYMAKSSCPAP >ONIVA04G25720.7 pep chromosome:AWHD00000000:4:25298827:25300247:1 gene:ONIVA04G25720 transcript:ONIVA04G25720.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTGPISGWQNVLLEINGWMRSTAAWGELERGGGSAARFSSGDRGGEPAGRSAEAEHSEEGDELFRMMTRARVLDLSLSTYLYMAKSSCPAP >ONIVA04G25710.1 pep chromosome:AWHD00000000:4:25292719:25294302:-1 gene:ONIVA04G25710 transcript:ONIVA04G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTCSAMEGEVVAASPFFEWLKPPPRPASSSSWSSSFSSSSSMASRDQETVVPGEDGGGEIQEDHKSGMTCLPLLSMLEEGNSKRHEHPVKEEIMSSAHAAGVVEPGVELNIGLPVTGSSAQEVTMEEDDEEEDDDDVGEEEMDEWKPMHGGCKVEGDEEQYGEAVASVEGSSSITAVGDMFGGVGAESGVAMSSRYWIPTPAQILVGPVQFICHVCNKTFNRYNNMQMHMWGHGREYRKGPESLKGTQTLAMLKLPCYCCAAGCKNNVAHPRARPLKDFRTLQTHYKRKHGAKPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGAHHLPVAESAAAAATTPADKDRIISFQR >ONIVA04G25700.1 pep chromosome:AWHD00000000:4:25280210:25281391:1 gene:ONIVA04G25700 transcript:ONIVA04G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPLRRPFAVLLFVVLCAAASFPSVLRRSVGPAPVLAPLPPLDPARLNATLLRLAAADPSEAPLRRDVDDLLEGRLPASSARARAWRLRGDRLHLHLRHHQFPVYRRGHHPDHDHDPLLHPLPRQELLLDPSLRRALRSWHRLRRHDPGVLRNLPSLLSLPGRIPSCAVVGNSGILLGASHGALIDSHAAVFRLNNARISGFAANVGAKTNLSFINSNVLHLCARRPNCFCHPYGDGVPILLYICQAAHFLDVASCNASSRSLHAASISVTDPRLDVLCARIVKYYSLRRFVAETGRAAEEWSSTRDAAMFHYSSGMQAIMVAVGVCDRVSVFGFGKAADAKHHYHSNQKAELDLHDYKAEYAFYRDLADRPEVVPFLNDAGIAVPPVVFYH >ONIVA04G25690.1 pep chromosome:AWHD00000000:4:25274106:25278223:1 gene:ONIVA04G25690 transcript:ONIVA04G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27590) TAIR;Acc:AT2G27590] MGKSGKKTESHRQGRGRRASRFRGGGGGGDEDGDELPSSAYDAPPPHHEDSDGDDTDEAAAEDEHEGGVDGGDQEQREVGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGGRVPLHFQEDFCGTALLSAEWLRTDARRTAVGLDLDLESLEWCLENNLSKIGADGYSRMLLFHGNVLQPNVARLVKQKICDAMQDLHVNNDNDSLASNICDQLDPASPKCSANSTMSDLVLPARDIICAFNYSCCCLHRRKDLVLYFRHAFNALSKRGGIFVMDVYGGTSSERKLRLQRRFPSFTYFWEQEEFDIISHQTRISLHFQAGKKQMLRHAFTYHWRLWSIPEIKDCLEEAGFKSIHVWIREMPNTQSSGNAKEYNANRDVKYEELQHFSQADAWNAYVVGVANI >ONIVA04G25680.1 pep chromosome:AWHD00000000:4:25269963:25274259:-1 gene:ONIVA04G25680 transcript:ONIVA04G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 12 [Source:Projected from Arabidopsis thaliana (AT3G10070) TAIR;Acc:AT3G10070] MDAPPPAQPDAAAAAPAAPPTSTSASAPSSAPQPNPTPSASTAAPPTPDTTLAPAPNPTPAPVQTLETPAPSPASARPPVPRMRPPYTHLASPITMSSSPATGAASSSSASAPAATSASSSAMPRGGVALGLPAHPRAPQTPVGYTGFVPPPTLAAQFGSMHRGPDQPPPSSTQPRQPSPGIQNIGTVGSINTSQVRPGAISSLPQQTRPNFPSSTAPSPSDSQIASSQKTPIQALARPPSMASSPSMPLQQTPPNVSAPLRPPQHRPHPRPYHAPAISHPQNALLTQQQQKLPQHQHLQQQQQQQQQQKLQQQQQQQQQKLQQQQQQQQKLQQQQQQNQPQHSSQQSQQTTTLRNQQQISQQQTARTPVSMAQKLDSPAVLKATNVQSGDMASVDVDAGGSGNRLLSKRSIHELVAQIDPSEKLDPEVEDVLIDIAEDFVESVATFACSLAKHRKSSILEAKDVLLHAERSWNITLPGFSGDEIKLYKKPHVNDIHRERLTLIKKSMASESNAKGSAAQAAANQKNQTPKPPATGSP >ONIVA04G25670.1 pep chromosome:AWHD00000000:4:25249771:25251345:-1 gene:ONIVA04G25670 transcript:ONIVA04G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEAEALHGAVEALAGSLQPHVATAFFVFSACTVALAALLAVVRLRPPWWCDCTVCEAYLTASWAGEFDNLCDWYAHLLRTSPAQTVHVHVLRNVLTANPVTVDHVLRARFDNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAELSSPALRAFAARVVASELRCRLIPLLHSASREGNGKVLDLQDMFRRFAFDSICKISFGLDPGCLELSMPVSTLVEAFDTASTLSARRATVPMQIIWRLKRFLNVGDERKLRDAVRLVDALAAEVIRQRRKLGGAATGSDLLSRFMGSIDDDKYLRDIVVSFMLAGRDTIASALTAFFLLLSDHPEVATAIRDEVARVTGDGNRTMAATFDKLKDMHYVHAAMYESMRLFPPVQFDSKFAAGDDTLPDGTVVAKGTRVTYHAYAMGRMESVWGPDCAEFRPERWLRDGRFVPESPYRYPVFQAGVRVCIGKELALMEMKAVIVAVVRSFDIEAIARSSRRPKFAPGLTATFAGGLPVRVRRRRARASGHNPPI >ONIVA04G25660.1 pep chromosome:AWHD00000000:4:25246890:25247255:1 gene:ONIVA04G25660 transcript:ONIVA04G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTARGRTQVPQLTDDKDQDNRTRVASAGDGDSDHLEERELRERAIAEFQRLVEYTFRLTHTPEAFVPVGWTAGLDRPESTPRLVPGDPIGTDAPVRTSPSCRKKKSCRLRSDGDGWAL >ONIVA04G25650.1 pep chromosome:AWHD00000000:4:25231393:25245158:-1 gene:ONIVA04G25650 transcript:ONIVA04G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIGRGIIQVWCLLAPSEEGHPHQSLVACNKYNPSNQPKQRGRPRKTITTSDDHLEPSVKRPRGRPRKYPLPIAKVEDSSQNDRSQEFALIDPLVSPAVVSCDIAIAYPMPTVNPVESXXXPRKGRGRPRKKPVEIKGLSGIKLTEDLSTALSPIALTCMEPKKKRGRPRKYPAPSNSKHLPGTDTELGNDSVCQPGSIDFGLGPTENTGSGANITHAAVDAASPVPLSGQRGREQPEKEVIHIENSMQSGQSDIGSMLPTYILPESGNKSNSTGLRRRGRPRKKPFPSTTSCVFVPGTETPKKGSTLTNSNNLVVLAKSNCDILANGIGRSSCAIENSVHLSVGTSHAATPAQGICLAKCKEESSAKKGRGRPRKQPISTECGCSKACRGEEQKTQTIPKSSDNASLVENCKKESCPGKGRVQDKKKSVSNERSSVVLSVEAQNMDGSSASTAYTSCCTPACNFENAETNQAVSVPSENSAQVIDELKDTEVARFKESTKDDNMICSAEKTLSRVPKDISLPRVVLCLAHNGKVAWDVKWKPPSANQSEHKSCLGFLAVLLGNGSLEVWEVPSPSMIQKIYSSSSKEGTDPRFLKLKPVFSSAKVKCGNRQSIPLTVDWSPSHDMILAGCHDGTVALWKFSANLSFQGSKPFMCVTAESAPIRTVSWAPSVSKENVNTFVTAGEDGLKFWDLRDPYRHLWELTTAPRAVISLQWLKDARGVVISLEDGTLKFVSLSRIANDVPVTGRPFVGTKTQGVSTYQLSEYLIWSVHASEITGYAAYCVADGTAVCFELTPRLWEKEPGRNRVPYFLCGSLSEEGTTIKIGIALPNSPLSNVPLGTKRATKTCKDVAQLHVIEEGKLLTNSEYNGAINPSIRDGQQDEPDEGQETGAIVLAAPSMQENFGTSTSRGSESPENFEVFPPKAVALHRLRWNMNKGSEKWLCYGGAAGIIRK >ONIVA04G25650.2 pep chromosome:AWHD00000000:4:25231393:25242441:-1 gene:ONIVA04G25650 transcript:ONIVA04G25650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPEATGAPPQTEGEEVKDGVEVCVFDESPEVFSRAVHAISELTTGEHEPNFPDAEVERLASSITFLREWRHFSYEPKNVSFTCDTRSAPSRVDTHKINLPQFSSASVPQITHLDNGKAKTDSSDFVIFAGGNVWALDWCPRLCDRPHSPINCEYLAVAAHPPGSSYHKIGMPLIGRGIIQVWCLLAPSEEGHPHQSLVACNKYNPSNQPKQRGRPRKTITTSDDHLEPSVKRPRGRPRKYPLPIAKVEDSSQNDRSQEFALIDPLVSPAVVSCDIAIAYPMPTVNPVESXXXPRKGRGRPRKKPVEIKGLSGIKLTEDLSTALSPIALTCMEPKKKRGRPRKYPAPSNSKHLPGTDTELGNDSVCQPGSIDFGLGPTENTGSGANITHAAVDAASPVPLSGQRGREQPEKEVIHIENSMQSGQSDIGSMLPTYILPESGNKSNSTGLRRRGRPRKKPFPSTTSCVIDELKDTEVARFKESTKDDNMICSAEKTLSRVPKDISLPRVVLCLAHNGKVAWDVKWKPPSANQSEHKSCLGFLAVLLGNGSLEVWEVPSPSMIQKIYSSSSKEGTDPRFLKLKPVFSSAKVKCGNRQSIPLTVDWSPSHDMILAGCHDGTVALWKFSANLSFQGSKPFMCVTAESAPIRTVSWAPSVSKENVNTFVTAGEDGLKFWDLRDPYRHLWELTTAPRAVISLQWLKDARGVVISLEDGTLKFVSLSRIANDVPVTGRPFVGTKTQGVSTYQLSEYLIWSVHASEITGYAAYCVADGTAVCFELTPRLWEKEPGRNRVPYFLCGSLSEEGTTIKIGIALPNSPLSNVPLGTKRATKTCKDVAQLHVIEEGKLLTNSEYNGAINPSIRDGQQDEPDEGQETGAIVLAAPSMQENFGTSTSRGSESPENFEVFPPKAVALHRLRWNMNKGSEKWLCYGGAAGIIRK >ONIVA04G25650.3 pep chromosome:AWHD00000000:4:25231393:25242441:-1 gene:ONIVA04G25650 transcript:ONIVA04G25650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPEATGAPPQTEGEEVKDGVEVCVFDESPEVFSRAVHAISELTTGEHEPNFPDAEVERLASSITFLREWRHFSYEPKNVSFTCDTRSAPSRVDTHKINLPQFSSASVPQITHLDNGKAKTDSSDFVIFAGGNVWALDWCPRLCDRPHSPINCEYLAVAAHPPGSSYHKIGMPLIGRGIIQVWCLLAPSEEGHPHQSLVACNKYNPSNQPKQRGRPRKTITTSDDHLEPSVKRPRGRPRKYPLPIAKVEDSSQNDRSQEFALIDPLVSPAVVSCDIAIAYPMPTVNPVESXXXPRKGRGRPRKKPVEIKGLSGIKLTEDLSTALSPIALTCMEPKKKRGRPRKYPAPSNSKHLPGTDTELGNDSVCQPGSIDFGLGPTENTGSGANITHAAVDAASPVPLSGQRGREQPEKEVIHIENSMQSGQSDIGSMLPTYILPESGNKSNSTGLRRRGRPRKKPFPSTTSCVFVPGTETPKKGSTLTNSNNLVVLAKSNCDILANGIGRSSCAIENSVHLSVGTSHAATPAQGICLAKCKEESSAKKGRGRPRKQPISTECGCSKACRGEEQKTQTIPKSSDNASLVENCKKESCPGKGRVQDKKKSVSNERSSVVLSVEAQNMDGSSASTAYTSCCTPACNFENAETNQAVSVPSENSAQVIDELKDTEVARFKESTKDDNMICSAEKTLSRVPKDISLPRVVLCLAHNGKVAWDVKWKPPSANQSEHKSCLGFLAVLLGNGSLEVWEVPSPSMIQKIYSSSSKEGTDPRFLKLKPVFSSAKVKCGNRQSIPLTVDWSPSHDMILAGCHDGTVALWKFSANLSFQGSKPFMCVTAESAPIRTVSWAPSVSKENVNTFVTAGEDGLKFWDLRDPYRHLWELTTAPRAVISLQWLKDARGVVISLEDGTLKFVSLSRIANDVPVTGRPFVGTKTQGVSTYQLSEYLIWSVHASEITGYAAYCVADGTAVCFELTPRLWEKEPGRNRVPYFLCGSLSEEGTTIKIGIALPNSPLSNVPLGTKRATKTCKDVAQLHVIEEGKLLTNSEYNGAINPSIRDGQQDEPDEGQETGAIVLAAPSMQENFGTSTSRGSESPENFEVFPPKAVALHRLRWNMNKGSEKWLCYGGAAGIIRK >ONIVA04G25650.4 pep chromosome:AWHD00000000:4:25231393:25242441:-1 gene:ONIVA04G25650 transcript:ONIVA04G25650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPEATGAPPQTEGEEVKDGVEVCVFDESPEVFSRAVHAISELTTGEHEPNFPDAEVERLASSITFLREWRHFSYEPKNVSFTCDTRSAPSRVDTHKINLPQFSSASVPQITHLDNGKAKTDSSDFVIFAGGNVWALDWCPRLCDRPHSPINCEYLAVAAHPPGSSYHKIGMPLIGRGIIQVWCLLAPSEEGHPHQSLVACNKYNPSNQPKQRGRPRKTITTSDDHLEPSVKRPRGRPRKYPLPIAKVEDSSQNDRSQEFALIDPLVSPAVVSCDIAIAYPMPTVNPVESXXXPRKGRGRPRKKPVEIKGLSGIKLTEDLSTALSPIALTCMEPKKKRGRPRKYPAPSNSKHLPGTDTELGNDSVCQPGSIDFGLGPTENTGSGANITHAAVDAASPVPLSGQRGREQPEKEVIHIENSMQSGQSDIGSMLPTYILPESGNKSNSTGLRRRGRPRKKPFPSTTSCVFVPGTETPKKGSTLTNSNNLVVLAKSNCDILANGIGRSSCAIENSVHLSVGTSHAATPAQGICLAKCKEESSAKKGRGRPRKQPISTECGCSKACRGEEQKTQTIPKSSDNASLVENCKKESCPGKGRVQDKKKSVSNERSSVVLSVEAQNMDGSSASTAYTSCCTPACNFENAETNQAVSVPSENSAQVIDELKDTEVARFKESTKDDNMICSAEKTLSRVPKDISLPRVVLCLAHNGKVAWDVKWKPPSANQSEHKSCLGFLAVLLGNGSLEVWEVPSPSMIQKIYSSSSKEGTDPRFLKLKPVFSSAKVKCGNRQSIPLTVDWSPSHDMILAGCHDGTVALWKFSANLSFQGSKPFMCVTAESAPIRTVSWAPSVSKENVNTFVTAGEDGLKFWDLRDPYRHLWELTTAPRAVISLQWLKDARGVVISLEDGTLKFVSLSRIANDVPVTGRPFVGTKTQGVSTYQLSEYLIWSVHASEITGYAAYCVADGTAVCFELTPRLWEKEPGRNRVPYFLCGSLSEEGTTIKIGIALPNSPLSNVPLGTKRATKTCKDVAQLHVIEEGKLLTNSGNLCHLQLVSFCLYLTCLLNHHTEYNGAINPSIRDGQQDEPDEGQETGAIVLAAPSMQENFGTSTSRGSESPENFEVFPPKAVALHRLRWNMNKGSEKWLCYGGAAGIIRK >ONIVA04G25640.1 pep chromosome:AWHD00000000:4:25229966:25235894:1 gene:ONIVA04G25640 transcript:ONIVA04G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRWREHGELGARRFETAARASRTASLALSNRKEFTTPHNGAINSLQRRYLLSGASDGSAAIFDVQNATEYEAGFIAKHRSILLVDKQHENGHKFVVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVHLCDIASGAFTHTLSGHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKIWFVSHISDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSHIPGHGHIQQRLHPGLSSSQNCATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYCSAQEQELYTGSNDMQILVWSPSTPAGATFITTVQYFILQFHPKYHFDKDS >ONIVA04G25640.2 pep chromosome:AWHD00000000:4:25229949:25235894:1 gene:ONIVA04G25640 transcript:ONIVA04G25640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRWREHGELGARRFETAARASRTASLALSNRKEFTTPHNGAINSLQRRYLLSGASDGSAAIFDVQNATEYEAGFIAKHRSILLVDKQHENGHKFVVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVHLCDIASGAFTHTLSGHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKIWFVSHISDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSHIPGHGHIQQRLHPGLSSSQNCATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYCSAQEQELYTGSNDMQILVWSPSTPAGATFITTVQYFILQFHPKYHFDKDS >ONIVA04G25640.3 pep chromosome:AWHD00000000:4:25229949:25235894:1 gene:ONIVA04G25640 transcript:ONIVA04G25640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRWREHGELGARRFETAARASRTASLALSNRKEFTTPHNGAINSLQRRYLLSGASDGSAAIFDVQNATEYEAGFIAKHRSILLVDKQHENGHKFVVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVHLCDIASGAFTHTLSGHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKIWCYGSFELFTTFIFFKDLLCTAEDRQELWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYCSAQEQELYTGSNDMQILVWSPSTPAGATFITTVQYFILQFHPKYHFDKDS >ONIVA04G25630.1 pep chromosome:AWHD00000000:4:25221825:25223284:-1 gene:ONIVA04G25630 transcript:ONIVA04G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPGGWELIEPTIRELDAKMREAENDTHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLGEEKDIECVHCGCRGCASGD >ONIVA04G25620.1 pep chromosome:AWHD00000000:4:25217624:25220780:-1 gene:ONIVA04G25620 transcript:ONIVA04G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTAMTVDFLRARLLSERSVSRAAKGRADQLAKRVAELEEQVQAVTAQRRQAERAATEVLGILESHGFGGNLSDVLDSGSEHDGEEDDDPRDGRSDGDTAGSRGEEQPPAQCEAAEDALSGTAEPGGGLSWKGRSVSPRKARQLKQKHRRSYFYLLSSDPSPKYRMGQSCRKNKRKELSNGKSTAPEEQRGDVEEIAWSQKGQQDGSDCTDDGQADMDGEDTGGQYVIRYEKDGEMERVLERQAELIGQYEAEEEAQRQWEKQFNENRSSAKVHVEAENKACQIENGWEQSKEHSRLADQAVHCNEEAKPGVKNHPSASNNRSAGLLLNGSLPESPQDTSGQEAAADQRDSHEELHGHCHAQSQGSSNVAGTMTGKNQEQGNENPDGCSSYCDIKAPSDGSPSMSDATLNSKVSDWSSSRFHDHGDNQVDAGPDQQPTSNMDIECVLQALQLARISLSQKLSKPVPPSQVTLALPAPGDHEHSEDDGYSPVDDEFNSARDELCSSSQSPEQEILALPAPEDYHDRENLPANDDATISLTEEQTSSSPHRQEILALPAPKERKIKTE >ONIVA04G25610.1 pep chromosome:AWHD00000000:4:25209895:25213206:-1 gene:ONIVA04G25610 transcript:ONIVA04G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family [Source:Projected from Arabidopsis thaliana (AT4G32140) TAIR;Acc:AT4G32140] MRRGGAPRSSPTESRAASMLPLAPPLPARLNCSPRPAPALTPPPGGARGEGWIDMGSNLKYRAGLVLIVAVVLIWVTSAEVTQGIFTKYKQPFAITYLGASLMIIYIPLSFLKDFICNLLRRSSSSSRVSKVTNKSSFGGCAPLKNGEFQKMLEMESQKTIVINYTDVDIPVIEETKPLICGITEFDDVLKEQELSTKEIAMYGLYLCPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKVIAVFISMAGVVMTTMGQTWASDESEISNSGATQRTLLGDMFGLLSAMSYGLFTVLLKKFAGEEGEKVDVQKLFGYLGLFSLVLLWWLVWPLTALGIEPKFTIPHSAKVDEVVLANGLIGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRRYSAVYIFGSVQVFSGFVIANLADRFSRFLGL >ONIVA04G25610.2 pep chromosome:AWHD00000000:4:25209895:25213206:-1 gene:ONIVA04G25610 transcript:ONIVA04G25610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family [Source:Projected from Arabidopsis thaliana (AT4G32140) TAIR;Acc:AT4G32140] MRRGGAPRSSPTESRAASMLPLAPPLPARLNCSPRPAPALTPPPGGARGEGWIDMGSNLKYRAGLVLIVAVVLIWVTSAEVTQGIFTKYKQPFAITYLGASLMIIYIPLSFLKDFICNLLRRSSSSSRVSKVTNKSSFGGCAPLKNGEFQKMLEMESQKTIVINYTDVDIPVIEETKPLICGITEFDDVLKEQELSTKEIAMYGLYLCPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKVIAVFISMAGVVMTTMGQTWASDESEISNSGATQRTLLGDMFGLLSAMSYGLFTVLLKKFAGEEGEKVDVQKLFGYLGLFSLVLLWWLVWPLTALGIEPKFTIPHSAKVDEVVLANGLIGSVLSDYFWYALTKDNIFIILLPSCAIFDMELLVRALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRRYSAVYIFGSVQVFSGFVIANLADRFSRFLGL >ONIVA04G25600.1 pep chromosome:AWHD00000000:4:25208717:25209457:1 gene:ONIVA04G25600 transcript:ONIVA04G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMEVVATEIMDAALHVAVAGRTLAVAERDGTHDPATGRALTGSWLWDSSLVLASHLASCVHHHHLRGATVLELGAGTGLPGIAAVACLGAARCVLTDVRPLLPGLRANADANGLTAEQADVRELRWGGHLEPEVQVDVVLMSDVFYDPDDMPAMADTLHGLWRDGDSDGGGTVGWAASEARDGVQDCIDVLREQGFEVVEVDRVTRSLLRDPEQAADFAVYRLFRRTTSPSIVSNPTPITTAGC >ONIVA04G25590.1 pep chromosome:AWHD00000000:4:25205301:25205909:-1 gene:ONIVA04G25590 transcript:ONIVA04G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASHAPRSVVVSSSSPAAAIATAGCVVDTNTTFVQADPATFRALVQKLTGAPGSGGSKPAPAAPVMRRPKLQERRRAAPARLELARPQPLYYSHHHHRLMHSPVSPMDYAYVMASSSSSSSSSLPSSSSSLSPSPPASSSSCGVVVITKEEEEREEKAIASKGFYLHSSPRSGGAGDGERPKLLPLFPVHSPRSSSFARS >ONIVA04G25580.1 pep chromosome:AWHD00000000:4:25187945:25201562:1 gene:ONIVA04G25580 transcript:ONIVA04G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G15290) TAIR;Acc:AT1G15290] MAPKGAGRGKGRGGGGGGGGKGDKRKKEEKVVPSAIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVTRGQRLEDGVEIVSLKPCSLTIVEEEYATEAAAAAQVRRLLDIVACTTAFVNKPRDGAKHKSSKHARPATPPSPPALAASPDAHGAGAAQAPPISEAHDMAAIRPPPRLGEFYDFLSFAHLTPPVHFIRRKESNGASQEGDYFEIEVKVCNGKLLHIVASVKGFYSAGKPHTVSHSLVDLLQQLSSAFANAYDALMKAFLDHNKFGNLPYGFRANTWLIPPIYLDSATKCPALPVEDENWGGNGGGNGRDGKYDRRRWAKEFSTLARMPCKTEEGRVIRDRKAFLLHNLFVDTAIFRAASTIQRLIDLSGNSTSQQAGPDGSLAIEERVGDLLITVKRDQADASLKLEDKVDGVALYQTGSMDISQRNLLKGLTSDESVVVKDTSTLGVVIVKHCGYTATVKVSGRTKDGNGGKQTSDICDHLDGISNVDVDDLPDGGSNALNINSLRISLPKIVNSDIASTQCPTPQSHVDNHARKLVRKILEDSLMKLENMPANNPKTIRWELGSSWLQNLQKKDSPASEDKKNAGHVEKETTIKGLGKHFEQLKKIKKKECHVEGAMSEKEDSDSNCSVINGMEESENTKETDISKLMSEDDFCRLKDLGAGLHQKSLEELTMMAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHIVRAVIAAVDDMQNMSAAIAETLNILLGCPRLESDTETDAHSEHNLRFRWVERFLSKRYNWKLKDEFAHLRKFIILRGLCSKVGLELVARDYDMNSPNPFDKSDIVNIIPVCKHVVYSSIDGRNLLESSKMALDKGKLDDAVNFGTKALSKIVAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDSSIASKGHLSVSDLLDYISPDQERKERDTQRKGRRAKNNIRAHQGELVEEKESFEHDIGSPHEANKEEFQQVKLKAHPPAISEENYAIHDELKQVDPLSPEEYSDEGWQAANLRGRSANVRKKSSRRRPALTKLMVDRLEDGRTGSAYRAGVQQHMKGDKEDVINSSSQLSFGSFLKTDKVNGNSSNIEDKVFNAISKPERGIKLSGINRPATIASKLVSYKDVAVSPPGTVLKPILEQKEAKEKDNAQNTDLIVSSEEEDKKLTDEDEEKEKPSHDSSKEVLSSEPEEIGNDEKAPDSNSDESPTESKKKGGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASVVLQPIPSQPMEILPHAIDTRVPRGPRSTLYYRTGHTFQRKQGYAHSQSTILRGSNSPPTMNPHAAEFVPGKTSQQPDVANREPSADVSVTDSADQLLAPQTSDEVKAGMPAAEQAIQGESTSPCKGKENRAKDALRNSCKTELARQILFSFIVKSVHDSLGSTGAESDRKPSGPDESGNAQSSNNINKSPSGRKELDKQQKATVVPKSEKDTEGFTVVSKRRRSKQHFVHPIHGLYSQQSICTSVS >ONIVA04G25570.1 pep chromosome:AWHD00000000:4:25185096:25185338:-1 gene:ONIVA04G25570 transcript:ONIVA04G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVHFPGREGDLDDSGRGGAELGSTRGVDAAGAAGLRDRRRAARLREGRRRGGGGRALVRGGVALGSARRVDGAVFVMY >ONIVA04G25560.1 pep chromosome:AWHD00000000:4:25179694:25184527:1 gene:ONIVA04G25560 transcript:ONIVA04G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVGLVLGIAAGVALIVGFARAENSRAARRRQLAATIASFSKMTIEDSRKLLPADLYPSWVVFSTQQKLKWLNQELIKIWPFVNAAASELIKTSVEPVLEQYRPIILASLKFSKLTLGTVAPQFTGVSIIENDESGIVMELEMNWDANPSIILDVKTRLGVSLPIQVKDIGFTGVFRLIFKPLVDQLPCFGAVCFSLRKKKKLDFRLKVIGGEISAIPGISDALEDTIKNAIEDSITWPVRKVIPIIPGDYSDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFAIVYVRPLPDKMKRSKTINNDLNPIWNEHFEFIVEDADTQTVTVKIYDDDGIQESELIGCAQVTLKDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHLELLYCPFDMKEETPNPFRQQFSMTSLERTMTSMENGSGSNGFNRLSSRKKKEIIMRGVLSVTVISGEDLPAMDMNGKSDPYVVLSLKKSKTKYKTRVVSESLNPVWNQTFDFVVEDGLHDMLMLEVYDHDTFSRDYMGRCILTLTKVLIEEDYKDSFKLEGAKSGKLNLHLKWSPQPIFRDSREEDSLRFR >ONIVA04G25550.1 pep chromosome:AWHD00000000:4:25173572:25178467:1 gene:ONIVA04G25550 transcript:ONIVA04G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H6G9] MAPRDQSCGDGGEVDPEGGIEAPLLSSGSSFFQDPAHEDGDGDEEARRRRRRFLLAGRSQSNTTSQVALVGVGVCPIESLDYELIENEVFKQDWRARGRGHILRYVALKWALCFLVGVLSAAAGFVANLGVENVAGAKFVVTSNLMLAGRYGTAFAVFLVSNFALTMLATVLTVYVAPAAAGSGIPEVKAYLNGVDAPDIFSLKTLVVKIVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSSKYHLTCKWLRYFKNDRDRRDLVTCGAGAGIAAAFRAPVGGVLFALEAVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSDKCGLFGKGVLGGVLGSLHNFFLDKVLRLYNFINEKGQKYKLLLAAVVTICTSCCLFGLPWIASCKPCPSDTEEACPSIGRSGATYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLLISKTVADAFNANIYDLLVKLKGFPYLEGHVEPYMRQLSVSDVVTGPLQAFNGIEKVGHIVHVLRTTGHNGFPVVDEPPFSDSPVLFGLVLRAHLLVLLRKKDFIPNCSASALDASKQFLPHDFAKPGSGKHDRIEEIQFSAEELEMFVDLHPFTNTSPYTVVETMSLAKAHVLFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHILGLHPFLFKTRWKKVRFGKSAFTNLVF >ONIVA04G25550.2 pep chromosome:AWHD00000000:4:25173572:25178467:1 gene:ONIVA04G25550 transcript:ONIVA04G25550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H6G9] MAPRDQSCGDGGEVDPEGGIEAPLLSSGSSFFQDPAHEDGDGDEEARRRRRRFLLAGRSQSNTTSQVALVGVGVCPIESLDYELIENEVFKQDWRARGRGHILRYVALKWALCFLVGVLSAAAGFVANLGVENVAGAKFVVTSNLMLAGRYGTAFAVFLVSNFALTMLATVLTVYVAPAAAGSGIPEVKAYLNGVDAPDIFSLKTLVVKIVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSSKYHLTCKWLRYFKNDRDRRDLVTCGAGAGIAAAFRAPVGGVLFALEAVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSDKCGLFGKGGLIMFDVTSDYITYHLVDLPPVITLGVLGGVLGSLHNFFLDKVLRLYNFINEKGQKYKLLLAAVVTICTSCCLFGLPWIASCKPCPSDTEEACPSIGRSGNFKKYQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDDEFHISSILVFFFTSYFLGIFSYGLALPSGLFVPVILTGATYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLLISKTVADAFNANIYDLLVKLKGFPYLEGHVEPYMRQLSVSDVVTGPLQAFNGIEKVGHIVHVLRTTGHNGFPVVDEPPFSDSPVLFGLVLRAHLLVLLRKKDFIPNCSASALDASKQFLPHDFAKPGSGKHDRIEEIQFSAEELEMFVDLHPFTNTSPYTVVETMSLAKAHVLFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHILGLHPFLFKTRWKKVRFGKSAFTNLVF >ONIVA04G25540.1 pep chromosome:AWHD00000000:4:25170364:25172930:1 gene:ONIVA04G25540 transcript:ONIVA04G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit epsilon [Source:UniProtKB/TrEMBL;Acc:A0A0E0H6G7] MAGAASPDHLFGLRYSFYVGAYQAVITGVQAIPARAALSPDALAERDSLLYRSYIAIGSHQLVIDEIGPGAATPLQAVRLLAVYLSGGAGGKESAIRKLNELLADDAVGSNPILRLVAGTVLMHERDYAGALKHTNSGGTMELLAMNVQICLQMHRSDHAEKQLRIMQQLDEDHTLTQLANAWVDLVMGGSKIQEAHLIFQDLSEKYPATCLILNGKALCLMHMGNFEDAEGLLLESLNKDAKDAETLANLVVCSLNLGKSASRYLNQLKLAHPDHILVKRMSSAEDSFDRACQAIS >ONIVA04G25530.1 pep chromosome:AWHD00000000:4:25160672:25165024:-1 gene:ONIVA04G25530 transcript:ONIVA04G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQVYTPRKRKFLNSVHYSESNRAVSHGSGLVTSAKDSHQGEESFLNGPAGLSSILSNSQGFAPQDILSGTTELSGIRCTTSSCKPNETILHTISTNNGTSNCQDTTQSFSLLMECTNHTTPSKPMHPHITFQLMHTKIESSNSCAKYADSTDQSQTAVAHKLLFSCEKGDQSIVAMVHPSQSSLEKIQQKQGISSYEAKHDVQGSTKADDSSSILSPHSLDDEIMSVVGLLSPSAYESLCDGTIQLSSTVKVSVIAFFKSGEKKSRHYLAENHRNQRESEIRCVISLCWKFGTSKAGLLGMKELRTFLDIGSNSFETLVAENFEASQKVGFADVCDGSNLYVCPRSDAVITILAKCGFFKGMSAADTNQDSLIGCIVWRRNPLSKIVDHSRSETIGKGSPCVPHVGARHPTSLPFSDGHQATSCSNNPGMDSRTNTGNIQNDIDQMQEIQSNSVKTHPVATGLLSQCGHAKSTGERDPTEKVSPFELASHEVPEIQQHVQSIIDSHSGSFGVWHPTSHSQGLSLKSLHVQQRTTHYDLAAQKNHMAEAFSGSITQEYASNAVESSKQQETNPFFLQPDTYGAHRANDIDDLPKVDFANLHRKSNSPFQQSSQPSFRVEGIKNHEKVEVKENVTDMISREITNQVSHRKAEIENFKSKDDIDAEDMPECHFQGLDNEKLHQSGSSKHTSPSLKNSTGSKAPSQVFPPITQKSYTPLEVEDISSGHDPNMPSGCLNIPRDQGSFPRILHLHKGINARHPPVLADQGYVPRLPPPVLEDQGLAPRCPPPALAGQGFVPRHPPPVLPNQGFFPRRCPPFAGQDLYPWRPPFHANQGPFPRHAPPHPCPPFTPGHVRPPSNFAPPGPWRPVLHPGQEHHHHHYGHPGRSNGPSGSGNKPGYHNPSYGNGPGGCRPPHQAQG >ONIVA04G25530.2 pep chromosome:AWHD00000000:4:25160672:25165024:-1 gene:ONIVA04G25530 transcript:ONIVA04G25530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQVYTPRKRKFLNSVHYSESNRAVSHGSGLVTSAKDSHQGEESFLNGPAGLSSILSNSQGFAPQDILSGTTELSGIRCTTSSCKPNETILHTISTNNGTSNCQDTTQSFSLLMECTNHTTPSKPMHPHITFQLMHTKIESSNSCAKYADSTDQSQTAVAHKLLFSCEKGDQSIVAMVHPSQSSLEKIQQKQGISSYEAKHDVQGSTKADDSSSILSPHSLDDEIMSVVGLLSPSAYESLCDGTIQLSSTVKVSVIAFFKSLSRTEKKNHDITWPKIIEIKGRVKLDVFEKFVQELRRCQTRSLMVISLCWKFGTSKAGLLGMKEVAENFEASQKVGFADVCDGSNLYVCPRSDAVITILAKCGFFKGMSAADTNQDSLIGCIVWRRNPLSKIVDHSRSETIGKGSPCVPHVGARHPTSLPFSDGHQATSCSNNPGMDSRTNTGNIQNDIDQMQEIQSNSVKTHPVATGKLLSLISACLLSQCGHAKSTGERDPTEKVSPFELASHEVPEIQQHVQSIIDSHSGSFGVWHPTSHSQGLSLKSLHVQQRTTHYDLAAQKNHMAEAFSGSITQEYASNAVESSKQQETNPFFLQPDTYGAHRANDIDDLPKVDFANLHRVELKFDVHPINRETTITSDVLICPRLSDKKSNSPFQQSSQPSFRVEGIKNHEKVEVKENVTDMISREITNQVSHRKAEIENFKSKDDIDAEDMPECHFQGLDNEKLHQSGSSKHTSPSLKNSTGSKAPSQVFPPITQKSYTPLEVEDISSGHDPNMPSGCLNIPRDQGSFPRILHLHKGINARHPPVLADQGYVPRLPPPVLEDQGLAPRCPPPALAGQGFVPRHPPPVLPNQGFFPRRCPPFAGQDLYPWRPPFHANQGPFPRHAPPHPCPPFTPGHVRPPSNFAPPGPWRPVLHPGQEHHHHHYGHPGRSNGPSGSGNKPGYHNPSYGNGPGGCRPPHQAQG >ONIVA04G25530.3 pep chromosome:AWHD00000000:4:25160672:25165024:-1 gene:ONIVA04G25530 transcript:ONIVA04G25530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQVYTPRKRKFLNSVHYSESNRAVSHGSGLVTSAKDSHQGEESFLNGPAGLSSILSNSQGFAPQDILSGTTELSGIRCTTSSCKPNETILHTISTNNGTSNCQDTTQSFSLLMECTNHTTPSKPMHPHITFQLMHTKIESSNSCAKYADSTDQSQTAVAHKLLFSCEKGDQSIVAMVHPSQSSLEKIQQKQGISSYEAKHDVQGSTKADDSSSILSPHSLDDEIMSVVGLLSPSAYESLCDGTIQLSSTVKVSVIAFFKSLSRTEKKNHDITWPKIIEIKGRVKLDVFEKFVQELRRCQTRSLMVISLCWKFGTSKAGLLGMKEVAENFEASQKVGFADVCDGSNLYVCPRSDAVITILAKCGFFKGMSAADTNQDSLIGCIVWRRNPLSKIVDHSRSETIGKGSPCVPHVGARHPTSLPFSDGHQATSCSNNPGMDSRTNTGNIQNDIDQMQEIQSNSVKTHPVATGLLSQCGHAKSTGERDPTEKVSPFELASHEVPEIQQHVQSIIDSHSGSFGVWHPTSHSQGLSLKSLHVQQRTTHYDLAAQKNHMAEAFSGSITQEYASNAVESSKQQETNPFFLQPDTYGAHRANDIDDLPKVDFANLHRVELKFDVHPINRETTITSDVLICPRLSDKKSNSPFQQSSQPSFRVEGIKNHEKVEVKENVTDMISREITNQVSHRKAEIENFKSKDDIDAEDMPECHFQGLDNEKLHQSGSSKHTSPSLKNSTGSKAPSQVFPPITQKSYTPLEVEDISSGHDPNMPSGCLNIPRDQGSFPRILHLHKGINARHPPVLADQGYVPRLPPPVLEDQGLAPRCPPPALAGQGFVPRHPPPVLPNQGFFPRRCPPFAGQDLYPWRPPFHANQGPFPRHAPPHPCPPFTPGHVRPPSNFAPPGPWRPVLHPGQEHHHHHYGHPGRSNGPSGSGNKPGYHNPSYGNGPGGCRPPHQAQG >ONIVA04G25530.4 pep chromosome:AWHD00000000:4:25160672:25165024:-1 gene:ONIVA04G25530 transcript:ONIVA04G25530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQVYTPRKRKFLNSVHYSESNRAVSHGSGLVTSAKDSHQGEESFLNGPAGLSSILSNSQGFAPQDILSGTTELSGIRCTTSSCKPNETILHTISTNNGTSNCQDTTQSFSLLMECTNHTTPSKPMHPHITFQLMHTKIESSNSCAKYADSTDQSQTAVAHKLLFSCEKGDQSIVAMVHPSQSSLEKIQQKQGISSYEAKHDVQGSTKADDSSSILSPHSLDDEIMSVVGLLSPSAYESLCDGTIQLSSTVKVSVIAFFKSLSRTEKKNHDITWPKIIEIKGRVKLDVFEKFVQELRRCQTRSLMVISLCWKFGTSKAGLLGMKEVAENFEASQKVGFADVCDGSNLYVCPRSDAVITILAKCGFFKGMSAADTNQDSLIGCIVWRRNPLSKIVDHSRSETIGKGSPCVPHVGARHPTSLPFSDGHQATSCSNNPGMDSRTNTGNIQNDIDQMQEIQSNSVKTHPVATGLLSQCGHAKSTGERDPTEKVVPHSLFKLASKLTPQPPFKAPSLIGCQLSQVSPFELASHEVPEIQQHVQSIIDSHSGSFGVWHPTSHSQGLSLKSLHVQQRTTHYDLAAQKNHMAEAFSGSITQEYASNAVESSKQQETNPFFLQPDTYGAHRANDIDDLPKVDFANLHRVELKFDVHPINRETTITSDVLICPRLSDKKSNSPFQQSSQPSFRVEGIKNHEKVEVKENVTDMISREITNQVSHRKAEIENFKSKDDIDAEDMPECHFQGLDNEKLHQSGSSKHTSPSLKNSTGSKAPSQVFPPITQKSYTPLEVEDISSGHDPNMPSGCLNIPRDQGSFPRILHLHKGINARHPPVLADQGYVPRLPPPVLEDQGLAPRCPPPALAGQGFVPRHPPPVLPNQGFFPRRCPPFAGQDLYPWRPPFHANQGPFPRHAPPHPCPPFTPGHVRPPSNFAPPGPWRPVLHPGQEHHHHHYGHPGRSNGPSGSGNKPGYHNPSYGNGPGGCRPPHQAQG >ONIVA04G25530.5 pep chromosome:AWHD00000000:4:25160672:25165024:-1 gene:ONIVA04G25530 transcript:ONIVA04G25530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQVYTPRKRKFLNSVHYSESNRAVSHGSGLVTSAKDSHQGEESFLNGPAGLSSILSNSQGFAPQDILSGTTELSGIRCTTSSCKPNETILHTISTNNGTSNCQDTTQSFSLLMECTNHTTPSKPMHPHITFQLMHTKIESSNSCAKYADSTDQSQTAVAHKLLFSCEKGDQSIVAMVHPSQSSLEKIQQKQGISSYEAKHDVQGSTKADDSSSILSPHSLDDEIMSVVGLLSPSAYESLCDGTIQLSSTVKVSVIAFFKSGEKKSRHYLAENHRNQRESEIRCVISLCWKFGTSKAGLLGMKEVAENFEASQKVGFADVCDGSNLYVCPRSDAVITILAKCGFFKGMSAADTNQDSLIGCIVWRRNPLSKIVDHSRSETIGKGSPCVPHVGARHPTSLPFSDGHQATSCSNNPGMDSRTNTGNIQNDIDQMQEIQSNSVKTHPVATGLLSQCGHAKSTGERDPTEKVSPFELASHEVPEIQQHVQSIIDSHSGSFGVWHPTSHSQGLSLKSLHVQQRTTHYDLAAQKNHMAEAFSGSITQEYASNAVESSKQQETNPFFLQPDTYGAHRANDIDDLPKVDFANLHRVELKFDVHPINRETTITSDVLICPRLSDKKSNSPFQQSSQPSFRVEGIKNHEKVEVKENVTDMISREITNQVSHRKAEIENFKSKDDIDAEDMPECHFQGLDNEKLHQSGSSKHTSPSLKNSTGSKAPSQVFPPITQKSYTPLEVEDISSGHDPNMPSGCLNIPRDQGSFPRILHLHKGINARHPPVLADQGYVPRLPPPVLEDQGLAPRCPPPALAGQGFVPRHPPPVLPNQGFFPRRCPPFAGQDLYPWRPPFHANQGPFPRHAPPHPCPPFTPGHVRPPSNFAPPGPWRPVLHPGQEHHHHHYGHPGRSNGPSGSGNKPGYHNPSYGNGPGGCRPPHQAQG >ONIVA04G25530.6 pep chromosome:AWHD00000000:4:25160672:25165024:-1 gene:ONIVA04G25530 transcript:ONIVA04G25530.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQVYTPRKRKFLNSVHYSESNRAVSHGSGLVTSAKDSHQGEESFLNGPAGLSSILSNSQGFAPQDILSGTTELSGIRCTTSSCKPNETILHTISTNNGTSNCQDTTQSFSLLMECTNHTTPSKPMHPHITFQLMHTKIESSNSCAKYADSTDQSQTAVAHKLLFSCEKGDQSIVAMVHPSQSSLEKIQQKQGISSYEAKHDVQGSTKADDSSSILSPHSLDDEIMSVVGLLSPSAYESLCDGTIQLSSTVKVSVIAFFKSGEKKSRHYLAENHRNQRESEIRCVISLCWKFGTSKAGLLGMKEVAENFEASQKVGFADVCDGSNLYVCPRSDAVITILAKCGFFKGMSAADTNQDSLIGCIVWRRNPLSKIVDHSRSETIGKGSPCVPHVGARHPTSLPFSDGHQATSCSNNPGMDSRTNTGNIQNDIDQMQEIQSNSVKTHPVATGLLSQCGHAKSTGERDPTEKVVPHSLFKLASKLTPQPPFKAPSLIGCQLSQVSPFELASHEVPEIQQHVQSIIDSHSGSFGVWHPTSHSQGLSLKSLHVQQRTTHYDLAAQKNHMAEAFSGSITQEYASNAVESSKQQETNPFFLQPDTYGAHRANDIDDLPKVDFANLHRVELKFDVHPINRETTITSDVLICPRLSDKKSNSPFQQSSQPSFRVEGIKNHEKVEVKENVTDMISREITNQVSHRKAEIENFKSKDDIDAEDMPECHFQGLDNEKLHQSGSSKHTSPSLKNSTGSKAPSQVFPPITQKSYTPLEVEDISSGHDPNMPSGCLNIPRDQGSFPRILHLHKGINARHPPVLADQGYVPRLPPPVLEDQGLAPRCPPPALAGQGFVPRHPPPVLPNQGFFPRRCPPFAGQDLYPWRPPFHANQGPFPRHAPPHPCPPFTPGHVRPPSNFAPPGPWRPVLHPGQEHHHHHYGHPGRSNGPSGSGNKPGYHNPSYGNGPGGCRPPHQAQG >ONIVA04G25520.1 pep chromosome:AWHD00000000:4:25155187:25160209:-1 gene:ONIVA04G25520 transcript:ONIVA04G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G52510) TAIR;Acc:AT1G52510] MSCCPCTTTTSSPLSLPRPACRGRWSGGGGSSANAAAWRARGGGAKRSLVVAASGSKDETAEGKKQEEEEEFNPFGFVTDNPSSRGAIQLPESPAQDGNVGQMLYRIEDKGREFGSRVKSGKLRWFVRETGSAGARRGTVVFIHGAPSQSFSYRMVMSQMADAGYHCFAPDWIGFGFSDMPQPGYGFDYTEEEFHKSLDELLSTLNITEPFFLVVQGFLVGSYGLTWALKNSSKVLKVAILNSPLTVSSPVPGLFNQLRLPLFGEFTCQNAVLAERFIEAGSPYVLKSEKADVYRLPYLSSGAPGFALLEAARKANFRDVLSRISAGFASNSWEKPILLAWGISDKYLPLPIAEEFQKGNPAAIKLEPIEGAGHMPQEDWPEKVVTALRSFL >ONIVA04G25510.1 pep chromosome:AWHD00000000:4:25146942:25154017:1 gene:ONIVA04G25510 transcript:ONIVA04G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAYSLLRTARCGGRRRPWRARSFIGGEGERSGKMNSKHSSERIGFRLATGSRARSYAMRTRVRRARRRRPNGSRARGWKASGARPGAPPVTLRIEIHPWHWTKSRGGPLPSHGSRLPAKEAVDKGNDTGEQARRRLLVSRYSVTVVSQILKTPYNTVLQNRGQRRYVVSLVCIRYCRWP >ONIVA04G25500.1 pep chromosome:AWHD00000000:4:25141469:25146227:1 gene:ONIVA04G25500 transcript:ONIVA04G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-associated (UBA)/TS-N domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G12550) TAIR;Acc:AT2G12550] MASDEASPAAAAAAAAERIRVVGAWAGSLEVELGGWTVPMLRAEVARRAGGVEPDRINLIFGGRVLKDDPPASLQQAGLKGNAKVLSTLLAPDRGKAIAAQAAAAAAEEEHNNRLVRLWNAAEALCQRHTDGSFHEEDFNLDLEDQSGQKVMFGSVDDLKAVKMALMLHQKAKTFIKRDMHKEALDVLAMAEEAFSLCDPKLIERVDNVPMLQLDIVWCYFVLRDVSRLEVAGTRLERARSGFERSHGKDSARFRLLQAGRHADLAIYVRLELLEGVVAYHNGNTVKARESLTSAQAKYMQLQVPDEAISILMSMGYGARAAKRALKMTGYDIQSSVDLLCEEREKKIRRVQEDMEMQKEIMEQKKYGKTPMNKTVNMQKLKGLVAIGFEKKLAAEALRINENDADKALDLLTDPEQNCILQHKIMSKAKRLSRGSGSGSSSSRAAAATTASGVNNSQAPVDTSANVPDGSAMEESHVLPVINEEAANNVEAVNDDETVNHEEEDMSEESAEGEEEANPPPVRDVAMENELAHEMTGDALDDYDIDVSNEGQAISEYLSLLDSAA >ONIVA04G25490.1 pep chromosome:AWHD00000000:4:25133082:25135542:1 gene:ONIVA04G25490 transcript:ONIVA04G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKGVGWRGKARQRRPPADVAPNDWKRSRHGLAHVRGVARVPTTTAIVFTGTREGWGFRLITLAKLLSRASASSRWPAPIPQAHSRPHRAAQKPMCSGVPELRLSFAEANARLRRIVFEVNSIYSMLRSHRPRCMRPFPQRLPLPSFLFAASLLPTHRQVPSSGFYLPPPPNNHHPSPCWSPVTTHLSRDSASGVLFSCMHEHSCSQWYSRVCLGVATYSISQLLEILSPIPTVYYLASRKELATKRELFDSPFTRLPRLSLDRTSRNPKRKELNWLARKEWIATLGELMVLD >ONIVA04G25480.1 pep chromosome:AWHD00000000:4:25124930:25125641:1 gene:ONIVA04G25480 transcript:ONIVA04G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSQIQPLPFRRTRMDAALAASSCQAVTDAIRDIYAQDMEKLNFEQLYRRVYEVVVNKHGELMYSEVATALTAEVEGLRTSLVAVADGGGGGGAFLRELLSKWRRHTEAVAAVRDMVMYMERTFVVTYRKVSVQELGVKLWRDGVVCSGDVMPRLVEAVRRERAAAAEPGELMAGVAEMLTKLGDKVLSQVMDASSVDDYSSASLEKAVSEYQ >ONIVA04G25470.1 pep chromosome:AWHD00000000:4:25123304:25124153:1 gene:ONIVA04G25470 transcript:ONIVA04G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKGAVAAVGMTGSNIPTVFVEWGGARAGGRERRVNVSTTTVTGDSLHWLNDVDVGRGSEQGGAGVGVPLAWEKPAGEEGPNRERDREKGGRKKGKNEIMIRGHHMLTQQYGTVGISFIERRDVMGA >ONIVA04G25460.1 pep chromosome:AWHD00000000:4:25119356:25122647:-1 gene:ONIVA04G25460 transcript:ONIVA04G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSATMASSLRCRPTRGAAFRRPVGTRGADRFSAGVLRCSKRGNGVVGLKLSCAAASASPASQALPPLPWWAKELKEEDEKFFPLVDLDPAGQGQEEIDAIWNALLSGPLQPVLRALREIGAAGNLFRCRSFHIGILSGALLVIAGFSQLCSTTPILFVDIVLGYIFYKLSILSAELQRNGKANNICARIQLVLIFILSFKDNSSSQGIYGILSELIWFINVQLYFSMVYSEVTGLKHMRLFWLGVYRLLQTKGGLTKVIKSLFTQSRLLFKLLKIGIPFDIDPKWLKSIQNTLSGAALVPVWDPAVSDKYKTLARLLLCVAAPSSSPRVGVRSSPAASMSLSTLRLHPVPTSVALLHRRPASPLH >ONIVA04G25450.1 pep chromosome:AWHD00000000:4:25117359:25119342:-1 gene:ONIVA04G25450 transcript:ONIVA04G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVRNRAAIGDTALPLPCGGDSGDFVPSGGDSGVFVPRGGDSGVFVIPASSSGCLPSLLASPVVTRRSHTRARRPKSDGTNSPLPDGDDTLADDAISTLPDTEATSSAPATPQIPDSNAAASTLFERFVYISTGGSSMSGTGTSTLFLFLHVVSALLITAVKNPSIRKKVADQVGHRVRLSPSVLSVFVFSSAAIAFTYDAIKSGKELEGLGSYLLKITKTGKYSGCHQNIDKKQELNKETPKMSSNQSWI >ONIVA04G25440.1 pep chromosome:AWHD00000000:4:25111716:25114361:-1 gene:ONIVA04G25440 transcript:ONIVA04G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSATMASSLRCCPSAGALFRRPVGTRPGPDRVSAGLLRCSKRGNGVVGLRLSCAAASPASGCSEALPPSPAPGSYTLPGSPWWAENLSEEDATYFSLADSDPPGKGREEMDAIWHALVSGPLEPVFRVLREIGAAGNLFRCRSFHIGILSGALLVIAGFSQLCNMTPFLFVDIVLGYMFYKLSILAAELQRNGRANNICARIQLVLLFVLSFKDSRGYQGTYGVLAGLIWFLNVQLYFRTVYYEMVGTNYIRLFWLGVYRILQSKGGLIKVAKGLIILNDPNVRLDI >ONIVA04G25430.1 pep chromosome:AWHD00000000:4:25109802:25110863:-1 gene:ONIVA04G25430 transcript:ONIVA04G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLRFPAGGATSVPAAMPHRVPMATAPSRLPATVAMALISTPSAAIRLTPINSATHFDVLRLRARRTDGEDDVSALPEIDGAASALPCTASTASPRSCVPGFPNTQSAFPNTRLSLLGWILKLVQERFSGDPSLLSSLLVLLIGGWQTAYFKEAVERRIKGSMRIPPCILTIFTFCAAVATLLYKAVKASEELDGIISHLIKKFEKLLESHDVKTQSEKLPEPREAEAKSQAKEVKTQDKLGTGNAATNMMHYRSNWAANVEQVNRNSM >ONIVA04G25420.1 pep chromosome:AWHD00000000:4:25106445:25109811:1 gene:ONIVA04G25420 transcript:ONIVA04G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATIGMMDSAYFVGRGEILSWINATLQLSLAKVEEAASGAVQCQLMDMVHPGVVPMHKVNFDAKTEYDMIQNYKILQDVFNKLRLSKNIEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRSKGCKERSLKGSNKSSKSLQANRLSSANSADGGPCVGKVNVISEEHYIEQIQQLSEKIAGLKISMDSAEKERDFYFSKLRDIEILCQRPELEHLPMTKAVQKILYAADARDSPLPEANEIITRSPSMFPDEA >ONIVA04G25410.1 pep chromosome:AWHD00000000:4:25093984:25099858:-1 gene:ONIVA04G25410 transcript:ONIVA04G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAAAAAAGDGKVEKAANGGVNGCDAAGEGKKRADQAVAFHELFTFADKWDLVLMAAGSLGALAHGAAMPLFFLLFGDLINGFGKNQTDLRTMTDEVSKYALYFVYLGLVVCASSYAEIACWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYIATFLAGLVVGFVAAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEVIRQKPSIIHDHKDGKLLAEVHGNIEFKDVTFSYPSRPDVMIFRDFSLFFPAAKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTIHENILYGKPDATMAEVEAAATASNAHSFISTLPNGYNTMVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMTGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELLAKGSSGAYASLIRFQEMAQNRDLGGASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNADNDRKYPAPRGYFFKLLKLNAPEWPYAVLGAVGSVLSGFIGPTFAIVMGEMLDVFYYRDPNEMEKKTKLYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLSAILTNEVGWFDEEENNSSLVAARLAVDAADVKSAIAERISVILQNMTSLMTSFIVGFIIEWRVALLILATFPLLVLANFAQQLSMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQNKILSLFSYELRIPEQQILRRSQTSGLLFGLSQLCLYSSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIRSIFGILNRATRIEPDDPESERVTNVRGDIELRHVDFAYPARPDIQIFKDFNLKIQAGRSQALVGASGSGKSTVIALIERFYDPTGGKVTIDGKDIRRLNLKALRLKIGLVQQEPVLFAASILENIAYGKDGATEEEVIQAAKTANVHGFVSQLPNGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMKGRTTVLVAHRLSTIRGVDRIAVVQDGRIVEHGSHSDLVSRPEGAYSRLLQLQHHA >ONIVA04G25400.1 pep chromosome:AWHD00000000:4:25084885:25092081:1 gene:ONIVA04G25400 transcript:ONIVA04G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRADGHLRLRRRDAVRRRPPRLLLARSKTTATQHVAARRLDHTFEKLASAHLQLVVAAPLVDALHGSPTEPLALPNHAHHLPLRLHRRGAFPLSLMLITPAAELLAVTTSPAAASAGSSERWGDGNRESCTSTTTAAPTPSPPHTPAMVRRGTGRSDAVFETILTSMVGGPSLVAGDVFAPRTMVASRGGGKEGVAAVPAVIVSPLPCTLELASRSSLTGRRRKEEEIKGEL >ONIVA04G25390.1 pep chromosome:AWHD00000000:4:25079603:25080017:-1 gene:ONIVA04G25390 transcript:ONIVA04G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRSRRAGSSVPSSSSSSRTSISEDQIAELLSKLQALLPESQARNGAHRGSAARVLQETCSYIRSLHQEVDNLSETLAQLLASPDVTSDQAAVIRSLLM >ONIVA04G25380.1 pep chromosome:AWHD00000000:4:25066475:25070163:-1 gene:ONIVA04G25380 transcript:ONIVA04G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVNEPPVPPPLPRTDWLSREEEDDLGATGERSQAKPLGAGRGSRGKTEKNSRKGGIFSAQISCRIMSGTGYTVEVTNLSSRASESDLHEFFSFSGAIEHIELIRSGEYGSTAYVTFKEPYSLETAVLLSGATIVDQPVCIARWGQPNEPYNFWDTPNWYTEEEIEYRTYQTCQFNSTPQEALTIAQDVVKTMLARGYVLSKDALARARAFDESHQVTATAAAKAAELSKRIGLTDRVSGLTDRVSAGVGAIRSVDETYHVSETTKTVATATGRTAVKVVNGIMTSSYFSAGAMMLSDALHRAAQAAADLAAHGRHN >ONIVA04G25380.2 pep chromosome:AWHD00000000:4:25066475:25069655:-1 gene:ONIVA04G25380 transcript:ONIVA04G25380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIALVWGVLPGIFSAQISCRIMSGTGYTVEVTNLSSRASESDLHEFFSFSGAIEHIELIRSGEYGSTAYVTFKEPYSLETAVLLSGATIVDQPVCIARWGQPNEPYNFWDTPNWYTEEEIEYRTYQTCQFNSTPQEALTIAQDVVKTMLARGYVLSKDALARARAFDESHQVTATAAAKAAELSKRIGLTDRVSGLTDRVSAGVGAIRSVDETYHVSETTKTVATATGRTAVKVVNGIMTSSYFSAGAMMLSDALHRAAQAAADLAAHGRHN >ONIVA04G25380.3 pep chromosome:AWHD00000000:4:25066475:25070163:-1 gene:ONIVA04G25380 transcript:ONIVA04G25380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVNEPPVPPPLPRTDWLSREEEDDLGATGERSQAKPLIMSGTGYTVEVTNLSSRASESDLHEFFSFSGAIEHIELIRSGEYGSTAYVTFKEPYSLETAVLLSGATIVDQPVCIARWGQPNEPYNFWDTPNWYTEEEIEYRTYQTCQFNSTPQEALTIAQDVVKTMLARGYVLSKDALARARAFDESHQVTATAAAKAAELSKRIGLTDRVSGLTDRVSAGVGAIRSVDETYHVSETTKTVATATGRTAVKVVNGIMTSSYFSAGAMMLSDALHRAAQAAADLAAHGRHN >ONIVA04G25370.1 pep chromosome:AWHD00000000:4:25064492:25065823:1 gene:ONIVA04G25370 transcript:ONIVA04G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTNSTEARREMVLADAGRRATRSFSLPTVDRQRLRWKAVSMLSSLGISQGRRSGAFKYATTSVEGIMKSENDHAGQALLHVQEAAAKRAVIKPCTPTLTPPNEPEVINAWELMAGLEDDPPTPPCASHEPPAVTPQWMQADTDIPIVALDFDPEILSGFREALADTSPSEPTSCSVTEEEEQPAQPEKHADACDAPTSLATGDMPEKRADACDAPISLATGDMPEKRADACDKTISLATGDMPELSGIVRARINAFQEKIERRSSKGARDAKVAHLRPPGGDKKAVVYFTSLRGVRKTFVDCCSVRSILRSYGVRLDERDVSMHAVFRAELAELLGPGGFACAALPRVFVDGRYLGGAEDVHALHEAAELARMLEGCEAAPVRKLGYMEACAACGDVRFVPCETCYGSCKIFVDDDVDAGEFRRCPDCNENGLIRCPVCCC >ONIVA04G25360.1 pep chromosome:AWHD00000000:4:25057913:25061241:1 gene:ONIVA04G25360 transcript:ONIVA04G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNAAIGSIVAAVGVVAVVCAIAAVTSSKKDRNGELTANVRLSTVCSVTRYPGRCEQSLGPVVNDTIDPESVLRAALQVALEEVTSAFNRSMDVGKDDDAKITKSAIEMCKKLLDDAIEDLRGMASLKPEEVTKHVNDLRCWLSSVMTYIYTCADGFDKPELKEAMDKLLQNSTELSSNALAIITSLGELMPAAKSNGSTGAHRRLLGLQGSEAAEGVSLRELLAVHDKVQELTDVKDVSRHLLSETLDAITEMSHDAGRCLLGVTLSEATDDSDDSGLPGRRLLSMSFHDADHGVHGGDHRRLSMSLNDADPEEQGGEHRRLSMSLNDADPEVQGGEHRRLSISLNDADPEVHGDEHRRLSMSLNDADTEVHGGEHRQLSMSLNDADTEVHGGEHRRLSMSLNEAGTEQPSGEHRQLSVSSSDAGSSTDSTSDSDTPGGDPKEPAAVDDSKNAVPGEPAAAEHRQLGTLFNAIHNMTSKADTVKRRLLSMPQDGSDATEHEGGGRALLSIQLQSIADMSAEMNRHLLAAELPDELAGKRQLLSTTLRELNEATTAAKGQLDKIENGTVHSDAIPERILGDEYRATPHHRLLTTDVVGTIEDIEHERHNQPKPGEFPSWVSAHQRRLLQAGTQKPDKVVAKDGSGDFKTITEAVNAVPKNSPTRFVIYVKAGEYNEYVTIPSSLPNIFMYGDGPTKTRVLGNKSNKDGVATMATRTFSAEGNGFVCKSMGFVNTAGPEGHQAVALHVQGDMSVFFNCKFEGYQDTLYVHANRQFFRNCEVTGTIDYIFGNSAAVFQSCLMTVRKPMDNQANMVTAHGRTDPNMPTGIVLQDCRIVPEQALFPVRLQIASYLGRPWKEYARTVVMESVIGDFIKPEGWSEWMGDVGLKTLYYAEYANTGPGAGTSKRVTWPGYRVIGQAEATQFTAGVFIDGLTWLKNTATPNVMGFVK >ONIVA04G25350.1 pep chromosome:AWHD00000000:4:25042903:25051920:1 gene:ONIVA04G25350 transcript:ONIVA04G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0H6E1] MSVSHETSMAIKGLCRIFSGKRGWPQSSRISISSASGVNMPMFMGVRMVFVMVLHCLLGYSLEVMEEPSKDNGQSSCVVDPELEPMMLDDAREGVSHTLDDANGHSSMDVDRGCHSMDTTRSSLGDDGKGKRDSYAQIPVDMSIPSLEKFCKEASRSFFDEIGLISHQINSYNEFVSHGLQELFDSLGEVTVEPSYDPSNRGPGGWRHAIIKFGRVQLEEPVFWSHGCDIDEQSLKLKPRHARLQNMTYSSKMKVEVHFQVYSMEKSDKAKTGNDKFGYKRNIINETYYINIGRLPVMVMSNLCWLHKLKESDCQFDSGGYFLIKGMEKDELQGCGGKLYSRNLCLNGKLETPTQKVFIAQEQKCLTRIWVEDRPCWMVSFLSPIRRRRIYIKLIDSANNEDASGGKIISISFLYANMPIWLMFFALGISSDKDIFDVINMEDCDACVINTITATIKESDELCEGFRKSDKARQYVDELIKNSKFPPAEPFDDYIAKYLFPSISGNRNKALFLGYMVKCLLMAFTGKRKCDNKDDFRNKRLDLAGELLGRELRAHIRHAERLMVKALQRDLNSERELQEFDHYLDASIITNGLNRAFSTGSWCHPYKRNERCAGIVATLRRTNPLQMISDLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTATVSSRVAPPLIDRFISCGMNKLHEIPTEEVPRMDKIFLNGDWVGSCSDPASFVLRLRCMRRSGLIDPQVEIKWDKHQREVRVFSDAGRILRPLLVVENLNKIRRPKGSSYSFQWLMQQEIIEFIGVEEEEDIRSAWGIRNLFESEEEAPMVKMNKAEDVFNVKRKIGGEVSGYTHCELDLSFLLGLSCGIIPFANHNFARRVLYQSEKHSQQAIGYSTTNPHIRVDTLSHQLYYPQRPLFKTVIADCIGRSEYTFGRKDDFARPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTEHFRNYKAEVENKGGPGGNKRLKMKDKIDFGKMQSKRGRVDNLDDDGLPYVGASLQSGDIVIGKVSESGEDHSIKLKHTEKGMVQRVLLSANDEGKNFAVVTLRQVRSPCLGDKFSSMHGQKGVVGFLESQENFPFTYQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASFDVITDQLHKAGFSRWGAESVLNGRTGERMHSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHVCQTCERVANVIMRPVPGGKKIRGPYCGFCRSSENIVRINVPYGAKLLYQELFSMGICLRFETEVC >ONIVA04G25340.1 pep chromosome:AWHD00000000:4:25036852:25040277:1 gene:ONIVA04G25340 transcript:ONIVA04G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQSDQSLSIPDDGCRLVLGLGPTPNLHYADNESSGGNRDKESANLFSQHFAIADHGLMLGISRGGTRNFQATTMIEKYSHQNRNGIVFPLTDEGSTSAKRKPGGYVLPLLFAPRSDDICPNGTPPVTDIQHVETVDDDDDDHITSLNQQKVQISPEPSATTDCSFAATSDMIFSSTSTEQRSHQRHPKKCRFNGCSKGARGATGLCISHGGGQRCQKPGCNKGAESRTAYCKSHGGGKRCQELGCTKSAEGKTEFCIAHGGGRRCGTPGCTKAARGRSGFCIKHGGGKRCRVEGCSRSAEGQFGLCISHGGGRRCQYPNCSKGAQGGTMFCKSHGGGKRCIFEGCTKGAEGNTLLCKGHGGGKRCLFEGGGVCPKSVHGGTSFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKVDGCDKSAQGSTDFCKAHGGGKRCAWSTGCERFARGRSGLCAAHGTLMASKQVPESEHSRGMIRNSLFSKMVSASSMTAGASMDHAISSSLPGASSDRGESLEEMRNGKLLIPHQVLVPGSMRPSSSHGKGQEDGGSQEQQCFGFVVPEGRVHGGGLMSMLGAGGNLDDPKA >ONIVA04G25330.1 pep chromosome:AWHD00000000:4:25032201:25035412:1 gene:ONIVA04G25330 transcript:ONIVA04G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:programmed cell death 2 C-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G64830) TAIR;Acc:AT5G64830] MGEEVHLGLPGPWAEDYREKADHYTTKIGGVPDWPTEDMGIKPHLLQCSLCGTKLCLVHAPVAKFNIENRTIYVLVCPKPKCGTNPQSWKVLRVQKCHTSAQTDGKVDETDQINGNVCSSEPSSSSLLNKSHEVSDDDFDLDALANALEEAATLASNSKKQNKPKHSNAPIKCPVGKQKVDDPSLPVLPCFYIYYGKEQFRDKGSVGSNSSKSVLAKEIADVANDEEEKWKGENYEYDRAIGADRTFLKFKKRLDAYPQQCFRYSYGGKPLLAATNLQDSGTCQLCGSPRQYELQLMSPLSYFLHEAGDGSSDYAPDGWTWLTLIIYTCSKSCCPSSCVGKPGSCCWGVAEEEIMIQED >ONIVA04G25320.1 pep chromosome:AWHD00000000:4:25021096:25027341:-1 gene:ONIVA04G25320 transcript:ONIVA04G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARGVLAMVVAVAVVWCNNVARAQTPVFACDASNATVSGYGFCDRTKSSAARAADLLGKLTLAEKVGFLVNKQAALPRLGIPAYEWWSEALHGVSYVGPGTRFSTLVPGATSFPQPILTAASFNASLFRAIGEVVSTEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLASKYAVGYVTGLQDAGGGGDALKVAACCKHYTAYDVDNWKGVERYTFDAVVSQQDLDDTFQPPFKSCVIDGNVASVMCSYNKVNGKPTCADKDLLSGVIRGDWKLNGYIVSDCDSVDVLYNNQHYTKNPEDAAAITIKSGLDLNCGNFLAQHTVAAVQAGKLSESDVDRAVTNNFIVLMRLGFFDGDPRKLPFGSLGPKDVCTSSNQELAREAARQGIVLLKNTGALPLSAKSIKSMAVIGPNANASFTMIGNYEGTPCKYTTPLQGLGANVATVYQPGCTNVGCSGNSLQLSAATQAAASADVTVLVVGADQSVERESLDRTSLLLPGQQPQLVSAVANASRGPVILVVMSGGPFDISFAKSSDKISAILWVGYPGEAGGAALADILFGYHNPGGRLPVTWYPASFADKVSMTDMRMRPDSSTGYPGRTYRFYTGDTVYAFGDGLSYTKFAHSLVSAPEQVAVQLAEGHACHTEHCLSVEAAGEHCGSLSFDVHLRVRNAGGMAGGHTVFLFSSPPSAHSAPAKHLLGFEKVSLEPGQAGVVAFKVDVCKDLSVVDELGNRKVALGSHTLHVGDLKHTLNLRV >ONIVA04G25310.1 pep chromosome:AWHD00000000:4:25013517:25017152:-1 gene:ONIVA04G25310 transcript:ONIVA04G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRAKPGARAGLGNPRRSSTARVPVRFAVEKFAQPLVLGSDRRSCGAKLKVSCSRKPAGIDKTYYSADEALVLKQKAEDVVPYLNDRCIYLVGMMGSGKTTVGKILAEVCIRMIVSDDANLEFEATSVHYSEIDKLVEKAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWSYMKKGSTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTALFEQRMDSYANADARVSLEHIAVKQGHSNVTTLTPSAIAIERLVPS >ONIVA04G25310.2 pep chromosome:AWHD00000000:4:25013517:25017152:-1 gene:ONIVA04G25310 transcript:ONIVA04G25310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRAKPGARAGLGNPRRSSTARVPVRFAVEKFAQPLVLGSDRRSCGAKLKVSCSRKPAGIDKTYYSADEALVLKQKAEDVVPYLNDRCIYLVGMMGSGKTTVGKILAEVLGYSFFDSDKLVEKAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWSYMKKGSTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTALFEQRMDSYANADARVSLEHIAVKQGHSNVTTLTPSAIAIERLVPS >ONIVA04G25310.3 pep chromosome:AWHD00000000:4:25013517:25017152:-1 gene:ONIVA04G25310 transcript:ONIVA04G25310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRAKPGARAGLGNPRRSSTARVPVRFAVEKFAQPLVLGSDRRSCGAKLKVSCSRKPAGIDKTYYSADEALVLKQKAEDVVPYLNDRCIYLVGMMGSGKTTVGKILAEVCIRMIVSDDANLEFEATSVHYSEIDKLVEKAVGISSVAEIFQLHSEAFFRDNEKGSTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTALFEQRMDSYANADARVSLEHIAVKQGHSNVTTLTPSAIAIERLVPS >ONIVA04G25300.1 pep chromosome:AWHD00000000:4:25006031:25019322:1 gene:ONIVA04G25300 transcript:ONIVA04G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71810) TAIR;Acc:AT1G71810] MLLLHPRPLPAPAPARRFEPAVRVPPPRRRRRRRRPPLPPLASASASAAIYSVGSSDEDAFTRCSGYLFEEGAATEGELPTAYDLAGIGAVYRRRPLLVVRRALQIGTSFGRWFTLRYLDRVNERSDDMFEIRAAQLRRILLELGPTFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTEVAFNIIETELGLPLDMIFSEISPEPVAAASLGQVYQARLRSNRKVVAVKVQRPGVQAAISLDIYILRFLAGVARKAGKLNTDLQAVLDEWASSLFREMDYRAEARSGLKFRELYGKFRDVLVPEMYLEQTRRRVLIMEWVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDGLAKDFVTLGLLPPTAQKDEVTKALTGVFQNAVDRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTDSSPKLRSTLQTLLYKSLRARTEQSLTRDQQEETDSSKFAIKQVLSFTLTEQGAFVKDLLLQEIAKGLDALGAATLSSATSAAASRLPFAVPSPSPSLDNEDATNLRNLHRLLLLLSKVPQKEDSSPIPGYNSTEENEGDSTDEISLVLYEMRSLPELLPVLSVIPELPPESQQQFLLLPADLTNRLLSRAVARTIRRIFI >ONIVA04G25300.2 pep chromosome:AWHD00000000:4:25006031:25019322:1 gene:ONIVA04G25300 transcript:ONIVA04G25300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71810) TAIR;Acc:AT1G71810] MLLLHPRPLPAPAPARRFEPAVRVPPPRRRRRRRRPPLPPLASASASAAIYSVGSSDEDAFTRCSGYLFEEGAATEGELPTAYDLAGIGAVYRRRPLLVVRRALQIGTSFGRWFTLRYLDRVNERSDDMFEIRAAQLRRILLELGPTFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTEVAFNIIETELGLPLDMIFSEISPEPVAAASLGQVYQARLRSNRKVVAVKVQRPGVQAAISLDIYILRFLAGVARKAGKLNTDLQAVLDEWASSLFREMDYRAEARSGLKFRELYGKFRDVLVPEMYLEQTRRRVLIMEWVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDGLAKDFVTLGLLPPTAQKDEVTKALTGVFQNAVDRGVQNISFGDLYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTDSSPKLRSTLQTLLYKSLRARTEQSLTRDQQEETDSSKFAIKQVLSFTLTEQGAFVKDLLLQEIAKGLDALGAATLSSATSAAASRLPFAVPSPSPSLDNEDATNLRNLHRLLLLLSKVPQKEDSSPIPGYNSTEENEGDSTDEISLVLYEMRSLPELLPVLSVIPELPPESQQQFLLLPADLTNRLLSRAVARTIRRIFI >ONIVA04G25300.3 pep chromosome:AWHD00000000:4:25006031:25013508:1 gene:ONIVA04G25300 transcript:ONIVA04G25300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71810) TAIR;Acc:AT1G71810] MLLLHPRPLPAPAPARRFEPAVRVPPPRRRRRRRRPPLPPLASASASAAIYSVGSSDEDAFTRCSGYLFEEGAATEGELPTAYDLAGIGAVYRRRPLLVVRRALQIGTSFGRWFTLRYLDRVNERSDDMFEIRAAQLRRILLELGPTFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTEVAFNIIETELGLPLDMIFSEISPEPVAAASLGQVYQARLRSNRKVVAVKVQRPGVQAAISLDIYILRFLAGVARKAGKLNTDLQAVLDEWASSLFREMDYRAEARSGLKFRELYGKFRDVLVPEMYLEQTRRRVLIMEWVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDGLAKDFVTLGLLPPTAQKDEVTKALTGVFQNAVDRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTDSSPKLRSTLQTLLYKSLRARTEQSLTRDQQEETDSSKFAIKQVLSFTLTEQGAFVKDLLLQEIAKGLDALGAATLSSATSAAASRLPFAVPSPSPSLDNEDATNLRNLHRLLLLLSKVPQKEDSSPIPGYNSTEENEGDSTDEISLVLYEMRSLPELLPVLSVIPELPPESQQQFLLLPADLTNRLLSRAVARTIRRIFI >ONIVA04G25290.1 pep chromosome:AWHD00000000:4:25002514:25004736:1 gene:ONIVA04G25290 transcript:ONIVA04G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVERKTVCVTGGNGYVASLLVKMLLEKGYAVQTTVRDPNNPEKVSHFKDMEKLGPLKVFRANLEDEGSFDEAVAGCHYAFLVAAPVYDKSHKSDDLEKEIVQGGVEGTLNVMRSCARAGTVKRVILTSSTAAVSSLRPLEGAGHVLDESSWSDIEYLRSMEKLSPTQAYSISKVLSEKEATKFAEENGLSLVTLCPVVAVGASPAVRVDTSVPACLSLITGDEETMNILKGIEKASGWSMPMVHIEDVCRAEIFVAEEESASGRYICGSLNTTVTEIAGFLAAKYPQYNVRCDCIEEHHPKKPTISLSSAKLIGEGFEFKYKNLDEMYDDLVAYGKALGLIPN >ONIVA04G25290.2 pep chromosome:AWHD00000000:4:25002514:25004734:1 gene:ONIVA04G25290 transcript:ONIVA04G25290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVERKTVCVTGGNGYVASLLVKMLLEKGYAVQTTVRDPNNPEKVSHFKDMEKLGPLKVFRANLEDEGSFDEAVAGCHYAFLVAAPVYDKSHKSDDLEKEIVQGGVEGTLNVMRSCARAGTVKRVILTSSTAAVSSLRPLEGAGHVLDESSWSDIEYLRSMEKLSPTQAYSISKVLSEKEATKFAEENGLSLVTLCPVVAVGASPAVRVDTSVPACLSLITGDEETMNILKGIEKASGWSMPMVHIEDVCRAEIFVAEEESASGRYICGSLNTTVTEIAGFLAAKYPQYNVRCDCIEEHHPKKPTISLSSAKLIGEGFEFKYKNLDEMYDDLVAYGKALGLIPN >ONIVA04G25280.1 pep chromosome:AWHD00000000:4:24998364:25001304:1 gene:ONIVA04G25280 transcript:ONIVA04G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVERKTACVTGGSGYIASALIKMLLQKGYAVKTTVRNPDDMEKNSHFKELQALGPLKIFRADLEEEGSFDEAVAGCDYAFLVAAPMNLKSQNPEKELLEAGVQGTLNVLRSCVKAGTVKRVILTSSAAAVSGQPLQGDGNGSSHVLDESSWSDLDYLRSTNGISPAQAYAIAKVLSEKEASKLAEENGISLVAVCPVATVGASPAPVANESVANYLVRTHVSDSISGNEEINTLRMIDQYSGGLKLVHVDDLCRAEIFLAEKASPSPSGRYICCALNTTMRQIARSLAAKYPHHNVDIDALGGGLPEKPTILLSSEKLTSEGFEFMYKTVDEMYDDAFVEYGMALGILHY >ONIVA04G25270.1 pep chromosome:AWHD00000000:4:24984316:24992762:1 gene:ONIVA04G25270 transcript:ONIVA04G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQHAPAFTPEAASASATGGAGERQSLPALQAKMKRDPEGYEEELRQLRRHFESSVFLFRQQAALASTSSSGGGGEVAKELGDLALFLAHVAPFYPDDLADLPDQIGGLLDTNARALPSGLRVHLVQALILLVNRKIVDLEDTMELFMELQVIGDRAVKKLAFSHIVHSIRRMNQTHKNEARNRKLQNILFTFLQGEEESRAKRAFTILCDLHRRRVWFDDRTANAICNACFHGSSRIMIAAISFLLGYENVEQEDDSDASSSEDEAQNPQIILSKEDVYKANHKGTAATKKKKKAKLQRVIRSMKRQQRKSTEDTGSNYYSPLTYLKDPQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRIPLLMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPADPKARPKAFGEATIASDVPGAELLDEDFSSEGEGSDDESDAFDSNDEKELQSARGTKQNLDGSSEANKLDTDEGMKEEDQLSGDEDDTEELDEDQDISDNDSEENDDELECDSDMDEENDVSESDDDEELSEKLDDSDEGSDQDDDSDQDDKSKNSSRKANKRKLSDYIGQLNAADASLRALKKLAGAKKAEASCDEAGKILSDEDFKRIKELKAKKEAKLALAQHGLGKGHDTKSVTFKMPSSDQLSLKRVDPSKLEAHIKRKLTKEERLEMVKAGREDRGKYQARTAVKQKKTGGLSNRQKQHKKKMPLAASRAKAARSRQEKKKLQKRSGKQFRGRKAWK >ONIVA04G25270.2 pep chromosome:AWHD00000000:4:24984316:24992762:1 gene:ONIVA04G25270 transcript:ONIVA04G25270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAISFLLGYENVEQEDDSDASSSEDEAQNPQIILSKEDVYKANHKGTAATKKKKKAKLQRVIRSMKRQQRKSTEDTGSNYYSPLTYLKDPQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRIPLLMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPADPKARPKAFGEATIASDVPGAELLDEDFSSEGEGSDDESDAFDSNDEKELQSARGTKQNLDGSSEANKLDTDEGMKEEDQLSGDEDDTEELDEDQDISDNDSEENDDELECDSDMDEENDVSESDDDEELSEKLDDSDEGSDQDDDSDQDDKSKNSSRKANKRKLSDYIGQLNAADASLRALKKLAGAKKAEASCDEAGKILSDEDFKRIKELKAKKEAKLALAQHGLGKGHDTKSVTFKMPSSDQLSLKRVDPSKLEAHIKRKLTKEERLEMVKAGREDRGKYQARTAVKQKKTGGLSNRQKQHKKKMPLAASRAKAARSRQEKKKLQKRSGKQFRGRKAWK >ONIVA04G25260.1 pep chromosome:AWHD00000000:4:24975035:24979526:-1 gene:ONIVA04G25260 transcript:ONIVA04G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H6C6] MASSLTSRSPYPKKENLGNARRGMGVKPGPRRNVLSAINNGGGTNSDTASVDGGEGGAGPAAPVIEFSRREDVERLLAEKMKGKSKTDYKGRTEQMSEYIKKLRACIRWYIELEDGYLVEQEKLRGTMDAENAQHAELEAQLSSDLEELKAAHLNLTRQCDSLEESFNKEKADRMLAVESYEKERQQRESAEASRDLLSVDLERVSHEAKRFSEQLKMVQDTNKRLQEYNTSLQQYNSNLQADASKSGDIISKLQKEKSAMMETMASLKDLNNSMKNHLDSSRTSQQEAIRMKEQLMKEVDCLRIELHQIREDRDQSVSQVNTLSAELANYKELAGKSTKDCESLSVKVSAFELADVTAIEAMTGYEEQKVIIKDLEERLASAEFQIVEADKLRKKLHNTILELKGNIRVFCRVRPLLQDNDSSGAEEALISYPTSVESAGRGIDLMNQGQRFSFSYDKVFDHGASQDDVFVEMSQLVQSALDGYKVCIFAYGQTGSGKTYTMMGPPGRDQKGIIPRSLEQIFKTSQSLESQGWKYSMQASMLEIYNETIRDLLAPGRSNNFDLSTSKQYTIKHDPQGNTTVTDLTVADVFSSTDVTSLLAKASQSRSVGRTQMNEQSSRSHFVFTLKISGSNENTGQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQAINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEASSVGETICSLRFASRVNACEIGIPRRHTQARSFDSRLSFG >ONIVA04G25260.2 pep chromosome:AWHD00000000:4:24975035:24979526:-1 gene:ONIVA04G25260 transcript:ONIVA04G25260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H6C6] MASSLTSRSPYPKKENLGNARRGMGVKPGPRRNVLSAINNGGGTNSDTASVDGGEGGAGPAAPVIEFSRREDVERLLAEKMKGKSKTDYKGRTEQMSEYIKKLRACIRWYIELEDGYLVEQEKLRGTMDAENAQHAELEAQLSSDLEELKAAHLNLTRQCDSLEESFNKEKADRMLAVESYEKERQQRESAEASRDLLSVDLERVSHEAKRFSEQLKMVQDTNKRLQEYNTSLQQYNSNLQADASKSGDIISKLQKEKSAMMETMASLKDLNNSMKNHLDSSRTSQQEAIRMKEQLMKEVDCLRIELHQIREDRDQSVSQVNTLSAELANYKELAGKSTKDCESLSVKVSAFEVSGFRLTKSILSVCNYLAHHYASAQETCSMQQEQIQTLQKQLAVATNKLKLADVTAIEAMTGYEEQKVIIKDLEERLASAEFQIVEADKLRKKLHNTILELKGNIRVFCRVRPLLQDNDSSGAEEALISYPTSVESAGRGIDLMNQGQRFSFSYDKVFDHGASQDDVFVEMSQLVQSALDGYKVCIFAYGQTGSGKTYTMMGPPGRDQKGIIPRSLEQIFKTSQSLESQGWKYSMQASMLEIYNETIRDLLAPGRSNNFDLSTSKQYTIKHDPQGNTTVTDLTVADVFSSTDVTSLLAKASQSRSVGRTQMNEQSSRSHFVFTLKISGSNENTGQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQAINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEASSVGETICSLRFASRVNACEIGIPRRHTQARSFDSRLSFG >ONIVA04G25250.1 pep chromosome:AWHD00000000:4:24971896:24973778:-1 gene:ONIVA04G25250 transcript:ONIVA04G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVPPLCDWQPAHHKKIRGLRTRLSPAFLPPPGLLICGCGSPLPYRNPSFAAPQPAEWSDPSSEWQQTNLNLRQSMFLRTKEEEETKNKE >ONIVA04G25240.1 pep chromosome:AWHD00000000:4:24970699:24971861:-1 gene:ONIVA04G25240 transcript:ONIVA04G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal cysteine residue is changed to a serine 1 [Source:Projected from Arabidopsis thaliana (AT1G11530) TAIR;Acc:AT1G11530] MEIQQQKGVGNSKVVKVEKEESWDLFVNQASNEGRPVVAHFGASWCVTSLSMNYKFEELAQTHPEILFLYVDVDDIQSVSSKLGVKAMPTFFLIKDKEVVNKIVGANPDEVKKMVDASAESIGVTAPPDIVVE >ONIVA04G25230.1 pep chromosome:AWHD00000000:4:24960842:24966303:-1 gene:ONIVA04G25230 transcript:ONIVA04G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIDLCSDSEEYFSPYSDTEDNLDFDDPNDGVNQVVLHNTAFGNNSSELLVGLDDDNWLNNTHALSSHRPAENRSDIIESSSGVNTDCQNSAWQYRTLPHTFMSSSYKSRPLSLTGGNNVESTHPAVKPNTVHYNGIGFPSPAIASGYKPYVSYGQGVSIDDDDDDVYEVLHQPFPFSHSSLGDKKIEEESTWKYNGFQTSSAYGIEMPTSARSTGGVSAYGGLNSHRIFPPSVPYNNSVNNFGVNGLGTQSHLNIEKRLFGRDERVVYDEALKQISQETTEENLPEGVMSVSLLKHQRIALAWMVSRENSSHCSGGILADDQGLGKTISTIALIQKERVEQSKFMSADVGSMKSVANLDEDDEVVIVMDKKQLKGESVNMLQDSTLFPSSEAASDAADLKPWASLPGSAVDRMVNAVKVEPKKKARVRPSSSSTLRSANRSTAGTLVVCPASVLRQWASELAAKVTESSKLSVLVYHGCSRTKDPTELTKYDVVVTTYTIVANEVPKQNSDEDMEEKNSETYGLCPAFSIGNKRKKDSEPKKKKKPKNSDADLDGGPLARVRWFRVVLDEAQTIKNHNTQVARACCGLRAKRRWCLSGTPIQNTIDDLYSYFRFLKYEPYSVYGSFRSMIKYQISRDATRGYKKLQAVLKIVLLRRTKETLIDGEPIIKLPPKTIQLSKIDFSKEERTFYMMLEEGSREKFKEYASAGTIRENYANILVLLLRLRQACDHPLLLKGKEKDLIDTGSVEVAKKLPKETVINLLAQLEGDYAICSRCSDPPEDVVVATCGHVFCYQCVHKSLTSDENVCPSPSCGKKLSAQSVFSPGVLRFCIADKLESGATTSSSVEADGSPSICESSYISSKIRATTDILNSIVNTPALTWSDTMESSPSEVAPSKAIVFSQWTGLLDLLELSLDSSRIKFRRLDGAMSLNLREAAVREFNTDPEVRVMLMSLKAGNLGLNMVAACHVIMIDPWWNPYAEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKRKMVQSAFGEDKPGGSATRLTIDDLQYLFGI >ONIVA04G25220.1 pep chromosome:AWHD00000000:4:24959273:24960203:1 gene:ONIVA04G25220 transcript:ONIVA04G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLKALVAFMAVATVAELAAGSKTWAIKWASGGNYGDWSSKNTVAVGDSVVFTYGQPHTVDELSAADYTACSFAAPLSSDAGGSTTVVFDKPGTRYFACSSGSHCSMGQKVAITVSNSTAPPSSSKGGSSSYGAAAGGGAELASKLVVGLAVGAGAILAL >ONIVA04G25210.1 pep chromosome:AWHD00000000:4:24953940:24958311:-1 gene:ONIVA04G25210 transcript:ONIVA04G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) TAIR;Acc:AT3G21820] MAVSVFNTRRRTSLVSAFIARTRMFISTPLPQVRDKVSRTKPPKPHGGGGERRRKKQPQEAAARAGGGMGGSSASPCDLDREFAPQIAQLLATPPLQPAQEYYNGLIQSRKHDGIRVNFSSKHGKGVCANKEFAEGDLILKDQILVGAQHSLNKIDCAVCSYCFRFIGSIEFQIGRRLYWQSVGSSSDCTNRRHCRESDVGSSASSSGATKENSSTLPEEVLGSLITGDMSLPFTDHFSLPQVVPCRGCEEERYCSQSCADSDWETYHSLLCTGSKTEPSQRSALQKFIEHANGSNDIFLVAAKAITFTLLRYKKLKTQPEFQNNTDESNFSLLMEAWKPLSMGYKKRWWDSVALPEDVDSCDEDTFRQQIRDLALTIIIFCVSQSLQLLKDAIFDSDGLVVASPVEDYFIHIDDLPDDEKCNISQEEAEKVTRPFLDALGEDYAAPCEGTAFFPLQSCMNHSCCPNAKAYKRDEDTDGNAVIIALEPIKKDDEITISYIDDDVSYEERQAELADYGFICTCPRCQEEKPN >ONIVA04G25200.1 pep chromosome:AWHD00000000:4:24950323:24954557:1 gene:ONIVA04G25200 transcript:ONIVA04G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 22 [Source:Projected from Arabidopsis thaliana (AT3G21865) TAIR;Acc:AT3G21865] MSQPAPSRDGTIYTSPPPNLLLLLLAVAAASGRARKLRSFTTLAAITITLIFAWKLLRAPQEQPRRPHRRVAPSPSNTSSRSRPGALTSTDACSSSADSRAQEAINQLFQPLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLLEIAKFCDVYLMERILDDESGEKVLSALSEAGLFTNSGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLAVSSSKQWHSEN >ONIVA04G25200.2 pep chromosome:AWHD00000000:4:24950087:24954557:1 gene:ONIVA04G25200 transcript:ONIVA04G25200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 22 [Source:Projected from Arabidopsis thaliana (AT3G21865) TAIR;Acc:AT3G21865] MSSGAAASAAGVEAGAAGGGKDDELADLVRRLVDALARYSDRLPFDLDRQKLRSFTTLAAITITLIFAWKLLRAPQEQPRRPHRRVAPSPSNTSSRSRPGALTSTDACSSSADSRAQEAINQLFQPLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLLEIAKFCDVYLMERILDDESGEKVLSALSEAGLFTNSGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLAVSSSKQWHSEN >ONIVA04G25190.1 pep chromosome:AWHD00000000:4:24946285:24949519:1 gene:ONIVA04G25190 transcript:ONIVA04G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin p2;1 [Source:Projected from Arabidopsis thaliana (AT3G21870) TAIR;Acc:AT3G21870] MDAAAAAGGEMSRQKATASAPPPPELDMVASAVQRLVARNDAVEALSGGGEAAAGLGAGMAAFEAARGAPAPRIGVAQYLERVHRYAGLEPECYVVAYAYVDMAAHRRPAAAVASRNVHRLLLACLLVASKVLDDFHHNNAFFARVGGVSNAEMNRLELELLAVLDFEVMLSHRVYELYREHLEKEARRDGGGGDMLAGASAAAAAKAGRMAAVSPSKLLERAAVNGAAQHDDWRSLGTAAAAEAANGVRRHRSSSSSRYSFDC >ONIVA04G25180.1 pep chromosome:AWHD00000000:4:24933072:24943462:-1 gene:ONIVA04G25180 transcript:ONIVA04G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASLVLPPSLAVETARVGKLQITLPSVSNVQVEPIVVNIDKLDLIADGMTVQVGIVNLLLETHGGPRHQGGATWSPPLAAITFRDLVLYTTNEKWQKLEWQSLSVDLLPHPDMFTDARFNSSSSEDGKRDDDGAKRMFFGGERFLEGISGEANITVKRTEQNNPVGLEVQLHITEALCPALSEPGLRAFLRFMTGVSVCLNRGDVDPKAQQLAEAAGSSLVSIIVDHIFLCIKDAEFQLEFLMQSLFFSRLTPSPLPPKFASKTVITCEPLMVTLQEQSCLRIASFLADGVVANRSAILPDSSINSMSFYIKEFDLSIPLDAEEITRYSGTKNVCPQSSFMGARLHVENLYFCESPSEKCLLLNLDKDPACFLLWGYQPVDASQRKWATRASHLSLSLETSSTSNEQRTVRGSSPSLWKCVELDDIRFEAAMVTADGSPLLIVPPPEGVVRIGVAFQQFTTNTSVEQLFFVLGLYTYFGQVGERISKVSKGNCSATKTSADKRERKLPSDTAVSLTMNSLQLNFLESLSSNDLQLPLVQFGGEDLYLKVSHRTLGGAFAVTTNLTWKTVSVNCLEGESAIFGENGTAVTGEPNILLHENGHPNMRAVFWVDHRNKNQSKEARFIDIDITHVMPYDMRDMECHSLSVSAKVSGVRLGGGMSYTESLLHRFGILGPDGGPGEGLLRTLKDLSSGPLAKLFSPSHLTDKEDGMPNSKDNDYNSKFDLEVPDDLDVSIELRNWLFALEGTEEVGDWLSPHGSDHISREEKCWHTTFTNLHVSGRSSDRPGSAEKVIHKRALPIERFTAGIEGLQAIKPCLRDQLIGNATSNNLQTGSVFDNTSSIGDQGVDVEATMVICEDEIEGPKWTMDNVKFSVKEPIEAVATKEELEHLTMLCRSEADAMGRITAGILRLLKLDKSLGQGTIEQLRNLGSGGIDNTFSPRKLSRQNSFGSIGTPRTPNLHSTTDAGTKELLESTVASLQIEILESKAKCTALVSQASGVEDQKCAEDIRQLNDKLESMQSLVTKLRTLI >ONIVA04G25170.1 pep chromosome:AWHD00000000:4:24931235:24931617:-1 gene:ONIVA04G25170 transcript:ONIVA04G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVNRWIHSPVRTPVGFREAIRRRRLRRSPSAPRASRGGAAARPAASAASTSFAAVAAACADPPIHPASEHPAASAASCLGVLN >ONIVA04G25150.1 pep chromosome:AWHD00000000:4:24926144:24927473:1 gene:ONIVA04G25150 transcript:ONIVA04G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPPTATAGFIAGGRIRRPLPRERPHLTRCTKLLCSWFLSLLLVAGVLLFVVYLVVRPHRPRFHVAAFTAAGVQSGGGPVALSGQLTIHNPNHDLAFFFGRVYMSVQYRGDGEVVVDGKDLTGGPLYEPPRGTSAVGFEGVAVPAGAATDMMARDAAAAAAGGGGGGVAFTVKVRSRIRY >ONIVA04G25140.1 pep chromosome:AWHD00000000:4:24917300:24924619:1 gene:ONIVA04G25140 transcript:ONIVA04G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G20730) TAIR;Acc:AT3G20730] MALLMGAKPTAPFYSSLLQCCISSCAFRQGKSVHGRVAAASASPPDLHLSTKLIIFYARFGDVAAARKVFDGMPHRSVVSWTAMVSGYARNGRPREALELFALMRASGARPNQFTYGSAASACAGAGCARSGEQVHACAAKGRFAGDMFVQSALMDMHLRCGSVEDARQLFAEMGKKDVVSWNALIRGFVERGHDGDALGLFSSMLKEAMIPDHYTLGSALKACGIVGVAVNVELIHSCIIKLGYWDEKVVIGSLINSYAKCRSMSSARVIYDSISEPDLVSSTALISGYTMDRNYSEDAMELFCKIHRKGLWIDGVLLSSVLCLCASVASARFGTQIHAYMCKKQSMGDIALDNALVDMYAKAGEFSDAKRAFDEMPYRNVISWTSLITACGRNGSGEDAVTLFNRMVEDGVRPNDVTFLSLLSACGHCGLTNKGMEYFTSMMSRYGIDPRAEHYSSAIDLLARGGQLEDAWKLVQKTNLKPNSSMLGAMLGACKLHGNMLLGETAAKNLFSIDPGSSVNYAVLANMYAECSLWEDAQRTREVIDETTDGKEVGFSVI >ONIVA04G25140.2 pep chromosome:AWHD00000000:4:24922405:24924619:1 gene:ONIVA04G25140 transcript:ONIVA04G25140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G20730) TAIR;Acc:AT3G20730] MSYRLLFGFVLSLVLQFSLVLSNPPGLNIGFYQYTCPKAEVIVRDEMTKIISRVPSLAGPLLRMHFHDCFVNGCDGSILLDSTPGSPSEKESIPNLSLRGFGTIDRVKAKLEQACPGVVSCADILALVARDVVFLTKGPHWEVPTGRRDGTRSVKDDAVNNLPPPFFDATRNLYQFFIPKGLDAKDQVVLLGGHTLGTSHCSSFASRLYNFSGTMMADPTLDKYYVPRLKSKCQPGDKTTLVEMDPGSFRTFDTSYYRHIARGRALFTSDETLMLDPFTRGYILRQAGVAGYPAEFFADFAASMVKMGNMQVLTGAQGEIRKHCAFVN >ONIVA04G25130.1 pep chromosome:AWHD00000000:4:24912238:24913610:-1 gene:ONIVA04G25130 transcript:ONIVA04G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT3G21740) TAIR;Acc:AT3G21740] MALMRRRVGGYICSELCGSVMNQRLYSSRVDWKQLRPMILKRIKNRAKEYPIKRMIPVAEEVVKAREIVTKGVSTLLQVVPIHSCKFCPEVHIGAVGHEMQSCHGFKRMIKNQPHKWGPGCLNDILVPVESFHLENTFQDEIKHDQRFDFPRVPAVLELCHQAGADIPDEVWHRSGTSSAIVRENDEKPAAFLPEELRFIGQRTIEAWERLRLGVTKLLLVYPSKVCERCSEVHVGLSGHKARMCGVFKFEGWRGKHKWKKADVDDLVPPKIVWHQRPHDPPVLVDAGRDYYGHAPAVIELCMQVGARAPPKYHCMMKAQGLAPPIK >ONIVA04G25120.1 pep chromosome:AWHD00000000:4:24910819:24917268:1 gene:ONIVA04G25120 transcript:ONIVA04G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAANSANVSFQVTATYELEYRWDTREIKPLVMFYLILERVFQVEGFNRDKDVIQASWTPFMRLEGGRSDEAPPPASTRGRGRRSAGEYGAAAASARALCAWEGEAAVVGARARARAAGAAARGVAAEPAATEEQGGVDANFRSVGQSARVGLSQLGLNNLLGRKIHGPWHADFVKERRHDLTRSWFRAPSGWSYRDRTRVAPPTTWTRVRVESTEGEGKRSFAFSNRLVKSLRLLKLGIQMVLVDFTIGLVRFGA >ONIVA04G25110.1 pep chromosome:AWHD00000000:4:24909796:24910521:-1 gene:ONIVA04G25110 transcript:ONIVA04G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNAKNTNPPAISSATIPRAKKPAAAAVSPSSKLASSNKVTKVSPPPPQLSALWAAASSSDEEEEAVAVAVVPAPPPPQPASKKGKYVKGRAASKNKYLVPRRGEEDQAAEGGGNSSSKLMSGEPEEFGLPAGTFDAFADAEGEDAAAGRGAGGDYVHVRVQQRNGRKTLTTVQGIGGEYNYAKVLRDLKRELCCNGNVVEDKELGKIIQLQGDHRNSVSDFLAKAGMVRKDNIKVHGF >ONIVA04G25100.1 pep chromosome:AWHD00000000:4:24907747:24909460:1 gene:ONIVA04G25100 transcript:ONIVA04G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAKRGRRQHCSSSLSLWCDLPDDLLRDVLQRLPALGDRLRFAAVCRAWRAAERSHYPRPAVPWLVAPGHCVSLHDAAIHRVCSLHGGATDVVCRGSFDNWLAMVPTSSPPPYQPFLLNPFTMATVKLPMWTNPETITKVVMSASPAAADGDGGGGITVAAIARADPIEIFVCHLPGRKKKKHHPDDDDNAWSDISRVFYVQDIVFLEGKLYAVTEAEEIFAFDDADIEHYSHLPSDQWRWTHVDKQAPAFGRTEFYLVACHTMGKVLVVSRDFGRARVPDTGGGRAAARYHTSRFKVGSSVQEAKQMAAWEAVTFLRSRFRSVLDDSPWSSIPHYHSHVSEIEYDEDFDDDFDYADL >ONIVA04G25090.1 pep chromosome:AWHD00000000:4:24903629:24905205:-1 gene:ONIVA04G25090 transcript:ONIVA04G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKMKGIFKGLKVISQIFVVKEHEMQIGSPTDVKHVAHIGWDGLTGNASPSWMNDIRASSELLSLGNFAPSAGTSWASQDFDQPRDSSSFATPSENTSLQQQEAAPPPDIPRPPAARKTRRKRRSTSDCPVPSSSSSARPSCDSTMAPASDANTSQDQNCNSAT >ONIVA04G25080.1 pep chromosome:AWHD00000000:4:24898702:24899917:1 gene:ONIVA04G25080 transcript:ONIVA04G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTSHYSSCISPAAETSSMSAGESSWAMHIANFLASPYNSQEMCQEPVISGSSSFSSGFSSSFATSYDDASFITSEMMCDDDDDDDSLQDTACSSAAAPKLTSNLNNVDMKSMATMEAKDINITQLAKYFVDASSRQPAAEVLQETVSVDNNNDKSLYECNELRKKGLCLVPLSMLINYLG >ONIVA04G25070.1 pep chromosome:AWHD00000000:4:24891690:24894631:-1 gene:ONIVA04G25070 transcript:ONIVA04G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 10 [Source:Projected from Arabidopsis thaliana (AT1G63270) TAIR;Acc:AT1G63270] MAPLKPPPPRLFLNGVSCMRNAQAVLRDINVSVHDGTALVLTGANGSGKSTFLRMLAGFSRPSAGEVLWNGHDITSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGKSAPAIELMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGVKLLEYIIAEHRKKGGIVFVATHLPIEIEDAMSLRLPQRFPRRKTLVDLASDVLGEVACFVDFMNTSDLCAGCNKGALNAPLISPQGPLEVLSDPMLEVAGPLFKTRPNLEDASVEYVRNGSQSKIIFILQTIRSSPDLR >ONIVA04G25060.1 pep chromosome:AWHD00000000:4:24870402:24876623:-1 gene:ONIVA04G25060 transcript:ONIVA04G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPARHMPSMIGRNGAAYGSSSALSLSQPNLLDNHQFQQAFQHQQQQHHLLDQIPATTAESGDNMIRSRASDPLGGDEFESKSGSENVDGVSVDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENSQLRSDNEKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRIENARLREEIDRISAIAAKYVGKPMVPFPVLSNPMAAAASRAPLDLPVAPYGVPGDMFGGGGAGELLRGVQSEVDKPMIVELAVAAMEELVRMAQLDEPLWSVAPPLDAAAAAMETLSEEEYARMFPRGLGPKQYGLRSEASRDSAVVIMTHANLVEILMDANQYAAVFSNIVSRAVTLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNADGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSSEGRKSMLKLAERMVVSFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPWDILSNGGIVQEMAHIANGRDQGNCVSLLRVNSSNSNQSNMLILQESCTDASGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAHDGGDGDGGVGVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGESNPQSSKILELSSVGSQERTSDCPCHVTSQYLAS >ONIVA04G25060.2 pep chromosome:AWHD00000000:4:24869504:24876613:-1 gene:ONIVA04G25060 transcript:ONIVA04G25060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPARHMPSMIGRNGAAYGSSSALSLSQPNLLDNHQFQQAAVVIMTHANLVEILMDANQYAAVFSNIVSRAVTLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNADGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSSEGRKSMLKLAERMVVSFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPWDILSNGGIVQEMAHIANGRDQGNCVSLLRVNSSNSNQSNMLILQESCTDASGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAHDGGDGDGGVGVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGESNPQSSKILELSSVGSQERTSDCPCHVVPIYTNR >ONIVA04G25050.1 pep chromosome:AWHD00000000:4:24865285:24871325:1 gene:ONIVA04G25050 transcript:ONIVA04G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPELAAGDGSYNFHLRSLSAASRDSAAAADPASDPNLLESVRRVCEMCKEAKGASDEMVARAFPVMSKLFQRCAAAPTQSVASSGVLLLTILQFFLDFGEAVLHDADGSLRTFFRSCLSREFADPIVAERTLEFLIANKTKILSSFPTLIPQFFPLLLKLIASNGERLERKFSEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDAIGNAGSDDSGPLDLADPMFLDLLKDENDGIAAKHWTSPTISSTLQAAVNSQQSDRLKQSLKMAPRFLTLYFATALRDVNDSLLCALIPVVMSRYAAMFPDKVYSFEVRKRLSDFILAAFQRSPDIIALLKTELALHLCWAIGEHGAGGKNRKDVARELFENLELLLYENLATSRLGLSQDTGFDPMGASSRKSSQARLLCFVVTAIAKLATFHNELLPRARVSLAKVARSRTSDRRVWQRACDYLGLLNEPAICLSVLGPSTAQGNGPGIVNWSEGGTKMVAHIPFYLLAEQKGAPIHDFSFDDLVPTE >ONIVA04G25040.1 pep chromosome:AWHD00000000:4:24853534:24864615:-1 gene:ONIVA04G25040 transcript:ONIVA04G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKRKRSSGTMETRAIKCRTMQLLHELQINELGASAKIIDAPPRRGDISTGIMRELPLDKLPEDILHRVYSLMPLKDAARAACVSHGFLRLAKRKLSLYAEDVPLYEPALKVDDMESYAISKIDHIINNHSGIGVKVFKLQLFACPNIDAAVLDKWFVHVIKAGIKELSLEMSLCKKRTEYNFPCSILSSKAGGGTIQSLFLSSCSFHPTVALGCNISLTSLHLYEVHISGEEIGQFLSNSFALERLVISDCNDIIQFKVPCLMQQLKYLQVTKCEMLEVISIDAPKLSSFIYGDVGIQISLGDPLQVKDIRLMGYNQPNTICFARTELPSIMPNVESLIVSSTDEMISTPMVPIKFLHLKLLEIYLAELLAFPPNYDFFSLVSFLDGSPALETFILHVKQRCERRDSILDGEHTNLRQILHPRHANLQNVTITGFNSTKSMIELTSHILENAPSLKCITLDTANFYDKNLLTMGECLPMRKGGILEARKAFDAAKRYIAGKVPAHVEYNKEALIEAHKAHEAVRRCIEEEAPSSVKLKVQEPCRECNSYY >ONIVA04G25040.2 pep chromosome:AWHD00000000:4:24853534:24857408:-1 gene:ONIVA04G25040 transcript:ONIVA04G25040.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRATECRTMQLLRELQTNKLDTSSKIIYAPRQQDDIYAGIMPELPLDKLPEDILHHVYSLMPLKDAARAACVSHGFLRCWRRYPILVLNSKTIVDDMESYAISKIDHIINNHSGIGVKVFKLQLFACPNIDAAVLDKWFVHVIKAGIKELSLEMSLCKKRTEYNFPCSILSSKAGGGTIQSLFLSSCSFHPTVALGCNISLTSLHLYEVHISGEEIGQFLSNSFALERLVISDCNDIIQFKVPCLMQQLKYLQVTKCEMLEVISIDAPKLSSFIYGDVGIQISLGDPLQVKDIRLMGYNQPNTICFARTELPSIMPNVESLIVSSTDEMISTPMVPIKFLHLKLLEIYLAELLAFPPNYDFFSLVSFLDGSPALETFILHVKQRCERRDSILDGEHTNLRQILHPRHANLQNVTITGFNSTKSMIELTSHILENAPSLKCITLDTANFYDKNLLTMGECLPMRKGGILEARKAFDAAKRYIAGKVPAHVEYNLLALCHKPDFYLLSLECIALDTAKFYGGYLLKIGKCLRMSKEALIEAHKAHEAVRRCIEEEAPSSVKLKVQEPCRECNSYY >ONIVA04G25040.3 pep chromosome:AWHD00000000:4:24858799:24864615:-1 gene:ONIVA04G25040 transcript:ONIVA04G25040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKRKRSSGTMETRAIKCRTMQLLHELQINELGASAKIIDAPPRRGDISTGIMRELPLDKLPEDILHRVYSLMPLKDAARAACVSHGFLRCWRRYPILILNNKTIGLIKRKLSLDDMESYAVSKIDHIIKSHSGIGVKVLKLQLFACPNISAAVLDKWFVTVIKPGIEELSLEMSSLKKRTEYNFPCSVLSNKAEGGTIQSLFLSSCAFHPTVTLGCNISLTSLHLCKVDICGEELGQFLSNSFSLERLVISECSDIVQFKVPCLMQQLKYLEVTKCQMLQVIEIDAPKLSTFIYGDVGVKISLGDPLQVKDIRLMGYNEADTVCYARTKLPSILPNIESLVVSSPNEMTSTPVVPSKFLHLKFLEIYLKELFASLPSYDFFSLVSFLDASPALETFILHVEQQFERHDSILDGEPTDLRRILHDGHANLQNVTITGFNSTKSMIELTTHILENAPSLKCITLDTANFSGKNHLAMGECSPMMRGGILEARRAFEAARRHIAGKIPSFVEYKFLEPCRHCHFGY >ONIVA04G25040.4 pep chromosome:AWHD00000000:4:24853534:24857408:-1 gene:ONIVA04G25040 transcript:ONIVA04G25040.4 gene_biotype:protein_coding transcript_biotype:protein_coding METRATECRTMQLLRELQTNKLDTSSKIIYAPRQQDDIYAGIMPELPLDKLPEDILHHVYSLMPLKDAARAACVSHGFLRCWRRYPILVLNSKTIGLAKRKLSLYAEDVPLYEPALKVDDMESYAISKIDHIINNHSGIGVKVFKLQLFACPNIDAAVLDKWFVHVIKAGIKELSLEMSLCKKRTEYNFPCSILSSKAGGGTIQSLFLSSCSFHPTVALGCNISLTSLHLYEVHISGEEIGQFLSNSFALERLVISDCNDIIQFKVPCLMQQLKYLQVTKCEMLEVISIDAPKLSSFIYGDVGIQISLGDPLQVKDIRLMGYNQPNTICFARTELPSIMPNVESLIVSSTDEMISTPMVPIKFLHLKLLEIYLAELLAFPPNYDFFSLVSFLDGSPALETFILHVKQRCERRDSILDGEHTNLRQILHPRHANLQNVTITGFNSTKSMIELTSHILENAPSLKCITLDTANFYDKNLLTMGECLPMRKGGILEARKAFDAAKRYIAGKVPAHVEYNLLALCHKPDFYLLSLECIALDTAKFYGGYLLKIGKCLRMSKEALIEAHKAHEAVRRCIEEEAPSSVKLKVQEPCRECNSYY >ONIVA04G25030.1 pep chromosome:AWHD00000000:4:24836835:24849444:1 gene:ONIVA04G25030 transcript:ONIVA04G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRKLGPNVDALKMELLYAQGVLDNAQDKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLEIHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGRGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLKNHFDQYHWNRVLESREWEMETSEDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRRSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLQFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLVLSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQNLEVSNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELTLWGCNKITRMCIAVEQQQTTAVELEDTQAVESIQQQASSRGSGGGRGSAHLSNSLQKLYLYRCPELILDVARPALPTSHEEGTGGWGLQSLHSLKRLEILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELHIDDCGEDLRCEGLWPLLTQGQLSELYVSGTPRFFAGLDPILGGLQDGQEQQLPPPLQCSSKLQELHTDDFAGVLVKPICLLLSSSLTELHLGWNDEVERFTKEQEEALQLLISLQDLLVWGCTNLQCLPAGLHRLTSLKRLGIDNCPSIRSLPKGGLPSSLQELDVRFCNNEKLKQRCRKLKGTIPKIITKPCRTSQSIHALFPNMWISCDILYLYIDLIFPENFLKPIYKKQLSYRSDPCHEQVAACYLDLIIGLQDRCGRNTSLETNNVAVTCLKRCTGVFGTPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALHLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ONIVA04G25030.2 pep chromosome:AWHD00000000:4:24836835:24849444:1 gene:ONIVA04G25030 transcript:ONIVA04G25030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRKLGPNVDALKMELLYAQGVLDNAQDKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLEIHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGRGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLKNHFDQYHWNRVLESREWEMETSEDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRRSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLQFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLVLSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQNLEVSNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELTLWGCNKITRMCIAVEQQQTTAVELEDTQAVESIQQQASSRGSGGGRGSAHLSNSLQKLYLYRCPELILDVARPALPTSHEEGTGGWGLQSLHSLKRLEILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELHIDDCGEDLRCEGLWPLLTQGQLSELYVSGTPRFFAGLDPILGGLQDGQEQQLPPPLQCSSKLQELHTDDFAGVLVKPICLLLSSSLTELHLGWNDEVERFTKEQEEALQLLISLQDLLVWGCTNLQCLPAGLHRLTSLKRLGIDNCPSIRSLPKGGLPSSLQELDVRFCNNEKLKQRCRKLKGTIPKIITKPCRTSQSIHALFPNMWISCDILYLYIDLIFPENFLKPIYKKQLSYRSDPCHEQVAACYLDLIIGLQDRCGRNTSLETNNVAVTCLKRCTGVFGTPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALHLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ONIVA04G25030.3 pep chromosome:AWHD00000000:4:24836835:24849444:1 gene:ONIVA04G25030 transcript:ONIVA04G25030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRKLGPNVDALKMELLYAQGVLDNAQDKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLEIHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGRGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLKNHFDQYHWNRVLESREWEMETSEDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRRSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLQFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLVLSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQNLEVSNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELTLWGCNKITRMCIAVEQQQTTAVELEDTQAVESIQQQASSRGSGGGRGSAHLSNSLQKLYLYRCPELILDVARPALPTSHEEGTGGWGLQSLHSLKRLEILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELHIDDCGEDLRCEGLWPLLTQGQLSELYVSGTPRFFAGLDPILGGLQDGQEQQLPPPLQCSSKLQELHTDDFAGVLVKPICLLLSSSLTELHLGWNDEVERFTKEQEEALQLLISLQDLLVWGCTNLQCLPAGLHRLTSLKRLGIDNCPSIRSLPKGGLPSSLQELDVRFCNNEKLKQRCRKLKGTIPKIITKPCRTSQSIHALFPNMWISCDILYLYIDLIFPENFLKPIYKKQLSYRSDPCHEQVAACYLDLIIGLQDRCGRNTSLETNNVAVTCLKRCTGVFGTPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALHLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ONIVA04G25030.4 pep chromosome:AWHD00000000:4:24836835:24849444:1 gene:ONIVA04G25030 transcript:ONIVA04G25030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRKLGPNVDALKMELLYAQGVLDNAQDKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLEIHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGRGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLKNHFDQYHWNRVLESREWEMETSEDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRRSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLQFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLVLSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQNLEVSNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELTLWGCNKITRMCIAVEQQQTTAVELEDTQAVESIQQQASSRGSGGGRGSAHLSNSLQKLYLYRCPELILDVARPALPTSHEEGTGGWGLQSLHSLKRLEILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELHIDDCGEDLRCEGLWPLLTQGQLSELYVSGTPRFFAGLDPILGGLQDGQEQQLPPPLQCSSKLQELHTDDFAGVLVKPICLLLSSSLTELHLGWNDEVERFTKEQEEALQLLISLQDLLVWGCTNLQCLPAGLHRLTSLKRLGIDNCPSIRSLPKGGLPSSLQELDVRFCNNEKLKQRCRKLKGTIPKIITKPCRTSQSIHALFPNMWISCDILYLYIDLIFPENFLKPIYKKQLSYRSDPCHEQVAACYLDLIIGLQDRCGRNTSLETNNVAVTCLKRCTGVFGTPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALHLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ONIVA04G25030.5 pep chromosome:AWHD00000000:4:24836835:24849444:1 gene:ONIVA04G25030 transcript:ONIVA04G25030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVSDGLVEAWAASRKLGPNVDALKMELLYAQGVLDNAQDKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLFLNVTHTAKAASKRLGFSKCSCAAAADNGSHTYPRSEQGAQGRGLCCGWSYNDHDSEEEEEATGGGIRKLASGARNTIHAVGKRLHCSSFPAVVDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLEIHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTAKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLTQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPEVDGKKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLKGLGRGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLKNHFDQYHWNRVLESREWEMETSEDDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRRSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLQFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLVLSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLENLHYKSTLYTLSIVGKTDLNGLDDKILAFYNLTQLQNLEVSNCKHLAASHLQMLTSLKILRLDSSSVVFHLSESLSDYKWQVPVEYLYISSYHGSGKALSQLLSHLPKLSELTLWGCNKITRMCIAVEQQQTTAVELEDTQAVESIQQQASSRGSGGGRGSAHLSNSLQKLYLYRCPELILDVARPALPTSHEEGTGGWGLQSLHSLKRLEILDCPKFLSTYNAPGCPFPSSLQRLDIAGCMEGVQTLDFISNLNFLTELHIDDCGEDLRCEGLWPLLTQGQLSELYVSGTPRFFAGLDPILGGLQDGQEQQLPPPLQCSSKLQELHTDDFAGVLVKPICLLLSSSLTELHLGWNDEVERFTKEQEEALQLLISLQDLLVWGCTNLQCLPAGLHRLTSLKRLGIDNCPSIRSLPKGGLPSSLQELDVRFCNNEKLKQRCRKLKGTIPKIITKPCRTSQSIHALFPNMWISCDILYLYIDLIFPENFLKPIYKKQLSYRSDPCHEQVAACYLDLIIGLQDRCGRNTSLETNNVAVTCLKRCTGVFGTPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELHTDDFAGVHVKPICRLLSSSLTKLVLGWNDEVERFTKEQEEALHLLISLQDLHFWGCTNLQCLPAGLHRLTSLKRLEIIGCPSIRSLPKGGLPSSLQELDVRASWNEKFKQRCRKLKGTIPEIILD >ONIVA04G25020.1 pep chromosome:AWHD00000000:4:24831014:24833278:-1 gene:ONIVA04G25020 transcript:ONIVA04G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplastic lipocalin [Source:Projected from Arabidopsis thaliana (AT3G47860) TAIR;Acc:AT3G47860] MESQKPLKKLQPLGDDGDGGGGRKPWFSLCCWAPPLLLLRRHTLLALPETFVQPKLCQVAVVAAIDKAAVPLKFDSPSDDGGTGLMMKGMTAKNFDPIRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDEKSSSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASAETDLERQEMIKGKCFLRFPTLPFIPKEPYDVLATDYDNYAVVSGAKDTSFIQIYSRTPNPGPEFIEKYKSYAANFGYDPSKIKDTPQDCEVMSTDQLGLMMSMPGMTEALTNQFPDLKLSAPVAFNPFTSVFDTLKKLVELYFK >ONIVA04G25020.2 pep chromosome:AWHD00000000:4:24831014:24833167:-1 gene:ONIVA04G25020 transcript:ONIVA04G25020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplastic lipocalin [Source:Projected from Arabidopsis thaliana (AT3G47860) TAIR;Acc:AT3G47860] MVLALLLGSSSSSLAAPHPACSSRPAGRNNFRCSLHDKVPLNAHGVLSTKLLSCLAASLAIPAETFVQPKLCQVAVVAAIDKAAVPLKFDSPSDDGGTGLMMKGMTAKNFDPIRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDEKSSSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASAETDLERQEMIKGKCFLRFPTLPFIPKEPYDVLATDYDNYAVVSGAKDTSFIQIYSRTPNPGPEFIEKYKSYAANFGYDPSKIKDTPQDCEVMSTDQLGLMMSMPGMTEALTNQFPDLKLSAPVAFNPFTSVFDTLKKLVELYFK >ONIVA04G25010.1 pep chromosome:AWHD00000000:4:24826429:24827052:-1 gene:ONIVA04G25010 transcript:ONIVA04G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEENKAVTPNEPIEASSSGSKRKRGRPRKSEYGMHEKPYSVQPIQSVPPLHSTEDSSNIQQDGIQINHKSGGSVGPFANLVKTSLSQASTYTSASLQSNSVKDGIVGKYFVGKMSNKVPGFSLITVKVKDNLVLKGWIPDESDLRPITPKDDLAPDLPMLRPSQVRKRPSTIYKQAAGPIPVPLEDVTFAKPLQMRKPVEKSVAK >ONIVA04G25000.1 pep chromosome:AWHD00000000:4:24819576:24821730:-1 gene:ONIVA04G25000 transcript:ONIVA04G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEENKAVAHNEPLPMEQTLSSGAKRKRGRPRKYEYGMHELPYSVQHIQSVPPLHSTQDSSNIRQDGIQINHTSGGSFGPNIGTIQALPTKQGPANRSSGPRDSVNLVKTSLSQASIYTSAPLQGNSVKDDIVGKYFVGKMSKKFPGFSLITVKVKDNQVLKGWIPDENNLRPITPKDDLAPDLPMLRPSQVRKRPSTVYRQAAGPIPVPLEDVTFAKPLQMRRPVEKSFTKHTVPSVPRPHMGSGVVAAVPISVSPSNAESRIFSEQGTEHVNPQPLSAVVPIKSGQPVLASCKEVAGGKTVNEIQTVSESSKHTEESSGERHLLNVPVMDAIKESLGPKEQPNATNSKQQTFMEPPESTEQAVQLDTERDISKGADGSKSEASGGTAPPVEASTAVHNPQGNLLHLLGTFYYYLLFTARTNKLAVTLKIKIPVDSHEMKVDNK >ONIVA04G24990.1 pep chromosome:AWHD00000000:4:24812294:24817216:1 gene:ONIVA04G24990 transcript:ONIVA04G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKRTKPPPPSRKAEAAAAAAQRPSSSPSPSLPEALLLATVCMVGLPVEVQVRDGSAYAGVLHTASVEGGYGVVLKKARKIANGNDNANIPLGAFVDSLVIHPDDLVQVIAKDFSLHTKDVCRTPVCDTVAASASVKPQTSHVNVFPLKEVKKCSTPGEETNISIGRSSPGPRLSCNEIMSSAVVGSKDGNAKSAVLTTPTMSSDVKISPPATVAKTATPSKTIAKESKLNPCARVFSPSFASSRPVLAAAPSVNPIYISNSVAGVPTGLPVFETNSVPGGSSLSSKAVHYNNLAAANYAISPQYTQSTMGHNVSRLDPARIGTPYHPMQVGPAYISPSPQPVTGGKFNHVVYVHPFSQDVMHGAPVMPQGWSLPAPLNSHQASLQKFQGTAPVYVAPPIMATGNLPLVVPSPAPLVQPFQAVRPIMVPAASSMVPGKYM >ONIVA04G24980.1 pep chromosome:AWHD00000000:4:24807736:24811878:1 gene:ONIVA04G24980 transcript:ONIVA04G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPPSQPQPGMGGPPPPPQGAAGQPPQWGAIPPPMPPHQYGAPPPQQPPAMWGQPPPQAHYGQVPPPQPYYAAPPPQAMPAPAAADEVKTLWIGDLQPWMDESYIYNCFAATGEVQSVKLIRDKQSGQLQGYGFVEFTSRAAADRILQTYNGQMMPNVEMVFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPTEQARAMTEMNGMLCSSRPMRIGPAANKKTTGVQERVPNAQGAQSENDPNNTTIFVGGLDPNVTEDVLKQVFAPYGEVVHVKIPVGKRCGFVQYVNRPSAEQALAVLQGTLIGGQNVRLSWGRSLSNKQPQHDSNQWGAGAGAGGYYGGYGQGYEAYGGYAQPQDPNMYGYGAYAGYPNYQQQQVAQQQPPQQ >ONIVA04G24970.1 pep chromosome:AWHD00000000:4:24790778:24804010:1 gene:ONIVA04G24970 transcript:ONIVA04G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPVPFLSMPPMASSSTSSRCLTASVTVTHNLEVTSYSLLEGMGVGKFVSSTTFSVAGYDDCRIARFVKKSDLQEHAIRRIRFTGNDSFKIECSLTVISESRAEDVSTIPVPPSNLHQHLAGMLHGVEIADVEFSVGGEPFRAHACVLAARSPVFRAELLGPAAARSIKIDDDDDMEPATFKALLHFIYTDHLPNDSGFGKDAAMQRRLLVAADRYGVDRLRAMCEAKLYESVSVGTVVDSLEFAEKHHCAQLKDACLGFMASPDVLGVVRKTDGFKRLVEGCPWVLKEILDKERLRQMEFPHSSLLVLACFFSLFSMASSTASPSDGRSPRLPETLSRCVTASVAAAHNFEVTRYSLLAGVGAGEFVTSGTFSVDGHNFNIQVYPDGWKQEMNAGYVSVFLCLCGGATGVRAKYTLSLSENGGESVQRSLTHRFDTVGAFWGFPRFMERPRLRQWLLRRGPGGGDDCVTFRCSLTVIREPRTEGVAAVAVPPSDMRRHMANMLRGGDGADVVVLVRDQPFRAHRCVLAARSPVFRAELFGGGHMRERRTSCVVVDDMEPSIFSAFLHFIYTDSLPENPDTPGDDQDCMAMQHLMVAADRYGLDRLVLICEEKLCRGIDVQTVATTLALAEQHQRVALKDACLGFIVSRGVLGAVARTDGFKHLLTTCPSIMVDILDKVASVMSKEGFVSVSCASSNRGGRQWGASSASASAIRERNSGDPSPYQSRAPLRSSPNLDDLPRFRSASLIPPSAAAAGGLSRLSESIDF >ONIVA04G24970.2 pep chromosome:AWHD00000000:4:24802557:24807048:1 gene:ONIVA04G24970 transcript:ONIVA04G24970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGPHSIQLIAPPSLYHAASAAAMGDHRDPAFPAAAGGCRLPKTSSVSVTESVTAVHDFKVTGYSLIEGLGIGRYVSSSTFTVGGVDWAVRFYPDGSTVTCLGNASAFLYYCGREKEVRTRFTLNLLGKDGKLSQVTNSYMKHTFSPASDNWGFIKFAEKSKLQSSPFLHNDCLTIRCLLTVVRESHTKDVEVNSVVVPPSNLHTDFENMLQDGEGSDVTFTVGGQEFRAHRCVLAFRSPVFKAELFGPMKENGTQCIKIDDMEPEVFEALLHFIYTDRLPDSCRDGKAAAMQHLLVAADRYGVDRLRLICERRLSETIDVETVATTLVLAEQHHCSQLRQACIGFVASPNMLGPVIESDGFKHLVESCPLIMKEILMADMLTSSAARTSSRSVWEGITGTHDFEVVGYSLMDGFGAGRHVCSGDFSVAGHDCYVAFYPDGLDQDSAGYASACLAYRGKERFVRAKYSLSLVARDGRASPLAGDTLRSHYFTPTSRSADVLKFVEKSKLSSSPSSSSYSCLDDDTLTIRCVVTVVTGPRVESVAPAKERGPRVTVPPPSLHEHLARMLRDGRGSEVAFRVGGRVLRAHRCVLAARSPVFDAELLGPMMETTAPCIEIHGVEPAAFEALLRFVYTDSWPLAGVDVAATVRLLSAADRYGLERLRLMCEEKLHEGIDVDNAADVLAMAELHHCSQLRDACVAFIASPSTLGPVLASSGFEDLIMATGASVTKEILHKVSESWSGPGNRNNSSKRK >ONIVA04G24960.1 pep chromosome:AWHD00000000:4:24778190:24791636:-1 gene:ONIVA04G24960 transcript:ONIVA04G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTADVGGEDEHEIVQEDLYLDDDDEQFDVDLNEDNEMEEAEPKREQNLVKVGMEAWNQSFGATCKVRLIHILKNLHTAEIKIYSDASREFIELLDGDPEGEVLREYVQQSPRLVELAEAWRLHREKPGMAYILSLFATVLGHPGGKLRRHGLVKKSLDGVARMILEDKEKMGDVYLELNSGEFRRQNAALDLLAAIVRRGGGLASEIAKSFDFKMAVLPQLSGVRKKRGGRDGGNRKKGTDFGSTRRSFVGFAMSFLEVGNPRMLRWILQQRELYSGVLRGIGDDDTDTIVYILSTLRNNVLVDESLVPPGLRSVLFGSATLEQLSLISGNLDAGEAADIAHEVLIMVCTDPKNGLMPSSNLRGNQKRLLDLMKKLKATEVVHHKNLLLAIVSKSLSLCSAYMNEFPYSIEPRPSSSWFSAISLAADMISSVNCDGIFQNLLSTSHDLQVQVLLKCIVPNMCTRAVINRGLLHSDDLVKHGALRLVFESVNLLCDVIEVINDIVSNARVKSEHDNSTKVTVKIDGFPGLSCSTSADASIVHEVLHGDKMHVDRWITLREYIQDVVRGAIPDPQVLLKLLSSASQKHQNYSQSKQKKHAQLEPPRKKRRRGATDEDADIIIGGIDVELSRDEPEEQEMDLANDHTTILCEIWGLNKQDPKIKDAKVVGDVFHSKLLDVLRFYLRVMASSFDGSFDFFKVMPPNPLDLSMDEQQSLLSLLVEYSGQSDGYWCPEKVPESMYKYLQPLIDIMLHSQVKSIRDKAYILVKAAVASSGAFDQNIAEIDAWLAFLPCYKAKGCEREGLGVEASNRLSHIVIPFLCDAISVVGNNLYKYQEHIRKLISKFNQFEGYSPSFSPLIVCVLQKCLRLLDSESASVKLHEKSTISLYVCNTVYLIMQSQVDVLLLPDLVGTILNERLGKFSSEEINSRICFAEWRPLMYLLHILRSISDQKSSSLFSTLEHSSEVYANSLCSVTRTIEEMSNQQPTNLPDDVATSFLYSVICAPPDDVISSFPKLLHVLKTHFPSNLPFLSSVLFLQHDYLAKVASYCPDMFFSSLRQIKGNLDVDSVNIVEDKWGKHSTCSESAVISTFLNVTPFCALLPSVLSLAFSAPDEITKAHPLLQDELVHLLQAKISESPLSELTIFLRVVLFWSHHLLSSYTVKCSDILAQLCGVCFSLIDSIFERIRVLTADTANSKSSVAFYPVECLNGIVESVVQHPIIGLSLSCSLSNFQDLADGSVEYVKEDFASFSKEKLHLADSFVLNLLSNLYGLVLLAGSFGANYSNNDDQSLESLFGPPKLLLERILLLFKEKFELCMEKRNFGLFLPNFYMFRTLAKFVSPVRLLELANWMFSTFEGFSSSSPAYAPAAFCCLYTADIAFEMLYDYLQQIDQRSGPCRLWGLEIHNCDIATIQQVYNIILHFATKLNLEFADLCLLKMLIRIHHTEISAVRNTDYIALHMMLSTMVANTPIDILHHCMFPTSKVKAKAIQLLLGANPMHMRLFGKLLTDILKKDTSVMQVVGSDSNASWTHEDSFILLLPAALSYIEHHSGGNRQCVDFLEPVPVFYREILLSDNGFPCWKSFVTRSIFEEDFSDFRHTSVEDIMNYFGDTLLGKSITMLRYYFYSKEIPRKQRLKIIASICPQSSELLDSDISFVTPVSCNGIMKLTNELFAKISLIRMLLSPPRGSLNNEIAPEKESKRVNNAKLSFISILVRTLDKIFRNFPHSDGILLSSPEEQNVVSCLEYAILKNIVELSSEVQSHLNQLKPIPFLNQLIRSSLLHRFSDPVVIKAIRCILVVLSEGKFPADEILELILGHSHFVSTITCSGVSECPSACNPIGGLLQPAPSILKSVDSAFAKENKFQDCIPERKKVEIIRLLRVLYDIKSRQHNSSLLDESRELGFLLLSVYGATLSETDLEILHLMNEIESSECKAITDVDHLWGKAAVKFREELKLEFSASDTHKMENAEISDRRRSLFRENIPIDSKLCVMTALQFCYRRSSRASIFSLEQLQQDNFGDIFKATSQSMDAVRIYDPVFILHFSIHTLLMGYIEPVEFSRLGLLAITLVSISSPDKDLRKLGYESLGTFKKSLEASQKSKETWQLQLLLTYLQNGISEQWQRIPSVIAIFAAEASLTLLDSSHTQFATISKFLMHSASVNLQSIPLFPALLRSNAVHFKSDRLWMLQLLYAGSNLADDAKIYKRGGVLELALSYGSSAVSDSETKLLTLQVLKKCVKLPVLAHHLTKDSGLLLWLSSVISSHVEGLDSVKNSYSSTVIGSALEVVNDLTSSRLIAEWLQETALEQLSRISKYLYVLVEDMKLLKGNVLLLTSVLNVIASTMRLSMKRKIYQPHFSLSLHGIHKLCRTIGGISRSIEVKLAMQLGIDVILMNGPLPVLSEMDKSMTATVVSWATSNIFWLCDEQRSVLKMPHEEPLKNECLLSKMLRWLVASIILGKISCISHEKCGDLTRDANNFGSLESFLNYTYDEKVETVGSHSADEALAIIILYLQKHLKMNRDFLPSVVAALCLLLLDRSNKQVIRNFIGDYGQIEMLCSQIQCPAEANPAWRWHYYQPWKDPAMHRNEAEHLEEEQACHSLLYLLEGE >ONIVA04G24960.2 pep chromosome:AWHD00000000:4:24778190:24787390:-1 gene:ONIVA04G24960 transcript:ONIVA04G24960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQQPTNLPDDVATSFLYSVICAPPDDVISSFPKLLHVLKTHFPSNLPFLSSVLFLQHDYLAKVASYCPDMFFSSLRQIKGNLDVDSVNIVEDKWGKHSTCSESAVISTFLNVTPFCALLPSVLSLAFSAPDEITKAHPLLQDELVHLLQAKISESPLSELTIFLRVVLFWSHHLLSSYTVKCSDILAQLCGVCFSLIDSIFERIRVLTADTANSKSSVAFYPVECLNGIVESVVQHPIIGLSLSCSLSNFQDLADGSVEYVKEDFASFSKEKLHLADSFVLNLLSNLYGLVLLAGSFGANYSNNDDQSLESLFGPPKLLLERILLLFKEKFELCMEKRNFGLFLPNFYMFRTLAKFVSPVRLLELANWMFSTFEGFSSSSPAYAPAAFCCLYTADIAFEMLYDYLQQIDQRSGPCRLWGLEIHNCDIATIQQVYNIILHFATKLNLEFADLCLLKMLIRIHHTEISAVRNTDYIALHMMLSTMVANTPIDILHHCMFPTSKVKAKAIQLLLGANPMHMRLFGKLLTDILKKDTSVMQVVGSDSNASWTHEDSFILLLPAALSYIEHHSGGNRQCVDFLEPVPVFYREILLSDNGFPCWKSFVTRSIFEEDFSDFRHTSVEDIMNYFGDTLLGKSITMLRYYFYSKEIPRKQRLKIIASICPQSSELLDSDISFVTPVSCNGIMKLTNELFAKISLIRMLLSPPRGSLNNEIAPEKESKRVNNAKLSFISILVRTLDKIFRNFPHSDGILLSSPEEQNVVSCLEYAILKNIVELSSEVQSHLNQLKPIPFLNQLIRSSLLHRFSDPVVIKAIRCILVVLSEGKFPADEILELILGHSHFVSTITCSGVSECPSACNPIGGLLQPAPSILKSVDSAFAKENKFQDCIPERKKVEIIRLLRVLYDIKSRQHNSSLLDESRELGFLLLSVYGATLSETDLEILHLMNEIESSECKAITDVDHLWGKAAVKFREELKLEFSASDTHKMENAEISDRRRSLFRENIPIDSKLCVMTALQFCYRRSSRASIFSLEQLQQDNFGDIFKATSQSMDAVRIYDPVFILHFSIHTLLMGYIEPVEFSRLGLLAITLVSISSPDKDLRKLGYESLGTFKKSLEASQKSKETWQLQLLLTYLQNGISEQWQRIPSVIAIFAAEASLTLLDSSHTQFATISKFLMHSASVNLQSIPLFPALLRSNAVHFKSDRLWMLQLLYAGSNLADDAKIYKRGGVLELALSYGSSAVSDSETKLLTLQVLKKCVKLPVLAHHLTKDSGLLLWLSSVISSHVEGLDSVKNSYSSTVIGSALEVVNDLTSSRLIAEWLQETALEQLSRISKYLYVLVEDMKLLKGNVLLLTSVLNVIASTMRLSMKRKIYQPHFSLSLHGIHKLCRTIGGISRSIEVKLAMQLGIDVILMNGPLPVLSEMDKSMTATVVSWATSNIFWLCDEQRSVLKMPHEEPLKNECLLSKMLRWLVASIILGKISCISHEKCGDLTRDANNFGSLESFLNYTYDEKVETVGSHSADEALAIIILYLQKHLKMNRDFLPSVVAALCLLLLDRSNKQVIRNFIGDYGQIEMLCSQIQCPAEANPAWRWHYYQPWKDPAMHRNEAEHLEEEQACHSLLYLLEGE >ONIVA04G24960.3 pep chromosome:AWHD00000000:4:24787482:24791636:-1 gene:ONIVA04G24960 transcript:ONIVA04G24960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTADVGGEDEHEIVQEDLYLDDDDEQFDVDLNEDNEMEEAEPKREQNLVKVGMEAWNQSFGATCKVRLIHILKNLHTAEIKIYSDASREFIELLDGDPEGEVLREYVQQSPRLVELAEAWRLHREKPGMAYILSLFATVLGHPGGKLRRHGLVKKSLDGVARMILEDKEKMGDVYLELNSGEFRRQNAALDLLAAIVRRGGGLASEIAKSFDFKMAVLPQLSGVRKKRGGRDGGNRKKGTDFGSTRRSFVGFAMSFLEVGNPRMLRWILQQRELYSGVLRGIGDDDTDTIVYILSTLRNNVLVDESLVPPGLRSVLFGSATLEQLSLISGNLDAGEAADIAHEVLIMVCTDPKNGLMPSSNLRGNQKRLLDLMKKLKATEVVHHKNLLLAIVSKSLSLCSAYMNEFPYSIEPRPSSSWFSAISLAADMISSVNCDGIFQNLLSTSHDLQVQVLLKCIVPNMCTRAVINRGLLHSDDLVKHGALRLVFESVNLLCDVIEVINDIVSNARVKSEHDNSTKVTVKIDGFPGLSCSTSADASIVHEVLHGDKMHVDRWITLREYIQDVVRGAIPDPQVLLKLLSSASQKHQNYSQSKQKKHAQLEPPRKKRRRGATDEDADIIIGGIDVELSRDEPEEQEMDLANDHTTILCEIWGLNKQDPKIKDAKVVGDVFHSKLLDVLRFYLRVMASSFDGSFDFFKVMPPNPLDLSMDEQQSLLSLLVEYSGQSDGYWCPEKVPESMYKYLQPLIDIMLHSQVKSIRDKAYILVKAAVASSGAFDQNIAEIDAWLAFLPCYKAKGCEREGLGVEASNRLSHIVIPFLCDAISVVGNNLYKYQEHIRKLISKFNQFEGYSPSFSPLIVCVLQKCLRLLDSESASVKLHEKSTISLYVCNTVYLIMQSQATDVFVAYLEEYF >ONIVA04G24950.1 pep chromosome:AWHD00000000:4:24777223:24779831:1 gene:ONIVA04G24950 transcript:ONIVA04G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H681] MDTVVAAATTGLRRWLPWARRQAAAYLAAAGGGDDGWAACAASAVRIVVCFVSMMATTAAWAVVMLLLLPWPCARIRQGNLYGHVTGRMLMWILGNPIKLEGMENLNTRGIFICNHASPLDIFLVMWLAPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPAAAIESMKEVARAVTKNNLSLILFPEGTRSKTGRLLPFKKGFVHTALQTRLPIVPMVVTGTHLAWRKNSLRVRPAPLTVKVLPPIETDGWVEERIDEYVEMVHSLYADSLPDSQKPLEPVNTGKKKMS >ONIVA04G24940.1 pep chromosome:AWHD00000000:4:24767834:24771527:1 gene:ONIVA04G24940 transcript:ONIVA04G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTAPSFSLGSEFDEPEEAVGYTAPDAPSFSLGIDFEGDGDESHLTDAGNGGEEQKRYEAPDAPSFSVGIDSDRDGGEERRREEQRRSYEAPDAPSFSLGIDSDGDGGDEPHLTNGGHREEQQRRYEAPDAPSFSLGIDFGDGDDEPRLPNASRQAPRYEAPDAPSFSLGFDDDEDDVLIGGSRHELGTVEEEDDDFVLADGQQQQQRRHETVVPDPAPPPPEMNRFKRLRRGPAPPSQAPTPPPHRTPAPATMEASPVVSSKAVLGDIGSFEDEIEDFTDEERFMRDVPPSVGSCITSSSSRFSHASNSKFSLMNHGVLMSQSTSKSKKFAQTPNYSASKSMEESSTKKLLPKTALSPMRKIHLLDSDSDSDDNKEMPGLQQNCKSKVSTVQHKGKAEMNDSWATPALDEFCNEYFKSVEDSRPSQQKEGNSFCGPKVIRSNYSVSETGGHFPHQSTPSGAVLEDNQTDSHPPAMHYFFHHDQLVRDLVRQRLKHFVPVGVDSRGNEQDGTQNLQYRSQTGRCAAENDRWVTPNKRMPVATQVGRRRVNPAGMSGSGHWLTGDDGKKLYISKDGQELTGRVAYRQYQRESGKGFRQSKKKSSAGTRAKKATTKVKQEKTRAKRKR >ONIVA04G24930.1 pep chromosome:AWHD00000000:4:24758725:24760269:-1 gene:ONIVA04G24930 transcript:ONIVA04G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATKWEPESLEGMEAHDAYLIASQLNLLNDQQDATYVANLQMELQQARDRVSELETERRAAKKKLDHLFKKLAEEKAAWRSREHEKVRAILEDMKADLDHEKKNRRRLEMINLKLVNELKEAKMSAKQLLQEYDNERKARELTEEVCNELAREVEEDKAEIEALKHDSLKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDAKYTQLSKLQQDVEAFIAACSCARGDIMVVEEAENIIQAIKSVRAQDIEFRYEPPAQSEDIFSIFEELRPSEEPVIKEIEPCYKNNSAMCESEIQEASPMTDIFLEKPTKVYSSKSPQNESDTEDGSSWETISHEDMQASSGSPDGSEPSVNKICDGSISWTSRNDFEYKEAEKLKDDSTDIYLTNMNQPKKKESALSKLWKSSRPKNNDVCKKDAVETINGRSSNVRLSVGTHSTIDSGIQEIGLSSPSIGQWSSPDSMSMQFNRGFKGCMEYPRTSQKHSLKSKLMEARMESQKVQLRQVLKQKI >ONIVA04G24920.1 pep chromosome:AWHD00000000:4:24756429:24756659:-1 gene:ONIVA04G24920 transcript:ONIVA04G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSAFFASRAACPSGSSGEFSARRIRLYSDSATGRLRARSSAAAQIIPPPIPPPPRLAADHRRKRVLDERGIGL >ONIVA04G24910.1 pep chromosome:AWHD00000000:4:24753738:24756302:-1 gene:ONIVA04G24910 transcript:ONIVA04G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARNGSPSMVSTIKVHNVSLEASEQDIREFFSFSGVIVHVEMQSGDERSQFAYITFEDDEGAERAMLLTGATIVDMSVIITPATNYQLPAAVLADIESKNAGGVESALRKAEDAVVSMLAKGFVLGKDALERAKSFDEKHQLTSTATAKVTSLDRKMGLSQKFSTGTLVVNEKMKEMDQKYQVAEKTKSALAAAEQTVSTAGSAIMSNRYILTGAAWVTDAYSKVATTATDAGAKSKEMVVAEQDDKHQDDKPAKDYSPISSKEFVQEGKHQEGERPKKNMPEKPEMGKRELENQEAAIPTAHAQENAQITGKEHKHQEADLPKADIPGSFTMAAKSEKHRNPYSELSKTHIPGSPATIPVCTATTDVNSTKTPKKPENAEGFI >ONIVA04G24900.1 pep chromosome:AWHD00000000:4:24752318:24752896:1 gene:ONIVA04G24900 transcript:ONIVA04G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEPRNSMATSTGRSGLGGGGAKSITLFAAASFFRWGHRGPRSLDTGTKYGITALPSPSPAAAGGGDDEGAAGEGEGDEGGAGRETAGFRRRSLASRRRARAPGRRKRWWSTTPAAAAADEEERGLRVAAMWARGVSESRMREARVGGRRRERVGVFEGVRVGRGRASFRREKGLCQKWDRAFVAGDAFTL >ONIVA04G24890.1 pep chromosome:AWHD00000000:4:24750106:24752712:-1 gene:ONIVA04G24890 transcript:ONIVA04G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRKPRSSSSAAAAAGVVDHHRFLRPGALARLRDARLRRRNPAVSRPAPPSSPSPSPAAPSSSPPPAAAGDGEGSAVMPYFVPVSRLLGPRCPQRKKLAAAKRVMLFAPPPPSPDLPVEVAMEFLGSPDMEMDLT >ONIVA04G24880.1 pep chromosome:AWHD00000000:4:24741542:24749237:-1 gene:ONIVA04G24880 transcript:ONIVA04G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHRNGEGRHGSRHPSDVPIHISPNQEQPSVATPPGPLTDAPAFCSCLPALRAPPPRHPTPSTQIPHKSSLSPQKIKKKKIAKISRESTGAFLSPSIERARACVCEQHTAAHFDASFWKPEKKKKGRESALRREREEGGGGDQGERREVLALAMEMAHSPLCSRSRPVLVVRPATAATGFAQPIIRCRRFTRTRLLRCLVASADYSKRNPRRASTPKPKGAASRTYAPRPTVESSMKKIGQSGTDEGDLGTSNGKLSSEATEQTSNVEESSEVDFSGNVSSSVFLEGMDDAFEAETEEEVEQNQSPELSSESMDDDAIDRKLDEYRGKISALISSKPEPTSVSSTHVQDRSIFGFHEQENSVVSFHEQDRSIVSVPEQSQPSSGVSGQNPTEEKTIISGQDVTEEAPEEITGKSIEREPLSRETEKVLFADDDPRIIKDEQYEPDIAPVQDDVDPQVLRRRLEELAEKNYLAGNKCFVFPEVVQADSVIDLYLNHSMSALASEPDILIKGAFNGWRWKKFTQKMHKSELTGDWWCCKLHIPKQAYRLDFVFFNGDTIYENNNHNDFVLQIESEINEHSFEDFLVEEKQRELERLAAEEAERKRQAEEERRKEEERAAMEADRAQAKAEVEMNKNKLQNLLNSASRYADNLWYIEPHTYKAGDRVKLFYNRSSRPLMHNTEIWMHGGYNNWSDGLSIAEKLIKSYEKDGDWWYADVTLPEGALVLDWVFADGPPGNARNYDNNGRQDFHAVVPNNISEDLFWVEEEHMIFKRLQKERKEREDADRRKSEITAKMKAGMKEKTMRDFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGKPEVWFRCSFNRWTHPSGPLPPQKMVNAENGSHLRATVRVPLDAYMMDFVFSESEEGGIYDNRNGMDYHIPVTDSVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKYDCLNLSNVKDLHYRQSFTWGNTEIKVWMFWVGCVYGRNDESRFGFFCHSALEFLRQNGSSPDIIHCHDWSSAPVAWLFKEQYAQNGLSNGRVVFTIHNLEFGAHHIGKAMARCDKATTVSYTYSREVSGHGAIAPHFSKFHGIRNGIDPDIWDPYSDNFIPVHYTSENVVEGKSAAKKALQQRLGLQQTDTPVVGIISRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDHRIQGDFTNLASKLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSMFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDVDDDKDRAREQGLEPNGFSFEGADSNGVDYALDRAITTWYDARDWFHSLCKRVMEQDWTWNRPALDYMELYHSARKN >ONIVA04G24880.2 pep chromosome:AWHD00000000:4:24741542:24749237:-1 gene:ONIVA04G24880 transcript:ONIVA04G24880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHRNGEGRHGSRHPSDVPIHISPNQEQPSVATPPGPLTDAPAFCSCLPALRAPPPRHPTPSTQIPHKSSLSPQKIKKKKIAKISRESTGAFLSPSIERARACVCEQHTAAHFDASFWKPEKKKKGRESALRREREEGGGGDQGERREVLALAMEMAHSPLCSRSRPVLVVRPATAATGFAQPIIRCRRFTRTRLLRCLVASADYSKRNPRRASTPKPKGAASRTYAPRPTVESSMKKIGQSGTDEGDLGTSNGKLSSEATEQTSNVEESSEVDFSGNVSSSVFLEGMDDAFEAETEEEVEQNQSPELSSESMDDDAIDRKLDEYRGKISALISSKPEPTSVSSTHVQDRSIFGFHEQENSVVSFHEQDRSIVSVPEQSQPSSGVSGQNPTEEKTIISGQDVTEEAPEEITGKSIEREPLSRETEKVLFADDDPRIIKDEQYEPDIAPVQDDVDPQVLRRRLEELAEKNYLAGNKCFVFPEVVQADSVIDLYLNHSMSALASEPDILIKGAFNGWRWKKFTQKMHKSELTGDWWCCKLHIPKQAYRLDFVFFNGDTIYENNNHNDFVLQIESEINEHSFEDFLVEEKQRELERLAAEEAERKRQAEEERRKEEERAAMEADRAQAKAEVEMNKNKLQNLLNSASRYADNLWYIEPHTYKAGDRVKLFYNRSSRPLMHNTEIWMHGGYNNWSDGLSIAEKLIKSYEKDGDWWYADVTLPEGALVLDWVFADGPPGNARNYDNNGRQDFHAVVPNNISEDLFWVEEEHMIFKRLQKERKEREDADRRKSEITAKMKAGMKEKTMRDFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGKPEVWFRCSFNRWTHPSGPLPPQKMVNAENGSHLRATVRVPLDAYMMDFVFSESEEGGIYDNRNGMDYHIPVTDSVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKYDCLNLSNVRHPGVFLLGCILFSVSMEFYGNAISGVLWNLKDTGKNKVQISNQLMFWVGCVYGRNDESRFGFFCHSALEFLRQNGSSPDIIHCHDWSSAPVAWLFKEQYAQNGLSNGRVVFTIHNLEFGAHHIGKAMARCDKATTVSYTYSREVSGHGAIAPHFSKFHGIRNGIDPDIWDPYSDNFIPVHYTSENVVEGKSAAKKALQQRLGLQQTDTPVVGIISRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDHRIQGDFTNLASKLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSMFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDVDDDKDRAREQGLEPNGFSFEGADSNGVDYALDRAITTWYDARDWFHSLCKRVMEQDWTWNRPALDYMELYHSARKN >ONIVA04G24870.1 pep chromosome:AWHD00000000:4:24730183:24748426:1 gene:ONIVA04G24870 transcript:ONIVA04G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQMISGARKKELFMGHPYSAGDQPKPGAGTVEFVPHNTVHNWTGDPRQPNGEDMGMFYSAARDPVFFAHHGNVDRMWYIRHGLFPRDTDFADPDWLDATFLFYDEEARLVRVRVRDSLDEAALRYTYQDVGPLPWLNAKPSTGPAGALPGTLDKTVRVALTRPKTSRSRKEKDAEEEAPVIEGIEVPDHSAYVKFDVFVNAPENADRKRSPRKTVARFGISDLLEDIGADGHKTIVVSIVPRSGCDSVRVSGVSIGYSINVAPGTTATPRMAPPPPPPCITNLQSTLRYNNLLLHRKTKGWKPRNVSCRVDRRDVLLGISGAAAMVATQGGGGALAAPIQAPDLGDCHQPVDVPATAPAINCCPTYSAGTVAVDFAPPPASSPLRVRPAAHLADRAYLAKYERAVSLMKKLPADDPRSFEQQWRVHCAYCDGAYDQVGFPGLEIQIHSCWLFFPWHRMYLYFHERILGKLIGDETFALPFWNWDAPDGMSFPAIYANRWSPLYDPRRNQAHLPPFPLDLDYSGTDTNIPKDQLIDQNLNIMYRQATRKAELFMGQPYRAGDQPEPGAGTVESVPHNPVHRWTGDPRQPNGEDMGIFYSAARDPVFFAHHGNVDRMWHIRRGLLFPGDTDFTDPDWLDASFFFYDEEARLVRVRVRDTLDPSALRFTYQDVGLPWLNAKPSTGAASTPAPAAGAFPATLDKTVRVAVTRPRASRSREEKEEEEEVLVIEGIEIPDHSTYVKFDVFVNAPESGDGAATCAATCAGSVALAPHGIHREGQLSPRKTEARFGICDLLDDIGADGDKTIVVSIVPRCGCDSVTVAGVSIGYAKMVPRERFMAKYENGENGMLSQTETNKRQKSNDALLDSLDTH >ONIVA04G24860.1 pep chromosome:AWHD00000000:4:24728459:24730086:1 gene:ONIVA04G24860 transcript:ONIVA04G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESINVARGASVTPRMALPCISNLQTLHYNLLLHRNRKTNGRKLRSVSCRASAGRGGGRGLDRRDVLLGIGAAAAMVATQGGGGALAAPIQAPDLGHCHEPVDLPDPDTAPEISCCPTYSAGTVAVDFTPPPASSPLRVRPAAHLVDIAYMTKYERAVSLMKKLPADDPRSFKQQWRVHCAYCDGAYDQVGFPGLEIQIHSCWFFFPWHRMYLYFHERILGKLIGDETFALPFWNWDAPAGMSFPAIYANCRLSSLYDPRRNQAHQPPFPLDLNYNGTDPTIPEDQLIDQNLKIMYRQASNHIHSLTQTRN >ONIVA04G24850.1 pep chromosome:AWHD00000000:4:24715884:24718389:1 gene:ONIVA04G24850 transcript:ONIVA04G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGQAQADTFTADSVGGILRDEKNGSGRGRRIPRAFGYERQRTGRRLPAADRRRRTREATVGSQNRNRETAKKKCLTCWGRWYGPMISGARKTELFMGQPYRTMPATSRNRGWAPLSTRACAARPRPPMDRRSEAAERRGHGRAPYLAARDPVFFAHHGNIERLCFHFYNEDARLIRVRDSLDAARCASPVHTGAHDRRLPGNLDKTVRVAVTRPKTSRSRKEKDAEEEVLVIEGIEVSRPLHREREHRGGEYMHRERRANADSVHHDERMRSPRKTVARRERGLPTPQRLQKSSIFAVGATARLRKWKGQKKKSPAHAGSRRRGSTPSSPPPASPLLRPDLGGRGKGAVAAARPAPSLLHAVVVAAGLPPSLLRSDLGGRGVAAAAARPAPSLPPPASPLPPPARSGREGGGELLSWLPHVVGAVAAPAGLPPPSSGQIWEGGGRGTAVVAGSRASLPMSPSAATACRAPPSPPAPL >ONIVA04G24840.1 pep chromosome:AWHD00000000:4:24711233:24713479:-1 gene:ONIVA04G24840 transcript:ONIVA04G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H669] MAKSSFKLDHTLERRQAEANRIREKYSDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLL >ONIVA04G24840.2 pep chromosome:AWHD00000000:4:24711235:24713468:-1 gene:ONIVA04G24840 transcript:ONIVA04G24840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H669] MAKSSFKLDHTLERRQAEANRIREKYSDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLL >ONIVA04G24830.1 pep chromosome:AWHD00000000:4:24688546:24699737:1 gene:ONIVA04G24830 transcript:ONIVA04G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein family [Source:Projected from Arabidopsis thaliana (AT1G11860) TAIR;Acc:AT1G11860] MRGLLACATLARRAAGATSTARRHLAGAAEAAEAELKKTALYDFHVAHGGKMVPFAGWSMPIQYKDTIMDSTLNCRANGSLFDVSHMCGLSLHGRQAIPFLESLVVADVAALKDGTGTLTVFTNDRGGAIDDSVVTKVTDQHIYLVVNAGCRDKDLAHIGEHMEAFNKKGGDVKWHVHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGYACFLTRTGYTGEDGFEISVPSENAVDLAKALLEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGADVILKQLQEGPKIRRVGLLSQGPPPRSHSEIVSNSGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >ONIVA04G24830.2 pep chromosome:AWHD00000000:4:24688546:24699737:1 gene:ONIVA04G24830 transcript:ONIVA04G24830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein family [Source:Projected from Arabidopsis thaliana (AT1G11860) TAIR;Acc:AT1G11860] MRGLLACATLARRAAGATSTARRHLAGAAEAAEAELKKTALYDFHVAHGGKMVPFAGWSMPIQYKDTIMDSTLNCRANGSLFDVSHMCGLSLHGRQAIPFLESLVVADVAALKDGTGTLTVFTNDRGGAIDDSVVTKVTDQHIYLVVNAGCRDKDLAHIGEHMEAFNKKGGDVKWHVHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGYACFLTRTGYTGEDGFEISVPSENAVDLAKALLEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGADVILKQLQEGPKIRRVGLLSQGPPPRSHSEIVSNSGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >ONIVA04G24830.3 pep chromosome:AWHD00000000:4:24689567:24699737:1 gene:ONIVA04G24830 transcript:ONIVA04G24830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein family [Source:Projected from Arabidopsis thaliana (AT1G11860) TAIR;Acc:AT1G11860] MRGLLACATLARRAAGATSTARRHLAGAAEAAEAELKKTALYDFHVAHGGKMVPFAGWSMPIQYKDTIMDSTLNCRANGSLFDVSHMCGLSLHGRQAIPFLESLVVADVAALKDGTGTLTVFTNDRGGAIDDSVVTKVTDQHIYLVVNAGCRDKDLAHIGEHMEAFNKKGGDVKWHVHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGYACFLTRTGYTGEDGFEISVPSENAVDLAKALLEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGADVILKQLQEGPKIRRVGLLSQGPPPRSHSEIVSNSGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >ONIVA04G24820.1 pep chromosome:AWHD00000000:4:24684286:24688248:1 gene:ONIVA04G24820 transcript:ONIVA04G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITNVSEYEQLAKQKLPKMIYDYYASGAEDQWTLKENREAFSRILFRPRILIDVSRINMATNVLGFNISMPIMIAPSAMQKMAHPEGELATARAASAAGTIMTLSSWSTSSVEEVYKDRNIVRQLVRRAELAGFKAIALTVDTPRLGRREADIKNRFNLPPHLVLKNFEALDLGKMDKTNDSGLASYVASQVDRSLSWTDVKWLQTITSLPILVKGVMTAEDTRLAVESGAAGIIVSNHGARQLDYVPATISCLEEIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTSLAEITRNHVITDSDRIRRSRL >ONIVA04G24810.1 pep chromosome:AWHD00000000:4:24676854:24678650:-1 gene:ONIVA04G24810 transcript:ONIVA04G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G26940) TAIR;Acc:AT5G26940] MSSILRSIQLRNSIWSSFPVRFLKQQAGLSTVELLDPRSCGKRHFTTQVQEHGKEVAAAATILVFDLETTGYFHKDHRIVEFALCDLSGGKNSTFETLVNPERTVPDYVEHLIKIGTDLVCRPGIPRFSDVIPLLLAFVRSRQAPGKPVLWVAHNAKQFDARFLAQEFDRCSAPLPADWLFFDTLLLAKKMVKAEGKKRRTSLEALREHYGIVSHDDAHRAMRDVMILSQVFQKMTFDLKLTNEELINEAMKASEFSKIS >ONIVA04G24800.1 pep chromosome:AWHD00000000:4:24664896:24669031:-1 gene:ONIVA04G24800 transcript:ONIVA04G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSSYGENVRRKSHTPSAIVIGSGFAGIAAANALRNASFEVVLLESRDRIGGRIHTDYSFGFPVDLGASCLSGTIIFNPLPFCVSIRLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTKGHQVPQELVEKIGKVFETILEETGKLREETKEDISIAKAIAIVMERNPHLRQEGIAHDVLQWYLCRMEGWFATDADAISLQGWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLGHRVVEIVRHRNRVEVTVSSGKTFVADAAVIAVPLGVLKANTIKFEPRLPEWKEEAIRELSVGVENKIILHFSEVFWPNVEFLGVVSSTTYGCSYFLNLHKATGHPVLVYMPAGRLACDIEKLSDEAAAQFAFSQLKKILPNAAEPIHYLVSHWGSDENTLGSYTFDGVGKPRDLYEKLRIPVDNLFFAGEATSVQYTGTVHGAFSTGLMAAEECRMRVLERFRELDMLEMCHPAMGEQTATVSVPLLISRL >ONIVA04G24790.1 pep chromosome:AWHD00000000:4:24661799:24662245:-1 gene:ONIVA04G24790 transcript:ONIVA04G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQLVARFSVEVAPPLLSSIIRPRRRRGFPAMLDTIAEEEPDAPPPPAIVMARELSASYALLRGVAAAAAAVAPAPAPPPPPPGNVMVGSSCSSLVLVRAEAKEKRCVVVVVGSSAASAAVVHGEKRLHLAAAPASEAAACSEIGA >ONIVA04G24780.1 pep chromosome:AWHD00000000:4:24658738:24660291:-1 gene:ONIVA04G24780 transcript:ONIVA04G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLAGRGGANHHHHHHQWGETRAPLAPVAPNSDPNHPLQQRANGSATRPPPSSYVALRPGAMAHREARALRDRLAGELGQVRALIARIDTWQQGQVKRHGSPRRDLPTPPAKLRAAMRKRCEQILAKLRKDKRSIWFNAPVEVDRLGLHDYHAVIKCPMDLGTVRANLAAGRYSSHDDFAADVRLTFSNALRYNPAGHEVHTFAGDLLASFEKMYKAFMSWFEQELKLLEPPMPVPPPELPPATAPAQVKPRAGNVKMRKPKAREPNKREMTLEEKNLLRVGLESLPEEKMHNVLQIVRKRNGNPELVGGEIELDIDEMDVETQWELDRFVNNFKKALNKSRRAAIVNGENADVIDASVANDSDMLVNGSTATMVDNGDVTMAIESKDPDKITAQAEQLDEYVDIGDEMPTATYQSVEIEKDTEAASSGSSSSSDSGSSKDSVSESGNAHSLV >ONIVA04G24770.1 pep chromosome:AWHD00000000:4:24647975:24657676:1 gene:ONIVA04G24770 transcript:ONIVA04G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEATLLEGGISWLAETILENLAETDKLGAWIRQVGLADDTKKLRSEIERVEAVVADVKGRAIENRSLARSLGRLRELLYDADDAVDELDYFRLHQQVQGDACQGAPGSVDGHGGGAEQTERPLGNAGEGEASGSAGTRRSGVWQHFEIVEEKNGKPAKAKCIDCHTVVKCGSDNGTSVLHNHRNSGKCKRKRGGATDQPPNLPSASEGVVINGNSAATGNSVGRKRMRTEGASADHVAANSHPWNKTEISNRIKQMTHLLQEAMNEVLQLRGLDYFASSNIHQSTTSDQLLGTSGLLQRKMYGRVEEKNSIIKLMTEAEANSITVVPIVGIGGIGKTGLAQFVYNHPTVESLFQQKIWVWVSNNFDKVRITGDMLDFVGQEKHERSCSFPKLQEILMNRMKSKRFLLILDDVWDSMNNYRLNKLLAPFKANNAKGNVILVTTRIWSVAKRIGTVEPIKFGALDKEDSWLLFKSCAFGDQNHEPTGVLSTIGQKLIDKLEGNPLAVETAGELLSEHHTVDHWNNILKNEDWKSLQLSGGIMPSLKRSYDQLAYRLQQCFLYCSIFPRSYQFCGQDLVRIWISLGFVRCRHSNKRLEEIGEGYLTLLVNSGLFQQVQREESSPESQPCYVMCGLMHDFARLISRTDFATIDGKQCTEILPTIRHLSILTDSAFCKDQDGNIVRNKRFEERLQTLATYSNKLRTLVLIGQYDCFFFQSLVRVFQKAQNLRVMHIDAEYAGFNSFLCEKVNHIHLRYIKLRAVEEDGALPQVLSRFYHLQVLDMGSDANPTIFNGIHNLVSLRHLVAQKGVCSYITSIGKMTSLQELHDFRVQKSSGFEISQLLSMNELVNLVVSRLKRVRSQQEACGASLKDKQHLERLDLSWRDANDGYYSDMSNENEHDSDMGSESESDSDSSSENENDSDMMFEASMDIETEGERLPMIDIDGSQRLEHFRDMASEVLEGLEPHHGLKYLRISGYNGSTSPTWLPSSLTCLQTLHLEKCGKWQILPLERLGLLLKLVLIKMRNATELSIPSLEELVLIALPSLNTCSCTSIRNLNSSLKVLKIKNCPVLKVFPLFEICQKFEIERTSSWLPHLSKLTIYNCPLSCVHSSLPPSSIVSKLLIGKVSTLPTVRGSSSGTLIIGLHPDEVDDDDGLEDSDQLKTLDDKVLLFHNLRFLTSLAIYGCRNLATISIESLRQLVCLKSLELYGCPKLFSSDVPPELTCEYMSGANHSALPSLECLDIEDCGITGKWLSLMLQHVQALQELSLKDCQQITRLSIGEEENSQPNLMSAMEDPSLGYPDRDKLLRLPLNLISSLKKVSITCCDDLTFDGSKVDFAGFTSLEELVISACPKLLSFLAHNDGNDEQSNGRWFLPLSLGGLEIGYVDSLKTLQPCFPENLTSLKKLKVWENQSLTSLQLHSCTALQELIIQSCESLNSLEGLQWLGNLRLLQAHRCLSGYGEYGRCTLPQSLEELYIHEYSQETLQPCFSGNLTLLRKLHAHRCLSGHGEDGRCILPQSLEELFISEYSQETLQPCFSGNLTHLKKLQVKGNSSLVSLQLHSCTSLEELIIQSCESLSSLDGLQLLGNLRLLQAHRCLSGHGEDGRCILPQSLEELFISEYSLETLQPCFQMNLSCLKKIEVLDTASLKSLQLQSSTALEHLRIKWCASLATLEGLQFLHALKHLEVFRCPGLPPYLGSLSGQGYELCLRLERLDIDDPSILTTSFCKHLTSLQRLELNYCGSEVARLTDEQERALQLLLSLQELRFGSFYNLIDLPAGLHSLPSLKRLEIWWCRSIARLPEMGLPPSLEELDILGCSKELVQQCRTLASKLKVSITCCDDLTFYGSKEDFARFTSLEELVISQCPKLISSWVHTDGNDEQANGRLFLPLSLGELEIADDDCLKTLRPCFPGNPTRLKKLEVWRNQSLTSLQLHSCTSLQVLIIQSCKSLNSLEGLQSLGNLRLLRAERCLIGYGEYGRCILQQSLEELYISEYSQETLQPCFEMNFTCLKKLEVSGTASLKYLELQSCTALEHLKIESCASLATLQGLQFLHALRHLEVFRCPILPPYLGSLSGQGDELCPRLERLKIDDPSILTTSFCKHLTSLQRLELNHCGSEVARLTDEQERALQLLTSLKKLQFGSCYNLMDLPAGLHSLPSLKRLEIERCLFIARLPVKGLPPSLEELDISYCSKELAQQCRTLASKLKVKIDWRYVN >ONIVA04G24760.1 pep chromosome:AWHD00000000:4:24645415:24645774:1 gene:ONIVA04G24760 transcript:ONIVA04G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMVVIIRILALIPDAYRNAERLPVALISGGVVETVAAIFLAFFKPPGGLFEHHGKAPFYLYYGILGFVAVFGFAEATAGFWVSGDLAERRAVGKTFLWVSILPLVLVAAFGGFVFMR >ONIVA04G24750.1 pep chromosome:AWHD00000000:4:24641684:24642043:1 gene:ONIVA04G24750 transcript:ONIVA04G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMAVIVRILALISDACRNAEKLPAAMISCGVVEAAAAIFLAAFKAPGGIFLHHGKAPFYLYYGIIGGVAIFGFAEAWAGFWVSGDLNGRRVVGKTILWVGILPLVLVTALGGFVFMG >ONIVA04G24740.1 pep chromosome:AWHD00000000:4:24636898:24640354:-1 gene:ONIVA04G24740 transcript:ONIVA04G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTGHRDGGTAGPPPPPAMRRCGSGAAGIPPKLRNDKRPGRLVEVERPHWKPAASGTTADLATGKPNLAAGLVINILFRRCGRVRRCERSCGASGSTPPWRSTDRLGLHDYHTVIECPMDLGRTVHTFAGDLLASFEKMYKASVSWFEQELKLLEPPIPVQPPATAPAQVKPRAGNVKMQKPKAREPNKREMTLEEKNLLRVGLESLPEEKMHNVLQITRKRNGNPELVGGEIELYIDEMDVETQWELDRFVNNFKKALNKSRRAAIVNDKHPFPAMRKGATLRKVMRSIWFNAPVEVDRPPRAPRLPHRHRVPHGSRQDGEGEHRRQKVHTFAGDLLASFEKMYKASVSWFEQELKLLEPPIPVQPPATAPAQVKPRAGNVKMQKPKAREPNKREMTLEEKNLLRVGLESLPEEKMHNVLQITRKRNGNPELVGGEIELYIDEMDVETQWELDRFVNNFKKALNKSRRAAIVNGENADVIDASVANDSDMLVNGSTATMVDNGDVTMAIESKDPDKITAQAEQLDEYVDIGDEMPTATYQSLEIEKDTEVASSGSSSSSDSGSSKDSVSESGNAHSLV >ONIVA04G24720.1 pep chromosome:AWHD00000000:4:24598460:24629716:1 gene:ONIVA04G24720 transcript:ONIVA04G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPSLRGLSLGGCSDELSMQCRMAATEKLQGLKREVGSKKAVTSGKEWVHSVLRTTPLDTLSLLLLKIRSSHKEHFGPKGYDTGAIKGRNGAAGRHEPMTISEEERRLMGVEWSVVDAAIGWLVQSVLGTLLAGKLEAWTREVGLAGDVRRLEVGMRSVEMVLAAAAARGRELVGNEPLARSLDDLRQLLYDAEDVVDELDYYRLQLEIEQREDAAAAVDSCVEGGNASSFSSTSSSTCRLVWNAATKLTSWASMAVDFVMAHAGSKRKRGQYELAQDDDTVVVVVPFENKDDISRRINEIATSLCTISDSVHKAIHLEASYRIAVTKEGVVSNRRLTTSVPVEQKVYGRDSDRDMIVELLVNGKSRDLNVLPIVGNGGVGKTTLARFVYRDRRIKDHFDLQMWVCVSSIFDEVRLTREMLEHACRDRQDCEKISSFNVLQEMLMDSVRNRRFLLILDDVWEDKDKNRWNRLLAPLRQNQTVGCMILATTRSPSVAKKIRTLTSVELKGLDDDNFWLFFKQCAFGDENHGDHPSLQVIGQQIAKTLKGYPLAAQSVGALLGQNLNYEHWWKIRDQWASLQKGDDDILPILKLSYDYLPSCLQRCFSYCSLFPQEHKFDGEKLIFAWISQSFVSCKDTKNREEQTGREYLDKLVDLGFFQKDGPHYVMHGLMHELAQAVSSNDCATIDGLKSNSIPSTIRHLSIITSAYDEVEHDSFPTDKFERQLEKITCLKKLRTLMFFGHGPYGNRNLLKCLLTLCKHAKGLRYLRVHFAFMLIDALWNSIKPFHLRYIEFFNGSITFEKSCWWETEDGPRFSNVYTQLLPTCYHLQALDAGSSSNLVPRRINNLVNLRHLIADKERHSEIANVGRLTSLQELRKFRVENVDGFEIGQLQQMNELVSLGIFQLGNVKTKEEARRARLIDKDYLENLCLVWDDSTTSLKPAMATAEEVLEGLHPNRNVKRIKIRGYNGAICPMWLGSNVSVPLLRSLHLKNCSEWRAIQLEEISSLGKLNLIRMWSLVDVSIPSLDELVLIDLPNLEKCIGTYNRELTSNMRILRMERCGKLKDFTLFLNYDHFRVERKTWQWTILPFEEMHSLKDLKLIAMPGVREVSVPYLKKLVIRNMPNLECCTCANLDLLSSCLEVLKITKCRKLTSFQVLQVSPPHCEEKTWLPNMNKLKVSVSIRGVLAPPAIEMRKHWPLFTIKSYEWSVLNDDTVAFNNLTSILNFRIINCPNLVSVSFEALSQLRSLQSLEIVDCPRLLWPQMMLAEACEGKTKFPSITHLSIVSSSMLGDPLLLPCTQSEQLTVNDKPSTFSCPVEEQSNHLSLLYISSTTTTATTESTRNGPILFHMPHLLYTYVKKLHISDCPNLVFCSRKVGFAGFTSLEELTVTRCPKLLMPMVHEGVSDDHIGGRFLLPPLLNQFETDHLSEKLQLYFPENHTSLRRLSVWDSPSLICLQLHSCTKLEELEIFNCKNLCTLGGLTFLSSLKIMKLARNPKLSTSWEFDSQDQQGTGDQAGDLSILSGLEWLETDDFSVLTMSFFKHLNSLRHLTLSSSRSYWRVVRLSEGQGRVLHQLTHLQELRFLCCDDLLVLPEQLHCLSSLKKLEIGYCPGILRLPEEGLPLLSLEELETRGCTEELNRQCRLAATEKLKVLIDGKELVSEMRNVAVVLEAAKGMKVGDQNEPMAGSLLHLKDLLYDADDVLDKLDYCRLQEQIIKGNSEDVTPTPTSSFFSINRWFTLTGWKRKREENHTLLDNKRQFSATIKQIAGKLRDARGDVSKGLKINGLKSPEASNLSHRSTARATNATTTSYVLEPIVYGRATEIESIKNLIMSNRSDGMIVLPIVGNGGIGKTTLAQQIYKDSEIGKSAIKIWIHVSDKFDLHKVTREILECVSKKKQKETSNFNMLQQDLEENMKSKRFLIVLDDVWDVTTDCWNKLLAPLRANHVNPSKEKVTGNSMVIVTTRKNTTAKLCGTVGSINLEGLKDDDIWSLFKAYAFGSDKHSNNPILQNLGRKIAKELNGNPLAAKTVGSLLRRNLTVDHWSSIIENKEWKSLQHTDGIMHTLKFSYDHLPSHLQQCFSYCSLFPKGYSFSEAQLIQIWIAQGFVEKSSEKLEQKGWEYLAELVNSGFFQQVENEWPSSEDIVLHDLMHDLARMVSKTECATIDGSECEKLAPSIRHLSIVTDSAYSEDPHGNISRNEEFEKRLLKVMSRSKLRTLVLIGQHDSLFFQSFQNLFKEAQHLRLLQMSSTYANFDSFLSNLVNYIHLRYLRLENEECEGALPQALDKCYHLQVLDIGSCTTPNEYVSNVSYVNGYDSDMSSEPSMDMETEGEELPSSDSNGSPSSEYFTDIISNEVIYGLEPHHSLKHNGVASPTCLATSLTSLQTLYLENCGKWQILSLERLCLLKKLVLIRMSNVVEVSICSLEELVLIKMPKLKRCFCTSIRNLNDNLRVLMIKTCPALEVFPLFDNCQQFKIEQPSWLFRLSKLVIHKCPHLHVHNPLPPSTNVSKLSITGVSTLPTVEWSRGILRIGVLDDSGDPSVIDEPSDQLITLDDKVLSFHNLRFLTELVIAGCQNLTSISLQGLRQLIYLRTLEIRGCPKLFSSNMPPELVRENMAATYHNALPSLEYLFIAACGITGKWLSLILQYAQALQVLDLYQCEQITGLSIGEEESSQPNLMSTPETLSLGHQGDSPTSSARDGLVRIPLNLISSLKHINIGDCPGLTYNGNDEGFAKLTSLESLRIMNGAKLLSSLVHGNGYDERKNIKLIPLSLEVLELRGYDLPEEVVPDFLRNPIRLKKLSVMDTLSLKYLQLQSCTALEELEIANCESLATLEGLQSLRGLKNLSIWGCPILPQWLRSSLEQVQELLPRLERLEIDDPSVFTSFCKHLTSLQRLILSSCNWELVRQTDEQDIALQLLTSLQELSFTCCRNLGDFPVDLYSLPSLKRLNIYYCKDISRLPGKGLPPSLEELDINDCSEELNDQCRMLPSKLKEDEQRRRFTSRSLNLVRLPEMELMAVIVRILALISDACRNAEKLPAAMISCGVVEAAAAIFLAAFKAPGGIFLHHGKAPFYLYYGIIGGVAIFGFAEAWAGFWVSGDLNGRRVVGKTILWVGILPLVLVTALGGFLTFTHHPVFHFTC >ONIVA04G24720.2 pep chromosome:AWHD00000000:4:24629214:24635038:1 gene:ONIVA04G24720 transcript:ONIVA04G24720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEAGLLEGGIGWLAETILENLDADKLDEWIRQVGLADDTKKLRSEIERADGVVADVKGRAIGNRSLARSLGRLREVLYDADDAVDELDYYRLQQQVQGDEWQGAPTSADEQGAEQADRPNSNDGIASGSRGKKRSEAWAHFKEENGKPGKARCIHCHTVVKCSSDKGTSVLHNHLKSSSCNKKRQASDQQPNPSSSTTEATANGMPVELGGSGSRKRIRINGESSTQNNASDTRQWSKAEFSTRIQQIILELQGALGAVSEFLKHHGPDSVVCSNHRLITTVNLCRRTSSLNPHKMFGRDAAKNAIMKIITDDSYDGVTVVPIVGIAGVGKTALAQLVYNDPIVKCDFELIWVWVSDNYDELRLTMEILEFVSHEESTQIKESHKGVSSFAKLQEILNGYMDIKSKKFLLVLDDVWDTMDDYRWNTLLAPLKPSRAKGNMILVTTRSLSLAQRMGTVEPIELDALSTEDFLLYFRTCAFGDVDYEAHPSLNIIGQKIADELHGNPLAAQTAGWLLRKHLSIDHWSNILKNEDWKSLHISRGIMPSLKLSYDQLPYNLQQSFLYCSIFPSNYSFLSEELISIWISQGFVHCNASSKRLEEIGRDYLTDLVNSGFFQQVFRRGFFQQVFRRNFFQQVERTEYIMCGLMHDFAKLVSRTEYATIDNVQSNKILPTIRHLSILTDSEHYEGPSNDKLEERIRNAVKAVESQQHLRTLVLIGQHGSLFFQSFKDIVRKVHHLRLLQISATCTDVDPLISNVVNPTHIRYIKLHSKALPLSLSKFYHLQVLDAGPVSDLVIPNGMDNLVSLRHLVAAERACSSIVNIGKMTSLQELCDFNVQSSSGLEMAQLQSMYELVKLGVSGLKSIRTQGELYVASLKDKQHLEELQLFWKDAKDGYFFSKLIKDGYDSDSDTRSENDNDSLEHLPDIACEVLDGLEPHHNLKHLRIFEYNGSTSPTWLASSLTCLQTLSLENCGRWQILPLERLPLLIKLVLIKMKNATEVSIPSLEELVLIGLPSLNTCSCTSVRNLNSSLKVLKIQNCPALKVFPLFDNCQKFEIERTSSWLPHLTKLTIYNCPLSCVHGSLPPSSIVSKLSIGNVSTLPTVRGSSTGTLRIGPDPEYSSDFFDQDSDQLETLDDKVLLFHNLRFLTSLAIYGCRNLATISIESLRQLVCLKSVELHNCPKLLSSNIPPELKCEYMSGANHSALPSLERLHIEDCGITGKWLSLMLQHAQALQELSLKDCKQITRLSIGEEENSQPNLMSAMEDPSLGYPDRDKLLRLPLNLISSLKKVSITCCDDLTFYGSKEDFARFTSLEELVISQCPKLISSWVHTDGNDEQANGRLFLPLSLGELEIADDDCLKTLRPCFPGNPTRLKKLEVWRNQSLTSLQLHSCTSLQVLIIQSCKSLNSLEGLQSLGNLRLLRAERCLIGYGEYGRCILQQSLEELYISEYSQETLQPCFEMNFTCLKKLEVSGTASLKYLELQSCTALEHLKIESCASLATLQGLQFLHALRHLEVFRCPILPPYLGSLSGQGDELCPRLERLKIDDPSILTTSFCKHLTSLQRLELNHCGSEVARLTDEQERALQLLTSLKKLQFGSCYNLMDLPAGLHSLPSLKRLEIERCLFIARLPVKGLPPSLEELDISYCSKELAQQCRTLASKLKVKIDWRYVN >ONIVA04G24710.1 pep chromosome:AWHD00000000:4:24576864:24598413:1 gene:ONIVA04G24710 transcript:ONIVA04G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSMFRVVATATPSEMKPRFCSGLCKVCCCNRSLPATTLVHWEMMGLVGSVIDASIGWLVESILRSFFTGQMEVWTCEVGLTEAVEKLKFEVMNVEMLLAAAGHAASEGIKIENKTLTQSLDDLRDLLYDADDVMDELDYYRLQEQIEKGEGFSDNIGKNPEASYESSSTPFSPYQLVCSARSQITSWASSDRKRKREEEETTDSTTLPLEIKHAISERITRIANNLQKIGNSVLKFLKLEISVLSLRSNQGQSVARNTRLTTSVLIEPKVYGRDAERDRIIELIINEGSSDLRVLPIVGIGGIGKTTLARFVYRDQRIIDHFDLQMWICVSTNFNEVRITQEILEHVCQNKQEYKDVSNFNVLQGILLKNIRDKRFLLILDDMWEDKDRSGWDNLLAPLKFSQAAGCVVLATTRRNSVAQMIGTVNALQIIGLGEEEFWLFFKACAFGNENYEGHSSLQSIGKQIVKALKGCPLAARSVGALLNRDLSYEHWRTVQDKWKSLQRCFSYCSLFPEDYQFHGDTLVQAWISQSFVQREDTSKRMEETGMQYLDSLVYFGFFQKVDSHYVMHDLMHELAQQVSHKECDTIEGFHSNTIRPGIRHLSIIITGHDEYEYANIPFEKCEEILKTISPLQKLRSLMVFGSGGTYLLKFLQVVCEEAKCLRLLSVAVPSSYTSFIYNLTKTPHLRYLKIVEVHGSKDHFDFPQALTTFYHLQVLDFGIYKKIYVPTGVSNLVNLRHLIANDKVHHAIACVGNMTSLQELKFKVQNVGSFEIRQLQSMNELVTLEISHLENVKTKDEANGARLTYKKYLKELSLSWNGDSMNLEPERTKDVLEGFQPHHNLESLHIAGYSGPSSPMWLSRNLSVRSLRSLHLENCKEWLTLKSLEMLPLRKLKLVKMFNLVEVSIPSLEELILIEMPKLEKCFGAYGIELTSHLRELMIKDCPQLNEFTPFQSYSSFKAEQKSWFPSLNKLTIACSPQISKWEILPLSEMQALKELELIDQHAVRELLVPSLEKLVLIKMPSLESCTGLTASPPLQICTSQVDQKELLSCLRELIVHDCPCLVVSNPLPPSAMLSHFSIKEIPSIPTMEKTHAFTIKSGELVMLDDKILAFHNLRGFNQLIDLEELNITDCPNLIMTSGLPIEMEDTSSLGSATMPLSRDDKLFKIPSNIIPSLRYLEISDCPDLEFDGEEGALRGYTSLQHLLIQRCPKLVPLLVNGMVDVGILPPSLLRLKIDMSPELSTAWDLKLQEHGQIPLPPPSLVELDISNLTDKDQSRLLSWLPTITSLIIRECPELTTLQLGYSKALRQLEIVDCKLLASVEGFGSLTDLLLLTVHNSPNLPECFKLLSQQRGASTILSRLVFLNALLRTSGGTTVPSRGLLKAQAVLRVSQHSVVDAAIGCLVQSILGSFFTEQMEAWTREIGLAEDIEKLEFEMMAVERVLAAAEGRSIDNKLLAQSLGSLRELLYDAEDVMDELDYHRLKQQIEKGEGCSAAAGNNPGTNYAASSISSSAYQLICSARQKIISWISSDRKRKREEEEPTDRTMLPLEIKRDISKRINRIANNLQKTSNSVSGVFQLEISCRGLTSNQRHRMARNTRLTTSVPIEPKVYGRDADRDRIIEMLINEGSSDLLVLPIVGIGGIGKTTLARFVYRDQRVIDHFDFQIWICVSTNFNEVRLTLEILEHVCKDRQEYRDVSNFNVLQEILLKNIRDKRFLIILDDMWEDRDSSGWDKLLAPLKCNQVTGCVVLATTRRNSVAQMIGTVNAFQISGLDEKEFWLFFKACAFGNEAYEGQPSLQSIGQKIAKTIKGCPLAARSVEDHWFNEETLVQAWISQNFVQYEDTGAGLEETGLQYLANLVDFGFFQKIGSHYAMHDLMHELAEQVSSNECAKINGMQLNVIPPNIRHLSIITTSHENDAPEDFLIEKFEEILQKIRPFQKLRSLIVAQNYQIPHLLFAKKQNVYEFVSNAGINSLQNSLNPYHLRYLEFIGAYGDVVLPQALTSFYHLQVLNVGIHGSHDVPTSMNNLVNLRHLIAHDKVHRAIAGVGNMSSLQELNFKVQNVGDKEYLKKLSLSWNGGSVSLEPDRSKDVLEGLRPHHNLKTLSITGYSDPNSPTWLSSNLSETEIGQDVXKEFWLFFKACAFGNEAYEGQPSLQSIGQKIAKTIKGCPLAARSVGALLNRDVSYEHWRTVQDKWKCLQVKDDDIIPILKLKDHRLFGETLVQAWISQNFVQYEDTGTGLEETGLQYLANLVDFGFFQKVGSHYVMHDLMHELAEQVSSNECAAINGLQRNEAKCLRLLRVYVSSADINSVQSSLNPYHLRYLEFIGVYGDVVLPRVLTSFYHLQVLNMGIYRSHDVPTSMNNLVNLRHLIAHDEVHRAIAGVGNMASLQELNFKVQNVGGFEIRQLQSMNKLVTLGISHLENVKTKDEASGARLIDKEYLKRLSLSWNGCSVSLEPDRSKDVLEGLRPHHNLKTLSIAGYSDPNSPTWLSKLVLIEMPKLEKCIGTYGIELTANLRVLTIKDCPQLNEFTPFQSYSSFGAEQWFPSLRELTIGCCPHISKWEILPLREMHALKNLELVDLHVVRELLIPSLQKLVLINMPSLECCSGLTASTVQMSTSQRDTEWLSGLRELTIHDCPCLVLPCPLPPSALMSRFSIKGIPTHPTMEKKYGFSIKSAIEGASSLGSVAKHTDSDEQLLKIPSNISRRVLYISNCPDLELGGEEGALRGYTSLEFIKVQGCPKLVPLLVNGKMEELEQGLNRAEIRFHHHLRHLTDSISRISQTRYSSLGYSKLLKELEIVDCESLGSVEGFRSLTNLRFSTVYDSPSLPRCFEILSQQKGASEILSRLENLQIGDGSLTSLRSISFLPGRSKRGATMIGLTRGTRESTPASDLFPKSQSFGLAESLVTSCKSSKPYLP >ONIVA04G24710.2 pep chromosome:AWHD00000000:4:24576269:24598413:1 gene:ONIVA04G24710 transcript:ONIVA04G24710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDNVLRCKVCCCNRSLPATTLVHWEMMGLVGSVIDASIGWLVESILRSFFTGQMEVWTCEVGLTEAVEKLKFEVMNVEMLLAAAGHAASEGIKIENKTLTQSLDDLRDLLYDADDVMDELDYYRLQEQIEKGEGFSDNIGKNPEASYESSSTPFSPYQLVCSARSQITSWASSDRKRKREEEETTDSTTLPLEIKHAISERITRIANNLQKIGNSVLKFLKLEISVLSLRSNQGQSVARNTRLTTSVLIEPKVYGRDAERDRIIELIINEGSSDLRVLPIVGIGGIGKTTLARFVYRDQRIIDHFDLQMWICVSTNFNEVRITQEILEHVCQNKQEYKDVSNFNVLQGILLKNIRDKRFLLILDDMWEDKDRSGWDNLLAPLKFSQAAGCVVLATTRRNSVAQMIGTVNALQIIGLGEEEFWLFFKACAFGNENYEGHSSLQSIGKQIVKALKGCPLAARSVGALLNRDLSYEHWRTVQDKWKSLQRCFSYCSLFPEDYQFHGDTLVQAWISQSFVQREDTSKRMEETGMQYLDSLVYFGFFQKVDSHYVMHDLMHELAQQVSHKECDTIEGFHSNTIRPGIRHLSIIITGHDEYEYANIPFEKCEEILKTISPLQKLRSLMVFGSGGTYLLKFLQVVCEEAKCLRLLSVAVPSSYTSFIYNLTKTPHLRYLKIVEVHGSKDHFDFPQALTTFYHLQVLDFGIYKKIYVPTGVSNLVNLRHLIANDKVHHAIACVGNMTSLQELKFKVQNVGSFEIRQLQSMNELVTLEISHLENVKTKDEANGARLTYKKYLKELSLSWNGDSMNLEPERTKDVLEGFQPHHNLESLHIAGYSGPSSPMWLSRNLSVRSLRSLHLENCKEWLTLKSLEMLPLRKLKLVKMFNLVEVSIPSLEELILIEMPKLEKCFGAYGIELTSHLRELMIKDCPQLNEFTPFQSYSSFKAEQKSWFPSLNKLTIACSPQISKWEILPLSEMQALKELELIDQHAVRELLVPSLEKLVLIKMPSLESCTGLTASPPLQICTSQVDQKELLSCLRELIVHDCPCLVVSNPLPPSAMLSHFSIKEIPSIPTMEKTHAFTIKSGELVMLDDKILAFHNLRGFNQLIDLEELNITDCPNLIMTSGLPIEMEDTSSLGSATMPLSRDDKLFKIPSNIIPSLRYLEISDCPDLEFDGEEGALRGYTSLQHLLIQRCPKLVPLLVNGMVDVGILPPSLLRLKIDMSPELSTAWDLKLQEHGQIPLPPPSLVELDISNLTDKDQSRLLSWLPTITSLIIRECPELTTLQLGYSKALRQLEIVDCKLLASVEGFGSLTDLLLLTVHNSPNLPECFKLLSQQRGASTILSRLVFLNALLRTSGGTTVPSRGLLKAQAVLRVSQHSVVDAAIGCLVQSILGSFFTEQMEAWTREIGLAEDIEKLEFEMMAVERVLAAAEGRSIDNKLLAQSLGSLRELLYDAEDVMDELDYHRLKQQIEKGEGCSAAAGNNPGTNYAASSISSSAYQLICSARQKIISWISSDRKRKREEEEPTDRTMLPLEIKRDISKRINRIANNLQKTSNSVSGVFQLEISCRGLTSNQRHRMARNTRLTTSVPIEPKVYGRDADRDRIIEMLINEGSSDLLVLPIVGIGGIGKTTLARFVYRDQRVIDHFDFQIWICVSTNFNEVRLTLEILEHVCKDRQEYRDVSNFNVLQEILLKNIRDKRFLIILDDMWEDRDSSGWDKLLAPLKCNQVTGCVVLATTRRNSVAQMIGTVNAFQISGLDEKEFWLFFKACAFGNEAYEGQPSLQSIGQKIAKTIKGCPLAARSVEDHWFNEETLVQAWISQNFVQYEDTGAGLEETGLQYLANLVDFGFFQKIGSHYAMHDLMHELAEQVSSNECAKINGMQLNVIPPNIRHLSIITTSHENDAPEDFLIEKFEEILQKIRPFQKLRSLIVAQNYQIPHLLFAKKQNVYEFVSNAGINSLQNSLNPYHLRYLEFIGAYGDVVLPQALTSFYHLQVLNVGIHGSHDVPTSMNNLVNLRHLIAHDKVHRAIAGVGNMSSLQELNFKVQNVGDKEYLKKLSLSWNGGSVSLEPDRSKDVLEGLRPHHNLKTLSITGYSDPNSPTWLSSNLSETEIGQDVXKEFWLFFKACAFGNEAYEGQPSLQSIGQKIAKTIKGCPLAARSVGALLNRDVSYEHWRTVQDKWKCLQVKDDDIIPILKLKDHRLFGETLVQAWISQNFVQYEDTGTGLEETGLQYLANLVDFGFFQKVGSHYVMHDLMHELAEQVSSNECAAINGLQRNEAKCLRLLRVYVSSADINSVQSSLNPYHLRYLEFIGVYGDVVLPRVLTSFYHLQVLNMGIYRSHDVPTSMNNLVNLRHLIAHDEVHRAIAGVGNMASLQELNFKVQNVGGFEIRQLQSMNKLVTLGISHLENVKTKDEASGARLIDKEYLKRLSLSWNGCSVSLEPDRSKDVLEGLRPHHNLKTLSIAGYSDPNSPTWLSKLVLIEMPKLEKCIGTYGIELTANLRVLTIKDCPQLNEFTPFQSYSSFGAEQWFPSLRELTIGCCPHISKWEILPLREMHALKNLELVDLHVVRELLIPSLQKLVLINMPSLECCSGLTASTVQMSTSQRDTEWLSGLRELTIHDCPCLVLPCPLPPSALMSRFSIKGIPTHPTMEKKYGFSIKSAIEGASSLGSVAKHTDSDEQLLKIPSNISRRVLYISNCPDLELGGEEGALRGYTSLEFIKVQGCPKLVPLLVNGKMEELEQGLNRAEIRFHHHLRHLTDSISRISQTRYSSLGYSKLLKELEIVDCESLGSVEGFRSLTNLRFSTVYDSPSLPRCFEILSQQKGASEILSRLENLQIGDGSLTSLRSISFLPGRSKRGATMIGLTRGTRESTPASDLFPKSQSFGLAESLVTSCKSSKPYLP >ONIVA04G24710.3 pep chromosome:AWHD00000000:4:24570055:24577589:1 gene:ONIVA04G24710 transcript:ONIVA04G24710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLVGSVVDAAIGCLVQSILGSFFTEQMEAWTHEIGLAEDIEKLEFEMKAVERVLAAAEGRSIDNKLLAQSLGSLRDLLYDAEDVMDELDYHRLKHWIEKGEGSSAAADNNPGTNYAASSISSSAYQLICSARQKITSWISSGRKRKREEEEPTDSTMLPHDIKRDISKRINRIVNNLQKTGNSVSGVLQLEIPCRGLTSNQRHRMARNTRLTTSVPIEPKVYGRDADKDRIIEMLINEGSSDLLVIPIVGIGGIGKTTLARFVYRDQRIIDHFDLQIWICVSTNFNEVRLMLEILEHVCKDRQEYRDVSNFNVLQEILLKNIRDKRFLIILDDMWEDRDSSGWDKLLAPLKCNQVTGCAVLATTRRNSVAQMIGTVNAFQISGLDKKEFWLFFKACAFGNEAYEGQPSLQSIGQKIAKILKGCPLAARSVGALLNRDCCFSYCSLFPEDHWFNEETLVQAWISQNFVQYEDTGAGLEETGLQYLANLVDFGFFQKIGSHYAMHDLMHELAEQVSSNECAKINGMQLNVIPPNIRHLSIITTSHENDAPEDFLIEKFEEILQKIRPFQKLRSLMFFGQKFVSNAGINSLQNSLNPYHLRYLEFIGAYGDVVLPQALTSFYHLQVLNVGIHGSHDVPTSMNNLVNLRHLIAHDKVHRAIAGVGNMSSLQELNFKVQNVGGFEIRQLQSMNKLVTLEISHLENLSLSWNGGSVSLEPDRSKDVLEGLRPHHNLKTLSITGYSDPNSPTWLSSNLSVTSLQTIHLENCREWKILRSKILPLLRKLKLVKMFNLVELSIPSLEELVLIEMPKLEKCIDCPQLNEFTPFGAEQWFPSLRELTIGCCPHISKWEILPLREMHALKSLELIDLHAVRQLEVPSLQKLVLINMLILECCSGLTASTVQMSTSQGDKECLSGLRELTIHDCPCLVLSYPLPPSALTSHFSIKGIPTYPTMEKEYGQLSITSDELIMLDDKILAFHNLRGIESLFIKDCPNLVSISSEGLNQLIDLEGLYVTGCPNFTMTSGLVLPSVRFLSLQSCAISGSWLTEMLSHVRSLKTLKLHDCPQIKFLSFSEPAAMEGASSLGSAATHSDRDEQLLKIPSNIIHSLRDLFISNCPDLEFGGEEGALRGYTSLESIKVQSCPKLIPLLVSGKMEVGSLPPSIECLNIDMGPELSTVWDLKLQELEQGGNQVPPPPLSLRTFLITNLTDKIQSRLLSFLPTITTLVISGSPELTSLQLGYSKALKYLEIVDCESLASVEGFGSLTNLWSLTVYDLPSFPRCFEILSQQQGASEILSRLENLQIGDGSILTVSLCKQLTSLRSICFCPARSKRGATMTGLTEEQERALQLLTSLEYLKFLHLPNLLSLPANLASLTSLNWLRIGALQGCQRWAFHLH >ONIVA04G24700.1 pep chromosome:AWHD00000000:4:24561918:24569866:1 gene:ONIVA04G24700 transcript:ONIVA04G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNVGDRPCGLASPITSPPAHLEPPGPPRLSVACRRPQWSPAARGFAAILNMGKSSKKSAVEVAPTSVSVSEGKSGKKGKRNAEDEIEKAVSAKKQKTVREKVVPSKEEAKKVKKQPPPKKVESSSSEEDSSESEEEVKAQPKKTVQPKKAAQPAKEESSDDNSDDSSSDDEPAKKPVARPNKAALSTNSSSSDDSSDESSSDDEPVKKPAAPLKKPVALATNGSKKVETDSSSSDSSSDEESDEDDKKTAAPVKKPSVAAIQKKTQESDSSDSDSDSESDEDVPTKAPAVAKKKEESSESSDSESDSDSDDEAAAVKKEEESSDSSDSDSESESDSDEPAKPTIPAKRPLTKDTKKGQSKDESEDSSDESSEESDDEPPQKKIKDSTTSGTTKPSPKATKKEISSDDESDEDDSSDESSDEDVKQKQTQAKKVQAPVAQESSSSDESSEEDSDMESDEPAKTPQKKETAVSVGSNKSATKLGQEEPKTPASNQNQATGSKTLFVGNLPYNVEQEQVKQFFQEAGEVVDIRFSTFEDGNFRGFGHVEFATAEAAKKALELAGHDLMGRPVRLDLARERGAYTPGSGRDNSSFKKPAQSSGNTIFIKGFDTSLDIHQIRNSLEEHFGSCGEITRVSIPKDYETGASKGMAYMDFADNGSLSKAYELNGSDLGGYSLYVDEARPRPDNNREGGFSVGRDFNSSGRGGRRGGRGDGSRGRGDRGRGRGFGRGDRGRGGRGTPFKQSAGTPSAGKKTTFGDDD >ONIVA04G24690.1 pep chromosome:AWHD00000000:4:24560610:24561052:-1 gene:ONIVA04G24690 transcript:ONIVA04G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMDKGRALPKFGEWDVKNPASAEGFTVIFQKARDDKKTTGPGNARVGIPPAFRSTDDDGGYRPEFKPAESYQQHTPPKRVKKKWAFCAGC >ONIVA04G24680.1 pep chromosome:AWHD00000000:4:24550277:24560994:1 gene:ONIVA04G24680 transcript:ONIVA04G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVENEDLMDLLFSYLKPDRPHGTLLAGYFCKVVICLMLRKTLPFVNYVQGHPEIVSQLVDLIGITSIMEVLIRLIGADETMYSGYVDSMQWLDDIKVLEMIVDKFSSSDSPEVHANAAEILCAITRYAPPALATKISSASFVARLFHHAFEDSRPKSVLVHSLSVCISLLDPKRLVLASYQVFRSQLSHATLVTASPETVGGMLDSLGDLLKLLDVSSAENVLPTTYGVLQPPLGKHRLKIVEFISVLLSIGSEAAEIRLIHLGAIKRDQLICHVLDECKLVTRILEAEKNSALSIDLTKHTVPLEGRFTPRIGLVGHMTRISNKLIQLAKTNSIIQSHLQQNSGWAEWHAGTLTRRNAVENVYQWACGRPTTLQDRGRDSDEEDFRDRDYDDQVPHERDDEDVYFDDESAEVVISSLRLGDEHDSNSLFTNSNWFAFDEDKALNGEVNPEASPSPNSEISSPNVDDENDEVILTEVTDGRKGSESLLAVDLNEESSHTGLTNVSIDKLEDDIRPPTPDVKESPPEFVEWREEEAEPADVPENDTAVPNGEVGSVDQMDGIEDVMSGTTELRVEKEIEVLSGTSVPESTIGELLPGSTEISTTRHPEPVDDRNPMEPPMGEQKAES >ONIVA04G24670.1 pep chromosome:AWHD00000000:4:24546547:24547906:-1 gene:ONIVA04G24670 transcript:ONIVA04G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKTHLIRSNPGWEDSTYCHVYLEFSVLGQSEMLLLQYMMKYEVECQLYIYWVLTTWLELGYLDCEGTVICNYSSLEVLADTNASPFSGAFSCHLLACGLLVHAFSDFTKDICNFTVVALYGTYDTLHPETIEQCRASTGEKHGSESSLLVVNQAFSAVVHAVGRATNGYVQTLRQYKTGGHPDN >ONIVA04G24660.1 pep chromosome:AWHD00000000:4:24543029:24546314:1 gene:ONIVA04G24660 transcript:ONIVA04G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQAGALSPTKLRMKLLGTHNRVRVISNSSSRTSPSKNTEPSQAQNRLLVCDVLEEVSGSSDGSKCSSAINKTEALEKDPPLDINKVEDMTKSSVQQPASSNSSMIHPVRTIEEESNDCDSGIDNASTSSFEFHGGEKTAAQNPTSGYFSRQTSSKWNDAEKWIVNKQNVQQNISKGAPQNQSAQQMNSAAGRGFIVPKISNRNIIPRPMQNMKRPSPASSASRSILERLSFGSHQPKLVRHADVCTVNNAGVTSEYQTKATDNGSSIEIRPYKDPKAIPAVHSVSVRDVGTEMTPIPSQDPSRTGTPLGSMTPTRSPNCSIPSTPVGGRSTASPGDDNTDDGPYFNRKGGTNEISDDEMRLKTRKEIAALGIQLGKMNIATWASKEELELVSASPSIADLERMKKEYAARAAAYEEAENFKHTARFKKEELKIEAWESLQKAKIESEMKRIEEHAEKLRSEAMAKMAEKLEMTRRLAEEKRASANARMNQQAAKAVHKAELIRQTGRVPGSCILCCSGCFCQH >ONIVA04G24650.1 pep chromosome:AWHD00000000:4:24520863:24531901:-1 gene:ONIVA04G24650 transcript:ONIVA04G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDPLEWYCQPVNGGVWSDLENAFGAYTPCGTETLVVCISYFALFGVCFYRIWRTTRDYTVQRYKLRSPYYNYMLGLLVVLCIAESLYRIATGTSIMNLDGETSLAPFEVTSSIIEIAAWCCMLVMIALETRIYIYEFRWYIRFVVIYILVGEAAMFNLVLSVRQYYSSSSIFYLYCSEIIFKLLFGILMVVYLPSLDSYPGYTPVRHEALVDNTDYEPLPGGEQICPERHANIFSRIFFSWMTPLMQQGFKRPITDKDIWKLDSWDETETLYNRFQKCWNNELQKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPLILNLLLESMQKGDPSWSGYIYAFSIFAGVSLGVLSEAQYFQNVMRTGFRLRSTLIAAVFRKSLRLTNDSRKKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVIAMVLLYAQLGPAALVGAAMLVLLFPIQTVIISKMQKLTKEGLQRTDRRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDEISWFRSAQLLAALNSFILNSIPVIVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPLDPELPAISIKNGYFSWESQAERPTLSNVNLDVPMGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSNTSVVLRGTVAYVPQVSWIFNATVRDNILFGSPFQPPRYEKAIDVTSLRHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQIGTLSGYRVIASIRYGTPFVTVFDKCIKEELQHKTRVLVTNQLHFLPYVDKILVVHDGVIKEEGTFDELSNSGELFKKLMENAGKMEEQMEEKQDESKRQDDIKHPENGGSVIADGDMQKSQDTSNKTKQGKSVLIKQEERETGVISAKVLSRYKNALGGIWVVSVLFFCYALTEVLRISSSTWLSVWTDQGSTKIHGPGYYNLIYGLLSFGQVLVTLTNSYWLITSSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDIDRNVAIFVNMFMAQISQLLSTFVLIGIVSTMSLWAIMPLLILFYAAYLYYQTTSREVKRLDSITRSPVYAQFSEALNGLSTIRAYKAYDRMANINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDSRPPPGWPSSGVVKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILVDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSAGKVLEFDSPENLLSNEHSAFSKMVQSTGPSNAEYLKTLVFGDGEERLRKEESKMQDIQRKWVASNRWAVAAQFALAASLASSHSDLLALEAAEGNNILRKTKDAVITLQNVLEGKHNTEIDDTLAQYEVPSDRWWSSLYKVMEGLAMMSRLGRNRLQQPSYNFENNSSIDWDQM >ONIVA04G24640.1 pep chromosome:AWHD00000000:4:24515075:24522737:1 gene:ONIVA04G24640 transcript:ONIVA04G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMHRSSSDGGSSSGWSEAAAASAAGDERAGWEVRPSGMVVQAREEGPGGGGGGGGGMGIPPRPPPPEIKVRVKYGAARHEVAVSSIASFGELKKLLAARTGLPAADQRLTYRGKERGNADYLDVCGVKNKSKLYLAEDPTSVERRYIERQKSAKIETANRAIGAIALEVDKLADQVRSIEKSITRGSKVAEVQITTLIELLMRLAVKLDSIHAEGDSSSQKNIQAKRVQKCVETLDVLKISNARLQNVIVTTKWETFDAPATTQWELFD >ONIVA04G24630.1 pep chromosome:AWHD00000000:4:24506258:24508202:1 gene:ONIVA04G24630 transcript:ONIVA04G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRDLGRPLLVALCVVALFAVGSESHGLEDFREGNTEATPAMASFFGSKPEAAELPEALDASNAAAATAKPEAASAIPRATATTTASASSAPPRRSVSVAAGVACAVAAVAVVGVAVAVAYVVRARRAARRESEVRLGSPKPTREMKLQSWTTVAESSGFCMRSLLQRVQAAAED >ONIVA04G24620.1 pep chromosome:AWHD00000000:4:24499341:24501029:-1 gene:ONIVA04G24620 transcript:ONIVA04G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 2 [Source:Projected from Arabidopsis thaliana (AT5G21040) TAIR;Acc:AT5G21040] MDFDCKTARGDSSSVNRSCIVTEGTVVQAKPVSHNGKAKHWNSLSTLNNQKCSYELLSDPKKNVETSDGETASKCDSWCFTDLPSALVCEVLEHLDPKELGIVSCVSTLLHTLATDHQGWKKFYCERWGIPTPPVTLNGPLVPGGTSDWKSWKTLFVEREFRSKSFMGRFSVDVLRDHSEDVRTVFLLASVNLIFTGGNDSVIRMWDLEEGLLIDKSRPLCCTIRAIAADTRLLVTAGTNAFIHCWRAVEGNSYPFHISGNGTDQSPEFRLWGHEGPVTCLALDSLRIFSGSWDMTVRVWDRSEMKCVQKFMHADWVWSVAPHGNTVASTAGRDAYVWDIRSGELENVISNAHYGNAFSLARTHLADVLFTGGEDGAIRLFNVSEVSDDEDIKPAATWVPHTGPVHSLAFEYPWLVSASSDGRVALIDLRKLLTPRKSSKQPFRVKNFDPSSIEPPQRMLHGFGCDLFSVAIGADRIVCGGEDGAVKVWNFSEALEIEKRAQALRSMRQENRMRRKKAQVEMNANGRRSDQCGSIAMKRNQLKGDKSVTWHSKRAINDKVKS >ONIVA04G24610.1 pep chromosome:AWHD00000000:4:24492532:24495066:-1 gene:ONIVA04G24610 transcript:ONIVA04G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLALAVASIVLANLHLLGVHGRDLLLSCGSNATVDADGRRWIGDMAPGLNFTLSSPGIAASQAGSSNGNEIFGLVYHSARFFSTASWYNFSVLPGNYCLRLHFFPYTFGNFSGNDSLFDVTANDFKLVSKFNVSEEIVWRSTVSNSAINAVVKEYFLLVGSRGLQVEFDPSPGSFAFVNAIEVMLTPDNLFNDTVNKVGSAGNGQLPLGLSNRGLETMYRLNVGGHALNSSSDQYLHRPWYTDEAFMFSANAAQIVSNTSSVSYLSNNDSSISPIDVYETARIMSNNMVVDKRFNVSWRFYVHPNFDYLVRLHFCELFYDKPNQRVFKIYINNKTAAEDYDVYVRAGGINKAYHEDYFDNLPQQVDSLWLQLGPDSLTSASGTDPLLNGLEIFKLSRNGNLAYVLGHIDMGNQRGISKDRNRKILWEEVGIGSASFVTLTSVVLFAWCYVRRKRKADEKEAPPGWHPLVLHEAMKSTTDARAAGKSPLTRNSSSIGHRMGRRFSISEIRAATKNFDEALLIGTGGFGKVYKGEVDEGTTVAIKRANPLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILVYEYMAKGTLRSHLYGSDLPPLTWKQRVDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKIADFGLSKTGPTLDQTHVSTAVKGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVACGRPVIDPTLPKDQINLAEWAMRWQRQRSLDAIVDPRLDGDFSSESLKKFGEIAEKCLADDGRSRPSMGEVLWHLEYVLQLHEAYKRNNVDCESFGSSELGFADMSFSLPHIREGEEEHHSKPSSIREDPDT >ONIVA04G24600.1 pep chromosome:AWHD00000000:4:24488161:24491489:1 gene:ONIVA04G24600 transcript:ONIVA04G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62940) TAIR;Acc:AT3G62940] MDETLADESAGGGGGGGGGEAAAAEPAQESQQETLEEVLSRHRKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEISRLSAELEAKHAAELATFGYKSSGSSEKGNMDTLVKAIAGVSVTSNADSAKPSKGARRREKKAKEEAAREQRIQEEQNNLVSDRMIENEKLEKKLEPMGLTIQEIKPDGHCLYRAVENQLSLYSRETTQYNYQELRQMTANYMKEHAADFLPFFLSEGKVESGPDPLESFKRYCEEVESTAAWGGQLELGALTHCLKKHIVVYSGSFPDVEMGKEYKLDSGGKDGPSIRLSYHRHAYGLGEHYNSVVPA >ONIVA04G24590.1 pep chromosome:AWHD00000000:4:24477435:24479075:-1 gene:ONIVA04G24590 transcript:ONIVA04G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCFMFRKKQPVEGDDGEHRVKIFSYSELRKATHDFSGANKIGEGGFGSVFRGVLRDGTTVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLIGCCAEGSHRILVYNYLENNSLAQTLLGSRGSNIRFDWRTRVKIAVGVARGIAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSFGVLLLEIVSGRCNTNTRLPYEDQFLLERTWVRYEQERLAEIIDADLGNDLDVDEACRFLKIGLLCTQDAMARRPNMSTVVRMLTGEKHFSVHRITRPAMITDFADLKVSSSQQKENETTRSSNMRSFSTTDETEPFSSSETPTQTSI >ONIVA04G24580.1 pep chromosome:AWHD00000000:4:24462702:24471636:1 gene:ONIVA04G24580 transcript:ONIVA04G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60570) TAIR;Acc:AT5G60570] MGEPLRNGLIGPILLETFEYPSDSNVLVNALFVMIHSQGNLYSRVYDDPVEEEGTALDEAGNFNNDLENNIEEQRPRAAGGPHKITEVGEFVWGLQMKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNGLAGALQFCMKCSTEAFVTK >ONIVA04G24580.2 pep chromosome:AWHD00000000:4:24462702:24471636:1 gene:ONIVA04G24580 transcript:ONIVA04G24580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60570) TAIR;Acc:AT5G60570] MGEPLRNGLIGPILLETFEYPSDSNVLVNALFVMIHSQGNLYSRVYDDPVEEEGTALDEAGNFNNDLENNIEEQRPRAAGGPHKITEVGEFVWGLQMKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNGLAGALQFCMKCSTEAFVTK >ONIVA04G24580.3 pep chromosome:AWHD00000000:4:24462408:24476797:1 gene:ONIVA04G24580 transcript:ONIVA04G24580.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60570) TAIR;Acc:AT5G60570] MQNEGNGLSVVEKEHPRSLTPMLWAKKVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMKRTNDVICDLSNLCVLGLIPQLTKKMEDLQDCDCKSLVAVPGSVVLHLFRLFNQQDNSWQKYTLAYFLLVRNEYFSRDSRKHSDGKNQLLDCCDDSELDLDVLYADLDSKELELKLQKPVVKTQSKGDSSASGSNDCFFPGLHDDLAQDCLAWASRSDYPSLSCLNKKFNLLINSGYLYRLRRKYGIVEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLARGWSRCTPMNLPRCLFASGSFGEIAIVAGGCDKNGQVLKSAELYNSETGHWETLPDMNLPRRLSSGFFMDGKFYVIGGVSSQRDSLTCGEEYNLETRTWRRIHDMYPGGTSASQSPPLVAVVNNQLYAADQATNVVKKYDKGNNTWNIVKPLPVRADSSNGWGLAFKACGDRLLVIGGHRVPRGEVILLHSWCPEDGNGGADWEVLSVKERAGVFVYNCAIMGC >ONIVA04G24580.4 pep chromosome:AWHD00000000:4:24462408:24471636:1 gene:ONIVA04G24580 transcript:ONIVA04G24580.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60570) TAIR;Acc:AT5G60570] MSIVCSVMIHSQGNLYSRVYDDPVEEEGTALDEAGNFNNDLENNIEEQRPRAAGGPHKITEVGEFVWGLQMKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNGLAGALQFCMKCSTEAFVTK >ONIVA04G24580.5 pep chromosome:AWHD00000000:4:24462408:24471636:1 gene:ONIVA04G24580 transcript:ONIVA04G24580.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60570) TAIR;Acc:AT5G60570] MIHSQGNLYSRVYDDPVEEEGTALDEAGNFNNDLENNIEEQRPRAAGGPHKITEVGEFVWGLQMKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNGLAGALQFCMKCSTEAFVTK >ONIVA04G24580.6 pep chromosome:AWHD00000000:4:24462408:24471636:1 gene:ONIVA04G24580 transcript:ONIVA04G24580.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60570) TAIR;Acc:AT5G60570] MIHSQGNLYSRVYDDPVEEEGTALDEAGNFNNDLENNIEEQRPRAAGGPHKITEVGEFVWGLQMKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNGLAGALQFCMKCSTEAFVTK >ONIVA04G24580.7 pep chromosome:AWHD00000000:4:24462408:24470516:1 gene:ONIVA04G24580 transcript:ONIVA04G24580.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60570) TAIR;Acc:AT5G60570] MQNEGNGLSVVEKEHPRSLTPMLWAKKVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMYRQGREEEEASKTWRRGATPNGRKGGKSSVGGSIRNSRSEARREEGGATWAPTTQLPRTAATGGNVPPCLFGWGLPGRAKLG >ONIVA04G24580.8 pep chromosome:AWHD00000000:4:24462408:24470516:1 gene:ONIVA04G24580 transcript:ONIVA04G24580.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60570) TAIR;Acc:AT5G60570] MQNEGNGLSVVEKEHPRSLTPMLWAKKVAMIFPVPLRNYFTFGNVCLVQIYSNLPYSETCYSAFLCQVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMYRQGREEEEASKTWRRGATPNGRKGGKSSVGGSIRNSRSEARREEGGATWAPTTQLPRTAATGGNVPPCLFGWGLPGRAKLG >ONIVA04G24580.9 pep chromosome:AWHD00000000:4:24462408:24476797:1 gene:ONIVA04G24580 transcript:ONIVA04G24580.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60570) TAIR;Acc:AT5G60570] MEDLQDCDCKSLVAVPGSVVLHLFRLFNQQDNSWQKYTLAYFLLVRNEYFSRDSRKHSDGKNQLLDCCDDSELDLDVLYADLDSKELELKLQKPVVKTQSKGDSSASGSNDCFFPGLHDDLAQDCLAWASRSDYPSLSCLNKKFNLLINSGYLYRLRRKYGIVEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLARGWSRCTPMNLPRCLFASGSFGEIAIVAGGCDKNGQVLKSAELYNSETGHWETLPDMNLPRRLSSGFFMDGKFYVIGGVSSQRDSLTCGEEYNLETRTWRRIHDMYPGGTSASQSPPLVAVVNNQLYAADQATNVVKKYDKGNNTWNIVKPLPVRADSSNGWGLAFKACGDRLLVIGGHRVPRGEVILLHSWCPEDGNGGADWEVLSVKERAGVFVYNCAIMGC >ONIVA04G24570.1 pep chromosome:AWHD00000000:4:24454901:24457708:-1 gene:ONIVA04G24570 transcript:ONIVA04G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISMMEANLPPGFRFHPRDEELVVDYLYHKLSGGGGAGAGEFYGGVAMVDVDLNKCEPWELPDAARVGATEWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRAIVTRRRAAGGEAVAGGEVVGMRKTLVFYQGRAPRGSKTEWVMHEFRVDGHAVADHPSSSTSSSSSNLLKEDWVLCRVFYKSRTANPRPVVSGEAAVSLSGELSLPPPPPLPVAPAVVDGYTGGGYYEQDSSAGCHHHHHRPPTSAALPFKDLTDFRDLLSNMVQGGGGAAAAAKSEGFHLGWSEEESSGYVQQSAMASQAWNPF >ONIVA04G24570.2 pep chromosome:AWHD00000000:4:24454901:24457708:-1 gene:ONIVA04G24570 transcript:ONIVA04G24570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISMMEANLPPGFRFHPRDEELVVDYLYHKLSGGGGAGAGEFYGGVAMVDVDLNKCEPWELPAYFLHNLIIFPARAAGRRRRADAARVGATEWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRAIVTRRRAAGGEAVAGGEVVGMRKTLVFYQGRAPRGSKTEWVMHEFRVDGHAVADHPSSSTSSSSSNLLKEDWVLCRVFYKSRTANPRPVVSGEAAVSLSGELSLPPPPPLPVAPAVVDGYTGGGYYEQDSSAGCHHHHHRPPTSAALPFKDLTDFRDLLSNMVQGGGGAAAAAKSEGFHLGWSEEESSGYVQQSAMASQAWNPF >ONIVA04G24560.1 pep chromosome:AWHD00000000:4:24449976:24450989:-1 gene:ONIVA04G24560 transcript:ONIVA04G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVKSPEPPFAFRLARPPLPPLLDDEEDGEFEFSVPAAAAVLSAADELFSGGRLVPMLPPPPRRPPSSSSPPCSPPPCLEVPPSEPASPRAPRCGGHRWRDLLTLVSKRTSDGEAKDRVGGSPRRREAHAQPLLSRASSSSSSASSCDSGIRNARRPPRTRSAPVASLLHLMSKKPAAVDAPPKRRDHHHQPFLARVSSSSSSSASSSSDSGRNSRAPWHPPGPARPRPAAAAESPRVSASGRVVFRGLERCSSSPATAGIGPRRPRPRGMERSFSANVRVDPVINVFGFGHLFLPSSPSKEKKADKDRDIAGGGGGRRNRPAKLAMVLRDPQD >ONIVA04G24550.1 pep chromosome:AWHD00000000:4:24446552:24446932:-1 gene:ONIVA04G24550 transcript:ONIVA04G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDHDGAARGGATPRPHLQEACVTHLPLVAAAPLVDVLRASPAEPLALFNLGRRLLLRLHRRGALYFLHLFPRMFGLRAPLPLSLSLTAPATELLAVAASPTAAAAGSGERWGNSDREGCTSGAI >ONIVA04G24540.1 pep chromosome:AWHD00000000:4:24435919:24439831:-1 gene:ONIVA04G24540 transcript:ONIVA04G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G46330) TAIR;Acc:AT5G46330] MSQHYTKTICIAVVLVAVVFSSAAAAAGSGASVSVQLEALLEFKNGVADDPLGVLAGWRVGKSGDGAVRGGALPRHCNWTGVACDGAGQVTSILLPESKLRGTLSPFLGNISTLQVIDLTSNAFAGGIPPQLGRLGELEQLVLYDNSFTGGIPPELGGLSSLQLLDLSNNSLAGGVPSRLCNCSAMWALGLDVNNLTGAIPSCIGDLSKLRIFQASMNNLDGELPPSFAKLTALETVVLSFNQLSGSIPPEIGDFSNLQILQLIGNRFTGAIPGELGRCKNLTRLDLYGNGFTGAIPGELGDLANLQALRLFDNALTSAIPPSLGRCASLELLALSTNQLTGSIPPELGELRSLRWLSLHANRLTGAVPATLTSLVNLTYLSFSSNSISGPLPASIGSLRNLQRLVVQNNSLSGQIPASITNCTQLTNVSMSFNMFSGPLPAGFGRLQELEFLSLGNNSLSGNIPDDLFDCGNLTTLNLAFNNFSGGLSRRVGRLGDLELLQLQGNSLSGEIPEEVGNLTKLIGLELRMNRFAGHVPASISNMSSLQLLDLGHNHLDGVFPAEVFELRQLTILDAGSNRFAGRIPDAVANLRSLSLLDLSSNMLNGTVPGALGRLDQLLTLDLSHNRLAGAIPGAVIASMSNVQMYLNLSNNAFTGAIPSEIGGLVMVQAIDLSNNQLSGGIPATLAGCKNLYSLDLSGNSLTGELPANLFPQLDLLTTLNISGNELDGEIPADIAALKHIQTLDVSRNAFAGGIPPALANLTTLRSLNLSSNTFEGPVPDGGVFRNLTMSSLQGNAGLCGGKLLAPCHGHAAGKKRVFSRTGLVILVVLIALSTLLLLMVATILLVSYRRYRRKRRAADIAGDSPEAAVVVPELRRFSYGQLAAATNSFDQGNVIGSSNLSTVYKGVLAGDADGGMVVAVKRLNLEQFPSKSDKCFLTELATLSRLRHKNLARVVGYAWEAGKIKALVLDYMVNGDLDGAIHGGAAAPPPAPSRWTVRERLRVCVSVAHGLVYLHSGYDFPVVHCDVKPSNVLLDGDWEARVSDFGTARMLGVHLPAAADAAAQTVSTKADVFSFGVLAMELFTGRRPTGTIEEDGVPLTLQQLVDNAVSRGLDGVHAVLDPRMKVATEADLSTAADVLAVALSCAAFEPADRPDMGAVLSSLLKMSKLVGED >ONIVA04G24530.1 pep chromosome:AWHD00000000:4:24431069:24435074:1 gene:ONIVA04G24530 transcript:ONIVA04G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIKIDASLGLDQLAAIGSLYQFIRGGGEGKEAASQEEEQTPKLRPTPTRRSRSAEFHNFSERRRRDRINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITADPSQIPPIRPSEPRPFQITHATQQRQSNVESDFLSQMQNLHPSEPPQNFLRPPKLQLYTPEQQRRGLASSSGHNSGWITERNSSYNFLE >ONIVA04G24520.1 pep chromosome:AWHD00000000:4:24427058:24430745:-1 gene:ONIVA04G24520 transcript:ONIVA04G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MASSSSDPDKLITKLSFTRWNADWKSATSLYEQAAIAYRFKKENEKAKDAFEKASKGQEMISSASEFYRECGRAQPASDALAKGASFLEDNTPDEAIKMYDEACSILEEDGKEQMAFDLYRAAASLYVKLEKYSDAAATFLRLGSAADKCNAINSQCKGYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLNSDQNRCAMKLLSAYEEGDAEGIKQAAQSSAIKHLDHVVIRLAKKLPTGDLQTIKKLADDDGEDSLDENDLT >ONIVA04G24520.2 pep chromosome:AWHD00000000:4:24427058:24430745:-1 gene:ONIVA04G24520 transcript:ONIVA04G24520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MASSSSDPDKLITKLSFTRWNADWKSATSLYEQAAIAYRFKKENEKAKDAFEKASKGQEMISSYPSEKKFIAVFNIPWDAAKHMESAGALAKELGRWNEVSDFYRRASEFYRECGRAQPASDALAKGASFLEDNTPDEAIKMYDEACSILEEDGKEQMAFDLYRAAASLYVKLEKYSDAAATFLRLGSAADKCNAINSQCKGYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLNSDQNRCAMKLLSAYEEGDAEGIKQAAQSSAIKHLDHVVIRLAKKLPTGDLQTIKKLADDDGEDSLDENDLT >ONIVA04G24520.3 pep chromosome:AWHD00000000:4:24427058:24430745:-1 gene:ONIVA04G24520 transcript:ONIVA04G24520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MASSSSDPDKLMSKADKLQNKIELHEMECRLEECYLLENEKAKDAFEKASKGQEMISSYPSEKKFIAVFNIPWDAAKHMESAGALAKELGRWNEVSDFYRRASEFYRECGRAQPASDALAKGASFLEDNTPDEAIKMYDEACSILEEDGKEQMAFDLYRAAASLYVKLEKYSDAAATFLRLGSAADKCNAINSQCKGYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLNSDQNRCAMKLLSAYEEGDAEGIKQAAQSSAIKHLDHVVIRLAKKLPTGDLQTIKKLADDDGEDSLDENDLT >ONIVA04G24510.1 pep chromosome:AWHD00000000:4:24425268:24425525:1 gene:ONIVA04G24510 transcript:ONIVA04G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHNRRLSVVVALLMLAASIVLLLLAPPCDGRRQLRGSEATSGGGVGEEKASLLPPLIPNLPLPPIVPGNPPSRNSVVNNKSP >ONIVA04G24500.1 pep chromosome:AWHD00000000:4:24422160:24422573:-1 gene:ONIVA04G24500 transcript:ONIVA04G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQPGRPYQPRGGGGGDLVGTGFATVLTAVSFLTLNTIGGDGGEGGGAPPVEGAEQLQLVLACAILAAGLLFIMYGMRGRGAAAPPPPAGAVLFLRRAVDVAAAVLWNAGGVERPLPTPVMVLLLCPLLAEWLGFV >ONIVA04G24490.1 pep chromosome:AWHD00000000:4:24418067:24422215:1 gene:ONIVA04G24490 transcript:ONIVA04G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDSRRKPNVVGKFTVAVALTVMCIIVLKQSPGFTSTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYADLGDAKAVSFFSTIQVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLTVLEAMAAYNVKTLIYSSTCATYGEPDTMPITEATPQNPINPYGKAKKMAEDIILDFSKRSEMAVMILRYFNVIGSDPGGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGHGRSVKEFVEACKSATGASVKVSFLTRRPGDYAEVYSDPSKIHDELNWTARYTDLRESLSTAWKWQKAHPNGYGSA >ONIVA04G24490.2 pep chromosome:AWHD00000000:4:24417904:24422215:1 gene:ONIVA04G24490 transcript:ONIVA04G24490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDSRRKPNVVGKFTVAVALTVMCIIVLKQSPGFTSTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYADLGDAKAVSFFSTIQVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLTVLEAMAAYNVKTLIYSSTCATYGEPDTMPITEATPQNPINPYGKAKKMAEDIILDFSKRSEMAVMILRYFNVIGSDPGGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGHGRSVKEFVEACKSATGASVKVSFLTRRPGDYAEVYSDPSKIHDELNWTARYTDLRESLSTAWKWQKAHPNGYGSA >ONIVA04G24480.1 pep chromosome:AWHD00000000:4:24412474:24417140:1 gene:ONIVA04G24480 transcript:ONIVA04G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14580) TAIR;Acc:AT3G14580] MSRAVAPRSLPPFLRLRSAVCDDGYWIGRLDHKDWLSPNELLKIFANIRDPSLITSSFKRACDRRDYKPNEALYSMMIDRLASCRRFSDVEELLARARAERFRFSDEFFYRLIKMYGNVANHPEKAIETLFAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEVYLSASRLGVTLDTCCFNILVKGLCQFGKFGDAISLLYEMPKQGCVPNVTTYSTLMNFLCQHGQVDKAFELCERMQKEDIVADAVVYNILIAGLCREQRVTEAFNLFKSMVPKGCYPNSGTYQVLLDGLLSSGKFVEAKGLVSMMRAERMRPGFSSFKLLIDGLCSVNCLDDAHLVLKQMVEQGFVPRVGTWTKLVTSMC >ONIVA04G24480.2 pep chromosome:AWHD00000000:4:24412444:24417148:1 gene:ONIVA04G24480 transcript:ONIVA04G24480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14580) TAIR;Acc:AT3G14580] MSRAVAPRSLPPFLRLRSAVCDDGYWIGRLDHKDWLSPNELLKIFANIRDPSLITSSFKRACDRRDYKPNEALYSMMIDRLASCRRFSDVEELLARARAERFRFSDEFFYRLIKMYGNVANHPEKAIETLFAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEVYLSASRLGVTLDTCCFNILVKGLCQFGKFGDAISLLYEMPKQGCVPNVTTYSTLMNFLCQHGQVDKAFELCERMQKEDIVADAVVYNILIAGLCREQRVTEAFNLFKSMVPKGCYPNSGTYQVLLDGLLSSGKFVEAKGLVSMMRAERMRPGFSSFKLLIDGLCSVNCLDDAHLVLKQMVEQGFVPRTPQIPGLMQTAEKLGHVSMLMNWCSVCKCQQEQPRDLCLLEAAPHIIALTNRSAR >ONIVA04G24480.3 pep chromosome:AWHD00000000:4:24412444:24417114:1 gene:ONIVA04G24480 transcript:ONIVA04G24480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14580) TAIR;Acc:AT3G14580] MSRAVAPRSLPPFLRLRSAVCDDGYWIGRLDHKDWLSPNELLKIFANIRDPSLITSSFKRACDRRDYKPNEALYSMMIDRLASCRRFSDVEELLARARAERFRFSDEFFYRLIKMYGNVANHPEKAIETLFAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEVYLSASRLGVTLDTCCFNILVKGLCQFGKFGDAISLLYEMPKQGCVPNVTTYSTLMNFLCQHGQVDKAFELCERMQKEDIVADAVVYNILIAGLCREQRVTEAFNLFKSMVPKGCYPNSGTYQVLLDGLLSSGKFVEAKGLVSMMRAERMRPGFSSFKLLIDGLCSVNCLDDAHLVLKQMVEQGFVPRVGTWTKLVTSMC >ONIVA04G24480.4 pep chromosome:AWHD00000000:4:24412685:24417140:1 gene:ONIVA04G24480 transcript:ONIVA04G24480.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14580) TAIR;Acc:AT3G14580] MSRAVAPRSLPPFLRLRSAVCDDGYWIGRLDHKDWLSPNELLKIFANIRDPSLITSSFKRACDRRDYKPNEALYSMMIDRLASCRRFSDVEELLARARAERFRFSDEFFYRLIKMYGNVANHPEKAIETLFAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEVYLSASRLGVTLDTCCFNILVKGLCQFGKFGDAISLLYEMPKQGCVPNVTTYSTLMNFLCQHGQVDKAFELCERMQKEDIVADAVVYNILIAGLCREQRVTEAFNLFKSMVPKGCYPNSGTYQVLLDGLLSSGKFVEAKGLVSMMRAERMRPGFSSFKLLIDGLCSVNCLDDAHLVLKQMVEQGFVPRVGTWTKLVTSMC >ONIVA04G24480.5 pep chromosome:AWHD00000000:4:24412444:24415018:1 gene:ONIVA04G24480 transcript:ONIVA04G24480.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14580) TAIR;Acc:AT3G14580] MSRAVAPRSLPPFLRLRSAVCDDGYWIGRLDHKDWLSPNELLKIFANIRDPSLITSSFKRACDRRDYKPNEALYSMMIDRLASCRRFSDVEELLARARAERFRFSDEFFYRLIKMYGNVANHPEKAIETLFAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEVYLSASRLGVTLDTCCFNILVKGLCQFGKFGDAISLLYEMPKQGCVPNVTTYSTLMNFLCQHGQVDKAFELCERMQKEDIVADAVVYNILIAGLCREQRVTEAFNLFKSMVPKGCYPNSGTYQVLLDGLLSSGKFVEAKGLVSMMRAERMRPGFSSFKLLIDGLCSVNCLDDAHLVLKQMVEQGFVPRVGTWTKLVTSMC >ONIVA04G24470.1 pep chromosome:AWHD00000000:4:24407592:24409090:-1 gene:ONIVA04G24470 transcript:ONIVA04G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFAAVFAAVALVVCSSVLPRALASDPSQLQDFCVADKLSAVFVNGFVCKNPKQVTANDFFLPKALGVPGNTVNAQGSAVTPVTVNELPGLNTLGISFARIDFAPNGQNPPHTHPRATEILTVLQGTLLVGFVTSNQPGGGNLQFTKLLGPGDVFVFPQGLIHFQLNNGAVPAVAIAALSSQNPGVITIANAVFGSTPPILDDVLAKAFMIDKDQVDWIQAKFAAPPAASGGGGGFIGGGGGGGFPGGGAP >ONIVA04G24460.1 pep chromosome:AWHD00000000:4:24403519:24408274:1 gene:ONIVA04G24460 transcript:ONIVA04G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazoleglycerol-phosphate dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H610] MTTARFVSPSLSRVSPSPAGRVSGSSWLSRAGVALPARPHGLSLHLRPPAMASAAAAGNGSPSAPEDSTALSRIGEVKRVTKETNVHVKINLDGTGVADCSTGIPFLDHMLDQLASHGLFDVCVKAKGDTHIDDHHSNEDIALAIGTALLEALGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLSIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDLRRRGTVPSSKGVLSRS >ONIVA04G24450.1 pep chromosome:AWHD00000000:4:24393709:24394781:-1 gene:ONIVA04G24450 transcript:ONIVA04G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSSVARLLRGEAGRRGGAAGEVVTMDLLGGCGGDSRGGVVGGGGGEDEVVDLEVKVPAGWERRLDLMSGKTFLTPRLQGVHVGHQDLNLPPPPSSAAAAASTSAAVCTLDMVRSALERATAGRSAAAAAARGSPATSSSSSASTSSSSSSIGKRHRSPPSGGAAATPASPSMRAAACPSCLTYVLIAEADPRCPRCAGNVPPLAGKPREASAGAGDGSGKKPKIDLNAAADDTE >ONIVA04G24440.1 pep chromosome:AWHD00000000:4:24378172:24383629:-1 gene:ONIVA04G24440 transcript:ONIVA04G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 6 [Source:Projected from Arabidopsis thaliana (AT1G03000) TAIR;Acc:AT1G03000] MVEATRQRRKPLVLASTQALLDSLPGDRRGSALPPPPPPEPVRIRAGVLRFPNSSSSSSSAGGGEFGEAASFVALPSSALRRLAVVTGTPVLVKNADNNVGRIAKAVVLDHPCLDDSLTEHTEPVDAASPLDNAMGILPCRSFPTNGFPPLDEEVAYVSPLLAFNLGVHVSCLKLLVQKGEKPFKFCSKVEDSDLAAGEESNPILHLEILPSPQVPKHALHLRVSVVRIPECGVFASLKANSAIGGSDYQDMIDQALNEHFKFDRFLARGDVFCIRNNWNCGATSCLACNREDNRLHPHDMIYFKVTGMEPSDEPVHRVNCNQTALVLGGGASASIPPYSLFASPGASVPLHSEIVEHLASIIAPALCPSNILPKVKFSTFLYGPSGCGKRTVVKHVANHFGLHVVECSCHDLMTSSDSGAPAALVAAFKEAHKYSPCIILLRHFDAIGNTSSNEGTQSAQSGTAANIESVIKQYIGQHWVATESLPARDINGNPYLVEPECVSPLQVILVATADRAEGMPQSIRRCFRHEINMKSINEEQRRNLISETLHCVSTSADESINDKFVKDLAVQTSGFMPRDILALVADAGISFAHKVETDKNNSEGDKLKGILPTSSSSSQNEEKTFCKEYILSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLLYKHLFSSKLGKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSSDSAGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNSDASYRERILKAQTRKYKLHENVSLLSIAQQCPPNFTGADIYALCADAWYHAAKNLAKTLEADPSRTSEASADDVIVEINDFMTQFTLAGRTTRLMRSPHHGVQTVRPFTQ >ONIVA04G24440.2 pep chromosome:AWHD00000000:4:24378172:24383629:-1 gene:ONIVA04G24440 transcript:ONIVA04G24440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 6 [Source:Projected from Arabidopsis thaliana (AT1G03000) TAIR;Acc:AT1G03000] MVEATRQRRKPLVLASTQALLDSLPGDRRGSALPPPPPPEPVRIRAGVLRFPNSSSSSSSAGGGEFGEAASFVALPSSALRRLAVVTGTPVLVKNADNNVGRIAKAVVLDHPCLDDSLTEHTEPVDAASPLDNAMGILPCRSFPTNGFPPLDEEVAYVSPLLAFNLGVHVSCLKLLVQKGEKPFKFCSKVEDSDLAAGEESNPILHLEILPSPQVPKHALHLRVSVVRIPECGVFASLKANSAIGGSDYQDMIDQALNEHFKFDRFLARGDVFCIRNNWNCGATSCLACNREDNRLHPHDMIYFKVTGMEPSDEPVHRVNCNQTALVLGGGASASIPPYSLFASPGASVPLHSEIVEHLASIIAPALCPSNILPKVKFSTFLYGPSGCGKRTVVKHVANHFGLHVVECSCHDLMTSSDSGAPAALVAAFKEAHKYSPCIILLRHFDAIGNTSSNEGTQSAQSGTAANIESVIKQYIGQHWVATESLPARDINGNPYLVEPECVSPLQVILVATADRAEGMPQSIRRCFRHEINMKSINEEQRRNLISETLHCVSTSADESINDKFVKDLAVQTSGFMPRDILALVADAGISFAHKVETDKNNSEGDKLKGILPTSSSSSQNEEKTFCKEYILSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLLYKHLFSSKLGKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSSDSAGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNSDASYRERILKAQTRKYKLHENVSLLSIAQQCPPNFTGADIYALCADAWYHAAKNLAVPSHFTDLFLSLLCWTYTWYFKAKTLEADPSRTSEASADDVIVEINDFMTQFTLAGRTTRLMRSPHHGVQTVRPFTQ >ONIVA04G24440.3 pep chromosome:AWHD00000000:4:24378901:24383629:-1 gene:ONIVA04G24440 transcript:ONIVA04G24440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 6 [Source:Projected from Arabidopsis thaliana (AT1G03000) TAIR;Acc:AT1G03000] MVEATRQRRKPLVLASTQALLDSLPGDRRGSALPPPPPPEPVRIRAGVLRFPNSSSSSSSAGGGEFGEAASFVALPSSALRRLAVVTGTPVLVKNADNNVGRIAKAVVLDHPCLDDSLTEHTEPVDAASPLDNAMGILPCRSFPTNGFPPLDEEVAYVSPLLAFNLGVHVSCLKLLVQKGEKPFKFCSKVEDSDLAAGEESNPILHLEILPSPQVPKHALHLRVSVVRIPECGVFASLKANSAIGGSDYQDMIDQALNEHFKFDRFLARGDVFCIRNNWNCGATSCLACNREDNRLHPHDMIYFKVTGMEPSDEPVHRVNCNQTALVLGGGASASIPPYSLFASPGASVPLHSEIVEHLASIIAPALCPSNILPKVKFSTFLYGPSGCGKRTVVKHVANHFGLHVVECSCHDLMTSSDSGAPAALVAAFKEAHKYSPCIILLRHFDAIGNTSSNEGTQSAQSGTAANIESVIKQYIGQHWVATESLPARDINGNPYLVEPECVSPLQVILVATADRAEGMPQSIRRCFRHEINMKSINEEQRRNLISETLHCVSTSADESINDKFVKDLAVQTSGFMPRDILALVADAGISFAHKVETDKNNSEGDKLKGILPTSSSSSQNEEKTFCKEYILSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLLYKHLFSSKLGKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSSDSAGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNSDASYRERILKAQTRKYKLHENVSLLSIAQQCPPNFTGADIYALCADAWYHAAKNLAKTLEADPSRTSEASADDVIVEINDFMTVLGDIAPSLSIEELQNYEQLRKKIEGPSR >ONIVA04G24430.1 pep chromosome:AWHD00000000:4:24371857:24375646:1 gene:ONIVA04G24430 transcript:ONIVA04G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRKRNDDGGGGGGGGAAVPRGCVALLLVGDGGGGGEEIRVVVEVRALGQPRVGMLLERAAGEYGYDQEGVLRVPCSADEFRRALAADAAAGARGKKKKCSEYQTNNYLCGANNKITTAAAVPRGCVALLLVGNGGGGDDGERVVVEVRALERPRVGALLEKAAREFGYDQKGVLRVPCSAGEFRQALTADGGAAAAGAPCRRR >ONIVA04G24420.1 pep chromosome:AWHD00000000:4:24364236:24364994:-1 gene:ONIVA04G24420 transcript:ONIVA04G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRPDSSLSLPLPRCCLHHTTVLRRHVYVRLQLGPTRELSGGANAVRCSAAAHEIPAPIPLKPERKYAPRGPNRTRTHCHVGPMRAWDPPVRVGNLSPTRVYSDVLALVSPPPSSLSLRDTVLSLPPPLLTMRGLMRCASTGACRVAPGAVAARASAAAVGGGTTKVPAGHVPVEVGAEGEETERFVVPAELLGRPPIAELLRRAAQEYGYARRGPIRIPCPAAAFRRLLGALTGGSGEGGLALAYFSVVV >ONIVA04G24410.1 pep chromosome:AWHD00000000:4:24354538:24359176:1 gene:ONIVA04G24410 transcript:ONIVA04G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGDAAVVSGSGNRKRKRHVQQHSLEYPCVSRLRHRRLLAFLSRHDYDSTFDALVQETSVLFRVGHIQALVRQGRWADAASYVNRFVPPSRVLTDAGLVFHEFLYIHHVLDCIVAGDHLRGAQVAASYQRHVRDNPNPSHGAIKLIRILLTILHCHPIRAFLNWHLARYKAAEIIKDLIPQIPEFNDLLKLPKGGPIKPHNILPIGSHRRRHVKNEDRIPAPDIARFYLQKKRGLPSSTICQERYYTGLSRNAANWLADIIGVTGAPIGLDSPANSYGISTQTIADMMRPLIISDIGQGSVARNNPTRPETTAHQAISPTTQQITTEFVQHSESQFQEYNSQMDGRVRIVESGMKRRILGEENFMTNN >ONIVA04G24400.1 pep chromosome:AWHD00000000:4:24341980:24344133:1 gene:ONIVA04G24400 transcript:ONIVA04G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIESSHQWTSGEPPSQPCPTLESPTDPSDADANTHPRGIWVDSEEDSSSSEEEEVVEEEEEDGEEEEEEEEIAVTPEMEARLYAEFRATARARLMPAVTLAESCKKFGYHPPAPPFEIINHPDLFERAWGWDTILPFSVARTFSRYKEYLVDYYNRNQKKPNAAAAADLTGDDDSLTALANKCAEMEGHLMFLLKCRAGVFTENFEIKISRTSDRITKRARETTTNALESEFPAAAVAFKCITKEAELMCAMLIHAAEDHPYWFVLCNKVRLYALRFMAYKEPEYILAAAAMMGITREANLTCELVKERHKDKNGREFFSIFIRTRTLASMYYILKEYSAVGKPTRDNTAGKLISDESDVKTCPGKDVVENDILDDWIVISPKKAETIG >ONIVA04G24400.2 pep chromosome:AWHD00000000:4:24341980:24344129:1 gene:ONIVA04G24400 transcript:ONIVA04G24400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIESSHQWTSGEPPSQPCPTLESPTDPSDADANTHPRGIWVDSEEDSSSSEEEEVVEEEEEDGEEEEEEEEIAVTPEMEARLYAEFRATARARLMPAVTLAESCKKFGYHPPAPPFEIINHPDLFERAWGWDTILPFSVARTFSRYKEYLVDYYNRNQKKPNAAAAADLTGDDDSLTALANKCAEMEGHLMFLLKCRAGVFTENFEIKISRTSDRITKRARETTTNALESEFPAAAVAFKCITKEAELMCAMLIHAAEDHPYWFVLCNKVRLYALRFMAYKEPEYILAAAAMMGITREANLTCELVKERHKDKNGREFFSIFIRTRTLASMYYILKEYSAVGKPTRDNTAGKLISDESDVKTCPGKDVVENDILDDWIVISPKKAETIG >ONIVA04G24390.1 pep chromosome:AWHD00000000:4:24292010:24340467:-1 gene:ONIVA04G24390 transcript:ONIVA04G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLTFGINALSGSIPKELGNLTNLVSLGFSSNNFSGSLPSELGSLFKLEELYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLIQLTNLILRNCMISDSLALIDFSKFATASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRVFDIYVQGERKEKDFDIKKEAGGKSYTAVKKDYIVSVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELRSATENFSSSNRLGEGGYGAVYKLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVWRLYESERALDIVDPNLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVAAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTTGSSSTSSSAANRQWSSAPPPPRATSSPKMSSPFLSSVVDEGRGGEVSRRKMGWRMAVSRLLHGCVLVLLLAAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLGLGSNHFNGSLPTELGNLINLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLRIGDIENGSSSSLAFISNMTSLSILILRNCRISDNLVSLDFSKFASLSLLDLSFNNITGEVPATLLGLNFLNFLKPSNLKRIFSFNFIFLTTSSQETFLLGLVIKIYNLSYLQGWHAFREIHPAFLVLHTSSFAVNSGSNRFISGSDNLRYETDDVNLRAASYYVTGAPTWGVSNVGKFMEAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDIYVQGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVTVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVASMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVSFAVQCSSQRASLSTPEDIIHLTFRQFVTGSARLGSSLRFSQKRRRAEGSRSRRETMRVSHLLLHGGVLLLLLAAAAVQAQRVATRTDPTEAAALNAVFAKLGQQAASTWNLSGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTICRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNILTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTTLLGLNLLNSLKPSKLKRTFTFNFGLHAFKEIHHVLQTSSFAVDCGSNRLISGSDNFRYQTDDASLGAASYSVTGEPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSYKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVAIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSNNRLGEGGYGAVYKGKLNDERVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDSNLREFNRVEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKCDCSDHNNTLCHITRLKINTLDVVGPIPEELRNLTHLIKLDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNVLLDAGLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRTYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ONIVA04G24390.10 pep chromosome:AWHD00000000:4:24292010:24321635:-1 gene:ONIVA04G24390 transcript:ONIVA04G24390.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPSPLPSPSRGSPLLFSRKGRRGLEEEDGVEDGAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLGLGSNHFNGSLPTELGNLINLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDNLVSLDFSKFAISYLQGWHAFREIHPAFLVLHTSSFAVNSGSNRFISGSDNLRYETDDVNLRAASYYVTGAPTWGVSNVGKFMEAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVTVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVASMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVSFAVQCSSQRASLSTPEDIIHLTFRQFVTGSARLGSSLRFSQKRRRAEGSRSRRETMRVSHLLLHGGVLLLLLAAAAVQAQRVATRTDPTEAAALNAVFAKLGQQAASTWNLSGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTICRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNILTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTTLLGLNLLNSLKPSKLKRTFTFNFGLHAFKEIHHVLQTSSFAVDCGSNRLISGSDNFRYQTDDASLGAASYSVTGEPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSYKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPADFTPTVGVVAEQNKSTSKTRVIVGVVVGVAVLGLVALVAIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSNNRLGEGGYGAVYKGKLNDERVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDSNLREFNRVEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKCDCSDHNNTLCHITRLKINTLDVVGPIPEELRNLTHLIKLDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNVLLDAGLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRTYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ONIVA04G24390.11 pep chromosome:AWHD00000000:4:24292010:24321635:-1 gene:ONIVA04G24390 transcript:ONIVA04G24390.11 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPSPLPSPSRGSPLLFSRKGRRGLEEEDGVEDGAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLGLGSNHFNGSLPTELGNLINLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDNLVSLDFSKFAISYLQGWHAFREIHPAFLVLHTSSFAVNSGSNRFISGSDNLRYETDDVNLRAASYYVTGAPTWGVSNVGKFMEAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVTVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVASMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVSFAVQCSSQRASLSTPEDIIHLTFRQFVTGSARLGSSLRFSQKRRRAEGSRSRRETMRVSHLLLHGGVLLLLLAAAAVQAQRVATRTDPTEAAALNAVFAKLGQQAASTWNLSGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTICRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNILTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTTLLGLNLLNSLKPSKLKRTFTFNFGLHAFKEIHHVLQTSSFAVDCGSNRLISGSDNFRYQTDDASLGAASYSVTGEPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSYKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVAIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSNNRLGEGGYGAVYKGKLNDERVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDSNLREFNRVEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKCDCSDHNNTLCHITRLKINTLDVVGPIPEELRNLTHLIKLDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNVLLDAGLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRTYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ONIVA04G24390.2 pep chromosome:AWHD00000000:4:24292010:24340467:-1 gene:ONIVA04G24390 transcript:ONIVA04G24390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLTFGINALSGSIPKELGNLTNLVSLGFSSNNFSGSLPSELGSLFKLEELYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLIQLTNLILRNCMISDSLALIDFSKFATASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRVFDIYVQGERKEKDFDIKKEAGGKSYTAVKKDYIVSVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELRSATENFSSSNRLGEGGYGAVYKLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVWRLYESERALDIVDPNLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVAAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTTGSSSTSSSAANRQWSSAPPPPRATSSPKMSSPFLSSVVDEGRSRVRRQIHLHGSVLLIILLLLAAAVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKIYAMDASGPIPEELRNLTRLTNLGLGSNHFNGSLPTELGNLINLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLRIGDIENGSSSSLAFISNMTSLSILILRNCRISDNLVSLDFSKFASLSLLDLSFNNITGEVPATLLGLNFLNFLKPSNLKRIFSFNFIFLTTSSQETFLLGLVIKIYNLSYLQGWHAFREIHPAFLVLHTSSFAVNSGSNRFISGSDNLRYETDDVNLRAASYYVTGAPTWGVSNVGKFMEAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDIYVQGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVTVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVASMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVSFAVQCSSQRASLSTPEDIIHLTFRQFVTGSARLGSSLRFSQKRRRAEGSRSRRETMRVSHLLLHGGVLLLLLAAAAVQAQRVATRTDPTEAAALNAVFAKLGQQAASTWNLSGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTICRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNILTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTTLLGLNLLNSLKPSKLKRTFTFNFGLHAFKEIHHVLQTSSFAVDCGSNRLISGSDNFRYQTDDASLGAASYSVTGEPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSYKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVAIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSNNRLGEGGYGAVYKGKLNDERVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDSNLREFNRVEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKCDCSDHNNTLCHITRLKINTLDVVGPIPEELRNLTHLIKLDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNVLLDAGLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRTYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ONIVA04G24390.3 pep chromosome:AWHD00000000:4:24292010:24340467:-1 gene:ONIVA04G24390 transcript:ONIVA04G24390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLTFGINALSGSIPKELGNLTNLVSLGFSSNNFSGSLPSELGSLFKLEELYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLIQLTNLILRNCMISDSLALIDFSKFATASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRVFDIYVQGERKEKDFDIKKEAGGKSYTAVKKDYIVSVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELRSATENFSSSNRLGEGGYGAVYKLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVWRLYESERALDIVDPNLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVAAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTTGSSSTSSSAANRQWSSAPPPPRATSSPKMSSPFLSSVVDEGRGGEVSRRKMGWRMAVSRLLHGCVLVLLLAAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLGLGSNHFNGSLPTELGNLINLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLRIGDIENGSSSSLAFISNMTSLSILILRNCRISDNLVSLDFSKFASLSLLDLSFNNITGEVPATLLGLNFLNFLKPSNLKRIFSFNFIFLTTSSQETFLLGLVIKIYNLSYLQGWHAFREIHPAFLVLHTSSFAVNSGSNRFISGSDNLRYETDDVNLRAASYYVTGAPTWGVSNVGKFMEAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDIYVQGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVTVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVASMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVSFAVQCSSQRASLSTPEDIIHLTFRQFVTGSARLGSSLRFSQKRRRAEGSRSRRETMRVSHLLLHGGVLLLLLAAAAVQAQRVATRTDPTEAAALNAVFAKLGQQAASTWNLSGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTICRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNILTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTTLLGLNLLNSLKPSKLKRTFTFNFGLHAFKEIHHVLQTSSFAVDCGSNRLISGSDNFRYQTDDASLGAASYSVTGEPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSYKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVAIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSNNRLGEGGYGAVYKGKLNDERVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDSNLREFNRVEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKCDCSDHNNTLCHITRLKINTLDVVGPIPEELRNLTHLIKLDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNVLLDAGLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRTYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ONIVA04G24390.4 pep chromosome:AWHD00000000:4:24292010:24321635:-1 gene:ONIVA04G24390 transcript:ONIVA04G24390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPSPLPSPSRGSPLLFSRKGRRGLEEEDGVEDGAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLGLGSNHFNGSLPTELGNLINLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDNLVSLDFSKFAISYLQGWHAFREIHPAFLVLHTSSFAVNSGSNRFISGSDNLRYETDDVNLRAASYYVTGAPTWGVSNVGKFMEAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVTVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVASMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVSFAVQCSSQRASLSTPEDIIHLTFRQFVTGSARLGSSLRFSQKRRRAEGSRSRRETMRVSHLLLHGGVLLLLLAAAAVQAQRVATRTDPTEAAALNAVFAKLGQQAASTWNLSGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTICRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNILTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLRFQGNSFQGPIPSALSNLVQLSSLRIGDIENGSSSSLAFIGNMTSLSILILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTTLLGLNLLNSLKPSKLKRTFTFNFGLHAFKEIHHVLQTSSFAVDCGSNRLISGSDNFRYQTDDASLGAASYSVTGEPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSYKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPADFTPTVGVVAEQNKSTSKTRVIVGVVVGVAVLGLVALVAIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSNNRLGEGGYGAVYKGKLNDERVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDSNLREFNRVEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKCDCSDHNNTLCHITRLKINTLDVVGPIPEELRNLTHLIKLDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNVLLDAGLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRTYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ONIVA04G24390.5 pep chromosome:AWHD00000000:4:24292010:24321635:-1 gene:ONIVA04G24390 transcript:ONIVA04G24390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPSPLPSPSRGSPLLFSRKGRRGLEEEDGVEDGAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLGLGSNHFNGSLPTELGNLINLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLRIGDIENGSSSSLAFISNMTSLSILILRNCRISDNLVSLDFSKFASLSLLDLSFNNITGEVPATLLVSYLQGWHAFREIHPAFLVLHTSSFAVNSGSNRFISGSDNLRYETDDVNLRAASYYVTGAPTWGVSNVGKFMEAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVTVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVASMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVSFAVQCSSQRASLSTPEDIIHLTFRQFVTGSARLGSSLRFSQKRRRAEGSRSRRETMRVSHLLLHGGVLLLLLAAAAVQAQRVATRTDPTEAAALNAVFAKLGQQAASTWNLSGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTICRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNILTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTTLLGLNLLNSLKPSKLKRTFTFNFGLHAFKEIHHVLQTSSFAVDCGSNRLISGSDNFRYQTDDASLGAASYSVTGEPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSYKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVAIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSNNRLGEGGYGAVYKGKLNDERVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDSNLREFNRVEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKCDCSDHNNTLCHITRLKINTLDVVGPIPEELRNLTHLIKLDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNVLLDAGLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRTYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ONIVA04G24390.6 pep chromosome:AWHD00000000:4:24292010:24321635:-1 gene:ONIVA04G24390 transcript:ONIVA04G24390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPSPLPSPSRGSPLLFSRKGRRGLEEEDGVEDGAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLGLGSNHFNGSLPTELGNLINLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLRIGDIENGSSSSLAFISNMTSLSILILRNCRISDNLVSLDFSKFASLSLLDLSFNNITGEVPATLLVSYLQGWHAFREIHPAFLVLHTSSFAVNSGSNRFISGSDNLRYETDDVNLRAASYYVTGAPTWGVSNVGKFMEAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVTVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVASMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETCSSQRASLSTPEDIIHLTFRQFVTGSARLGSSLRFSQKRRRAEGSRSRRETMRVSHLLLHGGVLLLLLAAAAVQAQRVATRTDPTEAAALNAVFAKLGQQAASTWNLSGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTICRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNILTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTTLLGLNLLNSLKPSKLKRTFTFNFGLHAFKEIHHVLQTSSFAVDCGSNRLISGSDNFRYQTDDASLGAASYSVTGEPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSYKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVAIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSNNRLGEGGYGAVYKGKLNDERVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDSNLREFNRVEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKCDCSDHNNTLCHITRLKINTLDVVGPIPEELRNLTHLIKLDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNVLLDAGLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRTYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ONIVA04G24390.7 pep chromosome:AWHD00000000:4:24292010:24321635:-1 gene:ONIVA04G24390 transcript:ONIVA04G24390.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPSPLPSPSRGSPLLFSRKGRRGLEEEDGVEDGAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLGLGSNHFNGSLPTELGNLINLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDNLVSLDFSKFAISYLQGWHAFREIHPAFLVLHTSSFAVNSGSNRFISGSDNLRYETDDVNLRAASYYVTGAPTWGVSNVGKFMEAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVTVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVASMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVSFAVQCSSQRASLSTPEDIIHLTFRQFVTGSARLGSSLRFSQKRRRAEGSRSRRETMRVSHLLLHGGVLLLLLAAAAVQAQRVATRTDPTEAAALNAVFAKLGQQAASTWNLSGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTICRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNILTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLRFQGNSFQGPIPSALSNLVQLSSLILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTTLLGLNLLNSLKPSKLKRTFTFNFGLHAFKEIHHVLQTSSFAVDCGSNRLISGSDNFRYQTDDASLGAASYSVTGEPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSYKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVAIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSNNRLGEGGYGAVYKGKLNDERVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDSNLREFNRVEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKCDCSDHNNTLCHITRLKINTLDVVGPIPEELRNLTHLIKLDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNVLLDAGLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRTYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ONIVA04G24390.8 pep chromosome:AWHD00000000:4:24292010:24321635:-1 gene:ONIVA04G24390 transcript:ONIVA04G24390.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPSPLPSPSRGSPLLFSRKGRRGLEEEDGVEDGAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLGLGSNHFNGSLPTELGNLINLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDNLVSLDFSKFASLSLLDLSFNNITGEVPATLLVSYLQGWHAFREIHPAFLVLHTSSFAVNSGSNRFISGSDNLRYETDDVNLRAASYYVTGAPTWGVSNVGKFMEAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVTVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVASMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVSFAVQCSSQRASLSTPEDIIHLTFRQFVTGSARLGSSLRFSQKRRRAEGSRSRRETMRVSHLLLHGGVLLLLLAAAAVQAQRVATRTDPTEAAALNAVFAKLGQQAASTWNLSGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTICRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNILTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTTLLGLNLLNSLKPSKLKRTFTFNFGLHAFKEIHHVLQTSSFAVDCGSNRLISGSDNFRYQTDDASLGAASYSVTGEPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSYKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVAIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSNNRLGEGGYGAVYKGKLNDERVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDSNLREFNRVEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKCDCSDHNNTLCHITRLKINTLDVVGPIPEELRNLTHLIKLDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNVLLDAGLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRTYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ONIVA04G24390.9 pep chromosome:AWHD00000000:4:24292010:24321635:-1 gene:ONIVA04G24390 transcript:ONIVA04G24390.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPSPLPSPSRGSPLLFSRKGRRGLEEEDGVEDGAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLKIYAVDASGQIPEELRNLTRLTDLGLGSNHFNGSLPTELGNLINLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDNLVSLDFSKFAISYLQGWHAFREIHPAFLVLHTSSFAVNSGSNRFISGSDNLRYETDDVNLRAASYYVTGAPTWGVSNVGKFMEAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVTVLGLVALVGIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVASMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSASEQQGSSLFLNSVIPEGRGQMVCETVSFAVQCSSQRASLSTPEDIIHLTFRQFVTGSARLGSSLRFSQKRRRAEGSRSRRETMRVSHLLLHGGVLLLLLAAAAVQAQRVATRTDPTEAAALNAVFAKLGQQAASTWNLSGDPCTGAATDGTPIDDNPNFNPAIKCDCTFQNNTICRITKLKIYALDVPGTIPQELRNLTRLTHLNLGQNILTGPLPSFIGELTNMQNMGLGSNRFNGSLPSELGNLDKLQELYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTTLLGLNLLNSLKPSKLKRTFTFNFGLHAFKEIHHVLQTSSFAVDCGSNRLISGSDNFRYQTDDASLGAASYSVTGEPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSYKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVAIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSNNRLGEGGYGAVYKGKLNDERVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDSNLREFNRVEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGRLSYCCYLHGCLCVLVLLLCSWRAADAQAQQPPPHTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKCDCSDHNNTLCHITRLKINTLDVVGPIPEELRNLTHLIKLDFRKNYFTGPLPAFIGELTALKYITVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLWALDNNFTGQIPDYLGSLTNLTQLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASVDFSKFGSLNLLDLSFNNITGQIPPSIVNLPSLTFLDFSYNHLSGNFPSWTAQKDLQLNLVANDFVIDGTDMSGLPWGLNCLQRNTPCFLAASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYIQGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKGTCCIPHQGYYGPAISALSATPNFIPTVRSPADNKSRSKIAVIIVVMVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNELRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHCNLVTLYGCCLESNTPLLVYEYLENGSLDQALFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNVLLDAGLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALETVAGESNYQNTLEEDRTYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >ONIVA04G24380.1 pep chromosome:AWHD00000000:4:24288331:24290709:-1 gene:ONIVA04G24380 transcript:ONIVA04G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56090) TAIR;Acc:AT1G56090] MAGAAVEQAHELYRGGRHRDALELYTAALAAARGPAQRIALHSNRAACYLKLHDFHKAAEECTSVLELDREHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPSSEVYRNLHARLKTQLALAPIPESEEESLYLEEDKQELPPERNVNIEICITKSDKPATEMILKKKPTTEPIVENKPATELILEKKPATELILEKKHATEPPKVEVPPSLPQKPQGWETIAKPKGHSGLDYSKWDKVEDDSSEDEDEEEEELPQYKFKVRTVAGSPELRGASPVTQIHNSLVPSCEQKFRFQDLKPGWQIPKSELSRLEEMREGLQ >ONIVA04G24370.1 pep chromosome:AWHD00000000:4:24283750:24286230:-1 gene:ONIVA04G24370 transcript:ONIVA04G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEAAAGVVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADAARLRHDLADRDRAEADLRARLADSDARLLAALDENAKLAKERDSLASTAKKMARNLAKLEAFKKQLMKSLSEDNLLQLSEIGDDRDFDANNNLTARVPSWKDEVSSSRTSADSSSRSTMTESAQEHQFSVTPYTAPKLTPGSTPKFLSGPTSPTKSLSEVHSTFSSWHGSSSHQYSAPTSPPQHRSFAGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREDTLSKAEEIFGTEHKDLYISFQNMLNRNHS >ONIVA04G24370.2 pep chromosome:AWHD00000000:4:24283639:24286230:-1 gene:ONIVA04G24370 transcript:ONIVA04G24370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEAAAGVVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADAARLRHDLADRDRAEADLRARLADSDARLLAALDENAKLAKERDSLASTAKKMARNLAKLEAFKKQLMKSLSEDNLLQLSEIGDDRDFDANNNLTARVPSWKDEVSSSRTSADSSSRSTMTESAQEHQFSVTPYTAPKLTPGSTPKFLSGPTSPTKSLSEVHSTFSSWHGSSSHQYSAPTSPPQHRSFAGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREVAYCPFIIVDFSVGYFLRKYVNMIFLLYLMNLRRTLSQRQKRFLEQNIKICTFLSRICLTATILECLSQASVYFCLLNKQVVLLPNSDFSVKAAFHVLMD >ONIVA04G24360.1 pep chromosome:AWHD00000000:4:24278483:24282324:-1 gene:ONIVA04G24360 transcript:ONIVA04G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTSGDPTAAAGEPTPSSSASAQPRQPASRISHIVRTYLDLSSNPKKRRAAPKSHPKPAAAAAGQGAPDAGDGKDGGGKAAATPSSAAQPTTTRLLRELGVRVSRYTHEERRDIVLRYMQKRSGRQGVKRATAKAPSRQALAERRRRGARGQFLGKEGAKKSTGKKKTEVYRHKSAVVTNKMQKTRKDTRHPDEAATGTRDGELNMTPDNRQSEARNSPNQFLPDQLMQGHYILGQSYGLGTSENLHNNLNQFGQASSLPTMQQQAFPGNNQLTQGYPSDMHALQFVGANPQMEHQNGDQGQSSIPVWDFL >ONIVA04G24360.2 pep chromosome:AWHD00000000:4:24278483:24282324:-1 gene:ONIVA04G24360 transcript:ONIVA04G24360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTSGDPTAAAGEPTPSSSASAQPRQPASRISHIVRTYLDLSSNPKKRRAAPKSHPKPAAAAAGQGAPDAGDGKDGGGKAAATPSSAAQPTTTRLLRELGVRVSRYTHEERRDIVLRYMQKRSGRQGVKRATAKAPSRQALAERRRRGARGQFLGKEGAKNTDTRHPDEAATGTRDGELNMTPDNRQSEARNSPNQFLPDQLMQGHYILGQSYGLGTSENLHNNLNQFGQASSLPTMQQQAFPGNNQLTQGYPSDMHALQFVGANPQMEHQNGDQGQSSIPVWDFL >ONIVA04G24350.1 pep chromosome:AWHD00000000:4:24267587:24277744:1 gene:ONIVA04G24350 transcript:ONIVA04G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEHGGGGRGRGRGRGGGRGGGGGDGRGAGYGGGGGGVGGRGGRGPPGGGGGRGYEPGGGRGYGGGGGGGGRGYGGGGGGGGYESGGGRGYGGGGRGYESGGGRGPGGGGRGHESGGGGGRGGNVWAQPGRGRGGAPAPAPAPAPAARRIQDEGAARSSGTVERIASTEVVRVQPPAPPVAVSRSGTRVPMRRPDGGGSVSKDKVKLLVNHFIVKYRQASTVFHYDIDIKLDISSPKASDKELSKGDFLTVKDELFKDESFRRLSSAVAYDGKRNLFTCAELPDGLFRVKVRSRTYIVSVEFKKKLPLSQLSELPVPREVLQGLDVIVREASSWRKIIIGQGFYSQGRSVPIGPDVVALKGTQQTLKCTQKGLILCVDYSVMPFRKAGPVLDLVQKSVRYLDYRTTLNKHQLDTLKNELKGQRVTVNHRRTKQKYIVKGLTDKPASQITFVDSESGQTKKLLDYYSQQYGKVIEYQMLPCLDLSKSKDKQNYVPIELCDLLEGQRYPKASLNRNSDKTLKEMALIPASRRKEEILELVNADDGPCRGEIAQQFGISLDVQMMEVTGRTLPPPSLKLGTSSGQPCEFNIDQPNCQWNLRAKRLAEGRVLQCWGVVDFSANSGQHALNGNMFIDKIVRKCCDLGVQMNRNPCYVQLLDMEVLSDPHQLFEELNKAKQAAASKKQKLQLLFCPMSDQHPGYKTLKLICETQLGIQTQCFLSFLANKQQGQDQYMSNLALKINGKIGGSNIQLFGESLPRISGAPYMFIGADVNHPSPGNVESPSIAAVVASVDQGASKYVPRIRAQPHRCEVIQHLGDMCKELIGVFEKRNRVKPRRIIYFRDGVSDGQFDMVLNEELADMEKAIKTKDYSPTITVIVAKKRHHTRLFPKDLNQQQTKNGNVLPGTVVDTGVVDPAAYDFYLCSHNGLIGTSRPTHYYSLLDEHGFASDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMMSQPPPSSAASASSASSSGAGASDFRSFPALHEDLVDNIWPVAVAATPGEATTAATGTREAEEGKGVLTGEETGSAVEEETGLAEDAFPVEEESSLAEAAMFWEEAKAVGGGLLLVVVVLVEEGPSSAVGAELAALGEWGERPCAVGDGARATTETSTTTSASVTTTATTTTSASVTTTTSASVTTTTTTSASSEGDPGRGILEFVVVQRIPYGEDEKEEPPATPIASSNKNKREEPPTKHRPMARPPGGGGPLSKGEVKLLVNHFSVDYPKESTFFHYEIRIKLGDGPNRKLSKAELLTVKNELFEHESLQELSSAVAYDGERNLYTCAELPEDCIVPVSKFRVKDSSRTYIVSVKLKKPLPLSQLLEQRPGPRDVMQGLDVIVREASSFGKIVLGQGFYPQSGSEAISDSNIVALKGTQQSLKCTQKGLILCVDYSVLPCWKAGSVLDLVKTMKFMEYPLLEDQLKKLNNALKGLCVTVSHRKTEEKYTVKGLTDKPADQITFKDSKSGQTTKLIEYYKETYKKEIEHPMLPCLDLSKSKSKQNYVPIEFCNIPEGERYPVARLDDKKSDNKGEQEKPSTKTTLRKISIKVASSRKEEILDLVGNAQDGPCRGKIAQRFRISLDAAMMEVTGRILAPPTLELGTGTSRGQTFKFTIHQDDCQWNWKLKKYDKRVVAHGGTLNCWGVVDFSEGDLESKFIDKVVRKCSALGMVMTRASKYVTRIRAQYHRCEMIQNLGDICKELIGAYEKVNKKKPDSIIYFRDGVSDGQFDMVLNEELADMENKIMVGDYPKITVIVAKKRHHTRLFPKDRNQRQTKNGNVLPGTVVDTDVVDPTAYDFYLCSHKGEVGTSRPTHYYSLLDEHGFASDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMMLQPAASAASASEAMMPAAQPQAAAAAAAAAAASPSSSAASSSEGMTASQPQAPAAEAASSSAGAADFRELPPMHGDLLNNMFFL >ONIVA04G24340.1 pep chromosome:AWHD00000000:4:24258340:24260158:1 gene:ONIVA04G24340 transcript:ONIVA04G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEGDESHKPERRVEDRDQPVARQGDRRRRRGQQGARQEALQGRQDRRGDGAAAVVNGGAFGRRQEERRQWRREADLAGGREERRAQGRRQGRQRRQVVVGAGAGGVQAGMQQVHGREGSRRTRRRGWPRRWEDGVVQGHRHRQEQRRRRRRQIRTGGGGGGVPVPPPLQPAGAGHGGAGSPPVLRRERDTVLRRRILPDAAAAAADVPSPSSAPAAAVTLRRGLLQRGQHRRLPRHVKPQNTPSSSWLPPCTTDRSPRNQRPPFVSSISTHTHTLFRMHLPFNQINQTNKTTINSNSIIRHLLPPSLSTP >ONIVA04G24330.1 pep chromosome:AWHD00000000:4:24253806:24258047:1 gene:ONIVA04G24330 transcript:ONIVA04G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) TAIR;Acc:AT1G64810] MEILHNNGFCVSLIDINRTRMNKLVKVGSRPRQIAWGMSRICCEQSPGTSSKRYERYQRQPQNVDLPELLPKKKKKPFPVPIKKMLQASRQDKRLARMRIEKPLEPPKNGLLVPELIPVAYEVLDNWKVLIRGLSQLLNVGTVYGCRKCPQVHVGPVGHQIQDCYGTGSQRRNSHHSWVRGSVNDVLIPIESYHQFDPFGWRVKHETRFDYDRIPAIVELCIQAGVELPQYPSRRRTAPVRMIGKKVIDRGGFVDGPKPHRSEDCISLLAELDTFSNQQGQSSTPSNVEELAEKTLKAYLNVQRGVARLMRKYTVKTCGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDDVIPPNYVWHVRDPTGPPLRSSLRSFYGKAPAVVELCVQAGAEIPEEYRPMMRADVVIPDSEEARLAA >ONIVA04G24330.2 pep chromosome:AWHD00000000:4:24253786:24258047:1 gene:ONIVA04G24330 transcript:ONIVA04G24330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) TAIR;Acc:AT1G64810] MEILHNNGFCVSLIDINRTRMNKLVKVGSRPRQIAWGMSRICCEQSPGTSSKRYERYQRQPQNVDLPELLPKKKKKPFPVPIKKMLQASRQDKRLARMRIEKPLEPPKNGLLVPELIPVAYEVLDNWKVLIRGLSQLLNVGTVYGCRKCPQVHVGPVGHQIQDCYGTGSQRRNSHHSWVRGSVNDVLIPIESYHQFDPFGWRVKHETRFDYDRIPAIVELCIQAGVELPQYPSRRRTAPVRMIGKKVIDRGGFVDGPKPHRSEDCISLLAELDTFSNQQGQSSTPSNVEELAEKTLKAYLNVQRGVARLMRKYTVKTCGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDDVIPPNYVWHVRDPTGPPLRSSLRSFYGKAPAVVELCVQAGAEIPEEYRPMMRADVVIPDSEEARLAA >ONIVA04G24320.1 pep chromosome:AWHD00000000:4:24247883:24248505:-1 gene:ONIVA04G24320 transcript:ONIVA04G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQGPPPGTAAYPPPGTGYPPPAYGAPPPVAADYGGYQQQPPPPPQDSQSRGDGFLKGCCAALCCCCLLDMCF >ONIVA04G24310.1 pep chromosome:AWHD00000000:4:24242856:24248886:1 gene:ONIVA04G24310 transcript:ONIVA04G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19860) TAIR;Acc:AT4G19860] MAVLEDLIRAIELWLRIAKEQVPLVDPTLDPVLLVPGIGGSILEAVDEAGKKERVWVRILAADHEFRAHLWSKFDASTGKTVSVDEKTNIVVPEDRYGLYAIDTLDPDMIIGDDSVCYYHDMIVQMIKWGYQEGKTLFGFGYDFRQSNRLSETLDRFSRKLESVYIASGEKKINLITHSMGGLLVKCFMSLHSDVFEKYIKSWIAIAAPFQGAPGYITTSLLNGMSFVEGWESRFFISKWSMQQLLLECPSIYELLANSTFQWEDTPYLQIWRQKLDTNGKKSAIWAKETQDVLCNAKLPKSVKFYNIYGTDYDTAHTVRYGSEHHPISNLSDLLYTQGNYICVDGDGSVPVESAKADGLDAVARVGVAADHRGIVCDRHVFRIIQHWLHAGEPDPFYDPLNDYVILPTAFEIEKYHEKHGDITSVREDWEIISHRDDESKRPAELPPMFNTLSASREGEDGSLEEAQATIFVHPESKGRQHVEVRAVGVTHDG >ONIVA04G24300.1 pep chromosome:AWHD00000000:4:24237133:24242081:1 gene:ONIVA04G24300 transcript:ONIVA04G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSDDKAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGDLTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDKLCGSDECIGSGSQVASHETFGTLGAIVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFFTDILVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDIIITNESLQEFAYYKDAVQQQRFALVAAVTSAVGESSGAPVAIPEEKVEEIFEPLGIQIQQLPRHDVAASGTEGEEASNTVVNVEEHQFISNFVGMSPVRDDQDAPRSITNLNNPSEEELAMSLHLGDREPKRLRSDSGSSLDLEK >ONIVA04G24300.2 pep chromosome:AWHD00000000:4:24237665:24242081:1 gene:ONIVA04G24300 transcript:ONIVA04G24300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSDDKAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGDLTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDKLCGSDECIGSGSQVASHETFGTLGAIVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFFTDILVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDIIITNESLQEFAYYKDAVQQQRFALVAAVTSAVGESSGAPVAIPEEKVEEIFEPLGIQIQQLPRHDVAASGTEGEEASNTVVNVEEHQFISNFVGMSPVRDDQDAPRSITNLNNPSEEELAMSLHLGDREPKRLRSDSGSSLDLEK >ONIVA04G24290.1 pep chromosome:AWHD00000000:4:24217679:24226777:1 gene:ONIVA04G24290 transcript:ONIVA04G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQAAEVGEVTAPKLPDKAVDKAQVHDDDKENLVNGNSNLQVKEAHNDEDDGTGSDGFELIDVKENFDSAKVVEEEKASPIEQGKTAALEEQALMRESAMLNQETKQLEELTRRIEELELEKEKLVKGVTEAENKQNLHYTSLQEAQRSLADKDKELADATQSLKELGSELESSKERIQEIEAELAASADKLHKLEELKDERSLHAAQEAKRASELDKTLETAQLNMKEMEAQISSLQEEIKGHQDKAIDHQQVEESLRSTLSELKMVQEALELSKSQVDDLEQKLASQDANISHLTEELSLHRSSEESLKEKTLKLENELSSAHEELQAKILNLQEMEIKLEEQAKEKQTWEATLEKQQEQILNLQTELDESKGGNETLRGTIADLNSKLAERDSLLRQAEDEHAKAQLLLSEALSHKDELEVNLKSINEQHGESRAAAENASQKILELEALLQTLHATEEALKLQLEEAEARVEVAEKKGSDLEQLLGESENKLVASSGELKLLEERVQQEAASSAEKEKLLEEATNSVEAYKEKINELQASLDSTTSKNQLLEQEVKDLSDKFTEHQEQAHSVHERSLELESLLHTSKSDAEVAHTRTQDLENELNTANEKFKEVEADLEQYRSKVSQLSDELEAYQTKAASLEAVMESASEKEKELVESLGQITEEKKKLELLVLEYEEKTEEYLKEKQSLEERLQSQESKVLALEESLVKMGEEKESHEGTIADLNLQLSNKNDMYMQLESQLSQAGDDHSKTRSLLSEAQLHKEELELNLKSLNDLHVESKTAAESALQRIAELETQVQELSAAEQSLKSHLTEFESKLASAEKKSMDLEQELKDATEECNSSRLRVDELSGELEEYKEKRTSLEASLLEAKQKEAELSEKLDQVNEEKEKFEELSKKATIKHLEAENQVQALQGELESARHKLEEVESDLEALGIRETSVLDKLKSAEEQLEHKGKALEHATSKKIDLEALYQSLLEDTEMKLQQAGENLTQKETECQELSEKLKAAEEQAASYQAKATAAAEEVESVKVELEAFETEISTLETTIEELKTKASNAESRAEQALVESAMMSETNQALKEDLDAKLAMLRELQEQFDSTHAEKEEVFTKLSAHEKTIEHLTEVHSRGLELHATAESKNAELEAQMHEALETIGKKDSEVKDLNERLAALESEIESLTHVNEAMKQEINAKLVKVDELQEKLSSISSEKEEVAEKVVVHEKTIEHLREEHSRGLELQSAAESRSAEIENELREVLETVAQKEAEVTDLKEKLVSLETENEKLVGINEALKGELDTKVAMFDELQEQFSSTHAEKEEAAEKLAVHERTISHLTEVHTRSLELHSAAESKNEEIESKLHEALEMAAQKEAEVKDLSKKLDALEIELGYYEEQATEAAAAEETHKIKFDEAVHKIKSLEEQLAVTENKVELFHTEKENLVIANSKLNEELELHQNKLNELQVALAAAVAEKEGSSEEIHSLRKTLDGMIQRKEELESQVSSTVEEHEELKSKYNITLEEKQLLNEKYESAKKELGEAIAKLEEQMNVDKSEKELHISKLERQITLSELKYMEEIQTMQVETTEKDEALTTKMQEHANLVHEKDALEQQLLEVRKELNDAYHTIANQEEQVSVREIKWDAYKKFSEDQLEAEQQHVAELEVQVSSLKQQLQEAEIHYKHKEEQVSLREVQWEADQEHSVSELKAQRQYAAELEKQIGALTQQLQLVEKQYEQKVTEEREKLALVNTEVSKLTQKLSKSAEMEKKIEHLEQKLQAKDSVESTSRDFSLDSSTLPSKQRDRSLAPETTPPNPTQQQELREPSGIMAFKFILGVALLSVLIGVFLGKRY >ONIVA04G24280.1 pep chromosome:AWHD00000000:4:24205939:24212763:1 gene:ONIVA04G24280 transcript:ONIVA04G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIARGLLRSNASSSSSQAINLLKYVTSTGSLQGHTQNLCDASTRHFSSVPSPQSNSTEENGFKGHGMLAPFTAGWQSTDGSYVYDIDGKKYLDSLAGLWCTALGGSEPRLAKAATEQLHKLPFYHSFWNRTTKPSLDLAKELLSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPDKKKFIARSKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGETEEEFATRLANNLEELILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKVQAIVKKYDILFIADEVITAFGRLGTMFGSDMYNIKPDLVSMAKALSSAYVPIGAIMVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYQERNIPDHVKQISPRFQEGVKAFAGSPIVGEIRGVGLILGTEFADNKSPNDPFPAEWGVGAIFGAECQKRGMLVRVAGDNIMMSPPLIMTPDEVEELVSIYGDALKATEERVAELKSKKNN >ONIVA04G24280.2 pep chromosome:AWHD00000000:4:24211397:24213099:1 gene:ONIVA04G24280 transcript:ONIVA04G24280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIMLAAMMMMVMWARLSVGPCTKDGLLPRATKHKQIDGTAAVSSSALTPLAAWPHMSVTAMACLAGPAKYNMAGPEPGRVTAGKPSGRWVGPTKKSNGVHVSLEFGTTFFLRKCPCTFDYSILVICLAFRRRLFCRPVI >ONIVA04G24270.1 pep chromosome:AWHD00000000:4:24194221:24204074:1 gene:ONIVA04G24270 transcript:ONIVA04G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARSLLLRSNGSTGQRGGGAAAAVKMSRRRRRRRSVRLRKADRSAEYPFAASFQGETDCTSHASARKFSTSGSSPLLDSTEGNGFKGHSMLAPFTAGWHSTDLEPLIIERSEGSYVYDSKGNKYLDTLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNSTAKPPLDLAEELISMFTAKEMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKIIAQSQALPAMHLKFDLPAPFVLHTDCPHYWRFGLPGEAEEEFATRLADNLENLILKEGPETVAAFIAEPVIGAGGVIPPPKTYFEKIQAVLQKYDVLFIADEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYVPIGATLVSPEISDVVHSQSNKIGFFAHGFTYSGHPVSCAVALEALKIYRERNIPAHVKQISPRFQEGIKAFAGSSIIGETRGVGLLLATEFANNKSPNDPFPVEWGVAQIFGAECKKRGMLVKVVGDEIAMSPPLIMSQREVDGLVSIYGEALKATEERVAELRSKKK >ONIVA04G24270.2 pep chromosome:AWHD00000000:4:24194221:24204074:1 gene:ONIVA04G24270 transcript:ONIVA04G24270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVARSLLLRSNGSTGQAMNLIKHAAFAASFQGETDCTSHASARKFSTSGSSPLLDSTEGNGFKGHSMLAPFTAGWHSTDLEPLIIERSEGSYVYDSKGNKYLDTLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNSTAKPPLDLAEELISMFTAKEMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKIIAQSQALPAMHLKFDLPAPFVLHTDCPHYWRFGLPGEAEEEFATRLADNLENLILKEGPETVAAFIAEPVIGAGGVIPPPKTYFEKIQAVLQKYDVLFIADEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYVPIGATLVSPEISDVVHSQSNKIGFFAHGFTYSGHPVSCAVALEALKIYRERNIPAHVKQISPRFQEGIKAFAGSSIIGETRGVGLLLATEFANNKSPNDPFPVEWGVAQIFGAECKKRGMLVKVVGDEIAMSPPLIMSQREVDGLVSIYGEALKATEERVAELRSKKK >ONIVA04G24260.1 pep chromosome:AWHD00000000:4:24189193:24192116:1 gene:ONIVA04G24260 transcript:ONIVA04G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSLDYPCATRLRHRRLLAFLINNDYMDAYDEINKRRRLVFHVSDLMKAGRLVEARNYVWRFAPPGGGGGEPSSSAEAVTLWKFIHQLMVLDSFAHGGIRDHTAIRGWFTRILAEPPGFSVLYPSCLALAAHFVAGRVEAARDMADWKVVRSKAASLAGKMAREAPEIRCTMLLPLARAKPKDLFPLIASSSFRRRRYVKAARRASSSHLAQFYLNKKKRLPSPSHPEVRD >ONIVA04G24260.2 pep chromosome:AWHD00000000:4:24189210:24192116:1 gene:ONIVA04G24260 transcript:ONIVA04G24260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFPLFHQDKQEETFGVPCERSHEGRPFGGGEELRVAFRPARRRRRRAELERRGRHPVEVHPPAHGPRQLRPRRHPGPHGDPRLVHAHPRRAPGLLRALPLVPRPRRPLRRRPRRGGQGHGGLEGGPLQGGQLGREDGARGAGDQVHHALAACPGQAQGLVPPDCFFEFSSEALCEGSTTGIIISSCSVLPEQEEEAAFSKSSRGEGLKKKRMTSFKLRVCAQSNSL >ONIVA04G24250.1 pep chromosome:AWHD00000000:4:24175026:24182981:1 gene:ONIVA04G24250 transcript:ONIVA04G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNIIPAYGCAKLHAAPPDYPCVARLRHRRLLSFLWLHSFKSTFEAMAEETKVLLSLPRLERLIARGMWGEAIGYACRFLPRRPPSLPAQRTHLTAEAQTLLLFLHMHRCFADVVAGNETGAAWSDKHRRRYCLARVTGISSHAIAIRRIIQTFVLSDKIRESMDWGRVREKVVRVVRRLRHVRQQRRPRAYTIAQLYLEMKRCVPSSGQPDQGLSLEGLSDKARGWMAHILDSSLRAGCESSEHHQWRYPLRSSEKKGAPVAAITQTMFSTIKTDSENSGTASATKKGVLDSIVLQTKFGNMTSPEKNYGFSSLTNAGTYMHSSQEDCHTENYCQGFIPRKHQREELAPEEDIDPKRQHTTLTFGEASAYLSARRLGCVGYITIYYKHGGSCSRWPPRVIPSRMNKRTCLVFHACDVSDLVDLVETTRVCYVWRFAPPIEAMTRPRSIGSSWSGGWFTRILAGHFVEAAKNMGGDLARRVRRLDASRASPGPRRGGTQSRVGRRRRGRWRRSASERVNQWVTRSASRRTESKTPMESMAPRASSPPAPGGGARARLSLEGRRERAPATANAGQMWNAAWNDDAVASAPERGSAATACLPSILPKTGGHRASRPQLPPLSPILPNTGGHRPHG >ONIVA04G24240.1 pep chromosome:AWHD00000000:4:24167745:24173899:1 gene:ONIVA04G24240 transcript:ONIVA04G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESPFRADVLRGKAALVTGGGSGIGFEIAAQLARHGAHVAIMGRRREVLDKAVDALRSHGLRAVGFEGDVRKQEDAARVVAATVQHFGKLDILVNGAAGNFLASPEDLTPKGFRTVVDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGVIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIEGTPGMRKLAPEEMAKGSREIMPLFKLGEKWDIAMAALYLASDAGKYVNGTTVVVDGGLWLSRPRHIPKEEVKELSKVVEKKVRASGVGVPSSKLLNRRKEAPT >ONIVA04G24240.2 pep chromosome:AWHD00000000:4:24167745:24173899:1 gene:ONIVA04G24240 transcript:ONIVA04G24240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESPFRADVLRGKAALVTGGGSGIGFEIAAQLARHGAHVAIMGRRREVLDKAVDALRSHGLRAVGFEGDVRKQEDAARVVAATVQHFGKLDILVNGAAGNFLASPEDLTPKGFRTVVDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGVIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIEGTPGMRKLAPEEMAKGSREIMPLFKLGEKWDIAMAALYLASDAGKYVNGTTVVVDGGLWLSRPRHIPKEEVKELSKVVEKKAEQEERSTNLINGAWESRAQKD >ONIVA04G24240.3 pep chromosome:AWHD00000000:4:24167745:24173899:1 gene:ONIVA04G24240 transcript:ONIVA04G24240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESPFRADVLRGKAALVTGGGSGIGFEIAAQLARHGAHVAIMGRRREVLDKAVDALRSHGLRAVGFEGDVRKQEDAARVVAATVQHFGKLDILVNGAAGNFLASPEDLTPKGFRTVVDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGVIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIEGTPGMRKLAPEEMAKGSREIMPLFKLGEKWDIAMAALYLASDAGKYVNGTTVVVDGGLWLSRPRHIPKEEVKELSKVVEKKAEQEERSTNLINGAWESRAQKD >ONIVA04G24240.4 pep chromosome:AWHD00000000:4:24167745:24173899:1 gene:ONIVA04G24240 transcript:ONIVA04G24240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESPFRADVLRGKAALVTGGGSGIGFEIAAQLARHGAHVAIMGRRREVLDKAVDALRSHGLRAVGFEGDVRKQEDAARVVAATVQHFGKLDILVNGAAGNFLASPEDLTPKGFRTVVDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGVIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIEGTPGMRKLAPEEMAKGSREIMPLFKLGEKWDIAMAALYLASDAGKYVNGTTVVVDGGLWLSRPRHIPKEEVKELSKVVEKKAEQEERSTNLINGAWESRAQKD >ONIVA04G24230.1 pep chromosome:AWHD00000000:4:24157056:24161080:-1 gene:ONIVA04G24230 transcript:ONIVA04G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSESEGTNRGSMWELDQNLDQPMDEEASRLKNMYREKKFSSLLLLRLAFQSLGVVFGDLGTSPLYVFYNAFPHGVDDEEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKISTIPNQHKTDEDLTTYSRQTYEENSVGAKIKRWLEAHAYKRNCLLIVVLIGTCTAIGDGILTPAISVLSASGGIKVQNPNMSTDVVVIVSVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGSVGALNIHKYKGSVLKAYNPVYIYRYFQRRNSDSWASLGGIMLSITGTEALFADLCHFPVFAIQIAFTLIVFPCLLLAYTGQAAYIIAHKDHVADAFYRSIPGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVTFIVLSLMVEIPYFSACLLKIDQGGWVPLVIATAFFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPMDERFLVRRIGPKNFHIFRCVARYGYKDLHKKDEDFEKMLFNCLLSFLRLESMMEGYSDSDDFSVPEQRTEGSISNAFLAEKTNNNTMCSNGDLSYSSQDSIVPVQSPLRGNSLLRYSSQASHTVSDELEFLNRCKDAGVVHILGNTIVLARRDSGIIKKIAVNYMYAFMRKICRENSVIFNVPHESLLNVGQIYYI >ONIVA04G24230.2 pep chromosome:AWHD00000000:4:24157056:24161080:-1 gene:ONIVA04G24230 transcript:ONIVA04G24230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSESEGTNRGSMWELDQNLDQPMDEEASRLKNMYREKISTIPNQHKTDEDLTTYSRQTYEENSVGAKIKRWLEAHAYKRNCLLIVVLIGTCTAIGDGILTPAISVLSASGGIKVQNPNMSTDVVVIVSVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGSVGALNIHKYKGSVLKAYNPVYIYRYFQRRNSDSWASLGGIMLSITGTEALFADLCHFPVFAIQIAFTLIVFPCLLLAYTGQAAYIIAHKDHVADAFYRSIPGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVTFIVLSLMVEIPYFSACLLKIDQGGWVPLVIATAFFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPMDERFLVRRIGPKNFHIFRCVARYGYKDLHKKDEDFEKMLFNCLLSFLRLESMMEGYSDSDDFSVPEQRTEGSISNAFLAEKTNNNTMCSNGDLSYSSQDSIVPVQSPLRGNSLLRYSSQASHTVSDELEFLNRCKDAGVVHILGNTIVLARRDSGIIKKIAVNYMYAFMRKICRENSVIFNVPHESLLNVGQIYYI >ONIVA04G24220.1 pep chromosome:AWHD00000000:4:24147339:24150713:-1 gene:ONIVA04G24220 transcript:ONIVA04G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNEGSHRITRSGIVRAKPAVTADGKIEPAGEGLPHGWLKEYRPRKNQSGSRVKGDTFYIDPTNMYEFRSQKDVQRYLESGDVTNCVMIQNKRKMEDLHTARNQSHHTRRPSDHRQLDAGEGATQCDLPIARGNSARSDFLVNANSSDNSEDMSSSVPKGVSEGKLTRLKLQKARVPNQSVEHESSTGEVANMEHKPKEKKQKTKPVKQISTPLRASPRLAALKINQEGNNEPKDEALSTNTDTANQSQPKLTKSPKAKANSSVLPEKSDGAHTSNASEIPQNKYPSATEQMQGSSVHPQQAGTADAMPGSALSSLLRSIWSDPCLKFAIKTLAGDIPALDFIPSQDMNKGTTPNCSSSAYDGSRNHAQVDHVGMPMPRPSDKFYGSGWFPPQNSTEFSQETVQFPHNSSVPKKAFKYSNVVPVHIFVDSSHPFGTYEF >ONIVA04G24210.1 pep chromosome:AWHD00000000:4:24137515:24141416:-1 gene:ONIVA04G24210 transcript:ONIVA04G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVVGSVAAAAAVGRWGAAPPQAMLERMKDYGQEGAFALWDELSPEDRELLVRDIESLDLSRIDRIIRRSLGSQGFTLPTVEPVPESSVSKVEERLPEDKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCVQKLAAQSSDSPNNTVPIHWYIMTSPFTDDITRKFFESRKYFGLEADQVTFFQQGTLPCVSADGRFIMETPYKVAKAPDGNGGVYAALKSRRLLEDMSSRGVKYVDCYGVDNALVRVADPTFLGYFIDKAVSSAAKVVRKAYPQENVGVFVRRGRGGPLSVVEYSEMDAAMATEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSTYHLAEKKIPSIHGYAMGLKLEQYIFDAFSYSPSTALFEVLREEEFAPVKNANGASYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >ONIVA04G24210.2 pep chromosome:AWHD00000000:4:24137515:24141416:-1 gene:ONIVA04G24210 transcript:ONIVA04G24210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVVGSVAAAAAVGRWGAAPPQAMLERMKDYGQEGAFALWDELSPEDRELLVRDIEVSERSLDLSRIDRIIRRSLGSQGFTLPTVEPVPESSVSKVEERLPEDKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCVQKLAAQSSDSPNNTVPIHWYIMTSPFTDDITRKFFESRKYFGLEADQVTFFQQGTLPCVSADGRFIMETPYKVAKAPDGNGGVYAALKSRRLLEDMSSRGVKYVDCYGVDNALVRVADPTFLGYFIDKAVSSAAKVVRKAYPQENVGVFVRRGRGGPLSVVEYSEMDAAMATEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSTYHLAEKKIPSIHGYAMGLKLEQYIFDAFSYSPSTALFEVLREEEFAPVKNANGASYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >ONIVA04G24200.1 pep chromosome:AWHD00000000:4:24134327:24136217:1 gene:ONIVA04G24200 transcript:ONIVA04G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTIIVRRNYLHFVKKYQRQGANLPPCRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGSGGKKAFTVA >ONIVA04G24190.1 pep chromosome:AWHD00000000:4:24129688:24132681:-1 gene:ONIVA04G24190 transcript:ONIVA04G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLAEQPSTRLLKHIIRCYLRLSDNSRACAALQTCLPDMLKDGTFNNCLRDDPTTRRWLQQLLLNVTGAGMGAAPQPGLDHMMGI >ONIVA04G24180.1 pep chromosome:AWHD00000000:4:24126308:24127770:-1 gene:ONIVA04G24180 transcript:ONIVA04G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPKLTTPSCKSPPTPTPTPLKPQLGLPGKLLQPAQAVAPTHLNLLLPLLGASQQEAAAAVPTPKSRSKNGGGRSGGGGGEDPRRSDYYLNLGTAVRTLRDDLPAVFVREPNYEIYREDITFVDPLNTFHGINNYKTIFWALRFHGRLLFREIGLDISRIWQLTENSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRPAAKVGSIADIVVASCPPSPNLTFWDMVGSTGDGCSWANLYQAVVETVEREGNDPAGIAIEGLLTCS >ONIVA04G24170.1 pep chromosome:AWHD00000000:4:24118977:24123202:1 gene:ONIVA04G24170 transcript:ONIVA04G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20050) TAIR;Acc:AT4G20050] MKNMEAARRGRRGRQGGGLLLVVLAALLLLLLALARGASAWAHGGLAGGAGERRYMDLAMRRMESVRSSFVARRELATSTAASSSRVYHVTDYGADPTGGADATAAINSAIADAFRRPSNATMTGGIPDLGGAEIHLDGGSYLLKGPLSLPASGGGNLKIHSGSLRAADDFPTDRYLIELSAKAAGGGGGSSPAMSYYYEYVTLRDLMLDCNYRGGGVRVVDSLRVGVDNCYVVHFATDGVAVSGGHETFVRNTFLGQHMTTGGDPGERSFTGTGIRLDGNDNSVSDVVVFSAATGIMVTGGANAISGVHCYNKATGFGGAGIYLKVPGLTQTWITNCYMDYTGIVAEDPVLLHVSGSFFLGDANVVLKAVNGVARGVQIVGNLFNGRGKGVDIVELDGEFATVEQVYVAQNAATGMTVRSTTARAAAEGNGSSWTVDFSPVLLFPDRIGHVQYSLAAGDAFPGHALRNVSGNRVVIATDAAVSATVHVLVDQNSS >ONIVA04G24160.1 pep chromosome:AWHD00000000:4:24108162:24110129:-1 gene:ONIVA04G24160 transcript:ONIVA04G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKHTLQVLPWLLLFAQHTAASACDCANTTDGADRQGAMKLKLIAIASILAAGAAGVLVPVIGRSMAALRPDGDIFFAVKAFAAGVILATGMVHILPAAFDALTSPCLKRSGGDRNPFPFAGLVSMSAAVATMVVDSLAAGYYHRSQFRKARPVDNINIHKHAGDESTEHAQHINAHTHGAHTHSHGDIVVHGSPEEGSVAESIRHKVVSQVLELGILVHSVIIGVSLGASVRPSTIRPLVGALSFHQFFEGVGLGGCIVQANFKVRATVIMAIFFSLTAPVGIVLGIAISSSYNVHSSTAFVVEGVFNSASAGILIYMSLVDLLATDFNNPKLQINTKLQLMAYLALFLGAGLMSMLAIWA >ONIVA04G24150.1 pep chromosome:AWHD00000000:4:24102408:24106181:-1 gene:ONIVA04G24150 transcript:ONIVA04G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79490) TAIR;Acc:AT1G79490] MDEPKPKKGTLVKSPFTCREPRGRGGVIAMLLRVGLARRRLTTAALPLSLAARGRRVSTGPSPPPPPPPSAEWTDTVDYLDESGEVLSSAPGARAAVPGADATILSGSSAHPLPRPAAAARLAALALRFRSGPSLSAALSALPSQPDPALLLLAASSLPASDPTPLLALVAWARVQPWFVPSDDLSSLLAARLAPATHSSELLSLFDDTLALPDPAAFPKTLNAVLSALATHGLLEPAFFCFKRLRDAGFRILETHAYNALLSLLLTRGLAFKAFEVLDEMSSSGCALDEGTYELVVPALARAGRIDAARKMFDEMRKREGIGRASAGMYGVLVDVLAKAGRLDAAMGMYREMVAVGHRASPAVSTAMVEGLVRAGKLDAGMELWEEMRRGGLRPSFGLYTMVVEANARSGRLDVATQLFGDMEKSGFFPTPATYACLVEMHASAGQVDAAMRLYHSMANAGQRPGLSTFTALLMMLANKRMLDLAAKVLLEMKASGFPIEVTASDLLMIYIKEGSTELALRWLRFMGSAGIRTNNFIIRQLFESCMKTGLYDSARPLLETYVAGAAKVDLILYTSILAHLVRCQDEGRERAIMDILSVSKHKAHDFMCGLFTGPEQRKKPVLSFVREFFQGIDYDNEESAARYFVNVLLNYLVLMGQMNRARCIWKVAYENKLFAKAIVFDQHIAWSLDVRNLSVGAALVATVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHRLNVMFPSSAPEVRSLSIPRSLSMSRIAGPRQKKLVTYRQPKRRLGSGEASQAGQPRSSCPWASIVPGYEDAELPSSHWHWC >ONIVA04G24140.1 pep chromosome:AWHD00000000:4:24103543:24113212:1 gene:ONIVA04G24140 transcript:ONIVA04G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLHIFGPWPITRPIAHRCRVVFFLFPSSSALLYSRSQASAAIPSAAPTSFAARFRRASAIRALPDSSAFVFFDLLLRRPRRSIPGDLGEVMSGVLAKFAIASAVMWTAPVAIVYGFYYQMIPGVSQLSSSTQTLASGFLAVISINLVIGFYICMAMKETPHQEPQPDPTFLANAKASIDQPTPSQVNDDSHGKGKVE >ONIVA04G24140.2 pep chromosome:AWHD00000000:4:24099229:24105862:1 gene:ONIVA04G24140 transcript:ONIVA04G24140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTATAAAEQAPPPPPQHTRKAVGLAAHDDSGHLTPIRISRRKTGDDDVAIKVLYCGICHSDLHTIKNEWRNAAYPVVAGHEITGVVTEVGKNVARFKAGDEVGVGCMVNTCGACESCRDGCENYCSGGVVFTYNSVDRDGTRTYGGYSDAVVVSQRFVVRFPAGGALPLDRGAPLLCAGVTVYAPMRQHGLCEAGKHVGVVGLGGLGHVAVKFAGAFGMRVTVISTSPAKRQEALERLGADGFIVSTNASEMKAAMGTMHGIINTASASTSMHSYLALLKPKGKMILVGLPEKPLQIPTFALVGGGKVLAGSCMGSISETQEMIDFAAEHGVAADIELIGADEVNTAMERLAKGDVRYRFVVDIGNTLKSD >ONIVA04G24130.1 pep chromosome:AWHD00000000:4:24094012:24098432:-1 gene:ONIVA04G24130 transcript:ONIVA04G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit epsilon [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5V1] MASPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAAAERDAIVFRSYVALGSYQLVISEIDSSAATSLQAVKLLALYLSGDKESAIVSLKEWLSDSAVGSNPVLRLIAGIIFMHEQDYTEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFDEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRYLSQLKLSHPDHVLVKRAVSAEDNFERALQAVA >ONIVA04G24120.1 pep chromosome:AWHD00000000:4:24091414:24091893:1 gene:ONIVA04G24120 transcript:ONIVA04G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKNGRIAVAALLLAALALSAQLAPAAACSYCPTPKPPPPPPPAPSGVPCPPPPYTPTPATPTPSTPTGKCPVNTLKLLACVDALNGLVHAVVGAKASDTCCPLLSGVADLDAALCLCTAIKAKALGVSLVLPVAISVLVNECGKHVPSSFQCPS >ONIVA04G24110.1 pep chromosome:AWHD00000000:4:24076518:24077108:1 gene:ONIVA04G24110 transcript:ONIVA04G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKNGRIALLLAALALSAQLAPAAATWCGSNCPTTKPPPPPCQPPPPTPTPATPTTPPTPWTPPPATPTPPTPTPWTPTPATPPPTPATPATPTTPPTPAPAPSTPTGKCPVDTLKLLACVDALNGLVHAVVGATAGDTCCPLLSGVADLDAALCLCTAIKAEALGVSLVFPVAISVLVNECGKHVPSSFQCPS >ONIVA04G24100.1 pep chromosome:AWHD00000000:4:24046146:24067168:1 gene:ONIVA04G24100 transcript:ONIVA04G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I P700 chlorophyll a apoprotein A2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5U8] MALEARAVQISIPPDACCFSGGKSSHRMSPVTQLVRAPQHAVATELVNVVMHQRKAREDTMRKKKKQQLHKFEMLPSPYDTTWVAMVPLPGSSSQLPCFPQCVEWILQNQQSNGSWDLNLLDSITKDALLSTLACLAAPIGFNITFPGMLSSVIEMGLEVPIGQTDVERVLHLQETELKREYEENYRGRNTYMAYVSEGLGNAQDWNEVMNFQRKNGTLFNSLSITAAVLVHNYDAKAHRYLNLLLNKFGTAVYTKNIHRQLSMLDALENMGISRHFDGEIKSILDMTYSSHQGYLSDTRTLLELYKASEVSVADNEFILDRIGSWSGRLLKEQLSSGALQRTSSIFEEVEHALDCPFYATLDRLVHKRNIEHFAAMSYISYAQNNIPDELERIDSWVKENRLHELKFARQKSAYFYLSAAGTVFDPEMSDARIWWAINGVLTTVVDDFFDVGGSREELENLISLVEMWDEHHKEELYSEQVEIVFFAIFNSVNQLGAKVSAVQGRDVTKHLIEIWLDLLRSMMTEVEWRISNYVPTPEEYMENAAMTFALGPIVLPALYLVGPKIPESVVRDSEYNELFRLMSTCGRLLNDVQTYEHRKELQARTRDQLQTLELSTSLYDTAWVAMVPLRGSRQHPCFPQCVEWILQNQQDDGSWGTRGFGVAVTRDVLSSTLACVLALKRWNVGQEHIRRGLDFIGRNFSIAMDEQIAAPVGFNITFPGMLSLAMGMDLEFPVRQTDADRLLHLREIELEREAGDHSYGRKAYMAYVTEGLGNLLEWDEIMMFQRKNGSFFNCPSTTAATLVNHYNDKALQYLNCLVSKFGSAVPTVYPLNIYCQLSWVDALEKMGISQYFVSEIKSILDTTYVSWLERDEEIMLDITTCAMAFRLLRMNGYHVSSVELSPVAEASSFRESLQGYLNDKKSLLELYKASKVSISENESILDSIGSWSGSLLKESVCSNGVKKAPIFEEMKYALKFPFYTTLDRLDHKRNIERFDAKDSQMLKTEYFWVKDEKLDQLPFARQKLTYCYLSAAATIFPRELSEARIAWAKNGVLTTVVDDFFDLGGSKEELENLIALVEKWDGHQEEFYSEQVRIVFSAIYTTVNQLGAKASALQGRDVTKHLTEIWLCLMRSMMTEAEWQRTKYVPTMEEYMANAVVSFALGPIVLPTLYFVGPKLQEDVVRDHEYNELFRLMSTCGRLLNDSQGFERENLEGKLNSVSLLVHHSGGSISIDEAKMKAQKSIDTSRRNLLRLVLGEQGAVPRPCKQLFWKMCKIVHMFYSRTDGFSSPKEMVSAVNAVVKEPLKLKYNAYTYNARTLTPTNARTLGRQILEIDEVTTGVSLSTGTSPRLGANYCPENIEPVAFMAIEAMRHCSSSSEEGGAAATTAARSAVRERLQLAPPSPSPYDTAWVAMVPALRRGGGGPRFPQCVAWIQRNQRGDGSWRHAAAAHQQLGSSPEIVTERDLSSTLACVLALARWDAGSEHVRRGLQFIGRNMSVAMDDQTAAPASGSVVSFAAMLRMAMEMGLEVPAVSQADVRDRDAGVICHGGRTEYTAYVSEGLGNIQNWNEVMKFQRKNGSLFNSPYITAAALVHNYDAKALQYLDMLVDKFGSAVPAAYPANIQSQLYMVDVLEKMGISRHFVGEIKSILDMTYSCWKQRDEEIVLDMQTCGMAFRMLRMNGYDVSSDELSHFSEPSSFHNSLQGYLNDTRSLLELHKASKVSIAEKEVEYALEFPFYTMLDRLDHKRNIEHFDITSSQMLETAYLPCHSNEEIMALGVRDFSSSQFIFQEELQQLNSWVKESRLDQLQFARQKLDYFYFSAAATIFTPELSDVRILWAKNGVLTTVVDDFFDVGGSKEELENLVALVEKWDKNDKTEYYSEQVEIVFSAIYTSTNQLGSMASVVQGRDVTKHLVEIWQELLRSMMTEVEWRQSRYVPTAEEYMENAVVTFALGPVVLPALYLVGPKIPDSVIRSQECSELFRLMSKCGRLLNDVQSYEREGSQGKLNSVSLLALHSGGSVSMEEAVKQIQRPIEKCRRELLKLVVSRGGAVPRPCRELFWSMCKVCHFFYSGGDGFSSPTAKAGAVDAVIHEPLNLSCSKEYVEKEMIPIYFVECDEKKKNEEERIVIFWAEKKEYEEKEGEGGEGK >ONIVA04G24090.1 pep chromosome:AWHD00000000:4:24035296:24041177:-1 gene:ONIVA04G24090 transcript:ONIVA04G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSKAKMWANDTMGTLDASAKDSMVVSEKPEKPQKNVPVSENDSSPGDSSDEEMANDQSESDDSSSEEASEELKIESKQGKDMTDMDFFKSKIKKNWSDSESDSEDSGDQSDHSTDDEDSSDESHDEDEKDEIAHQKDSLNLKKNVDKEMTLEGSTVQEAGNSDNEEVENLDSQLKEDDNQEKEDEEAALITDEKKLALETGRLFFCNLPYATTEGDLVEMCSQYGDVDQARIVVDKTTKLSTGRGYVLFSLPDSAVRALELDNSSFQGRLLRVKAAKPLNNKKIESSYEEKKMSLKQQKLDQRKASEISGDTRAWNSFYMRQDTVAENIARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEELASKRNEKFKRSNHVILVKNLPYSSCEEDLATMFRKHGSLDKIILPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPENILSPTSAPVEDDEKDVVGDRIVTKAIVEQTVEGVSAEEIDPDRVESRSVFVKNLNFKTSDESLKQHFSTKLKSGSLKSATVKKHIKNGKNVSMGFGFVEFDSVETATSVCKDLQGTVLDGHALILQLCHGRKDGQTKKNEKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEFVTKQEAQNALQALASTHLYGRHLVIERAKEGETLEELRARTAAQFVDEQSGFQRLSKKRKQTSLVDEGSVKFSRIVE >ONIVA04G24080.1 pep chromosome:AWHD00000000:4:24031427:24036945:1 gene:ONIVA04G24080 transcript:ONIVA04G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRLAAALCLAVAAVSVAVATARFVVEKNSVKVLSPRSLRGRHEAAIANYGVPDYGGTLTGVVVYPADARLADGCRPFGEAAAKALRSRSRRPVVLLVDRGGCYFALKTWHAQLAGAAAVLVADGVDEPLLTMDSPEEETPDMAFLANITVPSALVTKQFGDALRAAASAADDEVVVRLDWRESMPHPDERVEYELWTNSNDECGPRCDEQAAFVGAFRGHAQLLEKRGYALFTPHYITWFCPDAFLETTQCKAQCVNRGRYCAPDPDGDLAAGYSGRDVVLENLRQLCVHRVANARNASWLWWDYVADYHLRCSMKGDHYTRRCADDVAKSLGLPMDLIDECMGDPDADVENDVLKTEQIVQVGHGTRGDVTILPTLVINNVQYRGKLESTSVLKAICAGFKESTEPDVCLTPEMETDECLNNNGGCWRDEKTNITACKDTFRGRICQCPVVDGVQYQGDGYTHCKAVGPGRCAMNNGGCWKGTRDGKTFSACSDSDLSGCKCPPGFKGDGLHCEDVDECSEKLACSCPHCSCKNTWGSFDCSCHGNNLMYIKAEDTCIAKNMSAFGWLVTTLVLSCLAGAGVAGYVFYKYRLRRYMDSEVMAIMSQYMPLEGQNGERLPLREEAQLQRLNILAMSIRFETPRHMTATNAAMYMAKFRHHT >ONIVA04G24070.1 pep chromosome:AWHD00000000:4:24027713:24029653:1 gene:ONIVA04G24070 transcript:ONIVA04G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRTLRREIAQPFYDKKEAAKLAAQAAALEAPALPVRAPPPPSKDSGGSRAASSSASAMDVEMADEGNNKSKSFLKPIGSISKKKVQLHLKIKKDKRKARKKGRFSKK >ONIVA04G24060.1 pep chromosome:AWHD00000000:4:24022886:24027189:1 gene:ONIVA04G24060 transcript:ONIVA04G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEGQETPAAAAVHGHRLSTVVPSSVTGEANYDLADADLAYKLHYLRGAYYYPAGDAVRGITIKSLKDPMFPWLDAYFPVAGRIRRAEGDDADAAAARRPYIKCNDCGVRIVEARCDRALDDWLRDESPDRLRHLCYDKVLGPELFFSPLLYIQVTSFKCGGMALGFSWAHLIGDVASATACFNTWAQILSGKKPAGTVLEPANKPLDRAPAAAAPSPPRSVKPVGPIEDHWLVPAGRAMAWYSFRVTEPALKKLQSAAGRHAAGTFELVSALLWQAVAKIRAAASKEVTTVTVVRTDMAARSGKSLANEQRVGYVEAASSPAKTDVAELAAMLAGDKVVDETGAVAAFPGDVVVYGGANLTFVDMEQVAPYELELKGQRPVHVEYGLDGVGEEGAVLVQPDAGGRGRVVTVVIPRDEVDSLRAALGSTLLLLQDA >ONIVA04G24050.1 pep chromosome:AWHD00000000:4:24001732:24008402:1 gene:ONIVA04G24050 transcript:ONIVA04G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24480) TAIR;Acc:AT4G24480] MPHRRRLLNPAPSLPPPPAAAPAGFHHHLLAVDDTRLPLLADYALLQGDAAAAPASAEWSAGSGFTGISTDPATATTATTASTATAPGSASNLTAATAGGGGRETWVRRAREGYYLQLSLAIRLTSEAFLAGVPPELLIGCGGGGEAENHADVAADAAAVSYRLWVNGCLSWGDKIAHGFYNILGVDPHVWAMCNAAAEDGRRLPTLVALRAVDSGESSVLEVVLVDKCGDPALADLERRALDLYCAAGVSLDLVRHLAVLVSDHMGGALRSEDGDLFMRWKAVSKQLRKRHRCVVVPIGSLSIGFCRHRAILFKSLADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERREYVVDLVVEPGRLSSPDSSINGQLLSSVPSPFKTSCTMSSANYATPAASWNRAISGDRRNSILSNPQYSVAKYCVAEEKSSVQVATKEAMLPKCGQITQNGNCNKNSMAVFEVSKQMKAMEISSESGDKDNISSATPLKRLSIEPSFCADWLEISWDELELKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKASAGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGSKFNSQIVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELMTMQQPWNGLSPAQVVGAVAFQNRRLPIPQETVPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGGS >ONIVA04G24050.2 pep chromosome:AWHD00000000:4:24001732:24008402:1 gene:ONIVA04G24050 transcript:ONIVA04G24050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24480) TAIR;Acc:AT4G24480] MPHRRRLLNPAPSLPPPPAAAPAGFHHHLLAVDDTRLPLLADYALLQGDAAAAPASAEWSAGSGFTGISTDPATATTATTASTATAPGSASNLTAATAGGGGRETWVRRAREGYYLQLSLAIRLTSEAFLAGVPPELLIGCGGGGEAENHADVAADAAAVSYRLWVNGCLSWGDKIAHGFYNILGVDPHVWAMCNAAAEDGRRLPTLVALRAVDSGESSVLEVVLVDKCGDPALADLERRALDLYCAAGVSLDLVRHLAVLVSDHMGGALRSEDGDLFMRWKAVSKQLRKRHRCVVVPIGSLSIGFCRHRAILFKSLADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERREYVVDLVVEPGRLSSPDSSINGQLLSSVPSPFKTSCTMSSANYATPAASWNRAISGDRRNSILSNPQYSVAKYCVAEEKSSVQVATKEAMLPKCGQITQNGNCNKNSMAVFEVSKQMKAMEISSESGDKDNISSATPLKRLSIEPSFCADWLEISWDELELKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKASAGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELMTMQQPWNGLSPAQVVGAVAFQNRRLPIPQETVPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGGS >ONIVA04G24040.1 pep chromosome:AWHD00000000:4:23989278:23993123:1 gene:ONIVA04G24040 transcript:ONIVA04G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLTTPSQALALAPGAAASRVGGGGGGGSARRRGALGMRVRASVAIEKETPESEPPPTFLREDGSGAGSGSVRERFEAMIRRVQGEVCAALEEADGSGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGEAGKNGAAADGPKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKQACDKFDPSFYPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLNFATECADSVVPAYIPIIERRKDTPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWQYDHTPEEGTEERKLLDACINPKEWLDL >ONIVA04G24040.2 pep chromosome:AWHD00000000:4:23989078:23993123:1 gene:ONIVA04G24040 transcript:ONIVA04G24040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLTTPSQALALAPGAAASRVGGGGGGGSARVSFPSGRVQRRGALGMRVRASVAIEKETPESEPPPTFLREDGSGAGSGSVRERFEAMIRRVQGEVCAALEEADGSGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGEAGKNGAAADGPKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKQACDKFDPSFYPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLNFATECADSVVPAYIPIIERRKDTPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWQYDHTPEEGTEERKLLDACINPKEWLDL >ONIVA04G24030.1 pep chromosome:AWHD00000000:4:23979757:23984968:-1 gene:ONIVA04G24030 transcript:ONIVA04G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5T9] MAASSSSSASASAMGGGGMRKAPSMEWRWVSTEEDDEREEDGDTVEAAAAAVGAVGRGGSFGSEEEEDEEDGGGGGEGEGESEDGEKQKLIRTVPSVDWFDVEGYEVSVAQHIEDSEEFDFGRTMFLALQTLAVVFGDIGISPLYTFDVMFSKYPILGEEDVLGALSLVLYTLISMPLVKYVLVVLWANDDGEGGIFALYSLICRNAKVSLIPNQVHSEKRMSSFRLKLPTPELERSIKVKEKLESSLLLKKLLLGLVLFGTAMFISNGVITPAMSVLSAVSGLKVGIPNASQGLVVMISVVLLVILYSVQRYATSKMGFALGPSLLIWFCCLGGIGIYNLSTYGPAAFKAFNPLYIIYYFGRNPFQAWLSLAGCLLCATGSEAIFANLSYFPVRYVQSMFALLVLPCLVLAYLGQGAFLIANQNSSEQIFFSSIPSGVFWPVFLIANLAALIASRTMTTAIFQCLKQSIALGCFPRLKIIHTSRKFMAKIYIPVVNWFLLFSCLGFILLFRSIYDVGNAYAIAELGVMIMATVYVTIIMLLIWETSIVKVLSFVITFLSLELVFFSSSLSSVGDGGWALIIFASGILMVMFIWNYGSKLKYDSEVKKKLSKDLMRKLGPNLGTIRAPGLGLVYSEIVKGVPAIFGHFLIALPAIHSIIVFVCIRNVPVPVVPQTERFLFQRVCTRGYHMFRCIARYGYKDKNQESQSTFERLLIEGLEKFIQREAVELSLQSGDDIDSDEEPPTPSRTINLELELAFIRQAKQSGAVYLIDNPIVKARKNSWFFKKLIINYFFAFLRNNCRRAMMSMSIPHTNVMQFRVTLNC >ONIVA04G24030.2 pep chromosome:AWHD00000000:4:23980381:23984968:-1 gene:ONIVA04G24030 transcript:ONIVA04G24030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5T9] MAASSSSSASASAMGGGGMRKAPSMEWRWVSTEEDDEREEDGDTVEAAAAAVGAVGRGGSFGSEEEEDEEDGGGGGEGEGESEDGEKQKLIRTVPSVDWFDVEGYEVSVAQHIEDSEEFDFGRTMFLALQTLAVVFGDIGISPLYTFDVMFSKYPILGEEDVLGALSLVLYTLISMPLVKYVLVVLWANDDGEGGIFALYSLICRNAKVSLIPNQVHSEKRMSSFRLKLPTPELERSIKVKEKLESSLLLKKLLLGLVLFGTAMFISNGVITPAMSVLSAVSGLKVGIPNASQGLVVMISVVLLVILYSVQRYATSKMGFALGPSLLIWFCCLGGIGIYNLSTYGPAAFKAFNPLYIIYYFGRNPFQAWLSLAGCLLCATGSEAIFANLSYFPVRYVQSMFALLVLPCLVLAYLGQGAFLIANQNSSEQIFFSSIPSGVFWPVFLIANLAALIASRTMTTAIFQCLKQSIALGCFPRLKIIHTSRKFMAKIYIPVVNWFLLFSCLGFILLFRSIYDVGNAYAIAELGVMIMATVYVTIIMLLIWETSIVKVLSFVITFLSLELVFFSSSLSSVGDGGWALIIFASGILMVMFIWNYGSKLKYDSEVKKKLSKDLMRKLGPNLGTIRAPGLGLVYSEIVKGVPAIFGHFLIALPAIHSIIVFVCIRNVPVPVVPQTERFLFQRVCTRGYHMFRCIARYGYKDKNQESQSTFERLLIEGLEKFIQREAVELSLQSGDDIDSDEEPPTPSRTIVAPNGSLYSLDVPLLADFVPSAEVIPEASCSTPQHDPVVDYTQNLELELAFIRQAKQSGAVYLIDNPIVKARKNSWFFKKLIINYFFAFLRNNCRRAMMSMSIPHTNVMQVRLTSYV >ONIVA04G24020.1 pep chromosome:AWHD00000000:4:23978473:23979647:1 gene:ONIVA04G24020 transcript:ONIVA04G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLMAAMLLGGARVAGNTTTAVMALHHPRPSYFLPPRPRLAAASWSRLRLQTAPRSSQAYDNSPADDRRDVGDRYRDAAEEAKEATGDAKERVKGMAGEAKGRAADIAGRAKDETKDTASRAADAASRATDRAKHEAADKAADVKDRAKDAADMAQGTARAARDKTAETAEGAMDRAGEAKDRTVEGTKHAGEKVAEMTKEGASKVVETAQAIGEKAKQAAQGAWGATKEAAQGVKDTVAGGDVDADAAMKEQDRIAQEEKKRQAREKGAGLP >ONIVA04G24010.1 pep chromosome:AWHD00000000:4:23972963:23976122:-1 gene:ONIVA04G24010 transcript:ONIVA04G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5T6] MAVRVPSMELHRPPPSVSGVRGKSCLQKPFLVQAKRLEGLEKANPRSRGKQSLEQVKKRAPLIRGTVSPPLPVPGHITQPPYVGKKDASEIASEIQMHDKVSIIHMKAACELAARVLEYAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRELQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDEASKRLVKVTEECMLRAISACKHGTSLKKIGRRISEHAERHGFGVVDRFVGHGVGRIFHSEPMIYHQRNNMPGQMVEGQTFTIEPALSMGSIDCDMWDDGWTAVTTDGSLAAQFEHTILITKTGAEILTKC >ONIVA04G24000.1 pep chromosome:AWHD00000000:4:23966878:23967546:1 gene:ONIVA04G24000 transcript:ONIVA04G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNAAEAVAVAVAEGGGAGMEPRFRGVRKRPWGRYAAEIRDPARKARVWLGTFDTAEAAARAYDSAALHFRGPKAKTNFPVAFAHAHHHAPPPPLPKAAALAVVSPTSSTVESSSRDTPAAAPVAAAAKAQVPASPSLDLSLGMSAMVAAQPFLFLDPRVAVTVAVAAPVPRRPAVVSVKKEVARLDEQSDTGSSSSVVDASPAVGVGLDLNLPPPIEEA >ONIVA04G23990.1 pep chromosome:AWHD00000000:4:23954954:23962657:1 gene:ONIVA04G23990 transcript:ONIVA04G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGILEVRCAGCGETLEVEHGLTEFACPDCGMAQALPPELMPPRPRRALPLPGRASVVPSAVPAPATATAAAPPTRVSCGGCAAVLSVPHGPGRFSCPLCGAEIAPPPPLAAVVSVVAPPAAIPITSNRPAMTSEVLNGNSNQPTLAGQVQKSIIPEQHYKHSFREESFSSFRVDSRTQIPDVGRLQNECVNPSADREESRTEPPNGTMTKPGKKKTTSAAGPKSHPAGKLQEEHISKAIHASRAQGMPSNSVGGGFHNGMIAVHNKQKTGHIAAPSAIEHEQINTLHQVCDKQQPGENSSDLVHVEQVQVACKAVQNNKKGTKYTKGNQKRQGKSPLNYSTELPHLRRSQRLTKGSPDPIDIEPIHRIDASPNQNQSETPPIERAIDDTYHISPNQHRYPQSVSNKLDNADATTPALNHSMQQKERIPQCYSQMYSPESRWVLPNRSSNSCHEHEMPNESFDGIVQLDSSDDEVHSIPLENQNQDMDGQLEQQACAGKNLSEHGRQKNGFIGSSGDAKHHGGLSSGMGTRHQMNLAASCSRLAVLLPVADATPLPTISSPSSFEKLPVNCSSPTTPHQHHQPSPIYSQDARNGDMLSASVSKSSKKRRGRAPAVLMEPRKEADRPVLTPCGAENWTVHPSCLKVTTTLSLLIKQNYPGTYVSVGTNGQPCELAKRYKWSPGQEEECRKIFDRKAVRQLVNLFCYEKQRVRDLLAKKAKRSSTVVRASRSLEEGDGREDSEEQHGDESVLVLEFDDPLNWKPFVPEWMQPKWWEKLCDHWAKDEVMKVSYQKRKNRNAGNPPCNASGSQSIAMHQQFTSIDNGRKLVSDIDPSTKINSDKGGIIDKKILRTEDTTYEHTAEAREPVQEHMGGCKRGRYYCDTGVSKKVQTDSLPKSSPGCSSNHGQGQPPMFTHEQVQQMINQALQGLNETWEKKFLSLEQNMRSMSKSRIILDGPKKGSLAAVAGDKRCQLSCQDTLDSVDGEKDPAGEGEDDPENQDYDDDEHWS >ONIVA04G23990.2 pep chromosome:AWHD00000000:4:23954954:23962657:1 gene:ONIVA04G23990 transcript:ONIVA04G23990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGILEVRCAGCGETLEVEHGLTEFACPDCGMAQALPPELMPPRPRRALPLPGRASVVPSAVPAPATATAAAPPTRVSCGGCAAVLSVPHGPGRFSCPLCGAEIAPPPPLAAVVSVVAPPAAIPITSNRPAMTSEVLNGNSNQPTLAGQVQKSIIPEQHYKHSFREESFSSFRVDSRTQIPDVGRLQNECVNPSADREESRTEPPNGTMTKPGKKKTTSAAGPKSHPAGKLQEEHISKAIHASRAQGMPSNSVGGGFHNGMIAVHNKQKTGHIAAPSAIEHEQINTLHQVCDKQQPGENSSDLVHVEQVQVACKAVQNNKKGTKYTKGNQKRQGKSPLNYSTELPHLRRSQRLTKGSPDPIDIEPIHRIDASPNQNQSETPPIERAIDDTYHISPNQHRYPQSVSNKLDNADATTPALNHSMQQKERIPQCYSQMYSPESRWVLPNRSSNSCHEHEMPNESFDGIVQLDSSDDEVHSIPLENQNQDMDGQLEQQACAGKNLSEHGRQKNGFIGSSGDAKHHGGLSSGMGTRHQMNLAASCSRLAVLLPVADATPLPTISSPSSFESLSVPLSGMLNCFC >ONIVA04G23990.3 pep chromosome:AWHD00000000:4:23954954:23962657:1 gene:ONIVA04G23990 transcript:ONIVA04G23990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGILEVRCAGCGETLEVEHGLTEFACPDCGMAQALPPELMPPRPRRALPLPGRASVVPSAVPAPATATAAAPPTRVSCGGCAAVLSVPHGPGRFSCPLCGAEIAPPPPLAAVVSVVAPPAAIPITSNRPAMTSEVLNGNSNQPTLAGQVQKSIIPEQHYKHSFREESFSSFRVDSRTQIPDVGRLQNECVNPSADREESRTEPPNGTMTKPGKKKTTSAAGPKSHPAGKLQEEHISKAIHASRAQGMPSNSVGGGFHNGMIAVHNKQKTGHIAAPSAIEHEQINTLHQVCDKQQPGENSSDLVHVEQVQVACKAVQNNKKGTKYTKGNQKRQGKSPLNYSTELPHLRRSQRLTKGSPDPIDIEPIHRIDASPNQNQSETPPIERAIDDTYHISPNQHRYPQSVSNKLDNADATTPALNHSMQQKERIPQCYSQMYSPESRWVLPNRSSNSCHEHEMPNESFDGIVQLDSSDDEVHSIPLENQNQDMDGQLEQQACAGKNLSEHGRQKNGFIGSSGDAKHHGGLSSGMGTRHQMNLAASCSRLAVLLPVADATPLPTISSPSSFESLSVPLSGMLNCFC >ONIVA04G23990.4 pep chromosome:AWHD00000000:4:23954954:23958545:1 gene:ONIVA04G23990 transcript:ONIVA04G23990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGILEVRCAGCGETLEVEHGLTEFACPDCGMAQALPPELMPPRPRRALPLPGRASVVPSAVPAPATATAAAPPTRVSCGGCAAVLSVPHGPGRFSCPLCGAEIAPPPPLAAVVSVVAPPAAIPITSNRPAMTSEVLNGNSNQPTLAGQVQKSIIPEQHYKHSFREESFSSFRVDSRTQIPDVGRLQNECVNPSADREESRTEPPNGTMTKPGKKKTTSAAGPKSHPAGKLQEEHISKAIHASRAQGMPSNSVGGGFHNGMIAVHNKQKTGHIAAPSAIEHEQINTLHQVCDKQQPGENSSDLVHVEQVQVACKAVQNNKKGTKYTKGNQKRQGKSPLNYSTELPHLRRSQRLTKGSPDPIDIEPIHRIDASPNQNQSETPPIERAIDDTYHISPNQHRYPQSVSNKLDNADATTPALNHSMQQKERIPQCYSQMYSPESRWVLPNRSSNSCHEHEMPNESFDGIVQLDSSDDEVHSIPLENQNQDMDGQLEQQACAGKNLSEHGRQKNGFIGSSGDAKHHGGLSSGMGTRHQMNLAASCSRLAVLLPVADATPLPTISSPSSFESLSVPLSGMLNCFC >ONIVA04G23980.1 pep chromosome:AWHD00000000:4:23948280:23953111:-1 gene:ONIVA04G23980 transcript:ONIVA04G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGGQPEFVEVRCAGCGETLEVEPGLTEFACPDCGTHQALPPELMPPPPPRPRRALPIPGRGPPPAAVLHAPVPVPVQVPAPARMPCGGCCALLSVPAGLVRFACPVCAAELVVDGGRLRLYFASPAAPTVSVVAPPPAGVTLTASSLRHRPEQSQIERHHPIRSEQIPAQCSIRSVPREETFSSFRNYSPAAVQHTLARKEPVNHSIHRGESCNETLDKTTARSSSRKARLQAGVESIYIEKLQPERPIQESTPQAQACPPSNSVRGDHAQGQQPLVDISSCEQRAKNVSSTMEREKAEPLNQASELKKAQAGKTIGCPKRKRSSKNAGENKRKNKGFPSSPNEGLYLRRSKRLTKQPEQPINDDPVQQPAASPNQYNSDPPDIDRLIANLCPSPSPQCQMPQACSSESGNADASVLPASSNREMPQAEQSPHRYNQLCPPEVRGTHQLDKSGEQVQPQSPEQVTHAQQVSSTITALLKQKYPGSTYLPVGEHGDVPANEELVYRWKHYPAETRAAILNEFLQRYKWAPGREAECLKIFQRRAVKQFTGLLCEEKRRVRAELAAVQKAKKASGSRSSNSHAESEEEDATEEPKDNQTEKVNEDEDPLLWKPFPPAWMHPNWWERLCEYWAKEEVLQMSLKYRKNRFVGGRAHHTSGSRSFAMQRQLMVIENGGKPVSELEIFNKTHKFNGGTGEFVSEKAKRIVEGFKKRMEEAGDKPADPDAAWIQQVGGRNRGRYYGLTGTIDKAKIAEIAKSIPGKRGQQKFSQEEDESDKQHVERRQSARHHDDDDDDDDEDYEVEEEEVVSTSD >ONIVA04G23970.1 pep chromosome:AWHD00000000:4:23916360:23946960:-1 gene:ONIVA04G23970 transcript:ONIVA04G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRAAASAAAEGGSPEPLEVRCAGCGETLEVEPGLTKFICPDCAMPQSLPPELMPPPPPRRKALPLPRGAADVRGARLPCGACGALLSVPVGLARCACPICAAELAVDTARLRHYLLSSAAVEGAVPVVPIGTSSPPPILQVREAHEEHPNIASRTGLIQAEPNDPLNRMEQGHTKRPNQLPEQSDPYRSDYMMDGEGIHEANEIIRRHHKQRNRESVGRGIISAEKTQEKPLNQFRHQAQDQHSSYAFRMKQTHLDHRDRVNEVQADSIDPTVFSESRCIALIDETTATRINCTTVHSVGPKPINVDKNQAQAPNSKRIIQQAQKQPSYIAISQENAQDKHADGVVHVQEKQLRTASQVNHRQETCAQLDNQTVAGDSSGRIRCPEKEQSEPFSCTIRKRKTKSLLANSNSGLELRRSKRLAKDSPAAKDKKHSKNKSFEQQVSQNDQVSAAVMDTESIHRDPVERQAASSTGHMPAAITDSEPSENEPDDLYMPSPDQSLSNSPDIDRIINSICPSSSPRHKTPEKVSNEFDNVQLTTPPPSDIDMSDPEHFACNYVPQEVRKALAKLRSSNSSFERAMSQASSGDVHPLSDSEDCDERWNVGTKRNQGCGRGRGLTLCLKVWNLPKGVRIPVLLNASGEPVGKEAGTLSTFLGALARDGILAPLTHQDWRRVPEKNKDLKFDIAPAAEFWIMKCIGRKWKSWKALLKQKHYDTHETVEECLADQNPRVLKEQWQYLVAYWGTEKAKAVSSRNKACRANVTATHTAGTKSFARIIEEEKQKRPNNEEPTAADLFLLTHTHRNGKPMKKEKADIIVRHKEARVREQSHKQAECSGSDSAAHKVGLESCSIGLRGKRGHRRKAVLQASFKEAEEAKRKAEDEAGTLRKKMVAMEESQKKLQEDLANMKSTVSAMQKTTSTGDLSDGQTQNFPQAMAPPPPPVAMAVAAADEEPDEILEVGCAGCGETLEVERGLTEFVCPDCATPQSLPPDLMPAPPPPRRRALPLPRGAADARGARLPCGSCGELLSVPVGLSRCACPLCGAELAVYPARLRNYILSSAATAAVPVPVPVAPAPAQPILGGREAWQRRPNSAVRSGFARAEADDRLFPLERSRIQHPDRLIHLQQDEEEYPDGVFGGEEAHEIVSSNIQQRNRCSVEPGIVSVKNRLLPIKAARHQVHDQRSSYGTQRKPAQLARLHRVIHSEEVQEGPLSHEVYREASHAELIYETATTHSNRRIMCSVAPEAVGSVDKRRIEHDNQITQKRQKHTAHAIRAEHTQVGCLDGAIHAEEAQPEPVDQANHGEEGCIQVIDKTTARGDSWKSGCSVRHNTVSAGKRKTSTADQVKKQTQTNQSYANDAEHAQIEHPDQEIHEAVSQTTHREAMCFRPRKDSSARHSEQDIVHVVDPKNNVNKRQIEPLSHVIQHTGHTSDINNHAMQVDFDPQSKHIGRKGKPKTGTGVDSNLTLKNQDLLVSPNQLSHINQKHMPPNHEAQKKHINVENCKQPSSQAREKNRKGLMASSNSSLHLRRSKRLAKDSVAVVENEPVENDPVDLQVSSPNCQVSAVAMSSEPIEQEPILHQSPSPNCEVLASTTDAESVESEHHEHCAFSPHQSMSDPPDIDRIIAGLCPSTSSVHENPREISSEPDDPDLATTPSNPDMSDPERFAQHYCQVFPLEVRRALSKKRSNSLLNHLVSEECSDEEFVHDFPDAEQARDCQKPSGQNIGSKRKKGHRRGPTLCVKVWTLPEGVRLPVSLNNSGFPIGKNAAMFGNFLGTLARDGILAPLTYKKWKSIPKENKDVMWHIIKLKFDVAPSSESLLLKCIRTKWRNWRCNLKRKHYDSHITEEERLADCDPRVLKEQWRFLVAFWNTEEAQAASARCKASRAKSTYINSTGSKSFARILDEESCSRDKARKRSDDVTAMGEKRRGRMHNHEPGASPSGLKEKAALKASFKEAVDAKEIAENEAATLRKKMMVMEESQKKLQEDLANMRNTVSAMQKMMSNGGLPDGLMGASTAPPSFPQVEPGWWMLEYTVEVRCAGCRGVLAVAPGMTEFICPKCGMAQRLPPQLMPKPPPSSSSSAAATPAPPAPAAPPPPTSRRGGGGGAALPPPQAQGVDPTKIQLPCANCQAVLNVPHGLARFRCPQCGVELAVDLAKLHNFLASSNNNAAAAPPDNVPPASGPASRAPLVPAPPPAPFPLVPTPGMTQAPQMVPGALIPMVLPITDPPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPIVETSSLSAVQPPEPTYSLNIMDELDETKALSCLQIETLVYACQRHLYHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSIGSDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKNGVIFVTYSSLIASSERGRSRLQQLVQWCGQEFDGLLVFDECHKAKNLIPDAGSQPTRTGKAVLEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFAQFLGSLEKGGVGALELVAMDMKARGMYVCRTLSYKGVDFDIVEAPLEERMMNMYRKAAEFWAEFRLELLSAGESFTEGISNQIWRLYWASHQRFFRHMCMSAKVPAVVKLAKEALAENKCVVVGLQSTGEARTEEAITKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKVTEIHRKRHSAPDVSFKGRVRKVAKLVEVSDDDSDDYSPSESDHGSTESDEEFHMCQICNTEEEKTLLLHCSGCSRHVHPGCLTPPWTGVLTDDWSCYTCKKLEGEENEQDAHVADFSQRYDAAVEKKKKILDMIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLISIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTIMYRGIMEQDSFPVVPPGCSDNQSSIQEFIAEAKAALVSVGIIRDAVVCNGKVAGKLSGRIVDSDMHDVARFLNRLLGLAPKIQNRLFDLFTSILDVVLHNARIEGQLDSGITVHIDSLSGASTVLFTFTIDRGVTWETAKSMLDERQKDGAGSSNDGFYESKREWMGGRHFILAFEGSIEGMYKIIRPAIGEALREMPLTELKSKYRKVSSIEKVNKGWQDEYDASSKQCMHGSKCKVGSYCTVGRRLQEVNILGGLILPVWGTIEKALAKQVRQSHKRVRVVRLETTTDNQRIVGLLIPNSAVESVLTGLQWVQDIDD >ONIVA04G23960.1 pep chromosome:AWHD00000000:4:23903623:23907271:-1 gene:ONIVA04G23960 transcript:ONIVA04G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMERQSASSSASCSPSSSAAGTSSSSSACGGKKRSDILNMIRSATCLNSSSTDTGKGRSKQSSNKVTHGFHLVEGKSGHDMEDYHVAEYKYDKSHELGLFAIFDGHLGDSVPSYLKANLFCNILKEPIFWTNPQEAIKNAYRSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWVANVGDSRAVVCERGAANQLTVDHEPHTTNERQRIEKQGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPINSSIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >ONIVA04G23950.1 pep chromosome:AWHD00000000:4:23888746:23900152:1 gene:ONIVA04G23950 transcript:ONIVA04G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEAINGHGHEVTVKVTSASTVAPALPVQEHRLPLSNLDLILPPMDVSVFFCYGAGEGSGGGGALLPAATLKAALAKVLVAYYPLAGEVVANTRGEGELLCSGRGVDFAEATAGDAVLRQLRLAVVDESAEKLVPKKKAGVMCVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARSSSAAPAPSFDRSFLAPTSPAPPCPDALSDRLFVPVSCVPAPPSSAPEAAAAAVNRIFRVAAADVAALQAAAGPGRTKLEAFTAHLWQLHARAAASPPRHRRSCCMGVVVDGRTRLRRDGAMGAYFGNVLTIPYGAMSSGDLSAMPLADVAGDVHRWVAEAATRDHFRGLVDWVEQLRPEPSVARAYLGGDGGAEAAACVVSSGMRMPVGEVDFGRGRPAFASYHFPWPGGAGYVMPMPSARGDGDWVVYVHAAAEVVKAMEEEETTVFRALESDYVFGPEEQGGEVAMAAAAAVTTTVAPALPTQEHRLPLSNLDLLLPPLDVSVFLCYRHPAPSAAALKEALAKALVPFYPLAGEVVANGDGEPELLCSGRGVDFTESVAGEEMRGLRIGMVDERVEKLVPAKKAASVMAVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARDGHGGGTAPPPPTIPSFRRSIVAPRDPPPPRSPSTDALIDRLFAPLGSAPPPPDDAAAAAVNRIYRVAAADAASLQDSAGPGRTKLEAFTAHLWQLNARAAAAAERERPCCMGVVVDGRGRMFPDGAMRAYFGNVLTIPYGVMGSGELRAAALTDVAGDVHRWVAEAATGDHFRGLVDWVEARRPKPAAARAYLGGTGGGDAAACIVSSGMGFPVGEADFGTGAPAFASYHFPWPAGAGYVMPMPSARGDGDWVVYVHVSPELAAAMEEEPTVFRSLDNSYVFG >ONIVA04G23950.2 pep chromosome:AWHD00000000:4:23888746:23900152:1 gene:ONIVA04G23950 transcript:ONIVA04G23950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEAINGHGHEVTVKVTSASTVAPALPVQEHRLPLSNLDLILPPMDVSVFFCYGAGEGSGGGGALLPAATLKAALAKVLVAYYPLAGEVVANTRGEGELLCSGRGVDFAEATAGDAVLRQLRLAVVDESAEKLVPKKKAGVMCVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARDGHGGGTAPPPPTIPSFRRSIVAPRDPPPPRSPSTDALIDRLFAPLGSAPPPPDDAAAAAVNRIYRVAAADAASLQDSAGPGRTKLEAFTAHLWQLNARAAAAAERERPCCMGVVVDGRGRMFPDGAMRAYFGNVLTIPYGVMGSGELRAAALTDVAGDVHRWVAEAATGDHFRGLVDWVEARRPKPAAARAYLGGTGGGDAAACIVSSGMGFPVGEADFGTGAPAFASYHFPWPAGAGYVMPMPSARGDGDWVVYVHVSPELAAAMEEEPTVFRSLDNSYVFG >ONIVA04G23940.1 pep chromosome:AWHD00000000:4:23880047:23883367:-1 gene:ONIVA04G23940 transcript:ONIVA04G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSATYSVDDALLSSGFGRYQILILSYAGVGLIAEAMEMMLLSFVGPSVQLEWKLTSHQESMITSVVFVGMLIGAYTWGVVSDNYGRRQERISLYRHLGIGLGGGPVLASWFLEFAPAPTRGTWMVVFSAFWTVGTIFEASLAWTVMPKFGWRWLLALSAVPSFVLLLFYVITPESPRFLCMKGRVTEAMDVLEKMARLNNVQLPSGRLVSDKNIELDELSTSESTTLLADAEEDDTIIEDKGSSFGGLSVAKLLSPKLIRATLLLWMTFFGNAFSYYGIVLLTSELSNEIPGSFLSAMIVDRIGRKLSMASMLFTSCVFLFPLIFSRTDILTRVSLFGARLCISASFTIVYIYAPEIYPTSVRTTGIGVASSVGRIGGILCPLVAVALVHSCQQTTAILLFELVIFLSGLAVSFFPFETKGCRLNDTEVVMN >ONIVA04G23940.2 pep chromosome:AWHD00000000:4:23880047:23883367:-1 gene:ONIVA04G23940 transcript:ONIVA04G23940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSATYSVDDALLSSGFGRRGFLFTAIVTSGAGFLSAFAPNYVSLISLRFLVGIGLGGGPVLASWFLEFAPAPTRGTWMVVFSAFWTVGTIFEASLAWTVMPKFGWRWLLALSAVPSFVLLLFYVITPESPRFLCMKGRVTEAMDVLEKMARLNNVQLPSGRLVSDKNIELDELSTSESTTLLADAEEDDTIIEDKGSSFGGLSVAKLLSPKLIRATLLLWMTFFGNAFSYYGIVLLTSELSNEIPGSFLSAMIVDRIGRKLSMASMLFTSCVFLFPLIFSRTDILTRVSLFGARLCISASFTIVYIYAPEIYPTSVRTTGIGVASSVGRIGGILCPLVAVALVHSCQQTTAILLFELVIFLSGLAVSFFPFETKGCRLNDTEVVMN >ONIVA04G23940.3 pep chromosome:AWHD00000000:4:23880047:23883367:-1 gene:ONIVA04G23940 transcript:ONIVA04G23940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSATYSVDDALLSSGFGRYQILILSYAGVGLIAEAMEMMLLSFVGPSVQRGFLFTAIVTSGAGFLSAFAPNYVSLISLRFLVGIGLGGGPVLASWFLEFAPAPTRGTWMVVFSAFWTVGTIFEASLAWTVMPKFGWRWLLALSAVPSFVLLLFYVITPESPRFLCMKGRVTEAMDVLEKMARLNNVQLPSGRLVSDKNIELDELSTSESTTLLADAEEDDTIIEDKGSSFGGLSVAKLLSPKLIRATLLLWMTFFGNAFSYYGIVLLTSELSNEIPGSFLSAMIVDRIGRKLSMASMLFTSCVFLFPLIFSRTDILTRVSLFGARLCISASFTIVYIYAPEIYPTSVRTTGIGVASSVGRIGGILCPLVAVALVHSCQQTTAILLFELVIFLSGLAVSFFPFETKGCRLNDTEVVMN >ONIVA04G23930.1 pep chromosome:AWHD00000000:4:23870297:23874182:1 gene:ONIVA04G23930 transcript:ONIVA04G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIKPRSSSAGGPPRAAPAPGFDDAGGGLGGMRKPNKEILEHDRKRQVELRLLLLRDALEEQGYTEGEIEERVEEARKEAEIEAAAAAAAEEEGRGAGRQPLPGKGRAGLVTHDTQSHHVAARKEKQLETLRAALGLDVDDKAKKNADVESDVESGELVPGKEYEGLEIDGPEDGKTLKDDRRHAKKGKKDKGSDAKSHGKSSRKIKLGHDSDSDHDDNKKKKKNSRYGSEDDSEAKYDEKKHSKHLKNSRHDTDDDSESDHKNAKCKKSSRHDSESDSESDHKNARRGKSSRHDSESDSDGDYGKKTTKHGKNDRDERKKRPATISRRHDSEDEKPRKGKRMDDSDTDSEGDGSFSESDSDYDRKKKKSTNSSRNESKDDKPRRKAPKDKYSDGPESGSDSDHGGKSKYSKISRRDSELQSRKDADKQDQYRDKLKSEFASDGYGEKKSVKIPYNDLKDDKPSSKVVRKDRYSDESETDSETYVKKKKNLPKSYHHSDKPAQRGKEKEENSGKNVDKRKRHDTDSDSDSHARERKRHLDARASLAAQKKRSVTSSSESSESSDYSSSSDSESDVSSDSREDRKSARQLKHDELERKKRSQDEKRKELEKQKQEEERKELDRQKQREEERKAKELEKQKQREEERKALEKQKQGERDGDRYERDHEGRKGENDVERDYKRKVGEDRYDPSRRQDEDRYDPNRRHDEDRYDPNRRHEEHGRRRNRDFDSRDPKRSRHDDSYHHSRRDYEQRYSRDEYRDRRHPISGFFKQKRDASLHEPSR >ONIVA04G23930.2 pep chromosome:AWHD00000000:4:23870297:23874182:1 gene:ONIVA04G23930 transcript:ONIVA04G23930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIKPRSSSAGGPPRAAPAPGFDDAGGGLGGMRKPNKEILEHDRKRQVELRLLLLRDALEEQGYTEGEIEERVEEARKEAEIEAAAAAAAEEEGRGAGRQPLPGKGFSDTQSHHVAARKEKQLETLRAALGLDVDDKAKKNADVESDVESGELVPGKEYEGLEIDGPEDGKTLKDDRRHAKKGKKDKGSDAKSHGKSSRKIKLGHDSDSDHDDNKKKKKNSRYGSEDDSEAKYDEKKHSKHLKNSRHDTDDDSESDHKNAKCKKSSRHDSESDSESDHKNARRGKSSRHDSESDSDGDYGKKTTKHGKNDRDERKKRPATISRRHDSEDEKPRKGKRMDDSDTDSEGDGSFSESDSDYDRKKKKSTNSSRNESKDDKPRRKAPKDKYSDGPESGSDSDHGGKSKYSKISRRDSELQSRKDADKQDQYRDKLKSEFASDGYGEKKSVKIPYNDLKDDKPSSKVVRKDRYSDESETDSETYVKKKKNLPKSYHHSDKPAQRGKEKEENSGKNVDKRKRHDTDSDSDSHARERKRHLDARASLAAQKKRSVTSSSESSESSDYSSSSDSESDVSSDSREDRKSARQLKHDELERKKRSQDEKRKELEKQKQEEERKELDRQKQREEERKAKELEKQKQREEERKALEKQKQGERDGDRYERDHEGRKGENDVERDYKRKVGEDRYDPSRRQDEDRYDPNRRHDEDRYDPNRRHEEHGRRRNRDFDSRDPKRSRHDDSYHHSRRDYEQRYSRDEYRDRRHR >ONIVA04G23930.3 pep chromosome:AWHD00000000:4:23870297:23874182:1 gene:ONIVA04G23930 transcript:ONIVA04G23930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIKPRSSSAGGPPRAAPAPGFDDAGGGLGGMRKPNKEILEHDRKRQVELRLLLLRDALEEQGYTEGEIEERVEEARKEAEIEAAAAAAAEEEGRGAGRQPLPGKGFSDTQSHHVAARKEKQLETLRAALGLDVDDKAKKNADVESDVESGELVPGKEYEGLEIDGPEDGKTLKDDRRHAKKGKKDKGSDAKSHGKSSRKIKLGHDSDSDHDDNKKKKKNSRYGSEDDSEAKYDEKKHSKHLKNSRHDTDDDSESDHKNAKCKKSSRHDSESDSESDHKNARRGKSSRHDSESDSDGDYGKKTTKHGKNDRDERKKRPATISRRHDSEDEKPRKGKRMDDSDTDSEGDGSFSESDSDYDRKKKKSTNSSRNESKDDKPRRKAPKDKYSDGPESGSDSDHGGKSKYSKISRRDSELQSRKDADKQDQYRDKLKSEFASDGYGEKKSVKIPYNDLKDDKPSSKVVRKDRYSDESETDSETYVKKKKNLPKSYHHSDKPAQRGKEKEENSGKNVDKRKRHDTDSDSDSHARERKRHLDARASLAAQKKRSVTSSSESSESSDYSSSSDSESDVSSDSREDRKSARQLKHDELERKKRSQDEKRKELEKQKQEEERKELDRQKQREEERKAKELEKQKQREEERKALEKQKQGERDGDRYERDHEGRKGENDVERDYKRKVGEDRYDPSRRQDEDRYDPNRRHDEDRYDPNRRHEEHGRRRNRDFDSRDPKRSRHDDSYHHSRRDYEQRYSRDEYRDRRHPISGFFKQKRDASLHEPSR >ONIVA04G23920.1 pep chromosome:AWHD00000000:4:23863568:23866667:-1 gene:ONIVA04G23920 transcript:ONIVA04G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGPKFAGMMGGVVGGSGGHDDIGGNFCDMAYYRKLGESSNMSIDSLNSLQTSTHGGGSVAMSVDNSSVGSSDSHTRMLNHPGLRGHVAANYSVGHSIFRPGRVSHALSEDALARALMDPRYPTETLKDYEEWTIDLGKLHMGMPFAQGAFGKLYKGTYNGEDVAIKLLERPEADPERAGLMEQQFVQEVMMLATLRHPNIVKFIGACRKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMAYVHALGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFANMTAVQAAFAVVNKGVRPAIPQDCLPVLSEIMTRCWDPNPDVRPPFTEVVRMLEHAEVVILSTVRKARFRCCISQPMTTD >ONIVA04G23910.1 pep chromosome:AWHD00000000:4:23858654:23863126:1 gene:ONIVA04G23910 transcript:ONIVA04G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQQAQDSADHMEPLESRLVVEKSPSTSVSVKKQILSSEDHNLSSTALHGASSLKSTKSAQEKGSFLGKGGEQHFIYQPNVYTPQPHTVFSGGYLNHLGQWEEYPHVASADGTDAASPVMYSSYSPVPTMGDSQPYYPLHCPLSSPYYQPPASPSMGYSNSATGMSQFDPMQEYYLPDGLQYSPTPGFHQHFGSFDGTQMQQSVTGIFGQGNIPLASGMHQGSMYSSGSYKARQQVGNFGGSTPNWSAASRRFSPFDRGFKHDKGSLEFMNEQNRGPRATKPKKEVNNSSTEDKNRKSALINDSNLYNQHDFVIEYEDAKFFVIKSYTEDHVHKSIKYGVWASTASGNRKLDAAYREAKEKEATCPIFLFFSVNGSGQFCGVAEMIGPVDFDKSVDYWQQDKWSGQFPVKWHIVKDVPNSLLRHIILENNENKPVTNSRDTQEVRLDHGLQMLTIFKNHEVETTILEDFDFYEQREKAMLDIRQRQKQQHTDSEVQKLMVEAKEPVDLMNQISATFARAMQLGETKGSREDKPKVEDASAAAVAVPENEPIALAETEGLLADSEPSPLKESG >ONIVA04G23900.1 pep chromosome:AWHD00000000:4:23843309:23856325:1 gene:ONIVA04G23900 transcript:ONIVA04G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLLQFISHRYRKFASAPPRVRSVVCVVLLSTPMDFWWIRGRRRRHCGIACLARHLVELLRKGAPSHRVLLLEVFQAPKALSRMGTLWICHGWMSQVSGST >ONIVA04G23900.2 pep chromosome:AWHD00000000:4:23843309:23856327:1 gene:ONIVA04G23900 transcript:ONIVA04G23900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLLQFISHRYRKFASAPPRVRSVVCVVLLSTPMDFWWIRGRRRRHCGIACLARHLVELLRKGAPSHRVLLLEVFQAPKALSRMGTLWICHGWMSQVSGST >ONIVA04G23890.1 pep chromosome:AWHD00000000:4:23843302:23846083:-1 gene:ONIVA04G23890 transcript:ONIVA04G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase C-like zinc finger protein [Source:Projected from Arabidopsis thaliana (AT4G31240) TAIR;Acc:AT4G31240] MGETAEGVEAGEKYVSIPQLAGVGTLLSNGGKEIPLSSIEGKRICLFFSAHWCRPCRNFTPKLLQIYRKLRNTCKNMEIIFISLDRDEISFLDYFKGMPWLALPFDTGLRQKLCVQFDIEHIPALIPLSTTLSHGFRVEEDAVKLVEEYGVDAYPFGAKRRSELEGMDDARRQGGNLLQLLGCKEREYVISADGIKTPISDLNGKTIGLYFGAHWCPPCRAFTKQLREAYDELKALRPGNFQVIFISMDRNEEEFQASLSAMPWFAIPYSDTTVQELSRIFTIKGIPTLLILGPDGKVFKTDGRRIISKYGAMAFPFTESRAYELEEVLKKERDSLPHRVRDHRHEHELELDMAKAYLSTQLFHQTPSVQPCRLNLKTLREEYHLIFTNSNRKTSRPQSSYTRQQRDFNNLYSDPKHLPQLHKHFDQSNVATAENSLRFLNGEPENSDISSIHVAFADLAGKIRGEDDKRD >ONIVA04G23880.1 pep chromosome:AWHD00000000:4:23836727:23842348:-1 gene:ONIVA04G23880 transcript:ONIVA04G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVATVSSASGILAMLQEPAEELKLHALASLNSVVHLFYPEISTSIPTIESLYEDEDFEQRQLAALVVSKVFYYLGELNDALSYALGAGPLFDISEDSDYAHALLAKALDEYASFRTKASKGTEEEENVDPRLEAIVERMLEKCILDGKYQQAMGMAVECRRLDKLESAIVRCDNIHGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPHPDYLSICQCLMFLGEPETVANILDKLLSGSKDDALLAYQIAFDLVENENQAFLLNVRNRLASQTPESNPDSGSALPDDQAANAGTGSTEPAGDVQMRDDTATPNGSSHTVDPNEVARADRLAKIKGILSGETSIQAVEMRNSVCHSATICANAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLAALGTADEEIYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGSGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTQQTTTSAVKLPTAILSTYAKAKSRAKKDAESKANQEKATEDASGSSSSKATKTQEKDADAMQVDNAAEKKAPEPEPTFQILTNPARVIPTQEKFIKFIEGSRYVPVKPAPSGFILLQDMQPTEAEVLALTDAPSTVAATTGSAAAATGQQASSAMAVDDEPQPPQPFEYTS >ONIVA04G23880.2 pep chromosome:AWHD00000000:4:23836727:23842348:-1 gene:ONIVA04G23880 transcript:ONIVA04G23880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVATVSSASGILAMLQEPAEELKLHALASLNSVVHLFYPEISTSIPTIESLYEDEDFEQRQLAALVVSKVFYYLGELNDALSYALGAGPLFDISEDSDYAHALLAKALDEYASFRTKASKGTEEEENVDPRLEAIVERMLEKCILDGKYQQAMGMAVECRRLDKLESAIVRCDNIHGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPHPDYLSICQCLMFLGEPETVANILDKLLSGSKDDALLAYQIAFDLVENENQAFLLNVRNRLASQTPESNPDSGSALPDDQAANAGTGSTEPAGDVQMRDDTATPNGSSHTVDPNEVARADRLAKIKGILSGETSIQAVEMRNSVCHSATICANAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGSGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTQQTTTSAVKLPTAILSTYAKAKSRAKKDAESKANQEKATEDASGSSSSKATKTQEKDADAMQVDNAAEKKAPEPEPTFQILTNPARVIPTQEKFIKFIEGSRYVPVKPAPSGFILLQDMQPTEAEVLALTDAPSTVAATTGSAAAATGQQASSAMAVDDEPQPPQPFEYTS >ONIVA04G23870.1 pep chromosome:AWHD00000000:4:23829350:23835852:1 gene:ONIVA04G23870 transcript:ONIVA04G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore complex protein-related [Source:Projected from Arabidopsis thaliana (AT5G05680) TAIR;Acc:AT5G05680] MTRITAPPPPSSPPSSPPPLRHSPAALGTPRSRRRHSPSPSLALTPSSSASASTSSRPKVRPSPRRAYAAAQWVALPSHPAFSRGDGGEGLGGGGGGAAWDASASRLYVWDPSARGVHRICVRVRDAEAGKDGEDVAVEAAVPSEMLMPETDLGYKVTHLSLNTDGSSLLLAGSHNISILYVHERVSEDGDKVICRTVPVASQILPSNNDGIKVLQTSWHPFSSSHFGVLTSDAVFRLFDLSFDLEQPEQEFYLQPILPGKCQNASSICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPIVPFGSDYNKKHIQEIYEDVNSFGLKSSNQNVVTNSRLAIAWLEATFPDLSRQPADNSALMSRAHPYASLDDSLTLQGPLCRVCEENNEPESKSNSCEGKAVGFVYSSVGKDSILVTAWGSGQLQIDALADEIQPQWSIGIPTRLNVDSHGQIKSVAMICDSNSEDSWAMRSYRPSSTGSNVKSNTDAVWMGHSPPLLRLAIVDLALAKTSNDSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPILTTGNNEANSPFLSGFVTIADAYGHVQLVSITCPGECFVVEMKGWKEPTPLQLDIDSKSIKDVESFTTGMISKELIAGPDPPIVPSSSSLRSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHGDYLKTEIEDKQKRLQAVKQSLLSIEAKDQDINNRINRAFKVYDLLEKRIEGFKILPAANKKPLSQAEQEFKAQLDRFADVELDALRSSITALSARMKRFAHPAIGSAAGTGMSTWQTPKVGRSHVSDSQMSLLKSSLEKLSLLNEENNVKLRLIEHELKNQEQ >ONIVA04G23860.1 pep chromosome:AWHD00000000:4:23821094:23821624:1 gene:ONIVA04G23860 transcript:ONIVA04G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQHLHPGGGGGKKSNKITEIVRLQQMLKKWRKLSVAPKDAAATAGNGGGGESKAKKFLKRTLSFTDGGASPGGTPPPRGHLAVCVGPTAQRFVIPTDYLKHRAFAALLREAEEEFGFQQEGVLRIPCEVPAFEAILKAVEKNKKDNAAAFCYCSYEYAADEVALGAPNNPLCR >ONIVA04G23850.1 pep chromosome:AWHD00000000:4:23800995:23806582:-1 gene:ONIVA04G23850 transcript:ONIVA04G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonic acid kinase [Source:Projected from Arabidopsis thaliana (AT3G10700) TAIR;Acc:AT3G10700] MVAPGGGGGGVASWPSEGELDVVRAKVVEISGRGAGEVRVVACPYRICPLGSHIDHQGGTVTAMTINYGVLLGFVASDDAEISLQSGQFEGVIRFRVDDLQKPIENPENINWESYARGAVYALQNFGYDLKKGIIGYISGVKGLDSSGLSSSAAVGIAYLMALENVNDLVVSPVDNIQLDKSIENKYLGLENGILDPSAILLSRYGYLTFMDCKGCNITYRRRVDIIREFLSAKRLPVLFYVLQAVKMHQVFFVMCILEENLARRAEHYFSEMKRVVKGRDAWARGDLREFGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAVVESGHAEAAAAFVRAEYEKAQPELVSKIPPGRRVLVCEPGDGARVI >ONIVA04G23840.1 pep chromosome:AWHD00000000:4:23781954:23789078:-1 gene:ONIVA04G23840 transcript:ONIVA04G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRRALAGGALSMHVAYFLAISCLGYGLLGVLKVREPGAAPRRIDRFFTAVSAATVSSMSTVEMEVFSNGQLVVLTVLMLLGGEVFVSLVGLASKWSKLRSDAMDRSRRVESHGDVALADIDGGDVENPTSSGEEAASRRRPMDADTLRHNAVRALFYIVLAIFAVVHVVGAVAVAAYVLASPGARRTLGDKSLNTWTFAVFTTVSTFSNCGFMPTNENMVVFKRDAPLQLLLVPQVLAGNTLFAPLLAACVWAAAAATRREELVEMAREGGRAAAAGYAHLMPARRCWMLAATVAAFVAVLMALVCGMEWGGALQGMSPWEKVVNALFLAVNARHTGESTVDLSILAPAILVLFVLMMYLPPYTTWFPFEENSTTKDSNAENQGIRLLESTLLSQLSYLTIFVIAICITERRKLKEDPLNFSVLSIVVEVVRQVRLNGFLPEKKNVDQINPDHLCTDKWTGFVGRWSDSGKLILIFVMFFGRLKKFSMKGGKAWKLRTFHCHPCTKLTLHSKYWQFQISSILVTSQHLPLAMKIPQPKFQVVRDITEKVKHLHVFISMRLRSLSKCAVCFFRRCFWFFMFQSNPLIVQLVYFISISFVGFLALKILKPRHKPTPRDLDSMFTSVSTATVSSMATVEMEDFSNQQLWVLILLMILGGEVSISMLALHFNNAETNTNEVLPKRSPSTRRNIESFDAVNDSNQNSSQGFQSEATISLNWVQGSRTMKQKCRNMLAHIVTGYFIAAVVCSSLVIIIFAQIDSDTRQLLKSKDIKIWTFSIFTAVSSFANCGFTLVLAGNTILSPLLRLSIWILRKVSRREEYAYILQHPEDTGYRYLQLQKNSVNMVLTVGGLVLLQVMFLSYFEWNSSALNGMN >ONIVA04G23840.2 pep chromosome:AWHD00000000:4:23781954:23784771:-1 gene:ONIVA04G23840 transcript:ONIVA04G23840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVMFSFVESDGSAHICSAYGNVGFSMGYSCSRQINPDHLCTDKWTGFVGRWSDSGKLILIFVMFFGRLKKFSMKGGKAWKLRTFHCHPCTKLTLHSKYWQFQISSILVTSQHLPLAMKIPQPKFQVVRDITEKVKHLHVFISMRLRSLSKCAVCFFRRCFWFFMFQSNPLIVQLVYFISISFVGFLALKILKPRHKPTPRDLDSMFTSVSTATVSSMATVEMEDFSNQQLWVLILLMILGGEVSISMLALHFNNAETNTNEVLPKRSPSTRRNIESFDAVNDSNQNSSQGFQSEATISLNWVQGSRTMKQKCRNMLAHIVTGYFIAAVVCSSLVIIIFAQIDSDTRQLLKSKDIKIWTFSIFTAVSSFANCGFTLVLAGNTILSPLLRLSIWILRKVSRREEYAYILQHPEDTGYRYLQLQKNSVNMVLTVGGLVLLQVMFLSYFEWNSSALNGMN >ONIVA04G23840.3 pep chromosome:AWHD00000000:4:23784844:23789078:-1 gene:ONIVA04G23840 transcript:ONIVA04G23840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRRALAGGALSMHVAYFLAISCLGYGLLGVLKVREPGAAPRRIDRFFTAVSAATVSSMSTVEMEVFSNGQLVVLTVLMLLGGEVFVSLVGLASKWSKLRSDAMDRSRRVESHGDVALADIDGGDVENPTSSGEEAASRRRPMDADTLRHNAVRALFYIVLAIFAVVHVVGAVAVAAYVLASPGARRTLGDKSLNTWTFAVFTTVSTFSNCGFMPTNENMVVFKRDAPLQLLLVPQVLAGNTLFAPLLAACVWAAAAATRREELVEMAREGGRAAAAGYAHLMPARRCWMLAATVAAFVAVLMALVCGMEWGGALQGMSPWEKVVNALFLAVNARHTGESTVDLSILAPAILVLFVLMMYLPPYTTWFPFEENSTTKDSNAENQGIRLLESTLLSQLSYLTIFVIAICITERRKLKEDPLNFSVLSIVVEVVRQVRLNGFLPEKKNVDQVN >ONIVA04G23830.1 pep chromosome:AWHD00000000:4:23780014:23782635:1 gene:ONIVA04G23830 transcript:ONIVA04G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEQSAATADDGGGRRRRALCPSPWTRSCRGAWELGFPPPTMSPSARLAAPRLAAHPRTGTSPINALPWRPRRRPPLQPRFSWVKPQFANEDTAVKMENHQRIQYSFSSKVIFLGGLRLCWSQHC >ONIVA04G23820.1 pep chromosome:AWHD00000000:4:23774909:23777722:1 gene:ONIVA04G23820 transcript:ONIVA04G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIGLCDSLLPEQSRKSLHLPVKLVHASVCSSCNLSTIRDLIRTVQFRPQFSRNGYSMQVRLANGNGN >ONIVA04G23810.1 pep chromosome:AWHD00000000:4:23774341:23781899:-1 gene:ONIVA04G23810 transcript:ONIVA04G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLSLSPILVLFALVMYYPSDNSALPINADNKPLTERGRNSNDQAIWKNFIISKSACLAIFTIIACITERKSISTDPLNFNIFSIAFKIISAYANVGYSLGYSCERLLKPDATCKATSYGFVGKWTDEDTLKRIKLYIAMKLLLPNSEVLRIYWEKAQHLCGFLSMKLISRARCVASSVKQSYSFLVCKSNPLVVQLVYFVIISFAGFLALKNLKPQGKPGPKDLDLLFTSVSTLTVSSMATVEMEDLSDRQLWVLILLMLMGGEVFTSMLGLYFNNANANRNENSQRSLPSISLDIESNSPANNGDHKITECGQSEETMSQNQVQQNKSITYNPCAVLVRIVTDARNVLKSKEISMHTFCIFTAVSSFANCGFTPLNSNMQPFRKNWVLLLLVIPQILAGNTLFSPLLRLCVWVLGKVSGKAEYAYILQHPGETGYKHLHVRRNSVYIVLSVTGLILLQVMFICSFEWNSESLEGMNWLQKLVGLLFQSVNTRQAGESILDISTLSPSTLLLFAVVMYLPSDASFLTANADNQPLTDKKTNSISRALWRNFTVNKLSCLAMFTFLACITERKSISSDPLNFNIFSIVFEIIRQQTEITPFYFHSAFGNVGYSLGYSCQKLLKPDATCKDASYGFVGRWTEEGKLIVILVMFLGRLKEFILK >ONIVA04G23810.2 pep chromosome:AWHD00000000:4:23775860:23781899:-1 gene:ONIVA04G23810 transcript:ONIVA04G23810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLSLSPILVLFALVMYYPSDNSALPINADNKPLTERGRNSNDQAIWKNFIISKSACLAIFTIIACITERKSISTDPLNFNIFSIAFKIISAYANVGYSLGYSCERLLKPDATCKATSYGFVGKWTDEDTLKRIKLYIAMKLLLPNSEVLRIYWEKAQHLCGFLSMKLISRARCVASSVKQSYSFLVCKSNPLVVQLVYFVIISFAGFLALKNLKPQGKPGPKDLDLLFTSVSTLTVSSMATVEMEDLSDRQLWVLILLMLMGGEVFTSMLGLYFNNANANRNENSQRSLPSISLDIESNSPANNGDHKITECGQSEETMSQNQMQEMY >ONIVA04G23810.3 pep chromosome:AWHD00000000:4:23774341:23775842:-1 gene:ONIVA04G23810 transcript:ONIVA04G23810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTFCIFTAVSSFANCGFTPLNSNMQPFRKNWVLLLLVIPQILAGNTLFSPLLRLCVWVLGKVSGKAEYAYILQHPGETGYKHLHVRRNSVYIVLSVTGLILLQVMFICSFEWNSESLEGMNWLQKLVGLLFQSVNTRQAGESILDISTLSPSTLLLFAVVMYLPSDASFLTANADNQPLTDKKTNSISRALWRNFTVNKLSCLAMFTFLACITERKSISSDPLNFNIFSIVFEIIRQQTEITPFYFHSAFGNVGYSLGYSCQKLLKPDATCKDASYGFVGRWTEEGKLIVILVMFLGRLKEFILK >ONIVA04G23800.1 pep chromosome:AWHD00000000:4:23766088:23766930:1 gene:ONIVA04G23800 transcript:ONIVA04G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITASGPPCRSRGRGDTGGHHRRLPPSSTSPPPEQTAGNRAAARKASAGPSFSLEGAGRCPRPRPVGGGDGAAASALASGRWAGRAEATGCGTVAAAPDLASLARSGGPASSRDDGGTQGGGEGRCRRRERWSSGNARRLSRSGLGEWSAPRRCGDRQAGAASRWSGDNSGGSLPPWSRRRRRRLSSVGVGFGNAAAARSSWSSPVPGWIWLSAAGSIASTPNGSWSAGRLRVDGKRCGGSLAASLLLGRGLLPVVGLVVVDGQRCADVEMAGRRLVLA >ONIVA04G23790.1 pep chromosome:AWHD00000000:4:23752007:23752779:1 gene:ONIVA04G23790 transcript:ONIVA04G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLSPDLKCSNFTDDDDELTINLHVEQHIKRKLMSQGIDPQTHQPVSAGTSVAAASELTTTASTVGFPSLQAPAPA >ONIVA04G23780.1 pep chromosome:AWHD00000000:4:23747565:23751037:-1 gene:ONIVA04G23780 transcript:ONIVA04G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRAVIAAVLVFCLCFVQVARCDVVAAAVSPSSPEQAQELQMLRAKVASLEDEISGRKEETLQLENVVREKSAQIAALVSELEVLQVPNVADDESVLKANAHNEMLEKQVLRLGSDLEDQVKKGESLEAHASEAEKSLLELTQKLDHAEKINMEQKKKIEELNHSLRQVQDKLFEVEREAKLKAEELMKVHGMWLPHWVMARFVYCQDLASDKWQLHGKPVLDALAQKKSVPAAKAHLNSLKKSTDVYASAIATRSTQAYRVCRDTIQPSMAKAQEFADHYWQESKKFTTPYITKVVAASEPRLSRVCAVLEPYTRPVISAWRKLVMSASVPHRQVQKGIKHFVNDNGLLKSDSADRFAWFTASALVALPMFYTYKMLSAAIWRKAVAAQGSGGTRSKKASNRRRTQRVDS >ONIVA04G23770.1 pep chromosome:AWHD00000000:4:23740731:23745171:-1 gene:ONIVA04G23770 transcript:ONIVA04G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHQLWQLPIKDMKSAPLPRGRTSPKKHLCILVVAFVSIVTLWAYLYPPQHYTSPMRDWLPAEPVRELTDQERASQVVFKQILSTPPVKSKRSKVAFMFLTPGTLPFERLWEKFFEGHEGRYTIYVHASREKPEHASPLFIDRDIRSEKVVWGKISMVDAERRLLANALEDVDNQHFVLLSDSCVPLHNFDYVYNYLIGTNISFIDSFYDPGPHGNFRYSKHMLPEVRESDFRKGSQWFSVKRQHALMIIADSLYYTKFKLHCKPGMEDGRNCYADEHYLPTLFHMIDPNGIANWSVTHVDWSEGKWHPKAYRANDVTYELLKNITSIDMSYHITSDSKKVVTQRPCLWNGVKRPCYLFARKFYPESINRLMNSFSNYTRF >ONIVA04G23760.1 pep chromosome:AWHD00000000:4:23735645:23739483:-1 gene:ONIVA04G23760 transcript:ONIVA04G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT5G19940) TAIR;Acc:AT5G19940] MSASAAPTHIRFSSAAPPSAAALRRPRRRCATPVRCSLAAAPGLRAPPELIDSILSKVKGTDRGVLLPKDGHQEVADVALQLAKYCIDDPVKSPLIFGEWEVVYCSVPTSPGGLYRTPLGRLIFKTDEMAQVVQAPDVVKNKVSFSVFGFDGAVSLKGKLNVLDGKWIQVIFEPPEVKRAMPMRVLTVGKKRSRGAQLIVEEYKEKLGYYCDIEDTLIKSNPKLTSDVKVQVEAEDMAMMLQLKPEDFVVVLDENGKDVTSEQVADLVGDAGNTVRERADATIRLSSMVLNHQVALIVLMEQLYRAWTIIKGQKYHH >ONIVA04G23750.1 pep chromosome:AWHD00000000:4:23731887:23736309:1 gene:ONIVA04G23750 transcript:ONIVA04G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADAQTQSRAHSSTAAPVAGETAGEPVGFPQNGATNGAPLMFPVMYPMLMTGMHPQQSLDDQAQGPGIYAIQQNQFMGSTLMPLTYRIPTESVGAVAGEEQAQDARQQHGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASVIYLYQTGAITPLLRWLQRAGGAAARPPQAPARPENRAPLAAQNDGNVQPPGGNLADPANNDQAAENQEPGAAAANENQQEVDGEGNRRNWLGGVFKEVQLIVVGFVASLLPGFQHND >ONIVA04G23740.1 pep chromosome:AWHD00000000:4:23729181:23731478:-1 gene:ONIVA04G23740 transcript:ONIVA04G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSRTLALARADSAAVPSYGRLHVRGVSSKVEFIEIDLSSEDAPSSSSSSGVEGGGFGPREMGMRRLEDAIHGVLVRRAAPEWLPFVPGGSYWVPEMRRGVAADLVGTAVRSAIGAAWNAEAMTEEEMMCLTTMRGWPSEAYFVEDPLRNRKLGAVEFC >ONIVA04G23730.1 pep chromosome:AWHD00000000:4:23723872:23730678:1 gene:ONIVA04G23730 transcript:ONIVA04G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETTMATAHLHGMNQRSRTARCCASGKRERKTLEGISCTRGAGAVRFHRFPSCPLRANGRDDFGTMRYQYCKQLDAYTTFYRYKYKLSEKKTIAQGTFCQNNKLSAPVTESFLPVKLMKEKEY >ONIVA04G23720.1 pep chromosome:AWHD00000000:4:23723092:23723616:-1 gene:ONIVA04G23720 transcript:ONIVA04G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIPMFAGVLCVCSCRRREFCMCVHREPFALTAGGVLNGRRGADFSNGRSDISRCRFRLGDELLLGGAELVVLDAVIWGRQLHASLLHAGGRRGEKFTGAEMEMEREAASDKTIEVDKTESAMRKYRNTLPPPHPNTVPPQGRVGTSRYPAMTAVGSYPLNGDDLVPLWPAVR >ONIVA04G23710.1 pep chromosome:AWHD00000000:4:23718321:23719606:-1 gene:ONIVA04G23710 transcript:ONIVA04G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIGCSMRRIAVHIKTQI >ONIVA04G23700.1 pep chromosome:AWHD00000000:4:23714338:23718255:-1 gene:ONIVA04G23700 transcript:ONIVA04G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPTICSTAMPYTGGDIKKSGELGKMFELHAVKSRKSGPLSNAPSRNASFGGAASNSGPVPNAGDRSNYSGSLSSSVPGASGSARAKSSSGPLNKHGEPVKRSSGPQSGGVTPMARQNSGPLPPMLPTTGLITSGPITSGPLNSSGAQRKVSGPLDSAASKKTRATSFSHNQAVTKITTEDSYSITGSLSKLILGAVFVLFVLGLIAGILILSAVHNAILLIVVLVLFGFVAALFIWNACWARRGVIGFVDRYSDADLRTAKDGQYIKVTGVVTCGNFPLESSYQRVPRCVYTSTTLHEYRGWDSKAANTQHHRFTWGLRSMEQHAVDFYISDFQSGLRALVKAGYGARVTPFVDESVIIDIDPDNKDMSPEFRRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQKNDNVLMIVPPPEPISTGCQWANISEEENLLMMWLLPSKRSKPKSPRTQGER >ONIVA04G23690.1 pep chromosome:AWHD00000000:4:23703643:23712540:-1 gene:ONIVA04G23690 transcript:ONIVA04G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase IV [Source:Projected from Arabidopsis thaliana (AT5G57160) TAIR;Acc:AT5G57160] MAATVRFGLLVAMFQAMSRDRTAEKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQTKAAKEGLETDRQLCCILEWIASYVLALYVAFDILYAGDTSVIHQSLTERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKVKQLRTNKKGEKKNVSIIPSHLMKTDISGLKGETLIFANTMFYFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKRLLHLQPKYILFLADFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKKYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKIDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPTAPRVVRRSRAQRGKWLAKIDRETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >ONIVA04G23690.2 pep chromosome:AWHD00000000:4:23703643:23712540:-1 gene:ONIVA04G23690 transcript:ONIVA04G23690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase IV [Source:Projected from Arabidopsis thaliana (AT5G57160) TAIR;Acc:AT5G57160] MAATVRFGLLVAMFQAMSRDRTAEKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQTKAAKEGLETDRQLCCILEWIASYVLALYVAFDILYAGDTSVIHQSLTERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKNGGSFSMNLNDSVTHCIAAEKKDFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKKYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKIDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPTAPRVVRRSRAQRGKWLAKIDRETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >ONIVA04G23690.3 pep chromosome:AWHD00000000:4:23703643:23712540:-1 gene:ONIVA04G23690 transcript:ONIVA04G23690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase IV [Source:Projected from Arabidopsis thaliana (AT5G57160) TAIR;Acc:AT5G57160] MAATVRFGLLVAMFQAMSRDRTAEKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQKCPDVAFDILYAGDTSVIHQSLTERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKVKQLRTNKKGEKKNVSIIPSHLMKTDISGLKGETLIFANTMFYFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKRLLHLQPKYILFLADFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKKYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKIDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPTAPRVVRRSRAQRGKWLAKIDRETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >ONIVA04G23690.4 pep chromosome:AWHD00000000:4:23703643:23712540:-1 gene:ONIVA04G23690 transcript:ONIVA04G23690.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase IV [Source:Projected from Arabidopsis thaliana (AT5G57160) TAIR;Acc:AT5G57160] MAATVRFGLLVAMFQAMSRDRTAEKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQKCPDVAFDILYAGDTSVIHQSLTERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKVKQLRTNKKGEKKNVSIIPSHLMKTDISGLKGETLIFANTMFCILSCILFADCTFYLHCKFELVQSLSCLDFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKRLLHLQPKYILFLADFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKKYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKIDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPTAPRVVRRSRAQRGKWLAKIDRETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >ONIVA04G23690.5 pep chromosome:AWHD00000000:4:23703643:23712540:-1 gene:ONIVA04G23690 transcript:ONIVA04G23690.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase IV [Source:Projected from Arabidopsis thaliana (AT5G57160) TAIR;Acc:AT5G57160] MAATVRFGLLVAMFQAMSRDRTAEKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKGWVALQVASLQWFWIAVDAFHLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQKCPDVAFDILYAGDTSVIHQSLTERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKVKQLRTNKKGEKKNVSIIPSHLMKTDISGLKGETLIFANTMFCILSCILFADCTFYLHCKFELVQSLSCLDFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKRLLHLQPKYILFLADFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKKYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKIDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPTAPRVVRRSRAQRGKWLAKIDRETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >ONIVA04G23680.1 pep chromosome:AWHD00000000:4:23695338:23701966:-1 gene:ONIVA04G23680 transcript:ONIVA04G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5N5] MARRSSSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVREYESEISRLDDKVNQLRDQLRKAGVHLDENPTGDKVSREKLVEIDPINNERREKVKEAMAHAWNSYVKYAWGMDELQPQSKNGVNSFGGLGATLVDSLDTLYIMGLKDEFQRARDWVADSLSFDKDYDASVFETTIRVVGGLLSAYDLSGDKVFLEKAKDITDRLLPAWDTPSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVIRQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWVQGNKTEHVKHYRQMWETSMEGLLSLTKKTTPSNYYYICEKNGGSLSDKMDELACFAPGMLALGASGYEETEKAEEIMNLAKELARTCYNFYQTTPTKLAGENYFFHTGQDMNVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPLNDNSKAHSVGIATPTVRPFGRKQGKQE >ONIVA04G23670.1 pep chromosome:AWHD00000000:4:23689747:23689962:-1 gene:ONIVA04G23670 transcript:ONIVA04G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVAAMCGAAEERVVGTHKAPGACPRCGGAVVATDVESERRILCLPLCVKSKRKYSCSRCLRRLVTLYS >ONIVA04G23660.1 pep chromosome:AWHD00000000:4:23681450:23681758:1 gene:ONIVA04G23660 transcript:ONIVA04G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISMPPEPKRSSATDMASVFSTDTTSTFAALPNPKSTSYTPKPRRCRRRQRSAVQAVRRPSVMVGEGPTLTSWDGEAFNLWLQHREAFNKSSTKIMSKTTI >ONIVA04G23650.1 pep chromosome:AWHD00000000:4:23681359:23684175:-1 gene:ONIVA04G23650 transcript:ONIVA04G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRTHVVGGLRALRTAIVSPSPAPPAGERALPLTFLDAQWLSAHPVERVFFYRLGPGGDDVDAVLSRLVESLARALHAFYPLAGRVRLTPGETNRYELFYQPGDGVAFTVAEHDGVGVGVDELADTDEPREVARIATFVPELPKGGAVLALQATVLPPDRRGLALGVTVHHSACDGVSSTHFLHTWAAACAGDRVLPEPPVIDRTIIRDRNDMYDAFASPASEAKHAFDSPDVAGKLLATFTLSRQQLQNVKDAVAGEAARRGVAPPRCTSLVATLGLTWLCFRRAGPDGEEGPRGDGRAHLVFPVDHRSRLEPRVPEKYLGNCIGPGFATAHETELATTTTTADGLFTACAAVAAGIDEAVRGEPTYWERWVERITEAYADDMSLSVAGSTRFGVYDMDFGFGRPAKVDVVSVAKTDAMSVAEDRSGSGGIEVGIALSPARMERFRRWLADAIALLSSNLSVRVGPSPTITEGLLTACTADRCRRRHRRGFGVYDVDFGFGRAAKVDVVSVEKTDAMSVAEDRFGSGGIEMGIALPSERSAWRGSGGGSPTTLPGSSSSQHN >ONIVA04G23640.1 pep chromosome:AWHD00000000:4:23679595:23680599:-1 gene:ONIVA04G23640 transcript:ONIVA04G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRPPPSESHHGSSRSAKRTSSASSSHGSSGSVEERPYSSGRHGSSGRMEEGTAAPSCRHRSRRTDKRPSSSGRHGSGRTANKHERPAPATRPRQRRKRKYLYLVMDDWEKGYGIYRVDVSSFDPDAEFGSDSEAECQARNRDDEPSPLVRVEAPINYSRWITAHGSKIFMMSPVSAEVIPGIPVFDTVTRAMMVCPLPKNLGEQLQPFCVSVGDRLVRLCNPGFQVLGAQPPPRSGHGYVDVPWAWEEISDDNAFSMPPFTSDRVSAYAVHPDGRRTIWVSVRKPVVDGRGGGSYGDRNNTFSFDTEHLEWTHVGEWLMPFVGRSRRGSARR >ONIVA04G23630.1 pep chromosome:AWHD00000000:4:23673596:23676686:1 gene:ONIVA04G23630 transcript:ONIVA04G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQPPPPPLPASPLPVSSSLTQLVEMASEAAKVVVPESVLRKRKREEVWAAASKEKAVAEKKKSIESRKLIFSRAKQYAEEYEAQEKELVQLKREARMKGGFYVSPEEKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLTNNKVIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >ONIVA04G23620.1 pep chromosome:AWHD00000000:4:23667259:23669179:1 gene:ONIVA04G23620 transcript:ONIVA04G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFAGSSKAPASARLKLRRQHDQQREVCDALPVPHLLQSLLLLGPAAGSAAHDTFALRIRKTTPIFPSRCDYRRKNLVVSFGAGQSTSRWPVPSLFFLPGFNGSGKSVLGRAFSRVTPAGEIEALRKGSSMAGGEHRGGRGGRANVKKTSPTSLRENIRT >ONIVA04G23610.1 pep chromosome:AWHD00000000:4:23647673:23659782:-1 gene:ONIVA04G23610 transcript:ONIVA04G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5M8] MESASSSLATSGRRRSSGGGGGGSWGSIGSAADPFDIPAKGAPVERLKKWRQAALVLNASRRFRYTLDLKREEQREEVISKIRAQAHVVRAAFRFKEAGQVHVQQKEVAAPPVDGALGFGIKEDQLTALTRDHNYSALQQYGGISGVARMLKTDTEKGISGDDSDLTARRNAFGSNTYPRKKGRSFLAFLWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVVVTATSDYKQSLQFQNLNEEKQNIKLEVVRSGRRISVSIYDLVAGDVVPLKIGDQVPADGILISGHSLSVDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGMVGLSVALAVLVVLLARYFTGHTYNPDGSVQYVKGKMGVGQTIRGIVGIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMDPPDNVQVLSASISSLIVEGIAQNTSGSIFEPENGQDPEVTGSPTEKAILSWGLKLGMRFNDTRTKSSILHVFPFNSEKKRGGVAVHLGGSESEVHIHWKGAAEIILDSCKSWLAADGSKHSMTPEKISEFKKFIEDMAASSLRCVAFAYRTYEMGDVPREDQRADWILPEDDLIMLGIVGIKDPCRPGVKDSVRLCAAAGIKVRMVTGDNLQTARAIALECGILSDPNVSEPVIIEGKAFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRKKGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMQRPPVGRREPLITNVMWRNLIIMALFQVIVLLTLNFRGTSLLQLKNDNQAHADKVKNTFIFNTFVLCQVFNEFNARKPDELNIFKGITGNHLFMAIVAITVVLQALIVEFLGKFTSTTRLTWQLWLVSIGLAFFSWPLAFVGKLIPVPERPLGDFFACCCPGSKQAADAKGDDADHSDILDGARCDFAAELLQGDF >ONIVA04G23600.1 pep chromosome:AWHD00000000:4:23647461:23658591:1 gene:ONIVA04G23600 transcript:ONIVA04G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEITTERNNALRYGDFFSLRSSYIAVISVITLGISSCTKWSHYNCYGNNGHKEMVPSNALEYVQLIGLPSIEFIEYLRMKKDNKNGESNRKSNHSNEGGNTVQANLLQNNKFMSTHELVQKSTSCKIKPAKDGKHRDRLQSSNIPPAQLCYD >ONIVA04G23590.1 pep chromosome:AWHD00000000:4:23644956:23647421:1 gene:ONIVA04G23590 transcript:ONIVA04G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETGEREVRYDPFLPRAPVWFQTPLRRRAASSVLRLPKLWFLEGFRTNQTCPDKDEGRAALNGEIDRSKRPAPLLTSQKQVARDSKYTIVNDQRKAATKVQQAARGPASNRKQQKNPEPSEMRSVAGRTWKAASRNEFSDAYGPDRSQQADTYEMKASKWCQTK >ONIVA04G23580.1 pep chromosome:AWHD00000000:4:23643113:23643451:1 gene:ONIVA04G23580 transcript:ONIVA04G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRTSAAGFRALVQELTSCNADPSKYRPRASADDGCGGGATTLHESGPAAASDADALVVAPGHPAATTFDEGGGVGYYTDDDIFRSQLLDTSYSVFSSPTLLYNDHPHSKV >ONIVA04G23570.1 pep chromosome:AWHD00000000:4:23631804:23633937:-1 gene:ONIVA04G23570 transcript:ONIVA04G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMGEKRRRGHLNPAGFAGGLHDHEEKKKNEEHKLDMSGMSMDALPHLTMSLGQVTILDLSNNNLESIPESIIARLLNVVVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNLLESLPNTIEECRALEELHANFNELTKLPDTLGFELHSLRKLSVNSNKLAQLPSSTSHMTALRALDARLNCLRALPDGLENLANLEALNVSQNFQFLRELPYAVGLLASLRELDVSYNSIAALPDSMGCLTKLARFSAVGNPLVSPPMDVVEQGLDAMRAYLTARMNGDDGKRKKKAWLPKLVKYSTFTARMTPGRTRVHENTEGLLMSDYRSLNGIASPRFLTMLSPRRLFSPRRNSPKHC >ONIVA04G23560.1 pep chromosome:AWHD00000000:4:23630804:23631246:1 gene:ONIVA04G23560 transcript:ONIVA04G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPGFSFGQIWRPAGGGTEESWASFQGWWFVEVDRRRGVGAVWWMPRAAICLCGGSELVDGDLQSRRKPSPVVHWAGSGYVFGRRNLLGALSRVTLSLSWRASLGENHIFLDGRWRHPGCRDHHGGIVFRSLVSVVLP >ONIVA04G23550.1 pep chromosome:AWHD00000000:4:23627786:23628732:1 gene:ONIVA04G23550 transcript:ONIVA04G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II (PTH2) family protein [Source:Projected from Arabidopsis thaliana (AT5G10700) TAIR;Acc:AT5G10700] MLSLLPLRLPFSAAATHPNSTFLLQRAVRLPILPISPRASMSAIPATPDAAASAATATSVGGEVGKEAEDVVVQYVVLRRDLADAWPLGSVVAQGCHAAVAAVWAHRDHPDTAAYCGPDNLDRMHKACNTRGETQLKNLAEKLEAAGVRHKVWIEQPENIPTCIATAPCPKSQVSSFFKKLKLCK >ONIVA04G23540.1 pep chromosome:AWHD00000000:4:23624062:23627580:1 gene:ONIVA04G23540 transcript:ONIVA04G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLYSTVASDEKMRKGSADKSHRMVPHLANKLHVKRHRSSLNLFSCDSNCPIDNAARGGCQSRREDVNPAQVPETGRGEKTQPVANTTQPNMGLDAPRHGSRGRLPLPLRLSHYAPAAAGARGCGENLPRAPRCVEGDVGRNAYLDCRARWRDCGGPPARWRERRTCGLAGRRRIYV >ONIVA04G23530.1 pep chromosome:AWHD00000000:4:23621577:23623704:1 gene:ONIVA04G23530 transcript:ONIVA04G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HKT12 transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5M0] MAVDLMGCYAPRRADDQLAIQEAATAGLRSLEMLVSSLSSSSQAAGAHKASPQQQPFGEIADQAVSKFRKVISILDRTGHARFRRGPVESSAPAAPVAAAPPPPPPPPAPVAAALAPTSSQPQTLTLDFTKPNLTMSAATSVTSTSFFSSVTAGEGSVSKGRSLLSSGKPPLSGHKRKPCAGGHSEATANGGRCHCSKRRKNRVKRTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPAMLVVTYEGEHRHTPGPLPAPPAAAAVAAMPVSVAVSTGNGHV >ONIVA04G23520.1 pep chromosome:AWHD00000000:4:23609796:23610888:1 gene:ONIVA04G23520 transcript:ONIVA04G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAGSVAIVYDALPGLTMAFSPEEEEHLEGAAADLGGASTSASAAVEEVEDATATYFVFHNEITAARDALVDIPTTDFFSLDVSATVEDEPQPSQKCGLSRSLKRASEKKEIEEIGKIRKTR >ONIVA04G23510.1 pep chromosome:AWHD00000000:4:23605367:23608499:-1 gene:ONIVA04G23510 transcript:ONIVA04G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5L8] MARPLGQQQVGAAAALVIVVACCVVAGCSGARGRGFREEFDVIWGEDHVRVTDEDGVAARQVVALTLDQASGSGFQSKDQFLFGEFSMEMKLVPGESAGTVATFYLTSEGDAHDEIDFEFLGNVSGEPYVMHTNVFAQGKGNREQQFYLWFDPTADFHNYTILWNPLNIIFSVDGKAVRVFKNRASAGVPYPSGQAMRVHASLWNGDFWATRGGQVKINWTAAPFVASYRTYAYSACAVPAAGGGGGPCTSGQLPNSTSSPSTCDCGGAWMDRQLGPDGERDVAWARANYMIYDYCGDQWRFPQGRPAECSLDQSSGHRT >ONIVA04G23500.1 pep chromosome:AWHD00000000:4:23603735:23604922:1 gene:ONIVA04G23500 transcript:ONIVA04G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5L7] MAPMPLASSSSKLCSLLILCLAFLAAVDRSTAGIFDEIELIWGASRTYFFMDGDSEALALSLDQSQGSCFRSREKYLYVQIDVEIKLIEGDSAGTVCTIYTISEGPWEIHDEIDLEFLGNVTGEPYTLHTNIFANGVGGREQQFRLWFDPTADYHTYSIVWNPKRILILVDGKAIRDFKNNEDQGVPFPTWQSMRTFGSLWSAEDWATQGGRVKTDWTQAPFVTYYRNYNVTWCRPSPGVAWCGDEPKDSTRFDLDANTLSDLQWVRSNSMIYNYCDDSVRFNATTLPKECTLQ >ONIVA04G23490.1 pep chromosome:AWHD00000000:4:23596210:23600715:-1 gene:ONIVA04G23490 transcript:ONIVA04G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLNENVWNMPGDDELDQTYHLLYRPRAIWGRRPRPNGGRRRPRPIQGLFRVSHPAVNPTAEPIGRQFLEQLDYPLPTITGSALLDETNLILHDFYKNISDIRSQIYNQQGLRLAKMNVVKEQALWEEAEELVCTSKKIFDSTNLDKKLLYPFPSWFNSRETRKKNYLDLTSWVSLLETYANERSASPEADDEAYDVGLLDDKTGDASADLDYEKSACS >ONIVA04G23490.2 pep chromosome:AWHD00000000:4:23596210:23597788:-1 gene:ONIVA04G23490 transcript:ONIVA04G23490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGDDELDQTYHLLYRPRAIWGRRPRPNGGRRRPRPIQGLFRVSHPAVNPTAEPIGRQFLEQLDYPLPTITGSALLDETNLILHDFYKNISDIRSQIYNQQGLRLAKMNVVKEQALWEEAEELVCTSKKIFDSTNLDKKLLYPFPSWFNSRETRKKNYLDLTSWVSLLETYANERSASPEADDEAYDVGLLDDKTGDASADLDYEKSACS >ONIVA04G23480.1 pep chromosome:AWHD00000000:4:23590424:23590945:1 gene:ONIVA04G23480 transcript:ONIVA04G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEESKEKAVAEEEEKGVISPAAMETAGEKKASAAAAGEETKKKQKEKKMKKVRMPQHEVDRIMSYKHRPLTMPPGYKNFSKELLACFPVPVDQLDDYWARKNKIHDEGAKPILEEQERIRKEYKEKGYAEYWITDDEDQAATRSRAPAPGRRRGRPGVTKKHTGGTKKL >ONIVA04G23470.1 pep chromosome:AWHD00000000:4:23586526:23587584:-1 gene:ONIVA04G23470 transcript:ONIVA04G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5L3] MGFGSREMACALVALVLGLCCVGGARATGRIDEGLEVMWGDGRGSVSPDGQVMALSLDHTSGSGWRSKNTYLFARVDLQIKLVANNSAGTVTTCYFMSEGEWDIHDEVDLEFLGNVTGQPYTLHTNVFANGTGGKEQQFHLWFDPTTDFHTYSIVWTSQHILVLVDGTPIREMKNHADKGIAYPSSQRMRLYGSLWNADDWATQGGRVKTDWSQAPFVARYRNFTATEAASSSSSPAGYDQQMDATAQQAMKWARDNYMVYDYCADSKRFPQGFPPECSMP >ONIVA04G23460.1 pep chromosome:AWHD00000000:4:23574682:23575618:1 gene:ONIVA04G23460 transcript:ONIVA04G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSILAKRYEVLDLNADPITRSSVSAIVWSPLLSLSSCVWPNSTSSWSVMSPPPVTGVAAASSAEANRQARRWCALAGAMASGRWCKL >ONIVA04G23450.1 pep chromosome:AWHD00000000:4:23573894:23581273:-1 gene:ONIVA04G23450 transcript:ONIVA04G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5L0] MPAERNNHHRISGCVETDGRRATRTLPHAFARSVPLMALARDCEIESSIQAWQRLRASDALLKRLHLTMASLPLTHSSTSLTHSLHSLHHRPEAMAPARAHHLLACLLASALLAAATPVTGGGLMTDQLEVLFGQTQLLNDSNGDQTIALTLDRVMGSAFKSKTSYLFARIDMDIKLVADDSAGTVTTIYLISEKDWNTHDEIDLEFLGNVTGQPYTLHTNIFANGEGGREVQYRLWFDPTQDFHTYSVIWNPDEILILVDNMPIRQFKNHLDSGVPFPIYQPMRLFGCLWDADDWATEGGRIKTDWSQAPFVAYFRNYTADGCVPSSYAWVCGQGPASSSDWFDRGLDDVKQQQQLREAQDKYMIYNYCNDPKRFPDDTPPAPDQLGIMRYLKNMGPE >ONIVA04G23450.2 pep chromosome:AWHD00000000:4:23573894:23582167:-1 gene:ONIVA04G23450 transcript:ONIVA04G23450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5L0] MVRPPQIWSRLFLVSITTPGTRHPRHRCQSLHLTMASLPLTHSSTSLTHSLHSLHHRPEAMAPARAHHLLACLLASALLAAATPVTGGGLMTDQLEVLFGQTQLLNDSNGDQTIALTLDRVMGSAFKSKTSYLFARIDMDIKLVADDSAGTVTTIYLISEKDWNTHDEIDLEFLGNVTGQPYTLHTNIFANGEGGREVQYRLWFDPTQDFHTYSVIWNPDEILILVDNMPIRQFKNHLDSGVPFPIYQPMRLFGCLWDADDWATEGGRIKTDWSQAPFVAYFRNYTADGCVPSSYAWVCGQGPASSSDWFDRGLDDVKQQQQLREAQDKYMIYNYCNDPKRFPDDTPPAPDQLGIMRYLKNMGPE >ONIVA04G23440.1 pep chromosome:AWHD00000000:4:23564611:23573473:-1 gene:ONIVA04G23440 transcript:ONIVA04G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYFSPLVLSPDSGSSLLSPQGFSNFHCHQEHTGFSGLEVWRIENFKPVPVPTSSHGKFYMGDSYIILKTTALKNGSFRHDLHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYREVQGGETEKLLSYFRPCIMPQPGGVASGFNHVEVNQQDHVTRLYVCQGKHVVHVKEVPFVRSSLNHEDIFILDTANKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGKCEVAAVEDGKLMADTEAGEFWGLFGGFAPLPKKTSSEDNGDDKETVTKLLCFNQGTLEHISFESLEHELLETNKCYLLDCGAEMYVWMGRGTSLQVRKGASEAAEKLLIDENRKGSNVIKVIEGFETIMFKSKFNKWPPTPDLKLSSEDGRGKVAALLRSQGLDVKGLMKAAPEEEEPQPYIDCTGHLQVRRGEARRGLATAVGRGGGCGRGGDRSWRRGEAQTSGGRSRRRRGADEQWRPVADKATAGRGGERGRATAVWRVNGDGKTLLSSSDQSKLYTGDCYIFQYTYTGDDKEECLIGTWFGKKSVEEDRTSAISLASKMFQAAKFQAAQARLYEGKEPIQFFVIFQSLQVFKGGLSSGYKNFIAVNGTDDDTYVEGGLALFRIQGSGSENMQAIQVDAVSSSLNSSYCYILHNGNTVFTWTGNLTTSLDNDLVERQLDVIKVKEIHHFTQDDLMAEDIFVLDCRTDLFVWVGQEVDAKLRSQAMDIEGSEPQFFTRFFTWDSAKSLMHGSSYQRKLAIVKGGATPSLDKPKRRTPAFSGRNAGQDKSQQRTRSMSHSPERHRIRGRSPAFTAIASAFENPSTRYLSTPPPAVKKLFPRSGGSELPKTSSKQSAINALTSAFEGPTKSTIPKSVKASPEAEKAIQEEGSTIGESENEPEDDENSTIYPYERLTTTSDDPAPDIDVTKREVYLSSVEFTEKFGMTRASFKNLPKWKQNRLKSDLQLF >ONIVA04G23440.2 pep chromosome:AWHD00000000:4:23564611:23573473:-1 gene:ONIVA04G23440 transcript:ONIVA04G23440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYFSPLVLSPDSGSSLLSPQGFSNFHCHQEHTGFSGLEVWRIENFKPVPVPTSSHGKFYMGDSYIILKTTALKNGSFRHDLHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYREVQGGETEKLLSYFRPCIMPQPGGVASGFNHVEVNQQDHVTRLYVCQGKHVVHVKEVPFVRSSLNHEDIFILDTANKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGKCEVAAVEDGKLMADTEAGEFWGLFGGFAPLPKKTSSEDNGDDKETVTKLLCFNQGTLEHISFESLEHELLETNKCYLLDCGAEMYVWMGRGTSLQVRKGASEAAEKLLIDENRKGSNVIKVIEGFETIMFKSKFNKWPPTPDLKLSSEDGRGKVAALLRSQGLDVKGLMKAAPEEEEPQPYIDCTGHLQVWRVNGDGKTLLSSSDQSKLYTGDCYIFQYTYTGDDKEECLIGTWFGKKSVEEDRTSAISLASKMFQAAKFQAAQARLYEGKEPIQFFVIFQSLQVFKGGLSSGYKNFIAVNGTDDDTYVEGGLALFRIQGSGSENMQAIQVDAVSSSLNSSYCYILHNGNTVFTWTGNLTTSLDNDLVERQLDVIKVKEIHHFTQDDLMAEDIFVLDCRTDLFVWVGQEVDAKLRSQAMDIEGSEPQFFTRFFTWDSAKSLMHGSSYQRKLAIVKGGATPSLDKPKRRTPAFSGRNAGQDKSQQRTRSMSHSPERHRIRGRSPAFTAIASAFENPSTRYLSTPPPAVKKLFPRSGGSELPKTSSKQSAINALTSAFEGPTKSTIPKSVKASPEAEKAIQEEGSTIGESENEPEDDENSTIYPYERLTTTSDDPAPDIDVTKREVYLSSVEFTEKFGMTRASFKNLPKWKQNRLKSDLQLF >ONIVA04G23430.1 pep chromosome:AWHD00000000:4:23544724:23546149:-1 gene:ONIVA04G23430 transcript:ONIVA04G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRKKVVFPARRAWAAVSTRVRARKPAFAQPPKLNALLKVNLPGGYNATVKWQRPTRDYQQCTIV >ONIVA04G23430.2 pep chromosome:AWHD00000000:4:23542281:23546149:-1 gene:ONIVA04G23430 transcript:ONIVA04G23430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRKKVVFPARRAWAAVSTRVRARKPGSGGSILKLHEDVQTCGYKDVQVMFEILKSELEESRAPTKQRKPPAWRPPSAWSSRSSSIAAAQ >ONIVA04G23420.1 pep chromosome:AWHD00000000:4:23537961:23553240:1 gene:ONIVA04G23420 transcript:ONIVA04G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAWGPLKRNRPHHPISSSCPITCLPYRHTRGPSKSWAHGPDRLGLMKKALRPLGPWKLQAEQNKQNDPLDKFQCMCSSRIWFRSEKKMQLGRVPGLRARTRVETAAHARRAGKTTFFRHHAITARTGAGILAAAASLDDRRTRARRSISHTSPAARARAGGCGAGSLAAADGVVLFRLYASPFTVHASALRT >ONIVA04G23410.1 pep chromosome:AWHD00000000:4:23534651:23536150:-1 gene:ONIVA04G23410 transcript:ONIVA04G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHMGRRTVGGLLFTKGGSILLFREDSARHKATNCCTRHGCSSKHLAGKDKQTHRAATAAKETSETPRRSQIFRKPSTRTPQGSTATDNISRNAASSYSENDNRPRETPGRDLIARLKERVNASRKRSLNRENSPSSPNGLSATSSSSSRTVSRPSHRAASRIRKADEGANAGAVNVRRDSSGDTRRNSDRDVDDFLLAEQAARDSTEGFISGFLARYRSNHQGLLSSLDDSIEDANGYWRFNMEGSEELENYFIFNDRYRGMRMDIDGMSYEELLALGDRIGTVSTGLSEDALSKCLDRSMYMATTSGTHEDYGEEVGKMVCKHYYHFSCIKNWLRQKNWCPICKSVALNTN >ONIVA04G23410.2 pep chromosome:AWHD00000000:4:23534651:23536150:-1 gene:ONIVA04G23410 transcript:ONIVA04G23410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHMGRRTVGGLLFTKGGSILLFREDSARHKATNCCTRHGCSSKHLAGKDKQTHRAATAAKETSETPRRSQIFRKPSTRTPQGSTATDNISRNAASSYSENDNRPRETPGRDLIARLKERVNASRKRSLNRENSPSSPNGLSATSSSSSRTVSRPSHRAASRIRKADEGANAGAVNVRRDSSGDTRRNSDRDVDDFLLAEQAARDSTEGFISGFLARYRSNHQGLLSSLDDSIEDANGYWRFNMEGSEELENYFIFNDRYRGMRMDIDGMSYEELLALGDRIGTVSTGLSEDALSKCLDRSMYMATTSGTHEDCERKCSICQAKLDCTDYYVRNLSVCILQEEYSDGEEVGKMVCKHYYHFSCIKNWLRQKNWCPICKSVALNTN >ONIVA04G23400.1 pep chromosome:AWHD00000000:4:23533855:23536414:1 gene:ONIVA04G23400 transcript:ONIVA04G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAADPPHNTASFRHRRAPRQVTGARGGATERPPRPASVAVSWRSSTFDFSAHPALASNHELFPVPFHVRTAQVQIVN >ONIVA04G23390.1 pep chromosome:AWHD00000000:4:23528097:23533411:1 gene:ONIVA04G23390 transcript:ONIVA04G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASWPPTPRSPRPQAPSVERAKGPSGLDKVVLREARGFSAEVHLYGGQVTSWKNDRGDELLFVSSKATFKPPKAIRGGIPICFPQFGTHGILEKHGFARNRFWAIDDNPPPFPTSTAAKAFVDLILKTSEEDLKIWPHSFEFRLRVALGPGGDLALTSRIRNTNTDGRPFSFTFAYHTYFSVSDISEVRIEGLETLDYIDCLRGKERSTEQGDAIVFESEVDKIYLDAPAKIAIIDHEKKRTFVLRKDGLPDAVLWNPWDKRTKNMQDFGDEEYKHMLCVEPAAVEKPITLKPGEEWKGKMDLSAVPSSYCSGQLDPNKEHLMSLILSGADAAS >ONIVA04G23390.2 pep chromosome:AWHD00000000:4:23528097:23533358:1 gene:ONIVA04G23390 transcript:ONIVA04G23390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATASWPPTPRSPRPQAPSVERAKGPSGLDKVVLREARGFSAEVHLYGGQVTSWKNDRGDELLFVSSKATFKPPKAIRGGIPICFPQFGTHGILEKHGFARNRFWAIDDNPPPFPTSTAAKAFVDLILKTSEEDLKIWPHSFEFRLRVALGPGGDLALTSRIRNTNTDGRPFSFTFAYHTYFSVSDISEVRIEGLETLDYIDCLRGKERSTEQGDAIVFESEKRTFVLRKDGLPDAVLWNPWDKRTKNMQDFGDEEYKHMLCVEPAAVEKPITLKPGEEWKGKMDLSAVPSSYCSGQLDPNKNSEDYRGACKINLIYGFTAVD >ONIVA04G23380.1 pep chromosome:AWHD00000000:4:23523129:23527232:1 gene:ONIVA04G23380 transcript:ONIVA04G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLLPPAAAAAAAPREASPPPPPPPAARALSPTAVAFAQIPSVAMAARAQAWLFAAALVIFHGSEYVLAAAFHGRRNVTATCLCVILGILAFLYGQ >ONIVA04G23370.1 pep chromosome:AWHD00000000:4:23510733:23517595:-1 gene:ONIVA04G23370 transcript:ONIVA04G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAAAAPPWEPSVGTVFRRLAGAGDSGRSPEASLPSPSSSGNGVATRISNLHGVKRKPFVARLTADIIQTFVRCNPAFKYSESLNPKIFLTNPSTPAHNDGLDNANWDLILYVNLELVNRTSNRRFVVKEMLGQGTFGQVVKCLDTETNDYVAVKVIKNQPAFYHQALVEVSLLRALNQTHDPDDQYNIVRMLDYLLFQNHLCIAFEMLGQNLYELLKRNSFRGLKMKFVRAFSKQILDAMVVMRGARIIHCDLKPENILLTPSVTTDAAVKVIDFGSACLEGKTVYSYIQSRYYRSPEVILGYPYNTAIDMWSFGCIVAELFLGLPLFPGASEYDVLQRMVKILGGQPPDYMLREAKNSAKFFKHVGSIYRGNEVHDGIGSSYRLLTEEEIEVRESEKPKVVKWYFPQLRLDQLICSYPWKNSELTETEKAERVILVDFLKGLLKFDPNERWSPLQASCHPFITGEPFTGPYEPIPETPKIPIGRAAAVEHNPGGGHWLAAGLSPQVGSVNRSLPPNNPYPPKIPYSYGSSYGSFGSHGSYVGNAGHASSYGSFGDGNAVNMYYSPLGPGFKQIESSPDVRLRPRFSHDRGIRLSPGSTGPMSLGASPSQFTPPNYQMQIPSNSTGMHGSGSPASGGIHGSPLGKTPSSYSKRRGLPMPPHEYPSQHGQGRHGDGVGFSHSDANVRGHPVYSQNSIPSSGYSSWRPQIGSGSFSLEASSSHGPSQTFHSHFAPRLQTLDNLSDSSAASTLDNAYWDPYFSDESLLHEDNSLSADLSSSLHLGDSANPTSGSARTANVQSHIFMGSNPLPAGESYRADHFFHASSRGSLGGNTHSAVPVNYGGYNPVNHPQQNPQIRHGQPYIQHRYNQATSTHNHPRGSHHNRQPAWPMADGTPWGGTSGHPFTTTGLPSSLPRKDYGSIF >ONIVA04G23360.1 pep chromosome:AWHD00000000:4:23507465:23509761:-1 gene:ONIVA04G23360 transcript:ONIVA04G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G31600) TAIR;Acc:AT1G31600] MAGSGYTRPPPPPPLGEGAAPAPSAALYVANCGPAVGLTHDDICAAFAAFGEVAGVHSADGSGVRVIVRFREPAAAEAAMSALHGRPCAGLAGRVLHIRYSVPAKPKAPVGGSLPVATSASELGVPGIYLVPDFVTAAEEQELLAAVDNRPWKSLAKRRVQHYGFEFLYETRNVDSKQFLGELPPFVSKIIDKIMSFPGANKCTSKLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRKYPKGSWRAPSMVSGTDKDSIEEPQCIRKAVFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVGGQVIKRNTRRVSFTFRKVRMGLCDCEYGQFCDSQSNVLVYL >ONIVA04G23350.1 pep chromosome:AWHD00000000:4:23504299:23506713:1 gene:ONIVA04G23350 transcript:ONIVA04G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22150) TAIR;Acc:AT3G22150] MSSPRCAVSLPPTAAATTATNGGGGGRRNAQPAAATAASQVKKLCKQGRLDHARRLLLEALPRPPPTLLCNALLIAYAARALPEEALRLYALLNHAARPPVRSDHYTYSAALTACARSRRLRLGRSVHAHMLRRARSLPDTAVLRNSLLNLYASSVRYREARVDVVRRLFDAMPKRNVVSWNTLFGWYVKTGRPQEALELFVRMLEDGFRPTPVSFVNIFPAAVADDPSWPFQLYGLLVKYGVEYINDLFVVSSAIDMFSEFGDVQSARRVFDRAAKKNTEVWNTMITGYVQNGQFSEAIDLFSKILGSREVPLDVVTFLSALTAASQSQDVSLGQQLHGYLIKGMHRTLPVILGNALVVMYSRCGNVQTAFDLFDRLPEKDIVTWNTMVTAFIQNDFDLEGLLLVYEMQKSGFAANSVTLTAVLSASSNTGDLQIGKQAHGYLIRHGIEGEGLESYLIDMYAKSGRVEMAQRVFDSFKNAKRDEVTWNAMIAGYTQSGQPEKAILVFRAMLEAGLEPTSVTLASVLPACDPVGGGVYSGKQIHCFAVRRCLDTNVFVGTALIDMYSKCGEITTAENVFGGMTGKSTVTYTTMISGLGQHGFGKKALALFNSMQEKGLKPDAVTFLSAISACNYSGLVDEGLALYRSMDSFGISATPQHHCCVADLLAKAGRVEEAYEFIEGLGEEGNFVAIWGSLLASCKAQGKQELAKLVTKKLLDIEKQYGHAGYSVLLSQVLAAESNWNSADSLRKEMRARGLKKEAGSSWIKVQNAALEHKFIEKDQNYVENEHMFSILDGDADSTDRL >ONIVA04G23340.1 pep chromosome:AWHD00000000:4:23499536:23503480:1 gene:ONIVA04G23340 transcript:ONIVA04G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5J5] MPRYCRHPALSSLPFIASSLPPSLFTAQHAATIYATPSLPAVVTGRASHSQPEAHLLSVIFSVFFSSTLAMAASSGEWLSRAAMVLVLGLVVASSAKAGDVDMVFLKSAVSAGAVCLDGSPPVYHFSPGSGSGANNWLVHMEGGGWCRNAQECSVRQGNFRGSSKFMRPLSFSGIIGGNQRNNPDFYNWNRIKVRYCDGSSFTGDVETVETSTNLHFRGARVWNAIIEDLLAKGMSKAQNALLSGCSAGGLAAILHCDQFRDLLPATANVKCFSDAGFFVDGKDITGNDFVRTFYKDVVNLHGSAKNLPSSCTSKMSPDLCFFPQNVVPTLRTPLFILNAAYDAWQIKNVLAPSAADKKKTWAKCKLDITACSSSQLTTLQNFRTDFLAALPKPEQSPANLSIFIDSCYAHCQSGSQDTWLAQGSPVVEKTQIGKAVGDWFHDREVSRRIDCPYPCNPTCKNRDDD >ONIVA04G23330.1 pep chromosome:AWHD00000000:4:23491414:23496091:-1 gene:ONIVA04G23330 transcript:ONIVA04G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPETDEKTTREGERRSSNWRSGDWRGTATAARGSRGSIGSGARGEAGGVATTCRDATAGGARLLPSSPHLTLPPSHPLRPPVRLAPRSLSLSLSPSRHRSIRPRGAPPMSSSVSSVRLPLRAAPPLYGRREWRADGARAPSPALVAVKPLSCRAPASYRSALLLHRRRRYALPPVAATATSKPVLKDPKKYQEWDSLTAKFAGAANVPFLLLQLPQIILNARNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKKETGAVIVQTLGVISTYVVIAQLAMAESMPFPQFVATSAVVAAGLLLNFLNYFGWLPGTLWLLWEDFITIGGLAVLPQVMWSTFVPFIPNSLLPGIISGSLAATAVVMARMGKLSKEGINFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFTMLLAMIGNGLMIPRAVHWFCLGIFPTGLGFDSISRESFLATTFGLLLWLGFTLWRDTIAHGNSSPVTSLKELLFGK >ONIVA04G23320.1 pep chromosome:AWHD00000000:4:23490791:23491344:-1 gene:ONIVA04G23320 transcript:ONIVA04G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGKNLFDIGIIEVKHSGRQPIVVVKLEPPSLLLAESGMCSYRNYQVASLCIWQRRNALLTGGKWMDGYEIGPGPIQLKAHEY >ONIVA04G23310.1 pep chromosome:AWHD00000000:4:23486252:23488512:-1 gene:ONIVA04G23310 transcript:ONIVA04G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGCGEGGGGGGGRDERVPQWGAQETRELIAARGEMERESAAAAAARRSAKTLWEAVSARLRERGYRRTAEQCKCKWKNLVNRYKGKETSDPENGRQCPFFDELHAVFTERARTMQQQLLESESGPSVKKKLKRPSGDLSSEDSDDEEDGGGDSGDEKPIRSRKRKTADKRQQSQRMAEKSRTSISSIHELLQDFLVQQQRMDIQWHEMMERRSQERIVFEQEWRQSMQKLEQERLMLEHTWMEREEQRRMREEARAEKRDALLTTLLNKVLQEDL >ONIVA04G23300.1 pep chromosome:AWHD00000000:4:23481432:23483697:-1 gene:ONIVA04G23300 transcript:ONIVA04G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:putrescine-binding periplasmic protein-related [Source:Projected from Arabidopsis thaliana (AT1G31410) TAIR;Acc:AT1G31410] MEGKPLLFPRPRIPVAALVPNHLPRRPRASGILLLLGDRRPRQLGVALRRRPRRHQAETAPPSRRGGGGCWGRWAARAAAGLVLHLAVCSVALLFPTYARACVGGALPPPPPAAAMAAEEDDDDEEWKVALQQWKSKTYSLSVPLRVVALRGSFPPAWIKDFVEAQGKRLKFSPEFRTNLDVLYSEMSQCLDKGQLKQKSAMAADVVSIGDSWLGYAIRKGLVEPVKNAEEQDWNRNGEVDPNGSIWAVPYRWGTVVIAYKKNKFKRHNLKPIQDWGDLWRPELAGKISMVDSPREVIGAVLKHLGSSYNTNDMESEITGGRETVLESLTQLQNQVQLFDSTNYLKSFGVGDVWVAVGWSSDVIPAAKRMSDVAVVVPKSGSSLWADLWAIPSATKFQTDRIGGRTRGPSPLINQWFDFCLQSARSLPFRQDVIPGASPLFLEKPVPEVPQERNKRKPKLETNLVRGAPPLEILEKCEFLEPLSEKALDDYQWLITRMQRPNRGLFGNLLQNISSVLNFKSRV >ONIVA04G23300.2 pep chromosome:AWHD00000000:4:23481432:23483697:-1 gene:ONIVA04G23300 transcript:ONIVA04G23300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:putrescine-binding periplasmic protein-related [Source:Projected from Arabidopsis thaliana (AT1G31410) TAIR;Acc:AT1G31410] MEGKPLLFPRPRIPVAALVPNHLPRRPRASGILLLLGDRRPRQLGVALRRRPRRHQAETAPPSRRGGGGCWGRWAARAAAGLVLHLAVCSVALLFPTYARACVGGALPPPPPAAAMAAEEDDDDEEWKVALQQWKSKTYSLSVPLRVVALRGSFPPAWIKDFVEAQGKRLKFSPEFRTNLDVLYSEMSQCLDKGQLKQKSAMAADVVSIGDSWLGYAIRKGLVEPVKNAEEQDWFQSLSNRWKIHLCRNRNGEVDPNGSIWAVPYRWGTVVIAYKKNKFKRHNLKPIQDWGDLWRPELAGKISMVDSPREVIGAVLKHLGSSYNTNDMESEITGGRETVLESLTQLQNQVQLFDSTNYLKSFGVGDVWVAVGWSSDVIPAAKRMSDVAVVVPKSGSSLWADLWAIPSATKFQTDRIGGRTRGPSPLINQWFDFCLQSARSLPFRQDVIPGASPLFLEKPVPEVPQERNKRKPKLETNLVRGAPPLEILEKCEFLEPLSEKALDDYQWLITRMQRPNRGLFGNLLQNISSVLNFKSRV >ONIVA04G23290.1 pep chromosome:AWHD00000000:4:23478435:23482673:1 gene:ONIVA04G23290 transcript:ONIVA04G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 4 [Source:Projected from Arabidopsis thaliana (AT4G09010) TAIR;Acc:AT4G09010] MAGASFLSTVRQPPAPPPPLLAPRGSASSVSSPARRHAHILVCCHATAQEEPVRFRRRDLIGGCLTTAIGLELVEGSTGFTGVATAADLIERRQRSEFQSSIKSTLATAITAKKELIPSLLTLALNDAMTYDKATKSGGPNGSVRLRPENSGLSAAVDLLVEAKKEIDSYSKGGPIAFADLIQFAAQSALKLTFVDAAIAKCGGNEEKGRTLYSAYGSNGQWGLFDKLFGRQDTQEPDPEGRVPDWSKASVQEMKDKFVAVGLGPRQLAVMSVFLGPDQAATEERLIADKDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLSSLGQKINYEAYTYPKQKIDLGKLKL >ONIVA04G23290.2 pep chromosome:AWHD00000000:4:23478435:23483687:1 gene:ONIVA04G23290 transcript:ONIVA04G23290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 4 [Source:Projected from Arabidopsis thaliana (AT4G09010) TAIR;Acc:AT4G09010] MAGASFLSTVRQPPAPPPPLLAPRGSASSVSSPARRHAHILVCCHATAQEEPVRFRRRDLIGGCLTTAIGLELVEGSTGFTGVATAADLIERRQRSEFQSSIKSTLATAITAKKELIPSLLTLALNDAMTYDKATKSGGPNGSVRLRPENSGLSAAVDLLVEAKKEIDSYSKGGPIAFADLIQFAAQSALKLTFVDAAIAKCGGNEEKGRTLYSAYGSNGQWGLFDKLFGRQDTQEPDPEGRVPDWSKASVQEMKDKFVAVGLGPRQLAVMSVFLGPDQAATEERLIADKDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLSSLGQKINYEAYTYPKQKIDLGKLKL >ONIVA04G23280.1 pep chromosome:AWHD00000000:4:23470146:23477890:1 gene:ONIVA04G23280 transcript:ONIVA04G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAARAVAAAGRPAQGVPLSRRLTTASSSSARPLRPRGGRAAGSVRCMARRPESSYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVVGRTMLILDDWWVVACSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRRAPRARIGDDAAEEEDGSIGVEFDLLYDTFYASPSDKMGEPEEMDEVEQVSSDLKSLKTLYGLLHRGPTDETLDETSRAFMTKMLDDITGQTLLRQAKERGRGLHRGASPPAAPRVGAEDGSSTRRLGRMDSGLSVNLVPPPLAPRHGSQRGGRGAAATTKLSSSTDAAVTIRSSIRPSSREFMERSPRRAGEAENDRKEVADAARPSRGELSSMERGSSSRRSLSREPSSAVQERGHGPHRGASPPAAMRVGAEGSSTRRIKRLDSRLSASMVSRRGTPRGGRGAATPKLSSSTDAAATTCSRIRLNSDLTERSLRRASEADEDESPRQRRGKGKEKEKADDDAASVSMGRPSRPPRRALNRINSGSTYSSSSPPEPTSSTSGYTSSWVPPRDKVPSWVPPPPRGNAPSWVPPPPQPRGNAPSWVPPPPQSRGIAPPEYGFQVSGVSRISCHLRLERRVERMRRFKEKLGTVFHHLHHHHHFGPSGSNEGAPPLLSRDVHDNGHHRPSPWKVLGGVLHRATRRGEKKTRSVPADRRGGVEHMLLHMWDKRRAMAKQRGDGGGGGVGRALFQMWGKRRAAAKRRGGGVGRALFQMWVKRRATAKRRRRACAVPNFQMWVQRRAMAKRRRRACAVPNVGQTAGDDGEAAWQRRRRRARAVPHVGETAGDRKRWNVGYGKPVEGQEAALVAAGEATAPFRTWKGIAEQYSAPLNAKESITCLNNLPLTADLWWLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERRRRVEPVPQRAQDRPRYSDRTRYVKRRENQAYQR >ONIVA04G23270.1 pep chromosome:AWHD00000000:4:23467862:23468720:-1 gene:ONIVA04G23270 transcript:ONIVA04G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5I6] MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETAEKIAQLKQQAASISPEVIQMLLRHVTTVKN >ONIVA04G23260.1 pep chromosome:AWHD00000000:4:23460925:23469427:1 gene:ONIVA04G23260 transcript:ONIVA04G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLKKKMDPSASNSISAPPSEEMGEPEKMDEMEQVSSDLKSLKTLYGLLHRGPTDETLDETSRAFMTKMLDDITRQTLLRQAKMLSPVLERKLSIQSDHRRTRDDAVPVPVPVPVVKPIASFSPSLHASEKSSRLRTQGATRRRDGRHGQDNYRLLARVASNRSARTTVPPPAPRHRQSPERRLDRLASRRSSRAVTPRRGTVDGGNPLSDMRCPRRGDDSSMERGGGSSSSSRSLSREPSSAVQERGRGLHRGASPPAAPRVGAEGGSSTRRLGRLDSGLSVNLVPPPLARRHGSQRGGRGAATTTKLSSSTDVAATIRSSIRPSSREFMERSPRRAGEAENDRKEGADAASVSKGRPSRGELSSMERGSSSGRSLSREPSSAVQERGRGLHRGASPPAAVRVGAEGSSTRRLERLDSGLSASMVSRRGTPRAGRGASTPKLSSSTDAAAMTRSRIRPNRDLTERSLRRAGEADEDESLRRCRGKGKGKEKGDDDAASVSMGRPSRPPRRALNRINSSSTADFEHFRFHLVVGATARQCIVVGATATARQSAVLGATATATGGQCAVVGATATATAGHCAAGVRVSSVRRVEGQSPPLFSRDVHDNGYHRPSPWKVLGGVLHRTTRRGEKNNEGAPPLFSRDVHGNGHHRPSPWKALGGVLHRATRRGEKKTRSVPADHRGGVGGGGGVGHALLHMWNKRRAMAKQRGGVGRALFQMAMTAKRRGGGGVAHALFHMWGKRRATASAGMCGTGSRWKAKKLHWWQRVRPRHRSGHGKAFRLREREKLPDLEDKLKAKAKR >ONIVA04G23250.1 pep chromosome:AWHD00000000:4:23459395:23461055:-1 gene:ONIVA04G23250 transcript:ONIVA04G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRCCFPQLNQSIRKIGKCAIKRNIPEIEFDADGSIFFFSGIIADCCRGKERSAFPASDSGASIQNQKQISSHKYAILDVDSTLRVLEFISDEERRMMAQFFCRMWDRAQGKLYVVRICDKVFTELSDKNTQLLDISSLHVATLMVYNSINKQLAGPHKDPPCMNVVAKQMEQYKKETPEGITLEEFRRLIMEWVRKDLRLVLANKAAVAIMAAPLLAVTTKSAGRQVPRVGPAVEKVPTPLLFTVFSVGLMFLQDIRAGKQ >ONIVA04G23240.1 pep chromosome:AWHD00000000:4:23456564:23457922:-1 gene:ONIVA04G23240 transcript:ONIVA04G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT1G64850) TAIR;Acc:AT1G64850] MGQAWASLQDKLQGRQWKEKQVRKITDKVFDRLTEDTKKREQEALTFEEVYIAVLCVYNDINKYLPGPHYDPPSKEKLKAMMNEYDINLDGLLDREEFAEFIRKLTAESLCAISFKLLVTLVAAPALALATKRATEGVPGVGRVVRKVPNSIYASVITLGVVMAQKSTEGVE >ONIVA04G23230.1 pep chromosome:AWHD00000000:4:23449631:23460148:1 gene:ONIVA04G23230 transcript:ONIVA04G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCPKISSGPNELTDQLGPVREAHAPRLYQTAHARDGTEEASASRPLWPEDQPCSSPLALTPRDPPTNGKSNQIIKLRQHGLGAPTGATHVVQSEHTLQRPGRRTRREREKEAKVSWNRSLASSARSGRGTKEKLLSGLVPAALLGELVVLCLLLGGGGATLLLAADRIDGCARRRCSGDGGQQPLAPDVGGGGGYAPAAPRDGGAGGAVPAGEGGAAAAQTAACPMATSAEETPEWDEMGGLEGKKIGDGSISLCECVGRIHCLPARMSCRNMRPTEKTVKSSGVGTFSTAGPTLGTCLPALLVVTASSGAAMIATAALFARTSRRNDRVIDTYE >ONIVA04G23220.1 pep chromosome:AWHD00000000:4:23438570:23442170:-1 gene:ONIVA04G23220 transcript:ONIVA04G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFASLSPAADHRPSIFPFCRSSPLSAVGEEAQQHMMGARWAAAAAAVARPPPFTAAQYEELEQQALIYKYLVAGVPVPADLLLPIRRGLDSLASRFYHHPVLGYGSYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVEAQLVAPHSQPPATAPAAAVTSTAFQNHSLYPAIANGGGANGGGGGGGGGGSAPGSFALGSNTQLHMDNAASYSTVAGAGNKDFRYSAYGVRPLADEHSPLITGAMDTSIDNSWRLLPSQTSTFSVSSYPMLGNLSELDQNTICSLPKVEREPLSFFGSDYVTVDSGKQENQTLRPFFDEWPKARDSWPDLADDNSLATFSATQLSISIPMATSDFSTTSSRSHNDE >ONIVA04G23210.1 pep chromosome:AWHD00000000:4:23432708:23436727:-1 gene:ONIVA04G23210 transcript:ONIVA04G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGAVVYEGGVVGASLVGLGWAGLWFLNRRLYKEYEERRALVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERALLVAALFLLVFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDEMDIKTLERQLMQSMETCIAKKKKIVLSKMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKSLDAEVQALEELSKQLFLEIYELRQAKSLQSVVFKEAGSVDPVTMTITIFLRHFDIGIDVTLLSQYISLIFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTSRQTDKHPID >ONIVA04G23200.1 pep chromosome:AWHD00000000:4:23416914:23421581:-1 gene:ONIVA04G23200 transcript:ONIVA04G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKFSGSNKHQHYKAATAGSPSFRSRSYRRPYPGFIDDSAFMTTTRPGGEAYMYTRAAPPPPVRAASTSMATWDMTRSKSNRGWQQDAGRSPGGTTWIQSIEEEAGADDVTVVEDAVPREWTAQVEPGVQITFVTLPGGGNDLKRIRFSREIFNKWEAQRWWGENYDRIVELYNVQTFSGRQQGVSTPTSSVDDSILRESSFCSRGGSTRESPVVTPATSSSLAKEPIARSMSCKAMAASASNYAAAAASTRAACYPSAAVPDPSDHVWAHHFNMLNSAAAGPSAAGGGVPSLYDPSRGTTSSRDEASVSISNASDMEATEWIEQDEPGVCLTIRELGDGTRELRRIRFSRERFGEDRAKEEPAPQVAAAEGAEPRRRRPGAAVRGALGVAAPFAASFLFSFVVGLAGLVLGGLSSTASVSMPSTCRILSTGVDLRSSKVCELGLLNYRAKHVFYPSSNRKFRCHDDYYWASVFEVEYTEYFSGQTSYAVAEAPKEALPLNCRPDFGVAWSTTLKFKVNESYSCRYTLGSSKADIYSDRLFNCTSEEPSTIEMLKRIFVLLSKSYMLEDFNSIGMLGYVMAGVVAGILSALLITILLRSLRGLVLAVVGSSYSKMIGLKELLLDYEIMERFL >ONIVA04G23190.1 pep chromosome:AWHD00000000:4:23413268:23414774:-1 gene:ONIVA04G23190 transcript:ONIVA04G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGATLLRHLGPRLFAAEPVYSGLAASARGVMPAAARIFPARMASTSSAGADVKEGAAEKLPEPAATAAAAATDPQNKKAVVSYWGIQPPKLVKEDGTEWKWLSFRPWDTYTSDTSIDVTKHHEPKGLPDKLAYWTVRSLAVPRDLFFQRRHASHALLLETQATLVKMLCC >ONIVA04G23180.1 pep chromosome:AWHD00000000:4:23405036:23411007:-1 gene:ONIVA04G23180 transcript:ONIVA04G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGSAILGHVGGVRLFTASATSPAAAAAAAAARPFLAGGEAVPGVWGLRLMSTSSVASTEAAAKAEAKKADAEKEVVVNSYWGIEQSKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPKTLLDKIAYWTVKSLRFPTDIFFQSQSAAFSFADMYTLHLQRPAVTLYPLGNPAPPPPRRWCRSPLCPLSVSAATAGSRLAYIPRASAAANPHPDPQDEPEPQPKGGFWARWMAESAEMRAKVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPAIDKGLKGIQEKLNLPSQMYAFALVVGSVAAHDK >ONIVA04G23180.2 pep chromosome:AWHD00000000:4:23405533:23411007:-1 gene:ONIVA04G23180 transcript:ONIVA04G23180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGSAILGHVGGVRLFTASATSPAAAAAAAAARPFLAGGEAVPGVWGLRLMSTSSVASTEAAAKAEAKKADAEKEVVVNSYWGIEQSKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPKTLLDKIAYWTVKSLRFPTDIFFQSQSAAFSFADMYTLHLQRPAVTLYPLGNPAPPPPRRWCRSPLCPLSVSAATAGSRLAYIPRASAAANPHPDPQDEPEPQPKGGFWARWMAESAEMRAKVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPAIDKGLKGIQEKLNLPSQMYAFALVVGSVAADSLEALR >ONIVA04G23180.3 pep chromosome:AWHD00000000:4:23404821:23411007:-1 gene:ONIVA04G23180 transcript:ONIVA04G23180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGSAILGHVGGVRLFTASATSPAAAAAAAAARPFLAGGEAVPGVWGLRLMSTSSVASTEAAAKAEAKKADAEKEVVVNSYWGIEQSKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPKTLLDKIAYWTVKSLRFPTDIFFQSQSAAFSFADMYTLHLQRPAVTLYPLGNPAPPPPRRWCRSPLCPLSVSAATAGSRLAYIPRASAAANPHPDPQDEPEPQPKGGFWARWMAESAEMRAKVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPAIDKGLKGIQEKLNLPSQMYAFALVVGSVAAFREISRLFAFAKCQPKQS >ONIVA04G23170.1 pep chromosome:AWHD00000000:4:23403919:23407403:1 gene:ONIVA04G23170 transcript:ONIVA04G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGCYGGVGSAAAAATRDPKPRLRWTPDLHERFVDAVTRLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGRQSKKSAGLELAVADSGEFTAEGISFSIGAPPRNPAGGNNTGEIPLADALKYQVEVQRKLQEQLEVQKKLQMRIEAQGRYLKEILEKAQKNISLDANGSANLSSTRSQITDINLALSGFMDNATQVQEENNELMKPISDDNLKVNNLGFQLYHLGSQESKDVKCTPKTEELLLLDLNIQGGYELSSRGMQGCELDLKINQQRR >ONIVA04G23170.2 pep chromosome:AWHD00000000:4:23401177:23407403:1 gene:ONIVA04G23170 transcript:ONIVA04G23170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKGLTLYHLKSHLQKYRLGRQSKKSAGLELAVADSGEFTAEGISFSIGAPPRNPAGGNNTGEIPLADALKYQVEVQRKLQEQLEVQKKLQMRIEAQGRYLKEILEKAQKNISLDANGSANLSSTRSQITDINLALSGFMDNATQVQEENNELMKPISDDNLKVNNLGFQLYHLGSQESKDVKCTPKTEELLLLDLNIQGGYELSSRGMQGCELDLKINQQRR >ONIVA04G23160.1 pep chromosome:AWHD00000000:4:23399133:23399720:1 gene:ONIVA04G23160 transcript:ONIVA04G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPSRAAVADDDDELSRLLSLAEADLDAGHLCAAPPASTRTPLDPPRRRGDGEERRAGAAGASGGHQGRRAGVAGAVGGRQEGTRRRGGPARRSAADEGGAQLRGRLSAPPAPGAAADDEDEWRGVVGAAAPYPPPPLGHRACPPTPPLRLLWPPFWCGGGSFGDEAGRFRSNGSSEQRGAGASSLAPEALSS >ONIVA04G23150.1 pep chromosome:AWHD00000000:4:23393400:23398976:1 gene:ONIVA04G23150 transcript:ONIVA04G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVKVFDQAVREIKREVNLKVLKVPELEQKDLRYLMQQAMNLGALMALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDAGINVRKKVETILGLINDKEKIKSVREKAASNRDKYVGLSSTGITYKSSSASFGSNYSSGERYGSFSGTREGDSYGDSYRDKEPVKSSPSYTGGQKSGSRIKKDVNRRNEDSPSSLKSNAKGNEDDFDDFDPRGSSSNGAANTNTSGVDLFAPNLLDDFIDVPAAATHETNDSADAQVDLFADADFQSAIPSTETAAGSDVQGNVDLFAEQPAFTAAFPPQTGFIPPPSSGTSEANTSTSKNTTPEPFDPFGAIPINSFDGSDPFGAFNSNVGSSSIPPPTQGSVGNISTPSQNPQAASDFGGFVSSTVETAAKDPFDFSSSNLGKTPLADPKADASDFGAFVSHSEEVAKDPFDLSLSTSSGRTNQAPLAAPKSDTKKENFQVKSGIWADSLSRGLIDLNITGPKKVNLADVGIVGGLDDGSDDKALPSWTMGAGGSSLGMSGIPSSTQSGGIESLANYNKYQFGFK >ONIVA04G23140.1 pep chromosome:AWHD00000000:4:23390066:23392935:1 gene:ONIVA04G23140 transcript:ONIVA04G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSSRYVAPRPPLQEAGSRPYMPSLSTASRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPRKDKENAAASPAKEAYRKLLAEKILNNRTRILSFRNKPPEPESILTGLRADAASIQAKPAKQRRYIPQSAERTLDAPELVDDYYLNLLDWGSSNVLSIALGNSVYLWDATNSSTSELVTVDEDNGPVTSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTMRGVHDSRVGSLAWNNNILTTGGIDGKIVNNDVRIRNHVVQTYQGHQQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRTQWLHRLEDHLAAVKALAWCPFQSNLLASGGGGSDRCIKFWNTHTGACLNSIDTGSQVCSLVWNKNERELLSSHGFAQNQLTLWKYPSMVKMAELTGHTSRVLFTAQSPDGLTVASAAADETLRFWNVFGAPEAPKTATKGSHTGMFNNSNHIHIR >ONIVA04G23130.1 pep chromosome:AWHD00000000:4:23386257:23389653:1 gene:ONIVA04G23130 transcript:ONIVA04G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLLERATRTAASCSSALLRSPLDSFSGRFPSFRSPLLRPAPAPSTVFPRGLSDTAFDAQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDSSLVANHSSVAEDIVMVNNGCLCCTVRGDLVKMLLKLVKQKGDKFDHIVIETTGLAKPGPVIETFCSDELVSRYVKLDGVVTMVDCKHAMKHLNEVKARWVVNEAVEQVAYADRIILNKTDLVDNAELEVLINKIKLINGMAQMRKTKFGDVDMDFVLGIGGYDLDRIESEVQLHERKETGHCHAGEEHGHQHHHGHVHDSAVSSVSIVSEGVLDLDEVNDWLERLVEEKGEDLYRLKGGVHSMLEGCPAKPWEPDEKRVNKLVLIGRNLDEAALRKAFKGCLL >ONIVA04G23120.1 pep chromosome:AWHD00000000:4:23371442:23385554:1 gene:ONIVA04G23120 transcript:ONIVA04G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDLGDIVLSWSLGEVMDDDLYRGKVEEIPRSFMSLDHYFKTYAAPLIEETRSDLCSCLELISEAPISKILSMEEAGKSGLYFMDVDFWDNGAGFSSEAYTARNGDIFILSSMKPESADDLNRYGVTYCLAMVTEVSMDDEFQKGFRVKVAKDVTLQEGFNRLRHAIFLNNIMTNLRIWKAICFDMGMNNNFTVIKSLFAPTYMDEHCLALCTEQLLSINLNQSQVDAIESVISAVQCRHLNLMKLIWGPPGTGKTKTVSALLWALACLKCRTLTCAPTNVAIVGVCTRFLHILRDFNKNANENFLPFSLGDVLLFGNKYNMDITEDLQDVFLDCRADELVECFSSLSGWRYRIASMASFFEDCGSQYDMLLEDDGRSDPICFLDFIKTQFDVTATALKKCIMNLLIHLPRKCFSHDSISNISMLFDSLEKVEALLHHENLTDDGAKRGFGFLSIQDISCAKSAFIIEKELNRAKLSCLQLLEDLERSLDLPTGRDRNWIQNYCMRNATLIFCTSSSSYRLHHMEIAPLDVLIVDEAAQVCKEAGFGISLFERLVVLDFEKHLLNIQYRMDPCISLFPNVQFYGRKILDGPNVMSSVYNKDYTNLPFGTYAFINISDGREEKEGTGNSWRNLVEVAVVLHLIQTIFKTWKRKGQMLSIGVISPYSSQVDSIESRLGKLYDTCDGFHVRVKSVDGFQGEEDDIIILSTVRSNVKGIVGFLADEQRTNVALTRARHCLWILGNANTLYSSGTVWKDLIADAQRRKCIIDATNDAAICKLVLKVKNELDELDDLLNADSAVFSNTRWKVVFSDEFKKSFAKLKYPQLRREVLQKLIKLGVGWRTTMKNLNFNVIDPFQLAKVYKVRDLYLVWSTDIEKSERYVQIIRIWDLLSHQNVARTVQRLENLFSMYTDEYLDKCRRVKTEGKLEVPVIWDAEHDIIRYRKVLEVDAQEDHDHVDISYAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEEQAIIRFPLTSFILGRSGTGKTTVLTMKLIQIWQQSLIASRGLNLDERNSTAQKDLSEVETFVKQVFITVSPKLCSAIRNQICKLTRYGSGDVSDQASILQMPDMVDDLEDFTDIPDSFIGLPCEHYPLTITFRKFLMMLDGTCKTSFFGTFCGELRSSTERGYSKSRALQAFIEMKEVTYEKFSASYWPHFNSELTKKLDASTVFTEIISHIKGGYQANKPFGGKLERLDYLKLSEKRFSSLNSQMRERVYDIFLDYESMKCTAREFDLSDFVNSLHRNLLSEGYNGDIVDCIYIDEVQDLTMTQIALLKYVCRNFEEGFVFAGDTAQTIARGIDFRFEDIRSLFYTYFLPEMEPCGQGINHGKQLRITDMFQLTQNFRTHCGILRLAHSIMSLLYYFFPSCVDKLNPEIGLVYGEAPVLLESGNDENAIMTIFGESKSDPGNLQGFGAEQVILVRDDATKKQVVDLVGKQALVLTIVECKGLEFQDVLLYNFFSSSPLRNKWRVVYDYMKGKNVIESSEEMSHSFFDKNKHYLLCSELKQLYVAITRTRQRLWICENADDNCRPMFDYWKKLCLVEVRVLDSSLIEAMQAGSSTEEDWRQRGTKLFAEGQYEMATMCFEKAGDAYREKLARAAGLLATADRVISTNFEMGQSSLQKASEIFESIGKHKKAATCYMKLGDYKKAGMVYMEKCGNSRLKDAGDCFELSACWSLAADAYFRAKCYAKCLSMCSKGKLFQKGLLLLQQLEEHLLENSSLVKVAAIRNTFLEDCALHYFECGDIKHMMPFVKSFSSMDHIRVFLNSKNLVDELLSVEMDMGNFVEAAGIAKHTGNVLLEADLLEKAGFLENATQLILLQLFVNSLWASHSTGWPPKRFAEKEQLLAKAKEMSRNVSESFYCLVCSEADALSDEHKSLASITYNLIEGNKCGNLLVELIASRLILDVHLQAEASGYCFESEPGSHDGRHCKDMLVLNQISLETLVYDWNYWSSIIVKVLRHLDHPKDAESNDLAAICEDLCAKYFGWRKDGDYDRVLKKLESIVQILPTSSCSLGRTILVIYEIAKFLKESEFGMPKNTIKYYSILCERRFFELVFLVWRDETPKSLLCILDSATTYNLLSDSICSYLGSRNNKMTHSQVGRITMLLLHAARLDDSLISQLVEYLGRGSEWATFFLSLKKYLDNGVSRDILLLDFKFALDCTYKANWRAEHYISPICYVDLIECLGLLATTHLVLNDYMFCTKSLLAKMMKCRTTKGYFETCMAPSTDIDLGYAGHSARCFIYLSVKDLLGSKRMIVEWVQNTSTPTSSYVPILLRLVITLYLVTVNQDDGDLYEVTAFLEKNHVFTDLPPEFSEKIRNALRMKSRTVKNFMRVFADALAAIGTRMVVMGDIKKAMAHKCQPDLNADMISSVDLSDAKKVMALLSTEKSSSLKQEPQLPEIKSVCNKICNATSGHFPLTSVGGSQKSTSNFNLSDVDHPLLEKFEAFQVNMPQKKNGNLISQILRSPLSWIEQRAPPVQMLELRHICKQVEEQHVREKRALSVKDLHSNREHGDGEKNIGKIVDVQGSQSNPDRASEWSGCSDDEQETGGSNVVQSTKEAPAAASSGPSSKNKPQKKKKSKKSKRSSRK >ONIVA04G23120.2 pep chromosome:AWHD00000000:4:23371442:23385554:1 gene:ONIVA04G23120 transcript:ONIVA04G23120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDLGDIVLSWSLGEVMDDDLYRGKVEEIPRSFMSLDHYFKTYAAPLIEETRSDLCSCLELISEAPISKILSMEEAGKSGLYFMDVDFWDNGAGFSSEAYTARNGDIFILSSMKPESADDLNRYGVTYCLAMVTEVSMDDEFQKGFRVKVAKDVTLQEGFNRLRHAIFLNNIMTNLRIWKAICFDMGMNNNFTVIKSLFAPTYMDEHCLALCTEQLLSINLNQSQVDAIESVISAVQCRHLNLMKLIWGPPGTGKTKTVSALLWALACLKCRTLTCAPTNVAIVGVCTRFLHILRDFNKNANENFLPFSLGDVLLFGNKYNMDITEDLQDVFLDCRADELVECFSSLSGWRYRIASMASFFEDCGSQYDMLLEDDGRSDPICFLDFIKTQFDVTATALKKCIMNLLIHLPRKCFSHDSISNISMLFDSLEKVEALLHHENLTDDGAKRGFGFLSIQDISCAKSAFIIEKELNRAKLSCLQLLEDLERSLDLPTGRDRNWIQNYCMRNATLIFCTSSSSYRLHHMEIAPLDVLIVDEAAQVCKEAGFGISLFERLVVLDFEKHLLNIQYRMDPCISLFPNVQFYGRKILDGPNVMSSVYNKDYTNLPFGTYAFINISDGREEKEGTGNSWRNLVEVAVVLHLIQTIFKTWKRKGQMLSIGVISPYSSQVDSIESRLGKLYDTCDGFHVRVKSVDGFQGEEDDIIILSTVRSNVKGIVGFLADEQRTNVALTRARHCLWILGNANTLYSSGTVWKDLIADAQRRKCIIDATNDAAICKLVLKVKNELDELDDLLNADSAVFSNTRWKVVFSDEFKKSFAKLKYPQLRREVLQKLIKLGVGWRTTMKNLNFNVIDPFQLAKVYKVRDLYLVWSTDIEKSERYVQIIRIWDLLSHQNVARTVQRLENLFSMYTDEYLDKCRRVKTEGKLEVPVIWDAEHDIIRYRKVLEVDAQEDHDHVDISYAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEEQAIIRFPLTSFILGRSGTGKTTVLTMKLIQIWQQSLIASRGLNLDERNSTAQKDLSEVETFVKQVFITVSPKLCSAIRNQICKLTRYGSGDVSDQASILQMPDMVDDLEDFTDIPDSFIGLPCEHYPLTITFRKFLMMLDGTCKTSFFGTFCGELRSSTERGYSKSRALQAFIEMKEVTYEKFSASYWPHFNSELTKKLDASTVFTEIISHIKGGYQANKPFGGKLERLDYLKLSEKRFSSLNSQMRERVYDIFLDYESMKCTAREFDLSDFVNSLHRNLLSEGYNGDIVDCIYIDEVQDLTMTQIALLKYVCRNFEEGFVFAGDTAQTIARGIDFRFEDIRSLFYTYFLPEMEPCGQGINHGKQLRITDMFQLTQNFRTHCGILRLAHSIMSLLYYFFPSCVDKLNPEIGLVYGEAPVLLESGNDENAIMTIFGESKSDPGNLQGFGAEQVILVRDDATKKQVVDLVGKQALVLTIVECKGLEFQDVLLYNFFSSSPLRNKWRVVYDYMKGKNVIESSEEMSHSFFDKNKHYLLCSELKQLYVAITRTRQRLWICENADDNCRPMFDYWKKLCLVEVRVLDSSLIEAMQAGSSTEEDWRQRGTKLFAEGQYEMATMCFEKAGDAYREKLARAAGLLATADRVISTNFEMGQSSLQKASEIFESIGKHKKAATCYMKLGDYKKAGMVYMEKCGNSRLKDAGDCFELSACWSLAADAYFRAKCYAKCLSMCSKGKLFQKGLLLLQQLEEHLLENSSLVKVAAIRNTFLEDCALHYFECGDIKHMMPFVKSFSSMDHIRVFLNSKNLVDELLSVEMDMGNFVEAAGIAKHTGNVLLEADLLEKAGFLENATQLILLQLFVNSLWASHSTGWPPKRFAEKEQLLAKAKEMSRNVSESFYCLVCSEADALSDEHKSLASITYNLIEGNKCGNLLVELIASRLILDVHLQAEASGYCFESEPGSHDGRHCKDMLVLNQISLETLVYDWNYWSSIIVKVLRHLDHPKDAESNDLAAICEDLCAKYFGWRKDGDYDRYVVLNTDSSWLSNTGRNYLQQDGRRCWLDTLHCHSCAKDFWINELYSVGLSVLKKLESIVQILPTSSCSLGRTILVIYEIAKFLKESEFGMPKNTIKYYSILCERRFFELVFLVWRDETPKSLLCILDSATTYNLLSDSICSYLGSRNNKMTHSQVGRITMLLLHAARLDDSLISQLVEYLGRGSEWATFFLSLKKYLDNGVSRDILLLDFKFALDCTYKANWRAEHYISPICYVDLIECLGLLATTHLVLNDYMFCTKSLLAKMMKCRTTKGYFETCMAPSTDIDLGYAGHSARCFIYLSVKDLLGSKRMIVEWVQNTSTPTSSYVPILLRLVITLYLVTVNQDDGDLYEVTAFLEKNHVFTDLPPEFSEKIRNALRMKSRTVKNFMRVFADALAAIGTRMVVMGDIKKAMAHKCQPDLNADMISSVDLSDAKKVMALLSTEKSSSLKQEPQLPEIKSVCNKICNATSGHFPLTSVGGSQKSTSNFNLSDVDHPLLEKFEAFQVNMPQKKNGNLISQILRSPLSWIEQRAPPVQMLELRHICKQVEEQHVREKRALSVKDLHSNREHGDGEKNIGKIVDVQGSQSNPDRASEWSGCSDDEQETGGSNVVQSTKEAPAAASSGPSSKNKPQKKKKSKKSKRSSRK >ONIVA04G23110.1 pep chromosome:AWHD00000000:4:23362972:23368998:1 gene:ONIVA04G23110 transcript:ONIVA04G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid scramblase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5G6] MKRRRENLLTSPASAVPSDEVAAPAALPRRGGWEGHGEDHWQPCQGIQWVRERPRGGDGAVVPREWLRKLWTEELRRQRDAARRWGRRAVATTDGGGADSFGDFARAPSRSYQHDDRDLTPVEAKLKSLLSRANLLIARDIEWANIVLAFEQPVGFIREKSNVIFRQLFRSRRPFVAEITDAMGNEIFTVRRPFWLINSSIYAEVNGKEVGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFTLTDEDDNVLAQIDRNWRGIGFELFTDAGQYAIRFGDAGLNRKFGLASDIDELHVVRQLSLPERAVALALAVSLDCDYFSKRGGWGLPFLIATE >ONIVA04G23100.1 pep chromosome:AWHD00000000:4:23358462:23360772:-1 gene:ONIVA04G23100 transcript:ONIVA04G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGAGYFEDSHDQSLMAGSLIHDSNQAPASSENTSIDLQKFKVHPYSTEALSNTANLAEAARAINHLQHQLEIDLEQEVPPVETANWDPAICTIPDHIINHQFSEDPQNILVEQQIQQYDSALYPNGVYTPAPDLLNLMQCTMAPAFPATTSVFGDTTLNGTNYLDLNGELTGVAAVPDSGSGLMFASDSALQLGYHGTQSHLIKDICHSLPQNYGLFPSEDERDVIIGVGSGDLFQEIDDRQFDSVLECRRGKGEFGKGKGKANFATERERREQLNVKFRTLRMLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEQKRHGNNRRKVLKLDQEAAADGESSSMRPVRDDQDNQLHGAIRSSWVQRRSKECHVDVRIVDDEVNIKLTEKKKANSLLHAAKVLDEFQLELIHVVGGIIGDHHIFMFNTKVSEGSAVYACAVAKRLLQAVDVQHQALDIFN >ONIVA04G23090.1 pep chromosome:AWHD00000000:4:23348868:23353777:-1 gene:ONIVA04G23090 transcript:ONIVA04G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGGGGGGGGGGGGGEGEGCGEAETGITDCSPGIIVWVRRRNGSWWPGRILGPDELPASQVMSPKTRTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIEKALTSRCTPVKRREKYARREDAILHALELERKQLASKYQNQGFRSDDISSVPFADMRREFDNSSTEYYSRNNTQKPQFPLGNSASQHCKDLSSTRYKSKKSKKRKGDSSNLPGKTKGLEQNFPYADSKRDFSESLALEGAENTLSNRNNGSHLGHMQAGPNLGSDGKNTPLTKKISEESVFEESLVKKHDRCRPLAQVVQSSLKLPHSFQHDDDPGPVLIEEGNDPLTTIYQAQQGWSTYMPNDSGETNNHGDIPPTQITSTGAHFETEGYLKQPDSFSAEQKISEFAEKQRSDSCERECSETETEDDAELLQRYAKRQSPGSDACDPYSIQASKKSRHVDGDVADDMVAFSTGIPQQNVLKDEDGSSELGVSQWHMKGKRNQRSALKRPMGKTDGNISLDRSNSSLKGSLYRVNESNPNMESTGASSHQYFGRSFYQTQELDYDYDDADLTNKARGHAEVRYYGKDYPPSLTPTRDLEQSYTSFNNTETYCKTSPPNKNGDQMSSLGRKACLEGASLYRQNYSSQLGYMGPMLFNVDLNVQAGYQGEHVPLVSLMSRLNGKAIVGHPIQIEILEDGSTDHLVLASDDFLEHSTSASPAWRTGRRTAMPRIPRSNSTRVTLDDGDDEGLWDMNPPFSRSSTPFNQQFRLSKRSNTSFRSPLSHRSQKKPSNSKKGSSSSQKVRALSSISIGKRHHREGRQAKLHNILGDLIKPEGTIPLVTCVPAKVVFSRIMEAVGRPSLSIAHRARVASPAIRDAQR >ONIVA04G23080.1 pep chromosome:AWHD00000000:4:23344022:23346393:-1 gene:ONIVA04G23080 transcript:ONIVA04G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMMLYLLLLPLLLLTCIFASYVHSMESSTSKCSNIPITYPFGILGGNPAPAQGFEITCASSGPMVRINNIMFGILNISLLDGFVSILASATSQQCKRNSSFSLEGTNFTFSDTRNKFTALGCDMVAMLLNGSSGYSGGCASFCSTKSNIIDGMCSGVACCQAPVPKGLKKLELEFTNITGQLSRPKEVNNTPTCGEAFIVEQNSYVFSSVDLSNTNRNNPQYRPVVLEWSIDGGYCEEANRSMSYACKENSYCYNSSNGIGYRCNCSLGFQGNPYLQGPDGCQVTGLALLLLLLVLIFWTHWLVKKRKLAKIRQRYFMQNGGMLLKQKMFSQGAPLRIFTSSELEKATNSFSDDNIIGRGGFGIVYKGILSNQMVVAIKKAQRVDQNQMEQFINELVILSQVNHKNVVQLLGCCLETELPLLVYEFITNGALFSHLQNTSVLISWEDRLRIAVETASALAYLHLATKEPIIHRDVKSSNILLDENFTAKVSDFGASRPIPHNQTHVTTLVQGTLGYMDPEYFQTSQLTEKSDVYSFGVVLIELLTRQKPISDGRTDDVRNLACHFSMLFYQNQLLEIVDSQVAEEAGTKHVKTVAQLALRCLRSRGEERPRMIEVAIELEALRRLMKQHLVLQTEEDPLLCESGQHADVNIEASSEFEP >ONIVA04G23070.1 pep chromosome:AWHD00000000:4:23338584:23341218:-1 gene:ONIVA04G23070 transcript:ONIVA04G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTKGRKTCCAPPIGVYEDAQSNDLILSFAAGLVSRSDKQAHMVSSMVLAQLLLLCLLSLSSSSSSQISSFKCPNSSVDIPFPFHIATNSSLTSTPGFAISCRQTGPMILLGGNYSVLSISLLEGYVRVTGQTVYSSQCHNNSQGIIDLTATNYMFSHTQNKFTAVGCDAMAMIRNSSDVVGNTNSTVMSRYSGGCVSFCASNGSIISGECSGVGCCQSSVPKGLNKLDLEFTSIRDQLMPPTSVVGSGSTRCSKAFIAEQDSYVFSRHDLYKDLGNLPMVLDWYIQGGYCKEANRSRETYMCKENSYCYEVEDGAGYRCNCSGGYTGNPYIGCVGGSLGLMAVLIVLGFWTYWIVKKRRLAKQKQRYFLQNGGLLLQQQIFTHQAPARIFTTSELEDATNNFSDDRIVGRGGYGTVYKGILSDQTIVAIKKSKLVDQSQMEQFINELIVLSQIDHKNVVKILGCCLETEVPLLVYEFISNGALFHHLHNTNFVPISWEHRLRIATETASALANLHLARKVPIIHRDVKSANILIDENYTAKVSDFGASRLVPSNQTHVTTLVQGTLGYLDPEYFYTSQLTDKSDVYSFGVVLVELLTRQKPISYHRQEEGINLASHFTALAQQNRLQEIVDCVVVKEAGMRHVNVVSHLILKCLKLKGEERPRMVEVAIELEALRRLMKQHLSLKSEKALRELMEQQSAEDCQEMQLLQEESGQEKMSNIEPLKLYHRDSASDKCMESSPLLSMDLPW >ONIVA04G23060.1 pep chromosome:AWHD00000000:4:23332524:23337506:1 gene:ONIVA04G23060 transcript:ONIVA04G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHREVQSIGDMVCRRASIPAATEAAAVASWPLGPLALALALAILSAMPHMNLTCKNVPFPFGERSSAAYVPGFMVTCGPNNETMLRIGEHIYEIDKVSVPESSITIRAGPIKQVCYDRSGRPKPQITGVVPGPTSLEATPFTFSKRNILVATGCNYRFIANFTSSSGGGDGTPTTTSCGTATCGVSSGTIFNGSCAEININECRDMNNNNCSRDACKNTDGGFTCSCPKNTVGDGYRAGTGCSIDSLPPSDVCAHPERNPCMYPEYCKDEQGVTSCACPEGRNGDGRKKGSGCKRHFPLDTALDCLRIAEETAGALAYLHSTSSTPIIHRDIKSSNILLDENFVAKIADFGASRSVPSNHTHVTTLIQGTIGYLDPEYFQTSQLTEKSDVYSFGVVLAELLTRQKPISVGRPEESCNLAMYIVILLNERRLLQEIEPQILVEAGEEQIYAVAQLSARCLNVKGEERPVMREVASVLHGLRESFDEEQIIRSDESIQIINEQESVHSEARPISSLQSSDETSTTQYSLPSEILSSSHLAR >ONIVA04G23060.2 pep chromosome:AWHD00000000:4:23332524:23337506:1 gene:ONIVA04G23060 transcript:ONIVA04G23060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHREVQSIGDMVCRRASIPAATEAAAVASWPLGPLALALALAILSAMPHVALSQSLTLPPLPSPQMNLTCKNVPFPFGERSSAAYVPGFMVTCGPNNETMLRIGEHIYEIDKVSVPESSITIRAGPIKQVCYDRSGRPKPQITGVVPGPTSLEATPFTFSKRNILVATGCNYRFIANFTSSSGGGDGTPTTTSCGTATCGVSSGTIFNGSCAEININECRDMNNNNCSRDACKNTDGGFTCSCPKNTVGDGYRAGTGCSIDSLPPSDVCAHPERNPCMYPEYCKDEQGVTSCACPEGRNGDGRKKGSGCKRHFPLDTALDCLRIAEETAGALAYLHSTSSTPIIHRDIKSSNILLDENFVAKIADFGASRSVPSNHTHVTTLIQGTIGYLDPEYFQTSQLTEKSDVYSFGVVLAELLTRQKPISVGRPEESCNLAMYIVILLNERRLLQEIEPQILVEAGEEQIYAVAQLSARCLNVKGEERPVMREVASVLHGLRESFDEEQIIRSDESIQIINEQESVHSEARPISSLQSSDETSTTQYSLPSEILSSSHLAR >ONIVA04G23060.3 pep chromosome:AWHD00000000:4:23332524:23337506:1 gene:ONIVA04G23060 transcript:ONIVA04G23060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHHREVQSIGDMVCRRASIPAATEAAAVASWPLGPLALALALAILSAMPHVALSQSLTLPPLPSPQMNLTCKNVPFPFGERSSAAYVPGFMVTCGPNNETMLRIGEHIYEIDKVSVPESSITIRAGPIKQVCYDRSGRPKPQITGVVPGPTSLEATPFTFSKRNILVATGCNYRFIANFTSSSGGGDGTPTTTSCGTATCGVSSGTIFNGSCAEININECRDMNNNNCSRDACKNTDGGFTCSCPKNTVGDGYRAGTGCSIDSLPPSGNMSHVCAHPERNPCMYPEYCKDEQGVTSCACPEGRNGDGRKKGSGCKRHFPLDTALAEETAGALAYLHSTSSTPIIHRDIKSSNILLDENFVAKIADFGASRSVPSNHTHVTTLIQGTIGYLDPEYFQTSQLTEKSDVYSFGVVLAELLTRQKPISVGRPEESCNLAMYIVILLNERRLLQEIEPQILVEAGEEQIYAVAQLSARCLNVKGEERPVMREVASVLHGLRESFDEEQIIRSDESIQIINEQESVHSEARPISSLQSSDETSTTQYSLPSEILSSSHLAR >ONIVA04G23060.4 pep chromosome:AWHD00000000:4:23332524:23337506:1 gene:ONIVA04G23060 transcript:ONIVA04G23060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHHREVQSIGDMVCRRASIPAATEAAAVASWPLGPLALALALAILSAMPHVALSQSLTLPPLPSPQMNLTCKNVPFPFGERSSAAYVPGFMVTCGPNNETMLRIGEHIYEIDKVSVPESSITIRAGPIKQVCYDRSGRPKPQITGVVPGPTSLEATPFTFSKRNILVATGCNYRFIANFTSSSGGGDGTPTTTSCGTATCGVSSGTIFNGSCAEININECRDMNNNNCSRDACKNTDGGFTCSCPKNTVGDGYRAGTGCSIDSLPPSDVCAHPERNPCMYPEYCKDEQGVTSCACPEGRNGDGRKKGSGCKRHFPLDTALAEETAGALAYLHSTSSTPIIHRDIKSSNILLDENFVAKIADFGASRSVPSNHTHVTTLIQGTIGYLDPEYFQTSQLTEKSDVYSFGVVLAELLTRQKPISVGRPEESCNLAMYIVILLNERRLLQEIEPQILVEAGEEQIYAVAQLSARCLNVKGEERPVMREVASVLHGLRESFDEEQIIRSDESIQIINEQESVHSEARPISSLQSSDETSTTQYSLPSEILSSSHLAR >ONIVA04G23050.1 pep chromosome:AWHD00000000:4:23319882:23323682:-1 gene:ONIVA04G23050 transcript:ONIVA04G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSTSPRGLPLITSNGAAKQSNRATLIGIVNCGAPNHPVQDHPSLTQRHQNVFQMHWLAVTAAGSEDVLFLVEMHWLAVTADFVDLLFLRMKAALQVPATLADVLLNSKVTSCASSNGMP >ONIVA04G23040.1 pep chromosome:AWHD00000000:4:23319262:23323290:1 gene:ONIVA04G23040 transcript:ONIVA04G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRRASTPAAAAAADPAVAAWPLTPLVLALAVFSAMPHVTLSQGRENWWPPLEGNSQLTTTKNLSCDEVPYPFGLKGKSAPGFRVTCRKNDSAAMLRIGHQKFRIDQVSSQEGFVVIFAGPIYRLCYDRNGRPVVGSTGIGPTNLTDTPFFFSKRNTLVATGCYSNFTATFTSSLHHHGWSTNGSCTTNGRVNSDGLCPGTACCDAYGMPLDDAQEVTFEFNKTSASVAGTCSAAFILYQKEQIYKVSGNSKPMHLHQEEHIFRAGGGDSKPVHLEDVLVPLGERRMVLDWVIGRATCKQARNNSFKTQYRCNNESSCMDRFVGEGYVCRCKAGRPYPQPTARDIKSSNILLDENLMAKISDFGASRSVPFDQTHVTTLIQGTIGYLDPEYFQSSKLTEKSDVYSFGVVLAELLTRQKPISASRPEESCNLAMYIVNLFNERRLLQEIEPHILAEAGEEQIHAVAQLSVRCLNLKGEERPVMREVASVLHGLRESFDEEQIIRRSNESIQITNGQDSVHSEARPIPSLQSSGEITTEYSLPAEILPSSYLAR >ONIVA04G23030.1 pep chromosome:AWHD00000000:4:23307402:23310088:-1 gene:ONIVA04G23030 transcript:ONIVA04G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:floral meristem identity control protein LEAFY (LFY) [Source:Projected from Arabidopsis thaliana (AT5G61850) TAIR;Acc:AT5G61850] MDPNDAFSAAHPFRWDLGPPAPAPVPPPPPPPPPPPPPANVPRELEELVAGYGVRMSTVARISELGFTASTLLAMTERELDDMMAALAGLFRWDLLLGERFGLRAALRAERGRLMSLGGRHHGHQSGSTVDGASQEVLSEEHDMAGSGGMGDDDNGRRMVTGKKQAKKGSAARKGKKARRKKVDDLRLDMQEDEMDCCDEDGGGGSESTESSAGGGGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRLFLLQVQSMAKLHGHKSPTKVTNQVFRYAKKVGASYINKPKMRHYVHCYALHCLDEEASDALRRAYKARGENVGAWRQACYAPLVDISARHGFDIDAVFAAHPRLAIWYVPTRLRQLCHQARSSHAAAAAALPPPLF >ONIVA04G23020.1 pep chromosome:AWHD00000000:4:23304893:23306784:1 gene:ONIVA04G23020 transcript:ONIVA04G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARIMRNRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGEVEIPSA >ONIVA04G23010.1 pep chromosome:AWHD00000000:4:23301791:23302291:-1 gene:ONIVA04G23010 transcript:ONIVA04G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPETWHGEGVSYDTCCRDASSSASGAVPMCIIFKASTVRGAHARKGSYCPCRSMSHCPVLILILGCHHVFSNAPPVRIPNDQSVAADSLTPPIGRNLHWQANAFALFGLFLVVVDMGGDKFERCLKILEFWKLRSMNIWTISEQYCLLNDQ >ONIVA04G23000.1 pep chromosome:AWHD00000000:4:23296884:23298511:-1 gene:ONIVA04G23000 transcript:ONIVA04G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASSKGRVIAGSFVARVLAGKAASPRRFVSASAYDKNVEEQVRPAVVPDDVIGSVGSPDKYWGPHPTTGVFGPAAVDAKAAAAGGAAKAGANGGASVLDQKVWFRPLEDVEKPPVA >ONIVA04G22990.1 pep chromosome:AWHD00000000:4:23292949:23298952:1 gene:ONIVA04G22990 transcript:ONIVA04G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHALPRRDLQALCKRNGVRANMTNAAMADALAKLATVDGIEEFVKQAVALPPAPATKPAVKAVAEEDPREKKGSPLPRGRRVTVSSPEVVNLDDSDEEAPGQSKDAPLPRGRRGTVRSSKLIRPDDGEEEGKEDENRGENAPVHGEGRRGASRRARAEPVVAPTTRRRAAPTSKIETGDVAVEAPPAPTTRRRPQTSTEAAPAPTTRRRAQSTVAASAEEKVPRGRRTTRRAAAKKPDMLEEETREPLAPEQNSAHEEPVQEEQGIEVEVPAETVEAVAQECLPDPDAVVEEKPVQEEEGIEVEAPAETVEAVAQECSPDAVVEDEQAAVEAEQTISQDDSPIFGIVTGTIVGASEEAPVCNSECPKNMATEESSDTVSEEKEAVPADEVPLVTVTCGEATRDAELPTNIGNAKEEDDDEMEAAHEAGFAVEVDGSETVDELIGTLTEHADNAIQLNFSAELSWADEEPGVFATDDLQQSSATVKTMVADSEANEEEDALEAENEVGFAVEEKEVRTDDEPHGTLSNDADGAIQLGEDALEAANEAGCAVEEKGVETVDEPHDTETNAAENAQEEGVVASEDLLQISETEQDEFNSDICHAVEHNERDNVESVSSEREDVSMENAFTGDLTLKFDGPGDLGDRNTSLLEEGARTLPLSTETPNNVTDALVTAAEEMVSETMGISNKKSTELAAMEDGNEVKVVEKQKEDPVELVKLSLRTLRAKLKEKLTKHKRKEAKRVALGRLDENVC >ONIVA04G22980.1 pep chromosome:AWHD00000000:4:23287343:23291420:1 gene:ONIVA04G22980 transcript:ONIVA04G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEIIVAQPSPRLYKSLTFRRIATKERTVPQDEEMKKARSKAPTVVLGFECLESTAFNGIATNLVVYLETLLHGSNLASASNVTTWFGTSYLTPVFGAIIADTFWGNYNTILVSLVFYLLGMMLVTFSAFLPTTALCTVVGSSCQQPLLGAQTIAFLGLYLVAFGSGGVRAALLPFGADQFDDDNTADRERKMSFFSWFYICVDFGMIVSGLFIVWIQQNVSWGLGFGIATACIALAFGGFVLATPMYKRRMPTGTPIKSLAQVVVAACRKARLRVPADTTLLYEVHDKINQSKIAHTDQFGFLDKAAVVMESDLEEESNDVAADASWRICTVTQVEELKILLRLLPIWATSIVLSAAYAQLNTTFVQQGAAMNMRIMSFTIPPASMVSFEVLCVLAWVLMYSSVIVPMLNSLSLANGEPSQLQRMGAGRLLMAFAMAVAALVEMMRLDAAGRGESLSIAWQMPQYFALAGAEVFCYIAQLEFFYSEAPESMKSMCTSLALLTVALGSYMSSFIYAVVNAFTAVDGRPGWISDNLNEGHMDYFFWVMAALCTLNFVVYSAFARTYRVKLVVS >ONIVA04G22970.1 pep chromosome:AWHD00000000:4:23281547:23285377:-1 gene:ONIVA04G22970 transcript:ONIVA04G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQARKEAAAVAQDMLKLDAARMRKPAASQSRKGAAAPMRKAQGGARAASMASGASGGEHPGGDGEGSGGEFFASGGDGGDEDTVLEEIDPAEVYTLEDFLAEDEIMESFRRKIGDKLKAKIEGSSSGPPRRRQRQSGPRRYIPRPREKGHEDLVANYFSANPIYTDEQFRRRNSAIWAASTHRQLKNDLVEHMWQRYGPRGG >ONIVA04G22960.1 pep chromosome:AWHD00000000:4:23255070:23266847:-1 gene:ONIVA04G22960 transcript:ONIVA04G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLPRWAPTPSPTRPLRTPGTPSAGAGAASSSSSSSSSWRSCVPLLTTFSSVFGSSAARRGGGLSGGEPPPALPDAGRHDIVGGIAPLDGVEAAVERRRKDEMMMSATTACSRGVFLTWDDLSVTAPAAAAGCGGHGRRAVILDGLSGYARPGEVLALMGPSGCGKTTLLDALAGRLSPNMKMRGDILINGLREKLSFGTSAYVTQENVLMATLTVTEAVHYSAQLQLPDSMPAEDKRARADRAIRQMGLGAVAGVRIGGRVCKGISGGQRKRVSICVELLASPALLFLDEPTSGLDSAASYHVMSRIAGLARRDGMTVVAAVHQPSTEMFELFHGLCLLATGRTVYFGAASDAIEFFESNGFPCPLRRNPSDHFLRMINKDFEESEEGSTIISPRAAEVIQKLMGSFKSCGTLRTEKEACAMINQGASPIPQRQATFLTKTYVLTKRSIVNMHRDAGYYWLRFVIYIAICLSIGTIFFNVGSNFASIQARASMLMFTSTLLTMMAIGGFPSFVEDMKIFRKERMSGHYGATEFVISNTLSSIPYLGLISIIPGAIAYYLTGLQRGIDHFIYFAAVLWACTMLVEGLMMIVAAVVPDFLLGIITGSGVQGVLMLNAGFFRLPSDLPKPVWKYPTYFISYHKYATQGLYKNELLGLVFEDIGGGGLTISGEYILKNYLQVELSYSKWVDLAILVAMIIIYRISEIVKPRMLHFLCASKV >ONIVA04G22960.2 pep chromosome:AWHD00000000:4:23255070:23266847:-1 gene:ONIVA04G22960 transcript:ONIVA04G22960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLPRWAPTPSPTRPLRTPGTPSAGAGAASSSSSSSSSWRSCVPLLTTFSSVFGSSAARRGGGLSGGEPPPALPDAGRHDIVGGIAPLDGVEAAVERRRKDEMMMSATTACSRGVFLTWDDLSVTAPAAAAGCGGHGRRAVILDGLSGYARPGEVLALMGPSGCGKTTLLDALAGRLSPNMKMRGDILINGLREKLSFGTSAYVTQENVLMATLTVTEAVHYSAQLQLPDSMPAEDKRARADRAIRQMGLGAVAGVRIGGRVCKGISGGQRKRVSICVELLASPALLFLDEPTSGLDSAASYHVMSRIAGLARRDGMTVVAAVHQPSTEMFELFHGLCLLATGRTVYFGAASDAIEFFESNGFPCPLRRNPSDHFLRMINKDFEGASPIPQRQATFLTKTYVLTKRSIVNMHRDAGYYWLRFVIYIAICLSIGTIFFNVGSNFASIQARASMLMFTSTLLTMMAIGGFPSFVEDMKIFRKERMSGHYGATEFVISNTLSSIPYLGLISIIPGAIAYYLTGLQRGIDHFIYFAAVLWACTMLVEGLMMIVAAVVPDFLLGIITGSGVQGVLMLNAGFFRLPSDLPKPVWKYPTYFISYHKYATQGLYKNELLGLVFEDIGGGGLTISGEYILKNYLQVELSYSKWVDLAILVAMIIIYRISEIVKPRMLHFLCASKV >ONIVA04G22950.1 pep chromosome:AWHD00000000:4:23247063:23248529:1 gene:ONIVA04G22950 transcript:ONIVA04G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGASSVVLKQRWRQRRTRAAAAEGAGAAGAHIQHYRQQPVRRPVAIARRPPVPFPISRQPPLSPCPPGRISVLFVEVAREDIFNGLPSVFGPQSQRR >ONIVA04G22940.1 pep chromosome:AWHD00000000:4:23232383:23232697:-1 gene:ONIVA04G22940 transcript:ONIVA04G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQATAAVLAVALVLAASAGVARGICNLSDAGLQACKPAAAVRNPADTPSSECCAALAAADLPCLCRYKGSAGARVWVRFYGIDLNRAMTLPGKCGLTLPAHC >ONIVA04G22930.1 pep chromosome:AWHD00000000:4:23223657:23223968:-1 gene:ONIVA04G22930 transcript:ONIVA04G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAQAVLLLALVASLAAARGADAICNMSNGDFRLCQPAVAASDPTDGPSAECCAALGEADLACICRYKGVAGFWMRIYHIDAARAMALPGKCGLTMPTNCS >ONIVA04G22920.1 pep chromosome:AWHD00000000:4:23202570:23205467:1 gene:ONIVA04G22920 transcript:ONIVA04G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLGFFGHGLPVACGPELSGSLGAAKHVRAVAQEHGALPVPQVASLQVEAVAASETLSPQPSHQRIVSQVDVYHRLMAAAAAAVAIATALLVERRGQED >ONIVA04G22910.1 pep chromosome:AWHD00000000:4:23198488:23202468:-1 gene:ONIVA04G22910 transcript:ONIVA04G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein [Source:Projected from Arabidopsis thaliana (AT3G23710) TAIR;Acc:AT3G23710] MPFHFQFPWLPNNPTSSSSSPTKPPSPAIPNPFLPIQAGLASFLSSLPLPRAPFPPPPWARISSASASAASASALPVAEIEERLAGVPVYALANSSQEFVLVSSARGGGGGGGGARAAVPPPALGLLCFRREDADALLAQMDGDMAAGSTVVPIALNKVIQLKSDGVAFRFVPDSSQVANAMKLMENEGQYVNDGFPGVPVFQSRSLVLMSDNKRYRPIFFRKEDLDNSLHRASRDQQKPNPAVKMGDIQVSSLENIIKSMKDSSSSKWDDAVFIPPGFDLATSSKQSNHDN >ONIVA04G22900.1 pep chromosome:AWHD00000000:4:23196954:23197847:1 gene:ONIVA04G22900 transcript:ONIVA04G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSSLIQLLLIAAVASQFVSSQAGSIAIYWGQNNGEGTLADTCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCGPTNGGCASQSSDIKSCQSRGVKVMLSIGGGAGSYYLSSSEDAKNVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTNQHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWIGDALNTGLFDYVWVQFYNNPPCQYSSGSTSNLADAWKQWLSVPAKQIFLGLPASPQAAGSGFIPADDLKSQVLPVIKSSGKYGGIMLWSKYYDDQDDYSSSVKSDV >ONIVA04G22890.1 pep chromosome:AWHD00000000:4:23186761:23192035:1 gene:ONIVA04G22890 transcript:ONIVA04G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVAESCVDGVVMQMVAAYCGGFYAAKPELAARRIEAIGFQVGHQLTERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSVDPSVESMDATENDSTTLDMSNLPACSFVVRIKT >ONIVA04G22890.2 pep chromosome:AWHD00000000:4:23186761:23192035:1 gene:ONIVA04G22890 transcript:ONIVA04G22890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVAESCVDGVVMQMVAAYCGGFYAAKPELAARRIEAIGFQVGHQLTERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSVDPSVESMDATENDSTTLGDSAAQTTSMLLYFPCGIIRGALTNLGISCSVTADMSNLPACSFVVRIKT >ONIVA04G22880.1 pep chromosome:AWHD00000000:4:23179692:23183905:1 gene:ONIVA04G22880 transcript:ONIVA04G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAAALLLMVKIGPLRELAMRGVEQAKDGKGPAAVKTLACTLLVIFMSSVASILRIQNRGIKLGTVSPMDQVLWRTHLLEASLIGYILFLAFVIDRLHHYHRKLTILKKTANTSREEVEKLQIELQGKEDKSKEVKKLRAELARLSEKMKKLKSESEEHERQRLEAEAHVNALQKQSEELLLEYDRLLEDNQILQTQVLSLRS >ONIVA04G22880.2 pep chromosome:AWHD00000000:4:23179692:23183905:1 gene:ONIVA04G22880 transcript:ONIVA04G22880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAAALLLMVKIGPLRELAMRGVEQAKDGKGPAAVKTLACTLLVIFMSSVASILRIQNRGIKLGTVSPMDQVLWRTHLLEASLIGYILFLAFVIDRLHHYHRKLTILKKTANTSREEVEKLQIELQGKEDKSKEVKKLRAELARLSEKMKKLKSESEEHERQRLEAEAHVNALQKQSEELLLEYDRLLEDNQILQTQVLSLRS >ONIVA04G22870.1 pep chromosome:AWHD00000000:4:23165919:23168557:1 gene:ONIVA04G22870 transcript:ONIVA04G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAMERGQQRLPESWNPKLQDDVSLTVPLIQDKKSGSKAPAVVLGFECLESTAFNGIATNLVVYLETVLHGSSLASASNVTTWFGTSYLTPVFGAIIADTFFGNYNTILVSLVFYLLGMVLVTFSAFLPTTALCAVAGSTSCQQPVFGAQTIAFVGLYHVAFGSGGVRAALLPFGAEQFDDDNAVDRERKMSFFSWFYMCVDFGMIVSGLFIVWIQQNVSWGLGFGIATVCVAIAFGGFVLATPMYKRSMPTGTPLKSLAQVVVAACRKVSLRVPADAALLYEVHDKIDQPKITHTDEFSFLDKAAVIVQSDLEEDSNDASAAAAGSWRLCTVTQVEELKILMRLLPIWATSIVLSAAYAQLNTTFVQQGAAMNMRIMSFTIPAASMVSFEVFCVLAWVLVYGSVIVPLLRSFSPANGEPSQLRRMGAGRLLIAVAMAIAALVEMVRLDAAARGESLSIAWQMPQYFMLAGGEVFCYIAQLEFFYSEAPESMKSICTSLALLTVALGSYMSSFIYAVVNAFTAVDGRPGWISDNLNEGHLDYFFWVMAALCTLNFVVYSAFARNYKVKTVVS >ONIVA04G22860.1 pep chromosome:AWHD00000000:4:23156428:23159940:1 gene:ONIVA04G22860 transcript:ONIVA04G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADHERRRPEQGALRRPLLADDETCGHRTAECRGVFPFINFCSAEDCEASSSNQSLVSQEDRGQEVAEVQKVESCSNKALIIILSLQFLEITAFYGVYLNLIVYLQDVLHGDSASNVATVSSWVGTAYLIPILGAAVADSCWGKYTTVLAGFSIALVGMVTITASATLPSLRPPSCGQSAYCVPATLSQKLVFFTGIYLCALGIGGAKAVLIAFGPEQLDDDDGGGKNERVRERKASYFSWYYAVANVGMLTAGTMLVWFEDNVSWGFGYGLCASFVAVAVVVLAATAPMYRILPPAGSPLKSVIQVLVAFSHKAKLTLPDDPTELYEDDGVKNSLQHPVHERLEHTNQFRCLDKAAIVSDEDLEDGDRWRLCTVSQVEEVKILLRLIPIWITSAVYFIANTQAQTTFVQQGTKTDGRIARGAFSVPAASLSSFQMAFVAVFVTLYNRAVVPAARRCLGRAMAFTPLQLMGFGHATAVVAVGVAACTEARRLHAARAGAPAMGIAWLLPQYLVMAASDASLTVGQLEFFYDQSPETMRSASTAFYFLAISLGNLLNSQLVTLVAKVTAVWGNAGWFPLDLDDGHLDYFFLLIVAITAVNFAVYVALAKNYTPKKVR >ONIVA04G22850.1 pep chromosome:AWHD00000000:4:23143851:23147965:1 gene:ONIVA04G22850 transcript:ONIVA04G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCVWGSHTPLSLLSPPAAWAGERMEGDQAGGDLTDIVRAGGGAMPGSVVVDLPSTAAEWQLPAEPMLFPPPPSLSSTTDGCGAGGAAGADIFGGGGGDLFSGLVDPFSSDYSSGADFLDAMPDAIAKVGFDTAVGGGCGGGGGGGGGGSGGHLLDMSRKPLLPRGMPMAAVGGLAAPRVMPSPLSPRAIRPYPPISAGDMMKLGITAGQAAGCAIDAAVAGMQMSSPRSGGIKRRKNQARKVVCIPAPTAAGGRPSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHSKNSGGGGGSGSKGSQNDKSQQQPSVKEEQKDQATTATTTTTSTITTTNSASPVVVKEEEAALAGSSEALELERVMDTTAAGVVDHSELMDHVFSESYKPMIPETGQPDDFFADLAELESDPMSLIFSKEYMEAKPSGGDHAQEKAMAKELDPFDMLDWSTTTNSSAGSSFEQGKRG >ONIVA04G22840.1 pep chromosome:AWHD00000000:4:23121902:23125276:-1 gene:ONIVA04G22840 transcript:ONIVA04G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5D3] MGRGLSFTGRAPRRSVLDRGPPAPTSVTSPAQKRVNRLNRKRLESKPSHALHHSPLSPPFSSSSSSTRGGEAEPSPRKERRVTGGGGGMHHDPNPFDEGNADDNPFSNGGGGGGGGGSRQQYGFRPTEPAGFGAGRGDATVDVPLDTMGDSKSKARELSSWETDLKRREADIKRREEALRNAGVPMEDKNWPPFFPIIHHDIANEIPANLQKLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLIWYRPLYRAMRTNSAFSFGWFFLCYLIHIGFCIIAAIAPPIVFHGKSLTGILAAIDTFSEHVIIGIFYFVGFALFCLETLLSIGVLQRVYMYFRGNK >ONIVA04G22830.1 pep chromosome:AWHD00000000:4:23118822:23120389:-1 gene:ONIVA04G22830 transcript:ONIVA04G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoribulokinase [Source:Projected from Arabidopsis thaliana (AT1G32060) TAIR;Acc:AT1G32060] MAARSFSSASTTTILLRSGRWRRTMRAAAFPFRVSCSAAAGGTVVIGLAADSGCGKTTFVRRLTSVLGAGTAVAAAPPWGGNPGSNTLLGDAATVICLDDYHSLDRAGRKERGVTALDPRANDFDLMYRQLKAIKEGRAVAKPIYNHATGLLDPPELITPPKILVVEGLHPMYDERVRGLLDFSIYLDISSDIKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTRLIAAADDDGDEGKVLRVKLIMKEGVEHFAPAYLFDEGSTISWIPCGRKLSCSYPGIKFSYFPDTYFGHEVSVLEMDGKFDKLDELIYVESHLSNLSTKYYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQVVAERAASPPALAAVA >ONIVA04G22820.1 pep chromosome:AWHD00000000:4:23114569:23117723:1 gene:ONIVA04G22820 transcript:ONIVA04G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQLQSRTAILSPALGRLCCSLGLLAWLGRHVFMGWHYRLGRCALAQALQRSEETEVEADKNGEIELGESGGEEERVCGGGGKFRSGRRHHLRWESGGGGLARCHGGGLHRRDAYRYGGFYHRGEGFHGNEKQLVAEMPVEPATATTVVLPIAEPDPDSRHDAAVAAAEEPVHGEADEEDEDEMASSRTSPEGWEQNSSDGERRMGVKSFCFHLERLVRDVHVCQALQQAQQLVLRL >ONIVA04G22810.1 pep chromosome:AWHD00000000:4:23103401:23105797:-1 gene:ONIVA04G22810 transcript:ONIVA04G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVFTIDMLLSVIWDREYQDQRLEADAITHVAWHLRGRRARG >ONIVA04G22800.1 pep chromosome:AWHD00000000:4:23089866:23093760:1 gene:ONIVA04G22800 transcript:ONIVA04G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSTEEVKHLTLHHLLKRQHRLKPAAVVWRWPTSVVPGGGAGRPPVPDEQLAADDVDGLGGTWPPRSYTCAFCRREFRSAQALGGHMNVHRRDRAKMRGHGLHGAAGQQLGAAEAPAAAAAARTEYAVALYPILNSGAGGAAVRIPGGDVLLSAPVALAAARRGHDHRCIDLRRERSRSTAPSSDVKQHCHDEHVRVVVRPRCAVLAVHLVDLLAVVAGVGAPPAEQHEVVEDLGVLVQAHDGEERVEDLDHLVDHLRPLLPLLVVGMVESSMPVMSMNSSSSPAATNTNQMIMAKFLGFLHQLTGGADDGSSMVDMLLRTWCDADGGDGGVERRRASTANPGDMLLRRRLQILATTRRRCWQRRRPPPPSRCGKSYRSSQGRRRDSPAHSPGRRSPSRRCG >ONIVA04G22790.1 pep chromosome:AWHD00000000:4:23084688:23086598:1 gene:ONIVA04G22790 transcript:ONIVA04G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGSYDDVDYGDLFSIPNPPAPHLLNFPLQFFPSNGFISSADDSHRSPAGMFGSTPSPTSTTTELENSEDLSESADDAVLAYINQFLLEDEEDESCPGTITSVEDSALLAVEKPFVDILTASQEACQENSWIDSCCDFTGNGGLLDTFTTTHAACQPAPCEFEKEKGECAVHKGRKNPHDDCLLFEEESRRSKQLAVSEEETVREMFDKVLLCNGECELRAPLPAEARNCGVYVKGSGNKRGRKKGKSGASAEDDAVDLTTLLIHCAQAAAIDDHRNSNELLKQIRQRSSAYGDAGQRLAHCFANALEARLAGTGSNIYRSLAAKRTSVYDILNAFKLYVTACPFKKISNFFSIEAILNASKGMTRLHIVDYGIQYGFQWPIFFQRISKRPGGPPSVRITGVDLPQPGFRPAQLIEATGRRLHDYARMFNVPFEYHAIAAKWDTIRVEDLKIDKDKDELLVVNCLFRMRNMMDEMVTDDSPRMQVLKTIRKMNPNLFIHGVVNGTYNAPFFVTRFKEALFYYSSLFDMLETTASRVDENRLLIERDLFGREALNVVACEGTERVERPETYKQWQVRNIRAGFKQLPLNQETVKKARYKVKKSYHRDFLVDEDNKWMLQGWKGRIIFALSAWEPN >ONIVA04G22780.1 pep chromosome:AWHD00000000:4:23059494:23059895:-1 gene:ONIVA04G22780 transcript:ONIVA04G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAALSALHGDAELGLGNGDANAAAEMVEAGQDVAELRRALFAGGVGKAAAALYLALFRPPAGLFLRSNPLFYSYYVVLVAVVLFGVAEAWVGLWASRDGRRRAAGVTMLWLSVIPALFLVGTGGSAILELK >ONIVA04G22770.1 pep chromosome:AWHD00000000:4:23056684:23057544:-1 gene:ONIVA04G22770 transcript:ONIVA04G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHNNGGTELLHDTEVRGELLLPPARNTMDPWYIRKSIAPLLLAKHSSRTSSSAVNGGSISSGAADSTTLARSTAARRIHLTTPSCFDNNDDSGRLAVLSSFDDNDRYLVAPRHGQAKRPVRRRHEKHLDARLGDASEVRGMREFDLRRRLVKPFPSNQSQPKLETNPFYPLQPNPLAGLAISTPLIIDPTTRYS >ONIVA04G22760.1 pep chromosome:AWHD00000000:4:23054494:23055033:1 gene:ONIVA04G22760 transcript:ONIVA04G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEWRCRKHPAPPCGGVCPYCLRDRLLRLCPECAHARPCPCAASSSSPSSSSSSAASGSAAVVGRVYSLIERERRMGLRSRSIAAGGGGGGRGIVVRDERPKSRAFGWVSFRKATSDRVVEVDDGAALARSSSVSATAVETRAPPKSRGWGRFIPGSIKALRHRKSRAAGDCREGVR >ONIVA04G22750.1 pep chromosome:AWHD00000000:4:23044143:23045462:-1 gene:ONIVA04G22750 transcript:ONIVA04G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDESPLRINTTRGGAMGGGGECDGAENQRWPPWLKPLLATSFFGQCKLHADSHKSECNMYCLDCMNGALCSQCLSYHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGDYRGRKRHAGGGIKKTKKLHKGAAAVPSDSDDSSTTTSGGSDKSSVVQSFTPSTPPATANSYRTGKRRKGVPHRSPFGSLMVEF >ONIVA04G22740.1 pep chromosome:AWHD00000000:4:23037912:23039998:-1 gene:ONIVA04G22740 transcript:ONIVA04G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G35410) TAIR;Acc:AT2G35410] MAALSLARSPPHHHHAAAALALPAPRIPRLAPLLRTPRRPHPLIGIRRLPAAAVAASSPPEAQAVEDGEEEEEEGGEKRRKLYVANIPWSFPAPEIEKLFAQCGAVKDVEVIKGKDGKKKGFAFVTMATAEEAAAAVEKLNSLDVMGRTIRVEFSKSFRKPAPPPPPGTILERHKLYVSNLPWKARAPNMKEFFSKFNPLSAKVVFDSPSGKSAGYGFVSFGTKEEAEAALTELDGKELMGRPVRLRWRQSVDDSDDSVKADGEIEDVNVDGEAEGVTDNGTKDHGEDKQE >ONIVA04G22730.1 pep chromosome:AWHD00000000:4:23032494:23033651:-1 gene:ONIVA04G22730 transcript:ONIVA04G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPFDVAGTSADDSSGPVFSPLVIAIIGVLASAFLLVSYYTFISKYCGTVSSLRGRVFGSSSGGAAYGGGAGSGGRHGHGQSRSHESWNVSPPSGLDETLINKITVCKYRRGDGFVHTTDCSVCLGEFSDGESLRLLPRCSHAFHQQCIDTWLKSHSNCPLCRANITFVTVGLASPEPEPEGCAPGETGGDNTHEVVVVMDGLENLCEEQQEAVSRASTADDDHDAKDVAEGMEEANGAAEIREEGSPPKRGASSFDLHRDNRMCIADVLQESMEDELTAARESGLLAGGAGTSRRCHGENSKGRGGRSRRALQLQDAMEALPGKRLPSGGRSCFSSKSGRGKDSDHPM >ONIVA04G22720.1 pep chromosome:AWHD00000000:4:23026823:23030458:1 gene:ONIVA04G22720 transcript:ONIVA04G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTLCSAGGDVLIYDTFNASAAAAAAVVPPASFLFGNNNAGGAAGTETRVQVAAGAVPEVDQLLKQAQQPGRRKRRRRARSCKSREDAESQRMTHIAVERNRRRQMNEYLAVLRSLMPESYVHRGDQASIVGGAIDFVKELEQLLQSLEAQKRTLLMQPPPPPQQQREPKCDAADSTSAADQETPAAAAADGPPFARFFTYPQYVWCHNPAQDGGGGGGAAAENRAGVADIEVSLVETHASIRVMAARRPGQLLKMVAGLQALRLTVLHLNVTALGSLALYSISVKRFHGGKAIASLGPLGVFFLYALYLWYSTTVVEEGCGMATVDDIAAAVHHVLCIIDAEAASQMLLAGEASG >ONIVA04G22710.1 pep chromosome:AWHD00000000:4:23025275:23026665:1 gene:ONIVA04G22710 transcript:ONIVA04G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNRYRVILSGIMRFYHASGDTCELRGIRRYLPDIKSRALNVIADGPTVHVPRRSSSPAATSSTVRATSELVASGCVLRPPRPMPELVADGRILHYRCHSSSPAAVSFVIHIPRRSSTPTGVSFVIHRRTRSTNASARPPAACGLALSH >ONIVA04G22700.1 pep chromosome:AWHD00000000:4:23018717:23024795:-1 gene:ONIVA04G22700 transcript:ONIVA04G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) TAIR;Acc:AT5G16750] MASSQGLKKNYRCDRSLQQFYTGGPFAVGSSPGGGEGEVEGGEAEAEAFLACACGGEVRLVSAADASAIGEPIEGENEAVTALALSPDSRLLFTAGHSRLIRVWDLASRTCTRSWKGHDGPIRAMACHASGGLLATAGADKKVCVWDVDGGFCTHFFRGHAGVVTTVMFHKDPKRLLLFSGSEDATVRVWNLESKTCVSVLKEHFSAVTSLALSEDGQTLLSAGRDKIVNVWDVRKYNSKKTIPAFEMIEDVSFIGPGSNLLSCLGEPANIKRKTDGYFLTVGERGVVRIWCLESAQCIYEQQSSDVTINTENEESRRGFTSAVMLSDDQGLLCATADQQFLFYCPTRTDGGDFQLNLYKRLVGYNDEILDLKFVGEDEQYLAVATNLEQVRVYDVASMSCSYVLSGHTEIVVCIDTCISSSGKTLVVTGSKDSTVRLWDMERRSCIGIGKGHLGAIGSVAFSKKSKNFFVSGSSDRTIKIWSWDDTLDDVGSEVPLKAKAVVAAHDKDINSLSVSPNDGLVCSGSEDRTACIWKLPNLVPSVVLKGHKRGIWSVEFSPVEQCVITSSGDRTVKIWAVADGSCLKTFEGHTSSVLRASFLSHGTQFVSCGSDGLVKLWTIKTNECIATFDKHDGKVWALAVGKKTEMLATGGTDAVLNLWHDCTMEDKQEDFRKKEEELLRGQELENAVSDYDYAKAIQLAFELRRPHRLLELFTQLCRESDLEDPIEKALIGLPKEGLRVLLEYIREWNTKPKLCHVAQFVLFRVLRSLPPTDILEIKGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLTRMSVVDPDIDEGTTRDDANGSSVENCEIAQAKPDALVAEENLQKSVKKRKSSKSSKKGGKKVKIASTGGSKDVPIEA >ONIVA04G22690.1 pep chromosome:AWHD00000000:4:23012359:23014393:-1 gene:ONIVA04G22690 transcript:ONIVA04G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALGAGVAAAFATGLVGVYLSMPDSDYSFLKLPRNLEELQILTGHLENYTSDYTIQVLVGYCSVYIFMQTFMIPGTIFMSLLAGSLFGQLRGVALVVFAASAGASSCFFLSKLIGKPLVFSLWPDKLMFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFLLATLIGLIPASYVTVRAGIALGELTSLSDLYDTQSIALLFLIGIVSVTPTLLGKDEAQEKTTEIAVTVS >ONIVA04G22680.1 pep chromosome:AWHD00000000:4:23010026:23011924:-1 gene:ONIVA04G22680 transcript:ONIVA04G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIAEAVEKKKGGRGAAAGSSSPPLRSAGRALACRRRPPAFRTDSFRRASMLNMLGISAGVTIAAYGEARFDAFGVMLQLAAVAAEATRLVLIHILLTSKGMSLNPITSLARSHIAPCCLVFLTPPWYFAELRMPPPLHYTPPAARLPPLRSAARSLPTPLRSAARSLARRCCSAPLGRSLRAHPPPRVVFIDLLRPVLEQAAPPPRPAADDVVAALSPIAGADALLSTH >ONIVA04G22670.1 pep chromosome:AWHD00000000:4:23005604:23009584:-1 gene:ONIVA04G22670 transcript:ONIVA04G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLRRAAVAAGAASASAASLRQFQCAYHRSNERLLPCRDQEVSYGLNWAIAGRGVVVKDKVFYNLEKSELQKGGAAYTECLSGIPLHVRGNVISGIPDVSRAQFAKLLKLVTFHLSSISSLYVQDGAVGSSVECDAKVRVISDNPSAVLLLSNILWKTPDRSISHDTCPLTIYVASSISANVRNSLGSGSQYANGFAAADIERSSLILCGKAFADSAMLKGALSALTAPVLSARGGLPFPGWLLSFGGSAVLLFAPVDVIKSLKLQDVLVSTDSGVVVSPKGSNVLFATKAREPNLFTRPTQVIIVSSDSTDALPALSKLSPGQAAYHFLAGYCDGKFIPAYSKDPSPLDPIALANSLFSHLKEDNTPTYLINAKSSGKYIDGKEFVRLIEVLLSNNLPDRKSEDIRGHYSFWHSFVDYWDHKEMSDLHMVSLRRNWSGGPLDHGGLRFSFISSSINKRSAGRLSRYKACITSASY >ONIVA04G22670.2 pep chromosome:AWHD00000000:4:23005604:23009584:-1 gene:ONIVA04G22670 transcript:ONIVA04G22670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLRRAAVAAGAASASAASLRQFQCAYHRSNERLLPCRDQEVSYGLNWAIAGRGVVVKDKVFYNLEKSELQKGGAAYTECLSGIPLHVRGNVISGIPDVSRAQFAKLLKLVTFHLSSISSLYVQDGAVGSSVECDAKVRVISDNPSAVLLLSNILWKTPDRSISHDTCPLTIYVASSISANVRNSLGSGSQYANGFAAADIERSSLILCGKAFADSAMLKGALSALTAPVLSARGGLPFPGCTDALPALSKLSPGQAAYHFLAGYCDGKFIPAYSKDPSPLDPIALANSLFSHLKEDNTPTYLINAKSSGKYIDGKEFVRLIEVLLSNNLPDRKSEDIRGHYSFWHSFVDYWDHKEMSDLHMVSLRRNWSGGPLDHGGLRFSFISSSINKRSAGRLSRYKACITSASY >ONIVA04G22660.1 pep chromosome:AWHD00000000:4:23001432:23005142:1 gene:ONIVA04G22660 transcript:ONIVA04G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKTKSGHAEAKSNGKAEAKGTPPTPKSAKIARKPAVPKAPPRPSADKSQSPGSADRKKSASRITTPPEKQGKATKPAQESVAAKPSPQEPVAVKPSSQEQEQQALLAAVQEELVKAKEELVEKEKERGKVLDELERAKRAADEANAKLQEALAAQSKAAESSGDAEAEQASASMEDELRTKLASMQSQQEADMAALRSTVEQLEKARYELADAIDAKNAALTQADDAMRASDENAEKIKLLNAEVAHLKGLLDSEVGSSSKGAVEHIRKLEEENSGLKLELEKANVAEQRAVELEGVVEQLEVEIADVKKARARSEELLGKWKTKALELEVRLEEADQSNILKGESLESAMKELDAKMTLLQEKESEIEALQDKVRSLEDEVAKQKEDFHTADKEADELRLEIEDLRLKLEAAEEDLNNDKIASSEMETLIEQKNMLAKELEASKAEVEKIKKAMEGQASALHEMSAQLRVAQEKYLDKQEEIDRARAQVEELNVSLQNTKESYEVMLDEANYEKVCLKKSVERMEAETKSASEEWQSKELSFVNSIKKSEEEIINARAQMDKTLEAVKGKESENAELQEKLKHLEAQLMEANKTCEEAKAETFQWKEKLLDKENELQNIKQENDDLQAKELVASEKIKELSVLANAKDGATNGSHKEESNVKGDSEDDEPVMVVAKMWENSKVTDDASSKEKGNDGESEVDLESNTGDSIVDGNGLHSTTASNGNASPPKQQPQKKKPLLKKFGGLLKKKTQP >ONIVA04G22650.1 pep chromosome:AWHD00000000:4:22995277:22996791:-1 gene:ONIVA04G22650 transcript:ONIVA04G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDDAEHETSPASASASSAPATRKRKRPGATATDGAPAQSADDAGGMCDDVLRNIFSRLPGRAAVACTALSKHHRRLVTGAEFRRLHLLLGAPLPRPHVAYLATGPITRRGDDRVVSKFHGFHVAGAGMGIGAHAPMRALTDGRYENKSYVNTCNGVILLAMKKKTPSRSFILWNPAIADDEKKLTIPEGLQDNGEYYVAGLGYGRRSKTYKLLLCRLKCLSSKGPGGCRILYRCAELVVYTLGAGAGAGDQPRTVLSGLDTKIKRQSLYLDGTIYLLDAEDSIVFAFDVDDETVTTIDLPGERSIIKHASSKLMEMSGRVCVVTKDGTHTFSVWLLVAEDDHRWQRRCAIGESNIYYRSITAAWDHGDALLLLVDGSPYLYDITDERMTKTEMPIDVKPEEAADARVGGEHRRRRRRRRRGGAEAPRPGSDGGHSCRREARPRARRPEREEGDVGRDVFHGDAGPHHAGAAWRHAGRDRHAVAERLSGPLGIGILMMRTEH >ONIVA04G22640.1 pep chromosome:AWHD00000000:4:22990816:22991217:-1 gene:ONIVA04G22640 transcript:ONIVA04G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAALSAFHGDVELGLGNGDANAVAGMVEAGQDVARLRRALFAGGVGKAAAVLYLALFRSPAGLFLRNNPLFYSYYIVLVIVVIFGVAEAWVGLWASHDRRRRAVGMTMLWLSVLPLLFLAGIGGSAILKLK >ONIVA04G22630.1 pep chromosome:AWHD00000000:4:22982238:22982639:-1 gene:ONIVA04G22630 transcript:ONIVA04G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAALSALHGDAELGLGNGDANAAAEMVEAGQDVAELRRALFAGGVGKAAAALYLALFRPPAGLFLRSNPLFYSYYVVLVAVVLFGVAEAWVGLWASRDGRRRAAGVTMLWLSVIPALFLVGTGGSAILELK >ONIVA04G22620.1 pep chromosome:AWHD00000000:4:22979484:22980288:-1 gene:ONIVA04G22620 transcript:ONIVA04G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHNNGGTELLHDTEVRGELLLPPARNTMDPWYIRKSIAPLLLAKHSSRTSSSAVNGGSISSGAADSTTLARSTAARRIHLTTPSCFDNNDDSGRLAVLSSFDDNDRYLVAPRHGQAKRPVRRRHEKHLDARLGDASEVRGMREFDLRRRPNRPNPLVSSNHFHPIKASPNLKPIHFTHFNPTH >ONIVA04G22610.1 pep chromosome:AWHD00000000:4:22977238:22977777:1 gene:ONIVA04G22610 transcript:ONIVA04G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEWRCRKHPAPPCGGVCPYCLRDRLLRLCPECAHARPCPCAASSSSPSSSSSSAASGSAAVVGRVYSLIERERRMGLRSRSIAAGGGGGGRGIVVRDERPKSRAFGWVSFRKATSDRVVEVDDGAALARSSSVSATAVETRAPPKSRGWGRFIPGSIKALRHRKSRAAGDCREGVR >ONIVA04G22600.1 pep chromosome:AWHD00000000:4:22932056:22932973:-1 gene:ONIVA04G22600 transcript:ONIVA04G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5A8] MAGLDLGTAATRYVHQLHHLHPDLQLQHSYAKQHEPSDDDPNGSGGGGNSNGGPYGDHDGGSSSSGPATDGAVGGPGDVVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFECVSTYARRRQRGVCVLSGSGVVTNVTLRQPSAPAGAVVSLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEEEEAPPPQAGLQMQQPGGGADAGGMGGAFPPDPSAAGLPFFNLPLNNMPGGGGSQLPPGADGHGWAGARPPF >ONIVA04G22590.1 pep chromosome:AWHD00000000:4:22928317:22929734:1 gene:ONIVA04G22590 transcript:ONIVA04G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKAEQLVTAVYKVHVHCKQCANTIVTQFTQFPGVREVKLDGGKVTVKGIGFDAEKLRKKVEKGCRRRVELVPPPKDIVTEVKSKKEELKIITVRVPLHCAECAARVKEVLLEHKSIYAAKIDLGKNLCVVEGVIEEKKLFEYIYHRTRKYGFIEKVEKKEIIVEEKVEVKKKEAEKKKEGEKKEEVKVKEKVKEVVAPYFIPCTHPHFIDYSHPELHGFKDTVFLHCSHSNQFLSNENPEACSVM >ONIVA04G22580.1 pep chromosome:AWHD00000000:4:22914887:22918276:-1 gene:ONIVA04G22580 transcript:ONIVA04G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREQQQQPRVSSPPPAGGGVMMPQHPYGAAPAMPPGSANVMHGVPLSFNPMASPTASSPMKPADMSGTMYRTDPVVQGMQQQPGSGGGGTAVGGGELVKKKRGRPRKYGPDGNIGLGLKPAAAAGTEAGGPSGGAGSNSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHIITVKPNEDVASKIMAFSQQGPRTTCIISANGALCTATLRQPATSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGKKGKEEHLKREPTSAPTPNHAAGFGAATAASPPSDGSSSDHSDDPGSPMGPNGSTFNNSGHPMHSSYAPVSWSLSGNQGRYDPDLKMMTD >ONIVA04G22570.1 pep chromosome:AWHD00000000:4:22912663:22913031:1 gene:ONIVA04G22570 transcript:ONIVA04G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKVQDMVSGAKEKVKEGTAKAHGKAGQATAATHGEKEMAKQEEKAGKAQAKADEHQERAEHRANATTGRHGTRVPLTAGGNRHHHAPVGGPAVDPAYPAAGTGTGTGTYAASDKSYI >ONIVA04G22560.1 pep chromosome:AWHD00000000:4:22909869:22911134:1 gene:ONIVA04G22560 transcript:ONIVA04G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H5A4] MAMEPPPLFLCPISMELMEDPVTVATGVTYDRRSIERWFFKYGKTTCPATMQRLASFDFTPNHTLKRVISSWLDRASSSSSPSTAGSPATTSSSSSSNAMERERLPSVLAGIEETPFKATALKNLRSCMAGDEAAREDFVACGGIQVLGRVMTQALAESSAGGDFSAFRTCEEAAAVLATLPLSDDASVELLLKPECMRPVSELVQRGSAEARLHAMSMISKISRASVRDWTAEVDVDDMVKALLELLSDGASAKLSSRALDVLLDVTARYSRGARRAKAVELGAVRVLAELLLDADRHVAERSLLLLKRMCKCPEGRLAFAEHGLAVAAVARAVLRVSGLATRLAVNVLWLVACAPAPAERVLEDMVVGGAVAKLLALMQVESSPSTKDKAVKMLRAHGAFWRQYPCFPTDLKDYLKSLN >ONIVA04G22550.1 pep chromosome:AWHD00000000:4:22903562:22906547:1 gene:ONIVA04G22550 transcript:ONIVA04G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78800) TAIR;Acc:AT1G78800] MAAAAGGVAGEPSGARTKQLKVAVIHPDLGIGGAERLIVDAACQLAVHGHDVHVFTSHHDKNRCFEETVSGPFEVKVYGDFLPRHIFYRFHAICAYLRCIFVAMCVLLWWPSFDIILVDQVSVVIPLLKLKAKSKIVFYCHFPDMLLAQHTTMLRRLYRKPIDMIEETTTGMADLILVNSKFTATTFARTFCSLHARGVEPAVLYPAVSVEQFQEPHAYKLNFLSINRFERKKNLGLAISAFSLLRSVASMLPGDARQEVTLTVAGGYDKRLRENVEYLEELKRLAASEGVSEHVKFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVINDETGFLCEPSAPEFSKAMLKLVNDHDLAVKMGKQARGHVVQKFSTKTFGDLLNSYVLNVYHQRIE >ONIVA04G22540.1 pep chromosome:AWHD00000000:4:22897638:22901335:1 gene:ONIVA04G22540 transcript:ONIVA04G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPAQPPRVVINAAASSLVPAASRCPARLSGKTPVSSSPQLRAPSRVAAEQGRGGDPAFVCLMASPASPREFTQEAARQSLIAISRSVPAAGEAVNIKSPSGAMVNGHHHDDDGAEKYRSKLISISNLSPDAQPTPCSPKDTAAA >ONIVA04G22540.2 pep chromosome:AWHD00000000:4:22897638:22901335:1 gene:ONIVA04G22540 transcript:ONIVA04G22540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPAQPPRVVINAAASSLVPAASRCPARLSAFVCLMASPASPREFTQEAARQSLIAISRSVPAAGEAVNIKSPSGAMVNGHHHDDDGAEKYRSKLISISNLSPDAQPTPCSPKDTAAA >ONIVA04G22530.1 pep chromosome:AWHD00000000:4:22889090:22897825:-1 gene:ONIVA04G22530 transcript:ONIVA04G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQPAGPKMRESYGRWRVGDDWGKEANRGTAGEPLDTAVGEHGGGGRPVMLPENVGDGGGGGGRPVMLPETVGDGGSSGLLCLPPEALGVSSSGGACVPPPETLGVEGGGTRTLAYASGGVCLPPETLGGVSCGGGGERTLVFASGGVCVPPEIFGVRGGGDLTLVYASGGGVFVPLEEAVGWSGGGSRTLVSTTGAGGGGVRRGKVFGEPRENLGACGGGERTLVSDIGVCGGGARRGKVFGGTDGGGESSLVSATGAGGGAIGDGGGGVGLGKVFGGPSENLGVGGGGDRTLISAVGADGGGVGLGNVFGGPRENLGSDGGGERTLVSAVGACGGGVGLGTDGAHAPPLLVAATPAQCRSRPCGRSEATKVRVKGPQCSSASHAARRHARGMGHPVKKAASRSCLQRPSVSSDSQQMPESVCGPPGKMLSNASSIIHGSRGMQHMSSKRGKRARRSSAGQPLPDEMMTEIVLRLPAYSIVRFRAVSRSWAAMLSSPGFQDGYAAMADARRMSMSKFVFFAASPASPRGATAVYSCDVGPVRRITTTTTDLLFNIDRLRPGFLVVSSRPCHGLTLLADTRSFAYWVCNSSTVVFRPLPRRRCHDLSSAGLAFDDRTKEHKVVHLFCHVSRGGESEAMTMVARQWRTLIESERFLTSHMLANMERKKVMVVTNGRRRENFFNFMPVETWIGPAAKARSDVLVNRRILCSKPCHGLNLISTSSDDYLCNPCTGSIRCLGIRGKFREIDPTVSIDDDRRHVTRVGRNVGLGFDRLSQEHVVVEMSRFKGDLQLCMIKTSCVDYWSCAGKPPRPVTDMPPAHVDGTLYWISEPQPTARDRVIVAFDISSREFSVLPCQPCCSERDGGDYPLLVELEGSLSLVVANAEENNLQIWTMQEADGTWHKSYSILLDERYPDFSLKTGVVVVPLDAVADSNGGGRILLDTGRALGYYDLETRSIDTLYSLDQLKLPQCQMAFPMLYGDSLVPIQDDEPPDYVAPTLRDDDGGRRCYYQPQHVEISGGEQPAAASCVFRPCEAAGGGCRGMGCVYAGSCCRRVLCRECSLPCVEHTDGFHTAILPFLPRRSATATEMAEDLLLGLPLEHPCVPGPEYCYYYSEWDEEEEGVGRHRSESPWVLEIHRFHGNGGSNLTRYHHIYGTWKMEVMPEKHVSQYQQHKYGRQLLSMRL >ONIVA04G22520.1 pep chromosome:AWHD00000000:4:22887687:22889575:1 gene:ONIVA04G22520 transcript:ONIVA04G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17668) TAIR;Acc:AT3G17668] MASTPAAGPNGVASPAAQAPSSSLPGFHEAPPSVLISRPSWIVRSESNIRRERPKRPDPPCTICRGTGKIDCRNCFGRGRTNHADLVMLPKGEWPQWCRICGGSGLDYCHRCHGTGEFREPMGFHFATIHRK >ONIVA04G22510.1 pep chromosome:AWHD00000000:4:22869965:22881797:-1 gene:ONIVA04G22510 transcript:ONIVA04G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHARLMSFLWFAMELAMSSPLFAKLSALFSSDAACLEGLERVRGRGHERLRVVAYRLGGLRYSWAPRFRLAVLLLLRDKFPELVGAIEVVDPTVAPVERRVMEELGCIVTTSPALCLVVEEPTLIFMPYADRVFFESLLILNWSPDKLGKIVVLGHSFSTMVKMLELSISKQEKCGVNEQREKVKRVLAIPSYVQELELCAEISGLFDNPLLGDEYPYELNQYDYNNSPEQCTCMHCVARMESGNCATRVGSNVNVQMGYDAQLEGFEFDVKSQYQIAFALLLKEDNIFPISDIEIYDPSLSPADVKACFDLDLRVLLVNEQCQRSVDKPIIFFVPGLAFVGNLIESNFSPEQLNNIILISYGVKNIGDRISAELENGNNGFTSFKGSPELERERFIWASINYINEVIVMENFNAHFWGVSDMRFEFLDVAADVDMNSNLPTDFARLTLKENHVSYFRMCLEERMSRPFQDDQDDCKDGKPQDWAHEFLHRIPAMHRKTWSPPPKGWIKFNFHGIGGSKDRSAGMGGVFHNEDGVLSFFIGSLGNVDQTVASIGAIELGLKVMLEYHEPVKKLIVEGDDLTVIRWFNRVSHPPARAHDSFLRSYLHLTSMSLPCEGAAVPAEISKDPDHENGSSSHDASPTKPPNDDASPAKQFEREYIAWRVDEEANQVAIGLARLGSMLPDHQNRVKVHSSTQCDCEIQREMRNGRLPDITVGLIMEEFVMGDHARLLSFLRLATELAVTSPLFAKLSACLSSDAACLDGLARVRGRGRGRERLRVVAYRLGGMRYSWAPRFRLALLLLLRDKFPELVGAVEVVDPTVAPVERRAMEELGCVVTASPALCLVVEQPTLIFMPYADRVFFENLLTLNWTPDQLGKIVVLGHSFSAMVKMLELSISKQEKCEQREKVRRVLAIQSYVQELELCAEISGLFDNPLLGDEYPDELNRSVYNHSSEKCICMHCIAHIERAAMIYALPSIFSVHFFQFDPEVDIEHLIPDNCATKVWSYVNVQMNCDAQLEGWHLNPSDAYIEDKHLQEAKSIAKEMHETISDVRSSALYTKFIDHVKKDESVSSHISSMLGAHECIQLVIYGLGSFEFDVKSQYQLAFALLLKADNIFPIGDIEIYDPALSPADVKACFDLGLKFVGNLIESNFTAKQLNKIILVSYGFKNSGKSISAALENRSCGFTGIKGSLALERDRFLWASINYIDEVIVLENFDEEFWGVSELRVEFLDVAADVDMNSNVPIVSYFHMHLKERMLRPFKQDQGDCKDDEPQFWGQEFRHRIPAIHRNTWSPPPKGWIKLNFHGTGCSKNRSAGMGGVFHNDEGALSYFIGSLGNVDQTVASIQALEHGLEIMLEHHEPVKKLIVEGDDLTVIRWCNKISCPPARAHDSFLHSYWYMDLMPCEGAGVLAGSSKETNNESDSSSQDASPVKPLNDCSSENDKDEYDGSLQDASPSEPPNDSNCESGKYENSDSLQDASPAELSEVCYNGNGSLQDASPAELSEVSNNGNGSLQDASSSELSEDEDGCSSSSEFVIPPGWAQREYIAWRVEEEANQTAIGLARLGTALPDHGIMYLSTKCDCEHGREMKKGRPDITWFDH >ONIVA04G22500.1 pep chromosome:AWHD00000000:4:22861275:22869039:1 gene:ONIVA04G22500 transcript:ONIVA04G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGVHLEKSQIARQVNRIQFTCGTQEPFPDASKEENVKNRRKSSYGEATISQHFTFSWMNGLLAKGANKPLNEDDIPDVGEEESAQHISRIFSNIIVKGNFPLTVSSICKAAFLLIWKKAALNATFGVLSVVASFVGAYLIKDFVGYLSGNNGFERGYSLVLVFVGAKAIETLAYRQWFFGSLQVYLRLRTSLISQVYQKVLYLSSQSRKKHTSGEIINYVSVDIERIVNVAWYVNMVFMMPIQITLATYILWKNLGLGSLAGIATTAIIMLCNIPFTRIQKRLHTGIMKAKDDRMDMTSEVIRSMKILKLQAWDIQYLRKLEYLRKGEHLWLWEFLRLKALLAFMFWGAPAVISIMTFASCILMGIPLTAGRVLSTLATVNILKEPIFSLPELLTAFAQGKVSADRIVSYLQEEEIRSDAIEEVAIDENEFSAEIDQGAFSWKTDAKIPTLQDIHVKIHKGMKVAVCGAVGSGKSSLLSCVLGEMPKVQGTVKVFGTKAYVPQSSWILSGTIRENILFGSPFETDRYERTIEACALVKDIGVFSDGDMTDIGERGTTMSGGQKQRIQIARAVYKDADVYLLDDPFSAVDPQTGRHLYKKCLMGVLRDKTVLYVTHQVEFLVDADLIMVMQNGRIAQAGKFQELQQNMAFGVIFGAHFCAVEQVCNAKGTSIYLSKHHAESEKIFEVGSNYWMASACHPRTGSKSKMESTQFMASIDQSVLDLETASTLSESTFSVMQFLGTILIISYVSWPVLIIFIPSILICIRYQRYYSLTATELARLSGIQKAPILHHFGETFYGAAIIRAFRQEDRFYRSNLSLLDNHSRPWFHLMAAVEWLSFRMNLLCNFVFGFSLVLLVRLPQGFVNPSIGGLVVMYAWNLNTQLSEATRNISRAEANMISVERILQYTKLPSEAPTITEGSKPPMAWPEFGMISISNLEDPVMFDGTIRGNLDPMNEYPDSRIWEVVDKCQLGNVVRSTEKKLDEIVIENGDNWSMGQRQLFCLGRILLRKSKILVLDEATASVDSATDRIIQEIIRQEFKDCTVLAIAHRMNTVIDSDLILVLGEGSILEYDAPTKLLQREDSTFSKLTKEYSQQSQHFKSSTAMHRMGSY >ONIVA04G22490.1 pep chromosome:AWHD00000000:4:22847178:22855320:1 gene:ONIVA04G22490 transcript:ONIVA04G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASLHLHVLARIPETAQQAFLRWGLLRDSSNSTKLQHLQEWQEMYSPCFWMDAFALIHLIFIMSILVQFLYKRIRWCRQRFKTSTAESKHSYQEQKNTDKKLGITYQASKVCCLLNLASHTLKIVVLLLQGSISDCKYPAFVLGESVQVLSWIILSLVVFSFQKTKSAKLPLIIRAWWIFSFLQSITSVVFDLRSILLDHEYLGPEKWINLFMLVICTLLFVISARGKTGITLVDNSITEPLLSPSTGQQTEIKRPCPYGKANLLQLVTFSWMNPVFAIGYKKPLDKNDVPDVYGKDSAEFLSDSFKKIIDDVENRHGLNTKSIYTAMFLFIRRKAIMNAGFAVLSASASYVGPSLINDLVKYLGGERQYGLKRGYLLAVAFLSAKVVETVAQRQWIFGARQLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDVQRITDVIWYTNYIWMLPIQLSLAVYVLHQNLGVGAWAGLAATLAIMACNIPLTRMQKRLQAKIMAAKDGRMKSTTEVLRSMKILKLQAWDMQYLQKLEALRNEEYNWLWRSVRLSAVTTFIFWGAPAFISSITFGACILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSGDRVAKYLQEEELKYDAVIEIPRNDTEYDIEIDHGIFSWELETTSPTLKDVELKVKRGMKVAICGMVGSGKSSLLSSILGEMPKLAGTVRVSGSKAYVPQSAWILSGNIRDNILFGNPYDKEKYDKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCLMGILKDKTILYVTHQVEFLPTADLILDGNIVQKGKFDELLQQNIGFEAIVGAHSQALESVINAESSSRVTSTENSKPADTDDEFEAENETDDQIQGITKQESAHDVSQDINEKGRLTQDEEREKGGIGKKVYWAYLRAVYGGALVPVTIAAQSFFQIFQVASNYWMAWASPPTSATRPTVGLGLMFAVYIALSIGSALCVFARSMLVSLIGLLTSEKFFKNMLHCIMRAPMSFFDSTPTGRILNRASNDQSVLDLEIANKLGWCVFSVIQILGTIGVMSQVAWPVFAIFVPVTVVCFMCQRYYIPTARELARLSQIQRAPILHHFAESLTGASSIRAYGQKDRFRKSNLGLVDNHSRPWFHNISSMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNSQLASIIWNICNTENKMISVERILQYSRIPSEAPLVVDYRRPPNNWPLDGNINIRCLEVRYAEHLPSVLRNISCTIPGRKKDPTMFEGTVRGNLDPVNEYSDQRIWEILDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLILDEATASVDSSTDAIIQETIRDEFRDCTVLTIAHRIHTVIDSDLILVFSEGRIIEYDTPLKLLENENSEFSRLIKEYSRRSKGF >ONIVA04G22490.2 pep chromosome:AWHD00000000:4:22847178:22855320:1 gene:ONIVA04G22490 transcript:ONIVA04G22490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASLHLHVLARIPETAQQAFLRWGLLRDSSNSTKLQHLQEWQEMYSPCFWMDAFALIHLIFIMSILVQFLYKRIRWCRQRFKTSTAESKHSYQEQKNTDKKLGITYQASKVCCLLNLASHTLKIVVLLLQGSISDCKYPAFVLGESVQVLSWIILSLVVFSFQKTKSAKLPLIIRAWWIFSFLQSITSVVFDLRSILLDHEYLGPEKWINLFMLVICTLLFVISARGKTGITLVDNSITEPLLSPSTGQQTEIKRPCPYGKANLLQLVTFSWMNPVFAIGYKKPLDKNDVPDVYGKDSAEFLSDSFKKIIDDVENRHGLNTKSIYTAMFLFIRRKAIMNAGFAVLSASASYVGPSLINDLVKYLGGERQYGLKRGYLLAVAFLSAKVVETVAQRQWIFGARQLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDVQRITDVIWYTNYIWMLPIQLSLAVYVLHQNLGVGAWAGLAATLAIMACNIPLTRMQKRLQAKIMAAKDGRMKSTTEVLRSMKILKLQAWDMQYLQKLEALRNEEYNWLWRSVRLSAVTTFIFWGAPAFISSITFGACILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSGDRVAKYLQEEELKYDAVIEIPRNDTEYDIEIDHGIFSWELETTSPTLKDVELKVKRGMKVAICGMVGSGKSSLLSSILGEMPKLAGTVRVSGSKAYVPQSAWILSGNIRDNILFGNPYDKEKYDKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCLMGILKDKTILYVTHQVEFLPTADLILDGNIVQKGKFDELLQQNIGFEAIVGAHSQALESVINAESSSRVTSTENSKPADTDDEFEAENETDDQIQGITKQESAHDVSQDINEKGRLTQDEEREKGGIGKKVYWAYLRAVYGGALVPVTIAAQSFFQIFQVASNYWMAWASPPTSATRPTVGLGLMFAVYIALSIGSALCVFARSMLVSLIGLLTSEKFFKNMLHCIMRAPMSFFDSTPTGRILNRASNDQSVLDLEIANKLGWCVFSVIQILGTIGVMSQVAWPVFAIFVPVTVVCFMCQRYYIPTARELARLSQIQRAPILHHFAESLTGASSIRAYGQKDRFRKSNLGLVDNHSRPWFHNISSMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNSQLASIIWNICNTENKMISVERILQYSRIPSEAPLVVDYRRPPNNWPLDGNINIRCLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTLIQALFRIVEPREGTIEIDNIDICRIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPVNEYSDQRIWEILDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLILDEATASVDSSTDAIIQETIRDEFRDCTVLTIAHRIHTVIDSDLILVFSEGRIIEYDTPLKLLENENSEFSRLIKEYSRRSKGF >ONIVA04G22480.1 pep chromosome:AWHD00000000:4:22835691:22843442:1 gene:ONIVA04G22480 transcript:ONIVA04G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSPQSRGVAEPISLVGPTPADLESTARLERLLREEGLYESAEETAAREEVLRGLRGVVDRWVKRLTRQRGYPDGMADRATALVLPFGSYRLGVHGRGSDIDALVVGPSYVDRDRDFFGALAAALAETAAVAELQPVPGAHVPVIKMRFHGVQVDLVYAGVCLPVVPGDLDLSGRSVLRGLDLATARSLNGVRVADEILRLVPDAAAGRSVLRGLDLATARSLNGVRVADEILRLVPDAAAFRTTLRCVKHWAKARGVYSNVAGFLGGVGWAILVARVCLLYPNASPSMLLPRFFRVFARWKWPSPVMLRAIEHDDGELGLSLPVWDPRRNPRDKIHQPFNFFGAYKSYLQVDVTVTGGEEDDLREWKGWVESRLRLLSARVEADTSGMLLCHLHPQPYAAEPHNEPRRRRRTSSFFVGLSKPLAQPQQQQHQLFDLRATTEGFKEEVYMYDYWRPGMEVAVAHVRRKDLPSYVLRQLLRSPGRHDQLKRKRADDDPSSSPAASDHSASSSSSRDAKRPAAAPGRIGSSFEKKTADCSAELDSAKAPTHHRTGAGEEDRTGARGGGASHHQLKTTLLLARDHGQAVYVMVRAVGVENIAAIVSVDTCRKE >ONIVA04G22470.1 pep chromosome:AWHD00000000:4:22824439:22835011:1 gene:ONIVA04G22470 transcript:ONIVA04G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKRTGAAAGAASKKPPASQPSQPAKFGILHFFERQSQASSQNAKRQKADGPSQPPAPPPPPPPPPLIEEEPSEVSPEVTKTLAPKRVRFSPGMLIKQSQDDGGGEVVTWKISPVNDRLRMVTSRQMTGMALHPCSNNEKHSSVESTKKWHSSLLGMSRCTASARNLDMCGTGPGGCDGVEDTQSPFRTPPSLSYRCSEQQLNGGVVSDGGPEQLGAGEHKKALLDLLDQVEDAIMEEELPADGENKGEQLINGDPKNISCSPIADSDQNVPSQKSLDAPPFDSFLVLEVSEKHKGDNLPCDRYPVKVLRLLNEHGGKEHAVHLCDEWFHSLISPGDTVSVIGEFTDQGICIIDHDKNLVIVHPELLISGTRVASSFHCPRRSVLDDRLKSNEYSTSALIGTLLHQVFQAGLLEDVPSTQFLEQQAKEVLLKNIESLYACGASESNTLKTLIEAVPKILNWYKCFMKVSKCNNVNFGQIEGQKTVGVVEVMDIEEMAWAPRYGLKGIIDASIRSRVSCNGSSYDRVMPLEFKTGKGTSGQTAVEHTAQVILYTLLMTERYLNKDIDLGLLYYLHTDQTLGIKVKRSDLIGLIMRRNELATEILKASISQSFPPMIQAHGGNAATSGLGDLFDNLVNHLTVAHHTFLKHWDRLIDLEARVSQVKKKEIFQPHHSNTGSRHSSPSYFVLDVTNGHSIDSSGKSKRYIYTFLRQKLQPETADQPGEHVESVDFSLKCGDSVVLSTKSGRIAVANGYIRDLSRAHITVSLPRRLRLPGSNSLLEQGDLQCEVWRIDKDESVSSFATMRLNLVQLFAENPQNSHLRKLIVDLEAPRFDSGGLLSQDLALSYIQSLPNLNNDQQRSLHKILAAKDYALILGMPGTGKTYTMVHAVKSLLMRGESILLTSYTNSAIDNLLMKLKAEGVDFLRIGRHEAVHPDVKGHCLSTTDIQSVDAVKSRMEQVQVVGVTCLGIYHPLLAHKKFDTCIMDEAGQITLPSSEARENGMGVSLFWRLSEAHPQAISALRCQYRMSSGIMELSNSLIYGNRLSCGSLEIANAKLKLSGRGPVRLKLKEILNPDRAVVFANTDEVPAFEAKEHRTVNNPTEALIVSWITNELLKRGVAQDGVGIITPYNAQANLIQQHVDASVEVHTIDKYQGRDKECIIVSFVRSNGNSRASGSSLLGDWHRINVVLTRAKKKLIMVGSAATLSTIPLLRLMIEKVAERGGQLDLTNKDVQSIRELTSSRLNVQ >ONIVA04G22460.1 pep chromosome:AWHD00000000:4:22821357:22822356:-1 gene:ONIVA04G22460 transcript:ONIVA04G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSRHPYECGVGVCAPCLRDRLLALAAAQNEASSLPPPPEPVPVFPRSVSPYVCRRKSDASGARRRTPSLLFFRTPQVGPAYGGGGGGGGGAGGFEEGDIEFEIRRRSSKFSVLAALFGAHRQHGSEEKRSSKERKHRSWLAAIMAPWGPRKKDPAVASPPRHSCHVISNRGLSPAMRRIHPGQPRAAKLSH >ONIVA04G22450.1 pep chromosome:AWHD00000000:4:22799561:22807102:1 gene:ONIVA04G22450 transcript:ONIVA04G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYQPFYLFIVSLIDDDSKLASFSLPLLLDEWMTSTRPILLFILFLNLTMSDATEIQLQITGVRGQEDVVAESDKSTSRADGTAAAAAAAAPLPPVSSQRLRWWAVVLANIVFVLGGQSVATLLGRIYYDQGGGSLWLATVVQSCGAPLAVPLLLYFRRPEASPVARPPLLKIAAIYAGLGVLLAGDNLMYSYALLYLPLSTYSLVCATQLCFNAVFSYFLNKERFTALVLNSVVLLTFSAALVGVSHSSEETNSSVPEGKFALGFVLALSASAAFALILSLMQLTFDTVLRSNAAHAVLELQLWSNAAASCVSVAGLFISGEWSSLTAEMDGYKKGEVAYGMTLAWTAISWQLATMGMVGLVATVSSLFTNVISTVGMPLSPIMAVIFLGDRMDGAKVIAMLIGIWGFLSYVYQHYLDDAKSKNTAGSADVTQTSEAKL >ONIVA04G22450.2 pep chromosome:AWHD00000000:4:22799561:22808622:1 gene:ONIVA04G22450 transcript:ONIVA04G22450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYQPFYLFIVSLIDDDSKLASFSLPLLLDEWMTSTRPILLFILFLNLTMSDATEIQLQITGVRGQEDVVAESDKSTSRADGTAAAAAAAAPLPPVSSQRLRWWAVVLANIVFVLGGQSVATLLGRIYYDQGGGSLWLATVVQSCGAPLAVPLLLYFRRPEASPVARPPLLKIAAIYAGLGVLLAGDNLMYSYALLYLPLSTYSLVCATQLCFNAVFSYFLNKERFTALVLNSVVLLTFSAALVGVSHSSEETNSSVPEGKFALGFVLALSASAAFALILSLMQLTFDTVLRSNAAHAVLELQLWSNAAASCVSVAGLFISGEWSSLTAEMDGYKKGEVAYGMTLAWTAISWQLATMGMVGLVATVSSLFTNVISTVGMPLSPIMAVIFLGDRMDGAKVIAMLIGIWGFLSYVYQHYLDDAKSKNTAGSADVTQTSEAKL >ONIVA04G22450.3 pep chromosome:AWHD00000000:4:22799446:22803817:1 gene:ONIVA04G22450 transcript:ONIVA04G22450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNAGGNNSSGAANNAEVQISIPAPSKAEAAAAPETPAGKPFRWWAMVAVDVFFLIAGQTSATLLGRYYYTQGGRSKWISAFVRTAGFPILFFTLFFFPSKSPSSCTNTPMAKLAVIYIVLGLIIAADDMMYTGGLKYLPASTYSLICASQLAFNVVFSYVLNSQKVTPLIFNSVVLLTMSASLIGVSKESQGVTGVSGGKYLLGFVLTLGASCTYSLILALMQLTFETIIKKHTFSAVLNMQIYTALVATAASVVGLFASGEWRSLRGEMNAFRSGQFSYLMTLLWAAVSWQVANIGVLGLIFEVSALFSNVISTVSLPVIPFFAVVVFHDRMNGVKIVAMLIAIWGFISYLFQHYLDGKKAKKASSGDSAQGLPLLLDEWMTSTRPILLFILFLNLTMSDATEIQLQITGVRGQEDVVAESDKSTSRADGTAAAAAAAAPLPPVSSQRLRWWAVVLANIVFVLGGQSVATLLGRIYYDQGGGSLWLATVVQSCGAPLAVPLLLYFRRPEASPVARPPLLKIAAIYAGLGVLLAGDNLMYSYALLYLPLSTYSLVCATQLCFNAVFSYFLNKERFTALVLNSVVLLTFSAALVGVSHSSEETNSSVPEGKFALGFVLALSASAAFALILSLMQLTFDTVLRSNAAHAVLELQLWSNAAASCVSVAGLFISGEWSSLTAEMDGYKKGEVAYGMTLAWTAISWQLATMGMVGLVATVSSLFTNVISTVGMPLSPIMAVIFLGDRMDGAKVIAMLIGIWGFLSYVYQHYLDDAKSKNTAGSADVTQTSEAKL >ONIVA04G22450.4 pep chromosome:AWHD00000000:4:22799561:22803817:1 gene:ONIVA04G22450 transcript:ONIVA04G22450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPYQPFYLFIVSLIDDDSKLASFSLPLLLDEWMTSTRPILLFILFLNLTMSDATEIQLQITGVRGQEDVVAESDKSTSRADGTAAAAAAAAPLPPVSSQRLRWWAVVLANIVFVLGGQSVATLLGRIYYDQGGGSLWLATVVQSCGAPLAVPLLLYFRRPEASPVARPPLLKIAAIYAGLGVLLAGDNLMYSYALLYLPLSTYSLVCATQLCFNAVFSYFLNKERFTALVLNSVVLLTFSAALVGVSHSSEETNSSVPEGKFALGFVLALSASAAFALILSLMQLTFDTVLRSNAAHAVLELQLWSNAAASCVSVAGLFISGEWSSLTAEMDGYKKGEVAYGMTLAWTAISWQLATMGMVGLVATVSSLFTNVISTVGMPLSPIMAVIFLGDRMDGAKVIAMLIGIWGFLSYVYQHYLDDAKSKNTAGSADVTQTSEAKL >ONIVA04G22450.5 pep chromosome:AWHD00000000:4:22799446:22801580:1 gene:ONIVA04G22450 transcript:ONIVA04G22450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNAGGNNSSGAANNAEVQISIPAPSKAEAAAAPETPAGKPFRWWAMVAVDVFFLIAGQTSATLLGRYYYTQGGRSKWISAFVRTAGFPILFFTLFFFPSKSPSSCTNTPMAKLAVIYIVLGLIIAADDMMYTGGLKYLPASTYSLICASQLAFNVVFSYVLNSQKVTPLIFNSVVLLTMSASLIGVSKESQGVTGVSGGKYLLGFVLTLGASCTYSLILALMQLTFETIIKKHTFSAVLNMQIYTALVATAASVVGLFASGEWRSLRGEMNAFRSGQFSYLMTLLWAAVSWQVANIGVLGLIFEVSALFSNVISTVSLPVIPFFAVVVFHDRMNGVKIVAMLIAIWGFISYLFQHYLDGKKAKKASSGDSAQG >ONIVA04G22450.6 pep chromosome:AWHD00000000:4:22807005:22810190:1 gene:ONIVA04G22450 transcript:ONIVA04G22450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQEIQLQIREQESDHGEDGSAPKAAAVRGGSPRGGVRWWLSVAADMFMVLCGQTVATLLGRLYYNSGGNSKWMATLTQSAGSPLLAILLLFTPAPAADEPRPAAAKMAPIYVGLGIIIGFDNLMYSYALQYLPVSTFSLVAATQLGFNSVTSRLINAQRFTVLIANSVVVLTFSAALLGIGASSDETASSVPRGKYPAGFALTLAASAVFALILSLFEATFEKVVRTRTLRWVLRAQLWTNVVASTVSAVGLLASGDWRTIPAEMAAFKDGRARYVATLVGTAVSWQVMAVGSLRLIVRVSSLFANVTGTLSLPLVPVFAVALFGDRMTGIKAVSMLMAVWGFLSYAYQQYIDGRRAAGAGKGRAAAECRVCAARAGSDPDSPA >ONIVA04G22440.1 pep chromosome:AWHD00000000:4:22794955:22797521:1 gene:ONIVA04G22440 transcript:ONIVA04G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRALSPLVFTLLLLLAASTSDASILEETCKSLAGNHTAIDYPYCVRFFQASKESATADTHGLAAIAVRITEAAAEGTAKRIAALRSSHKEEKMQECLRISSDLYAYMLAVLGNEAKAAALVDGGAQHAAASPPTPDVARYVADGCEGRFRGNKETLPLVAEYAEFRQSASIALALIEAISPPSDQTRKAMTTMKLVRSFSPLIVFSLLLLLTSSTTSRASLVDDACTSFAASHADIGYAYCVRFFQSDEVSATADRYGLAAIAVKISAATARGTAKRIADLQDLERDKRRKDCLSACGEVYDSAVDSLDEAAKGIASRSADGLRDAVTVLSAALDTPDTCEDGFRELGLASPLVAEDEEFSKESAIALGVTSALSPPS >ONIVA04G22430.1 pep chromosome:AWHD00000000:4:22792427:22793091:1 gene:ONIVA04G22430 transcript:ONIVA04G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMNPAWQSGQPPQCSTGEDGARPPPGAWPRGFDLYGWAYSEGLHRHHGGDTLWRVCSLAPSPPLSALNVSRQITGTLTDLTLRAIMGECGFRWHEEFLETLGEAQKKATRFGVADLFPSSRLLPAVGSRSGDGRQELTRELAGAAVEVGWS >ONIVA04G22420.1 pep chromosome:AWHD00000000:4:22785011:22786093:1 gene:ONIVA04G22420 transcript:ONIVA04G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSSPSPPSADPVTGSSDAASSFLPSLLIIAALLAFVLLASVSIHLLLRLLSRSSPPPPPPPPPLPRTRREVHNVEAADASPVRRNGVCEGKKEVVGDEKQRLIESLPLFTMASSLAALPKSSPDCAVCLSPFTLDAELRLLPACRHAFHAACVDAWLRTTPSCPLCRATVTLPHPSISAILAAEQPPPPEPRSRDRSRSFRVEMGSVSSRSASTATGGNARPTYSLGTFDYHIDEEVEAVVSRAAPMTTRSAAAVKEDKPAAEQSPPPPGEAVAEAAGATRGWLREYVERLATSASSLSSFSGRWSSRWSQSYQSHHSHSQEEPWLWDAEAVRMSPPGTEEEETAFMVLYRWIAGV >ONIVA04G22410.1 pep chromosome:AWHD00000000:4:22780300:22781841:1 gene:ONIVA04G22410 transcript:ONIVA04G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTLFRWLFLLLAIVSLAAADSGSGEAETTVPGQIRLSCGASASATDGDGRAWDGDAVSTFAPSVTGVAADASYQDPSLPSPVPYMTARVFSSSYTYSFPVKPGRVFLRLYFYPSAYGNLGAAAAAADALFGVTAGGITLLRDFNASQTALAVGYAYIVREFSLNVSSGATSLNVTFAPSPRGAPGHGSHHYAFVNGIEVVPTPDMFTTPVPAFANGGRPNPMPLRADTAFQTMYRLNVGGEAITPRDDAGGFYRTWDNDAPYIFGAAFGVTFAKDSNVSVRYNPPSVPKYAAPEDVYATARSMGPNAQINLNYNLTWILPVDAGFYYLLRFHFCEIQYPITKVNQRSFFIYINNQTAQSQMDVIVWSGGIGRAVYTDYLVVTVGSGQMDLLVALHPDLSSRPEYFDAILNGLEVFKLHKYGTHSLAGPNPPIPLKQVFSTVDGSRSESRKKSVVCAAVGGVAAGCFLAVLVAFAVAWAVRRRQRKAAAEKPADGLLGPTKGSALYDPVQK >ONIVA04G22400.1 pep chromosome:AWHD00000000:4:22768573:22768776:-1 gene:ONIVA04G22400 transcript:ONIVA04G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVCISTCSISSFPQIHSHPEVLGAAAPEAGGGAALQRGREQVAAQLLGVARSKLRLRLLGEAGRRR >ONIVA04G22390.1 pep chromosome:AWHD00000000:4:22767281:22771860:1 gene:ONIVA04G22390 transcript:ONIVA04G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSPPFARSPPERMDGCLHGHAAGHRSALATSVIVSCPSPSRSAVPPGVDAGSLEGLSNWSRTPAASCCSRRHVEEPSPPPSGLAEESEPQLAPGHAEELRRHLLPAALKSCSTACFWRRRAKDFGMGMDLGEGGYRTCTDAHPHILLKGTMTTQVWLPLSQSLPTSISKLSTTGSVREGNKLTRNRRQGMCMMRSARRATQFARMGWRCELQGCELWKNG >ONIVA04G22380.1 pep chromosome:AWHD00000000:4:22760223:22764067:-1 gene:ONIVA04G22380 transcript:ONIVA04G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLACAFFFDAEPVGETGRHALDACALCTKPLRRDSDIFMYRGDTPFCSEECRYEQMHLDAACARQAASARRKQQQQGQRSRHETAPAAPVSRKAGINIITMAASLACAFFFDAEPVGEQGRHALDACALCTKQLTRDSDIFMYKGDTPFCSEECRYEQMQLDAVYARSAGRRQQQQYSGRADSRRGHRETRKVSVTS >ONIVA04G22370.1 pep chromosome:AWHD00000000:4:22758370:22759444:-1 gene:ONIVA04G22370 transcript:ONIVA04G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQTAEAGAVTAHARAPCVKRLRITRCVLLYMACSTNICHDSRRLEEELSSTIGLFWNLDTPLQCACLTMWCCRLNRGQKAGHLGALLNF >ONIVA04G22360.1 pep chromosome:AWHD00000000:4:22749618:22750099:-1 gene:ONIVA04G22360 transcript:ONIVA04G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYHPTSIHDDIEAGFSGHSASPVKPAASPRRPGGRLFCDPCDDADDLLGHHHYLDICFRCRRPLGGNRDIFMYRGDMPFCSEECRQEQIEIDEAREQRSKQTGRAEQERQRQQKASHPRIPVWAW >ONIVA04G22350.1 pep chromosome:AWHD00000000:4:22744989:22746064:-1 gene:ONIVA04G22350 transcript:ONIVA04G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGAAMPSSTFYVHEADVAQIHHFLEECSLCGKSLSGDIFMYRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHAVSVRKEHRNHHHHHRHHHQQPQPRPAIDANPWGTARGPALRWKPFVSPKLLGGFGGHQGPVPLPSCKI >ONIVA04G22350.2 pep chromosome:AWHD00000000:4:22745612:22746064:-1 gene:ONIVA04G22350 transcript:ONIVA04G22350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGAAMPSSTFYVHEADVAQIHHFLEECSLCGKSLSGDIFMYRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHAVSVRKEHRNHHHHHRHHHQQPQPRPAIDANPWGTARGPALRV >ONIVA04G22340.1 pep chromosome:AWHD00000000:4:22734482:22736117:-1 gene:ONIVA04G22340 transcript:ONIVA04G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSESGSGSGSRGEITLAIGGGGASAAAWTRLVSSGMEDVLVVGGAGGWRGGGGDGAGGLLPYGHFLEACFLCRKPLASNRDIYMYRGDIPFCSEECRREQIEMDDEMERKEKKNISKKVAPRTPDPREVESTPRPPKARAGSILAG >ONIVA04G22330.1 pep chromosome:AWHD00000000:4:22730172:22731617:-1 gene:ONIVA04G22330 transcript:ONIVA04G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAALRPPFRRARLSTSHGGGGGVTHPLRPRLQRRALACRADLQQDAPFAAAIGACLLASLVLPTSRGRRDDDEEEGEFGATDTRMGVMGIISLLPYFNWLSWIFAWLDSGKRRYLFYAAAYLAPYLRTNLSLSPEESWLPIASIFACILHVQLEASIRTGDIETFRFVQRAWRLIFPSALKEDVQHRNKGKSIRMGSGNRRIPSAHESREKLRNSDIFKRRLDEPNDEKQNKSDWN >ONIVA04G22320.1 pep chromosome:AWHD00000000:4:22723901:22727012:-1 gene:ONIVA04G22320 transcript:ONIVA04G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H571] MERPPRRPSAARPRPRSLQRAAAALFLAILVALPFAVLYRGPVSRSLHDSWEWDPLPSLDASEEDGAARDDDLDSQDLKLEQVLQKASMGDNTVILTTLNSAWASPGSVIDLFIDSFRSGVRTSSLLNHLVIIAFDWNAYKQCLKIHPYCFALGTDGVDFSEEKRFLTSGYLEMMWKRIDFLRLVLESGFSFIFSDADIMWFRSPFPYFYPDGDFQIACDHYFGNATDLRNIANGGFNYVKSNERSIEFYSFWYSSRLRYPGLHDQDVFNVIKHDPYVSDIGLKIKFLSTSYFGGFCEPSRDLNKVCTMHANCCIGLQSKSYDGRLEELFVLTSKLEKIECIGMEGAAKLQMHAKPPSTVHLCKAY >ONIVA04G22320.2 pep chromosome:AWHD00000000:4:22724430:22727012:-1 gene:ONIVA04G22320 transcript:ONIVA04G22320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H571] MERPPRRPSAARPRPRSLQRAAAALFLAILVALPFAVLYRGPVSRSLHDSWEWDPLPSLDASEEDGAARDDDLDSQDLKLEQVLQKASMGDNTVILTTLNSAWASPGSVIDLFIDSFRSGVRTSSLLNHLVIIAFDWNAYKQCLKIHPYCFALGTDGVDFSEEKRFLTSGYLEMMWKRIDFLRLVLESGFSFIFSDADIMWFRSPFPYFYPDGDFQIACDHYFGNATDLRNIANGGFNYVKSNERSIEFYSFWYSSRLRYPGLHDQDVFNVIKHDPYVSDIGLKIKFLSTSYFGGFCEPSRDLNKVCTMHANCCIGLQSKSYDGRLEELFVLTSKLEKIECIGMEGAAKLQPFLVTPIKRPYGQQKEMKMVKPAESALPSPRKNLQLNI >ONIVA04G22320.3 pep chromosome:AWHD00000000:4:22724665:22727012:-1 gene:ONIVA04G22320 transcript:ONIVA04G22320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H571] MERPPRRPSAARPRPRSLQRAAAALFLAILVALPFAVLYRGPVSRSLHDSWEWDPLPSLDASEEDGAARDDDLDSQDLKLEQVLQKASMGDNTVILTTLNSAWASPGSVIDLFIDSFRSGVRTSSLLNHLVIIAFDWNAYKQCLKIHPYCFALGTDGVDFSEEKRFLTSGYLEMMWKRIDFLRLVLESGFSFIFSDADIMWFRSPFPYFYPDGDFQIACDHYFGNATDLRNIANGGFNYVKSNERSIEFYSFWYSSRLRYPGLHDQDVFNVIKHDPYVSDIGLKIKFLSTSYFGGFCEPSRDLNKVCTMHANCCIGLQSKVHDLRVMMEDWRSYLSLPPSLKRLSALAWRVPQNCRY >ONIVA04G22310.1 pep chromosome:AWHD00000000:4:22717914:22723581:-1 gene:ONIVA04G22310 transcript:ONIVA04G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) TAIR;Acc:AT2G17250] MGRSSSATAAGSKKRRSKTGALTLGEVKTLGEELLSSRANLNHAPTLLALLSPSAPLDLALEALISLQSFFVPLLPSIPSAAATAAAAAGDADPELVFRSWLRKRFDELVAALVELTVSPHSDDAIRDLALDALMDFVKLGKDGRFQSAIYHKFIHNLIHATDSIDPLLELLGPKYFIYTDVCYFTYTSLDKIASSIGNKATGSEKSGLHSGDDGPEDRGTIYVRNIYNTLAHIPALDFQESKFNMWSTVGLSSKGEKNSSEDCSATYINKKLKSKFSKAWISFLKLPLPLDVYKEVLATIHKNVIPSMSNPAIFDFLTRSYDIGGVISVMALSGLFILMTQHGLEYPKFYDKLYALLTPAVFMAKHRAVFLQLLDACLKSSYLPAYLAAAFAKRLSRLTLSVPPAGALIIIALIHNLLRRHPSINFLVHWEIDANDSEIFNEASQRKKVGADPFNNEETDPAKSGAMRSSLWEIDTLRHHYSPAVSRFVASLENDLTVRAKTTEMKITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPTCLFQESDFPGWTFRDQFKNMAETSVEGNGISTVDISNSSPAKRLRMET >ONIVA04G22310.2 pep chromosome:AWHD00000000:4:22717914:22723581:-1 gene:ONIVA04G22310 transcript:ONIVA04G22310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) TAIR;Acc:AT2G17250] MGRSSSATAAGSKKRRSKTGALTLGEVKTLGEELLSSRANLNHAPTLLALLSPSAPLDLALEALISLQSFFVPLLPSIPSAAATAAAAAGDADPELVFRSWLRKRFDELVAALVELTVSPHSDDAIRDLALDALMDFVKLGKDGRFQSAIYHKFIHNLIHATDSIDPLLELLGPKYFIYTDVCYFTYTSLDKIASSIGNKATVAMMGQKTGLSSKGEKNSSEDCSATYINKKLKSKFSKAWISFLKLPLPLDVYKEVLATIHKNVIPSMSNPAIFDFLTRSYDIGGVISVMALSGLFILMTQHGLEYPKFYDKLYALLTPAVFMAKHRAVFLQLLDACLKSSYLPAYLAAAFAKRLSRLTLSVPPAGALIIIALIHNLLRRHPSINFLVHWEIDANDSEIFNEASQRKKVGADPFNNEETDPAKSGAMRSSLWEIDTLRHHYSPAVSRFVASLENDLTVRAKTTEMKITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPTCLFQESDFPGWTFRDQFKNMAETSVEGNGISTVDISNSSPAKRLRMET >ONIVA04G22310.3 pep chromosome:AWHD00000000:4:22717914:22723581:-1 gene:ONIVA04G22310 transcript:ONIVA04G22310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) TAIR;Acc:AT2G17250] MGRSSSATAAGSKKRRSKTGALTLGEVKTLGEELLSSRANLNHAPTLLALLSPSAPLDLALEALISLQSFFVPLLPSIPSAAATAAAAAGDADPELVFRSWLRKRFDELVAALVELTVSPHSDDAIRDLALDALMDFVKLGKDGRFQSAIYHKFIHNLIHATDSIDPLLELLGPKYFIYTDVCYFTYTSLDKIASSIGNKATGSEKSGLHSGDDGPEDRGTIYVRNIYNTLAHIPALDFQESKFNMWSTVGLSSKGEKNSSEDCSATYINKKLKSKFSKAWISFLKLPLPLDVYKEVLATIHKNVIPSMSNPAIFDFLTRSYDIGGVISVMALSGLFILMTQHGLEYPKFYDKLYALLTPAVFMAKHRAVFLQEIDANDSEIFNEASQRKKVGADPFNNEETDPAKSGAMRSSLWEIDTLRHHYSPAVSRFVASLENDLTVRAKTTEMKITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPTCLFQESDFPGWTFRDQFKNMAETSVEGNGISTVDISNSSPAKRLRMET >ONIVA04G22300.1 pep chromosome:AWHD00000000:4:22711951:22715689:-1 gene:ONIVA04G22300 transcript:ONIVA04G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0H566] MKFIFYLFSIFCCLCSCAQSQNISGRPDAVRIGAQFARNSTIGRVAAVAVLAAVNDINNDSNILPGTKLDLHMHDSSCNRFLGIVQALQFMEKDTVAIIGPLSSTTAHVLSHLANELHVPLMSFSATDPTLSSLEYPFFVRTTVSDQFQMTAVADLVEYYGWKQVTTIFVDNDYGRNAISSLGDELSKRRSKILYKAPFRPGASNNEIADVLIKVAMMESRVIILHANPDSGLVVFQQALKLGMVSNGYAWIATDWLTSYLDPSVHLDIGLLSTMQGVLTLRHHTENTRRKSMLSSKWSELLKEDSGHSRFLLSTYGLYAYDTVWMLAHALDAFFNSGGNISFSPDPKLNEISGRGLNLEALSVFDGGQLLLEKIHQVDFLGATGPVKFDSGGNLIQPAYDIVSIIGSGLRTVGYWSNYSGLSVISPETLYKKPANRTRETQKLHDVIWPGETINKPRGWVFPNNGNEIKIGVPDRVSYRQFVSVDSETGMVRGLCIDVFVAAINLLAYPVPYRFVPFGNNRENPSYLELINKIITDDFDAVVGDVTIVTNRTKVVDFTQPYVSSGLVVLTSVKRQNSGGWAFLQPFTIKMWTVTGLFFLIIGTVVWMLEHRINDEFRGPPAKQLITVFWFSFSTLFFAHREDTRSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLTSPITGIDSLITSDVPIGFQVGSFAENYLAQELGVAHSRLKALGSPEEYKKALDLGPSKGGVAAIVDERPYIELFLYQNPKFAVVGSEFTKSGWGFAFPRDSPLSVDLSTAILELSENGDLQRIHDKWLASDMSSMSQASELDQDPDRLDVYSFSALFLICGLACIFALAIHACNLFYQYSRHAAEEDPAALQPSASDGSRSLSRRSKLQSFLSFADRREADIRRAAKEKAAGLGGSGGSMSGVSFTSSGSGSTTASC >ONIVA04G22290.1 pep chromosome:AWHD00000000:4:22703056:22709087:-1 gene:ONIVA04G22290 transcript:ONIVA04G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT2G33640) TAIR;Acc:AT2G33640] MARRHGWQLPAHTLQVVAITVFFLLCIEFYAFFSPFLGKDLYQFIAIGVYSFLALSVLILYVRCTAIDPADPGIMITVNGALTYKSEAKLDTQDETGKSESRTYEDVQKHKSCLGAGCLCCAIFVKEDCRKDDEAYQQEDYDEEALFCTLCNAEWLNNCVGRKNYVTFLCLMAVSLAWLAVESGVGIAVFVRCFTDKAAIEDQIGEKLGYGLSRALFAAIVALGTALSMLASVPLGELFFFHMILIRKGITTYEYVVAMRAQSEPPGPSVNDEQQSLPSSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLQPGRVPSTVDPDSMNPTERAKHYPKRPVRISAWKLAKLDSNEAMKAAARARASSSVLKPVNTRAQYEADRCSSDNTSCRSSVMSVDIGNHINSRSVRNSQYKSSYPPSRASADDIELYPQTPSSFQSNSQTPTPISEHHPAKHFNPIYQTSANRSPFSAVASGVNEASVSDISTRRFGASNADRSSRSSVYWDQDAGRFVSSQANHGSSSRLPRSDLLYTGQSIFFGGPLMTDPATRSFRDPGGSSQRAGASRPHQLPVFVPSDPQKDRFSRLP >ONIVA04G22280.1 pep chromosome:AWHD00000000:4:22698408:22698995:-1 gene:ONIVA04G22280 transcript:ONIVA04G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMAARKLLEQPGTAAPPVLGGSIADDRDIVIILASLLCALICVLGIGLVARCACSRRGGGGPDAAAANKGVKKSVLRAIPTVEYVSPGGGGKGKEEEEAAAEEGEQSECAICLSDFEHGDAMRVLPQCGHAFHAACIDKWLRGHSSCPSCRRILVLQLPQGERCQRCGARPEPAAASAVWKPTHYSEVPPFLP >ONIVA04G22270.1 pep chromosome:AWHD00000000:4:22688301:22690523:-1 gene:ONIVA04G22270 transcript:ONIVA04G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multiple chloroplast division site 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) TAIR;Acc:AT1G20830] MAPASVAVSLSFRVVPPRPCRRRARWPRPIRASSDGSGVANGDRKVGALERRVGDLRAVVASVHPAVAAIKKNIGLNFIAGFCLGITLLAAVARQVIIRIHERDNKGSVADLIRRGQLKSGQRGIAKPRVYDDPFNNPLVKIDEDTSTAQVFGKEYRLAPIRLTKEQQAMHQKRRSRTYQWKRPTVFLKEGDSLPPDVDPDTVRWIPVNHPFAAASTEVDEETAKQNVYQKDGVPSRVKAEHEALQTRLEASNNVNKFSSSQRNMQLSDRQLRLSSNESSGSRQNSLSGTVKNQNGQPTLESEKRSPDNNSQSKSLEEQ >ONIVA04G22260.1 pep chromosome:AWHD00000000:4:22684601:22689301:1 gene:ONIVA04G22260 transcript:ONIVA04G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding [Source:Projected from Arabidopsis thaliana (AT5G65960) TAIR;Acc:AT5G65960] MESGAGSLEARPGVLVVGAPGVGKRTILSRLLAAEIPDTHDLSSGVLCQGWNIDTKYYSADLSVWTAHLGQGFSLDSLPHLDQLDALVMESSFLTLQNWVSSVDVQRFEILLCIGNKADLVLGHGAHVEYRRRMQKIGESSTDPHPEYLDFGINESEGCGLLSEEEPQIEIRDSASHWCIEHNIEYVEACASNADFDKCLSVNGDSQGLERLLGALSAHMWPGMILKSGNRITVPSLVEKEESTDDESNYEFEYEVLSHGSDEQWEFIGESSTSRDLEGLNEDTATHDKTNQVVDADANSVASNPLPNGRMTETAEEKLVPQSHKSEVSNDMDNTQADASEKLQAGIPEGNKLFEDEHYGLDDLERLMSEIGNMRSNLRLMPDFQRKEMAAKLAMKMATMFGDNDEEGSEDI >ONIVA04G22260.2 pep chromosome:AWHD00000000:4:22684601:22689301:1 gene:ONIVA04G22260 transcript:ONIVA04G22260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding [Source:Projected from Arabidopsis thaliana (AT5G65960) TAIR;Acc:AT5G65960] MESGAGSLEARPGVLVVGAPGVGKRTILSHLSVWTAHLGQGFSLDSLPHLDQLDALVMVFDMSDESSFLTLQNWVSSVDVQRFEILLCIGNKADLVLGHGAHVEYRRRMQKIGESSTDPHPEYLDFGINESEGCGLLSEEEPQIEIRDSASHWCIEHNIEYVEACASNADFDKCLSVNGDSQGLERLLGALSAHMWPGMILKSGNRITVPSLVEKEESTDDESNYEFEYEVLSHGSDEQWEFIGESSTSRDLEGLNEDTATHDKTNQVVDADANSVASNPLPNGRMTETAEEKLVPQSHKSEVSNDMDNTQADASEKLQAGIPEGNKLFEDEHYGLDDLERLMSEIGNMRSNLRLMPDFQRKEMAAKLAMKMATMFGDNDEEGSEDI >ONIVA04G22250.1 pep chromosome:AWHD00000000:4:22681875:22684472:-1 gene:ONIVA04G22250 transcript:ONIVA04G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTQALADALRSCGSRGALAGARALHGRLVTVGLASAVFLQNTLLHAYFSCGALSDARRLLRADIKEPNVITHNIMMNGYAKQGSLSDAEELFDRMPRRDVASWNTLMSGYFQARRFLDGLETFVSMHRSGDSLPNAFTFCCVMKSCGALGCRELAPQLLGLFWKFDFWGDPDVETALVDMFVRCGYVDFASRLFSQIERPTIFCRNSMLAGYAKLYGIDHAIEYFEDMAERDVVSWNMMIAALSQSGRVREALGLVVEMHRKGVRLDSTTYTSSLTACARLFSLGWGKQLHAKVIRSLPQIDPYVASALIELYAKCGSFKEAKRVFNSLQDRNSVSWTVLIGGSLQYECFSKSVELFNQMRAELMAIDQFALATLISGCFNRMDLCLGRQLHSLCLKSGHNRAIVVSNSLISLYAKCGDLQNAEFVFSSMSERDIVSWTSMITAYSQIGNIIKAREFFDGMDTRNAITWNAMLGAYIQHGAEEDGLKMYSAMLSQKDVTPDWVTYVTLFRGCADIGANKLGDQIIGHTVKAGLILNVSVANAAITMYSKCGRISEAQKLFDLLNGKDVVSWNAMITGYSQHGMGKQAAKTFDDMLSKGAKPDYISYVAVLSGCSHSGLVQEGKLYFDMMTRVHGISPGLEHFSCMVDLLGRAGHLTEAKDLIDKMPMKPTAEVWGALLGACKIHGNDELAELAAKHVFELDSPDSGSYMLLAKIYSDAGKSDDSAQVRKLMRDKGIKKNPGYSWMEVENKVHVFKADDVSHPQVIAIRNKLDELMEKIAHLGYVRTESPRSEIHHSEKLAVAFGIMSLPAWMPIHIMKNLRICGDCHTVIKLISSVTDREFVIRDGVRFHHFKSGSCSCGDYW >ONIVA04G22240.1 pep chromosome:AWHD00000000:4:22677146:22683148:1 gene:ONIVA04G22240 transcript:ONIVA04G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGSLRSKYLSFKQTASQRHDTDDNNNAAAADSPKKPSRPPAAAKTDDHPVSASAPAAAMRRGQAPADLGSVLGHPTPNLRDLYAMGRKLGQGQFGTTYLCTELSTGVDYACKSISKRKLITKEDIEDVRREIQIMHHLSGHKNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQTFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGFIDFDSDPWPVISESAKDLITKMLNPRPKERLTAHEVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDADNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADNFSLMIVFLLQTFQKQVNMADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAL >ONIVA04G22230.1 pep chromosome:AWHD00000000:4:22668320:22673433:1 gene:ONIVA04G22230 transcript:ONIVA04G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H558] MAPPPSSLLRDLLAADGFRNRRKPPDSNPPAAPRTTSMPLQHRRPSRPARSQSDVLTRSHLRETNNVGTSDGDGVDAGEEQRTATRRSSASLMSARSYNNNKDSGGGAMRGGSAAAVPALDESVLTALISLVAGAVKRFVRDEGFRASLRGGCMSCLGGESNHRAVLDLRVIVHTVERAASEGLDDPRDLKRASLRLHAMASLDAKEADAVSAAGVPYQRLAACAHLYMSVISKLQEKDHSSAVHVLEAFCLAPHEARTSLLPALWDRLFRSGLSHLKAWRDRESATAASSDTRVKDVEKMFVEAVDDGTRALACYYRDWLLGRSQAMSLPVVPAPPSTVLASALRFSTSTSYDIGSDVACSSGSYSPAVKFALDETPSQYDREIEEEEEAEVDEKTADAESVFHECDGTEPKSYTHSLQTEENELMPDKLANEASKRKSEDERSRQPDESTSYVPISDITAIDLPTLEFCEGPDLQSDTDGSQISIFATIPSDFLCPLTRQIFNRPVTIETGQTFERHAIVQWFDKGIRMCPITGQELESLSIPDINRVLKRLIDNWKSEHCKTLNSESTCPEKELTATFVENVLSSECETSEKLEKARRLMAIGGIDFLLHKFHGGGEDEKAQAAELLLLCIRAEGSCRNYMAIRICNSSVVQLLHSEVISARSSAVRLLVELLCLKRFCLLFVTPNASKYVLYVEPHRDSVYREEAAKIITHSLRCSLSEENVVANIRKALLLLGGHFSFSGDLLAEDRMLKQAGFVDGSRVTRADSDAAVQDKGRDEDEVWLRDVTAALLGSGRRPFLEALSMCMSSPNHDLAATCLTTAAWLSRSLASIDAADVQLAAFSALIPRLKQRLAGDRSQAQHRVLASVTLYNFSKIPDCRALLMLLADGLRDHLVELAELTWTAGQLITELQE >ONIVA04G22220.1 pep chromosome:AWHD00000000:4:22659828:22663894:-1 gene:ONIVA04G22220 transcript:ONIVA04G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWLERIASACWDRVRRYALTRRDEEDGSGSGGDADDLLLWSRDLVRHAAGEFSFAVVQANDVLEDHSQVETGAAATFIGVYDGHGGAEASRFISNHLAAHLVRIIWKGTLYLANLGDSRAVVGCLTGSNKIVAEQLTRDHNASMEEVRQELRSLHPDDSQIVVLKNGVWRIKGIIQGIARRLVKAALKEAARKREMKYNDIKKLEKGVRRFFHDDITILGSTCSCLHFNFPNFDTTSVDDFSFSPGSGIANGSLQITLSTGNITNQSGRVCYTRETLRLWDSKKRTVASFRTEFVLNILPNQQQNETGEGLAFILTSNLSSPRGSSGQWLGIANEQTDGSPANRIVAVEFDTRKSYDEDLDSNHVGLDVNGIRSVVQYPLSNAWPIDLSRDLSEEIYVGFAGSTGEFTELNQIKSWKFITAGDFDSKAARQEWTGHR >ONIVA04G22210.1 pep chromosome:AWHD00000000:4:22657086:22657651:-1 gene:ONIVA04G22210 transcript:ONIVA04G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATAATWVASGEEVGFPGYGRGEVDMSGKNYDAEPSSETKLVTVRVKRTSNRQGRHSRQGHRSRRATAAPLVLRCREAKPTQSSLALSSSPRFRHDTIIS >ONIVA04G22200.1 pep chromosome:AWHD00000000:4:22653787:22655820:-1 gene:ONIVA04G22200 transcript:ONIVA04G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G06740) TAIR;Acc:AT5G06740] MAILHSTTFCFSFVASLALIILDRTCSCLQFTYPSFGTPNKADFNFSAGSGIANGSLVITPSTGDISHRSGRVLYARETLKLWNSRRSALTSFRTEFVLNILPRNQTGEGMAFILTNNPELPTDSSGQWLGICNNRTDGDPKNRIVAVEFDTRMSVNETDGNHVGLDINSIRSLDPYPLSNVSLILSSGADVQVRITYNSTEQVLVAILIQFDTTGAHYGSKAWSVDLSQFLFDDVYVGFAGSTGDFTELNQIKSWNFATIDDDITTGRRHGRKVLLPLVAFILFAMSSFLVFLVWRRSTRKRRLAYRNLEKMIDAHGPVKFKLKELRRATANFSSSRKLGRGGFGTVYHGYLSSMNMEVAVKRVAANNKSSSNRGEQEFVAEVNTISKLSHRNLVKLIGWCHEGGELLLVYEYFPMGSLDKLLYGGARPAELTWERRYKIICGVASALEYLHHGSSSRILHRDVKASNVMLDEEYSARLGDFGLARVIHLDEVTHHSTQAVAGTRGYMAYECFFTGRASLDTDVYAFGVFVMEVLTGRSPSSSVTYHNRQQEHDHDGRRQPMYIVDWMWRHYGDGTVLEAADAVLGGAYDEAQVERAARLALACCHPSPRERPSMRTAVQVLVGGAPAPEPPFEKPAFVWPPDGKRQEIELPHVGVLFTGGQLSFCSMTSTSITGR >ONIVA04G22190.1 pep chromosome:AWHD00000000:4:22651431:22652460:1 gene:ONIVA04G22190 transcript:ONIVA04G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSRALPADPDVHVSSDAKRTASAARVAAVEAADDEHEVDSERREGCEGNEEDHRHCRSIIGEGDEESRLFRCRSIASVADSSPPPPLAALSLSCRSASVPSRPGRAALWEDAEEAIPVPVVVTKLLSPSSSSSPSPLNASLDCVARLKSGERRVPQPRDALHHRESVQMEKRTFVGEGTTRDALNMPLPSRR >ONIVA04G22180.1 pep chromosome:AWHD00000000:4:22647325:22649522:-1 gene:ONIVA04G22180 transcript:ONIVA04G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQETKARNDQGPVADHVGHQNLMENLTDPLDSSGMDMMDEARIPKARKPYTITKQREKWTEDEHKLFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVIKESSGDNCNSLGAAPSIQIPPPRPKRKPVHPYPRKLGSTASKNVPALKQLEKPQLQVQSLYDQDNGSPTSVLTVPQIRADTLGSESGGSPTSTIDIEERCPTPSIATAELAMELPPTNDEEVKGNGDHEEVTCDRSGVPVLRLFGKRVMVNDLHQMSAPDAGNLQTVADMEVDASVETPTSGTGKFSSHGAAEANTWNPWLTNTQQFLYYLPNGQIFSVHSALPCFTYHNEGVTCTQFSNPQMVASDQQHQHQTSEAVDYKGIQREGSWTESNTSSSSVPETATHNSETTESYRNGNRNEDEMVPSPDSRKCVSPGSNCRRGFVPYKRCVADSEALLKSQAPQEEADGEMTRLCL >ONIVA04G22170.1 pep chromosome:AWHD00000000:4:22639891:22648188:1 gene:ONIVA04G22170 transcript:ONIVA04G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFDGRMPTRGVEQAIVALKKGAHLLKFGKRGKPKFCPFRLSSDEKTLVWYSKDREKHLSLNSVSTVVLGQKTICRDRDQAECWFLGLTALTSSPYSPLPLAGSKSGRQINSCAISPRSYLQRKCRLSAALDTPRHTQVYPSYGSPKIIQKKIFGGNLDSSEALFYPRHPTFCDIDSYIEKLNPKIATPVKHGLKDIMVANRRAQNITHTPKLKTFEGPRVACRLDSLKDVFLWGSVLGSMLGSEDIPKSLPRLVGSAKMLDVQSIACGENHAAIITKQGEVYTWGSYSSGRLDQKVNVNASCPKMVESLASVHVKAVAFGSKHTCAITVSGELFEWGEGAHSLGLMNDWYGRNQWFPHKFLGPMDNISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHGDTQGVARPKEVESLKGSRVKSVACGPWHTAAIVEVMSSFKGNAPSGKLFTWGDADRGKLGHADRKMKLLPTCVDSLTAYDLIQVSCGTAVTVVLTVTGMVFTIGSSRHGQLGNPKADGESICSVEGPLKTEFVREISSGSSHVAVLTMSGKVFTWGKGAEGQLGLGDYSNRSSPTLVEALEGRDVESVVCGFNFTAAICLHKTMSMKDQSVCSSCQMVFGFTRKKHNCYNCGSMFCSSCTSNKITMAALAPDTNRRYRVCDACFYQLQKVVDSSKDKSRLKISKGEMFKLELKAYTPKLSRLFKEANFFAEKMASVQGTNQRNEEPATAVQTKTERWGQVECPALFISAQDSFQTQPVSNSEGCAISFSQRMHDSAVLKSGVSLRFTDAQREEIEMMQTELQGQVKQLHSQVAVLTEQCQQKSVMVQLYKQKLDDTWLVVRDEAARCKAAKDIIKVLTDQCNALSEKLSIGQLSENSKITPNSIPMQPTKIELQGNTGRNLVTRKLGQLNNTQNHGSSIQTEKECVPLSNAVVPEDVPFSQQNGARKFSSDGYTTEAPLAAPPTDSVTEQIEHGVYVTFSTSSCGKKDIKRIRFSRKHFGEKEAQHWWEHNKTRVYDNYKVEQMATPSVTLSCSSTR >ONIVA04G22170.2 pep chromosome:AWHD00000000:4:22645434:22648188:1 gene:ONIVA04G22170 transcript:ONIVA04G22170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAAVIPVAAPPRTISVSLAASPSSSHPLRPVASSVNLVMERVANRPRGFAFLSYASEEESKNAMEGMQGKFLDGRVIFVEVARQRSEL >ONIVA04G22160.1 pep chromosome:AWHD00000000:4:22635132:22635443:-1 gene:ONIVA04G22160 transcript:ONIVA04G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVF8] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONIVA04G22150.1 pep chromosome:AWHD00000000:4:22631110:22635544:1 gene:ONIVA04G22150 transcript:ONIVA04G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin A13 [Source:Projected from Arabidopsis thaliana (AT3G03220) TAIR;Acc:AT3G03220] MAPCLLLVLFLLPALATGHQHPSTLGSSALSEWRSAKASYYAADPEDAIGGACGFGDLGKHGYGMATVGLSTALFERGAACGGCYEVKCVDDLKYCLPGTSIVVTATNFCAPNFGLPADAGGVCNPPNHHFLLPIQSFEKIALWKAGVMPIQYRRVNCLRDGGVRFAVAGRSFFLTVLISNVGGAGDVRSVKIKGTESGWLSMGRNWGQIWHINSDFRGQPLSFELTSSDGKTLTNYNVVPKEWDFGKTYTGKQFLL >ONIVA04G22140.1 pep chromosome:AWHD00000000:4:22626721:22627035:-1 gene:ONIVA04G22140 transcript:ONIVA04G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLGDFLAALFYATPSLGDAVTAEHEHSGGVERGVEAVEDSGGDGAAHEEEDKESGEALVVLKEARAAVAVERDEDEGDEDDDIKELGDELLHLAIRGALER >ONIVA04G22130.1 pep chromosome:AWHD00000000:4:22619304:22619619:1 gene:ONIVA04G22130 transcript:ONIVA04G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTTSRTEDIPFDMDYANTNQVEDVDLEDNELENWNLNMFNLSNGQNNVIQI >ONIVA04G22120.1 pep chromosome:AWHD00000000:4:22615875:22618369:1 gene:ONIVA04G22120 transcript:ONIVA04G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEEKDPPKHQSKIKMNSAEIKKRKIGLGKDQGSEKKEMSGKNIKSIKETGTKGQSKELQKEESKSRKSTKDKSKKNKDMTQVQANAEEFHKEYTTKVIRKESRTGNKDNGQSGRSISLDTKKGNKDNGQAGRSISMDTEIIGRSISLDTGNKDNKDAERSIQPGNIQNMTQLVLTEHNANTLISGKKHN >ONIVA04G22120.2 pep chromosome:AWHD00000000:4:22615875:22618369:1 gene:ONIVA04G22120 transcript:ONIVA04G22120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEEKDPPKHQSKIKMNSAEIKKRKIGLGKDQGSEKKEMSGKNIKSIKETGTKGQSKELQKEESKSRKSTKDKSKKNKDMTQVQANAEEFHKEYTTKVIRKESRTGNKDNGQAGRSISMDTEIIGRSISLDTGNKDNKDAERSIQPGNIQNMTQLVLTEHNANTLISGKKHN >ONIVA04G22110.1 pep chromosome:AWHD00000000:4:22613569:22614237:-1 gene:ONIVA04G22110 transcript:ONIVA04G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYMLFSRREGMIRCDEQEEDIGCPSESELSLSSSSEGMELADDASSSGSSSSAAGHFEMSSLMTELPLKRGLSKFFDGKSQSFASLAAVGGLEDMAKPMRKRLKTSRSCGVGLGLQDAHRRGRLSPRPLCGNASAASFKKVSKGGQLSVLGASRRTRSPATAAISPRPEGMPGQALLFA >ONIVA04G22100.1 pep chromosome:AWHD00000000:4:22605640:22606644:-1 gene:ONIVA04G22100 transcript:ONIVA04G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWAQLPDDLLDNVAQRTAGIKDYVRLRAVCKSWRSFLRPRSRPPWLMLPYDPCSESCVRGFLDASDGTVHEIDLPDTRGKRCCGSSHGWLVLERWPDVWLLNPATRERVQLPPLMRRGEALAPPRFMERGARERWEDCAYRSLRRPLLQREVRVAALSSDPSVVDGGCTVVVLLGAEEEAVFCRPTDASWTPLACPAGAFAAVDVACQSGLFHLVSHHGRVAVFDLVSPLREVPTRRDRLHALAHTWDGRCLVQRRGGCGHEPLLLATWSGGGGAGELAVFRLGSDGWWTEADDVGEDVVLLAAANSGCLGFDTATCTGSNKGMLVSPVTY >ONIVA04G22090.1 pep chromosome:AWHD00000000:4:22600236:22604929:1 gene:ONIVA04G22090 transcript:ONIVA04G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13770) TAIR;Acc:AT5G13770] MAKCYSEWPPLPPLHPPRRTPSQTSLWTIRRQLASFVLHCSRSCASPLLEPKNLPDEFHAVSASTPAPVPVPVSPLPDAPKLGISNKFIRGLCSDRQTEQLAFECYRRALHQPEFLPDKKTMNALTVQLLRAKQWSSLEFLVEDFRAYGVMPERRTCARLVASCVKARKFGLADMVLGVLEGKRGAPAAVAFSSAMQAYNKLHMYRSTLLVYERMRAARLSRDADAYRAVMAACGALGKPEMVASLLKQYKSHKWYPSESCVETYAIVCDALGRAGRASDALKCLREMEADGIAPNATIYSSIIRSLADAHESSAAEDLYNEAWKKGMLGDPDMFLKVIVMHVEAGRVEKTMGVAKDMRETGLRVTDCILSTIVNGFVKRRGLKPAIRAYDKLIALGCEPGQVTYASVINVYCQLGRSDRAESVFSEMIDRGFDKCVVAYGNMISMYGKISRASDAMRLLAVMKKKRCEPNIWVYNSLLDMHGRLGNSRQAEKIWKEMMRRKIQPDRISYTAIINAFNRSGELDRCMDLYQEFRETGGKVDTALAGLMVGVFSKCSRFNELIELLKDMQGTRLDRRLYLTVLRSLRDAGLEVHVKWLQTNFSFVEEKT >ONIVA04G22080.1 pep chromosome:AWHD00000000:4:22596037:22599647:-1 gene:ONIVA04G22080 transcript:ONIVA04G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGGRAREGNKKPDDDDLVNTIFSWTLEDVMNQNLFADKVPSISFVFSIRLVNAIPDRFSGLKSYLDSFRALLLEEIRAEMSSNLETLPNNSSSTKHIQSLVRVPTGLRQCPLYRVTISDQRGACAPCIGDIVVLTDTVPRRPSDLASNGRSCCLAHVKDVVNRRTFLIRAAKKIGDADSYAFAASLLAFIPYARIWRCLDYDYALKINPPLVMAVAGVALQTTSLAGSSSFHRANGGTDEITSRLPAFGLNDSQAGAIQSCVSAVQGNGASTTSGRFSLIWGPPGTGKTKTISVLLLMLMTTATSQSRYRVLTCAPTNTAISQVASRLLALSKQHSAAAAGGLCHGDLLLFGNKDRMGIDGDLKEVFLDNRVKILQKCFSPESGWRHGLSSLQVFLSFPLALRCQYIQACIALKDGTALPESSFVRSRFHDICQKLSRCFQTILSHVPKSVILEKNYNNIILLTTMLENFRKLLSKNSAAGDEVLVGIFMKEKKPDGSDGGVVHSDLVRNLRQSMTQILGVISTLLRGLQLPATTSPFKIKKFCLRSASLIFCTVSGSAKLYEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDECQLPATVKSKAADGALLGRSLFERLTLLGHQKHLLNMQYRMHPSISIFPNFSFYDKKILDGPNVTHARHERSFLQGAMFGPYSFINIENGREDPGRSKRNMAEVAAIKKILHNLRKACVGTGEGVSVGIICPYAAQVEAIQSGIDANALRPLDVRVNSVDGFQGSEEDIIILSTVRSNSTGSIGFLSNRRRANVALTRARHCLWILGDAATLLGSGSVWGELVRDAVDRRCFYDWDDGGAGLLGVARRGHEDELDDAVEFATAFDTFADEAGCRDDICDALGSLKLA >ONIVA04G22070.1 pep chromosome:AWHD00000000:4:22576322:22595843:-1 gene:ONIVA04G22070 transcript:ONIVA04G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAADSMKRIPSTFSDLKSYLESYTSPLLEEMRTEMSSSLEAISTMPSTKISWIEQKKNNKVYDIVFDADSQNSKACNRPESYVPSVGDIIILSDVKPEHISDITRNGRPYIVAFVTEGGDEDDDSPPVKYVIISSGKIDSEDGKCQDRKEIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMVHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREMDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKEIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYPEDQKEGDSLTFYSYTRKRFNATYPELRRCFKEVLFHVPKSTILEVNYNNIISLLELLEDFNKKFMNKNIEDEVKGIFLYNDDQSDSSVSSLTKFYKTAISLGKIRIRCLELLNMLLSGLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLKHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDRKLLDAPNVKQKEHRKKYLPGLMFGPYSFFNIEDAHSKTKNKVTVGVICPYTAQVLAIQQKLGKMKFDPVIVKINSVDGFQGGEEDIIILSTVRYCLWILGNATTLSRSGSIWADLVRDAKDRQCFFNANSDKDISRVLAKHKIETNKVKDRKSTPFKVQVPSRSGMKDESPSTSTGIGGFPGDTEENVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDGYAHANFIEVVRMEKLDNEKFIFGFEVKEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENQLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEPSRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLEVLELIKILHGMINCYKGNADIWSDELLVTMIEEDSDPVLWSEQLVSVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNCYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTSKNYERKFLASKIFGPYSFINVDGGHETTEKNCRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVGKSYNMYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSIWQKIIKDAQDRGCFFDANDDKDLSNAIIKAIIEHDDAENLSKMDSMHISRPRNQGQTTVHEKSEACKIQLVWTLGISYGVGVKVYLFSCLSLGFAEGRKPLTLPPAVFACTGEKEGSKMSARNKSRRQKQVKKSECVDAIQSVVNYWEKLKKRDSSLSKFALSYLVRQIFSWSIEDVFNKELFKQKVKRIPETFTSSSNYFNSFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHEDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNTTSYILGSIVKGGEDDDDLPDNCFIARLSSVLPVETDSSTNEPKEPLFAVILINMKTYDRIWDCLHKGNSHIVDTVWRYKSKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISSPIKLIWGPPGTGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSNIVLFGNKKRMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLKWPDLLASVHTDVCNKSKIRKARLLCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMRPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSYSRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGPSCVLVFGIRGIVSWWAVAMRA >ONIVA04G22070.2 pep chromosome:AWHD00000000:4:22576322:22595843:-1 gene:ONIVA04G22070 transcript:ONIVA04G22070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAADSMKRIPSTFSDLKSYLESYTSPLLEEMRTEMSSSLEAISTMPSTKISWIEQKKNNKVYDIVFDADSQNSKACNRPESYVPSVGDIIILSDVKPEHISDITRNGRPYIVAFVTEGGDEDDDSPPVKYVIISSGKIDSEDGKCQDRKEIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMVHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREMDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKEIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYPEDQKEGDSLTFYSYTRKRFNATYPELRRCFKEVLFHVPKSTILEVNYNNIISLLELLEDFNKKFMNKNIEDEVKGIFLYNDDQSDSSVSSLTKFYKTAISLGKIRIRCLELLNMLLSGLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLKHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDRKLLDAPNVKQKEHRKKYLPGLMFGPYSFFNIEDAHSKTKNKVTVGVICPYTAQVLAIQQKLGKMKFDPVIVKINSVDGFQGGEEDIIILSTVRSNSDGAVQVPSRSGMKDESPSTSTGIGGFPGDTEENVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDGYAHANFIEVVRMEKLDNEKFIFGFEVKEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENQLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEPSRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLEVLELIKILHGMINCYKGNADIWSDELLVTMIEEDSDPVLWSEQLVSVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNCYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTSKNYERKFLASKIFGPYSFINVDGGHETTEKNCRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVGKSYNMYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSIWQKIIKDAQDRGCFFDANDDKDLSNAIIKAIIEHDDAENLSKMDSMHISRPRNQGQTTVHEKSEACKIQLVWTLGISYGVGVKVYLFSCLSLGFAEGRKPLTLPPAVFACTGEKEGSKMSARNKSRRQKQVKKSECVDAIQSVVNYWEKLKKRDSSLSKFALSYLVRQIFSWSIEDVFNKELFKQKVKRIPETFTSSSNYFNSFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHEDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNTTSYILGSIVKGGEDDDDLPDNCFIARLSSVLPVETDSSTNEPKEPLFAVILINMKTYDRIWDCLHKGNSHIVDTVWRYKSKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISSPIKLIWGPPGTGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSNIVLFGNKKRMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLKWPDLLASVHTDVCNKSKIRKARLLCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMRPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSYSRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGPSCVLVFGIRGIVSWWAVAMRA >ONIVA04G22070.3 pep chromosome:AWHD00000000:4:22576322:22595843:-1 gene:ONIVA04G22070 transcript:ONIVA04G22070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAADSMKRIPSTFSDLKSYLESYTSPLLEEMRTEMSSSLEAISTMPSTKISWIEQKKNNKVYDIVFDADSQNSKACNRPESYVPSVGDIIILSDVKPEHISDITRNGRPYIVAFVTEGGDEDDDSPPVKYVIISSGKIDSEDGKCQDRKEIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMVHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREMDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKEIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYPEDQKEGDSLTFYSYTRKRFNATYPELRRCFKEVLFHVPKSTILEVNYNNIISLLELLEDFNKKFMNKNIEDEVKGIFLYNDDQSDSSVSSLTKFYKTAISLGKIRIRCLELLNMLLSGLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLKHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDRKLLDAPNVKQKEHRKKYLPGLMFGPYSFFNIEDAHSKTKNKVTVGVICPYTAQVLAIQQKLGKMKFDPVIVKINSVDGFQGGEEDIIILSTVRSNSDGAVQVPSRSGMKDESPSTSTGIGGFPGDTEENVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDGYAHANFIEVVRMEKLDNEKFIFGFEVKEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENQLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEPSRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLEVLELIKILHGMINCYKGNADIWSDELLVTMIEEDSDPVLWSEQLVSVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNCYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTSKNYERKFLASKIFGPYSFINVDGGHETTEKNCRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVGKSYNMYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSIWQKIIKDAQDRGCFFDANDDKDLSNAIIKAIIEHDDAENLSKMDSMHISRPRNQGQTTVHEKSEACKIQLVWTLGISYGVGVKVKRIPETFTSSSNYFNSFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHEDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNTTSYILGSIVKGGEDDDDLPDNCFIARLSSVLPVETDSSTNEPKEPLFAVILINMKTYDRIWDCLHKGNSHIVDTVWRYKSKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISSPIKLIWGPPGTGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSNIVLFGNKKRMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLKWPDLLASVHTDVCNKSKIRKARLLCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMRPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSYSRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGPSCVLVFGIRGIVSWWAVAMRA >ONIVA04G22070.4 pep chromosome:AWHD00000000:4:22576322:22595843:-1 gene:ONIVA04G22070 transcript:ONIVA04G22070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCFAADSMKRIPSTFSDLKSYLESYTSPLLEEMRTEMSSSLEAISTMPSTKISWIEQKKNNKVYDIVFDADSQNSKACNRPESYVPSVGDIIILSDVKPEHISDITRNGRPYIVAFVTEGGDEDDDSPPVKYVIISSGKIDSEDGKCQDRKEIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMVHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREMDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKEIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYPEDQKEGDSLTFYSYTRKRFNATYPELRRCFKEVLFHVPKSTILEVNYNNIISLLELLEDFNKKFMNKNIEDEVKGIFLYNDDQSDSSVSSLTKFYKTAISLGKIRIRCLELLNMLLSGLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLKHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDRKLLDAPNVKQKEHRKKYLPGLMFGPYSFFNIEDAHSKTKNKVTVGVICPYTAQVLAIQQKLGKMKFDPVIVKINSVDGFQGGEEDIIILSTVRSNSDGAVQVPSRSGMKDESPSTSTGIGGFPGDTEENVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDGYAHANFIEVVRMEKLDNEKFIFGFEVKEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENQLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEPSRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLEVLELIKILHGMINCYKGNADIWSDELLVTMIEEDSDPVLWSEQLVSVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNCYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTSKNYERKFLASKIFGPYSFINVDGGHETTEKNCRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVGKSYNMYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSIWQKIIKDAQDRGCFFDANDDKDLSNAIIKAIIEHDDAENLSKMDSMHISRPRNQGQTTVHEKSEACKIQLVWTLGISYGVGVKVKRIPETFTSSSNYFNSFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHEDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNTTSYILGSIVKGGEDDDDLPDNCFIARLSSVLPVETDSSTNEPKEPLFAVILINMKTYDRIWDCLHKGNSHIVDTVWRYKSKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISSPIKLIWGPPGTGKTKTISALLWVMLHSGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSNIVLFGNKKRMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLKWPDLLASVHTDVCNKSKIRKARLLCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMRPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSYSRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGPSCVLVFGIRGIVSWWAVAMRA >ONIVA04G22060.1 pep chromosome:AWHD00000000:4:22574264:22574774:-1 gene:ONIVA04G22060 transcript:ONIVA04G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMQIVLAAGKIEAQYVEMKVPLYSYGCEKKIKKALSHLRGIHSVQVDYQQQKVTVWGICNRDDVLAAVRKKRRAARFWGDDQPDLGEDSMPADARKHYLQAFTAYRFRKSWKKLFPLIRL >ONIVA04G22050.1 pep chromosome:AWHD00000000:4:22572544:22573757:1 gene:ONIVA04G22050 transcript:ONIVA04G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAVVAELLEEYTVLVARVLEQLFNDAPFPRRMRFLMLRSLPFVPPPLPPPPPSHALRGKLRVRSKFKPKRPSAVGKNGSKYGPRKFTAEK >ONIVA04G22040.1 pep chromosome:AWHD00000000:4:22555912:22557554:1 gene:ONIVA04G22040 transcript:ONIVA04G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPASGSGMGRSDMWVRIGKISLLPFAVSALFQREARRGVAARRRGGAGKAADLAYWESAARMIADASRSGKVVVEKSTVPSGRPRPSRRSSRTTPMSSSSRCSPTRSSWRRARPSLTCVLIGGRDTAAVQALKDMYAQWVPVDRIVTTNLWSAELSKLAVNAFLAQRVSSVNAISALCEATGADVTEVATGAAQRAQVQLRRATASVGTARRRCGAPGERRQLKRLQDLACMCVHEIDGEEDDVVAAPLRCAFQSAVGTTAFQRLPVAPEFEPGLSALLMGAGEVVLAGAAEEHAGAGLGDGAVEAEVADAAIPLGRVRAGLGDRHHSPPARRRSPLLTPNKPTKKTIREKGK >ONIVA04G22030.1 pep chromosome:AWHD00000000:4:22531872:22533074:-1 gene:ONIVA04G22030 transcript:ONIVA04G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQDEEEEEAEASPREIPFMTSAAAAATASSSSPTSVSPSATASAAASTSASGSPFRSSDGAGASGSGGGGGGEDVEVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSAANEKGLLLSFEDRTGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFCRGAAQATRDRLFIDWKRRADVRDPHRFQRLPLPMTSPYGPWGGGAGAFFMPPAPPATLYEHHRFRQGFDFRNINPAVPARQLVFFGSPGTGIHQHPPLPPPPPPPPPPHQLHITVHHPSPVVTAGLPMVVDSVPHVNNPAAASKRVRLFGVNLDNPHPDGGQSSSGHDANALSLRMPGWQRPAPLRSLELPPHMPAGAAGAESSAASSPSSSSSSKREAHSSLDLDL >ONIVA04G22020.1 pep chromosome:AWHD00000000:4:22516223:22519415:-1 gene:ONIVA04G22020 transcript:ONIVA04G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATSPQDAVATSHRPPPTAPSYNSLHVLLRKKPTSAQLCGGRVGGSRRPPEIMDSFSSPSSAGSTASTEHLMEQIKAQLAQAYAQEFLETVGNKCFAKCVTKPGTSLSGSESSCISRCVDRYIEATGIVSLCSSFLLREIFATQTQFTKVEREDLGKHKDGRVTL >ONIVA04G22010.1 pep chromosome:AWHD00000000:4:22513201:22516071:1 gene:ONIVA04G22010 transcript:ONIVA04G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCNWVNFYLKSFPPPRLRSRIAVPVRPRLRRVLPSILPGDRSQCRALSCCHAGPANILRLYACEDSSLCRVSCKDVATCGRAAASAKSECCYPLSDSSMWAFTEFECREFSSWVKNWSAAAGVALGIVRGVEVEWDVPKGSEMVTCADGAVLINATAVHGGMWCAYDTDLIGDGFARGTVCSKGTCILSMIVPAPAAILGRKVTTGSVFSPGRNSPKRRGGTPGRVRRVRVQTGNEEDDPPPLAPLALPSLPLHPASGHTNCHVHPNATTAAPNGQTAASGRTTSPSPSDRPCRITPIMGHVLATPSGRATAAVCPGHATLTLGRHPTADGHRALGRSAPSVPAPCRDTSAASEPRPSCRAWGGQIRPQGVGSTVLCPGHAASPPCTGPRRPTAHRAPPLIPSRCAARTTRGAARSSYRSTGSTATPRRLAVVASDRGEDQLLSEVSHRNIARAVGFCPNSSNPVHEHFAGGTLELLTGLRHQHPFDSVAPKLREGRLHEVIDPTLLTGKQLPAPNEEERKMFELAVMYMLSAQNGLCMLGVAKELMQIVRNNIGSSSKIEISLEETFLELEPAADDLHVAQDAASPSSFKRELHHHLIHLE >ONIVA04G22000.1 pep chromosome:AWHD00000000:4:22505605:22506989:-1 gene:ONIVA04G22000 transcript:ONIVA04G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIAKPLLSDLVAQSGQVPSSHIRPVGDRPDLDNVDHESGAGIPVIDLKQLDGPDRRKVVEAIGSACETDGFFMVKNHGIPEEVVEGMLRVAREFFHMPESERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLESFIDQWPSNPPSFRQVVGTYSREARALALRLLEAISESLGLERGHMVSAMGRQAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRNGRWVAVNPVPDALVINIGDQIQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVIAPAGALVDGALHPLAYRPFTYQAYYDEFWNMGLQSASCLDRFRPNDQAV >ONIVA04G21990.1 pep chromosome:AWHD00000000:4:22491938:22492240:-1 gene:ONIVA04G21990 transcript:ONIVA04G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGHVTQSLSPFFFSIALLPSCRSLLGAASDGRRGGVATQRRQPGQAGNAAAGLDKEEEEGSDNGARRYESHHRAAMSSSHGPHLFRLGIVATHVVVGH >ONIVA04G21980.1 pep chromosome:AWHD00000000:4:22491244:22491933:-1 gene:ONIVA04G21980 transcript:ONIVA04G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELIQLAESMRQAASLLGDDDPSDESSRAAPPPSSTPSRLATRCLLAATAAPPPPPLDLTRSGCQQVGDAPAAARASSSLSTSSPRPQRRSHPPPASLHAPAVPRRPHAGSAPVDEAVGKLKSVLDNGEGDLDEVVLQAEELMAPLESHYSGWRRWL >ONIVA04G21970.1 pep chromosome:AWHD00000000:4:22490050:22490571:-1 gene:ONIVA04G21970 transcript:ONIVA04G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCSSSGSDHSSYDLALTLRRKLLLLLDILAVLRFLAAALLERLGVVSCQEDNELPGCHSWCDSDVVDTGAMERLMQAKLSTSWYRLRRRASRGGSDNMASPHGDTSADICTICLAELEAGGGGGGCQRQVVELSSCSHAFHAACIDGWVVEAGTCPLCRTPVLPPWQMAA >ONIVA04G21960.1 pep chromosome:AWHD00000000:4:22489763:22504770:1 gene:ONIVA04G21960 transcript:ONIVA04G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALCEKPRIENRPRASSPMATTQLLSTVEHRETLPEGYARPESDRPRLAEVATDSNIPLIDLASPDKPRVIAEIAQACRTYGFFQVTNHGIAEELLEKVMAVALEFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFVPEWPSNPAQFKEIMSTYCREVRQLGLRLLGAISVSLGLEEDYIEKVLGEQEQHMAVNYYPRCPEPDLTYGLPKHTDPNALTILLPDPHVAGLQVLRDGDQWIVVNPRPNALVVNLGDQIQALSNGTYKSVWHRAVVNAVQERMSVASFMCPCNSAVISPARKLVADGDAPVYRSFTYDEYYKKFWSRNLDQEHCLELFKGQ >ONIVA04G21960.2 pep chromosome:AWHD00000000:4:22489763:22504770:1 gene:ONIVA04G21960 transcript:ONIVA04G21960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALCEKPRIENVTNHGIAEELLEKVMAVALEFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFVPEWPSNPAQFKEIMSTYCREVRQLGLRLLGAISVSLGLEEDYIEKVLGEQEQHMAVNYYPRCPEPDLTYGLPKHTDPNALTILLPDPHVAGLQVLRDGDQWIVVNPRPNALVVNLGDQIQALSNGTYKSVWHRAVVNAVQERMSVASFMCPCNSAVISPARKLVADGDAPVYRSFTYDEYYKKFWSRNLDQEHCLELFKGQ >ONIVA04G21960.3 pep chromosome:AWHD00000000:4:22489763:22504770:1 gene:ONIVA04G21960 transcript:ONIVA04G21960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKALCEKPRIENRPRASSPMATTQLLSTVEHRETLPEGYARPESDRPRLAEVATDSNIPLIDLASPDKPRVIAEIAQACRTYGFFQVTNHGIAEELLEKVMAVALEFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFALSNGTYKSVWHRAVVNAVQERMSVASFMCPCNSAVISPARKLVADGDAPVYRSFTYDEYYKKFWSRNLDQEHCLELFKGQ >ONIVA04G21960.4 pep chromosome:AWHD00000000:4:22504063:22507630:1 gene:ONIVA04G21960 transcript:ONIVA04G21960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIVCRNFRLALHYPTTYLSTTRRPSDFVNLKLCCPSLPEILIGVECVYVASESLVNVSSYPAADVFVLESLRRSPSVDTGTGRPPPAGGVP >ONIVA04G21950.1 pep chromosome:AWHD00000000:4:22484656:22485144:-1 gene:ONIVA04G21950 transcript:ONIVA04G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLVCFCRQIPRPIVALFKLLQAVALAFVLILCFLGLYEFPYTVEDHAPLIHGRRRDPLGDDGLQPEAVKRGLPLVEYMQLADLSADCHDGESGYPATCRVCLERLEATDEVRRLGNCTHAFHIGCIDRWIDLGEVTCPLCRSHLLPRQRRGLLGSRRFG >ONIVA04G21940.1 pep chromosome:AWHD00000000:4:22474962:22481029:1 gene:ONIVA04G21940 transcript:ONIVA04G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSEMGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGINKTLEKYNSCCYNAQGSNSALAGGEHQSWYQEMSRLKTKLECLQRSQRHMLGEDLGPLSIKELQQLEKQLEYSLSQARQRKTQIMMEQVDDLRRKERQLGELNKQLKNKLEAEADSSNCRSAIQDSWVHGTVVSGGRVLNAQPPPDIDCEPTLQIGYYQFVRPEAANPRSNGGGGDQNNNFVMGWPL >ONIVA04G21940.2 pep chromosome:AWHD00000000:4:22475028:22481029:1 gene:ONIVA04G21940 transcript:ONIVA04G21940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKSTKMGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGINKTLEKYNSCCYNAQGSNSALAGGEHQSWYQEMSRLKTKLECLQRSQRHMLGEDLGPLSIKELQQLEKQLEYSLSQARQRKTQIMMEQVDDLRRKERQLGELNKQLKNKLEAEADSSNCRSAIQDSWVHGTVVSGGRVLNAQPPPDIDCEPTLQIGYYQFVRPEAANPRSNGGGGDQNNNFVMGWPL >ONIVA04G21940.3 pep chromosome:AWHD00000000:4:22474912:22481029:1 gene:ONIVA04G21940 transcript:ONIVA04G21940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGINKTLEKYNSCCYNAQGSNSALAGGEHQSWYQEMSRLKTKLECLQRSQRHMLGEDLGPLSIKELQQLEKQLEYSLSQARQRKTQIMMEQVDDLRRKERQLGELNKQLKNKLEAEADSSNCRSAIQDSWVHGTVVSGGRVLNAQPPPDIDCEPTLQIGYYQFVRPEAANPRSNGGGGDQNNNFVMGWPL >ONIVA04G21940.4 pep chromosome:AWHD00000000:4:22474912:22481029:1 gene:ONIVA04G21940 transcript:ONIVA04G21940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGINKTLEKYNSCCYNAQGSNSALAGGEHQSWYQEMSRLKTKLECLQRSQRHMLGEDLGPLSIKELQQLEKQLEYSLSQARQRKTQIMMEQVDDLRRKERQLGELNKQLKNKVLLIVSTINFCLSIWWIGNTVQPLLCFLVLISVSSNFVMSFRHVVLTHRHTIQLEAEADSSNCRSAIQDSWVHGTVVSGGRVLNAQPPPDIDCEPTLQIGYYQFVRPEAANPRSNGGGGDQNNNFVMGWPL >ONIVA04G21930.1 pep chromosome:AWHD00000000:4:22463226:22464291:-1 gene:ONIVA04G21930 transcript:ONIVA04G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTCLISRGAFLLLAAAVAVALPCAAALQELQLQDAVLIDDVVQEAAQAWYHGRHRRTGVTYPLSLPGSLSGVAADVARFRAGSLRRYGVRRFGEFAVPPGLAVRGQASHLLAVRANLGNLSSVFDEYAASGGYRIASPVLGLTFYGLARRGGTARLEVLVTAAAIRVNFSMAVPALQPGAVPLCMAVALNGSVTVTDVQAGSNTCHVWDQGHFALVLGGAGDGGGVVAEAGEVSKWKLALFGAALGAGGTVLLGLVLVAVLSIQRRKSEVAEMARRAYEEEALRVSMVGHVRAPSAGGSRTTPDALENEYCAAL >ONIVA04G21920.1 pep chromosome:AWHD00000000:4:22456028:22458643:-1 gene:ONIVA04G21920 transcript:ONIVA04G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGIARARLAEERKAWRKNHPHGFVAKPETLPDGSVNLMVWRCIIPGKEGTDWEGGYFPLTMQFTEDYPTNAPSCKFPSGFFHINVYDSGAVCLSILSTAWKPSITVRQILIGIQELFDDPNPNSAAQNISYELYRKDMEEYRKRVRQQAKKYPSAL >ONIVA04G21910.1 pep chromosome:AWHD00000000:4:22441884:22443743:-1 gene:ONIVA04G21910 transcript:ONIVA04G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAPWRDPRQGYLYGVGSAVQMPMQQRSDAAAAGGVLKRSLGDMERWQQHQHQQRQIAMQQQLYLRTVRQRTAAASAAVSPLTSADIAAVLGGPPSQPLVLSGSSMGGAFGSPSSTLSSITTASRAVAMPLMQPQLQRQQQVTYMASSPQVQAFGTARALPPAPATSDLSILQELEKQLLGDDDEVEAAMSGTGSAVTGSEWEEQLNSITAAPSPPLTAATTPNNNNNAVGMTRSPSNSSTSTASSSASCSPPTSATTSRQLLSEAAAAIADGHNETAATHLTALKRAANSRGDVEQRLVAMMVAALSSRIGQTASVPDICGGETRAGSQLLHDISPCFRLALHAANVAIVDAVGDHRAIHLVDFDVSAPQHADLIRCLAARRLPGTSLKVTAVTDPASPFTQSVTATLHLQKLAERAGIDYRFKMVSCRAGEIEASKLGCEAGEALAVNLAFALSHVPDESVSPANPRDEILRRVRALGPQVVALVEQELNSNTAPLTTRFTDACAHYGAILESLDATIPRESAERARAEAALGGRAANAVAREGADRLERCEVFGKWRSRFGMAGFRPVALGPGIADQVLARQGPVAAGFAVKAENGVLRLGWMGRVVTVASAWR >ONIVA04G21900.1 pep chromosome:AWHD00000000:4:22429394:22431845:1 gene:ONIVA04G21900 transcript:ONIVA04G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G63850) TAIR;Acc:AT1G63850] MPASSPSTSTSRSRPLFPKPSPSHLVPHDLASSWCCAPHGHTPTPAAAAASSSPSSQSSSPAAETMDPPPQPPTSYPSSYTKFNSALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAAAIHSIPAPPQQAHPLQPARSMDRQVLLQDRVAELIGSCSPGNQFNDADSSDVRLTLTSKDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYVETLRLMYCKDLRRRLMREDVSKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELAPSAVAEEVEVGSGCNGGGNSGGGEEVLVRLLQVVLEGKDEKARREMKGLVSKMLRENSTSRGGAIGGDLRKESLYSACNGCLRLLHEQFEMAAGGDQSEVAQIARQADNLHWMLDILVERQIAEDFLRTWAMQIELAELHGKVPAIHRYEVSRVTARLFVGVGKGQILVSKEVRCQLLSTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLATQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNGEPEQPPRLRITAICENS >ONIVA04G21890.1 pep chromosome:AWHD00000000:4:22398626:22399264:-1 gene:ONIVA04G21890 transcript:ONIVA04G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVCCYSELLLPKQLLHLLLLLGYIRRFLLWAFHAVGLGDLLDLGDEQQAVLQDHAREHRAPAQALPPQQQHRRAEFRTVPAMVIEEVLPVVRFDELVAAAPAVCGGGDCAVCLSGIGGGDEVRRLSNCRHVFHRGCLDRWMEHDDQRTCPLCRAPLIPDEMAGALWAAAGVPDTSDFDFSYFGAPLTPMPTPTLLRPHELLLTGLGGYQ >ONIVA04G21880.1 pep chromosome:AWHD00000000:4:22393546:22393806:-1 gene:ONIVA04G21880 transcript:ONIVA04G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLLGHLLNADDDDEVLTNKSGLFSSMSPRRTNYIETDTTSLILGRQIDDPTGCDMAHARAYTSYFAIRLSSSPTPGPRQHLNL >ONIVA04G21870.1 pep chromosome:AWHD00000000:4:22385657:22388623:-1 gene:ONIVA04G21870 transcript:ONIVA04G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEISDNDDLDDNTVELIGGNEVIMINSSPTHSSTSCHKRKANASARAISTEKAKRTCTGLGLQSRKSSQDTVNLDISKRRVIRTSATARVATILGGTATMNLHAHGRSAHASSNVTITVTSGTASAHVQTHEPAPRSNSPQRKRRLPQLLLSPPRSDGNE >ONIVA04G21860.1 pep chromosome:AWHD00000000:4:22380050:22386143:1 gene:ONIVA04G21860 transcript:ONIVA04G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIYTNIPPPHNTRDRGDIALPFRRTGGRDGRATPPRSRSPGEQQRGGGRSYAVPRPSRGTEPVARRHGAGPQRSCGAAARDHAGRTGSFLGEPGMVDCSADGTSRWGGTSSSGNGSRSSQGRSNPPPIRYREGQFDYYPAVLCDCRRKAARWISWSEDNPSRRYLTCARARDGGCTFWSWYEPETTPYLRQVLNDLHNVVRGLKEEKSILRASLVSARAQIDELTAVHNGDVADWTRKLKEKDDLACELRARVVQLEEGRKLLLLIVAGLELVHEFAHEHLQFQKSRYS >ONIVA04G21850.1 pep chromosome:AWHD00000000:4:22376944:22378905:-1 gene:ONIVA04G21850 transcript:ONIVA04G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGGGGKEAVTASILRFLLLLLLPLTALYFFYTLHLLLASAASSSSSSCPPDAASSSSSVRLSTNGTSAGAAAVTVAAGKKAPAAASTETTLQHVVFGIAASSRFWDKRKEYIKVWWRPRGAMRGYVWLDREVRESNMSTARTGLPAIRISSDTSGFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPDNLLTVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALARMQDGCIRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLAAHPVAPIVTLHHLDVVQPLFPNAKSRPAAVRRLFDGPIELDPAGIMQQSICYDGGNRWTVSVAWGFAVLVSRGVMSPREMEMPARTFLNWYRRADYTAYAFNTRPLARSPCQKPAVYYLSSARRAAALRGEDTTVTRYERWRRANETRPACRWNIADPDAHLDHIVVLKKPDPGLWDRSPRRNCCRVLSSPKEGKKGGDKTMTIDVGVCRDGEFSQVV >ONIVA04G21840.1 pep chromosome:AWHD00000000:4:22369069:22376372:1 gene:ONIVA04G21840 transcript:ONIVA04G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIAATLRRSSRVTGSQNIMEICLGPCVSSGASSRWFSSCTKHSNTSILNQIKAVERYSPVNGMSMISRVPLSAHMDTNWLSTSNPRFNALPGFLGASSICRAYSSDTGIKAEVPQNTVSNVPSTETVALGTSDGGSSWIDIFDNARKCTLDATTDAGKKVKELTDAITPHVKQFFDANPNLEKVVVPLGGTIFGTMMAWFVMPIVLRRIHKYSIQSPISALLGSSTKNDVSYETSLWSALEDPAKYLITFMAFSEMAGFTAPSISAYLPQAWRGAIVLSFVWFLHRWKTNFITKVAASSIDQTRLSAFDKISSLGLIALGVMALAEACVATAFAARDVLGNMLSGFSLQFSSPFKAGEYIKVFGLVTAFRFSSNFPSIASTYKGYILLLELDAGSIEGKVIEIGLTSTELMNPEQLPVTVPNSLFSSQVIVNRSRAKWRSNVTKIPIRIEDIEKVPAISEEIKVMLRSNPKVVLDSEAPAPYCYLSRLESSYGELTIGCNLTKMTKDEWLSTTQGILLEAAKIIKLHGVELGSTTQCC >ONIVA04G21830.1 pep chromosome:AWHD00000000:4:22351141:22363515:-1 gene:ONIVA04G21830 transcript:ONIVA04G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAAAVFVGWLMMWVMLPTRTFSSTWAPTLASHTNSTYFGKQEERRQYWGPKSEVRSVLAKLGEEINLIRHSASNRSILYENGGLEETCAGERWQAKLDRAALRLGHVGSFCCAFLFFPVARGSSLLPLIGLTSEASIKYHVWLGNLVMLFFTAHELCYIVFWASTDQIHEMFELFFYAHHLYALFLVLFALHVGVAFFCSILPGVFLFMVDRYLRFLQSRVRVRLVSARLLACDAVELNFCKSPHLTHSPMSTVFINVPCVSRLQWHPFTVTSSSSLEPDRLSVVVKRAGRWTEKLYETISSLPPSQPGHLDVSVEGPYSQATPASFLQYDSLVMISGGGGITPFISVIRELVHRSGTAAEAATPSLLLIAVFKTSADLAMLDLIVPASGGFSDISRLELRIEAFVTRESVPSAGDVVAIAHKVPAEEVLFKPSPSHAPIAPVLGHNGWLWLAAVVSSSFFIFLLFVGAVQRLYIYPVDGNSNRVYPWAARTLLNLLLLCVGIAVAASAAVLWNKRRRAEEAKQVENVATPASSPATWLDKPRRGDAEVESSPLHYLEQATAVHFGPRPDLRILLVFSASTLVGEPRGGGGWAGEGRRRTTGEGASGQGGGGRTGEGRGWPGRRQAAEEQWCERWGGADGGASTPAISNGRPGMSILSIPPWFSLDGGASRRS >ONIVA04G21820.1 pep chromosome:AWHD00000000:4:22333665:22334126:1 gene:ONIVA04G21820 transcript:ONIVA04G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSPTARVSVATAVTTKRRLKVMMNSVKKAWAVEMVGSGTVTPPERKGWKTPLRAKPAQMEPSTWTATYAGTCSHGKWRSAAKAMVSDGLRWAPEMCPVDRMMVVTASPAHAAFPNGEIAPPYFWFTIGAAVAKKMRMNVPTNSAPSCTAV >ONIVA04G21810.1 pep chromosome:AWHD00000000:4:22332807:22338567:-1 gene:ONIVA04G21810 transcript:ONIVA04G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOD26-like intrinsic protein 5;1 [Source:Projected from Arabidopsis thaliana (AT4G10380) TAIR;Acc:AT4G10380] MAAPNGGGAAGMSSPVNGASAPATPGTPAPLFAGPRVDSLSYERKSMPRCKCLPAAVAEAWAPSAHGCVVEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVTTIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVAVQVLGSICAGFALKGVFHPFLSGGVTVPDPTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAALWIYLIAPTLGAVAGAGVYTAVKLRDENGETPRPQRSFRR >ONIVA04G21810.2 pep chromosome:AWHD00000000:4:22333026:22338567:-1 gene:ONIVA04G21810 transcript:ONIVA04G21810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOD26-like intrinsic protein 5;1 [Source:Projected from Arabidopsis thaliana (AT4G10380) TAIR;Acc:AT4G10380] MAAPNGGGAAGMSSPVNGASAPATPGTPAPLFAGPRVDSLSYERKSMPRCKCLPAAVAEAWAPSAHGCVVEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVTTIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVAVQVLGSICAGFALKGVFHPFLSGGVTVPDPTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAAIRVAYLKLLKKKWMDLISG >ONIVA04G21800.1 pep chromosome:AWHD00000000:4:22311251:22322079:-1 gene:ONIVA04G21800 transcript:ONIVA04G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSSSASSRRSSSPFSAGSRRPPTSSSSSAGSYLTGRLMPRSYSTASSVSSSSHFFGGGGGSGGGSRSTTPGRRGSSSSSLVGPVPSPPSPVPFPSAEELVIEDTSRSGDSISVTIRFRPLSEREIQRGDEISWYADGERLVRCEYNPATAYGYDRVFGPKTTTEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSLIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDEYDGVMYSQLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYAARNRMIDEKSLIKKYQREISSLKQELDQLRRGLIGGASQEEIMILRQQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDTSSHQRHNSVNEEDKVSTSQDSSMLVQNDSATKDSLSSASPDAVDEINQLRCASGDHSSIAGSGPDEMQGGITASDQMDLLIEQVKMLAGEIAFGTSSLKRLIEQSIEDPEGTKNQIDNLEREIREKRRHMRALEQKLMESGEASVANASMMDMQQTITKLTAQCSEKAFELELRSADNRVLQEQLQQKNVEINELQEKVLRLEQQLTTNTEASPEQCTEHELHDLKSKLQLKEEESEKLKYEHMKITEENRELVNQNSTLCEEVAYAKELASSAAVELKNLAEEVTKLSVQNAKQAKELLIAQELAHSRVPGRKGRSAGRGRDEVGTWSLDLEDMKMELQARKQREAALEAALAEKEHLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGALGISDLNVDDRSINLADITNGTKENKADKNVAVVEKQLSDNTVKSLTAEEYRNPEFEPLLVRLKAKIQEMKEKETDSLGDKDGNSHVCKVT >ONIVA04G21790.1 pep chromosome:AWHD00000000:4:22306024:22309065:-1 gene:ONIVA04G21790 transcript:ONIVA04G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKLREQVARQQQAVMKQFGGGYGADGAFADEAEAQQHSKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCEDGKKYGAENTCTSGSTLSKAALCFAKARSLMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLRETSGNGDMISRLEAAESKLQELKSNMGVLGKEAVASMTAVEAQQQRLTLQRLIAMVESERSYHQRVLQILDQLEREMVSERQRIEGAPPPAVESSMPPPPSYEEINGVFMRNPTVAELVETVEFFLAEAIQSYRAESETELNLAAGDYIVVRKVSNNGWAEGECRGKAGWFPYDYIEKRDRVLASKVAQVF >ONIVA04G21780.1 pep chromosome:AWHD00000000:4:22301390:22303523:-1 gene:ONIVA04G21780 transcript:ONIVA04G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAAAVTLLRLPLARLSSHLRSLPPRPIPPPRLRVYTSHRLLSSLLAPSHVPAVSSLAEAVAAPDGEGVEVEEEEEEAEARPTNFVLPRLPRPKLSVKERKELASYAHGLGKRLKSQQVGKGGVTPSVVAAFNDNLESNELLKLKIHLNCPGELPDVILQLEESTGSIAVDQIGRSVILYRPSTSKMKKREESARNRTRFVRSREPSEEQPRSSTSKRFIKSGGAFRPQQKRRPLASKESSYGRR >ONIVA04G21770.1 pep chromosome:AWHD00000000:4:22281647:22287374:-1 gene:ONIVA04G21770 transcript:ONIVA04G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMVKFTMEWLQDPLSLAIVVTVAVLIMRMQRRRAAPFPPGPKPLPIVGNMAMMDQLTHRGLAALAKEYGGLMHLRLGRLHAFAVSTPEYAREVLQAQDGAFSNRPATTAIAYLTYDRADMAFAHYGPFWRQMRKLCVVKLFSRRRAETWLAVRDESAALVRAVAASRGEAAVNLGELIFNLTKNVIFRAAFGTRDGEGHDEFIAILQEFSKLFGAFNIGDFIPWLSWADTNGINARLVAARTALDRFIDKIIDEHMERGKNPDDADADMVDDMLAFLAEAKPHAGKAAAAAAAAGDGADDLQNTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMAEMMHSPDDLRRVQEELAAVVGLGRDVAESDLDKLPFLRCVIKETLRLHPPIPILLHETAADCLVAGYSVPRGSRVMVNVWAIARDRAAWGPDADAFRPSRFAAGAAAEGLDFRGGCFEFLPFGSGRRSCPGMALGLYALELAVARLAHGFNWSLPDGMKPSELDMSDIFGLTAPRATRLSAVATPRLTCPLY >ONIVA04G21760.1 pep chromosome:AWHD00000000:4:22268223:22273059:-1 gene:ONIVA04G21760 transcript:ONIVA04G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLSQTQRYAAGALLALALRQAQIHQSVLLGSHGLDDAPAAAAAVPSLADPVDARHLWTHDSHGLLRPVLRFLEIDHKAWPGVETTAATSEPKHHIGAFLRKVFEDEDDGEAAAAERSEQELALAKAVEAMAMGLENDVVAADELIKATGSGGDGDEGWPWLASASSAGGSRTKDYRKMAVLYMLLSACVADVNMAEEGMGSPRIRRGYDARHRVALRLLATWLDVKWIKMEAIEIMVACSAMAAAREEEQSEGSESPRSRWENWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALVPTLHTLIPLIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGTKMAKRIGCVREFEFNTIGENHNQGRLAVAILVTGFAFTEEDYMKPWEGWKTNLERYILQWESKHIIGVSTAIRDWLASRFAIELMREGAMQTVLSGIVSAFAWPAYLVSAADFIDSKWSIAIDRADKTGKMLAEVLLRGLQGSRPVTLIGFSLGARVVFKCLEELALLGNNEGLVERAVMIGAPVSDKEELWESTRKMVAGRFVNVYSTNDWILGITFRASLLSQGLAGIQAVNVPGVENVDASELIVGHSSYLTLVNQILDQLELNTYYPVFYPSTPKCGTPKSKRLGALTSSALRKLERCHRVGTNQTEERMALAGAGLESSWRFTHGRFPAAVAAAKPHFTASPEHGLYYGQQNQPG >ONIVA04G21750.1 pep chromosome:AWHD00000000:4:22257148:22266697:1 gene:ONIVA04G21750 transcript:ONIVA04G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLEMHFHAKETVNGDGQKGIDSDSAQIDSGGYSDMPSKLCSDNKRKIHKKIERESPANLEDYAAIDMHNINLIYLRRSLLEDLIDDNGTFSDKITGAFVRIRTPCVGQKQDIYRLVKVLGTHKVAERYSVGKKTTDHALEILNLDKKEEECKRLRQSMKCGLITRLKVGDIHEKAKIFQLLRVNDWLENEKQRLSHLRDRAKKLQLLGTPEERARIINEDPEVHADPCMSPDYESAEELDAKKAVDSTSRNGSDLLFLGRKGIETNSLQNHRQNCSATSHHTTTSPPTEGMTHGQGEEASFASSEIVSGDMEPEKVWHYKDPSGSVQGPFTLLQLSKWAAYFPRDLRIWLTFESEQNSLLLNEVLSKQQKDFIQPSPKVDNNRSTWEGVGQDRVDSSLTGNNSSSPIGYNVIYSSRLPSPSADYRASAREGPNLPSGTLPFMTSQKTQKDPQMLHGQAQHQGDYSCTIPSSVVSYRPAGSHDEWPPRGNIGEWNNSQDSGGMWSPTTPHMSRSNLEHQPDRCITKKQLQNDSKRNTLAGSAENLNSQMDFGSQKVHIPTPQQPERDLATSVGTSRQFEFKTCHQEGSHWSSTADSITHDGLQLSLASAKPESCSAVNPIRDGDSSSASRVPNQSGAHVYSPPHPATTNLSKSEETMNQCKSCEPEASNKSRKPDASHAPVNQHPKPESDPVLPDTQDFERTHPSPSTEHDTKEQLKDQSRSTSVAPEGSGTKAHGQSSIAFISEASGPLSSKIVGLQPPKDTSFLVERDIKDGGSITQTEQQKEESTAFKKENVAVDPISDSEAIVSGVLESLTETYNLHEETALENFTPTSAEEEQPQCSTPIALSPWDETSDYQGEAVDSALWGVQDDQNNEMWSLSSPTPTLQPSGIGADTKGASCAIEEVIVAQGNSGVVEPSPALEKKRIEKVPSASIDRGVPEQVKPKSDAALSPEESRKPSGLQLSSTYLQGSTKSSGLPPSGTSLDGGIEPSGLLPSGTSLEWGTKISGVQSSASSLEGSKKAFVRQPSGSSLEGNTKASGRQPSGSSIEGSKKPSDRQPSGASQEGNTKPSGWQQSVSSLEGGTKPSGWKPSISIDTNTKASGSSKASGWQPSPRESSKSKPNSTWGAGQSRNSSSHQSTTPTAKYSSETPRRQGNNNTNSAGWGDSLGNKSWHSPSGNASSRGSHSSHHHDRHNQSSEPWRGGSNHSRRSDHRQEHGNGGSSRSSSSRGQSQRGICRYYENGYCRKGTSCQFLHR >ONIVA04G21750.2 pep chromosome:AWHD00000000:4:22256946:22266373:1 gene:ONIVA04G21750 transcript:ONIVA04G21750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTGPGAELLSPGEAEWPPELRLPPPPPTHPPPPPPLEPAPPSTPQLRGEASPPPPPPPPVGPPGAAVVAAAARKEASASAEGFDDSHFLGSIMGAPAHQHQHQHQQPPAVGPPVVVKRKRGRPPKNRDGAAPPPPPKPVKKREDDEDVVCFICFDGGNLVVCDKKGCTKVYHPACIKRDESFFRSRAKWTCGWHLCSTCEKAVQYMCYTCTYSLCKGCIKQKPVKFFGVRGNKGFCDTCYSTILLIESKDDRAKAKVDFDDKNNWEYLFKLYWLDLKGKHSLTLEELVNAKSCWTVRSTSARREKEDSSNDLYDANFDLDASSDGASRKRRRNSFSGKRGRKRQNNGAESLPKRVQNEGMTFIGDTQWASSELLEFIGHMRNGDISYISQFDVQILLLEYVKQKNLRDPRRKSQIICDARLANLFRKPRVGHFEMLKLLEMHFHAKETVNGDGQKGIDSDSAQIDSGGYSDMPSKLCSDNKRKIHKKIERESPANLEDYAAIDMHNINLIYLRRSLLEDLIDDNGTFSDKITGAFVRIRTPCVGQKQDIYRLVKVLGTHKVAERYSVGKKTTDHALEILNLDKKEEECKRLRQSMKCGLITRLKVGDIHEKAKIFQLLRVNDWLENEKQRLSHLRDRAKKLQLLGTPEERARIINEDPEVHADPCMSPDYESAEELDAKKAVDSTSRNGSDLLFLGRKGIETNSLQNHRQNCSATSHHTTTSPPTEGMTHGQGEEASFASSEIVSGDMEPEKVWHYKDPSGSVQGPFTLLQLSKWAAYFPRDLRIWLTFESEQNSLLLNEVLSKQQKDFIQPSPKVDNNRSTWEGVGQDRVDSSLTGNNSSSPIGYNVIYSSRLPSPSADYRASAREGPNLPSGTLPFMTSQKTQKDPQMLHGQAQHQGDYSCTIPSSVVSYRPAGSHDEWPPRGNIGEWNNSQDSGGMWSPTTPHMSRSNLEHQPDRCITKKQLQNDSKRNTLAGSAENLNSQMDFGSQKVHIPTPQQPERDLATSVGTSRQFEFKTCHQEGSHWSSTADSITHDGLQLSLASAKPESCSAVNPIRDGDSSSASRVPNQSGAHVYSPPHPATTNLSKSEETMNQCKSCEPEASNKSRKPDASHAPVNQHPKPESDPVLPDTQDFERTHPSPSTEHDTKEQLKDQSRSTSVAPEGSGTKAHGQSSIAFISEASGPLSSKIVGLQPPKDTSFLVERDIKDGGSITQTEQQKEESTAFKKENVAVDPISDSEAIVSGVLESLTETYNLHEETALENFTPTSAEEEQPQCSTPIALSPWDETSDYQGEAVDSALWGVQDDQNNEMWSLSSPTPTLQPSGIGADTKGASCAIEEVIVAQGNSGVVEPSPALEKKRIEKVPSASIDRGVPEQVKPKSDAALSPEESRKPSGLQLSSTYLQGSTKSSGLPPSGTSLDGGIEPSGLLPSGTSLEWGTKISGVQSSASSLEGSKKAFVRQPSGSSLEGNTKASEKLQHCNHQVLRLLQGAQKHQVGSHHLARARNLSLIPHGVPAKAATRLRTNQQHQQLNTHQKPQGDKETTTQILLAGETLSETRAGIHPQAMRAAEAAILATTMTGTIRAANHGVVAQIIPGDQIIVKNMATVARRDHRRRGGNLKGGSVDTTRMATAGRALPASSSTVENALTFLYSPK >ONIVA04G21750.3 pep chromosome:AWHD00000000:4:22256946:22266373:1 gene:ONIVA04G21750 transcript:ONIVA04G21750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTGPGAELLSPGEAEWPPELRLPPPPPTHPPPPPPLEPAPPSTPQLRGEASPPPPPPPPVGPPGAAVVAAAARKEASASAEGFDDSHFLGSIMGAPAHQHQHQHQQPPAVGPPVVVKRKRGRPPKNRDGAAPPPPPKPVKKREDDEDVVCFICFDGGNLVVCDKKGCTKVYHPACIKRDESFFRSRAKWTCGWHLCSTCEKAVQYMCYTCTYSLCKGCIKQKPVKFFGVRGNKGFCDTCYSTILLIESKDDRAKAKVDFDDKNNWEYLFKLYWLDLKGKHSLTLEELVNAKSCWTVRSTSARREKEDSSNDLYDANFDLDASSDGASRKRRRNSFSGKRGRKRQNNGAESLPKRVQNEGMTFIGDTQWASSELLEFIGHMRNGDISYISQFDVQILLLEYVKQKNLRDPRRKSQIICDARLANLFRKPRVGHFEMLKLLEMHFHAKETVNGDGQKGIDSDSAQIDSGGYSDMPSKLCSDNKRKIHKKIERESPANLEDYAAIDMHNINLIYLRRSLLEDLIDDNGTFSDKITGAFVRIRTPCVGQKQDIYRLVKVLGTHKVAERYSVGKKTTDHALEILNLDKKEEECKRLRQSMKCGLITRLKVVLLIKIHYTGDIHEKAKIFQLLRVNDWLENEKQRLSHLRDRASETGRRKQYPFDLLQLLGTPEERARIINEDPEVHADPCMSPDYESAEELDAKKAVDSTSRNGSDLLFLGRKGIETNSLQNHRQNCSATSHHTTTSPPTEGMTHGQGEEASFASSEIVSGDMEPEKVWHYKDPSGSVQGPFTLLQLSKWAAYFPRDLRIWLTFESEQNSLLLNEVLSKQQKDFIQPSPKVDNNRSTWEGVGQDRVDSSLTGNNSSSPIGYNVIYSSRLPSPSADYRASAREGPNLPSGTLPFMTSQKTQKDPQMLHGQAQHQGDYSCTIPSSVVSYRPAGSHDEWPPRGNIGEWNNSQDSGGMWSPTTPHMSRSNLEHQPDRCITKKQLQNDSKRNTLAGSAENLNSQMDFGSQKVHIPTPQQPERDLATSVGTSRQFEFKTCHQEGSHWSSTADSITHDGLQLSLASAKPESCSAVNPIRDGDSSSASRVPNQSGAHVYSPPHPATTNLSKSEETMNQCKSCEPEASNKSRKPDASHAPVNQHPKPESDPVLPDTQDFERTHPSPSTEHDTKEQLKDQSRSTSVAPEGSGTKAHGQSSIAFISEASGPLSSKIVGLQPPKDTSFLVERDIKDGGSITQTEQQKEESTAFKKENVAVDPISDSEAIVSGVLESLTETYNLHEETALENFTPTSAEEEQPQCSTPIALSPWDETSDYQGEAVDSALWGVQDDQNNEMWSLSSPTPTLQPSGIGADTKGASCAIEEVIVAQGNSGVVEPSPALEKKRIEKVPSASIDRGVPEQVKPKSDAALSPEESRKPSGLQLSSTYLQGSTKSSGLPPSGTSLDGGIEPSGLLPSGTSLEWGTKISGVQSSASSLEGSKKAFVRQPSGSSLEGNTKASEKLQHCNHQVLRLLQGAQKHQVGSHHLARARNLSLIPHGVPAKAATRLRTNQQHQQLNTHQKPQGDKETTTQILLAGETLSETRAGIHPQAMRAAEAAILATTMTGTIRAANHGVVAQIIPGDQIIVKNMATVARRDHRRRGGNLKGGSVDTTRMATAGRALPASSSTVENALTFLYSPK >ONIVA04G21750.4 pep chromosome:AWHD00000000:4:22256946:22259794:1 gene:ONIVA04G21750 transcript:ONIVA04G21750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTGPGAELLSPGEAEWPPELRLPPPPPTHPPPPPPLEPAPPSTPQLRGEASPPPPPPPPVGPPGAAVVAAAARKEASASAEGFDDSHFLGSIMGAPAHQHQHQHQQPPAVGPPVVVKRKRGRPPKNRDGAAPPPPPKPVKKREDDEDVVCFICFDGGNLVVCDKKGCTKVYHPACIKRDESFFRSRAKWTCGWHLCSTCEKAVQYMCYTCTYSLCKGCIKQKPVKFFGVRGNKGFCDTCYSTILLIESKDDRAKAKVDFDDKNNWEYLFKLYWLDLKGKHSLTLEELVNAKSCWTVRSTSARREKEDSSNDLYDANFDLDASSDGASRKRRRNSFSGKRGRKRQNNGAESLPKRVQNEGMTFIGDTQWASSELLEFIGHMRNGNHVLATLRC >ONIVA04G21740.1 pep chromosome:AWHD00000000:4:22239984:22240388:-1 gene:ONIVA04G21740 transcript:ONIVA04G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPPSSDDDPLVPQFGRQIDSGVVAAAGGNLVALYAGPYRPASSSMGCYLVYDAAARSSSPPLSTVPGVPYSDSHSSPGRATVIAPAATGGGFMDGHSVLPPSTAEITITTWTLDLQDDHSTSTPNWTNREA >ONIVA04G21730.1 pep chromosome:AWHD00000000:4:22240246:22249422:1 gene:ONIVA04G21730 transcript:ONIVA04G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAGRPRLGQTKHSNKSLSATESMGSRPRVAFLHHHHHPHHHLLAVAVVLLLLARGAEPIAAGGGAAGRHLTTEERWMDQRLDHFSPTDHRQFKQRYYEFADYHAGGGPVFLRICGESSCNGIPNDYLAVLSKKFGAAVVTPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAAFRQHYQEILNARYNRSSGLDNPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQVGDSAGPECKAALQEVTRLVDEQLRLDSRSVKALFGAEKLKNDGDFLFFLADAAAIGFQYGSPDAVCSPLINAKKTGRSLVETYAQYVQDFFIRRWGTTVSSYDQEYLKNTTPDDTSSRLWWFQVCSEVAYFQVAPKNDSIRSTEINTGYHLDLCRNIFGEGVYPDVFMTNLYYGGTRIAASKIVFTNGSQDPWRHASKQKSSKYSDPSNCSSPAAVSTVRKQIASHISLWLSQCQEPTRAW >ONIVA04G21730.2 pep chromosome:AWHD00000000:4:22233734:22240270:1 gene:ONIVA04G21730 transcript:ONIVA04G21730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVGAAVAAVAVLLLLAPGAEPIGLWLPPPTGGGGRLGGAAAPGRYLTQEERWMDQTLDHFNPTDHRQFKQRYYEFLDYYRAPKGPIFLYICGESSCNGIPNSYLAVMAKKFGAAVVSPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLSVYNYTDFDKQIGESAGPECKAALQETTKLVDGQLQSGRNAVKQLFGASTLANDGDFLFLLADAAAIAFQYGNPDALCSPIVEAKKNGTDLVETFARYVKDYYIGTFGASVASYDQEYLKNTSPPPAESAYRLWWYQVCSEVAYFQVAPKNDSVRSAKIDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGSKIVFANGSQDPWRHASKQKSSKELPSYLIECSNCGHCSDLSGCPQAPSHIEGDSSNCSSPEAVNKVRKQIVDHIDLWLSECQEQGHDNEPLLGSRWSIATY >ONIVA04G21730.3 pep chromosome:AWHD00000000:4:22233940:22240270:1 gene:ONIVA04G21730 transcript:ONIVA04G21730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVGAAVAAVAVLLLLAPGAEPIGLWLPPPTGGGGRLGGAAAPGRYLTQEERWMDQTLDHFNPTVESRSPTTASSFGFSDHRQFKQRYYEFLDYYRAPKGPIFLYICGESSCNGIPNSYLAVMAKKFGAAVVSPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLSVYNYTDFDKQIGESAGPECKAALQETTKLVDGQLQSGRNAVKQLFGASTLANDGDFLFLLADAAAIAFQYGNPDALCSPIVEAKKNGTDLVETFARYVKDYYIGTFGASVASYDQEYLKNTSPPPAESAYRLWWYQVCSEVAYFQVAPKNDSVRSAKIDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGSKIVFANGSQDPWRHASKQKSSKELPSYLIECSNCGHCSDLSGCPQAPSHIEGDSSNCSSPEAVNKVRKQIVDHIDLWLSECQEQGHDNEPLLGSRWSIATY >ONIVA04G21730.4 pep chromosome:AWHD00000000:4:22240246:22249420:1 gene:ONIVA04G21730 transcript:ONIVA04G21730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAGRPRLGQTKHSNKSLSATESMGSRPRVAFLHHHHHPHHHLLAVAVVLLLLARGAEPIAAGGGAAGRHLTTEERWMDQRLDHFSPTDHRQFKQRYYEFADYHAGGGPVFLRICGESSCNGIPNDYLAVLSKKFGAAVVTPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAAFRQHYQEILNARYNRSSGLDNPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQVGDSAGPECKAALQEVTRLVDEQLRLDSRSVKALFGAEKLKNDGDFLFFLADAAAIGFQYGSPDAVCSPLINAKKTGRSLVETYAQYVQDFFIRRWGTTVSSYDQEYLKNTTPDDTSSRLWWFQVCSEVAYFQVAPKNDSIRSTEINTGYHLDLCRNIFGEGVYPDVFMTNLYYGGTRIAASKIVFTNGSQDPWRHASKQKSSKYSDPSNCSSPAAVSTVRKQIASHISLWLSQCQEPTRAW >ONIVA04G21730.5 pep chromosome:AWHD00000000:4:22233734:22249422:1 gene:ONIVA04G21730 transcript:ONIVA04G21730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVGAAVAAVAVLLLLAPGAEPIGLWLPPPTGGGGRLGGAAAPGRYLTQEERWMDQTLDHFNPTDHRQFKQRYYEFLDYYRAPKGPIFLYICGESSCNGIPNSYLAVMAKKFGAAVVSPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTWVVLAVYNFTDFDKQVGDSAGPECKAALQEVTRLVDEQLRLDSRSVKALFGAEKLKNDGDFLFFLADAAAIGFQYGSPDAVCSPLINAKKTGRSLVETYAQYVQDFFIRRWGTTVSSYDQEYLKNTTPDDTNFGGSKFAVRLPIFKWHPKTIAFALQRSIQASKIVFTNGSQDPWRHASKQKSSKYSDPSNCSSPAAVSTVRKQIASHISLWLSQCQEPTRAW >ONIVA04G21730.6 pep chromosome:AWHD00000000:4:22233734:22249420:1 gene:ONIVA04G21730 transcript:ONIVA04G21730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVGAAVAAVAVLLLLAPGAEPIGLWLPPPTGGGGRLGGAAAPGRYLTQEERWMDQTLDHFNPTDHRQFKQRYYEFLDYYRAPKGPIFLYICGESSCNGIPNSYLAVMAKKFGAAVVSPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTWVVLAVYNFTDFDKQVGDSAGPECKAALQEVTRLVDEQLRLDSRSVKALFGAEKLKNDGDFLFFLADAAAIGFQYGSPDAVCSPLINAKKTGRSLVETYAQYVQDFFIRRWGTTVSSYDQEYLKNTTPDDTNFGGSKFAVRLPIFKWHPKTIAFALQRSIQASKIVFTNGSQDPWRHASKQKSSKYSDPSNCSSPAAVSTVRKQIASHISLWLSQCQEPTRAW >ONIVA04G21730.7 pep chromosome:AWHD00000000:4:22233734:22249422:1 gene:ONIVA04G21730 transcript:ONIVA04G21730.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVGAAVAAVAVLLLLAPGAEPIGLWLPPPTGGGGRLGGAAAPGRYLTQEERWMDQTLDHFNPTDHRQFKQRYYEFLDYYRAPKGPIFLYICGESSCNGIPNSYLAVMAKKFGAAVVSPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLSVYNYTDFDKQIGESAGPECKAALQETTKLVDGQLQSGRNAVKQLFGASTLANDGDFLFLLADAAAIAFQYGNPDALCSPIVEAKKNGTDLVETFARYVKDYYIGTFGASVASYDQEYLKNTSPPPAESAYRLWWYQVCSEVAYFQVAPKNDSVRSAKIDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGDPSNCSSPAAVSTVRKQIASHISLWLSQCQEPTRAW >ONIVA04G21730.8 pep chromosome:AWHD00000000:4:22233734:22249420:1 gene:ONIVA04G21730 transcript:ONIVA04G21730.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVGAAVAAVAVLLLLAPGAEPIGLWLPPPTGGGGRLGGAAAPGRYLTQEERWMDQTLDHFNPTDHRQFKQRYYEFLDYYRAPKGPIFLYICGESSCNGIPNSYLAVMAKKFGAAVVSPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLSVYNYTDFDKQIGESAGPECKAALQETTKLVDGQLQSGRNAVKQLFGASTLANDGDFLFLLADAAAIAFQYGNPDALCSPIVEAKKNGTDLVETFARYVKDYYIGTFGASVASYDQEYLKNTSPPPAESAYRLWWYQVCSEVAYFQVAPKNDSVRSAKIDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGDPSNCSSPAAVSTVRKQIASHISLWLSQCQEPTRAW >ONIVA04G21720.1 pep chromosome:AWHD00000000:4:22232670:22233356:-1 gene:ONIVA04G21720 transcript:ONIVA04G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESARQRAARWSATGGRAQWANLARARRMAAAGEAAHGAARRPGAARTAMRPGRRDGWLDRRQCGRRPTSSPRPLPRRRDPVAACASPADAETASTSRSCDALEAERAVAELLREHGASPADAASIAARAPGYAAMLADGVRELDELGLWESWSSGVGAGREVEMAGLGFGRKVYYMGKAKSRHGRGVVPLLESVGVRLSSAKLIEPYVSAAGLPVLIDRVRSTTSF >ONIVA04G21710.1 pep chromosome:AWHD00000000:4:22228181:22230308:1 gene:ONIVA04G21710 transcript:ONIVA04G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSLLPHAASLFAVSMASLMIAAVLSIVRRPWPWNTAAISREAVLRLLGVRLGDVPTTVVRDGAVAVDALVRRADAFSDRPAGGGATSIVSGGRAHNINTVPHGPLWVALRRNLTSEAFHPDVSASAARSAGGGAGVVVPVHDCLYAALFALNVATCFGDGVDGELVGAMRAAQQEFLRFLPRARVFSTFQKAARLVYPDRWKQLLRHRRRQEEMYLPLIRAIKEQRRTRGTPSPPPPTTYVDTLLYLEVPADDGRRRRKLSDGEMVGLVSEYLGAATGTVVAQLEWALANLVRRPDIQTRLCGEVEAAAGGEPCAYLRAVVMECLRRHPPVSSVQRHMVRDVMLGGAHVAGVSQLHYQIWTSLEEFSPERFMEGGEGEGVRLAIGSKQKATTKVKMMPFGAGRRTCPGMGYAILHLEYFLANLVTAFEWRRVPWEEEVDLTADYGFITTMQHPLRALVVPLSNDRLTVV >ONIVA04G21700.1 pep chromosome:AWHD00000000:4:22221945:22225587:-1 gene:ONIVA04G21700 transcript:ONIVA04G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGCKVAAASSEDDDSGGGCTLPSARSGVRGGADPAAARQQQRRAEAIQGLELRLRSFYEVENFSSPTTKRGDSLARD >ONIVA04G21690.1 pep chromosome:AWHD00000000:4:22203823:22208788:-1 gene:ONIVA04G21690 transcript:ONIVA04G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYARNVPVDGEGGGGVVTTTTTTTISVSASAAGEEVEVGRGGGGGGGRRSGRPSPAGTPRRRGATPARSSVAGSPWAGSPLPEGIAPSPAPSATTPRRFFRRPFPPPSPAKHIKASLARRLGQRSPATAQAQQAAKPPAEVPIPEHGGGGGAAAGEVERELDKSFGYDRHFAAKYELGKEVGRGHFGHTCLARARKGDMRGQLLAVKVISKAKMTTAISIEDVRREVKILKALSGHSNLVKFYDACEDALNVYIIMELCEGGELLDRILSRGGRYTEEDAKVIVEQILNVVSFCHLQGVVHRDLKPENFLFSTRDDHSPMKIIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDAPWSSISPEAKDFVKRLLNKDYRKRMTAAQALSHPWLRDECRPIPLDMLVFKLIKAYLRSTPFKRAALKALSRAITEDELIYIRAQYNLLEPSSTDGRLCIENFRMALLQNSTDAMKESRALDILNALEPLAYRRMDFEEFRAATISPYQLEALSRWEEIAGTAFEYFEQEGNRPITIEELAQEMNLSSAAYSIVRDWIRPADGKLSFLGYTKFLHGLTMRSSNARRHH >ONIVA04G21680.1 pep chromosome:AWHD00000000:4:22193347:22193724:-1 gene:ONIVA04G21680 transcript:ONIVA04G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family [Source:Projected from Arabidopsis thaliana (AT5G53590) TAIR;Acc:AT5G53590] MHGKHQHQQQQQQQGGMVVVAPKGCVTVRVGAEGEEQRRFAVPLAHLKHPLFGALLEEAEREYGFAQRGAIAIPCRVDRFVHVEHLIVQDLHGAAASHLLDLDSSSHHHTQIHLHLPRFAGCFRA >ONIVA04G21670.1 pep chromosome:AWHD00000000:4:22183365:22188221:1 gene:ONIVA04G21670 transcript:ONIVA04G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEYPASPKAQQLQESKKQRLTYILVVSALCVAFYVLGAWQNTTVPKPAASSAITKVGCDPAAAGQSSAVPSFGSASQESLDFEAHHQLSLDDTDAEAAVQPFPACPLNFSEYTPCEDRKRGRRFERAMLVYRERHCPGKDEEIRCLIPAPPKYRTPFKWPQSRDFAWFNNIPHKELSIEKAVQNWIQVDGQRFRFPGGGTMFPRGADAYIDDIGKLISLTDGKIRTAIDTGCGVASWGAYLLKRNILAMSFAPRDTHEAQVQFALERGVPAIIGVMGKQRLPYPSRSFDMAHCSRCLIPWHEFDGIYLAEVDRILRPGGYWILSGPPINWKTHYKGWERTKEDLKEEQENIEDVARSLCWNKVVEKGDLSIWQKPKNHLECANIKKKYKTPHICKSDNPDAAWYKQMEACVTPLPEVSNQGEIAGGALERWPQRAFAVPPRVKRGMIPGIDASKFEDDKKLWEKRVAYYKRTLPIADGRYRNVMDMNANLGGFAASLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITNILLEMDRILRPEGTAIIRDTVDVLTKVQAIAKRMRWESRILDHEDGPFNPEKVLVAVKTYWTADESEQH >ONIVA04G21670.2 pep chromosome:AWHD00000000:4:22180582:22188221:1 gene:ONIVA04G21670 transcript:ONIVA04G21670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARIDFELYFDPRAAMAKEYPASPKAQQLQESKKQRLTYILVVSALCVAFYVLGAWQNTTVPKPAASSAITKVGCDPAAAGQSSAVPSFGSASQESLDFEAHHQLSLDDTDAEAAVQPFPACPLNFSEYTPCEDRKRGRRFERAMLVYRERHCPGKDEEIRCLIPAPPKYRTPFKWPQSRDFAWFNNIPHKELSIEKAVQNWIQVDGQRFRFPGGGTMFPRGADAYIDDIGKLISLTDGKIRTAIDTGCGVASWGAYLLKRNILAMSFAPRDTHEAQVQFALERGVPAIIGVMGKQRLPYPSRSFDMAHCSRCLIPWHEFDGIYLAEVDRILRPGGYWILSGPPINWKTHYKGWERTKEDLKEEQENIEDVARSLCWNKVVEKGDLSIWQKPKNHLECANIKKKYKTPHICKSDNPDAAWYKQMEACVTPLPEVSNQGEIAGGALERWPQRAFAVPPRVKRGMIPGIDASKFEDDKKLWEKRVAYYKRTLPIADGRYRNVMDMNANLGGFAASLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITNILLEMDRILRPEGTAIIRDTVDVLTKVQAIAKRMRWESRILDHEDGPFNPEKVLVAVKTYWTADESEQH >ONIVA04G21660.1 pep chromosome:AWHD00000000:4:22179224:22180351:-1 gene:ONIVA04G21660 transcript:ONIVA04G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G06440) TAIR;Acc:AT1G06440] MSLPARLLLARGKTTAAQHVAARHLDHTFEKLAAAHLPLVAAAPLVDALRASPAEPLALPGLARRLPLRLHRRGALHFLRLFPRVFDLRAPLPLSLSLTAPAAELLAVAASPAAAAHALHRLLAMSASRSLPLRAVFRVWRELALPDDFEVSVVADHPNLFHLAPNPAEPNTHILHLVADPATEEFTPAVDKTRPEKHAFKLQFPPGFRLTKEYRKKVKEWQQLPYISPYEVSIQKGVGSKRVSKMARKKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKWFGIEVNVRDVFLDHPGIFYLSAKGKRHTVFLREAYDRGKLIEPNDVSEARRKLVELMLLRRRGLGNANSNANMASRACAGAKEDTSDFQEEEI >ONIVA04G21650.1 pep chromosome:AWHD00000000:4:22174304:22177780:1 gene:ONIVA04G21650 transcript:ONIVA04G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLSPGRKAAHARTASHPCHHPELARLDGGVRELMSWTATSRSGGEGSSGLALVEAVLAALGEVLELPVAVAALHGGEAAAAARDDAFLVLADAYGTFESALLALRESVAGARCGARRGDGAAVAAALRARRRTEKELCRLAGAMRQAASRTPAADATTGDGVGDAVADAAAAIAAASEAVFLGCAAMSPDMSSSMASSPLSKNWLARLHLHVVPASKKVSPETATATAAAFERLEECIGELESGSEKVFRRLLQTRVSLLNIHNPLPAAARSASLPREHSHPVVARLDGGISALRSWSAAAARLSGVDGDGRCDGLALVEDVLAVLGELLGLPQAAAAIHRAGGGAACERALDGFLALADAYGTFESAVLALRQSAAELRAGARRGDGATVATALRAHRRTERELCRLAAAMRHAVRRTPAAASRTANDADGEVVGIVAEAAAVTAAASEAILLRCAAMSRDVPAMVQTAASHKWLAWLGVTRAAKKAASPALEKLEELEECIGEMESGSEKVFRRLLQTRVSLLNIHNPL >ONIVA04G21640.1 pep chromosome:AWHD00000000:4:22168620:22172856:1 gene:ONIVA04G21640 transcript:ONIVA04G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVMFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRKCF >ONIVA04G21630.1 pep chromosome:AWHD00000000:4:22155557:22155856:-1 gene:ONIVA04G21630 transcript:ONIVA04G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFELIAGVAILAALSTTTSNAPSPVAVAAGRAVRAPPSPKPAPPIRPLFRAPPPPPARSVQPTCSSAPPPPPAKSELAMSSPPLAGEVGSTNASELP >ONIVA04G21620.1 pep chromosome:AWHD00000000:4:22145282:22145572:-1 gene:ONIVA04G21620 transcript:ONIVA04G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKVAAMDAKEAEEVMRRNAELEAAAAEAAAREARLRRELEAALARLAVAEEGEERLCVQLGELEAEAMAQAVEYQQRVRELSDRLAFVDGILRP >ONIVA04G21610.1 pep chromosome:AWHD00000000:4:22142440:22142727:1 gene:ONIVA04G21610 transcript:ONIVA04G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTAVEDVWPVVVASPYVSVDTLFPYRDLALHRPDTQKEDGEHDGRESGGRRRWRGGGAAAVDAEPRLPGVTVKEILGGMLSVSSACSRWMPMP >ONIVA04G21600.1 pep chromosome:AWHD00000000:4:22130952:22131290:-1 gene:ONIVA04G21600 transcript:ONIVA04G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVAVAAMDAKEAEEVMRRNAALEAAAAEAAAREERLRRELEAALAALAVAEEAEERLCVQLGELEAEAMAQAVEYQQQVRELSDRLAFADGVLRSSSGRRTAAVAAGMD >ONIVA04G21590.1 pep chromosome:AWHD00000000:4:22123594:22131848:1 gene:ONIVA04G21590 transcript:ONIVA04G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPLLRLPISPPSPPPAQTPPPLLACNSVNGVRLRPQRSRQPRRAAAAAATASLAADTERRKHELLRAVQETGRGFAASPDQRASIEEAIVSVEELGAGEGSPLDLAALDGTWRLCYTSASDVRVLFEAAERLPLLQVGQIYQKFECKDRSDGGVVRNVVRWSIENLLEEQEGATLMVSAKFAVMSKRNIFLQFEEVVVENIKISEQLQALIAPAILPRSFFSLQILQFLKTFRAQVPVNGPERRSPGGLYYLSYLDRDMLLGRSVGGGGSMPAATAAVRRPDDDRRTPSAKARRSESSLTCCWYSTACAIASASSSPSCTHSRSSASSATASAASAASSSRRSRSSLAAASAAAASSAAFLLITSSASLASMAATATFLAISKCTMPQEREGSKRWKWGGVRSCGVLLRVSSRYDGEAAKIADEKAPTFNEPSRARREVSVYGYRSAGFTTTTHK >ONIVA04G21590.2 pep chromosome:AWHD00000000:4:22123594:22130766:1 gene:ONIVA04G21590 transcript:ONIVA04G21590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAPLLRLPISPPSPPPAQTPPPLLACNSVNGVRLRPQRSRQPRRAAAAAATASLAADTERRKHELLRAVQETGRGFAASPDQRASIEEAIVSVEELGAGEGSPLDLAALDGTWRLCYTSASDVRVLFEAAERLPLLQVGQIYQKFECKDRSDGGVVRNVVRWSIENLLEEQEGATLMVSAKFAVMSKRNIFLQFEEVVVENIKISEQLQALIAPAILPRSFFSLQILQFLKTFRAQVPVNGPERRSPGGLYYLSYLDRDMLLGRSVGGGGTSKVDEEVLPLFTFGNYSFGLWKPEGSVPNND >ONIVA04G21590.3 pep chromosome:AWHD00000000:4:22130959:22131848:1 gene:ONIVA04G21590 transcript:ONIVA04G21590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATAAVRRPDDDRRTPSAKARRSESSLTCCWYSTACAIASASSSPSCTHSRSSASSATASAASAASSSRRSRSSLAAASAAAASSAAFLLITSSASLASMAATATFLAISKCTMPQEREGSKRWKWGGVRSCGVLLRVSSRYDGEAAKIADEKAPTFNEPSRARREVSVYGYRSAGFTTTTHK >ONIVA04G21580.1 pep chromosome:AWHD00000000:4:22122239:22123372:1 gene:ONIVA04G21580 transcript:ONIVA04G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFTADVIVVLVDLLHERGRRSSGGRVRRSGGPSRRAAGGKYSCGAAASGQRQPLRRCGAPPPLPPASSQPLMLPFFFFFGREGIEGVEGSHAAAAALLPVKKSRRTAAAVQGDPAGDERAEEAALDVKSFSHELNMRGPLLHRLQQRKPRGCVNSPEEFIGAIRTKFIRLKEEVDAELGVFAGDLVGALDKADGGEERVVLEDLLVVAQRCAKMSPEEPRRCLLAPPSAARPPTPPLRLPPAARRCCSPSAPIAD >ONIVA04G21570.1 pep chromosome:AWHD00000000:4:22118197:22121212:1 gene:ONIVA04G21570 transcript:ONIVA04G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSVIAEPSNVIYLSSILNTEGPIPSHKCDKNCQNEHVFGNMYRCKLTGTTHICDKNCNQRILYDNHSSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSNEGCSFKRRRGAQLHPSPFERSYTAVSPIPSQGLPGKLLNCRSVTLWEEKNYLHRTTWILLQPNLITTSRAKQHDFQFQIMTQKT >ONIVA04G21570.2 pep chromosome:AWHD00000000:4:22116964:22121212:1 gene:ONIVA04G21570 transcript:ONIVA04G21570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSVIAEPSNVIYLSSILNTEGPIPSHKCDKNCQNEHVFGNMYRCKLTGTTHICDKNCNQRILYDNHSSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSNEGCSFKRRRGAQLHPSPFERSYTAVSPIPSQVGDGMDLS >ONIVA04G21560.1 pep chromosome:AWHD00000000:4:22103527:22112431:1 gene:ONIVA04G21560 transcript:ONIVA04G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2 [Source:Projected from Arabidopsis thaliana (AT5G54090) TAIR;Acc:AT5G54090] MLRLSATTLSPLASRPPLSGLHLCPRCRRLVLRLRALPAPDSSPSARSLRLLEWGKVCDAVASFAGTAHGRETTKTQLWEVEDVSYEQSRRLLEETGAAVRLIDSAGGGIDFSGLDTVMVESAIHGVSGGAVIKGQEAMAIVSLMLFVESLQVTIKAAMKQDEDSHERLISLTETILDADINKSLVKSIQDVIDDDGSIKDTASPELRRYREQVQVLESRLYQLMDKLVRNADNEASVSEVCIVNGRCCIKVTGDKSSPFDGLLLSSGTDAGSMVEPIVAVPLNDELQQARALVAKAELDALSKMTDKILLELDNIRILLQATVELDKVAARAKYSIAYDGTYPDLYLPNFVNGTVSTATGGSISTISSAHLSKKAWKLCMPNAYHPLLLQQHQENLHRAKKDVASATAEIRRRRIYGQDNVEDQLASDLDLMKIRVSQMEKDRPVPVDFFIAEGTTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAWSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTAHYIVHTFSNDLFENACMEFDEDNLKPTFRILWGIPGRSNAINIAERLGLPSDIIESSRQLLGTAGAEINALIMDMENFKQQYQHHLQEARYYVMQSKELHNNLEVAQKNIIDHTSAQRKRKARVISEYAVMARSIIRKKFQQFRESAIAKRVLEEEKAVQNDKPERLKDPEPTSTPAVKKAQNTNISMATTTEGEDNGIPEVGDLVYVPKLKNEATVVKIDSSKNEVQVQAGIMKLKLKFKDVKIQKRISR >ONIVA04G21560.2 pep chromosome:AWHD00000000:4:22103527:22112431:1 gene:ONIVA04G21560 transcript:ONIVA04G21560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2 [Source:Projected from Arabidopsis thaliana (AT5G54090) TAIR;Acc:AT5G54090] MLRLSATTLSPLASRPPLSGLHLCPRCRRLVLRLRALPAPDSSPSARSLRLLEWGKVCDAVASFAGTAHGRETTKTQLWEVEDVSYEQSRRLLEETGAAVRLIDSAGGGIDFSGLDTVMVESAIHGVSGGAVIKGQEAMAIVSLMLFVESLQVTIKAAMKQDEDSHERLISLTETILDADINKSLVKSIQDVIDDDGSIKDTASPELRRYREQVQVLESRLYQLMDKLVRNADNEASVSEVCIVNGRCCIKVTGDKSSPFDGLLLSSGTDAGSMVEPIVAVPLNDELQQARALVAKAELDALSKMTDKILLELDNIRILLQATVELDKVAARAKYSIAYDGTYPDLYLPNFVNGTVSTATGGSISTISSAHLSKKAWKLCMPNAYHPLLLQQHQENLHRAKKDVASATAEIRRRRIYGQDNVEDQLASDLDLMKIRVSQMEKDRPVPVDFFIAEGTTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAWSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTNDLFENACMEFDEDNLKPTFRILWGIPGRSNAINIAERLGLPSDIIESSRQLLGTAGAEINALIMDMENFKQQYQHHLQEARYYVMQSKELHNNLEVAQKNIIDHTSAQRKRKARVISEYAVMARSIIRKKFQQFRESAIAKRVLEEEKAVQNDKPERLKDPEPTSTPAVKKAQNTNISMATTTEGEDNGIPEVGDLVYVPKLKNEATVVKIDSSKNEVQVQAGIMKLKLKFKDVKIQKRISR >ONIVA04G21560.3 pep chromosome:AWHD00000000:4:22103527:22112431:1 gene:ONIVA04G21560 transcript:ONIVA04G21560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2 [Source:Projected from Arabidopsis thaliana (AT5G54090) TAIR;Acc:AT5G54090] MLRLSATTLSPLASRPPLSGLHLCPRCRRLVLRLRALPAPDSSPSARSLRLLEWGKVCDAVASFAGTAHGRETTKTQLWEVEDVSYEQSRRLLEETGAAVRLIDSAGGGIDFSGLDTVMVESAIHGVSGGAVIKGQEAMAIVSLMLFVESLQVTIKAAMKQDEDSHERLISLTETILDADINKSLVKSIQDVIDDDGSIKDTASPELRRYREQVQVLESRLYQLMDKLVRNADNEASVSEVCIVNGRCCIKVTGDKSSPFDGLLLSSGTDAGSMVEPIVAVPLNDELQQARALVAKAELDALSKMTDKILLELDNIRILLQATVELDKVAARAKYSIAYDGTYPDLYLPNFVNGTVSTATGGSISTISSAHLSKKAWKLCMPNAYHPLLLQQHQENLHRAKKDVASATAEIRRRRIYGQDNVEDQLASDLDLMKIRVSQMEKDRPVPVDFFIAEGTTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAWSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTAHYIVHTFSNDLFENACMEFDEDNLKPTFRILWGIPGRSNAINIAERLGLPSDIIESSRQLLGTAGAEINALIMDMENFKQQYQHHLQEARYYVMQSKELHNNLEVAQKNIIDHTSAQRKRKARVISEYAVMARSIIRKKFQQFRESAIAKRVLEEEKAVQNDKPERLKDPEPTSTPAVKKAQNTNISMATTTEGEDNGIPEVGDLVYVPKLKNEATVVKIDSSKNEVQVQAGIMKLKLKFKDRSLASTGRPT >ONIVA04G21560.4 pep chromosome:AWHD00000000:4:22103527:22111562:1 gene:ONIVA04G21560 transcript:ONIVA04G21560.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2 [Source:Projected from Arabidopsis thaliana (AT5G54090) TAIR;Acc:AT5G54090] MLRLSATTLSPLASRPPLSGLHLCPRCRRLVLRLRALPAPDSSPSARSLRLLEWGKVCDAVASFAGTAHGRETTKTQLWEVEDVSYEQSRRLLEETGAAVRLIDSAGGGIDFSGLDTVMVESAIHGVSGGAVIKGQEAMAIVSLMLFVESLQVTIKAAMKQDEDSHERLISLTETILDADINKSLVKSIQDVIDDDGSIKDTASPELRRYREQVQVLESRLYQLMDKLVRNADNEASVSEVCIVNGRCCIKVTGDKSSPFDGLLLSSGTDAGSMVEPIVAVPLNDELQQARALVAKAELDALSKMTDKILLELDNIRILLQATVELDKVAARAKYSIAYDGTYPDLYLPNFVNGTVSTATGGSISTISSAHLSKKAWKLCMPNAYHPLLLQQHQENLHRAKKDVASATAEIRRRRIYGQDNVEDQLASDLDLMKIRVSQMEKDRPVPVDFFIAEGTTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAWSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTAHYIVHTFSNDLFENACMEFDEDNLKPTFRILWGIPGRSNAINIAERLGLPSDIIESSRQLLGTAGAEINALIMDMENFKQQYQHHLQEARYYVMQSKELHNNLEVAQKNIIDHTSAQRKRKARVISEYAVMARSIIRKKFQQFRESAIAKRVLEEEKAVQNDKPERLKDPEPTSTPAVKKAQNTNISMATTTEGEDNGIPEVGDLVYVPKLKNEATVVKIDSSKNEVQVQAGIMKLKLKFKDVKIQKRISR >ONIVA04G21560.5 pep chromosome:AWHD00000000:4:22103527:22111562:1 gene:ONIVA04G21560 transcript:ONIVA04G21560.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2 [Source:Projected from Arabidopsis thaliana (AT5G54090) TAIR;Acc:AT5G54090] MLRLSATTLSPLASRPPLSGLHLCPRCRRLVLRLRALPAPDSSPSARSLRLLEWGKVCDAVASFAGTAHGRETTKTQLWEVEDVSYEQSRRLLEETGAAVRLIDSAGGGIDFSGLDTVMVESAIHGVSGGAVIKGQEAMAIVSLMLFVESLQVTIKAAMKQDEDSHERLISLTETILDADINKSLVKSIQDVIDDDGSIKDTASPELRRYREQVQVLESRLYQLMDKLVRNADNEASVSEVCIVNGRCCIKVTGDKSSPFDGLLLSSGTDAGSMVEPIVAVPLNDELQQARALVAKAELDALSKMTDKILLELDNIRILLQATVELDKVAARAKYSIAYDGTYPDLYLPNFVNGTVSTATGGSISTISSAHLSKKAWKLCMPNAYHPLLLQQHQENLHRAKKDVASATAEIRRRRIYGQDNVEDQLASDLDLMKIRVSQMEKDRPVPVDFFIAEGTTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAWSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTNDLFENACMEFDEDNLKPTFRILWGIPGRSNAINIAERLGLPSDIIESSRQLLGTAGAEINALIMDMENFKQQYQHHLQEARYYVMQSKELHNNLEVAQKNIIDHTSAQRKRKARVISEYAVMARSIIRKKFQQFRESAIAKRVLEEEKAVQNDKPERLKDPEPTSTPAVKKAQNTNISMATTTEGEDNGIPEVGDLVYVPKLKNEATVVKIDSSKNEVQVQAGIMKLKLKFKDVKIQKRISR >ONIVA04G21560.6 pep chromosome:AWHD00000000:4:22103527:22111562:1 gene:ONIVA04G21560 transcript:ONIVA04G21560.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2 [Source:Projected from Arabidopsis thaliana (AT5G54090) TAIR;Acc:AT5G54090] MLRLSATTLSPLASRPPLSGLHLCPRCRRLVLRLRALPAPDSSPSARSLRLLEWGKVCDAVASFAGTAHGRETTKTQLWEVEDVSYEQSRRLLEETGAAVRLIDSAGGGIDFSGLDTVMVESAIHGVSGGAVIKGQEAMAIVSLMLFVESLQVTIKAAMKQDEDSHERLISLTETILDADINKSLVKSIQDVIDDDGSIKDTASPELRRYREQVQVLESREVCIVNGRCCIKVTGDKSSPFDGLLLSSGTDAGSMVEPIVAVPLNDELQQARALVAKAELDALSKMTDKILLELDNIRILLQATVELDKVAARAKYSIAYDGTYPDLYLPNFVNGTVSTATGGSISTISSAHLSKKAWKLCMPNAYHPLLLQQHQENLHRAKKDVASATAEIRRRRIYGQDNVEDQLASDLDLMKIRVSQMEKDRPVPVDFFIAEGTTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAWSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTNDLFENACMEFDEDNLKPTFRILWGIPGRSNAINIAERLGLPSDIIESSRQLLGTAGAEINALIMDMENFKQQYQHHLQEARYYVMQSKELHNNLEVAQKNIIDHTSAQRKRKARVISEYAVMARSIIRKKFQQFRESAIAKRVLEEEKAVQNDKPERLKDPEPTSTPAVKKAQNTNISMATTTEGEDNGIPEVGDLVYVPKLKNEATVVKIDSSKNEVQVQAGIMKLKLKFKDVKIQKRISR >ONIVA04G21550.1 pep chromosome:AWHD00000000:4:22102123:22102551:-1 gene:ONIVA04G21550 transcript:ONIVA04G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLLSPSPAAAASAEPTTADDIIPALSGDDRCWWPADAPTAAAAPAGGGTGYDDAIAAVADDAVVVVAAPAAATAHRFRPRYDSAVSPGAKRELEHEARCGPRVPVRRGFPWPEWKPNCRREHGVAGAGGLGRRPWDEP >ONIVA04G21540.1 pep chromosome:AWHD00000000:4:22089869:22090513:-1 gene:ONIVA04G21540 transcript:ONIVA04G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSLLLLLVACFLVAGATAARPAPSTSGAAAISSFVRSWCAGTEYPALCDATLAPYSAAVGASPAKLAWAALTVTLSAARNATSAVRSIAARSSSSHLPPVAAEAAGDCASELGDGVDALRRCVDAMARVAVGEESSSTAAAARRKVRFEVDNVRTWASAALTDDNMCMEGFKGEAAGGGGAREAVRGHIMGLLHLTANALGILNAMAKQI >ONIVA04G21530.1 pep chromosome:AWHD00000000:4:22086367:22086645:1 gene:ONIVA04G21530 transcript:ONIVA04G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLQRQTLVFYGGGGGAPLVFGGGGNDDAHLIFGSGGGGGAQGVVFSNNGGVPGGNDSGGRFGNRLHSGGGVPPLVFGGGDVVKECGRQRL >ONIVA04G21520.1 pep chromosome:AWHD00000000:4:22081991:22085010:1 gene:ONIVA04G21520 transcript:ONIVA04G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRPASGRPSGTDGSDFSYRMVVDSRYQRVADGKSRLGRLILVQALHQVAGGALLLLALSKGAEMNKFAVMSVAAGLLAIVLGEIGRRRTMAVLLRMYTSLSSIAVAFSVACIIRSELFFKITKQNTESITSHELLEVVRVALGCPFRFAEIPVLF >ONIVA04G21510.1 pep chromosome:AWHD00000000:4:22073531:22081819:-1 gene:ONIVA04G21510 transcript:ONIVA04G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G60740) TAIR;Acc:AT3G60740] MEETAAIRTSGIPVSVSSTASADGDASADPTASAAAAAATVVDDEHDSKEVVLRRYFIQEWEIVSDILRRIVDAGGVAEPADVQRIRSIMDKYQEEGQLLEPYLESIISPLMLLVRSKTMELGACTDELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLEPAVALLEECHKMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISSVDTSIATADHVDGPETVPLVTRILDICKDYLCSSGPMRRMSGLLLARLLTRPDMPKVFSSFMEWAQRILLSVTDDFVDQFRSIGIVEALASIFKIGNRKVLCDAVSGIWNDCSVVMKTNIASRSSLLRKFLVKLAQRVALISLPPRSPSWRYQSISSSLGANLSTSTDGTGTSSGSTKQVNIDQTDTSSLEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYTNFDMKAVLQQLAPHLLTVNCRRAASAAFQENVGRQGNFPHGIDIVNAADYFALASRSNSYLNVAVFVAQYKEYLHPFAEELLCNKISHWERSLRELAAQALSMLVQYDMNYFAGYALEKLVPCTLSSDLCTRHGATLAAGEIALKLYQLGFTFTTDMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMSGISLNEKTKRSLLETLNENLRHPNAQIQCAAVDALKHFIPTYLVSSGEKTANGIITKYLTLLDDPNVAARRGAALALGTLPYEFLVLKWMPVISKLCSSCTIEDKPDDPDAEARVNSVRGLILVCETLTASVEHSSSFGDSMYSYIKDKVMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKRDNIAVKITPVAEHESKSIDIDTNAINTRCQLFDSSIAQDLVAGIAKQAVEKIDKIREIAVKTLKRILYNEELFVPSIPYRELLEQIIPNSADLEWAVPAVSYPRFVKLLQVSCYSKPVLSGLVISTGGLQESLRKASTSALVDYLQDSDINTNDEGKNREYLLSCDLLWVLEHYQKCDRVVTPTLKTVETLLSKKVFLREGHCEFYSGLIKSLGPELKGSKDFAKLSAGLSILGYISSQSDGNGSTAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDSLIAAENMEKAQEVIAETCWEGDVEEARRKRSELNEMAGFGAATSQKPGNEQTRRKTEERNAASTDENKSYSSLVDFSGY >ONIVA04G21510.2 pep chromosome:AWHD00000000:4:22073531:22081819:-1 gene:ONIVA04G21510 transcript:ONIVA04G21510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G60740) TAIR;Acc:AT3G60740] MEETAAIRTSGIPVSVSSTASADGDASADPTASAAAAAATVVDDEHDSKEVVLRRYFIQEWEIVSDILRRIVDAGGVAEPADVQRIRSIMDKYQEEGQLLEPYLESIISPLMLLVRSKTMELGACTDELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLEPAVALLEECHKMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISSVDTSIATADHVDGPETVPLVTRILDICKDYLCSSGPMRRMSGLLLARLLTRPDMPKVFSSFMEWAQRILLSVTDDFVDQFRSIGIVEALASIFKIGNRKVLCDAVSGIWNDCSVVMKTNIASRSSLLRKFLVKLAQRVALISLPPRSPSWRYQSISSSLGANLSTSTDGTGTSSGSTKQVNIDQTDTSSLEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKVNCRRAASAAFQENVGRQGNFPHGIDIVNAADYFALASRSNSYLNVAVFVAQYKEYLHPFAEELLCNKISHWERSLRELAAQALSMLVQYDMNYFAGYALEKLVPCTLSSDLCTRHGATLAAGEIALKLYQLGFTFTTDMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMSGISLNEKTKRSLLETLNENLRHPNAQIQCAAVDALKHFIPTYLVSSGEKTANGIITKYLTLLDDPNVAARRGAALALGTLPYEFLVLKWMPVISKLCSSCTIEDKPDDPDAEARVNSVRGLILVCETLTASVEHSSSFGDSMYSYIKDKVMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKRDNIAVKITPVAEHESKSIDIDTNAINTRCQLFDSSIAQDLVAGIAKQAVEKIDKIREIAVKTLKRILYNEELFVPSIPYRELLEQIIPNSADLEWAVPAVSYPRFVKLLQVSCYSKPVLSGLVISTGGLQESLRKASTSALVDYLQDSDINTNDEGKNREYLLSCDLLWVLEHYQKCDRVVTPTLKTVETLLSKKVFLREGHCEFYSGLIKSLGPELKGSKDFAKLSAGLSILGYISSQSDGNGSTAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDSLIAAENMEKAQEVIAETCWEGDVEEARRKRSELNEMAGFGAATSQKPGNEQTRRKTEERNAASTDENKSYSSLVDFSGY >ONIVA04G21500.1 pep chromosome:AWHD00000000:4:22068765:22075774:1 gene:ONIVA04G21500 transcript:ONIVA04G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine aminopeptidase 1A [Source:Projected from Arabidopsis thaliana (AT2G45240) TAIR;Acc:AT2G45240] MALKSEKTRWPNLSWAGKKPHGPPYRGLGRPGPCPRKQTHASPCLEAKQQQNPSLFLSLSLCSTPSRRRRRRRHRRQARRPDRPRPSLRHRRAAWGGGMEKGGAESSIACARCGKPASLQCPKCAQLKLPREGAAFCTQDCFKEAWSSHKSVHIKLDALTLQQTPEGWQYCLKKGRTRTSQLPRFDWTGPLRPYPISKMCVVPDKIEKPDWALDGTPKIEPDSDLQKRVEIKTPEQIERMRETCRIAREVLDAAARIIKPGITTDEIDRVVHEETVARGLKEYEMLTLLSLVSRKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVRCTYECLDKAIAIVKPGVRFREVGEIINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRVWHDRLWPDEWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVFPWLKP >ONIVA04G21500.2 pep chromosome:AWHD00000000:4:22068765:22075105:1 gene:ONIVA04G21500 transcript:ONIVA04G21500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine aminopeptidase 1A [Source:Projected from Arabidopsis thaliana (AT2G45240) TAIR;Acc:AT2G45240] MALKSEKTRWPNLSWAGKKPHGPPYRGLGRPGPCPRKQTHASPCLEAKQQQNPSLFLSLSLCSTPSRRRRRRRHRRQARRPDRPRPSLRHRRAAWGGGMEKGGAESSIACARCGKPASLQCPKCAQLKLPREGAAFCTQDCFKEAWSSHKSVHIKLDALTLQQTPEGWQYCLKKGRTRTSQLPRFDWTGPLRPYPISKMCVVPDKIEKPDWALDGTPKIEPDSDLQKRVEIKTPEQIERMRETCRIAREVLDAAARIIKPGITTDEIDRVVHEETVARGLKEYEMLTLLSLVSRKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVRCTYECLDKAIAIVKPGVRFREVGEIINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRVWHDRLWPDEWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVFPWLKP >ONIVA04G21490.1 pep chromosome:AWHD00000000:4:22050792:22054420:-1 gene:ONIVA04G21490 transcript:ONIVA04G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSASSAAAAAFRPAVVQREQQVVEEKFPAAAAAMREMVLPPVAAAAADSEQEQLCYVHCHYCDTVLVVSVPSSSLFKTVTVRCGHCSSLLTVNMRGLLLPTTAAAAPPPPPPPPPPAAHFPHSLNLAPANPPHHHSLLDEISTANSPTQLLLEQHGLGGLMASAASCRNNNSPAAAAALPPPTSQGKAAAKEPSPRTNTAVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHQGLKKTSLLPQDHQRKDGLLKEGLYAAAAAAAAAANMGVAPY >ONIVA04G21480.1 pep chromosome:AWHD00000000:4:22041828:22043243:1 gene:ONIVA04G21480 transcript:ONIVA04G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT3G60680) TAIR;Acc:AT3G60680] MLQKFALAFKTKTIEFFAEEEEDEDADGGVSAAAAAAAVGVGEGGVLAGQRVVVLKPDTVQSPNPSGGVGVGVVVGEAAAVEAALATASSFQAAYLHLQAAHAPFLPDAAAAADAAAVSHLRRLSEVKRLARDPGVGGGALTAHLEAQVRENQALLRSFDAVVNRLQAALDGKDAAAASLRRDHAELADGNARLGARLDRALAPPPGAGGDDALGAMLSAGVFDSVLRDALRVAHRFTRSLADLLRCAGWDLAAVAAAVYPGVAYSRPGHCRYVLLSRVCLSMFDGFDSYQFGGSTDATTLEGIDLAIRRNESLQQFIEHSDADPMELINSSPDCEFAQFCDRKYKQLIHPGIESSLFGNSDCGKLPVLGAAGPLYELFVAMASSIWTLHRLAWAYDPAVGIFQIGQGAEYSVVYMENIVRSKGFSGSKELGKMMRPKVGFTVVPGFRLGGTVIQCRVYLDCGKREGIIGE >ONIVA04G21470.1 pep chromosome:AWHD00000000:4:22029271:22034637:1 gene:ONIVA04G21470 transcript:ONIVA04G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRALAAAAAAVAVAVVVAARPAAATDPYAFFDWDVGYVTAAPLGVKQQAKLASIILLGVIGINGKFPGPTVNISTNWNVVVNVLNDLDEPLLITWNGIQHRKNCWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSTGLQRAAGGYGGVVVNNRDVIAVPFGRPDGDITIFIGDWYNKNHTDLRKMLDSGKDLGMPDGVLINGKGPYRYNDSLVPAGIEYETINVDPGKTYRIRVHNVGTSTSLNFRIQGHNMVLVETEGSYTTQQNYTNLDVHVGQSYSFLVTTDQNASSDYYVVASARMVNDTVWRRVAGVAVLRYSNSRGRASGPLPDPPQDQFDKSFSMNQARSVRWNLSAGAARPNPQGSFRYSSINVTQAYLLRSTAPVTINGRRRATLNGLSFTPPETPLRLADAMDYGEWTEDSRGTYNKGDGVARSTVQVYPGAWAAVLVSLDNVGVWNVRSENLDSWYLGQEVYVRVVNPEDTGNKTEMAIPDNALFCGQLHKQQTPHHKMGTSAAAAAVVGSRVAAAAMLLLAGAVMISP >ONIVA04G21460.1 pep chromosome:AWHD00000000:4:22019371:22027105:-1 gene:ONIVA04G21460 transcript:ONIVA04G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G35720) TAIR;Acc:AT2G35720] MESTPEPEDGRELYALLHLSPDASGEEIRRAYRQYAQIYHPDKYQDPQMKDVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSGLELGPKLNKPEEIKEQLERLKRRKEEEKFLAHARPTGSIIANFSVPQYLDGYGIMRGMGMSSEVQLPVSKKNTVVVGGNLVVNGTDGTGAASAVLRHQLSSVASVEFMATAGLRSLISVQTFRQISPHSTATSGLALSLRDGSINLSNAWTRQLSDNIVGNIQLALGTDSSISVGWQKKDEKNSAAGDVKLGTNYFGASAHYTRYFSTKSHGRVAGRVGSTALDFEIGGGRRISEFSTVRMIYNIGIQGVSWRFELHRAGQKLVIPVLLSTDFNALLATSVFAIPSTLYFLLQTYFVKPYCLKREKQKELEKMESLSSQLTEARRAAKKAQKLLEPVSNRKKNRQLEDDGLVITKALYGNRKKVKESSESNELNDDVASQVLDVTIPLNFLVSEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYTFHGQKYKVMVDDYAALLIPQDIHQI >ONIVA04G21450.1 pep chromosome:AWHD00000000:4:22013588:22014001:-1 gene:ONIVA04G21450 transcript:ONIVA04G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRFLATPRLLAAVLGAAVLHGAGGVAAVAMARPLLAGSGGAEPPSAVLAAAPGPAGVVAAAQGGGVMKPDRSIAGADVILVGFAAAVVVVVFWYIRITRKNSSSGGGGGGGGVEAARKLEILKEPPAVVVEVAA >ONIVA04G21440.1 pep chromosome:AWHD00000000:4:22003526:22006222:-1 gene:ONIVA04G21440 transcript:ONIVA04G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCDLVDVDPPELQFPFELDKQISCPLRIANKTERTVAFKVKTTSPKKYCVRPNNGVVRPRSASVVVVTMQAQIVAPPDLQCKDKFLVQSVVVDDGLSAKDITSQMFLRDENNMVEEVKLKVSYVMPPEPAMEIAEESDIPKRILVPMQRILDNGRSGSELSSGNVSLRSAEMGTELGSPLGRFVRNEDMLKTASPVVETRVHAGPDEQYLELSALVAKLTEEKKSALEQNRKLREELELARRQASQHQGGFSLAFVLVIGLLSIILGCLVKK >ONIVA04G21440.2 pep chromosome:AWHD00000000:4:22003528:22006222:-1 gene:ONIVA04G21440 transcript:ONIVA04G21440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCDLVDVDPPELQFPFELDKQISCPLRIANKTERTVAFKVKTTSPKKYCVRPNNGVVRPRSASVVVVTMQAQIVAPPDLQCKDKFLVQSVVVDDGLSAKDITSQMFLRDENNMVEEVKLKVSYVMPPEPAMEIAEESDIPKRILVPMQRILDNGRSGSELSSGNVSLRSAEMGTELGSPLGRFVRNEDMLKTASPVVETRVHAGPDEQYLELSALVAKLTEEKKSALEQNRKLREELELARRQASQHQGGFSLAFVLVIGLLSIILGCLVKK >ONIVA04G21430.1 pep chromosome:AWHD00000000:4:22000918:22004511:1 gene:ONIVA04G21430 transcript:ONIVA04G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNFDNREKVRRDEAEAAREEQLQREADRRRESGLRLAALRRNRGLQSADAAPLSPRPPTDAADPADPSPAADDSDGGHINLFSGVPGVSGGAADFAALASADGGRGAAREREPPANPNPKKRKRKEEEVRAVGPDEEKYRLGYGLAGKGVAAPWYMSKPLASSSKATKDREVGEGSVGKKNGGKKSIEELREERRKREAKEKERERALLGIPSRKEKYSERGRSSRYFCSAETIGDDLTRL >ONIVA04G21420.1 pep chromosome:AWHD00000000:4:21998646:21999061:-1 gene:ONIVA04G21420 transcript:ONIVA04G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSIRICVWKSPGGSNEQETTTTRASSSRRAPGCCGEERPEEKINWLLVLEMFFTNAASSVGTVGFVWATVVLLGGFVTKLNPVDFWFITTLSFFQAISIYNGGGATGSDAAKEGGR >ONIVA04G21410.1 pep chromosome:AWHD00000000:4:21994967:21997146:-1 gene:ONIVA04G21410 transcript:ONIVA04G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAALNLYYGLSVGQALLSIIAINHSYSSSKLLKDVAKQYELNVNGERAMDLYYRHVRRICRDEGMAKTLNMTLIGFAVKSLASNHDKEAQKAGLTILHDLVEMEYSRYSTEALQSVRDCPDAIESLSNVVVSTFEDDKPTRVMAAWVMAKLAAHLHVHGILPVMRSVWSLLEARDGEMVTSNDKDMKFTERGLEILEQLSSRACNLSAISSSHELMAKLTAFTCVPALSSRDDFSIRKARCALTVFSRFASCTGIQGINIRQDILENTLLLANIGEIIMQGSTTSDLLQLQEGAIGIVDGFALDAGSRDHRATRKLVVMLLGVFRSLDEGDKVQVQLSAGKALARLTTDSQANCHAIIREKDVLKDFKRMLSGQHGASCRVVVANILRNLCAYAKPDSMKEFSAENISMAITTMCQTKNVKGEDMEALLGLVLQFSKLLPADDFSMAVNGNGIGLRNFVEKLKSILEEANSEITSTSTHPGIRRFAIEQVIWMAQSEPQLHCINHFIDFEMRDYLVEVQQTARRTWQENFKLSSGDLPVLEYEESLHSVALRALDLIPGEQINGQ >ONIVA04G21400.1 pep chromosome:AWHD00000000:4:21992383:21996313:1 gene:ONIVA04G21400 transcript:ONIVA04G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPAGNASAAATSPPLEEQGVIEPLTWEEPWEAKVAPPSSCHTSGEADGRLALAVLPAIAATSAAISSRPLLRWKIKGSDLRRTPSTAGGAHERRRRPQRKKARSERCALRGRWGVCCIAMGMRENSFMESGLAYAHSIQRKAIDYSYRPLLELEEITGGRALHYNLPNVSEKQVQDANLLKTVSAHLAFLMLKSSLDDNAGTQVNAVSLAMSSCELDIADRLQARDESCSRISNPLSVNFMSLSFEVTISPSLASSSDQTDRITGRIP >ONIVA04G21390.1 pep chromosome:AWHD00000000:4:21990571:21992298:-1 gene:ONIVA04G21390 transcript:ONIVA04G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPPRDWEINGIDRSGLDAQVAEAYSANLVQIATPRTPRPALVLTPVTADEVRAYVVCCRDHGLTVRTRSGGHDYEGLSYRSLRSSGDGEGAARFAVVDVAALWAVRLDAARGVARTKARATRVVRSVVAAGLPPPPPISSPPRGCAAVREKKREEEGGRRGRHVGPTLSQLSRQTKPESKPPRDLLCTGFLS >ONIVA04G21380.1 pep chromosome:AWHD00000000:4:21981824:21987891:1 gene:ONIVA04G21380 transcript:ONIVA04G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGAVAVDVLPDGQWDQERPELGMNRAVLLEVFFASAASGIGTIGFVWATVVLLGGFETDLNQVDFWFITVLSFFQAIRIFGGDWNADQKILFGLPLDALSQPKAKTCSFLLNCLNFASFYTPKLGCLLFSGKLVCIFSAFLVRLLKFVVILGTLILSVTRLVLGNVAPRAEYANLKSALSLYYGLSIVQAVVSYMAFSYSHAQPDLVQDIREKYKFAANDVEDEPFVLYYTHVKKICKAGRITETINMTLPAFAVGSLGSNEQQARVAAIKILQYLVQSQDYKEQTLSTIRDSFDAAELFRMIALTSQDKMSREAREGATSIMVELAGNIHISGIPCATQSICSLLQTSGRLDGMKILEQISTKSCNLADISSSDELMSKITEFTEPKTSRDDIQMAEKALLVLTRLAGQIGEQGSMMRQVILRNVFLLSNIREMLEGAEEMQKRAVEIVNCFALDSESRNHGAITKILTLLLNIFHDAANNEFRLAAGKAIARLTIESRVNCNAILREDNFQKLRSALSNVHETAHVVIASNILKNLCENCEAQHGQYDALLEFVRAHMVNVSIRRDHFILNFICLLLLFY >ONIVA04G21370.1 pep chromosome:AWHD00000000:4:21972145:21977635:-1 gene:ONIVA04G21370 transcript:ONIVA04G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MERLKSAVPAELRRAVGEGTAADLPSTTSRLLAFLEALPLFRQVIGELTDPELALCRKDKGRAAELKGKGNACFSKREFEQALGFYSQKLGLLEECLQDCDRAISVSPNYAKAWYRRGMVNASFRNYSSSIHDLEVALSMEVTSSGKSNIEQELKLILQKHQNVNEVGTSSSNCINADMPHTEQQPKVILECTSTPNKGRGMTSPNDISPASLIHAEDPLAVIIMKSCRDTHCHYCFSEAPADVSKTPDSKQIAEHRHECGGAHWAAVLPADIVLAGRIMAQYIEKQLLAGKRSTISGPNLDLVHHYDQDSSASKFESHIYATVLFLCLQSYYKSGVSWAEDSLSQLVLLICQIKVNSIAIVHMKSMDGGKALTKGFSGFSGDAMCSVEQVRVAQAIYMSGSFFNHSCRPNIHAYFHSRALILRSTEYIKAGSPIELSYGPQVGEMDLPERQKSLRENYYFSCGCSSCSLLSLSDLVMNSFCCPQSNCPGAVSELIHHRRKENFVHVSIGESHDVSKFDEDIVKVGKLFFKSDTMLNIDPGFCMSCRSQLDLSYAVAMSDRATSKINRLMELPSLDNVPEVLIAEALQSLEHIEKLRHPYSKALAQAHDTIAEAFAKVGDQEQARKHCEASIKILEKLYHPRHIIIAHELIKLVSIELSMGDGARAAAAFARADAIFLLYYGPDVKRILPYVDALRRTVSDRCAM >ONIVA04G21370.2 pep chromosome:AWHD00000000:4:21972145:21977635:-1 gene:ONIVA04G21370 transcript:ONIVA04G21370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MERLKSAVPAELRRAVGEGTAADLPSTTSRLLAFLEALPLFRQVIGELTDPELALCRKDKGRAAELKGKGNACFSKREFEQALGFYSQKLGLLEECLQDCDRAISVSPNYAKAWYRRGMVNASFRNYSSSIHDLEVALSMEVTSSGKSNIEQELKLILQKHQNVNEVGTSSSNCINADMPHTEQQPKVILECTSTPNKGRGMTSPNDISPASLIHAEDPLAVIIMKSCRDTHCHYCFSEAPADVVFCPSCTIPIYCSNRCQENAIVQTSCNQNTRLESNNNVVDIAKLSVTSTKSKTPDSKQIAEHRHECGGAHWAAVLPADIVLAGRIMAQYIEKQLLAGKRSTISGPNLDLVHHYDQDSSASKFESHIYATVLFLCLQSYYKSGVSWAEDSLSQLVLLICQIKVNSIAIVHMKSMDGGKALTKGFSGFSGDAMCSVEQVRVAQAIYMSGSFFNHSCRPNIHAYFHSRALILRSTEYIKAGSPIELSYGPQVGEMDLPERQKSLRENYYFSCGCSSCSLLSLSDLVMNSFCCPQSNCPGAVSELIHHRRKENFVHVSIGESHDVSKFDEDIVKVGKLFFKSDTMLNIDPGFCMSCRSQLDLSYAVAMSDRATSKINRLMELPSLDNVPEVLIAEALQSLEHIEKLRHPYSKALAQAHDTIAEAFAKVGDQEQARKHCEASIKILEKLYHPRHIIIAHELIKLVSIELSMGDGARAAAAFARADAIFLLYYGPDVKRILPYVDALRRTVSDRCAM >ONIVA04G21360.1 pep chromosome:AWHD00000000:4:21966645:21971266:1 gene:ONIVA04G21360 transcript:ONIVA04G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHEDALDAGAGDVLTFRLHPLAVLKLSDRIREFHLHDHQHQAAAARGSYSSSSSSSSSSGTAEPEDPPPPRMCGCVIGVRRGGTVDVSDILDILILHGPDPGTFDCALLEKDQEIYKRAFPDLSVLGWYSIGTNVHATNMGIHHGDYTDMQIHQTLMDANGTAFYLLLNPAINFSQKDIPVTIYERVTNTTYKRCEHVLLKIVGVERISLDHADFVYPSPCIVYNVLAPPLGKEKNAFRTMLFETPSRFAMFRVSDVLFRYPEDIWSSFTYPRTAHQVVRTIGFIEIGDKSVVWDKDIGPGEDLEQFILKFPITKSLVVPDAQLKFIIEKKLNIGCWFNGRIVPELIWGVNYALDEFVPREKGNLSNECHFPLSKQLHEQLKAYGFSISPELINRELITSFGYLNYLERTSKNISGDLHQKFDRFFYGLEMSERVFVKVVADRLRSMEEVASTPGRREALSNAEFLLTVPKKKHNTLSRLKRMEAEVMEAVVRGSGLGHPWVCVAVFAVALGVMEGLRIAMKRAN >ONIVA04G21350.1 pep chromosome:AWHD00000000:4:21956811:21965771:1 gene:ONIVA04G21350 transcript:ONIVA04G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLDEKELERKLKKDQKAREKEEKRLKAKQKEAIRLQAQAASDEPKKSEKKQKKKGAADENPEDFVDLDTPAGQKKLLASQMAKQYNPAAVEKSWYSWWESSQYFVADAASSKPPFVIILPPPNVTGVLHIGHAITVAIEDAMIRWRRMSGYNALWVPGMDHAGIATQVVVEKRLMRERNLSRHDLGRDKFLLEVLQWKDQHGGTILKQLRTLGASLDWSREVDYCELTEETLLEVPGCSTPVQFGVIINFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPQDERYKHLHGKHALHPFNGRKLKIICDAKLVDASFGTGAVKITPAHDLDDFNTGKRHNLEFISIFTDDGNINENGGPQFEGMPRFTARAAIIDALKAKFIWKFHLVACLTIFRVPPVLSFILLSYKKCQITIIYTFSIKGLYRGTENNKMRLGRCSRTKDIVEPMMKPQWFVDCSTMAKAALDAVKTKRIEIIPTQYEQDWYRWLENIRDWCISRQLWWGHRIPAWYVTLEDDEEKDIGSYIDHWIIARNESDAILEAKQRYPGKNYKLDQDPDVLDTWFSSGLFPLSVLGWPDSTADLGSFYPTSVLETGLDILFFWVARMVMMGMLLGGDVPFQKVYLHPIIRDPHGRKMAKCLGNVIDPIDVINGITLEDLGKKLEHGNLDPSELEKAKEGQKKDFPNGIPECGTDALRFALISYTSQSDKINLDIKRVHGYRQWCNKLWNAVRFAMNKLGDEYTPPATIALCSMPPLCKWILSALNKAVGKTVSSMEGCKFSEATSSIYSWWQYQLCDVFIEAVKPYFNQSQELESERGACRDTLWICLDTGLRLLHPFMPYITEELWQRLPQPKEARRKDSIMISEYPSVVQEWTNDQIENEMETVLDAVNKLRSLRPHTDIHERRPAFMLCRGVEIAAIIQCYQTQIATLASVSTLKILTEDDPTPPNCATNIVNKDLSVYLQLQGALNTEAEREKLRKKRDEIQKQHDTLSQKMNASGYREKAPQSKQDEDMKKIAALLEELEIIREAESELESNN >ONIVA04G21340.1 pep chromosome:AWHD00000000:4:21953339:21954177:-1 gene:ONIVA04G21340 transcript:ONIVA04G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKVVLRVPTMTDDKIKQKAIEAVADIYGIDSIAADLKDNKMTIIGEMDTVAIAKKLKKIGKIDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >ONIVA04G21330.1 pep chromosome:AWHD00000000:4:21950348:21951865:1 gene:ONIVA04G21330 transcript:ONIVA04G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGARPPALRTLSARLWPRRRLCGVHAGNGSGREGPGARESGGGDVRIGRGEEEGGLDSGRVPQEVLLRLPTPPGRPETDDDDGENLSSGAGSGSRRRFFEELRLEADRIVKILLQDGPGFNTRQALDEMRPRVSNALVREVLLKFVVSIDGVNRARYPRLAYKFFLWAEEQEDYRHGTSMYNLILKIFAECGELKAMWRLLENMTDKGLPVSSRTFHLLVCTSGRAGLRRRLVERFIKSSNFNYRPFRNSFNAILHTLLTIEQYSLIEWVHQKMIAEGHSPDVLTYNVVMRAKYMLGKLDQFHKLLDEMGKDGLAPDLYTYNLLLHVLGKGDKPLAALNLLNYMSDVGCVPTVLHFTNLIDGLSRAGNLEACKYFFDEMVKKGCQPDVVCYTVMITGYVAAGEFDEAQKFFDDMLLRGQLPNVCTYNSMICGLCIVGEFDKACSLLKDMESRGCTPNFTVYSTLVSRLRNAGKDSEANNIIQYMTNKGHYLHLLSRFRRYRRC >ONIVA04G21320.1 pep chromosome:AWHD00000000:4:21945248:21947137:1 gene:ONIVA04G21320 transcript:ONIVA04G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGKAMTLLAYSSGNFWRSRPSPPQAERNLLVRSLLLGLRNSELRHPAQLDTSFNQEIQDTGIELPLPMKGAARFVVENATRQIKPVNGLPRLMTITTPQKHGKENNSNDSVLTKDENIEPLVAFSRPPPLPPVLGPLIMLSLFNMSSGGDENKN >ONIVA04G21310.1 pep chromosome:AWHD00000000:4:21942853:21943209:-1 gene:ONIVA04G21310 transcript:ONIVA04G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQPDAITSISEKDRIVGSSGPNFFAGGSDWLETRNTSHRGIGQGRWEDGKCGVSNTGYERDPNDNGNSEQIPTMMTMTSRIQMAMVVISGAATVGSGDHGIKLSHSGQIQRETEVV >ONIVA04G21300.1 pep chromosome:AWHD00000000:4:21937495:21941335:1 gene:ONIVA04G21300 transcript:ONIVA04G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCEPTRNHSRISPLRRPQMHTRSTVSHRATRRLDVSLSSSTTTEPRGLSITLPRHTLPLHPCPRKRARVRYEAGREERPRHGHRSLRRRYDQLRRQEAASRGRRAPPVVAPAVGPRAAVLAAHADPAQPRARDRHVLRAAGHGEPAGPGSVPAADGARARALAGGRGGCRGGAAHRGEEGAEAVGEADVPGCGRHVQPRLHVHGRRRRVLPLPLATGGRGCADDRDVWHVCALRRRSGWDGVLGAVGAPLAVARLPVAHARVAPPCARGPVRAQRRVRHHQRRAGHLPPRLRLLPPGHRPRPLLRRGPRDYAVRHGLHVRPRRPGPPPLPRRPHRECALLPASGRGPQDTPHGQVRGRTVWAVSWTQGAGGGWWSGRAGEGACENQPELVILTAAIAASKTAPVELAELPLPCKIFCISGRFVDSINCRYRREGDSRSGSASSIRRCGSGEEHSIFV >ONIVA04G21290.1 pep chromosome:AWHD00000000:4:21934812:21935988:1 gene:ONIVA04G21290 transcript:ONIVA04G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACRGESSGGGRSSLGYLFEPEPEDIIPDHSTKSVQGTNKAPKGNIVLGDKMASDEANQEHQAAAPLKKEDSNPIVSSRSASNIYHTNQVGNNSGLLITDRPSTRVRCAPGGPSSLGFLFGDEDA >ONIVA04G21280.1 pep chromosome:AWHD00000000:4:21929191:21931325:1 gene:ONIVA04G21280 transcript:ONIVA04G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT2G46100) TAIR;Acc:AT2G46100] MAMLVRSPTSTLPAANHRQKSGVLLRRPTRRSARRFQLHAEKPASPGAGNETSSSSENAVLRAAWYGSELLGIAASFFRPSQPPTEGDGAGAVEEAASEPQGRAQVAEAVKDDFARSYFVTGNLTLKAYEEDCEFADPAGSFNGLQRFKRNCTNFGSLLEKSNMKLTKWEDLEDKSIGHWRFSCVMSFPWRPILSATGYTEYYFDAGSGKVCRHVEHWNVPKMALLRQIFRPSRWVWEKRTEE >ONIVA04G21280.2 pep chromosome:AWHD00000000:4:21929191:21931503:1 gene:ONIVA04G21280 transcript:ONIVA04G21280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT2G46100) TAIR;Acc:AT2G46100] MAMLVRSPTSTLPAANHRQKSGVLLRRPTRRSARRFQLHAEKPASPGAGNETSSSSENAVLRAAWYGSELLGIAASFFRPSQPPTEGDGAGAVEEAASEPQGRAQVAEAVKDDFARSYFVTGNLTLKAYEEDCEFADPAGSFNGLQRFKRNCTNFGSLLEKSNMKLTKWEDLEDKSIGHWRFSCVMSFPWRPILSATGYTEYYFDAGSGKVCRHVEHWNVPKMALLRQIFRPSRWVWEKRTEE >ONIVA04G21270.1 pep chromosome:AWHD00000000:4:21926163:21927413:1 gene:ONIVA04G21270 transcript:ONIVA04G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGPVCQLNIPFSSSFFLPFPRVMRGNGYRLPRKAAVELCVSETTTEPYFSHFLRRRSSLSLSLSLRRSALESKRRAGGRGDRSSREQAASGRPSRRRKSALEAGGNERETAATLAVMPPCRRALFPDFSPRRRRLPVRVSGDEAGGDGCSGSTRARGGRRWRRRLPPRASGDEEGGDGGGGSRAREWATTAATGPKRQAREAGADGGE >ONIVA04G21260.1 pep chromosome:AWHD00000000:4:21912224:21914363:-1 gene:ONIVA04G21260 transcript:ONIVA04G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQGIDLLSTKAAGDDHGENSSYFDGWKAYDTNPFDLRHNRGGVIQMGLAENQLSLDLIEEWSKNHPEASICTPEGVSQFKRIANFQDYHGLPEFRKAMAQFMGQVRGGKATFDPDRVVMSGGATGAQETLAFCLANPGEAFLVPTPYYPAFDRDCCWRSGIKLLPIECHSFNDFRLTKEALVSAYDGARRQGISVKGILITNPSNPLGTITDRDTLAMLATFATEHRVHLVCDEIYAGSVFATPEYVSIAEVIERDVPWCNRDLIHVVYSLSKDFGLPGFRVGIIYSYNDAVVAAARRMSSFGLVSSQTQYFLARMLSDEEFIGRFLQESKCRLVARHERFTSGLREVGIGCLRGNAGLFSWMDLRRMLREKTAEAELELWRVIVHQVKLNVSPGTSFHCREPGWFRVCHANMDDETMEVALGRIHDFVRQHQQRRVKAERWAANRQLRLSLPHHHHLSPAHLSSPLALLSPQSPMVRATS >ONIVA04G21250.1 pep chromosome:AWHD00000000:4:21904730:21906744:-1 gene:ONIVA04G21250 transcript:ONIVA04G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane proteins 14C [Source:Projected from Arabidopsis thaliana (AT1G33265) TAIR;Acc:AT1G33265] MTAPVTTTTSSLLLLHHPAAAIRSLPSPPRPSAVARPGRSRRRALLRCSAVSELAPTASAAYGVLLLGGGAFAYVRSGSKGSIFGGLSGSALMGIAYYLMQSPETKALGDAVGFGSAFLFASVFGIRLYNTRKLVPSGLLLVLSLGALGVFYSAYMQDKV >ONIVA04G21240.1 pep chromosome:AWHD00000000:4:21903097:21911864:1 gene:ONIVA04G21240 transcript:ONIVA04G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARDTGGFTVKNRVRWCKWMQGAFGRGWNWMDGYNTNGGEGPVPCIEWARSRWTVVRWRSSEAVGAADPRQHPAVKGKSGCGEKRRGGSGGGGGGSPAAGASRAVGGSGGGYLHPYVLGFELGLHQRRVFTRADRGA >ONIVA04G21230.1 pep chromosome:AWHD00000000:4:21901717:21902820:1 gene:ONIVA04G21230 transcript:ONIVA04G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAAAKMRLGGGGGGGGFMLGCGCRDAKAVAVAVSATSPCSAATETSTATTATWRRARTHPSASASASTGTLTVPSASSSFLWDDADAEADGEEVDFKRESSATTPSFSGLLRQLNELEQSVMTWGWKSPRRGNHFSPPPPPPPPPPLPLRPVVLHRAVDAGGKRSNKEDDAKFSSPPPSSHCPTTQLHRKVKSVDQRNREDDEAHFAPPAPPPLPLPPQQLRNVKGVDKGGSKEDSKHCPPPPQAPKHRKTKSCDNNDGFTAGKLDGSLAVVKQSEDPRGDFRRSMLNMIVENRIVTGDELRELLHRFLELNAPHHHDAILRAFAEIWDEVFAGPDEPRHGPPRPPPRQRTPPRRRHPLPAWRL >ONIVA04G21220.1 pep chromosome:AWHD00000000:4:21894033:21899341:-1 gene:ONIVA04G21220 transcript:ONIVA04G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPARAASVVRLFDAHCHLQDPRVLSVAPSLIRAATAAGVARFAVNGTSEKDWHLVKQMAEEYPWVPERSPDWMDSLRRFFAETQEAAVGEVEVFQQQLELAKELNKPVSVHCVRAFGDLLEILKRTGPFPAGVLLHSYLGSAEMVSSLEILGCYFSLSGFLTGMKSTKAKKMLKSMPLDRILLETDAPDALPKLDDISLLAVPVDSSSADNGESKKDSNSRASTTSNESLNHPSNIHIVMKYVASLLEISEIELAEVTYKNATKLFSYHGSKVHNEVEAVMRTAAQAPARHGVWMVEDAVASDKFLAWHGCGWRRTQPPVTRSGVVPSVDSGGRGHRRRARRGAWRWRTRLPATSSDVGRGSEGRGRRRRPLTWGVDDGRRGRRKTRPPATFSDMVLKHVLC >ONIVA04G21220.2 pep chromosome:AWHD00000000:4:21895663:21899341:-1 gene:ONIVA04G21220 transcript:ONIVA04G21220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPARAASVVRLFDAHCHLQDPRVLSVAPSLIRAATAAGVARFAVNGTSEKDWHLVKQMAEEYPWVPERSPDWMDSLRRFFAETQEAAVGEVEVFQQQLELAKELNKPVSVHCVRAFGDLLEILKRTGPFPAGVLLHSYLGSAEMVSSLEILGCYFSLSGFLTGMKSTKAKKMLKSMPLDRILLETDAPDALPKLDDISLLAVPVDSSSADNGESKKDSNSRASTTSNESLNHPSNIHIVMKYVASLLEISEIELAEVTYKNATKLFSYHGSKVHNEVEAV >ONIVA04G21220.3 pep chromosome:AWHD00000000:4:21895663:21899341:-1 gene:ONIVA04G21220 transcript:ONIVA04G21220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPARAASVVRLFDAHCHLQDPRVLSVAPSLIRAATAAGVARFAVNGTSEKDWHLVKQMAEEYPWVPERSPDWMDSLRRFFAETQEAAVGEVEVFQQQLELAKELNKPVSVHCVRAFGDLLEILKRTGPFPAGVLLHSYLGSAEMVSSLEILGCYFSLSGFLTGMKSTKAKKMLKSVMKYVASLLEISEIELAEVTYKNATKLFSYHGSKVHNEVEAV >ONIVA04G21210.1 pep chromosome:AWHD00000000:4:21885036:21895791:1 gene:ONIVA04G21210 transcript:ONIVA04G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAACQIANALCMGIYAVVETFQCCIIQKMAVLHPPCCSSLFLVVTLLVFRSSPALPHTFFIFGDSLVDVGNNDYLVTLSKANAPPYGVDFAFSGGKPTGRFTNGRTIADVIGEALGQKSFAPPYLAPNSSAEMMNSGVNYASGSSGIFDETGSFYIGRVPLGQQISYFEKTRARILEIMGEKAATGFLKKALFTVAAGSNDILEYLSPSMPFFGREKYDPSVFQDSLASNLTFYLKRLNQLGARKIVVADVGPLGCIPYVRALEFIPAGECSAFANQLTQGYNKKLKRMIYKLNQEMGPESRFVYANTYEIVMEIIQQYRQYGFENALDPCCGGSYPPFLCIGIANSTSTLCNDRSKYVFWDAFHPTEAVNFIVAGKLLDGNSAVASPINVRELFQYQYK >ONIVA04G21210.2 pep chromosome:AWHD00000000:4:21885036:21889555:1 gene:ONIVA04G21210 transcript:ONIVA04G21210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQLRFGLRMSPSRSSDEEEEDDEDEEGFEYEEMLSDDGTDSPPPLMMQAEKGGGGLVGAVVGALRRSLVMCSAGKVGEEEDSEDEEEEGMEIGRPTDVRHVSHVTFDRFGGFLGLPADLEPEVPSPTPSARKAPKKVDSTNMMFQNDDSELWFLFCVNVFGVSPTSLQCSFDHKGNSVPTILLMMQRKLYEREGLKIEGIFRINAENSQEICVRKQLNSGVVPDEVDLHCLAGLIKAWFRELPTGVLDSLTPEQVMHCNTEEDCALLASMLPPVEAALLDWATNLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLKEREAAGTPKTTEPCSGSPNGQDKPPTPENLERPIICSDQKGIDKPMFDMATCDQLLFGPKQFLDHRENNKFEGPEKHDIGQPKRHSEASPLGNDSNNQVSSPGKEFGNRNVEGLFDKFSFRKGVERLCRHPVFQLSRSMKKSADVVVFDAPGEARQAWGLI >ONIVA04G21200.1 pep chromosome:AWHD00000000:4:21872049:21876494:1 gene:ONIVA04G21200 transcript:ONIVA04G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAGYGYHGSNFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDATQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVRVKNTTLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPENPQQPSVPASEATAEDENAKVEDELKFRPFTGSGKRLDGKASKLQATEVPSASRSSPSDSNKRVNQETLAPASSGASNSTRQKSGKLVFGSSANNNKEPQKASVKDDESPKKDEPKFQAFSGKSYSLKR >ONIVA04G21190.1 pep chromosome:AWHD00000000:4:21865751:21869224:1 gene:ONIVA04G21190 transcript:ONIVA04G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRLLFLLVMLLVVAAPGAPVFGANAPPEVKAEIDALLMFRSGLRDPYAAMSGWNASSPSAPCSWRGVACAAGTGRVVELALPKLRLSGAISPALSSLVYLEKLSLRSNSLSGTIPASLSRISSLRAVYLQYNSLSGPIPQSFLANLTNLQTFDVSGNLLSGPVPVSFPPSLKYLDLSSNAFSGTIPANVSASATSLQFLNLSFNRLRGTVPASLGTLQDLHYLWLDGNLLEGTIPSALSNCSALLHLSLQGNALRGILPPAVAAIPSLQILSVSRNRLTGAIPAAAFGGVGNSSLRIVQVGGNAFSQVDVPVSLGKDLQVVDLRANKLAGPFPSWLAGAGGLTVLDLSGNAFTGEVPPAVGQLTALQELRLGGNAFTGTVPAEIGRCGALQVLDLEDNRFSGEVPAALGGLRRLREVYLGGNSFSGQIPASLGNLSWLEALSTPGNRLTGDLPSELFVLGNLTFLDLSDNKLAGEIPPSIGNLAALQSLNLSGNSFSGRIPSNIGNLLNLRVLDLSGQKNLSGNLPAELFGLPQLQYVSLAGNSFSGDVPEGFSSLWSLRHLNLSVNSFTGSMPATYGYLPSLQVLSASHNRICGELPVELANCSNLTVLDLRSNQLTGPIPGDFARLGELEELDLSHNQLSRKIPPEISNCSSLVTLKLDDNHLGGEIPASLSNLSKLQTLDLSSNNLTGSIPASLAQIPGMLSLNVSHNELSGEIPAMLGSRFGTPSVFASNPNLCGPPLENECSAYWQHRRRQRLQRLALLIGVVAATVLLLVLFCCCCVYSLLRWRRRFIEKRDGVKKRRRSPGRGSGSSGTSTDSVSQPKLIMFNSRITYADTVEATRQFDEENVLSRGRHGLVFKACYNDGTVLAILRLPSTSSDGAVVIEEGSFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVVHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAAAAAAAASTSATTTVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGRRPGMFAGEDEDIVKWVKRQLQRGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTSQPSPA >ONIVA04G21180.1 pep chromosome:AWHD00000000:4:21854984:21859537:1 gene:ONIVA04G21180 transcript:ONIVA04G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGWPALQPLLCLAWIATTLPIIVAALPIPAAAGGHLLRRLLSAFSSRGKTVRPSPASSSGSSSSKAKFTVPQKYFMHFYVVGVLATTILLLAIWFYAYMKMTPLLPESSSYSTIASHLVGSNSFSFGRVHSRTMGHKYHVWRTVFVLLLMEIQVLRRLYETEHVFHYSPSARMHIVGYLTGLFYYVAAPLSLASSCIPEAAEYLQGQVAEFIVKGRARMPDLVIDSSSLLQPLLKLGWTQWIGAVIFIWGSLHQIRCHAILGTLREHKDSDEYVIPCGDWFNRVSCPHYLAELVIYFGMLVASGGEDIPVWFLFVFVITNLSFAAVETHKWYLQKFEDYPRSRYAIIPFVC >ONIVA04G21180.2 pep chromosome:AWHD00000000:4:21854984:21859636:1 gene:ONIVA04G21180 transcript:ONIVA04G21180.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEGWPALQPLLCLAWIATTLPIIVAALPIPAAAGGHLLRRLLSAFSSRGKTVRPSPASSSGSSSSKAKFTVPQKYFMHFYVVGVLATTILLLAIWFYAYMKMTPLLPESSSYSTIASHLVGSNSFSFGRVHSRTMGHKYHVWRTVFVLLLMEIQVLRRLYETEHVFHYSPSARMHIVGYLTGLFYYVAAPLSLASSCIPEAAEYLQGQVAEFIVKGRARMPDLVIDSSSLLQPLLKLGWTQWIGAVIFIWGSLHQIRCHAILGTLREHKDSDEYVIPCGDWFNRVSCPHYLAELVIYFGMLVASGGEDIPVWFLFVFVITNLSFAAVETHKWYLQKFEDYPRSRYAIIPFVC >ONIVA04G21170.1 pep chromosome:AWHD00000000:4:21849185:21852715:1 gene:ONIVA04G21170 transcript:ONIVA04G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDPNLDLDGEGGAGAGASSGRSLLPKGSGRFRRSSARCSLPKGSDRFRRSLSPVNSRSLLEGRDVRDAVFPNKLFDKAFGQPLPAPVTPSLVPSPAYIVRPNLPTPSEPHGLNFKFAPLVGDGKMQMDVEGAPLVGDGKMHMVVESTPLVGDGKMHMVVEEEMTTALAVHQPSSQRSQRIIVIEMMADVGSPSSPGSPYSPSSPSSHRDAPSVRFSSRGIRNHKMPQCDIDGSDTEEDLYSSCEEDPYFNSLVDDFISAATSTDLLASSPEIDYMAANQSQSLFYAESALKHYNNNDENKIKYELISAITSNAIIDRSGYGHVNFVAKGDLPDSVDEFFFAEVRWDIDSYVPVCMVSLEGKEKSGGYRDIEVDYPRGGFVGVPVDKNHCYACGDGLKHPEDGTLYESGHIASGSYYD >ONIVA04G21170.2 pep chromosome:AWHD00000000:4:21849185:21852715:1 gene:ONIVA04G21170 transcript:ONIVA04G21170.2 gene_biotype:protein_coding transcript_biotype:protein_coding METDPNLDLDGEGGAGAGASSGRSLLPKGSGRFRRSSARCSLPKGSDRFRRSLSPVNSRSLLEGRDVRDAVFPNKLFDKAFGQPLPAPLVGDGKMQMDVEGAPLVGDGKMHMVVESTPLVGDGKMHMVVEEEMTTALAVHQPSSQRSQRIIVIEMMADVGSPSSPGSPYSPSSPSSHRDAPSVRFSSRGIRNHKMPQCDIDGSDTEEDLYSSCEEDPYFNSLVDDFISAATSTDLLASSPEIDYMAANQSQSLFYAESALKHYNNNDENKIKYELISAITSNAIIDRSGYGHVNFVAKGDLPDSVDEFFFAEVRWDIDSYVPVCMVSLEGKEKSGGYRDIEVDYPRGGFVGVPVDKNHCYACGDGLKHPEDGTLYESGHIASGSYYD >ONIVA04G21160.1 pep chromosome:AWHD00000000:4:21848193:21849159:1 gene:ONIVA04G21160 transcript:ONIVA04G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHKAHHYRLSKPTKLPRVRTRCPPKSPQLCNRSRPQPWPKKPKRPAAHPNGSSDLRTQTEGV >ONIVA04G21150.1 pep chromosome:AWHD00000000:4:21826239:21830673:1 gene:ONIVA04G21150 transcript:ONIVA04G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLEANVRVQHGRNDQFSIPHLQFIDAQSKISAPNTNETKVLRLLKSLKERDGGVYLDEDYDSEGNLRALDAKVCRVWKFNLYHVKDIIHLRSALHRLHRQGPLLAVIRISRNYDECRKSGHVYKYDPARICTYDDGKPKTHALCVVSFVTEKGTPCLECQDSHGTAWGIGGSLTVEIRSLKELYSVRVT >ONIVA04G21140.1 pep chromosome:AWHD00000000:4:21814668:21819357:-1 gene:ONIVA04G21140 transcript:ONIVA04G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADRVWRMIEESGSERHSRCQRKVELNSRTDGYSRECTHAGSPSTRLRTRGHRPKVTHASKSVAAPFPSHASLRNLHPLIAAYPSRPPRVRLDRPWVVAWIKRLLRVNKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAAVPDTARAFEALTGAIFQRLPLISAVKRQLRVRTIYESKLLEHDAERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDARWAMDNYNDETYLRRIVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIDVGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMVAEGLLDKHGKPNEKTPAEWIRNVALPTGGDAMIASIAAAPEEAKVKAEQDVVATEEVKEKKKKKKHKDEEDAADEGRKRKIEDDDASASVPVKKIKVEESAEEVEGEKSEKKKKKKKDKGEQGSGDAEVKEEKADISDGEKVGSEKKKKKKKSKEGGDVVDPESAANGDGVEAEKSEKKKEKKKKKSRDTEEA >ONIVA04G21130.1 pep chromosome:AWHD00000000:4:21742709:21808513:1 gene:ONIVA04G21130 transcript:ONIVA04G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTELLPQLISSGAIVSTPSFQLTCTTSPSSPLGAAVVRGVTPSTPPSHHHPPPAARSDRIRVRARRATRRRPAWLRARPHDGAAVGGGGAEGGAAANSVAEGRAVVAGRRGGEALLHMRADTEQQAKVDTERPDIRPGLAGDPEHSKVSLGIVLEQLALIDSPHPELPLHGGDEREALEDGACNGDDNRTLNFFKKGTCHFISNKISSCRRNHPLVVLSLPPPCSIFSCHRSHPLQVLAPQPPLSVDLLAARAAAAATRSTSSHRGGKSDHRRRRVGFEAPESVGFVVSRLGPAAFYINDLVSRYGASYKEPETKTEYEQNKDKSQTSTCAGLAIQYYEDNEGVKLKEVSLVDSHVFECKWVDPNDDRLCFHVSFKAKAPSYPTKKFFFAEVVGRDSTVNNCRFCTGLWHPMDGGFIARRPGGGSVVVHGDAAAMPAAHGGGAQGRPRAASRRGGGGSAVVHGGATAMPATHGGVAQVRPKAAAGRGGPQRSEEARDADAAGSSEEACDAGVTGSGEEARGRERQGGLRRQRDRACRCGSRQCGGRRLRRGGPKRLPIVLARVTGGEP >ONIVA04G21120.1 pep chromosome:AWHD00000000:4:21742349:21742882:-1 gene:ONIVA04G21120 transcript:ONIVA04G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRWRMMMAWWRARSDASHNSSAKRRRRGGGAATTTFGEISYGSSTEVVLCSDDGMVAIESLAPQMSRLSRQHETLAWQL >ONIVA04G21110.1 pep chromosome:AWHD00000000:4:21737595:21740337:-1 gene:ONIVA04G21110 transcript:ONIVA04G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAHHLRRRSSAALPRGWCCSFSATPQSPDHHRPLSAASAAGGEGVGGGGARGKLPPKSPSVSLPSFQSSPSSRLAGFIDPRRILSPGRVSPIDPDGSPAVAAGANSEEDAPPRPSVPFVAVREEREEEEGRGLDLKLCLRGRDGRSVAMELDSAVLCESSAFFAAMAPPPEATVGGGSKRIEVDGVENVEAFKETVELMFEADPMRCTPQRVNGLINFYCMYTYSRSLEGYELKHIECTADGYPALQTVSGLQLKVSSSIMFDRGIKSCLEYIEAVPWNENEEEKLKNLFARCTFDEAVSKDVLARLKPQCQSISDDVTVHLIQSVTSSTNTGARKEMQSLVNGLLSRSSVYQKDLSGLNKGSLYQICCSCLNSLVEHFMEDLCPDKIVRDSKPMIERVSKQTENLNWLFDILVNNDMAEEFVELWAKQEDLISMHGQASAMFRYELSRISANVFIVLGKGKVQCPSDLRSQLFYGWFGPMLMDFGWLQRCSKGLDMRMLEENLGQALLTLPLQQQQSLFEEWFQCFASRGTECPNLSRAFQVWWRRSFVRSSLESRR >ONIVA04G21100.1 pep chromosome:AWHD00000000:4:21735465:21737199:1 gene:ONIVA04G21100 transcript:ONIVA04G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTCALTSTSSAPLTGPGGRLPSMTAASRAGPTRPSSSSTARIATVGTSVEAASVERITPRGFHAFTPAASPGAPGLVAVATRLAGSDYRHIEVIDMSDDKNAYFEVTRPVAPRVHRFNPFISLDGARVGYHRCWGRGNGDSPLLLENIKSPGPADTFSLFRIDGSFPPSRTTARRSRSSGCRGYLLRERLPDVVGLEEERRDIHTSIGPDFAIESTEVDVVAISLGDDDDKTISMKKLTVGDENNAFPSPPPDGKWLVFRSGRSGAQEPDGEAGGIRRLTEGPWSDTMCNWSPHDEWIAFASDRHAPGSGSFAIYMVHPNGTGLRRVVHSGDGGRTNHPWFSSDFKSLVFTSDYAAVSAEPVSNPHHYQPYGEIYTVDIDGSNIRRLTHNSFEDGTPSWTPYFLDPRDVGETLQASGRCAFQDCHWLNIEDAQPEELIYGKSC >ONIVA04G21090.1 pep chromosome:AWHD00000000:4:21734877:21735371:1 gene:ONIVA04G21090 transcript:ONIVA04G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLAAPHGALLASQAVSASGGTIVFTTLGRSRYEFSIFSLPLSPSPHNPATELYLTDGVSVNYNGNFAPTSHSDSILFVSERNGSLNLYLSPVPSSRHEALEAAALSPLLPGNPSRSRTGYRSHPTAPTLSTSPPPSPLRNRAAAGSLCTPPSCPPAARAA >ONIVA04G21080.1 pep chromosome:AWHD00000000:4:21729578:21730344:-1 gene:ONIVA04G21080 transcript:ONIVA04G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMRLKGLMVMMSCQKYGSNVIEKCLTFGSIHDRLVIAADITGAGEDQILMMMMDEHGNYMIQKMLETIADEWVVDLIVTVVNRNFFRLIHNIHGRHVLARLQIMLAARERRRLLALLTPPLDYMG >ONIVA04G21070.1 pep chromosome:AWHD00000000:4:21716070:21721777:1 gene:ONIVA04G21070 transcript:ONIVA04G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF303) [Source:Projected from Arabidopsis thaliana (AT4G34215) TAIR;Acc:AT4G34215] MRIFVLSGQSNMAGRGGVHHRRWDGVVPPECAPCPSVLRLTAALDWVEAREPLHADIDTAKTCGVGPGMAFARAVLPRLDPPGSGVGLVPCAVGGTAIREWARGERLYDQMVRRARAAAECGEIEAVLWYQGESDAESDAATAAYAGNLETLIANVREDLGMPQLPFIQVALASGNKKNIEKVRKAQLGINLPNVVTVDAFGLSLNEDHLHLTTESQVKLGEMLAQVYMSNFLPATC >ONIVA04G21070.2 pep chromosome:AWHD00000000:4:21716070:21719911:1 gene:ONIVA04G21070 transcript:ONIVA04G21070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF303) [Source:Projected from Arabidopsis thaliana (AT4G34215) TAIR;Acc:AT4G34215] MRIFVLSGQSNMAGRGGVHHRRWDGVVPPECAPCPSVLRLTAALDWVEAREPLHADIDTAKTCGVGPGMAFARAVLPRLDPPGSGVGLVPCAVGGTAIREWARGERLYDQMVRRARAAAECGEIEAVLWYQGESDAESDAATAAYAGNLETLIANVREDLGMPQLPFIQVALASGNKKNIEKVRKAQLGINLPNVVTVDAFGLSLNEDHLHLTTESQVKLGEMLAQVYMSNFLPATC >ONIVA04G21060.1 pep chromosome:AWHD00000000:4:21696397:21697431:-1 gene:ONIVA04G21060 transcript:ONIVA04G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDSIPMVSLASSAAALYARVASAFLRPGLPRLAALLPVVALLAAAPLAFTSSAMLRGTSAFFLAWLGAFKVVLLAAGLGPLAVDGLPVLSFLFTALLPVKLRRGGGCPGAAAKSVSLVSCAAKVAAIATILHLYESKIQLLHRYIRLAMYGIHIYCFLDLLLPCIAAAGSALGMELEPPFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRARAGKAAGVVATFLISGLMHEAMVYYMTLRLPTGEMTAFFLLHGVCCVAEEWCARRWVARRWPPPPRPLGSLLVMAVAAGSSFWLFFPPICREGSEEMLLEEWAAVVAFFQDAGRKLRRAPVRFTD >ONIVA04G21050.1 pep chromosome:AWHD00000000:4:21632112:21633095:-1 gene:ONIVA04G21050 transcript:ONIVA04G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-tetrahydrodipicolinate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4P8] MTLIPISWSALIFLFLSHRTSTGDITGLRVITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTKIKVVGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSVEGLISHFEAVLPMGPTIIYNVPSRTGQDIPPAVIEAVSSFSNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMRKLMYEGENTALNDKLLPLMKWLFCQPNPIALNTALAQLGVARPVFRLPYVPLPLEKRVEFVRIVESIGRENFVGQKEARVLDDDDFVLISRY >ONIVA04G21040.1 pep chromosome:AWHD00000000:4:21629820:21632255:1 gene:ONIVA04G21040 transcript:ONIVA04G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein [Source:Projected from Arabidopsis thaliana (AT1G12480) TAIR;Acc:AT1G12480] MAAKPSSSSSSTGGHHTVDIRAAQAQPEEARQSAMSGPINIRGERRPPPMQRAFSRQVSLGSGVTVLGMDKVGKNGGRGQQRALPRSGKSLGVLNHTGALGQAAAGDGAARRGDFSMFRTKSTLSKQNSLLPSRIREPDLELPPHVEGPSVGRQGGEDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPVGCFGVCLGLGSQAILWGALAASPAMRFLHVTPMINVALWLLALAVLVAVSVTYALKCVFYFEAIRREYFHPVRVNFFFAPSIAAMFLTIGLPRAVAPERLHPAVWCAFVAPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWAEAGKFLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMALFLYMSLVVRINFFRGFRFSIAWWSYTFPMTTASLATVKYAEAEPCFTSRALALSLSLMSTTMVSLLLVSTLLHAFVWRSLFPNDLAIAITKDRQNGAFKPHGKGRKAGKRVYDIKRWAKQAPLSLVSSITKSNSADKEEEEKTE >ONIVA04G21030.1 pep chromosome:AWHD00000000:4:21624993:21629569:1 gene:ONIVA04G21030 transcript:ONIVA04G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger protein-related [Source:Projected from Arabidopsis thaliana (AT1G01930) TAIR;Acc:AT1G01930] MAAASPESRPPRSLFDLPADFFDSSSLLGSHPSSAPSAAEPSESIRPAAAPPLSQPSEAPGLRWTCNTCASEFESLQEQREHFKSDLHRLNVKLSIAGKTIIKEDDLDKADPDSLFDDLEVSSVSGSEDELENGPASDRGLSAKDKGEFRKKLYFRCHSGDTVSIWRCILLKEHEEPVFNSKSGQTESHGSTPFVQEDEMLNRVKNLTSESRDASRLRIILLTSGGHFAGCVFDGNSVIAHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEIQELIASWKSYFDLCVCVFMYAPSKNRQMLFDGDKTQSVLQACDIRPIPLTVHRPTLKEAKRLYTNLTQLCYEMECLSTDEPHVEDVTSFEQSKEAKQKKIMDSEESISVSSLSLDLPNKHEGTSIHPSNNETTPLHEAAKSGNAQQTLELLEQGLDPCIKDARGKTPYLLASDKEVRNTFRRFMALNLDKWDWHAADVPSALTKEMEESQAAKQAEKDAKKKARAKELKKLKKAREKEKEKEKEKAQASQSQRTQTNVRGTSAGQMANATASMPGLKQKHQLPQPTALSKEVRNDKGNSPRKERKEQPQPKEDLRLWRHNQVAHQGQQQQNIPHREQPRQTILLVRAVFLPWSAKYHSTGTITSTAAPHVCIFIQKCWKMIEEIRKLYDERHEI >ONIVA04G21020.1 pep chromosome:AWHD00000000:4:21621952:21623756:1 gene:ONIVA04G21020 transcript:ONIVA04G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEGRQVYSPPPPPPSKLPRLSGTDPTDGVVTMAAPSPLVLGLGLGLGGSGSDSSGSDAEASAATVREARPPSALTFMQRQELEQQVLIYRYFAAGAPVPVHLVLPIWKSIAAASSFGPQSFPSLTGLGSLCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPMEASAAVAPTYLPVRPALHTVATLATSAPSLSHLGFSSASKVLLAHTTTGTTRAT >ONIVA04G21010.1 pep chromosome:AWHD00000000:4:21620510:21620755:-1 gene:ONIVA04G21010 transcript:ONIVA04G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDWNYLRGSATTPSLRQRDTASPAPSSPLASSLLWRRSRGCIPPPTLHHGCATIADLRGVRNTAPQLLNGLLVAFSE >ONIVA04G21000.1 pep chromosome:AWHD00000000:4:21618111:21621470:1 gene:ONIVA04G21000 transcript:ONIVA04G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIASTCTLAGRICLLDIASRGGGEPGFWERIVQLLYHVKSSTYSEKATRRPLRSCGAVLRTPLKSAIVAQPWCSVGGGMQPRDLRHSSEEASGDDGAGEAVSRCRREGVVAEPRRSLRGDQVGMWELQAVASTRSYYFLVAFAASALAPAGAGDDGQHVASRRTRTIAPSGAAMYSRDKYRGESAMAAWQEAYRAGPGWRGTCFC >ONIVA04G20990.1 pep chromosome:AWHD00000000:4:21611602:21612897:-1 gene:ONIVA04G20990 transcript:ONIVA04G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDRRLLFLLAASLAVAAVSSHNITDILDGYPEYSLYNSYLSQTKVCDEINSRSTVTCLVLTNGAMSSLVSNLSLADIKNALRLLTLLDYYDTKKLHSLSDGSELTTTLYQTTGDASGNMGHVNITNLRGGKVGFASAAPGSKFQATYTKSVKQEPYNLSVLEVSDPITFPGLFDSPSAASTNLTALLEKAGCKQFARLIVSSGVMKMYQAAMDKALTLFAPNDDAFQAKGLPDLSKLTSAELVTLLQYHALPQYAPKASLKTIKGHIQTLASTGAGKYDLSVVTKGDDVSMDTGMDKSRVASTVLDDTPTVIHTVDSVLLPPELFGGAPSPAPAPGPASDVPAASPAPEGSSPAPSPKAAGKKKKKGKSPSHSPPAPPADTPDMSPADAPAGEEAADKAEKKNGATAAATSVAATVASAAALLAASFL >ONIVA04G20980.1 pep chromosome:AWHD00000000:4:21604551:21605411:1 gene:ONIVA04G20980 transcript:ONIVA04G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKIYFLHLPLPALPLSRETDPLLEYYSSPLTPGGDGASSCRLVVMVAAPPPRLLPLPLYPSPRLLLLPRHDADGKPRRGGVPGGGGWRGGGGSTRGRLHRGDLAEGDLEQPPPSRGGAASSSPPTPPRRGLLPVDRTADPDPAGAAAVSSVINRRGGGWIRLSRRRGRRGRREQTAARTKRVRDSGLLCDSSLCSCVIPLLFVFLGDSSCVLG >ONIVA04G20970.1 pep chromosome:AWHD00000000:4:21602205:21603620:1 gene:ONIVA04G20970 transcript:ONIVA04G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPDPANPAARRPRLVVLLLVAFFALQLLVFLAFRGAPSPSSPDAAVDRVPVSARRDGEDSGCVGGLVYVYDLPPVFNEDLLALCEVLAPMYSLCPYLANDGLGFPAKGGNQSEFPPAELVGSWYSSDQFALEHIVHRRLLSHRCRTTDPARATAFFVPFYAGLAVGRHLWATNATDADRDRDCLALLSWLHAQPYYKRSNGWDHFIALGRITWDFRRSPDGGWGGSFLLMPGLANTTRLVIERDPWDAMDVGIPYPTSFHPRTAADVRAWQRYASSRSRPKLFAFAGAPRSAIKGDFRGLLLEECQAAGDACGALDCGEGRCIKQNELVMELFLGARFCLQPRGDSFTRRSLFDCMVGGAVPVLFWRRSAYRQYGWYVPVGNSQEEEWSVFIDRDELRRGNVTVRGVLAAIPEAKVREMRNRVVEMIPKLVYSAADKEGLGDGMKDAVDVMIDGMLRRAAEQRRSWRKV >ONIVA04G20960.1 pep chromosome:AWHD00000000:4:21599508:21599918:-1 gene:ONIVA04G20960 transcript:ONIVA04G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFFLLYPSSPLFSLLPLPPSSCVLAVLVAASDVEMVLQCRSHFVGIESSPPSAESNCLCTAKLPRDGLRTSEKETKPVVLTSTAMVMAEVVTSEKLCGACSRRRRWTPTAGGGRLLSHASEKKLGWRPAASG >ONIVA04G20950.1 pep chromosome:AWHD00000000:4:21593208:21595662:1 gene:ONIVA04G20950 transcript:ONIVA04G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLSHPAMVALSLLLLVALYLARRAVLGKKRRYPPVAGTMFHQLLNFGRLLEYHTELSRKYRTFRMLTPTCNYVYTVEPANVEHILKTNFANYGKGPMTHDVLEDLLGDGIFNVDGGMWRQQRKVASLEFSTRVLRDYSSAVFRDTAAELAGILERGPAAKGRERVDMQDLLMRATLDSFFRVGFGVNLGVLSGSSKEGLVFARAFDDASEQVLFRFFDLLWKVKRFLNISSEATMKQSIRTINDFVYSIIDRKIEQMSREQHEFAKKEDILSRFLLEREKDPGCFDNKYIRDIILNFVIAGRDTTAGTLSWFLYAVCKNQRVQDKIAREVRDATTGDRDVGVQDFSSFLTEDAINKMQYLHAALTETLRLYPGVPLDVKYCFSDDTLPDGHAVKKGDMVNYQPYPMGRMKFLWGDDAEEFKPERWLDDSGMFVAESPFKFTAFQAGPRICLGKEFAYRQMKIVSAVLLYFFRFEMWDDDATVGYRPMLTLKMDGPFYLRALAR >ONIVA04G20940.1 pep chromosome:AWHD00000000:4:21590875:21591814:-1 gene:ONIVA04G20940 transcript:ONIVA04G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPVSLSKPEMVELSLARRGTPTPTRQRRTRALARRRAAGGASRGARACCSYPSTARRRPLWRRHRASRHWRRCCSGAATSSGDVAWAAIRAASMTSAAPLRPRDFKLVRRIGSGDIIGMVYLCHLRSSPASEAERESPCLWWTGGGGEEAEARARGGGEVNPAAARPPLPPHPLPRLQRHASRRYELLYGRTPFANATNEATLRNIVRRPLAFPSGSGPCGPPTPTRATSSPASSPRTLPPASTLATAPPTSTSPSSVHRARPSSWRQRRATAPVAVVQGGADNAAAATADEAGLPRI >ONIVA04G20930.1 pep chromosome:AWHD00000000:4:21581224:21583554:-1 gene:ONIVA04G20930 transcript:ONIVA04G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subtilase 1.3 [Source:Projected from Arabidopsis thaliana (AT5G51750) TAIR;Acc:AT5G51750] MDFCKARWKVPALCLVTVLLQASLSACAPTPKTYIVQMAASEMPSSFDFYHEWYASTVKSVSSSQLEDEEDDASTRIIYNYETAFHGFAAQLDEEEAELMAEADGVLAVIPETVLQLHTTRSPDFLGIGPEVSNRIWSDSLADHDVVVGVLDTGIWPESPSFSDKGLGPVPAKWKGLCQTGRGFTTANCNRKIVGARIFYNGYEASSGPINETTELKSPRDQDGHGTHTAATAAGSPVQDANLFGYAGGVARGMAPRARVAAYKVCWAGGCFSSDILAAVDRAVSDGVDVLSISLGGGASRYYLDSLSIASFGAMQMGVFVACSAGNAGPDPISLTNLSPWITTVGASTMDRDFPATVTLGNGANITGVSLYKGLRNLSPQEQYPVVYLGGNSSMPDPRSLCLEGTLQPHDVSGKIVICDRGISPRVQKGQVVKEAGGIGMILANTAANGEELVADSHLLPAVAVGEAEGIAAKSYSKSAPKPTATLSFGGTKLGIRPSPVVAAFSSRGPNILTLEILKPDVVAPGVNILAAWSGDASPSSLSSDSRRVGFNILSGTSMSCPHVAGVAALIKASHPDWSPAQIKSALMTTAYVHDNTYRPMKDAATGKASTPFEHGAGHIHPVRALTPGLVYDIGQADYLEFLCTQHMTPMQLRTFTKNSNMTCRHTFSSASDLNYPAISVVFADQPSKALTVRRTVTNVGPPSSTYHVKVTKFKGADVVVEPNTLHFVSTNQKLSYKVTVTTKAAQKAPEFGALSWSDGVHIVRSPVVLTWLPPQ >ONIVA04G20920.1 pep chromosome:AWHD00000000:4:21576591:21584452:1 gene:ONIVA04G20920 transcript:ONIVA04G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRPLEAQRTGVRHAGIAAQVDHRILLLRRQISQAFVKRDAGDVRAVAERHRGREVTVHGARAHRGYPRRQVGEAYRVRPGVAGRAGDEHAHLHGPERRYGQAVEVVPGGATPEGDGEHVDAVRHGAIDGRQDVGAEAPSRPAHLVGRDAGAGRHATGDAAGVAEQVGVLHGRARGGGGGVRAVPVLVARGLELGRLVDGPRRGLVAVVEDARPDDLAVAVRRGEAAAGLAEAFPLGRHGAEALVAEAGALRPYAGVEHADDDVVVGEAVGPYPVADLRANAEEVRAPGGVQLQHRLRDHGQHAVRLGHQLGFLLVELSREAMECRLVVVDNPRGSIILFIFQLRRAHRLHRGSVPLMVKIERAWHLRRRHLHDVSLGSWRASREACLEKNSHQAQRRNLPSRLAKIHGEEKGRII >ONIVA04G20910.1 pep chromosome:AWHD00000000:4:21572373:21575022:1 gene:ONIVA04G20910 transcript:ONIVA04G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMDTTRALAILAATSFVAMLACVQAAGDESYTFMKDAVQSPQVSYYDYIIVGGGTAGCPLAATLSQRFRVLLLERGGSPYDDERIGNMTRFADTLSDTSPSSPAQRFVSEDGVINSRPRVLGGGSCINAGFYTRASDEYVRGLGWDLEATTAAYRWVEDVVAFQPELGPWQSALERGLLEAGIAPQNGFTFDHLGGTKVGGSIFDAEGRRHTAADLLRYARTDGIDVLLRARVAKILFNVRAGRRPVAHGVVFHDSEGQMHRAYLSNGRGNEIILSAGAMGSPQLLMLSGVGPADHLRSFGITLVLNQPAVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITEVGSYIEGASGANWGVRRSGSGGDRPHRNFGMFSPQTGQLATVPPKQRTPEAIARAAEAMSQLDDTAFRGGFILEKILGPLSTGHLELRNRNPDDNPSVTFNYFAHPEDLRRCVAGVSVIERVIRSEAFANFTYPYFSVETLLNMTAGFPVNLRPRHDNDSTSLEQFCKDTVMTIWHYHGGCQVNRVVDAEYRVIGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQNERLGNEGLGRRNL >ONIVA04G20900.1 pep chromosome:AWHD00000000:4:21564823:21571364:1 gene:ONIVA04G20900 transcript:ONIVA04G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQVEEWKGYYINYKLMKKMLKQYVQQTQLGGKDREQVLKEFSRILDEQIERIVLFLLQQQGHLANRIEELGEQRAALLEQHDISQVFQLREAYREVGRDLIKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLAYLQDHEGSVLSIYDHPSVTLKDPIIDQVNHAVQKLTHATSFLQFLGQHALIIQEDVQSGSEDLVDDQSYHFMSLILNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFAGNLLYALAYDLNSLTVLLIGRLLCGLGSARAVNRRYISDCVPLKIRLQASAGFVSASALGMACGPALAGLLQTRFKIYSLTFDQSTLPGWVMCIAWLVYLLWLWISFKEPGHFAKSSDTAQPAESGHQVNANLEEGLAQPLLTGSEEGQDQNAEDNDDNEEESKNSHGPATSISSAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFNWSTSAVAIFLAILGCTVLPVNAIVGSYITNLFEDRQILVASEIMVLIGIIMSFRYTPHYSVPQYVLSALITFVFAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTLARVVADATITAAGYLGPDLLLNITLLPPLVICIASLVATFCTYNTLY >ONIVA04G20890.1 pep chromosome:AWHD00000000:4:21553466:21564000:1 gene:ONIVA04G20890 transcript:ONIVA04G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHGLAGREAREEAVVGKHDGEDKNVCGTKRLGAVRRAWPAETRGGRRAPPKYKSGVDVSLAVALRREERSEDSDTMRALKDRCHDGGEARIGVNLAVAVRREERVGVGAGSRSGRRQGQYRN >ONIVA04G20880.1 pep chromosome:AWHD00000000:4:21553098:21553457:1 gene:ONIVA04G20880 transcript:ONIVA04G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATATTRTSLRRAELCAAAASAADAASWCFAVALVALVLLCALRAEAVEVHGPRLGGAAARPCEEVYVVGEGETLHTISDKCGDPFIVERNPHIHDPDDVFPGLVIALRPTKNSI >ONIVA04G20870.1 pep chromosome:AWHD00000000:4:21548188:21552875:1 gene:ONIVA04G20870 transcript:ONIVA04G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPSSPASSSASTGVPGNACSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKAVTAIVLPSGTHLLFSSSKDGTVRVSDYQTEQIAALARLKLNPVQEPLLFVGIPDAVKIWDTGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTKKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSFAERGKISFEAEVGAVKNGPGGLIFTSDEIGKLKLWKWTAERTSGVSN >ONIVA04G20870.2 pep chromosome:AWHD00000000:4:21548188:21552875:1 gene:ONIVA04G20870 transcript:ONIVA04G20870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPSSPASSSASTGVPGNACSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKAVTAIVLPSGTHLLFSSSKDGTVRVSDYQTEQIAALARLKLNPVQEPLLFVGIPDAVKIWDTGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTKKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSFAERGKISFEAEVGAVKNGPGGLIFTSDEIGKLKLWKWTAERTSGVSN >ONIVA04G20870.3 pep chromosome:AWHD00000000:4:21548188:21552875:1 gene:ONIVA04G20870 transcript:ONIVA04G20870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPSSPASSSASTGVPGNACSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKDGTVRVSDYQTEQIAALARLKLNPVQEPLLFVGIPDAVKIWDTGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTKKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSFAERGKISFEAEVGAVKNGPGGLIFTSDEIGKLKLWKWTAERTSGVSN >ONIVA04G20870.4 pep chromosome:AWHD00000000:4:21548188:21552785:1 gene:ONIVA04G20870 transcript:ONIVA04G20870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPSSPASSSASTGVPGNACSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKEPLLFVGIPDAVKIWDTGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTKKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSFAERGKISFEAEVGAVKNGPGGLIFTSDEIGKLKLWKWTAERTSGVSN >ONIVA04G20870.5 pep chromosome:AWHD00000000:4:21548128:21552105:1 gene:ONIVA04G20870 transcript:ONIVA04G20870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVRKAVFLNRETFGSQFALAISRIPYSVVEEYTSTGLEELFADVGTWKKQRKNKHLNQQQMYDYQAMTLRPIQGQQTGTCTSDHLNKKVAVCSNLGMEINRNELGYTHLLLASRLPLDVGAYLERTCNGVSSKRFVGNHFTAHIPLRESSADRRPRENKRRERKSKATMPVSTLVTVLHITYSAIPS >ONIVA04G20860.1 pep chromosome:AWHD00000000:4:21533537:21546342:1 gene:ONIVA04G20860 transcript:ONIVA04G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSLEVNNPVAELNAIKFSLMTSSDMEKLSSATIIEMCDVTNAKLGLPNGAPQCATCGSRSIRDCDGKKKLTGKLLGHFGVIKLAATVHNSYFIEEVVQLLNQICPGCLTLKQNGDTKKADGTTIQGTCKYCSKDGSKLYPSIIFKMLTSPRVTLSRSKLHRNTSVMDKMSIIAEVAGGVAHKSKNKAPHETLPQDFWDFIPDDNQPPIFNVTKKILSPYQVFHMLKKLDPELINQDDRTKAYKRMVDLYSKKSDDESSASTDTYGIKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPMGLALNLVVSEQVSSYNFETINLKCNLHLLTKEVLLVRRNGNLIFVRKANQLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLSTQSAVSINPLCCDPFKGDFDGDCLHGYIPQCLQSRIELEELVSLSGQLLNQQDGRSLVSLTHDSLAAAHQLTNADVFLEKAEFQQLQMLSSSISLTPMPSVFKSTNSQGPLWTGKQLFGMLLPYGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSLFSVMFKEYGCKALEFLSSTQDVLCEFLTMWGLSVSLSDLYLFSDHYSRRKLSEEVHLALDEAEEAFQIKQILLNSVSLPNLKYYDGGDDRSNTDEQSGFTQVSLPIIRSSMTSFKSVFNDLLKMVQQYVSKDNSMMTMINSGSKGSVLKFVQQTACVGLQLPASKFPFRIPSQLSCVSWNRHKSLNCEITDGTSECVGGQDMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGQQIVRFSYDTADGMYSDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNSLEDSPLMNLQEVLKCHKGTNSLDHTGLLFLSKHLRKYRYGFEYASLEVKDHLERVDFSDMVDTETMKIKRLGLEFIVREIIDQYNTLRKQLNDAIPSVSISNSETLHLKMENKSGKLGKNLGTGNECVKNQTCCVSMVVQVEINSMSQLDVIKERVIPSILATLLKGFLEFKNVKVQCQEDNELVLKVGMSEHCKSGKFWATLQNACIPIMELIDWERSRPERVYDNFCSYGIDSAWKFFVESLRSTTDAIGRNIHRQHLLVVADCLSRPAHSFINAAKRDSVDNLSGTLDAIAWGKEPCTGSSGPFKILYSGKSHETKQNEHIYDFLHNPEVQALEKNVMDTYRKRTEKTSKRRSALNSEGNATINGGAISFNQKFLNSKVGIWENIIDMRTSLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPSSRCFIVLRNDDTTADFSYNKCVLGAANSISPELGSYIENRRSNRAVRPHQL >ONIVA04G20850.1 pep chromosome:AWHD00000000:4:21521466:21524624:1 gene:ONIVA04G20850 transcript:ONIVA04G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGRARPLLMLKEWLELESSAELSRDGFGCYPRRQLAAELRGGGGGSGRRRNGAVIERVSAAVRAALLIRPSSSAREGGEAALSKSFSRRLGRGFWRKRRGEGDEVNSRVDSCSAAAVSGRDDGSSPAMSPRRRSWEGRHAGGVAGRQSHETQKQVASKMDCEATCHLDEELEQGQRRSPVSVMDFLSQDEEDDDGEVEDGNGNSEYDDVDDSIASPTFQQSLSNIRRVGQQLLQKIRQFEQLAELDASDVDDATLAKEDVVCHVADSDSMEDDTEEAFVQDLVDLLEANSPGSTRCFQKLLVDFFYDGLPPWQGERLDGPDRAKLLLEIAKAWLDDQDFSSRFDGKAEVEEIERIGRWRCFKEVGQELLAVDLEGEIFWSLVAEMVGELG >ONIVA04G20840.1 pep chromosome:AWHD00000000:4:21515072:21515731:1 gene:ONIVA04G20840 transcript:ONIVA04G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAYYGSGYSSSGTPSPVGGDGDEDSYMTVSSAPPKRRAGRTKFKETRHPVYKGVRSRNPGRWVCEVREPHGKQRIWLGTFETAEMAARAHDVAAMALRGRAACLNFADSPRRLRVPPLGAGHEEIRRAAVEAAELFRPAPGQHNAAAEAAAAVAAQATAASAELFADFPCYPMDGLEFEMQGYLDMAQGMLIEPPPLAGQSTWAEEDYDCEVNLWSY >ONIVA04G20830.1 pep chromosome:AWHD00000000:4:21506442:21507062:1 gene:ONIVA04G20830 transcript:ONIVA04G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHGPPSPRSSGKHPFYRGIRSRSGKWVSEIREPRKARRIWLGTFPTAEMAAVAYDVAARALRGPDAALNFPDLAASRTAPPASSSADDIRAAAAAAAASLQHDRAGGGIAPAASGSAHQQRGGSSAAARTTAGSGGAQQEGSSGAGAGSHQYFLDEEALFETPQFLRNMAAGMMMSPPRLSPSSSDDSPDPSEAGGSLWSYRDP >ONIVA04G20820.1 pep chromosome:AWHD00000000:4:21491985:21502158:-1 gene:ONIVA04G20820 transcript:ONIVA04G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACGDGRSGWRRGVLGRGGAAGDEEATTLRFQEHGTKWIDRGRGGWAFIGRWCSAGAQRRCGDFVGGVKWRMARMRWAVDRAVRIERGAREQLRGVVKVGQQGAEVASAALPPSTSGQGVEAGRRCLEGKKRRERWKGGLTPSVFGRRGGGSTSCASRLWTHAAWGAGPERMTPMTTAKRTGTEFEGHLSWDLTKVGL >ONIVA04G20810.1 pep chromosome:AWHD00000000:4:21475865:21478725:1 gene:ONIVA04G20810 transcript:ONIVA04G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVSAALGFGMTAAFVAFVCARFVCCRARRADARASRPHPSPVDFDADFPSDFDRPIEHSRSGLEPLAVAAIPTMKYNCEAFHSEDDTQCSICLSEYKEKDILRIVPICHHNFHLYCLDAWLLKQTTCPICRISLKELPDGKSTVSSAPTMSQPPTLPESSVNPTSHFLPVHQEHRSHQDGPDMPESVEVVIEIRQ >ONIVA04G20800.1 pep chromosome:AWHD00000000:4:21461899:21463581:1 gene:ONIVA04G20800 transcript:ONIVA04G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4L9] MTTCADDQTGCAFFAPLLSSKGAEVVILVAGDEAEEQQPAPVLTSKPPGRLAKAVNEAWSVSLGVAFPVTPSMFTCSARGEARSILGLAFPMILTGLLLYLRSMISMLFLGHLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGNYALLGVTMQRTVLLLIAAAIPIGGLWVQMRPLLLFCGQDAAIAAVAETYIFASLPDLVLQAFLHPVRIYLRTQSINLPLTVCAGLAIAIHLPINYVLVVVLGLGVKGVALASVLANLNLVLFLLAYIFLKGVHKRTGGFLLSAESFRGWGELISLALPSCVSVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGAGQPEEASRAATVGLVLGFGFGAFASAFAFLVRNVWASMFTADPAIVALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALVMAFWFHLDFRGLWFGLLAAQATCTVRMLLVIGRTDWAAEAKRSKQLTGAGAANMESDDRVAADEKSRLPVDTDVERSSDHTDRC >ONIVA04G20790.1 pep chromosome:AWHD00000000:4:21444477:21446635:-1 gene:ONIVA04G20790 transcript:ONIVA04G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGPPSRGEAEAGGDLTSTAREAGQRQGGAGAVRASQPASPVYQYQPPAPGNGISMACPVPGHPIIVFQRLQHSKAIIALPCIIAAKNAFKVHTSSVKTRLLIELSTESEQLQLLPPQDRQEDCRRQILSLYRALMEWWWKLCGTTAVGPPPEPLSSSSESINQSRGFPLDSPYTQAVTATSYLRERPSRRSDATSSYVDANKSDGASTQREVMMMLQGRRTMTQTTVTRKHMGPTWAPLTTSAKTGYYSTNFRGIKVDIVL >ONIVA04G20780.1 pep chromosome:AWHD00000000:4:21440508:21444359:1 gene:ONIVA04G20780 transcript:ONIVA04G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWSIHSPSFAAFRVKRGMGAGASSMMGPEGYGRGWGQTSLGDMPESCVAAVLLYLDPPEICKVARLNRAFRGAASADCVWAAKLPANYRYLAALAAAADDDSGGDGATEGNGSRCSSAAMIKKEIYARLCRPTPFDGGTKEFWMEKNKGGLCISISSKAMAITGIDDRRYWSHLSTEESRFHHVAYLQQIWWLEVAGEIDFCFPAGSYSLFFRLQLGRPHKYMGRRVYGCESIHGWNIKPTRFQLSTSDDQQATSQYYLNEPGNWILYHVGDFVVSSSDKLTNLKFSMMQIDCTHTKGGLCVDSVFIYPKGHRHEDCTICK >ONIVA04G20780.2 pep chromosome:AWHD00000000:4:21440580:21444359:1 gene:ONIVA04G20780 transcript:ONIVA04G20780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSMMGPEGYGRGWGQTSLGDMPESCVAAVLLYLDPPEICKVARLNRAFRGAASADCVWAAKLPANYRYLAALAAAADDDSGGDGATEGNGSRCSSAAMIKKEIYARLCRPTPFDGGTKEFWMEKNKGGLCISISSKAMAITGIDDRRYWSHLSTEESRFHHVAYLQQIWWLEVAGEIDFCFPAGSYSLFFRLQLGRPHKYMGRRVYGCESIHGWNIKPTRFQLSTSDDQQATSQYYLNEPGNWILYHVGDFVVSSSDKLTNLKFSMMQIDCTHTKGGLCVDSVFIYPKGHRHEDCTICK >ONIVA04G20780.3 pep chromosome:AWHD00000000:4:21440580:21444359:1 gene:ONIVA04G20780 transcript:ONIVA04G20780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSMMGPEGYGRGWGQTSLGDMPESCVAAVLLYLDPPEICKVARLNRAFRGAASADCVWAAKLPANYRYLAALAAAADDDSGGDGATEGNGSRCSSAAMIKKEIYARLCRPTPFDGGTKEFWMEKNKGGLCISISSKAMAITGIDDRRYWSHLSTEESSLFFRLQLGRPHKYMGRRVYGCESIHGWNIKPTRFQLSTSDDQQATSQYYLNEPGNWILYHVGDFVVSSSDKLTNLKFSMMQIDCTHTKGGLCVDSVFIYPKGHRHEDCTICK >ONIVA04G20770.1 pep chromosome:AWHD00000000:4:21434116:21437853:1 gene:ONIVA04G20770 transcript:ONIVA04G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMHDTTGKKEVVVCYMNAPQPYMIEENYGGCFFEDDVDLAQVLQDQEIVYQLIQGNYGTGSSKTHSNPSSSYSHGCELGERKPSGVASYEAQLVVDEALARELQQMEDQLASASIDDHNIIEHGRKPIASSTSRGGNASASRPPQVVMEDGIDPDNMTYEELQQLGEAIGTESKGLPEDVIALLPTSTYKIRIFSRKEKHDECVICCMTYKNRDRLTKLPCEHQYHQTCVTKWLKINKVCPVCNKEVYGSGK >ONIVA04G20760.1 pep chromosome:AWHD00000000:4:21432029:21432355:-1 gene:ONIVA04G20760 transcript:ONIVA04G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRSTASRARPPSPPGRSWATAAALSLPGAAAAAEAERRRVVYGSGSQRLWARGYAAKEVVFGVGARASLLKRCSRRHLPSPVSSPSSIHASPTPTISRPLKTLS >ONIVA04G20750.1 pep chromosome:AWHD00000000:4:21429761:21431605:-1 gene:ONIVA04G20750 transcript:ONIVA04G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPRRPHAESAATFDKTGVKTAEGPNLYCAYDDTNPPKTKQDSNISHVENFGCSVLCRAMKTNLANPCASKPYSEQSFPGTPY >ONIVA04G20740.1 pep chromosome:AWHD00000000:4:21414717:21417931:-1 gene:ONIVA04G20740 transcript:ONIVA04G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGATATKLHMPSAGGRRPSLFHLAAVAVLCTVSYLIGIWHHGGFSASPAGGVASSVSIATTASVSCVSPTPTLLGGGGGGGDSSSSAPLDFAAHHTAEGMEVASGQVHRTYEACPAKYSEYTPCEDVERSLRFPRDRLVYRERHCPSEGERLRCLVPAPQGYRNPFPWPTSRDVAWFANVPHKELTVEKAVQNWIRVEGEKFRFPGGGTMFPHGAGAYIDDIGKIIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLSSNRLTYPARAFDMAHCSRCLIPWQLYDGLYLAEVDRILRPGGYWILSGPPINWKKHWKGWQRTKEDLNAEQQAIEAVAKSLCWKKITLKEVGDIAIWQKPTNHIHCKASRKVVKSPPFCSNKNPDAAWYDKMEACITPLPEVSDIKEIAGGQLKKWPERLTAVPPRIASGSIEGVTDEMFVEDTKLWQKRVGHYKSVISQFGQKGRYRNLLDMNARFGGFAAALVDDPVWVMNMVPTVGNSTTLGVIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKDRCQMDNILLEMDRILRPEGTVIIRDDVDMLVKIKSITDGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLGEEKE >ONIVA04G20730.1 pep chromosome:AWHD00000000:4:21398617:21411276:-1 gene:ONIVA04G20730 transcript:ONIVA04G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAYVGLVGAAAALVLLLRHQARRWRNPRCGGQLPPGSMGLPLVGETFQFFSSDASLDIPPFIRHRLARYGPIFKTSLVGHPVVVSADEELNHMVFQQEGQLFQSWYPDSFVEILGKDNVGEQQGAMFRYLKNMVLRYFGPESLKEGIIRDVERAVSSSLCTWSTLPAVELKEAVSTMVFDLAASKLLGLEPSRSKILRKSFFDFVRGLISFPLYLPGTAYYSCMQGRRRAMVVLEQVLEERKQSTGLQRGGEAQQHGDFLDYVIQEITKEKPVMTEKMALDLMFVLLFASFHTTSLALTLAVKLLADHPLVLEELTVEHETILKDREAGSELDRITWKEYKSMAFTSQVINETVRLANIAPVIFRKALKDIRFNGWGVMVCPPAVHLNPYIYPDPLTFIPSRFKDKPEINRGSKHFMAFGGGLRFCVGADFSKLQLAIFLHFLVTKYRWIPLGASRVVRTPGLEFPDGYRIKDMEKSELLLGSYSYAALCGVTLIIGWLAHWIYKWMNPPCIGRLPPGSMGFPIIGETFQFFRASPSIDMPSYYKQRLERYGPLFKTSLVGRPVIISLDPEVNRFIFQQEGKLFQSWYPETAINIFGKKSLTTYNGTIHKFIRGVAAKLFGLENLKESLLPELENSMRESFASWTRKPSVEVQDGVSDMIFDLVAKKLIGLDVTNSRELRKNFQDFFQGMVSFPIYFPGTSFYRSMQGRRNVRNTLTDIMKERLSAPGKKYGDLVDLIVEELQSEKPMIDENFAIDALAALLFTSFATLSSTLTVAFKYLTDNPKVVEELKEEHGTILKKREGVNSGFTWEEYRSLKFSTQVMNEITRISNVTPGVFRKTLTDVQVKGYTIPSGWLVMISPMAVHLNPKLFEDPLKFDPWRWREEKRSSMLKNYMPFGGGVRLCLGAEFSKLFIALFLHILVTEYSWTEIEGGEVLRISEIMFPQGYHIQLVPQT >ONIVA04G20720.1 pep chromosome:AWHD00000000:4:21394888:21396307:-1 gene:ONIVA04G20720 transcript:ONIVA04G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETPVALEAVVDAVEQFQFVQLLVIMHPRDRLESILCILLLVSLFMTLCDAPIALGGEHFNFNKDQKEVWDDLGDLLASFSNLNLCCFNHY >ONIVA04G20710.1 pep chromosome:AWHD00000000:4:21391507:21392979:1 gene:ONIVA04G20710 transcript:ONIVA04G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYFPYLCFDRMAQADKTIRHQSPSDIVSSLAAVPDLRPSMENAHAEGDVAVELPDGFALILAIVFLHVMTIKDNNYITNRKTILKLYWTKQKIATKLYFKSKEH >ONIVA04G20700.1 pep chromosome:AWHD00000000:4:21379224:21383062:-1 gene:ONIVA04G20700 transcript:ONIVA04G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLQLASLRLATTIPLAPRLYDANLLAASGAAMASSMAYIALLCAALAAVVALLRWAYRWSHPRSNGRLPPGSLGLPVIGETLQFFAPNPTCDLSPFVKERIKRYGSIFKTSVVGRPVVVSADPEMNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKSVLLAETDAACRGSLASWASQPSVELKEGISTMIFDLTAKKLIGYDPSKPSQVNLRKNFGAFIRGLISFPLNIPGTAYHECMEGRKNAMKVLRGMMKERMAEPERPCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTIGVKLLTENPKVVDALREEHEAIIRNRKDPNSGVTWAEYKSMTFTSQVIMEIVRLANIVPGIFRKALQDVEIKGYTIPAGWGIMVCPPAVHLNPEIYEDPLAFNPWRWQGKPEITGGTKHFMAFGGGLRFCVGTDLSKVLMATFIHALVTKYSWRTVKGGNIVRTPGLSFPDGFHIQLFPKN >ONIVA04G20690.1 pep chromosome:AWHD00000000:4:21370031:21372174:-1 gene:ONIVA04G20690 transcript:ONIVA04G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASRWLRGLLGGGKKPNSGSGDPKPAREKKRWGFGKSFREKSPAHPPPPPPPSAAVQRAVTPRRAYTASDEGDDEQSKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCAPAAAKREEYAAVRIQAAFRGYLARRALKALRGLVKLQALVRGNIVRRQAAETLRCMHALVRVQRRARACRAIRSQHVSAHPGPPTPEKYDQATHEGVPKHGRSGSLKGSSSKTPGSERLTRERSESCGRNWLDKWVEERYLDDEKNAKILEVDTGKPGRHASRRRSGSHHHHSSCSSMTSEQKSRSYATMPESPSKDSTTAQQSVPSPPSVGMAEALSPLRMAVDIAELCDSPQFFSATSRPGSSRSRAFTPTKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQYEKSSSLRKASAHAFGPGSCAPVAQRTTASLHSKFTNKAYPGSGRLDRLGMPVKY >ONIVA04G20680.1 pep chromosome:AWHD00000000:4:21354519:21359812:-1 gene:ONIVA04G20680 transcript:ONIVA04G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00740) TAIR;Acc:AT4G00740] MGLLSSLRPHRRGALSGGGGGGQWQWSFLDAVWAVFLLAVVIFLALVFTPRRGEPLSAASSALAGATVPPCAASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEAPVCLVPPPRGYRVPVPWPESLHKIWHDNMPYGKIAERKGHQGWMKQEGSYFIFPGGGTMFPDGAEQYIEKLAQYVPLKSGLLRTGLDMGCGVASFGGFLLKENILTLSFAPRDSHKSQIQFALERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFMAYNGSYLIEVDRLLRPGGYLIISGPPVQWKKQEKEWAELQEMALAFCYKLITVDGNTAIWKKPTEASCLPNQNGFNIDLCSTDDDPDQAWYFKLKKCVSKVSLADEIAVGSILKWPDRLSKPSARASLMDNGANLFELDTQKWVKRVSFYKKSLGVKLGTAKIRNVMDMNAYLGGLAAAAVSDPVWVMNVVPAQKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADRINSLIRDPISGKSRCDLFDVMLEMDRILRPEGIAVIRDSPDVIDKAAQVAQSIRWTVQMVQLVMSSESMAPRRPKRAPDAPTVMPFLLMKSTERTLPPKPDTR >ONIVA04G20670.1 pep chromosome:AWHD00000000:4:21353401:21356912:1 gene:ONIVA04G20670 transcript:ONIVA04G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4K4] MSNADVEAGGPAGREATTGIKPPPGRYNPSGNGPNAVAPAPPPFYYYDQAAAQERHHRTWVVPLVVIANVAMFVVVMYYNNCPANGGRRDCVGRSFLRRLSFQPLRENPVLGPSAATLRKYGALDWYGVVHGNQAWRLETSTWLHAGLIHLAANMISLLLIGIRLEQQFGFCKPESVKSRKGKVGLVYLVSGFGGSVLSVLFISRNGITVGASGALFGLLGAMLSELITNWTIYSNRCAAMVNLIIIAAINLALGILPRVDNFAHIGGFATGFLLGFVLLIQPQFGWSEQVSSAKSKYNAFQIILLTLSVALLIAGFAAGLVSVFKGVDGNAHCSWCHYLTCVPTSSWKCDK >ONIVA04G20660.1 pep chromosome:AWHD00000000:4:21335891:21341613:-1 gene:ONIVA04G20660 transcript:ONIVA04G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVGDHFGDGVVPAGMQFPFSGAGPGVFTSSPALSLALADAVAGRNSGGGGKMVTAAHGGVGGGGGGGRAKARDALEVENEMSRSGSDHLDVVSCGDAGGGGGDDDDDEDAEHGNPPKRKKRYHRHTPQQIQELEAMFKECPHPDEKQRAELSKRLGLEPRQVKFWFQNRRTQMKMQLERHENSLLKQENDKLRSENLSIREATSNAVCVGCGGPAMLGEVSLEEHHLRVENARLKDELSRVCALAAKFLGKSISVMAPPQMHQPHPVPGSSLELAVGGIGSMPSATMPISTITDFAGAMSSSMGTVITPMKSEAEPSAMAGIDKSLFLELAMSAMDELVKMAQMGDPLWIPGASVPSSPAKESLNFEEYLNTFPPCIGVKPEGYVSEASRESGIVIIDDGAALVETLMDEVRRWSDMFSCMIAKASTTEEISTGVAGSRNGALLLMQAELQVLSPLVPIREVKFLRFSKQLADGVWAVVDVSADELMRDQGITSASSTANMNCRRLPSGCVLQDTPNGFVKVTWVEHTEYDEASVHPLYRPLLRSGLALGAGRWIATLQRQCECLALLMSSIALPENDSSAIHPEGKRSMLKLARRMTDNFCAGVSTSSTREWSKLVGLTGNIGEDVHVMARKSVDEPGTPPGVVLSAATSVWMPVMPERLFNFLHNKGLRAEWDILSNGGPMQEVTSIAKGQQNGNTPTKDKQNSMLILQETCADASGSMVVYAPVDIPAMHLVMSGGDSSCVALLPSGFAILPAGPSIGADHKMGGSLLTVAFQILANSQPSAKLTVESVETVSNLISCTIKKIKTALHCDV >ONIVA04G20650.1 pep chromosome:AWHD00000000:4:21331947:21334963:1 gene:ONIVA04G20650 transcript:ONIVA04G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) TAIR;Acc:AT1G63160] MAASSSSAPALADAYDIPWVEKYRPTRVADVVGNSDAVARLQVIARDGNMPNLILSGPPGTGKTTSILSLAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATVSGFRFVNQENVFKLIVILYWLQVCDQPHPLHVKNMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVIKNYDMAEYLKLELLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKAS >ONIVA04G20650.2 pep chromosome:AWHD00000000:4:21331947:21335175:1 gene:ONIVA04G20650 transcript:ONIVA04G20650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) TAIR;Acc:AT1G63160] MAASSSSAPALADAYDIPWVEKYRPTRVADVVGNSDAVARLQVIARDGNMPNLILSGPPGTGKTTSILSLAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATVSGFRFVNQENVFKLIVILYWLQVCDQPHPLHVKNMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVIKNYDMAEYLKLELLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKAS >ONIVA04G20640.1 pep chromosome:AWHD00000000:4:21327104:21330279:-1 gene:ONIVA04G20640 transcript:ONIVA04G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSTRGDALLDSAPLLGGGGGGGGGRRRGGALRRPSLRGAARLLRRGGRRAMREPSVLVREAAAEHLEERQADWAYSRPVVALDLLWNLAFITVAAVVLVLSRGEDSPMPLRTWVAGYALQCVVHMVCVAIEYRMRRGQRDRAPASADEERGSDGSSSSSDDDVTEDDRRGSCTDCVSIAKHLESANTMFSFIWWIIGFYWISAGGEDVIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRTDEPEKQTADETGPFGGIMTECGTNQPIEKVLAPEDAECCICLSAYDDGAELRELPCGHHFHCACIDKWLHINATCPLCKFNIRKSGSSSGSEEV >ONIVA04G20630.1 pep chromosome:AWHD00000000:4:21310833:21324995:1 gene:ONIVA04G20630 transcript:ONIVA04G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAITLGKLQSEMRTLTSNYQQDFPDACCGCCPHGPDDSQRLWALRGIRRNNSKKGMGIMYMSSSSSCKFKMRVKKSKPPQAAHLREYRTRCKAR >ONIVA04G20620.1 pep chromosome:AWHD00000000:4:21310075:21325582:-1 gene:ONIVA04G20620 transcript:ONIVA04G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT4G11670) TAIR;Acc:AT4G11670] MDSAALLEVYRRDRRALLGFILSSAGGRAVDLSRVDLDAVSADYALGCVASGVQFDASEATRRYFDERRYPIMMGSPSVNSYFLLSRPERSGSPPNKAAPDIVPQAPAEENPTPIREHVDFFRAAINILGTDNGTKDVSLADIYPKQVNKMDILSLGLPKLSTELSDDDIRETAYEVLLASLFVSGKILFSEEKKEKKPKFLKGLRSKTEVSNPSPQPENHYSQLLDLIRANVLEELLLFPGSREYGMSETLRIDWVVSVPDGPVEVLTIIERYNAKLCEAPKKFNLKGETYHWIQSYHLNFRLYEKLLCIVFDILEDGQLVEEADEILETVKLTWTILGITQKLHDTLFAWVLFKKFAETGEILLLKHTCLQTQKLRLHNDAKEIELYTNSFVCSAEACGGNMALSLVDSAILKINKWCFRQLENYHSYFNKVDNSIFEGMLNLVVISETSRTDDDDDDDEKAMLIGTPLDATQESKLIHILVVRSIQAAYKHALISSDCQSKAEFKHPLIILANELKLVAEKECTIFSPTLCKRYPEAGRVALVLLHLLYGQQLELFLERMDNSESLKEILAATNNFELCVAKKLYLMNEGAVGSLLSKYLKPYMISQFSSPLILQWLHVQHENVLEWTKRIIEIEDWEPLSAHERHATSVVEVFRIVEETIEQFFNSSLPLDTVHLRSLLIGITSSLQVYLHHMENQQVPRATLLPSAPVLTRYAESVNPFAKRKLIVPTVPEEKVANKLNNLTVPKLCAKLNTLQFIRDQLDNIEEGIKQSWVSVQSAVGLLDYLSCIASGQTLPKNLSSEESIDELFTIFDDVRRTAVSTTDRILNFIGTRAVFWDMRDSLLFSLYRASVESARMEMFIPTIDQVLDQVCDLIVDALRDQVVLRVFQACMEGLIWILLDGGPSRAFLETDVDLMHQDLAMIKDLFIAEGQGLPLDLVEKEARLTHQILDLFVLKKEKFCSSQLNENDFQADTIIDMLINVSDQLPHHLELTTTRRRHVHDAHTLLRVLCHKKDKTASTFLKIQYHLPRSSDYDDISTKNAPSKAPMFSDMLKKLDLLYLGLSTSWELNIFPVIKEMKHQILRRI >ONIVA04G20620.2 pep chromosome:AWHD00000000:4:21310075:21325582:-1 gene:ONIVA04G20620 transcript:ONIVA04G20620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT4G11670) TAIR;Acc:AT4G11670] MDSAALLEVYRRDRRALLGFILSSAGGRAVDLSRVDLDAVSADYALGCVASGVQFDASEATRRYFDERRYPIMMGSPSVNSYFLLSRPERSGSPPNKAAPDIVPQAPAEENPTPIREHVDFFRAAINILGTDNGTKDVSLADIYPKQVNKMDILSLGLPKLSTELSDDDIRETAYEVLLASLFVSGKILFSEEKKEKKPKFLKGLRSKTEVSNPSPQPENHYSQLLDLIRVQMEARQMFSKNYFSSQDRVSMDWVVSVPDGPVEVLTIIERYNAKLCEAPKKFNLKGETYHWIQSYHLNFRLYEKLLCIVFDILEDGQLVEEADEILETVKLTWTILGITQKLHDTLFAWVLFKKFAETGEILLLKHTCLQTQKLRLHNDAKEIELYTNSFVCSAEACGGNMALSLVDSAILKINKWCFRQLENYHSYFNKVDNSIFEGMLNLVVISETSRTDDDDDDDEKAMLIGTPLDATQESKLIHILVVRSIQAAYKHALISSDCQSKAEFKHPLIILANELKLVAEKECTIFSPTLCKRYPEAGRVALVLLHLLYGQQLELFLERMDNSESLKEILAATNNFELCVAKKLYLMNEGAVGSLLSKYLKPYMISQFSSPLILQWLHVQHENVLEWTKRIIEIEDWEPLSAHERHATSVVEVFRIVEETIEQFFNSSLPLDTVHLRSLLIGITSSLQVYLHHMENQQVPRATLLPSAPVLTRYAESVNPFAKRKLIVPTVPEEKVANKLNNLTVPKLCAKLNTLQFIRDQLDNIEEGIKQSWVSVQSAVGLLDYLSCIASGQTLPKNLSSEESIDELFTIFDDVRRTAVSTTDRILNFIGTRAVFWDMRDSLLFSLYRASVESARMEMFIPTIDQVLDQVCDLIVDALRDQVVLRVFQACMEGLIWILLDGGPSRAFLETDVDLMHQDLAMIKDLFIAEGQGLPLDLVEKEARLTHQILDLFVLKKEKFCSSQLNENDFQADTIIDMLINVSDQLPHHLELTTTRRRHVHDAHTLLRVLCHKKDKTASTFLKIQYHLPRSSDYDDISTKNAPSKAPMFSDMLKKLDLLYLGLSTSWELNIFPVIKEMKHQILRRI >ONIVA04G20620.3 pep chromosome:AWHD00000000:4:21310075:21325582:-1 gene:ONIVA04G20620 transcript:ONIVA04G20620.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT4G11670) TAIR;Acc:AT4G11670] MDSAALLEVYRRDRRALLGFILSSAGGRAVDLSRVDLDAVSADYALGCVASGVQFDASEATRRYFDERRYPIMMGSPSVNSYFLLSRPERSGSPPNKAAPDIVPQAPAEENPTPIREHVDFFRAAINILGTDNGTKDVSLADIYPKQVNKMDILSLGLPKLSTELSDDDIRETAYEVLLASLFVSGKILFSEEKKEKKPKFLKGLRSKTEVSNPSPQPENHYSQLLDLIRVQMEARQMFSKNYFSSQDRVSMDWVVSVPDGPVEVLTIIERYNAKLCEAPKKFNLKGETYHWIQSYHLNFRLYEKLLCIVFDILEDGQLVEEADEILETVKLTWTILGITQKLHDTLFAWVLFKKFAETGEILLLKHTCLQTQKLRLHNDAKEIELYTNSFVCSAEACGGNMALSLVDSAILKINKWCFRQLENYHSYFNKVDNSIFEGMLNLVVISETSRTDDDDDDDEKAMLIGTPLDATQESKLIHILVVRSIQAAYKHALISSDCQSKAEFKHPLIILANELKLVAEKECTIFSPTLCKRYPEAGRVALVLLHLLYGQQLELFLERMDNSESLKEILAATNNFELCVAKKLYLMNEGAVGSLLSKYLKPYMISQFSSPLILQWLHVQHENVLEWTKRIIEIEDWEPLSAHERHATSVVEVFRIVEETIEQFFNSSLPLDTVHLRSLLIGITSSLQVYLHHMENQQVPRATLLPSAPVLTRYAESVNPFAKRKLIVPTVPEEKVANKLNNLTVPKLCAKLNTLQFIRDQLDNIEEGIKQSWVSVQSAVGLLDYLSCIASGQTLPKNLSSEESIDELFTIFDDVRRTAVSTTDRILNFIGTRAVFWDMRDSLLFSLYRASVESARMEMFIPTIDQVLDQVCDLIVDALRDQVVLRVFQACMEGLIWILLDGGPSRAFLETDVDLMHQDLAMIKDLFIAEGQGLPLDLVEKEARLTHQILDLFVLKADTIIDMLINVSDQLPHHLELTTTRRRHVHDAHTLLRVLCHKKDKTASTFLKIQYHLPRSSDYDDISTKNAPSKAPMFSDMLKKLDLLYLGLSTSWELNIFPVIKEMKHQILRRI >ONIVA04G20610.1 pep chromosome:AWHD00000000:4:21308962:21310235:1 gene:ONIVA04G20610 transcript:ONIVA04G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAGTPPFLTKTYAMVEDPSTDETISWNDSGTAFVVWRPAEFARDLLPKHFKHSNFSSFVRQLNTYGFKKVVADRWEFANDCFRRGEKHLLGGIQRRKGSGTGGAGAAPAGGIPTAIPISSPPTSSGGEPAVSSSPPRGAAGIAAGVSGAVAELEEENARLRRENARLARELARARRVCDGVRRLVSRYDHDHGGGEEEAGEGDVKPMLFGVAIGGKRSREENGEDEEEEEEGADEDGEDDEVEEEDDEERERHAARRVPVREGKVRRTTELSDLDVLALSVRAAAAARPGGASRDRKSSVS >ONIVA04G20600.1 pep chromosome:AWHD00000000:4:21307682:21308269:1 gene:ONIVA04G20600 transcript:ONIVA04G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase (SIS) family protein [Source:Projected from Arabidopsis thaliana (AT5G52190) TAIR;Acc:AT5G52190] MSGGGDAAAICAQIAAVFSGDPAARPVLVAELAAAAARGGRVFVHGVGREGLMMRALCMRLAHLGLPAHCVGDVTAPPASPGDLLLASAGPGAFSTVDAICGVARGAGARVVLLTAKPDGDFPRRQADVVAHLPAQTMADDEEATTESSPAKAKLPMGSLYEGAMFVLFEMVVLELARVLGQSPAQMRARHTNLE >ONIVA04G20590.1 pep chromosome:AWHD00000000:4:21298055:21304223:1 gene:ONIVA04G20590 transcript:ONIVA04G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCQLVARCSSSSSSSSSRMEVEEEAFFDTREELLPPSPAAALPWSGGLDSVRQRRERFMRSMGLERSPSLRQADFADVVGDVEEEGEVAAEAAAAEIGRWSSQSDENECSMSSWSTEETTSYDDGASDDNSVSGSGKASRSFSSLSFIQRLMSRNGKPSGAPKTIDRRRNGWLRRLGVSACVVDSGAADEASTSSSDSEQIEAGRYERIKVHSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLATGGEDGVVRVWAVMQSEDCKIPLDDPSCVYLKARRKYGLAPVNAESEKKSKINGLKKSDSACIVVPTMVFQISEEPVHEFRGHSGDVLDLSWSSNKHLLSASTDKTVRMWEIGYANCIRVYPHSNFVTCVQFNLADENLFISGSIDGKIRVWDITRSSVVDWVDIRDIVTAVCYRPGGKGVVVGTITGNCRFYEISDNLLKLETQIALNGKKKSSLKRITGFQFCPSNPSKLMVTSADSKIRILDGTNVIQNYSGLRSGSCQLSATFTPEGQHIISASEDSNVYVWSHENQYECACKQAKTTQTSEHFRSNNAAIAIPWNGTKPRSPVPLSSQILPPQGDTFWSMSKAIKYNSSLCGKDSSIKKIVSTPAAPGIFNLNQEFFIESSCKSSATWPEEMLPSTTASVNLDESQFKLLRNCFQGTSNSWGQVIVTAGWDGRISVLVALDFLSSLDQAIVIKQISGSAASQKHVGKAEKATAADSECNVLWI >ONIVA04G20590.2 pep chromosome:AWHD00000000:4:21298055:21304636:1 gene:ONIVA04G20590 transcript:ONIVA04G20590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCQLVARCSSSSSSSSSRMEVEEEAFFDTREELLPPSPAAALPWSGGLDSVRQRRERFMRSMGLERSPSLRQADFADVVGDVEEEGEVAAEAAAAEIGRWSSQSDENECSMSSWSTEETTSYDDGASDDNSVSGSGKASRSFSSLSFIQRLMSRNGKPSGAPKTIDRRRNGWLRRLGVSACVVDSGAADEASTSSSDSEQIEAGRYERIKVHSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLATGGEDGVVRVWAVMQSEDCKIPLDDPSCVYLKARRKYGLAPVNAESEKKSKINGLKKSDSACIVVPTMVFQISEEPVHEFRGHSGDVLDLSWSSNKHLLSASTDKTVRMWEIGYANCIRVYPHSNFVTCVQFNLADENLFISGSIDGKIRVWDITRSSVVDWVDIRDIVTAVCYRPGGKGVVVGTITGNCRFYEISDNLLKLETQIALNGKKKSSLKRITGFQFCPSNPSKLMVTSADSKIRILDGTNVIQNYSGLRSGSCQLSATFTPEGQHIISASEDSNVYVWSHENQYECACKQAKTTQTSEHFRSNNAAIAIPWNGTKPRSPVPLSSQILPPQGDTFWSMSKAIKYNSSLCGKDSSIKKIVSTPAAPGIFNLNQEFFIESSCKSSATWPEEMLPSTTASVNLDESQFKLLRNCFQGTSNSWGQVIVTAGWDGRISVLVALDFLSSLDQAIVIKQISGSAASQKHVGKAEKATAADSEVMSNL >ONIVA04G20590.3 pep chromosome:AWHD00000000:4:21298055:21304636:1 gene:ONIVA04G20590 transcript:ONIVA04G20590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCQLVARCSSSSSSSSSRMEVEEEAFFDTREELLPPSPAAALPWSGGLDSVRQRRERFMRSMGLERSPSLRQADFADVVGDVEEEGEVAAEAAAAEIGRWSSQSDENECSMSSWSTEETTSYDDGASDDNSVSGSGKASRSFSSLSFIQRLMSRNGKPSGAPKTIDRRRNGWLRRLGVSACVVDSGAADEASTSSSDSEQIEAGRYERIKVHSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLATGGEDGVVRVWAVMQSEDCKIPLDDPSCVYLKARRKYGLAPVNAESEKKSKINGLKKSDSACIVVPTMVFQISEEPVHEFRGHSGDVLDLSWSSNKHLLSASTDKTVRMWEIGYANCIRVYPHSNFADENLFISGSIDGKIRVWDITRSSVVDWVDIRDIVTAVCYRPGGKGVVVGTITGNCRFYEISDNLLKLETQIALNGKKKSSLKRITGFQFCPSNPSKLMVTSADSKIRILDGTNVIQNYSGLRSGSCQLSATFTPEGQHIISASEDSNVYVWSHENQYECACKQAKTTQTSEHFRSNNAAIAIPWNGTKPRSPVPLSSQILPPQGDTFWSMSKAIKYNSSLCGKDSSIKKIVSTPAAPGIFNLNQEFFIESSCKSSATWPEEMLPSTTASVNLDESQFKLLRNCFQGTSNSWGQVIVTAGWDGRISVLVALDFLSSLDQAIVIKQISGSAASQKHVGKAEKATAADSEVMSNL >ONIVA04G20590.4 pep chromosome:AWHD00000000:4:21299157:21304636:1 gene:ONIVA04G20590 transcript:ONIVA04G20590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCQLVARCSSSSSSSSSRMEVEEEAFFDTREELLPPSPAAALPWSGGLDSVRQRRERFMRSMGLERSPSLRQADFADVVGDVEEEGEVAAEAAAAEIGRWSSQSDENECSMSSWSTEETTSYDDGASDDNSVSGSGKASRSFSSLSFIQRLMSRNGKPSGAPKTIDRRRNGWLRRLGVSACVVDSGAADEASTSSSDSEQIEAGRYERIKVHSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLATGGEDGVVRVWAVMQSEDCKIPLDDPSCVYLKARRKYGLAPVNAESEKKSKINGLKKSDSACIVVPTMVFQISEEPVHEFRGHSGDVLDLSWSSNKHLLSASTDKTVRMWEIGYANCIRVYPHSNFVTCVQFNLADENLFISGSIDGKIRVWDITRSSVVDWVDIRDIVTAVCYRPGGKGVVVGTITGNCRFYEISDNLLKLETQIALNGKKKSSLKRITGFQFCPSNPSKLMVTSADSKIRILDGTNVIQNYSGLRSGSCQLSATFTPEGQHIISASEDSNVYVWSHENQYECACKQAKTTQTSEHFRSNNAAIAIPWNGTKPRSPVPLSSQILPPQGDTFWSMSKAIKYNSSLCGKDSSIKKIVSTPAAPGIFNLNQEFFIESSCKSSATWPEEMLPSTTASVNLDESQFKLLRNCFQGTSNSWGQVIVTAGWDGRISVLVALDFLSSLDQAIVIKQISGSAASQKHVGKAEKATAADSEVMSNL >ONIVA04G20590.5 pep chromosome:AWHD00000000:4:21298055:21302511:1 gene:ONIVA04G20590 transcript:ONIVA04G20590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCQLVARCSSSSSSSSSRMEVEEEAFFDTREELLPPSPAAALPWSGGLDSVRQRRERFMRSMGLERSPSLRQADFADVVGDVEEEGEVAAEAAAAEIGRWSSQSDENECSMSSWSTEETTSYDDGASDDNSVSGSGKASRSFSSLSFIQRLMSRNGKPSGAPKTIDRRRNGWLRRLGVSACVVDSGAADEASTSSSDSEQIEAGRYERIKVHSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLATGGEDGVVRVWAVMQSEDCKIPLDDPSCVYLKARRKYGLAPVNAESEKKSKINGLKKSDSACIVVPTMVFQISEEPVHEFRGHSGDVLDLSWSSNKHLLSASTDKTVRMWEIGYANCIRVYPHSNFVTCVQFNLADENLFISGSIDGKIRVWDITRSSVVDWVDIRDIVTAVCYRPGGKGVVVGTITGNCRFYEISDNLLKLETQIALNGKKKSSLKRITGFQFCPSNPSKLMVTSADSKIRILDGTNVIQNYSGLRSGSCQLSATFTPEGQHIISASEDSNVYVWSHENQYECACKQAKTTQTSEHFRSNNAAIAIPWNGTKPRSPVPLSSQILPPQGDTFWSMSKAIKYNSSLCGKDSSIKKIVSTPAAPGIFNLNQEFFIESSCKSSATWPEEMLPSTTASVNLDESQFKLLRNCFQGTSNSWGQVIVTAGWDGRIRYFQNFGLPVHQ >ONIVA04G20590.6 pep chromosome:AWHD00000000:4:21298055:21303485:1 gene:ONIVA04G20590 transcript:ONIVA04G20590.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVTRTPLPRPSLHDVLINRSHLHFFLPAFSLISSQLDESNPFFNNRAAPRSRDDAAAAVLARRDHQPRTRAGLPAAASLRGRKPKPFLGFQSERARARIPSRPVY >ONIVA04G20580.1 pep chromosome:AWHD00000000:4:21291879:21292317:-1 gene:ONIVA04G20580 transcript:ONIVA04G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQKREIQPIESNRSPCSCRGPNKLTRRITSQSSIVLAHEAAWHPGMGVNNSRTLQSTAPRTPQGDR >ONIVA04G20570.1 pep chromosome:AWHD00000000:4:21269083:21270174:-1 gene:ONIVA04G20570 transcript:ONIVA04G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMLMAANAAAGANPNPAATAPSSVTGGALRGGGGGGAPPVAGGAGAGSTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSAASASPASASTANSVVTSASMSMSMASTGGGASKNPKLVHEGAQDLNLAFPHHGGLQAPGEFPAFPSLESSSVCNPGGPMGTNGRGGGALSAMELLRSTGCYMPLQVPMQMPAEYATPGFALGEFRAPPPPPQSSQSLLGFSLDAHGSVGGPSAAGFGSSAGLQGVPESTGRLLFPFEDLKPTVSSGTGGGGASGGGAGVDGGHQFDHGKEQQAGGGGGGPGGHDTPGFWNGMIGGGSGTSW >ONIVA04G20560.1 pep chromosome:AWHD00000000:4:21267020:21272176:1 gene:ONIVA04G20560 transcript:ONIVA04G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACMAAAAALPRSATAAADHAVPEPRRVVPAWAASSATGLLLLAVIKLMAAVYAGAAAACASTASARADRRLQVLEREQQPARALRHALQPRAGAEPRGGWPAHRAVRVQREPEQALGRLWRRRRRAELTEREPRRGVLRWHLHRHLQWHVAAGASEQLHRGERAAAPAVGPHWATGVAHAAALQARERRELPRRLQPAVVRERQVQVLRALVDQLRVLGRPAARAGHGHGHGRARDDGIRRGGGRGRRRCRRRRRALVLARAAADGDVAERAALRPVTPARLAEVARLLEAVVVVVAELGVRRVAPRAVERLLLLRPRPPLRATRPRATCHRRRAAAAATPQCAPSHRRRRRRRRIRIRAGGRVRCHQHLLHGLHQP >ONIVA04G20550.1 pep chromosome:AWHD00000000:4:21255648:21259909:1 gene:ONIVA04G20550 transcript:ONIVA04G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVQPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCTPGMAWTFVNLAHFAMTYHFFHWKKGTPFADDQGMYNRLTWWEQMDNGKQLTRNRKFLTVVPLVLYLIASHTTDYQHPMLFLNTIAVVVLVVAKLPNMHKVRIFGINAGN >ONIVA04G20540.1 pep chromosome:AWHD00000000:4:21251840:21253407:1 gene:ONIVA04G20540 transcript:ONIVA04G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMAGGGGEERAGSMEGESLAAGRGSLVAAQLRAGGERLCGMELGSARPGGGTKGRNRGGAGRRREWSREKKVRAPIGGGGQRRRRLRLGDGRAHRRSTMVGAGGKGVATRQRPSRRDDGLGVDEDNDDGGFSGGGGALAARSCAGAASAPWHAARAQHIILLVTILHAHPRIGFARQEHVSLLSGNVHVGCPWSHTRKTKSTHKATTQPAAAGLIFRMRKRSVPASTAAYGCSFPEGGKKTIGLFFWLAFVDCATSSYTLYSRGSQQLWLLRVK >ONIVA04G20530.1 pep chromosome:AWHD00000000:4:21247127:21249686:1 gene:ONIVA04G20530 transcript:ONIVA04G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHPKFTQIELRSGLVLLPPGYTPTRVARDRGKKKRGTAAGGGTERPPSRPAPVRAESVGSSIYPPVIAVEGEADAVQGGLGFPEVPPGFEKVNAAPAPNTPTPVASPSTTGPKKNKKLAAAMVVAAVERTSPITGPGRITVNSL >ONIVA04G20520.1 pep chromosome:AWHD00000000:4:21234036:21235827:-1 gene:ONIVA04G20520 transcript:ONIVA04G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANGGAAPEWRVTVPEGATVTVEREAGRCRRAAVVWAWQLVSCVAALGSRASGLAGRVWKIGADDPRRAVHGVKVGLALALVSVFYYTRPLYDGVGGAAMWAVMTVVVVFEFTVGGCVYKGFNRATATVSAGVVALGVHWIASKSGDKLEPVVRSGSVFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDALVAMAQQRVSTIAIGIFICLAVCVLICPVWAGQELHRLTARNMDKLAGAVEACVEGYFVAGEEVAAGPEYKRRPAAAAAAEGYKCVLNSKASEDAQANLARWEPAHGRFGFRHPYAQYKAVGAAMRHCAYCVEALSGCIRSAEAQSPEGVKRHLAGASTRVATRCAAVLREASSSVAAMTTPSRGLDFAVADMNTAVQELQSEVRELPSKLAAAAGEPAAAQQLMDAVQLLTVTSLLIEVSARIEGVVDAVDTLATLAAFRSADDDEKPTTEADAKLQTVSDHVTVEPEAAAAAAAARTTKNIEQV >ONIVA04G20510.1 pep chromosome:AWHD00000000:4:21231619:21231798:1 gene:ONIVA04G20510 transcript:ONIVA04G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPTNFTGDRGRDGGLNPISIQRKLPPSPPLPPVLVVGDGGRDGGLPPFSAAHQICR >ONIVA04G20500.1 pep chromosome:AWHD00000000:4:21217189:21227686:-1 gene:ONIVA04G20500 transcript:ONIVA04G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSSLLATLRVVGPWTPPATWESVTQAGGAARTANPGGRLRGDPIYELASVPDASLVRLALHALHGVKSSLDEIEELSVLFFSCPADRTSHRVANVWSRSSSTTSVGNILKSIRTTGLSVLFLCKFLHFYLFQSRELNGRGREGHEHEVSDSEETEQPAPYSLVNQAFAAAVEKVLEGYFCSLNTLPASIKLRRLEGQPDIPSMTPDGASYNSNSEITLLEVYLHTEELRRHIKSLGNICFPKFAGLSLCQEGLTTDSNLGFENFPWSTDLLSYLYVHLRDADSVHYGLLKYLFVHSCEPYCNFIKSWIYRASVDDPYEEFLITQAKNKRTQGGSSDPVDNFTLLSLKGANHVSAPCFLKDVCGPLLRTGQQLQVLMKLLESCNLSDTGGDAHASRHIIHLEEILPWFDTSIESSMNSFTFSKSRVEAVICQRDAMYKSMIEKLHHFFSNVEVIPFDAALNVLHISTSPLDTAVSDVELFYHGTNALPACNVVAELKDNDASSTSQESSDKVDALESSESSSSYSSIDDIEDEIDTACDNMPSSMFSSYRASSGEAKCSSVTTKLLSYETCSVSDGINPASPINENKKKDDLSYGHVPMHSQSIEHDVVCDALEPDNQYSEFWPFDSFLKNSFDISSGKMSLAEEFLYTGDKGAEQVSHANVVCRHSESGSPRLPNRDNDEKSSNIKQPWNTNIPYNFSINPIVKNAVSCHTEHDLHGNRKNRALIGFNFESVTNPCEAYCGRSTSSLDEFEVRSAMAVQSNAQASKQFDCSSKLLQSKTTSHAYLTSPGDISAQTNLLENPSGGAFWEKSLEYTAKSMEIAGDTTSSSDMPLDIAIDNFTMKLLEDGFDLCGHLQALRRYHFMELADWADSFIVSIYKKKWSFVKSEHKRAEIQRLLDLALQRSSCDSDPYKERLFVYMNEQPVVSLKASTCGLDVLDDILLGYKVDWPVNIVITEEALKTYAEIFRYLVRLAVFSLTEVWRFLKFITFYQHYNSISIAICLIYHGAVFNIHFNIRCFLSAETKPIAAIINSILQQALELRSCFKSLNYISESTVKQLNLDSLINFSQVDAIRTKFEGNIKDLYILHLKSSKYGEIGLYRFWGTCAGDESEGCTAHANYVEMQAGLLI >ONIVA04G20500.2 pep chromosome:AWHD00000000:4:21217189:21227686:-1 gene:ONIVA04G20500 transcript:ONIVA04G20500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSSLLATLRVVGPWTPPATWESVTQAGGAARTANPGGRLRGDPIYELASVPDASLVRLALHALHGVKSSLDEIEELSVLFFSCPADRTSHRVANVWSRSSSTTSVGNILKSIRTTGLSVLFLCKFLHFYLFQSRELNGRGREGHEHEVSDSEETEQPAPYSLVNQAFAAAVEKVLEGYFCSLNTLPASIKLRRLEGQPDIPSMTPDGASYNSNSEITLLEVYLHTEELRRHIKSLGNICFPKFAGLSLCQEGLTTDSNLGFENFPWSTDLLSYLYVHLRDADSVHYGLLKYLFVHSCEPYCNFIKSWIYRASVDDPYEEFLITQAKNKRTQGGSSDPVDNFTLLSLKGANHVSAPCFLKDVCGPLLRTGQQLQVLMKLLESCNLSDTGGDAHASRHIIHLEEILPWFDTSIESSMNSFTFSKSRVEAVICQRDAMYKSMIEKLHHFFSNVEVIPFDAALNVLHISTSPLDTAVSDVELFYHGTNALPACNVVAELKDNDASSTSQESSDKVDALESSESSSSYSSIDDIEDEIDTACDNMPSSMFSSYRASSGEAKCSSVTTKLLSYETCSVSDGINPASPINENKKKDDLSYGHVPMHSQSIEHDVVCDALEPDNQYSEFWPFDSFLKNSFDISSGKMSLAEEFLYTGDKGAEQVSHANVVCRHSESGSPRLPNRDNDEKSSNIKQPWNTNIPYNFSINPIVKNAVSCHTEHDLHGNRKNRALIGFNFESVTNPCEAYCGRSTSSLDEFEVRSAMAVQSNAQASKQFDCSSKLLQSKTTSHAYLTSPGDISAQTNLLENPSGGAFWEKSLEYTAKSMEIAGDTTSSSDMPLDIAIDNFTMKLLEDGFDLCGHLQALRRYHFMELADWADSFIVSIYKKKWSFVKSEHKRAEIQRLLDLALQRSSCDSDPYKERLFVYMNEQPVVSLKASTCGLDVLDDILLGYKVDWPVNIVITEEALKTYAEIFRYLVRLAVFSLTEVWRFLKELTQLISRSSHNRPDVLKELSSVMKLRHQVYHFLSTLQQYLHCHLSDISWRRFQHSLQHQVDAIRTKFEGNIKDLYILHLKSSKYGEIGLYRFWGTCAGDESEGCTAHANYVEMQAGLLI >ONIVA04G20500.3 pep chromosome:AWHD00000000:4:21217189:21227686:-1 gene:ONIVA04G20500 transcript:ONIVA04G20500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSSLLATLRVVGPWTPPATWESVTQAGGAARTANPGGRLRGDPIYELASVPDASLVRLALHALHGVKSSLDEIEELSVLFFSCPADRTSHRVANVWSRSSSTTSVGNILKSIRTTGLSVLFLCKFLHFYLFQSRELNGRGREGHEHEVSDSEETEQPAPYSLVNQAFAAAVEKVLEGYFCSLNTLPASIKLRRLEGQPDIPSMTPDGASYNSNSEITLLEVYLHTEELRRHIKSLGNICFPKFAGLSLCQEGLTTDSNLGFENFPWSTDLLSYLYVHLRDADSVHYGLLKYLFVHSCEPYCNFIKSWIYRASVDDPYEEFLITQAKNKRTQGGSSDPVDNFTLLSLKGANHVSAPCFLKDVCGPLLRTGQQLQVLMKLLESCNLSDTGGDAHASRHIIHLEEILPWFDTSIESSMNSFTFSKSRVEAVICQRDAMYKSMIEKLHHFFSNVEVIPFDAALNVLHISTSPLDTAVSDVELFYHGTNALPACNVVAELKDNDASSTSQESSDKVDALESSESSSSYSSIDDIEDEIDTACDNMPSSMFSSYRASSGEAKCSSVTTKLLSYETCSVSDGINPASPINENKKKDDLSYGHVPMHSQSIEHDVVCDALEPDNQYSEFWPFDSFLKNSFDISSGKMSLAEEFLYTGDKGAEQVSHANVVCRHSESGSPRLPNRDNDEKSSNIKQPWNTNIPYNFSINPIVKNAVSCHTEHDLHGNRKNRALIGFNFESVTNPCEAYCGRSTSSLDEFEVRSAMAVQSNAQASKQFDCSSKLLQSKTTSHAYLTSPGDISAQTNLLENPSGGAFWEKSLEYTAKSMEIAGDTTSSSDMPLDIAIDKCIIQEVLLQYPCGFPTILSWYIAAGILFNFTMKLLEDGFDLCGHLQALRRYHFMELADWADSFIVSIYKKKWSFVKSEHKRAEIQRLLDLALQRSSCDSDPYKERLFVYMNEQPVVSLKASTCGLDVLDDILLGYKVDWPVNIVITEEALKTYAEIFRYLVRLAVFSLTEVWRFLKFITFYQHYNSISIAICLIYHGAVFNIHFNIRCFLSAETKPIAAIINSILQQALELRSCFKSLNYISESTVKQLNLDSLINFSQVDAIRTKFEGNIKDLYILHLKSSKYGEIGLYRFWGTCAGDESEGCTAHANYVEMQAGLLI >ONIVA04G20500.4 pep chromosome:AWHD00000000:4:21218067:21227686:-1 gene:ONIVA04G20500 transcript:ONIVA04G20500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSSLLATLRVVGPWTPPATWESVTQAGGAARTANPGGRLRGDPIYELASVPDASLVRLALHALHGVKSSLDEIEELSVLFFSCPADRTSHRVANVWSRSSSTTSVGNILKSIRTTGLSVLFLCKFLHFYLFQSRELNGRGREGHEHEVSDSEETEQPAPYSLVNQAFAAAVEKVLEGYFCSLNTLPASIKLRRLEGQPDIPSMTPDGASYNSNSEITLLEVYLHTEELRRHIKSLGNICFPKFAGLSLCQEGLTTDSNLGFENFPWSTDLLSYLYVHLRDADSVHYGLLKYLFVHSCEPYCNFIKSWIYRASVDDPYEEFLITQAKNKRTQGGSSDPVDNFTLLSLKGANHVSAPCFLKDVCGPLLRTGQQLQVLMKLLESCNLSDTGGDAHASRHIIHLEEILPWFDTSIESSMNSFTFSKSRVEAVICQRDAMYKSMIEKLHHFFSNVEVIPFDAALNVLHISTSPLDTAVSDVELFYHGTNALPACNVVAELKDNDASSTSQESSDKVDALESSESSSSYSSIDDIEDEIDTACDNMPSSMFSSYRASSGEAKCSSVTTKLLSYETCSVSDGINPASPINENKKKDDLSYGHVPMHSQSIEHDVVCDALEPDNQYSEFWPFDSFLKNSFDISSGKMSLAEEFLYTGDKGAEQVSHANVVCRHSESGSPRLPNRDNDEKSSNIKQPWNTNIPYNFSINPIVKNAVSCHTEHDLHGNRKNRALIGFNFESVTNPCEAYCGRSTSSLDEFEVRSAMAVQSNAQASKQFDCSSKLLQSKTTSHAYLTSPGDISAQTNLLENPSGGAFWEKSLEYTAKSMEIAGDTTSSSDMPLDIAIDNFTMKLLEDGFDLCGHLQALRRYHFMELADWADSFIVSIYKKKWSFVKSEHKRAEIQRLLDLALQRSSCDSDPYKERLFVYMNEQPVVSLKASTCGLDVLDDILLGYKVDWPVNIVITEEALKTYAEIFRYLVRLAVFSLTEVWRFLKFITFYQHYNSISIAICLIYHGAVFNIHFNIRCFLSAETKPIAAIINSILQQALELRSCFKSLNYISESTVKQLNLDSLINFSQVDAIRTKFEGNIKDLYILHLKSSKYGEIGLYRFWGYLNYNEYHSLMINKDMGCFYF >ONIVA04G20500.5 pep chromosome:AWHD00000000:4:21218067:21227686:-1 gene:ONIVA04G20500 transcript:ONIVA04G20500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSSLLATLRVVGPWTPPATWESVTQAGGAARTANPGGRLRGDPIYELASVPDASLVRLALHALHGVKSSLDEIEELSVLFFSCPADRTSHRVANVWSRSSSTTSVGNILKSIRTTGLSVLFLCKFLHFYLFQSRELNGRGREGHEHEVSDSEETEQPAPYSLVNQAFAAAVEKVLEGYFCSLNTLPASIKLRRLEGQPDIPSMTPDGASYNSNSEITLLEVYLHTEELRRHIKSLGNICFPKFAGLSLCQEGLTTDSNLGFENFPWSTDLLSYLYVHLRDADSVHYGLLKYLFVHSCEPYCNFIKSWIYRASVDDPYEEFLITQAKNKRTQGGSSDPVDNFTLLSLKGANHVSAPCFLKDVCGPLLRTGQQLQVLMKLLESCNLSDTGGDAHASRHIIHLEEILPWFDTSIESSMNSFTFSKSRVEAVICQRDAMYKSMIEKLHHFFSNVEVIPFDAALNVLHISTSPLDTAVSDVELFYHGTNALPACNVVAELKDNDASSTSQESSDKVDALESSESSSSYSSIDDIEDEIDTACDNMPSSMFSSYRASSGEAKCSSVTTKLLSYETCSVSDGINPASPINENKKKDDLSYGHVPMHSQSIEHDVVCDALEPDNQYSEFWPFDSFLKNSFDISSGKMSLAEEFLYTGDKGAEQVSHANVVCRHSESGSPRLPNRDNDEKSSNIKQPWNTNIPYNFSINPIVKNAVSCHTEHDLHGNRKNRALIGFNFESVTNPCEAYCGRSTSSLDEFEVRSAMAVQSNAQASKQFDCSSKLLQSKTTSHAYLTSPGDISAQTNLLENPSGGAFWEKSLEYTAKSMEIAGDTTSSSDMPLDIAIDNFTMKLLEDGFDLCGHLQALRRYHFMELADWADSFIVSIYKKKWSFVKSEHKRAEIQRLLDLALQRSSCDSDPYKERLFVYMNEQPVVSLKASTCGLDVLDDILLGYKVDWPVNIVITEEALKTYAEIFRYLVRLAVFSLTEVWRFLKELTQLISRSSHNRPDVLKELSSVMKLRHQVYHFLSTLQQYLHCHLSDISWRRFQHSLQHQVDAIRTKFEGNIKDLYILHLKSSKYGEIGLYRFWGYLNYNEYHSLMINKDMGCFYF >ONIVA04G20500.6 pep chromosome:AWHD00000000:4:21218067:21227686:-1 gene:ONIVA04G20500 transcript:ONIVA04G20500.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSLSSLLATLRVVGPWTPPATWESVTQAGGAARTANPGGRLRGDPIYELASVPDASLVRLALHALHGVKSSLDEIEELSVLFFSCPADRTSHRVANVWSRSSSTTSVGNILKSIRTTGLSVLFLCKFLHFYLFQSRELNGRGREGHEHEVSDSEETEQPAPYSLVNQAFAAAVEKVLEGYFCSLNTLPASIKLRRLEGQPDIPSMTPDGASYNSNSEITLLEVYLHTEELRRHIKSLGNICFPKFAGLSLCQEGLTTDSNLGFENFPWSTDLLSYLYVHLRDADSVHYGLLKYLFVHSCEPYCNFIKSWIYRASVDDPYEEFLITQAKNKRTQGGSSDPVDNFTLLSLKGANHVSAPCFLKDVCGPLLRTGQQLQVLMKLLESCNLSDTGGDAHASRHIIHLEEILPWFDTSIESSMNSFTFSKSRVEAVICQRDAMYKSMIEKLHHFFSNVEVIPFDAALNVLHISTSPLDTAVSDVELFYHGTNALPACNVVAELKDNDASSTSQESSDKVDALESSESSSSYSSIDDIEDEIDTACDNMPSSMFSSYRASSGEAKCSSVTTKLLSYETCSVSDGINPASPINENKKKDDLSYGHVPMHSQSIEHDVVCDALEPDNQYSEFWPFDSFLKNSFDISSGKMSLAEEFLYTGDKGAEQVSHANVVCRHSESGSPRLPNRDNDEKSSNIKQPWNTNIPYNFSINPIVKNAVSCHTEHDLHGNRKNRALIGFNFESVTNPCEAYCGRSTSSLDEFEVRSAMAVQSNAQASKQFDCSSKLLQSKTTSHAYLTSPGDISAQTNLLENPSGGAFWEKSLEYTAKSMEIAGDTTSSSDMPLDIAIDKCIIQEVLLQYPCGFPTILSWYIAAGILFNFTMKLLEDGFDLCGHLQALRRYHFMELADWADSFIVSIYKKKWSFVKSEHKRAEIQRLLDLALQRSSCDSDPYKERLFVYMNEQPVVSLKASTCGLDVLDDILLGYKVDWPVNIVITEEALKTYAEIFRYLVRLAVFSLTEVWRFLKFITFYQHYNSISIAICLIYHGAVFNIHFNIRCFLSAETKPIAAIINSILQQALELRSCFKSLNYISESTVKQLNLDSLINFSQVDAIRTKFEGNIKDLYILHLKSSKYGEIGLYRFWGYLNYNEYHSLMINKDMGCFYF >ONIVA04G20490.1 pep chromosome:AWHD00000000:4:21213232:21213807:-1 gene:ONIVA04G20490 transcript:ONIVA04G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADQPAYGDRRPSRRTYKPDQPEGLTISFRELYDLPTSPEFLFHEEALRSRRTCGEDLTFYTGCGYLVGRAAGAAAGLKRAAEEAERGESMKLRGQPRPQPVRLPRARVRNRLGVVALLFVGIESTVGGLRDADGWANTVAAGIGTGALYRAAAGPRAAIVDSSVGGLMAGAVVVGRQALTRYAPNLSF >ONIVA04G20480.1 pep chromosome:AWHD00000000:4:21203877:21208443:1 gene:ONIVA04G20480 transcript:ONIVA04G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGGCGRNGAVRQYIRSKVPRLRWTGELHCSFVQAIEFLGGQDKATPKLILQLMGVKGLTISHVKSHLQMYRCSRLVSHGTGRRSEMQPQLQRKHSCGADEQVPREFLCPPLKRTRMGTEATYKGMQGSQGISEMRTTGTQYCIDDYMQAMAMERRIKEEGLRWQRDAAAAAAADGGAAASNLQTVGCSVQESDPFKIIKPEVHHLGPVLKLQCSKVENSGFISSSTGTAARDQPEPPPLEKCSLSLSLGPDPKCMPAIASSPSESSCILSSSSRSFSDCSGNSGCLVAPGVNLELSMSICGS >ONIVA04G20470.1 pep chromosome:AWHD00000000:4:21159073:21174072:-1 gene:ONIVA04G20470 transcript:ONIVA04G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPIIIKGEGGWWRRRRRQQQQCGSASASGGVGRRPPRVSRDRLPPRPRRRSPSARSVPIMVKKKRTGSGNTVLERLQELLAMVLHSELREVLNSMGEDVVGCLNRVAVVVGNIRAVVDIIRAVEGKVHTIQAEGLLSIRVVEGQVHIIQVVGLLTIRAVEDQVHITQVVGLPSINRVTIKDVVVHAPEVECHSHTMADLGGVADVVFLQVHQEQFPSCTKPHMSNTKPRWFHQPHRELAHPLSLRRSGQVQQQFQQLATRDQSSTSQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMFELVTLYRYSHLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGGQGTQRRERLFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTTRYSPVGRSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILRTVSHNAYHEDQYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVERALKARYQDAMNMLRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPHRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAATSRGLPPGVRSARVAGNVAVRPLPALKENSVNNASLVLVSLCLWEPKSCWTFVVLEEPS >ONIVA04G20470.2 pep chromosome:AWHD00000000:4:21159073:21174072:-1 gene:ONIVA04G20470 transcript:ONIVA04G20470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPIIIKGEGGWWRRRRRQQQQCGSASASGGVGRRPPRVSRDRLPPRPRRRSPSARSVPIMVKKKRTGSGNTVLERLQELLAMVLHSELREVLNSMGEDVVGCLNRVAVVVGNIRAVVDIIRAVEGKVHTIQAEGLLSIRVVEGQVHIIQVVGLLTIRAVEDQVHITQVVGLPSINRVTIKDVVVHAPEVECHSHTMADLGGVADVVFLQVHQEQFPSCTKPHMSNTKPRWFHQPHRELAHPLSLRRSGQVQQQFQQLATRDQSSTSQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMFELVTLYRYSHLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGGQGTQRRERLFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTTRYSPVGRSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILRTVSHNAYHEDQYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVERALKARYQDAMNMLRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPHRGTVTGGMIKELLISFKRATGQKPQRIIFYRNLRGQHFAGFYPCMDIFLTIIVCDFNTCHFRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAATSRGLPPGVRSARVAGNVAVRPLPALKENSVNNASLVLVSLCLWEPKSCWTFVVLEEPS >ONIVA04G20470.3 pep chromosome:AWHD00000000:4:21159073:21174091:-1 gene:ONIVA04G20470 transcript:ONIVA04G20470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLENGRPHHHQVPIMVKKKRTGSGNTVLERLQELLAMVLHSELREVLNSMGEDVVGCLNRVAVVVGNIRAVVDIIRAVEGKVHTIQAEGLLSIRVVEGQVHIIQVVGLLTIRAVEDQVHITQVVGLPSINRVTIKDVVVHAPEVECHSHTMADLGGVADVVFLQVHQEQFPSCTKPHMSNTKPRWFHQPHRELAHPLSLRRSGQVQQQFQQLATRDQSSTSQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMFELVTLYRYSHLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGGQGTQRRERLFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTTRYSPVGRSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILRTVSHNAYHEDQYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPHRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAATSRGLPPGVRSARVAGNVAVRPLPALKENSVNNASLVLVSLCLWEPKSCWTFVVLEEPS >ONIVA04G20460.1 pep chromosome:AWHD00000000:4:21142119:21147811:1 gene:ONIVA04G20460 transcript:ONIVA04G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAAAAFFGISRDGDQHDQIKPLISHQQHQHQHQQLAASLTGVATAAPTAASSQGAPPAAPPAKKKRNLPGNPSNQPKYPFTISAMHAYISVLRDLVSIDWTDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPAQAQRRRVYLCPEPTCVHHDPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESSRLPPTSLSSLTSHLYGASNAGNMALSLSQVGSHLTTSLQDGGGHHHHPSPELLRLGGAGGGGGAGGGSSIAARLDHLLSPSGASAFRPPQPAFFLNAAAAAAATGQDFGDDAGNGQHSFLQAKPFHGLMQLPDLQGNGAGGPGAPGPNLFNLGFFANNGNSSGSSHEHASQGLMSNDQFSGGAGGGGGSDASAAGIFGGNFVGGDHVSPAGLYNDQAAMLPQMSATALLQKAAQMGATSSANGPGSMFRGFVGSSPHMRPAAQHMDQSDAHLNDLMNSLAGGGVNAAAMFGGTNGGGVPGAGMFDPRLCDIEHEVKFSQGGGGGGGAGAGTGDGTRDFLGVGGGGIVHGMSTPRGDHHQSSSDMSSLEAEMKSASSFNGRRMP >ONIVA04G20450.1 pep chromosome:AWHD00000000:4:21125339:21125973:1 gene:ONIVA04G20450 transcript:ONIVA04G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNVSTERSYAAQRSPAPCFSPLSVCSPCMHGHWKENSPCIVGREEREMDSERDHQLMQCKDHSVTGGSVYATSQTIISIIRAFKCKCKCKSEPLVTVSMCIVQLCITVHMQLCMRPRAHA >ONIVA04G20440.1 pep chromosome:AWHD00000000:4:21111214:21118915:1 gene:ONIVA04G20440 transcript:ONIVA04G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G02090) TAIR;Acc:AT2G02090] MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPKPSSSSAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQDDVDAACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLVNIPIVMLEDFAHDQQNLIACLEDRFVVAILTVYCS >ONIVA04G20440.2 pep chromosome:AWHD00000000:4:21111214:21118915:1 gene:ONIVA04G20440 transcript:ONIVA04G20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G02090) TAIR;Acc:AT2G02090] MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPKPSSSSAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQVWSFDSMDVSEQDDVDAACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLVNIPIVMLEDFAHDQQNLIACLEDRFVVAILTVYCS >ONIVA04G20440.3 pep chromosome:AWHD00000000:4:21111214:21119422:1 gene:ONIVA04G20440 transcript:ONIVA04G20440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G02090) TAIR;Acc:AT2G02090] MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPKPSSSSAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQDDVDAACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGWIGKLKIAAIALDNRNLSLSIDCEHITIFISTWLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLVNIPIVMLEDFAHDQQNLIACLEDRFV >ONIVA04G20440.4 pep chromosome:AWHD00000000:4:21111214:21119422:1 gene:ONIVA04G20440 transcript:ONIVA04G20440.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G02090) TAIR;Acc:AT2G02090] MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPKPSSSSAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQVWSFDSMDVSEQDDVDAACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLVNIPIVMLEDFAHDQQNLIACLEDRFV >ONIVA04G20440.5 pep chromosome:AWHD00000000:4:21111214:21119422:1 gene:ONIVA04G20440 transcript:ONIVA04G20440.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G02090) TAIR;Acc:AT2G02090] MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPKPSSSSAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQDDVDAACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLVNIPIVMLEDFAHDQQNLIACLEDRFV >ONIVA04G20430.1 pep chromosome:AWHD00000000:4:21106084:21108311:-1 gene:ONIVA04G20430 transcript:ONIVA04G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYKKAKPGRLVFKGGEAASLHKPPKKHKKNKKPASDAPADAEADAEGAAAPSAEGAAEGGGDEYTIDAAKRMKYEDLFPVESKKFGYDPANAAKASRSRTVEEALDDRVRKKADRYCK >ONIVA04G20420.1 pep chromosome:AWHD00000000:4:21101215:21103387:-1 gene:ONIVA04G20420 transcript:ONIVA04G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCALHPAAYRRIFHNPRSTRSHGLVAAASPPLHQPAAACGETHRIIQMHALFAVARDTAFSSSPAADERLPNEHPGGHLWNQSVLEEEHGSNDDSFRESLVSLLDNTRDYVMAPEVFEGVPVACDYLKGMDSDGMAASAVAAYSLDNNGQHAGVSSIEHGIASSPLLAYQLGKNSAVVQRSIQQQEVGSPMAAFLQQLIPTSVLDQSGIGFGGVCLDGSGLEASFCMRTSPDVSSLSGHRSATAEELMSTDTREQEITRLARSCSSSGSDRNKKKLSEVRGGKAKKFKSETSHSTSSPKHQSPKVKLGEKITALQQIVSPFGKTDTASVLLETITYIKFLHEQIQLFSQPYMTNSTNKGHIHWGGEGKRKAGLEHDLRGRGLCLVPVSWTSQEYCDSILPECWAPAYRNYFYR >ONIVA04G20420.2 pep chromosome:AWHD00000000:4:21101215:21103387:-1 gene:ONIVA04G20420 transcript:ONIVA04G20420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCALHPAAYRRIFHNPRSTRSHGLVAAASPPLHQPAAACGETHRIIQMHALFAVARDTAFSSSPAADERLPNEHPGGHLWNQSVLEEEHGSNDDSFRESLVSLLDNTRDYVMAPEVFEGVPVACDYLKGMDSDGMAASAVAAYSLDNNGQHAGVSSIEHGIASSPLLAYQLGKNSAVVQRSIQQQEVGSPMAAFLQQLIPTSVLDQSGIGFGGVCLDGSGLEASFCMRTSPDVSSLSGHRSATAEELMSTDTREQEITRLARSCSSSGSDRNKKKLSEVRGGKAKKFKSETSHSTSSPKHQSPKVKLGEKITALQQIVSPFGKTDTASVLLETITYIKFLHEQIQVTPSAQSFFAVLHMPPLFSQPYMTNSTNKGHIHWGGEGKRKAGLEHDLRGRGLCLVPVSWTSQEYCDSILPECWAPAYRNYFYR >ONIVA04G20420.3 pep chromosome:AWHD00000000:4:21101215:21103387:-1 gene:ONIVA04G20420 transcript:ONIVA04G20420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCALHPAAYRRIFHNPRSTRSHGLVAAASPPLHQPAAACGETHRIIQMHALFAVARDTAFSSSPAADERLPNEHPGGHLWNQSVLEEEHGSNDDSFRESLVSLLDNTRDYVMAPEVFEGVPVACDYLKGMDSDGMAASAVAAYSLDNNGQHAGVSSIEHGIASSPLLAYQLGKNSAVVQRSIQQQEVGSPMAAFLQQLIPTSVLDQSGIGFGGVCLDGSGLEASFCMRTSPDVSSLSGHRSATAEELMSTDTREQEITRLARSCSSSGSDRNKKKLSEHQSPKVKLGEKITALQQIVSPFGKTDTASVLLETITYIKFLHEQIQLFSQPYMTNSTNKGHIHWGGEGKRKAGLEHDLRGRGLCLVPVSWTSQEYCDSILPECWAPAYRNYFYR >ONIVA04G20420.4 pep chromosome:AWHD00000000:4:21101215:21103387:-1 gene:ONIVA04G20420 transcript:ONIVA04G20420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCALHPAAYRRIFHNPRSTRSHGLVAAASPPLHQPAAACGETHRIIQMHALFAVARDTAFSSSPAADERLPNEHPGGHLWNQSVLEEEHGSNDDSFRESLVSLLDNTRDYVMAPEVFEGVPVACDYLKGMDSDGMAASAVAAYSLDNNGQHAGVSSIEHGIASSPLLAYQLGKNSAVVQRSIQQQEVGSPMAAFLQQLIPTSVLDQSGIGFGGVCLDGSGLEASFCMRTSPDVSSLSGHRSATAEELMSTDTREQEITRLARSCSSSGSDRNKKKLSEHQSPKVKLGEKITALQQIVSPFGKTDTASVLLETITYIKFLHEQIQVTPSAQSFFAVLHMPPLFSQPYMTNSTNKGHIHWGGEGKRKAGLEHDLRGRGLCLVPVSWTSQEYCDSILPECWAPAYRNYFYR >ONIVA04G20410.1 pep chromosome:AWHD00000000:4:21080571:21081848:-1 gene:ONIVA04G20410 transcript:ONIVA04G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHKEASSSSSRLDAAPLLPHHGHGGGGAGHHLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWVAGSVLLLAVAALTFHCMMLLVACRRRLAYDHPKIASFGDLGAAVCGPAGRHVVDAMLVLSQASFCVGYLIFISNTMAHLYPVGDSSPSSPLLTAKAIFIWVMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVSTWLANKPPVFASAGPTEILYGLGVAVYAFEGIGMVLPLEAEAADKRKFGGTLALSMAFIAVMYGLFGAMGYLAFGAATRDIITTNLGTGWLSVAVQLGLCINLFFTMPVMMNPVYEVAERLLCRKRYAWWLRWLLVMVVGLMAMLVPNFADFLSLVGSSVCVLLGFVLPAAFHLKVFGAEVGWPGLAGDVAVIVVGTALAVSGTWTSLAQIFSSSDV >ONIVA04G20400.1 pep chromosome:AWHD00000000:4:21077946:21078224:1 gene:ONIVA04G20400 transcript:ONIVA04G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKREPPATINHSSPTSSLFWTTTTPPSTIHWVPRKTNIKHEAGWVDQRGDNEGPTSFQTATSSRWHAAMKRLRGGVHETTHQFGVCPENQ >ONIVA04G20390.1 pep chromosome:AWHD00000000:4:21075368:21076762:1 gene:ONIVA04G20390 transcript:ONIVA04G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4F4] MAEKGNPANKVAIVAVPFPAQGHLNQVLHLSLQLASSSHGLAVHYAAPAPQLRQARARVHGWDDKALLSVQFHDLGISTYVSPPPDPTADTPFPSHLMPLWEAYTADARAPLSALLDELSASHRRVVVVCDTINSFAVEEAARLPNGEAFPVSCVAVSALALHIDTGHRLLRENGLNHAPLETYMTQEFLDYASERARASESILSGAGILANASRALEGDFIDDLAETLAAGGKKLFAIGPLNPLLNTGSSEQGRRRHECLDWLDRQPPDSVLYVSFGTTCSLRVEQVAELAATLRGSKQRFIWVMRDADRGNIFTDTGEGETRHAKLLSEFSKQTEGTGMVITGWAPQLEILAHGATAAFMSHCGWNSTMESMSHGKPILAWPMHSDQPWDAELVCKYFKAGLLVRPWEKHGEVLPAATIQEVIKKMMASDEGLAVRQRAKALGDAVRSSRNDLEDFIAHITR >ONIVA04G20370.1 pep chromosome:AWHD00000000:4:21068051:21069315:1 gene:ONIVA04G20370 transcript:ONIVA04G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKVTSNSQLQAINMVFLSMATFMGVVWLLVHHHQWVLRLCLELVVVVDQDVRTQLLRSLLQ >ONIVA04G20360.1 pep chromosome:AWHD00000000:4:21066644:21068038:1 gene:ONIVA04G20360 transcript:ONIVA04G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4F2] MAAKGNPVDAVAIVAVPFPAQGHLNQLLHLSLQLASSSHGLTVHYAAPAPQLRQARARVHGWDDKALLSVQFHDLGISTYVSPPPDPTADAPFPSHLMPLWEAYTADARAPLSALLGELSASYRRVVVICDIMNSFAVKEAARLPNGEAFVCNCVAVSSATGSIDPGHRLLRENGLRFIPMDTYLTKEFMDYEQQRARAAQSISSCAGILANACRALEGEFIDVFAERLDASSKKLFAIGPLNPLLDTGALKQGRRRHECLDWLDRQPPESVLYVSFGTTSSLRVEQVAELAAALRGSKQRFIWVLRDADRGNIFAGSGESESRYAKLLSEFCKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELVCNYLKAGFLVRPWEKHGEVVPATTIQAVIEKMMASEEGLAVRQRAKALGDAVRSSRNDLEDFVDHITR >ONIVA04G20350.1 pep chromosome:AWHD00000000:4:21047751:21056482:-1 gene:ONIVA04G20350 transcript:ONIVA04G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35560) TAIR;Acc:AT4G35560] MFVRKLVEKASKKHRIGGISGLRAEDVSPRLAFHYGVPADAALLAYDPALHVLAVATRNGQIKLFGRDNTQALLQSPSPVPSKFLRFAEGQGVLLNVNIWDIDTKKLCYVHPFEKEITAFSVLQNSFYIYVGDSFGNVSLLKLDLGQTCLVDMPYWIPFSESYGSGANVGNEVEVAFVSPQPLAENNRVLIIFRDGIMSLWDIKTSKVVSLSGKSMQQQSHQEAKAVTSACWACAKGSKIAIGFDSGDIYLWSIPDILNAQNLSSMGNQNLPLQRLNLGYKLDRVSIVSLRWVNSDGKAGRLYVNGFSDHAYLFQVLILNEESESRIVKMVLPLTEACQGMELVSGLSDPNKHKQSALVLLLKSGQICLYDDSEIERYLLHAQSRSPLALPNYSSVKLPYGDPSITAAKFYTSSADIHKTRNLYVTGHLDGTIRFWDASCPLLLQNLMIKQQNEENSPSGTPITSLQFDMSSSILICGDRSGTVRIITFRKDSSDNIFSFLHAKQGESYNVRCIKLKGAVTSISLISNSKRVAVGTEKGIVSVVSIEDASILYQKQLECRVSGGIASLQFEMYSHNGYDKDLLLVGMEDSTIYILEEETGKLLNTNPVQTNKPSRALLMQTLELSPDDPSVSDTHDTVSKESLLLLCTENAIRLFSLSHAIQGTKNIINKKKPNGSCCFASLIHSVSSEIGLLLVFSNGKIEIRSLPDLSILKEASLRGFSYTRNLNSSSSIACSSDGEIILVNGEETFFFSTLCQNDIYRHVDSINTIYRKDNSPREESSYVVKSPREKKKGIFGMIMKDTKASKGKQSDANGDEQFTATTSEELSSIFSCANFAPVSEKRNSSIKDDENIELDIDDINIDDNPQKQKGPHFPGLSKQKISKGFQSLREKLKPRTEEKVNSGNRKPEDDTSVSQVDQIKMKYGYATNDDPTSLPKMIGNKLQENIKKLEGINIRAGDMANGAQSFSAMAKELLRNTKNEKATS >ONIVA04G20350.2 pep chromosome:AWHD00000000:4:21047751:21056482:-1 gene:ONIVA04G20350 transcript:ONIVA04G20350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35560) TAIR;Acc:AT4G35560] MFVRKLVEKASKKHRIGGISGLRAEDVSPRLAFHYGVPADAALLAYDPALHVLAVATRNGQIKLFGRDNTQALLQSPSPVPSKFLRFAEGQGVLLNVNIWDIDTKKLCYVHPFEKEITAFSVLQNSFYIYVGDSFGNVSLLKLDLGQTCLVDMPYWIPFSESYGSGANVGNEVEVAFVSPQPLAENNRVLIIFRDGIMSLWDIKTSKVVSLSGKSMQQQSHQEAKAVTSACWACAKGSKIAIGFDSGDIYLWSIPDILNAQNLSSMGNQNLPLQRLNLGYKLDRVSIVSLRWVNSDGKAGRLYVNGFSDHAYLFQDYFSSLATKYPWFLSMKDKHQTSTGSADIHKTRNLYVTGHLDGTIRFWDASCPLLLQNLMIKQQNEENSPSGTPITSLQFDMSSSILICGDRSGTVRIITFRKDSSDNIFSFLHAKQGESYNVRCIKLKGAVTSISLISNSKRVAVGTEKGIVSVVSIEDASILYQKQLECRVSGGIASLQFEMYSHNGYDKDLLLVGMEDSTIYILEEETGKLLNTNPVQTNKPSRALLMQTLELSPDDPSVSDTHDTVSKESLLLLCTENAIRLFSLSHAIQGTKNIINKKKPNGSCCFASLIHSVSSEIGLLLVFSNGKIEIRSLPDLSILKEASLRGFSYTRNLNSSSSIACSSDGEIILVNGEETFFFSTLCQNDIYRHVDSINTIYRKDNSPREESSYVVKSPREKKKGIFGMIMKDTKASKGKQSDANGDEQFTATTSEELSSIFSCANFAPVSEKRNSSIKDDENIELDIDDINIDDNPQKQKGPHFPGLSKQKISKGFQSLREKLKPRTEEKVNSGNRKPEDDTSVSQVDQIKMKYGYATNDDPTSLPKMIGNKLQENIKKLEGINIRAGDMANGAQSFSAMAKELLRNTKNEKATS >ONIVA04G20350.3 pep chromosome:AWHD00000000:4:21047751:21056482:-1 gene:ONIVA04G20350 transcript:ONIVA04G20350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35560) TAIR;Acc:AT4G35560] MFVRKLVEKASKKHRIGGISGLRAEDVSPRLAFHYGVPADAALLAYDPALHVLAVATRNGQIKLFGRDNTQALLQSPSPVPSKFLRFAEGQGVLLNVNIWDIDTKKLCYVHPFEKEITAFSVLQNSFYIYVGDSFGNVSLLKLDLGQTCLVDMPYWIPFSESYGSGANVGNEVEVAFVSPQPLAENNRVLIIFRDGIMSLWDIKTSKVVSLSGKSMQQQSHQEAKAVTSACWACAKGSKIAIGFDSGDIYLWSIPDILNAQNLSSMGNQNLPLQRLNLGYKLDRVSIVSLRWVNSDGKAGRLYVNGFSDHAYLFQIAFLMGFDQIASYFDDGQDYFSSLATKYPWFLSMKDKHQTSTGSADIHKTRNLYVTGHLDGTIRFWDASCPLLLQNLMIKQQNEENSPSGTPITSLQFDMSSSILICGDRSGTVRIITFRKDSSDNIFSFLHAKQGESYNVRCIKLKGAVTSISLISNSKRVAVGTEKGIVSVVSIEDASILYQKQLECRVSGGIASLQFEMYSHNGYDKDLLLVGMEDSTIYILEEETGKLLNTNPVQTNKPSRALLMQTLELSPDDPSVSDTHDTVSKESLLLLCTENAIRLFSLSHAIQGTKNIINKKKPNGSCCFASLIHSVSSEIGLLLVFSNGKIEIRSLPDLSILKEASLRGFSYTRNLNSSSSIACSSDGEIILVNGEETFFFSTLCQNDIYRHVDSINTIYRKDNSPREESSYVVKSPREKKKGIFGMIMKDTKASKGKQSDANGDEQFTATTSEELSSIFSCANFAPVSEKRNSSIKDDENIELDIDDINIDDNPQKQKGPHFPGLSKQKISKGFQSLREKLKPRTEEKVNSGNRKPEDDTSVSQVDQIKMKYGYATNDDPTSLPKMIGNKLQENIKKLEGINIRAGDMANGAQSFSAMAKELLRNTKNEKATS >ONIVA04G20340.1 pep chromosome:AWHD00000000:4:21044287:21047492:1 gene:ONIVA04G20340 transcript:ONIVA04G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKADADAEFKAAGKRKKAGGAGKPKRGLTPFFAFLAEFRPQYMEKHPNTKGVAAVTKAAGEKWRAMSDEEKAQYGGKKPDGESKPAAASKKKESTSSKKAKTDGAEQEGEGSDKSKSDVEDDENDGSGEDE >ONIVA04G20340.2 pep chromosome:AWHD00000000:4:21044287:21049021:1 gene:ONIVA04G20340 transcript:ONIVA04G20340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKADADAEFKAAGKRKKAGGAGKPKRGLTPFFAFLAEFRPQYMEKHPNTKGVAAVTKAAGEKWRAMSDEEKAQYGGKKPDGESKPAAASKKKESTSSKKAKTDGAEQEGEGSDKSKSDVEDDENDGSGEVYRTLYSTWRCLALKWK >ONIVA04G20330.1 pep chromosome:AWHD00000000:4:21041229:21043404:1 gene:ONIVA04G20330 transcript:ONIVA04G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWAWQSQPAHRLLLLFNRDEYHSRCAPCSVPSIPTPYNSHLLTRARRDFLSRPTQPAGWWAAGKAEVKLILGGRDELGGGTWLGCTRDGKLAFLTNVREPGTLVGAKSRGELPVRFLQGNQCPLEYAEEIAKEADQYNGFNLVLADVQSGNMAYISNRPEGDPVVQKVLPGFHVLSNAAIDCPWPKMLRLGQSFNRFLATQDGAEVSLQQMVEELMMDPVKADKSAVPDTGVDPDWEYQLSSIFIDTEKGQARYGTRSMTALAVKFNGEVTFYERYLESNLWKENLMQFELEMSQWEDLRGTSNISPKSC >ONIVA04G20320.1 pep chromosome:AWHD00000000:4:21030838:21033170:-1 gene:ONIVA04G20320 transcript:ONIVA04G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAAVSTLSALAVFASTLDHGAVRSVHGYKVYGRGGRRRWERWVEREFVLTPASCREVPAPVAPPRILPAEWRGRPAYREGQVVAAGAWRCILAFDSAAAPPRTPPPVLSPFLNPRLMCVPSLYNDLEKVFRFQNVEKIPKLMQCDSEEKLSSWDARDKSSDEVHASESDSDDDLQSGEEEKPTVQKQRRANKKHIASITLVDIAQYFHLPIREASRTLKIGVSILKRKCRQYNIPRWPHRKIKSLDSLIQDLEYVIDDGDDHDDTGDDVQQEKHKQTAEEKQEAIMALTRRKQMLETEKETIQQIPAMDLKVETKQFREDVFKRRYRAKKDLAND >ONIVA04G20310.1 pep chromosome:AWHD00000000:4:21020215:21023019:-1 gene:ONIVA04G20310 transcript:ONIVA04G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSEEAEAAATVRAPAQGHHGRRQDSDQISNLAAEAAAPANRRSPIMAPPKALASMSSAAGHHSGMRSSSSMSSITTRSSSSSSNLPLGAAGGADVGVAGALYPEPEGRILEVPNLRIFTFAELRAATRNFKPDSVLGEGGFGRVYKGWVDERTMSPARSGTGMVIAVKKLNPESVQGLQEWQSEINFLGRLSHPNLVRLIGYCVEDRELLLVYEFMAKGSLENHLFRKGSAYQPISWNLRLRIAIGAARGLAFLHSSERQIIYRDFKASNILLDTHYNAKLSDFGLAKNGPTAGESHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGMRALDTGRPAPQHSLVEWAKPYLADRRKLARLVDPRLEGQYPSRAAQQAAQLTLRCLSGDPRSRPSMAEVVQALVEIERIRSRPKAASSREDASPRVPTRRGGHGHHHHHHSSRPRSGSDGARSGYPSPRVR >ONIVA04G20300.1 pep chromosome:AWHD00000000:4:20983665:20999785:-1 gene:ONIVA04G20300 transcript:ONIVA04G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLADICLKPLGTECATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVLLGLSGVVLVMLSVLGSMGFFSAIGVKSTLIIMEVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCVPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNEIAKQSLSPETSYIAKPAASWLDDFLIWMSPEALHAVNMIKTQAHTCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQMQMFPYSVFYIFFEQYLGVWKTAIMNICGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILILRFIGKRVVH >ONIVA04G20300.2 pep chromosome:AWHD00000000:4:20983665:20999785:-1 gene:ONIVA04G20300 transcript:ONIVA04G20300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLADICLKPLGTECATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVLLGLSGVVLVMLSVLGSMGFFSAIGVKSTLIIMEVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCVPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNEIAKQSLSPETSYIAKPAASWLDDFLIWMSPEALHAVNMIKTQAHTCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQMQMFPYSVFYIFFEQYLGVWKTAIMNICGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILILRFIGKRVVH >ONIVA04G20300.3 pep chromosome:AWHD00000000:4:20983665:20999785:-1 gene:ONIVA04G20300 transcript:ONIVA04G20300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLADICLKPLGTECATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVLLGLSGVVLVMLSVLGSMGFFSAIGVKSTLIIMEVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCVPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNETCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQMQMFPYSVFYIFFEQYLGVWKTAIMNICGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILILRFIGKRVVH >ONIVA04G20300.4 pep chromosome:AWHD00000000:4:20983665:20999785:-1 gene:ONIVA04G20300 transcript:ONIVA04G20300.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCVPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNEIAKQSLSPETSYIAKPAASWLDDFLIWMSPEALHAVNMIKTQAHTCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQMQMFPYSVFYIFFEQYLGVWKTAIMNICGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILILRFIGKRVVH >ONIVA04G20300.5 pep chromosome:AWHD00000000:4:20983665:20999785:-1 gene:ONIVA04G20300 transcript:ONIVA04G20300.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAAFVALIVLDFRRAQDGRIDCVPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNEIAKQSLSPETSYIAKPAASWLDDFLIWMSPEALHAVNMIKTQAHTCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQMQMFPYSVFYIFFEQYLGVWKTAIMNICGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILILRFIGKRVVH >ONIVA04G20300.6 pep chromosome:AWHD00000000:4:20983665:20999785:-1 gene:ONIVA04G20300 transcript:ONIVA04G20300.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLADICLKPLGTECATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAAFVALIVLDFRRAQDGRIDCVPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNETCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQMQMFPYSVFYIFFEQYLGVWKTAIMNICGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILILRFIGKRVVH >ONIVA04G20300.7 pep chromosome:AWHD00000000:4:20983665:20999785:-1 gene:ONIVA04G20300 transcript:ONIVA04G20300.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLADICLKPLGTECATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAAFVALIVLDFRRAQDGRIDCVPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNETCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQMQMFPYSVFYIFFEQYLGVWKTAIMNICGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILILRFIGKRVVH >ONIVA04G20300.8 pep chromosome:AWHD00000000:4:20983665:20999785:-1 gene:ONIVA04G20300 transcript:ONIVA04G20300.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAAFVALIVLDFRRAQDGRIDCVPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNETCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQMQMFPYSVFYIFFEQYLGVWKTAIMNICGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILILRFIGKRVVH >ONIVA04G20300.9 pep chromosome:AWHD00000000:4:20983665:20999785:-1 gene:ONIVA04G20300 transcript:ONIVA04G20300.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAAFVALIVLDFRRAQDGRIDCVPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNETCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQMQMFPYSVFYIFFEQYLGVWKTAIMNICGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILILRFIGKRVVH >ONIVA04G20290.1 pep chromosome:AWHD00000000:4:20978008:20980427:-1 gene:ONIVA04G20290 transcript:ONIVA04G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASENRRLAAVGKPVPGIGEMGNRRPLRDINNLVGAPPHPSAIAKKPMLEKSGKEEQKPALVVSHRPMTRNFAASLTRKEQLDHQVSVADAAVVCTDPQKNPIPDGTVDDDVESCESNDYIAVDECNDTDEDESMMDIDSADSGNPLAATEYVEELYKFYRENEEMSCVQPDYMSSQGDINEKMRAILIDWLIEVHHKFELMDETLFLTVNIVDRFLEKQVVPRKKLQLVGVTAMLLACKYEEVAVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAAQSDKQLQLLSFFILELSLVEYQMLKYRPSLLAAAAVYTAQCALTRCQQWTKTCELHSRYTGEQLLECSRMMVDFHQKAGAGKLTGVHRKYSTFKFGCAAKTEPALFLLESGAGGYNLQKHLQQAC >ONIVA04G20280.1 pep chromosome:AWHD00000000:4:20977328:20978251:1 gene:ONIVA04G20280 transcript:ONIVA04G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLARRLPPRCEVAEEEEEREAPRREPGGDELGEKKWSHTDSFCGTHQQSPSASEGCNLLLLTQARREQAPFWLHTQT >ONIVA04G20270.1 pep chromosome:AWHD00000000:4:20974542:20977242:-1 gene:ONIVA04G20270 transcript:ONIVA04G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEHPAPASAVSAEEAATDAPPPMSLLMFLLDGEGGAVEVGRFGLSHPPSPLLPHRAHASAIGTDEAEDANPGKEAAVYLLLLEGADVRGIARLPSLEEGRPAGGAVGDDAAERGGETWEKAEAEIGEEEGAMSREDEMLETSEEKEEEEISGSSDETEEEEGHGHKYYSVQIRMWPGIPRVVLSVFGDGCWEFTKGYRVKWLQQNEKEGVLKTIAIAPEIDDDRTQEFSDDLKKLICAKISANDYRVIKLLENFDDMDAINNKEPREVKIMSCLKAPCVVSFYQAWITDDDPYFSENLSCSTENDQSCSTEDDLSSSSEDKYVIILMEHCLRTLRNDLRFGPREINTEESWMLFEEITRAVQCIHHDGIVHRDLKPSNIFFGSNGLVKIADFGHACWATNKIDELKGTPDRGTPMYSAPELKEGQHVTEKQRDVLTNLRKGIHPADWKWSGDSVLLKKLTALIPSNRPSTDEILVNTLI >ONIVA04G20260.1 pep chromosome:AWHD00000000:4:20972661:20973831:1 gene:ONIVA04G20260 transcript:ONIVA04G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWFYQKAVLSRSPSEHADHAVMIIHRDMDWVSFVRPGGSNWQVASTLDVNGKDRYADCVYHNGGILHCDSSGDSGEMDLEGPNGPTKEVIVSKMQYLPGLLTRHLVSTPWGDLLQVRAISRGQVKNGTRLQVREVHPDGSKKMFNFWGTLVSQIPLKLEHTPARTYSPQKAQLGHQLDERLWAIYF >ONIVA04G20250.1 pep chromosome:AWHD00000000:4:20965641:20968580:1 gene:ONIVA04G20250 transcript:ONIVA04G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAHAAAATVAAATSEGNHLVDVSTTTTIPAPSTNGMATSPAYPPPGSGEGFSKPPATDPCSSGHWSDLPVDLLHRILHSLELPEALAVADVCKSWCCAAIAAGVPRSCTPWLMSWSDKPPHELEYDVLLNNCKWQRPMGCEFHDLVNGHKTYEVNLPQVAWVGASHGWLAAADNLSNLLLYNPFTFTTIPLPPITDLGCVEAVYDSEGSIVRYRLGKHKESDAKFLGVWFYQKLVLSCAPSLGGDYVAMIIHSSANRVSFASAIEGRWRLASTITQGSGDRYADCVYHKGRFYTLTMYGVLEMLDLDNPCEPRKEIFFTERNTENPLVFLRFLVSTPWGDLLQIKFSRRVHRTKRLELRLCKIEVENNRLVRLRSAVAAAALREHAIFVGQNHSVCLPIRDFPELRSSCVYFTTPCLCNDDHFPSRREGWKGVGIYDLQNQIFEDVFPSCERGYSTYLPISEVWITPSPGL >ONIVA04G20250.2 pep chromosome:AWHD00000000:4:20969226:20972650:1 gene:ONIVA04G20250 transcript:ONIVA04G20250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTGRATWSDLPTDILVILQRLELPQALVFAAVCTSWRSAAAIASVPCSSTPLLMSWAHLLEQREAHQVKVGSAMTCSFHHLLDAHKSYDVSFPHGCFVACCGASHGWLILVNELANLVLCNPFTSRAMPLPPITDFARVEGGCENVSFRWRPGL >ONIVA04G20250.3 pep chromosome:AWHD00000000:4:20968224:20970859:1 gene:ONIVA04G20250 transcript:ONIVA04G20250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTKVSRRGNRQRADAGTDGVTREQPDRAASRSAACDYDEPRWRWTTTSSRGVGWARWWRGAASAPVWTRN >ONIVA04G20250.4 pep chromosome:AWHD00000000:4:20965641:20967884:1 gene:ONIVA04G20250 transcript:ONIVA04G20250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAHAAAATVAAATSEGNHLVDVSTTTTIPAPSTNGMATSPAYPPPGSGEGFSKPPATAAALREHAIFVGQNHSVCLPIRDFPELRSSCVYFTTPCLCNDDHFPSRREGWKGVGIYDLQNQIFEDVFPSCERGYSTYLPISEVWITPSPGL >ONIVA04G20250.5 pep chromosome:AWHD00000000:4:20968224:20970090:1 gene:ONIVA04G20250 transcript:ONIVA04G20250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTKVSHRTPWAPPLPRFCRAAVLEASARRRQARREEDGGVDGGEDSGGDGGRRAVTGGGGRRQLGKDKGGAEGPRALAACRPRCRLRARFPLTARHLRRRPHAGSLLTALPYSSPVTASPSSAAHRRSPATRRLGRRPRSHPAPWLRVNQRWSQQHAAKALSSGRQRRRGR >ONIVA04G20250.6 pep chromosome:AWHD00000000:4:20970413:20972650:1 gene:ONIVA04G20250 transcript:ONIVA04G20250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRWTTTSSRGVGWARWWRGAASAPVWSDLPTDILVILQRLELPQALVFAAVCTSWRSAAAIASVPCSSTPLLMSWAHLLEQREAHQVKVGSAMTCSFHHLLDAHKSYDVSFPHGCFVACCGASHGWLILVNELANLVLCNPFTSRAMPLPPITDFARVEGGCENVSFRWRPGL >ONIVA04G20240.1 pep chromosome:AWHD00000000:4:20964992:20965297:-1 gene:ONIVA04G20240 transcript:ONIVA04G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPQWGSMAEARGGRQAPPKYKSGVGIGLAVVARKEECGKDSDAMRALEDQCHDGGEVRGGRRRRRLVGGGEEGGTCWRRCQEPERQETGTGATAAAR >ONIVA04G20230.1 pep chromosome:AWHD00000000:4:20957407:20963391:-1 gene:ONIVA04G20230 transcript:ONIVA04G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNLRLLMPLLAQYTTPTWATLVAGFFMLLSLSLSIYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLINPNTSVYCGILRDGYEAFAMYCFGRYITACLGGEDKTIAFLKREGGSGSRQPLLDHASEKGIIHHHFPVNFILKPWRLGMRFYLIIKFGIFQYVIIKTVTASLSLFLEAFGVYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYSLGLLRSPLAQSLELKSSIQDFIICIEMGIASIVHLYVFPAKPYELQANQSPGNVSVLGDYVSSDPVDPFEIKESNRPAKLKLPQLEPDERSTTNIKESVRDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNKKSQDDNWVSAVSPDRPVRGIDDPLLGGSTSDSGFTKGKKHRRAVSTVAAADSWGGGDLASDGYEIRGRRWASESAMGLAGSSPLVAWKLVSWSW >ONIVA04G20230.2 pep chromosome:AWHD00000000:4:20957405:20963391:-1 gene:ONIVA04G20230 transcript:ONIVA04G20230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNLRLLMPLLAQYTTPTWATLVAGFFMLLSLSLSIYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLINPNTSVYCGILRDGYEAFAMYCFGRYITACLGGEDKTIAFLKREGGSGSRQPLLDHASEKGIIHHHFPVNFILKPWRLGMRFYLIIKFGIFQYVIIKTVTASLSLFLEAFGVYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYSLGLLRSPLAQSLELKSSIQDFIICIEMGIASIVHLYVFPAKPYELQANQSPGNVSVLGDYVSSDPVDPFEIKESNRPAKLKLPQLEPDERSTTNIKESVRDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNKKSQDDNWVSAVSPDRPVRGIDDPLLGGSTSDSGFTKGKKHRRAVSTVAAADSWGGGDLASDGYEIRGRRWASESAMGLAGSSPLVAWKLVSWSW >ONIVA04G20230.3 pep chromosome:AWHD00000000:4:20957407:20963391:-1 gene:ONIVA04G20230 transcript:ONIVA04G20230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNLRLLMPLLAQYTTPTWATLVAGFFMLLSLSLSIYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLINPNTSVYCGILRDGYEAFAMYCFGRYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYSLGLLRSPLAQSLELKSSIQDFIICIEMGIASIVHLYVFPAKPYELQANQSPGNVSVLGDYVSSDPVDPFEIKESNRPAKLKLPQLEPDERSTTNIKESVRDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNKKSQDDNWVSAVSPDRPVRGIDDPLLGGSTSDSGFTKGKKHRRAVSTVAAADSWGGGDLASDGYEIRGRRWASESAMGLAGSSPLVAWKLVSWSW >ONIVA04G20230.4 pep chromosome:AWHD00000000:4:20957405:20963391:-1 gene:ONIVA04G20230 transcript:ONIVA04G20230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNLRLLMPLLAQYTTPTWATLVAGFFMLLSLSLSIYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLINPNTSVYCGILRDGYEAFAMYCFGRYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYSLGLLRSPLAQSLELKSSIQDFIICIEMGIASIVHLYVFPAKPYELQANQSPGNVSVLGDYVSSDPVDPFEIKESNRPAKLKLPQLEPDERSTTNIKESVRDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNKKSQDDNWVSAVSPDRPVRGIDDPLLGGSTSDSGFTKGKKHRRAVSTVAAADSWGGGDLASDGYEIRGRRWASESAMGLAGSSPLVAWKLVSWSW >ONIVA04G20220.1 pep chromosome:AWHD00000000:4:20949882:20953191:-1 gene:ONIVA04G20220 transcript:ONIVA04G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRRHQHHGRWVVPAVAPAAAAFTAAGLLLVVVAFHCFLSPPLGGGGGGGGGHRVVRRPNPPFLLNKPSELRRNVVGTVDFAVPSGGSKLGEELWASKLASNFFGCSNATKAFADAKAVTEPNRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPNLDQKSFWKDASDFADIFDVESFISSLSNDVKIIRQVPDRNGKTPYPYKMRIPRKCTAKCYENRVLPALLKKHVVQLTKFDYRVSNRLETNLQKLRCRVNYHALQFTDPILKMGEMLVQRMRAKSGRFIALHLRFEPDMLAFSGCYFGGGEIERRELGAIRKRWKTLHASNPDRERRHGKCPLTPEEVGLMLRALGFGKDVHIYVASGEVYGGEETLAPLKALFPNFHSKETLASKEELAPFLSFSSRMAALDYIVCDKSDVFVTNNNGNMARMLAGRRRYFGHKRTIRPNAKKLYSLFLNRTSMSWETFSSKVQTLQKGFMGEPNEIKPGRGEFHEHPMDCICAKTKGRSGHSKPRPSNRIGEAMEKRANDGEFDWRDLDYGENTPLGRDSSNETDSDMHELEDMKSVWASYREHR >ONIVA04G20210.1 pep chromosome:AWHD00000000:4:20945636:20945951:-1 gene:ONIVA04G20210 transcript:ONIVA04G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLLLTVLAPGPGVVRAHRSRHIVPGVRERTYTLGLRVRGADGGEALLSPLGTRLDGPGGA >ONIVA04G20200.1 pep chromosome:AWHD00000000:4:20938805:20943889:1 gene:ONIVA04G20200 transcript:ONIVA04G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLEDQEAVALCRGRAELLAAAVRHRYALADAHGALADSLHSMAAPLHRLLLLQLQASSPQLTLPTARKGGRPRTAAAAATLSLPHGRSAHLDDLGSPSGSETASPADSPLRAFPEQQLPYPHYAYGYGTGPAFAYPPPPASSLQFYYARSRPPPPSVGVAQRAPVSTERVYYGSFDPTSGYPQYYANGGVPATAAPQRMAAPAPPRSPPRESSWAFLNVFANYEPYDNYYYDSTAAAASAAAYTPSRSSREVREEEGIPELEEDEDDCVFKEVASGGYSAGSGGHRSRRSSIGSLSSVAEQENAVIDNDVVASTSEIYRRPLAHRNVAMRAPAQAAQRVAGNGGNVDVAGEIKAQLVRAAEATRELAPLLEVGKPSYQEHSHASSRLMSSIPVPNLGCKGVDLVDIRGGGVMVDSKSLSLTLEKLYFWERKLYGEVKFYAIMTCCRLVQKKFGPGWRQAEEKMRLLLAKNSKRLKLLDQRGAEAHKIDATRNLLRKLSTKIKIAVRVIAKVSRKINKVRDEELGPQVNALIQGFIKMWQYKLHSYHTQFQVISEAKNLVSVVSRENGPDLAMELELELIKWIINFSSWVNAHRNFVRALNGWLALCLNYETGETTYGEPPYSPGRIGAPLVFIICNRWSQAMDQISEKDVVNAMKALVSSVQHLGEQQNQEEGEERILAIRERERWMKMLEKKTLEVKREADELNKKLALVLRRQSLHQRPTMQTYEAHCVEASSVHINLRLVLQALENFAANSLQAFQEILRQSDS >ONIVA04G20190.1 pep chromosome:AWHD00000000:4:20928495:20937979:1 gene:ONIVA04G20190 transcript:ONIVA04G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHESNADSFWLTGCVEILPIAMAMAILPLSRTLLTSAAMAILPLARTLLTSAMAILPLARTSLTSQRGWRLGAVQPESVHQPRCDGMTMPLAV >ONIVA04G20180.1 pep chromosome:AWHD00000000:4:20924223:20925278:1 gene:ONIVA04G20180 transcript:ONIVA04G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRRTISFPAPRPAAFAIGATARDKLAAAYHVRSASLPCRFHPLVVQLDDDVATLRLVIGQSPPAAPSASSVSAAASQVGRVLVSLSELLHHPQAQEPLRRLGRSTFAERLLDDFLRLADAHGSFREALVGLSALQAETRAALRRGDPARLASAARAQRRAGRDLPRLAAAARAVVSKSPAQLPEDLPADTAAIAAAVADATIAVASGSAAVFSGLSSLSNSAAAARVEVASTPCWVTAPARLTASSDEPSTSHHRIWWVADLVRWMSRAKRRSAKKQNDGGGDDGESSTVQLRSESRMKPEEKARRAAFERHENLERCIASVDSSGEKVFRALVNTRVSMLNILSPSF >ONIVA04G20170.1 pep chromosome:AWHD00000000:4:20906330:20908256:-1 gene:ONIVA04G20170 transcript:ONIVA04G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYS/HIS transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G35180) TAIR;Acc:AT4G35180] MSSEVTSVPPPPVSAPPSQKQSPAAPASSRASPLRGMGTPNIASPVRKAVASVSGYLGEVGQMTRLADPRDAWLPITESRSGNAYYAAFHSLSSGIGFQALVLPVAFSLLGWTWAIICLTVAFAWQLYTLWLLVKLHEPVAGGTRYSRYMYLATTVFGEKWGKILALLPVMYLSAGTCTALIIVGGGSMKLLFNIACGEVCLARPLTTVEWYLVFVCVAALLSQLPNLNSIAGVSLVGATAAVAYCTMIWVVSVAKGRVAGVSYDPVRATDEEDGAIGILNGLGIIAFAFRGHNLVLEIQVKSLSHSFRLHSATDNDGKDKVTDECMFAINSQATMPSTLKHPSHVPMWKGVKAAYVIIALCLYPVAVGGFWAYGDQIPPNGILSALYKFHSQDVSRVVLGTATLLVIVNCLTTYQIYAMPVFDNMETGYVHKKNRPCPWWMRAGFRALFGAINLLIAVALPFLSELAGLLGGISLPVTLAYPCFMWVAIMRPAKGTAMWYTNWGLGSLGMGLSFVLIVGNLWGLVEKGLHVKFFKPADFQ >ONIVA04G20160.1 pep chromosome:AWHD00000000:4:20899564:20907570:1 gene:ONIVA04G20160 transcript:ONIVA04G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4A6] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLDPTLQRQIHEAKWYDAEPEYRTPLIYAKLKAFVIKKRQANSKSGSLNSAMSRSKIDQQNPQSTTALLLQQTMDLYPPGTSTSCMPPFYFRDFTCSYCRLIQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSWWKFAIGIVLLVALILILVVLLPLLIFHAYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCC >ONIVA04G20160.10 pep chromosome:AWHD00000000:4:20899564:20907570:1 gene:ONIVA04G20160 transcript:ONIVA04G20160.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4A6] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLDPTLQRQIHEAKCHFFSRAGQFQKWKLKLCHESQQNRSAKSTIHYSFVTTADDGSISSWDIHQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSWWKFAIGIVLLVALILILVVLLPLLIFHAYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCC >ONIVA04G20160.11 pep chromosome:AWHD00000000:4:20899564:20907570:1 gene:ONIVA04G20160 transcript:ONIVA04G20160.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4A6] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLDPTLQRQIHEAKCHFFSRAGQFQKWKLKLCHESQQNRSAKSTIHYSFVTTADDGSISSWDIHQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSCYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCC >ONIVA04G20160.2 pep chromosome:AWHD00000000:4:20899564:20907570:1 gene:ONIVA04G20160 transcript:ONIVA04G20160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4A6] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLDPTLQRQIHEAKWYDAEPEYRTPLIYAKLKAFVIKKRQANSKSGSLNSAMSRSKIDQQNPQSTTALLLQQTMDLYPPGTSTRDFTCSYCRLIQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSWWKFAIGIVLLVALILILVVLLPLLIFHAYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCYSEMVRTLISVQDDG >ONIVA04G20160.3 pep chromosome:AWHD00000000:4:20899564:20907570:1 gene:ONIVA04G20160 transcript:ONIVA04G20160.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4A6] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLDPTLQRQIHEAKCHFFSRAGQFQKWKLKLCHESQQNRSAKSTIHYSFVTTADDGSISSWDIHQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSWWKFAIGIVLLVALILILVVLLPLLIFHAYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCC >ONIVA04G20160.4 pep chromosome:AWHD00000000:4:20899564:20907570:1 gene:ONIVA04G20160 transcript:ONIVA04G20160.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4A6] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLDPTLQRQIHEAKCHFFSRAGQFQKWKLKLCHESQQNRSAKSTIHYSFVTTADDGSISSWDIHQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSWWKFAIGIVLLVALILILVVLLPLLIFHAYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCYSEMVRTLISVQDDG >ONIVA04G20160.5 pep chromosome:AWHD00000000:4:20899564:20907570:1 gene:ONIVA04G20160 transcript:ONIVA04G20160.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4A6] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLDPTLQRQIHEAKWYDAEPEYRTPLIYAKLKAFVIKKRQANSKSGSLNSAMSRSKIDQQNPQSTTALLLQQTMDLYPPGTSTRDFTCSYCRLIQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSCYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCYSEMVRTLISVQDDG >ONIVA04G20160.6 pep chromosome:AWHD00000000:4:20899564:20907570:1 gene:ONIVA04G20160 transcript:ONIVA04G20160.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4A6] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLDPTLQRQIHEAKCHFFSRAGQFQKWKLKLCHESQQNRSAKSTIHYSFVTTADDGSISSWDIHQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSCYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCYSEMVRTLISVQDDG >ONIVA04G20160.7 pep chromosome:AWHD00000000:4:20899564:20905905:1 gene:ONIVA04G20160 transcript:ONIVA04G20160.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4A6] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLDPTLQRQIHEAKCHFFSRAGQFQKWKLKLCHESQQNRSAKSTIHYSFVTTADDGSISSWDIHQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSWWKFAIGIVLLVALILILVVLLPLLIFHAYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCLGEKWARRWTAVSCVMWQRGQTC >ONIVA04G20160.8 pep chromosome:AWHD00000000:4:20899564:20907570:1 gene:ONIVA04G20160 transcript:ONIVA04G20160.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4A6] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLDPTLQRQIHEAKWYDAEPEYRTPLIYAKLKAFVIKKRQANSKSGSLNSAMSRSKIDQQNPQSTTALLLQQTMDLYPPGTSTSCMPPFYFRDFTCSYCRLIQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSWWKFAIGIVLLVALILILVVLLPLLIFHAYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCC >ONIVA04G20160.9 pep chromosome:AWHD00000000:4:20899564:20907570:1 gene:ONIVA04G20160 transcript:ONIVA04G20160.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H4A6] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVVVLLLHALFIGAVFLLDPTLQRQIHEAKWYDAEPEYRTPLIYAKLKAFVIKKRQANSKSGSLNSAMSRSKIDQQNPQSTTALLLQQTMDLYPPGTSTRDFTCSYCRLIQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSWWKFAIGIVLLVALILILVVLLPLLIFHAYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCC >ONIVA04G20150.1 pep chromosome:AWHD00000000:4:20895734:20898653:-1 gene:ONIVA04G20150 transcript:ONIVA04G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSMGALAAVCVCMFVFVSSARAEDPYRFFDWEVTTGNINPLGVQQQGILINGQFPGPEIDCQTNDNLIVNVHNRLSEPFLLSWQHRKNSWKDGVSGTNCPIPPGQNFTYQMQAKDQIGSFFYFPSLAFHKAAGGFGAIRIRSRPLIPVPFDPPAGEYTMLIGDWYKTSHKAWHCSYTNFINSRWILALQAMLDSGKQLPSPDGILINGKGPNGASFTVEQGKTYRLRVSNVGLQSTLNLRIQDHNMTLVEVEGTHTVQNTYSSLYVHAGQSLSVLFTANRPPGVYQITVSTRFAKRALNSSAVLRYAGSSATISEPPPPAGLADDIDFSLDQARSIRTNLTASGPRPNPQGSYHYGSINVTRTIRLANSAGRVAGKQRYAVNGVSFVEADTPLKLADYYRISDVFRLGGIPDAPPAGAAAAPRSEAAVMDSDYRSFLEIVFENSEDSVQIWHLDGYSLFVVGMDRGVWSEQSRKSYNLVDAVSRCTVQVYPRAWTAVLVALDNVGMWNLRSEDWARRYQGQQFYLRVYTPSHSFRDELPIPSNALRCGRATNASGRSRTLSRY >ONIVA04G20140.1 pep chromosome:AWHD00000000:4:20892066:20893531:-1 gene:ONIVA04G20140 transcript:ONIVA04G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGAFALVAALCLLELARRGLAEEPAVPAMFVFGDSTVDVGNNNFLANCKANCKANYPRYGVDYPFQSPTGRFSNGYNLADQLAQKLGFDKSPPPYLSLPDVTIISQMSKGINFASGGSGLIDSTGWKVCTEVFNMSAQVQSFTSAVQKMGNGTADLISRSLIFINTGSNDLFEYTDFPSNTTRNDTEFLQSLVASYKGHLKDLYGAGARKFSVVSPSLVGCCPSQRAVAHDTNDLDFHGCSRAANGLSRQLYPMLGSMLRGLAADLPSMHYSLGDSVGMAELVLNGTVLPGANFTVLDRPCCGGGVGGCNGTAPLCLDRGSYLFWDNFHPTAAASNVFARELFFDPGAFVHPMNVHELAELRP >ONIVA04G20130.1 pep chromosome:AWHD00000000:4:20886034:20891015:-1 gene:ONIVA04G20130 transcript:ONIVA04G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G20410) TAIR;Acc:AT1G20410] MAAAAEVDAEARSILERAAASSFPPLHAIHHLLSVGVCVRCIFRFFGAFSYAAPCLSLTASVLHSFLEEHDDFAKSGSCSCLSKDEAYCSICFGVLLPTCYQDDGVEPLRSVSPIDNVTSIISEAVQREGHQVDEFSLEISLPAVIAANDRAIRLYMKEKYGSANWFDEKIFSQQTMSVKEALRILLVPSVEKQMNVKHGNNSFRIRLTYTHDEGSQKLLRLLPNDHGRKRKTESRDGSSKRGSTDDDKQILSESDAFINKTLEGIQDQDFRSLFQLPPEKVLEPCHLVISCQRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICKGDGYKFHAAGREDIDVRMLGSGRPFLVEVLNVRSIPSATEVQQIADKINDSEKKHVRVRNLKLVGSEIWTMMREGEAEKQKQYAALIWTSRPLTDDDLHNISLIKDMAGTYIKEFVHGDLGRTHPSVGVMLSCRAEILQLDVTDNPENEKPKAGNPAFFNSEKLATNHLPLRLGSQNPKSFPNSPYTATLQACRCENSDYDA >ONIVA04G20130.2 pep chromosome:AWHD00000000:4:20886763:20891015:-1 gene:ONIVA04G20130 transcript:ONIVA04G20130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G20410) TAIR;Acc:AT1G20410] MAAAAEVDAEARSILERAAASSFPPLHAIHHLLSVGVCVRCIFRFFGAFSYAAPCLSLTASVLHSFLEEHDDFAKSGSCSCLSKDEAYCSICFGVLLPTCYQDDGVEPLRSVSPIDNVTSIISEAVQREGHQVDEFSLEISLPAVIAANDRAIRLYMKEKYGSANWFDEKIFSQQTMSVKEALRILLVPSVEKQMNVKHGNNSFRIRLTYTHDEGSQKLLRLLPNDHGRKRKTESRDGSSKRGSTDDDKQILSESDAFINKTLEGIQDQDFRSLFQLPPEKVLEPCHLVISCQRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICKGDGYKFHAAGREDIDVRMLGSGRPFLVEVLNVRSIPSATEVQQIADKINDSEKKHVRVRNLKLVGSEIWTMMREGEAEKQKQYAALIWTSRPLTDDDLHNISLIKDMAGTYIKEFVHGDLGRTHPSVGVMLSCRAEILQLDVTDVKMDFLQ >ONIVA04G20120.1 pep chromosome:AWHD00000000:4:20883023:20888285:1 gene:ONIVA04G20120 transcript:ONIVA04G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARERRSVAEADRRDRVERAKEEEYWREAEGPKSRAARRREEDAEKRAEAAARKAENRRLAEAEAASASASAPSKTAARKASRVGAPAPKVTEAELARRREDERLRLEREAEAAKKRAARTAEEEEYERVVLVANTNRDDSIIEARSVDEAIARMSLVDSEGALPADKHPERRLKASFKAFEEAELPKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQNMGRQQPRKEGPRAGMCGEGKVLQSDDAFLLNCRISKTSKWVRTSSDAHGLNVWEMISFSANNLQSDAVYIM >ONIVA04G20110.1 pep chromosome:AWHD00000000:4:20877085:20882950:1 gene:ONIVA04G20110 transcript:ONIVA04G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPAADKPLPALRYPPARRDDDIVDDYHGVTVPDPYRWMEELESEEVKGFVDAQAAVAEAVLSTCDDHRVRLRGQLTALFDHPRYRAPFKRAGSYFYLHNPGLQPHSALYVQHGLGGGEEPDVLLDPNTFSDDATVSLAMFGVSHEGEHLAYGTSASGSDWVTIRVMRVRDRRHLHDEICWVKFSAIAWTRDGKGFFYSRFPAPKNDGAPLGAGIKTSVNLNHEVYYHFLGTDQSEDLLCWEDPDHPKYIYTPEVSEDGKYVILSVAETSEPVNKLYYCDLSALPDGLEGMKGNHGNAMLPFVKLVDEFEAYYALIANDDTQFTFLTNKNAPKYKLSRIDVNEPHSWMDILPEDEKAVLESACAVHGDKLLVNYLSDVKYVLQMRSLVTGELLHDIPIDIGSVNGISGRRDNSEVFIEFASFLTPGIIYRCDVSKETPEMNIYREISVGGFDRTDFEAKQVFYPSKDGTKIPMFIVSKKSIVLDGSHPTLLYGYGGFGMNMTPHFSVTRIVLMRNLGFVSCIANIRGGGEYGEDWHKAGSLANKQNCFDDFIAAGEFLVSAGYTNSSRLCVEGASNGGLLVAACINQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSEKEEEFHWLIKYSPLHNVRRPWEKGHRRQQYPSTMLLTADHDDRVVPSHTLKFLATMQHVLCTSVKESPQTNPIVARIDRKSGHGCGRSTQKIIDEAADRYAFAAKTMGISWID >ONIVA04G20110.2 pep chromosome:AWHD00000000:4:20876760:20882950:1 gene:ONIVA04G20110 transcript:ONIVA04G20110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPEGGNNKTSNPNKALTPDFSLSRGLRAMGSLPAADKPLPALRYPPARRDDDIVDDYHGVTVPDPYRWMEELESEEVKGFVDAQAAVAEAVLSTCDDHRVRLRGQLTALFDHPRYRAPFKRAGSYFYLHNPGLQPHSALYVQHGLGGGEEPDVLLDPNTFSDDATVSLAMFGVSHEGEHLAYGTSASGSDWVTIRVMRVRDRRHLHDEICWVKFSAIAWTRDGKGFFYSRFPAPKNDGAPLGAGIKTSVNLNHEVYYHFLGTDQSEDLLCWEDPDHPKYIYTPEVSEDGKYVILSVAETSEPVNKLYYCDLSALPDGLEGMKGNHGNAMLPFVKLVDEFEAYYALIANDDTQFTFLTNKNAPKYKLSRIDVNEPHSWMDILPEDEKAVLESACAVHGDKLLVNYLSDVKYVLQMRSLVTGELLHDIPIDIGSVNGISGRRDNSEVFIEFASFLTPGIIYRCDVSKETPEMNIYREISVGGFDRTDFEAKQVFYPSKDGTKIPMFIVSKKSIVLDGSHPTLLYGYGGFGMNMTPHFSVTRIVLMRNLGFVSCIANIRGGGEYGEDWHKAGSLANKQNCFDDFIAAGEFLVSAGYTNSSRLCVEGASNGGLLVAACINQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSEKEEEFHWLIKYSPLHNVRRPWEKGHRRQQYPSTMLLTADHDDRVVPSHTLKFLATMQHVLCTSVKESPQTNPIVARIDRKSGHGCGRSTQKIIDEAADRYAFAAKTMGISWID >ONIVA04G20110.3 pep chromosome:AWHD00000000:4:20877244:20882950:1 gene:ONIVA04G20110 transcript:ONIVA04G20110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPAADKPLPALRYPPARRDDDIVDDYHGVTVPDPYRWMEELESEEVKGFVDAQAAVAEAVLSTCDDHRVRLRGQLTALFDHPRYRAPFKRAGSYFYLHNPGLQPHSALYVQHGLGGGEEPDVLLDPNTFSDDATVSLAMFGVSHEGEHLAYGTSASGSDWVTIRVMRVRDRRHLHDEICWVKFSAIAWTRDGKGFFYSRFPAPKNDGAPLGAGIKTSVNLNHEVYYHFLGTDQSEDLLCWEDPDHPKYIYTPEVSEDGKYVILSVAETSEPVNKLYYCDLSALPDGLEGMKGNHGNAMLPFVKLVDEFEAYYALIANDDTQFTFLTNKNAPKYKLSRIDVNEPHSWMDILPEDEKAVLESACAVHGDKLLVNYLSDVKYVLQMRSLVTGELLHDIPIDIGSVNGISGRRDNSEVFIEFASFLTPGIIYRCDVSKETPEMNIYREISVGGFDRTDFEAKQVFYPSKDGTKIPMFIVSKKSIVLDGSHPTLLYGYGGFGMNMTPHFSVTRIVLMRNLGFVSCIANIRGGGEYGEDWHKAGSLANKQNCFDDFIAAGEFLVSAGYTNSSRLCVEGASNGGLLVAACINQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSEKEEEFHWLIKYSPLHNVRRPWEKGHRRQQYPSTMLLTADHDDRVVPSHTLKFLATMQHVLCTSVKESPQTNPIVARIDRKSGHGCGRSTQKIIDEAADRYAFAAKTMGISWID >ONIVA04G20100.1 pep chromosome:AWHD00000000:4:20872622:20872807:-1 gene:ONIVA04G20100 transcript:ONIVA04G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATSSALLGINYAASATTISNERARVARTRQVDVPSPPRATSRVLEYDLLEPTYAGVAS >ONIVA04G20090.1 pep chromosome:AWHD00000000:4:20872073:20872579:-1 gene:ONIVA04G20090 transcript:ONIVA04G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGDHAGTSVPEALAFAFLLECNSSGAKPCGGAIPLCMLNEFAIPRVHVNRFVTRMRVLSPSNLAADFSHALPPSAPIPMLRHEMLDSVLRCRAAYASAILVAPPPLPLLLLSLLPLFAASAAVAAAATGCIYSCRSCGDGVDMASLACRLRERGASAPYR >ONIVA04G20080.1 pep chromosome:AWHD00000000:4:20867765:20868803:-1 gene:ONIVA04G20080 transcript:ONIVA04G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMTKQEILLEKKRVITVQGRDKAGRPIVRIVGKNFPARELGGGGHAEAALKGYVRRRVTPAIGDAEFVVVYMHSGVDRRENFPGVGAVQTAYESMPAAVRERLHAVYFLHPGLQSRLFFSTLGRFLFSSGLYGKLRYVSRLEYLWAHVRKGELDVPEAVRRHDDELEQRPLMDYGIEASERCGVFDAASMDTTASLHSLRCAS >ONIVA04G20070.1 pep chromosome:AWHD00000000:4:20844170:20852036:1 gene:ONIVA04G20070 transcript:ONIVA04G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVYSITRAEIEEFWRRKEMEEEEQRLTAEKEAARIKAKTLMIEDYAIFEQMIREILEEGIKGDSARAERDITTNGAAATKSTEGRIGIKDWWRKSTYAYLNEPAMTSTDENGRRKHAIKYIPQERCMNFFSSIPSQHNTTTFAIF >ONIVA04G20070.2 pep chromosome:AWHD00000000:4:20849937:20852036:1 gene:ONIVA04G20070 transcript:ONIVA04G20070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVYSITRAEIEEFWRRKEMEEEEQRLTAEKEAARIKAKTLMIEDYAIFEQMIREILEEGIKGDSARAERDITTNGAAATKSTEGRIGIKDWWRKSTYAYLNEPAMTSTDENGRRKHAIKYIPQERCMNFFSSIPSQHNTTTFAIF >ONIVA04G20060.1 pep chromosome:AWHD00000000:4:20841060:20843608:-1 gene:ONIVA04G20060 transcript:ONIVA04G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 29 [Source:Projected from Arabidopsis thaliana (AT1G76040) TAIR;Acc:AT1G76040] MGNCFTKTYEIPITSGTMRQPASTAERSKARGGDEPGTWRRPSFPRHGGPPHRPPTGSSSAAGALSRRASGGGGEMGPVLQRAMVSVRSLYQLDRKLGSGQFGTTYLCTERATGNRYACKSVSKRKLVRRTDVDDVRREITILQHLSGQPNIAEFRGAYEDNDHVHLVMEFCSGGELFDRITAKGSYSERQAAAVCRDILTVVHVCHFMGVIHRDLKPENFLLASADDDAPLKAIDFGLSVFIEEDKVYKDIVGSAYYVAPEVLQRNYGKEADIWSAGVILYILLCGTPPFWAETEKGIFDAILFNQVDFSTSPWPSISESAKDLIRQMLHRDPQKRITASQALEHRWLKEGGASDRPIDSAVLSRMKQFKAMNRLKQLALKVIAENLSPEEIKGLKQMFNNMDTDRSGTITVEELKVGLTKLGSRISEAEVQKLMEAVDVDKSGSIDYSEFLTAMINKHKLEKEEDLLRAFQHFDKDNSGYITRDELEQAMAEYGMGDEANIKQVLDEVDKDKDGRIDYEEFVEMMRKGIQT >ONIVA04G20050.1 pep chromosome:AWHD00000000:4:20836903:20842837:1 gene:ONIVA04G20050 transcript:ONIVA04G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCAPIATAPVAGGRFPPPSLRCRRHRGRSVRADASPEPVDTQRKKVAVAGAGWAGLAAAHHLVKQGYEVTLLGAESGPTEEIGLRGFWYPYRNIFSLVDELGISPFTGWTKAAYYSSQGLAVEFPIFHDQPRLPAPFGMLTYPEFPSLPLIDRLTSIPVMAAVSARDLFKMFGCSQKLYKEIFQPAIQVALFAPGEQCSAAATLGMLYYYMLSHQSRQEFVNLLHLSTIDVVSIKLWFDKKITIPKVANVCSGFDDPSGWTFFDLTSIYDDYADKSTTIVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEATVIQQLVRRSPKSTMHFLPGSYKYTVRGSTTLPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEEDEPHIETARNLNRRANELKTQIPFSEFFL >ONIVA04G20050.2 pep chromosome:AWHD00000000:4:20836903:20842837:1 gene:ONIVA04G20050 transcript:ONIVA04G20050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCAPIATAPVAGGRFPPPSLRCRRHRGRSVRADASPEPVDTQRKKVAVAGAGWAGLAAAHHLVKQGYEVTLLGAESGPTEEIGLRGFWYPYRNIFSLVDELGISPFTGWTKAAYYSSQGLAVEFPIFHDQPRLPAPFGMLTYPEFPSLPLIDRLTSIPVMAAVSARDLFKMFGCSQKLYKEIFQPAIQVALFAPGEQCSAAATLGMLYYYMLSHQSRQEFVNLLHLSTIDVVSIKLWFDKKITIPKVANVCSGFDDPSGWTFFDLTSIYDDYADKSTTIVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEATVIQQLVRRSPKSTMHFLPGSYKYTVRGSTTLPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEEDEPHIETARNLNRRANELKTQIPFSEFFL >ONIVA04G20050.3 pep chromosome:AWHD00000000:4:20836903:20842837:1 gene:ONIVA04G20050 transcript:ONIVA04G20050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCAPIATAPVAGGRFPPPSLRCRRHRGRSVRADASPEPVDTQRKKVAVAGAGWAGLAAAHHLVKQGYEVTLLGAESGPTEEIGLRGFWYPYRNIFSLVDELGISPFTGWTKAAYYSSQGLAVEFPIFHDQPRLPAPFGMLTYPEFPSLPLIDRLTSIPVMAAVSARDLFKMFGCSQKLYKEIFQPAIQVALFAPGEQCSAAATLGMLYYYMLSHQSRQEFVNLLHLSTIDVVSIKLWFDKKITIPKVANVCSGFDDPSGWTFFDLTSIYDDYADKSTTIVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEATVIQQLVRRSPKSTMHFLPGSYKYTVRGSTTLPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEEDEPHIETARNLNRRANELKTQIPFSEFFL >ONIVA04G20050.4 pep chromosome:AWHD00000000:4:20836903:20843400:1 gene:ONIVA04G20050 transcript:ONIVA04G20050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCAPIATAPVAGGRFPPPSLRCRRHRGRSVRADASPEPVDTQRKKVAVAGAGWAGLAAAHHLVKQGYEVTLLGAESGPTEEIGLRGFWYPYRNIFSLVDELGISPFTGWTKAAYYSSQGLAVEFPIFHDQPRLPAPFGMLTYPEFPSLPLIDRLTSIPVMAAVSARDLFKMFGCSQKLYKEIFQPAIQVALFAPGEQCSAAATLGMLYYYMLSHQSRQEFVNLLHLSTIDVVSIKLWFDKKITIPKVANVCSGFDDPSGWTFFDLTSIYDDYADKSTTIVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEATVIQQLVRRSPKSTMHFLPGSYKYTVRGSTTLPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEEDEPHIETARNLNRRANELKTQIPFSEFFL >ONIVA04G20040.1 pep chromosome:AWHD00000000:4:20832959:20835950:-1 gene:ONIVA04G20040 transcript:ONIVA04G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) TAIR;Acc:AT2G28100] MATILLLLLGLLVGLPLLRAHGVTGSAAPTPPPLPVLPVPSYAQLQWQLSEMALFLHFGPNTFTDSEWGSGRADPAVFAPSALDAGQWARAAAAGGFGRVVLTAKHHDGFCLWPSALTNYSVAASPWKGGAGDVVGELAAAARAEGIGLGLYLSPWDRHEPVYGDTVAYNEHYLGQMTELLTRYGDVEEVWLDGAKGEGKDMDYMFDAWFALIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYTCWSPFNKSTVTIGHIIPEYSRCGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLISTEDMQVLQEFTEIRQTIFSQNFAANATVTASTVRGGLGNQQFAPSNVLQESIYSYWAPEEGQSSWEMLFDLGQSASFNVIQLQEPIQMGQRVIKFRVEILVDELWQTIVEGTTIGYKRLFQFPVVEGQFLKLSIDGARADPLISFFGVFMDSFSVTYSLENHEKPSVVNSSEVIMLRTDHSFGNKSIATM >ONIVA04G20030.1 pep chromosome:AWHD00000000:4:20828929:20831689:-1 gene:ONIVA04G20030 transcript:ONIVA04G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G10390) TAIR;Acc:AT3G10390] MSDQPPPYTPLPLLSSFPPNPYPDQTPDPASTPTLVLPNPAFPNKRKRTGFRRKLPSGSPAAPVAVAASPSAQPPPRASAADDIIVINREPTAEAVTALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLTRWRETFNSWLAKESFATLIPPHCDHLLNAAYSFLVSHGHINFGVAPAIKERIPKEPTRHNTVIVVGAGLAGLAAARQLVAFGFKVVVLEGRKRCGGRVYTKKMEGGGRSAAGDLGGSVLTGTFGNPLGIVAKQLGLPMHKIRDKCPLYRPDGSPVDPEVDKKVEGTFNKLLDKSSLLRASMGDVAMDVSLGAALETLRQTDGDLSTDQEMNLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPIVYERTVHTIRYGGDGVQVVVNGGQVYEGDMALCTVPLGVLKNGGVKFVPELPQRKLDSIKRLGFGLLNKVAMLFPHVFWSTDLDTFGHLTEDPSHRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETTPPTDAVSSVLKILRGIYEPQGIEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGLREAANITLHANARAAKSKVEKGPSTNTQACAALLMDLFRQPDLEFGSFSVIFGGQASDPKSPAILKVELGGPRKKGATEGGKADQHHSNKLLFQQLQSHFNQQQQLYVYTLLSRQQAMELREVRGGDEMRLHYLCEKLGVKLVGRKGLGPGADAVIASIKAERNSSRTKTRPSKLKIGIPKSKS >ONIVA04G20020.1 pep chromosome:AWHD00000000:4:20826401:20831461:1 gene:ONIVA04G20020 transcript:ONIVA04G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53220) TAIR;Acc:AT3G53220] MEEGEAKKTGLEGTGLSLPGSSHGNLRSAGSDQQLKQMLDSLKSSKSPAVINYGASWCRVCSQILPPFCRFSNEFKNLTFIYADIDECPETTQNIRYTPTFHFYRDGEKVDEMLGTGEERLHDRLWLHS >ONIVA04G20010.1 pep chromosome:AWHD00000000:4:20823083:20824720:-1 gene:ONIVA04G20010 transcript:ONIVA04G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTWAVVVAAVAAYMAWFWRMSRGLSGPRVWPVVGSLPGLVRHAEDMHEWIAANLRRTRGTYQTCIFAVPGLARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHGVFGDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHSRLLPILSDAAAAGGGGGGATVDLQDLLLRLTFDNICGLAFGKDPETLARGLPENDFASAFDRATEATLNRFIFPECVWRFKKWMGLGMETTLARSVQHVDRYLSAVIKARKLELAAGNGKGDASSATPHDDLLSRFMRKGTYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKIVRELCTVLAASRGADDPALWLAAPLNFEELDQLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRMKTVWGDDCLEFRPERWLSADGTKFEPHDSFRFVAFNAGPRICLGKDLAYLQMRNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKHGLRMEVRPRDLAPIVDELRGAGEYAAAARATAACA >ONIVA04G20000.1 pep chromosome:AWHD00000000:4:20812243:20818930:-1 gene:ONIVA04G20000 transcript:ONIVA04G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNKSAKSFRKTNLKGDRRINPQSASGIFCAPPHRPSGRRETGHRESRAAPDPTSTSTVASRLPLLSPLRASSTSQHHSRTKPPPPLLTSSAWAFFRCYPPLPPPLSDSPTFRELLLSFCPHHHHHHRLQIPLRLSLRLSDLPPAGELASPWWRAGKSFSKDAKKTTKENLIDTFHRLISPNDQKGSTKSKRSCRRGNDSSVEKSCRSTTVSRPTSPSKEVSRCQSFSADRPHAHPLPIPGVRPPVTRTVSDITESKPILEKRGKPPLLLPLPKPNRPPRRHGNSEVVSEIVVASPSSNCSDSDDHGDSQLQSPVGNDAENATLVTLKNKSSNARKECPGPITAKNMKEIHRPANQVHGSHILSTSPRGVAADSYQSNLQNPRPLVLDSAPNSLMSSPSRSPRRICPDHIPTSAFWAVKPHTDVTFVGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRSSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRSGGMAPESPTNRHDDGKKKQTHKLPLPPLSISHSSFHPNNSTPTSPISVPRSPGRTENPPSPGSRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFLDDPKSKESAKQLGQEISLLSRLQHPNIVQYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQLGEQAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEPGKDFIRKCLQRDPSQRPTAMELLQHPFVQKAVSLEKSVLSEPLEHLAVISCRPSAKMAAHTRNISSLGLEGQTIYQRRGAKFSSKHSDIRIRSNISCPVSPCGSPLLKSRSPQHSNGRMSPSPISSPRTTSGTSTPLSGGNGAIPFNHLKQSTYSNEGFAIPSRSPDDLFASRPTDPDLGQFIRVHQVSQGLQERVVSEADILSPQFGKRLGNVFDLRDKLSPSERFTHHAFVDHVKLNPSLDLTSGSPHLGLKHGN >ONIVA04G19990.1 pep chromosome:AWHD00000000:4:20808289:20813081:1 gene:ONIVA04G19990 transcript:ONIVA04G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTAAQGSDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFMATFLFLYITVLTVMGVNNSTSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFQKGLYETTGGGANVVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRGHAWDDHWIFWVGPFIGAALAAIYHQVVIRAIPFKSRS >ONIVA04G19980.1 pep chromosome:AWHD00000000:4:20801893:20802144:-1 gene:ONIVA04G19980 transcript:ONIVA04G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRSTIVVITEDDHGAGDGFPEDDLGAGDGFTEDDLKAAEQLMQLRCSGGWQEEQANDDDDGGDWWGRKRKRPRYRSLSEL >ONIVA04G19970.1 pep chromosome:AWHD00000000:4:20800370:20808015:1 gene:ONIVA04G19970 transcript:ONIVA04G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACSKAGNPQSLNGGLSIAISPSLNSARLPFVKLIKYHMAKLENRSILTRYDAVAEKRDDAPDRRFGRRKREESAGFSLHAITSGDTVSPAGERGGHCSSARQCVRPLVAIRARVQILRKTVRFAASVPHESTSNYVSHGNLARAKQSQHSSCTYYLNGLGSPRAEPMGGCDGAGDAATCRSCATAGSRGHDWAFKFEKTLQQIKRFYRAPCSFDRELQAIFSFSEHIRPGDLKIEPKFMN >ONIVA04G19960.1 pep chromosome:AWHD00000000:4:20795330:20799241:-1 gene:ONIVA04G19960 transcript:ONIVA04G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H476] MYVMKCSEEGVFTKGELVPYGPIELNPAAGVLNYGQGLLEGLRAHRKEDGSVLLFRPDENALRMRVGADRLCMPAPSVEQFLEAIKLTILANKRWVPPTGKGSLYIRPLLIGSGAILGVAPAPEYTFVVFACPVGHYFKDGLSPISLLTEEEYQCAAPGGTGDIKTIGNYASAVYAKERAKERGHSDVLYLDPVHKKFVEELSSCNIFMVKDNIISTPLLTGTVLPGITRRSIIEYARSLGFQVEECLITIDELLDADEVFCTGTSVVLSSVGCIVYQGRRVEYGNQKFRTVSQQLYSALTAIQKGLVEDSMGWTVQLN >ONIVA04G19950.1 pep chromosome:AWHD00000000:4:20785188:20788922:-1 gene:ONIVA04G19950 transcript:ONIVA04G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSIMAKKSYKQRCKSEKVHMGCMSGLIHMFDFRRSPKLISDGTIRRSSVRSDLKGSEDFHGIIFSDEDKDYGVKTIHASRPSIKALMEEEMASGTQILKETQRNIFGIRSDDLKSVNLQEGSDVDLDLATSLMELYRNHNGSRDIITSEISDHSSSLIDKEHNTDASTHPKQISCSIEKALEAVAEAVITHQSANGKYTSSSYEARPNEFLDALQLLSANEEFFLMLLKDPSSRMLQCLQNLYTALGNPMLELAEDDKQTKSKVTINSLEQSEVSKYSVQKTHNFFLKEDKLVMRRPPKLNDSPRGVSRIVILKPSPGRSQTSLISSSAMSSPVQTRADLQGQEESDKYARHFSLRELKRRLRLAINNNRKDVMSSTFQKDDSTQQFILESMSTSMDSSECEKAEKPSIVDKKTIPEDSGSGMGNDTTHCASSFFYEKAKKHLIERLDNQKNDTSQIVHKSEPFGKLLSYSENDTFSQTDCPQEDVKLSEDSTASSALLTTEQEDISSNSDPPMKFGELIPLDTSTSANTQLDEFKTDHASHPVKEGTISQELTSEGIDSMNDATDTPQVSIQIETSTESLEQINTDQCFAEESQTMNALPEVSLHTPEKVNEQFNHSPSAVVGLTKPSILTFSCSPENADDKEERLSPQSVLDSFLGDGISPSHKTRTQDELSMPSTRILFKEDDTPSGTPTLQNTPQEAILDDKQARLSFIKVVLEASDFLSEESSEIWYVDGSLLDTSVLAEVGTLYCLTDDAVFLFDCVEEALCKIRDNFFGCDPWVAYLKHSVRPAPVGTGLIQEVDNCIDSLVSDEVPSTLDRVVLKDLESGSWMDLRVDTEEVAIEVWDTLLDDLLEEMVFDLWL >ONIVA04G19940.1 pep chromosome:AWHD00000000:4:20782135:20785310:1 gene:ONIVA04G19940 transcript:ONIVA04G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSTTCDESSEVDARDDYGDIDDVERRRGRHRREASSDVSSECSGEPGSPYGSPYPRWPVCSIAKAPPPPLLQKLGAARRGAGRDRKAGDGELQLIKERFSKLLLGEDMSGSGKGVSTAVAISNAITNLYATVFGGCHRLEPLLAEKRSMWRREMDCLLSVCDYIVELFPSKEIMPDGTVREVMATRPRSDIYVNLPALEKLDDMLLEILDSFQKTEFWYVNDKGQKDSCAAAAAAPCRPVSHRDGDKWWLPVPCVTKPGLTESARRNLRQKHDCASQIHKAAMAINNGVLAEIRIPELYKQTLPKCGRASVGDLIYRHMSFPGKFSPEYLLDRLEISSEHDALEAADRVEAAMHVWRRKASQGHSRSPWSAVKELMESDKNVMLASRAGDVLLCLKQRFPGLSQTTLDASKIQYNKDVGQAILEGYSRVLESLAYNIVTCIDDVLFADESARKI >ONIVA04G19930.1 pep chromosome:AWHD00000000:4:20770159:20773017:-1 gene:ONIVA04G19930 transcript:ONIVA04G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFKLSLLSFLPFVFVLAIAVEATGDEIGTFIVHVQPQESHVAATADDRKEWYKTFLPEDGRLVHAYHHVASGFAARLTRQELDAVSAMPGFVSAVPDQTHTLQTTHTPQFLGLSAPPPPQGKRWSSSSHGGSGAGAGVIVGVIDTGVFPDHPSFSDAGMPPPPAKWKGHCDFNGGSVCNNKLIGARTFIANATNSSSSYGERLPPVDDVGHGTHTASTAAGAAVPGAHVLGQGLGVAAGIAPHAHVAVYKVCPNESCAISDILAGVDAAIADGCDVISISIGGPSVPFHENPVAVGTFGAMEKGVFVSMAAGNAGPNVSSVINDAPWMLTVAASTMDRSIRTTVRLGNGLYFDGESLYQPNDSPSTFYPLVYAGASGKPSAEFCGNGSLDGFDVRGKIVVCEFGGGPNITRIIKGAVVQSAGGAGMILPNHFPEGYTTLAEAHVLPASHVDYVAGLAIKAYINSTANPVAQILPRGTVLGTTPAPAMAFFSSRGPSVQNPGILKPDITGPGVNVLAAWPFQVGPSSAQVFPAPTFNIISGTSMSTPHLSGVAAFIKSRHPHWSPAAIKSAIMTTADITDRSGNQILDEQRAPANFFATGAGHVNPERAADPGLVYDIAPCDYVGYLCGLYTSQEVSVIARRPVNCSAVAAIPEHQLNYPSISVRFPRAWNSSEPVLVRRTAKNVGEVPSEYYAAVDMLDTTVTVRVFPRTLRFTGVNQEKDFTVVVWPGQGGARVVQGAVRWVSETHTLHSK >ONIVA04G19920.1 pep chromosome:AWHD00000000:4:20766323:20768704:1 gene:ONIVA04G19920 transcript:ONIVA04G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNHGRCSLPRLAVGAAVLLLAVSLAATPAASHAGHDDTGLHSNYLVIVRKPYAYDTNLYKNVSSWHASLVASVCDMAKEALERDPSSVSRLIYSYRNVVNGFAARLTPEEVEEMSKNDWFIRADPEKTYQLQTTHTPQLLGLMGGARRGGVWNTSNMGEGIIIGILDDGIYAGHPSFDGAGMKPPPAKWSGRCDFNKTVCNNKLIGARSYFESAKWKWKGLRDPVLPINEGQHGTHTSSTAAGSFVPGANVSGYAVGTAGGMAPRAHIAFYQVCYVEKGCDRDDILAAVDDALEDGVDILSLSLGDEQAGDFSDDPVSLGGYSAAMHGVLVSAAGGNTGPGPSTVVNEAPWVITVGAGTTDRRFVATVKLGSGVSLDGESLSEPKDFGAEMRPLVHDVGDGMCTTESVLRAMNVTGKIIICDAGGDVSVAKAKLVLRSGAAGMIVIAPQVYGSVIVPRPHVLPTVQMPFMIGQKIKAYIRSTPSPTANFIFKGTVFKAKSPVAAPFSSRGPNRRSRGILKPDIIGPGVNILAGVPKIEDLALGAEEVMPKFDIKSGTSMAAPHISGVAALIKNAHPTWSPAAIKSAMMTTADYTDNLRKPITDVDGAPATYYAIGAGYVNARKAIDPGLVYNLSSLDYIPYLCGLGYKDQKVNSIIHPGPAVECAKMPKVDQKDLNYPSITAVLDMEPYEVSINRSATNVGAATSTYAVEVDVPATLAVEVNPAKLEFRALNEVLNYTVTVKTASGKAPASTIEGQLKWVSGKKYVVRSPILVCAGTGGKSAASMGAAPA >ONIVA04G19910.1 pep chromosome:AWHD00000000:4:20764480:20764887:-1 gene:ONIVA04G19910 transcript:ONIVA04G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPGRLPATMAEEGGDDGEADVDASSYGRRTTTARGGGAAAMASSCWGRLGLAALWHRLRQLSVARRRRRHGGGGGGRSILGAGGLNYDPLSYAQNFDDGCLEPDFTVTARFAPPRSAGSPRLPPAAPAAASA >ONIVA04G19900.1 pep chromosome:AWHD00000000:4:20760308:20761419:-1 gene:ONIVA04G19900 transcript:ONIVA04G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASLTPSRTGAQSSHRKRKVPAAAAAAPAREDEAAEEEDMEELEREVDRLGRRLLEHRREDAARLLNAAASRLTALRPRLLVSDADNIMYIAEVTTASQHIAGTPVAKVDQEKKEKLRIVKAKSEANIGAMPMVLKRIGESIAKIEKLEHLNVNIHPVFKTKR >ONIVA04G19890.1 pep chromosome:AWHD00000000:4:20753090:20761140:1 gene:ONIVA04G19890 transcript:ONIVA04G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEVTEFLGQVPLLQCLPSSSIRRIADAVLVKRYEPGGYVAREGDPVDGLYIILDGQAEVSAPANTEEENRPDYVLNKYDYFGYGTNSSVHQVNVIAVSKLTCFVLPNQYGHLLQPKTIWSAEETPENHSLLEQILHLEPLEVDIFRGFTLPGAPTFRQALAAASKTVDCLKAVHSLHAIFLIAGDKNLPIIYQVHRARDGTSFATRKVEAKQKGLVIFTLIASFQKDELGFEHQAAIMPDVPPPEELLNLEEIRERRLTDPRFPMQYRNSAAKKKFVPWPIEMRFCEDSASQHKPSLNYWFRARGKLSDDPALHRCVVAYASDLLYSGVSLNPHREKGLKTYSLSLDHSIWFHKPVKADDWLLYVIDSPSAHGGRGFVTGRMFNRQGELVMSLTQEALIRRAKTPGQPSQTPRPKL >ONIVA04G19890.2 pep chromosome:AWHD00000000:4:20753379:20761140:1 gene:ONIVA04G19890 transcript:ONIVA04G19890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEGKAAQSPPNLGALLANPRGGCHCCAVTEFLGQVPLLQCLPSSSIRRIADAVLVKRYEPGGYVAREGDPVDGLYIILDGQAEVSAPANTEEENRPDYVLNKYDYFGYGTNSSVHQVNVIAVSKLTCFVLPNQYGHLLQPKTIWSAEETPENHSLLEQILHLEPLEVDIFRGFTLPGAPTFRQALAAASKTVDCLKAVHSLHAIFLIAGDKNLPIIYQVHRARDGTSFATRKVEAKQKGLVIFTLIASFQKDELGFEHQAAIMPDVPPPEELLNLEEIRERRLTDPRFPMQYRNSAAKKKFVPWPIEMRFCEDSASQHKPSLNYWFRARGKLSDDPALHRCVVAYASDLLYSGVSLNPHREKGLKTYSLSLDHSIWFHKPVKADDWLLYVIDSPSAHGGRGFVTGRMFNRQGELVMSLTQEALIRRAKTPGQPSQTPRPKL >ONIVA04G19880.1 pep chromosome:AWHD00000000:4:20749828:20751094:-1 gene:ONIVA04G19880 transcript:ONIVA04G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRPLAEEISRPSRSLSKAVRRRADAVSAKLAARGLGAFVWQKKLERDLARGILPDIVSLRDERRRCLARRREAAGVNASAAASWRRSPPPILDASRARAAAEEEAKEAAFLLDQSRLRAEARLSTGRGASVEELKELGEEIAAYADLDRANEPFWAAAKAMCNAEIEQAATGTGTAGHGDRALHSAVFADVKSVVEGKSLDELDAMQHAIAARMATGEAKVVEHWQEVTELIRVEKAKKYLEQHYTCDAPPPPPDNDGGGEDADEEGSETLRPVALPPPPGPELRKPKYIARVRSGFEWNKYNRAHYDHDHPPPKTVKGYKFVLYYPDLAGGKPPQYTVDEDGSNSGGGETCVIRFHAGWPYEDVAFRIVNKEWEYSRKAGFRCTFDTGVLHLNFQFKRFFYRR >ONIVA04G19870.1 pep chromosome:AWHD00000000:4:20710721:20716272:-1 gene:ONIVA04G19870 transcript:ONIVA04G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTADELVLQRSEQLRELYDSLLSGECGHRARRPVAALLPEDLGDTEWYYVVCMTYAFGPGQGLPGKSFASNEFVWLTNAQSADRKLFHRALIAKTIVCVPFIMHGVLELGTTDPISEDPALVDRIAASFWDTPPRAAFSSEAGDADIVVFEDLDHGNAAVEATTTTVPGEPHAVAGGEVAECEPNADNDLEQITMDDIGELYSLCEELDVVRPLDDDSSSWAVADPWSSFQLVPTSSPAPDQAPAAEATDVDDVVVAALDGSSIDGSCRPSPSSFVAWKRTPDSDEVQAVPLISGEPPQKLLKKAVAGAGAWMNNGDSSAAAMTTQGSSIKNHVDKASILAETIAYLKELEKRVEELESSSQPSPCPLETRSRRKCREITGKKVSAGAKRKAPAPEVASDDDTDGERRHCVSNVNVTIMDNKEVLLELQCQWKELLMTRVFDAIKGVSLDVLSVQASTSDGLLGLKIQAKFASSAAVEPGMITEALRKAIAS >ONIVA04G19870.2 pep chromosome:AWHD00000000:4:20710721:20716272:-1 gene:ONIVA04G19870 transcript:ONIVA04G19870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTADELVLQRSEQLRELYDSLLSGECGHRARRPVAALLPEDLGDTEWYYVVCMTYAFGPGQGLPGKSFASNEFVWLTNAQSADRKLFHRALIAKTIVCVPFIMHGVLELGTTDPISEDPALVDRIAASFWDTPPRAAFSSEAGDADIVVFEDLDHGNAAVEATTTTVPGEPHAVAGGEVAECEPNADNDLEQITMDDIGELYSLCEELDVVRPLDDDSSSWAVADPWSSFQLVPTSSPAPDQAPAAEATDVDDVVVAALDGSSIDGSCRPSPSSFVAWKRTPDSDEVQAVPLISGEPPQKLLKKAVAGAGAWMNNGDSSAAAMTTQGSSIKNHVDKASILAETIAYLKELEKRVEELESSSQPSPCPLETRSRRKCREITGKKVSAGAKRKAPAPEVASDDDTDGERRHCVSNVNVTIMDNKEVLLELQCQWKELLMTRVFDAIKGVSLDVLSVQASTSDGLLGLKIQAKVVISAANSDIGHIIVAWMQFASSAAVEPGMITEALRKAIAS >ONIVA04G19870.3 pep chromosome:AWHD00000000:4:20710721:20715630:-1 gene:ONIVA04G19870 transcript:ONIVA04G19870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPLPSGKNFRSQLAAAARSINWTYAIFWSISTSRPGVLTWKDGFYNGEIKTRKITNSMNLTADELVLQRSEQLRELYDSLLSGECGHRARRPVAALLPEDLGDTEWYYVVCMTYAFGPGQGLPGKSFASNEFVWLTNAQSADRKLFHRALIAKTIVCVPFIMHGVLELGTTDPISEDPALVDRIAASFWDTPPRAAFSSEAGDADIVVFEDLDHGNAAVEATTTTVPGEPHAVAGGEVAECEPNADNDLEQITMDDIGELYSLCEELDVVRPLDDDSSSWAVADPWSSFQLVPTSSPAPDQAPAAEATDVDDVVVAALDGSSIDGSCRPSPSSFVAWKRTPDSDEVQAVPLISGEPPQKLLKKAVAGAGAWMNNGDSSAAAMTTQGSSIKNHVDKASILAETIAYLKELEKRVEELESSSQPSPCPLETRSRRKCREITGKKVSAGAKRKAPAPEVASDDDTDGERRHCVSNVNVTIMDNKEVLLELQCQWKELLMTRVFDAIKGVSLDVLSVQASTSDGLLGLKIQAKVVISAANSDIGHIIVAWMQFASSAAVEPGMITEALRKAIAS >ONIVA04G19860.1 pep chromosome:AWHD00000000:4:20695421:20700376:-1 gene:ONIVA04G19860 transcript:ONIVA04G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEALQPGTNHFRSRLAAAVRSISWSYTIFWSTSTSLPGVLTWNDGFYNGEVKTRKISNLEDHTADQLVLRRSEQLRELYYSLLSGECDHRARKPVAALSPEDIADTEWYYVVCMTYAFRPGQGLPGRSYASNRSVWLCNAQSADSKTFLRALLAKTIVCIPFMSGVLELGTTDPVSEDPNLVNRIVAYLKELQFPICLEVPSSTPSLDETEDADTVFDGLIEEHQMVILQGEDELGDVVVAECETNGANPETITMETDEFYSLCEELDLDLGSYQLVPTSARETVAAAANDVDGVAYSHASCFVSWKRANPAEKVVAVPMTAGIESQKLLKKAVGGGTAWMSNIDDRGSVAITTTPGSNIKSHVMSERRRREKLNEMFLILKSLLPSVRKVDKASILAETITYLKVLEKRVKELESSSREPSRWRPTEIGQGKAP >ONIVA04G19850.1 pep chromosome:AWHD00000000:4:20690480:20690971:-1 gene:ONIVA04G19850 transcript:ONIVA04G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARTQKMMRSGQKSAATIYRNMRGSNFILKLMAKERRLSSVLRRQRHRDRWLLLLLLRQRRSHLCSLARRRREQPVRTMVLVAREATETLARSVREEGRGLGACSDGERQWKKRRTASRRRGEITLDTAPTSPTPCRCRPPRPPETTTTTTTPSS >ONIVA04G19840.1 pep chromosome:AWHD00000000:4:20674304:20677279:1 gene:ONIVA04G19840 transcript:ONIVA04G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDRTAKNRVTSAGGAIWRGQGGGGELAQSRWRLGRGRATLASYVFACKKTGAGERETGPRGEKEREDGGKWELVPSILGGGQARRVGAAWGRRTGTVAVEAWARQGDVGVLCLRAQEDKGGRERETGPGGEKEREDGGKGEPVPSVLGGGQARRVGAASLLVSHARARGNERHGRNSDGAGGKAARKERD >ONIVA04G19830.1 pep chromosome:AWHD00000000:4:20662093:20663827:1 gene:ONIVA04G19830 transcript:ONIVA04G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCCHDAGVKKGPWTEEEDRALVEHIKKQGGHVGSWRGLPRAAGLNRCGKSCRLRWTNYLRPDIRRGNFSVDEERLIIRLHAALGNKWSTIATHLDGRTDNEIKNYWNTHIKKKLLRMGIDPVTHQRLPPDLLADGGGLGAASPLLSPPGPAAAAALQPLLSAVASLGSLDTALRQFQLLQHLLNSITSSSSDVAATAGLMATNLAATNTMVNSSSNVASFQEQMNALAHANYQPGYLRDVVPSFPGQDMAPQLNSTSSTPSTAPVLRSSAEPADQCCNDAALVPETYPREVAASVDHWKVQDFPSLEPLELPNLSTLESDLDPFWKEILESSFRS >ONIVA04G19820.1 pep chromosome:AWHD00000000:4:20660735:20661467:1 gene:ONIVA04G19820 transcript:ONIVA04G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHDSMGHEGGVREIEIWPVYLLGSHEHGAAPPQSHADWAENSWAGRPKRRRNLNKIIGSHAMHYTNGSPRSDVTELTLGRFIFIISDYQS >ONIVA04G19810.1 pep chromosome:AWHD00000000:4:20654412:20657977:-1 gene:ONIVA04G19810 transcript:ONIVA04G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQSHELETDVPASELWKIYGTLRAAELLPELLPHILAKVELVTGDGGVGTIVRLTFPPGIPGLQSYKEKFIKVDNKNYVKEAEAVEGDILKLGFLSYMIRFEIIRKGANTSVIRSTIEYEIGDEHPELQAMVSTASLAATAEKFAEYVNTQKAMKGSVCHELETGLPAAEVWEVYGGFLVAQLLPQLVPEVFSKVELVEGDGGVGSVLHVVFAPGAHRGEFMKEKFIKIDNENYIKEAEVIEEICRANRDRSTIEFEAEDASKASSVSTGGLAAIAEAVTKYMREKRSSAEPEQVPRQTSDEETF >ONIVA04G19800.1 pep chromosome:AWHD00000000:4:20647375:20652654:-1 gene:ONIVA04G19800 transcript:ONIVA04G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSRGKGGPRPGPKPASQNPNPVSEIPHDGGGGEAVDAAAEAVGRLDVSACPTAEDAPVELPPSSQPPLEASSSGRDELGGSLEEEAVRKLQELVGFGGEEVELTEEEAAANDQRQEDEIFALEAIFGDNVVIFNKKGGQRSFQVHVYIEIPDGIDVSARLGYGSGSLKYGAGHDTDASDDLVYKFRVEHLPPILLTCLLPSPYPSHQPPLFTISAEWMNKMMVSSLCQMLDTVWEEQKGVEVTYQWAQWLQSSSLSHLGFASEIVLSSDSAYDHECGDKRALSHNAAPDVIIPRMMRWNDDKCHEAFLRAIHDCMICFSEFPGTDFVKLPCHHFFCLKCMQTYCKMHVKEGTVVKLLCPDTKCGVVVPPNILKRLLGEEEFERWEGLLLRRTLDSMSDVVYCPRCETACLEDGDNEAVCSSCLFSFCTLCRDRRHVGDKCMSPEEKLLILEKRQEAGKLQGDQHKFLEELRSIKAIMKDSKMCPRCKMAIHKIEGCNKMSCSNCGQYFCYQCNSAIEGYEHFRGSCKLFPQEELDRWNMQMNPRVQRQNVAQVQAEMFRQFAHPCPTCRQPCPKMGNNNHVFCWACQKHFCALCRKTVHKTSQHFASLASAH >ONIVA04G19790.1 pep chromosome:AWHD00000000:4:20643505:20647257:-1 gene:ONIVA04G19790 transcript:ONIVA04G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRHDDESHGGAAATALLHEMLLRARRDGEEPELSDEQLRSNDQLQEDEMLALEAIYGDNISILSAKDGLRCFQVHVHCEIPDGISVSAELSRDDNRDQNSRFFDTFSVQHLAPISFTCLMPPSYPSHHAPYFTLSSQWLDTVKVSSLCLMLDTIWSQQLGLEVVYGWVQWLQSLHFLILVLMMPDSMMGPVDVRVVAEIVSVESAVQWLISYNEEQCHESFLIGLHDCMICFTERAGIDFIKLPCGHYFCQRCMETYSRMHVAEGTVLKLLCPNDKCGGIIPPGLLKRMLGDKDFERWERLTLQKTLDSMSDVAYCPRCVTACLEDEENNAQCSKCFFSFCTRCRYLRHIGERCISPEEKLLSLQCPATGDDQITDRNKVRQLSKGNFARRINLANEISSIKEVLRSSVLCPHCGTAISRVSGCDHMLCSNCRQPFCYACGKPLHRGHSRIDRENSAGETLKVNPSSLITEMKKELVEERSRQHPCPTCRQLNPKMGNNNHIFCWACQVHYCALCRMVVRKSSEHYGPRGCKQHSVDP >ONIVA04G19790.2 pep chromosome:AWHD00000000:4:20643505:20647257:-1 gene:ONIVA04G19790 transcript:ONIVA04G19790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRHDDESHGGAAATALLHEMLLRARRDGEEPELSDEQLRSNDQLQEDEMLALEAIYGDNISILSAKDGLRCFQVHVHCEIPDGISVSAELSRDDNRDQNSRFFDTFSVQHLAPISFTCLMPPSYPSHHAPYFTLSSQWLDTVKVSSLCLMLDTIWSQQLGLEVVYGWVQWLQSLHFLILVLMMPDSMMGPVDVRVVAEIVSVESAVQWLISYNEEQCHESFLIGLHDCMICFTERAGIDFIKLPCGHYFCQRCMETYSRMHVAEGTVLKLLCPNDKCGGIIPPGLLKRMLGDKDFERWERLTLQKTLDSMSDVAYCPRCVTACLEDEENNAQCSKCFFSFCTRCRYLRHIGERCISPEEKLLSLQDRNKVRQLSKGNFARRINLANEISSIKEVLRSSVLCPHCGTAISRVSGCDHMLCSNCRQPFCYACGKPLHRGHSRIDRENSAGETLKVNPSSLITEMKKELVEERSRQHPCPTCRQLNPKMGNNNHIFCWACQVHYCALCRMVVRKSSEHYGPRGCKQHSVDP >ONIVA04G19780.1 pep chromosome:AWHD00000000:4:20639508:20642479:-1 gene:ONIVA04G19780 transcript:ONIVA04G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKRKLDDESGGEAAAAGLHLLLHEMLLRARREGEEPDLLPDEQLRSNYQLQQDEMLALEAIYGDNIGIFSAKAGLWSMCTGKFLTVPAYPQSYPRWLDAVKVSSLCLMLDTIWTQQLGQEAVYAWVQWLQSSALSHLGFDDGILIQQPGSMMGPVDVRAVAEIASVESVAQWLISYNEEQCHESFLSGLHDCMICFTEYAGIDFITLPCQHYFCRRCMETYSRMHVTEGTVLKLLCPNDKCGGVIPPSLLKRLLGDTDFERWERLILQKTLDSMSDLAYCPRCGAACLEDEENNAQCPKCFFSFCARCRDRRHIGEKCMTIEEKLNSLQDCTVVPF >ONIVA04G19780.2 pep chromosome:AWHD00000000:4:20639508:20642479:-1 gene:ONIVA04G19780 transcript:ONIVA04G19780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKRKLDDESGGEAAAAGLHLLLHEMLLRARREGEEPDLLPDEQLRSNYQLQQDEMLALEAIYGDNIGIFSAKAGLWSMCTGKFLTVPAYPQSYPRWLDAVKVSSLCLMLDTIWTQQLGQEAVYAWVQWLQSSALSHLGFDDGILIQQPGSMMGPVDVRAVAEIASVESVAQWLISYNEEQCHESFLSGLHDCMICFTEYAEGTVLKLLCPNDKCGGVIPPSLLKRLLGDTDFERWERLILQKTLDSMSDLAYCPRCGAACLEDEENNAQCPKCFFSFCARCRDRRHIGEKCMTIEEKLNSLQDCTVVPF >ONIVA04G19770.1 pep chromosome:AWHD00000000:4:20638712:20639483:-1 gene:ONIVA04G19770 transcript:ONIVA04G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSNEISSIKEVLRSSVRCPHCGTAISRVSGCNHMLCSNCRQSFCYGCGKAENHGHSRYQENLATKKNPTVLIEEVKKELEGELSRQHPCPNCRQPNPKMGNNSHMFCWACQVHYCAQCRRMVRKSSEHYGPRGRKQHSVDPEIPLRFKANKNDDSGS >ONIVA04G19760.1 pep chromosome:AWHD00000000:4:20637686:20638875:1 gene:ONIVA04G19760 transcript:ONIVA04G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTRQMVPALAILALSVAGLAVAAAAAPPTRRGQEVHLFEATVRVADDGVEDPDEYNYRLLATVLGSVEAAQSVTYETYPGTFSAFLTNNQARRLSKVPGVLEVRQRDDPVPTDGQ >ONIVA04G19750.1 pep chromosome:AWHD00000000:4:20633302:20636887:1 gene:ONIVA04G19750 transcript:ONIVA04G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAVASSSSSPALEPHPDLSPVFSSPSAASSSSSSSRDEGARGVSCEGGGGDDVFDLDAPWVAAAEAESRLEEAVTAAAAARVGLCCTEEKGKGKGEKEEDEIRDNRQRQEDELMALEAIYSDDLAVFGKKGGLHYFEVLCVGHHCRFYCSWMVEFCFDGLPSVFEIYIHYDLNDGAEVCAKLSSANEKNPKDGRCCVGIEGHGDEPEDFSYTCNFEYLPPLVLTCLLPLSYPSKEPPYFTVTVKWMDGPNVSQLCKMLDTIWAELPGQEVVYRWVESLRNSSQSYLWFDGKITLGPDTPMQKGDNRAISRSLSLESVIPSMLSYSSKKRYQAFLEDLHMCMICLSQSKGSNFIRLPCQHLFCVKCLGTLCRMHVKEGSVFQLVCPDTKCNASIPPYVLKRLLTEDEFERWDRLTLEKALDSMSDVVYCPRCVIGCLEDEDNNAQCPKCSFFFCSFCKEPCHPRRQCLTPEEKLQRRQASGRMSEREVAQEILNIKALYNDVRLCPKCRMAISKTAGCNKMVCGNCGQFFCFRCGKAIKGYDHFSECKLFAPRDISAWERQMEEQYGNHVRLSLRPVGGTIRCPKCRERNFKDDEKYIFCWACRANYCTMCRREVQDKRGHFGSPECVGLEDF >ONIVA04G19740.1 pep chromosome:AWHD00000000:4:20628775:20630740:1 gene:ONIVA04G19740 transcript:ONIVA04G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNKGSWTPEEDMRLVAYIQKYGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIKLHGLLGNKWSKIASCLPGRTDNEIKNVWNTHLKKRVSPEQKKGGGKSKKKTTCTDVLVPSPSPSSSTTTTTNCSSGDSAGEQSNTSKEEEEETDKIEIPMLELDPCCFDFDMLVDPVVPDTYCPAMSASASASAPTSPCSSTSPSCARAGVDPLLDLPEIVDLGPELWSIMDGGAGDGCTEAPPPAWSNAAAANATVATTTSLEEEEGKEWWLEDLEKELGLWGPTDDYHCHPGPQGQPGRAGPPPSAVVEDPVSCYFQAGPTAAATWQGHEPSAVITSNPMDYYV >ONIVA04G19730.1 pep chromosome:AWHD00000000:4:20612885:20613307:-1 gene:ONIVA04G19730 transcript:ONIVA04G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQLSLSAMPRLYQEEEDGDDLEAKPEKAPAARPSAKERSVHLIPLLTALCFVILFLFSHDPSASEMSSFGGKVGNRKHKLF >ONIVA04G19720.1 pep chromosome:AWHD00000000:4:20607527:20611313:1 gene:ONIVA04G19720 transcript:ONIVA04G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTFSTVKVSNVSLKASLRDVKEFFSFSGDIVHVEMQSSDELSQVAYITFKDNQGSETAMLLTGATIVDMAVIVTPATDYELPASVLAALEPKDSKPSALQKAEDIVGTMLAKGFILGRDALDRAKALDEKHQLTSTATARVSSFDKKMGLSEKISVGTSAVNDKVKEMDQKYQVSEKTRSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFNKVANAANDVGTKAKEKIASEQEHKTVELESAEPNSSEGHGTQKDVDGEFAKIQVSESPEDIPISTTATVPITDEDSSQASPPAASPKKPEPAQGLIL >ONIVA04G19710.1 pep chromosome:AWHD00000000:4:20598608:20605468:1 gene:ONIVA04G19710 transcript:ONIVA04G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNNGGPTVAVKLYIDKEKKKVLFAESDKEFVDVLFSFLTLPLGTIVRLLGKQSQIGCLDELYKSVEALSEDYFQTKACKAMLLRPRNAAGSHCDRLKVKVDDTNERLIYVCPTSSCDARSFSSFWGVCNSCTVTTTLILREKPVDCRTVESNDGGVFVKSDLKFIIFDDLHVAPASTSTMFPLLGKFGLLEQRNIEEKVLELNSHKIINLLKRALVSKQSLTGLLCDHPVETDSVNLDHLREKLFPKQENTTDPKFNAVRITIVQTKDDSSVLYAEVGYDFVDLVFGLLSLPLGSTIKAYGQVTSGGSSGLDNLYRSINGSGIGCVKQECQSLLLSPMLAPFFGCGSSVLLQVQESPIKSCSLRVIRAAKIPNEMLVKEELTLDRTQVFHLLLQVLKLLRAALVTRNALSSPNPQQQKHSFLPAPPTQRTRFTSSSSSSSTTTTMAETKIEGPTIAVKLFVDKERSRVLFAESDKDFVDVLFGFLTLPLGTFVRLLGRQSQVGCLDELYKSVEDLSADYFHTKACKAMLLKPHNTAAEQCCLLKVKVDDTDQSAVYVCRDANCSANGDCGVTSVAGSVCKCGKVMEYIGEWPQDGGSTAAAGSDGGVFVKGCYKFIVTDDLHVAPASTSLMMSIFDKYGVRDPANLEQKILQLNAEKITCLLKRSLISKQTLTGYYFDVPNPNDEANLYVLPESLYSEQDAEVDHKLNNMKIKVLQRKNNTSLLYAEVGEDFVDLLFGLLSIPLGSILKTYGKWSSNGCVDNIYMSIDGSAKGCMNPERQMLLVSPNVASFFGCSATNMLIQLGEAAPKQRNISGCFKCFKIAGFSCYGHCSDQIWNTGKKAYVYKNCLGTTKTCKLCEINPKVPSGGSHKGEGYVKPGVQKFMVTDDLHILPLSLTSTLQVVSESKVQAKDLVEKEFTLTKIQVMELLRAVLVTRNTLSSVLPPPKKKKRLHLQSSLY >ONIVA04G19700.1 pep chromosome:AWHD00000000:4:20592634:20595399:-1 gene:ONIVA04G19700 transcript:ONIVA04G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEHLGARAAAAAGEDDQSPVEQVRLTVPTTDDPSLPVWTFRMWTIGLLSCAMLSYINQFFSYRSEPIVITQITVQVAALPIGHFLARVLPKRKFTVFGRECSLNPGPFNVKEHVLISIFANAGAAFGNGGAYAIDIINIIKAFYHRSISFPTSLLLVITTQVLGYGWAGLMRKYVVEPAHMWWPQSLVQVSLLRALHEKENLRMTRAKFFLIALICSAAWYVVPGYLFPTVGAVSWLCWAFPRSVTMQQIGSGMSGLGVGAFTLDWATVVSFLGSPLVYPFFAIVNVWVGFVLLVYVMLPIAYWVLNLYQASTFPFFSASLFDHTGEEYRISEIVNDRFELDTDAYARQGKIHLSLFFATSYGLGFATIAATLSHVTLFYGTEMYRRFRQAAREKPDVHTRLMRRYDDIPNWWFYGMLALAMVAALLLCTVFKAEVQLPWWALLCAVAVAFFFTLPISVITATTNTTPGLNIITEYVMGLIMPGKPIANVCFKVYGYISMNQAVSFLTDFKLGHYMKIPPRSMFLVQFIGTIVAGTVNMSVAWWLLSTVPHICDKKHLPEGSPWTCPGSRVFFDASVIWGLVGPRRIFGPLGYYGALNWFFLGGLAGPAVVWLLARALPRHASWIRLIHLPVLLGATANMPPASTLNYTAWCSVGAVFNYLVFRRRKAWWQRYNYVLSAAMDAGVAIMGVLIYFCLSSRGITPDWWGNSDINIDHCDLSTCPTAKGVIVEGCPVF >ONIVA04G19690.1 pep chromosome:AWHD00000000:4:20589199:20590602:-1 gene:ONIVA04G19690 transcript:ONIVA04G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPLPVTVLVSIAALAFISGDATIVHEPVAAAAAPSSDEADSIDGGGGGFSLPLVRRRSTTTTTTMIDVAKEEIQLATAIAAGDKKLLVPLYGRPQGGSTYLVQLRIGTPTDRISPRYVLFDTGSDLSWTQCEPCTNCSSFTPYPPHDPSKSRTFRRLSCFDPMCELCTAVVDGGGGSAGCLFRRRYGDGGAVSGELVSDVFHFGAAGDGGGYQLERDVAFGCAHVEDSKAVRGYSTGILALGIGKPSFVTQLGVDRFSYCIPASEITDDDDDDDEERSASFLRFGSHARMTGKRAPFKQDGSGYAVRLKSVVYQHGGRLNQQQPVPVYVAGEEAAAAMPMLVDSGTTLLWLPGSVFYPLQRRIEEDISLTRRYDLTHPSLYCYLGNMTDVEAVSVTLGFGGGADLELFGTSLFFTDENLTEDWVCLAVAAGNRAILGVYPQRNINVGYDLSTMEIAFDRDQCDRV >ONIVA04G19680.1 pep chromosome:AWHD00000000:4:20585635:20588687:1 gene:ONIVA04G19680 transcript:ONIVA04G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF760) [Source:Projected from Arabidopsis thaliana (AT1G32160) TAIR;Acc:AT1G32160] MAAWADAALLRASSSPASATAAASSSSSSCCLARPRASLESRLHRRKSFLYTSSPKSGFLINSCRARSLKVKAKMDSGDGLTRLAPLMFETPSGQLLVQILQSHPHLLPATVDQQLENLQSEKDAQEKEASKVPQDLLYKRIAEVKEKERQNTLEEIIYCWIIYKFMENDISMTPALAPLGGPVRDISSLPNQEDRLQSIHSPDALEMIQNHLNLIMGEKVAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKNMKTLPPNPKQQIVLENLKPNPFWDMESLVQITPDGEEIDLDDEESNPNKLRSYVSRLDADTLQRYATIRSKEAVSLIEKQTQALFGRPDIKVLDDGSVNAKDGQMITITFIELTHLVLEAAAFGSFLWEAESHVESKYHFVNS >ONIVA04G19670.1 pep chromosome:AWHD00000000:4:20581822:20585338:1 gene:ONIVA04G19670 transcript:ONIVA04G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLINANPVVYEKKERRFRQAPETTDENAAEAIDQLEIFDHIRDIKDPEHPYSLEELNVVTEDSVEINDELSHVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPPRYKVDIRVAPGSHATETAVNKQLNDKERVAAALENPNLLDIVEECLSPTFA >ONIVA04G19670.2 pep chromosome:AWHD00000000:4:20582477:20585338:1 gene:ONIVA04G19670 transcript:ONIVA04G19670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLINANPVVYEKKERRFRQAPETTDENAAEAIDQLEIFDHIRDIKDPEHPYSLEELNVVTEDSVEINDELSHVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPPRYKVDIRVAPGSHATETAVNKQLNDKERVAAALENPNLLDIVEECLSPTFA >ONIVA04G19660.1 pep chromosome:AWHD00000000:4:20575885:20580790:1 gene:ONIVA04G19660 transcript:ONIVA04G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSDDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFGGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKVKHIALPEDVPVERTAQLISDHFQLKENQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLLDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAVSLQGIDGSKLIPGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRVLRQDQN >ONIVA04G19650.1 pep chromosome:AWHD00000000:4:20562356:20570690:-1 gene:ONIVA04G19650 transcript:ONIVA04G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEALQPGTNHFRSLLAAAVRSISWSYAIFWSISNSCPGVLTWNDGFYNGVVKTRKISNSADLTAGQLVVQRSEQLRELYYSLLSGECDHRARRPIAALSPEDLADTEWYYVVCMTYSFQPGQGLPGKSYASNASVWLRNAQSADSKTFLRSLLAKTIICIPFTSGVLELGTTDPVLEDPNLVNRIVAYFQELQFPICLEVLMSTSPSPNETEDADIVSEGLITHNAIEEGQMVVSDECVSNANRDPITMEIDELYSIYEDLDLDTVRFLEDNGWPVNPSSFQLVSASSTEAVAAAAAANDVDGVANSQVSCFMAWKSAKSNEMAVPVVTGIESQKLLKKVVDCGARMSTGRGSRAALTQESGIKNHVISERRRREKLNEMFLILKSIVPSIHKVDKASILEETIAYLKVLEKRVKELESSSERSHQRATETGQQRRCEITGKELVSEIGVSGGGDAGREHHHVNVTVTDKVVLLEVQCRWKELVMTRVFDAIKSLCLDVLSVQASAPDGLLGLKIQAKFACSGSVAPGMISEALQKAIGG >ONIVA04G19640.1 pep chromosome:AWHD00000000:4:20552134:20554446:-1 gene:ONIVA04G19640 transcript:ONIVA04G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTKEEAKGGGGSKKPEKEMDFSMMAENILDVAVQDVLEEVYERVEREELEENEVGVQQEKIVQLANVGEVSMTPKRASERLMGSSGRHSLEKAKSRKAWMNLDPLSDTKEQETLTVSHENSMFQKSIKSVISFVRSTTYNVYAI >ONIVA04G19630.1 pep chromosome:AWHD00000000:4:20549047:20551626:1 gene:ONIVA04G19630 transcript:ONIVA04G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGHHHPRGAQAQRTRAPPRLEQNQTCHEGFDNLGVRDEMIFSVSLFGSSHQSIHKLYRKCWSSNSHSTLNVLSLAKTVGQSMRMKRIRVKPHATDLKNRPQAHEDNVFYKLVYRLPENLSWLLASPEMARRPPSKKKLKKEEMVSSNQFGVILEWEGVVVEDDDPDLEPRVWYVLSLEEAKSFPPDAVLKEIEGMRTDQAILEVLHWSEDPQEVQRLAARKEVIYKTLRGRFYQLRPGVLDFLNTLVDFDIPIAITTPRPRLSLEEGIKAVGLQGYFDAIVAAEDFCRGKPEGEMFEVAAGQLGLEPDVCLVLGNSNSTIKSAHTAGMRCVAVASRYPAYELQAANHVVRWLDQLSVADLQRIANGEILGLRGRRSDMDMDMEIVIEE >ONIVA04G19620.1 pep chromosome:AWHD00000000:4:20545217:20547535:-1 gene:ONIVA04G19620 transcript:ONIVA04G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTTITPPPPPPPPSESTPTSDPKPPPPPPTSSTAAPKKRKLEEVGFHHSPYYNIRAAVANLRGRFIQLCKGTDTQKKDAALEILKEIKVLMELSKEMQLDLPTAAGPVKLMDEPTSRDARNMPAGKIPPGEKNQVRPADQAASFMHSSGEKVPLNPVDIKHDAKPNILQRNQDNVCKDLILSVDLPLAGISLCGLEVAQDIPGTIAQLFAGSRSDIIEQRMTPQNDIYDMVRHGSINRKQLLNAFDRSLQDQSPLDIMFFL >ONIVA04G19610.1 pep chromosome:AWHD00000000:4:20540053:20541863:-1 gene:ONIVA04G19610 transcript:ONIVA04G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEKRGTGGRGDKKEKVPHGFRFEPKEELVEHPSPQPGPQAIASIASSDGEFSSPQPGPAMGTSEEASGNKRPAEEHAAVAQRPHQQRKLTMGGAPPPPPASYIGGAGDMQMPLRTAVHDNRAGHPMARPAGHATAPPPRQHATVNGPMRMPNGQVVYGDQMMMRRQMATAANNRRQMMFLQQLAARNGQQGMVVADNGQASSSQRPPPACNGQKALVVQGSQVASNGQMSPVQRQRAAMAAAYNNYQYHQMLLQQQQQQQAAMAYNLQAQHLQGREVVAHTTSAQQPPAMMPAQGAEVEQNGETKSSAQRAPAACNCPAHVQRPQARPFNNVPPTPLRPRPATAAPTNSGNSFDRTLVMRRPPSPSVVQPRPAQETPEMHARRVLWQLVKELFRQRRIDQAQAAAAAEQERLMMTPPAQAPQQPCSDAVRCNDDGEKRSAEVATTEVAPDGSASAEGNDRQLVAKIEVGKLIYSISLAKEVEAAAAVMVKGTDPAAAVLDGDFKDNNGCHHHDGGGGYGHDGRA >ONIVA04G19600.1 pep chromosome:AWHD00000000:4:20529270:20535639:-1 gene:ONIVA04G19600 transcript:ONIVA04G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSVESVAVVAVPFPAQGHLNQLMHLSLLLASRGLDVHYAAPPAHLRQARSRLHGWDPDALRSIRFHDLDFPAYESPPPDPTAPPFPSHMMPMIQSFAVAARAPFAALLERISASYSRVVVVYDRLNSFAAAQAARLPNGEAAWPXESPPPDPTAPPFPSHLMPMLESFAVAARVPLAALLERLSASYRRVVVVYDRLNSFAAAQAARLPNGEAFGLQCVAMSYNIGWLDPENRLVREHGLKFHPVEACMPKEFVEIISREEQDEENATSSGMLMNTSRAIEAEFIDEIAAHPMFKEMKLFAVGPLNPLLDATARTPGQTRHECMDWLDKQPAASVLYVSFGTTSSLRGDQVAELAAALKGSKQRFIWVLRDADRADIFADSGESRHAELLSRFTAETEGVGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELVCKYLKAGLLVRPLEKHSEVVPAEAIQEVIEEAMLPEKGMAIRRRAMELGEVVRASVADGGSSRKDLDDFGHLNQLMHLSLLLASRGLDVHYAAPPAHLRQARLRLHGWDPDALRSIRFHDLDVPAYESPPPDPTAPPFPSHLMPMLESFAVAARVPLAALLERISASYSRVVVVYDRLNSFAAAQAARLPNGEAFGLQCVAMSYNIGWLDPENRLVREHGLKFHPVEACMPKEFVEIISREEQDEENATSSGMLMNTSRAIEAEFIDEIAAHPMFKEMKLFAVGPLNPLLDATARTPGQTRHECMDWLDKQPAASVLYVSFGTTSSLRGDQVAELAAALKGSKQRFIWVLRDADRADIFADSGESRHAELLSRFTAETEGVGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELVCKYLKAGLLVRPLEKHSEVVPAEAIQEVIEEAMLPEKGMAIRRRAMELGEVVRASVADGGSSRKDLDDFVGYITR >ONIVA04G19590.1 pep chromosome:AWHD00000000:4:20528046:20528984:-1 gene:ONIVA04G19590 transcript:ONIVA04G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNIGWLDPEHRLVREHGLQFHPVEACMTKEFVELISRAEQDEENAASSGILMNTSRALEAEFIDEIAAHPKFKELKLFAAGPLNPLLDATARTPGQTRHECMDWLDKQPLASVLYVSFGTTSSLRGDQVAELAAALKGSKQRFIWVLRDADRANIFADSGESRHAELLSRFTAETEGVGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSYGKPILAWPMHSDQPWDAELVCKYLKAGLLVRPWEKHSEVVPAEAIQEVIEEAMLPEKGMTIRRRAKELGEAVRASVADGGSSRKGLDDFVGYITR >ONIVA04G19580.1 pep chromosome:AWHD00000000:4:20519330:20527516:-1 gene:ONIVA04G19580 transcript:ONIVA04G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRPRRPPPPSSSPHRRCLSRPPTSQLTARITTAELPSFPRGHYSGQVHRGSGEGAVQIRGDRPAARSAGSGEEGARSNVWAPTWLSHIPRAWEAGQLSTTARAEASGATHFHTDAKLPFDSPLAELTLTRKRQCPQPTYSRICPSRLGTVIKPTMEPVAVVAVPFPAQGHLNQLLHLSLQLASRGGVDVHYAAPAAHVRQARERVQGWDDAALRSVRFHDLGISTYASPPPDPAAASPFPSHLMPLWEAYTAGAPAPLAALLDKLSASYRRVVVVYDRINDFAAQEAARLRNGEAFVMYCLAVSMLARRIAPQEHQRILRENGLTNITVEDCATEEFVDYIRRTRATKEMSPPRGILTNTCRALEGEFIDVVAGNLAADGKKVFAVGPLNPLLHGNASKQGDQRQRHECLDWLDKQPPASVLYVSFGTTSSLRAEQIEELASALRGSNQRFIWVLRDADRGDIFAEDSGEIISRHAKLLREFTQHNEGSTGLVITGWAPQLEILAHDATAAFMSHCGWNSTMESLSHGKLILAWPMHCDQPWDAELLCKYLKAGVLVRPWEKHNEVTPAKDIQEAIEEAMLSDGGVAMRQRARELGDAIRASVAAAGSSVVGSSRKDLDDFVAYITR >ONIVA04G19570.1 pep chromosome:AWHD00000000:4:20513614:20516120:1 gene:ONIVA04G19570 transcript:ONIVA04G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H431] MAAAPSATSVHDFTVKGVQDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKVQGFEILAFPCNQFGGQEPGSNEEIVQFACTRFKAEYPIFDKVDVNGNNAAPLYKYLKSNKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >ONIVA04G19560.1 pep chromosome:AWHD00000000:4:20512268:20512816:-1 gene:ONIVA04G19560 transcript:ONIVA04G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGWPRSLAMNSKAGVQRRRGESSLGMERTATRSRAAEWRKRQTAHEESSSAARHLVVLLQLGQRLPAVAAGVGVGLAVAAAQERPAYGGADDRALRRLWKGRWVSIATRGRDAAALHRFIAATLLRSLLPHPDVAPPSSAMPNAVSPQTGGTSARLGEPRRQSLVLAPVADQHAGHWPR >ONIVA04G19550.1 pep chromosome:AWHD00000000:4:20504341:20510701:1 gene:ONIVA04G19550 transcript:ONIVA04G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heavy metal atpase 5 [Source:Projected from Arabidopsis thaliana (AT1G63440) TAIR;Acc:AT1G63440] MFKPFHHKPAANQTIKIVRKENKNRSPLPQTRGGHANTLPMAASTRALFLSCFHGSGGGGGTSEVSRRLVLRPRYPSMPRRPRSAAVAGEGGERGGGGDGDLEAAAVGAEEEEKVAVFEVSGMTCAACAGSVEKAVKRLQGIHDAAVDVLGGRAQVVFYPAFVSEEKIRETIQDVGFEAKLIDEEVKEKNILVCRLHIKGMTCTSCASTVESILQVVPGVQRASVALATEEAEIRYDRRIVTASQLTHAVEETGFEAILITTGDDQSRIDLKVDGTLNERSIMIVKSSVQALPGVEDIKVDPELHKITISYKPDQTGPRDLIEVIESAASGDLTVSIYPEADGRQQHRHGEIKRYRQSFLWSLVFTIPVFLTSMVFMYIPGLKDGLEKKVINMMSIGELLRWILSTPVQFVIGRRFYTGAYKALSHGSSNMDVLIALGTNTAYFYSVYSILRAASSHNYMATDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATMLIYDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVIILSLLTWLAWFLAGRLHGYPNSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYAYVAAAEVNSEHPLGKAVVEHAKKFHSEESHVWTEARDFISVTGHGVKAKISGRAVMVGNKSFMLTSGIDIPVEALEILTEEEEKAQTAIIVAMDQEVVGIISVSDPIKPNAREVISYLKSMKVESIMVTGDNWGTANAISKEVGIENTVAEAKPEQKAEKVKELQSAGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGVLFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKLGR >ONIVA04G19540.1 pep chromosome:AWHD00000000:4:20498410:20501207:-1 gene:ONIVA04G19540 transcript:ONIVA04G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine racemase [Source:Projected from Arabidopsis thaliana (AT4G11640) TAIR;Acc:AT4G11640] MGSRGGSGGDGAESHGYAADIHSIREAQARIAPYVHKTPVLSSTSIDAIVGKQLFFKCECFQKAGAFKIRGASNSIFALDDDEASKGVVTHSSGNHAAAVALAAKLRGIPAYIVIPRNAPACKVDNVKRYGGHIIWSDVSIESRESVAKRVQEETGAILVHPFNNKNTISGQGTVSLELLEEVPEIDTIIVPISGGGLISGVALAAKAINPSIRILAAEPKGADDSAQSKAAGKIITLPSTNTIADGLRAFLGDLTWPVVRDLVDDIIVVDDNAIVDAMKMCYEMLKVAVEPSGAIGLAAALSDEFKQSSAWHESSKIGIIVSGGNVDLGVLWESLYKQIPLV >ONIVA04G19530.1 pep chromosome:AWHD00000000:4:20494474:20498156:-1 gene:ONIVA04G19530 transcript:ONIVA04G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRQREARKRFREANPGLFPANPTPPADGTKKKKNNKKSMFKKTSKAGGGGAGRSKHPLRVPGMRPGERCFICKATDHVAKVCPEKSLWEKNKICLLCRQRGHSLKNCPDKNDENLKKFCYNCGESGHSLSKCPKPIENGGTKFASCFVCKQQGHLSKNCPENKHGIYPKGGCCKICGEVTHLAKHCPNRGRQDLISSGDDAFNTEEHHLEDNAVLRGGDDLDDDFIEEEETRHTKAKKAKQSSSKSTTETGDGDKNTNTKAKANSASGMAVGDECKLKFQELKSKRSFRFITFKIDERTQQVVVDRLGQPGDTYDDFTASMPASECRYAVFDFDFVTDENCQKSKIFFISWSPDTSKVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKARAL >ONIVA04G19520.1 pep chromosome:AWHD00000000:4:20471381:20490944:-1 gene:ONIVA04G19520 transcript:ONIVA04G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPRESLDSSPEPPPPGQDSDEQFSAVPLADEVRAEGSELDPDTSAGTSVAVTPARSEPSPPPPRRRRPRPLGVPPDAPQEVVRAVDDAIMVGGGAAAAAGVDRLHEMVSEEQGELPHTVVDVLLGTMGGADGLDEVEDKTGTGAPPSIMFNSRAAVVAAELLPYLPCGDEPSPRTRMAVGIHATLRACTRNRAMCSSSGLLPVLLDSAEKLLIGMGRASSWDGTPLLHCIQLLGGHSLSVKDLHSWLGLVKKALGTSWATPLMLALEKAMGSEEARGPAATFEFDGESSGLLGPDNQGVEAYFHGQFLVVESGGGRGKKASLHFTYAFKPQRWYFVGLEHTNKHGLLGKGDSELRLYVDGSLHESRPFEFPRISKPLAFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPIYIFREPIGPERMGRLASRGGDVLPSFGNGAGLPWRATNDHVKNMAEESFTLNQQIGGCLHLLYHPSLLNGRLCPDASPSGSAGTHRRPAEVLGLVHVSSRVRPAESLWALAYGGPMALLPLTISNVQMDSLEPMLGELSIATASLSAPIFRIISLAIQHPGNNEELCRTCSPEILSRVLHYQLQAFPKMEGGEGEAVTDEELVDAIVSLCQSQRNNHELKVQLFSTLLLDLKMWSSCTYGLQKKLLSSLADMIFTEAACMRDANALQMLLDSCRRCYWAIREPNSIDNFALTGTKRSLGEINALIDELLVVVELLLGSASSTAASDDVRCLIGFVVDCPQPNQVARVLHLIYRLIVQPNISRANMFAQSFISCGGVDALLVLLQREAKAGNNSILDNSDALLSENDFLRNDDSDTKAASGEAKSQDDQIQSVELEQHESILHEEHTELGSTSTNDVPCEILGSSIGRKLSSSENQLLKNLGGINFSITADNVRNNVYNVDKGDGIVVGIIHILGALVASGHLKFASRAANPNLPGGLLTTVHEEGNTMSEDRVSLLLFALQKAFQAAPRRLMTVNVYMALISAAINVSSVDENLNLYDCGHRFEHIQLLLVLLRSLPYASRSFQSRAIQDLLFLACSHPENRTTMTSISEWPEWILEVLIYNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPILKRRLLGGLLDFSARELQVQTEVIAAAAAGVAAEGLSPEEAKTQAENAAHLSVALAENAIVILMLVEDHLRSQGQHFCTSRSLDSAVPSASMVSSAASRSNSLCRSGNEPMDAGTSRRSSLSTDAGGLPLDVLTSMADSNGQISAAVMERLTSAAAAEPYESVKHAFVSYGSCIADLAESWKYRSRLWYGVGIPSKSDTFGGGGSGWEFWKSVLEKDSNGTWVDLPLVKKSVAVLQALLLDDSGLGGGLGIGGGSGPGMGVMTALYQLLDSDQPFLCMLRMTLVSMREDDNGEGDAFTGNISIKDVISEGLGHQAGSMMPLDSNNRSSTRKPRSALLWSVLGPILNMPINESKRQRVLVASSILYSEVWHAIGRDRSPLRKQYIELILPPFIAILRRWRPLLAGIHELTSSDGQNPLISDDRALAADALPIEAALSMISPGWAAAFASPPVALALAMMAAGASGTEAIAPPRTLNRRDTSVPERKAAPKLQSFTSFQKPIETAPNKHGSTPKDKAAVKAAALAATRDLERTAKIGSGRGLSAVAMATSGQRRSAGDIERAKRWNTSEAMSAAWMECLQSVDSKSVSGRDFSALSYKYVAILVSGFALARNLQRVEMERQTQADVLNRHRVSTGVRAWRHLLHCLTEMDRLYGPFGEPLCAPDRIFWKLDFTESSSRMRRFMKRNHKGSDHLGAAADYEERKLSNVAQSNECNPEGTEPLVTDTLPSTAPIITAEAMSVDDRNEDNEQLESDTTQSSVDDRLQQADQQSVKGSIDSRGSGISADRNLVRSTVIAPGYVPSDADERIIVELPSSMVRPLKVVRGTFQVTSKRINFIIDESASESNMDDHASTSGQCDQQDKDRSWLISSLHQIYSRRYLLRRSALELFMDMEARKNAYRAIVHSKPPNLNDIFLATQRAEQILKRTQLTERWANWEISNFEYLMELNTLAGRSYNDITQYPVFPWIIADYRSEILNLDDPCTYRDLSKPIGALNPERLEKFQERYSTFEDPIIPKFHYGSHYSSAGTVLYYLFRVEPYTTLSIQLQGGKFDHADRMFSDLSGTWDSVLEDMSDVKELVPEMFYLPEVFTNINSIDFGTTQLGGKLDSVNLPPWAEDPVDFVHKHRKALESEHVSAHLHEWIDLIFGFKQRGKEAVMANNVFFYITYEGTVDIDKITDPVQRQAMQDQIAYFGQTPSQLLTIPHMKRKPLAEVLHLQTIFRNPSELKSYLLPNPDRCNVPASTMFVSNDSIVVVDVNVPAAHVALHHWQPNTPDGQGTPFLFHHGRNAANSTGGALMRIFKGSASSGEDYDFPRAIAFAASSIRSSAVVAVTCDKEIITGGHADGSVKLISPDGAKTIETATGHLAPVTCLALSHDSNYLVTGSRDTTVILWRIHQAGSLHKKNAPEPPPTTPTTPRSPSVSNLTEIRRRRIEGPMHVLRGHLEEVTSCSVSPDLGLVVSSSNMSGVLLHSLRTGRLIRKLNVAEAHAVCLSSQGVILVWNESKKRLSTFTVNGLPIATTVLSPFCGRVSCIEISTDGHFALMGTCSSSNYKCEDSTETGDHEPNEPNGKDGISKQAETRQSVHVPSVCFVDLHKLKVFHTMELGKGQDVTAIALNKENTNLLLSTADKQLIVFTDPALSLKVVDQMLRLGWEGDGLLQS >ONIVA04G19510.1 pep chromosome:AWHD00000000:4:20466883:20474037:1 gene:ONIVA04G19510 transcript:ONIVA04G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYSWSSCCCLEDFGQEPKIMASLADSFLADLDELSDNEAYPEEENAEAVGTEEIGDDDMPDLESLNYDDLDSVSKLQKTQRYNDIMQKVENALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEMDLTLVDLEGLLPSAIIMVISVTASTTSGKPLSEENLVKTIEACERALTLDAAKKKVLEFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTDVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDFEPKKKRGGDGLGEGYGMLGQAGSGKLRVSTAPSKLSAKITKKFKEKSYGSSGATSGLTSSLAFTPVQVYSAMLLVIAQLRGFYVAILVRLISELICACIGIELSNPQAHGNLLGSGTQSTYFSETGTFSKIKRT >ONIVA04G19500.1 pep chromosome:AWHD00000000:4:20459042:20460856:-1 gene:ONIVA04G19500 transcript:ONIVA04G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSKTRRMKPLGIQLYECARGSPISFRSCQALVLILTFLSYASYHATRKTTSIVKSVLDPKTENLGMLHWPSHLYLQDLRDAQGNMTALYSGWAPFNADDGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTIGMIGTGFFTAAFGAGNWLNIHNFYYFLGVQMMAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNVSGSLIAAAMLKFGWSWSFAVPGVMIAVVGLAVFLFLPVGPEMIGIEEDIHEKDAEKDDMSAPLLEERSASKEKAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGVYLSDTAAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRIYGSFSLYSNIALMFVTGMLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSGVFTMLMASALVAGLLLSRLVMAEISAKMESRRPDAAASDLPVSSMEQS >ONIVA04G19490.1 pep chromosome:AWHD00000000:4:20452436:20452828:1 gene:ONIVA04G19490 transcript:ONIVA04G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNLVVFSLASACGGHCPTPTPSTPSTPTPTPAAFGKCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIRGNILGINLNLPVDLSLILNYCGKRVPTGFKC >ONIVA04G19480.1 pep chromosome:AWHD00000000:4:20448825:20449496:-1 gene:ONIVA04G19480 transcript:ONIVA04G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDMERPPAATAQGVRPNPVVERKLGELDACLADAMSSRPRRSDVDGSLFAEIQAKTDFLKTLIAAEGECHGGALPEHLEEAKARFAVLKGAFDRWARRDDAAAPAEEEQPDGAAGSGSECSCTESCFGVEVTGCLEATSDVEREAVEMATLGATFNAERRAGHKPSPSPAAATRNAARRRGWRRSAACCGAAGAVAVLALAIGVAIEFASVARQNVYVVPT >ONIVA04G19470.1 pep chromosome:AWHD00000000:4:20444867:20448406:1 gene:ONIVA04G19470 transcript:ONIVA04G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLRVHPSELKIPYEYKRKRSCCMQLTNKTNQYVAFKVKTTNPRKYSVRHACGILPPRSSCDITVTMQAPVEMLSDYHCKDKFLVQSVAVGYGATMRDFVPELFTKAPGRVIEEFKLRVVYVAANPPSPVPEEEEEEEEDASPQSEVMSHGVKMTSVFDAVTVSTLTDRSADKVSSAEGVSVESMLVAEREYPVEENQKLQQQMELLRAARSSQQGFSAMFVLLVFMSSVCIGHFMKQIKV >ONIVA04G19460.1 pep chromosome:AWHD00000000:4:20438512:20445190:-1 gene:ONIVA04G19460 transcript:ONIVA04G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H416] MAPIGQSESEKGGAATAEKIRPFAPFPVPKNLCDLDVAAREVAKRLLPLEVKYTGKMAMISANFLLNNCARTYTNKKTLKNKRELVEVVDGLVGVMMTSSNREKPDIESGYDDSSDEDSTENSRAEICPSALCFFDQIVASAQDKKVVLFLDYDGTLSPIVNDPEKAFMSSEMRATVKSVAKHFPTAIVSGRSRDKVFDFVKLTEIYYAGSHGMDILASFADSDSTIEKTKETKLFQPANEFLTMITEVSKSLIEVTKAIKGATVENNKFCVSVHYRNVDKKNWKLVAQVVNNVLKDFPSLKVSTGRKVLEVRPMINWDKGKAVEFLLRSLGLDDSETVLPIYIGDDKTDEDAFKVLRERKNGCGILVSQVPKKSEAFFMLRGPSEVFLGEMEGTINI >ONIVA04G19460.2 pep chromosome:AWHD00000000:4:20438512:20445190:-1 gene:ONIVA04G19460 transcript:ONIVA04G19460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H416] MAPIGQSESEKGGAATAEKIRPFAPFPVPKNLCDLDVAAREVAKRLLPLEVKYTGKMAMISANFLLNNCARTYTNKKTLKNKRELVEVVDGLVGVMMTSSNREKPDIESGYDDSSDEDSTENSRAEICPSALCFFDQIVASAQDKKVVLFLDYDGTLSPIVNDPEKAFMSSEMRATVKSVAKHFPTAIVSGRSRDKVFDFVKLTEIYYAGSHGMDILASFADSDSTIEKTKETKLFQPANEFLTMITEVSKSLIEVTKAIKGATVENNKFCVSVHYRNVDKKNWKLVAQVVNNVLKDFPSLKVSTGRKVLEVRPMINWDKGKAVEFLLRSLGLDDSETVLPIYIGDDKTDEDAFKVLRERKNGCGILVSQVPKKSEAFFMLRGPSEVVILPVMLNFFAALLIMPSDGISQFLGEMEGTINI >ONIVA04G19460.3 pep chromosome:AWHD00000000:4:20438512:20445190:-1 gene:ONIVA04G19460 transcript:ONIVA04G19460.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H416] MAPIGQSESEKGGAATAEKIRPFAPFPVPKNLCDLDVAAREVAKRLLPLEVKYTGKMAMISANFLLNNCARTYTNKKTLKNKRELVEVVDGLVGVMMTSSNREKPDIESGYDDSSDEDSTENSRAEICPSALCFFDQIVASAQDKKVVLFLDYDGTLSPIVNDPEKAFMSSEMRATVKSVAKHFPTAIVSGRSRDKTKETKLFQPANEFLTMITEVSKSLIEVTKAIKGATVENNKFCVSVHYRNVDKKNWKLVAQVVNNVLKDFPSLKVSTGRKVLEVRPMINWDKGKAVEFLLRSLGLDDSETVLPIYIGDDKTDEDAFKVLRERKNGCGILVSQVPKKSEAFFMLRGPSEVVILPVMLNFFAALLIMPSDGISQFLGEMEGTINI >ONIVA04G19460.4 pep chromosome:AWHD00000000:4:20438512:20440546:-1 gene:ONIVA04G19460 transcript:ONIVA04G19460.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H416] MSNGLPLMTNPMIKSQPVLALPSNLMPCLVTSKKHPCSSLCVTYISKRELVEVVDGLVGVMMTSSNREKPDIESGYDDSSDEDSTENSRAEICPSALCFFDQIVASAQDKKVVLFLDYDGTLSPIVNDPEKAFMSSEMRATVKSVAKHFPTAIVSGRSRDKVFDFVKLTEIYYAGSHGMDILASFADSDSTIEKTKETKLFQPANEFLTMITEVSKSLIEVTKAIKGATVENNKFCVSVHYRNVDKKNWKLVAQVVNNVLKDFPSLKVSTGRKVLEVRPMINWDKGKAVEFLLRSLGLDDSETVLPIYIGDDKTDEDAFKVLRERKNGCGILVSQVPKKSEAFFMLRGPSEVFLGEMEGTINI >ONIVA04G19460.5 pep chromosome:AWHD00000000:4:20438512:20440546:-1 gene:ONIVA04G19460 transcript:ONIVA04G19460.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H416] MSNGLPLMTNPMIKSQPVLALPSNLMPCLVTSKKHPCSSLCVTYISKRELVEVVDGLVGVMMTSSNREKPDIESGYDDSSDEDSTENSRAEICPSALCFFDQIVASAQDKKVVLFLDYDGTLSPIVNDPEKAFMSSEMRATVKSVAKHFPTAIVSGRSRDKVFDFVKLTEIYYAGSHGMDILASFADSDSTIEKTKETKLFQPANEFLTMITEVSKSLIEVTKAIKGATVENNKFCVSVHYRNVDKKNWKLVAQVVNNVLKDFPSLKVSTGRKVLEVRPMINWDKGKAVEFLLRSLGLDDSETVLPIYIGDDKTDEDAFKVLRERKNGCGILVSQVPKKSEAFFMLRGPSEVVILPVMLNFFAALLIMPSDGISQFLGEMEGTINI >ONIVA04G19460.6 pep chromosome:AWHD00000000:4:20440591:20445190:-1 gene:ONIVA04G19460 transcript:ONIVA04G19460.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H416] MAPIGQSESEKGGAATAEKIRPFAPFPVPKNLCDLDVAAREVAKRLLPLEVKYTGKMAMISANFLLNNCARTYTNKKTLKKWFFIDKTLG >ONIVA04G19450.1 pep chromosome:AWHD00000000:4:20432757:20437088:-1 gene:ONIVA04G19450 transcript:ONIVA04G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGCGRWWWVAVALVVAAAAAAAEGAREEAAVAVAVAPRRHAYAAMMYMGTPRDYEFYVATRVMMRSLGRLGSDADRVVIASLDVPPRWVQALKDDGVKVVSVENLKNPYEKQENFNMRFKLTLNKLYAWSLVSYDRVVMLDSDNIFLQNTDELFQCGQFCAVFINPCIFHTGLFVLQPSMDVFKNMLHELAVGRDNPDGADQGFLASYFPDLLDRPMFHPPVNGTKLEGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHEQRRENLGYSSELPVVLIQALFYIGVIAVTRLARPSLSKMCYNRRMEKSTIVLLTTLRVVAAWSILAAYTIPFFLIPRTVHPLLGWPLYLLGAFSFSSIVINVFLLHPLAVLTTWLGIIGALFVMAFPWYLNGVVRALAVFAYAFCCAPLIWGSLVKTMSSLQILIERDAFRLGEPNQTAEFTKLY >ONIVA04G19440.1 pep chromosome:AWHD00000000:4:20420074:20421790:-1 gene:ONIVA04G19440 transcript:ONIVA04G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G47500) TAIR;Acc:AT5G47500] MVRERVVVVMAFAVATLATSLLPPLACQAAPAPCERPTRGHHQYRQPVGVRRIVVDASGGGDFLSIQQAVNSVPENNTVRVIMQINAGSYIEKVVVPATKPYITFQGAGRDVTVVEWHDRASDRGPDGQQLRTYNTASVTVLSNYFTAKNISFKNTAPAPMPGMQGWQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFVFGNGRSLYKDCELHSTAQRFGSVAAQGRHDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHASNKSMTAFFGMYRNWGPGADAVHGVPWARELDYFAARPFLGKSFVNGFHWLTPDV >ONIVA04G19430.1 pep chromosome:AWHD00000000:4:20407712:20415774:-1 gene:ONIVA04G19430 transcript:ONIVA04G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQIWRLLPSALSPIHAGAPRPSRPPARLGRPSPQRRRALALALAHLATRRTCRLLAVSAQSASPHAGLRLDQFFEVEMKVRDYELDQYGVVNNAIYASYCQHGRHELLECVGISADAVARSGESLALSELHLKYYAPLRSGDKFVVKVRLASTKGIRMIFEHFIEKLPNRELILEAKATAVCLNKDYRPTRISPEFLSKLQFFTSEGMSTRSNQQQLCSSHCLPARAGSIASPGSGRRVVPLGRRRASLGKVTAYAYPTTRRVVDAAKSSLLQDVHVAASNPSLQLLQDYAPAKKSAKQQNGSRTKDGFYEVEMTVHDDELDEYGVVNNAIYASYIHSGRDVFLENVGVGVDYWTSTGNALALSELNLKFYTPLRKDDRFVVRMKVVKIKGVRIIVEHLIETLPDRKLVVDAKATAVCLDNKYRPTRVFPELSTKLHQFFLS >ONIVA04G19430.2 pep chromosome:AWHD00000000:4:20407712:20415774:-1 gene:ONIVA04G19430 transcript:ONIVA04G19430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQIWRLLPSALSPIHAGAPRPSRPPARLGRPSPQRRRALALALAHLATRRTCRLLAVSAQSASPHAGLRLDQFFEVEMKSGDKFVVKVRLASTKGIRMIFEHFIEKLPNRELILEAKATAVCLNKDYRPTRISPEFLSKLQFFTSEGMSTRSNQQQLCSSHCLPARAGSIASPGSGRRVVPLGRRRASLGKVTAYAYPTTRRVVDAAKSSLLQDVHVAASNPSLQLLQDYAPAKKSAKQQNGSRTKDGFYEVEMTVHDDELDEYGVVNNAIYASYIHSGRDVFLENVGVGVDYWTSTGNALALSELNLKFYTPLRKDDRFVVRMKVVKIKGVRIIVEHLIETLPDRKLVVDAKATAVCLDNKYRPTRVFPELSTKLHQFFLS >ONIVA04G19420.1 pep chromosome:AWHD00000000:4:20404096:20405133:1 gene:ONIVA04G19420 transcript:ONIVA04G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trigalactosyldiacylglycerol 1 [Source:Projected from Arabidopsis thaliana (AT1G19800) TAIR;Acc:AT1G19800] MPSAGAGAAFLLRPISATTHPLISLSCTGAGDSANHKSHLLFRLHHSRRRLPVPRLSLTPAPTGSNNSPPSPPPASPEPPPPPFSRWSPPRAIWRGLSALLLAGQVFHRVLTGRVHRRNLLAQLRRVGPGSAGVSLLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALSRELSPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGAQPVDYLVVPRVVACVLALPVLTLMSFALGLASSAFLADSIFGVSTSIILESARRALRPWDLISSLLKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVVSLVGIFVADFALSCLFFQGAGDSLKYAMG >ONIVA04G19410.1 pep chromosome:AWHD00000000:4:20378015:20378299:-1 gene:ONIVA04G19410 transcript:ONIVA04G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRAASPKAAIVVAVCILLILSSSSVGPATAAMFCGDCDIICGASCDGSGVTSACGDKCDGQSPAEACDNCLRVTKRKCLTSCADYCSTHCT >ONIVA04G19400.1 pep chromosome:AWHD00000000:4:20367776:20376285:-1 gene:ONIVA04G19400 transcript:ONIVA04G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPGRIRLLLQPLPRFKLSTECVASFQRTFFITLKKDGAVLNRVFQPYESHIPYLLHFLLDGSSDENQEVPQEDDGAMPTEKEPSVSFMSRTGKNSHATTDKTGRESFSRSDIIVGWEIQLGSLGFFAEGAGIGLLKRISRTPPNQMKHPPMNPVEESCQEFPGASSADDVIDDASESNWSHTHASGIHVDGRIILNLWRLMRAEIKLNNYSLEAVADEVLRKVKRTSVLACVFSIDFFSVLSRSSLYRVESIYALEIGPYTKLPCNFPRKLTGEITPYHIKISSDI >ONIVA04G19390.1 pep chromosome:AWHD00000000:4:20366610:20367020:1 gene:ONIVA04G19390 transcript:ONIVA04G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTHHCQLPLHCHLAASGAAAPDRHHPPVPLLFGRRVSPGHRGGIPTVRPPRRATTEAWTPRRPTAPKGRSLRLGLPLGGQGKRVSRPGQAALSAASAWPAVAVRRAAAEPGWPSRSSPPPRCPKPPPAAAAQI >ONIVA04G19380.1 pep chromosome:AWHD00000000:4:20362539:20364241:-1 gene:ONIVA04G19380 transcript:ONIVA04G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPNFPRFPVPGHGREPQPGGATSSSSPGSRFNGLNSGAAPFVPRMVEGAGLAGRMMFGSGAAAGTGATTRSLALAAAVPRGATSVLTGPTAAADFAPSSRDTVYVPVNHDVPLLPIGHHVNLGSASAAGDAMISSSGTPAGAHQYMTNPYAGDAMINSPPSLSLVLTRTWSTHQQQQPPPPVQFKSHRLAPSTDMADPNGHHRRLPAGAPGRLNLGSASADFAPSSRDTIYVPVNRDNPLLPIGQFPQDHVKSGSASAAVDMINSSAGDDNARDFSSSPRLDVIAEAQSAAWGWDALWEDTGFAHCLHDPKIVLCWRYMYGGDDYCDLGCDCFDAHIYNDLQSKIRTGVAAASRSYSVPHPDLDLDLSDVSQFTGVFSSQQQPPASDEWNFAVRNMQQQQQQRSMTPSASSRAAAATDADGEDPPPPPPHSPSPSAEGSASPPTTPGTPTTRADEKNVGELNDVDYSLLLPDAPAPTPAPAPALPPSHTPIRHCRTTAPDRSVS >ONIVA04G19370.1 pep chromosome:AWHD00000000:4:20349125:20350061:-1 gene:ONIVA04G19370 transcript:ONIVA04G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGRSPALLLLVGFLWSQLQFGAEAAGTTVFTLRNNCTYTVWPTTLSGNTAVGGRRGRRLRAITRRQRLIPSPGWLVRPLMGVHRLCTLRHHVPHLRHGVLRRRRELLPRRRAARHPRRVHLLPRRRTARHPRRVHAGRRRREGLLRREPRGRRPTGESAHCSSPRRTRSAPRRPSPPPTRSFSPHRCRVAPRRPPTPSSSRRLSQRREREMRREKSGKERERGG >ONIVA04G19360.1 pep chromosome:AWHD00000000:4:20343396:20346599:1 gene:ONIVA04G19360 transcript:ONIVA04G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVLLAIAAQAQQEYEVTSSSSSLPSRRGAGEGHQQLPWAQQEYEVTSAATAGPCDTYLMFRSSPPLYASAVSIFNLLNVTATPGDEVVRGGDRGAPKLEEERAGALRPRHLPAARVAVAHPAAASGAVPTPPVSISRSVPHKGEVNHARCMPQRPYTVATKTCVDEVHVYHLGDGGEKSDVDVVLRGHEAEGYGLAPTQSTVLFQVSISTSRSTIAVDVPTCRSAASLSHGFAATEPASHVDGVCGTMAPAAVATATQNTRTMQA >ONIVA04G19350.1 pep chromosome:AWHD00000000:4:20341950:20342754:-1 gene:ONIVA04G19350 transcript:ONIVA04G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYRAQHRILPRVIRRAPHIRPRSQARAAAAEPSRFFSRPWSSPSGRERKDRGERRAAAMRAKWKKKRMRRLKRKRRKMRQRSK >ONIVA04G19340.1 pep chromosome:AWHD00000000:4:20333953:20340369:-1 gene:ONIVA04G19340 transcript:ONIVA04G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSDDSDPDIDEDLQRDLDALRQSCILSGNDPDAAVAQVSACLAAPPAAAAGAEVNGLSDDDDEEEDEDLALVRSIRENLLLNKASPSSPLPRPICAWPPSDSEDDEDDLETLRAIQRRFSHYHSGTSSGSEMNTKTEASKEGSGNFFGNELDEEFDAEKHNKEATTRTGFPKAALLLVDALKKNRACQKFIRRKMITIEAKIEENKDLRDRVKCLLDYQLSCRKAFGKILCQKEDPRVRLISSRKPCAQSTKNKDKKTPALFLGPADNPHVSKYKMVLKQLPMSLQKQPWSDVEKEKLAKGIKQQYQEALILNSINKGSSTGDFSAVDMAYALTNTAGNFEVTPESLRSVLPLINWDKIAAMYLPGRSGAECESRWLNFDDPLINHNAWTAREEKRLILTVQQQGMNNWINIAVTLGTHRTPFQCLVRYQRSLNHCILNKDWAEEEDLQLQAAVNTFGTNWQLVSASMDGRTGNQCSNRWRKTLNPERSRVGRWSLDEDKRLMVAVKLFGSSSWNKIAQFIPGRTQSQCNERWRNVLDPDIDLGEWRPEEDSILLASVDEFGPCWSKIAGAKIPHRTDNMCLRRWRKLCQDKLPSVKAAQQIKKSILQCNFVDRETERPAIGPSDLMPLVRSKVDGSDENTVSANVRKPRKRSRIPCEDNVLPGDTSNSSPSMNLPLSESIDAEAAVNTTTANSKKKPSRSRSKKQTDENLAVCDVNNSSNCSSGARKRKRSITDKNQVVQKKMKGSISGDNEAVVETVGTISADNEVATKRKTGSTSVGEEGTTKKTTRGSLSGKGEVNKRMRGSISGVQKGATKQRMRGSVSTDNHGAVMKSKRAPSRKSAEENSKADSMANAAFGSDLPTVASEDRDADNGNVKNGRLKSMPRPKQINMTEGSADKFSTSTRLADCMSFGRINGSSRVARHLCVSVKPLSNMIQSNGPSDVSAKDPTSAETDPTSVENSNTA >ONIVA04G19340.2 pep chromosome:AWHD00000000:4:20333953:20340369:-1 gene:ONIVA04G19340 transcript:ONIVA04G19340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSDDSDPDIDEDLQRDLDALRQSCILSGNDPDAAVAQVSACLAAPPAAAAGAEVNGLSDDDDEEEDEDLALVRSIRENLLLNKASPSSPLPRPICAWPPSDSEDDEDDLETLRAIQRRFSHYHSGTSSGSEMNTKTEASKEGSGNFFGNELDEEFDAEKHNKEATTRTGFPKAALLLVDALKKNRACQKFIRRKMITIEAKIEENKDLRDRVKCLLDYQLSCRKAFGKILCQKEDPRVRLISSRKPCAQSTKNKDKKTPALFLGPADNPHVSKYKMVLKQLPMSLQKQPWSDVEKEKLAKGIKQQYQEALILNSINKGSSTGDFSAVDMAYALTNTAGNFEVTPESLRSVLPLINWDKIAAMYLPGRSGAECESRWLNFDDPLINHNAWTAREEKRLILTVQQQGMNNWINIAVTLGTHRTPFQCLVRYQRSLNHCILNKDWAEEEDLQLQAAVNTFGTNWQLVSASMDGRTGNQCSNRWRKTLNPERSRVGRWSLDEDKRLMVAVKLFGSSSWNKIAQFIPGRTQSQCNERWRNVLDPDIDLGEWRPEEDSILLASVDEFGPCWSKIAGAKIPHRTDNMCLRRWRKLCQDKLPSVKAAQQIKKSILQCNFVDRETERPAIGPSDLMPLVRSKVDGSDENTVRKPRKRSRIPCEDNVLPGDTSNSSPSMNLPLSESIDAEAAVNTTTANSKKKPSRSRSKKQTDENLAVCDVNNSSNCSSGARKRKRSITDKNQVVQKKMKGSISGDNEAVVETVGTISADNEVATKRKTGSTSVGEEGTTKKTTRGSLSGKGEVNKRMRGSISGVQKGATKQRMRGSVSTDNHGAVMKSKRAPSRKSAEENSKADSMANAAFGSDLPTVASEDRDADNGNVKNGRLKSMPRPKQINMTEGSADKFSTSTRLADCMSFGRINGSSRVARHLCVSVKPLSNMIQSNGPSDVSAKDPTSAETDPTSVENSNTA >ONIVA04G19330.1 pep chromosome:AWHD00000000:4:20327400:20332496:1 gene:ONIVA04G19330 transcript:ONIVA04G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLGLYVHESLADCRFVLEYTVDLSSFRTCSDRCGCGAQQIRDDVTPSRIRYVSPFSAWAPLPPRPDRAAAAASAAASLQSLFFFSLHQQPHLASPLLAANERGRGRARTTATASGSRWLPCFPSSPPPSPRQPAKSSQQVVAGSEQEESSLAFLSPRNFDSFWEGIWCAAAAPDASHQQEQAPAEACQILRKPRYLSKILMVALLTAMCVVMLTQPPCHRRTPSVFSIHEPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNMGAIKVLQNLFPEPGRLQFIYADLGDPKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLVVLEAMAAHNVRTLIYSSTCATYGEPEKMPITEGTPQFPINPYGKAKKMAEDIILDFSKSKKADMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINSELNWTAQHTDLLESLRVAWTWQKKHRSGYGPPQAMVL >ONIVA04G19320.1 pep chromosome:AWHD00000000:4:20316255:20317085:-1 gene:ONIVA04G19320 transcript:ONIVA04G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAALGSDDGCSPAELRPSRYESQKRRDWQTFTQYLAAHRPPLELRRCSGAHVLEFLRYLDRFGKTRVHEPPCPSYGGRSPSAAGPVAAAAAACQCPLRQAWGSLDALVGRLRAAYDERHGRAGEPDAVAGAGAVATDSTSSSSAAAANPFAARAVRLYLRDVRDAQAMARGISYHKKKKRRGGNRNGARGGGGGGARAGVNDGDATAPPVAVTPGLPLPPLPPCLNGVPFEYCDFGSVLGGAHGAHGGHGGGGGGFYGAGVYLPFLYNTFS >ONIVA04G19310.1 pep chromosome:AWHD00000000:4:20314120:20314614:-1 gene:ONIVA04G19310 transcript:ONIVA04G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTTFYVCADALPVFVQERHIHIRDRDSPQRLPPPLLRDRQHRRRVPAAGVPVAGVRGDHVLRRRSLRWRCCFSRGVEMFDGTPIVGLSKAVKMSVMDANQAYARHHFDGGHLRGDRADVLAQQAGMDIGRWKCLLVTVAFGFFFRFLFYIVLLFGSKNKRR >ONIVA04G19300.1 pep chromosome:AWHD00000000:4:20311093:20312759:-1 gene:ONIVA04G19300 transcript:ONIVA04G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEDGDHAATTTTEQRYDDDGHLVPSSGGQEEEGSGGRDVVVPGGHVAEDYRSGVGVPVGRDAGGATSSPPQPVHVTPSILVGSIHAPVFQGELVGMKFGVGSCSMGAGTSATRRLPATGFGALPTSSMAEDSADHADDDHLAEEEEEEEEEHYIDDGPLVPSSGGQEEEGSGGRHVFVPGGHDGEEDHPDDLVADLDLDLLVDGVVGPVPGGHLNADAPAFVPTTRGRQDLYSALSSSAPAAGYRYRHYITSSALAEAGHVSPFLGLPYATAFDSPLDRELVGPSSAPPPCSAASRAWLVRCSSPLSDSEWTRRSILAREAAHTPASTVTGRGRFEFVPIPGAPYAPPPSFAPIAAGAGPAARPQQQLAFGLEEHKTKLCAEYYSRGLGCPRGNTCKYAHGEDDLRLVVAVSSLADAGEGSSSSDSSSAALGGEDKYKTKLCKTFTSGGLCLFAANCRFAHGEVELGKKEPCWYFFSGQTCPRGDTCGFRHSY >ONIVA04G19290.1 pep chromosome:AWHD00000000:4:20301796:20304128:1 gene:ONIVA04G19290 transcript:ONIVA04G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPESARLSVGGVSPDMGDTELRDHFGRYGDVADIWLRRDRLTGLPRRFAFAGSCTPPTPPSPSPTITTSSTARSNYEESEYINNIRRFGVMKGNMLTFHCVVDYISQDGKDIYVSIIVNNRSDVKSVNGNISSTRFVGKNSTKFCRYCQRVVTPSNCDGMVHTDACLIYQESFVHYPNYGVTIGHSWYPIGGFIW >ONIVA04G19280.1 pep chromosome:AWHD00000000:4:20297428:20298333:-1 gene:ONIVA04G19280 transcript:ONIVA04G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDADRNRPGRGRESQPGGATSSSSPPGSNFDGLAATTTGGASTGDSSRIASHLVELEDTGRRRSAAFVPSRHDPIYVPIGRPNPLGPIGHREDYYSGSGSATAFFPSSRDPVHVPIGRDTALPPIGHPSQGRVKSGSSSASAGDDMINSSSAAVSHSTGAGGGFSSRHAPASDEAKKQQRVMAIRRQQQLQLAMEIWRQQQQQLAAAMWQQQQQEDELAIRKQQQQDRSMVFWQQLRAMTPSASSSSSSSSSSSAAVAAADIALAPSAEAGSPSSPTTPTTTGADQKNVGDVVKRRSP >ONIVA04G19270.1 pep chromosome:AWHD00000000:4:20294297:20296084:-1 gene:ONIVA04G19270 transcript:ONIVA04G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNDEATTSSSPPSELDALDTVAKAVGDAVLFALKMDPKTTFLAHGLADFVASAASAAARDALSPIFAKLAAAEQPPPPSTTAPIIAAPAWAPLLQFAATQQIIPISARLFVFGVSKKRTTELDLRRHFKRYGYVADIWLRRRGGYAFVTYKKLTN >ONIVA04G19260.1 pep chromosome:AWHD00000000:4:20278824:20279759:1 gene:ONIVA04G19260 transcript:ONIVA04G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTCKLCYRRFGNPRALAGHMRSHSVAASRSQISSTSSASTSVAVGDDDGGGDAKRPIQGYVLREKPKRRVRLAESDFSDRESETEYYSSPPHGKRANTGSGDVEQVSSVSDATSEEDVALSLMMLSRDTWPATPPPPPPYRLRGAGYDDGSDGGDAPPAPAAAAAQKRTRFQCPACKKVFRSYQALGGHRASHMRGGRGGCCAPPPNPPPSPATPLQPLPECDGGEEEGAKPHPHECPYCFRVFASGQALGGHKRSQLCSAAAAAASGDDLPAMIKSNGFIDLNLPAPFDDVELSAVSDPFLSSKPGS >ONIVA04G19250.1 pep chromosome:AWHD00000000:4:20269209:20272263:1 gene:ONIVA04G19250 transcript:ONIVA04G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESSPSLHHGQMQPSPSSPRAPPPTAAQASGYKHFCRVCNKGFTCGSALGGHMRAHGVGDGDGLGADDDDDDDDDSLGDEAVRRARGGADDPWNAGGPSSSGAATHVYELRTNPNRVTRSRQVCKNCGKEFTSWEHFLEHGKCSSGEDDDDEDDVDRSLQPWSPSPEADGEEDPAPAAGWLKGKRSRRCKGTGVDLSPTPSACTAGEEEDLANCLVMLSSSKVDQAGVTEAEQPSSSSASKEHKRLITFMEPTTYVLDTVMALPPPAPAPQYVSTVPRGMFECKACKKVFSSHQALGGHRASHKKVKGCFAAKLESNAAEVAEPSHHAEVADRSEDNPAKATSDARRNVHASMDGDGNAGTSDAAAELSMAIVPIEPPVAALAAAPLKKKGKMHECSVCHRLFTSGQALGGHKRCHWLTSSSADHTASVPPLADDLVPLSFRPMLDAPEPALDLSIAANPPLLASAATVRPKVGGSSFHLDAPPPVYIPSSPAIPSQRNKATTTTGSQNANDAVGLSTAAAEDEADSTTVKRARLSDLKDVSMAGETTPWLQVGIGSSSRGGADDNDKE >ONIVA04G19240.1 pep chromosome:AWHD00000000:4:20265794:20267119:1 gene:ONIVA04G19240 transcript:ONIVA04G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTELASDVYALPCGDDGTTALSTPVVVSVLASLLERHIARNERDQAAAADGEAARRARAFDSGTVLDMSLHAFLERFSRYANVSPQVYVVAYAYLDRLRRGDGVRVVSANAQRLLTTAILVASKFVEDRNYKNSYFAAVGGLTAAELSSLELDFLFLMQFRLNVSVSVFQSYCRHLEREVQDLISGNADAPGPWTMAE >ONIVA04G19230.1 pep chromosome:AWHD00000000:4:20250503:20260933:1 gene:ONIVA04G19230 transcript:ONIVA04G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCATGLQVCPNRLPNKKVAMVSRGTFVFAVLVALPILSLPVSGYEQNYTAGRRSTMSLGRGYGWSSGGATWYGGPQGDGSEGGACGYQSAVGQRPFSSMIAAGGPSLFKNGKGCGSCYQIKCTGNRACSGRPVTVVITDSCPGGVCLNEAAHFDMSGTAFGAMANRGMGDRLRSAGVLKIQYKRVPCRFAMNVAFKVDAGSNPYYLAILVQYANGDGDLAAVHIMEARGGGGWKAMQQSWGATWRLNSNTGKPLSPPFSIRLTSGSGKVLVANNVIPSGWQAGSTYRSTVNYAA >ONIVA04G19230.2 pep chromosome:AWHD00000000:4:20248818:20250758:1 gene:ONIVA04G19230 transcript:ONIVA04G19230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFQLILSTFVVIAAVTMLPRPCASIEFHRKLSSWSNGGATWYGAANGAGSDGGACGYQGAVFQAPFSSMIAAGSPSIYKSGLGCGSCYQCPGGPCLSEPVHFDLSGTAFGAMANPGQADQLRAAGVLQIQYNRVPCNWGGVKLTFVVDAGSNPNYFAVLVKYENGDGDLSGVELMQTGAGAAWTQMQQSWGAVWKLNAGSALQAPFSIRLTSSSGKTLVASNVIPSGWKPGMSYISTVNF >ONIVA04G19220.1 pep chromosome:AWHD00000000:4:20241201:20247292:1 gene:ONIVA04G19220 transcript:ONIVA04G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 alpha subunit [Source:Projected from Arabidopsis thaliana (AT3G20050) TAIR;Acc:AT3G20050] MAITAQTPDILGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRGNDLVRNKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSLINCAKTSMSSKLINSDSDFFANLVVDAVQAVKTTNAKGEVKYPIKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVSTFADMEGEETFDSSFLGHADEVVEERIGDDDVILVKGTKNTSAVSIILRGANDFMLDEIDRSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLSKGIIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLTKEESGNEE >ONIVA04G19210.1 pep chromosome:AWHD00000000:4:20237946:20241107:-1 gene:ONIVA04G19210 transcript:ONIVA04G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVSHAKILAPIPRGNRRLAPAPPAAGGFLRALFPSRRSRPPPEKDELLRLISDQRRGLDTQSDPSRLADIVSCIDALAAAAPGSDTVSDADKLSGTWRLLWTTEHEQLFIVRNAPFFRTAAGDVLQVYTRYVEREQLGGPVSALWERMGSDSILLLPPWNAGRICSAMRREFNLPCLNFPGKILLNTWFNFCCIVNAPCHLRKTTAGSSRSRTTYGEALGGQALPDQVLEPLQRIEGKGSPCEKGRSMTLPCVVICDLVSHGQ >ONIVA04G19200.1 pep chromosome:AWHD00000000:4:20230908:20231430:-1 gene:ONIVA04G19200 transcript:ONIVA04G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGTVCSMCGDVGFPDKLFQCARCRYRFQHSYCTNYYGDAAPAPAGADMCDWCRSDVAGKARYSSAAGKQQGAGSQESSTTTSSSSAGRGGGGKPGAGEQESGRRGTKAAGRRYKLLKDVLC >ONIVA04G19190.1 pep chromosome:AWHD00000000:4:20217955:20221181:1 gene:ONIVA04G19190 transcript:ONIVA04G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGCGGSGATPRGVVGMHWAPVVTSPPSPQPPFLPPAPCRPDVQMQQQGGLTCLKLGKRPCFWGGDGAGQVAQGSGGGGGGGGGGSADQGKRKEKAATAVPVVPRCQVEGCDITLQGVKEYHRRHKVCEVHAKAPRVVVHGTEQRFCQQCSRFHVLAEFDDAKKSCRRRLAGHNERRRRSNASEAMARGSAHPHGMPVLGHGFPPYGLPTSSAGALSLLSSARATGPWLMPTPDISARSSAALDELIAENRAALLSWQFFSDRQPPPAGRPTGRSPGSETAGGWHAHLQAPPPPPGAGGQHEHQSGHVTLDLMQATTAAGGSGAPFRPVPARPAKEGGDAGCTSDAWTPSPMEGARVV >ONIVA04G19180.1 pep chromosome:AWHD00000000:4:20212134:20215490:-1 gene:ONIVA04G19180 transcript:ONIVA04G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G16650) TAIR;Acc:AT4G16650] MGRKPDPSKPHYGGGASPRAARRTQPSPVFLGTALFVLGFVSLFTGHIVTDADWSRIRSRWRSKQVRNNEPINIWKSRYSNLYYGCSRRSVNFRSAVPENSSTGYLLIGTSGGLNQQRIGITDAVVVARILNATLVVPELDHHSFWKDDSDFSDIFDVDWFISYLSKDVTIVKRIPYEVMMSMDKLPWTMRAPRKSMPDFYIDEVLPILMRRRALQLTKFDYRLTNELDEELQKLRCRVNFHALRFTNSIQTLGEKLVRKLRSMSSRYVAVHLRFEPDMLAFSGCYYGGGDKERRELGEIRKRWDTLPELSAEDERSRGKCPLTPQEIGLMLRALGFSNDTYLYVASGEIYGGEETLQPLRDLFPNYYTKEMLAGNDLKLFLPFSSRLAAIDFIVCDGSDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNLLFKRRKQMGWDIFSQKVKKVQRGLMGEPDDIRPGRDDFNEFPSSCICQRIPVNRSVTARAENL >ONIVA04G19170.1 pep chromosome:AWHD00000000:4:20208316:20213263:1 gene:ONIVA04G19170 transcript:ONIVA04G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H3Y3] MMSSNSLFQRLAKWCPWLLRDEPKKPVKVLVTGAAGQIGYAIVAMIAKGLMLGADQPVVLHLLDLPVAANALNGVRMELIDAALPLLRGVVATSDEAEAFKGVNVAILIGGWPRRDGMERKDLISKNVTIYKSQASALQQHAAPNCKVLVVANPANTNALVLKEFAPAIPAKNITCLTRLDHNRALGQVAEKLNVHVGDVKNAIIWGNHSSTQFPDASHATVSTDRGERPVRELIADEIWLREEFVTDVQQRGAAVIKARKQSSSLSAASAACDHMRDWILGTPKGTWVSMGVYSDGSYGVPEGVFFSFPVTCEKGEWSVVQGLEIDDFARSKMETSATELKEEKSIAYEFL >ONIVA04G19160.1 pep chromosome:AWHD00000000:4:20202539:20203497:-1 gene:ONIVA04G19160 transcript:ONIVA04G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVCNGMESGAHDILSTHARTPTSALAVAALHAVATAMDHAVITIDAGVGVHLEHPSTPQPKSSLSIIVSCKEHLNIRKNKEKKAGSGSKDTSKRKCQNTMPIHKKFTDNNIHIHRAAHRTDNNTDNLLGQQQQIPVNIFNLQQQLPNARK >ONIVA04G19150.1 pep chromosome:AWHD00000000:4:20198398:20199224:-1 gene:ONIVA04G19150 transcript:ONIVA04G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSCGDSFSASSIKAYVAEFIATLLFVFAGVSSAIAYDPAGLVAIAIAHAFALFVGVSMAANISGGHLNPAVTFGLAVGGHITILTGIFYWFAQLLGASVACLLLQFSTHGQAIPTHAIAGISEIEGVVMEIVITFALVYTVYATAADPKKGSLGTVAPMAIGFIVGANILAAGPFSGSSMNPARSFGPAVAAGNFAGN >ONIVA04G19140.1 pep chromosome:AWHD00000000:4:20190372:20191360:-1 gene:ONIVA04G19140 transcript:ONIVA04G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tonoplast intrinsic protein 5;1 [Source:Projected from Arabidopsis thaliana (AT3G47440) TAIR;Acc:AT3G47440] MANICANMKRCFSPPALRAYFAEFFSIFLFVFIAVGSTISARMLTPDETSDASSLMATAVAQAFGLFAAVFIAADVSGGHVNPAVTFAYAIGGHITVPSAIFYWASQMLGSTFACLVLHYISAGQARSLPIEMSLTLRHRLTRAVPTTRIAVEMTGFGAGILEGVLTFMVVYTVYVAGDPRGGDFGGRKGPAATALGALVVGAVTGACVLAAGSLTGASMNPARSFGPAVVSGHYSNQAVYWAGPMVGAAVAALVHQALVFPTVPEPAPAPATNESARHGSVQTVVV >ONIVA04G19130.1 pep chromosome:AWHD00000000:4:20185158:20187012:-1 gene:ONIVA04G19130 transcript:ONIVA04G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVVYRRRESSWRGGETSARLHQGAAAMSNPAGGKHLVRLAGSSSLRGGAALSPAVSISSGSRPATRAGARALRAASPPPACSIASVGCWESRALRLDGDEDWEVVVAQGDDAVGADSGAFDAVQEAADEHAEAFGAPPTDQEVRAAVASIQEVFENHPGLDSDAPAQALALSPISGLPPSGMFVNYFAEGSTPSDIKIEDSTPSDVKIDQLASLEHSTPDTASEECIEPAMLVLNSTALLTREHRNVLDAFHLLQVDSSVQKMVMALSTDKSVWDAVMKNEVVQEFRKSFQDAKEADPNGSSSASPGVMKWVMETTQAKIKEFLESILKLVNMLFQAQSEDYDLYDDTVRMSFMLAVFVFIVVTVARIK >ONIVA04G19120.1 pep chromosome:AWHD00000000:4:20182274:20185425:1 gene:ONIVA04G19120 transcript:ONIVA04G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MATQAIAPMHAAVVHRHHVLPPRRCVRRRGVFVRASAAAAAETDTLSAAFWDYNLLFRSQRDECLDSIPLRVTEGAIPPDFPAGTYYLAGPGIFSDDHGSTVHPLDGHGYLRSFRFRPGDRTIHYSARFVETAAKREESRDGASWRFTHRGPFSVLQGGKKVGNVKVMKNVANTSVLRWGGRLLCLWEGGQPYEVDPRTLETVGPFDLLGLAAADDNKATNASAARRPWLQEAGLDAAARLLRPVLSGVFDMPGKRLLAHYKIDPRRGRLLMVACNAEDMLLPRSHFTFYEFDAHFDLVQKREFVVPDHLMIHDWAFTDTHYILLGNRIKLDIPGSLLALTGTHPMIAALAVDPRRQSTPVYLLPRSPETEAGGRDWSVPIEAPSQMWSVHVGNAFEEANRRGGLDVRLHMSSCSYQWFHFHRMFGYNWHHKKLDPSFMNAAKGKEWLPRLVQVAIELDRTGECRRCSVRRLSDQHARPADFPAINPSYANQRNRFVYAGAASGSRRFLPYFPFDSVVKVDVSDGSARWWSTDGRKFVGEPVFVPTGGGEDGGYVLLVEYAVSKHRCHLVVLDAKKIGTENALVAKLEVPKNLTFPMGFHGFWGDE >ONIVA04G19120.2 pep chromosome:AWHD00000000:4:20182274:20185425:1 gene:ONIVA04G19120 transcript:ONIVA04G19120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MATQAIAPMHAAVVHRHHVLPPRRCVRRRGVFVRASAAAAAETDTLSAAFWDYNLLFRSQRDECLDSIPLRVTEGAIPPDFPAGTYYLAGPGIFSDDHGSTVHPLDGHGYLRSFRFRPGDRTIHYSARFVETAAKREESRDGASWRFTHRGPFSVLQGGKKVGNVKVMKNVANTSVLRWGGRLLCLWEGGQPYEVDPRTLETVGPFDLLGLAAADDNKATNASAARRPWLQEAGLDAAARLLRPVLSGVFDMPGKRLLAHYKIDPRRGRLLMVACNAEDMLLPRSHFTFYGQLAIASTNHAFSIRSSKKKKITLNGVSMAEFDAHFDLVQKREFVVPDHLMIHDWAFTDTHYILLGNRIKLDIPGSLLALTGTHPMIAALAVDPRRQSTPVYLLPRSPETEAGGRDWSVPIEAPSQMWSVHVGNAFEEANRRGGLDVRLHMSSCSYQWFHFHRMFGYNWHHKKLDPSFMNAAKGKEWLPRLVQVAIELDRTGECRRCSVRRLSDQHARPADFPAINPSYANQRNRFVYAGAASGSRRFLPYFPFDSVVKVDVSDGSARWWSTDGRKFVGEPVFVPTGGGEDGGYVLLVEYAVSKHRCHLVVLDAKKIGTENALVAKLEVPKNLTFPMGFHGFWGDE >ONIVA04G19110.1 pep chromosome:AWHD00000000:4:20177688:20178719:1 gene:ONIVA04G19110 transcript:ONIVA04G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAKPHLSSSSFLPSTRVSSPAPGPNHAKPIAASPAPRRCLRLAVTSAAAPAASSAEAAAALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQASVIRDLVLLSCVGLHPVLVHGGGPEINSWLLRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKHLVSLINLAGGTAVGLCGKDARLLTARPSPNAAALGFVGEVSRVDATVLHPIIASGHIPVIATVAADETGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGQVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >ONIVA04G19100.1 pep chromosome:AWHD00000000:4:20166139:20173951:-1 gene:ONIVA04G19100 transcript:ONIVA04G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone mono-ubiquitination 1 [Source:Projected from Arabidopsis thaliana (AT2G44950) TAIR;Acc:AT2G44950] MGSTGEPDRKRRLSSSVAPGGGAPVSPAKRLAVAPTSEDKKLDFTVLKYKNQKLSEQLEAHKFEYRALENKFAGLKEKQRTHNETLSLVNSSWEQLVADLKSRSFCKSGSPNSSPGSGHNNVQKDGTCAPIERDTLRSLVESGATESSGCLPGCHLGSDAPPLHLSTANALGDIFFPSSDLLQANEECALAALTKLPENDRSKQLQSTSSNLLSSLNNVVQALSNLQLKHKQLAEDYQNQRDSSARKRAEHRRLKEELASAASELEETNYKLAALKAQRDNTQGARIPYPTLGNKSMPEDKELISKRLVEIKRLHEERIEILNKIATFQNILMDFKSIRSSKAFQLVNDRLQKSQAELDHYQTLLEKLQVDKDKFVWQERQFNLKVDLAEIPERVSTYCRNQVITKFKALVSSIPREMGAMQSEMTKHKEASLELNSLRAEVHSLSRILSRKERDNEEASCRSARAGSDITQLQSVISDLKQTNKELKLFADMYKRESTDSREIMESRDREFLEWAHVHALKSSLDESKLEQRVKAANEAEAITQQRLATAEAEIAESGQKLGTSRKYRIMLLNIVSLRTVEVGVTSLLGDLVSLSHMLKSKQEECEAYRVEVECIGQAYEDIQAQNQQLLQQIIERDDDNTKIFMEGVKAKQTQDALHLETYSLRRNLQQESSLMDLYNQKIVSLEDQLKMWSDRVGKLQEDGWQQSVSLSNYQRKLVDVHRDAQKLMQSLDGIQANVGSSRLEVADLLIELEKERFSKKRIEDDLEVMSRKASSLRAKARESAVLEKLRHEVKEYRGILKCGICHDRQKEVVITKCYHLFCNQCIQKSLGNRQRRCPSCSLSFGANDVKPIYI >ONIVA04G19090.1 pep chromosome:AWHD00000000:4:20154290:20154943:1 gene:ONIVA04G19090 transcript:ONIVA04G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSHDLASPTSPDTASSSSSSTSTSSSSATVAPKKRPRNDGRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRTAHLNFPDLAHLLPRPATAAPKDVQAAALLAAAAADFPSVSVDANAKSPDTCSVASAASPQPPPPDAEADPDSTLFDLPDLLLDLRYETSSSLSCGASWAVDDDVAGGVVFRLEEPMLWDY >ONIVA04G19080.1 pep chromosome:AWHD00000000:4:20143402:20144727:-1 gene:ONIVA04G19080 transcript:ONIVA04G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCMATYGAATVRVGRCRSDVVVALVVATEEIGVVSLVLSSTVGGGTEMSRVGFGGADRGWGDSVLKAEIGGCTEMVDEPKIGGGTEETGEAEFLVEIGGGAEETGEKGDERRSGEWRRQLAGWEGGCGVRRATAEWAMRSGRRVGARAPGDDGGGDVHRRDGRENWRRRWISRSEGKCDDFGGTGVREGGCYSGRQISWG >ONIVA04G19070.1 pep chromosome:AWHD00000000:4:20140211:20140853:1 gene:ONIVA04G19070 transcript:ONIVA04G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSPAPRYLRKSLFRMQVLKSLEVAHGSSRVGVERLADSPESGNGHWIHPSVTTTDRSLHTRGVGRWRGGGICAGGGRVPDPPLTSSRPVDPRMWRAEGWRIRVKGEVSTGSTPPVTAIYGSLCTGGAGRWRGGRIYVEEGRVQDPPLTSPRPVDPHAWGAERQRDHPCQRERWHRIGWSLSLSITYVLPLDPFPISPTRYKLVPPS >ONIVA04G19060.1 pep chromosome:AWHD00000000:4:20137809:20138552:1 gene:ONIVA04G19060 transcript:ONIVA04G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQVMSQESNSCTCSSSSNDASSAACSSLNASSPSSVDSGSAGGGGGGKKRPRSDHLKHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFDTAEMAARAHDVAALAIKGRTTHLNFPDLAHLLPRAASASPKDVQAAAALAAATASPAPALSPTPCHDVDAAADDEPEPAEPEQATAPVCIVENGTLQQDGGTGLDYTYFTMPDALLEFGFTLPPPPPPYYCGSPWDDDADDFFFGEPLVLWEH >ONIVA04G19050.1 pep chromosome:AWHD00000000:4:20132818:20137505:1 gene:ONIVA04G19050 transcript:ONIVA04G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVWWAAGRPFGGEDPMTERGLVVVAAGPTGSEPCRPGPAGVPIGEGNVTYIEIFRSEKKRVLKLHIMA >ONIVA04G19040.1 pep chromosome:AWHD00000000:4:20131687:20132543:1 gene:ONIVA04G19040 transcript:ONIVA04G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration responsive element binding protein 4-2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H3W9] MEADASHTPTTSSSVSVSFSSSSLSTSSSTSSLVDNGAQDRPKSSKPKHAAKKRKRAAAEEPANAAHGAGEDTSSCSTDDNAAASGKAQAGGGGGGVDSSSTCTAASAPRSGFKHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRNAHLNFPDSAHELPRPASTSPADIQAAAAKAAAEVRCEEESSPSSSPTAEQPEEEAACPDTVHADGGQDNALFDLPDLLLDLRDGLWWSPVWPAALAAEEYDGGDAVVLNEPLLWAE >ONIVA04G19030.1 pep chromosome:AWHD00000000:4:20118004:20125342:1 gene:ONIVA04G19030 transcript:ONIVA04G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPGGVRRRSGRRGAGGGGAGGGGEALRKGPWMAEEDEVLLEHVRTHGPMDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKSGCKFTAEEERVVIELQAQFGNKWARIATYLQGRTDNDVKNFWSTRQKRLARLLRGPLPAARPNKHNSGKGKAPSSSSLDSQTATFHQSSASLDQASLEGNSLGWQCREAAPFMGYDQACSGFFAFEGPLPLQLLPPADGEASSSNAAQSAPPPLLFDQPPYPLINFPGWPERYVDVGHGFVDAGAMDGLAYQELLPMVQSVPMIMPFFGMECAHDAVKHGAFDDLPPNMFDDAVDQPPPPPPPPPPPSPSPSPSRDDVLSNNTKYYEVLGVPKTASKDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLTDPEKRDIYDQYGEDALKDGMGGGSDFHNPFDIFEQFFGGGAFGGSSSRVRRQRRGEDVVHTLKVSLEDVYNGSMKKLSLSRNILCPKCKGKGTKSEAPATCYGCHGVGMRNIMRQIGLGMIQHMQTVCPECRGSGEIISDRDKCTNCRASKVIQEKKVLEVHIEKGMQHGQKIVFQGEADEAPDTVTGDIVFILQVKVHPRFKRKYDDLFIERTISLTEALCGFQFILTHLDSRQLLIKANPGEIIKPGQHKAINDEGMPHHGRPFMKGRLFVEFNVEFPESGVLSRDQCRALEMILPPKPGHQLSDMDLDQCEETTMHDVNIEEEMRRKQYQRKQEAYDEDEEEDAPRVQCAQQ >ONIVA04G19030.2 pep chromosome:AWHD00000000:4:20120449:20125342:1 gene:ONIVA04G19030 transcript:ONIVA04G19030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRVPRSNNTKYYEVLGVPKTASKDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLTDPEKRDIYDQYGEDALKDGMGGGSDFHNPFDIFEQFFGGGAFGGSSSRVRRQRRGEDVVHTLKVSLEDVYNGSMKKLSLSRNILCPKCKGKGTKSEAPATCYGCHGVGMRNIMRQIGLGMIQHMQTVCPECRGSGEIISDRDKCTNCRASKVIQEKKVLEVHIEKGMQHGQKIVFQGEADEAPDTVTGDIVFILQVKVHPRFKRKYDDLFIERTISLTEALCGFQFILTHLDSRQLLIKANPGEIIKPGQHKAINDEGMPHHGRPFMKGRLFVEFNVEFPESGVLSRDQCRALEMILPPKPGHQLSDMDLDQCEETTMHDVNIEEEMRRKQYQRKQEAYDEDEEEDAPRVQCAQQ >ONIVA04G19030.3 pep chromosome:AWHD00000000:4:20121390:20125342:1 gene:ONIVA04G19030 transcript:ONIVA04G19030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRVPRSNNTKYYEVLGVPKTASKDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLTDPEKRDIYDQYGEDALKDGMGGGSDFHNPFDIFEQFFGGGAFGGSSSRVRRQRRGEDVVHTLKVSLEDVYNGSMKKLSLSRNILCPKCKGKGTKSEAPATCYGCHGVGMRNIMRQIGLGMIQHMQTVCPECRGSGEIISDRDKCTNCRASKVIQEKKVLEVHIEKGMQHGQKIVFQGEADEAPDTVTGDIVFILQVKVHPRFKRKYDDLFIERTISLTEALCGFQFILTHLDSRQLLIKANPGEIIKPGQHKAINDEGMPHHGRPFMKGRLFVEFNVEFPESGVLSRDQCRALEMILPPKPGHQLSDMDLDQCEETTMHDVNIEEEMRRKQYQRKQEAYDEDEEEDAPRVQCAQQ >ONIVA04G19030.4 pep chromosome:AWHD00000000:4:20118004:20120069:1 gene:ONIVA04G19030 transcript:ONIVA04G19030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPGGVRRRSGRRGAGGGGAGGGGEALRKGPWMAEEDEVLLEHVRTHGPMDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKSGCKFTAEEERVVIELQAQFGNKWARIATYLQGRTDNDVKNFWSTRQKRLARLLRGPLPAARPNKHNSGKGKAPSSSSLDSQTATFHQSSASLDQASLEGNSLGWQCREAAPFMGYDQACSGFFAFEGPLPLQLLPPADGEASSSNAAQSAPPPLLFDQPPYPLINFPGWPERYVDVGHGFVDAGAMDGLAYQELLPMVQSVPMIMPFFGMECAHDAVKHGAFDDLPPNMFDDAVDQPPPPPPPPPPPSPSPSPSRDDVL >ONIVA04G19020.1 pep chromosome:AWHD00000000:4:20113177:20113992:1 gene:ONIVA04G19020 transcript:ONIVA04G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGGEEAERWPWWAGASAAQVAAGVAWFRRGRGGAAFAMPFKAFAIATLFVGAGATAVTAGVLAAGVGSVDEMKGVGASIRRWMGAPPRRRVEGGGDP >ONIVA04G19010.1 pep chromosome:AWHD00000000:4:20109777:20113085:-1 gene:ONIVA04G19010 transcript:ONIVA04G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G61540) TAIR;Acc:AT5G61540] MWDPCGRGGPSQATDMWVSSKGLTTVEQPNPAAKSQLSMGRSRRSYARLLLLGFLLLRFSWCLAVADDGGGAEEGGGIFPVVVSTWPFREAVRAAWEVVSASGGAGCSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMDGTTMEIGAVAAMRYVKDGIRAAKLVMDHTEHTLLVGEKATSFAISMGLAGPADLSSPESIGKWTIWRQNHCQPNFWKNVVPAGSCGPYNAIDVPSGECKASAKRVLERTQGDICQGLFEPNNLLEPMNSRLKIVNRHNHDTISMAVIDKMGRIAAGTSTNGATFKIPGRVGDGPIPGSSAYADDEVVESMRRGMEPRDAAMDAILRIARKYPDFVGAVFAINKKGVHAGACHGWTFQYSVRNSSMQDVEVITVSP >ONIVA04G19010.2 pep chromosome:AWHD00000000:4:20109777:20113085:-1 gene:ONIVA04G19010 transcript:ONIVA04G19010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G61540) TAIR;Acc:AT5G61540] MWDPCGRGGPSQATDMWVSSKGLTTVEQPNPAAKSQLSMGRSRRSYARLLLLGFLLLRFSWCLAVADDGGGAEEGGGIFPVVVSTWPFREAVRAAWEVVSASGGAGCSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMDGTTMEIGAVAAMRYVKDGIRAAKLVMDHTEHTLLVGEKATSFAISMGLAGPADLSSPESIGKWTIWRQNHCQPNFWKNVVPAGSCGPYNAIDVPSGECKASAKRVLERTQGDICQGLFEPNNLLEPMNSRLKIVNRHNHDTISMAVIDKMGRIAAGTSTNGATFKIPGRVGDGPIPGSSAYADDEVGACGATGDESMRRGMEPRDAAMDAILRIARKYPDFVGAVFAINKKGVHAGACHGWTFQYSVRNSSMQDVEVITVSP >ONIVA04G19000.1 pep chromosome:AWHD00000000:4:20093434:20094628:-1 gene:ONIVA04G19000 transcript:ONIVA04G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERAEDLRLSLSLSSPLIAPRTHHVAMLFHAPPEKRFLEMPLLPAAKRSEVVAAEEERAGLRGGGGSDEEDGGCGIDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKATLAQQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPHLYMNMSPPTTLTMCPSCERVSNTNNNSSAAAAADRRGIRTTTAAAGGSVVDTAADGGILCHRPIAVRPQQS >ONIVA04G18990.1 pep chromosome:AWHD00000000:4:20088988:20089436:1 gene:ONIVA04G18990 transcript:ONIVA04G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSATSSGVGHGWRRTRPPATNSDVARMVEDVAAGDELRHGTWMVGPPAMMLWCSTLIYGRYHLIPCKYHFLRGKNRMISDRYHLIPVRYQDLIPRKYHPLRGKNRMIPDKYHLIPHKYHLIRGRIA >ONIVA04G18980.1 pep chromosome:AWHD00000000:4:20086412:20088935:1 gene:ONIVA04G18980 transcript:ONIVA04G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPCLDNGNATYRNPVLTGLLLIQRGEIPDHGRPEQQQQLPVQDTVPLQHARMHGRHGWRRCGAYAHTVDALSRNRELLAGGAGCGTHARTIDALRHDREPLAGGTGAGVGEEDPNTDAAADEEVEHEGAALAAVIGASMTSTYLASSSRQQRMWPPATSSDVAWTVEDAAIGDELRPGSG >ONIVA04G18970.1 pep chromosome:AWHD00000000:4:20081687:20082925:-1 gene:ONIVA04G18970 transcript:ONIVA04G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAPAPEARVSGGDVPARLQQALALLFPTNLAAKAVLFAVVVALLPLLPTSQAPRIWELPHILLLGLIISYGVFGQRNADSEVAAVAATKTVDDESVESYVTQMMHGPLVFEENDGGGEADAAGKEGVQAWSSQYFPDDPLVVVADAGAGSNTGKGDESEKPLLLPVRKLKPATEESATLTESFSDGAIEEEEEEEEEETEFLLRKARYGGVREHAIPSPSSVLDADLTLSLCSPPLLPPPPPPPPPPPFLDHDRPALRKAKARSFNDYGRVGLQTAAGGGGGGHNFRSKSAIQASRSTFPTPPFDDHDLEEKVAASDISSFSSDDVVTDDGEDGDNHKEIYNYEEEEGDVDRLDDDDGSCDEELFELATRLAPEEEEVVEDEVDRKADEFIAKFREQIRMQRVVEPGRR >ONIVA04G18960.1 pep chromosome:AWHD00000000:4:20076216:20078563:-1 gene:ONIVA04G18960 transcript:ONIVA04G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRVINAVLFTVLAVLVALVLGYFVVRCQRRQRRRRRRGAVLPSHGARADRFQSGGGTSGYGAGGAGGAEEALVRFPGGEGLTVAAILEAPGEVVAKSGHSTLYRAGLSAGEAVALLRFVRPVCAAAADEATAAARLLGALQHPNLVPIRALYVGPRGEMLLVHPFYAAGSLRRFLQEGINVSQKWGIICKLSIGIVKGLDHLHSGSQKPIVHGNLKTNNIMLDADFQPRISDFGLYLLLNPAAAQQMLEASAMQGYKAPELIKMREATRESDIYSLGVILLEMLAQKEAANSSSPNARDIHLPSSFKDLVLERKISDAFSSELVKQSKNSGKEQNLNAFFELATACCNPSPSLRPDTKRILKMLEEISR >ONIVA04G18950.1 pep chromosome:AWHD00000000:4:20059333:20075207:1 gene:ONIVA04G18950 transcript:ONIVA04G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06530) TAIR;Acc:AT3G06530] MASIASQLQAIKSAVGAAPEPARRPITRPSVLFDAKEAADIDLRAILPIALSGLEHLEGVDERFRRYRNTLFSETSLEVNREQLTTKENDKLNKSISSYLRLLAGYLQLQAAMQTLEYLIRRYLNSKWAFLDAVKSSGAPPPRSVMVQQCIRDKAVLETICNYAAPTKEFHHSRTVVCFCTAVIVECLGAIPKLDTDIVQRVLGFVFDSLNPAMKGDQDYKAGALMIIGVLATRATLAPKLVQNLIFFVARAAQHDALDTIDLPWLRVTVMAIISLVQSQSVTDFPKKPLMILKDIRDFSGILSVLCCEFNIERFIRLYVESLVGYSSSDDSCHSHLIEIVETLNIEKFVERIVYKVLDHCVKASQAAENLDMNRTGLWSKKTLNVIGKKYPKELRNAIHKFLENSEVNSIGEDFASNLLGLVFDESKGMPSEISDSNIWFSLDHPKAEVRKSALSKIATSNIFKNHNLNPQNLINMQDAIIHNMYDDDLSVVEAALSIEGLAAVASPVSLLKVYDDLLANCINIIHKGGPKASKACDVAVSCLEKIIIEYRLHYIEHAKDIAAVVFRLLIVHPKTVRVNLKALELAKSIQWEFYTSSSLVYNVITTDKMKGISPESVASINMKNIKAFSETFLANPNKHVEWLADAGKGSAFSRALFLLIILQSLLAPAEVLDMQMSLCQACLPVLKNKWCQIKPKDGRVGDEINIDKLEKCITELVKHVFNNDTEALNARILINDGGNTLLDDLFLFFITSPGKIIFQKHLQYLMVNCTRAPFQFISKYFVDEGFSAGVRVESLLMLASICSVCALSETSSLDESLCVQLLLGFPCVMLPLAHENKDVRSSALKCIEGLSLVWQRLSASLSRNGNGSKLPKCMLSPTFGVFLGSLVNQKTMISSDTRFLPAYISSLLSPSQDLMVPENLHERFDQSTKDAILHFILRSGMKLSSYGKFMILSILKGVGSILFDVEDVKSLLFDLLDRRNQYQSGCESRQIMSTHEIQILCLLLEVMFSVSNSANVSSETSEALLKVLRIDVSAQEDPVVVMPCVTALQAVQPVFFDFLKTDTQEKVLASLISMFRTENTEIRNAARDAILRINVHASTAVKFIELIAAQGDKKMNSKRIKRKEDLNHDIFKNFDDLFGVKPTASVLVSLLDVLFLKKDVIQRTCLLQPLFQLLSKLLSDQWILGIVCQYNKGHDASPENPDLSNFMIEAQQLVLLILKDITDTLQSGHQDELFNCRDINLLINCIQSAKDLGTRNHGFSLIASLAKAFPQVVSESIEDLFVAIGDAVKQLFIKALVDVVEHRRLTLMVYLLRTLGEKKCLSTVIMCLLHSLVGRISHSPEHQGALSLRAMPQEWEYGLAVNITNQYSYKLWFHCLSKLLQEIRVHEKQYLLPMLHLAMQFILFKLQDTELIFDLDSEEAANSIQGSLGELMKEVVLCVAAVRDKKTGISGDALKELRDSANTILKVIAGWMCASTYFKGISRMLEHSRSVVKRKALGILCETAKGNSLIQKKQKKARKLNHSTPATALQVDKSSAPCFSELCVKILELVDREVDSDSSVRIAAISSLETLAKEYPSDNPAYRKCLAKITNHINSGDAVTSSRSIYTVGSLINVLGSKALPQLPLIMKNMLQVSHQVSFCPSGKYAHSSTKTDAKLSNQAIPILLSVLTTVEVIVKKLGEFVNPYLEEILDLVVLHPECASRNDEKLDAKAADVRKLLTDKVPVRLMLSPLLNLYNGAIKCGEASLSLAFEMLSTLVGAMDRLAVGTYHTKVYEHCLVALDLRRQHLDSLKNIAIVEQSIIHAITTLTMKLTEATFRPLFLRTLEWAESEVDRSTSKRSMDRAIVFYKLVNSLAEKHRSLFTPYFKYLLEGSVQYLSEDDALISSKQKKKKAKLEDAPVEQKDKLSGPKLWNLRALVLKSLHKCFLYDNDQKILDSSNFQALLKPIVSQFVIEPPEHFESVPEAPSVDEVDETLVLCLGQMAVTARSDVLWKPLNHEVGTKHYARSSFFLDNGQVLMRTRSDKVRPKMLGLKVVRHMVQQLKEEYVVLLPETIPFLAELLEDVELPVKTLAQEIVKEMETLSGESLRQYL >ONIVA04G18940.1 pep chromosome:AWHD00000000:4:20045577:20049241:-1 gene:ONIVA04G18940 transcript:ONIVA04G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIAVTLSAKVAAALSGPAAVRLSSLAGVRSGIEAAARDLELLRAFLRFVDSLHGSDPLADAWVDQVRDVAFDLEDAADEYAFLSGHGFFRHGANLGAWFALSRRLWRARERLRELSAAKEQLGIRPAEVSASSSGGAGGLSAAMIGRKIAEASHFVEEGEIVGFAMHERLLMKWLTGDTDPRQLLIAVCGMGGVGKTTLVTNVYKKVAATCHFDCAAWVAVSKSFTTDDLLRRIAKEFHRDNRGCVPWDVDNMDYRSLVEALRGHLAKKRYLLLLDDVWDAHAWYEIRHAFVDDGTKSRIIITTRSQDIASLASSNRIIRLEPLSEQEAWSLFCNTTFREDADRECPYYLRHWASKILDRCCGLPLAIVSVGNLLVLKDRTEFAWKSVYDSLVWYESSDHGIGQVSSILNLSFDDLPYHLKKCFLYCSIYPEDFMIKRKILIRAWIAEGLIKEKGQGTMEEVADDYLNQLVQRSLLQAAVQNEFGRAKRCCIHDLIREMIVHRSTKERFFVFSKCTVTLKSSKKARHLVFDRCRSDRLSAPKMNSLRSFHAFKADLDASLFSSFRLLTVLNLWFTPIAKLPSAVASLLNLRYLGIRSTLIGELPEELGQLHNLQTLDAKWSMVQRLPQSITKLKNLRHLVLYRRRSADFTYPGPGTAIALPDGLKNLTCLQTLKYIEADEKMVRSLGSLKHMRSLELCGVHESNLIHLPSSISKMTCLLRLGIISQDANVKLDLEPFYPPPIKLQKLALVGMLVRGKLPSWFGSLNNLMQLRLHSSNLMEDSLGLLSSLPRLLHLSLVNAYSGKSLTFANGYFPALKKLSLHDLPNLSHLEFQKGSLVDLHVLMLGRCAQLNKLPQDIRNLVNLETMDLFEMPSEIIQNIQNNEILQEHNHESEHTIVIKNIRWNNGKLLEEKVYINLSLLESRPFIDKEQHNVKSQAEITADLVTFPRDILGDIESLTCGNVTESQSVLF >ONIVA04G18930.1 pep chromosome:AWHD00000000:4:20041473:20045132:1 gene:ONIVA04G18930 transcript:ONIVA04G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNGGGDGSGSGAASVVVNVYDLTPMNNYLYWFGLGIFHSGIEVHGVEYGFGAHEFPTSGVFEVEPKNCPGFVYRRSVRMGTTGMSRAEFRSFIEKLTVKYNGNSYHLISKNCNHFTDDVSKNLTGKPIPGWVNRLARVGSFFNYLLPKSIQVSAVRHVPTHPAFSDDDMDSRSCSISGDSDVDKLDQHLLSATTIELHSIEHQS >ONIVA04G18930.2 pep chromosome:AWHD00000000:4:20041473:20044189:1 gene:ONIVA04G18930 transcript:ONIVA04G18930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNGGGDGSGSGAASVVVNVYDLTPMNNYLYWFGLGIFHSGIEVHGVEYGFGAHEFPTSGVFEVEPKNCPGFVYRSGDSDVDKLDQHLLSATTIELHSIEHQS >ONIVA04G18920.1 pep chromosome:AWHD00000000:4:20038041:20041160:1 gene:ONIVA04G18920 transcript:ONIVA04G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 8 [Source:Projected from Arabidopsis thaliana (AT5G47240) TAIR;Acc:AT5G47240] MESSLVDTAAATLCLAAAVGGRRRAGSFLNCSCSSKEYRVSASYSIGRMLSGVRSAARKKLFRSEPADLLGISWSPDSPPSNGTDGGHHHHWWTALENNFVLESSEDEYGGVVVDADRLPSDKAAFARSLAASLSYWKSVGKKGVWLKLPVDRSEFVPIAVKEGFKYHHAEEAYVMLTYWIPHEEPCMLPANASHQVGVGGFVINDQMEVLVVQEKYCGSSLDGAWKLPTGFILASEEIFTGATREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPTSNNIKIDETEIQAAKWMPLEEFVKQSFIQEDHMFQKIMDICIQRLRKCYCGLTAHNVISRFDGRRSTLYYNVSEPEDVNCNAS >ONIVA04G18910.1 pep chromosome:AWHD00000000:4:20015866:20025084:1 gene:ONIVA04G18910 transcript:ONIVA04G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHHGDADDFALEFIREHLLGVDGATATATEPADLEVVEPAAAYPPMSWQEQRQQEQHGCHVELTDEHLESAPAAEAAAAFRTAPAQPAAEVMIKFGGEPSPVRPSSSLTISLPPSSFGSWASAAAPAAAAVEDFRKYRGVRQRPWGKFAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRMRGAKAILNFPNEVGTRGTELWAPPPPPPAHSAAASTTNKRKRQPSEDPDDGVEVIGVVSKAVKTEAPTSNSSSLSSSLTSRDTTPATSSAGAEHAGAAAESSPATPSSWSWEQYWEALLGGLPPLSPLSPHPALGFPQLTVN >ONIVA04G18900.1 pep chromosome:AWHD00000000:4:19988959:19989918:-1 gene:ONIVA04G18900 transcript:ONIVA04G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMLRNHPEASVLDTIRQHLLEEPRGGGGGGGEAAEASFGSLVADMWSDSLPFRDDDADDMVVFGAMRDAFSCGWLPDGVFAEVKPEPLLSPDSSSYDGSSCCFGFADVSEPVTPSDAASGAAEAAAAAAAATAEHGKEEEAAAAVARGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFDTAEDAALAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAAAAAAGDKRPSPEPATSESSFSSSSSCTTTTTSSSTSSSGSPKRRKRGEAAAASMSMPLVPPPSQLNWPVQAWYPAAAPVEQVAITPRVEQLVI >ONIVA04G18890.1 pep chromosome:AWHD00000000:4:19979645:19980091:-1 gene:ONIVA04G18890 transcript:ONIVA04G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:A0A0E0H3U9] MADQHRGVIGGGGYGDRGGQEQQEKQPFMMTALKTVTAATAGGSMLVLSGLILAGTVIALTVATPVLVIFSPVLVPAAIALALMAAGFVTSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARLASKARDIKEAAQHRIDQAQAS >ONIVA04G18880.1 pep chromosome:AWHD00000000:4:19975369:19978869:1 gene:ONIVA04G18880 transcript:ONIVA04G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H3U8] MGKRWLPLEANPEVMNQFMRGLGVPAEAGFCDVYGLDDEMLAMVPQPVLAVILLYPQDRKKESVASPSSTVESKKLSKNVYFTKQTIGNACGTVGIIHAIGNALSRIKLVEGSYFDRFYKQTADMDPAQRASFLEEDEEMEKAHSVAVSAGDTEAKDGVIEHYVCFSCVDDEIFELDGGNSQPISHGPSSPDSLLQDAAKVIKARIAQYPGSLNFNVMALSKQ >ONIVA04G18870.1 pep chromosome:AWHD00000000:4:19971075:19975050:1 gene:ONIVA04G18870 transcript:ONIVA04G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G44830) TAIR;Acc:AT2G44830] MEIVDKIAEPKEPLMVTGRKVQSLEAPIPIKASWKGKSSQQQQQQQQQDEKDFPADGEESFLSLDSSDEGGRSSFSGASHPLEPIDMDLMKTVYVAIDEEKSEQPVCLVRGVSAKGPFIDDLSICVTGMKANAVVGAGGADGLAEEMKVPGAAVPSLATARSSQATEAVSLPPDSEEKDCVWDASLPPSGNVSPHSSIDSMGVVTAMNTMSSCTSTYKSEAVSSEPVPTMERNCGSVKGSVRGDSLESAKTSMSRASDSSGVSDDSSWSNITGGASKPHKGNDPRWKAIHAVRTRDGVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQAGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASAFDTDPRRAGGSFCVQPVCMEPTSVCIQPACFMPKLFGQKSKKKTKKTRSELGPSATTMPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYASRDLIKGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPDVPRPVEAELPVKYGVAEAIGSNSKRMVGADMKSGGKYLDFEFF >ONIVA04G18860.1 pep chromosome:AWHD00000000:4:19962648:19963868:1 gene:ONIVA04G18860 transcript:ONIVA04G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G58520) TAIR;Acc:AT3G58520] MQKVRLKWVKNRGLDHIIARTTSIRASCLLLDHLARLPSSSPVPARSLARLQKPLGLTVPVLRFLRRHPTLFAETLHPRFPTLPSFSLTPASDILLGRLARASALDSHLRLARLLLLTRSKSLPLASVLPLRFDLGLPYNFAAAFPVAHPDLFAVSNNHISLSATASGLPEGIAISSLQRRHAEAIEGATYRALSRPPSSSIAPLAFPMRFPRGYGGMKKVKAWMDEFHRLPYISPYDDASGIDPDSDIYEKRNIGLLHELLGLMVHKMVRRNAIRLLREELGLPHKFTRLFTRYPGVFYLSLKCKTTTVVLREGYERGKLVEQHPLAAVRDKVFYVMRTGVLFRGKGLSKLVLDEDGDEEVVMDGDEEFHGEGMDEDADVECFGMDIVDNEDNTDDEDNERDMYD >ONIVA04G18850.1 pep chromosome:AWHD00000000:4:19961251:19961975:-1 gene:ONIVA04G18850 transcript:ONIVA04G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAPRCLCPLVSKCGFPFDRFGGAGFLELERGYQPWVIPKSEARGGAGHAVKKVKRWLRKMDEQMDYEFYDWNLRSYRFKSPFDRRPLVGPRERCRKNAAKRTLRLVGLTDPDYLLQCEDAAFGDWEDSCEDEDEVFEW >ONIVA04G18840.1 pep chromosome:AWHD00000000:4:19954853:19956139:-1 gene:ONIVA04G18840 transcript:ONIVA04G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTATMIHVESMQTAVPTRITGAGRTLPVAVSGGEAPPPLTAASLQRRFRAVLYYRGIEQLQAEEEEEERAVWVKESLSASLADHPEMAGRLRRRDDDDGGVRGPWEVRLNDNGVRLVQASVDMPMSAFLEAKDLARREAALALWTDVDVHEPEFCAPFFMQLTRFQDGGYAIGASCSLLLADPLSLVDFLKAWARTHAEMRARGKPVAPPAVIQYARYLQSPGAAAAAVVRRLKSVPLDSCSAAAATTVLFRAAAGAQVDRHALAAACVDQAVETLGGNARKPPRLTVLAAGGSGELRVEACGCGDGEETTTPPPPSRGHHALRAAYWGDLGLGEIALDGSEPVHVSCTVVSPCADEGLVVVMAPAGGAELLISVTVPNY >ONIVA04G18830.1 pep chromosome:AWHD00000000:4:19952205:19953399:-1 gene:ONIVA04G18830 transcript:ONIVA04G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLPGVLVAVLLAAAAAPASAKDYTVGDSSGWTTGVDYTAWARGKTFNIGDTLLFQYTSAGHSVVEVSEADHTSCSAANPLRSYKDGTTIVTLTRSGTRYFICGSTGHCGAGMKLTVTVASLSGSATGGTRLAKPSSSDADPTTTTTTRTSSATGGATGSWAPRTATWLLFFAAVGALL >ONIVA04G18820.1 pep chromosome:AWHD00000000:4:19946962:19947620:-1 gene:ONIVA04G18820 transcript:ONIVA04G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G07475) TAIR;Acc:AT5G07475] MAQSCLALAVCVLLVHGGAARVAEAASYNVGNSAGWDISADFPSWLDGKSFFVGDTLVFQYSKYHTLSEVDEAGYRNCSTASAVLSSSDGNTTVALTAPGDRYFVCGNELHCLGGMRLHVPVSEPASPGGAGATPASPGGGGALSPGAAGDAGVPTLDLGGSHRVTVGPAVATWLCIIAAALFVW >ONIVA04G18810.1 pep chromosome:AWHD00000000:4:19944823:19945740:-1 gene:ONIVA04G18810 transcript:ONIVA04G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAANATNAAMPAGARVAADSSSDAIAGASEGPGDSEPRGDSSGAIRGDSSGAKMGAGVGATIGAGAGDTGAGAGDTGAGAGAKVGVATGARTGAASSGAGADVGGAVAGGSAAGGVARGGEATGAATVGGVARGGAATAKVGAETGGEEVAVGGDAAASCGAAAAVVGGAAAETCGAEAALVGAAAETRGAEAALVGAAAETFGAWAALVGAAAETCGAEAALVGAAADDTCGAEAAVVGAAAETCGDEAADACGVAALSGGPFDADGDEAGDCAAQETSSKQSARGRRSAIAASLSNQRLS >ONIVA04G18800.1 pep chromosome:AWHD00000000:4:19937054:19941615:-1 gene:ONIVA04G18800 transcript:ONIVA04G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF3598) [Source:Projected from Arabidopsis thaliana (AT2G44760) TAIR;Acc:AT2G44760] MQPPCLGACSGGLALPVYRYHRLSSASRATVSCAAAAGGGKASPRGKENVWSVDNDRAAAAAAEASRGPKHRRRRRPGGRRLPPPPPLGRRKGKDAAGSRVLVSGAMLVEVETVLQTQSLLFDDSWWILQYVPEDPLIDDGDEAIRALLYISNTATGWYAILREPVIKPSWDTFASSLSGVWKGVGAVFSPITAEMEPVGVGSKQEYLYDCYTLSHIEKHADNNYGSVIRRKTNWVQLNPHGEAEKQSAGYDSGDQYNYSDKRTLDLPAHESFDLKKSDVLDEDFIAQEPGIVYFEDGSYSRGPVDLAIGEFDESKYFISPTYKFEQCLVKGCHKRLRIVHTIELNEGGANIQIVRIAVYEEKWVSPAHIHVEDDTPVDVKPLSQRKRTKPSDLTGSWKVYEVSATPIFSEERQEIEGGALFVYLCMETVKKRSLPESSVFFGEEEMLDMQDVTMLWLPGGVTAYVDMDKDGILCIGVGWYSDEGINMVMERDYGTDGKLRDVRWKTEVKRRWNQPVLP >ONIVA04G18790.1 pep chromosome:AWHD00000000:4:19932221:19935110:-1 gene:ONIVA04G18790 transcript:ONIVA04G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNAGSFVAMRRLSGGSSCHDPSPNTYAEVVAGSTAWIGRGFSCVCAQRRDSDQRISFDLSPAQECCLQRLQNRIEVPYDGSNGEHQEALKTLWHVSFPGTELLGLVSDQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNYTKSFQELLCKQNGDRALWEYPFAVAGVNITFMLIQMLDLQAENDRAFDILYCITFKLMDHKWLEMHANYMDFNAVIKSTRRQLERELLLEDIQRIEDMPSYRFLDR >ONIVA04G18780.1 pep chromosome:AWHD00000000:4:19915532:19921837:-1 gene:ONIVA04G18780 transcript:ONIVA04G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQLETACLPAALYAPLCPYTPPSPPSFLAPLPSLQHKLPQLPQLVHDHAAATGTNHGVMFSSDHGCLYPLLPGIPFCLDSGCGAAACDDDKPAGFAHLGSAEADTSAAAAARVDSEIAAAATATTCHGPNSWWKGTEKGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHTHTPCSDDATTGAAGDHTASCAFTSF >ONIVA04G18770.1 pep chromosome:AWHD00000000:4:19906960:19913905:-1 gene:ONIVA04G18770 transcript:ONIVA04G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of asparagine-linked glycosylation 12 [Source:Projected from Arabidopsis thaliana (AT1G02145) TAIR;Acc:AT1G02145] MAPPRPSPAARLLREYGWDLLLGSIAAFYAVMVPYTKVEESFNVQAMHDILYHNYHIEKYDHLEFPGVVPRSFIGALVVSVISSPAVFVMHLCHVPKVYGLLAVRIVLGSIILMTLRLLRVQVKRKFGHHAEAFYLILTATQFHLLFYSTRPLPNVLALAFVNLTYYFWFKGNHRRTLQALIVAAVIFRCDMILLLGTIGLALLLTHSIHWYFTSALPRSMLVAYPLCMVGALLDRRIVPYILPVFSFVVLYSKLPHKELRFIMASIPMLNVYNNRKKTGWKLLYVLMIGGFLSSLGYSGVTFMASYNNYPGGYALKALHEADSVMKDKIVHIDAFTAMSGVSRFCESEYPWSEHRHISGYKCLFAVDGFSRAKIQPRIPLLSLVKEPKVFAHGNTRDPDILSLNWPGCP >ONIVA04G18760.1 pep chromosome:AWHD00000000:4:19899610:19900263:-1 gene:ONIVA04G18760 transcript:ONIVA04G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAASFLPLLPLRLAAARAAAGKAGRPQGRRRRGLSHRPRFASGEQGSVHDGAAVTARSRPLRPDLAGWRLAAGRATAKAGACGAGRWWLKAAGMAMAVGMASAKGAKAAAVVLVWRRRRCPPDPRLSAGSGGWPVVVVGDGGRIATAGDGSGRRRQRWWSRQLAAAASADDVGGGLGGSVAEGDSEAAAGSVGGDSWRRWLASVAAATAADLRRP >ONIVA04G18750.1 pep chromosome:AWHD00000000:4:19898759:19899582:-1 gene:ONIVA04G18750 transcript:ONIVA04G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRMIVGRKPSLGSFESRRTAAAVFPSLLFLKTSFWHPLGGDLWWSVTLSGGRSGASLLLGLCVGDVGVWVMV >ONIVA04G18740.1 pep chromosome:AWHD00000000:4:19892035:19895432:-1 gene:ONIVA04G18740 transcript:ONIVA04G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCRHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLTNYTPMHARDDIDAEEPRASKLKPPTLKLKEQKQLKKNPSHITMENGPFSDQNFRKMGDPDLSNRSGSGSALSYSESCAPYGTADASEMTASAQSHAWESLVPSKRRSCVTRPKPSQMEKLAKDLNSIMHEEQLLYLSGSSEEDLIYHSATPVDSFEMGYGSMLLRPNSKSLEEESEASSIPADNKSYITSESYSGSVSFVYSESKATSNQNVITEQPKKFLVQTSDNARRANLHTENQDTLENANSPLVSLHMEGKDSEETRVKTSASNRLTKSTMNPLKRPHDTHFQSSVELRGTMRSPKRVSKYGDAMGLKCQASFMPKPGNGKDLACSDRALNLFMLPPDKLSMLVPPQYANNDSDQDLLLDVPLNARHPEAELLCQPSQLSSVAHSSTSEGGNAGGEGRLKQP >ONIVA04G18730.1 pep chromosome:AWHD00000000:4:19887815:19890216:-1 gene:ONIVA04G18730 transcript:ONIVA04G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02150) TAIR;Acc:AT1G02150] MLLHILGSSTLAPARPSPLRQSGAGTGAATVRCASSSSNPSPSSSSSAAAAAGKQVAKVHSYGALDYERRAALRWSSLYRRIAVGHGGRPVGRTLGAWDEGERRLDKWELCRIARELRKFRRFNLALQVYDWMTERRDRFSLSSSDMAIQLDLIAKVRGVSHAEEYFEELPDPLKDKRTYGSLLNVYAQAMMKEKTESTFEQMRKKGFATDTLPFNVLMNFYVDAEEAEKVSILIDEMMERNVAFDVCTYNIWIKSCAAMQDADAMEQVFNQMIRDETVVANWTTYTTLASMHIKLGNSEKAEESLKEAEKRTTGREKKCFHYLMTLYSHLGKKEEVYRVWNWYKATFPTIHNLGYQEVLSALVRLGDIEGAELLYEEWASKSSSFDPKTMNILLAWYAREGFVTKAEQTLNRFVEKGGNPKPNTWEILGTAYLKDGQSSEALSCLEKATAVASPSKWRPRPTNVESLLANFKEKNDAESADRLMNVLRSRRCEENEEYKSLINTYAFQDT >ONIVA04G18720.1 pep chromosome:AWHD00000000:4:19883402:19887097:-1 gene:ONIVA04G18720 transcript:ONIVA04G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAPLADSSPDAGRLVVSSIRPPERRRSPPCLRLKTAILILKRRPSHERSTPLSTSCKNSPRESSDPLRSIMAEEEDLADMPRVVGVLAALLERVTERNDAAAAELELAVAGAPAASAFRATTKPDITVRAYMARIARFAGCSPACYVVAYIYLDRLLRRRRRACAFSVDSYSVHRLLITAVLAAVKFMDDICYNNAYFAKVGGVSLPEMNYLEVDFLFGVGFDLNVSPETFGHYCAVLQSEMLCLELEPPPSPSPAPAARLHCFLSEDDTSSSGSTQHQLAA >ONIVA04G18710.1 pep chromosome:AWHD00000000:4:19873877:19876878:-1 gene:ONIVA04G18710 transcript:ONIVA04G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPEVVVPPRAAGPRRYKGLVPWRFQPGFVRPPPVKPPAAAAAVAGGGVAGTPGGKGRGLGASGEGVGSSGGRGDPQSRRCTRSASAKGSGDARSVEEGGPRVAGDDGGSGKSGVAAEGSGFEGLRNGRGGGVGTAAAEDCGLEKSNPDGIVGDADVHLESGSDARDGECVSEGLKKPCVNNSNGSSAADCAPKVKKGNDSGDGGADECNAAAKSSNLACPGNNGDETNRKGRKVVLPWRFQVGFKRSFLKAFCSDSESSGPSGTQFYRAQDSSTPCTPATRSSVRCYASAHSGVRVSAMRDFSVKGEKETSTPYKKSKTGMDGPSQGMPKNGVVLARENIMGSLQNFRLIYRDLLDEEEEKSTEAVIRPDLQAYRIFRERFITDCDEKKYIGNVPGIKVGDIFHLRVELCVVGLHRPHRVGVDHIKQEDGTCIAVSIVSYAQSSDIKNNLDVLVYSGAMTAIANQKIEGTNLALKKSMDTNTPVRVIHGFVTHLNGNCQRKKIPTYIYGGLYIVEKYWREKEGNDRYVYMFRLRRMAGQKHIDIQDILNSGQAESYGGIIIKDISRGLEKIPVSVVNSISDEYPMPYRYIAHLQYPRNYQPAPPAGCGCVGGCSDSKRCACAVKNGGEIPFNDKGRILEAKPLVYECGPSCKCPPTCHNRVGQHGLRFRLQVFKTKLMGWGVRTLDFIPSGSFVCEYIGEVLEDEEAQKRTTDEYLFAIGHNYYDEALWEGLSRSIPSLQKGPDKDEEASFAVDASKMGNFAKFINHSCTPNLYAQNVLYDHDDKSVPHIMFFACEDIPPRQELSYHYNYTIDQVHDANGNIKKKKCLCGSIECDVPPLAKCQVLLDY >ONIVA04G18700.1 pep chromosome:AWHD00000000:4:19871087:19871527:1 gene:ONIVA04G18700 transcript:ONIVA04G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQRGHQPGRGRGRAAPPGWTGDAGSGQGSGPDGSWRYGWGWASGPGGGWGYGHSSAQSPGGTAFGFGFGGGGGGGGVGGRGGSSGRGGHGGGFGWAGGQGHGGWGAGAGAFGGGSGSGGGGGGWSARGGFHGGDSHRPQRGGGN >ONIVA04G18690.1 pep chromosome:AWHD00000000:4:19868832:19870245:1 gene:ONIVA04G18690 transcript:ONIVA04G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMINLRLVSHNIRSCRLRAFALPGLRANAANSFLGCLSHMVCESSAWLIRLAEDMLIHRFAASTHCLHFGSDLNIGDHHSKLATISSTQHCLHLILRLRPLSSSYLCCSNTRKQ >ONIVA04G18690.2 pep chromosome:AWHD00000000:4:19869662:19870583:1 gene:ONIVA04G18690 transcript:ONIVA04G18690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGPPCILFTKLNYISSVQFFLFAYVLISRCSFYQQVCESSAWLIRLAEDMLIHRFAASTHCLHFGSDLNIGDHHICEVTRMLVVFTFCCWFALLLIYLL >ONIVA04G18680.1 pep chromosome:AWHD00000000:4:19863234:19868356:1 gene:ONIVA04G18680 transcript:ONIVA04G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H3S7] MNALAATSRNFRQAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAIPYGGAKGGIGCAPGELSTSELERLTRVFTQKIHDLIGAHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEHGKSISGSTFVIQGFGNVGSWAARIIHEKGGKVIALGDVTGSIRNKNGLDIPALMKHRNEGGALKDFHDAEVMDSSELLVHECDVLIPCALGGVLNRENAPDVKAKFIIEAANHPTDPEADEILAKKGVTILPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNMELHKYMNNSFQHIKAMCKSHDCNLRMGAFTLGVNRVARATLLRGWEA >ONIVA04G18670.1 pep chromosome:AWHD00000000:4:19852482:19857539:1 gene:ONIVA04G18670 transcript:ONIVA04G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PA-domain containing subtilase family protein [Source:Projected from Arabidopsis thaliana (AT1G62340) TAIR;Acc:AT1G62340] MGSSRRGEEKGLPVPPPPPPNPGGVTSRRQKEAAMEIEAVGADACRKISGYCCGGAGSVPWIFHSGRKESRVFMVVMEDDPIISPKSSQKKVMRGEEAQKYKAMTTTKHDIFLDSFLPAGSYKKLYSYTHLLNGFAIYAKSEKAIKTLSGAKGVRLIQEDIKMAKMTTYTPRYIGANVVWPLLGGAEKAGDGVVIGMVDTGIDPSNPSFLSTSDQAKPPPASFKGTCQTGERFPPDSCNGKIVGARWFARAGQATGEFNATMHYASPYDPDGHGSHTASTAAGNFHTPAISKGYNFGHASGVAPGAHLAIYKAAYSFGGYMSDVIAAVDKAVEDGVDIISLSLGPTTITSGPASFLNLLETQLLLATKAGISVVQAVGNGGPDANSVVSFSPWITSVGASTTDRKYNKSIIIGNGQVFSCGGLSPSTPGETMYPLALADDVSNTNSTGGSSNCQDPDVFIRSLVQGKVIICMFVSSNYYEGDFLAGIVDTIQKIGAAGVIITDRSSSDSDIEYHPTFPTSIPSAIVVNSADAQALLEYYDDNLVRDKEGSVTKFGATIRILDGRRAIYTREAPVVAEYSSRGPDVDDMQMQAADVLKPNVMAPGHHIWGAWSPTSDAMIEFQGESYAMLSGTSMATPHVAGVVALIRQRHPKWSPAMVMSAIMTTADVTDRSGRPLMARRDGGVLERATPFDMGAGAINAARAVDPGLVFDAGYRDYLQFLCAVPGVDDAAVLRAVGVPCPPSRARWCSDLNAPSVTVASLVGSRRVDRRVTSVGAENETYMAHVQAPDGVAVRVSPDTFAVAPGATATLRIVLNTTAPGNTFSFGEVVLRGDKKHTVRIPLAVFPAAALSP >ONIVA04G18670.2 pep chromosome:AWHD00000000:4:19852482:19857539:1 gene:ONIVA04G18670 transcript:ONIVA04G18670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PA-domain containing subtilase family protein [Source:Projected from Arabidopsis thaliana (AT1G62340) TAIR;Acc:AT1G62340] MGSSRRGEEKGLPVPPPPPPNPGGVTSRRQKEAAMEIEAVGADGNPMLALINAYLQAYKFQTSYDCSSDTIVMAFCKPCLVFYSVLTFLILNGGPSHVLAKVFMVVMEDDPIISPKSSQKKVMRGEEAQKYKAMTTTKHDIFLDSFLPAGSYKKLYSYTHLLNGFAIYAKSEKAIKTLSGAKGVRLIQEDIKMAKMTTYTPRYIGANVVWPLLGGAEKAGDGVVIGMVDTGIDPSNPSFLSTSDQAKPPPASFKGTCQTGERFPPDSCNGKIVGARWFARAGQATGEFNATMHYASPYDPDGHGSHTASTAAGNFHTPAISKGYNFGHASGVAPGAHLAIYKAAYSFGGYMSDVIAAVDKAVEDGVDIISLSLGPTTITSGPASFLNLLETQLLLATKAGISVVQAVGNGGPDANSVVSFSPWITSVGASTTDRKYNKSIIIGNGQVFSCGGLSPSTPGETMYPLALADDVSNTNSTGGSSNCQDPDVFIRSLVQGKVIICMFVSSNYYEGDFLAGIVDTIQKIGAAGVIITDRSSSDSDIEYHPTFPTSIPSAIVVNSADAQALLEYYDDNLVRDKEGSVTKFGATIRILDGRRAIYTREAPVVAEYSSRGPDVDDMQMQAADVLKPNVMAPGHHIWGAWSPTSDAMIEFQGESYAMLSGTSMATPHVAGVVALIRQRHPKWSPAMVMSAIMTTADVTDRSGRPLMARRDGGVLERATPFDMGAGAINAARAVDPGLVFDAGYRDYLQFLCAVPGVDDAAVLRAVGVPCPPSRARWCSDLNAPSVTVASLVGSRRVDRRVTSVGAENETYMAHVQAPDGVAVRVSPDTFAVAPGATATLRIVLNTTAPGNTFSFGEVVLRGDKKHTVRIPLAVFPAAALSP >ONIVA04G18660.1 pep chromosome:AWHD00000000:4:19845373:19848727:-1 gene:ONIVA04G18660 transcript:ONIVA04G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRDVLLSIAQTPHRLRRRALVTWTPAQETSEVRDRSGARMKRRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFASYVIAGVSALLSSFCYAEFSVRVPAAGGAFSYLRVTFGELVGFFGGANILMEYVLSNAAVARSFTDYLASTCGITEPDAWRIQVDGIAKGYNALDFPAVALILVLTLCLCYSTKESAMLNMVITVFHLLFFVFIILAGLWNGSARNLVSPHGLAPYGVRGVLDGAAIVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVLVVSALYCLMSLALCAMLPYTEIAESAPFSAVFREKAGWEWAGSVVGAGASLGIVASLLVAMLGQARYLCVIARARLVPAWLAKVHPSTGTPMNATIFLDRANGSIKYTNNFTEVKVLTTVSDHENCNAGLCTASIALFTELQVVFEMISIGTLLVFYLVANALIYHRYAKLGANRSLHVLLFLLLLTLSSLGFSLSRRIHGQCRWGMALFGATSVTITAMFHCAVRRDMPEPPSEWMVPLMPWPAAASVFLNVFLMTTLKVMSFQRFGLWSFVIIVFYVCYGVHSTYSAEENEAVNAMIHHANMDIS >ONIVA04G18650.1 pep chromosome:AWHD00000000:4:19841408:19842037:1 gene:ONIVA04G18650 transcript:ONIVA04G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPTNAAAAAAAAARRVPPPCWTPDETLALARAYTARRLAVGRAHLTSADWAAVADAATPTKTARQCRHKVEKLRRRLRSKRRRPCPLLDAIDLLDGPSPSASPSQSPSPPPPASPPPLPPAPSSPPPKKRRLPDADADADAEDDGESDVVKALRAIGEGFLRAEQRRMEAARKTQQMRMEMALRHLDSQRRLMEALVDRIIDSLE >ONIVA04G18640.1 pep chromosome:AWHD00000000:4:19831273:19837545:1 gene:ONIVA04G18640 transcript:ONIVA04G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G44710) TAIR;Acc:AT2G44710] MPPKARRGAAAAGRKGPGTRGRLVKAQAAVEEVPAEEVKAAEEAPKVEEQKRQPSPPLQQPAAEEKASSDAAANGASHGEDEGTTKETYEEDKGERLEFEDEPEYEEEAAVDYDEKDLEQYEEQYEDGDEVVEYTEDVIEEETDMVDEELDGGDDGEGEGYENAEEEHNVDVEDEEHHEMVKEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGEITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPSVGLKIWIFYIMVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKEKLKSYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRSAKVSFADSYPEVDDEIMAQVRTVFIDGLPPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACADGITNSEIGEGDSKAKVRARLSRPLQRPPRMKHGLRGNFRVGQGAPRGGRFAYDRPPPLRRPPPRLLRPDVSRLPPLVRSHPLKRPVDIRDRRPVMSIPDRVRRLPPPERSYDRRPPAPVYPKRSPRREYGRRDELPPPRSRATFGDYSSRVPVDRRPYRDDYSPRGSAYSDLGPRSAPRLSDRRAYIDDGYGGKIDRSLPTYREGRGRDYDTMSGSKRSYAEMPTKTLLLLCQDDVPPRYHDISVRQSKARLDYDVGGSSARLGRSHAGYSGGRSVSGHDPVYSSGRHGMSYGGSASSNDAGGMYSSNFSGSYMSRGSDVAGSSYSSLYSGRNVGSSSGYYGGSGSSSYY >ONIVA04G18640.2 pep chromosome:AWHD00000000:4:19831273:19837427:1 gene:ONIVA04G18640 transcript:ONIVA04G18640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G44710) TAIR;Acc:AT2G44710] MPPKARRGAAAAGRKGPGTRGRLVKAQAAVEEVPAEEVKAAEEAPKVEEQKRQPSPPLQQPAAEEKASSDAAANGASHGEDEGTTKETYEEDKGERLEFEDEPEYEEEAAVDYDEKDLEQYEEQYEDGDEVVEYTEDVIEEETDMVDEELDGGDDGEGEGYENAEEEHNVDVEDEEHHEMVKEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGEITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPSVGLKIWIFYIMVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKEKLKSYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRSAKVSFADSYPEVDDEIMAQVRTVFIDGLPPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACADGITNSEIGEGDSKAKVRARLSRPLQRPPRMKHGLRGNFRVGQGAPRGGRFAYDRPPPLRRPPPRLLRPDVSRLPPLVRSHPLKRPVDIRDRRPVMSIPDRVRRLPPPERSYDRRPPAPVYPKRSPRREYGRRDELPPPRSRATFGDYSSRVPVDRRPYRDDYSPRGSAYSDLGPRSAPRLSDRRAYIDDGYGGKIDRSLPTYREGRGRDYDTMSGSKRSYAEMPTKTLLLLCQDDVPPRYHDISVRQSKARLDYDVGGSSARLGRSHAGYSGGRSVSGHDPVYSSGRHGMSYGGSASSNDAGGMYSSNFSGSYMSRGSDVAGSSYSSLYSGRNVGSSSGYYGGSGSSSYY >ONIVA04G18630.1 pep chromosome:AWHD00000000:4:19821803:19823450:-1 gene:ONIVA04G18630 transcript:ONIVA04G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMCLFQDKRRSKRRPEVAAPAPAPAPPSPSAAAAVSTDACSNVSVPAAAPSTCTSSWASTRPSASSSAVSTPEPYEARQGAPRELALRELRGATGDFSPLLMVGRGGFGCVYRGVLRLPGAPPHGTPVAVKRLNPDSRQARTHMTTPSRLISTASAICDDSIGHKEWLAEVQLLGVVEHPNLVKLLGYCAAQTERGPQRLLVYEFVPNKTLDDHLFDRSHPVLPWGVRLQIALGAAEGLLYLHEGLEFQIIYRDFKAANVLLDDEFRPKLSDFGLAREGPSEGQTHVSTAVMGTYGYAAPDYVRTGHLTTKSDVWSFGVVLYEILAGRRSIDKSRPKDEQKLLEWVRRHPAGSPRFGRIMDGRLQGRYSVRAAREVAELAAGCLAKHGKDRPAMAEVVERLRRATRHAELDGEVYDDAGEESSSSPAAAAAVEDDVAVAAAAAMRRMLHLAALGENASASAHARRRLMLMRAAAAATAAT >ONIVA04G18620.1 pep chromosome:AWHD00000000:4:19817864:19822089:1 gene:ONIVA04G18620 transcript:ONIVA04G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Endoribonuclease XendoU (InterPro:IPR018998); Has 943 Blast hits to 770 proteins in 162 species: Archae - 0; Bacteria - 61; Metazoa - 472; Fungi - 40; Plants - 78; Viruses - 35; Other Eukaryotes - 257 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G17100) TAIR;Acc:AT4G17100] MQILPKPLDHPAPTPPPPHPGRSQQPIRSPTPLFASPIAPWPRAKPEGGERRGSSVAMDGLIKGLINVAIDAVEGAGRGERGGEDDAPRRHRPAREEEEGRGDERSRSTWAEVVSDHKGGEAEERPDHRNSRRYEEEYRRDSSSRRPQQHQQAPAYRRQQQDGGERNDGGWQTVGEKKHHGRPQQSEAWNAYRRPPSEQQYCEDAGQIHHGLNVEPTREELNSLSRACSRLWELDMNRLTPGKDYRIECGEGKKVYQKGDMASETLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVLKGVASQDYEDFKRMLTSLWFDLYGRGGSSSSSSAFEHVFVGEIKGRRGQGENEFYLEEANGNVDYQGYIFPRRRGESPDSETQLLTIQFEWHGVLKSVSSTLIGVSPEFEVALYTLCFFMGGEDNRVEIGPYGVNIKCYRMGNSKIGSAFPIADN >ONIVA04G18610.1 pep chromosome:AWHD00000000:4:19811184:19815080:-1 gene:ONIVA04G18610 transcript:ONIVA04G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTPITSLFLYFHTSRSLTQPCKSQVHGRKKKKRRLLQSFPAVDAYLIDPPAASSRSHPRCGGVRLIVVSERCCCFRREDSTAAAATGAAADVSELELLVPRGGASSGAAGSMDRHALGGGGALEIEKTPEAAEDMESEPALAAAREAERIPPWREQVTARGMVAALLIGVVYTVIVMKLSLTTGLIPTLNVSAALLAFLALRGWTHALDRLGIASRPFTRQENTVIQTCAVACYTIGYGGGFGSFLLGLNKKTYELSGASTPGNVPGSYKEPGIGWMTGFLLSTSFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKKQVRGFLRYFGISFLWSFFQWFYTGGDVCGFLQFPTFGLKAWKHTFFFDFSLTYVGAGMICSHLVNLSLLFGAILSWGIMWPLIGKQKGNWYSAKASESSMSGLFGYKSFICIALLVGDGFYNFVKVIVVTLKSVRERSRRRGLNNRVADADTMAIDDMQRNEVFNRDNIPTWMAYTGYTLLSVIAVVLIPVMFRQVKWYYVIIAYLLAPALGFCNAYGTGLTDMNMGYNYGKIALFIFAAWAGKDDGVVAGLVGCGLVKQLVLISADLMHDFKTGHLTLTSPRSMLVGQVVGTLMGCVVAPLTFFLFYKAFDVGDPNGYWKAPYALIYRNMAIIGVEGFSALPRHCLQLCAGFFAFAVLANLARDFLPRRYGRYMPLPMAMAVPFLVGASFAIDMCAGSLVVFLWHRFDGKRAALLVPAVASGLICGDGIWTFPSSLLALAKVKPPICMKFIPGN >ONIVA04G18610.2 pep chromosome:AWHD00000000:4:19811184:19814295:-1 gene:ONIVA04G18610 transcript:ONIVA04G18610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRLHLSPAAAAATGAAADVSELELLVPRGGASSGAAGSMDRHALGGGGALEIEKTPEAAEDMESEPALAAAREAERIPPWREQVTARGMVAALLIGVVYTVIVMKLSLTTGLIPTLNVSAALLAFLALRGWTHALDRLGIASRPFTRQENTVIQTCAVACYTIGYGGGFGSFLLGLNKKTYELSGASTPGNVPGSYKEPGIGWMTGFLLSTSFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKKQVRGFLRYFGISFLWSFFQWFYTGGDVCGFLQFPTFGLKAWKHTFFFDFSLTYVGAGMICSHLVNLSLLFGAILSWGIMWPLIGKQKGNWYSAKASESSMSGLFGYKSFICIALLVGDGFYNFVKVIVVTLKSVRERSRRRGLNNRVADADTMAIDDMQRNEVFNRDNIPTWMAYTGYTLLSVIAVVLIPVMFRQVKWYYVIIAYLLAPALGFCNAYGTGLTDMNMGYNYGKIALFIFAAWAGKDDGVVAGLVGCGLVKQLVLISADLMHDFKTGHLTLTSPRSMLVGQVVGTLMGCVVAPLTFFLFYKAFDVGDPNGYWKAPYALIYRNMAIIGVEGFSALPRHCLQLCAGFFAFAVLANLARDFLPRRYGRYMPLPMAMAVPFLVGASFAIDMCAGSLVVFLWHRFDGKRAALLVPAVASGLICGDGIWTFPSSLLALAKVKPPICMKFIPGN >ONIVA04G18600.1 pep chromosome:AWHD00000000:4:19791898:19794604:-1 gene:ONIVA04G18600 transcript:ONIVA04G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYYGLLIDDIEIDSFETGDAVKDGVGAVDDGGGAGVVEAETARGRRRAGGGGGDADASTAGDGGSGGAGGVGTAGGCRRMPQGRGSPAAAPTRGGFTCHGVDLLGRQHILLLSIRAANLQQNT >ONIVA04G18590.1 pep chromosome:AWHD00000000:4:19779167:19782777:-1 gene:ONIVA04G18590 transcript:ONIVA04G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQERRRKRQPGPPRLELVVAHPREEEMAGLDGGGDAEEGATHARGGGGAPPPWREQLTARGLVASLAVGAMYSVIVMKLNLTTGLVPTLNVSAALIAFVVLRGWTQALARLGFAARPFTRQENTVVQTCAVACYSIAVGGQDHPPLHPSSIRRLPSLMEIPTDWFFGSFCAGGFGSYLLGLNKRTYEMAGEDTEGNVPGSYKEPGIAWMTGFLLAVSFVGLLALVPLRKVMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFFWSFFQWFYSGGDNCGFSQFPTFGLKAWQQTFFFDFSFTYVGAGMICSHLVNLSLLLGAILSWGVMWPLISDLKGDWYSADIPESSMKSLQGYKAFICVALILGDGLYNFVKIVALTIKNLFDSSKLKNAKKGEDMPVLDELHRNEVFTRDNIPSWLAFSGYLGLTFIAVIAIPMMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKIALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTVMGCVISPLTFFLFYNAFDIGNPEGYWKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFGFAVAANLTRDLCPPKYGRWVPLPMAMGVPFLVGASFAIDMCIGSLIVSTWHIIDKSKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTN >ONIVA04G18580.1 pep chromosome:AWHD00000000:4:19768665:19770950:1 gene:ONIVA04G18580 transcript:ONIVA04G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDRVEALPDDGLPAAVAPVEAAAPVGEDPMVVIPDDAVLRIISFLPLESAIRTTVLSKEWLRLWKEDWGQKSRRYEIRARCAPSVEGLLKILEEERRLERLSVVVHTIMKSSHLREIIERSADRRVEQLHVELTNPNVVDKVRFHLPILSRMIALQHLSLRQIVVSKMRFTPQQRFNELSEICFHFVSIESYMLRNVITRCPNLRVLDLRSCFDLDAVAISPGGPKLSSLTIAGCKHLNKVNVVGVTSLRSVFYSGRFLSSFYLPRTSRRSSFTDLYICYDGPIIPMMFERTTQLKWLGGFRRLKELQLLMFDIKTINVFDIYAFLQSFHFPNLTKLFLQLPRIREDVQEAVREDVLQSMSEGVPEYALDNLKVVRMMNFNRTRIEMHLVRFFLRKARNINSLQLVSLFHNAIPLGLAVQQGDIIQGALASGVIQESNSGAGTTQPCHSEVFIDF >ONIVA04G18570.1 pep chromosome:AWHD00000000:4:19760802:19761431:1 gene:ONIVA04G18570 transcript:ONIVA04G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPDRRSGAATSPSLRFLGLLKQPDDGSGDHELELDERDVVWSSSSSSNTSPSSWASSTNSSPSLTPSASAGVGVRRPLSSSHAFPAAGSVGLSALLADDHAPTASIPAKARPERQQPPQPYHQSAPVAVPAWPKATDSDRRRRGVQHEALNDEEDDDDELVVPPHEMAARRAAAAASVMEGAGRTLKGRDLRRVRNAVWRTTGFLDL >ONIVA04G18560.1 pep chromosome:AWHD00000000:4:19756533:19759300:1 gene:ONIVA04G18560 transcript:ONIVA04G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGEDGGKHRGATADEEAAATAASLNDLCATAGDAGGLPALAPFPRAAVWAVAALLAVGLGLGALVLAVVHSAALLVVAVLLSAAVVAFLLWNAAASASGRALRRFVDGLPASSLRSATDDQLVKITGLVACGDISLISSYEKVENCVYTSTLLRKCGRWGSEVANPKNRCSKWKLTHAERFAADFYITDAKSGKRALVKAGHDSRVVPLIDENLLVTTSGNTELSSTLRCWLDERNIPSEECQLIRLEEGYIAEGMRLSVIGILSKKDGDLMILPPPEPISTGCVFLSFLLPTYFDGIVLRLVDRSYFMHNSGVS >ONIVA04G18550.1 pep chromosome:AWHD00000000:4:19747856:19750079:1 gene:ONIVA04G18550 transcript:ONIVA04G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRTQLASWARIAMDRGDHHHLQQQHQFLMPPPAPVVPPQLCMPAMMADEQYMDLGGGGAAAAPGRGGAGERKRRFTEEQIRSLESMFHAHHAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAALRSKYDALHSRVESLKQEKLALTVQLHELRERLREREERSGNGGAATTAASSSSCNGSGSEEVDDDDDKRNAAAGCLDLEPPESCVLGGATCATPADVSVESDQCDDQLDYDEGLFPESFCATPELWEPWPLVEWNAVA >ONIVA04G18540.1 pep chromosome:AWHD00000000:4:19734690:19742348:1 gene:ONIVA04G18540 transcript:ONIVA04G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNMLPLPPTSSTEQDLDGPLSGALFDHPPIKCRTPPRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWIVRKGHFLGVLVCNHSCKTVQSLSSQVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCSLLQEQCMLIGRQSRQST >ONIVA04G18540.2 pep chromosome:AWHD00000000:4:19734690:19742348:1 gene:ONIVA04G18540 transcript:ONIVA04G18540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPVSALSYILQVVNLSVSTEKFSVGFQCASSADQREKPTERALAALQTQENQCAEGRREKSRAKKEQRKRRHRPFLSTGFSPLNNNNNNSAAGTAPPRVRHAIFFLLLLFLVSSAENAHAKTHRTTTPALPHPSPTQSTPPRLHHTAASASSSSYHHHRLASCPAVNRESSRRRPTSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNMLPLPPTSSTEQDLDGPLSGALFDHPPIKCRTPPRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWIVRKGHFLGVLVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCSLLQEQCMLIGRQSRQST >ONIVA04G18540.3 pep chromosome:AWHD00000000:4:19734733:19742348:1 gene:ONIVA04G18540 transcript:ONIVA04G18540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNMLPLPPTSSTEQDLDGPLSGALFDHPPIKCRTPPRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWIVRKGHFLGVLVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCSLLQEQCMLIGRQSRQST >ONIVA04G18540.4 pep chromosome:AWHD00000000:4:19734690:19742348:1 gene:ONIVA04G18540 transcript:ONIVA04G18540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNMLPLPPTSSTEQDLDGPLSGALFDHPPIKCRTPPRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWIVRKGHFLGVLVCNHSCKTVQSLSSQVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCSLLQEQCMLIGRQSRQST >ONIVA04G18530.1 pep chromosome:AWHD00000000:4:19732422:19732760:-1 gene:ONIVA04G18530 transcript:ONIVA04G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDSSDHVAEDQLAEEEGHYIDDANHLVPNSVQEEGSSGREIVVPGNHDGEEDYPDNLDLDILVDCEVGAIPGGHLNADAAVFVPTTGGHQDLYTASAAAYRHTSPCSHSS >ONIVA04G18520.1 pep chromosome:AWHD00000000:4:19701149:19704374:-1 gene:ONIVA04G18520 transcript:ONIVA04G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHQGGGSQYGAVPPDMGPFSPTHHASAPAPLPLSSRPPPAALSQPPPPQQQQQQPRTSYDELAAATSAGAGGFPDDDMLGDAGGSGGGGGSGAAGNRWPREETLALIRIRSEMDATFRDATLKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFTELEALHAAAPQTPQPQQQQQQQLPPVTSSAPAMHAFAPPVPAPPPMSAMPPPPGPIQPAPISSAAPAVPLELPPQPPINLQGLSFSSMSGSESDDESEDDEMTAETGGSQDRLGKRKRGAGGKRLATFFEGLIKQVVDRQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIISFLQRIGGQSVQVPPAATVIQMPTPVQLQTPPPVKQPARQHQPQPTPPPPQAAPIPAAPLQQQPPQPQHKETIHHEAVTPRSAPPTSGSSLELVPAAEQHVESGLGGGEGGSASSSRWPKTEVQALIQLRMELDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDVIYRRKHLTGGGGGGASAANVAATAIEHQNPNRHEIEGKNINDNDKRKNGGGGGAQVPTSNGDTAPTTATFDVDSGMKKPEDIVRELSEQPPREFTTDETDSDDMGDDYTDDGEEGEDDGKMQYRIQFQRPNPGGANTAPPPATTPASAVPTSTPTSTFLAMVQ >ONIVA04G18520.2 pep chromosome:AWHD00000000:4:19701149:19704374:-1 gene:ONIVA04G18520 transcript:ONIVA04G18520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHQGGGSQYGAVPPDMGPFSPTHHASAPAPLPLSSRPPPAALSQPPPPQQQQQQPRTSYDELAAATSAGAGGFPDDDMLGDAGGSGGGGGSGAAGNRWPREETLALIRIRSEMDATFRDATLKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFTELEALHAAAPQTPQPQQQQQQQLPPVTSSAPAMHAFAPPVPAPPPMSAMPPPPGPIQPAPISSAAPAVPLELPPQPPINLQGLSFSSMSGSESDDESEDDEMTAETGGSQDRLGKRKRGAGGKRLATFFEGLIKQVVDRQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIISFLQRIGGQSVQVPPAATVIQMPTPVQLQTPPPVKQPARQHQPQPTPPPPQAAPIPAAPLQQQPPQPQHKETIHHEAVTPRSAPPTSGSSLELVPAAEQHVESGLGGGEGGSASSSRWPKTEVQALIQLRMELDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDVIYRRKHLTGGGGGGASAANVAATAIEHQNPNRHEIEGKNINDNDKRKNGGGGGAQVPTSNGDTAPTTATFDVDSGMKKLTGNPLAVKPEDIVRELSEQPPREFTTDETDSDDMGDDYTDDGEEGEDDGKMQYRIQFQRPNPGGANTAPPPATTPASAVPTSTPTSTFLAMVQ >ONIVA04G18510.1 pep chromosome:AWHD00000000:4:19693793:19695711:1 gene:ONIVA04G18510 transcript:ONIVA04G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAASSAAENDDEEEEEADDDDEEAAAAPDSSCGREACGASHRLAGLISNRSKHGWQSGTTGMGCDYPSQCPRGNSRPRACLLPHDGQGTLPMPVTRGSHGALLEAMA >ONIVA04G18500.1 pep chromosome:AWHD00000000:4:19690026:19690791:-1 gene:ONIVA04G18500 transcript:ONIVA04G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGVGAGAGAGPLPTLIARPTNHDGADARCYVAQRPTRRNGLPRQGWGVVGPQFAVDTLSSVSFLAIRRGLWEWGRGGQPQWLVYYNERERFRMDLEALATLQLINGRGTRKKMGCGGGRLYVGLTY >ONIVA04G18490.1 pep chromosome:AWHD00000000:4:19682046:19683238:-1 gene:ONIVA04G18490 transcript:ONIVA04G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGCGLFACVRRCDGGDVRKRGEAGAMSSRVAADPAGVEEEGSCKNGAAASARQLAWAEVESVTGGFSSRVIGHGGFSTVYLASLSSSRLGAVKVHCSSERLHRAFRQELEVLLSLRHPHIVRLLGYCDERDEGVLVFEYAPNGDLHERLHCSEVAGGVASVLPWARRVAIAFQVAMALEYLHESRHPAVIHGDIKASNVLLDANMNAKLCDFGFAHVGFSATVGCRPSARAVMGSPGYVDPHLIRSGVATKKSDVYSFGVLLLELVTGKEAVCRDTGRRLTAAVGPMLSEGKVADVVDRRLGGEHDGAEAAVMAELAMQCIGDSPGLRPSMADVVRALQEKTSALASAVGSRLDRKMMF >ONIVA04G18480.1 pep chromosome:AWHD00000000:4:19661116:19665450:-1 gene:ONIVA04G18480 transcript:ONIVA04G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H3Q0] MGRVAPSVEEVGGEQPPPALGPGETVSGTVAELRAAYESGRTRSLEWRQSQLRGLLRLLAEEEAAAFRALREDLGKHQAEAYRDEIGVLVKSANAALREVWVPLIAFPARAQLEPQPLGVILVFSCWNVPLGLSLEPLVGALAAGNAVALKPSELAPATAKFLGDNVGKYMDATAVKVIQGGPEVGEQLMEHRWDKVLFTGSPRIARVVMAAAAKHLTPVALELGGKCPCIFDTIGGSARDLQTAVNRVVGGKWSSCAGQACLAIDYVLVEERFVPVLIKALKSTLKKFFADSDHMARIVNARHFRRLSDLLKDKSVAASVLHGGTLDAKNLCIEPTILLNPPLDSAIMTEEIFGPLLPIITVKKIEDSIAFVRARPRPLAVYAFTKNAALRRRIVEETSSGSVTFNDAVVQYGIDSLPFGGVGESGFGQYHGKYSFEMFSHKKAVLTRGYLIELTARYPPWDDSKISMMRQLYRYNYVGFVLTFLGLKK >ONIVA04G18470.1 pep chromosome:AWHD00000000:4:19652019:19660781:1 gene:ONIVA04G18470 transcript:ONIVA04G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEKEKKKKKQILTKNMEVKKIKSTTNNLPGADRTKSQVTRNTTEELRRKKTVEGTISAVESTKDIKLDTKKKEPLQMKSHEEVQDEKRRSQESDKSNIMAKHIKETKSQTTKVVPGADRTKAEVTRSSQSERCGSDRIDSEELRRKNTVEGCHRLQAQVTGNTQSKTCDLITSRILEKGKKSATIGSEIGKNKAQILYDQYTFLNENERLVQGGQMSYTNLMQHIIQSPRVSLQANEVYNLGIFKKFQDELMETLNLEMSAIQHGKTYKIEGWPALRWAGGGHDGVEMAPPWP >ONIVA04G18470.2 pep chromosome:AWHD00000000:4:19652019:19658145:1 gene:ONIVA04G18470 transcript:ONIVA04G18470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEKEKKKKKQILTKNMEVKKIKSTTNNLPGADRTKSQVTRNTTEELRRKKTVEGTISAVESTKDIKLDTKKKEPLQMKSHEEVQDEKRRSQESDKSNIMAKHIKETKSQTTKVVPGADRTKAEVTRSSQSERCGSDRIDSEELRRKNTVEGCHRLQAQVTGNTQSKTCDLITSRILEKGKKSATIGSEIGKNKAQILYDQYTFLNENERLVQGGQMSYTNLMQHIIQSPRVSLQANEVSIFME >ONIVA04G18470.3 pep chromosome:AWHD00000000:4:19652019:19658145:1 gene:ONIVA04G18470 transcript:ONIVA04G18470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEKEKKKKKQILTKNMEVKKIKSTTNNLPGTISAVESTKDIKLDTKKKEPLQMKSHEEVQDEKRRSQESDKSNIMAKHIKETKSQTTKVVPGADRTKAEVTRSSQSERCGSDRIDSEELRRKNTVEGCHRLQAQVTGNTQSKTCDLITSRILEKGKKSATIGSEIGKNKAQILYDQYTFLNENERLVQGGQMSYTNLMQHIIQSPRVSLQANEVSIFME >ONIVA04G18460.1 pep chromosome:AWHD00000000:4:19649577:19651937:1 gene:ONIVA04G18460 transcript:ONIVA04G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 precursor [Source:Projected from Arabidopsis thaliana (AT1G09790) TAIR;Acc:AT1G09790] MDVDQLILFVFVCCLSSRFADAYDPVDPNGNIIINWDFQSIENVYTVMVSVHNHQLYRHIEQPGWRLSWRWAGNEIIWGMTGAEATEQGDCHRIRGATRPHCCEKQPVIVDLPPGTPYNNQVSSCCRGGVLSSLTQNNRTSTAAFQMVVGGFRRATYHDGDRGPALPSRFGVGVPGYSCSNATKVNATSEGEKPELPAGDGEAVAPVFRCTDHMCPVRVHWHVKISYREYWRVKVTITNYNQVKNYSDWNLVVQHPNLRSLTQLFSFNYQPLIEYGTLNDTGMFWGIQYYNEMMLQDGNVQTEMILKKDKSDFTFSGGWAFPRRVYFDGHECVMPPPDQYPLLPNGGPDSRVSAAQLIASSCFLLPFIFLIM >ONIVA04G18450.1 pep chromosome:AWHD00000000:4:19641584:19646416:1 gene:ONIVA04G18450 transcript:ONIVA04G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPVLSSGDGPSGDTKSDLVTWIGGDCSKEGLWQDSDELNGDASGNQGGRKARSRRRARWRCQKWLGSNVLSRAKVQCDVCAAEAASVFCCADEAALCDACDRRVHSANKLAGKHRRFSLLQPLASSSSAQKPPLCDICQEKRGFLFCKEDRAILCRECDVAVHTTSELTRRHGRFLLTGVRLSSAPMDSPAPSEEEEEEAGEDYSCSPSSVAGTAAGSASDGSSISEYLTKTLPGWHVEDFLVDEATAAAAAASSSSDGLFQGGLLAQIGGVPDGYAAWAGREQLHSGVAVAADERASRERWVPQMNAEWGAGSKRPRASPPCLYW >ONIVA04G18450.2 pep chromosome:AWHD00000000:4:19644089:19646416:1 gene:ONIVA04G18450 transcript:ONIVA04G18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHSANKLAGKHRRFSLLQPLASSSSAQKPPLCDICQEKRGFLFCKEDRAILCRECDVAVHTTSELTRRHGRFLLTGVRLSSAPMDSPAPSEEEEEEAGEDYSCSPSSVAGTAAGSASDGSSISEYLTKTLPGWHVEDFLVDEATAAAAAASSSSDGLFQGGLLAQIGGVPDGYAAWAGREQLHSGVAVAADERASRERWVPQMNAEWGAGSKRPRASPPCLYW >ONIVA04G18450.3 pep chromosome:AWHD00000000:4:19643821:19646416:1 gene:ONIVA04G18450 transcript:ONIVA04G18450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHSANKLAGKHRRFSLLQPLASSSSAQKPPLCDICQEKRGFLFCKEDRAILCRECDVAVHTTSELTRRHGRFLLTGVRLSSAPMDSPAPSEEEEEEAGEDYSCSPSSVAGTAAGSASDGSSISEYLTKTLPGWHVEDFLVDEATAAAAAASSSSDGLFQGGLLAQIGGVPDGYAAWAGREQLHSGVAVAADERASRERWVPQMNAEWGAGSKRPRASPPCLYW >ONIVA04G18450.4 pep chromosome:AWHD00000000:4:19641584:19641998:1 gene:ONIVA04G18450 transcript:ONIVA04G18450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPVLSSGDGPSGDTKSDLVTWIGGDCSKEGLWQDSDELNGDASGNQGGRKARSRRRARWRCQKWLGSNVLSRAKVPLDWRCLCPDLFVQREVALDGTLLLCLP >ONIVA04G18440.1 pep chromosome:AWHD00000000:4:19632497:19636005:-1 gene:ONIVA04G18440 transcript:ONIVA04G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKQASRLKEQVSRQGVFKPFGAAYGNSENAFTDESEVNLHQRLEKLYLSTRAAKHFQRDIVRGVEGYIVTGSKQVDIGNKLSDDSQKYGTGSTCTSGNTLSKAAMYYGKARSLMEKERGNMLRAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAVEVSRRQNRVRESAPNGDVITKLEAAEYKLEELKSSMVGLGKEAVAAMAAVEAQQQRLTLQRLIAMLLISLALKVEAERAYHQRVLEILDHLEQEMVSERQNIEAPPTPSAENYMAQPPPSYDEVNGMFASSSVDDSVTSVDFFLGEALDSFKAESESELNLSAGDIVIVRKISTNGWAEGECKGKAGWFPHGYIERRERVLASKVPHIF >ONIVA04G18430.1 pep chromosome:AWHD00000000:4:19630282:19631051:1 gene:ONIVA04G18430 transcript:ONIVA04G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWLITDMGEFERIVIQLEDVSEFQNIKWQFTNVASPSVSQLGRVGEVTGVTPTAKAAISLVDSSSLHLARSRCLGHLTKRRGQSQITPCSI >ONIVA04G18430.2 pep chromosome:AWHD00000000:4:19629929:19631051:1 gene:ONIVA04G18430 transcript:ONIVA04G18430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNGETRSSSLARGQNIKWQFTNVASPSVSQLGRVGEVTGVTPTAKAAISLVDSSSLHLARSRCLGHLTKRRGQSQITPCSI >ONIVA04G18420.1 pep chromosome:AWHD00000000:4:19616809:19620206:1 gene:ONIVA04G18420 transcript:ONIVA04G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLIPSSTLSFPSSAPLSPPLLFASNAAATAAQGSNTSRSSSAMPSYAHHHSSLGDDEEENRRFLSLCLLGFWNFGNLWFLLCVYVGAFWTEKDGKMDALKSSCRSEEAADEGAAAAPSAWGMVERDGFSVEDLLDLEEFCEAEKDAAEENEQALAVVAAPEEEKSKDDSQPSSVVTYELVAPPPPPPEIVDLPAHDVEELEWVSRIMDDSLSELPPPPQPPASVVASLAARPPQPRQLQRRPQDGAYRALPPASYPVRTPTICALSTEALVPVKAKRSKRSRATAWSLSGAPPFSDSTSSSSTTTTSSCSSSASFSSFSPLLKFEWHPLGGTSDLPDDHLLPPGKKSKHGKNGKNKPKKRGRKPKQLPPHPSGAAASAPAPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSAIHSNSHRKVLEMRRKKEVGSGLLTAAAAAAPAVASF >ONIVA04G18420.2 pep chromosome:AWHD00000000:4:19616809:19620206:1 gene:ONIVA04G18420 transcript:ONIVA04G18420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLIPSSTLSFPSSAPLSPPLLFASNAAATAAQGSNTSRSSSAMPSYAHHHSSLDGKMDALKSSCRSEEAADEGAAAAPSAWGMVERDGFSVEDLLDLEEFCEAEKDAAEENEQALAVVAAPEEEKSKDDSQPSSVVTYELVAPPPPPPEIVDLPAHDVEELEWVSRIMDDSLSELPPPPQPPASVVASLAARPPQPRQLQRRPQDGAYRALPPASYPVRTPTICALSTEALVPVKAKRSKRSRATAWSLSGAPPFSDSTSSSSTTTTSSCSSSASFSSFSPLLKFEWHPLGGTSDLPDDHLLPPGKKSKHGKNGKNKPKKRGRKPKQLPPHPSGAAASAPAPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSAIHSNSHRKVLEMRRKKEVGSGLLTAAAAAAPAVASF >ONIVA04G18410.1 pep chromosome:AWHD00000000:4:19612209:19613094:1 gene:ONIVA04G18410 transcript:ONIVA04G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQNQPVRKIAKSTIDHTHLCSISHRHIGRHNAFSAVGKGREHAPRPTTGNCEKIQKPNKIGPDWIFDSHEHYSIVPTHRSPPQRPKPNGLARRDVACSRRGGGPRGAPPPTRRAIPFHRAPMLIFFVEFVIENFPVHGRTENSASDYPPED >ONIVA04G18400.1 pep chromosome:AWHD00000000:4:19604163:19606205:-1 gene:ONIVA04G18400 transcript:ONIVA04G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWWLPTWQPLLVLLPTMLLLYHTVSSWNCGERCLPLPPGPRGLPFVGNILHTSDMTHRGLAQLASRYGGLLHLRLGRLRTVVVSTPEMARLVLHVTKNSGAVVNVGELVFGMSMKITLRAALGMRNEGEDAADLVAVLKEFSEMFGASNLADYVPWVGWMDVQGINRRMVAARAALDRLIDRAIDEHLAHPKPVDATDADMVDGMLFFLDDMPECPGVGAATAKYMDGADACAGMLRLSRDNIKATIMDVLFGGTETSATTIEWAISELMSNPEEMRRVQDELAEVVGLHRQVTESDLTGDKLPYFRCVVKETLRMHPPAPLLHHEAGEDCDVAGYRVPKKTRVLIKRVGDRPARFGPGTDNAETDYRGGHFHLLPFGSGRRSCPGMQLGMLAVELALARLLHGFDWSLPGGTGTGGETIFRRSADFHNSPGCNKNRG >ONIVA04G18390.1 pep chromosome:AWHD00000000:4:19599255:19600012:1 gene:ONIVA04G18390 transcript:ONIVA04G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGSWQRHGGFLCVWPVPFIAMVKMATKDEGAADAWESGWKRFSSTAAAEVSDDGPWGGDELGVQEVEEDPEDGGGPRGGRGLGIQRSGNGSCRPAFGWLVLAGTTDESGLNQATFGINSYKANEDGINGGSQR >ONIVA04G18380.1 pep chromosome:AWHD00000000:4:19594366:19597534:-1 gene:ONIVA04G18380 transcript:ONIVA04G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPFAAGDYPADQVDPDYLYFLRHIRPDGDSYTLELPSDGVSPPSLVKYEAPADTGSTDGECVSDPSPGRASTNRPPEEKESSVEVDAEPPAPSWIDSLIDIDEDYRLFLKHTRVVNDNMVLEIDGAVVTYPCAASSESSSEVEDAREKEVAMDSDEPVVILPDPKVCDWVAVGDASVRTLDSKKKRKMSSSNSNNAGPSVPPGSQGVIWPAHINSRPDSDFKQRLLDALSKPFSRKEYIKLFDMASIRTPLVKLRQVRNDVKFYPTQEMGNSYFDHYPDLVDQVMHTSFPNGLALMRGFFFWLQNNAHEDQFRPWVDVSKDHEVIPLID >ONIVA04G18370.1 pep chromosome:AWHD00000000:4:19592477:19595572:1 gene:ONIVA04G18370 transcript:ONIVA04G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subunit NDH-M of NAD(P)H:plastoquinone dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT4G37925) TAIR;Acc:AT4G37925] MATTASPFLSPAKLSLERRLPRATWTARRSVRFPPVRAQDQQQQVKEEEEEAAVENLPPPPQEEEQRRERKTRRQGPAQPLPVQPLAESKNMSREYGGQWLSCTTRHIRIYAAYINPETNAFDQTQMDKLTLLLDPTDEFVWTDETCQKVYDEFQDLVDHYEGAELSEYTLRLIGSDLEHFIRKLLYDGEIKYNMMSRVLNFSMGKPRIKFNSSQIPDVK >ONIVA04G18360.1 pep chromosome:AWHD00000000:4:19590940:19591953:1 gene:ONIVA04G18360 transcript:ONIVA04G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAPDPAVAASVPLVRLNHVSFQCTSVEKSVDFYRRVLGFELIKRPESLNFNGAWLYKYGMGIHLLQRGDDADGGSIPTRPLPAINPMGNHVSFQCSDMAVMKARLRAMDREFVVRKVWDGETVVDQLFFHDPDGNMIEVCNCENLPVIPLIVASTPGLPELLPPAMQTNVHG >ONIVA04G18350.1 pep chromosome:AWHD00000000:4:19576550:19582592:-1 gene:ONIVA04G18350 transcript:ONIVA04G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDEVVQWDKMDGGEVVNGGGGGGVGKLERILVSVRLRPLSDKEIARGDPSEWECINDTTIISRSTFPDRPSAPTAYSFDRVFRSDCDTNEVYKQGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYTVADIYDYIGKHEERAFVLKFSAIEIYNEIVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKELISVCEAQRKTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLESELRCPASYSSLESLVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLQVVGDNHVHVSKQSSVSGRNFTFDVPQTCEDEQSTTESSEVVDSVQNFRFQGRRVAQREHKPQQAENNVQFTTPSRYSVSSPPFSGMLPTNRRDHLSQISNEDSDDICKEVRCIETNETGGNECLESSAVGSNSLQDPNAGSSMHINNDSNSSMNSRLRDESPVTLEQHLENVRKPFANIVKDLGSSTRNSSSSKVLGRSRSCRSLTGSSLFEDLEKDDCTPPNRSFIDFAGRPQNCQRRGSALNYDAESETLSRAGSMLSEITTTRDGLKANSSVAGDTEFTGIGEFVAELKEMAQVQYQKQLGHSGNGDLAEGTIRSVGLDPITDALQSPSRWPLEFEKKQQEIIDFWHACNVSLVHRTYFFLLFKGDPADSIYMEVELRRLSFLKDTYSNGAIASIPNTSLVSSAKKLQREREMLCRQMQRRLSIEERESMYTKWGPTQCSQSSSLQQPTLAARGTTPRDGCGRDGAVVAGGAHPPAARGTARPRGGDAQNGAAGTATTALRRGLSPSAALRPNATTGPLRLLPDGALRRRSPTVLPDGAPAPPRELRLTAPALPHPGPPRDCASSAPVFGGERRRIFA >ONIVA04G18350.2 pep chromosome:AWHD00000000:4:19578037:19582592:-1 gene:ONIVA04G18350 transcript:ONIVA04G18350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDEVVQWDKMDGGEVVNGGGGGGVGKLERILVSVRLRPLSDKEIARGDPSEWECINDTTIISRSTFPDRPSAPTAYSFDRVFRSDCDTNEVYKQGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYTVADIYDYIGKHEERAFVLKFSAIEIYNEIVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKELISVCEAQRKTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLESELRCPASYSSLESLVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLQVVGDNHVHVSKQSSVSGRNFTFDVPQTCEDEQSTTESSEVVDSVQNFRFQGRRVAQREHKPQQAENNVQFTTPSRYSVSSPPFSGMLPTNRRDHLSQISNEDSDDICKEVRCIETNETGGNECLESSAVGSNSLQDPNAGSSMHINNDSNSSMNSRLRDESPVTLEQHLENVRKPFANIVKDLGSSTRNSSSSKVLGRSRSCRSLTGSSLFEDLEKDDCTPPNRSFIDFAGRPQNCQRRGSALNYDAESETLSRAGSMLSEITTTRDGLKANSSVAGDTEFTGIGEFVAELKEMAQVQYQKQLGHSGNGDLAEGTIRSVGLDPITDALQSPSRWPLEFEKKQQEIIDFWHACNVSLVHRTYFFLLFKGDPADSIYMEVELRRLSFLKDTYSNGAIASIPNTSLVSSAKKLQREREMLCRQMQRRLSIEERESMYTKWGVSLASKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSYNSWRYGRSSLN >ONIVA04G18340.1 pep chromosome:AWHD00000000:4:19569776:19574946:-1 gene:ONIVA04G18340 transcript:ONIVA04G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSDSGTGGRGGAELMVEQFHLKVLHAVLAVRGPRPLQPAASASFRRRDRWFHLPLHDPQPPPAAEGVEAPEAGEPLVVDILLAHAAAGGGGGGGAGGEVVERWTVVCEPWPDAAAGEGIPVNRAYKRCMTMLRSVYATLRFLPAYRVFRLLCANQSYNYEMVHRVGSFAVPLSRDEEAAMRSYQFVPVETQHGRLVVSVQYLPSLAAFNLEISSLSPSMLIADYVGSPAAEPMRAFPASLTGATGSAFPQALSNQPQRPHSWATPALWPQAPRQQARFSPPHLLNASPTPSPPNFPSGYLQSRPKGGSAPMSIPQVGDRRSPIHRPITLPPTSPRRVGETGTSSAQQSPSERCPSFGRADGFRIMDPYASLSPGRKGKDTKDESGRFSALSSCDSPRQDDIDDADYPFAVDDVDTPSSQPGSSDGKEARDQASSSSHKSQDAAVGSLVHLLKTARPLRNSNCPSQASAVESSEAASTSSVVSRRKSDALEELQSFKEIKERLMSRSRAKQQEPPEKP >ONIVA04G18330.1 pep chromosome:AWHD00000000:4:19568360:19568849:1 gene:ONIVA04G18330 transcript:ONIVA04G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTLPSREVGSCGGKEGLGELCMDERRRGEELEEVRFDGDLKGERLMALSPGGLRRRRRFLNWMEARSHIRGSWRREEMRPNNKATRVWWNKGDQERCWSSRKRGR >ONIVA04G18320.1 pep chromosome:AWHD00000000:4:19559837:19560631:-1 gene:ONIVA04G18320 transcript:ONIVA04G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHHSSHVHAGAATPNCTCRLPKEEDLAAAVVVVDVEAAPHYTSLKAAEADVVPRGVGGGGGGGEGDGGEEVNYMARAQWLRAAVLGANDGLVSVASLMIGIGAVNENNKAMLVSGLAGLVAGACSMAIGEFVSVYAQYDIEVTQIERDGDIDGADAAAAREKLPSPTQAAFASALAFAIGGLLPLLTSGFIKPWGPRVGVVCAASSVGLAGFGAAGGYLGGANMVRSGTRVLLGGWLAMLITYAVLRLFATIFHGMNISSSA >ONIVA04G18310.1 pep chromosome:AWHD00000000:4:19554111:19554452:-1 gene:ONIVA04G18310 transcript:ONIVA04G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWTRNGMLNFSQPASSSPFGFYDAGHGASSSGGSRSHGCRSQNKDQRNLEKNLTKVRKEWMKVKEEMGYARLLSEHLSETVTETDRKVAAMLEELDRTDKYMQDILSSQQK >ONIVA04G18300.1 pep chromosome:AWHD00000000:4:19551662:19552113:1 gene:ONIVA04G18300 transcript:ONIVA04G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSPSLPLTRSHRRLDLVRPPRLLENEQVREVEVEDDARGEGKSARRLAKDSAVSEAEADDDCLPLNHHSLLADVTATDPNSPPLLPLICPPRLLESGRGKEVEVEDDTRGAGRLNGDGTFGEVKADDDQQILCPPRRCHHRLHVAR >ONIVA04G18290.1 pep chromosome:AWHD00000000:4:19546245:19549917:-1 gene:ONIVA04G18290 transcript:ONIVA04G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EFG/EF2 protein [Source:Projected from Arabidopsis thaliana (AT1G62750) TAIR;Acc:AT1G62750] MVATEAPVLRAAGPARRPAVSAASASRLLLGHRPFPAPSPASSRSRYAAAVAAGVRPRPRPRRPRLSVVAMAGNDRQVPLMDYRNIGIMAHIDAGKTTTTERVLYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIIANLGAKPLVIQLPIGSEDNFQGVIDLVKMKAIVWTGEELGAKFSYQDIPADLQEMADDYKAQMMETIVELDDDVMETYLEGGEIDEETVKRLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPPMKGTDPEDPELILERKPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDITVAVAGDIVALAGLKDTITGETLSDPDKPVVLERMEFPDPVIKVAIEPKTKADADKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFRVEANVGAPQVNYRESISKISEVQYVHKKQSGGSGQFADIIVRFEPLEAGSGYEFKSEIKGGAVPKEYVPGVMKGIEESLPNGVLAGYPVVDLRAVLVDGSYHDVDSSVLAFQIAARGAFREGLRKAGPRLLEPIMKVEVITPEEHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNELSAAKQEEASTA >ONIVA04G18280.1 pep chromosome:AWHD00000000:4:19541329:19545086:1 gene:ONIVA04G18280 transcript:ONIVA04G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRFEEAAAHFTDAIALAPDNHVLYSNRSAAYASLHRYPEALADAERTVALRPDWAKGYSRLGAARLGLGDAAGAVAAYEKGLALEPSNGALKDGLAHARQARRPAPASGADAIGKVFQGPELWSRMAADPTTRPYLDQPDFMRMLRDVQRNPSSLNNYLSDPRMVQVLSLMLNLRLPNNDAPPRPPAQSPPPQQQHQPETKAREPEPEPEPMEVTEEEKERKERKAAAQEEKEAGNAAYKKKDFETAIQHYTKAMELDDEDVSYLTNRAAVYLEMGKYDECINDCDKAVERGRELHADFKIISRALTRKGTALAKIAKCFKDYDVAIETYQKALTEHRNPDTLKKLNDAERAKKELEQQEYYDPKIADEEREKGGDEDETLILVHSCAGNEFFKQQKYPEAVKHYSEALRRNPKDPRVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQAGLKHDPNNQELLDGVRRCVQRINKASRGELSQEELQERQNKAMQDPEIQNILKDPIMQQVLTDFQENPKAAQAHLKNPGVMQKIQKLKNDGVLCRKMPNQSPEKCLISHHDLIVAIRK >ONIVA04G18270.1 pep chromosome:AWHD00000000:4:19532365:19536779:-1 gene:ONIVA04G18270 transcript:ONIVA04G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCWVWGFVVALLAVAAAADGEEEEGKWEPLIRMPTEEGDDAEAAAPAPAPAAADYGGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGVKEENIVVFMYDDIAHNILNPRPGTIINHPKGGDVYAGVPKDYTGHQVTTENFFAVLLGNKTAVTGGSGKVIDSKPEDHIFIYYSDHGGPGVLGMPNLPYLYAGDFIKVLQKKHASNSYSKMVIYVEACESGSIFEGLMPENLNIYVTTASNAVENSWGTYCPGEEPSPPPEYITCLGDMYSVAWMEDSETHNLKKETIEDQYELVKKRTSNANKLNEGSHVMEYGDKTFKDEKLFLYQGFNPANGNITNELIWPVPKATVNQRDADLLFMWKRYEQLNGVSEDKLRALRGIEDTIAHRKHLDSSIDFIGKLVFGFENGPLALEAARSSGQPLVDNWDCLKKMVRIFESQCGSLTQYGMKYMRAFANICNNGVSEAKMMEASINACGRYNSARWSPMTEGGHSA >ONIVA04G18260.1 pep chromosome:AWHD00000000:4:19528951:19533184:1 gene:ONIVA04G18260 transcript:ONIVA04G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLDRLCYAVVVLVVIGATVAEAATGTFIYAGCSPSKYQPGTPFEGNLNSLLASIANAAPNGGYNSFTAGSNGTGDGAAAYGLYQCRGDLGNADCAACVRDAVGQLNEVCAAAYAASLQLEGCYVRYDSSNFVGQPDNAMVYRKCSTSTSGDGDFLKNRDAVLAALQGGLANGYKVSSSGNVQGVSQCLGDLAAGDCTTCLAQAVGQLKGTCGTSLAADVYLAQCYVRYWANGFYFRPSQDYSQDDVGRTVAIIVGILAGLAILVASFV >ONIVA04G18250.1 pep chromosome:AWHD00000000:4:19525001:19525531:-1 gene:ONIVA04G18250 transcript:ONIVA04G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRDENAGAPLHGARTPSLSLPSHARAVRRTGEQAAVRCLVRTKGLVMLPRPNTLPQHRKRRPQNHDASLAGVAVPNVSPTVFGGVVIFLTPLRRRNTGYPRLAGGDLYLTAPSSVRAVILTRAVVESGRAILHLVEVFWVNPEKAISVPSCRGMLRIIKTARNVIKLPSSSLL >ONIVA04G18240.1 pep chromosome:AWHD00000000:4:19510517:19511020:1 gene:ONIVA04G18240 transcript:ONIVA04G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSHGGFRLGRKLLSAWRWALCCRRRRRRGYLRLQTTSGGCGGACKEGSEEAKRLAPVLRWGRSLVRRLSLGRKDGGRRRILDEPVSTPKGQVAVYVGGGNPGESLRYVVPVVYFNHPMFGELLREAEEEFGFQHPGGITIPCAASRFERAAAVAAAGKKAFGRW >ONIVA04G18230.1 pep chromosome:AWHD00000000:4:19492989:19494219:-1 gene:ONIVA04G18230 transcript:ONIVA04G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSHVPPGFRFHPTDEELVDYYLRKKVALKKIDLDVIKDIDLYKIEPWDLQEQCKIGNEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKNCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETNEYGAPQEEGWVVCRVFKKRVATVQRAAGDGGDSPFWFNEHVAFMAPAPGLDSPYHGHRQSHPCKLEVEYHHHLLPQEAAPFMHLPRLESPKLPAADIIGATAASSALQPCGHTTAQQLQLQIEPVYVTADASAADWRDLDKLVASQFGHGDSTAKEPTYCNPVQVFQVEGKQEDSLDYVSTSASCGGEEDLWK >ONIVA04G18220.1 pep chromosome:AWHD00000000:4:19486607:19488663:-1 gene:ONIVA04G18220 transcript:ONIVA04G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPETFSLDHLSQHHQQQPPPLAEQEQLCYVHCNFCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPAAASTANQLPFGQALLSPTSPHGLLDEVPSFQAPASLMTEQASPNVSSITSSNSSCANNAPATSMASAANKATQREPQQPKNAPSANRTSEKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTGIQSQDGAGECMLFKDGLYAAAAAAAAATAASSMGVTPF >ONIVA04G18210.1 pep chromosome:AWHD00000000:4:19482882:19483586:-1 gene:ONIVA04G18210 transcript:ONIVA04G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGHLSHRPLLTIRPINVNTIHDIDYVPLECGEIGIVSLHRVFRQTPRRGTHMSVTYSFIVTHFLFSPSFPPSSTTSMRGNAVAAPEPSRRGRGRRRQWRRRLPSPPAADGVEDDGDDNRSLPPWRWSTMAAATMNAVERERERERKVKKNELTAKSHVQLRLTKTFDRKHDGVV >ONIVA04G18200.1 pep chromosome:AWHD00000000:4:19472873:19479672:1 gene:ONIVA04G18200 transcript:ONIVA04G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAISPMMDGAAPLLPETSPESRQQRDPERGKRRTPVLPAVVASAVVLLGLAALFLVYGFHDGGDGRAAVLAPGTVEVAASSSRGVVEGVSEKSTTPALRLGGGAVRDYAWTNSMLSWQRTAFHFQPPNNWMNGPLYYKGWYHLFYQWNPDSAVWGNITWGHAVSRDLIHWLHLPLAMVPDHWYDINGVWTGSATQLPDGRIVMLYTGATEESVQVQNLAEPADPNDPLLREWSKAEANPVLVPPPGIGLTDFRDPTTAWRNPADSAWRITIGSKDRDHAGLALVYKTEDFLHYDLLPTLLHVVKGTGMWECVDLYPVSTSPAVEDGLETSTPPGPGVKHVLKASLDDDRNDYYAIGTYDGETDTWTPDNADIDVGIGLRYDYGKFYASKTFYDPVGRRRVLWGWIGETDSERADILKGWASLQSIPRTVMLDTKTGSNLLQWPVVEVENLRMHGKSFDGLDVSPGSVVPLDVGKATQLDIEAVFEVDTSAADGVVTEAGAAAYSCGTGGGAVGRGLMGPFGLLVLADDQLSERTAVFFYLVKGVDGNLTTFFCQDELRSSKANDLVKRVYGSLVPVLDGENLSIRILVDHSIVEGFAQGGRTCITSRVYPTKAIYESAKIFLFNNATNVRVTAKSLKIWELNSAYIRPYVD >ONIVA04G18200.2 pep chromosome:AWHD00000000:4:19473889:19479672:1 gene:ONIVA04G18200 transcript:ONIVA04G18200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAGPLYYKGWYHLFYQWNPDSAVWGNITWGHAVSRDLIHWLHLPLAMVPDHWYDINGVWTGSATQLPDGRIVMLYTGATEESVQVQNLAEPADPNDPLLREWSKAEANPVLVPPPGIGLTDFRDPTTAWRNPADSAWRITIGSKDRDHAGLALVYKTEDFLHYDLLPTLLHVVKGTGMWECVDLYPVSTSPAVEDGLETSTPPGPGVKHVLKASLDDDRNDYYAIGTYDGETDTWTPDNADIDVGIGLRYDYGKFYASKTFYDPVGRRRVLWGWIGETDSERADILKGWASLQSIPRTVMLDTKTGSNLLQWPVVEVENLRMHGKSFDGLDVSPGSVVPLDVGKATQLDIEAVFEVDTSAADGVVTEAGAAAYSCGTGGGAVGRGLMGPFGLLVLADDQLSERTAVFFYLVKGVDGNLTTFFCQDELRSSKANDLVKRVYGSLVPVLDGENLSIRILVDHSIVEGFAQGGRTCITSRVYPTKAIYESAKIFLFNNATNVRVTAKSLKIWELNSAYIRPYVD >ONIVA04G18200.3 pep chromosome:AWHD00000000:4:19472873:19473833:1 gene:ONIVA04G18200 transcript:ONIVA04G18200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAISPMMDGAAPLLPETSPESRQQRDPERGKRRTPVLPAVVASAVVLLGLAALFLVYGFHDGGDGRAAVLAPGTVEVAASSSRGVVEGVSEKSTTPALRLGGGAVRDYAWTNSMLSWQRTAFHFQPPNNWMNDPNGKLLALIVNARRNLVLVTH >ONIVA04G18190.1 pep chromosome:AWHD00000000:4:19466515:19472537:1 gene:ONIVA04G18190 transcript:ONIVA04G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWHLTCGVHADSAATSDKTGIKTTEKRKISKSEEGIAENGQPRRRFTATCAVLEKLQSIVTTALQFLNDTRTELLTESL >ONIVA04G18180.1 pep chromosome:AWHD00000000:4:19465065:19465638:-1 gene:ONIVA04G18180 transcript:ONIVA04G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCCRPITFDVNKVPTMRGPSAPRSGEGQRRHAARSEGEQERDGGRRRGAVAARRRPRRGRTAAARPGHGLVAAGVRRAGGSWRHTMRGGAGAIKRPWRGAASTPWTADAVQRPSMARCGDAGGRVGAGCG >ONIVA04G18170.1 pep chromosome:AWHD00000000:4:19464693:19464905:-1 gene:ONIVA04G18170 transcript:ONIVA04G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAARLGDGWCDAAEFGARRGPGRPCGGEAVQWPARSRRLHQCPFVFFFFAGGGEKVLMWHPDTWVPR >ONIVA04G18160.1 pep chromosome:AWHD00000000:4:19459580:19464224:-1 gene:ONIVA04G18160 transcript:ONIVA04G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFCFRGGTVARRSERAPMAEWCVFCPIARRDPACNTVLLYSDDRVMAFKDINPSAFRHYLVIPIEHIPTVNNLQRTTEDHQLVGRDLLNRDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFTPSWRQVKYTPLGPLGGFIEAETTMTYTKANPSSTHWTTAMAQPARSIVYSEYSSTRDANDEPEPHWQNQPELECLHCNCMYSTVELYSLCLKKANCEFPCQRLTVRLI >ONIVA04G18160.2 pep chromosome:AWHD00000000:4:19459580:19463979:-1 gene:ONIVA04G18160 transcript:ONIVA04G18160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKDINPSAFRHYLVIPIEHIPTVNNLQRTTEDHQLVGRDLLNRDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFTPSWRQVKYTPLGPLGGFIEAETTMTYTKANPSSTHWTTAMAQPARSIVYSEYSSTRDANDEPEPHWQNQPELECLHCNCMYSTVELYSLCLKKANCEFPCQRLTVRLI >ONIVA04G18150.1 pep chromosome:AWHD00000000:4:19454466:19455947:-1 gene:ONIVA04G18150 transcript:ONIVA04G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT4G16563) TAIR;Acc:AT4G16563] MRLQLCFLCFGLLLVSSSLQCHGLLLPLTNTLSSLRPANDTATIHRLLRSSSLRSAARHRGRRHGTRRAPPPPPRHRQLSLPLAPGSDYTLSLSVGPPSTASSVSLFLDTGSDLVWFPCAPFTCMLCEGKATPGGNHSSPLPPPIDSRRISCASPLCSAAHSSAPTSDLCAAARCPLDAIETDSCASHACPPLYYAYGDGSLVANLRRGRVGLAASMAVENFTFACAHTALAEPVGVAGFGRGPLSLPAQLAPSLSGRFSYCLVAHSFRADRLIRSSPLILGRSTDAAAIGASETDFVYTPLLHNPKHPYFYSVALEAVSVGGKRIQAQPELGDVDRDGNGGMVVDSGTTFTMLPSDTFARVADEFARAMAAARFTRAEGAEAQTGLAPCYHYSPSDRAVPPVALHFRGNATVALPRRNYFMGFKSEEGRSVGCLMLMNVGGNNDDGEDGGGPAGTLGNFQQQGFEVVYDVDAGRVGFARRRCTDLWDTLSRR >ONIVA04G18130.1 pep chromosome:AWHD00000000:4:19433489:19433896:-1 gene:ONIVA04G18130 transcript:ONIVA04G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRDYRVNSIKSLAAGPLQCHATIPWAVGPAFWSYGIIAVMRFHPAGWESRSSPISIKRNDRIIVSGSRQQINFLRSPGAPSHHG >ONIVA04G18120.1 pep chromosome:AWHD00000000:4:19429628:19430296:1 gene:ONIVA04G18120 transcript:ONIVA04G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 11B [Source:Projected from Arabidopsis thaliana (AT3G47430) TAIR;Acc:AT3G47430] MSAGDTLDKLVVFLAKRDGIDKLVKTFQYVSKLAHWAAESSSPGLAGRAKNWETSAGLSRKAFRTGRFLTGLNGLRRAPGEFGALAVLANAGEMVYFFFDHFTWLSRVGVLDAWLARRMSFISAFGESVGYVFFIAMDLIMIRRGLRQERKLLREGGKDKDKEVKKIRMDRVMRLMATAANVADLVIGIADIEPNPFCNHAVTLGISGLVSAWAGWYRNWPS >ONIVA04G18110.1 pep chromosome:AWHD00000000:4:19422423:19427124:-1 gene:ONIVA04G18110 transcript:ONIVA04G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLICSQIKLSKAHIRNRRQIHENGQIRLPKSLYWKPLHSGHFENIVLRCTQNLSWEASLPYASAEDGANIIKGTEVVEPIDTEEAPEIPILQSDQDFVEVIKEPSMQLTTFKLPIWLLGPSILLVTSIVPTLWLPLSSVFLGPNIAGLLSLVGLDFIFNMGAMLFFLMADACGRPEANSSELIKQIPTSYRFWNLAASIVGFLVPLALVFASHKGTLQPHIPFIPFAVLLGPYLLLLSVQVLTEMLTWHWKSPVWLVAPVVYEGYRVLQLMRGLQLADEITAPGWMVQSLRGLVSWWVLVLGIQLMRVAWFAGLKFASTSSTKCYSDATPGTVWGCSQVPSSSTSSVDRIDEQLSDFETKKQISCSMQLTNRTDDYIAFKFNKGSGNVVDERKLKVVYVQPPRPPSPVREGSEEGSSPRASLSEGGNLNYQDATRAHKDAEDVTSDSETSALISRLTEERNSAIQQNNKLLEEMDLVRREISKQNGGFPFVFVVVVALLGILLGYIMKR >ONIVA04G18100.1 pep chromosome:AWHD00000000:4:19418701:19425332:1 gene:ONIVA04G18100 transcript:ONIVA04G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin-related [Source:Projected from Arabidopsis thaliana (AT1G73440) TAIR;Acc:AT1G73440] MAGEEIGASEEHGCAAGGEPMNDYERQRLARIRENEARLQALGIRRLAASPLLHSPASSAGGKGKRKSRAADADEEYLPSDGGEDDDEEESSSTSDQDGEEEEDAEASSRSRKKGKKKVLNSGKSSQVTHRKENAHLADSVDDDAALQQAIALSLAESLENSVPAMSGETPSTGMKGSGSTPCKKNNTVPIQDSAKTMRIKKQGKSRIQLTEDDVVAFFFSFDEVGKGYITPWDLERMATVHDFIWTDSEISKMIHCFDSDRDGKINLEDFRTIVSRCNMLQEPEKSG >ONIVA04G18090.1 pep chromosome:AWHD00000000:4:19411909:19418285:1 gene:ONIVA04G18090 transcript:ONIVA04G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLGVSLLVAGLGCALLVAPSESAADDVSALLAFKKAIFEDPLAKLSDWNSKDENPCGWTGVGCSPFDSRVVTLELANSSLKGFLALEIESLSSLQKLILDHNTLMGPIPKGIGKLRNLIMLNLSTNQLDGPIPIEIGDMPKISKIDLRANRLDGAIPPEIGNLTSLTELQLSNNSLTGTIPGSNDSSMVSTNRDGQIGLCRLTQLTDMDLSYNYLAGDVPTCFMQIRRLSLVGNCFENNDTTNRENSQKGNESSRVDGNQQKRFQQPLWLLILEVITAISLLTVLTLCTIAGLRRCKARSSRNSGTWTRAISWKENTVISIDDDLLANVPKISRQELAEACEDFSNIIGSTHDTVVYKGTMKDGSEIAVVSLSASVVEMARLSHENVAKMVGYSKESDPFSRMLVFQYPPNGTLYEHLHDGEGYQLSWPRRMKIALSIARALRYLHTEMQPPFAVAALTSSSVYLTEDFSPKIIDFERWRALLTKPGLSSGSIVNGSFNNIIDSRHRRFMDIQANTFAFGVILLELISGRAPVSKDTGDLVDWARKHLDQTEEFIKLVDPKLMNANHENLGIVCNVVNLCIDAEPCRRPSMNMITAILEEGIDTSPATVLRDSSLAWAEAEIAIS >ONIVA04G18080.1 pep chromosome:AWHD00000000:4:19405101:19410382:1 gene:ONIVA04G18080 transcript:ONIVA04G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADFRRPTPKFVAALSRTSSAFLFFSVVVVGLVSSARWITRFTLQASPPATAAIPAAVAATTRAGVPAAPPRPTYSISCSTPPRPPLNLSGGGGARTPQTSQTLALALSSSSSSCRSSPDPATASASVSASVSASNSSSCPSYFRFIHEDLRPWRDAGGITRAMLARARVTASFRLLVLGGRAFVHRFRPAFQTRDLFTIWGVLQLLRRYPGRVPDLDLMFDCADWPVVRTHLYRGKHAAFMPPLFSYCGDDRTLDIVFPDWSFWGWPEINIKPWDALRQDLKDGNNRVKWLDRVPYAYWKGNPAVAVTRQELVNCNVSTTKDWNARIYKQDWFRESKAGYKDSNLGSQCTHRYKIYIEGSAWSVSQKYILACDSMTLLVTPRYYDFFSRSLMPIQHYWPVHNDNKCDSIKYAVDWGNSHKQLAQRIGKQASDFIEEDVNMDRVYDYMLHLLTEYAKLLRFRPIKPPEAVEICPDSLACQAEGLEKKFLMESMVKSARDAGPCDLPPPFNPQELAMIKQRKENSIKQIQTWERISGRA >ONIVA04G18070.1 pep chromosome:AWHD00000000:4:19399233:19402751:1 gene:ONIVA04G18070 transcript:ONIVA04G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKVGRLTRSSLQAASCPAVNGGVVVFFAAVVAGALVSASWMSTGARVTSIPMIATRNMAQHAAASPEPEPTLPRLGNLAPPPRQPPPPAPAPAPDAAAAASPSSSCPAYFRWIHEDLRPWRDAGVTREAVDGAARRYGAKFRVTVVAGRLHVARYGRCFQTRDVFTQWGVLQLLRRYQGRVPDLDLMFDCQDLPVVNAGDRRGRTSSSPPPLFGYCGSEPTLDIAFPDWSFWGWPELNIKPWETLQGEIADGNAAVNWTGRAPYAYWKGNPTVGADRRNLLRCNASGKRDWNARIYEQDWRKEVRDGFRESDLAKHEKYILACDAVALIVRPRYHDFFSRGLMPLQHYWPIPGGGRGMCRSIKFAVDWGNAHADKAQEIAGNATRFIQEDLTMDRVYDYMFHLLTEYAKLLKYKPTVPDRAVEVTVESMTRGRRGLERQFMVDTMVEAGSGTGEPCELPPPFSSEELETLRRRQADAVRQVETWEKR >ONIVA04G18070.2 pep chromosome:AWHD00000000:4:19399233:19402751:1 gene:ONIVA04G18070 transcript:ONIVA04G18070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKVGRLTRSSLQAASCPAVNGGVVVFFAAVVAGALVSASWMSTGARVTSIPMIATRNMAQHAAASPEPEPTLPRLGNLAPPPRQPPPPAPAPAPDAAAAASPSSSCPAYFRWIHEDLRPWRDAGVTREAVDGAARRYGAKFRVTVVAGRLHVARYGRCFQTRDVFTQWGVLQLLRRYQGRVPDLDLMFDCQDLPVVNAGDRRGRTSSSPPPLFGYCGSEPTLDIAFPDWPELNIKPWETLQGEIADGNAAVNWTGRAPYAYWKGNPTVGADRRNLLRCNASGKRDWNARIYEQDWRKEVRDGFRESDLAKHEKYILACDAVALIVRPRYHDFFSRGLMPLQHYWPIPGGGRGMCRSIKFAVDWGNAHADKAQEIAGNATRFIQEDLTMDRVYDYMFHLLTEYAKLLKYKPTVPDRAVEVTVESMTRGRRGLERQFMVDTMVEAGSGTGEPCELPPPFSSEELETLRRRQADAVRQVETWEKR >ONIVA04G18060.1 pep chromosome:AWHD00000000:4:19394209:19394781:-1 gene:ONIVA04G18060 transcript:ONIVA04G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQKVILKVSSMSDTKMKQKAMETVADIYGIDSIAADHKDQKMTVIGEVDTVKIAKKLKKFGKVDIISVGPAKEEKKDDKKGDKK >ONIVA04G18050.1 pep chromosome:AWHD00000000:4:19391857:19393181:1 gene:ONIVA04G18050 transcript:ONIVA04G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCLQVAWIAGSDAITEQSNFIFSPMCLRAGLALLATGADGETLRQMLAFLGSEHIHQLNATSAGLLAEMQAWPQLVFAAGIFVDRSLRLRPEFKSTAAAAHGGIHAICGLPEPDHEGALNQRHPPWHLEQRHDVRPCERHALQGEVGSDVRVVEHHAGNVPPPRRHDGAGAVPVGPRDALRRQGAKFEFHGLEFKVLQLFYKMVGRDGQVDFGFGAPCFCMLVFLPIKRDGLRHLLRMAVTEPDFVMRCVPRSRQIVTPCKVPKFKFSSQLDAGGALAQLGLGAPFDPDAADLSRMAVNTPPAGLYVSAMRQKCAVEVDEEGTTAVEAMYSPSSPGYSPGYQPPRPPPMSFVAEHPFMFAIVEYKKAQVLFLGHVMDPSKEDQ >ONIVA04G18040.1 pep chromosome:AWHD00000000:4:19388729:19391841:1 gene:ONIVA04G18040 transcript:ONIVA04G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCLQVAWIAGTKAITEQSNFMFSPLGLRAGLALLATGTDGETLRQLLAFLGSQHIHQLNAASAGLLAEMRAWPQLSFAAGIFVDRSLRLRPEFQSTAAAAHGAFPRSVDFQNQANAAAAEVNRFISQATNGRLNNTISPGTFGSSTKCVLANAMHFKATWGRKFESYDTQRRRFHRQDGTRVTVPFLSDPRTHYAARFDGLGFKVLQLFYKMVGHDGQVHFGAPCFCMLVFLPIKRNGLRHLLRMAVTEPDFVMRCVPRSEQEVSPCMVPKFKFSSELDARGALAKLGLGAPFDPLAADLSRMAVSVNTPPERLYVSAMKQKCAVEVDEEGTTAVEATYSCCSPTYSGPESPKPRPMSFVAEHPFMFAIVEYEKAQVLFLGHNRLPLASPLRSAPVFSLLCNCSTY >ONIVA04G18030.1 pep chromosome:AWHD00000000:4:19387228:19387958:1 gene:ONIVA04G18030 transcript:ONIVA04G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAHVLVFPAPAQGRLGASAGGGAPQPPRLRFLSMLDGLPDDDQQLLIDGLLRKARALLLNMTISMEHQALTRLARHMHDLFAIGPLHRRSWRCGPLLGPLLAAAVEGRNCQEREAYGGHDDDDDDEDMGGTPRGRMDQWRESEMAAVQRMDEAVQLGQPRPCPRRPQATTHLPAPRRRGGEEARWSMGR >ONIVA04G18020.1 pep chromosome:AWHD00000000:4:19383833:19385738:-1 gene:ONIVA04G18020 transcript:ONIVA04G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLGVKAAPFTYVAHALAVAAAVMVLVWCISFRGGLAFEADNKNLIFNVHPVLMLIGYIILGSEAIMIYKIFPKLNHDTTKLIHLILHAIAIVLGAVGIYCAFKFHNESGIANLYSLHSWLGIGTISLYGIQWIFGFVAFFYPGAAPHVRRGALPWHVLFGLFVYVLTLATAELGLLEKLTFLQSSGLDKYGAEAFLVNFTGLVVALFGAAVVVAAVAPAHVEEPEGYAPIPVN >ONIVA04G18010.1 pep chromosome:AWHD00000000:4:19378731:19380893:-1 gene:ONIVA04G18010 transcript:ONIVA04G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLINREENLQKVPEQVGPSVKLQMKWMELVACRHPSLSSSISRKAVMHPTRRGTRDDVGRRFLKTALHKLLGLSVQGFSSRVYEDPQLNAATPGICTRTDRGLARSSSYRPNPVRSPHLRGTLVGRCTRPDAPSVPAPAVAVVGLDGCVINVDGSGTSVRPARCRCVRALHQCGKNGEINDITDVAVRVRPRVLPRFRDAWPASDACTVVNNGNVRRAAFRPALRELMTTASGWPSTVDAHARWPQGSPRARDPSLKSPSDAGARGPPLNSVVPLAGIQCGRARGPGPACH >ONIVA04G18000.1 pep chromosome:AWHD00000000:4:19374226:19377330:-1 gene:ONIVA04G18000 transcript:ONIVA04G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKVEVEVTEAPPAAAAAAETEPAAKDVAEEKAVIPAPAPPAEEEKPPVDDSKALAIVEKVADEPPAEKPAQGGSNDRDVALARVETEKRNSLIKAWEENEKTKAENKASKKLSAILSWENTKKANIEAQLKKIEEQLEKKKAEYAEKMKNKVAIVHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKLIGCFGA >ONIVA04G17990.1 pep chromosome:AWHD00000000:4:19369221:19370620:1 gene:ONIVA04G17990 transcript:ONIVA04G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 17 [Source:Projected from Arabidopsis thaliana (AT3G61250) TAIR;Acc:AT3G61250] MGRTPCCDSKVLKKGPWTPDEDKLLVDYVQANGSGNWRLLPKLAGEARVRDRWVRAGLNRCGKSCRLRWTNYLRPDIKRGPFTPEEHKSILQLHAIVGNKWSMIAAQLPGRTDNEIKNYWNTNVKKQLRQGQAAAVGEQAALASLGGGAASCPAARHMAQWETARLEAEARLSLLSGTTSVATASVAASSSSSSTAAAGGAEAPPDIYLRLWNSEVGDSFRKSARSAAREDQEPANASDEAAPVSATFARPGDDSSAASNVTAAAAADEYQVFLDLAAEDFELFHGRHGGFPLFPAVDMLGETSLYTAFD >ONIVA04G17980.1 pep chromosome:AWHD00000000:4:19365614:19369194:1 gene:ONIVA04G17980 transcript:ONIVA04G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLSVSPTEVNLEEEGRWRLTPAMSLSSSLVEVEFGEERKMGEALMKSAMIIVVGRQSPSQNALYHPSSRRQLHMCNNRQPVVPYAFKSLAPVRRTCKKAPRPLLNASLLPDSPVDHLLLLPPFRRSTALPLAAFPRSLFAAGENPVHLWPPWILLLLPPLLVGLYKSRPVSPNRSFVRVANATPQVRDNSEGNE >ONIVA04G17970.1 pep chromosome:AWHD00000000:4:19365071:19365487:-1 gene:ONIVA04G17970 transcript:ONIVA04G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKRWFERKSDEGKGGYLIKLIEAGVSWASKGTLGMKRCLQHRGDAMSYTNSLGADFNARRRKTGDLRGDGTCGHATVETMEQTPVQGSRILGKHELDLKLVCTVLM >ONIVA04G17960.1 pep chromosome:AWHD00000000:4:19354087:19360744:1 gene:ONIVA04G17960 transcript:ONIVA04G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYGRGPPPSQHQQHQHQHQQPPHPHHHQYVQRQPQPQQTPHNSQHQQWLRRNQIAAEAAGASEQKAPPVADGIDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCVLKNCSMLVMDEADKLLSPEFQPSIQELIRYLPSNRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSAETYLHRDFLTLVPSSVCVVASLHTDGLFHLSDAVTVCR >ONIVA04G17960.2 pep chromosome:AWHD00000000:4:19354087:19359728:1 gene:ONIVA04G17960 transcript:ONIVA04G17960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYGRGPPPSQHQQHQHQHQQPPHPHHHQYVQRQPQPQQTPHNSQHQQWLRRNQIAAEAAGASEQKAPPVADGIDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCVLKNCSMLVMDEADKLLSPEFQPSIQELIRYLPSNRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSAETYLHRLTLKVD >ONIVA04G17950.1 pep chromosome:AWHD00000000:4:19343901:19347088:1 gene:ONIVA04G17950 transcript:ONIVA04G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVHYRKGLWSPEEDQKLRDFILRYGHGCWSAVPVKAGLQRNGKSCRLRWINYLRPGLKHGMFSREEEETVMNLHATMGNKWSQIARHLPGRTDNEVKNYWNSYLKKRVEGAEAAARKSAEPADVVTGSPNRSETGQERVAADRPASSESSGPVESSSADDSSSLTEPAAGLAAVRPHAPVIPKVMFADWFDMDYGTSLAGTAPGLSYQGSSSVQVDGPCGGAVDSLHGLGDGGFCWDFDDAADHMQGGGGLCDLLSMSEFLGIN >ONIVA04G17940.1 pep chromosome:AWHD00000000:4:19326505:19327720:-1 gene:ONIVA04G17940 transcript:ONIVA04G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCTACDKTVHFIDLLTADGVPYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFAPGCRSTDKELARAPSKICSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFGQLFMEKGSYNHMKKKSESQEVLPEVVPEEQPAPPPPDENREDN >ONIVA04G17930.1 pep chromosome:AWHD00000000:4:19322701:19325154:1 gene:ONIVA04G17930 transcript:ONIVA04G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATSSLPSQSARVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAERLRGMGLSSVVFHQLEVTDSSSVARLADFLKTRFGKLDILASSPSPCSIDTGIQQLLLAYRYSASDLTSDREEMCSVLQVNNAAVGGMEYAQGVDNNEEQFVGMDVLQRLQWMRKQGRETYDTAKNGVQTNYYGAKHVIQGLLPLLLSSSEGKIVNVSSALGLLRFLGNEDLRKELDDIDNLTEERLDEVLASFLKDFEAGELEAHGWPMGSAAYKVAKVAMNAYTRISARKHPALRINCAHPGYVKTDLTINSGFLTPEEGARNVVTVALLPDGGPTGAFFDEGKEASFV >ONIVA04G17920.1 pep chromosome:AWHD00000000:4:19280145:19319466:-1 gene:ONIVA04G17920 transcript:ONIVA04G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDITSLPTKRVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAEKLSGMGLSSVVFHQLEVNNAAVGGMEYVQGVDTNKEQFVSMDKKQRLAWLNKQGRETYDAAKNGVQTNYYGTKIVIQALLPLLLQSSGEGRIVNVSSDFGLLRVVNNEDLRKELDDVDNLTEERLDEVLDSFLKDFEAGALEAHGWPTAFAAYKTAKVAMNAYTRILARRHPELHVNCAHPGYVKTDMTIDSGFLTPEEGGRNVVTVALLPDGGPTEAMTMTGSSWLPSSAARGGDKGEGGKLVVAVIDSGPEPPLRRLANLTAGASFSGPTLSHRFEEAAATTGSSLFPFLFATTVGRRCTGRSPQQPTRSGWQWKGRAQAELAGVKEVMGAAQAELARAAEMGKLRASTVAT >ONIVA04G17910.1 pep chromosome:AWHD00000000:4:19276173:19277950:-1 gene:ONIVA04G17910 transcript:ONIVA04G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIFSSTHTSLVADARIAVVTGGNKGIGLEVCRQLAGNGATVVLTARDEAKGAAAVEKLHGLGLSSVIFHQLDVTDASSIVRLAEFLEFRFGRLDILASYLVFVNNAAVGGIVPVDDPSFGLLPTEEKFSGMDGHQRIEWMWKNCRQTYDAAKAGLKTNYYGTKNVTEALLPLLQSSSDGRIVNVASSFGLLRTADTKDCMQFFTNEELKRELNDTDSLSEERLDELLGMFVRDFEAGAVAERGWPTEFSAYKVAKAAMSAYARILARKRPALRVNCVDPGYVKTDLTRNSGLLTPEEGASRVVAVALLPAGGPTGALFDGGKEASFV >ONIVA04G17900.1 pep chromosome:AWHD00000000:4:19268028:19276134:1 gene:ONIVA04G17900 transcript:ONIVA04G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHCAAVESHLQTDVVSAHHSDRIDRLAMPPTSHQAQIAHRHAPSIPHPSCRRRPAIAPPSIPASRLTAPLAPARILRRRQDSSPLAPFCTAATGALSTTTKGENGRNEISLEMTLDWLHKKATLSLLFAKIKAEARWVMAGAKHLRDLLLLHETRFQSPESIQTW >ONIVA04G17890.1 pep chromosome:AWHD00000000:4:19255337:19266970:1 gene:ONIVA04G17890 transcript:ONIVA04G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAIQAVSVSSTAPPPVWASAQRARLLGPKPNATCPRPRQTRPALDQRKEGERAERKDELMNHLLGRGVHIPRPAAANRGGTTTMEAAAISNPTSKRIALVTGGNKGVGLETCRQLASRGLRVVLTARNEARGLEAVDGIRRSGAADSDVVFHQLDVTDAASVARLADFVRDQFGRLDILINNAGISGVDRDPVLVAKVKDQIEGMDVDQRVDWMRENSKETYDEAKSCITTNYYGAKLVTEALLPLLLLSSSGRIVNVSSGFGLLRNFNSEDLRKEFDDIDSLTEKRLEELLDLFLDDFKVNLIEAHGWPTGGSSAYKVAKAALNAYTRILAKKYPTLRINCLTPGYVKTDISMHMGVLTPEEGASNSVKVALLPDDGPTGAYFDRNGEASFLVRHKMEGDISSLPTKRVAVVTGGNKGIGLEAAEKLRGMGLSCVIFHHLEVTDSSSVSRLADFLTTRFGKLEILVNNAAVSGMEHAQRVDTNEEQFVGMDKQQRLEWLNKQGRETYDAAKNGVQTNYYGTKLVIQTLLPLLLQSSGEGRIVCRQLAADGITVVLTARDETRGVEAAEKLRGMGLSCVIFHHLEVTDSSSVSRLADFLTTRFGKLEILVNNAAVSGMEHAQRVDTNEEQFVGMDKQQRLEWLNKQGRETYDAAKNGVQTNYYGTKLVIQTLLPLLLQSSGEGRIDLRKELDDVDNLTEERLDEVLDSFLKDFEAGALEAHGWPTAPFVAYKMAKVAMNAYTRILARRHPELRVNCVHPGYVKTDMTINSGFLTPEEGGRNVVTVALLPDGGPTGAYFDEGREASFLE >ONIVA04G17890.2 pep chromosome:AWHD00000000:4:19255337:19266970:1 gene:ONIVA04G17890 transcript:ONIVA04G17890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAIQAVSVSSTAPPPVWASAQRARLLGPKPNATCPRPRQTRPALDQRKEGERAERKDELMNHLLGRGVHIPRPAAANRGGTTTMEAAAISNPTSKRIALVTGGNKGVGLETCRQLASRGLRVVLTARNEARGLEAVDGIRRSGAADSDVVFHQLDVTDAASVARLADFVRDQFGRLDILINNAGISGVDRDPVLVAKVKDQIEGMDVDQRVDWMRENSKETYDEAKSCITTNYYGAKLVTEALLPLLLLSSSGRIVNVSSGFGLLRNFNSEDLRKEFDDIDSLTEKRLEELLDLFLDDFKVNLIEAHGWPTGGSSAYKVAKAALNAYTRILAKKYPTLRINCLTPGYVKTDISMHMGVLTPEEGASNSVKVALLPDDGPTGAYFDRNGEASFLVRHKMEGDISSLPTKRVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAEKLRGMGLSCVIFHHLEVTDSSSVSRLADFLTTRFGKLEILVNNAAVSGMEHAQRVDTNEEQFVGMDKQQRLEWLNKQGRETYDAAKNGVQTNYYGTKLVIQTLLPLLLQSSGEGRIDLRKELDDVDNLTEERLDEVLDSFLKDFEAGALEAHGWPTAPFVAYKMAKVAMNAYTRILARRHPELRVNCVHPGYVKTDMTINSGFLTPEEGGRNVVTVALLPDGGPTGAYFDEGREASFLE >ONIVA04G17880.1 pep chromosome:AWHD00000000:4:19251726:19253765:1 gene:ONIVA04G17880 transcript:ONIVA04G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRLLLLLLLLLAVVGSDHGGVLAADEFTYNGFGGANLTLDGMAAVAPNGLLVLSNGTNQMAGHAFHPTPIRLRGGAAGGAVQSFSAAFVFAIVSNFTVLSDNGMAFVVAPSTRLSTFNAGQYLGILNVTDNGNADNNIFAVELDTMLNPEFQDMNSNHIGVDINSMKSVQNHSAGYYDEATGAFNNLSLISRQPMQVWVDYDGATTVLNVTMAPLDVPKPSKPLISAPVNLSSVVTDTAYVGFSAATGVIYTRHYVLGWSFSQNGAAPSLHISSLPALPRFGPKPRSKVLEIVLPIATAAFVLALVIAAFLFVRRRVRYAEVREDWEVEFGPHRFSYKELYQATKGFKNKQLLGTGGFGRVYKGVLAKSNLEIAVKRVSHDSKQGMKEFIAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYDKTKPVLDWGQRFQIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGVDPQTTHVVGTMGYLAPELVRTGKATPVTDVFAFGVFVLEVTCGRRPLGCIAPDDQNVLLDWVQEHERRHAALDTVDARLCGKYDADEARLALKLGLMCAHPLPDARPTMRQVTQYLDGDAPMPEVAPTMVSYTMLALMQNDGFDSFAMSFPSTVTSTASPMSADVSAVSGLSGGR >ONIVA04G17870.1 pep chromosome:AWHD00000000:4:19248187:19250187:-1 gene:ONIVA04G17870 transcript:ONIVA04G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFVLLLFLGLGCLRPAAAADEQFVFNGFTGANLSFDGMATVTPNGLLMLTNGTNQLKGHAFFPAPLQFHRAPNSTAMQSFSTAFVIGIIGAFEDLSSHGMAFIIAKSKNLTSALPGQFMGLVNSANNGNATNHLFAVEFDTILNSEFNDMSGNHVGIDVNGLNSVDADNAGYYDDGTGDFKNMSLVSRRPMQVWVDFDGQTMQVNVTMAPLEVARPKKPLLSKIVNISSVIDDTAYVGFSSATGILFCRHYVLGWSFKMNGAAPALNISSLPSLPVTFPKPRSKTLEIVLPIASAVLVFAVAAAVFVFMRRRRMFSELKEEWEVTFGPHRFSYKDLFHATDGFSDKRLLGIGGFGRVYRGVLPSSKAEVAVKKVAHGSRQGMREFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKQLYDQGKITLSWAQRFRIIRGVASGLLYLHEDWEQVVVHRDIKASNVLLDADMNGRLGDFGLARLYDHGTDPHTTHVVGTMGYLAPELGHTGKASKASDVFAFGAFMLEVACGRKPVAQDARDNRVVLVDWVLDRWRAGAITDTVDPRLHGDFVESEASLVLRLGLLCSHPLPGARPGTRQLVQYLEGDVPLPELSPTYQSFNMLALMQDQGFDPYVMSYPMTSTSAGTFSDLSGGR >ONIVA04G17860.1 pep chromosome:AWHD00000000:4:19240769:19247052:1 gene:ONIVA04G17860 transcript:ONIVA04G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT3G49640) TAIR;Acc:AT3G49640] MEYRDKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIIDHKFLKCERVTNESLRTTDFLERGTDTVVFRTCPQERDRVVFQMGTSDAVRALKAAQLVCNDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNTRQDTVELARRIEKIGVPALAVHGRKVKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGAASVMVARGAMWNASIFCPKGKTPWEDVKREYVRKSILWDNDLKSTKQTIKEMIMHYSCLEFPEGKGVNKCDTIADLAKLYGEEEYYNFVLSNRN >ONIVA04G17860.2 pep chromosome:AWHD00000000:4:19240769:19246583:1 gene:ONIVA04G17860 transcript:ONIVA04G17860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT3G49640) TAIR;Acc:AT3G49640] MEYRDKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIIDHKFLKCERVTNESLRTTDFLERGTDTVVFRTCPQERDRVVFQMGTSDAVRALKAAQLVCNDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNTRQDTVELARRIEKIGVPALAVHGRKVKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGAASVMVARGAMWNASIFCPKGKTPWEDVKREYVRKSILWDNDLKSTKQTIKEMIMHYSCLEFPEGKGVNKCDTIADLAKLYGEEEYYNFVLSNRN >ONIVA04G17850.1 pep chromosome:AWHD00000000:4:19238483:19238779:-1 gene:ONIVA04G17850 transcript:ONIVA04G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTGAALLLAALLLLLASELATLGCGHRMTRADVAAWKRHALVAPTKKTTTMAASRAATTTTTFPIPTVAGTGDTAAALGDGESKRLVPQGSNPLHN >ONIVA04G17840.1 pep chromosome:AWHD00000000:4:19233971:19236599:1 gene:ONIVA04G17840 transcript:ONIVA04G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGTLEVLLVGAKGLENTDYLCNMDPYAVLKCRSQEQKSSVASGKGSDPEWNETFMFSVTHNATELIIKLMDSDSGTDDDFVGEATISLEAIYTEGSIPPTVYNVVKEEEYRGEIKVGLTFTPEDDRDRGLSEEDIGGWKQSS >ONIVA04G17830.1 pep chromosome:AWHD00000000:4:19228446:19229471:1 gene:ONIVA04G17830 transcript:ONIVA04G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G13250) TAIR;Acc:AT1G13250] MRVLAVALLAAAVLAAEAAAELPEFREAPAFRNGAGCAGAPTIHIAMTLDTTYLRGSLAGVLSVLRHAACPESIAFHFVASSASPARRLAALRRALAAAFPTLPATVHRFDARLVRGKISTSVRRALDQPLNYARIYLADLLPRSVSRVLYLDSDLLVVDDVARLWATDLGPDAALAAPEYCHANFTSYFTDAFWSHPEYSSIFTNRGRAPCYFNTGVMVIDLDRWRAGGYTVKLEYWMEVQKQEARIYELGSLPPFLLVFAGEVKAVEHRWNQHGLGGDNVAGQCRELHPGPVSLLHWSGKGKPWLRLDAGRPCPLDALWAPYDLLRRRGARDDLLAAVA >ONIVA04G17820.1 pep chromosome:AWHD00000000:4:19214319:19217784:-1 gene:ONIVA04G17820 transcript:ONIVA04G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G10560) TAIR;Acc:AT5G10560] MSNVPLLLLLVVASAGAGDGAAPASNARPCASPAASAYPFCNATLPFPARARALVSLLTLDEKIAQLSNTAAGAPRLGVPPFEWWSESLHGVCDNGPGVNFSSGPVRSATIFPQVILSAAAFNRSLWRAAARAIAVEARAMHNAGQAGLTFWAPNINVFRDPRWGRGQETPGEDPAVVSAYSVEYVKGFQGDYGEEGRMMLSACCKHYIAYDLEKWRGFTRYTFNAKVNGVPACARKDILQRARDEWGFQGYITSDCDAVAIIHENQTYTASDEDSIAVVLKAGMDINCGSFLIRHTKSAIEKGKVQEEDINHALFNLFSVQLRLGFFDKTNENQWFTQLGPNNVCTTEHRELAAEAVRQGTVLLKNDNGFLPLKRSEVGHIALIGPAANDPYILGGDYTGVPCHSTTFVKGMQAYVPKTTFAAGCKDVPCNSTDGFGEAIEAAKRADVVVLIAGLNLTEETEDHDRVSLLLPGRQMDLIHTVASVTKKPVVLVLMGGGPVDVSFAKHDPRIASILWIGYPGEVGGNVLPEILFGKYNPGGKLPITWYPESFTAVPMDDMNMRADASRGYPGRTYRFYTGDVVYGFGYGLSYSKYSYSILQAPKKISLSRSSVPDLISRKPAYTRRDGVDYVQVEDIASCEALQFPVHISVSNDGAMDGSHAVLLFASSKPSFPGSPIKQLVGFERVHTAAGRSTDVEITVDPCKLMSFANTEGTRVLFLGTHVLMVGDEEHELLIEA >ONIVA04G17810.1 pep chromosome:AWHD00000000:4:19211691:19213767:-1 gene:ONIVA04G17810 transcript:ONIVA04G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAASTAVCSSPLASASASASSARRLRAVPPSRGIRYQALRADSGFAGNRRGGGRGASVVCTVQGQDTSIQVPEVTKSTWQSLVMESELPVLVGYWATWCGPCKMIDPVVGKLSKEYEGKLKCYKLNTDENPDIASQYGVRSIPTMMIFKNGEKKDAVIGAVPESTLIASIEKFVER >ONIVA04G17800.1 pep chromosome:AWHD00000000:4:19204510:19207033:-1 gene:ONIVA04G17800 transcript:ONIVA04G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAPRPAPARSRPSIAGSDAPPRAGLRFNYIYFYLSSLVPSRLASSASDVDASSPLSLPPPSIISPPSFSPQRYGIRDLPIVANPPRLPIAATPAPRRLPVSCSSLGSGRLGPTAAFAPARDWCCVCSQGSS >ONIVA04G17790.1 pep chromosome:AWHD00000000:4:19203746:19204507:-1 gene:ONIVA04G17790 transcript:ONIVA04G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIYMAAVNNKTSLPDDEPMKKISGDMPVTAGNACFDCNICLDFAAEPVVTLCGHLYCWPCIYEWLCPGVGSTASNNSSLARRQCPVCKATLSPDMLVPLYGRGGSLKKSLNGVPIPRRPTVQREAVEHQNTHNNIDDRHHENMEPSPPPQPLRHSSHHSSATEFDFIYPPSPIGRGLIHSTAGGVLGGMAVAVLPWAFRGQVPPSMFMSPHYVTAHNMSSRARRHQMEVERSLHQIWFFLFVFVVLCLLLF >ONIVA04G17780.1 pep chromosome:AWHD00000000:4:19199359:19202938:1 gene:ONIVA04G17780 transcript:ONIVA04G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRTEDFKESVRVAALGHGYTESQLAVLMSSFIIRKPAPKLPFTKAAIKTLESIRELEKFIVKHRKDYVDLHRTTEQERDNIEHEVTLGHQHYSAIMLKHILCNMVDEFIENLILSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >ONIVA04G17770.1 pep chromosome:AWHD00000000:4:19195414:19199258:-1 gene:ONIVA04G17770 transcript:ONIVA04G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQNGSAAGEGEAAAAVAARSRSKSIEADEEERSKGRRDRDRDRRGKSKRRDEEEEEEGDAGSESSGEDSGERRKRRRKEKERRHRRRRSRSASSGSSSESESESESSYSDCSAGSESETDSEEERRRRRRRRRKEREEEERRRRRKDKERRKRKEKERERERKKKEKKKRRKEEKKNLGKKAAVTNSWGKYGIIREVDMWNKRPEFTAWLSEVKQVNLEALSNWEEKQMFKEYYDLDAYHRRVMEKEKKKGLKNALGTERTVFNDEEQRRLELLRERERQKEEEVAALKRSMQTGMAQAMKEQARLREEMMYQYRLGNFEAAAAIQKRLDPDAPLQ >ONIVA04G17760.1 pep chromosome:AWHD00000000:4:19193698:19194102:1 gene:ONIVA04G17760 transcript:ONIVA04G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVNHKKRIYPQNSIHANFKTPVKERAKELKHLKGAVMKGVKVVGDSCKKAWNKVKSIKH >ONIVA04G17750.1 pep chromosome:AWHD00000000:4:19188236:19193320:1 gene:ONIVA04G17750 transcript:ONIVA04G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSRSFSLCVLLLLLLLAPPISASFLFDGGKSKSAAAAAAVDMEWRPATATWYGDAEGDGSTGGACGYGSLVDVVPMKARVGSVSPVLFKDGEGCGACYKVKCLDHGICSRRAVTVIVTDECPGGLCAFGRTHFDLSGAAFSRMAVAGAGGHLRDRGQLSVVYRRTACKYGGKNIAFRVNEGSTNFWLSLLVEFEDGQGDIGSMQIKQANSVEWLDMKHVWGATWCLVRGPLVGPFSVRLTTLSAQKALTARDVIPRNWKPTATYTSRLNFEAAL >ONIVA04G17740.1 pep chromosome:AWHD00000000:4:19182557:19185771:-1 gene:ONIVA04G17740 transcript:ONIVA04G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETHEKDKQFEKEGEEGEEEEYVLLELDDCLYSDVQPNASYVLSGLDTLTPTLILGDGLKMIGEYQETIGTCYLFSETNAPPKPIHGEMAPPEENKDKQASCSKEVPSKEVKHLASVQKILKFRSINADHEQRRAYRDNEREI >ONIVA04G17730.1 pep chromosome:AWHD00000000:4:19181065:19181823:-1 gene:ONIVA04G17730 transcript:ONIVA04G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSEYRRMEAEEEEELDKEEWARRAEAQRRRRRSGGRYVFTCALFASLNAILLGYDFLGQVTPN >ONIVA04G17720.1 pep chromosome:AWHD00000000:4:19177602:19179649:-1 gene:ONIVA04G17720 transcript:ONIVA04G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20780) TAIR;Acc:AT2G20780] MAGAEAANGRNKYAVLDPSDEPEGRRRPSAWERRSKERFVLACAIFASLNAILLGYDVGVMSGAIIYIQKDLHITEFQEEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMALGAIVFQAGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMVSAVYIAEISPAAARGTLTSLPEICINLGILLGYVSNYAFSGLSEHINWRIMLGVGILPSVFIGFALFVIPESPRWLMMEKRVPEARAVLLQISESEAEVEERIAEIEEAANLLKSTKSEDKAVWMELLNPSPAVRRMLYAGCGIQMFQQITGIDATVYYSPTIFRDAGIKSDQELLAATVAVGFTKTVFILVAIFLIDKVGRKPLLYVSTIGMTMCLFVLGIALTLQKHAMGLISPRIGIDLAVFAVCGNVAFFSIGMGPICWVLSSEIFPLRLRAQASALGQVGGRVSSGLVSMSFLSMARIISVAGMFFVFAVISTVSVAFVYFCVPETKGKTLEQIEMMFEGGKEWRGSEIELEDTQHLIQSSKKSVSLG >ONIVA04G17710.1 pep chromosome:AWHD00000000:4:19175554:19176879:1 gene:ONIVA04G17710 transcript:ONIVA04G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTPRKPLPLLLLSLSLPFLLLLLSLVFLLSHTTFSLLLCPLLPRPTSRPNATTMATTTVAAAPPTLDVSMDKSLRAFHASSPPPPTPTPTPTPPLPPPAPPASPAKSNKKASAKRNKSLLKLLLRETPRTRRFAARAGELFASPRPCTRRFFMTWLSPLARFGRRELLVVESLFRSHRDACLLIASDTMDSDGGGDRLGPFLDRGLRVAAASPDMAYLLNGTPAEAWLGAVQRGDVSPGSIPLGQNLSNLLRLALLYKYGGVYLDADVVVLRPFSDLRNAIGAQAVDASTGDWMRLNNAVMVFDRGHPLLREFIAEFAAKFDGSKWGHNGPYLVSRVAARWRRRRRPEAEADLTVLPPAAFYPVDWNKIGGLFVAPKDRKGERWVKAKVESIKGESFGIHLWNRESRSLEMEEGSVIGRLLSDSCLFCNSSMFAKYE >ONIVA04G17700.1 pep chromosome:AWHD00000000:4:19168805:19174377:1 gene:ONIVA04G17700 transcript:ONIVA04G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFFPNNMPGYADEGAPPPPAAAAAAAIPSTYSSSLHHLLSLPYPDLADRFLHAALHLKQKVVHETWDKRRRAAAAAGEAVGDFTLYTGALGTALLLFRAYLVTGDRADLATCAEIVAACDAASMGAEIATFICGRAGVCALGAVVAKHAGDEAGVAHYLSAFKEIKIHSKSPDELLYGRAGYLWACTFLNKHLGDNTIPPTTTDTVMRDIIRDGRTLSTIGCPLMYEWYGEKYWGAAHGLSGIMHVLLDMDLTKDDTECVKGTLRYMIQNRFPSGNYPVTEEDKHDRLVHWCHGAPGISLTLAKASQVFPEERFLEAIAEAAEVVWNRGLLKRVGICHGVSGNAYTFLALFRLTKKKEHLYRAKAFACFLLDRAKQLIADGIMHSGDEPYSLFEGQVGMAYLFLDMINPLDSRFPGYEL >ONIVA04G17690.1 pep chromosome:AWHD00000000:4:19153698:19164992:1 gene:ONIVA04G17690 transcript:ONIVA04G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMIKSVVYYGSTSIGHVEVWPKGGTDLAVAAWAREIRVDHLSPPSERCPPLAVLHAVAAGGRCLVMESRPTATADEPPPPLVAMHAACLRDGKTAVFPLGAEEIHLVAMTSKRNLPNLACFWGYKRKLSNEIDPQHISGMSAEIKRYQEDKSILKQYIENDQVIDGGKVYKVQTEVIPPLPDNHQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLTDRLVCVKSGSRKSLLNVFHDGSCHPEMALVIDDRLKVWDEKDQCRVHVVPAFSPYYSPQAEANFSVPVLCFARNVACNVRGGFFKEFDEVLLPRISEIHYEDEINDFPSAPDVGNYLITEDENAAILNVNKDPLAFDGMADAAVERRLKEVSCSVQAVNPIPTNADVMPVAPNQQLITSSVPEAPSLGMIPLNNDQGPQPPSSWPVAQSAPVDPLQSSPATEEGEVPESELDPDTRRRLLILQHGQDTRDPAPPCPAGSPVQTSVLPVQSHGNWSHVEDEMNPRSLNRTSTGFHLESDDINYDKKQPHNPPYFPDEDNLITSDRYNRRIHRYPSQLPHSEDHHMLNRSSIAYRSFPGEDMGSRFGPSNHRSSKIEPGHQFVQNAETSAGVLEEIAVECGFKVEYQSTLCSTAELQFSIEVRILGEKVGEGIGKTRKAAKRQAVNMSLRNLAEKFLTSDPDKMMILKENGFSSNSNSFRYSGGSRDDTSPVASTSNESRYMGERVDTLRKPAGSVAALKELCTVEGYNLVFQEQPSRPRGSSGKEAYAQVEIGGQILGKGVGATWEQAKLQVAADEALGNLKSMLGIFAHKSSGFQRSSVSNFNRFKPDFQRSLQTIPSGWDSRNNGRVL >ONIVA04G17690.2 pep chromosome:AWHD00000000:4:19153698:19164992:1 gene:ONIVA04G17690 transcript:ONIVA04G17690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMIKSVVYYGSTSIGHVEVWPKGGTDLAVAAWAREIRVDHLSPPSERCPPLAVLHAVAAGGRCLVMESRPTATADEPPPPLVAMHAACLRDGKTAVFPLGAEEIHLVAMTSKRNLPNLACFWGYKVPSGLYNSCLSMLDLRCLGIVFDLDETLLVANTTRSFEDRIDALQRKLSNEIDPQHISGMSAEIKRYQEDKSILKQYIENDQVIDGGKVYKVQTEVIPPLPDNHQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLTDRLVCVKSGSRKSLLNVFHDGSCHPEMALVIDDRLKVWDEKDQCRVHVVPAFSPYYSPQAEANFSVPVLCFARNVACNVRGGFFKEFDEVLLPRISEIHYEDEINDFPSAPDVGNYLITEDENAAILNVNKDPLAFDGMADAAVERRLKEVSCSVQAVNPIPTNADVMPVAPNQQLITSSVPEAPSLGMIPLNNDQGPQPPSSWPVAQSAPVDPLQSSPATEEGEVPESELDPDTRRRLLILQHGQDTRDPAPPCPAGSPVQTSVLPVQSHGNWSHVEDEMNPRSLNRTSTGFHLESDDINYDKKQPHNPPYFPDEDNLITSDRYNRRIHRYPSQLPHSEDHHMLNRSSIAYRSFPGEDMGSRFGPSNHRSSKIEPGHQFVQNAETSAGVLEEIAVECGFKVEYQSTLCSTAELQFSIEVRILGEKVGEGIGKTRKAAKRQAVNMSLRNLAEKFLTSDPDKMMILKENGFSSNSNSFRYSGGSRDDTSPVASTSNESRYMGERVDTLRKPAGSVAALKELCTVEGYNLVFQEQPSRPRGSSGKEAYAQVEIGGQILGKGVGATWEQAKLQVAADEALGNLKSMLGIFAHKSSGFQRSSVSNFNRFKPDFQRSLQTIPSGWDSRNNGRVL >ONIVA04G17690.3 pep chromosome:AWHD00000000:4:19153698:19164992:1 gene:ONIVA04G17690 transcript:ONIVA04G17690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMIKSVVYYGSTSIGHVEVWPKGGTDLAVAAWAREIRVDHLSPPSERCPPLAVLHAVAAGGRCLVMESRPTATADEPPPPLVAMHAACLRDGKTAVFPLGAEEIHLVAMTSKRNLPNLACFWGYKVPSGLYNSCLSMLDLRCLGIVFDLDETLLVANTTRSFEDRIDALQRKLSNEIDPQHISGMSAEIKRYQEDKSILKQYIENDQVIDGGKVYKVQTEVIPPLPDNHQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLTDRLVCVKSGSRKSLLNVFHDGSCHPEMALVIDDRLKVWDEKDQCRVHVVPAFSPYYSPQAEANFSVPVLCFARNVACNVRGGFFKEFDEVLLPRISEIHYEDEINDFPSAPDVGNYLITEDENAAILNVNKDPLAFDGMADAAVERRLKEVSCSVQAVNPIPTNADVMPVAPNQQLITSSVPEAPSLGMIPLNNDQGPQPPSSWPVAQSAPVDPLQSSPATEEGEVPESELDPDTRRRLLILQHGQDTRDPAPPCPAGSPVQTSVLPVQSHGNWSHVEDEMNPRSLNRTSTGFHLESDDINYDKKQPHNPPYFPDEDNLITSDRYNRRIHRYPSQLPHSEDHHMLNRSSIAYRSFPGEDMGSRFGPSNHRSSKIEPGHQFVQNAETSAGVLEEIAVECGFKVEYQSTLCSTAELQFSIEVRILGEKVGEGIGKTRKAAKRQAVNMSLRNLAEKFLTSDPDKMMILKENGFSSNSNSFRYSGGSRDDTSPVASTSNESRYMGERVDTLRKPAGSVAALKELCTVEGYNLVFQEQPSRPRGSSGGQILGKGVGATWEQAKLQVAADEALGNLKSMLGIFAHKSSGFQRSSVSNFNRFKPDFQRSLQTIPSGWDSRNNGRVL >ONIVA04G17680.1 pep chromosome:AWHD00000000:4:19145328:19152820:1 gene:ONIVA04G17680 transcript:ONIVA04G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPRRGRDAAAAAAAAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERNREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSRTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSDNKLLQKKQIDLQNKKRKSNQKKITGFQFLPGSSSKVLITSADSRIRVVDGFELVHKFKGFRNTNSQISACLSSNGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRASIKREQWKYVTRTPVNSGSM >ONIVA04G17680.2 pep chromosome:AWHD00000000:4:19145328:19152820:1 gene:ONIVA04G17680 transcript:ONIVA04G17680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPRRGRDAAAAAAAAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERNREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSRTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSDNKLLQKKQIDLQNKKRKSNQKKITGFQCCTGIQVYRVMLGSHNRFSKYQQPNISLPVFEWEGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRASIKREQWKYVTRTPVNSGSM >ONIVA04G17680.3 pep chromosome:AWHD00000000:4:19145328:19152820:1 gene:ONIVA04G17680 transcript:ONIVA04G17680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPRRGRDAAAAAAAAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERNREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSRTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSDNKLLQKKQIDLQNKKRKSNQKKITGFQFLPGSSSKVLITSADSRIRVVDGFELVHKFKGFRNTNSQISACLSSNGRYVISASEDSHVYFWRNDDNSAQGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRASIKREQWKYVTRTPVNSGSM >ONIVA04G17680.4 pep chromosome:AWHD00000000:4:19145328:19152820:1 gene:ONIVA04G17680 transcript:ONIVA04G17680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPRRGRDAAAAAAAAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERNREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSRTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSGISHPLMILISYECISFLPGSSSKVLITSADSRIRVVDGFELVHKFKGFRNTNSQISACLSSNGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRASIKREQWKYVTRTPVNSGSM >ONIVA04G17680.5 pep chromosome:AWHD00000000:4:19145328:19152820:1 gene:ONIVA04G17680 transcript:ONIVA04G17680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPRRGRDAAAAAAAAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERNREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSDNKLLQKKQIDLQNKKRKSNQKKITGFQCCTGIQVYRVMLGSHNRFSKYQQPNISLPVFEWEGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRASIKREQWKYVTRTPVNSGSM >ONIVA04G17680.6 pep chromosome:AWHD00000000:4:19145328:19152820:1 gene:ONIVA04G17680 transcript:ONIVA04G17680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPRRGRDAAAAAAAAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERNREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSRTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSGISHPLMILISYEFSKYQQPNISLPVFEWEGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRASIKREQWKYVTRTPVNSGSM >ONIVA04G17670.1 pep chromosome:AWHD00000000:4:19137935:19138231:1 gene:ONIVA04G17670 transcript:ONIVA04G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAGGGAAGDEDGGGGAVSVPSCAAEPSSLPHPRRRHPRPRLSLYLFLRRQTKHREQPSLSREVATVVVAATIMSTAAAAAAAVVLRSWCGQSFSP >ONIVA04G17660.1 pep chromosome:AWHD00000000:4:19108533:19109933:-1 gene:ONIVA04G17660 transcript:ONIVA04G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSGESGGGGGGGGGRRWKGKGVTPIQPRRQLGTVLEDSSAALLRPLKKIGRSPDRLLRSASSLSTSSSAPPSPRSSSASDAPVRVISSSPSSPSPPSARHIFPFAYEASTTTVGGSPRLHPLSWQQSSMSQPASPQQQQQQPLQHQQMISFGASPPCSTTQFVVPENAQQQQMLLRYWSEALNLSPRGGPGGVPPWLYQQLLRVPPPPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDRFLGKGRAGGSGRTSVSSSAAAAASCSSSSPSPPETPDDANTQQQAPQQREQRDTAGVSMGKKQPQPPAPTSRQEGCSGGDAAAPYPAEMLHAPAACGGMWVAPDESWFSTWGPGSSFWDDYDMDSARGLFLHPRFTGDETSMDHSGTQATVPAVAATAAGMSMPCDDVPVTSSSSDLPPQGTPQTPTFMWKED >ONIVA04G17650.1 pep chromosome:AWHD00000000:4:19106879:19107424:1 gene:ONIVA04G17650 transcript:ONIVA04G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPSSPPPLLPPKARAILLLCIRDPPPPPPPASTTAMACRAKPASSPPFRAALAAPGRCRRRRWRPRDRGCPLLPNPRRAAPNPRRAEGNGGRAEPDPNDGNGNLRDDSDGWRRLATVQTTATAAGDLDDDGGAPAWVQDARRCSLRHRPALLRRIEEVVAAPAVALRSWLCTAAADRV >ONIVA04G17640.1 pep chromosome:AWHD00000000:4:19100748:19101209:-1 gene:ONIVA04G17640 transcript:ONIVA04G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATATAVASPSTSSRLLHRSLLSPTPTAARCLRPPLCRGRLRTVRQVVANGDVSSPSSDVAAEESAAAPKIGKRVRVTAPVRVHHVSKAPDLDICGMEGVVKQYVGIWKGKRITANLPFKLEFELRVDGQDKPVRFFAHLREDEFELVEDE >ONIVA04G17630.1 pep chromosome:AWHD00000000:4:19084447:19092571:-1 gene:ONIVA04G17630 transcript:ONIVA04G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKQQVRRRREEQQQPPCHEARKAGGGKKAGAKEVAIVPEAAKKAPPPRKAVPKAEEPAADKRTVFVVKAAAAAAAAEVAASASGEAADEEAKRPAPEEEEAKPVVVSRVPVRTSSCTKEEVDAILIQCGRLSRSSSTSGKVASGEGGGGHRRYSGSKRSYDFDRERRGGGGGGVDDDCDWERQGAAVSRPSPRRRTPERKRSGSHERSGGSGSRRVSRSPGRRADSVPATASGERASRQQPGKMVSVPAREKGRAPSPVPAASGKRYPSPRSNSPARAGAAGNENAAAQLAHGPSLSRSSSRKAEHSPYRRNPMAELDENTLGNHHSCNNNGRPQKKPTESGGALPQKVAERAKDQVAASRTAAKEKQEIVEVPVASSDTKGGNSGRMKATHSVSIVAESVVNQKGRSSRRSSHDFDNNCNSYASLLLEDIQNYHQQSTGSAAAPAPAFSLPACVSKACSILEAVADLNSSSSENKSFELDRSANDKCSANGRYGDGKVAGGGTLVVESEVVVKDDLMEPSLHKYVSVRDIRGEAEPQESAGSNSFAGNAWTPSWEPSSVDSTDRTWTASQSNNGDEVEQLSSGAVSPLELSWQGKQKLPSQEPSGGGRSRVGPTGNAQRGRSAHRGGGGAVNARSDVRAAPVPAQGDVASLFRSMSRSQSTIVQEVKKNLVDTSSVNFHNPIKRIIGDYGFNSLLMFDNAYVPNKFASWIANHVDVKSSQIVLKDKVIAINKECVHHILGLPIGGMEFPTDCDAGKPFILSKFGKSALPSVRFFGDKFIRKETLSDDEVITSFLIVAMACFLCPNSSLVPSTKYLTVFENVDELRSYDWSKFVYEWMMTSIKKIQKFSTLGGCWFLWAVLYLDYVEFGDKNVPIGFPRISHWKNNMITLYSDLDKVDEENFGLRPIKDFNDTTYFKVVPPENRINTFRDKLESAIGTMLPAFIKEKICSMVVSHCSANHIVDSESCEDIAINIMLLLCEHAGSRDGGDPDENLIFDDINPGFQPNYAIDVENEIPCDAHNNGSTKKSVASKANETSFRHTPSHDKELGVNDDSVIVSKSAVRFSLSPNFEKDQGLLTPEVGYANNSNSRFEHSRSGL >ONIVA04G17620.1 pep chromosome:AWHD00000000:4:19079426:19083741:1 gene:ONIVA04G17620 transcript:ONIVA04G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVGGGGGGGGGGGVKKEEGEEEARGRGGGGGVVGGWGTWEELVLGSAVIRHGGVAWGAVAAEVRSRSPCAFSPEECEAKFSEIQARYSACDAWFEELRKRRVAELRNDLKKSENFIGSLQSMIKSLSNSKHDDGNSECHTSHTESCSNNENTADNNSSSKALSKDRSSAASFTEEASNSQKSEKVQHCDTDSIQVNNTSAETLVKPLVEKKVCAEDGLLWGSRKKRAVRDRRTFLMADNSCRAGENTSTSHIQTDGSSEGYAKKGLKTPNLESGVSVVEKAKPNLAEILKTISTQSDCYMLQRRLDVQRKRTRYKKMIRRHIDFRILHSKIKSGATSSTKELLRDILLFVNNVLAFYPKATLEHMAAIELQNIAFRTVQESASMPSKSCGVTGAATAPLVKKNTRPVQPGSHGPHDAKRSKVSLKGTGSTVKQGEAKGSRGGSSVTANVKTMQRNPPAKKRGVGRPPKNGQKRAAAQQDSPNKGRKRTRR >ONIVA04G17610.1 pep chromosome:AWHD00000000:4:19072211:19078320:1 gene:ONIVA04G17610 transcript:ONIVA04G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRVVKSKSLPMIASAAVFLLLLSAALPLSQSYTYEQDVFAINGLYTALGSPSVPGWITNGGDPCNEGWQGVECVVSNITSITLNAANLGGQLGNTLGNFTSLITLDLSNNNIGGTIPDNLPITLQRFFLSGNQLSGSIPSTLSTLTLLTGLSLNNNHLSGEIPDAFSTLTGLANLHIQNNQIIGLLNVLQDLPLQDLNIENNLFSGPVPVKLENIPNFKKDGNPFNTSIAPSALPPAAPTPLPSVSPPAGHVPTKEPSNSSIAPAGNAPSRKNNVSAMKFVGYILVGVVSAVVLVLMVMFCLSKYKERKSRDDVYTKNQLGRSPQKLGEPKIKEVSDIKEPPVKLKNNAGKAASDAVYDSREERKPGSSMSAAPRVVTMEQKEHVIDMEKKDNFVDEQLHPPQSAVLRTEKVTVHPSVRTRKGRVPSAGKLDLTTTVKSFSIASLQQYTNSFNEENLIRDSRFGKLLEVLKIDAANSRIPADAFLELVVNISELTHPNILGLVGYCAEFDQRLLVYEHCSKMTLHDELHYADDSNKGLSWNARLQVAVGAAKALQYLHDGCQPPIVHQNFEPSIVLLNSTLVVHISECGLAALSSRSVSQLSGRMRTLFHYEAPEVHESGLLSDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWATSQLYDIDAISKMVDPSIRGQCSEKALSRFVDIISSCIQHEPEFRPSMSEVVQDLTRMVSDATKASM >ONIVA04G17610.2 pep chromosome:AWHD00000000:4:19072211:19078320:1 gene:ONIVA04G17610 transcript:ONIVA04G17610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRVVKSKSLPMIASAAVFLLLLSAALPLSQSYTYEQDVFAINGLYTALGSPSVPGWITNGGDPCNEGWQGVECVVSNITSITLNAANLGGQLGNTLGNFTSLITLDLSNNNIGGTIPDNLPITLQRFFLSGNQLSGSIPSTLSTLTLLTGLSLNNNHLSGEIPDAFSTLTGLANLHIQNNQIIGLLNVLQDLPLQDLKDGNPFNTSIAPSALPPAAPTPLPSVSPPAGHVPTKEPSNSSIAPAGNAPSRKNNVSAMKFVGYILVGVVSAVVLVLMVMFCLSKYKERKSRDDVYTKNQLGRSPQKLGEPKIKEVSDIKEPPVKLKNNAGKAASDAVYDSREERKPGSSMSAAPRVVTMEQKEHVIDMEKKDNFVDEQLHPPQSAVLRTEKVTVHPSVRTRKGRVPSAGKLDLTTTVKSFSIASLQQYTNSFNEENLIRDSRFGKLLEVLKIDAANSRIPADAFLELVVNISELTHPNILGLVGYCAEFDQRLLVYEHCSKMTLHDELHYADDSNKGLSWNARLQVAVGAAKALQYLHDGCQPPIVHQNFEPSIVLLNSTLVVHISECGLAALSSRSVSQLSGRMRTLFHYEAPEVHESGLLSDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWATSQLYDIDAISKMVDPSIRGQCSEKALSRFVDIISSCIQHEPEFRPSMSEVVQDLTRMVSDATKASM >ONIVA04G17600.1 pep chromosome:AWHD00000000:4:19063977:19069044:1 gene:ONIVA04G17600 transcript:ONIVA04G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPAMAPAAGPGSGPGTVVVGSAAALAAQEEMRWRQLDSGVSAVSFGFVATAILVSMFLAMAILEHFLRSPAHRGHAGMGPPPPHPPPPGGILSRLRLLLHRRGAGEAAFPGGSDLEAARKLDGGASPEIPVYAKGVSVLMPGHDVPTFIAHPAPAPCPPERVQWPSHQPTPFAGSSSNPS >ONIVA04G17590.1 pep chromosome:AWHD00000000:4:19056955:19060987:-1 gene:ONIVA04G17590 transcript:ONIVA04G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G15520) TAIR;Acc:AT3G15520] MASRAAAAMVLAGALPVSPGRPLVAAGARCCDGGIRGRVSCSSHRRSDHPSCAAEEGGVVELLKGAVAALAVIAQISVSLPADAILYSPDTNVPRTGELALRRAIPANPNMKTIQESLEDISYLLRIPQRKPYGSMEGDVKKAMKIAMDNKDAILASIPVELKEKGSKLYTSLLEEKGGLQTLLKYIKENDPDRLSVALASSLDTVAELELLQEDDYTPAMIGLLKGGNFFQQAPGLSFLLPQQYLEYPRLAGRGVVEFSVEKGDGSTFFPTAGGEPKSVATIQVVIDGYSAPLTAGNFAKLVLDGAYDGIKLKCASQAIIADNENGKKGYTVPLEVMPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSVDSDEYSSPSQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGREVLSQIKTGDIIRSAKLVQGRERLVLPPEAPAES >ONIVA04G17580.1 pep chromosome:AWHD00000000:4:19055713:19056717:1 gene:ONIVA04G17580 transcript:ONIVA04G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G11090) TAIR;Acc:AT1G11090] MPDGERHEEAPDVNFWGEQPATEAEYYAAHGADGESSYFTPPGGRRLFTRAWRPRGDGAPRALVFMVHGYGNDISWTFQSTAVFLARSGFACFAADLPGHGRSHGLRAFVPDLDSAIADLLAFFRSVRRREEHAGLPCFLFGESMGGAICLLIHLRTPPEEWAGAVLVAPMCKISDRIRPPWPLPQILTFVARFAPTLAIVPTADLIEKSVKVPAKRLIAARNPMRYSGRPRLGTVVELLRATDELGARLGEVTVPFLVVHGSADEVTDPDISRALYDAAASKDKTIKIYDGMMHSMLFGEPDENIERVRADILAWLNERCTPREEGSFLTIQD >ONIVA04G17570.1 pep chromosome:AWHD00000000:4:19053908:19054525:1 gene:ONIVA04G17570 transcript:ONIVA04G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSSLLVLAVLVVAAAACSTTAAARSVPAEEKKTAAPAAAADVKQPETFHEGTVLIPGLGRFELGSTYVPDITGVDHSVPAAEHGQFLPGADDTWVPNPGFEVPNPFQPGSSSP >ONIVA04G17560.1 pep chromosome:AWHD00000000:4:19025232:19030037:1 gene:ONIVA04G17560 transcript:ONIVA04G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLYKPGPGGFHGWTGWWKLPVNHSLDTVAQTLSASGPRLRWVGHEPNGPAPVPPETGRAQAPRQIGSEGIGDGSARSAPFAG >ONIVA04G17550.1 pep chromosome:AWHD00000000:4:19023460:19024137:1 gene:ONIVA04G17550 transcript:ONIVA04G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSYCADATAATVCHLHCEISSVEVTGLSCPGGGALIFLRCHVPAGGGRTIQIDSRGADVDGGGGARSIDASWRDVASLRCDGSPACVRELVDRRSVVFEVRRRRRRRRIRDMVLGPAMASELVGRAEVAWRDVVAAAAADDDDDDAAVVVERRVELAAGATAPAVMTMSVRMSVRVSETTAVPAAGLSVRPASSAAARRESINAGCEWSAGGEDDVFAMAAA >ONIVA04G17540.1 pep chromosome:AWHD00000000:4:19020389:19021147:1 gene:ONIVA04G17540 transcript:ONIVA04G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATATTTAGDSHSLPSSPATSPTAFLDDHPSFLRSPSSSPTFLLDLPGVIPAAAAGAQEQYHLAPAVALHQAADQRKPTRKRPRAASRRPPTTVLTTDASNFRAMVQEFTGFPATPPFPCGGAMPPSSHLLSGEGVLFPSGSGSGSAAAPAFQAMMRASSSPATNTTTSLVLDALAMLAKSRAIATAAAAAAAAPPSSGSDLYGGYGNMLAGAVPFDDDFDAADGESAGAAAGHGLFSSASQFAGESRY >ONIVA04G17530.1 pep chromosome:AWHD00000000:4:18998304:19001921:-1 gene:ONIVA04G17530 transcript:ONIVA04G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H3D3] MTNHAGFAADDAVTAAVPVQAAQGGRHFPPFLAPSSRLTDCKKAAAHVDLAGAGGVATVPGSWPRHAKPVSGAELDDWMEKHPSALAWFESVAAAAKGKEIVVFLDYDGTLSPIVADPDRAFMSDEMREAVRGVAKHFPTAIVSGRCIDKVFDFVKLEELYYAGSHGMDIRGPTAAASEYNHNMKAKQGDAVTFQPAADFLPVIEEVYHVLKERMASIRGSLVENNKFCLSVHYRCVDEAEWGVLDGKVRAVIEGYPDLRLSKGRKVGAKVLEIRPVIDWDKGSALQFLLKSLGYEGRNNVFPIYIGDDRTDEDAFKVLRNMGQGIGILVTKVPKETAASYTLREPSEVKEFLRKLVKIKINGDKGLIGK >ONIVA04G17520.1 pep chromosome:AWHD00000000:4:18987168:18991307:1 gene:ONIVA04G17520 transcript:ONIVA04G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H3D2] MGSVVDAPVVVEGVAENMLGDKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQDAMIKNENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRKQGRVDDNIETIRKRFKVFVESSLPVIEHYNAKDKVKKIDAAKPISEVFEDVKAIFAPYAKLGIQLLAPDKISWIRVMEWLNRDKKRTTEGTNGGVLSEKALLECDYICD >ONIVA04G17510.1 pep chromosome:AWHD00000000:4:18981746:18985296:-1 gene:ONIVA04G17510 transcript:ONIVA04G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKGKGKEGECDMAAAAAEAEKKGEGSTVSRGAAGERVVEDSGGGRRTIHLKSKDGKQHDVTEASARLSKTIAGMILAGGGGGGADQCIPTPDIDHDTLRVVMQYCDKHAADDADEEDLKEWDEDFVDELDQDALFDVIAAANYLDIDGLLDLTCKRVADTIKGKTPEEIRKEFNIVNDLSKEEEEEIRRENPWAFEQYGEGGVGGGGGDGVGFGGEGVCIGGGGGGGGERQSSDGEPVEVTEASARISKVIGDKIDAGRGGEAIPLPHVDNKTLKKVIEYCDEHANENSDTDEQKEELKNWDKAFIDELDEDDGSFLFLVLLASSYLKIDGLLDLTYQRVADNSKAKTTEEIRKAFSTIEIELSDKEEEEQQQEEEIRPENV >ONIVA04G17500.1 pep chromosome:AWHD00000000:4:18967744:18974402:-1 gene:ONIVA04G17500 transcript:ONIVA04G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H3C9] MGAEVIQQQPAVLLVPFPAQGHVTPMLNLARAFAAHGVAATVAVPDFIHRRIAGAAAGGCRARDNQAVGGGVELASIPSGIPHLPAGESGGGRHADDQPGFGAIVHAMEHHMPEQLERMLLSTAGRGRVACLVVDVLASWAVPVAERCGVPAAGFWPAMLASYRAVAAIPELLRKGVISESGTPAVSSNQLDDKDGREEEQVVRGLGILPAQLELSTKELPWLVGDSATQRSRFAFWLQTLRRARGFRSVLVNSFPGEAVTGTAAAEDDDGPQRQAACPRVLPVGPLLVLAGCNVERAKGAGDDGGVAATNINNHPQPCSKNPSMWQADSTCIRWLDAQPAASVVYVSFGSWVGPIGHDKIRELALGLEATGRPFLWAIKDDPSWRAGLPAGYAGSVAGRGKLVDWAPQDDVLGHAAVGCYLTHCGWNSTVEAIQHGVRMLCCPVSGDQFINCAYITRVWEVGLKLGSVRRDVVRDCIERIMGGAEGTRLQEKMDALRQRAVTAEARCLAQGNLRSFVNEIKRDHPLLTQIYNIL >ONIVA04G17500.2 pep chromosome:AWHD00000000:4:18967744:18970989:-1 gene:ONIVA04G17500 transcript:ONIVA04G17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H3C9] MDQSTPAVSSNQLDDKDGREEEQVVRGLGILPAQLELSTKELPWLVGDSATQRSRFAFWLQTLRRARGFRSVLVNSFPGEAVTGTAAAEDDDGPQRQAACPRVLPVGPLLVLAGCNVERAKGAGDDGGVAATNINNHPQPCSKNPSMWQADSTCIRWLDAQPAASVVYVSFGSWVGPIGHDKIRELALGLEATGRPFLWAIKDDPSWRAGLPAGYAGSVAGRGKLVDWAPQDDVLGHAAVGCYLTHCGWNSTVEAIQHGVRMLCCPVSGDQFINCAYITRVWEVGLKLGSVRRDVVRDCIERIMGGAEGTRLQEKMDALRQRAVTAEARCLAQGNLRSFVNEIKRDHPLLTQIYNIL >ONIVA04G17490.1 pep chromosome:AWHD00000000:4:18965403:18966830:-1 gene:ONIVA04G17490 transcript:ONIVA04G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF177) [Source:Projected from Arabidopsis thaliana (AT3G19800) TAIR;Acc:AT3G19800] MARACSPALRLQLPPNPPITPQLPSCRTHSAGARCRGFAAAHSQPPAAGRPDEPAAEPSPKQPEIAQTQNLRRSRRRGPGSRQSLVSVGTSCGGGDQWSSDFDLTLRQLHLDDLIEDGQNDDADVLVHLLVQQHTQFGMSIKGRVVTSFSKICDSCSSPYCAKIDEQFNLTVLSSTRKEQSEMPDIGDSDPSVIYVRPGVEVDLDSVIQETIRLTASAKSSCSEACEKSTVVWQYGGNQKKRYSQRWSKLLDLKKTLDKAAN >ONIVA04G17480.1 pep chromosome:AWHD00000000:4:18959472:18962862:1 gene:ONIVA04G17480 transcript:ONIVA04G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAANNSSSAAYDAAETGGLLRRRNTTAATRGNAGEEEEEAEAVAPSVEQAFADKPVPSWREQLTVRAFVVGFLLSIMFNIIVMKLSLTTGVIPSLNVSASLLGFFLVRLWTAAIERVGFLKQPFTRQENTVIQTCVVSAYGVAFSGGFGSYLFGMSETIAKQATEANDPMNIKNPHLGWIIGFMFLVSFVGLFALVPMRKVMIVDYKLTYPSGTATAYLINGFHTPEGADLAKKQVRTLGKYFSISFLWAFFQWFYTAGDNCGFSSFPTFGLEAFKNRFYFDFSPTYVGVGMICPYIVNVSLLIGGIISWGIMWPLISKKKGSWYPETLPESSLLGLQAYKVFITIAVILGDGLYNFVKVFGYTIKGFIVMYKNKNSNTLPISDNGTPANATEEESFDDKRRNELFLKDQIPKTVAIGGYVVLAVITSGCLPLIIPQLKWYYILIAYIFAPIMAFCNAYGSGLTDWSLATTYGKLAIFVFGAWAGASHGGVLVGLAACGVMMNIVGTASDLMQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKSFDIGASDGAYPAPYTIMYRNMAILGVNGLSSLPKYCLTLCYIAFVAAFIINLIKDLVPERVAKYIPIPMAAAIPFYLGPYFAIDMFMGSVILYFWEWRNKDEAQSFGPAVASGLMCGDGLWALPQAVLSLVNVNPPLCMKFLSRAANAKVDTFLGN >ONIVA04G17480.2 pep chromosome:AWHD00000000:4:18953616:18962862:1 gene:ONIVA04G17480 transcript:ONIVA04G17480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHANASGGGGDEEMVEASTLRHRHGAGKDANGVGAERQLAAAAAEGEEEGPSSVERAFVDRAVPSWREQLTVRAFVVSFFLSIMFSIIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLRQPFTRQENTVIQTCVVAAYGIAFSAKQATEANDPMNIKNPHLGWIIGFMFLVSFVGLFALVPMRKVMIVDYKLTYPSGTATAYLINGFHTPEGADLAKKQVRTLGKYFSISFLWAFFQWFYTAGDNCGFSSFPTFGLEAFKNRFYFDFSPTYVGVGMICPYIVNVSLLIGGIISWGIMWPLISKKKGSWYPETLPESSLLGLQAYKVFITIAVILGDGLYNFVKVFGYTIKGFIVMYKNKNSNTLPISDNGTPANATEEESFDDKRRNELFLKDQIPKTVAIGGYVVLAVITSGCLPLIIPQLKWYYILIAYIFAPIMAFCNAYGSGLTDWSLATTYGKLAIFVFGAWAGASHGGVLVGLAACGVMMNIVGTASDLMQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKSFDIGASDGAYPAPYTIMYRNMAILGVNGLSSLPKYCLTLCYIAFVAAFIINLIKDLVPERVAKYIPIPMAAAIPFYLGPYFAIDMFMGSVILYFWEWRNKDEAQSFGPAVASGLMCGDGLWALPQAVLSLVNVNPPLCMKFLSRAANAKVDTFLGN >ONIVA04G17480.3 pep chromosome:AWHD00000000:4:18953616:18958217:1 gene:ONIVA04G17480 transcript:ONIVA04G17480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYAASLSETSLHGLQGYRVFISIALILGDGLYNFVKVLIRTTAGFVVMMKKNSTLPVSNNGSPMVATEAVSFDDERRTELFLKDQIPKTVAFGGYVAVAAVSIGTLPQIFPQLKWYYILVAYVFAPVLAFCNAYGAGLTDWSLASTYGKLAIFIFGAWAGASNGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFADIGVSGTEYPAPYAIVYRNMAILGVDGFSSLPKHCLTLCYIFFAAAIAINLARDLAPSKVARFIPLPMAMAIPFYIGSYFAIDMFIGTVILFVWEMVNKAKAEAFAPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRSVNAQVDGFLGN >ONIVA04G17480.4 pep chromosome:AWHD00000000:4:18953616:18958217:1 gene:ONIVA04G17480 transcript:ONIVA04G17480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHANASGGGGDEEMVEASTLRHRHGAGKDANGVGAERQLAAAAAEGEEEGPSSVERAFVDRAVPSWREQLTVRAFVVSFFLSIMFSIIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLRQPFTRQENTVIQTCVVAAYGIAFSGGFGTYLFGMSETIAKQATEANNAQNVKNPHIGWMIGFLFLVSFIGLLALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYAASLSETSLHGLQGYRVFISIALILGDGLYNFVKVLIRTTAGFVVMMKKNSTLPVSNNGSPMVATEAVSFDDERRTELFLKDQIPKTVAFGGYVAVAAVSIGTLPQIFPQLKWYYILVAYVFAPVLAFCNAYGAGLTDWSLASTYGKLAIFIFGAWAGASNGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFADIGVSGTEYPAPYAIVYRNMAILGVDGFSSLPKHCLTLCYIFFAAAIAINLARDLAPSKVARFIPLPMAMAIPFYIGSYFAIDMFIGTVILFVWEMVNKAKAEAFAPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRSVNAQVDGFLGN >ONIVA04G17480.5 pep chromosome:AWHD00000000:4:18956045:18958217:1 gene:ONIVA04G17480 transcript:ONIVA04G17480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLSVRCLILENSLVFVGGVTSPNFTHRDSSFYRQEGSVGSSELESAGMICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYAASLSETSLHGLQGYRVFISIALILGDGLYNFVKVLIRTTAGFVVMMKKNSTLPVSNNGSPMVATEAVSFDDERRTELFLKDQIPKTVAFGGYVAVAAVSIGTLPQIFPQLKWYYILVAYVFAPVLAFCNAYGAGLTDWSLASTYGKLAIFIFGAWAGASNGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFADIGVSGTEYPAPYAIVYRNMAILGVDGFSSLPKHCLTLCYIFFAAAIAINLARDLAPSKVARFIPLPMAMAIPFYIGSYFAIDMFIGTVILFVWEMVNKAKAEAFAPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRSVNAQVDGFLGN >ONIVA04G17470.1 pep chromosome:AWHD00000000:4:18942776:18946465:-1 gene:ONIVA04G17470 transcript:ONIVA04G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPTPSEAHGGATPTAADVEMVEASELRRRGKPSGDRAPGPSRDGAAAAAEEAAAPSVERVFADRPVPSWREQLTVRAFVVSFFLVIMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLRQPFTRQENTVIQTCVVAGYDIAFSGWIIGFLFLVSFIGLFGLVPLRKVMIIDYKLTYPSGTATAFLINGFHTPHGAKIAAKQVKKLGIFFILSFFWGFFQWFYTATDDCGFHKFPSLGLQAFQHKFFFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIAKKRGDWFSADLPDGSLHGMQGYRVFIAIALILGDGLYNFLKMIILTAFSLRSQIKKKNASTLPVSDDGMVTTTAAVSYDEERRNELFVKDQIPWYVAYGGYAVVAAISIGTVPQIIPQLKWYQILVAYIVAPILAFCNAYGTGLTDWSLVTTYGKLAIFAFGAWTGASHGGVLAGLAACGVMMNIVSTAADLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFDNIGISGSDYPAPNAAVFRSIAILGVDGFSSLPKNCLNLCYAFFAAAIVVNLIRDLVPKKVSRFIPIPMAMAIPFYIGSYFAIDMFIGTVILFVWQRVDRAKADTYGPAVASGMICGDGIWVLPQSVLALAKVKPPICMKFLSRRTNDKVDAFLTTLGK >ONIVA04G17460.1 pep chromosome:AWHD00000000:4:18938506:18942649:1 gene:ONIVA04G17460 transcript:ONIVA04G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASPSLSSLAAASSSSSAASAAAVAISSSSSSSPSNPSRELSPRLFSPLPPKPHSLSCSGPQAPRGTTGDGSGAAGDRGSGSGGNSGKGGGGGSGSGGGDDDDDYEEAEFGPLLGFDEVLRLAAARGVSLPADMMEAAKDAGIREVLLLRYFDLQAGPWPLAAMIRAFSMLRNRMLADPSFLFKVGTEVVIDSCCATFAEVQKRGEDFWAEFELYAADLLVGVVVDIALVGLLAPYVRFGKASASTGPFGRFNRMAGSLPSSVFEAERPGCRFTVQQRIGTFFYKGVLYGSVGFVCGIIGQGIANMIMTAKRSVKKSDEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERVVETSPIAKRVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >ONIVA04G17460.2 pep chromosome:AWHD00000000:4:18938506:18945002:1 gene:ONIVA04G17460 transcript:ONIVA04G17460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASPSLSSLAAASSSSSAASAAAVAISSSSSSSPSNPSRELSPRLFSPLPPKPHSLSCSGPQAPRGTTGDGSGAAGDRGSGSGGNSGKGGGGGSGSGGGDDDDDYEEAEFGPLLGFDEVLRLAAARGVSLPADMMEAAKDAGIREVLLLRYFDLQAGPWPLAAMIRAFSMLRNRMLADPSFLFKVGTEVVIDSCCATFAEVQKRGEDFWAEFELYAADLLVGVVVDIALVGLLAPYVRFGKASASTGPFGRFNRMAGSLPSSVFEAERPGCRFTVQQRIGTFFYKGVLYGSVGFVCGIIGQGIANMIMTAKRSVKKSDEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERVVETSPIAKRVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >ONIVA04G17440.1 pep chromosome:AWHD00000000:4:18923389:18924764:1 gene:ONIVA04G17440 transcript:ONIVA04G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTGEGPRQSWGADGGRTGWPHGWSRRWALTAALWMAGGGAGAPGSNPATTSLSAASSVIAPHAGLISVATSSAVCASRQAEARRRIPRCRGLVGGGRVGARRRRSRPPSWTPMPHGSSPRPHPPPFVPHAMLKLVAGAAMPGARRGRPRRSSSPVTASSVIHTHATLELVVDLVAIARLKPVLFEGFFGNDITTGQQLTTTTTDVENFPGFPNGILDTDLMDRCRAQPVHFGTRILSETVTAVDPSSCPFRVANLPRRR >ONIVA04G17430.1 pep chromosome:AWHD00000000:4:18919966:18921441:-1 gene:ONIVA04G17430 transcript:ONIVA04G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H3B8] METATSKPHFVLVPWIGSISHILPMTDIGCLLASHGAPVTIITTPVNSPLVQSRVDRATPHGAGITVTTIPFPAAEAGLPEGCERLDLIPSLAMVPGFFCASRGFGEAVARHCRRQDARPRRRPSCIIAGMCHTWALGVARELGVPCYVFHGFGAFALLCIEYLFKQRRHEALPSADELVDIPVLPPFEFKVLGRQLPPHFVPSTSMGSGWMQELREFDMAVDGVVVNSFEELEHGSAALLAASAGKKVLAVGPVSLSHQPILDPRAASDDARRCMAWLDAKEARSVVYVSFGSAGRMPAAQLMQLGMALVSCPWPTLWVINGADTLPGDVHDWLSENTDADGVAHSKCLVVRGWAPQVAILDHPAVGGFMTHCGWGSTLESVAAGMPMVTWPFFAEQFINERLIVDVLGIGVSVGVTRPTENVLTAGKLGGAEAKVEIGAEQVKKALARMMDEGEDMRRKAQELKEKARAALEEGGSSYMNLEKLIHSSV >ONIVA04G17420.1 pep chromosome:AWHD00000000:4:18913565:18915070:-1 gene:ONIVA04G17420 transcript:ONIVA04G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H3B7] MDCHRNESQRELEMGTKPHFVVIPWLATSHMIPIVDIACLLAAHGAAVTVITTPANAQLVQSRVDRAGDQGASRITVTTIPFPAAEAGLPEGCERVDHVPSPDMVPSFFDAAMQFGDAVAQHCRRLTGPRRPSCLIAGISHTWAHVLARELGAPCFIFHGFCAFSLLCCEYLHAHRPHEAVSSPDELFDVPTLPPFECRLTRRQLPLQFLPSCPVEYRMREFREFELAADGIVVNSFEELERDSAARLAAATGKKVFAVGPVSLCCSPPLDDPRAASDDDAKRCMAWLDAKKARSVLYVSFGSAGRMAPAQLMQLGVALVSCPWPVLWVIKGAGSLPGDVKEWLRENTDADGVADSQCLALRGWAPQVAILSHRAVGGFVTHCGWGSTLESVAAGVPMAAWPFTAEQFVNEKLIVDVLGIGVSIGVTKPTGGMLTAGGGGEETAEVGTEQVKRALNSLMDGGVEGEERAKKVNELKAKAYAALEKEGSSYMNLEKLILSAV >ONIVA04G17410.1 pep chromosome:AWHD00000000:4:18906497:18910981:1 gene:ONIVA04G17410 transcript:ONIVA04G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKAMYLYAAVLAVLLCSSVNFIQSPTDVLGPVALLEPTPSSARDFGAVVSDAPFAVMRPESPDDIALLLGALSSTAPSPRATVAAVGAGHSLHGQAQARDGIVVETRALPRDVHVVSARAHGGDDDATVRAYADVGAGALWVEVLEECLKLGLAPPSWTDYLYLTVGGTLSNGGISGQTFKHGPQISNVLQLEVVTGKGEVVTCSPIEIPELFFAVLGGLGQFGIITRARIPLQLAPPKVRWVRAFYDSFETFTGDQELLVSMPEQVDYVEGFMVLNEQSLHSSSVAFPAQLNFSPDFGSKGRKKVYYCIEFAVHDFQQDGSRADHVVELVSAKLSYLRPHVYSVEVSYFDFLNRVRMEEESLRSRGLWDVPHPWLNVFVPKHGITQFKGLLMDTVSADDFEGPILVYPLLTDKWDGNTSAVVPAAPDGVMYIFGVLRSTDPARCGRACVDSIMARHRRVADEACRDGGGGGRGIGAKQYLARQPSPARWRDHFGAGWGRFAARKARFDPLHVLGPGQGIFPRTDSAGSM >ONIVA04G17400.1 pep chromosome:AWHD00000000:4:18886242:18890783:-1 gene:ONIVA04G17400 transcript:ONIVA04G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKENENRKENDEEADHSKKDDKMEQYKELEGSRSWGNGEVEEGEGYSTRSQAGLQVAAAIRPAAEASRHLAVHICQLEGTTYVRPKNRDDKKKSRKHISRENDAVRNGDLERAKARVTEGANLDTLIQKLPGCSSRDLIDQLTVEFCYLNSKANRKKLAWALFNVPRTSLELLTYYSRLVATLSTYMKDLPSITLSMLEDEFNFLINKKASLDDFSHHNIDVACNLLETCGCFLYHLQETTIHMSNMLEILWRLKNVKNLDPCHNTLVENAYYLCKPPERSTRVSKYIRKLLFMVLTSQALTMCFISYRSYLGLSPSSSDCSTAGLSHYHEDFAVAVVDEVLEEIRVGLELNDYSMQQQRLAHMQFFGELYNYEHIGSSIIFQTLYLIIVFGHKTPEPLGYKFNISGEELDLFAHLGSNMTRYSSMEELSVALIELEANGYVASAEKCGNEWHSGSKEQTKQSDYVSFDANHKSSRDRIDENGNDNEELAVRAIQMEASIRMDMKTLIFQAKGDPMEDLRRMTMAMITCLLVLMMKKFLR >ONIVA04G17400.2 pep chromosome:AWHD00000000:4:18886242:18890783:-1 gene:ONIVA04G17400 transcript:ONIVA04G17400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKENENRKENDEEADHSKKDDKMEQYKELEGSRSWGNGEVEEGEGYSTRSQAGLQVAAAIRPAAEASRHLAVHICQLEGTTYVRPKNRDDKKKSRKHISRENDAVRNGDLERAKARVTEGANLDTLIQKLPGCSSRDLIDQLTVEFCYLNSKANRKKLAWALFNVPRTSLELLTYYSRLVATLSTYMKDLPSITLSMLEDEFNFLINKKASLDDFSHHNIDVACNLLETCGCFLYHLQETTIHMSNMLEILWRLKNVKNLDPCHNTLVENAYYLCKPPERSTRVSKYIRKLLFMVLTSQALTMCFISYRSYLGLSPSSSDCSTAGLSHYHEDFAVAVVDEVLEEIRVGLELNDYSMQQQRLAHMQFFGELYNYEHIGSSIIFQTLYLIIVFGHKTPEDLFAHLGSNMTRYSSMEELSVALIELEANGYVASAEKCGNEWHSGSKEQTKQSDYVSFDANHKSSRDRIDENGNDNEELAVRAIQMEASIRMDMKTLIFQAKGDPMEDLRRMTMAMITCLLVLMMKKFLR >ONIVA04G17390.1 pep chromosome:AWHD00000000:4:18885819:18886070:-1 gene:ONIVA04G17390 transcript:ONIVA04G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPMNVFERSKDLRATELENGGENASVSINDGDGGKVCIKVLVKKGHKQQIKEMFIPGDCSLVQSTKQQEAAELEEKQSIKN >ONIVA04G17380.1 pep chromosome:AWHD00000000:4:18875548:18878901:-1 gene:ONIVA04G17380 transcript:ONIVA04G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPSLDFGEFPQPFCSQQVVSFQPSVTTSGSGGMPVYLDCSSGMDSNTVMLSTTPSVVVSTTSSNTVADPGQNLKYGGPLAADWSRLELDVLKDGLQKYGNEQGIMKYIKIAASLPSKTVRDVAMKCQWLGKRENSRRRKSEDHHTGRKMKERKAKMAEPSLWGTNHPVQTDTRVPPFVSHNAIQNNQILTGATEIDRAMQQLLVQNDRLLDQIEANMLACQPQNNIELFHRTRRNINGLLQTMNQMPGIMSKMPPLPVSVNENLASFVLPGLTVPQFLGGSQLKEEPRGW >ONIVA04G17370.1 pep chromosome:AWHD00000000:4:18873845:18874994:1 gene:ONIVA04G17370 transcript:ONIVA04G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like 3 [Source:Projected from Arabidopsis thaliana (AT2G01918) TAIR;Acc:AT2G01918] MALQLAAQALSAILLSGAQPSSRRATPPGNGQRSRRPPATGRRRLAASLLASQLLLLPAAATSVAGAFEFDLRITVPEQSGEEAEAVVKLHARNLVRVKGLIDARSWRELQAALRSSAANLKQDLYAIIQASPASRRPELRRLYSDLFNSVTCLDYAARDKDELRVQEYYSNMITSLDEIFSKIM >ONIVA04G17360.1 pep chromosome:AWHD00000000:4:18870190:18873338:1 gene:ONIVA04G17360 transcript:ONIVA04G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGIELKGCICRINNCAVELFSMEEDLVIDDEDSWDLLARDLRLKATFLYIDLGRVICSCEIDEHKKMLTGLANKFFYFMDELANAVSSRSIPLMQVCYSDTTLLLREVLSALVPSQ >ONIVA04G17360.2 pep chromosome:AWHD00000000:4:18869843:18873338:1 gene:ONIVA04G17360 transcript:ONIVA04G17360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGIELKGCICRINNCAVELFSMEEDLVIDDEDSWDLLARDLRLKATFLYIDLGRVICSCEIDEHKKMLTGLANKFFYFMDELANAVSSRSIPLMQVCYSDTTLLLREVLSALVPSQ >ONIVA04G17360.3 pep chromosome:AWHD00000000:4:18871765:18873338:1 gene:ONIVA04G17360 transcript:ONIVA04G17360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGIELKGCICRINNCAVELFSMEEDLVIDDEDSWDLLARDLRLKATFLYIDLGRVICSCEIDEHKKMLTGLANKFFYFMDELANAVSSRSIPLMQVCYSDTTLLLREVLSALVPSQ >ONIVA04G17350.1 pep chromosome:AWHD00000000:4:18864872:18868633:1 gene:ONIVA04G17350 transcript:ONIVA04G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVQGGGRRARAPAPFARRGVRNLVVLSILSEVGAVVYAIIMTKSVDLDWQMRAIRKDQKLLERLREERKAKIDELKERTNYYLTQKLIQKYDLDPAAKAAAASVLATKLGADSGLKYNVSSASEVIPSNGLRNRKHTKAKGSSTGNAADDHNTGQAITWKLWNLLESLGIIKAQVPVTVVGWQRFVAVAICIMARLARKEDFPHVTYCCPHCHALNM >ONIVA04G17350.2 pep chromosome:AWHD00000000:4:18864872:18868633:1 gene:ONIVA04G17350 transcript:ONIVA04G17350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVQGGGRRARAPAPFARRGVRNLVVLSILSEVGAVVYAIIMTKSVDLDWQMRAIRKDQKLLERLREERKAKIDELKERTNYYLTQKLIQKYDLDPAAKAAAASVLATKLGADSGLKAITWKLWNLLESLGIIKAQVPVTVVGWQRFVAVAICIMARLARKEDFPHVTYCCPHCHALNM >ONIVA04G17350.3 pep chromosome:AWHD00000000:4:18864872:18868633:1 gene:ONIVA04G17350 transcript:ONIVA04G17350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVQGGGRRARAPAPFARRGVRNLVVLSILSEKDQKLLERLREERKAKIDELKERTNYYLTQKLIQKYDLDPAAKAAAASVLATKLGADSGLKAITWKLWNLLESLGIIKAQVPVTVVGWQRFVAVAICIMARLARKEDFPHVTYCCPHCHALNM >ONIVA04G17340.1 pep chromosome:AWHD00000000:4:18841586:18844886:-1 gene:ONIVA04G17340 transcript:ONIVA04G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFADIAIDPPLADSYRALALLRRDGDGGIAPPAVQMVGSGGAVLERDLPMVDLERLTRGGAGERKACAGAMARAASEWGFFQLTNHGVSRELMEEMRREQARLFRLPFETKEKAGLLNGSYRWGNPTATSLRHLSWSEAFHVPLASISGADCDFGDLTSLRGVMQEVAEAMSRVANTVAAALAEELTGRGGGGASAAPWFPAGCDETTCFLRLNRYPACPFAADTFGLVPHTDSDFLTVLCQDQVGGLHLMKDSRWVAVRPRPDALVVNIGDLFQAWSNNRYKSVEHKVVANAKTDRLSVAYFLCPSYDSLVGTCGEPSPYRAFTFGEYRKKVQEDVRTTGKKIGLPNFFKHSSVQ >ONIVA04G17330.1 pep chromosome:AWHD00000000:4:18834589:18834956:-1 gene:ONIVA04G17330 transcript:ONIVA04G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLDSHRAQEAPARPKSPDYRQTRPPHERTLLLDHRKTSRQERSIKEERRLARPEEELRAEDRATKTSVSSMFSAMISGLRGTILTTSATTSNFTTRAERGARGQSAGQLVN >ONIVA04G17320.1 pep chromosome:AWHD00000000:4:18829959:18830319:1 gene:ONIVA04G17320 transcript:ONIVA04G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISRRIAPLLFLMLLILVASEMGTTRVAEARHCVSQSHRFVGACMRKSNCEHVCMTEGFPWGECRFHGIERKCFCKKRC >ONIVA04G17310.1 pep chromosome:AWHD00000000:4:18828041:18828529:-1 gene:ONIVA04G17310 transcript:ONIVA04G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGSCLAFVMALALIGTNVSYAARLLADATESATPTASPAAVPGSIPAVPKLPVPTAMPPMPAVPAATVPQVTMPPMPAVPAVTLPPMPAVPAVTVSTVTVPPMPAVPKVTLPPVPAVVVPKVTMPPIPAGIPKVALPPMPAIPAANVPVPFLAPPPSA >ONIVA04G17300.1 pep chromosome:AWHD00000000:4:18824305:18828935:1 gene:ONIVA04G17300 transcript:ONIVA04G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-leucine-resistant (ILR1)-like 3 [Source:Projected from Arabidopsis thaliana (AT5G54140) TAIR;Acc:AT5G54140] MAAPLFLLLLLLLVSSASAGYEEEALLRRAEEERDWMVGVRRRIHAHPELAFREHHTSALVRDELEQLGLTARAVAGTGVVADVGSGLPPVVALRADMDALPVQELVEWEHKSKVDGVMHACGHDVHTAMLLGAAKLLSERKEQIKGTVRLLFQPAEEGGAGASYMIKDGVLDGVEAIFGMHVDYRMPTGVIAAHAGPTQAAVCFYEAKIEGKTGKAETPHLNVDPIVAASFVILSLQQLISREDDPLHSQVLSVTYVKGGNTIDATPPVIEFGGTLRSLTTEGLYRLQKRIKEVVEGQAAVHRCKGVVQIKRDDYPMYPAVFNDEKLHHHVETVGRRLLGPDKVKPGEKIMAGEDFAFYQQLVPGVMFGIGIRNEEVGSVHTVHNPKFFVDEDVIPIGAALHTALAEMYLTERSTEGEDGSQHSH >ONIVA04G17290.1 pep chromosome:AWHD00000000:4:18815743:18823163:1 gene:ONIVA04G17290 transcript:ONIVA04G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPVAIEMAINLRLPLSQPSTTSSSGFVHLSHPNKLLNFLLNLSNDRAPRSTQKWFTPLHKAAVPAGRRRIADFARGTRRPGLTRPAMELDLPPKQQAPPLADLAVAIHRAAEATAALSAPSSSSQAAAAAVVALRDAHAAICGFLYRLDVSVPASSSSSDDQPMADGCEEEGEGEQQMVEEVEEGLRECALQGSKRRKRPVPPSWPLGRRSSGGCVVPEAAPAPLDVEGRRRAAMDLLQQFHGVHWHNTNEMMRRLLNSPYQVCRSYTRAVLHEDIHKHIKQTTSK >ONIVA04G17290.2 pep chromosome:AWHD00000000:4:18815791:18816559:1 gene:ONIVA04G17290 transcript:ONIVA04G17290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQRSGAFLAGRRRRFAFPPPLLLAADDGLHLALYPVMPISWRSLHHSSRCGDGVQPPATSPRALPHLSALPSSLSWVVTSPSVAAVALLCDGLQGAATMGTSGRKRPMDQQWEPLARTQSLKNQPRFIDL >ONIVA04G17290.3 pep chromosome:AWHD00000000:4:18821333:18823550:1 gene:ONIVA04G17290 transcript:ONIVA04G17290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLPPKQQAPPLADLAVAIHRAAEATAALSAPSSSSQAAAAAVVALRDAHAAICGFLYRLDVSVPASSSSSDDQPMADGCEEEGEGEQQMVEEVEEGLRECALQGSKRRKRPVPPSWPLGRRSSGGCVVPEAAPAPLDVEGRRRAAMDLLQQFHGAGFIGTIRTK >ONIVA04G17280.1 pep chromosome:AWHD00000000:4:18811619:18815689:1 gene:ONIVA04G17280 transcript:ONIVA04G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFIGLESRLRRSVKVADSVMMGLVNAAMEDAYKKSLWKDGDLERLVQKLRFAELAIMQLEWSLRFVRGEMESGSGGGGDGDCHEQLLDDLLETRDRIQARLDEAELAVADKDRDYMLRKHEELASSRREAAVPAVSGRASALRREADDDEERRVFGELKGSVDRQMARMRFRLEDARSTLMALMETVSGEASPMARLQEAGHEGDGVKCLSGFYSMAQLLMEFQEMVLDAGAVSDSVTSSFEFMERSVSSLKEAMDEQQWLANVEKEMYAATINGFLREISAGFPVLNDCSSPGERQPPTENIWEETEHLKEKTEHNQKSLKGDQCGISGSEYLTTTRPAATGQCYSEEPSICHEEVERLIEEKIDSEIRCELQHVLHSEIFRDLVRKLAVLDVQKLTEENGELNIRVELLCEIYTTVFKDLVSKLSSESAEHFIRTFIKDEVEAVIFARTLKEFKSVTEMVRSEKHIKEENNCSFPGEIEKGLEQNIDFNVLRFPDENACTNNLGRFSMIGNIEQLYTMKMQTSGASEDKCTDYYQVPLEKEILSSPGNCDRQDSEENYLLAEISTGKDGVSDAWNGNVEQSLQQQDHRKLHVGDTALNLSIPPEEANTENAEMTLILNEKLDVIHSTGSNSMLSEQDHFDLQMALVSFTGFQEVFMNFEAVTCDKLETAMLRLNYLKKQQGNLIEQMRSLKMSEQSYQIAFIRRCHDLQTAEAEVDLLGDEVELLLGLLRKTYKALDRYSPVLEHYLGVREMLKLLGKELALRHQV >ONIVA04G17280.2 pep chromosome:AWHD00000000:4:18811619:18815689:1 gene:ONIVA04G17280 transcript:ONIVA04G17280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFIGLESRLRRSVKVADSVMMGLVNAAMEDAYKKSLWKDGDLERLVQKLRFAELAIMQLEWSLRFVRGEMESGSGGGGDGDCHEQLLDDLLETRDRIQARLDEAELAVADKDRDYMLRKHEELASSRREAAVPAVSGRASALRREADDDEERRVFGELKGSVDRQMARMRFRLEDARSTLMALMETVSGEASPMARLQEAGHEGDGVKCLSGFYSMAQLLMEFQEMVLDAGAVSDSVTSSFEFMERSVSSLKEAMDEQQWLANVEKEMYAATINGFLREISAGFPVLNDCSSPGERQPPTENIWEETEHLKEKTEHNQKSLKGDQCGISGSEYLTTTRPAATGQCYSEEPSICHEEVERLIEEKIDSEIRCELQHVLHSEIFRDLVRKLAVLDVQKLTEENGELNIRVELLCEIYTTVFKDLVSKLSSESAEHFIRTFIKDEVEAVIFARTLKEFKSVTEMVRSEKHIKEENNCSFPGEIEKGLEQNIDFNVLRFPDENACTNNLGRFSMIGNIEQLYTMKMQTSGASEDKCTDYYQVPLEKEILSSPGNCDRQDSEENYLLAEISTGKDGVSDAWNGNVEQSLQQQDHRKLHVGDTALNLSIPPEEANTENAEMTLILNEKLDVIHSTGSNSMLSEQDHFDLQMALVSFTGFQEVFMNFEAVTCDKLETAMLRLGNLIEQMRSLKMSEQSYQIAFIRRCHDLQTAEAEVDLLGDEVELLLGLLRKTYKALDRYSPVLEHYLGVREMLKLLGKELALRHQV >ONIVA04G17280.3 pep chromosome:AWHD00000000:4:18811619:18815689:1 gene:ONIVA04G17280 transcript:ONIVA04G17280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFIGLESRLRRSVKVADSVMMGLVNAAMEDAYKKSLWKDGDLERLVQKLRFAELAIMQLEWSLRFVRGEMESGSGGGGDGDCHEQLLDDLLETRDRIQARLDEAELAVADKDRDYMLRKHEELASSRREAAVPAVSGRASALRREADDDEERRVFGELKGSVDRQMARMRFRLEDARSTLMALMETVSGEASPMARLQEAGHEGDGVKCLSGFYSMAQLLMEFQEMVLDAGAVSDSVTSSFEFMERSVSSLKEAMDEQQWLANVEKEMYAATINGFLREISAGFPVLNDCSSPGERQPPTENIWEETEHLKEKTEHNQKSLKGDQCGISGSEYLTTTRPAATGQCYSEEPSICHEEVERLIEEKIDSEIRCELQHVLHSEIFRDLVRKLAVLDVQKLTEENGELNIRVELLCEIYTTVFKDLVSKLSSESAEHFIRTFIKDEVEAVIFARTLKEFKSVTEMVRSEKHIKEENNCSFPGEIEKGLEQNIDFNVLRFPDENACTNNLGRFSMIGNIEQLYTMKMQTSGASEDKCTDYYQVPLEKEILSSPGNCDRQDSEENYLLAEISTGKDGVSDAWNGNVEQSLQQQDHRKLHVGDTALNLSIPPEEANTENAEMTLILNEKLDVIHSTGSNSMLSEQDHFDLQMALVSFTGFQEVFMNFEAVTCDKLETAMLRLSYQIAFIRRCHDLQTAEAEVDLLGDEVELLLGLLRKTYKALDRYSPVLEHYLGVREMLKLLGKELALRHQV >ONIVA04G17270.1 pep chromosome:AWHD00000000:4:18803294:18810811:1 gene:ONIVA04G17270 transcript:ONIVA04G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAAAAEGGEYMAKDYSDPPPAPLIDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQSDPGANATDAACSGVGILGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRAVLYIVAQSLGAICGVGLVKGFQSAFYVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQHKAWHDHWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSSSSFRG >ONIVA04G17260.1 pep chromosome:AWHD00000000:4:18794863:18801895:1 gene:ONIVA04G17260 transcript:ONIVA04G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEEGRPDGEEDSPRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSRVCYSRPKSAVRFFVWSGRSVKHTGYAWNLLVDILGKADMEEPMWDAVRTMNKEGGGLVTVATFASIFSSYCASGNLRKAVEAFDVMGKYGVEPDAVALNSLLSAMCRGEGRAQAAQDLFERTKATVAPDADTFGILLEAWEKEGNAQRAKSTFGEMIVRVGWDAGNMPAYDAFLSTLVRGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANSLAIWQMMISDAGLVPNLPMYNAMIDLCCSVGDTDYALRMLDEMPFNGVFADFITYNAILEGLIKQRKVREAEAFLAEMSKNEQLPSPTNCAAAISMFSKEFNPFAEVKKYTDEMLDMGIELPQSTIDNMKRTFDKVGKRHTYDHIARRPKRIAICPLVQDEKRRVLGLLEGCMGTTTLFEGGFWSKRLAFFEGDMLC >ONIVA04G17260.2 pep chromosome:AWHD00000000:4:18794863:18801895:1 gene:ONIVA04G17260 transcript:ONIVA04G17260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEEGRPDGEEDSPRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSRVCYSRPKSAVRFFVWSGRSVKHTGYAWNLLVDILGKADMEEPMWDAVRTMNKEGGGLVTVATFASIFSSYCASGNLRKAVEAFDVMGKYGVEPDAVALNSLLSAMCRGEGRAQAAQDLFERTKATVAPDADTFGILLEAWEKEGNAQRAKSTFGEMIVRVGWDAGNMPAYDAFLSTLVRGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANSLAIWQMMISDAGLVPNLPMYNAMIDLCCSVGDTDYALRMLDEMPFNGVFADFITYNAILEGLIKQRKKYTDEMLDMGIELPQSTIDNMKRTFDKVGKRHTYDHIARRPKRIAICPLVQDEKRRVLGLLEGCMGTTTLFEGGFWSKRLAFFEGDMLC >ONIVA04G17260.3 pep chromosome:AWHD00000000:4:18794863:18801895:1 gene:ONIVA04G17260 transcript:ONIVA04G17260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEEGRPDGEEDSPRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSRVCYSRPKSAVRFFVWSGRSVKHTGYAWNLLVDILGKADMEEPMWDAVRTMNKEGGGLVTVATFASIFSSYCASGNLRKAVEAFDVMGKYGVEPDAVALNSLLSAMCRGEGRAQAAQDLFERTKATVAPDADTFGILLEAWEKEGNAQRAKSTFGEMIVRVGWDAGNMPAYDAFLSTLVRGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANSLAIWQMMISDAGLVPNLPMYNAMIDLCCSVGDTDYALRMLDEMPFNGVFADFITYNAILEGLIKQRKVREAEAFLAEMSKNEQLPSPTNCAAAISMFSKEFNPSAAIDVWHCIVEHQITPADESARELIVGLLDFGRFAEVKKYTDEMLDMGIELPQSTIDNMKRTFDKVGKRHTYDHIARRPKRIAICPLVQDEKRRVLGLLEGCMGTTTLFEGGFWSKRLAFFEGDMLC >ONIVA04G17260.4 pep chromosome:AWHD00000000:4:18794863:18801552:1 gene:ONIVA04G17260 transcript:ONIVA04G17260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEEGRPDGEEDSPRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSRVCYSRPKSAVRFFVWSGRSVKHTGYAWNLLVDILGKADMEEPMWDAVRTMNKEGGGLVTVATFASIFSSYCASGNLRKAVEAFDVMGKYGVEPDAVALNSLLSAMCRGEGRAQAAQDLFERTKATVAPDADTFGILLEAWEKEGNAQRAKSTFGEMIVRVGWDAGNMPAYDAFLSTLVRGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANSLAIWQMMISDAGLVPNLPMYNAMIDLCCSVGDTDYALRMLDEMPFNGVFADFITYNAILEGLIKQRKVREAEAFLAEMSKNEQLPSPTNCAAAISMFSKEFNPSAAIDVWHCIVEHQITPADESARELIVGLLDFGRFAEVKKYTDEMLDMGIELPQSTIDNMKRTFDKVGKRHTYDHIARRPKRCVVGFLDEKRRVLGLLEGCMGTTTLFEGGFWSKRYSTELLHFNIATEILRFVVLKIELRRSASVSICNTGNLYSFEIYCYYQQSSIFITHFVLGFDCTLIVLIQEIAFCWRLCCFLVEFLCLRSDWHSSKGICFVESVPLI >ONIVA04G17250.1 pep chromosome:AWHD00000000:4:18791396:18792107:1 gene:ONIVA04G17250 transcript:ONIVA04G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSGLPAITWRQTVQRWQLLTRILDRRWCLVNESRPQRPVETRTAPCLWLFDFRFRANIDLPKIRGDM >ONIVA04G17240.1 pep chromosome:AWHD00000000:4:18775439:18776145:-1 gene:ONIVA04G17240 transcript:ONIVA04G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLIHPQPRRPRLSSSLAPPPPRVLAGAPPRCRRSSAPRRSRRRVLLLPHLRVLLLPHPHRHGRPLRSRLLLPAAAAPPHPCRSSAGAPPDSHSEPPPEQASAPHQTSIAISLARCKAADFSDQRLIAKWPDPAAPLPRPPPRDRVDLAAPPPRPSNPEPFRHESAAGSIVVFTTWARPPPSSTPYCGP >ONIVA04G17230.1 pep chromosome:AWHD00000000:4:18771984:18775330:-1 gene:ONIVA04G17230 transcript:ONIVA04G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIIAGAHRWPWYHDAADQWALADKRMHINNNWWRQKRRLVKSASCNYVTGNLFAKHSIYCSAIASQDA >ONIVA04G17220.1 pep chromosome:AWHD00000000:4:18768113:18770917:-1 gene:ONIVA04G17220 transcript:ONIVA04G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H385] MASQTADAAGFVASDPLSWGKAALEMTGSHLDEVKRMVAQSREAVVKIEGSSLRVGQVAAVSAAKDASGVVVELDEEARPRVKASSEWILNCIAHGGDIYGVTTGFGGTSHRRTKDGQALQVELLRHLNAGIFGNGSDGNSLPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLINTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGKKVDAAEAFKIAGIQGGFFRLEPKEGLAIVNGTSVGSALAAMVLYDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSAFMPHAQKVNEVDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNTRLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYLVALCQAVDLRHLEENLKSAVKNCVTTVAKKVLTTGPAGGLHSARFSEKALLTAIDREAVYSYADDPCSANYPLMTKIRAVLVEHALANGPAEKDDGSSVFSKITAFEEELREALPREMEAARVAFETGTAPITNRIKESRSFPLYRFVREELGCVYLTGEKLKSPGEECNKVFLAISERKLIDPMLECLKEWNGEPLPIC >ONIVA04G17210.1 pep chromosome:AWHD00000000:4:18759424:18763194:-1 gene:ONIVA04G17210 transcript:ONIVA04G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSYEGVLLGMGNPLLDISAVVDEAFLAKYDIKPGNAILAEEKHLPMYNELASKVNVEYIAGGSTQNSIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKDAQTAGAHYYEDDNAPTGTCAVCIVGGERSLVANLSAANCYRSEHLKRPENWTLVEKAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFMMNLSAPFICEFFRDAQEKALPYADYIFGNETEARTFAKVRGWETENTEEIALKISQLPKASGAHKRITVITQGCDPVKTFPVIVLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDECVRAACYAANVIIQRSGCTYPEKPDFN >ONIVA04G17200.1 pep chromosome:AWHD00000000:4:18757715:18758104:1 gene:ONIVA04G17200 transcript:ONIVA04G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGSKRRLLRAFLHSWKKLGAAAAAAAPAAGEWAPLDGDGEGAIPSDVPRGHTVVYVGEELRRYVVRVSSLDHPLFRELLDRAREEYQFAAGADARLCIPCDEDIFLGVLCHVDSKQEHWRLISFCR >ONIVA04G17190.1 pep chromosome:AWHD00000000:4:18745753:18749338:-1 gene:ONIVA04G17190 transcript:ONIVA04G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNCMHALPIIHGLLLFMTFYPRRLCIVCVDLFHAFHDDIDVQYISIYTGMAYTSNAAYRKGAISKGTCGRLILEKTASAKHTIATAAVPSPQCQSRCGDVDIQYPFGIGANCSLAELFNVECKVQHGISKPFIGNVEVLNISLSRSTLRVFNGISTFCYNASGLMGGVRFRFNAKNTPFRFLDVYNKFTVIGCNTLAYIADDGGTGYQSGCFSQYRDLSGLVDGSCSGMGCRQTTIPRGMYYYNVTFDKRFNTSPISRFGRCSYAVLMEAASFNFSTTYINTTKFDGTNGGRVPMVIDWAIREKSCDIAKQNMTSYACLSSNSECVASTNGPGYVCNCSHGYEGNPYLPDPHGCHGVIIGFIVLMIIAFCGQLVIQRRKLTKIKKEYFRQHGGMILFESMKSKKGLAFTVFTEAELIHATNNFDKSRVIGQGGHGTVYKGTVKDNVLVAIKRCALVDERQKKEFGQEMLILSQINHKNIIKLLGCCLEVEVPMLVYEFVPNGTLFELIHGKNQGLQISFSTLLRIAHEAAEGLHFLHSYASPPILHGDVKTANILLDENYMAKVTDFGASILAPSDKEQFVTMVQGTCGYLDPEYMQTCQLTDKSDVYSFGVILLEILPLKLEGPAIQRSLSSVFLSAMKGNNLDSVLVSDIKGQESMELIGGLAELTKQCLDMCGANRPSMKEITDELGRLRKLSLHPWVQVDAEMETENLLGGPSTINSGLEIETSSTGYLGEGRENLPMNPGSTYYAR >ONIVA04G17180.1 pep chromosome:AWHD00000000:4:18738667:18739218:-1 gene:ONIVA04G17180 transcript:ONIVA04G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTMHWYPRCPDLRQARGLIAHTDSGLCSRSCIVPGLQLFRRGPDRWVAVPTIVPGDFVLNISDLSSTCILTNGHFHSVYYRAVVNRDRDRISLGYILGLPAGRQGGTVAGRRVARPERRLPRRHVARVQGRPQESLHHRRLRPQDGLHRPPLLTIEHNDVTDVIRDVKFTPNLSQYFTIVP >ONIVA04G17170.1 pep chromosome:AWHD00000000:4:18734517:18735935:1 gene:ONIVA04G17170 transcript:ONIVA04G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEELKRDFEIGEEIGRGRFGVVHRCASRSTGEAYAVKSVDRSRLSDDLDRSLAALEPKLARLAAAGNPGVVQVHAVYEDDDWTHTVMDLCTGPDLLDWVRLRCGQPVPEPDAAAVVAQIAEALALCHRRGVAHRDVKPDNVLLDATGDGPPRVRLADFGSAAWVGDGISAEGLVGTPHYVAPEVVAGGEYGEKADVWSAGVVMYVLLTGGALPFGGETASDVFAAVLRGNLRFPPRLFSGVSPAAKDLMRRMMCRDVYRRFSAEQVLRHPWIVSGGGARDVQPT >ONIVA04G17160.1 pep chromosome:AWHD00000000:4:18726976:18733186:1 gene:ONIVA04G17160 transcript:ONIVA04G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H378] MRSPTTARLALALVAALAAAALLGGVAAAAATEEAYVTLLYGDEFVLGVRVLGKSIRDTGTRRDLVVLVSDGVSDYSRKLLQADGWIVSHITLLANPNQVRPKRFWGVYTKLKIFNMTSYRKVVFLDADTVVVKSIEDLFKCGKFCGNLKHSERMNSGVMVVEPSETVFKDMMRQIDTLPSYTGGDQGFLNSYYADFANSHVYEPDKPYTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVGVWQDVRQTLEESLPGTGGGRSPHDQLVVKVLFILPVLLLSFGYYQSCFQTNKELLNIRSLCAFARRDRYKYKSEEAFPSYSVMGVSSSAFANSNQRFSNGMHSKLPSYFGALTVLACFMSAGVSFAFAFAIIPKQIMPWTGLLLMFEWTFVSFFLLFGSYLRFVYRWGSLDANHVGHSRFDSSENHMVTGRHHNMSDCDIDATFYWTGMAIIAIVTVLLPTLLGVTALFAKLGLMVAGGVVLASFMTYASEHLAISAFYKGQRDRNVSRSRSICFLF >ONIVA04G17160.2 pep chromosome:AWHD00000000:4:18726976:18733186:1 gene:ONIVA04G17160 transcript:ONIVA04G17160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H378] MRSPTTARLALALVAALAAAALLGGVAAAAATEEAYVTLLYGDEFVLGVRVLGKSIRDTGTRRDLVVLVSDGVSDYSRKLLQADGWIVSHITLLANPNQVRPKRFWGVYTKLKIFNMTSYRKVVFLDADTVVVKSIEDLFKCGKFCGNLKHSERMNSGVMVVEPSETVFKDMMRQIDTLPSYTGGDQGFLNSYYADFANSHVYEPDKPYTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVGVWQDVRQTLEESLPGTGGGRSPHDQLVVKVLFILPVLLLSFGYYQSCFQFSNGMHSKLPSYFGALTVLACFMSAGVSFAFAFAIIPKQIMPWTGLLLMFEWTFVSFFLLFGSYLRFVYRWGSLDANHVGHSRFDSSENHMVTGRHHNMSDCDIDATFYWTGMAIIAIVTVLLPTLLGVTALFAKLGLMVAGGVVLASFMTYASEHLAISAFYKGQRDRNVSRSRSICFLF >ONIVA04G17150.1 pep chromosome:AWHD00000000:4:18722711:18726698:-1 gene:ONIVA04G17150 transcript:ONIVA04G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT2G31190) TAIR;Acc:AT2G31190] MNILERIRGGGDWAAVGEGPREPEPWVEISESVSRLCSFDAGRVSVKVIQDSRPIHDKMIDSFLNKFFPSGYPYSVNEGYLTYTKFRALQHFSSAMLHVLSTQILKDGMQHAGKLICSGMGARMDSEPKSWRILADVLYDFGTALEVISPLCPQLFLEVAGFGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTVCSTTQGKLIAGPLLSVVHIYGVVQEMRATPVNTLNPQRTAMIVADFIKSGKVSSPAELRYREDLLFPNRLIEEAGSVKIGQPVRRVLSPQRIEQLKATFSKEKFLLSRKDNSAYMVLEQSATGEDALRGWLVAAFASEMERSGVGSGDTVLNVAYERMENVFPMFVAEVKSRGWYTDQFLDGNRSRIAYANPISGSAL >ONIVA04G17140.1 pep chromosome:AWHD00000000:4:18710231:18711864:-1 gene:ONIVA04G17140 transcript:ONIVA04G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLKKGPWTTEEDKVLVAHIQRHGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSKEEEDTIIHLHELLGNRWSAIAARLPGRTDNEIKNVWHTYLKKRLDAPAQGGHVAASGGKKHKKPKSAKKPAAAAAAAPPASPERSASSSVTESSMASSVAEEHGNAGISSASASASASVCAKEESSFTSASEEFQIDDSFWSETLSMPLDGYDVSMEPGDAFVAPPSADDMDYWLGVFMESGEAQDLPQI >ONIVA04G17130.1 pep chromosome:AWHD00000000:4:18702471:18704866:1 gene:ONIVA04G17130 transcript:ONIVA04G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRSLACFLFLLCSSFTFEAVNGRMYGGGDVVEEEEDDSRTVADGARGGAGGWPGYLYTRAVGRCTPQFWSSGAEQWPNIIPQEAAVSKVFGSRSIDRYGPRLTVLEATTRTDDNGSSSAFAKLVKQGSAALLNAYARKGFPLDSWEVKALLLEALVSEDAAAAQADRFEQANESCI >ONIVA04G17120.1 pep chromosome:AWHD00000000:4:18699614:18701107:1 gene:ONIVA04G17120 transcript:ONIVA04G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPASVVVASCLCVGASACVSSGLAFCATFAVSLPFVARELSPEHIDGVFAAVDACLQKGACYARAAVEAETRRLRDPARCHQALAFLYARAEGGARRARALVTDAVDRLETRAAESKWRDMTDASAAALRWLRLIAGAINLAVAVLITMSERRAASGLRRSGAHGIRTTPNSEAMTTSSSKLDATLFVVWITATFTYSTPVFFQCAVTSGMASLAACFACFATMCCFALMQANKVHLWSSRDAAGRNAVMAEVPHAWGLLWSEITLVTYLVDACLLCITLDSRASRPVALAFLAACNLATLKVASQVEAIGSAGVIRRRGHAVAVCAMGIAKVFVVCFVLDFRLGALRFAFLCSVIAFLLNKAAGSLPDVSTPVDASAGDADVAGDVELLPEYVSNSEELSNHATFNHKVEEDSSSPAAGDRENEHDSSNSATIDGGEDDTTTKEYFDGSDSEEQRQEEEEEDYGGGMDEWNLVEIDPVMPINVNGGANVKFKRW >ONIVA04G17110.1 pep chromosome:AWHD00000000:4:18693455:18697245:-1 gene:ONIVA04G17110 transcript:ONIVA04G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGHHPTTTFAAADRASSRPVSTRASPLVPRLGRRLIPEELLKMVTNVVDLRSDTVTKPSDAMRAAMAAADVDDDVLGADPTAHRFEMEMARITGKEAALFVPSGTMANLISVLVHCDTRGSEVILGDNSHIHIYENGGISTIGGVHPKTVRNNPDGTMDIDKIVAAIRHPDGALYYPTTRLICLENTHANCGGKCLSAEYTDEVGEVAKSHGLKLHIDGARIFNASVALGVPVHRLVKVADSVSVCISKGLGAPVGSVIVGSTAFIEKAKILRKTLGGGMRQVGILCAAAYVAVRDTVGKLADDHRRAKVLADGLKKIKHFRVDTTSVETNMVFFDIVDSRISPDKLCQVLEQRNVLAMPAGSKSMRLVIHYQISDSDVQYALTCVEKAAEEILTGSKKFEHLTNGTTRNSYGH >ONIVA04G17100.1 pep chromosome:AWHD00000000:4:18690898:18691902:1 gene:ONIVA04G17100 transcript:ONIVA04G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGQCHLLAQYRALHWRDAGYSNTYCAKNDKDISVGLLMIAPVKGRAAEEGKRKKQVRLTWSKCADVASQSSEELKIVCPTSVGEDLDDVAAVLLLRAGEVPGKRRDIGEVRGGEAAKEERRRAITCGKVVEERHRWRAGEDGELAEQSLCLFAE >ONIVA04G17090.1 pep chromosome:AWHD00000000:4:18662008:18662605:-1 gene:ONIVA04G17090 transcript:ONIVA04G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSDKYGWRLLITDVALSVGSRPFSADLPPQAMASRSAPQKTRGRPMVNSDLNRYREVDNGVADKKRCDG >ONIVA04G17080.1 pep chromosome:AWHD00000000:4:18661065:18661580:-1 gene:ONIVA04G17080 transcript:ONIVA04G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATATARAHNPLRRMEGVGFKSALRASPRASALAAAALLVPLGAALLVSAGAVLLATLAGLALAAPPLVLFSPVLAPAAAAAVMAAAGLLAAGALGVAGVSALAWTVGYIRRGGARGSGGGGVAGMIVQPLDDGKRHGAGGAAFVGHRLRDAGDDDAARDKAQEAARA >ONIVA04G17070.1 pep chromosome:AWHD00000000:4:18631321:18637781:1 gene:ONIVA04G17070 transcript:ONIVA04G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP12 interacting protein 37 [Source:Projected from Arabidopsis thaliana (AT3G54170) TAIR;Acc:AT3G54170] MTDSPSPRLDEEDAFGRDFNSSPSPTAPPARSGEKRPFGDLDDDDEDVFASKKGKTKVEESAPGAATGMILSLRERWNGRLPNQKFKSGIQHFRTFQLYLLEPIQSSEESLKEQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKHGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKTRNNELRNHQSPDHGDQPVRTLGLAGGDLSLCPLLCWLVLVVPVPICRCQPWRQQPSE >ONIVA04G17070.2 pep chromosome:AWHD00000000:4:18631321:18640614:1 gene:ONIVA04G17070 transcript:ONIVA04G17070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP12 interacting protein 37 [Source:Projected from Arabidopsis thaliana (AT3G54170) TAIR;Acc:AT3G54170] MTDSPSPRLDEEDAFGRDFNSSPSPTAPPARSGEKRPFGDLDDDDEDVFASKKGKTKVEESAPGAATGMILSLRERWNGRLPNQKFKSGIQHFRTFQLYLLEPIQSSEESLKEQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKHGKMLMAKCRTLQEENEEIGAMASEGKEMLAQKEATDEDKIPQENDVAGDDIDAAAESQPIKVET >ONIVA04G17060.1 pep chromosome:AWHD00000000:4:18622549:18626078:-1 gene:ONIVA04G17060 transcript:ONIVA04G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFCFARCRFTRLVVAMQLVMGVLVICISMASLHRFYTTDALLPGGLDDPARCARFHGAVAGGYSGFDIRALADRVDEVLVQLAELQDKLEATALKIGKKTKKRKGKGKLQQQENMTMTEFQRFLEDEVIHPLYGAHIALRLIRIPRPDPDGGAPAVDPLVNFFAAEETRKYVTAKRNREGRPGAYGANRTVSGGLYMEQLAAPRAGQPIAKKPKQAQAEAIHKQQ >ONIVA04G17050.1 pep chromosome:AWHD00000000:4:18616829:18618697:1 gene:ONIVA04G17050 transcript:ONIVA04G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCFCVCSFVSEILETQHHSAICLSGLSGGGKSILFYQKSKIKPVHVVDVPGHAGLKPKLDEVLPQAAGIVFAVDAQDFLSTMQVVAEYLYDILTKATVVKKRIHVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRKDISSADTTDEVKLRNPGETFYFSQCQNRVTVAGGAGLTGNVSAVEQFIREYVKA >ONIVA04G17040.1 pep chromosome:AWHD00000000:4:18592370:18603502:1 gene:ONIVA04G17040 transcript:ONIVA04G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) TAIR;Acc:AT5G40440] MAGLEELKKKLQPLLFDDPDKGGVSSRVPLPEDTCDSYVVSDGGTVNLLSRSLGEYNINEHGFHKRSTRPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYIGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVLAHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLAILECATGKFPYNVNEGPANLMLQILDDPSPTPPKDSYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKRYENTTVDLVAYVKSIVDPTERLKQIAEMLAVHYYLLFNGTDGIWHYMKTFYMEESTFSFSGNVYVGQSDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGETGIAIRVSGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPSKRLNPIYAVMVPRWDSDGSGLLKVADEDYTIHVSRHLTFSK >ONIVA04G17040.2 pep chromosome:AWHD00000000:4:18592370:18603502:1 gene:ONIVA04G17040 transcript:ONIVA04G17040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) TAIR;Acc:AT5G40440] MAGLEELKKKLQPLLFDDPDKGGVSSRVPLPEDTCDSYVVSDGGTVNLLSRSLGEYNINEHGFHKRSTRPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYIGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVLAHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGTVTYMSPERIRNENYSYAADIWSLGLAILECATGKFPYNVNEGPANLMLQILDDPSPTPPKDSYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKRYENTTVDLVAYVKSIVDPTERLKQIAEMLAVHYYLLFNGTDGIWHYMKTFYMEESTFSFSGNVYVGQSDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGETGIAIRVSGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPSKRLNPIYAVMVPRWDSDGSGLLKVADEDYTIHVSRHLTFSK >ONIVA04G17040.3 pep chromosome:AWHD00000000:4:18592370:18603502:1 gene:ONIVA04G17040 transcript:ONIVA04G17040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) TAIR;Acc:AT5G40440] MAGLEELKKKLQPLLFDDPDKGGVSSRVPLPEDTCDSYVYNINEHGFHKRSTRPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKEKRQQILNEMRTLCEACCYIGLVEFQGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVLAHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLAILECATGKFPYNVNEGPANLMLQILDDPSPTPPKDSYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKRYENTTVDLVAYVKSIVDPTERLKQIAEMLAVHYYLLFNGTDGIWHYMKTFYMEESTFSFSGNVYVGQSDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGETGIAIRVSGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPSKRLNPIYAVMVPRWDSDGSGLLKVADEDYTIHVSRHLTFSK >ONIVA04G17030.1 pep chromosome:AWHD00000000:4:18587941:18588153:-1 gene:ONIVA04G17030 transcript:ONIVA04G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPSQTKGHDAPAGELLLVAGAAAVVSAAIACKGTQWPWPCWRAASVISAILNRSSTVIGKSKLRPLL >ONIVA04G17010.1 pep chromosome:AWHD00000000:4:18560104:18564743:1 gene:ONIVA04G17010 transcript:ONIVA04G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADVRSGALLPARPTPQRRRQKWAVEFARFFRTPRRDPSKPPPPGLRLVARGKLRHHGTWLPAASPAALSISCPSQSFAVPVLTVSIGDVVFVRTDPAPLPRGAFCVHSQFFVASGYMCDTMPNKWEQSGVSLNAFLYGLFTKECSTETMDIRPSGSDYLCEDSSASEYIASSGIHQSFEEPDQPVHRTETPALGYHAEPDEPIHRTEAPALSQRETPSLRHHEAPEEPLLQPLLATNIDTVFSGFPPSFTDMLTQFSCKTEKDAEEPYPVTATDHAPQEVSMLDTSHNGTHSLNLISHLFIWKSLSSFPDQSVFCAVAISTTSANEIDVNRETSDIMTRIKTYISDGTFHDMLFKLERVIDELGGDLSL >ONIVA04G17000.1 pep chromosome:AWHD00000000:4:18518018:18521428:1 gene:ONIVA04G17000 transcript:ONIVA04G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCISWILFNMMCASDGRSVVGQRCQRLGCNNVVEGQTLLCKSHSIGQRCQMLGCPHIVPDGSVLCMSHGGGRPLGEPGSSTVACSKSEISIKYEGESGFRVTQNAGNDLGSAGIYNPDGDVVMCKYQGCSKRAQGNAMYCKIHRGGSKGCMVQGCTKGAHGGTPLCIAHGGGKRCAVTGCPNAACGSSQGLTDRCVRHGCGKSAQGRSDYCIKHGGGRRCKFQGCATSAKWGMDFCSLHRKSLMSGSNSSHEMLPAPPPKRRAKKTKTAVGPSGLSSDPKSAESVMIKHASNAGHQQQPIHSMKSSPSSGLTASTEGDVAARSHALFGL >ONIVA04G17000.2 pep chromosome:AWHD00000000:4:18517130:18521802:1 gene:ONIVA04G17000 transcript:ONIVA04G17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRTFLLYQSPNLPSSSILPFPPPIPSHPLPAASCDRSIDRSAPPTRPIRPPTQATSNPSAGGSSPPPPPPLQPSPTVGFSPPQSPPLYRRRSTRHQEGSRTKAGAAYRLRRYLIEHRSECNRSAKVYFMDSFQHGMDADKHHIFGERGNMIQLNMCASDGRSVVGQRCQRLGCNNVVEGQTLLCKSHSIGQRCQMLGCPHIVPDGSVLCMSHGGGRPLGEPGSSTVACSKSEISIKYEGESGFRVTQNAGNDLGSAGIYNPDGDVVMCKYQGCSKRAQGNAMYCKIHRGGSKGCMVQGCTKGAHGGTPLCIAHGGGKRCAVTGCPNAACGSSQGLTDRCVRHGGGRRCRFDGCVKGAQGNTDFCIGHGGGRRCKFEGCGKSAQGRSDYCIKHGGGRRCKFQGCATSAKWGMDFCSLHRKSLMSGSNSSHEMLPAPPPKRRAKKTKTAVGPSGLSSDPKSAESVMIKHASNAGHQQQPIHSMKSSPSSGLTASTEGDVAARSHALFGL >ONIVA04G16990.1 pep chromosome:AWHD00000000:4:18498807:18501924:1 gene:ONIVA04G16990 transcript:ONIVA04G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKKLSELTTRGHTWNIKVKVMRLWDSVNSATDELLICNDLKITFMYNTKVKQVKETAESFPEYYFDFASIDTLQDRANKDQHLSDVIGLLTRMKPIETRMTRKNTPNPRLAEIREIELLLLGLTLWGQLARFFSEDVIGNQTVVIVTSTTVQEYIGQAVPREYIQIYTYVRRETWTLIDRQFSEETIPKMMEVDKSTQGTREEQMFYNRKTLKDITEMRHGNPGSQEFVFTSKVTIDRVQENIQWWNCNKYPENTTLRYQLRLQISDHTTSTSCTIFDDVAQSMLETPVSSLLNLLDGKNDEIPNIIQQLCGKQLIFKFKLSEQNLTEGTPNYVVKRTFVPDYMLEKQYLINKAEEELMDDDVDNILKQDRETDQQEQTSRGPLIKKQIHLTATREARAIG >ONIVA04G16990.2 pep chromosome:AWHD00000000:4:18498807:18501924:1 gene:ONIVA04G16990 transcript:ONIVA04G16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKKLSELTTRGHTWNIKVKVMRLWDSVNSATDELLICNDLKITFMYNTKVKQVKETAESFPEYYFDFASIDTLQDRANKDQHLSDVIGLLTRMKPIETRMTRKNTPNPRLAEIREIELLLLGLTLWGQLARFFSEDVIGNQTVVIVTSTTVQEYIGQAVPREYIQIYTYVRRETWTLIDRQFSEETIPKMMEVDKSTQGTREEQMFYNRKTLKDITEMRHGNPGSQLRLIEYKKIFNGDGKNDEIPNIIQQLCGKQLIFKFKLSEQNLTEGTPNYVVKRTFVPDYMLEKQYLINKAEEELMDDDVDNILKQDRETDQQEQTSRGPLIKKQIHLTATREARAIG >ONIVA04G16980.1 pep chromosome:AWHD00000000:4:18498542:18502642:-1 gene:ONIVA04G16980 transcript:ONIVA04G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDVVAAGSGYLYRVQSLFGEALPPDRGRPSPSRAPCGSHVVGGCRSRAGKHVRDLRLVEEDGGGAALDGTAVANVQSQMREFLRSSQISDTHLPQLHMLLVDPTGGELRRWRAFAPTARGGGGAVVGSRIGQGGEPGGIGRQAKDILPRRERRAKH >ONIVA04G16980.2 pep chromosome:AWHD00000000:4:18502001:18502642:-1 gene:ONIVA04G16980 transcript:ONIVA04G16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDVVAAGSGYLYRVQSLFGEALPPDRGRPSPSRAPCGSHVVGGCRSRAGKHVRDLRLVEEDGGGAALDGTAVANVQSQMREFLRSSQISDTHLPQLHMLLVDPTGGELRRWRAFAPTARGGGGAVVGSRIGQGGEPGGIGRQAKVG >ONIVA04G16970.1 pep chromosome:AWHD00000000:4:18487205:18494509:-1 gene:ONIVA04G16970 transcript:ONIVA04G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILESPLLRDAGAAVLTGATALAVLRFWEEVGNRALLDQKLCRKLVHITVGLVYFLMWPLFSADDVYAPFLASLVIAFNIIKVTLIGLGIVKDDGVINSMTRNGDRRELLKGPLYYACAITLATVIFWRTSPISIAVICNLCAGDGVADIAGRRFGHVKLPYNPDKSYAGSIAMFLAGFLASILYMCYFHLFGFVEESWSMVIAFGVTSLAAAIVESLPVSTRLDDNLTVPLASVLVGVLVFYYTGARNLCCMSADSSDISALVQNQMVLDSLPVSDEPREHCASSALQPGTVMRARSHACQMAKQGKEKTKLATLNMPVLWIHLFLLIKNARLAYSQKNCNCSEHGEKEEEKEEVKTISGIINSALSDDDDMLSEIESLLSGEIDIPLPSDRFDVKERSRYNSVNSELERLRGLVRELEEREVKLEGELLEYYGLKEQETDVVELHRQLKIKMVEIDMLKMTINSLQEERKKLQDDVARGTGAKRELEAARNKIKELQRQIQMEANQTKGQLLLLKNQVIALKSKEEEAAIKDAEVQRKLKKLKELEVEVVELRRKNKELLYEKRDLIVKLDAAQGKITESDVVSHAREEINKLRHVNEDLTKQVEGLQMTRFSEVEELVYLRWVNACLRYELRNYQAPSEKISARDLNKTLSPKSRERAKLLMLEYAGSERGQGDTDLETASSAPSSPRSEDLDNVSVDSSSSRHSFFGKRPNLMQKLKKWGRGKDDESSLASPTQFFTSDSSKSASQKPKGPLEALMLRNAGDGVGITTFGKREQDPSDIMDEANVASSFHLMSKTVQGFADDKYPAYKDRHKLATEREKAIKEKAEKARVQRYGGVNSSGAPPPPPPPGKPGGLPPPPPPPGSLPRNLAGGDKVHRAPEVVEFYQSLMKREAKKDTTSLGSTTSSVFDVRSNMIGEIENRSTFLLAVKADVETQGDFVESLANEVRAASFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLEHKVSSFTDDPKLACEEALKKMYSLLEKVEQSVYALLRTRDMAISRYREYGLPVDWLSDSGIVGKIKLASVQLAKKYMKRVATELDALQGTEKEPNREFLLLQGVRFAFRVHQFAGGFDEESMKAFEELRSKMSTQTSAPQISDV >ONIVA04G16960.1 pep chromosome:AWHD00000000:4:18466417:18470500:-1 gene:ONIVA04G16960 transcript:ONIVA04G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAISCLQPLCDCLDGTGLLDAAGREVASFLRLKSNWGDLDKARESLGAVERMVRGRVTAELNKLNVCDPQVELWLRRVDELKLGAIDEDYSSLMNYSSICQCTRHAARRSWIGKRIVEALDEVNKLIEEGRRFKKFGFKPSPEIVERLPQTKTFGLETMLVQLHDLLEKADSNIIGIWGQGGIGKTTLLHAFNNDLEKKVHNYQVVIFIEVSNSETLDTLEMQKTISERLNLPWNEAEITVKRARFLVKALSRKRFVLLLDDVRKKFRLEDVGIPTPDTNSQSKLILTSRFQEVCYQMGAQRNLIKMDLLDNDAAWKLFLSKLSTEACAAVESPSPSNVVRDHAIAIAQSCGGLPLALNVIGTAVAGYEEPRDWNSAADAIKENMKFEGVDEMFATLKYSFDRLTPTQQQCFLYCTLFPEYGSISKEHLVDYWLAEGLLLDDREKGNQIIRSLISACLLQTTSSMSSKVKMHHIIRHLGLWLVNREDRSFVVKAGMALDNAPPAIEWKEATRISIMSNNITELSFSPKCENLTTLLIQNNPKLNKLGWGFFKYMRSLKVLDLSHTAITSIPECDTLVALQHLDLSYTHIMRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLHKLRVLNLFRSHYGIRDVDDLNLDSLRDLLFLGITIYSQDVLKKLNETHPLAKSTHRLNLKYCGDMQSIKISDFNHMKHLEELHVESCYDLNTLVADTELTTSCLQALTLSVLPSLENVLVAPMPHNFRYVRKLSISQCPKLLNITWVRRLELLERLVISNCDEMLTIVEEANSTEEQQYGTQTIKMQGYYSEEQDDHAMAESSRNEWNDDYQSVNGESTNGATRQPDFPKLRSIVLTDVKKLRSICTPRDFPCLETLRVEDCPNLRHIPLCSTHNCGKLKQICGSSDWWKKLQWEDKEAVAHMESKYFIPI >ONIVA04G16950.1 pep chromosome:AWHD00000000:4:18458973:18461487:1 gene:ONIVA04G16950 transcript:ONIVA04G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 5 [Source:Projected from Arabidopsis thaliana (AT4G13670) TAIR;Acc:AT4G13670] MIVATATIPFFPSFHRPRFRPGGLPRRVVVLRCSASSWEEREEARWLREEQRWLREEQRWLREESRWRAERESLLAEIAALRLRLGTVEAGPLPLPSVDAAVASPAPSPAVAAVPPPPPPLAAAPRPPLVVEEEVEVRKEVVVVEQKAAKAKSGGGDGGGRRTLRVGAEGEDVRAMQEALEKLGYYSGEEDMEFSSFSSGTERAVKTWQATVRVSESGIMTSDLLDMLFTGQAGQDVKTKDGINGAAIPSITEIAEIQQTVVKGNGVSGVGLSENRVFLIGENRWEDPSRLTQKNKPISSATNASTKKCISCRGEGRLMCVECDGTGEPNIEPQFLEWVGEDTKCPYCEGLGSIVCDVCEGKTVATN >ONIVA04G16940.1 pep chromosome:AWHD00000000:4:18443472:18451837:-1 gene:ONIVA04G16940 transcript:ONIVA04G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSKTRIHASLVSTLLLLLPLASAIHRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHLPGNIKDGSNGDIADDHYHRYEEDVELMNSLGVNAYRFSISWSRILPRIQPFVTLTHYDIPQELEDRYGAWLNAEIQSDFGHFADVCFGAFGDRVKYWTTFNEPNVAVRHGYMLGTYPPSRCSPPFGHCARGGDSDAEPYVAAHNVILSHATAIEIYKRKYQSKQRGMIGMVLYSTWYEPLRDVPEDRLATERALAFETPWFLDPLVYGDYPPEMRQILGGRLPSFSPEDRRKLRYKLDFIGVNHYTTLYARDCMFSACPQGQETQHALAAVTGESNGLPIGTPTAMPTFYVVPDGIEKMVKYFMRRYNNLPMFITENGYAQGGDSYTDAEDWIDDEDRIEYLEGYLTKLAKVIRMSIVEYPIQYEKSDF >ONIVA04G16930.1 pep chromosome:AWHD00000000:4:18427961:18428167:1 gene:ONIVA04G16930 transcript:ONIVA04G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPREGSTVASGGALPSGGRGGGRQGRRCPSLRRIQWEGRRRRPAGIPAAVAPLPSTGSSGRGARSGL >ONIVA04G16920.1 pep chromosome:AWHD00000000:4:18409889:18424541:-1 gene:ONIVA04G16920 transcript:ONIVA04G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAATRIAVVVVAALAALAPGARGLRRDDFPVGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHTQAGRISDRRNGDVADDHYHRYTEDVEILHNLGVNSYRFSISWARILPRGRFGGVNSAGIAFYNRLIDALLQKGIQPFVTLNHFDIPQELEIRYGGWLGAGIRKEFGYYSDVCFKAFGDRVRFWTTFNEPNLITKFQFMLGAYPPNRCSPPFGSCNSGDSRREPYTAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVVAMKWYEPLTNSTEDVRAARRALAFEVDWFLDPIFFGEYPREMREILSSNLPKFTPEEKKLLQNKVDFIGINQYTAIYAKDCIYSPCALNTYEGNALVYTTGVRNGAKIGKPTAFSTYFVVPESIESAVMYVNGRYKDTTIYITENGYSQHSDTNMEDLINDVERVNYLQGYLKYLSSAVRKGANVGGYFMWSLIDNFEWVFGYTIKFGLYHVDFDTQERIPKMSAKWYRDFLTGSNVTDDTQVRRMANITMYGDCSKKSIITGSKNIADGVRIWKTATFAVDSDRFTAMRLGIRNTAGEEKQQTLALRVKADKSIFFNCRIEGNQDTLFAQAYRQFYRSCVILVKPSLPGKPTVVTAHGRRDRQQTTGFVVHHSQVVAAAPPAAFARPRAAGLRPPGAARGEDSRRAEGRAKATPHPQIENRDGERRGTVWAAGKTHARDGGGVARGAPHRAPAPPPLRRLGRRPQPVEGGYLEGNKGLSNWDVFTHKQGTIEDGSNGDTANDHYHRYMEDIELMHSLGVNSYRFSISWARILPKGRFGDVNPDGVAFYNALIDGLVQKGIQPFVTICHYDIPHELDERYGGWLSPEIQKDFSYFAEVCFKLFGDRIKFWTTFNQPNLSIKFSYMDGFYSPGRCSEPFGKCALGNSSIEPYVAGHNIILSHANAVSVYRNKYQVQGLPFRQKDYKGKQGGQIGIALSITWYEPFRNTTIDLLAVKRALSFGASWFLDPILLGDYPTEMREVLGQSLPKFTSKQKNRLQSTKLDFIGLNHYTTCYVKDCIFSPCEIDPVNADARVFSLYERDGVPIGKATGAPFFHDVPRGMEEAVTYYKQRYNNTPTYITENGYSQASNSNMTAKDFTNDTGRITYIQGYLTSLASAIRKGADVRGYFVWSLLDDFEWNFGYTLRFGLYHVHYKTLKRTPKLSVDWYRKFLTGSLLRRKFRDESQLHKFNSY >ONIVA04G16920.2 pep chromosome:AWHD00000000:4:18409889:18424541:-1 gene:ONIVA04G16920 transcript:ONIVA04G16920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAATRIAVVVVAALAALAPGARGLRRDDFPVGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHTQAGRISDRRNGDVADDHYHRYTEDVEILHNLGVNSYRFSISWARILPRGRFGGVNSAGIAFYNRLIDALLQKGIQPFVTLNHFDIPQELEIRYGGWLGAGIRKEFGYYSDVCFKAFGDRVRFWTTFNEPNLITKFQFMLGAYPPNRCSPPFGSCNSGDSRREPYTAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVVAMKWYEPLTNSTEDVRAARRALAFEVDWFLDPIFFGEYPREMREILSSNLPKFTPEEKKLLQNKVDFIGINQYTAIYAKDCIYSPCALNTYEGNALVYTTGVRNGAKIGKPTAFSTYFVVPESIESAVMYVNGRYKDTTIYITENGYSQHSDTNMEDLINDVERVNYLQGYLKYLSSAVRKGANVGGYFMWSLIDNFEWVFGYTIKFGLYHVDFDTQERIPKMSAKWYRDFLTGSNVTDDTQVRRMANITMYGDCSKKSIITGSKNIADGVRIWKTATFAVDSDRFTAMRLGIRNTAGEEKQQTLALRVKADKSIFFNCRIEGNQDTLFAQAYRQFYRSCVILVKPSLPGKPTVVTAHGRRDRQQTTGFVVHHSQVVAAAPPAAFARPRAAGLRPPGAARGEDSRRAEGRAKATPHPQIENRDGERRGTVWAAGKTHARDGGGVARGAPHRAPAPPPLRRLGRRPQPVEGGYLEGNKGLSNWDVFTHKQGTIEDGSNGDTANDHYHRYMEDIELMHSLGVNSYRFSISWARILPKGRFGDVNPDGVAFYNALIDGLVQKGIQPFVTICHYDIPHELDERYGGWLSPEIQKDFSYFAEVCFKLFGDRIKFWTTFNQPNLSIKFSYMDGFYSPGRCSEPFGKCALGNSSIEPYVAGHNIILSHANAVSVYRNKYQGKQGGQIGIALSITWYEPFRNTTIDLLAVKRALSFGASWFLDPILLGDYPTEMREVLGQSLPKFTSKQKNRLQSTKLDFIGLNHYTTCYVKDCIFSPCEIDPVNADARVFSLYERDGVPIGKATGAPFFHDVPRGMEEAVTYYKQRYNNTPTYITENGYSQASNSNMTAKDFTNDTGRITYIQGYLTSLASAIRKGADVRGYFVWSLLDDFEWNFGYTLRFGLYHVHYKTLKRTPKLSVDWYRKFLTGSLLRRKFRDESQLHKFNSY >ONIVA04G16920.3 pep chromosome:AWHD00000000:4:18413910:18438614:-1 gene:ONIVA04G16920 transcript:ONIVA04G16920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAATRIAVVVVVLALAVLAPAARGLRRDDFPPGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHLQAGRISDGRNGDVADDHYHRCPRTIAGGRLGGVNSAGIAFYNRLINALLQKGIQPFVTLNHFDIPHELETRYGGWLGAAIREEFEYYSDVCFNAFGDRVRFWTTFNEPNLSTRHQYILGEFPPNHCSPPFGNCSSGDSRREPYAAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVIAVKWYEPLTNSTEDVRAARRALAFEVDWFLDPIFFGDYPREMREILSSNLPKFTPEEKKLLQNNKVDFIGINHYTAIYAKDCIYSPCTLDTYEGNALVYAIGRRNGKIIGKPTALHGYFVVPEAMEKVVMYVNDRYRNTTIYITENGYSQHSDTSMEDLINDVERVNYMHDYLKYLSSAIRKGANVGGYFAWSIVDNFEWVYGYTVKFGLYQVDFDTQERIPRMSAKWYRDFLTSSSLTDGLQLFRFVTAGRISDRRNGDVADDHYHRYTEDVEILHNLGVNSYRFSISWARILPRGRFGGVNSAGIAFYNRLIDALLQKGIQPFVTLNHFDIPQELEIRYGGWLGAGIRKEFGYYSDVCFKAFGDRVRFWTTFNEPNLITKFQFMLGAYPPNRCSPPFGSCNSGDSRREPYTAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVVAMKWYEPLTNSTEDVRAARRALAFEVDWFLDPIFFGEYPREMREILSSNLPKFTPEEKKLLQNKVDFIGINQYTAIYAKDCIYSPCALNTYEGNALVYTTGVRNGAKIGKPTAFSTYFVVPESIESAVMYVNGRYKDTTIYITENGYSQHSDTNMEDLINDVERVNYLQGYLKYLSSAVRKGANVGGYFMWSLIDNFEWVFGYTIKFGLYHVDFDTQERIPKMSAKWYRDFLTGSNVTDDTQVRRMANITMYGDCSKKSIITGSKNIADGVRIWKTATFAVDSDRFTAMRLGIRNTAGEEKQQTLALRVKADKSIFFNCRIEGNQDTLFAQAYRQFYRSCVILVKPSLPGKPTVVTAHGRRDRQQTTGFVVHHSQVVAAAPPAAFARPRAAGLRPPGAARGEDSGPRRDTAERCNSAIVVASQGLSISLSRRV >ONIVA04G16920.4 pep chromosome:AWHD00000000:4:18411518:18424541:-1 gene:ONIVA04G16920 transcript:ONIVA04G16920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAATRIAVVVVAALAALAPGARGLRRDDFPVGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHTQAGRISDRRNGDVADDHYHRYTEDVEILHNLGVNSYRFSISWARILPRGRFGGVNSAGIAFYNRLIDALLQKGIQPFVTLNHFDIPQELEIRYGGWLGAGIRKEFGYYSDVCFKAFGDRVRFWTTFNEPNLITKFQFMLGAYPPNRCSPPFGSCNSGDSRREPYTAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVVAMKWYEPLTNSTEDVRAARRALAFEVDWFLDPIFFGEYPREMREILSSNLPKFTPEEKKLLQNKVDFIGINQYTAIYAKDCIYSPCALNTYEGNALVYTTGVRNGAKIGKPTAFSTYFVVPESIESAVMYVNGRYKDTTIYITENGYSQHSDTNMEDLINDVERVNYLQGYLKYLSSAVRKGANVGGYFMWSLIDNFEWVFGYTIKFGLYHVDFDTQERIPKMSAKWYRDFLTGSNVTDDTQVRRMANITMYGDCSKKSIITGSKNIADGVRIWKTATFAVDSDRFTAMRLGIRNTAGEEKQQTLALRVKADKSIFFNCRIEGNQDTLFAQAYRQFYRSCVILVKPSLPGKPTVVTAHGRRDRQQTTGFVVHHSQVVAAAPPAAFARPRAAGLRPPGAARGEDSRRAEGRAKATPHPQIENRDGERRGTVWAAGKTHARDGGGVARGAPHRAPAPPPLRRLGRRPQPVEGGYLEGNKGLSNWDVFTHKQGTIEDGSNGDTANDHYHRYMEDIELMHSLGVNSYRFSISWARILPKGRFGDVNPDGVAFYNALIDGLVQKGIQPFVTICHYDIPHELDERYGGWLSPEIQKDFSYFAEVCFKLFGDRIKFWTTFNQPNLSIKFSYMDGFYSPGRCSEPFGKCALGNSSIEPYVAGHNIILSHANAVSVYRNKYQVQGLPFRQKDYKGKQGGQIGIALSITWYEPFRNTTIDLLAVKRALSFGASWFLDPILLGDYPTEMREVLGQSLPKFTSKQKNRLQSTKLDFIGLNHYTTCYVKDCIFSPCEIDPVNADARVFSLYERDGVPIGKATWNLK >ONIVA04G16920.5 pep chromosome:AWHD00000000:4:18413910:18424541:-1 gene:ONIVA04G16920 transcript:ONIVA04G16920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAATRIAVVVVAALAALAPGARGLRRDDFPVGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHTQAGRISDRRNGDVADDHYHRYTEDVEILHNLGVNSYRFSISWARILPRGRFGGVNSAGIAFYNRLIDALLQKGIQPFVTLNHFDIPQELEIRYGGWLGAGIRKEFGYYSDVCFKAFGDRVRFWTTFNEPNLITKFQFMLGAYPPNRCSPPFGSCNSGDSRREPYTAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVVAMKWYEPLTNSTEDVRAARRALAFEVDWFLDPIFFGEYPREMREILSSNLPKFTPEEKKLLQNKVDFIGINQYTAIYAKDCIYSPCALNTYEGNALVYTTGVRNGAKIGKPTAFSTYFVVPESIESAVMYVNGRYKDTTIYITENGYSQHSDTNMEDLINDVERVNYLQGYLKYLSSAVRKGANVGGYFMWSLIDNFEWVFGYTIKFGLYHVDFDTQERIPKMSAKWYRDFLTGSNVTDDTQVRRMANITMYGDCSKKSIITGSKNIADGVRIWKTATFAVDSDRFTAMRLGIRNTAGEEKQQTLALRVKADKSIFFNCRIEGNQDTLFAQAYRQFYRSCVILVKPSLPGKPTVVTAHGRRDRQQTTGFVVHHSQVVAAAPPAAFARPRAAGLRPPGAARGEDSGPRRDTAERCNSAIVVASQGLSISLSRRV >ONIVA04G16910.1 pep chromosome:AWHD00000000:4:18406365:18408196:1 gene:ONIVA04G16910 transcript:ONIVA04G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPPAAAAASPTCWVSLHAPGPRGRSASFPAAPCSARRFSRFVARSSGGGGGTNPGPKPGDDESKAVLDAFFLGKAFAEALTEKVESVVGEVFSVVGQWQAEQQKQVQEFQEEVIQRAQKAKERAAMEVVDEKSPKTLREPSKTFVAPAPATPTPPPPTPTQEE >ONIVA04G16900.1 pep chromosome:AWHD00000000:4:18401038:18405671:-1 gene:ONIVA04G16900 transcript:ONIVA04G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGGERMVDELRDVLDDFAFRAKRLAAPLLQPFGRASEAVALDGAEIDGLRRIRAALRAAEERVVTDDFVRLWLRELEDLERMAEDVLEELEFEALRASRLERFKLQLLRSSAGKRKRELSSLFSSSPDRLNRKIGKIMERYNDLARDRDALRLRSSDGERRREPSPLTPTSCLTKCSLHEESGTRSRSLSCSYLMNITARVSYSVVPIVGAAGVGKTSLVQHIYNDEALRSKFDMKMWVWVCQEFDVLKLTRKLAEEATESPCGFAEMNQLHRIIAKRLEGKRFLLVLDDVWDESLVRWTSLLVPLKSAAPGSRIVVTTRSAKVARMMAFKIHQLGYLTDTTCWSVCRDAALQDRDPSKSVAAKCKGLPLAANAAGSVLSIAIDRKHWETVEQSDLWANNEVIDHTLPALLVSYNSLQKPLKHCFSYCSLFPKEYVFRKDKLVRLWLAQGFAAADGESDAEDIACRYFHNLVERFFLQQSPSYDHNEQRYVMHDLYHELAEYVAADEYSRIERFTLSSVNGEARHLSLTPSETHSHEIGEFHASNNKYMNESQYPGLRTLLVVQRTKHYDGRKTSSIQKPSVLFKAFVCLRALDLSNTDMEGLPNSIGELIHLRYLSLENTKIKCLPESISSLFKLHTMNLKCCNYLSELPQGIKFLANLRHLELPRIDNWNVYMPCGISELTNLQTMHTIKFTSDSGSCGIADLVNLDNLRGELCISGIENVSKEQIATEAIMKNKGELRKLVLQWSHNDSMFANDASSVLDSLQPHPALEELIIMGFFGVKFPVWMGSQCSFKLSFLELKDCRNCKELPSLGLLPCLKHLFINSLTSIKHVRWMLSSGDHTSSGDFQSRIAFPTLETLKFTDMESWEHWDETEATDFPCLRHLTILNCSKLTGLPKLLALVDLRIKNCECLLDLPSFPSLQCIKMEGCEVLPFQNPSVQDSQKTWTFLRCAGQILECNVVACTDLTFGQTNVHSSEEEIGNGVIFHIGQDEAVELVSCKPVWVQIGQPEEVEIICID >ONIVA04G16890.1 pep chromosome:AWHD00000000:4:18396025:18400349:1 gene:ONIVA04G16890 transcript:ONIVA04G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGDEGRNQGGSLSHGETNAGQESETSDRTPPNSSNTKTSGVQEIAAAASKDVPTIHFVRVSSGDTDHHCQLLFSPPAYCNSYYPPLPPQPGSMATNQIVARLMAQMNYEEGTGLGKYGHGIIDPINPTKKYGKGGVGKFESSYDSDSDYDTGPPVEPKLERGTGEAEPEAVVDVEEVRAMDTLQREREAYAAARAWERRHEKVRAYNMRGQRPPKHTTAADDWEGMASRYTAIKPALKVVRELNESGKLTLGGLIHEFAGVKAKFPEDYRTNREYGGTQPLLNRTLVMVEALKDKLGADASAAYPRLIHDLVMAPPLDAWWWSAEEREPMLRFVNRWKGLLPQATMDSILDEVILPTLVAATDVFRPTRPSKLSVCAGMWIPHLGHACLRIVYIISRRLRDWLCGGISEYDYKLALPWKNVFDPASWDEHIERHVLPHLRKALHDLEISIRMTWLQNNNFFPLVMRWASIVPVKYMVPLLIQGFFKKWMYANYLYLMGERPRLDEAMAWYEVWKGLFTPELLAEKRVVVHVEAGLDMINRATQGLEISAPRRIDPIEMTSRPRNAALGAVEGSHGWEALDIERTFATLREESAAYAAKRAQKTLRASGSASTARRLVLSSHDGEDRGPVPAEEEIVSAMAVIRGETSSRTLTLGGLICEFEGLKEKFPEAYGTFQLAQTAAHLTAPWLRPLLRPQDGRWDILQRPAWALALVQSLRNILQEEEDAPSAGMSAYAMLIDKTWKDTLPPSALAFIILEKVVMPELVADVMDRASQRLGEPVDPASVWVSPWIPHLGVDRLHGVYLDIAGELGRWMKGRDVTRCAYGKVSQWKGVFDPETWDEFVTVQRHVVPVVSRSLRDPTISPTRTWGGSNTFPLVMRWALLVPARYMVPVLESEFFAKWRYAVYPFVTEVRPIPGKAAVWYQSWKDLFTPELLADERVLLQLETGLGMINRAAQGQQISWPEHSDV >ONIVA04G16880.1 pep chromosome:AWHD00000000:4:18387377:18387772:-1 gene:ONIVA04G16880 transcript:ONIVA04G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEKLEAARVAVGKRKERELLAQAPANKALAEPGTNKPAPGPVPNNKLLAGHLAHEFLTRGTLQGRRVEPTKPSQAAASHPGEPVPEPEPDAAKRRRYAEVSWLLMASGARVPGVVNPTQLGRWLQIKE >ONIVA04G16870.1 pep chromosome:AWHD00000000:4:18380317:18383959:-1 gene:ONIVA04G16870 transcript:ONIVA04G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRRFLNPLVLNLQKMELELTCPVCLKLLNAPTMLPCYHTSCSKCATTRTMDGYSCAICKSAYRSQDLRPASHLEAIVNIHRSLSSTVSSMVTQQEAQADIPVAKTSFQGTPESGNRNGAEKSDQVKSYTPVASKLAYNQSTGLAYGNVDGVKERNPALETRGAADVTAMPTILVQKGPCRSQSSDGPRDLDCDSNDLEGELITSRSSPQSVLKREPNTANDDNRELKRQKSTDQDDRQPAVAGAWKCEFCHSSKTTESTGPLSHYLHGEPLEDNQAWKPNVLHVHEKCIEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAHGISGCRWDDENFVMLCPSHSSKKLPCERSKSKNKKTSLQRSSSDTMLDDLNSPSTIHMDGLWTASPFLTSEWVICGSALSSQEKEILDQFEHQTGITVTNGWRSNVTHVIANTDERGACARTLKVLMAILAGKWVLNINWLKACMEAKEPVPEEPYEISSDVHGSFDGPRMGRLRAMQNAPHLFAGLTFYFSGHFMLNYKVHLEDLITAAGGSILDKADLSSTSLIIYSMEPPQGSDPDTLNEVIRKRKAEAEELAATIGSRAVPHTCVLDSIASCTVQLTM >ONIVA04G16860.1 pep chromosome:AWHD00000000:4:18377165:18379587:-1 gene:ONIVA04G16860 transcript:ONIVA04G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein C2B [Source:Projected from Arabidopsis thaliana (AT2G33385) TAIR;Acc:AT2G33385] MAFFSSGSRALVEILTGLQSVERPMPVDHTLFEFGSIRYHLQASITDSENIYLSISTPSLSYEASPSSGLPEITLQETRKMYHKFAEIIEPAKEGYTLTLRLNFSGLTRPKDRTKAINQISLLQSVILSSQLKDMLASLGSSGTMKLVYNQRDPFFVSKTPVKISAIFPMRFRDDTDLAIASSFFQELQDLGSTSSFSRAPRCSWSPIPPPELRGEYVHHLTTNGGFVSFDILARHVKGWRAARTAWILLNFQSYVKYHIKCTRSYIQSRMRKRLEIMTEVIDDAKFRGNDESRKKLQVRKRSKRRSIKFARAKKLQKGFKAVIDKIKRLRLRIRVKGLDRFRRHCQCFPVLKLTMAQRKEQKYQKLE >ONIVA04G16850.1 pep chromosome:AWHD00000000:4:18368003:18374682:-1 gene:ONIVA04G16850 transcript:ONIVA04G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQWMGGYKYLVLAPVAMHTAHRLATKGWGDFDPAYTFMLPTLLLRMIHNQIWISLSRYQTARRKHLIVDRSLDFEQIILNGLLFYLGYAIIPNFRLMPVALHHHFLYSRYHSHHHASIVTEPITCKFHSLHHTQFRTNYSLFMPFYDYIYNTMDSSSDELYERSLKGTEETPDIVHLTHMTSLKSTYHLRIGITSISSKPCNDSVWYMWMLWPVAWLSMVLTWIYGSSAFVVERLKLKKFSMQVWALPRYNFQYGLSWERKSINNLIEKAILDANEKGVKAEQLNGSGELFAKKYPRLRVRLIDGSGLATAVVLNSIPFGTKQVFLCGSNSKVTRATAIALCQRGVQVILNQEKEYGMLKSRVPESRAIYLKFSNDETPQIWIGDSIDDAQGRVPKGTIFIPTSQFPLKKARKDCTYLSNPAMKDPRDNAECPHLVMSAWRIAGILHALEGWEMHECGDDMMTIEKTWSAAIKHGFKPLTKPCSLNSGTDL >ONIVA04G16850.2 pep chromosome:AWHD00000000:4:18368003:18373111:-1 gene:ONIVA04G16850 transcript:ONIVA04G16850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSLSSSLLVSQGTAPPFSLLALPLAPPRIHPVIHPFAEHLAYFLLFSISILPPIFMGCGSVLAGVLYITYIDFMNNMGHCNFELMPKWMFQTFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDSSSDELYERSLKGTEETPDIVHLTHMTSLKSTYHLRIGITSISSKPCNDSVWYMWMLWPVAWLSMVLTWIYGSSAFVVERLKLKKFSMQVWALPRYNFQYGLSWERKSINNLIEKAILDANEKGVKAEQLNGSGELFAKKYPRLRVRLIDGSGLATAVVLNSIPFGTKQVFLCGSNSKVTRATAIALCQRGVQVILNQEKEYGMLKSRVPESRAIYLKFSNDETPQIWIGDSIDDAQGRVPKGTIFIPTSQFPLKKARKDCTYLSNPAMKDPRDNAECPHLVMSAWRIAGILHALEGWEMHECGDDMMTIEKTWSAAIKHGFKPLTKPCSLNSGTDL >ONIVA04G16850.3 pep chromosome:AWHD00000000:4:18368003:18374653:-1 gene:ONIVA04G16850 transcript:ONIVA04G16850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMDGGLQAVIHPFAEHLAYFLLFSISILPPIFMGCGSVLAGVLYITYIDFMNNMGHCNFELMPKWMFQTFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDSSSDELYERSLKGTEETPDIVHLTHMTSLKSTYHLRIGITSISSKPCNDSVWYMWMLWPVAWLSMVLTWIYGSSAFVVERLKLKKFSMQVWALPRYNFQYGLSWERKSINNLIEKAILDANEKGVKAEQLNGSGELFAKKYPRLRVRLIDGSGLATAVVLNSIPFGTKQVFLCGSNSKVTRATAIALCQRGVQVILNQEKEYGMLKSRVPESRAIYLKFSNDETPQIWIGDSIDDAQGRVPKGTIFIPTSQFPLKKARKDCTYLSNPAMKDPRDNAECPHLVMSAWRIAGILHALEGWEMHECGDDMMTIEKTWSAAIKHGFKPLTKPCSLNSGTDL >ONIVA04G16850.4 pep chromosome:AWHD00000000:4:18368003:18373111:-1 gene:ONIVA04G16850 transcript:ONIVA04G16850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSLSSSLLVSQGTAPPFSLLALPLAPPRIHPVIHPFAEHLAYFLLFSISILPPIFMGCGSVLAGVLYITYIDFMNNMGHCNFELMPKWMFQTFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDSSSDELYERSLKGTEETPDIVHLTHMTSLKSTYHLRIGITSISSKPCNDSVWYMWMLWPVAWLSMVLTWIYGSSAFVVERLKLKKFSMQVWALPRYNFQVMDSSAAEQLNGSGELFAKKYPRLRVRLIDGSGLATAVVLNSIPFGTKQVFLCGSNSKVTRATAIALCQRGVQVILNQEKEYGMLKSRVPESRAIYLKFSNDETPQIWIGDSIDDAQGRVPKGTIFIPTSQFPLKKARKDCTYLSNPAMKDPRDNAECPHLVMSAWRIAGILHALEGWEMHECGDDMMTIEKTWSAAIKHGFKPLTKPCSLNSGTDL >ONIVA04G16850.5 pep chromosome:AWHD00000000:4:18373119:18374682:-1 gene:ONIVA04G16850 transcript:ONIVA04G16850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQWMGGYKYLVLAPVAMHTAHRLATKGWGDFDPAYTFMLPTLLLRMIHNQIWISLSRYQTARRKHLIVDRSLDFEQVDRQRSWDDQIILNGLLFYLGYAIIPNFRLMPV >ONIVA04G16840.1 pep chromosome:AWHD00000000:4:18349486:18351484:-1 gene:ONIVA04G16840 transcript:ONIVA04G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGDRRRRRQPRRQSPARSPPPRPDHVRFWSRVLAPVVALLGKALTAVDVEAKEEVEPPPLLQEAKDVLLAPPPMPVEAAEEVVTGARPGKELSEQKASPASSLLPEKQVTPPLSPVAHSPPLAEAVVCTPDPELREVGGQESRSSGKKKVTFDMNVTAYENTAPADQEEEPPEPVSMVENEDGKHMQKDVLLPENHRYRNCSDSEEEEDEYGEDDNYGDDSDEEEEDFVDCKIDLVDKDDLYTEDSKQESHESLFSLQMYKDQQNDNEVSSPAPKSSSTSVEEQSPLIQRNNHRDRGQYVRPVLNPVQNMSQWKEVKAQAAPAKKLNKENVNSVPKVGATPENSIKKEVSVDASLSTWLVSSDNSTVDKVQSGSPCSISTVNRDERPVLGALTVNDLKQSSATSSPRRSPSHNREEAILGTVGSYWSSTKQDNAHCSSRSDSGTNGIPNTTSKYREDKRVNWHSTPFNVRLDRALKKSSA >ONIVA04G16830.1 pep chromosome:AWHD00000000:4:18342345:18346587:-1 gene:ONIVA04G16830 transcript:ONIVA04G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDINPDHIFEVPDTPDRIQQSTCPVSSPAARRGIAKAAGNPLPSRRIKFKITNNSIQGQSSRGNASSVSPAPLDAGDIFKQAELARLLPVAEDPEARSSLLKSGRTIETSVENEKVPKKSGLDQSMNISNNINCRGSGERDRSCQIRKGDISARDANSCNADFLCLGSGLPTTTVGKPRNRMGTITFKKPKEVVGANVCSVSSPREGKGEEITDKGTTGISSSTPSIVPQRHVGQRKLVRNGCISPSNIAKRSLKVDEKREICSTSGLLHYPDTQVDASGKGNVIDLTDSSPIIRRQGNTATDMEKRSGRKLAIGRAGETVIPLAANQVNSIFSEGNKNKGKEISHDVVGAKQSGEAYIRRVCPRSMGDSSSVANNDHTGIGSEQGWRTTHNNTSNIPMPLSAGDSNNSIEGGDNNNSIGGAKTLQTASFVNRTIRISSRKRKRIASSYHPGESSSSVDVDQPRVASSDSTAARNHTTHRHHIPVVDIDDICSPEVRPSLSGIGSSNRTSVDPNIREQLESDELLARQLQEQLYNETPHVVPTEEIDAIIAMSLQHEDNAQPTSRTARRFQSTTRGPRVLRSTVPQHANRVRYDSNNRRIIYQRALSRYPAAHIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVIQSNIEEPCAVCLDNPSIGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >ONIVA04G16830.2 pep chromosome:AWHD00000000:4:18342345:18346587:-1 gene:ONIVA04G16830 transcript:ONIVA04G16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDINPDHIFEVPDTPDRIQQSTCPVSSPAARRGIAKAAGNPLPSRRIKFKITNNSIQGQSSRGNASSVSPAPLDAGDIFKQAELARLLPVAEDPEARSSLLKSGRTIETSVENEKVPKKSGLDQSMNISNNINCRGSGERDRSCQIRKGDISARDANSCNADFLCLGSGLPTTTVGKPRNRMGTITFKKPKEVVGANVCSVSSPREGKGEEITDKGTTGISSSTPSIVPQRHVGQRKLVRNGCISPSNIAKRSLKVDEKREICSTSGLLHYPDTQVDASGKGNVIDLTDSSPIIRRQGNTATDMEKRSGRKLAIGRAGETVIPLAANQVNSIFSEGNKNKGKEISHDVVGAKQSGEAYIRRVCPRSMGDSSSVANNDHTGIGSEQGWRTTHNNTSNIPMPLSGKMTNSCGRESGSSEQSNLDRASAAGDSNNSIEGGDNNNSIGGAKTLQTASFVNRTIRISSRKRKRIASSYHPGESSSSVDVDQPRVASSDSTAARNHTTHRHHIPVVDIDDICSPEVRPSLSGIGSSNRTSVDPNIREQLESDELLARQLQEQLYNETPHVVPTEEIDAIIAMSLQHEDNAQPTSRTARRFQSTTRGPRVLRSTVPQHANRVRYDSNNRRIIYQRALSRYPAAHIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVIQSNIEEPCAVCLDNPSIGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >ONIVA04G16830.3 pep chromosome:AWHD00000000:4:18342345:18346587:-1 gene:ONIVA04G16830 transcript:ONIVA04G16830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDINPDHIFEVPDTPDRIQQSTCPVSSPAARRGIAKAAGNPLPSRRIKFKITNNSIQGQSSRGNASSVSPAPLDAGDIFKQAELARLLPVAEDPEARSSLLKSGRTIETSVENEKVPKKSGLDQSMNISNNINCRGSGERDRSCQIRKGDISARDANSCNADFLCLGSGLPTTTVGKPRNRMGTITFKKPKEVVGANVCSVSSPREGKGEEITDKGTTGISSSTPSIVPQRHVGQRKLVRNGCISPSNIAKRSLKVDEKREICSTSGLLHYPDTQVDASGKGNVIDLTDSSPIIRRQGNTATDMEKRSGRKLAIGRAGETVIPLAANQVNSIFSEGNKNKGKEISHDVVGAKQSGEAYIRRVCPRSMGDSSSVANNDHTGIGSEQGWRTTHNNTSNIPMPLSGKMTNSCGRESGSSEQSNLDRASAAGDSNNSIEGGDNNNSIGGAKTLQTASFVNRTIRISSRKRKRIASSYHPGESSSSVDVDQPRVASSDSTAARNHTTHRHHIPVVDIDDICSPEVRPSLSGIGSSNRTSVDPNIREQLESDELLARQLQEQLYNETPHVVPTEEIDAIIAMSLQHEDNAQPTSRTARRFQSTTVQGYCVQLFLSMLIGNDYDALLALDENNHQHAGASESQINNLPQSVIQSNIEEPCAVCLDNPSIGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >ONIVA04G16820.1 pep chromosome:AWHD00000000:4:18336316:18338782:-1 gene:ONIVA04G16820 transcript:ONIVA04G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGATLADKAEFKECLRLTWSQPYILQLVFSAGIGGLLFGYDTGVISGALLYIRDDFTAVEKSTVLRETIVSMAVAGAIVGAGFGGWMNDKFGRKPSILIADSLFLAGALIMALAPTPFVIIIGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFMAYLINLAFTKVKGTWRWMLGIAGLPAFIQFILMCMLPESPRWLYRQDRKEEAEAILRKIYPAAEVEEEIDSMRRSIEHEKQLEGSIGEQSLVGKLTKALSSKVVRRGLMAGVIAQVAQQFVGINTVMYYSPTIVQLAGFASNNTAMALSLITSGLNAIGSIVSMFFVDRAGRRRLMIISLVGIVLWLAVLGGTFLGAAHHAPPVSDLETRVFANQTCPEYSPSARWNCMNCLKAQSTCGFCAHGGNKLLPGACLAAGEASRRTCHAGNREFYTEGCPNNFGWLALVALGAYIVSYSPGMGTVPWIVNSEIYPLRFRGVCGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCAVSFFALVVVFFTVPETKGLQFEEVEKMLGEKDYKPWKRYRPDVSSKGRDIGLSVP >ONIVA04G16810.1 pep chromosome:AWHD00000000:4:18332040:18333475:-1 gene:ONIVA04G16810 transcript:ONIVA04G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAASKAAPTDALSSVAAEAPVTRERPVRADLEVQIPKPYLARALVAPDVYHPEGTEGRDHRQMSVLQQHVAFFDLDGDGIVYPWETYGGLRELGFNVIVSFFLAIAINVGLSYPTLPSWIPSLLFPIHIKNIHRAKHGSDSSTYDNEGRFMPVNFESIFSKNARTAPDKLTFGDIWRMTEGQRVALDLLGRIASKGEWILLYVLAKDEEGFLRKEAVRRCFDGSLFESIAQQRREAHEKQK >ONIVA04G16800.1 pep chromosome:AWHD00000000:4:18330052:18330403:1 gene:ONIVA04G16800 transcript:ONIVA04G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIRCPAVWWLKRRRRHEPARLARRNPTGGDGDWWRTSPWSSESGGGRQGRRRRDRPAGVGSGTGESGGQAVTGDIEVDRTGDGSRRLQRISLGGESASTSRLESSRR >ONIVA04G16790.1 pep chromosome:AWHD00000000:4:18323298:18324645:-1 gene:ONIVA04G16790 transcript:ONIVA04G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTTTTKAGRNGDRAGTEAPGATRATDGRGGAAVAARKVGDMRGVSGVEAKDSLTIVALQSPVTVERPVRGDLEEHVPKPYLARALAAPDIYHPDGTTTDDHEHHHLSVLQQHVAFFDRDDNGIIYPWETYEGCRALGFNMIMSFLIALVVNVSMSYPTLPGWLPSPFFPIYIHNIHRSKHGSDSGTYDNEGRFMPVNFENIFSKYARTSPDRLTYREVWQMTEGNREVLDLFGWFAAKLEWTILYVLARDEEGYLAREAIRRMYDGSLFEYVAMQREQHAKMS >ONIVA04G16780.1 pep chromosome:AWHD00000000:4:18319143:18321543:-1 gene:ONIVA04G16780 transcript:ONIVA04G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13330) TAIR;Acc:AT4G13330] MLRAAASRCARGAIRRLSSAASPAAVAAGARRQPPLDEGDWSYHREWWGEDEGPGEGAHTVFRRHSEHGNGVVSVSAYPASRPASDEWTVTERWLQERNARIYPNSFGANQFKILGYQWRVMRFNDHTRQSVAKVMACYRSSCDTGMYLMQQPHCLAVPWLTALPCCSYDLHQAVSGQNNMKILCIGHGGGSLPLVLASKFRGAVVHIVEIDPVVASASIDSMGFPSLPVKGLPPEPTKPANGNELLWGGIHDRLFLHIADAEDFVANDSNVYDLVFIDAYDGDDIFPRKLWDAEGTFLKNLEMKVHPVHGTVVVNLHSDSELSVAGVEKTSSVDSILPLGKHVSQVCRAYKQHFGLAFTAAVPWLCNITLVACRDRAIASGARLGLSRRDFVLGKLLSKSNMVEHALDLPFPCLQYIKNGFTLVD >ONIVA04G16770.1 pep chromosome:AWHD00000000:4:18317244:18318360:-1 gene:ONIVA04G16770 transcript:ONIVA04G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPFLHSFLSPSSAAAAPSSLQLRRAFSDGHLPSLHPSSDGGGGSKNNTTGLHTELSSIYNTFNKMAPPPPPVQEQEEAEQQQAPGEPKLPLFLARGLGIDRIASGLFTAGGGGDGGNGGAGRMSAVEEEHAEKVAALDAQYKRMMDEQPGDALFLRNYAQFLHEVKGDARRAEEYYSRAMLADPSDGEIMSQYAKLVWEVHRDQDRSLTYFHKSVQAAPHNSNVLAAYASFLWEQDDDDDDDLGEGEQDVAGAAQPGHVRQLASVAV >ONIVA04G16760.1 pep chromosome:AWHD00000000:4:18311116:18318063:1 gene:ONIVA04G16760 transcript:ONIVA04G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRYHMEMPTGMRELDRVQQQIASHPYAFEGYYNVLANSPELACQFYTDYSTAVRLDCQTMKSSFGETVEEINDMIISMNVHKIEVKTANFVQSWGGALQMLVTGLVQLKDYPVRKRFAQTMLLAPQDNGYYVFSDIFKLICDEYDYYEGADYSHTDNILQMDAHNTMTETENFSNGNRDYSDNVYFLLLVDSLASDCMPEELEAKEALTPADIEERGPAFMPENHEVQQQDPLEYGVVIDDDSPSEELTPSFPSSTDSKQDAPLGPIVHPSVTTPEEEPMGEPAKQTYASVLRTKGHPSHQAIHSIPLNKATASSVESQLNGHMTKQVQPVHEKANLDTRYDASGPEDEEEFLSVYIGNLSPSTSVFDLEKEAGIFFGFVEYEDMSGIHNALRASPIELNGRLIHVEERRQIYRGGGARRGRGRPADFSRGQSGGRYDGDYATRSKGNGYQRRV >ONIVA04G16750.1 pep chromosome:AWHD00000000:4:18303330:18309165:-1 gene:ONIVA04G16750 transcript:ONIVA04G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16840) TAIR;Acc:AT3G16840] MAAAPPPPPSPQLQSSDPSTPPQETSQVRKGKKSRGAKKPRRAAAAAAASTSSAGTMVEDPFLVLAGGKEGGFLELEEIDEADFGIFGGAVEDLGEIDRKAGKDQKKKKRKKRKRGDDDYALPGDGDLVVECEEEGEKGEKRVKKKRRSRKKRKVKEMEEKMESKEDVSDDNVEDMQDGNDMEQDNNDGLILGEDEVYAWRELRLHPLLITAVRRLGFKEPTPIQKACFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEQEKAMRLSREDESTQDENSRESPLRALILTPTRELAKQVCDHLKEAAKFLRIQVVPIVGGLSMEKQERLLKRKPEIVVGTPGRLWELMSTGNQHLIKLHSLSFFVLDEADRMIERGHFHELQSIIEMLPVTNGSDEQTVGTTPSCETVPILQIKKRQTFVFSATLALSANFRKKLKRGLVTAKASASTDLSSIEALSKQAGMKPNAEIVDLTKASILPEKLEESFIECSDDDKDAYLYYILSVHGQGRTIIFCTSIAALRHLSSILRVLGINVLTNHAQMQQRARMKAVDRFRESENSILVATDGFARGMDFDDVRTVIHYQLPHSTDVYIHRSGRTARKSMAGCSIALISPADKAKFYSLCKSLSKENLQQFPVDHAYMPAENANKSWLQRNAESMGLLLETSDSEEERVQGHKQRKATSANLQKLQQDLSELLQRPLQPKTFSRRYLAGAGVSPLLQKQLEELSKRNVKGSASVNANKGSRFVVIGQDQIEPLQALQNSGQEVCVSIDKQREKRRLAENWRRKKQKEKKSTREQKRKEKRIAKERD >ONIVA04G16740.1 pep chromosome:AWHD00000000:4:18294448:18302793:1 gene:ONIVA04G16740 transcript:ONIVA04G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQATAKSFMAEGKVSADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEIAAAYLEAQQTKAREHQQQMQMQQLQLIQQRHAQLQRTNATHPSLNGPISGLNSDGILGPSTASVLAAKMYEERLKHSHPMDSDGSQLLDASRLALLKSASTNHSGQSIPGTPGSVSTTLQQIQARNQQNIDIKSEGNMSVAQRSMPMDPSSLYGQGIIQPKPGLGGGVLNQGVSGLPLKGWPLTGIDQLRPNLGGQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLGNSTNLGDMDPRRLSALTRSVLNGKDGQPAGTDGCITSPMQSSSPKVRPDQEYLMKQTSSQQTQEQLQQQHNQQQQQQNQQQQTQQGNRKRKQPTSSGAANSTGTANTVGPSTNSPPSTPSTHTPGDGLGMTGNMRHVPKNLMMYGVEGTGLPSSSNLDDLEQFGDMGSLDDNVESFLANGDGDARDIFAAPEKSPAEPNPVASKGFTFSEVNCWRTNNSKVVCCHFSSDGKILASAGHEKKAVLWNMETFQTQYTAEEHAVIITDVRFRPNSNQLATSSFDRTIKLWNAADPGFSLHTFAGHCSGITSLDFHPKKTDLLCSCDSNGEIRYWNVSQLSCMRAMKGGTAQVRFQPNTGQFLAAATENVVSIFDVETNGKKYTLQGHNSEVQSVCWDSSGQYLASVSQDLVKVWSISSGDCTHEVSSNGNKFHSCVFHPGYTDLLVIGGYQSLELWNMVKNQSMTVQAHEGLIAALAQSPITGMVASASHDNSVKLWK >ONIVA04G16730.1 pep chromosome:AWHD00000000:4:18291965:18293506:1 gene:ONIVA04G16730 transcript:ONIVA04G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKPSSVDASSSSLPTSSSVSTTSSPLWRDASARAAAPPPTSSRSRKITSRIHPAATAADRIGAALSSVAHADASAIHGGLPLPSVAVHFAVLCKDDEQWGRRWLYGPRDRMRVRDTMNAHAAWNRRWSAGRQSAKIE >ONIVA04G16720.1 pep chromosome:AWHD00000000:4:18290207:18291490:-1 gene:ONIVA04G16720 transcript:ONIVA04G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDGGGSRLDWRPDGGLDRVAPANRQRRRARQSGCDDGDSSATAGMAVWMRRLCCIGDGGDSSRSEGNGLNATAALHRREAGDGWMPMKLMKFKDKRATLTTGWNALVGANLFEQADVCVFLFTEVPIPHQMVEKMPECSGWLRRRMGRGGGGDVDAPATTDAAGGGGDVYAAALKPRRPWRGRCDGCSAASMRRRPRRPRYDGGRRVCDAQPRRPRCDGG >ONIVA04G16710.1 pep chromosome:AWHD00000000:4:18285087:18285524:-1 gene:ONIVA04G16710 transcript:ONIVA04G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTVEALGLLEPAVWTPLYPGSLYEPARFWSMTPEGFAYDPVFPFASTEEAGFLICDEHGFRVETEEDVVVADDIASFCARVKVLQDEAAARLGSKAVIDVEEVACDDDDDYDVLEEAGFAACFGLIIESVEELLEDDGEHP >ONIVA04G16700.1 pep chromosome:AWHD00000000:4:18273559:18275055:1 gene:ONIVA04G16700 transcript:ONIVA04G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0H317] MATCAADLAPLLGPVAANATDYLCNRFADTTSAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKQFFGLKHMPQTGFDYDFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGLVYPVVSHWIWSADGWASASRTSGPLLFGSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALKGHSASLVVLGTFLLWFGWYGFNPGSFTTILKTYGPAGGINGQWSGVGRTAVTTTLAGSVAALTTLFGKRLQTGHWNVVDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNALAARLKFDDPLEAAQLHGGCGAWGILFTALFARQKYVEEIYGAGRPYGLFMGGGGKLLAAHVIQILVIFGWVSCTMGPLFYGLKKLGLLRISAEDETSGMDLTRHGGFAYVYHDEDEHDKSGVGGFMLRSAQTRVEPAAAAASNSNNQV >ONIVA04G16690.1 pep chromosome:AWHD00000000:4:18265989:18267470:1 gene:ONIVA04G16690 transcript:ONIVA04G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSAGEVPAQVRREADVLPPQGQGEGLREGHREVRRVRRRRPRRLPQGPQLHMGRRRRHRQALQL >ONIVA04G16690.2 pep chromosome:AWHD00000000:4:18266029:18267470:1 gene:ONIVA04G16690 transcript:ONIVA04G16690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSSPDTMAAAAGPSLSITVEKNPPEARLLQLGIKSWPKWGCPPGKFPLKFDARLTCYLLKGRVRASVKGTGRCVEFGAGDLVVFPKGLSCTWDVVVGIDKHYNFDSS >ONIVA04G16680.1 pep chromosome:AWHD00000000:4:18247402:18262863:-1 gene:ONIVA04G16680 transcript:ONIVA04G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 4 [Source:Projected from Arabidopsis thaliana (AT5G20320) TAIR;Acc:AT5G20320] MGDAAAAAPAAAAAGPSSTRGEPKDPRTIARKYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPSREVCIFLAPTIPLVRQQAVVIASSTDFKVQCYYGNGKNSRDHQEWENDMREFEEFYNSNSVEKFPRVFGMTASPIIGKGGSNKLNYTKCINSLEELLHAKVCSVDNEELESVVASPDMEVYFYGPVNHSNLTTICIKELDSLKLQSERMLRASLCDFKDSQKKLKSLWRLHENIIFCLQELGSFGALQAARTFLSFDGDKLDRREVDLNGSTSSFAHHYLNGATSILSRNKTDGSHAGSFDLEKLEEPFFSNKFSVLINVLSRYGLQENMKCIVFVKRITVARAISNILQNLKCLEFWKCEFLVGCHSGSKNMSRNKMDAIVQRFSSGEVNLLVATSVGEEGLDIQTCCLVVRFDLPETVASFIQSRGRARMTKSKYVVLLERENQSHEKLLNGYIAGESIMNEEIDSRTSNDMFDCLEENIYRVDNTGASISTACSVSLLHRYCDNLPRDMFFTPSPVFFYIDGIEGIICRLILPPNAAFRQADGQPCLSKDEAKRDACLNACVKLHKLGALTDFLLPGPGSRKNKVSVTNNSSNNKVEDDSLREELHEMLIPAVLKPSGLKLDSLSNLHFYYVKFIPIPEDRRYQMFGLFVINPLPVEAETLQMMLAHKFQEMCLKILLDRSEFTSPHVKLGNDVTLEINSTFYLLLPIKQKCYGDRFMIDWPAVERCLSSPIFKDPIDVSVHASYSSNESLRLLDGIFSKTDVVGSVVFSPHNNIFFFVDGILDEINAWSEHSGATYAEHFKERFRIELSHPEQPLLKAKQIFNLRNLLHNRLPETTESEGRELLEHFVELPPELCSLKVIGFSKDMGSSLSLLPSLMYRLENLLVAIELKDVMLSSFPEASQISASGILEALTTEKCLERISLERFEVLGDAFLKYVVGRHKFITYEGLDEGQLTRRRSDVVNNSHLYELSIRKKLQVYIRDQQFEPTQFFAPGRPCKVVCYTDVEVRLHQMDIHPDNRENCNLRCTRSHHWLHRKVIADVVESLIGAFLVEGGFKAAFAFLHWIGIDVDFNNSALYRVLDSSSINLSLMDYTDIAGLEELIGYKFKHKGLLLQAFVHPSFSQHSGGCYQRLEFLGDAVLEYVITSYLYSTYPDIKPGQITDLRSLAVGNDSLAYAAVEKSIHKHLIKDSNHLTSAISKFEMYVKLSNSEKDLLEEPACPKVMLMLLKPVLTFANMHTNPMRELRELCQCHGFELGLPKPMKADGEYHVKVEVNIKSKIIICTAANRNSKAARKFAAQETLSKLKNYGYKHRNKSLEEILIVARKRESELIGYNEDPIDVEADISVKMKSPHIHEENIPFQNTETSFTRSSKFHNQIIAGSGKHDVNNGRNNQPKLATQSGRLPSEATEKSNKKVYHGSLTRWLLRSRVLRRPFWSVIAMVSFRRRLHKSMRHKGRSGVSSNLGTYQKKRTFVYSNIVMFWGSQCESTVIFVCPEINVPFSLLL >ONIVA04G16670.1 pep chromosome:AWHD00000000:4:18242149:18244542:-1 gene:ONIVA04G16670 transcript:ONIVA04G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAATEEQLRITGSVVPGVGEVEEVDEATEGGRLGRGDDDEILRFMDSVDGYLLLMDSLSSVLRPGWLDLASARHSMGTSRVSSTLFDHKEQSAASKLQVVDSADSQSSEPNPHFILSKWCLQEKSNSNDAVGVRDTTKPKLRYRGSAATPEDGSHDSDATPAESATSVDTSSHVQKARSKALSTFGALVSPKLRSAQMSFETALELIVELANSRSDMLSSFSQIKGE >ONIVA04G16670.2 pep chromosome:AWHD00000000:4:18241255:18244542:-1 gene:ONIVA04G16670 transcript:ONIVA04G16670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAATEEQLRITGSVVPGVGEVEEVDEATEGGRLGRGDDDEILRFMDSVDGYLLLMDSLSSVLRPGWLDLASARHSMGTSRVSSTLFDHKEQSAASKLQVVDSADSQSSEPNPHFILSKWCLQEKSNSNDAVGVRDTTKPKLRYRGSAATPEDGSHDSDATPAESATSVDTSSHVQKARSKALSTFGALVSPKLRSAQMSFETAKEAERQ >ONIVA04G16660.1 pep chromosome:AWHD00000000:4:18233357:18244627:1 gene:ONIVA04G16660 transcript:ONIVA04G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:triglyceride lipases;triglyceride lipases [Source:Projected from Arabidopsis thaliana (AT4G13550) TAIR;Acc:AT4G13550] MAFAAPATCACRMAPPPPLAAASVSGRGRSPSSASSYRAAARWWPGARRGRRRRWAGLRARCAGQSVVKPGSESAAGEGLVGEDPPRPPFDLNLAVVLAGFAFEAYTSPPEDVGWREIDAAECQTVFLSDSFLREVYDGQLVVRLKKGVNLPVMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGSNHNVTVELEGLGGGGTIDVEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLDIRAEAPKRAEESVDNSAGSNELEQYKMDSKASGDDSDCCSEAESTATVVNTEGSSSPNMKETDEYFWKAFTSVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSSEIAEKEYLESGLATVDASISEGHETTPKDAIDVDKEDGTIPIKENLPKEEVQAPFPDVSKVSRDVLSQTENILGALMLLSRSLSPQDKESVMMDDGSNKEDSVKEEQCASDYTDNDDDAVSTEVFTDAQKAEDRQRLFESAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQLKSLKVAIWRDCSRRRLVVAFRGTEQSKWKDLLTDLMLVPAGLNPERLGGDFKQEIQVHSGFLSAYDSVRNRIIALVKYAVGYQDEEDGENIPKWHVYVTGHSLGGALATLLALELSSSLMAKSGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEETIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEGYCRSASLADRYQETLQTMEPVQDQFRGYGRTRK >ONIVA04G16660.2 pep chromosome:AWHD00000000:4:18233357:18244605:1 gene:ONIVA04G16660 transcript:ONIVA04G16660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:triglyceride lipases;triglyceride lipases [Source:Projected from Arabidopsis thaliana (AT4G13550) TAIR;Acc:AT4G13550] MAFAAPATCACRMAPPPPLAAASVSGRGRSPSSASSYRAAARWWPGARRGRRRRWAGLRARCAGQSVVKPGSESAAGEGLVGEDPPRPPFDLNLAVVLAGFAFEAYTSPPEDVGWREIDAAECQTVFLSDSFLREVYDGQLVVRLKKGVNLPVMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGSNHNVTVELEGLGGGGTIDVEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLDIRAEAPKRAEESVDNSAGSNELEQYKMDSKASGDDSDCCSEAESTATVVNTEGSSSPNMKETDEYFWKAFTSVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSSEIAEKEYLESGLATVDASISEGHETTPKDAIDVDKEDGTIPIKENLPKEEVQAPFPDVSKVSRDVLSQTENILGALMLLSRSLSPQDKESVMMDDGSNKEDSVKEEQCASDYTDNDDDAVSTEVFTDAQKAEDRQRLFESAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQLKSLKVAIWRDCSRRRLVVAFRGTEQSKWKDLLTDLMLVPAGLNPERLGGDFKQEIQVHSGFLSAYDSVRNRIIALVKYAVGYQDEEDGENIPKWHVYVTGHSLGGALATLLALELSSSLMAKSGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEETIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEGYCRSASLADRYQETLQTMEPVQDQFRGYGRTRK >ONIVA04G16660.3 pep chromosome:AWHD00000000:4:18233357:18244605:1 gene:ONIVA04G16660 transcript:ONIVA04G16660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:triglyceride lipases;triglyceride lipases [Source:Projected from Arabidopsis thaliana (AT4G13550) TAIR;Acc:AT4G13550] MAFAAPATCACRMAPPPPLAAASVSGRGRSPSSASSYRAAARWWPGARRGRRRRWAGLRARCAGQSVVKPGSESAAGEGLVGEDPPRPPFDLNLAVVLAGFAFEAYTSPPEDVGWREIDAAECQTVFLSDSFLREVYDGQLVVRLKKGVNLPVMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGSNHNVTVELEGLGGGGTIDVEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLDIRAEAPKRAEESVDNSAGSNELEQYKMDSKASGDDSDCCSEAESTATVVNTEGSSSPNMKETDEYFWKAFTSVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSSEIAEKEYLESGLATVDASISEGHETTPKDAIDVDKEDGTIPIKENLPKEEVQAPFPDVSKVSRDVLSQTENILGALMLLSRSLSPQDKESVMMDDGSNKEDSVKEEQCASDYTDNDDDAVSTEVFTDAQKAEDRQRLFESAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQLKSLKVAIWRDCSRRRLVVAFRGTEQSKWKDLLTDLMLVPAGLNPERLGGDFKQEIQVHSGFLSAYDSVRNRIIALVKYAVGYQDEEDGENIPKWHVYVTGHSLGGALATLLALELSSSLMAKSGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEETIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEGYCRSASLADRYQETLQTMEPVQDQFRGYGRTRK >ONIVA04G16660.4 pep chromosome:AWHD00000000:4:18233357:18242480:1 gene:ONIVA04G16660 transcript:ONIVA04G16660.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:triglyceride lipases;triglyceride lipases [Source:Projected from Arabidopsis thaliana (AT4G13550) TAIR;Acc:AT4G13550] MAFAAPATCACRMAPPPPLAAASVSGRGRSPSSASSYRAAARWWPGARRGRRRRWAGLRARCAGQSVVKPGSESAAGEGLVGEDPPRPPFDLNLAVVLAGFAFEAYTSPPEDVGWREIDAAECQTVFLSDSFLREVYDGQLVVRLKKGVNLPVMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGSNHNVTVELEGLGGGGTIDVEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLDIRAEAPKRAEESVDNSAGSNELEQYKMDSKASGDDSDCCSEAESTATVVNTEGSSSPNMKETDEYFWKAFTSVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSSEIAEKEYLESGLATVDASISEGHETTPKDAIDVDKEDGTIPIKENLPKEEVQAPFPDVSKVSRDVLSQTENILGALMLLSRSLSPQDKESVMMDDGSNKEDSVKEEQCASDYTDNDDDAVSTEVFTDAQKAEDRQRLFESAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQLKSLKVAIWRDCSRRRLVVAFRGTEQSKWKDLLTDLMLVPAGLNPERLGGDFKQEIQVHSGFLSAYDSVRNRIIALVKYAVGYQDEEDGENIPKWHVYVTGHSLGGALATLLALELSSSLMAKSGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEETIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEGYCRSASLADRYQETLQTMEPVQDQFRGYGRTRK >ONIVA04G16660.5 pep chromosome:AWHD00000000:4:18233357:18242480:1 gene:ONIVA04G16660 transcript:ONIVA04G16660.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:triglyceride lipases;triglyceride lipases [Source:Projected from Arabidopsis thaliana (AT4G13550) TAIR;Acc:AT4G13550] MAFAAPATCACRMAPPPPLAAASVSGRGRSPSSASSYRAAARWWPGARRGRRRRWAGLRARCAGQSVVKPGSESAAGEGLVGEDPPRPPFDLNLAVVLAGFAFEAYTSPPEDVGWREIDAAECQTVFLSDSFLREVYDGQLVVRLKKGVNLPVMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGSNHNVTVELEGLGGGGTIDVEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLDIRAEAPKRAEESVDNSAGSNELEQYKMDSKASGDDSDCCSEAESTATVVNTEGSSSPNMKETDEYFWKAFTSVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSSEIAEKEYLESGLATVDASISEGHETTPKDAIDVDKEDGTIPIKENLPKEEVQAPFPDVSKVSRDVLSQTENILGALMLLSRSLSPQDKESVMMDDGSNKEDSVKEEQCASDYTDNDDDAVSTEVFTDAQKAEDRQRLFESAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQLKSLKVAIWRDCSRRRLVVAFRGTEQSKWKDLLTDLMLVPAGLNPERLGGDFKQEIQVHSGFLSAYDSVRNRIIALVKYAVGYQDEEDGENIPKWHVYVTGHSLGGALATLLALELSSSLMAKSGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEETIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEGYCRSASLADRYQETLQTMEPVQDQFRGYGRTRK >ONIVA04G16660.6 pep chromosome:AWHD00000000:4:18233357:18243486:1 gene:ONIVA04G16660 transcript:ONIVA04G16660.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:triglyceride lipases;triglyceride lipases [Source:Projected from Arabidopsis thaliana (AT4G13550) TAIR;Acc:AT4G13550] MAFAAPATCACRMAPPPPLAAASVSGRGRSPSSASSYRAAARWWPGARRGRRRRWAGLRARCAGQSVVKPGSESAAGEGLVGEDPPRPPFDLNLAVVLAGFAFEAYTSPPEDVGWREIDAAECQTVFLSDSFLREVYDGQLVVRLKKGVNLPVMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGSNHNVTVELEGLGGGGTIDVEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLDIRAEAPKRAEESVDNSAGSNELEQYKMDSKASGDDSDCCSEAESTATVVNTEGSSSPNMKETDEYFWKAFTSVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSSEIAEKEYLESGLATVDASISEGHETTPKDAIDVDKEDGTIPIKENLPKEEVQAPFPDVSKVSRDVLSQTENILGALMLLSRSLSPQDKESVMMDDGSNKEDSVKEEQCASDYTDNDDDAVSTEVFTDAQKAEDRQRLFESAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQLKSLKVAIWRDCSRRRLVVAFRGTEQSKWKDLLTDLMLVPAGLNPERLGGDFKQEIQVHSGFLSAYDSVRNRIIALVKYAVGYQDEEDGENIPKWHVYVTGHSLGGALATLLALELSSSLMAKSGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEETIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEGYCRSASLADRYQETLQTMEPVQDQFRGYGRTRK >ONIVA04G16650.1 pep chromosome:AWHD00000000:4:18226534:18233170:1 gene:ONIVA04G16650 transcript:ONIVA04G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRDQRHHFNTRNQKREEVKKKTLEERHSSTAASSLSSLAKQRARGRRRNADRGSPRRAPHGRALSPALSRPLRTQGTEMPPRRAALLLLALAVYVPLGTASSTTIASYLLGLWSRAHRHSLPAPAPAPAPAPAPETHRPGIRHPVPRHHRKRPHVAPPLPPSSSSERQDCSGISCTAPLTSTPIGSPCGCVYPMQIQLDLVVAPYQLFPRIDELEIEIAAGTFLKQSQVRIMGAGSSLQDPEKTTVTVDLVPLGQKFDRTSALLTSNRFLQKKVPINSSIFGDYNVIYVHYPGLPSLVPSVPGSLGPISSSQYPFSANVHNRRHQKINSKSVAIIALSAVVLVLMSFGICIIWKYKGFEKSRGTGRVSNSSATRKTGMRSSFSSMTSSTASFVSTIATCPPTVKTFSISELEKATENFSFNKIIGEGGYGRVYRGTIDDEVDVAVKLLTRKHQNRDREFIAEVEMLSRLHHRNLVKLIGICIERSTRCLVFELVPNGSVESHLHGSDKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASEGMDHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTDRDGLQQLVDPSMPAASYGFEKLAKAAAIASMCVHVEASHRPFMGEVVQALKLIYNGNNDETCTSGSFGGGGGGEEYEDEEASSPWNNRSWSHDFAATPPPASRRLAFPRAPARPTTMDYSSDPADGAAGTSSSSARRQRSTSSLVLDKIESLAAYDWSGPLRASRGRNFYRLRGSMSEHGGHPSEDCSMEGYWM >ONIVA04G16640.1 pep chromosome:AWHD00000000:4:18213795:18217464:-1 gene:ONIVA04G16640 transcript:ONIVA04G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:general transcription factor II H2 [Source:Projected from Arabidopsis thaliana (AT1G05055) TAIR;Acc:AT1G05055] MYGVGGGGGGFNAPYTASARRRNHEDDDDEEEEESGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAASAAARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKYAEVFIREFFDQNPLSHVGIVTMKDGISHRLTEIGGSPESQIKALMGKLECSGESSLQNALELVHGYLDQVPSYGHKEVLFLYSALNTCDPGDIMETIAKCKKSKIRCSVIGLAAEIFICKYLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVQPFDEVSSVHPNRLGQKGGQKCYGCQQSFINPVLGSLFFETEGVLCNYQIVNLASMFAVQNATNTSALIAIFTSMRACTIAQVARVSVSTGQRVVEAIALLLRGGVRNVQCD >ONIVA04G16640.2 pep chromosome:AWHD00000000:4:18213795:18217464:-1 gene:ONIVA04G16640 transcript:ONIVA04G16640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:general transcription factor II H2 [Source:Projected from Arabidopsis thaliana (AT1G05055) TAIR;Acc:AT1G05055] MYGVGGGGGGFNAPYTASARRRNHEDDDDEEEEESGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAASAAARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKYAEVFIREFFDQNPLSHVGIVTMKDGISHRLTEIGGSPESQIKALMGKLECSGESSLQNALELVHGYLDQVPSYGHKEVLFLYSALNTCDPGDIMETIAKCKKSKIRCSVIGLAAEIFICKYLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVQPFDEVSSVHPNRLGQKGGQKCYGCQQSFINPVNLASMFAVQNATNTSALIAIFTSMRACTIAQVARVSVSTGQRVVEAIALLLRGGVRNVQCD >ONIVA04G16630.1 pep chromosome:AWHD00000000:4:18202861:18207428:-1 gene:ONIVA04G16630 transcript:ONIVA04G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAWRKAKRALGLGLCVHVPEAEEGEREDCSSERRRRLPAAASGARCRGEAAVTVGPESVPAPSDVPVLLPLPGVVRRSKSGSSRSSSKRKCAICFDSMRHGNGQALFTAECSHMFHFHCISSNVKHGNYFCPICRAKWKEIPFNRSLSSNIPHGRIGVSRARLTQQDANMALLHQVPNHHQRVRRPHTSEPADFNDDEPLQQPEVFDNLNVRSTKTAEINTYPEFSTIPQSSSKDDFAILIHLKAPSANPDQGTGKLANESSAGSSRNRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVVQHLGPSDRLSVIAFSSSARRLFHLQRISHHGRQQALQAINSLGASGGTNIADALKKAMKVIEDRSYKNSVCSIILLSDGQDTYNISSSVQGASPDYKSLVPSSIINDARHTVPLHAFGFGADHDSDSLHSIAQASGGTFSFIEDEGVMQDAFAQCIGGLLSIVIQEMRVSMECVHPGVQLSSIKSGSYPSKVARDGRNGSVDIGHLYADEERDILLSVNIPQSRHQTSALKVSCAYRDPVTGETIKIQGDEVKINRPTTSNISEHVSIEVDRERNRIQAAESIECARAAAEKGALSEAVAILEDCRRTLSQSFASRSGDRLSLSLDAELREMQERMANRQLYESSGRAYMLSGLSSHSWQRATARGDSTDSSTVIYSYQTPSMVEMLQHSQNHLPSPQGRQRPRPR >ONIVA04G16620.1 pep chromosome:AWHD00000000:4:18200484:18201139:1 gene:ONIVA04G16620 transcript:ONIVA04G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTTAGCLAWSWRGGRTRSQEVGGRAAASGAEVDGEGDGGAAVELAVQRPPEKTNEEGRKKIKKFHVIVHVACHVGKTTVKRDFGPE >ONIVA04G16610.1 pep chromosome:AWHD00000000:4:18198758:18199372:1 gene:ONIVA04G16610 transcript:ONIVA04G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSPRDDGRREVARAAGVAAALIAASLFCLFIALVLQSTSTSGGGGDGGVVREECGRAAYSAAVTVLSGLHPSNDLLHIGTLFPVFNLTVHVEVPPGGKAGGVCLGGHSVAAVVSYGGAFLGEGSVGRVCVEPQQQEGDVAATAWGRDVWMPWVLRRRLAEEMKRGEAELEVAVPMRGGDVLVCKAKIGGDLSPCTLEEASN >ONIVA04G16600.1 pep chromosome:AWHD00000000:4:18195577:18196929:1 gene:ONIVA04G16600 transcript:ONIVA04G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSLAVAATAVVALLLALPVALAEIKTTPIVADSRPVILFEEFGFKPGGVSAVSVRGVSWRVAEGSKLQAADPGLMGFILISNSLFFQINNESDYAEATGGAFCPLTSKYVLPLFRLKDIAPDGNGKGSVTIDDDDQYTVLFSSCQDGVEVTMEVRTEMYNVRPGGGRGVREYLPVGLLPLPGIFAAASAVYFVFLGAWAWACARHRATAGQIHAVMGALLLFKALKLACAAEDAWYVERTGTPHGWDVAFYVFGFFKGVLLFTVIVLIGTGWSFLKPYLQEREKKVLMIVIPLQVVENIASAVIGETGPAGRDWLAWNQIFLLVDVICCCAVFFPIIWSIRNLREASKTDGKAARNLKKLTLFKQFYLVVVGYLYFTRIAVSAFAAVLSYRYQWVVTVAMEAASLAFYIFVFYNFKPVENNPYLYVGEDEEEEASGQLEMEGTFEI >ONIVA04G16580.1 pep chromosome:AWHD00000000:4:18178547:18179725:-1 gene:ONIVA04G16580 transcript:ONIVA04G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRASQAAAPETAAAAESLREEETEDGWVFLAGRSRATRPPPPPPSPMARVVASGSSGGGGGQPFDPTAEDIVNRYLPLRRALRCDALPRQVHDADVYGAHPALLASVYPAANERFEWFFFVCRRRCPGGRRRAGPGDYRLSQEAKHRGNAFCHSFRYYEYEDAGGGFRETEWRMVEYGDRGRDAGAGGSEGFELVVCKVYPARGGALHERLGADRAVLATRHRADEDAKPQVLVQLYLASLRLGNPLACRVHRADDVFDAHPAVITAALPAANDRCEWFFAAVRPRGHAQGHGDGAPPRPRKAGPGAYVPVRECRVVDGRRGDMGCRLVFWYREDDEEARRASRRTEWWMDEYRFGPDFPYGELPAPMARGEDEELVVYKVYPRLVGNRR >ONIVA04G16570.1 pep chromosome:AWHD00000000:4:18175273:18178834:1 gene:ONIVA04G16570 transcript:ONIVA04G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASSSSSSSTPESRKMALAKAKETVASAPVVVYSKSYCPFCVRVKKLFEQLGATFKAIELDGESDGSELQSALAEWTGQRTVPNVFINGKHIGGCDDTLALNNEGKLVPLLTEAGAIASSAKTTITA >ONIVA04G16560.1 pep chromosome:AWHD00000000:4:18167419:18173983:1 gene:ONIVA04G16560 transcript:ONIVA04G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate/isopropylmalate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G14590) TAIR;Acc:AT5G14590] MLITCCQTLLPDCLILSRRRRLCLHQGHPSPLASRYAPFLSVPDFPYRGAAALSLFPPPSLPRAPTPPPPPLSCVVRVPHTRHGRTSSAPRLFDLVGGCAGAGLGGMRHLLLLRCGMAPLYGSSSSAAATKALLLNPAAAHAFVPSSPGPRALRRGGASLRCYAAAAAAVAEQHRIKVHNPIVEMDGDEMTRVIWKMIKDKLIFPYLELDVKYFDLGLLNRDATDDKVTVESAEATLEYNVAVKCATITPDETRVKEFKLKSMWRSPNGTIRNILNGTVFREPILCKNVPRILSGWKKPICIGRHAFGDQYRATDTIINGPGKLKMVFVPDGAEPVELNVYDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMSSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTKKLESACIETVESGKMTKDLALLIHGPKVTREFYLNTEEFIDAVAQQLREKIQIPAVV >ONIVA04G16560.2 pep chromosome:AWHD00000000:4:18167419:18173983:1 gene:ONIVA04G16560 transcript:ONIVA04G16560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate/isopropylmalate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G14590) TAIR;Acc:AT5G14590] MLITCCQTLLPDCLILSRRRRLCLHQGHPSPLASRYAPFLSVPDFPYRGAAALSLFPPPSLPRAPTPPPPPLSCVVRVPHTRHGRTSSAPRLFDLVGGCAGAGLGGMRHLLLLRCGMAPLYGSSSSAAATKALLLNPAAAHAFVPSSPGPRALRRGGASLRCYAAAAAAVAEQHRIKVHNPIVEMDGDEMTRVIWKMIKDKLIFPYLELDVKYFDLGLLNRDATDDKVTVESAEATLEYNVAVKCATITPDETRVKEFKLKSMWRSPNGTIRNILNGWKKPICIGRHAFGDQYRATDTIINGPGKLKMVFVPDGAEPVELNVYDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMSSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTKKLESACIETVESGKMTKDLALLIHGPKVTREFYLNTEEFIDAVAQQLREKIQIPAVV >ONIVA04G16550.1 pep chromosome:AWHD00000000:4:18164197:18167147:1 gene:ONIVA04G16550 transcript:ONIVA04G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGPGGNLFGRVLCYVLNEILVQVLANNRTFQRFAVRTNKSLENLSSKAKEVREELSEQWRNSRGNDDHFRQ >ONIVA04G16540.1 pep chromosome:AWHD00000000:4:18160183:18163066:-1 gene:ONIVA04G16540 transcript:ONIVA04G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT1G60790) TAIR;Acc:AT1G60790] MAGAWRKAWLSVLDRGGGSGAGGGGGGSSGSLHVHLHGLLSPSSSSSSLNGYKRGGGKHGGGGGCGGGHVMTSSKAVLACFSVALVVAFFYVSVASGPTADAASFPSPTGASSSSLLSWLSSNSTSAAALPRRSLPPHPPIPPAGGGADLRNTASRTQSGAEGSGLPAPAAEQTAKPRVSDLRSGAGNATVGDVDGDQRVGNGTRSRQQREETATPMPRWQRRDEEKNSTHHAIVAAPGNSTDAPAPPLNSTATPRAAAAAAATPSTPPERKADTHHSHRRGIRHKQQHHHQHPRRRKDTVLLAAAAARQEAPDRRDDGAAMPLPAATIINTSTVGDNRVVWTSGVQSGLVSFAKCDVFSGRWVRDDDEGGGAYPFYPPGSCPHIDDDFNCHKNGRADTGFLRWRWQPHGCDIPRLNPIDFLERLRGQRIIFVGDSLNRNMWESLVCILRHGVRDKRRMYEASGRNQFKTRGYYSFRFRDYNCSVDFIRSIFLVKEMINETKGGAVVDAKLRLDELDETTPAYRTADIVVFNTGHWWTHWKTSRGLNYYQEGNYVHPSLEVMDAYKRALTTWARWVDKNIDSTRTQVVFRGYSLTHFRGGQWNSGGRCHRETEPIFNRTHLAEYPEKMRILEQVLGRMRTPVIYLNISAMTDYRKDAHPSVYRMRYETEEERMAAVAKQDCSHWCLPGVPDSWNELLYASLLQAGRGSWRL >ONIVA04G16530.1 pep chromosome:AWHD00000000:4:18158824:18159083:-1 gene:ONIVA04G16530 transcript:ONIVA04G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSFLNWSLAIALHPPPPAALKNHVHVQAIHLPWLVVLLQRNLLQRTQNLHGSILNWTAQHA >ONIVA04G16520.1 pep chromosome:AWHD00000000:4:18157506:18159614:1 gene:ONIVA04G16520 transcript:ONIVA04G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREEQPQCEVQQMSTWHSFYFHCPFSTKHAALSNLKCYHADSGFFAIDFSGEVPADISNLVKQEEEDEEQWLSSSSRSSSTPWCLSGLLGGRLRYDQTGKSQNGNEISR >ONIVA04G16510.1 pep chromosome:AWHD00000000:4:18152767:18155007:1 gene:ONIVA04G16510 transcript:ONIVA04G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63010) TAIR;Acc:AT5G63010] MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQGGERQDRAGSVSLFAVDAGEEDAPRRLRLLHTVETAGVFDMKWSPVAPLLAQADAHGRLALWRLEQEDGSDKGAVLRDVCSGDISSSMCLFVDWNQTAEALSVGLSDGSLSVVSMREDRLEVSEQWTAHQYEVWTCYFDRANPHLLYSGSDDCSFSCWDLRQNPSNAVFQNKKSHNMGVCCIAQNPLEGNMLLTGSYDEFLRVWDIRSMVKPVNVKSLNLGGGVWRIKYHPLIADVVLAACMHNGFAIVKVGTGDAAVVETYCKHESLAYGADWQISEGAEQNKNFSVVATCSFYDRLLRVWQPENLGNL >ONIVA04G16500.1 pep chromosome:AWHD00000000:4:18150884:18152776:-1 gene:ONIVA04G16500 transcript:ONIVA04G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G23360) TAIR;Acc:AT1G23360] MATLAAAISAGPAAPAPAARRRRRGCAAVSCGSSSAADERQALFSRIAPVYDHLNDVLSLGQHRTWKRICVSWSRARRGDRVLDLCCGSGDLAFLLSQKVGLDGQVMAVDFSSQQLQTAASRQDQRWKPCYKNIKWIEGDALDLPFTDCYFDAVTVGYGLRNVVDKPKAMREIFRVLKPGSRASILDFNKSSSLFTTSLQSWMIDNVVVPLASGYGLTEEYKYLKSSILHYLTEKELEELAKEAGFSAAKHYELGGGLMGDLVATR >ONIVA04G16500.2 pep chromosome:AWHD00000000:4:18150884:18152776:-1 gene:ONIVA04G16500 transcript:ONIVA04G16500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G23360) TAIR;Acc:AT1G23360] MATLAAAISAGPAAPAPAARRRRRGCAAVSCGSSSAADERQALFSRIAPVYDHLNDVLSLGQHRTWKRICVSWSRARRGDRVLDLCCGSGDLAFLLSQKVGLDGQVMAVDFSSQQLQTAASRQDQRWKPCYKNIKWIEGDALDLPFTDCYFDAVTVGYGLRNVVDKPKAMREIFRSWMIDNVVVPLASGYGLTEEYKYLKSSILHYLTEKELEELAKEAGFSAAKHYELGGGLMGDLVATR >ONIVA04G16490.1 pep chromosome:AWHD00000000:4:18146574:18150380:1 gene:ONIVA04G16490 transcript:ONIVA04G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSLVGFLMAAVATLLVAAATPAAAAPRAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDFPTHMPTGRFSNGLNIPDIISEYLGSQPALPYLSPDLRGENLLVGANFASAGVGILNDTGIQFVNIIRIGQQLDNFENYQRNLAAFVGEDAARQVVQQSLVLITLGGNDFVNNYYLVPFSVRSRQFAIQDYVPYLISEYRKILTRLHDLGPRRVIVTGTGMIGCVPAELAMHSIDGECATDLTRAADLFNPQLERMLAELNSELGGHVFIAANTNKISFDFMFNPQDYGFVTAKVACCGQGPYNGIGLCTPASNVCANRDVYAYWDAFHPTERANRLIVAQIMHGSTDHISPMNLSTILAMDERRN >ONIVA04G16480.1 pep chromosome:AWHD00000000:4:18141482:18143113:-1 gene:ONIVA04G16480 transcript:ONIVA04G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSLWAVPLPREQPCRLKSLSKQFLVSGDIPIFTAGCSLSGYRRSARRLMGPEMREEVLQQAIRQITELVLLHGGPVTGSTAFVDAGAWVPEYIYDMPFCGHKRRVGLQLFTTVALPACLCCCYWNVGWRKKIR >ONIVA04G16470.1 pep chromosome:AWHD00000000:4:18125457:18139319:-1 gene:ONIVA04G16470 transcript:ONIVA04G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSHLKPPQPPWHSCLAALTLPFSFLQIRPLPSPPPPRLASPLASGLETLGLVARGVVGGGGGEMEAALEAARAKDTKERLAGVERLHEALDAAARQRGLTAGEVTALVDTCMDLIRDANFRVAQGGLQALSAAAVVAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLMNDSNQSVRDATIYCIEEMYTHMGSQFHEELQRHNLPPYMLREINSRLERIEPKVPTSDGNIMQYKAVESRSVSVNPKRGSPRTKSTPRESTLFGGDTDITEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAELFIPMLFKLVVITVLVIAESADTCIKTILRNCKVSRILPRIADTAKNDRSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARSCYRLFAKTWPERSRRLFMSFDPAIQRTINDEDGGVHKRYASPSLRERVVQPSRSLSHASGTSALGYGTSAIVAMDKTAAISSDSSFSSNTLRLSQSKTVGRSSERSLESVLNSSKEKVSAIESLLKGVSISDRQNISATRSTSLDLGVDPPSSRDPPVPLAATASNHLSLQNSALLDSSVPSTINASARNGGSRLLESMTTQLGTRERSRSPYLGNISSESMTSLSLPFPRRSLERPQEGGRMDEGSDIRSTRRFPQTQNYVDMPYRDAIHRDSHNNHVPNFQRPLLRKQVMSRASASIRHSFDDSQVQSGDVSGYTDALTSLSDALSEGLSPSSDWVVRVSAFEFIRNLLHQGQRGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAELIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDVVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSEGYSNSGFLKLWLSKLAPLVHEKNAKLKEASISGIISVYSHFDSTAVLNFILNLSVEEQNLLRRALKQYTPRIEVDLVNYLQSKKDRPRPKSYDQADYGTSSEDGYALASKKSYPFGRYSSSSLDAEGGKWMNSVQESTPRNAPMARTTSDMSIDHTSQSIELDTGSEVLLTRSRESKNNTSSLVETARSWPNYPEKTDAPLDDETAISTPRLDLSHRAASDGHNAVGSTAEENVQEGDIAVKLSSIKTTLHADNELSIPQLLHQISNGTEVSSLEKREALQQLVKASVDNDISIWAKYFNQILTAVLEVLDDSDSSTREIALSLVAEMLNNQSGAMEESIEIVLEKLLHVTKDMVAKISNEANQCLNVLLAKYDPFRCLAVVVPLLVSDDEKTLVVCINSLTKISNEANQCLNVLLAKYDPFRCLAVVVPLLVSDDEKTLVVCINSLTKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANQ >ONIVA04G16470.2 pep chromosome:AWHD00000000:4:18125457:18139319:-1 gene:ONIVA04G16470 transcript:ONIVA04G16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSHLKPPQPPWHSCLAALTLPFSFLQIRPLPSPPPPRLASPLASGLETLGLVARGVVGGGGGEMEAALEAARAKDTKERLAGVERLHEALDAAARQRGLTAGEVTALVDTCMDLIRDANFRVAQGGLQALSAAAVVAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLMNDSNQSVRDATIYCIEEMYTHMGSQFHEELQRHNLPPYMLREINSRLERIEPKVPTSDGNIMQYKAVESRSVSVNPKRGSPRTKSTPRESTLFGGDTDITEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAELFIPMLFKLVVITVLVIAESADTCIKTILRNCKVSRILPRIADTAKNDRSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARSCYRLFAKTWPERSRRLFMSFDPAIQRTINDEDGGVHKRYASPSLRERVVQPSRSLSHASGTSALGYGTSAIVAMDKTAAISSDSSFSSNTLRLSQSKTVGRSSERSLESVLNSSKEKVSAIESLLKGVSISDRQNISATRSTSLDLGVDPPSSRDPPVPLAATASNHLSLQNSALLDSSVPSTINASARNGGSRLLESMTTQLGTRERSRSPYLGNISSESMTSLSLPFPRRSLERPQEGGRMDEGSDIRSTRRFPQTQNYVDMPYRDAIHRDSHNNHVPNFQRPLLRKQVMSRASASIRHSFDDSQVQSGDVSGYTDALTSLSDALSEGLSPSSDWVVRVSAFEFIRNLLHQGQRGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAELIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDVVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSEGYSNSGFLKLWLSKLAPLVHEKNAKLKEASISGIISVYSHFDSTAVLNFILNLSVEEQNLLRRALKQYTPRIEVDLVNYLQSKKDRPRPKSYDQADYGTSSEDGYALASKKSYPFGRYSSSSLDAEGGKWMNSVQESTPRNAPMARTTSDMSIDHTSQSIELDTGSEVLLTRSRESKNNTSSLVETARSWPNYPEKTDAPLDDETAISTPRLDLSHRAASDGHNAVGSTAEENVQEGDIAVKLSSIKTTLHADNELSIPQLLHQISNGTEVSSLEKREALQQLVKASVDNDISIWAKYFNQILTAVLEVLDDSDSSTREIALSLVAEMLNNQSGAMEESIEIVLEKLLHVTKDMVAKISNEANQCLNVLLAKYDPFRCLAVVVPLLVSDDEKTLVVCINSLTKLVGRLSEEELMNQLPTFFASATVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANQ >ONIVA04G16470.3 pep chromosome:AWHD00000000:4:18125457:18139319:-1 gene:ONIVA04G16470 transcript:ONIVA04G16470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSHLKPPQPPWHSCLAALTLPFSFLQIRPLPSPPPPRLASPLASGLETLGLVARGVVGGGGGEMEAALEAARAKDTKERLAGVERLHEALDAAARQRGLTAGEVTALVDTCMDLIRDANFRVAQGGLQALSAAAVVAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLMNDSNQSVRDATIYCIEEMYTHMGSQFHEELQRHNLPPYMLREINSRLERIEPKVPTSDGNIMQYKAVESRSVSVNPKRGSPRTKSTPRESTLFGGDTDITEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAELFIPMLFKLVVITVLVIAESADTCIKTILRNCKVSRILPRIADTAKNDRSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARSCYRLFAKTWPERSRRLFMSFDPAIQRTINDEDGGVHKRYASPSLRERVVQPSRSLSHASGTSALGYGTSAIVAMDKTAAISSDSSFSSNTLRLSQSKTVGRSSERSLESVLNSSKEKVSAIESLLKGVSISDRQNISATRSTSLDLGVDPPSSRDPPVPLAATASNHLSLQNSALLDSSVPSTINASARNGGSRLLESMTTQLGTRERSRSPYLGNISSESMTSLSLPFPRRSLERPQEGGRMDEGSDIRSTRRFPQTQNYVDMPYRDAIHRDSHNNHVPNFQRPLLRKQVMSRASASIRHSFDDSQVQSGDVSGYTDALTSLSDALSEGLSPSSDWVVRVSAFEFIRNLLHQGQRGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAELIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDVVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSEGYSNSGFLKLWLSKLAPLVHEKNAKLKEASISGIISVYSHFDSTAVLNFILNLSVEEQNLLRRALKQYTPRIEVDLVNYLQSKKDRPRPKSYDQADYGTSSEDGYALASKKSYPFGRYSSSSLDAEGGKWMNSVQESTPRNAPMARTTSDMSIDHTSQSIELDTGSEVLLTRSRESKNNTSSLVETARSWPNYPEKTDAPLDDETAISTPRLDLSHRAASDGHNAVGSTAEENVQEGDIAVKLSSIKTTLHADNELSIPQLLHQISNGTEVSSLEKREALQQLVKASVDNDISIWAKYFNQILTAVLEVLDDSDSSTREIALSLVAEMLNNQSGAMEESIEIVLEKLLHVTKDMVAKISNEANQCLNVLLAKYDPFRCLAVVVPLLVSDDEKTLVVCINSLTKLVGRLSEEELMNQLPTFFASATVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANQ >ONIVA04G16470.4 pep chromosome:AWHD00000000:4:18125457:18139319:-1 gene:ONIVA04G16470 transcript:ONIVA04G16470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSHLKPPQPPWHSCLAALTLPFSFLQIRPLPSPPPPRLASPLASGLETLGLVARGVVGGGGGEMEAALEAARAKDTKERLAGVERLHEALDAAARQRGLTAGEVTALVDTCMDLIRDANFRVAQGGLQALSAAAVVAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLMNDSNQSVRDATIYCIEEMYTHMGSQFHEELQRHNLPPYMLREINSRLERIEPKVPTSDGNIMQYKAVESRSVSVNPKRGSPRTKSTPRESTLFGGDTDITEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAELFIPMLFKLVVITVLVIAESADTCIKTILRNCKVSRILPRIADTAKNDRSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARSCYRLFAKTWPERSRRLFMSFDPAIQRTINDEDGGVHKRYASPSLRERVVQPSRSLSHASGTSALGYGTSAIVAMDKTAAISSDSSFSSNTLRLSQSKTVGRSSERSLESVLNSSKEKVSAIESLLKGVSISDRQNISATRSTSLDLGVDPPSSRDPPVPLAATASNHLSLQNSALLDSSVPSTINASARNGGSRLLESMTTQLGTRERSRSPYLGNISSESMTSLSLPFPRRSLERPQEGGRMDEGSDIRSTRRFPQTQNYVDMPYRDAIHRDSHNNHVPNFQRPLLRKQVMSRASASIRHSFDDSQVQSGDVSGYTDALTSLSDALSEGLSPSSDWVVRVSAFEFIRNLLHQGQRGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAELIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDVVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSEGYSNSGFLKLWLSKLAPLVHEKNAKLKEASISGIISVYSHFDSTAVLNFILNLSVEEQNLLRRALKQYTPRIEVDLVNYLQSKKDRPRPKSYDQADYGTSSEDGYALASKKSYPFGRYSSSSLDAEGGKWMNSVQESTPRNAPMARTTSDMSIDHTSQSIELDTGSEVLLTRSRESKNNTSSLVETARSWPNYPEKTDAPLDDETAISTPRLDLSHRAASDGHNAVGSTAEENVQEGDIAVKLSSIKTTLHADNELSIPQLLHQISNGTEVSSLEKREALQQLVKASVDNDISIWAKYFNQILTAVLEVLDDSDSSTREIALSLVAEMLNNQSGAMEESIEIVLEKLLHISNEANQCLNVLLAKYDPFRCLAVVVPLLVSDDEKTLVVCINSLTKLVGRLSEEELMNQLPTFFASATVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANQ >ONIVA04G16470.5 pep chromosome:AWHD00000000:4:18125457:18139319:-1 gene:ONIVA04G16470 transcript:ONIVA04G16470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSHLKPPQPPWHSCLAALTLPFSFLQIRPLPSPPPPRLASPLASGLETLGLVARGVVGGGGGEMEAALEAARAKDTKERLAGVERLHEALDAAARQRGLTAGEVTALVDTCMDLIRDANFRVAQGGLQALSAAAVVAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLMNDSNQSVRDATIYCIEEMYTHMGSQFHEELQRHNLPPYMLREINSRLERIEPKVPTSDGNIMQYKAVESRSVSVNPKRGSPRTKSTPRESTLFGGDTDITEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAELFIPMLFKLVVITVLVIAESADTCIKTILRNCKVSRILPRIADTAKNDRSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARSCYRLFAKTWPERSRRLFMSFDPAIQRTINDEDGGVHKRYASPSLRERVVQPSRSLSHASGTSALGYGTSAIVAMDKTAAISSDSSFSSNTLRLSQSKTVGRSSERSLESVLNSSKEKVSAIESLLKGVSISDRQNISATRSTSLDLGVDPPSSRDPPVPLAATASNHLSLQNSALLDSSVPSTINASARNGGSRLLESMTTQLGTRERSRSPYLGNISSESMTSLSLPFPRRSLERPQEGGRMDEGSDIRSTRRFPQTQNYVDMPYRDAIHRDSHNNHVPNFQRPLLRKQVMSRASASIRHSFDDSQVQSGDVSGYTDALTSLSDALSEGLSPSSDWVVRVSAFEFIRNLLHQGQRGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAELIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDVVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSEGYSNSGFLKLWLSKLAPLVHEKNAKLKEASISGIISVYSHFDSTAVLNFILNLSVEEQNLLRRALKQYTPRIEVDLVNYLQSKKDRPRPKSYDQADYGTSSEDGYALASKKSYPFGRYSSSSLDAEGGKWMNSVQESTPRNAPMARTTSDMSIDHTSQSIELDTGSEVLLTRSRESKNNTSSLVETARSWPNYPEKTDAPLDDETAISTPRLDLSHRAASDGHNAVGSTAEENVQEGDIAVKLSSIKTTLHADNELSIPQLLHQISNGTEVSSLEKREALQQLVKASVDNDISIWAKYFNQILTAVLEVLDDSDSSTREIALSLVAEMLNNQSGAMEESIEIVLEKLLHVTKDMVAKISNEANQCLNVLLAKYDPFRCLAVVVPLLVSDDEKTLVVCINSLTKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANQ >ONIVA04G16460.1 pep chromosome:AWHD00000000:4:18122078:18123525:-1 gene:ONIVA04G16460 transcript:ONIVA04G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLAFTARRGDPELVAPAGPTPRGLRRLSDIDDQRSFRFYRSIIYFYRSGGGDPARVIRGALAAALVHYYPIAGRIRELPGGKLVVDCTGEGVSFVEADADVSLEEFGDSLCPPIPCAGELLTLPESNSAVVTDRPLLYVQVTRLRCGGFVFGTQICHNLVDAVGTTQLFQAVGELVQGAAAPSVRPVWARELLDARHPPRPAYDHPEYEPASDEASDKLRPGDELAHRRFLFGPDDAPVLAVPSPLRVHVALPHRRARVRARDEVRFMFLVNGRGRGRGGTPLPEGFYGNALTFGVARTTAGELCSGPLSRAVELIAAARARTMADGYAQSAADAVVLRGRRRFTTARTYLVTDLTKSPLHEVDLGWGRPLFGGPATTTLATFHMPARGGGIAVPMCLPPRAMERFAGAVRAGLAAGVPRAAEEAAALSKM >ONIVA04G16450.1 pep chromosome:AWHD00000000:4:18115750:18117061:-1 gene:ONIVA04G16450 transcript:ONIVA04G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPPRSLTSVSLRTPLSPLLFLRPASCNPSAVSGSCSSGACRGVRCSAANKPSPSTAPGTEVSSTSMAKIRSEVLSPFRSVRMFFYLAFMASAGLGALIALTQLIPALSSPARAAAAGETLKGLGIDVAAVSVFAFLYWRESKAKDAQVAKLTREENLSRLRIRAGEGRPPVPLGELRGTARLVIVAGPAAFVTESFRRSKPFLKDLMERGVLVVPFSTDGNAPDLQFDEADEEEEEAAAAAGKMKRRLWQLTPVYTSEWAKWLDEQKKLANVSPDSPVYLSLRLDGRVRGSGVGYPPWQAFVAQLPPVKGMWSGLLDGMDGRVL >ONIVA04G16440.1 pep chromosome:AWHD00000000:4:18098668:18114946:-1 gene:ONIVA04G16440 transcript:ONIVA04G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 1 [Source:Projected from Arabidopsis thaliana (AT3G24320) TAIR;Acc:AT3G24320] MAIQRLLASSLVAATPRWLPVAADSFLRRRHRPRCSPLPALLFNRRSWSKPRKVSRSISMVSRKMNKQGDLCNEGMLPHILWWKEKMERCRKPSSMQLTQRLVYSNILGLDPTLRNGSLKDGSLNTEMLQFKSKFPREVLLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVMCIVEEIQGPTQARARKGRFISGHAHPGSPYVFGLAEVDHDVEFPDPMPVVGISRSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECSGKSFEWFDGNPIEELLCKTVFRNVSVSLEGRPQPLYLGTATQIGVIPTEGIPSLLKIVLPPNFGGLPSLYIRDLLLNPPSFDVASSVQEACRLMGSITCSIPEFTCIPAAKLVKLLESKEVNHIEFCRIKNVLDEVLFMGSNAELSAILNKLLDPAAIVTGFKVEADILVNECSFISQRIAEVISLGGESDQAITSSEYIPKEFFNDMESSWKGRVKRVHAEEEFSNVDIAAEALSTAVIEDFLPIISRVKSVMSSNGSSKGEISYAKEHESVWFKGRRFTPNVWANTPGELQIKQLKPAIDSKGRKVGEEWFTTIKVENALTRYHEACDNAKRKVLELLRGLSSELQDKINVLVFCSTMLIITKALFGHVSEGRRRGWVLPTISPLCKDNVTEEISSEMELSGTFPYWLDTNQGNAILNDVHMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVCRATARSLVLIDEICRGTETAKGTCIAGSIIERLDNVGCIGIISTHLHGIFDLPLSLHNTDFKAMGTEIIDRCIQPTWKLMDGICRESLAFQTARKEGMPDLIIRRAEELYLAMSTNSKQTSSAVHHEISIANSTVNSLVEKPNYLRNGLELQSGSFGLLRKEIESVVTTICKKKLLDLYNKRSISELIEVVCVAVGAREQPPPSTVGRSSIYVIIRRDSKLYIGQTDDLVGRLSAHRSKEGMQDATILYILVPGKSIACQLETLLINQLPLKGFKLINKADGKHRNFDSLGAIALLRE >ONIVA04G16430.1 pep chromosome:AWHD00000000:4:18089979:18095772:-1 gene:ONIVA04G16430 transcript:ONIVA04G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTRDCVRRSRANKNTHSFTKAGRGSRVHKSRQDVNRTVDPKIGCVEDKLVVASTRNSSSNKSTISPMNRLVAMEMSKGVESKRKPPSVVARLMGLDDDLPAKEPALQSSRRNLRRSHSLDNLAATNRPQQQQEQHYSRTTPNIHIGPKETVEFKDVYEVSEDPLKRHHILGQNFPWERSSGNKSDTRIEAVRQKFMEAKRLATNENLIHSKQFQEALEVLSSNRELFLKFLEEPSPAFLKQLDGLDTTPAPPPMKRITVLKPIKSVENNGIRETRTHQVINEENELVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPNRTGARLTARAAPSEQTRRIDFHGGLQDDASILGSRELLHGSVQHMPESRHRRDESLISSTYSNGYGGDESSFSGSEVDYIDEGGSPSDSDAVSPMSRHSWDYIRRHNSPHSASTFSRAHSHSPESSVIREAKKRLSERWAMVSYNEINQEQVPLRRSSTTLGEMLSLQVAKKEEAVAGIISVSSNRSSGTENELAMKDACKSTLREYDENGKSSPRNLAKSKSVPVSSSIFDNVAVNAQSANSEGTPKVFTKSGRAKLSFTGKISSFFFPGNKRPTKEKTSLSSDSSGEIFGCIGHMVPQSDHNLGPDEQMAFCKDEADNSTNHAPCSTKDAGSIEVPVSSDCVSGDVDEVKSNGDLKSIHDETSPTSILDTVFEDSNSNEPESSRRTSCTERVALRCPAIDSVARSFSWEDTNSGSPLLGGLKHSNVDDADYDDDELKCYSLVQEIVSSAGLCHLQLSMVFTGWYLPESPLDPALCDKFLDRKEEDAKSRERRSHQKLIFDCVNMALVEIGQDTLLCSYPWSRACLRTWREKLSETLGEEVWNIVSDWLYGDGSFAANKDDNAGIILERIMQEEVEGKGWIKLLTMETDEITEQIASEVLEDIVTDSVEHLSICCSEHGISMLVANL >ONIVA04G16430.2 pep chromosome:AWHD00000000:4:18089979:18095035:-1 gene:ONIVA04G16430 transcript:ONIVA04G16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCHLMRTTAIRSRVHKSRQDVNRTVDPKIGCVEDKLVVASTRNSSSNKSTISPMNRLVAMEMSKGVESKRKPPSVVARLMGLDDDLPAKEPALQSSRRNLRRSHSLDNLAATNRPQQQQEQHYSRTTPNIHIGPKETVEFKDVYEVSEDPLKRHHILGQNFPWERSSGNKSDTRIEAVRQKFMEAKRLATNENLIHSKQFQEALEVLSSNRELFLKFLEEPSPAFLKQLDGLDTTPAPPPMKRITVLKPIKSVENNGIRETRTHQVINEENELVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPNRTGARLTARAAPSEQTRRIDFHGGLQDDASILGSRELLHGSVQHMPESRHRRDESLISSTYSNGYGGDESSFSGSEVDYIDEGGSPSDSDAVSPMSRHSWDYIRRHNSPHSASTFSRAHSHSPESSVIREAKKRLSERWAMVSYNEINQEQVPLRRSSTTLGEMLSLQVAKKEEAVAGIISVSSNRSSGTENELAMKDACKSTLREYDENGKSSPRNLAKSKSVPVSSSIFDNVAVNAQSANSEGTPKVFTKSGRAKLSFTGKISSFFFPGNKRPTKEKTSLSSDSSGEIFGCIGHMVPQSDHNLGPDEQMAFCKDEADNSTNHAPCSTKDAGSIEVPVSSDCVSGDVDEVKSNGDLKSIHDETSPTSILDTVFEDSNSNEPESSRRTSCTERVALRCPAIDSVARSFSWEDTNSGSPLLGGLKHSNVDDADYDDDELKCYSLVQEIVSSAGLCHLQLSMVFTGWYLPESPLDPALCDKFLDRKEEDAKSRERRSHQKLIFDCVNMALVEIGQDTLLCSYPWSRACLRTWREKLSETLGEEVWNIVSDWLYGDGSFAANKDDNAGIILERIMQEEVEGKGWIKLLTMETDEITEQIASEVLEDIVTDSVEHLSICCSEHGISMLVANL >ONIVA04G16430.3 pep chromosome:AWHD00000000:4:18089979:18093687:-1 gene:ONIVA04G16430 transcript:ONIVA04G16430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKNVLTDRTHRDGSRVHKSRQDVNRTVDPKIGCVEDKLVVASTRNSSSNKSTISPMNRLVAMEMSKGVESKRKPPSVVARLMGLDDDLPAKEPALQSSRRNLRRSHSLDNLAATNRPQQQQEQHYSRTTPNIHIGPKETVEFKDVYEVSEDPLKRHHILGQNFPWERSSGNKSDTRIEAVRQKFMEAKRLATNENLIHSKQFQEALEVLSSNRELFLKFLEEPSPAFLKQLDGLDTTPAPPPMKRITVLKPIKSVENNGIRETRTHQVINEENELVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPNRTGARLTARAAPSEQTRRIDFHGGLQDDASILGSRELLHGSVQHMPESRHRRDESLISSTYSNGYGGDESSFSGSEVDYIDEGGSPSDSDAVSPMSRHSWDYIRRHNSPHSASTFSRAHSHSPESSVIREAKKRLSERWAMVSYNEINQEQVPLRRSSTTLGEMLSLQVAKKEEAVAGIISVSSNRSSGTENELAMKDACKSTLREYDENGKSSPRNLAKSKSVPVSSSIFDNVAVNAQSANSEGTPKVFTKSGRAKLSFTGKISSFFFPGNKRPTKEKTSLSSDSSGEIFGCIGHMVPQSDHNLGPDEQMAFCKDEADNSTNHAPCSTKDAGSIEVPVSSDCVSGDVDEVKSNGDLKSIHDETSPTSILDTVFEDSNSNEPESSRRTSCTERVALRCPAIDSVARSFSWEDTNSGSPLLGGLKHSNVDDADYDDDELKCYSLVQEIVSSAGLCHLQLSMVFTGWYLPESPLDPALCDKFLDRKEEDAKSRERRSHQKLIFDCVNMALVEIGQDTLLCSYPWSRACLRTWREKLSETLGEEVWNIVSDWLYGDGSFAANKDDNAGIILERIMQEEVEGKGWIKLLTMETDEITEQIASEVLEDIVTDSVEHLSICCSEHGISMLVANL >ONIVA04G16420.1 pep chromosome:AWHD00000000:4:18082573:18083817:1 gene:ONIVA04G16420 transcript:ONIVA04G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPTIVACALSPLILQRRAVLGGSLLGEMKRRHWSHPSCGLLLLVAVFCLLLVFRCSQLRHSGDGAAAAAPDGGAGRNDGDDVDERLVELAAVDPAAMAVLQAAKRLLEGNLARAPERHRDVALRGLREWVGKQERFDPGVMSELVELIKRPIDRYNGDGGGGGEGEGRRYASCAVVGNSGILLAAEHGELIDGHELVVRLNNAPAGDGRYARHVGARTGLAFLNSNVLSQCAVPRRGACFCRAYGEGVPILTYMCNAAHFVEHAVCNNASSSSSGAADATAAAPVIVTDPRLDALCARIVKYYSLRRFARETGRPAEEWARRHEEGMFHYSSGMQAVVAAAGVCDRVSVFGFGKDASARHHYHTLQRRELDLHDYEAEYEFYRDLESRPEAIPFLRQRDSGFRLPPVSFYR >ONIVA04G16410.1 pep chromosome:AWHD00000000:4:18076755:18079136:1 gene:ONIVA04G16410 transcript:ONIVA04G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H2X3] MALLFVPFLFSLLITTFPPAATDTVTAGRPLAGGDKLVSGNENPLADGGASWQLAISGDGNLVISNRANNNSMTAAAWSSQANTTTSNNTVAVLLNSGNLVLSDASNSSIIFWESFSHMTDTFLPGAKMGWNKATGFTHGLVSSKNSGDLSPGVYSATPSSDFANPGLFLAWNSSVVYWSTGPWNGDYFSNTPELTARALFTFDFVSNDHEEYFTYRLRNDTMVTRYVLAASGQAKNMIWSSVSEDWVTFYAKPGAQCDVYAVCGAFALCREDMLPFCNCMEGFSIRSPQDWELGDQTGGCVRNVPLNCGVTDRFYAMSDVRFPANAKNMEAGTADGCKQACLNDCSCTAYSYNGSCNVWSDGLFNVARQYNYNQSSSGGILYLRLAAEDDVSESSKHTRGLIIGVVAVASVLILSLFTIVIMFVRRNKRNCSSVGRIICGTVAFRYKDLQHATKNFSERLGGGSFGSVFKGVLTDSTVIAVKRLDGARQGEKEFRAEVRSIGIIQHINLVRLIGFCCEGSNRLLVYEYMPNGSLDSNLSGSKVASLDWSTRYKIALGVARGLAYMHGNCLDCIIHCDIKPQNILLDASFVPKIADFGMSKLMGRDFSQVLTTVRGTIGYLAPEWISGMAISSKVDVYSYGMVLLEIVFGRRNFRGECTSNATYFPVQVVGKLLQGNVQCLLDQNIQSDINSEEVERACRVACWCIQDDELNRPTMAQVVHILEGVLEVDMPPMPKLLQAISGNMDSTKT >ONIVA04G16400.1 pep chromosome:AWHD00000000:4:18060715:18064513:-1 gene:ONIVA04G16400 transcript:ONIVA04G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein [Source:Projected from Arabidopsis thaliana (AT2G33205) TAIR;Acc:AT2G33205] MIKVQGPVVLYQDGVHEAARRRRSLRARYAYGLIFFATNLLAWFVRDYGAKLLRGLHHVPVCGAGDSKCFQSGGVLRIFFWVMFATTFGTRKLHEVRNSWHSGCWILKFLVYAVSIIIPFIVPNIFIQLYGEIARMGAGIFLILQLISMSHFISWCNKRWMPDSQSNQCGLFGLFLSTISFIASFAGIAVLYVLYVPNSSCAFNIFTITWTATLVAVMMAVSLHSKVNEGLLSSGIMGLYIVFLCWSALHSEPQTGKCHTRLIFTNDGDWATIVSFIIAICAIVMATFSTGIDTRSFQFRNDEDQLEDDVPYSYEIFHIVFAMGAMYFAMLFINWELNHPTRKWSIDVGWVSTWVKIINEWFAASIYVWRLISPVILRKQAANNEELVPRTLIVQCSR >ONIVA04G16390.1 pep chromosome:AWHD00000000:4:18038559:18042044:-1 gene:ONIVA04G16390 transcript:ONIVA04G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAAARTPWALQLGVALAFLLATTCHGLNHEGWLLLTLRKQIIDTFHHLDDWNPEDPSPCGWKGVNCSSGSTPAVVSLNLSNMNLSGTVDPSIGGLAELTNLDLSFNGFSGTIPAEIGNCSKLTGLNLNNNQFQGTIPAELGKLAMMITFNLCNNKLFGAIPDEIGNMASLEDLVGYSNNLSGSIPHTIGRLKNLKTVRLGQNAISGNIPVEIGECLNLVVFGLAQNKLGGPLPKEIGKLTNMTDLILWGNQLSSVIPPEIGNCINLRTIALYDNNLVGPIPATIGNIQNLQRLYLYRNLLNGTIPLEIGNLSLAEEIDFSENVLTGGVPKEFGKIPRLYLLYLFQNQLTGPIPTELCVLRNLSKLDLSINTLSGPIPACFQYMSRLIQLQLFNNMLSGDIPPRFGIYSRLWVVDFSNNNITGQIPRDLCRQSNLILLNLGANKLIGNIPHGITSCKSLVQLRLADNSLTGSFPTDLCNLVNLTTIELGRNKFNGPIPPQIGNCKSLQRLDLTNNYFTSELPQEIGNLSKLVVFNISSNRLGGSIPLEIFNCTMLQRLDLSQNSFEGSLPNEVGSLPQLELLSFADNRLSGEIPPILGKLSHLTALQIGGNQFSGGIPKELGLLSSLQIAMNLSYNNLSGNIPSELGNLALLENLFLNNNKLTGEIPDTFANLSSLLEFNVSYNNLTGALPTIPLFDNMASTSFLGNKGLCGGQLGKCGSESVSSSQSSNSGSPPLGKVIAIVAAVIGGISLILIVIIVYHMRKPLETVAPLQDKQIFSAGSNMQVSTKDAYTFQELVSATNNFDESCVIGRGACGTVYRAILKAGQTIAVKKLASNREGSNTDNSFRAEILTLGKIRHRNIVKLYGFIYHQGSNLLLYEYMPRGSLGELLHGQSSSSLDWETRFMIALGSAEGLSYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPEYAYTMKVTEKSDIYSYGVVLLELLTGRAPVQPLELGGDLVTWVKNYIRDNSLGPGILDKNLNLEDKTSVDHMIEVLKIALLCTSMSPYDRPPMRNVVVMLSESRDRARMSSSSSPASDHSSKKDNL >ONIVA04G16380.1 pep chromosome:AWHD00000000:4:18036244:18037701:-1 gene:ONIVA04G16380 transcript:ONIVA04G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H2X0] MAAEGEEARSPHVVLFPFLAHGHIPAFLRLAGHLQTLRPGLAVTLVSTPRLLGSLSLPATSPPIRLHALPFAPAVHGLPDGAESLADLHVHQFITLFRASESLRPAFDGFVAGIRPPVCVIADSFFAWTADVARARGASHAVFLPGGAFGHAVFFSVWEHLPHTLTAGGDEFPLLPDFPDVVLHRTQIPQYMLAATGVDPWTAFFRRVIPCCRKTDAVLVNTIQELETSGLDMLRASFGVQTWAIGPILAAPDPSKSQDDDDTSIIRWLDAHPRRSVLYISFGSQNSISIRQMAELALGLEASGRPFVWAVRPPVGFDPKDGFDPGWLPAGFEDRMARAGRGLVVRGWAPQARILAQPSTGAFLTHCGWNSILESLRHGVPLLGWPVGAEQFFNAMVVVEWGVCVEVARGNLESSAVESGEVAEAVGAVMGETEKGEAMRRKAGEIARAMAAAWEGPAGSSAASLERFLRCVEASALRDSCLGAS >ONIVA04G16370.1 pep chromosome:AWHD00000000:4:18034535:18035964:-1 gene:ONIVA04G16370 transcript:ONIVA04G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGEDLDRHGGEEGSTRSNKSEGKVQSQPKEMGSAIIALMEDMELPPPSPLIEDEAVSMPLLSSKTTTDHHCRQVQGRIGEEVSLLLMFDKFETPRSSAIGFGIDRAWRHHLQVPGLVLIGTTPSTIGYRPDCVAANSYARSTGNSLRFDQLENDLMGCGD >ONIVA04G16360.1 pep chromosome:AWHD00000000:4:18030974:18031566:1 gene:ONIVA04G16360 transcript:ONIVA04G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYPRGRDGWGGASHPGGGRDARPDLPIRFAGGKRGDDASSNRPPGEEDEEEMGKVAEFSTPMRRIDGGWARLRTVCASSQPSRLAERAAPQQRASVSSLVDSVIRWRVAKAKGVSAAASDARSGRDISGLLASGGASGQCMNLWEQHRF >ONIVA04G16350.1 pep chromosome:AWHD00000000:4:18029318:18030886:-1 gene:ONIVA04G16350 transcript:ONIVA04G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAQRTMLVVAGDAPPTAGGGARFAAPPPERDHTQDLPDEILSLVFASLTPTDRNACSLTCARWKEVDASTRHRLSLDARAALGYAAQGIFARFTAVSKLALRCARGSGTDSLSDDGARQVAAALPSARLARLKLRGLRQLSDDGLASLAGATPVIRKLSVASCSFGPKAFVAVLRSCPLLEDLSVKRLRGLPDTAGATTAITEEILFPPASSLRSVCLKDLYSALCFVPLVASSPNLRSLKILRCSGSWDLPLEVIAARVPGLVELHLEKLQVGDRGLSAVSACANLEVLFLVKTPECTDAGIISVAEKCHKLRKLHIDGWRTNRIGDHGLMAVARGCSDLQELVLIGVNPTVQSLRMLGEHCRSLERLALCGCETVGDPEIICLAERCAALKKLCIKGCPVSDRGMWALNGGCPSLVKVKLKRCRGVSYECIENLKVVRGGSFSISLDIVLERDAGGAIENGGQEAGQVQITELTDQMAAMDLPTNASNAQSSAQASSRMRSVMSALRRRFGNPPAL >ONIVA04G16340.1 pep chromosome:AWHD00000000:4:18017264:18018943:-1 gene:ONIVA04G16340 transcript:ONIVA04G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKDVLSWYLITVKLKETVDANLNKSAPPPGALPLWQALNRNLPLLTNGEAAGAGGDALPPRQEREREVKVQVQSPAHSPKPQDPEWVVAIRGKLAQARAEEAACPWARLSVYRVPKSLRDGDERAYMPQVVSIGPLHRGRRRLREMERHKWRALHHVLKRTGHDVTAYLDALRPMEERARACYDGRVAGMQGNELVECLVLDGTFVLELFRGAQDGGKGFGDDLGYSRHDPIFAMRGAMHAIRNDMILLENQIPLFVLDLLLGLQLGNPEQTGAVAGLAVRFFDPLMPTDEPLLRKDRSKLESSIGASPAAAAFEFDPLSGPMLHCLDVFRRSLLRAGMQPTPPPPARLWLKKWSGLRRVADKRRQQFVHCVSELREAGIRCRRRNTDRFWDIRFHDGVLQIPRILIHDGTKSLFLNLIAFEQCHMDIATPGGNNITSYAIFMDNLINSADDVKYLHDRGIIEHWLGSDAEVADLFNRLCQEVVFDINDSYLSGLSDQVNRYYDHKWSTWIASLKHNYFSNPWAIVSVVAGVLLLLLTMTQTFYGTYSYYRPMH >ONIVA04G16330.1 pep chromosome:AWHD00000000:4:18006562:18012924:-1 gene:ONIVA04G16330 transcript:ONIVA04G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLKGVAPMSGSEYSSSDSEATLDDIPPIVIDADLEEEENMSDMSSMLNLQGPDKLGNNQPLDIVPLNSIPFRQEVAFHQKVDSSKEEVPVPQWMKQLDNYKDGDWTVFLQIRDDGHKDWFYYHQKYKKQLRSRSDVKLFLKTTLVNGTDMFKGQKLQKKVGGQLFCANTYYICSLI >ONIVA04G16320.1 pep chromosome:AWHD00000000:4:17993389:17999221:-1 gene:ONIVA04G16320 transcript:ONIVA04G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H2W4] MAAQMAAVAVNGGSPGAVTAAAAAGGVGVAVGLGGGGAQSLYVGDLEASVTDSQLYELFSQAGQVMSVRVCRDISSRRSLGYAYVNFNNPVDAARALELLNFAPLNGKPIRVMYSNRDPSSRRSGSANIFIKNLDKAIDHKTLHDTFSAFGNILSCKVATDEMGQSKGFGFVQYDKGEAAQSAIKSLNGMLINDKPVYVGPFLRKQERENSVDKTKFNNVFVKNLSESTTKEDLVKIFGAYGNITSAVIMVGMDGKSRCFGFINFESPDDAARAVEELNGKKINDKEWYVGRAQKKSEREIELKRRFEQSMKDAADKYQGLNLYMKNLDDSIGDDQLCELFSNYGKITSCKIMRDANGVSKGSGFVAFSTREEASQALLYITVAYIVPFIQLTEMNGKMISGKPLYVAFAQRKEDRKAMLQAQFSQVRPVPMTPSMAPRLPMYPPMAPLGQQLFYGQAPPAIMPPQPGFGFQQQLVPGMRPGGAHMPNYFVPVVQQGQQGPRPGIRRSGAGSAQGQQSAQPFQQQMLPRGRVYRYPPARNMPDVPPMPGVAGGMIQSYDMGGFPVRDAGLSPAPIGTLTSALANANPEQQRTILGESLYPLVELLEQNHAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVAQQHNANTPTSQLAALSLGDAIIS >ONIVA04G16310.1 pep chromosome:AWHD00000000:4:17989927:17991143:-1 gene:ONIVA04G16310 transcript:ONIVA04G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGEVTATVVDVVVCEMENQAVSEMHVVMDLEGTTKRIPPMQTPRARLLRSCGESILAMARGAYRRVEAMRCPVGCVARGASRAAAPVLSPLQLRCLSALAFADRQLLVVQDVAAVLFPAAERVLGRGADDLVLLVESLPARLDGAIDALEALLAGAAGLFVLPKRCRRYRAHEDDDDGVGGAVFRDIWCDEKEAASLHRSAMEEEARRHSDDVARKELESLEVVTADDGGGGGNTVHGDKAPVDGEGEAATPAKRGDASGGQECGVEDVQRVETPAAEITDAMKDSTEIVKDEDQERGGSEREEEETFAMARTAESREEALLGLFDIAWQQKLA >ONIVA04G16300.1 pep chromosome:AWHD00000000:4:17986051:17991297:1 gene:ONIVA04G16300 transcript:ONIVA04G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHAAALLQHPPPPPPPASLPSTACTSSSRRRASPWGGAGRLIRLRLRGHCPSPASARAARVVSPRCSSYGATADAGESPAEALRRVLESPGAHQAPACYDALSARLVGRAGFKVCFTSGFSISAARLGLPDVGLISYGEMIDQGCLITEAASIPVIGDADNGYGNCMNVKRTVKGFIKAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARKESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAVSPGVPKMANMLEGGGKTPILSPAELEETGYKLIAYPLSLIGVSMRAMEDALIAIKGGRIPPPSSLPSFEEIKDTLGFNSYYEEEKRYVVTPAQSSSYRSGYYGNTSEASSPGDAKSRTETPQEPVIDILPQLYDLGSTGGRGPSAGMWSRTLRLRITGRDGVQKIDARIPVAFVYPHYLQQAGFLEGMTKVIPGLAGANIMERLRNAPIDSENPQNGQILLDFEDAMGDRIQVFIE >ONIVA04G16290.1 pep chromosome:AWHD00000000:4:17979478:17984766:1 gene:ONIVA04G16290 transcript:ONIVA04G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADNWLGFSLSGQGNPQHHQNGSPSAAGDAAIDISGSGDFYGLPTPDAHHIGMAGEDAPYGVMDAFNRGTHETQDWAMRGLDYGGGSSDLSMLVGSSGGGRRTVAGDGGGEAPKLENFLDGNSFSDVHGQAAGGYLYSGSAVGGAGGYSNGGCGGGTIELSMIKTWLRSNQSQQQPSPPQHADQGMSTDASASSYACSDVLVGSCGGGGGAGGTASSHGQGLALSMSTGSVAAAAGGGAVVAAESSSSENKRVDSPGGAVDVAVPRKSIDTFGQRTSIYRGVTSRKQRILGESCMVISLVCFADWALRGLDYGGGSSDLSMLVGSSGGGRRTVGDGGGEAPKLENFLDGILFSDVHGQAAGGYLYSGSTVNGAGGYSNGGCGGGLAWAGPGAVDEHGVGGRRRRGRRRRRGRELVVGEQAGGFAGRRRGRRRPEEIHRHLRAKDVYIPRCNKPRGIDGQEDMKLICGIIAVGEKAKVARGDRMSNYEKELEEMKHMTRQEYIAHLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDIYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSSTLPVGGAARRLKEAEAAAAAAGGGVIVSHLADGGVGGYYYGCGPTIAFGGGGQQPAPLAVHYPSYGQASGWCKPEQDAVIAAGHCATDLQHLHLGSGGAAATHNFFQQPASSSAVYGNGGGGGGNAFMMPMGAVVAAADHGGQSSAYGGGDESGRLVVGYDGVVDPYAAMRSAYELSQGSSSSSVSVAKAANGYPDNWSSPFNGMG >ONIVA04G16280.1 pep chromosome:AWHD00000000:4:17975661:17976149:-1 gene:ONIVA04G16280 transcript:ONIVA04G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPSFNPFTLLERNDPGDNPLSKEKEPVAYRKPPVPPAAWPSKSAPAPKKKNDDKKKKNNNNSKNKKKPQEAGKGAANAAAGNKKPSAVKKEDTAKYIGYQYRAPIRTKKPDPEADKKKEQEAAAPPPSPPPPPPRPATPPPSFDDAAHFPTLGKNSKKK >ONIVA04G16270.1 pep chromosome:AWHD00000000:4:17972380:17973030:-1 gene:ONIVA04G16270 transcript:ONIVA04G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAAETETEGGGGAAAVEPQVVVVERVVTVEYLEPSMSRGLLGMFPDSSAFDFDYSQSGIWSPLNKVPRASSPPPPPPRSGGGGAEGSRDFLIANPKRRARAAIGGRSSRSRRRRLRLRREDGSFLNLHETGCARLDFSPPPPSSPLPAKVAFCIQIPPSLRFMNRLRHYDEASAGWILQEEGWRRVLKAAIRKFKSRQRRSRPAPLLQMMLPTL >ONIVA04G16260.1 pep chromosome:AWHD00000000:4:17971608:17971973:-1 gene:ONIVA04G16260 transcript:ONIVA04G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGCGGGHSGQPAKVAAAGEARQCICSPTTHEGSFRCRLHRRGGMPRSASCQQLQLGAESSPLLCSAAAMRRSASQLQFANQHPLDPGMSRSASEKELPPCAGVPRSASWQDFAQKEDH >ONIVA04G16250.1 pep chromosome:AWHD00000000:4:17959658:17964747:1 gene:ONIVA04G16250 transcript:ONIVA04G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGKVVLGCRFPRIIKTTSPARCYAHPALCALLPVYHSSQSDSRRKKKKQQQQSSQPVRRARSNRGSKRRRREDSTVRLYRLMGEEDISNDSKSSCGCEDGTVEAPAAAAPKENGRAADPRLQAISDAIRVVPHFPKPGIMFNDITALLLRPAVFKDAVDMFVERYRGMRIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYILEYGTDCLEMHVGATEPGERVVVVDDLVATGGTLCAAIKLLERAGADVVECACLIGLPKYKNFYKLNGKPVYILVESRK >ONIVA04G16250.2 pep chromosome:AWHD00000000:4:17959658:17964747:1 gene:ONIVA04G16250 transcript:ONIVA04G16250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGKVVLGCRFPRIIKTTSPARCYAHPALCALLPVYHSSQSDSRRKKKKQQQQSSQPVRRARSNRGSKRRRREDSTVRLYRLMGEEDISNDSKSSCGCEDGTVEAPAAAAPKENGRAADPRLQAISDAIRVVPHFPKPGIMFNDITALLLRPAVFKDAVDMFVERYRGMRIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYILEYGTDCLEMHVGATEPGERVVVVDDLVATGGTLCAAIKLLERAGADVVECACLIGLPKYKNFYKLNGKPVYILKDSQLHSFARARWRNWIGIGEQ >ONIVA04G16250.3 pep chromosome:AWHD00000000:4:17959658:17963966:1 gene:ONIVA04G16250 transcript:ONIVA04G16250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGKVVLGCRFPRIIKTTSPARCYAHPALCALLPVYHSSQSDSRRKKKKQQQQSSQPVRRARSNRGSKRRRREDSTVRLYRLMGEEDISNDSKSSCGCEDGTVEAPAAAAPKENGRAADPRLQAISDAIRVVPHFPKPGIMFNDITALLLRPAVFKDAVDMFVERYRGMRIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYILEYGTDCLEMHVGATEPGERVVVVDDLVATGGTLCAAIKLLERAGADVVECACLIGLPKYKNFYKLNGKPVYILVESRK >ONIVA04G16240.1 pep chromosome:AWHD00000000:4:17942740:17945562:1 gene:ONIVA04G16240 transcript:ONIVA04G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMACFAIVSKNDIPIYEAEVGSAPKKEDLAYQHQFILHAALDVVQDLAWSTNAMFLKSVDRFNDLVVSVYFYLYNNIVFFNLMTHARFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARRYL >ONIVA04G16230.1 pep chromosome:AWHD00000000:4:17936351:17943013:-1 gene:ONIVA04G16230 transcript:ONIVA04G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIE2/ALG10 family [Source:Projected from Arabidopsis thaliana (AT5G02410) TAIR;Acc:AT5G02410] MGKGKQEELTVGGGDSRRWKVRRIRPRPPSCSDLGLALSSTLPPFGLLGWAGEFAQPASYVGLAQNAQPSLKPTATNAEAPPRRDSLRRGSCTAPPLHRTSSVDSGKLLPSPSAEAYRSASGEVVTSSGFLCAPGARGAGSGAGFRSAAGRSQYGWGMGRLTVAAAVAAWAIPLAALVASIVPDPYMDEIFHVPQVQRYCRGDFLIWDPMITTPPGLYYISLAYVASLFPGAWVTRIAEAFDALCTTALLRSTNVIMAMICGVLVHDLLLCIRPKIGKRKATAFAILVALYPIHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWVSAAFGAFSILLRQTNVIWMIFFAANGAIAHAQYLYVKDNVCYENSELTDKSNKEASHMDNKTTAPGLRRRRNNNPINKREVVSESNIMYSSFTEEIWDAIFKLWNSKCEVLIAFIPFAMVLLVFVAFIVWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPTRASDLFHWCRKNKTYSSFAILVALGLSLVAVHLFSIAHPYLLADNRHYTFYIWRKVIQVHWMMKYSLTPVYVYSWFSIVNILGKSQTRLWVLSFVLSVALAVIPAPLVEFRYYTIPLVILVLHSPVISNVKLLALGFLYAAVDFFTLAMFLFRPFQWQHEPGTQRNM >ONIVA04G16230.2 pep chromosome:AWHD00000000:4:17936351:17943013:-1 gene:ONIVA04G16230 transcript:ONIVA04G16230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIE2/ALG10 family [Source:Projected from Arabidopsis thaliana (AT5G02410) TAIR;Acc:AT5G02410] MGKGKQEELTVGGGDSRRWKVRRIRPRPPSCSDLGLALSSTLPPFGLLGWAGEFAQPASYVGLAQNAQPSLKPTATNAEAPPRRDSLRRGSCTAPPLHRTSSVDSGKLLPSPSAEAYRSASGEVVTSSGYYISLAYVASLFPGAWVTRIAEAFDALCTTALLRSTNVIMAMICGVLVHDLLLCIRPKIGKRKATAFAILVALYPIHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWVSAAFGAFSILLRQTNVIWMIFFAANGAIAHAQYLYVKDNVCYENSELTDKSNKEASHMDNKTTAPGLRRRRNNNPINKREVVSESNIMYSSFTEEIWDAIFKLWNSKCEVLIAFIPFAMVLLVFVAFIVWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPTRASDLFHWCRKNKTYSSFAILVALGLSLVAVHLFSIAHPYLLADNRHYTFYIWRKVIQVHWMMKYSLTPVYVYSWFSIVNILGKSQTRLWVLSFVLSVALAVIPAPLVEFRYYTIPLVILVLHSPVISNVKLLALGFLYAAVDFFTLAMFLFRPFQWQHEPGTQRNM >ONIVA04G16220.1 pep chromosome:AWHD00000000:4:17933311:17938827:1 gene:ONIVA04G16220 transcript:ONIVA04G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVCFEAMCPCFGSRRKDGSEDPVLGRDGNSLNSSELRSMSDRVPPSPLRVPASPSRFSLSSSPSRNEPLNLSLEQVIKLTHNFAPDLMIGEGYFGKVYRAQLRDGHIVAIKRAKMSRWLIHFCVDQYGNETVMHWNLVQLLGYIDKRNERIVITEYVPNGTLREHLDGQRGLVLSFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLNEGFRAKVADFGFARTGPTEPDQSQIQTDVRGTAGYVDPEYLRTNHLTVKSDVFSYGVLLLEILSGRRPIEVRRAARERITVRWAFEKYNRGDVKEILDPMLTESVNEDILNKIFDVAFQCVAPTRADRPTMKEVAERLWKIRRDYAKTQRRAEMAL >ONIVA04G16220.2 pep chromosome:AWHD00000000:4:17933311:17940460:1 gene:ONIVA04G16220 transcript:ONIVA04G16220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVCFEAMCPCFGSRRKDGSEDPVLGRDGNSLNSSELRSMSDRVPPSPLRVPASPSRFSLSSSPSRNEPLNLSLEQVIKLTHNFAPDLMIGEGYFGKVYRAQLRDGHIVAIKRAKMSRWLIHFCVDQYGNETVMHWNLVQLLGYIDKRNERIVITEYVPNGTLREHLDGQRGLVLSFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLNEGFRAKVADFGFARTGPTEPDQSQIQTDVRGTAGYVDPEYLRTNHLTVKSDVFSYGVLLLEILSGRRPIEVRRAARERITVRWAFEKYNRGDVKEILDPMLTESVNEDILNKIFDVAFQCVAPTRADRPTMKEVAERLWKIRRDYAKTQRRAEMAL >ONIVA04G16210.1 pep chromosome:AWHD00000000:4:17920366:17926763:-1 gene:ONIVA04G16210 transcript:ONIVA04G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRKRGQLLVDEEDLYSARFSKSSSFKWLLHTLPRSGSDVHRKVQGPVPARCPSLMELCVAKVREVFNELVEWNILTEELLGAFRDCALQDICLADYPGVRDAWMEVAASQGQSLLSVDISCSDVTDGGLNQLKDCINLQSLSCNYCDQISEHGLKTLSGLSNVTSLSFKKCSAVTAEGAKAFANMVNLGSLDLERCPKIHGGLVHLKGLRKLEKLNLRYCNGITDSDMKHLSGLSKLAHLNLEGCAVTAACLEVISGLVMLKVLNLGFNYITDACLVHLKELINLECLNLDSCKIGDEGLAHLKGLLKLRSLELSDTEVGSNGLRHLSGLRNLQSINLSFTLVTDIGLKKISGLNSLRSLNLDNRQITDNGLAALTCLTGLTHLDLFGARITDAGTNCLKYFKNLQSLEVCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDKSLELISGLTALVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESCKVTAIEIKKLQLAALPNLVSVRPE >ONIVA04G16210.2 pep chromosome:AWHD00000000:4:17920366:17926763:-1 gene:ONIVA04G16210 transcript:ONIVA04G16210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRKRGQLLVDEEDLYSARFSKSSSFKWLLHTLPRSGSDVHRKVQGPVPARCPSLMELCVAKVREVFNELVEWNILTEELLGAFRDCALQDICLADYPGVRDAWMEVAASQGQSLLSVDISCSDVTDGGLNQLKDCINLQSLSCNYCDQISEHGLKTLSGLSNVTSLSFKKCSAVTAEGAKAFANMVNLGSLDLERCPKIHGGLVHLKGLRKLEKLNLRYCNGITDSDMKHLSGLSKLAHLNLEGCAVTAACLEVISGLVMLKVLNLGFNYITDACLVHLKELINLECLNLDSCKIGDEGLAHLKGLLKLRSLELSDTEVGSNGLRHLSGLTGLTHLDLFGARITDAGTNCLKYFKNLQSLEVCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDKSLELISGLTALVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESCKVTAIEIKKLQLAALPNLVSVRPE >ONIVA04G16200.1 pep chromosome:AWHD00000000:4:17917423:17918800:1 gene:ONIVA04G16200 transcript:ONIVA04G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHCSSLFSPSAYCNSYYPPLPPQPGSLATNQIVARLMAQMNYEEGTGLGKYDHGIIDPINPTKKYGKGGVGKFESSYDSDSDYDTGPPVEPKLERGTGKAEPKAVVNAEEVRAMDTLQRERKTYAAARARERRHEKVRAYNMRWQRPPKHDSADDDDWEGITSGYTAIKRALKVVREQSESGKLTLGGLIHEFTGVKAKFPEEYRTNSMPYKAISFAALLLHSQLSRQYSAEVLKDTLGADASAAYPRLIHDLVMAPPLDAWWWSAEEPEPMLRFVNRWKGLLPQATMDSILDEVILPTLVAAADVFRLTTWSSKPSVCVGMWIPHLSHARLRIVYIIISRRLRDHLCGGISDYDYKLALPWKKVFDPASWDEHIERHVLPHLRKDLHDLEISVRMTWLQNKNFFPLVMRWASIVPVKYMVPLLIKGSLRNRRTPTIGI >ONIVA04G16190.1 pep chromosome:AWHD00000000:4:17916186:17916617:1 gene:ONIVA04G16190 transcript:ONIVA04G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSITMCTARPSSHTSLIATILKGGGERLAVVGARSCRPAPTHLRATIDLLTPIQRQGEGGIGWPNLRCRCSQLEEFCRRAATKLKGRGDAGGGARRCRRAAPQSPHAVTVFLAPSSRSSAPSSDDEEEMAARRRVEGEGSR >ONIVA04G16180.1 pep chromosome:AWHD00000000:4:17908014:17916373:-1 gene:ONIVA04G16180 transcript:ONIVA04G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPCRWMGVRRSMVARRWVGAGRQLRAPTTARRSPPPFNIVAIKDVCEDGRAVHIVMELFIVGELLDKIQEEGHYNERKAAEIKDDGLSIKAIDIQIKDDGLSIKAIDIRVLQTRSGFTELIGSPYYVAPEVLHRYVIDQNLRYCTYGPKSDVWSATVVLNVLMSGVPPFWAARRRSLGNCGPVWFSG >ONIVA04G16170.1 pep chromosome:AWHD00000000:4:17903286:17908063:1 gene:ONIVA04G16170 transcript:ONIVA04G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLPRGAALLLLRSAARRPAAASSRGFLSSAAAGGREGALAAAAVAVVGSGLGLWLVPPSLADSGEAVADAPAGQISVGSGSGAVGAVEERGRKRRFLLGDSFRRRVFFNYEKRIRLLSPPEKIFEYFASVRNPEGEVFMLPADLMRAVVPVFPPSESNIVREGRLRGERNPGELHCAPSEFFMLFDTNGDRLISFAEYIFFVTLLSIPESSFSAAFKMFDVDHSGEIDKEEFKKVMALMRSYNRQGANHRDGLRTGLKVGQPVENGGVVEYFFGNDGNEPLHYDKFTNFLKGLHDEIIRLEFSHYDVKSSNTIPAKDFALSMVASADMNHINKLLDRVDNLGNDPDLKGVRITFEEFKSFADLRRRLEPLAMAIFTYGKVNGLLTKQDLKRAAHHVCGVDLTDRVVDIIFHVFDTNHDGNLSSEEFILLGWISVTSRKKLQRCCVLAVGFKPQTRNRTVNGNNAHHKLYATMQDIAVRRRRAIVQGKSWGKTRSQERQQHVRLGMQFGHHFLAQRMHAAQIAVEYKLALHCAATLPMSNDRAGSPSTSLYCLPPAACLLPAGLFCYKANGWNAWLVTGIQFLPQRDYAILAQLSLSRRTTQARSYPTIGA >ONIVA04G16160.1 pep chromosome:AWHD00000000:4:17894385:17900182:1 gene:ONIVA04G16160 transcript:ONIVA04G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGVGKVKAGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAIKEALGYNQKALTMLGVANDVGENVGLVPGVLANRLPPWLILLIGSACALLGFGTLWLAVTKTLVMPYWVPSDLQRLGTSFIGVCDHWKLCIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLQNSPTNLLLLLALGIPIACVLVMYFVRPCTPSLDEDNAAEHSHFMFTQISSVVLGVYLMVATILGDTLKLSDAITYLLFGIMILLLLAPLAIPIKMTIYPNKPKREKTSTLALSYSTDSLSGPDQENSEPLLGGTSTFVTGANDSDEATDVDLLLAEGEGAVNLKKKRGPRRGDDFTFREALVKADFWLLFIVYFCGVGTGVTVLNNLAQVGMAVGADDTTILLCLFGFCNFVGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVITFLLFATGLHSLIYVSTTFLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAAKQQPGVLEPSTCLGPDCFRLTFYVCAIVCCCGTLVSVVFIARIKPVYQMLYASGSFRHPRSQQLH >ONIVA04G16160.2 pep chromosome:AWHD00000000:4:17894385:17900182:1 gene:ONIVA04G16160 transcript:ONIVA04G16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGVGKVKAGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAIKEALGYNQKALTMLGVANDVGENVGLVPGVLANRLPPWLILLIGSACALLGFGTLWLAVTKTLVMPYWVLCIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLQNSPTNLLLLLALGIPIACVLVMYFVRPCTPSLDEDNAAEHSHFMFTQISSVVLGVYLMVATILGDTLKLSDAITYLLFGIMILLLLAPLAIPIKMTIYPNKPKREKTSTLALSYSTDSLSGPDQENSEPLLGGTSTFVTGANDSDEATDVDLLLAEGEGAVNLKKKRGPRRGDDFTFREALVKADFWLLFIVYFCGVGTGVTVLNNLAQVGMAVGADDTTILLCLFGFCNFVGRILGGSVSEYFVKNASSPFLDDVHTNNHVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAAKQQPGVLEPSTCLGPDCFRLTFYVCAIVCCCGTLVSVVFIARIKPVYQMLYASGSFRHPRSQQLH >ONIVA04G16160.3 pep chromosome:AWHD00000000:4:17894385:17900182:1 gene:ONIVA04G16160 transcript:ONIVA04G16160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGVGKVKAGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAIKEALGYNQKALTMLGVANDVGENVGLVPGVLANRLPPWLILLIGSACALLGFGTLWLAVTKTLVMPYWVLCIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLQNSPTNLLLLLALGIPIACVLVMYFVRPCTPSLDEDNAAEHSHFMFTQISSVVLGVYLMVATILGDTLKLSDAITYLLFGIMILLLLAPLAIPIKMTIYPNKPKREKTSTLALSYSTDSLSGPDQENSEPLLGGTSTFVTGANDSDEATDVDLLLAEGEGAVNLKKKRGPRRGDDFTFREALVKADFWLLFIVYFCGVGTGVTVLNNLAQVGMAVGADDTTILLCLFGFCNFVGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVITFLLFATGLHSLIYVSTTFLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAAKQQPGVLEPSTCLGPDCFRLTFYVCAIVCCCGTLVSVVFIARIKPVYQMLYASGSFRHPRSQQLH >ONIVA04G16150.1 pep chromosome:AWHD00000000:4:17879996:17881879:-1 gene:ONIVA04G16150 transcript:ONIVA04G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCPKKTTKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRQVNLVAGYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAGKKVFTAA >ONIVA04G16140.1 pep chromosome:AWHD00000000:4:17870565:17879152:1 gene:ONIVA04G16140 transcript:ONIVA04G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRS120 [Source:Projected from Arabidopsis thaliana (AT5G11040) TAIR;Acc:AT5G11040] MEPGVSIESGSAIRVAVLPVGGPISPARLRDYAALVARHARVDLASLRPYYSEHQKSPFAHQPWGGGCLRLKFVLGGCVPSPWEDFQSSRKVLAVVGICHLPSSPDLGRVAADFVDAARSYPSALASRCFAFCPTDAQLVQKKRDNIIMFPPSDQQSLELHMLTMIQDLSASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPADANAHYATAIELARLTGDVFWHAGALEGSVCALVVDRMAESDPVLEDEVKFRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRRQCAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTTTTTAYHVQSRKTSKMDHGLLKLYWLSLFDSGNTDPGKLHPQSVVSLFESQWSTLQMVVLREILMSSIRAADPLSSWSAAARLLRLHSFPLHPSQREIVKRNPNKKEWWTGGGPSGPFIYTPFTKGGTSGTSKQEVNWIVGEPVQVMVELANPCSFDLIVESIYLSVHSGNFDAFPVSVNLPPNTSKLVLLSGIPTQVGQVSIPGCIVHCFGVITEHLFKEVDCLLLGAAQGLVLSDPFRCCGSSKFKSVNFPSISVVPPLPLLVANVVGGDGSILLYEGEIRDVLITLTNAGTVPVEEANVALSGKNQDSVISIAHSTWKSALPIKPGGEVTFAVTLRAWHLSPTDLEADGSRSPANSRRIAREGSNPFLDIHYAGPSGNSESNDVSLPPGRRLVVPLNICVVQGMRLVRARLLSMELPARFTDAHLRSVSSKDNLSNGSDAIRNDISLLKIDPYKGSWDLRLLELELFNPTDVVFDVDVSVHLDGTSVEQKILPEDKTASSACHKTRIDRDYSARVLIPLEHFKLPVLDTSFFVKENGSDEPLGSRAATLAEKNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQTALQASIMDILLPDPLTFSFRHAKDGTTAKTDSSKEPGDGSSRSADESVLRCKDPIFANEMTHMEVQIRNNTKETIQMNLSISCKDVAGENCFDENSATVLWAGVLSDIYLEVQPLQEPGNRLEEQELQGKPSPVSELLKCAGFYALRKLQKVVIEIERNEARLEKIANVARAALTRMNNGETLSQEEMKSYLEEIIRLASI >ONIVA04G16130.1 pep chromosome:AWHD00000000:4:17865411:17870054:1 gene:ONIVA04G16130 transcript:ONIVA04G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGSSSSMSSCSSSTTASTGPDQRRLSAAAPTFDPSMASSSSMAATTAGVPGSSPAMAPLLTSARMSSGSSASSTTSFDPAGSSALAPARSPRSGLSASAPAFYPTTASSSSTPVMPAVPGFLPQIPEPTASCSDFPPEMLWHHPCGEQKIKGGRPDLLGREFGILAEQKRLIQRHLDLKEEMHLRHNKEIENAFISETRLARGVEQDLLGDCKKAVLVQQDQSGKEEESHQCLRQLQDVNGEQLVGVPKLGLPGAEENVACNNTASHKEFSEFPGPDIKFGSINIRDIPLLQGRQAAVILPNPQLDRRTSGLTPAGASSLDQETDQELNEKLL >ONIVA04G16120.1 pep chromosome:AWHD00000000:4:17862711:17863579:-1 gene:ONIVA04G16120 transcript:ONIVA04G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEENASSTNSIKLELRIYMHCKACERSVRRAIEKIDAQSILPEISTNYTYAGVEKVEVERGENKVTVTGGGDFEPEKAVRRIKKKTGKKVEILALEEEDDDHEEDGGGGADAQAHHEFQRHGYYVPYYHHRHHHHLVPVPCAYVPSCYDHLVPVPPPDNGGGTADVAHEFQRRGGVGHYGYYAPCYYDGGGGGGGGDVAHEIQRPVRSAWDLHGFDDENTQACRVT >ONIVA04G16110.1 pep chromosome:AWHD00000000:4:17859536:17863410:1 gene:ONIVA04G16110 transcript:ONIVA04G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGAAVAAACGRWCLVILAVASALGVSGPAFYWRYKKGFASSSSSSSSVSASAAAVVSPSCPPCSCDCPPPLSLQSIAPGLVNFSTSGCGKNDPELSKEMEKQFVDLLNEELKLQQIVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEEARERSEAAISKEKKLTALWEQRARQLGWQDSRPRVTTI >ONIVA04G16100.1 pep chromosome:AWHD00000000:4:17851371:17857407:1 gene:ONIVA04G16100 transcript:ONIVA04G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body (SBP) component [Source:Projected from Arabidopsis thaliana (AT5G17410) TAIR;Acc:AT5G17410] MDPAPATPRWNLERPYLTGRFHQEAKVAAAAQGAGSKPYSLDSFSRGGGGGGAESVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVYHFVESRSHFKSGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMTECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPSFLTNVAATILTTGKYLNVMRECEYTVQVPLSESSKLMGFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEDLTCCVERSSLLKKLSTLKDLDCAYPSDKLVAADVDHPMPLSVTGLETFCLSYKVQWPLSLVISRKALTKYQLIFRLLFHCKHGFRSVKILGTPVLRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLLPELLVKIEKLKALCLQYATSIQLLIPSIDVAKPENTSKSRMPRSKIKETKNRGQQLKLASENVVMSESILKFEAEFNSELQSLIPTLSNSSQAEPYLTHLGQCILGVGVDQ >ONIVA04G16100.2 pep chromosome:AWHD00000000:4:17851371:17857681:1 gene:ONIVA04G16100 transcript:ONIVA04G16100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body (SBP) component [Source:Projected from Arabidopsis thaliana (AT5G17410) TAIR;Acc:AT5G17410] MDPAPATPRWNLERPYLTGRFHQEAKVAAAAQGAGSKPYSLDSFSRGGGGGGAESVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVYHFVESRSHFKSGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMTECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPSFLTNVAATILTTGKYLNVMRECEYTVQVPLSESSKLMGFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEDLTCCVERSSLLKKLSTLKDLDCAYPSDKLVAADVDHPMPLSVTGLETFCLSYKVQWPLSLVISRKALTKYQLIFRLLFHCKHGFRSVKILGTPVLRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLLPELLVKIEKLKALCLQYATSIQLLIPSIDVAKPENTSKSRMPRSKIKETKNRGQQLKLASENVVMSESILKFEAEFNSELQSLIPTLSNSSQAEPYLTHLGQCILGVGVDQ >ONIVA04G16090.1 pep chromosome:AWHD00000000:4:17842215:17848561:-1 gene:ONIVA04G16090 transcript:ONIVA04G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAQMEVERRLRDIGARFTSLPDADDELLRLIEEAETWLARVDQSPPESMHKALRPTMSALIKKELLDHSVPDIKLAVASCLTEVTRITAPEAPYDDDVMKDVFTRVVEAFEKLDDMESPSYARRVAMLETVAKVRSCVLMLDLDCDDLIRDMFHHFFRTISNTHQENVITSMETVMKFVIDESEDVQQDMPSCLLQDLASYLLKNLKKEEKETLPASFELAEKVINKCYEKLKPVFTPLLRGTPLDEYSEVVTSLFEDALDAGVADNSDAPGKDTVADGKLSHKIVSDESAQESSKLEQDANCPGKDGTPPNNTSTSAVSNGCALIDRVKSPSGPSSSDKKAELPSDDNQAKDTDDLISGAKEIPEPITTEPEKPSDHNLKKSHKLDTSTDSEVVDHSKAVNNNEDILVSRELSPETDDGDNKLPPETGNRAADDKSKHVDNTPAGKGKRGRPPASKSHEKKNVGKGKVSGLESKKADAVSDSGGRATRRLAKDDDIKSSFKKTGEGESSKKKQKENLKQQEDTPPDEDTDEDLSLKDIVSPKSSAKTGKNKGQAGDSGGSKRKRAQEAEETPQPKKNKILKGNLVGSRIKVWWPDDRKFYKGVVESFDVASKKHKVVYDDGDVERLHLKNEKWEFIDEGRDNNPDASSDMPRGRRGRVSLGEQTKEGKIETPSSGKHRGTDVADPPKKRGRPKGVRSSNSSQNDDSPLKGKSAENDDEDISKTPKSGSALKNEGGRSSRSTGKTKDGLLKGSNKDETGNTKSASKSKNDGGSKHKDSKDEAKSSGSNPKGASTPKAADGSKTNGLSTKRKQKEKEGESSEEEEHVSAKISTGKKRRRKAHN >ONIVA04G16090.2 pep chromosome:AWHD00000000:4:17842213:17848561:-1 gene:ONIVA04G16090 transcript:ONIVA04G16090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAQMEVERRLRDIGARFTSLPDADDELLRLIEEAETWLARVDQSPPESMHKALRPTMSALIKKELLDHSVPDIKLAVASCLTEVTRITAPEAPYDDDVMKDVFTRVVEAFEKLDDMESPSYARRVAMLETVAKVRSCVLMLDLDCDDLIRDMFHHFFRTISNTHQENVITSMETVMKFVIDESEDVQQDMPSCLLQDLASYLLKNLKKEEKETLPASFELAEKVINKCYEKLKPVFTPLLRGTPLDEYSEVVTSLFEDALDAGVADNSDAPGKDTVADGKLSHKIVSDESAQESSKLEQDANCPGKDGTPPNNTSTSAVSNGCALIDRVKSPSGPSSSDKKAELPSDDNQAKDTDDLISGAKEIPEPITTEPEKPSDHNLKKSHKLDTSTDSEVVDHSKAVNNNEDILVSRELSPETDDGDNKLPPETGNRAADDKSKHVDNTPAGKGKRGRPPASKSHEKKNVGKGKVSGLESKKADAVSDSGGRATRRLAKDDDIKSSFKKTGEGESSKKKQKENLKQQEDTPPDEDTDEDLSLKDIVSPKSSAKTGKNKGQAGDSGGSKRKRAQEAEETPQPKKNKILKGNLVGSRIKVWWPDDRKFYKGVVESFDVASKKHKVVYDDGDVERLHLKNEKWEFIDEGRDNNPDASSDMPRGRRGRVSLGEQTKEGKIETPSSGKHRGTDVADPPKKRGRPKGVRSSNSSQNDDSPLKGKSAENDDEDISKTPKSGSALKNEGGRSSRSTGKTKDGLLKGSNKDETGNTKSASKSKNDGGSKHKDSKDEAKSSGSNPKGASTPKAADGSKTNGLSTKRKQKEKEGESSEEEEHVSAKISTGKKRRRKAHN >ONIVA04G16080.1 pep chromosome:AWHD00000000:4:17838143:17839504:-1 gene:ONIVA04G16080 transcript:ONIVA04G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRVRFNVGGQVFETTTTTLANAGRESMLGALLDSSWNLAPTAAGGGGGGGGGGGGVAEYFIDRNPACFAVLLDLLRTGSLHVPPQLPEKLLYREALYYGLLDHVRAARWGAFDGDRLRLAASVPGRAPGDGTAIRAAPDGGCCVAHGGAVHVYNWMLDERRPVSLDHSQVNDVAYLDEATLLIAARERLGKCDGGMAAFSAVSGDLRHRFRVAHDRQAKSFTAGALAFDQDSSIFASCKGRLNEYGIGVWDRATGEQADFFYEPPGCALGDADKLQWLDATNALMVATLFPKTDNCFIGLLDFRDKNVAWSWSDAGMAASLDDKRVLHAIAMEDERSVCVINQYDDLGFLDLRSNAGGVRWSSRSKFMNRKVPSEESCYPKLATHGGQLFSSMNDSISVFSGPECVLTSTLRRSHGGAICDFSIGGDRLFALHNEENVFDVWETPPPPII >ONIVA04G16070.1 pep chromosome:AWHD00000000:4:17819719:17824314:1 gene:ONIVA04G16070 transcript:ONIVA04G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGANARGRLSPASGGGGDSEPRSAGSRTRSVSATRGRKPSPRPGRDAAAAAAEEKKPAAVPTLLPSLSVPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPTFGARKKKTLCQTDHKIVSMLEREVGLASANDVPGLKRRCSWVTANTEPCYAAFHDEEWGVPVHDDKVLFELLVLSGALAELTWPTILNKRPIFREVFMDFDPLLVSKLSEKKIIAPGSPSSTLLSEQKLRGVIENARQILKIVEEFGTFDKYCWSFVNNKPILSRFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCYRFAECAAAATGSNTTVGSETNSDSSNRATEQQMNGTNGLAADIARTIDELSIS >ONIVA04G16060.1 pep chromosome:AWHD00000000:4:17814763:17819021:1 gene:ONIVA04G16060 transcript:ONIVA04G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDPKERLLLPPRAAAAAAANGPHRRATPAAGGGGGGVAIDVHGLKRRGGGRRSWVRVDAATGASEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERIRCIITADEALILRDPDVAGGGAETEEAVRRYVAELQRRLVDRADDLPFEFIALEVALEAACSFLDAQAVELEADAYPLLDELTTKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMEASLLEEQAFQGMGNSGFGSSFSAPVSPVSSPPASRRLEKELSFARSRHDSFKSADSSQYSIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVDLFNVPHAFEWTLVITGVCGLVIFCCFIWYFKKRRFFPL >ONIVA04G16050.1 pep chromosome:AWHD00000000:4:17813711:17813942:1 gene:ONIVA04G16050 transcript:ONIVA04G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDIENVLGLARLTGGEAPSGLRLPLAAVAGRCRSPSAGAVRASSCHHRRFAGRRALARCLRRPPA >ONIVA04G16040.1 pep chromosome:AWHD00000000:4:17811146:17812603:-1 gene:ONIVA04G16040 transcript:ONIVA04G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYSLFKRQPCEAPMAPKAAPAKKGDAKAQALKAAKAVKSGTAKKTTKKIRTSVTFHRPKTLKKSRDPKYPRVSTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >ONIVA04G16030.1 pep chromosome:AWHD00000000:4:17805645:17809855:1 gene:ONIVA04G16030 transcript:ONIVA04G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQRPLQVPDITKSTHSGGNTVLAYASSAMQGYRSTMEDAHATIENLDAPTNTSFFGVYDGHGGSAVARMDEMLRNQAASKELTEYGSGNEYWRTAGRSWLRCAPCVQGPVYCGPLAEGCTACVVLIRNTQIVVGNAGDARCVISRNGQAIALSNDHKPNFPEETQRIVAAGGSVSFSRGSHRVNNGIAVSRAIGIAYMFVGGDLSYKNNKKLRPEQQLLTCSPEIRADQLTDDTEFLVIACDGVWDVLANQAVVDFVRLHLNNGVELSVICESLLQEAITRDPPSTDNMSVILVRFLHPEGNRGARAATSSTSTGTVPSRHSKSISL >ONIVA04G16020.1 pep chromosome:AWHD00000000:4:17796749:17802521:1 gene:ONIVA04G16020 transcript:ONIVA04G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVRRSTMVRPAWETPRVRLWNSNLDLVVPRFHTPSVYFYRRGPEGGGAPEGFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFVEADAPDASVDDYGDFAPTMELKRLIPAVDYTDDISSFSLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGTQIAIMPFIDRTLLRARDPPTPSYPHVEYQPAPAMLSSVPQSVTANKTTPPPTAVDIFKLTRSDLGRLRSQLPSGEGAPRFSTYAVLAAHVWRCVSLARGLPSEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTSGLADGAAVIQEALDRMNDSYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANKDGSLSIAISLQAEHMEKFRKLIFEV >ONIVA04G16010.1 pep chromosome:AWHD00000000:4:17789687:17795459:1 gene:ONIVA04G16010 transcript:ONIVA04G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVRRSTMVRPAWETPRVRLWNSNLDLVVPRFHTPSVYFYRRGPEGGGAPEGFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFVEADAPDASVDDYGDFAPTMELKRLIPAVDYTDDISSFSLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGTQIAIMPFIDRTLLRARDPPTPSYPHVEYQPAPAMLSSVPQSVTANKTTPPPTAVDIFKLTRSDLGRLRSQLPSGEGAPRFSTYAVLAAHVWRCVSLARGLPSEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTSGLADGAAVIQEALDRMNDSYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANKDGSLSIAISLQAEHMEKFRKLIFEV >ONIVA04G16000.1 pep chromosome:AWHD00000000:4:17780355:17780534:-1 gene:ONIVA04G16000 transcript:ONIVA04G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKEARHGSLPSATRVSAPVGMRYVVPSVRPNAAPLRARFRHPPRHLLTTNGPHGESE >ONIVA04G15990.1 pep chromosome:AWHD00000000:4:17778143:17778697:-1 gene:ONIVA04G15990 transcript:ONIVA04G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLYVPQLTRWRVALGGVARGVVEHEGKVHLVVSRGEDDDRVVAAAGKEEEEAEYEDEDEDEDEDPRELVEIGGRLFPVVNETEVALHGGKVVRAVEYGERRGSPAAPLLLTVTEGKEKEVAEVVGAPDGGGVLRVVGCGCYADPVTGTVQHMVDVQGSEAFVLLVSVREELGRIVSIKRLN >ONIVA04G15980.1 pep chromosome:AWHD00000000:4:17776832:17778908:1 gene:ONIVA04G15980 transcript:ONIVA04G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKSKHTHHQSSVEPLDGHDAAELLAHRHEQHERLRALHVHHVLHRAGHRVGVAAAADHPQHAAAVGRADDLRHLLLLPLRHREQQRRRGGAAALAVLHGPHHLPAVERHLRLVHHREQPPPDLHELARVLVLVLVLVLVLRLLLLLPGGGDDAVVVLAARYHQVHLPLVLDDAARDAAQRDAPPRQLRHVQGRRHLDATRASGYGCGCSAGAATQEDQEASYGGAQDQRERWGMHELLARALLLDI >ONIVA04G15970.1 pep chromosome:AWHD00000000:4:17774093:17775424:1 gene:ONIVA04G15970 transcript:ONIVA04G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGSSIGRATIFYCVALSMIAGAAATQVPPTEAESVEAAELASSAFKADTLPSASRKLMAVSDVPLAPVCPVRFDKMKRPAIELGKKCKTTGVKVCCEAFKTFACPHNKLINDVNNGCADEMFYTIHTYGQLPPGTIFKKCLEGPHGMKC >ONIVA04G15960.1 pep chromosome:AWHD00000000:4:17772532:17773293:-1 gene:ONIVA04G15960 transcript:ONIVA04G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPASRVRREDVARAVAALLRWLQHHPTPAPQPIYLLVTLKRAPARRFEHTLRLPRSPFPSISLVSDRLPADLPDDIDPLPSPALGSLPPAARRGLVLVDRRLRVRPGGKGKAAAKAARVVPVDLADPAWAESAREAARRVELRVEGGTCRAVRVGHAAMAREEAVENVVAAVEAAAACVPRKWRNVRALHVKAPESVALPLYSAVGTGGGDDGGNGEAEDAKRKGVAVKEQGIVKRRKKSSSVSVGGDQL >ONIVA04G15950.1 pep chromosome:AWHD00000000:4:17763363:17767378:1 gene:ONIVA04G15950 transcript:ONIVA04G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKYLESGDGSASARSNGVFPGKSKSTGRCLVVGYIGRLRRPRGPPDLAVGGDIELNDLHRRQADPAVSSASSSFANDLHFCDLGGGCSFIPCVIVGSVASSSGARLLFKNFRHRERNKWQQEYVYNSTCIQVYRHSRRKLYNMYRSACIPT >ONIVA04G15940.1 pep chromosome:AWHD00000000:4:17762875:17763210:1 gene:ONIVA04G15940 transcript:ONIVA04G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRRGPPRGANSSVAGAAPRGGRVSGLNQPYNRMAKASRAPNPLATPTTHQGELPGPEVQQPAQQLGHQVAGDGVDLPVAAQEQAPVMGQEGHVPAAPVHAPESDPPAA >ONIVA04G15930.1 pep chromosome:AWHD00000000:4:17754403:17755884:1 gene:ONIVA04G15930 transcript:ONIVA04G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAPELDAPAQTFASEDGVPNVRARVLGGATSVNAPTLIGSATTARSVTTQKTQRGRRGRAERGKV >ONIVA04G15920.1 pep chromosome:AWHD00000000:4:17744620:17750005:-1 gene:ONIVA04G15920 transcript:ONIVA04G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDHQPVISLRPGGGGGGPRPGRLFSPAFAAAASGSGDLLRSHVGGASKIGDPNFEVRERVRYTRDQLLELREIVDIPEAILRIKQEIDIELHGEDQIWGRPESDVQVQTQTQAQPHNRYGETDNRDWRARTVQPPAANEEKSWDNIREAKAAHASSGRQQEQVNRQDQLNHQFASKAQVGPTPALIKAEVPWSARRGNLSEKDRVLKTVKGILNKLTPEKFDLLKGQLMESGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGAESLRAEIAKLTGPDQEMERRDKERIVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGSGPDKKACPEEENVEAICQFFNTIGKQLDENPKSRRINDTYFIQMKELTTNLQLAPRLRFMVRDVVDLRSNNWVPRREEIKAKTISEIHDEAIKTLGLRPGATGLTRNGRNAPGGPLSPGGFPMNRPGTGGMMPGMPGTPGMPGSRKMPGMPGLDNDNWEVPRSKSMPRGDSLRNQGPLLNKPSSINKPSSINSRLLPHGSGALIGKSALLGSGGPPSRPSSLMASPTHTPAQTAPSPKPVSAAPAVVPVTDKAAGSSHEMPAAVQKKTVSLLEEYFGIRILDEAQQCIEELQCPEYYSEIVKEAINLALDKGPNFIDPLVRLLEHLHTKKIFKTEDLKTGCLLYAALLEDIGIDLPLAPALFGEVVARLSLSCSLSFEVVEEILKAVDTYFRKGIFDAVMKTMGGNSSGQAILSSHAVVIDACNKLLK >ONIVA04G15910.1 pep chromosome:AWHD00000000:4:17741776:17744414:-1 gene:ONIVA04G15910 transcript:ONIVA04G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAIGGQILIPNSEGSSAGAAAGSIGGWRALRSEHGREAHHYSHTTIAKQSDENIYGLQFRICGLYERKIRDINPMARDLTYDINDLYNFIDGLTDISALVFDRSLHAFLPYDRRWIKQEMFQHLKRLAQQ >ONIVA04G15910.2 pep chromosome:AWHD00000000:4:17741776:17744414:-1 gene:ONIVA04G15910 transcript:ONIVA04G15910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAIGVRRPARRRAASAAGALFARNMAGRHTIILIQPSQNRATRTFMDFNSVSHALDGRLLYGMTGICGLYERKIRDINPMARDLTYDINDLYNFIDGLTDISALVFDRSLHAFLPYDRRWIKQEMFQHLKRLAQQ >ONIVA04G15900.1 pep chromosome:AWHD00000000:4:17739040:17741407:1 gene:ONIVA04G15900 transcript:ONIVA04G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQEEEDDDAALREAGGGSSDPHDGGGGGECGGWLRRLSRELHWSFVLAVVAVYGACQGVGDAVGGVAAGYYWKDVQRVQPSAAQFYQGFVSAPWVVKPVWGLLTDVVPLGIMPAILALTAQSAGAAVADVTVDALVAQNSITHQPLAADMQSLCGFSSSVGALLGFSISGLLVHSMGSQGALGLLSIPSALVFLAGVLLKERRVTDFDYKQVHRKFYKAIQSMGATLKCAEVWRPCVYMYVSLSLSLDIQAGMFYWYTDPIVGPGFSEEFIGLVYSIGSIGSLLGVLLYQISLKDYPFRGVLFWGQVLSSLAGMLDLIMVTRLNTRVGIPDYVFAVIDNSVSQMVGRLKWLPLLVLCSKLCPPGIEGTFYALLMSIQNAGLLMSGWWGGLMLHLLNVTRTEFSNLWVAVLIRNLSRLLPLMLLFLVPQSDQNSMLLPAEMLQDNESTEARKGGQHTAEFSVLVADDSSCHALSVAVEDERIKVVDAGTGTVELIPLMNELQDRGS >ONIVA04G15880.1 pep chromosome:AWHD00000000:4:17728149:17730466:-1 gene:ONIVA04G15880 transcript:ONIVA04G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLLHLWNEWGIQILVLASFALQVFLLVFGGIRRRSSSAVLRVALWLAYLLADSTAIYTLGHLSVASQPSSSPPRHHQLVAFWAPFLLLHLGGPDNITAYALEDNSLWLRHLQTLAVQVLGAAYVLYKHVAGGFLLLASVSMFTAGLVKYGERVWALRCGSMSSIRDKLDESDHMVRPYRFVTRGDDEEEEETLLQAHANLHVCKAVFLDITLEPGTIEPGCTVRVDPGEDMYKLLEMELSLMYDILYTKAAVIHTWHGLCVHLTSLLGTAAAFVLFQLSITISARNGGTSGFSAVDVAISYVLLAGALVLEAMSLCRALLSSWTCSLVHEKARSSSFYSGTPPAWLRWLRRALVGLRRPARSARRRLWRGSIGQYNLFHLCTRDRGELGSRMATKVGLQDWWNRLHCTGSFSDSGAGAGAGLSMRDMKELVSRALPLADVGARTNLNSRGRMILERMGARGGGDDDYFAQWSMGIDFDESVLVWHIATDVYIRESMARRRRHGGGEPSKLAEATMVLSNYMMFLLVAKPDMLPGRARHNLYLDICKSWEGLWRDCMTDEGNPVEISPRSWNPYRGLKELFHREGPNCSRIPQREKLAEKLFISYKDIQAFVQQGAARDPLLEPFRDSGDKCAVLLAKELLDLGRDEDEMLELIFGVWVEMMLYAADHCARDSHARQLSNGGEFITIVWLLVHHRMYIARYNKFINMLNSRHPGSNNPNV >ONIVA04G15870.1 pep chromosome:AWHD00000000:4:17722746:17724782:-1 gene:ONIVA04G15870 transcript:ONIVA04G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQPKISAFFKRQAPGPDKSSGGDTHRGGSDAMEAKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDDDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVADENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKVYLFISSQRIVGCLVVEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEGVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKTLARSYCKTSAFLVYKEQDA >ONIVA04G15860.1 pep chromosome:AWHD00000000:4:17718256:17721671:1 gene:ONIVA04G15860 transcript:ONIVA04G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFITVSPHKAPPGDSPSPSVAVPSPATVLLTGVPPERLEVHLSSSAVSPLGQKRTRRMEEVRVRESKDHRGGGGGGDGDGERTGRWRAEEVIAGNRAVLEALRELVTYPVLYAREARVLGLNFPRGLLLHGPSGTGKKSMVRAVVRECNAHLTVIDSISVHKPHAGEGEKFMREAFTEAYSQASQGRPAVIFIDELDDICPPRGRSRIVGQLLTLMDGKSSKLLPHLVVVASATRVDAIESALRRPGRFDSEIEVTVPTAEERFEILKLYTKNLHLGECVDLQSVAASCNGYVGADLQALCREAARHAYGRLSSSSESENVLTLIMEDWESAKSVAKNSVTRGVTKEIPTVSWDDIGGLKAVKKKLQQAVEWPIKHAASFDRLGISPIRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQMARLASPSIIFFDEADAIAPKRTGPSGNSSGNATVGERLLSTLLTEMDGLELATGIIVLAATNRPNAIDAALLRPGRFDM >ONIVA04G15850.1 pep chromosome:AWHD00000000:4:17715274:17716001:-1 gene:ONIVA04G15850 transcript:ONIVA04G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMRRQRQRRRQCIGVLCSALGRRRRLRFVVAAAVFVSGASCEGSFSTDAADASNAGKGGIPTGDELVVLSTDTRGASPEASTAATRDVAGANEAVVSARAEAIFPSRAVAVHNAVVSTRAEAIFSSSCGDADHKAVSSTVLTTGSETVSSSSSSDATATATAAGTETISFSSSGSNEFHDELLLAVDVHAGPALAKFLRAVLPERGAGGEGCRQVGLHIGFHHPRQASQDALP >ONIVA04G15840.1 pep chromosome:AWHD00000000:4:17711384:17712113:-1 gene:ONIVA04G15840 transcript:ONIVA04G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPAANQTGGPSVPVSLGRLDGLVSLASNVRANIIDTGFSVDAMARSFSAKGLTLDDLVTLSGGHTIGSAHCTTFGERFRVDANGSTVPADTAMNADYAGELIRACSAVNNTVSSTAAVDCDEGSASRFDNAYFANLLAGRGLLRTDAVLVQNATTRATVEAFARSEGSFFAGWAASFARLTSLGVKTGADGEVRRTCSRVNG >ONIVA04G15830.1 pep chromosome:AWHD00000000:4:17705263:17708084:1 gene:ONIVA04G15830 transcript:ONIVA04G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSLMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCSDQPAPMGVLSAADPPPVSAIGFEGYEKRLEITFSEAPVFADPDGRGLRALSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYSDKIVIKTCGTTKLLLTIPRILELAEGLSMPLAAVKYSRGMFIFPSAQPAPHRSFSEEVAVLNRYFGHLKSGGNAYVIGDPAKPGQKWHIYYATQHPEQPMVTLEMCMTGLDKEKASVFFKTSADGHTSCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGLAFSTIHVTPEDGFSYASYEVVGFDASTLAYGDLVKRVLRCFGPSEFSVAVTIFGGHGHAGTWAKELNADAYKCNNMVEQELPCGGLLIYQSFDATEDVPVAVGSPKSVLHCFEAENMVNPAPVKEGKLGNLLPWGEDALEENDGVFDE >ONIVA04G15830.2 pep chromosome:AWHD00000000:4:17706450:17708084:1 gene:ONIVA04G15830 transcript:ONIVA04G15830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSLMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCSDQPAPMGVLSAADPPPVSAIGFEGYEKRLEITFSEAPVFADPDGRGLRALSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYSDKIVIKTCGTTKLLLTIPRILELAEGLSMPLAAVKYSRGMFIFPSAQPAPHRSFSEEVAVLNRYFGHLKSGGNAYVIGDPAKPGQKWHIYYATQHPEQPMVTLEMCMTGLDKEKASVFFKTSADGHTSCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGLAFSTIHVTPEDGFSYASYEVVGFDASTLAYGDLVKRVLRCFGPSEFSVAVTIFGGHGHAGTWAKELNADAYKCNNMVEQELPCGGLLIYQSFDATEDVPVAVGSPKSVLHCFEAENMVNPAPVKEGKLGNLLPWGEDALEENDGVFDE >ONIVA04G15820.1 pep chromosome:AWHD00000000:4:17702023:17704219:-1 gene:ONIVA04G15820 transcript:ONIVA04G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISVEGYFGNFAFAQYSSSFLPIPHHLLILFAASIALIHQTERAAPPPEPERSAGAAATPSLHRSAEKPSSSPSTAVHLSHQGPAPTNHAAPGASLPLAGPFAFPRRTPCCPTPGFSMISPTAACPRFAWSRRPSRTVDIAISEFIVARRSVDVENLCEEKK >ONIVA04G15810.1 pep chromosome:AWHD00000000:4:17696958:17699209:-1 gene:ONIVA04G15810 transcript:ONIVA04G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0H2Q3] MAEIEIKTAPADFRFPTTNQTRHCFTRYIEYHRCVNAKGEATADCEKFAKYYRSLCPAEWVEKWNEQRENGTFAGPL >ONIVA04G15800.1 pep chromosome:AWHD00000000:4:17695350:17695532:1 gene:ONIVA04G15800 transcript:ONIVA04G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAIAVVPAGSQAGSSWLMMMRRMMSSSMVGLLVSSLEKKKKKKTPKPMVRCHDASCC >ONIVA04G15790.1 pep chromosome:AWHD00000000:4:17688390:17691016:1 gene:ONIVA04G15790 transcript:ONIVA04G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) with plant pleckstrin homology-like region [Source:Projected from Arabidopsis thaliana (AT5G57770) TAIR;Acc:AT5G57770] MAMELDRSLIACEEPPSEPMDLLSSAWCSSAIQVLQTGSMDCSMALVENPVMAPDNDRRDLLPKNDRSLVVDSSGFSTTQWKYDDLKSWIWLQKAIHPELDYDLKKKWLPRKMAPWSGISLKKWVKERKQKRKEEARLHKAEVHAAVSVAGVAAALAAIAAESSTPAATMKETAVASAAALVAAQCAKVAEAAGATRDQVAAAVNAAVAATDASNVITLTAAAATSLRGAAALRGRRSGGGGGGSQNERMDHASSALSQDDLDFDFNYARSKAALAKGDEMFVAMPDGKWKLHTVSAATSKGGKVVMRIKKMNLVMAFSNAKESVIHDVQPCAPEKPSRDEDATYPIEVTTSKGKVELRADDYGVYKRWVTALTHMLAAPTAICKAHELPPPPPPHRRN >ONIVA04G15790.2 pep chromosome:AWHD00000000:4:17688398:17691016:1 gene:ONIVA04G15790 transcript:ONIVA04G15790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) with plant pleckstrin homology-like region [Source:Projected from Arabidopsis thaliana (AT5G57770) TAIR;Acc:AT5G57770] MELDRSLIACEEPPSEPMDLLSSAWCSSAIQVLQTGSMDCSMALVENPVMAPDNDRRDLLPKNDRSLVVDSSGFSTTQWKYDDLKSWIWLQKAIHPELDYDLKKKWLPRKMAPWSGISLKKWVKERKQKRKEEARLHKAEVHAAVSVAGVAAALAAIAAESSTPAATMKETAVASAAALVAAQCAKVAEAAGATRDQVAAAVNAAVAATDASNVITLTAAAATSLRGAAALRGRRSGGGGGGSQNERMDHASSALSQDDLDFDFNYARSKAALAKGDEMFVAMPDGKWKLHTVSAATSKGGKVVMRIKKMNLVMAFSNAKESVIHDVQPCAPEKPSRDEDATYPIEVTTSKGKVELRADDYGVYKRWVTALTHMLAAPTAICKAHELPPPPPPHRRN >ONIVA04G15780.1 pep chromosome:AWHD00000000:4:17684570:17686456:1 gene:ONIVA04G15780 transcript:ONIVA04G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASGSATLLYPKTPQSPRLLRRNPHYSGLRLVHPLLLATVSPPPPAALRRRRNSTTIHASSSSAAAAAASFPASPTPPPRPPRTDPPEEHPTVARAGRSKKHRQPSGGRIEGGGDVRREAKSRARIRSRRLGENAFYRRKRRAAKENQADAFTDAELEMIGLGYDRSVRFMDGPDDPRLRHRHDWYRFGRYGPYSWRGIVVGPPIRGRFSDDRVSLMSEVSDHDEWDRVEQFDMSNQFSNRLNELDAAVGFRYYWVFVRHPRWRPDELPWEQWTLSAEVAIQASEEQRLDKWNLMGRLGNPTRELITRCAAWTRPDIIYVKRPLYQSRFEPQKNFYSQLRPLVDPVTENQFLFDLEHDGQVIRTTYFGGLCRIVKASPKAYVDDVVNAYSKLSDLDKNRCLEFLLTNHPMELLHPYTKEWKVKLEEMELGCDAPDDYESDDEGGDESGTEVVDWVEDDGFDEGGDTDDDEEPGYDDDEVIDVREEVETEEVESDDESEKYWDEQWKQAMKSSDKMEKLVKTSIEASNEYNRRRMQQEKEMELRMARANTMVMKQEQTEDEDEQQEQIEDEDEQQESPRGRSAKDKRKSKAPGHFLRAAVRPFTYRNLVKEIVLMRHFIVDGEID >ONIVA04G15770.1 pep chromosome:AWHD00000000:4:17677667:17680375:1 gene:ONIVA04G15770 transcript:ONIVA04G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDKSAVVGGAYWGLAARACDACGGEAARLFCRADAAFLCAGCDARAHGPGSRHARVWLCEVCEHAPAAVTCRADAAALCAACDADIHSANPLARRHERLPVAPFFGALADAPKPGSGAHGGDAAAADDDGSNDAEAASWLLPEPDHGQKDGAVGATDELYADSDPYLDLDFARSMDDIKAIGVQNGPPELDITGGKLFYSDHSMNHSVSSSEAAVVPDAAAGGGAPMPVVSRGREREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRTKGGAGADADADADGEDEEMYSSAAAAVAALMAPGGSDADYGVDGVVPTF >ONIVA04G15760.1 pep chromosome:AWHD00000000:4:17671437:17675089:-1 gene:ONIVA04G15760 transcript:ONIVA04G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAVPLDEAKAKEVLRQVEFYFSDSNLPRDNFLRKTVEESEDGLVSLALICSFSRMKKHLGLDADVKQETMPEETVLAVAEVLRRSSALRVTEDGKKVGRSIELSKLDEIMEQVDSRTIAASPFPYNVKLEDVQSFFAQYGKVNSVRLPRHIADKRHFCGTALVEFSEEEEANAVLKNTLVFAGADLEIKPKKEFDTEREAKKEAYEKSQPTKNGHDEGYPKGLIVAFKLKIIQIDGGMAENGGDKEGETDDANKSRTGHDEKIPENSDIKEDLSDDVEKSKEAAAQSVKKGESPSENADDPISREDFKEEFGKFGTVRYVDFSIGEDSGYIRFEDSKAAEKARALAAISDEGGLIMKGHLVTLEPVSGQAEKDYWSAIKGGQGKYRDNRSNRGRDWKNNRGGRHFGGKRGRHSDGHERANKARKVDAAA >ONIVA04G15750.1 pep chromosome:AWHD00000000:4:17664904:17670028:-1 gene:ONIVA04G15750 transcript:ONIVA04G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATTSSAAARPSSSSSFSRQSDAPLRAATVSFPYSPRPAALAAGARASRVSPVVVAAGGGHQRLMGSLTNTQGLRFGVVVARFNEIVTNLLLQGALETFERYSVKKENITVVSVPGSFEIPVAAQKLGKSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLSAGLSAEIPCIFGVLTCDDMDQALNRAGGKAGNKGAEAALTAEEGYLALEGVYRNHGGSQEQTKGGDNFDDADIVRDDTWVQSCSGNLHFYDYHVVYSFSYKVPVLYFQGHQSGGQLLTLDEIKEDLPSLSLKLLGESRWTFITREEHPHFSRPWFTLHPCGTSDSMKLLLEGMQDKDQQVRYLPAWLTVVGQAVGLKIPLGLHCNS >ONIVA04G15740.1 pep chromosome:AWHD00000000:4:17662446:17665089:1 gene:ONIVA04G15740 transcript:ONIVA04G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYIVGSVTASFAFAYVCGVYFADKKVLGGTTPRTVADKEWGKVTEEKLDAWPRVAGKPVAMNPVTRQNYVLVKKKKASGSKKASEP >ONIVA04G15740.2 pep chromosome:AWHD00000000:4:17663786:17665089:1 gene:ONIVA04G15740 transcript:ONIVA04G15740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHFCRAINQDMATKYIVGSVTASFAFAYVCGVYFADKKVLGGTTPRTVADKEWGKVTEEKLDAWPRVAGKPVAMNPVTRQNYVLVKKKKASGSKKASEP >ONIVA04G15730.1 pep chromosome:AWHD00000000:4:17652912:17656237:-1 gene:ONIVA04G15730 transcript:ONIVA04G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-hairpin glycosidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G65610) TAIR;Acc:AT1G65610] MYSANHWGGSFEIAADGAAEDDHSRNMDLDRGALSARQHQLDETQQSWLLGPPEAKKKDKYVDLGCVVVKRKLLWWVLWTLLAAFILIGLPVIIAKSIPKKKPHAPPPDQYTDALHKALLFFNAQKSGRLPKNNGIKWRGNSGLSDGSDLTDVKGGLVGGYYDAGDNIKFHFPLAFSMTMLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLLTFNSSASTIDKVYSQVGIAKINGTQPDDHYCWNRPEDMAYPRPVQTAGSAPDLGGEMAAALAAASIVFRDNAAYSKKLVNGAAAVYKFARSSGRRTPYSRGNQYIEYYYNSTSYWDEYMWSAAWMYYATGNNTYITFATDPRLPKNAKAFYSILDFSVFSWDNKLPGAELLLSRLRMFLNPGYPYEESLIGYHNTTSMNMCTYFPRFGAFNFTKGGLAQFNHGKGQPLQYTVANSFLAALYADYMESVNVPGWYCGPYFMTVDDLRSFARSQVNYILGDNPKKMSYVVGYGKKYPRRLHHRGASTPHNGIKYSCTGGYKWRDTKGADPNVLVGAMVGGPDKNDQFKDARLTYAQNEPTLVGNAGLVAALVALTNSGRGAGVTAVDKNTMFSAVPPMFPATPPPPSKWKP >ONIVA04G15720.1 pep chromosome:AWHD00000000:4:17646882:17648136:-1 gene:ONIVA04G15720 transcript:ONIVA04G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNKKIVLRNHVTGFPKESDMELVAATGAAPSRVPEGTDGAVLVKNLYLSCDPYMRGRMSSHDGSYVDAFVVGEAITGYGVGKVVDSSHPGFKAGDLVWGMTGWEEYSLIKDPSRALFAIRHPDLPLSYYTGLLGMAGFTAYVGFHEICAPREGERVYVSAASGAVGQLVGQFAKLMGCYVVGSAGSDDKVRLLREKFGFDDAFNYKKESDLSAALKRCFPEGIDIYFENVGGAMLDAVLLNMRVRGRVAACGMISQYNLEHPDPVHNLTAIVTKRLRIEGFIVSDHYARYREYEEKAARYVKEGKIAYVEDVAEGLENAPAALIGLFSGRNVGKQVVVVARE >ONIVA04G15710.1 pep chromosome:AWHD00000000:4:17641440:17642617:-1 gene:ONIVA04G15710 transcript:ONIVA04G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSSPNRQRLQQLVTWFVPHTPLHPTRCLCLRLLPSCKTASAPRLSAPPLLPRSPSPPPDPTHARRGSTRRVPPCGRSAARAPGSTRKGITLTFS >ONIVA04G15700.1 pep chromosome:AWHD00000000:4:17635806:17641417:-1 gene:ONIVA04G15700 transcript:ONIVA04G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYALEHTNIPVLLRHSSISTFGQEKFGCEIEQSTASQNSRESNHEKAQYILRKQGLLGLSILCHPTRGASLASYESKPQTFGFPLGARYFLQSVRPTSSTAGQPKVGILDERSENQNQNQGKKEASPEECDQAVEGLSTAKAKAKAKLVQEVQKSDQSIIHKFWAILLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLTRRERQQLTRTTADLFRLVPFAVFIIVPFMEFLLPVFLKMFPNMLPSTFQDKMKEEEALKRKLKARMEYARFLQDTAKEMAKEVQTSRSGEMKQTAEDLDEFLNKVRKGGHVSNEEILSFAKLFNDELTLDNMNRARLVNMCKYMGIQPFGTDHYLTFMLRKKLQEIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMQNQLRDWLDLSLNHSVPSSLLILSRAFTMSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSDRKRKLEFLEMQEELIKEEEKRQEKEDKAKLEVPKATEEDVALKEMTEPTAREEKELKKAKVEHDRKEQLCDISQALAVLASASSVAKERQEFLNLVNKEIELYNTMLEKEGTEGEEEARRAYKAAREESDHAAEIAAGEKVSSALIERVDAMLQKLEKEIDDVDARIGNRWQLLDSDRDGKVTPDEVAAAANYLKDTIGKEGVQELISNLSKDKDGKILVEDIVKLASQTGESNEQEETPRQ >ONIVA04G15690.1 pep chromosome:AWHD00000000:4:17632928:17633365:1 gene:ONIVA04G15690 transcript:ONIVA04G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDGRGPEQSDGAAVPLRRFLMSTGLDADMRRGREGDAASVAVVEEGVGGRGGGRKVCNGGGDGRRDANAYYRRMIQADPANPLLLGNYARFLKEVEGDAARAQEYWERAIVANPGDGDALALYAGLVWETTRDTDRADAYFT >ONIVA04G15680.1 pep chromosome:AWHD00000000:4:17629389:17631336:-1 gene:ONIVA04G15680 transcript:ONIVA04G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGSSSGAPGATDSPEDGMTDGSFHSPEWHAARLASLNKTHTVTWEEFKKKQKEDELKRGEMEADKDKMMREYRAQLDAERAQKLALGRNHSRSKSSSSKKEKKDKDAKKRSKKRRKHRSSSESSSSSSSESSSSDDEDRDSRKSRSRSRSKRTKKDKKYRSRSKHRGSDSEEEGPVRLSKFFGNPKK >ONIVA04G15670.1 pep chromosome:AWHD00000000:4:17621162:17627346:1 gene:ONIVA04G15670 transcript:ONIVA04G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G16260) TAIR;Acc:AT5G16260] MEGGGEVGWYVLGPNQEHVGPYALSELREHFANGYISESSMLWAEGRSEWMPLSSIPDLLAVVTKKDQPDEGIEDDFDKFQKEVIEAEAEVEASTDKAADNDINQEHGADDPDDRPATPPDGEDEFTDDDGTVYKWDRVLRAWVPQDDLEGKNDNYEVEDMTFAHEEEVFQAPDIAGSTTLEENNVSAEIEIKEPTKVEKRADKKRKSSEKPADKKEANKPPDSWFDLKVNTHVYVTGLPDDVTAEEIVEVFSKCGIIKEDPETRKPRVKIYTDRETGRKKGDALVTYLKEPSVALAIQLLDGTSFRPGGKTLMSVSPAKFEQKGDVFISKKTDKQKKRKTKKVEDKILGWGGHDDKKVTITTTVILRHMFTPAELRADETLLPELEADVREECMKLGPVDNVKVCENHPEGVILVKFKDRKDGIKCIEKMNGRWFGGNQIQASEDDGSINHALIRDYDAEVSRLDRFGEELEEST >ONIVA04G15660.1 pep chromosome:AWHD00000000:4:17617435:17618016:-1 gene:ONIVA04G15660 transcript:ONIVA04G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSVIAPPAGDSASPAHRRARRAFLVSNYMILGAASGCGFLTLSLRLVPSVDGFLLILLHAITVAAAVAGCAVIAAPDPPRGRVYTTHMAGTVFVSILQGAAAVLTFSRTSDFLADGLKSYVREEDGAVILRMIGGLGVAIFCLEWIALALAFVLRYYAYVDRECGGNPLRRSAKVGGEDGAGTWPWPFQV >ONIVA04G15650.1 pep chromosome:AWHD00000000:4:17612297:17613371:-1 gene:ONIVA04G15650 transcript:ONIVA04G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHTYLLCRFFSPKNKNLRPRPYHNPIKDFGLQPIGWARQLQPKTLYPVEPISPYILPAAERCGKEQCDRAAMGVVASRLVASCDAGESCIVLEKEPPRRRELLPCWRGNLVGAPACRSLRAAKLVSPICDGEGRHASTGREKGEAAAGCHTPIWHRCTTAPDRSVS >ONIVA04G15640.1 pep chromosome:AWHD00000000:4:17600867:17606309:-1 gene:ONIVA04G15640 transcript:ONIVA04G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSSKEPSSARAWWTRETVAVVTGANRGIGLALAARLGEHGITVVLTARDAERGEAAAAALRARGLHVVFHRLDVADPASVQAFAAWLRDAIGGLDILVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLTEALLPLFRRSPATSRILNISSQLGLLNKVSDPELKRLLQDEERLTEAEVEGMASRFLAQVKDGTWRGQGWPKVWTDYSVSKLALNAYARVLARRLQARGDRVSVNCFCPGFTRTDMTRGWGKRTAEEAAEIGARLALLPPGELPTGTFFKWCTPQLYSKL >ONIVA04G15630.1 pep chromosome:AWHD00000000:4:17586743:17592460:1 gene:ONIVA04G15630 transcript:ONIVA04G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPTPSNRAGCNGNTGGTMGPSDDPYGAAAMNLDCYSEIYSPSVADQLFSLLNDPAAHRMFAMWPSMGSSPCAAGTSEDMPLDAYSGLGEAVEEPSQIMSVNPTEAEKTGKSSGELGSDDGAHQGSSMVPRSVVGSSLADRMLMALSLFRESLGSGALAQVWMPVEQEGHVVLSTCEQPFLLDQVLAGYREVSRHFVFSAKEEPGLQPGLPGRVFISGVPEWTSSVLYYNRPEYLRMEHALHHEIRGSLAMPIYDPSKDSCCAVFELVTRKEKPDFSAEMDNAVNLKATKGSSNQKFYTENQKFAFTEILDVLRAICHAHMLPLALTWVPTPNGIDGGYVVGKDGASFSQSGKTIICIHESACYVNDGKMQGFLQACARRHLEKGQGIAGRALKSNLPFFSPDIREYSIEDYPLAHHARKFSLHAAVAIRLRSTYTGNDDYILEFFLPVSCKGSGEQQILLNNLSSTMQRICKSLRTVYEAEVDNVNAGTAAVFRKNNESCLPTGHTESSSHGDQSITGASFEDTSLANKPGVMEPELAEQVQPSSIGHAEKKRSTAEKNISLDVLRKYFSGSLKDAAKSLGVCPTTLKRICRHHGISRWPSRKINKVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPVVSLPEKLTFPSCDGLPTPSVGKTVEENSDLKSEEGCSLPDGSQRQSCQLQISDVKKSNEDEFHIGSGNSDFYGANATAKSNSEVTQGPLCPTGAFSALHLKGTDCTNPSSSLRPSSESTRNQIVGRNSPSIQQEDLDMLDNHEAEDKDHMHPSTSGMTDSSSGSASSHPIFKQNTRSALKDAASPALTVKATYNGDTVRFKFLPSMGWYHLLEEIAKRFKLPTGAFQLKYKDDEDEWVILANDSDLQECVDVLDSIGSRIVKLQVRDLPCIVSSSGSSTCLQLAAHSS >ONIVA04G15620.1 pep chromosome:AWHD00000000:4:17575184:17578616:-1 gene:ONIVA04G15620 transcript:ONIVA04G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGHPPPASAAAQNGSHSSGGGGGGDGGGGGANPSPGGTVAALRHDPGLAREWSPEEQSTLDELLVKYASDAPVIRYAKIAMKLPDKTVRDVALRCRWMNKKESGKRKKEDHSSSKKSKDKKEKVSDSSLKPPVHIAGRPNVPPYPLPALPIDDDEISSKAIGGPTGEILETNAQVLSQISTNLSTMQIQDNISLLCQTRDNILRVLKEINDAPDIMKQMPPLPVKINEELVNSMLPRPTVPMQ >ONIVA04G15610.1 pep chromosome:AWHD00000000:4:17573280:17573814:-1 gene:ONIVA04G15610 transcript:ONIVA04G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLDSPSSAPPPRHRQRPPSVVLPERPCARLMTGVEGCGQAPGMESAVRAVRTDAVVEADRHRRRCVGRGVRRALGGESGRRAALARAEDGQVRRRPFGHRAERTGGGGGRRRGSVKERLGRTTPGGRRRWRVHAQANKQQQLVVGLS >ONIVA04G15600.1 pep chromosome:AWHD00000000:4:17568581:17572547:-1 gene:ONIVA04G15600 transcript:ONIVA04G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRIFGGLKPTKSRLLGPTIRGPPPNGAATHAPRRILFSFSFSPESQWLGQSSTRACLPPLRSPCLPAPSAASPAYPRLASSAASASAPARLRSRITLPGGRSPVPPMDPAASAAESSSLSSRDVAAMPDSPPRRAARHRRAQSEILLGAALPDDIAFDADLGVVGEVGGGGGDDYEEEEDDEEEEMEGAGGSRMFEMFLEAGGKLETPEPAAPLPPPLARPRHQHSMSMDGSTSLASSAAGVAGRMGADAKKAISDAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLSMLQRDTTGLTSENSDLKIRVQTMEQQVRLQDALNDRLRDEIQQLKVATGQVNANCGKVGNFGLSSFGGANPQGYQRSHIQSLLAAQQLQQLQIHSQHQQQQMHLQQHHHLSTVQQQLLQEGLPLPGDLKMKGIVAASHAQNAGASESHALRSEPNRMI >ONIVA04G15590.1 pep chromosome:AWHD00000000:4:17565377:17569626:1 gene:ONIVA04G15590 transcript:ONIVA04G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASCSSSSAKHLFLLCLLLGFCFAFAASQQEQQQSDSCSSAGVAVAHLVPFNSSAFRCLTVWKQEDFVLRYKNTGESQWSFILSAPDKGSYVAVGFSGKGLMVGSSAVVAWSSGGKGTVKQYYLTGKSPDECYPDKGRLTLVKNKAVAVSRSGRLYLAFQLSTDLPQPHLIYAVGPEGNLPPSDATLPMHRSMHSHAFNYTSGMASSSGGSGGGGFPPERKHGLLAMMAWGVLMPLGMMAARYFRRVDPYWFYAHMAIQAVAFTVGIASVVLGFRLNEDGLKNVDVHRALGIAILAMASLQVMAFLARPDKTSKVRRFWNWYHHYIGRAAILVAIGNIFLGLHIAQEVSAYIVSYGVFVAVWVIAVAAFEMNRCYSDED >ONIVA04G15580.1 pep chromosome:AWHD00000000:4:17560264:17560752:1 gene:ONIVA04G15580 transcript:ONIVA04G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNPSKRVDAALRGAPAFAAACDDAFGRCLADAQYAFAGVRPYQLADASAHLHSSLRGSLPLVRRWVPSPPPRARVDSALRAAGLEDAAVLSRGQFREFAAELFREAVLAGAAQAALVRAPAGAAGLVGVGLATRAGAGVVGRLVAIYTAGVAAAVYLSLG >ONIVA04G15570.1 pep chromosome:AWHD00000000:4:17558751:17559244:-1 gene:ONIVA04G15570 transcript:ONIVA04G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPTKHVAPQRHTLGIKNALVLVFYACDGSRPIGLCEYQLTNVGRAIAGTKKRSLRKNKGGEGGGVGHDVLTTALTHPLMGRKAHAGVGDRAQQPLPRRGRGRGGIRVVR >ONIVA04G15560.1 pep chromosome:AWHD00000000:4:17555365:17555589:-1 gene:ONIVA04G15560 transcript:ONIVA04G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRDKILAVFLLLVALSSTILQTAVEAARRLPGEQHSAAHAMATSLHERARSLLMAWVAQLTAGPSPRGPGH >ONIVA04G15550.1 pep chromosome:AWHD00000000:4:17535079:17552153:1 gene:ONIVA04G15550 transcript:ONIVA04G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKTEMIQTTPCVALLLLVGVAFAARSASAITDGLLPNGNFEEAPDKSQMNGTRVTGRYAIPQWEISGFVEYIGSGQKQGDMLLPVPEGAYAVRLGNEASIQQRLTLTRGMHYSITFSAARTCAQSELLNITITPESGEIPIQTVYTSSGWDSYSWAFKAKHSVVLFIVHNPGVSDDEACGPLIDSFAIKTLNPPQRTKGNMLKNGGFEEGPYIFPNTSWGVLVPPMDEDDYSPLSPWTILSTTKSVKYIDAAHYAVPGGARAVELVSGMETAMLQEVSTVPGRSYRLEFSVGDAGDGCSGSLTVQAYASRGSVKVTYQSQGTGGYKRGLLEFTATEKRTRVVFVSMAYTTKSDGTLCGPVIDDASLVSVRSHRRFLLYSEYREYFLSRRQLMRRKTEMVRSTCCVVLLLLLSVAARSASAIMDGLLPNGNFEEAPDKSQLNGTRVIGRYAIPQWEISGFVEYIGSGQMQGDMLLPVPEGAYAVRLGNEASIQQRLTLTRGMHYSVTFSAARTCAQSELLNITVTPEIGEVPIQTVYTSSGWDSYSWAFKARRSDVSLIVHNPGVTDDAACGPLIDSFAIKTLQSPPSTKDNLLKNGGFEEGPYIFPNTSWGVLVPPMDEDDYSPLSPWTIMGYTKSVKYVDAAHYAVPGGARAVELVAGMEAALVQEVCTVPGRSYRLEFSVGDAGDGCVGSMSVQAYVSHGSVKVPYESQGRGGYKRGVLEFTATDKRTRVVFVSMAYIMKPDGTLCGPVVDDASVVGVHSHRRLLLVGRREQPRARDSREGSHGMTGSARSVVALLFLLVGSAARADSTVTDGLLPNGNFEDGPDKSQLNGTVVTGRYAIPNWEISGFVEYIESGHREQDMILAVPEGARAVRLGNDATIRQRLSVTRRAYYSITFSAARTCAQKEKLNVSVTPEFGVLPIQTVYTSSGWDSYSWAFRAKHSVVWLSIHNPGEEEDPACGPLIDSIAIKNLYPPRRTKGNMLRNGDLEEGPYIFPDATWGVLVPPIFEDEHSPLPGWMIMSDTKVIKYVDSPHHRVPQGARAVELVAGRETALVQEVATVPGRSYRLSFSVGDAGNGCKDSLAVEAYAARATAKVPYESQGTGGHKRAQLEFAAVANLTRVVFQSFNYHTKPDGTLCGPLVDDISLVSVRKRAARLRRVSRRPGLILPAQITQVVISNSSYICELAHWPLANREVRVLDRVTRAHDHGLGEVHALPGSCGGGARWRGCTGGLLCHRCSIIYCAYRPSTKRQLRARAGQVPAEPNWEISGFVEYIGSGHKEQDMILAVPEGARRAPWQRRNHPAADQRHPAARTCAQAEKLNVSVTPVFGVLPIQTVYTSTGWESYSWAFKAEHSAVWLSIHNPSVEEDPACGPLIDLVAIKTLPPPHHTRGGTMLRNGDFEEGPYIFADTPWGVLVPPMDEDVHSPLPGWMVMSTTKVVKYVDSARHAVPSGAHAVEMVAGRECALVQEVATVPGRWYTLSFSVGDAGNGCIGSLAVDAYAARATLKVSYESRGTGGHERAELVFAAVANRTRVVFHSSNHHMKSDGTLCGPVVDDVSLVSVDKHTVRRLLM >ONIVA04G15540.1 pep chromosome:AWHD00000000:4:17521316:17524117:1 gene:ONIVA04G15540 transcript:ONIVA04G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYNGASVVALLLLVSTAARAAGDGLLLNGNFEYQPSKSQMNGTRVMAEYAIPYWKITGFVEYISSGQKQGDMLLTVPEGAHAVRLGNEASIEQQISVTRGMYYSITFSAARTCAQSEKLNVSVAPGPESGELPIQTVYTSSGWDSYAWAFKAKRGLVSLIIHNHGEDDDPACGPLIDSVAIKTLYPPQATQNNMLRNGDFEEGPYMFPNAAWGVMVPPISEDDHSPLPGWMVMSDTKAVKCVDSAHFTVPHGARAVELVSGLETALMQEVRTVPGRSYRLEFSVGDASDGCVGSMQVKGYAGQGCTTVTYSSQGTGGHTRASLEFAAVANTTRVVFVSSTYITKWDGTLCGPVVDDASLVCVSQQQPPARRLLRL >ONIVA04G15530.1 pep chromosome:AWHD00000000:4:17513984:17517919:-1 gene:ONIVA04G15530 transcript:ONIVA04G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRAEPSSHRFCSRDPPSTATGGADPATLPLPHGYRPLFGPLSRGSTVGGDGRSRSGDLFPSRTCPVFSPPASRADPSPATTRGLDPATSSPSATADLIVKLEYNHSNGSYPIKALELHGSRDESNVSSSSPSSSSSDHQEEKKERRKGRSGRTGVAYWGGGGMRREEGEEEGKKWEDWGRVLGRRGDAVAGRWIAH >ONIVA04G15530.2 pep chromosome:AWHD00000000:4:17513984:17517919:-1 gene:ONIVA04G15530 transcript:ONIVA04G15530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRAEPSSHRFCSRDPPSTATGGADPATLPLPHGYRPLFGPLSRGSTVGGDGRSRSGDLFPSRTCPVFSPPASRADPSPATTRGKALELHGSRDESNVSSSSPSSSSSDHQEEKKERRKGRSGRTGVAYWGGGGMRREEGEEEGKKWEDWGRVLGRRGDAVAGRWIAH >ONIVA04G15520.1 pep chromosome:AWHD00000000:4:17507341:17508295:-1 gene:ONIVA04G15520 transcript:ONIVA04G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPTPTMLAFLALGLALLLSATGQASAQNCGCQSNMCCSKWGYCGTGKDYCGDGCRSGPCYGGGGGGGGGGGGGGGGGGGSGVSVESVVTEAFFNGIKNQAPNGCAGKNFYTRQSFLNAAHSYSGFARDRTNDDSKREIAAFFAHVTHETGHMCYINEINGASMDYCDKNNKQWPCQPGKKYYGRGPLQISWNYNYGPAGQNIGFDGLRDPDRVAQDPTISFKTALWFWMNNVHQVMLQGFGATIRAINGALECNGKNPGAVNARVNYYKDYCRQFGVDPGGNLYC >ONIVA04G15510.1 pep chromosome:AWHD00000000:4:17458300:17460262:-1 gene:ONIVA04G15510 transcript:ONIVA04G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLHPAGGAVEVVRRLGFCSGTLPSARSGRKGGGGAAIPSAPSSGRGCGGGPTTLAASSPLPDLAGGDAVAPSNWRGGGERGVSLLLLYIGRRWLPSAALFIRRWRRRWRRIVDDALKGLNPSVLKKTVVLTSIAIKKQGGGAGLSREGSGG >ONIVA04G15510.2 pep chromosome:AWHD00000000:4:17458300:17460262:-1 gene:ONIVA04G15510 transcript:ONIVA04G15510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLHPAGGAVEVVRRLGFCSGTLPSARSGRKGGGGAAIPSAPSSGRGCGGGPTTLAASSPLPDLAGGDAVAPSNWRGGGERGVSLLLLYIGRRWLPSAALFIRRWRRRWRRSIAIKKQGGGAGLSREGSGG >ONIVA04G15500.1 pep chromosome:AWHD00000000:4:17433047:17433460:-1 gene:ONIVA04G15500 transcript:ONIVA04G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRRRQQKMMPPPLSNFDARNGVPTPGQAEQETMELVRMLTACADSVSAGNHEAAIYYLARLCEMASLAGPMPIHRVAAYFIEVLTLRVVRMWPHMFNISPPREPANDAFSGDDDAMALRILNTITPILLLGKHS >ONIVA04G15490.1 pep chromosome:AWHD00000000:4:17428112:17431902:1 gene:ONIVA04G15490 transcript:ONIVA04G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGKRERGGSRDGSRSILSQREPAETCTGGRMRALVGSVAGPRVAHPDEGCTAGPLHPSSTKFFPLLARSSSSPHVMPSRRRILAGAAGSATVHTGCRSRILLLPHRRGRSRPRSHPPLPALRSAPSAATATAAMHSTAGWRRGRPSSSSAEPTSSSPHPPPPNSTDAAGYFWSMIALVSPDDRSIAHCNPDTAFSTPRQ >ONIVA04G15490.2 pep chromosome:AWHD00000000:4:17428285:17431902:1 gene:ONIVA04G15490 transcript:ONIVA04G15490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRILAGAAGSATVHTGCRSRILLLPHRRGRSRPRSHPPLPALRSAPSAATATAAMHSTAGWRRGRPSSSSAEPTSSSPHPPPPNSTDAADWWSITLLLGCSSHGQRRRLKELGSL >ONIVA04G15480.1 pep chromosome:AWHD00000000:4:17418893:17423410:1 gene:ONIVA04G15480 transcript:ONIVA04G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0H2L5] MDPGVEKKKQQMELVDVESGGLPVERQDSLFREAVRAEHAGAAHWDEQDSWGRTMSLAFQCVGILYGDIGTSPLYVYSSTFEHGIGHPDDVVGVLSLIVYSFMLFTVIKIVFVALHANDHGDGGTFALYSLISRHAKVSLIPNHQAEDELISGYSSSGKPSATLRRAHWLKQLLEASKAAKISLFLLTILAIAMVISDAVLTPPISVLSAVGGLREKVPHLTTDQIVWITVAILVVLFAIQRYGTDKVGYSFAPIILLWLLLIGATGLYNLIKHDISVLRAFNPKYIIDYFRRNKKEGWVSLGSILLCFTGSEALFANLGYFSIRSIQLSFSFALLPSVLLTYIGQAAFLSKNPKNVANTFFAATPISLFWPTFIMAIAASIIGSQAMISCAFATVSHLQSLSCFPRVKILHTSKRFPGQLYIPGVNFLLCVAACVVTVSFKTTVIIGKAHEICVILVMIITTLLMTIVMLLVWKINILWVALFFITFTSTEAVYLSPVLYKFTHGPYVPVAMSVVLMVVMIVWHYVHVKRYKYELEHTVSTDKVKEMLESHDLKRVRGVALFYTELVQGIPPIFPHLIEKIPTIHSVLVFISIKHLPVPHVDTSERFLFRQVELKDYKVFRCVARYGYRDSLEEAKDFVVTLLENLQDYIRDVNLYTDEPHTISAHSSCNHSFSWEKPSGRYAVHAEDMLTPIESFSEITALSNYGSDRLPHFKASKMNMEELAKIEQEQMFIEKEMEKGVVYILGETEVVVRPHSSLLKKIVVNYVYSFLRKNFVQGQKMLFIPHRQLLKVGISYEI >ONIVA04G15470.1 pep chromosome:AWHD00000000:4:17403034:17404861:-1 gene:ONIVA04G15470 transcript:ONIVA04G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYEQGAIMSSMESSCLPATTESIAKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIIGTSELQISLCKEMVEWTRAEKRTFLRQRVETRLAALLLENHEYTDALTLLTDLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKTKASLTAARTAANAIYVPPAQQGTIDMQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYARVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >ONIVA04G15460.1 pep chromosome:AWHD00000000:4:17401822:17402114:-1 gene:ONIVA04G15460 transcript:ONIVA04G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEFAGSIKPGRYGGEWCGSGGGCWSGLGFYMLLLLCLVLCAMFANEGVRLWIPGTLLAASLLGCSASTSSQVVRS >ONIVA04G15450.1 pep chromosome:AWHD00000000:4:17398991:17404332:1 gene:ONIVA04G15450 transcript:ONIVA04G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKTLSHDLGRPHVKTVNDLPNIRNSFKCSREDCLGLGILKDNEAASTLIESSCKLLVKDHLRQLLLNMINWQLNHLRDMCNLYPCVRLNQPAQVLLQEGIIQR >ONIVA04G15440.1 pep chromosome:AWHD00000000:4:17394990:17398206:1 gene:ONIVA04G15440 transcript:ONIVA04G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H2L1] MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKYAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTAEELATATQVQGDYMPIARGEKRSVEVVKVTDEMKAFKAYAKLRVERMNQRHVGARQKRAAEAEKEEKK >ONIVA04G15430.1 pep chromosome:AWHD00000000:4:17386898:17388325:-1 gene:ONIVA04G15430 transcript:ONIVA04G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCVGIKYSSVNEGEERKGGHGVPKVSIIPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIAGFLLFALIWSVPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYVKSSIPALGDGLPRTLAVLILTVALTYMNYRGTLAGEVENPKRTLPRALSYGLVLVVGGYLYPLITCTAAVPVVREFWTDGYFSDVARILGGFWLHSWLQAAAALSNMGNFVTEMSSDSYQLLGMAERGMLPEFFAKRSRYGTPLIGIMFSAFGVVLLSWMSFQEIIAAENYLYCLGMILEFIAFIKLRVVHPNASRPYKIPLGTIGAVLMIIPPTILIVVVMMLASFKVMVVSIMAMLVGFVLQPALVYVEKRRWLKFSISAELPDLPYSNVEEDSTIPLVC >ONIVA04G15420.1 pep chromosome:AWHD00000000:4:17383351:17386314:1 gene:ONIVA04G15420 transcript:ONIVA04G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVRRRAQPARPPLASSLPQTLPIRGQLYKAAPLHPPQPLAAATPLSPSFPQLNPNSSPPPPPPPPPPEQRAAAPSPPPPAMATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPTTPLPDLVTIHAPKEEEELRPPVLIAEA >ONIVA04G15410.1 pep chromosome:AWHD00000000:4:17377291:17381362:1 gene:ONIVA04G15410 transcript:ONIVA04G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGPRTLPKRRICSRVFRFRKAGKRSGKPSKYLAFHFFFFAFEIPRIWFPLLIWGNGGGGERAAAAATAAAKKKMASNFSFPPLAPEQIAEALHTYGLAPTANLRAEDIANPQPDLLPAVISNFLATVVDPTGADDLDGQLGFDALASLDNPEHYREGIRVLRLHKRANAFLESIQFPGFTLRDLLRPDQRRLVQVLSALINFLYYRDEKLALLQPIIHEFPNLDERCMELNAKIAEHQKAIADQELAAQMEVPMVQQLEAEVNSLKQKLVEYNKKQLALRANATAINDKKEETHRKVISKSDFELVKLAQENSKLLSKIVQSPEKLQRALEEKKTARAELKNAEKIAMQSVQEKTATLEIYSKGYEKLSKHSSKIQALQEQFTATKALEKEVKARKAKISDESVEIMALDTKTIEWDGKVHEMEECVKAKEKERDQIVADENQKLAALRSEVEWKLKCLEPRERKVEETIAKATKLCAEVDSTRTDAAEELRLIYAKFQQIGHAFTCYKDNFKTFLEQVDEVSKETLESLGRQAVEPLDTSATLSIEKENSCGPAP >ONIVA04G15400.1 pep chromosome:AWHD00000000:4:17371344:17374467:-1 gene:ONIVA04G15400 transcript:ONIVA04G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLAEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >ONIVA04G15400.2 pep chromosome:AWHD00000000:4:17371344:17374720:-1 gene:ONIVA04G15400 transcript:ONIVA04G15400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPARPPPPIHRPLPPSDGPGSSSLRPASPILRLDAQTLLQHQQPRVRANHSGRSLPLPLSSPRGVGSEFSSDREWRRSGRRRRRDESLRARPKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLAEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >ONIVA04G15390.1 pep chromosome:AWHD00000000:4:17368719:17369692:1 gene:ONIVA04G15390 transcript:ONIVA04G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVCVVCRIQPNGSSDAYRRWKLAVPSRARNVYLKLTCPCFQRRCTCSGMYQMFINGNAQPQGPSFLEMLCQGDWLFSQPPIMQPQTTRMYSAIQMMGYAGNTQSYGEPCSYGGGSSIAQHEIGPPQIDEPPPIT >ONIVA04G15380.1 pep chromosome:AWHD00000000:4:17359985:17366045:1 gene:ONIVA04G15380 transcript:ONIVA04G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H2K4] MSFNKSRGGAAVLGGGDELVLRGTISKKWTLLLCLASFCIGLIFTNRRSALEVNKMNLLSGDCAPKSVMEQKDIIGEVPRTQDAIQALDKTISNLEMELASAKASQEESELNGAPLSESTGKRRYFMVIGINTAFSSRKRRDSLRATWMPQGEKRRKLEEEKGIIIRFVIGHSATSGGILDRAIDAEDRKHGDFMRLDHVEGYLELAAKTKSFFVTALSMWDAEYYIKVDDDVHVNIATLGNILAKHRSKPRAYIGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGAWFIGLDVEHVDDRRLCCGTQPDCEWKAQAGNVCAASFDWSCSGICKSADRMKEVHQRCGENDSAIWSAKF >ONIVA04G15370.1 pep chromosome:AWHD00000000:4:17334898:17335185:-1 gene:ONIVA04G15370 transcript:ONIVA04G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKTVDDESVESYVTQMMHSPLNDGGGEADAVNKEGVQAWNSQYVPDDPLVVVADVVPHASPRPPPATCATSASAAAVRCHPQWCRGMC >ONIVA04G15360.1 pep chromosome:AWHD00000000:4:17332453:17333394:1 gene:ONIVA04G15360 transcript:ONIVA04G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVWLGTPPASGGGGDGAAICGPFQLLAALPVEIAKGLRCNPVPLLKNPRNGAYYLRVTSIAVNLKAVPIPSRVPPVKPFKMCY >ONIVA04G15350.1 pep chromosome:AWHD00000000:4:17308731:17314959:1 gene:ONIVA04G15350 transcript:ONIVA04G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRLVTTWTGAFALGAAACVHHHASALASNIKSLPHYAGRRFLRRSNSKLKEVLKL >ONIVA04G15340.1 pep chromosome:AWHD00000000:4:17296808:17299149:-1 gene:ONIVA04G15340 transcript:ONIVA04G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTGPLSPRSPLNLQGIGLLHDNSCLMLILGEATHYAQLMDLQEWSCQHLIDKQIQGT >ONIVA04G15330.1 pep chromosome:AWHD00000000:4:17283106:17289756:-1 gene:ONIVA04G15330 transcript:ONIVA04G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYRHLDRSSACSHVSWIEPRQYLSLLPYFMYCVMLKHMPPLRSSYLVEFVGSVPKLKPTGRSRRERRSGGAAMREKGQRGGGGSDAGEGVTWRRWRWPRRGAEEEAGPPAGACGCGSVVTAGCRASARLRRPGAARTSGSAQEEEPDDEVAATMMSGQVGSNMNLDGELRKIKDQFATWKKDYKFRLKQTKVNLQKVGEEKCRKRWRGKKSSK >ONIVA04G15320.1 pep chromosome:AWHD00000000:4:17271484:17272102:1 gene:ONIVA04G15320 transcript:ONIVA04G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHAEVATAHVPNGVLLGARCGVGTRIVIATTSILMIHGSRSFPSHDMIKINRDKKLENVVGSGGVHEHGLGFLLAGGILVRVDTTRGGHAAAVGPMEDEEGIGEHVRKGIVERVDGPGSRAKEPRILVPPLGTEQIKSLDRYNVHVSTICGRVAKVMLCIRATPIFFPNRWSVSEHEPL >ONIVA04G15310.1 pep chromosome:AWHD00000000:4:17267816:17270492:-1 gene:ONIVA04G15310 transcript:ONIVA04G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMIKPALAPASSEEEKEVVATAATGERRHEEATAGREEQEEEEEEEEAPVVLKKGPWTTAEDAVLVQHVRQHGEGNWNAVQRMTGLLRCGKSCRLRWTNHLRPNLKKGSFSPDEELLIAQLHAQLGNKWARMASHLPGRTDNEIKNYWNTRTKRRQRAGLPVYPPDVQLHLAFAKRCRYDDFSSPLSSPQQSAGSNVLSMDAADAAGAASSGYTSARPPPLDLAGQLAMGSRPVQLLAATPFSAPSSPWGKPFARNAQFFQFPHSSPVSPTTPTGPVQVHPVTPELSLGYGLHAGDRARLPPVSPSPGARAELPSSQLRPSMAPTTAAAAATGGLVGGALQDHPNAASLEAMLQELHDAIKIEPPAPPENRGTEEEGGGGGGNLRGFLHEQIFDKLMPIKSGDFCIVGLHSVLISLQFPGDGKPEVELKDDIETLFDLIIPATFPAAAPEPAAAATAASAAPNHSSSVSQHSSDDQDHSNGADVVLDLPILTGGGGGSSEQDDWSLDGAACQWDNISGGIC >ONIVA04G15300.1 pep chromosome:AWHD00000000:4:17254602:17255852:1 gene:ONIVA04G15300 transcript:ONIVA04G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRITTHLSPTLNPPPPLSLHPHLPLLRRHRHPSSRPISVSAAAPSDLLASVESVASAASVLAAIVLVHESGHFLAATSRGIHVSQFSVGFGPALARFRLGPVEYALRAIPLGGYVGFPDDDPDSGFPPDDPDLLRNRPVPDRLLVVSAGVAANLLFAFLIVYAQALTVGVPVQAQLPGVLVPEVIPGSAAARAGLLPGDVILSVPGLAPDPSVPVLVDLIKASPNKDVSVTVSRTGPGPGDRRSIDLTVVPDTSVDGTGRIGVQLSPYFRVTRVHPNNLAEATVLALREFTALSATVLDGLRQTFLNFSQTAEKVSGPVAIIAVGAEVARSSAEGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGQKIPREIEQRIMSSGILVVLMVGMFLIVRDTLNLDFIKDIL >ONIVA04G15290.1 pep chromosome:AWHD00000000:4:17248137:17251652:-1 gene:ONIVA04G15290 transcript:ONIVA04G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLHIDGDDVILDVGHLAGQASGDNGDAVDNALMRLPCALNAVGVLTGTMAAAAARSGGGVGRDVDGRGLPTARGELDGARLPGVVGGERESTSASVGVRMMHHDNPVHRGTQGDHSSSPLCICVSRQATADGHRQPPHAARVVPVRAGFGGGVWRSPASSERASQRREDGTGPGEEGSAAACSGCVQFAKQSGAWLRWRLEQPGGKETIEGGSSDPVSDTTTVKEGSFPNPIFICCWDHMMQKYPTLVLCYATIRTVCKWKLAL >ONIVA04G15280.1 pep chromosome:AWHD00000000:4:17242505:17246488:-1 gene:ONIVA04G15280 transcript:ONIVA04G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLISSGIIKWTASKLSSLVSASPGASASNEQSSALRDVRTLQRTMARIQRTLATTDEHSIRDASERLHLRELQQFAYDAQDAIDLYKFELLRRRMDDPNSHGDGGSSRKRKHKGDKKEWIEWQQSLIDKEDKQPEFDNASYDQEALGALSDNSEDDVEVFNEDEDDDFYDEMLEVGQSSGTAINDDDGSNDAV >ONIVA04G15280.2 pep chromosome:AWHD00000000:4:17242507:17246488:-1 gene:ONIVA04G15280 transcript:ONIVA04G15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLISSGIIKWTASKLSSLVSASPGASASNEQSSALRDVRTLQRTMARIQRTLATTDEHSIRDASERLHLRELQQFAYDAQDAIDLYKFELLRRRMDDPNSHGDGGSSRKRKHKGDKKEPETEPEEVSIPDELAVRVRKILERFKEITKAWDDLRLDDTDTTMQDEEHSMLPLPTTPYVDEPTIFGRDEDKEKIIKMLLSVGGANEGDVSVLPIIGMGGVGKTALVQLVYNDRRILNRFDLMGWVHVSENFDLKSIMRKIIMSFTKKPCQMTQMDQLQYMLIEQVVGRKFLLVLDDVWNERKDIWDALLSAMSPAQSSIILVTTRNTSVSTIVQTMHPYNVSCLPFEESWQLFKQMAFLHQDESMKTDFEVIGRKIVQKCAGLPLAVKAIASALRFEENEEKWNDILESEQWELPTTEDTVLPALKLSYDQMPIHLKRCFVFFALFPKRHVFLKENVVYLWISLGFLKRTSQTNLETIARCLNDLMQRTMVQKILFDGGHDCFTMHDLVHDLAASISYEDILRIDTQHMKSMNEASGSLRYLSLVVSSSDHANLDLRTLPVSGGIRIFQVVNSMDDNRRYFSSFFKNNRRCFSKLFSHHINLTIDNELWSSFRHLRTLDLSRSSMTALPDSIRGLKLLRYLSIFQTRISKLPESICDLLNLKILDARTNFLEELPQGIQKLVKLQHLNLVLWSPLCMPKGIGNLTKLQTLTRYSVGSGNWHCNIAELHYLVNIHGELTITGLGRVTKVDDAQTANLINKEHVQTLRLDWSDGFYSSECDHNSSHIDVKATPELAEEVFESLKPTSNLEELEVADYFGYKYPSWFGGSAYSQLAKITLWKQGCKFLPTLGQLPQLRKLVVIRMEEVERIGQEFHGENSTNRFPVLEELEFENMPKWVEWTGVFDGDFPSLRELKIKDSGELRTLPHQLSSSLKKLVIKKCEKLTRLPTIPNLTILLLMGNLSEEIHNSLDFPMLQILKVCFTQKLVCLELDNKNLPILEALAISGCRGLFSVVGLFSLESLKLLKIKDCPNLQCPLQPLQQQLQQCIITNCPQLQEWIEWQQSLIDKEDKQPEFDNASYDQEALGALSDNSEDDVEVFNEDEDDDFYDEMLEVGQSSGTAINDDDGSNDAV >ONIVA04G15270.1 pep chromosome:AWHD00000000:4:17239142:17241992:1 gene:ONIVA04G15270 transcript:ONIVA04G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H2J2] MVSLKLQKRLASSVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAVPAQTAAAPKKSKK >ONIVA04G15260.1 pep chromosome:AWHD00000000:4:17181184:17181698:1 gene:ONIVA04G15260 transcript:ONIVA04G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEEKEERKRGSKSQMEGESTMSESKSSSRGKKKSKTTSEQKVEIHTKETQNLENTKKKQEEGKIKRNKKAPVQVLQNGILDDCITVYY >ONIVA04G15250.1 pep chromosome:AWHD00000000:4:17176841:17180758:1 gene:ONIVA04G15250 transcript:ONIVA04G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT3G25440) TAIR;Acc:AT3G25440] MGSRVLLLRRMEKGWRPMSRALYPSPGSTSVAPPHELRAPRRCSPSYTSHKVFHRDVGFFSGWQSYNLQIYCCIHTSRPVNSQNHTIAEPQQKQEDVALVDESGRPKAKRKKLKGRRAVTRFLKSLRWKKKREFQRMTAEEKILYKLKLARNKEERLVAALTKIEPDDPSEPTHDPEVLTPEEHFYFLKMGQKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPDEVKEIASELARLSGGIVLDIQEGNTIIMYRGKNYAQPPPEIMSPKVTLPRKKALDKSKYRERLRALRRYIPRLEQELVDLHAQMKLARDYKGQNAAEDITCISDSVNSTSAKEYSSCSVRKRSVSDLLSESIEGSGRLEDENYEVSADSASESITYSESEDLSDIFETDSEEEQVQESKEQPLYLDKLDKFPSENNDNEPDDFEEHLRKIASLSDRTDSSAKELKVSELDEIDKIFLRASSLLKKR >ONIVA04G15240.1 pep chromosome:AWHD00000000:4:17173286:17174298:1 gene:ONIVA04G15240 transcript:ONIVA04G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPPASPPPPPKKMSPPGAGAGAGSKKKQQQQADAAELARVFELFDRNGDGRITREELEDSLGKLGIPVPADELAAVIARIDANGDGCVDVEEFGELYRSIMAGGDDSKDGRAKEEEEEEDGDMREAFRVFDANGDGYITVDELGAVLASLGLKQGRTAEECHRMIGQVDRDGDGRVDFHEFLQMMRGGGFAALG >ONIVA04G15230.1 pep chromosome:AWHD00000000:4:17153488:17169270:1 gene:ONIVA04G15230 transcript:ONIVA04G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G59410) TAIR;Acc:AT3G59410] MGHSARKKKKKKGGGGRKAAKDHGGQLEGDQAALADELTALGSIFLEDFKVTSESPQTRFTICIRCFAGYPHKCPKLRVLPEKTLSREDANRLLSLLVDQLAHHAFIEYIVNYEELCCNDVSHFHSVYVLVSCICLAVLIKAPWLGSGKVQQTTDVDVKVKLDNGSYHGVAYMHNSFDLYSQLYDGGSWSTQGPDPATDSAGKIVGSQVKSNLKSKRKTIIEKSRVSSDEVNVAKGLLPDNAGQKNIMKHDVIRETVPSLHVVAEETENDSKTVSTSNRENTSGTPERSFSSVHQLEDSDLSDEDWNDEDSGSGSGFSNAPSFDMFDDASRNKKKDLILVHLLRLACASKDSLSASLPAISSELCNIGILSEWAKDLISKSPAVFGETFGHFFGPQMTSSECSLFWRADNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILRTLRQDFETYTSSFRVDDAWRLFRQIVEGLAHVHSQGIIHRDLTPNNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYLPSEGMGVSMDGTGQVGTYFYTAPEVEQKWPHINEKVDMYSLGVIFFELWYPFSTAMERHLVLSDLKQKGDSPLSWATQFPGQSNLLRRLLSPSPSDRPSAVELLQNDLPPRMEDEWLTDVLRMIQTPEDTYVYDRVISTIFDEERLIAKTQCQLEGSKKSTCKSDNSELLDSIIEVSKEVFKRHCAKRFQISPLHTLEGKFTENRGKTVKILTQGGEMLELCYELRTPFVMSVAANQILSFKRYEVSWVHRRAVGHSIPYRFLQGDFDIIGGASPIPEAEIVKVTLDVGAHFYDPKAIIIRLNHSKLAEAVCSWAGVPQERRQNVAEFFSSTLVQYWPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLRGTLFYDKSACKALDEISAFLKCLRIWSIEEHITIDVLMPPSECYYTDLFFQIYVKEGNPGSSSHEKLLAIGGRYDWLIEQAWDRTYKSKPPGAVGVSIALEKFLPNSPSSDIGFPRIEPSISVLVCSKGGGGLLNERMELVAELWEANIKEDPSLQEQYEYASDHDIKCLVFITEAGVSQTELVKSWLPFCEPRLRTVASVQGSRQ >ONIVA04G15220.1 pep chromosome:AWHD00000000:4:17149336:17153338:1 gene:ONIVA04G15220 transcript:ONIVA04G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSLLVQCFPGLLPSKATSCVPIVSERDLQLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVVASKYDGSLKYWESSITLVNIIKNEIRDGQLSFRGKRVLELGCGSGLAGIFACLKGASTVHFQDTNAETIRCRAIPNVLANLEQARDRQNRPSESPVTPSRQLLAPNVHFYAGDWEELPTILSVVHPPAAPTNLSFSEDDFMDGCSSHDGSSIVGVDNCPRRSRKLSGSRAWERASETDQADGGYDVILISEVPYAVNSLKKLYALITKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLGGHFLTELADREIWKFFFK >ONIVA04G15210.1 pep chromosome:AWHD00000000:4:17131207:17142182:1 gene:ONIVA04G15210 transcript:ONIVA04G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase C1 [Source:Projected from Arabidopsis thaliana (AT5G60040) TAIR;Acc:AT5G60040] MARPEEKLRCTKEPFIEDVGTRRIKSIRFSMFSGNEVRQSAEVQVWNSRIYNHEMKPVPNGLLDTRMGAANKLGECSTCHGSFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRLEFLKKMRNPKADPLHKSVAKKGRAGLIILHDCSKTLDGSTEELRDALSHKKEKLSISAVRMLDPAIVLSLFKRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVFVAGGRMSNEDSITVILKSIANTNSILKENLQTGGQFMKIAGNTFNFKLLNISTVTHPLFPSHNIEALFSDSKGRQADFVVAIPILMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFIIQPDGTKLHLKYCDRRIAARDLKYGCVVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFSLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVKEKIYKTPKGSTLEPEAMCPNDGFVYFRNSELLSGQVGKATLGNGNKDGMFSTLVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQEKKMKIDGGYKDCHDLIASYSKGALRLQPGCNAAQTLEQSITRVLNEIREEAGKVCMNTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGKPLNLDQLFMKVMATCPQRGQNTLSPGEILQILNDKLSEHDASSDDGCSEKFKQLLTYFLEDRIKLLKSTRRALLLDEDHVGERHSSFEESIAANISGISVKQLQVFLDTCLSRYHLKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELLSEKDVLSARIVKGSMEKAVLGEVAEAIKIVLKSSQPNLVVKLDMQRIEALHMGISADSVQLSILNHPKIKLKSEHVRVIDKSKLRIYPAGIDKSKLLYELHHLKSMLPKVIVKGIPTVERAVISETGEENDKRYKLLVEGTNLLAVMGTPGVDAMKTKSNHIMEVNRTLGIEAARRSIIDEIQYTMKSHGMNIDSRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTAEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPDPILA >ONIVA04G15210.2 pep chromosome:AWHD00000000:4:17131207:17142182:1 gene:ONIVA04G15210 transcript:ONIVA04G15210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase C1 [Source:Projected from Arabidopsis thaliana (AT5G60040) TAIR;Acc:AT5G60040] MARPEEKLRCTKEPFIEDVGTRRIKSIRFSMFSGNEVRQSAEVQVWNSRIYNHEMKPVPNGLLDTRMGAANKLGECSTCHGSFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRLEFLKKMRNPKADPLHKSVAKKGRAGLIILHDCSKTLDGSTEELRDALSHKKEKLSISAVRMLDPAIVLSLFKRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVFVAGGRMSNEDSITVILKSIANTNSILKENLQTGGQFMKIAGNTFNFKLLNISTVTHPLFPSHNIEALFSDSKGRQADFVCIRNGPHKHPGANFIIQPDGTKLHLKYCDRRIAARDLKYGCVVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFSLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVKEKIYKTPKGSTLEPEAMCPNDGFVYFRNSELLSGQVGKATLGNGNKDGMFSTLVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQEKKMKIDGGYKDCHDLIASYSKGALRLQPGCNAAQTLEQSITRVLNEIREEAGKVCMNTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGKPLNLDQLFMKVMATCPQRGQNTLSPGEILQILNDKLSEHDASSDDGCSEKFKQLLTYFLEDRIKLLKSTRRALLLDEDHVGERHSSFEESIAANISGISVKQLQVFLDTCLSRYHLKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELLSEKDVLSARIVKGSMEKAVLGEVAEAIKIVLKSSQPNLVVKLDMQRIEALHMGISADSVQLSILNHPKIKLKSEHVRVIDKSKLRIYPAGIDKSKLLYELHHLKSMLPKVIVKGIPTVERAVISETGEENDKRYKLLVEGTNLLAVMGTPGVDAMKTKSNHIMEVNRTLGIEAARRSIIDEIQYTMKSHGMNIDSRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTAEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPDPILA >ONIVA04G15210.3 pep chromosome:AWHD00000000:4:17131207:17142182:1 gene:ONIVA04G15210 transcript:ONIVA04G15210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase C1 [Source:Projected from Arabidopsis thaliana (AT5G60040) TAIR;Acc:AT5G60040] MARPEEKLRCTKEPFIEDVGTRRIKSIRFSMFSGNEVRQSAEVQVWNSRIYNHEMKPVPNGLLDTRMGAANKLGECSTCHGSFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRLEFLKKMRNPKADPLHKSVAKKGRAGLIILHDCSKTLDGSTEELRDALSHKKEKLSISAVRMLDPAIVLSLFKRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVFVAGGRMSNEDSITVILKSIANTNSILKENLQTGGQFMKIAGNTFNFKLLNISTVTHPLFPSHNIEALFSDSKGRQADFVVAIPILMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFIIQPDGTKLHLKYCDRRIAARDLKYGCVVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLPIELWTGKQLFSVLVRPNACTKVFLNLTVKEKIYKTPKGSTLEPEAMCPNDGFVYFRNSELLSGQVGKATLGNGNKDGMFSTLVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQEKKMKIDGGYKDCHDLIASYSKGALRLQPGCNAAQTLEQSITRVLNEIREEAGKVCMNTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGKPLNLDQLFMKVMATCPQRGQNTLSPGEILQILNDKLSEHDASSDDGCSEKFKQLLTYFLEDRIKLLKSTRRALLLDEDHVGERHSSFEESIAANISGISVKQLQVFLDTCLSRYHLKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELLSEKDVLSARIVKGSMEKAVLGEVAEAIKIVLKSSQPNLVVKLDMQRIEALHMGISADSVQLSILNHPKIKLKSEHVRVIDKSKLRIYPAGIDKSKLLYELHHLKSMLPKVIVKGIPTVERAVISETGEENDKRYKLLVEGTNLLAVMGTPGVDAMKTKSNHIMEVNRTLGIEAARRSIIDEIQYTMKSHGMNIDSRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTAEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPDPILA >ONIVA04G15200.1 pep chromosome:AWHD00000000:4:17127338:17128045:1 gene:ONIVA04G15200 transcript:ONIVA04G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMSMLLASSLLAAASAARADHHSPAYAPYPHHHAPWPAPAQSPSAPDHGAHGHHAPAPAPVHADQPAQAPEWHHHAPAPAPVRDDKPSPSHHHHHHGHHHHRHATTTAPAHAPSSHHDRHAPAPVHSSWPWPAHAPAPAPAVIHGTNSHLAPAPAPSSHVQYSPAPTPGDGRHQSPPPPPSPPSADEGAQAPSYYGHYPSPAPAPAQESSSAAVAFAGGAGVLAVTAVALLL >ONIVA04G15190.1 pep chromosome:AWHD00000000:4:17119347:17126947:1 gene:ONIVA04G15190 transcript:ONIVA04G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase ARI8 [Source:Projected from Arabidopsis thaliana (AT1G65430) UniProtKB/Swiss-Prot;Acc:Q8W468] MDSEDDMLDANDSADDDFYSGGEAGLGGSDDGDADYDFADHDSDDSAELLSHRQQEVWGSEIFGGVGNSQNYSILSEADIQQRQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEEHVRKVVGFPEKLIEMPNDRELTCGICFENCPRTSMSAAACGHPFCSACWRGCLMLRCPDPSCTAAVGQDMINSLADDEDREKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLLSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSHGTCSKSATSKSLGSKSKSSKSRASSTSSKTGGSSRGVDESNIWTCDQCTYANPRSARNCQACNNQHR >ONIVA04G15190.2 pep chromosome:AWHD00000000:4:17119347:17126947:1 gene:ONIVA04G15190 transcript:ONIVA04G15190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase ARI8 [Source:Projected from Arabidopsis thaliana (AT1G65430) UniProtKB/Swiss-Prot;Acc:Q8W468] MDSEDDMLDANDSADDDFYSGGEAGLGGSDDGDADYDFADHDSDDSAELLSHRQQQNYSILSEADIQQRQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEEHVRKVVGFPEKLIEMPNDRELTCGICFENCPRTSMSAAACGHPFCSACWRGCLMLRCPDPSCTAAVGQDMINSLADDEDREKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLLSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSHGTCSKSATSKSLGSKSKSSKSRASSTSSKTGGSSRGVDESNIWTCDQCTYANPRSARNCQACNNQHR >ONIVA04G15180.1 pep chromosome:AWHD00000000:4:17108131:17108337:-1 gene:ONIVA04G15180 transcript:ONIVA04G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDFTSAGGTCKRRNKRNKREAFLRSRGAGALRRQRRGRFPQPRAAHPSATSASPSTSHRAITAAVT >ONIVA04G15170.1 pep chromosome:AWHD00000000:4:17102326:17105709:-1 gene:ONIVA04G15170 transcript:ONIVA04G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G43330) TAIR;Acc:AT2G43330] MTIDLSMPGSSGLLDDVGGKKHMNFFSNRYVLALTGAAGIGGFLFGYDTGVISGALLYIRDDFPAVRDNYFLQETIVSMALVGAIIGAAGGGWINDTYGRRKSTLVADMLFALGSLVMCAAGGPYILILGRLLVGLGVGIASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLINLGFTEVPGTWRWMLGVAAVPAILQFVLMLFLPESPRWLFWKDEKAKAISVLEKIYDSDRLEEEVELLASSSMHEFQSDGTGSYLDIFKSKELRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFTSNKLALLLSLIVAGMNAAGTIVGIYLIDRCGRRRLALTSLAGVVVSLAILAMAFILQSSSDICSNALNGACQGALGWFAVAGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWVSNLIVAQTFLSIVGLVGTGLTFLIIAGIAVLAFIFVALYVPETKGLSFEQVELLWKERAWGNQGNRQSLLGAAP >ONIVA04G15160.1 pep chromosome:AWHD00000000:4:17100948:17101465:-1 gene:ONIVA04G15160 transcript:ONIVA04G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKVLYICLLPPHLCCYLFVVVVARGGEEPRRIIQWMCWPASQAQLSKGRIGSPSPSEMSATASPASALPFRAGKSACATEAHRARSPPPTVPERRRAASLEGDAPLLTSHDRDALRPRPTPRPTRRTSRTARTIRRPLAFRGRRGWGEE >ONIVA04G15160.2 pep chromosome:AWHD00000000:4:17100948:17101288:-1 gene:ONIVA04G15160 transcript:ONIVA04G15160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATASPASALPFRAGKSACATEAHRARSPPPTVPERRRAASLEGDAPLLTSHDRDALRPRPTPRPTRRTSRTARTIRRPLAFRGRRGWGEE >ONIVA04G15150.1 pep chromosome:AWHD00000000:4:17081077:17100921:-1 gene:ONIVA04G15150 transcript:ONIVA04G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPRRANALGCLPRRLCMSMADGGWRLCGLEGKPDRQKVLSVVTNMENIPNMLNLVTYLHGTMHMGVSSSATTVTNFIGATSGFALLGAFLSDSYITRSRTILLFGPLEFLALGLLALQAYLPSLHPPPCNIEAELSNCEEVHGFNTVILHIGLYTWAFSEGCIRACTPSLGADQFDHEDPSESRQQSSFFNWFTFGISLGGFIGLILIVWLENYKGWDIGFGVKYELPEKLEEAQENRNGLDSIEVPRPTNFLKFLDKASINHGEDGAWSVCSTMKVEETKIVLRMLPLFISSMIGYISNPLLLTFTVQQGSMTNTRLGKIHISPATLFVIPITFQMLMLAVYDRFLVPFMRKRTGYACGITHLQRVGLGFASMIVASAVAAVVERKRKEAAVQMSLFWLAPQFFLLGVSDVTSFVGLLEFFNSEAPKDMKSIGTALFWCELGLASWMGTFLVELVNKATRHGHHRGWLEGTSLNNSHLDLFYWVVAVIGLLGFLNYLYWAKKYAYRHNPRMVTPSADQDSPHRPSAAHPPGCALFSALARGSVLFDLDDVGSSESGEAGRSPMATRRVRRRRSSRREPGGGGYMLLALQAHLPSLHPPICEINKDPSKCEPAQGWNLTLLYLSLLMFAIGEGCMRDCVPALGEDQFSNDDPEASHLRSNFLSWLKSANSLGALIGLVFLVWIEKNLGWDIGFLLCALIVVVGLLIAASGLPFYGMRKLNGSPLTRILQVLVTSSKKRQAAVIDVIELQEISTSDHVDEDGEDKCDRKNICTTRVDEKTEAITRMLPIFISCIFAYLPFTLLMTLTIQVGSMMDSGIGMIQIPSASLIAIPTTFHMLMQPRILIPLLRIFTGHTNGITPLQHIGVASACGIMAACIAMLVEAKRLMVVEQQGLTLVADGVPMSVFWLVMQFFLLSIMDIAYIGGLVQFIKSEAPEAKHIAPAVQSLLVGIAAWSGCAFVQLVNRMTRLGDNGRGWLDGTNFNRTRLDRFFLLLATFELVAFINYAFWARRYANKKRSEAFWIGGEILLIERCMEESEQHVLTVVTSTVNVPNMLNMVTYLHGTMHMGISSSSTTVTNVLGATSGFALLGAFLSDSYITRARTILLFGPLEFLGYGLLALQAYLPSLRPPPCNAEAEVSSCREVHGRNAVLLYAALYISAFGDGFMRACMPPLGADQFDHEDPSESHQQSSFFNWYTFGISFGGFIGLILIVWLENSKGWDVGFGVCAFLILLGLLVVAAGLPLYRNHVPEGSPLTRILQVLVVAFKNRKLQLPEKLEEAQEERSTEQGGSTEVTEIASQTNSSLKFLDKACINGGKDGAWSVCSTKNVEETKAVLRVLPVFISSLIGYMSNPLLFTFTVQQGGLTNTRLGRIHVSPATLFIIPSAFQMALLPVYDRFLVPLLRRRTGYASGVTHLQRVGAGFAAVILASAIAAVVERKRRADAAAAGQMSLFWLAPQFFLLGVSDVTSFPGLLELFSSEAPRGMKSIASALFWCELGLSSWLATLLVQVVNGATRRHGSGGGGGGGWLEGATLNTSRLDLFYWVVAAVGLLGFVNYLYWASRYIYRQDPRVVVDQDIGVSYTRE >ONIVA04G15140.1 pep chromosome:AWHD00000000:4:17080508:17081060:1 gene:ONIVA04G15140 transcript:ONIVA04G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSLQRPRRFIMAPIASCGSGGISRCYTAATTAATMASGGVRLRRRGFVLCAVDHHGVRRAVVGGQFVRKSELRRQDELLVSLHELVGVFRELQRKLGFRQWDEFRRAQPELDVLLRFQGKRYRSRIYGEPMPDFDDAVHAAVRAPRIGDRRVCSRCSSCDCWYSDLANLHCLVRKFV >ONIVA04G15130.1 pep chromosome:AWHD00000000:4:17076796:17079552:1 gene:ONIVA04G15130 transcript:ONIVA04G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHRSIEKDVFEPLVMRISEIAQHYFGTVGSSETGEKGPTVPEFPEKIKWEVQHLEELFEGIKEDKEEVYEGFKSVSLAISEWQRRLAIAYQNAARDPRPFEGMKWAMEYHEMWVEDNNIIGAGDEILDFDEHELFESLRYVKTAQGGSEAHLLESIKSGMQCIKNVLATIRSRKEADNRSWCIVEQVFSPLLKLLNTINHLVSEAAARNNKSENYKILVKIDAEVNCLQDALDLIDRNKNEVYENFRLIEDLILPLLTFLKATYNDQSESLSFLDAVKHGVNYLEGVLDKIEQKQRDGNDNFHIVKAAFSPLLTCMYTFRHISLETLAHEDKSDAFILLDRIRDDLSQLKDVLQMVQEKENGIYSNFDAIEEHIDEIYDGHMNVEGSLKLNQMGGLRDKLQLIHEEITNIRGKVDDSFKVQEVSCHVMRMAAAHEASSSHQLSASNTFCITMESAQMWQLKVIIDELETRLRHCLLCLAVFPVDAIIKKRLLIHWWIGEGFVTSVSEGKSFFNKLLLSNGFITPVKKYHCDKVHSCKVQPWIRGLLIEAAKSKAFVELSSDGSSRNDFTRTRRACLHAGKILTNFHPDVLTIYNIKQQYVELNKTWFSEKNRLTTLQLGQWHDASYDPRAHHVEINNAKFLKQVKSCKQLKYLSLRGISRIEALPNSIGKLSRLFILDLKACHNLEDLPKEIVKLVKLEYLDVSDCYLLSGMPKGLGKLFQLEVLKGFVLSNAKSKDPCHLNELVMLKKLRKLSIRIGYSIDSGQFANFGELCALRSLTLIWRAHPISTHGSSPSHAAPHAMPCVLPLGLEKLELRCFPLVELPHWVSPEKLRKLKKLYISGGNISDLGDLKSWEVTVLRLRFLKHMNYSWTALHDSFRKLDVLEAHECENLQPWPSCGKGLWRKEPNGTIAPVLT >ONIVA04G15120.1 pep chromosome:AWHD00000000:4:17072933:17076061:-1 gene:ONIVA04G15120 transcript:ONIVA04G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKLYAESAQEQGKLLCIESLLQDLHTHFRMVHRKISGINDSALLMISANNEQYHQLLQRLWSLTLDIDDMLNKVSCYLTKTRVLSIQVHSSFILRRLPFRRRIVHKIKQSIVELQECYAQTYRIRFPAKHRDISTPMVCQGAHSIRPEGILGREKEVDDVLTMMQADHGKAGLSVLPITGMAGIGKTTLAQLVFSHPWAVKTFGDDRIWVVVSSSFDDMIILSRLAEFLNTRQCNTVDSESLQCLVKQRLCGRKFLIVLDDVWGQNLQKWKLLIEVLESAKSGSKMIVTSRVPDVVTMTNSLRPYTLKRLLPIDSSNLLTQWMQNSAELPPRLIPIRKMIADTCCGVPSLLLSASNKLKSIRKTEVAWQHVLSRFDLVFYADPLLLDATYVSYQQLPSNIQQCFLYCSLFPVHSFTPEQLTGMFVADDLIKLSSSKSDMHMYFSKIMTEHYYDVMQKPRHKAYAIYMMHPGMQLLAQMISRGFHLAIDARKELVWPVENAKKSARCLSLLVDSKTTELPTELFKMGNLRTLILLRDEKMLLSDKKCSITDIPEEFCKCLIDMRVLHMQSCRIKRVPKLIGMLKKLAYLNLSHNDIEIIPDSICNLQFLKNFNLSRTEIAELPESVGKMQALQVLNLSHCEKLLHLHESVSNLVNLQILNLEGCHYLAILPRSMKNLKSLAYLNVLECPLLTQMPCQMNQLRNLEILPRYIAAENHEHTISELRPLVSLKELSICNMENASFDDARNVILQKKNRLVSLALSWTGSCTDPMISSKAQQILELLKPNRGLKVLCIFSCPAKKLPSWITSMPPYLKSLTEIKLVNLACECLPPLGQLPLLKIVELSGINAVTRVGDEFYGDDGTFASLEKLSFFHMRNLEIWLPSQREAIFPNLQELTITQCPKFRAVHVKLPVVKSLIMLLNNDKLIGSRGALEGFSQNLKSLSVSLCDGLLECSECEGLRELRGIEELHISRCTELISLPHGMQHLSFLRTLTITECTNLETFPEWLKNFTSLRSLHISSCPKLHIPKSLNNLSNLEISLE >ONIVA04G15110.1 pep chromosome:AWHD00000000:4:17070956:17071732:-1 gene:ONIVA04G15110 transcript:ONIVA04G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREVVLESDAMTLSSLVPDVQIEPSRVFEERVKWKRLRICNIRQYKIRYKLWLRWSVLTIRLNSFNPSHGIDGI >ONIVA04G15100.1 pep chromosome:AWHD00000000:4:17068902:17070695:1 gene:ONIVA04G15100 transcript:ONIVA04G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAADLAAVERGGHMVPSKAAGVDGDGEPRRTGTMWTASAHIITAVIGSGVLSLAWGVAQLGWVAGPAVMLLFGAVIYCCSVLLVECYRTGDPYTGQRNRTYMDAVRANLGGTKVRLCGVLQFANFFGVCVGITIASSISMLYRHDQREACGGSSRPYMVVYGALQVVFSQIPNLHKMWWLSTLASAMSLSYSAIGIALGVAQIVVLDMFEIEFAANGGIRGTITGVFVGAGAGVTSMQKDTVKPVAPPSTETKVMRKAVAVSVATTTAVYLMCGCVGYAAFGNDSPDNLLTGFGFFEPFWLLDLANAGVVVHLVGTYQVVAQPVFAFLDGRAAAGAWPGSAALGKRRRVLRVGSLAEIEVSPFRLAWRTAFVCVTTAASTLLPFFGSMVGLIGAASFWPLTVYFPVEMYIAQRRVPRGSAQWLSLQALSAGCLVVSVAASAGSIAGVVEAFKAHNPFCWTC >ONIVA04G15090.1 pep chromosome:AWHD00000000:4:17062593:17064834:-1 gene:ONIVA04G15090 transcript:ONIVA04G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRASPTFLPSTSAASPSPQQAPSSPTFGRSQYRRGGGLVSVSSPVAGQRCAARRRSVMAAAGAVPAAKLEDADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLQSIDFPKDKKVYVIGEDGILKELELAGFQYLGGPSDGDKKIELKPGFYMEHDKDVTTIPTLVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAILGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSVQMLQSPDNSIQPDFYTNQISDFLTLKAATV >ONIVA04G15080.1 pep chromosome:AWHD00000000:4:17059841:17061823:1 gene:ONIVA04G15080 transcript:ONIVA04G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLTYLQSLWPLSALLREDDLRASARLLRGVPVPEETKQFVLALRDREPGSGSRGGVIYILAAQNLSERSASDADSLIRRVRPAAVVTQLAHTAADDVRAEEECLEGGGAGGVPASPFQVIKRCVTEKRSKDQYVKAAACQVLQEIFGVGFYGHVLAAKRAAEETGSCFLLLESPYERNCNGGASGGQSTMEEGSGQQLASRCSLAQSSTDDGTGGQSQGSCLLTQSTSSIVSSHVRKICLVDDIGGQLVKSLAPTVNLLMSQAISSDGVSECKLAECKPSDRYEAPPFAQTVYPLLADLYDIFVDIPSIGKAMASAQELLRQVHDGKPISTEMLSDVYVFRIAIEALRIGLNNAGRSHIDTRDNHGSKKLDFSELNSEEKCHILLVQALRSQVREFGSVVAVVDASCLAGIRRHWDTPVPSEIAQLASSCFKQYGNKNDSEDNELPSSVDSTDKKSWVAEKPVVVVGAGGTAILGFSSLSKTVQASAFLKLAPYKTPVVLKYGLIQLQRHASIVLSKVLSNGVFSASSNASVLQFTASSEKIRAVTHTVISSAKRTSLMAMRTSFYEIMQKRQKQPFRITPWATFGCSMAACAGLLMHGDGIECAAEVAPSVPMIATLGRGLEILRLTSQEVRQTRGQHIKEALGALMSNLKKTAK >ONIVA04G15070.1 pep chromosome:AWHD00000000:4:17055257:17056017:-1 gene:ONIVA04G15070 transcript:ONIVA04G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKERNVNKIHAKRTPRLRRPPAPLPLPPSSRRNLTKSGKRAKEEGEENPAATARSPRAAPGGGVRSGSLDHADPDRGHQIRPARVPAPSAQAALDPAARPPHPPPWHAKGSEATAIE >ONIVA04G15060.1 pep chromosome:AWHD00000000:4:17050130:17055200:-1 gene:ONIVA04G15060 transcript:ONIVA04G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVMECSVCHSKVVAPSPRSVSRAYDKHRSKISSKYRALNFLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVTKVIFAIVMLIIQSRKLKVGEKPLLSLSTFVQAARNNALLAVPALLYAINNYLKFIMQLYFSPATVKMLSNLKVLVIAILLKFIMRRKFSIIQWEALALLLIGISVNQLSSIPDGTKSFGLAVTTIAYIYTLIFVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVIFQGPESFDILQGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTVNFLLGISIVFISMHQFFSPLAKVKDDKPAGALEPEDAQNHRSSDSSFVNMTAGAADDVGIEEILFAMNHTEFM >ONIVA04G15050.1 pep chromosome:AWHD00000000:4:17046759:17050099:1 gene:ONIVA04G15050 transcript:ONIVA04G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMGLWESVRSLFGEGGNGCLPRIGKKESEDLYSYPVDHDKRKGADRAAAEEVVTVEVPEVPVRELNEITNSFSNENLIGQGSYAKVYRVLMRGARPAVVKKLEKPSKHASNVVFLKQLSVASRLKHENFVRLLGYTISGDLRVLVYEYAAMGTLHDVLHGPRDGQGWGGEAKAVVSWEQRVRIALDAARGLEYLHEKVQPAVTHKDVRSTNVLLFEGFRAKIADYNMFSQAADMARLNRSTHTLGSFGYQAPEYVHARTHTSPADLCLAMADRSRHGFLVVGLGRRTILLKFSLVWGCSRYAMTGQMNDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPILTEDRVQDCIDPNLGDKYPPTGALKLGRIAVQCLQYDPTFRPSMGTVARVINYAVVRDQQGVV >ONIVA04G15040.1 pep chromosome:AWHD00000000:4:17040161:17042616:-1 gene:ONIVA04G15040 transcript:ONIVA04G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome recycling factor [Source:Projected from Arabidopsis thaliana (AT3G01800) TAIR;Acc:AT3G01800] MALFLRRGAALAARSIRAAAASSASTSVHRLPSVGSLAGARELAPTKLFLLEARRGFAKGKKSKDDGRGDTVQDAPDIGPTVKSAATQQMEAAVVALSRELSMLDHIMVETTGVKVALNRLAVVSVLDAHTLSVMPYDPSSMKSIEHAIISSPLGINPTPDGNRIIANIPPLTKENIQALCKVVTKSAEDFKQSIRRARQKALDTIKKSASGMPKDDVKRLEKEVEELTKKFIKSADDMCKAKEKEISGN >ONIVA04G15030.1 pep chromosome:AWHD00000000:4:17031932:17039491:1 gene:ONIVA04G15030 transcript:ONIVA04G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ankyrin repeat-containing domain (InterPro:IPR020683), Ankyrin repeat (InterPro:IPR002110); BEST Arabidopsis thaliana protein match is: XB3 ortholog 2 in Arabidopsis thaliana (TAIR:AT5G57740.1); Has 66374 Blast hits to 25 /.../oteins in 1201 species: Archae - 121; Bacteria - 8133; Metazoa - 29530; Fungi - 5885; Plants - 3349; Viruses - 785; Other Eukaryotes - 18571 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G14230) TAIR;Acc:AT5G14230] MAVLLRPAAAIAGGRQVWPVAEDHHRQLRDEAEAEAASQRLVEAVARGDAREAGELLASGRADVNYAGVVWLKARRVAEAALRDGAAAELRAAHEEIRADVSPLFLAAGNGDAALVRALLQPEVHSLAQSNVWRKCASLLQAKGADVNGKVFRGYPATAAAREGRAEVAALLVRAGASQPACEEAVVEAALQGQAALAVIFMGSDLVRPRVAVHALVSAAARGFVDVVDSLIKCGADPNATSRVLLRSLKPSLHANVDCTALFAAIVSRQIAVVRQLLQAGVKRDTKVRLGAWSWDTATGEELRVGAGLADPYDAVWCAVEYYESTGAILRMLLQNGYSSGATHLGRNLLHHAVLCGSAGAVQTLLASGVDHEVAVKTSRSSRSRPVHMAARLGQPEILEMLIGKGCDVNARAEGGDVAAILAARHKREDCLRILVSAGADVALLNSAGESAASVACSGGWKAGFERAVLGVIRSGTIPRSSDRNVFSPMMFTARCGDAAAMEVLLAQPDVDVDEQDVDGCSPIMAAAKEGNVDAFRALVFAGANVKLSNKRGETAIGLAQQSKKRDLFEQVMLEFALEKGMPGGFYALHCASRRGDIAAVRHLASAGCDVNIPDGDGYTPLMLAAREGHAAVCELLISYGARCDTRTPRGETALSLARATAAFNKAEDVIMDELGRQLVLGGAHVKKHTKCGRGKPHGKSLRMVAAAGVLRWGGSGRRNVVCREAELGGSSAFQLHRQRRGCDAYEPGLFRVATATGREVHFVCQGGEEEAELWVRGIRAVTRAVYGKRGKE >ONIVA04G15020.1 pep chromosome:AWHD00000000:4:17016513:17025022:1 gene:ONIVA04G15020 transcript:ONIVA04G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MLSPATTFSSSSSSSSPSRAHARAPTRFAVVASARAARFRPARAMAASDDPRGGRSVAVVGAGVSGLAAAYRLRKRGVQVTVFEAADRAGGKIRTNSEGGFIWDEGANTMTESELEASRLIDDLGLQGKQQYPNSQHKRYIVKDGAPTLIPSDPIALMKSTVLSTKSKLKLFLEPFLYEKSSRRTSGKVSDEHLMLQVSLNATLEKRQVVDYLIDPFVAGTSGGDPESLSIRHAFPALWNLENKYGSVIAGAILSKLSTKGDSVKTGGASPGKGRNKRVSFSFHGGMQSLIDALHNEVGDGNVKLGTEVLSLACCCDGVSSSGGWSISVDSKDAKGKDLRKNQSFDAVIMTAPLSNVQRMKFTKGGVPFVLDFLPKVDYLPLSLMVTAFKKEDVKKPLEGFGALIPYKEQQKHGLKTLGTLFSSMMFPDRAPNDQYLYTSFIGGSHNRDLAGAPTAILKQLVTSDLRKLLGNNKDGLAVGNVIASGSKAADLVISYLESCTDQDN >ONIVA04G15020.2 pep chromosome:AWHD00000000:4:17016513:17025022:1 gene:ONIVA04G15020 transcript:ONIVA04G15020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MLSPATTFSSSSSSSSPSRAHARAPTRFAVVASARAARFRPARAMAASDDPRGGRSVAVVGAGVSGLAAAYRLRKRGVQVTVFEAADRAGGKIRTNSEGGFIWDEGANTMTESELEASRLIDDLGLQGKQQYPNSQHKRYIVKDGAPTLIPSDPIALMKSTVLSTKSKLKLFLEPFLYEKSSRRTSGKVVDYLIDPFVAGTSGGDPESLSIRHAFPALWNLENKYGSVIAGAILSKLSTKGDSVKTGGASPGKGRNKRVSFSFHGGMQSLIDALHNEVGDGNVKLGTEVLSLACCCDGVSSSGGWSISVDSKDAKGKDLRKNQSFDAVIMTAPLSNVQRMKFTKGGVPFVLDFLPKVDYLPLSLMVTAFKKEDVKKPLEGFGALIPYKEQQKHGLKTLGTLFSSMMFPDRAPNDQYLYTSFIGGSHNRDLAGAPTAILKQLVTSDLRKLLGNNKDGLAVGNVIASGSKAADLVISYLESCTDQDN >ONIVA04G15020.3 pep chromosome:AWHD00000000:4:17016513:17025022:1 gene:ONIVA04G15020 transcript:ONIVA04G15020.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MLSPATTFSSSSSSSSPSRAHARAPTRFAVVASARAARFRPARAMAASDDPRGGRSVAVVGAGVSGLAAAYRLRKRGVQVTVFEAADRAGGKIRTNSEGGFIWDEGANTMTESELEASRLIDDLGLQGKQQYPNSQHKRYIVKDGAPTLIPSDPIALMKSTVLSTKSKLKLFLEPFLYEKSSRRTSGKVSDEHLSERFVMHFQHYGIWRIMKTGGASPGKGRNKRVSFSFHGGMQSLIDALHNEVGDGNVKLGTEVLSLACCCDGVSSSGGWSISVDSKDAKGKDLRKNQSFDAVIMTAPLSNVQRMKFTKGGVPFVLDFLPKVDYLPLSLMVTAFKKEDVKKPLEGFGALIPYKEQQKHGLKTLGTLFSSMMFPDRAPNDQYLYTSFIGGSHNRDLAGAPTAILKQLVTSDLRKLLGNNKDGLAVGNVIASGSKAADLVISYLESCTDQDN >ONIVA04G15010.1 pep chromosome:AWHD00000000:4:17007327:17015218:1 gene:ONIVA04G15010 transcript:ONIVA04G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H2G0] MEKVEVDGNFIGTGNWKLHGALCKQLHKVVLEVLDVIPVLEATRPGNSSGLLALSSLRIAVEKAKNLLQYCSECSKLYLAFTAENVLAKFEKARYALLESLHQLEETLPEAASSQILDIAKDLEKAVFTLDLIEKQAGVDVNQLVQNEAKSNGFLHDNELEFFRQTAFRVGVASSATALTERRALRRLLERAHAEEDIKKESVASYLLHLMRKYSSIFRSETTDFTNTSMCSSPSCSSRSLSSSIDLHGNGHVIEKSISRVGSFNLRQIKGLSGSMPLPPEELRCPISLQLMHDPVIIASGQTYERACIEKWFSSGNTTCPKTRNELSQLSMTPNYCIKGLIASWCEQNGVLVPSAPPDSPKLKYLRISSLNSSKCLVTNGVSTVLFEDTCAEDDIKDGGKVASEECTRQNSGEAPSEICEVDQASPEKHPHENSEKVAEATCELWLRVLTKDDDECVDEQREVIEQIRFLLKDDNELRKYAGANGITELLIHFVKKAVCRDDVQCQVVGTMALFNLAVSNDRNKKQLLSGGVLPLMEQMIQKPETYEAAVAMYLNISCLAEAQAIIGQSEAAPLLIKGLQGDGFRMSKTCCLDALLTLYNLSLQSSNIPTLISSGIMQSLHDVLTPSSPTTEKALAVLINLALTRAGKKEIMADSDMVGAIVVILENGDPAEKEKAVSCLWIICSGDDGGSQMVLQEGVIPALVSLTANGTGKTKDKAQRLLLLFRGKRQREVEQLQPRVQLHEVVSQATAQHEEQQQQQQEESSEPGSDKMSRLRNSKSKLRRFTRALARLLKKWGIR >ONIVA04G15000.1 pep chromosome:AWHD00000000:4:16996137:17004052:1 gene:ONIVA04G15000 transcript:ONIVA04G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAPSRAPQRQQRGGEMSARYGGGLQFFADAPPAGVEGGAATARTFFPVPGGGGEQQPPERAMRQQHYGGGGSGAAEISLGHGHGHGHGHGGQHHFHQFGVEAKDGGGGGGGDQSGFLTRHNSSPPGFFSSPVMDNGFSSSARPAGSSLGEVRHGAMSSSSSNNKKMKAPLSFASSRQGSGGLSQISEDGIPDLTDSIHGAAHHHGRSEENVSTHDHVVRSFSSGGFSIGSWEDSNSIVFSTSTGKSGAHGNDDIIATLSNYESQLVAPREMAGVEKYLQMQHDQVPFRVRAKRGCATHPRSIAERERRTRISEKLRKLQALVPNMDKQTSTSDMLDLAVDHIKGLQSQLQTLKEDKEKCTCSCKQASRNRPAD >ONIVA04G15000.2 pep chromosome:AWHD00000000:4:16998962:17004052:1 gene:ONIVA04G15000 transcript:ONIVA04G15000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPDDDDRGIIHSGFILTRWLPGWGEWKSVARGAKAINSLTYLSIAVRSIVNGFSSSARPAGSSLGEVRHGAMSSSSSNNKKMKAPLSFASSRQGSGGLSQISEDGIPDLTDSIHGAAHHHGRSEENVSTHDHVVRSFSSGGFSIGSWEDSNSIVFSTSTGKSGAHGNDDIIATLSNYESQLVAPREMAGVEKYLQMQHDQVPFRVRAKRGCATHPRSIAERERRTRISEKLRKLQALVPNMDKQTSTSDMLDLAVDHIKGLQSQLQTLKEDKEKCTCSCKQASRNRPAD >ONIVA04G15000.3 pep chromosome:AWHD00000000:4:16996137:16997057:1 gene:ONIVA04G15000 transcript:ONIVA04G15000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAPSRAPQRQQRGGEMSARYGGGLQFFADAPPAGVEGGAATARTFFPVPGGGGEQQPPERAMRQQHYGGGGSGAAEISLGHGHGHGHGHGGQHHFHQFGVEAKDGGGGGGGDQSGFLTRHNSSPPGFFSSPVMDNGYTYISSCAM >ONIVA04G14990.1 pep chromosome:AWHD00000000:4:16983228:16983624:-1 gene:ONIVA04G14990 transcript:ONIVA04G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPFRIRGVRRMSAALAAVNVAVAAAGAAAEWVGVTERCGRREEAAVGAAVALAAVRIVAMVGTARAQEVTALAVVSAGGSGGGGEGPTVEFAKRETRLRV >ONIVA04G14980.1 pep chromosome:AWHD00000000:4:16971066:16979414:-1 gene:ONIVA04G14980 transcript:ONIVA04G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPLLPILATRGPRPPLLLPHLRRLLFPLLPRRRRAPSSPPPPPPASRRRAAAAAAMAGAGDPVAAAAVAAASSSAGAAAASFRLGMVRVVSFLVGGLNVAVLLLGLYLIDGVLPPGCGGGLALAAAPALAGIRVLAMIGTARAQHATADAIARRHLDEAAASVAADAVARHEIRVRYKRWLWWTRFGMAVGAMQLVGAIYLMLVIVRDISNERRATSCFFGQDEADHVSKRALIALFLILSWVVVVVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKNENLPHLQTDLTEAPLNLMQEAAILHPFAEACYTGPLLDVGRNPILFPCAWVYRQGVLTPWSRRRRPALDGDNWWRGHAAAFLRFVHIPAAALLRGRVCQSKREAAYFVVVLHDKKTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLVKCEQLPATTRERVISTFPHYGHGGILESARELFMQLNDCTGDNSSSLTVGFLPALVGEGSECHGYKIRVVGHSLGGSVATVLGMMLFGRYPNVHVYAYGPLPCVDFVIAEACSQFVTTIVCNDEFSSRLSINSILRLRSAAIRALSDNSPADTAMIQKLARRILNVNKYHDNGPDGGIMEDYRRAVSTERRFPHQDAPCTSEPDLPILQNGINGYNGSNSSIDVMSSQGLHTDCDAQTISLHGLDSGSEQRHTSYRDIPVEPPEMFLPGLVVHIVRQRRSLFPLWKCWSLQGSEPPYKAFFAKRENFTDLAVTPSMFTDHLPWREFWKRKRQQVVLIHR >ONIVA04G14980.2 pep chromosome:AWHD00000000:4:16971066:16979414:-1 gene:ONIVA04G14980 transcript:ONIVA04G14980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPLLPILATRGPRPPLLLPHLRRLLFPLLPRRRRAPSSPPPPPPASRRRAAAAAAMAGAGDPVAAAAVAAASSSAGAAAASFRLGMVRVVSFLVGGLNVAVLLLGLYLIDGVLPPGCGGGLALAAAPALAGIRVLAMIGTARAQHATADAIARRHLDEAAASVAADAVARHEIRVRYKRWLWWTRFGMAVGAMQLVGAIYLMLVIVRDISNERRATSCFFGQDEADHVSKRALIALFLILSWVVVVVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKNENLPHLQTDLTEAPLNLMQEAAILHPFAEACYTGPLLDVGRNPILFPCAWVYRQGVLTPWSRRRRPALDGDNWWRGHAAAFLRFVHIPAAALLRGRVCQSKREAAYFVVVLHDKKTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLVKCEQLPATTRERVISTFPHYGHGGILESARELFMQLNDCTGVANLLFSCHNANPDNSSSLTVGFLPALVGEGSECHGYKIRVVGHSLGGSVATVLGMMLFGRYPNVHVYAYGPLPCVDFVIAEACSQFVTTIVCNDEFSSRLSINSILRLRSAAIRALSDNSPADTAMIQKLARRILNVNKYHDNGPDGGIMEDYRRAVSTERRFPHQDAPCTSEPDLPILQNGINGYNGSNSSIDVMSSQGLHTDCDAQTISLHGLDSGSEQRHTSYRDIPVEPPEMFLPGLVVHIVRQRRSLFPLWKCWSLQGSEPPYKAFFAKRENFTDLAVTPSMFTDHLPWREFWKRKRQQVVLIHR >ONIVA04G14980.3 pep chromosome:AWHD00000000:4:16971066:16979414:-1 gene:ONIVA04G14980 transcript:ONIVA04G14980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPLLPILATRGPRPPLLLPHLRRLLFPLLPRRRRAPSSPPPPPPASRRRAAAAAAMAGAGDPVAAAAVAAASSSAGAAAASFRLGMVRVVSFLVGGLNVAVLLLGLYLIDGVLPPGCGGGLALAAAPALAGIRVLAMIGTARAQHATADAIARRHLDEAAASVAADAVARHEIRVRYKRWLWWTRFGMAVGAMQLVGAIYLMLVIVRDISNERRATSCFFGQDEADHVSKRALIALFLILSWVVVVVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKNENLPHLQTDLTEAPLNLMQEAAILHPFAEACYTGPLLDVGRNPILFPCAWVYRQGVLTPWSRRRRPALDGDNWWRGHAAAFLRFVHIPAAALLRGRVCQSKREAAYFVVVLHDKKTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLVKCEQLPATTRERVISTFPHYGHGGILESARELFMQLNDCTGDNSSSLTVGFLPALVGEGSECHGYKIRVVGHSLGGSVATVLGMMLFGRYPNVHVYAYGPLPCVDFVIAEACSQFVTTIVCNDEFSSRLSINSILRLRSAAIRALSDNSPADTAMIQKLARRILNVNKYHDNGPDGRAVSTERRFPHQDAPCTSEPDLPILQNGINGYNGSNSSIDVMSSQGLHTDCDAQTISLHGLDSGSEQRHTSYRDIPVEPPEMFLPGLVVHIVRQRRSLFPLWKCWSLQGSEPPYKAFFAKRENFTDLAVTPSMFTDHLPWREFWKRKRQQVVLIHR >ONIVA04G14970.1 pep chromosome:AWHD00000000:4:16962755:16963769:1 gene:ONIVA04G14970 transcript:ONIVA04G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELAENNPRAAIWYFLVYHSCLITPAAAARWMEHVPDALTAEALAAKEALELAMEVGCDRVILEDSEGFKSCIAGICFDITELARSFVDFKVEWVPREANSVAHCCSSLVSSAERSMFWFDDIPDWLEGLVSIDCTPMNN >ONIVA04G14960.1 pep chromosome:AWHD00000000:4:16955717:16957218:-1 gene:ONIVA04G14960 transcript:ONIVA04G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAPSPPEPALPRELSLGDLRAVSMLGRGAKGVVFHVVPAAAGEEEASMALKAVSREAARHKKNGSGGEDGHRRIWFERDVLMSLRHPLLPSLRGVLATDAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALDYLHSLGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPTPPPPPEEQDATIADSMPEPPPSSPSPNRAKGKRQPGAALCFPFCSVGATKPAASADSPSPTSTSRTASASSSSSSSTATTASSSTAAGVRSPAKSNSFVGTEDYVAPEIIAGSGHDFSVDWWGLGVVLYEMLYGRTPFRGLNRKETFYRVLSKQPELVGEKTPLRDLIARLLEKDPEKRIGARGIKAHPFFNGVDWDRILRVARPPFIPPPPEDEDEAGEVLDVEKVVNEVFAANDGGAAAGVVEKPSPEAGGTLAVGDGEQRRDPSKEGDFSVFF >ONIVA04G14950.1 pep chromosome:AWHD00000000:4:16941874:16946996:1 gene:ONIVA04G14950 transcript:ONIVA04G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF565) [Source:Projected from Arabidopsis thaliana (AT5G43050) TAIR;Acc:AT5G43050] MVVLCGAVLGKLLVPRNCLCPFTIPVNDDGVFSDWHFSMACASKTISIGFANSGLYGEARLLSPSYKNYPRRSSYKFIKVRAVQGNDGRRRLVDIIRTIPELSRNYFRSRSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPFALLNNFKMGFTYGLFIDAFKLAS >ONIVA04G14940.1 pep chromosome:AWHD00000000:4:16938111:16941185:-1 gene:ONIVA04G14940 transcript:ONIVA04G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06710) TAIR;Acc:AT1G06710] MIRRRAAAVATLRASLRRTCSHAAGDSEDPLLGLVEPPAPPQPPPRSRLGPRDFEFLREPAATAAAGALPPPEAVLISKAIRAFGADFDGKAERVLRRCRGFLTDSVVVAVLGAVRDAPELCARFFLWAERQVGYSHTGACYDALADALGFDGRARDAERLLREIGEEDREVLGRLLNVLVRRCCRGGMWNEALEELGRLKDFGYRPSKVTYNALVQVLSSAGQVDLGFRVQKEMSESGFCMDRFTVGCFAHALCKEGRWADALDMIEREDFKLDTVLCTHMISGLMEASYFDEAMSFLHRMRCNSCIPNVVTYRTLLSGFLKKKQLGWCKRIINMMMTEGCNPNPSLFNSLVHSYCNEKDYAYAYKLLNRMTTCGCPPGYVVYNIFIGSICGQEKLPSPDLLDLAEKIYGEMLAANCVLNKVNVANFARCLCGVGKFDKAFQLIKEMMRKGFVPDTSTYSKVITFLCHATKVEKAFLLFQEMKMVGVTPDVYTYTILIDSFCKAGLIEQAQCWFEEMRSVGCSPTVVTYTALIHAYLKAKQVPQANDIFHRMVDAGCRPNDVTYGALVDGLCKAGNISKAFEVYAKLIGTSDSADSDFYFPCEDRHALAPNVVTYGALVDGLCKAHKVDHAHELLDAMLSSGCEPNHIVYDALIDGFCKAGKIDSAQEVFLQMTKCGYLPSVHTYTSLIDRMFKDGRLDLAMKVLSQMLKDSCTPNVVTYTAMIDGLCRIGESEKALKLLSLMEEKGCSPNVVTYTALIDGLGKAGKIDLSLDLFTQMSRKGCSPNYVTYRVLINHLCAAGLLDKARLLLGEMKQTYWPKYLQGYRCAIQGFSKSFIASLGILEEMESYGTVPIAPVYGMLIDCFSKAGRLEIAMELHKEMMEVPSSVKTDNDMYASLIQALCLASQVEEAFRLYSEMTRRGFVPELSVFVCLIKGLVEVKKWDEALQLCYGICHEVGLLLSYTTQIFLFTFVVIVVGYGKNIEPQVDLGDSFSSFTVSASASNLKTAVICRHSPIKFDSVIL >ONIVA04G14930.1 pep chromosome:AWHD00000000:4:16930144:16931989:-1 gene:ONIVA04G14930 transcript:ONIVA04G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDILDPFNKSASLKVLYNNKELTNGSELKPSQVANEPRIEIAGRDIRNLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESANASYGNEVVSYESPKPTAGIHRFVFILFRQSVQQTIYAPGWRPNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >ONIVA04G14920.1 pep chromosome:AWHD00000000:4:16927646:16935415:1 gene:ONIVA04G14920 transcript:ONIVA04G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSLRLRALLASPAPLPARALLAAHALLLTSGLAADSALLAHFARHLASAAARSSSSAAAASAFRALLLLRPRCAHPFNALISSLTHAGDPSAAFRAFALLLVASGAGAGARPDGYTLPAALKACARLGGGLREGCQAHAVAEKAGFLGRVPVQNALVTFYGACGQCGDARKVFDEMAERDVVSWTALLSAFTRGGMFMEVLGVLAEMDVTPNEVTLASALVACGKLGTARAGKAVHGWYFRREKELNLIVGNALLDMYVKCEKLDLARRVFDMLLARDIVSWTVMISGLVQCKRPSEALEVFNAMQISGVKPDKARGFMNEKGMQKEPGSSVIEVNGKTCEFLVGQQNHLYMDDEYACGADGLYIKRTQKAEIDKAKAYYIYS >ONIVA04G14920.2 pep chromosome:AWHD00000000:4:16927646:16935393:1 gene:ONIVA04G14920 transcript:ONIVA04G14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSLRLRALLASPAPLPARALLAAHALLLTSGLAADSALLAHFARHLASAAARSSSSAAAASAFRALLLLRPRCAHPFNALISSLTHAGDPSAAFRAFALLLVASGAGAGARPDGYTLPAALKACARLGGGLREGCQAHAVAEKAGFLGRVPVQNALVTFYGACGQCGDARKVFDEMAERDVVSWTALLSAFTRGGMFMEVLGVLAEMDVTPNEVTLASALVACGKLGTARAGKAVHGWYFRREKELNLIVGNALLDMYVKCEKLDLARRVFDMLLARDIVSWTVMISGLVQCKRPSEALEVFNAMQISGVKPDKARGFMNEKGMQKEPGSSVIEVNGKTCEFLVGQQNHLYMDDEAAGG >ONIVA04G14920.3 pep chromosome:AWHD00000000:4:16933837:16935393:1 gene:ONIVA04G14920 transcript:ONIVA04G14920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPPFTWAHPIRRVIDRTCRGGPWSSPSRRRRILCEKRLQRRFHKAEKSAGNGNMIVLLLSTTVAISPVDEGKCSTKPQRLPAAIGLLWVRKQHQGFWVFQIRKQRKRLALRIRKRPLVCAFGIQIRYMRRRHLLHRVYALLLQHCCS >ONIVA04G14920.4 pep chromosome:AWHD00000000:4:16934498:16934906:1 gene:ONIVA04G14920 transcript:ONIVA04G14920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLLSTTVAISPVDEGKCSTKPQRLPAAIGLLWVRKQHQDQKATQKTGSSDQKATSSVCFRNSDQIYASTTLASSSVCPSSSALLQLTCPHNTFHGYQARPTVCISDDGLAAYRLAQVKLGIYRLFT >ONIVA04G14920.5 pep chromosome:AWHD00000000:4:16933837:16935415:1 gene:ONIVA04G14920 transcript:ONIVA04G14920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPPFTWAHPIRRVIDRTCRGGPWSSPSRRRRILCEKRLQRRFHKAEKSAGNGNMIVLLLSTTVAISPVDEGKCSTKPQRLPAAIGLLWVRKQHQGFWVFQIRKQRKRLALRIRKRPLVCAFGIQIRYMRRRHLLHRVYALLLQHCCS >ONIVA04G14910.1 pep chromosome:AWHD00000000:4:16918171:16925035:1 gene:ONIVA04G14910 transcript:ONIVA04G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSKVLLSKKGVLGTVWVAAVSGVAALSRDQVVRTNVVACVDKILPDDNDKTTYRVLGLLLLGIVRIYSKKVEYLCHECNELLGSYGSAHCNELSIPTGGATNRVSKQAKKPVCARRLVVRQEGAYKVKIPMQAARTTRAETRATSQIAEVRDTHATPDLPTFTIPKRFELDSFDLGIPEDRDDDDVDHHQLPHQGTMLEDENHHTSCLFESYKMMTCSYADLDSACIMPVRVTIPTEMMSVISEVNSLLCLSSIGGEPENHNAESACFTPVKDILPPEMVDTMAEVNDPSDKSTRGKKPQRELNRDENGNSACHIPLSGSKEVQIPENIVENVTFPSRDANCPTIEESENGSLHGTNTNPSCDGFEEPGSLEQPTLRCKTKLINELSPSTPEPMTEGGTGLPCSPKFMVTTPAKKEKHRVTRKRRRGLYNKDYIPTDRGDKRKVRRRGTWVLYDENIVLPNETLRNTIEDASDLVQQRRKAPHTCLYTWKEGKIHPTSVYVRHTITADTPENSCRESVKSRRRLSLELSESNNICDDAKNVEGESIPDEPRKRKLDELTDSVQATIGCYTESAQYHNGEDYRFNDNTVKEKDFSIEGHESHSTELQERLNALKSKNPQLDEALNADIDSMEEDTHMDEQHARDEGLLRSTRTRTVARYFHQLLVDQKCQQRNNSVCLGQALEGTKRKTSARFFYETLILKSGSLIEVNQEQTYGDIIVSATPRLEAALRSSEKQ >ONIVA04G14900.1 pep chromosome:AWHD00000000:4:16910212:16916730:1 gene:ONIVA04G14900 transcript:ONIVA04G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASRRSKEQQHHHHPSGRHQRGDSDPRCEADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARLGDREPGEVLSGSASDDSGGRPHRARENGVSSSSRDGESVVAASASSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKAVDSVPTELPPPPPPPLPPQDHIPERLAVEKSPMDVEPAVASESPEQLQEHAESRVMEEEEEYSTMRNISTSRWAGANDDEEEGAPHRKKKSASPADSAELGQRKKALSPELGEVVASDISGGRTMSRSSDSGRLGADENEDLEVDKDDYMDVDRDDDGNSDIANHQSGTDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNNLLTYDPEKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >ONIVA04G14900.2 pep chromosome:AWHD00000000:4:16910212:16916730:1 gene:ONIVA04G14900 transcript:ONIVA04G14900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASRRSKEQQHHHHPSGRHQRGDSDPRCEADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARLGDREPGEVLSGSASDDSGGRPHRARENGVSSSSRDGESVVAASASSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKAVDSVPTELPPPPPPPLPPQDHIPERLAVEKSPMDVEPAVASESPEQLQEHAESRVMEEEEEYSTMRNISTSRWAGANDDEEEGAPHRKKKSASPADSAELGQRKKALSPELGEVVASDISGGRTMSRSSDSGRLGADENEDLEVDKDDYMDVDRDDDGNSDIANHQSGTDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNNLLTYDPEKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >ONIVA04G14900.3 pep chromosome:AWHD00000000:4:16910212:16916800:1 gene:ONIVA04G14900 transcript:ONIVA04G14900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASRRSKEQQHHHHPSGRHQRGDSDPRCEADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARLGDREPGEVLSGSASDDSGGRPHRARENGVSSSSRDGESVVAASASSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKAVDSVPTELPPPPPPPLPPQDHIPERLAVEKSPMDVEPAVASESPEQLQEHAESRVMEEEEEYSTMRNISTSRWAGANDDEEEGAPHRKKKSASPADSAELGQRKKALSPELGEVVASDISGGRTMSRSSDSGRLGADENEDLEVDKDDYMDVDRDDDGNSDIANHQSGTDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNNLLTYDPEKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >ONIVA04G14890.1 pep chromosome:AWHD00000000:4:16904718:16905302:1 gene:ONIVA04G14890 transcript:ONIVA04G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGAMASLVFLHLLCAGWRMLRMWTAAGWPWPYLAAGRRIWTLGGVRTLDQALRPQCKLCKQKMMAGAVVRALSCDHVFHKACVDERLRNRKHGMRCRICNRVSRCMLPWKASPANLIDHNAQRFQHIRARGGVRTLDRALNDACPICQHRMVARDDVRTLSGGHDFHEDCDIAKWLRDNKKA >ONIVA04G14880.1 pep chromosome:AWHD00000000:4:16902111:16902701:-1 gene:ONIVA04G14880 transcript:ONIVA04G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGFIAIFVAFGLINLGLHLYERAPGWLVWMLGGVTTLDRALGDCSMCRYGMVAGDVVRTLSCGHVFHKDCDYSVDKWLREHGLSCPECRKKARSVRVLPWRARPQQPLPEEQNPPPQETSASSSSSSTHVRIAPEEPGDLDLEAQDQLLPPPATGSPKGPEEQHPPRPAAATSSSSADTSSLEEPLLRPSASP >ONIVA04G14860.1 pep chromosome:AWHD00000000:4:16895023:16895880:-1 gene:ONIVA04G14860 transcript:ONIVA04G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGWHLPEPPSSTVRSVIDGGGAHHPRLISSQVGQPARPTSPSPLPPRHRHRHIPKAEAVQGERQSPRHGSMELSASALGFGLCLDPHPVGGVRRRLRRGGGRHTSRSDCRRRLAYVPRPAHFPVSGVGGGLRGFLHRAPPGSARNEKGCARRHKTASSSATSAEPMDEGEADLLQFLFVTSGVSWGGIVGVVVAVNASVPAARVWMLPGVTTLDRELGGDDCSMCQYDKDAGAVVRTLSCDHVFHKACIDVWLREHGMACRLCRRTASCVLPWKTGGRRRHG >ONIVA04G14850.1 pep chromosome:AWHD00000000:4:16888368:16889000:-1 gene:ONIVA04G14850 transcript:ONIVA04G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGAMASLVFLHLLRAGWRMWTVAGWRMWTAAGWPYLAAGWETLGMWYRRRIWTLGGVTTLDQALRPACAECKEKMMAGAVVRKLSCDHVFHKACIDERLRDREHGMRCRLCNRVAGWVLPWNASPANLTDHNAQRFQHIRARGGVRTLNRALNDECPICQHMMVAGDDVRTLSCGHDFHEDSDIAKWLRDNKNACPVCRQINRPVQR >ONIVA04G14840.1 pep chromosome:AWHD00000000:4:16874741:16877259:-1 gene:ONIVA04G14840 transcript:ONIVA04G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEETSRLFRIRRTVMQMLRDRGYLVTELDIDFPRGDFVARFGDPVDRDHLVFSRHKKDNGADQIYVFFPKDAKPGVKTIRSYVERMKQESVFNGILVVQQALSAFARSAVQEVSQKFHLEVFQEAELLVNIKDHTLVPEHELLTPEQKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKIIRASETAGRYVTYRYVV >ONIVA04G14830.1 pep chromosome:AWHD00000000:4:16870083:16873094:1 gene:ONIVA04G14830 transcript:ONIVA04G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPLLLLLAVLLAAAPAAAQSATPREDDVRCLKEVKAELRDPDGRLSAWSFGNTSAGALCLLSGVSCWNPQESRIIGLSLSGFGLQGGIPSALQFCSAANTLDLSNNALVGVIPPALCDWIPFVVNLDLSGNQLSGQLPSELANCRFLNSLKLSGNSFSGQIPDSLGRLDRLKSLDLSDNRLDGQIPPQLATFGKDSFAGNKGLCGRPVSSRCGRVLSGAGLGIVIAAGVFGAAASLLLAFFFWRCTGKSKGGRRRRRGGSESGGGSAEDGSWWAERLRAAHNRLAPVSLFQKPIVKVKLADLMAATQDFSTSHIVVAGSSRAGTAYRAVLRDGSALTVKRLHSCPLSEKAFRAEMGRVGQLRHPNIVPLLGFCVVEDERLLVYKHMESGALSSVMKEPGEAPLDWATRLRIAVGAARGLAWLHHGFQVPQIHQNLSSSAVLLDEDYEARITDVGLTRLVRMAPGEGGDTSPFLNGDFGEYGYVAPECASNPVATMKGDVYAFGVILLELVSGQEAATVTGDAAGEGFKGTLVDWVNQLKASGRIGDAVHKSLRGNGHDSEIDEFVKIAFACIMVHPRERFSMYRVYHSLKSIGQGRDVSEQFDEFPLAYNKDESDTM >ONIVA04G14820.1 pep chromosome:AWHD00000000:4:16861315:16866080:1 gene:ONIVA04G14820 transcript:ONIVA04G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAATAAAPKAPPPKHLIALAVVAILGLVLVADFLWASSSPAAPAWSSRIDLPGRPAALVPPSGKKQTKEKISIGSTDINATFADLPAPELQWEEMAEAPVPRLDGAAMQIKNLLYVFAGYGTINHVHSHVDIYNFSDNTWGGRFDMPKEMAHSHLGMVTDGRYVYVVTGQYGPQCRGPTARNFVLDTETKEWHDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSIAVKDGKALENEWRSEVPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSNVYMLDDGMKWKEFPPMPKPDSHIEFAWVNVNNSLIIAGGTTEKHPITKKMVLVGEVFRFNLNTLEWTVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKDPSPKKVVGCMWRTKLHL >ONIVA04G14810.1 pep chromosome:AWHD00000000:4:16858056:16858975:-1 gene:ONIVA04G14810 transcript:ONIVA04G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVFAPPPDRHPGTNSGGGGGGRRCCTGEDGREWHELDIQGRGCNEVHPWTMTDRCVQRMRARRELCSWRTRNLWGSLRRGTKIIATSVCLLETYNHSQNIRIGGLEMLL >ONIVA04G14800.1 pep chromosome:AWHD00000000:4:16855158:16857954:-1 gene:ONIVA04G14800 transcript:ONIVA04G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDCWSCIGERRHMCSYFMPAQGHIAEKKREVDLRFNRRCTPGLHFLVAYLLSTSSAFKIKEHV >ONIVA04G14790.1 pep chromosome:AWHD00000000:4:16850282:16852502:-1 gene:ONIVA04G14790 transcript:ONIVA04G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:malate synthase [Source:Projected from Arabidopsis thaliana (AT5G03860) TAIR;Acc:AT5G03860] MATNAAAPPCPCYDTPEGVDILGRYDPEFAAILTRDALAFVAGLQREFRGAVRYAMERRREAQRRYDAGELPRFDPATRPVREAGGWACAPVPPAIADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMRGQVNLRDAVAGTITYRDAARGREYRLGDRPATLFVRPRGWHLPEAHVLVDGEPAIGCLVDFGLYFFHSHAAFRSGQGAGFGPFFYLPKMEHSREARIWKGVFERAEKEAGIGRGSIRATVLVETLPAVFQMEEILHELRDHSAGLNCGRWDYIFSYVKTFRARPDRLLPDRALVGMAQHFMRSYSHLLIQTCHRRGVHAMGGMAAQIPIKDDAAANEAALELLVRKDKLREVRAGHDGTWAAHPGLIPAIREVFEGHLGGRPNQIDAAAGDAARAGVAVTEEDLLQPPRGARTVEGLRHNTRVGVQYVAAWLSGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAVLDAGGVEVRATPELLARVVEEEMARVEAEVGAERFRRGRYAEAGRIFSRQCTAPELDDFLTLDAYNLIVVHHPGASSPCKL >ONIVA04G14790.2 pep chromosome:AWHD00000000:4:16850280:16852502:-1 gene:ONIVA04G14790 transcript:ONIVA04G14790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:malate synthase [Source:Projected from Arabidopsis thaliana (AT5G03860) TAIR;Acc:AT5G03860] MATNAAAPPCPCYDTPEGVDILGRYDPEFAAILTRDALAFVAGLQREFRGAVRYAMERRREAQRRYDAGELPRFDPATRPVREAGGWACAPVPPAIADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMRGQVNLRDAVAGTITYRDAARGREYRLGDRPATLFVRPRGWHLPEAHVLVDGEPAIGCLVDFGLYFFHSHAAFRSGQGAGFGPFFYLPKMEHSREARIWKGVFERAEKEAGIGRGSIRATVLVETLPAVFQMEEILHELRDHSAGLNCGRWDYIFSYVKTFRARPDRLLPDRALVGMAQHFMRSYSHLLIQTCHRRGVHAMGGMAAQIPIKDDAAANEAALELDLELVRKDKLREVRAGHDGTWAAHPGLIPAIREVFEGHLGGRPNQIDAAAGDAARAGVAVTEEDLLQPPRGARTVEGLRHNTRVGVQYVAAWLSGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAVLDAGGVEVRATPELLARVVEEEMARVEAEVGAERFRRGRYAEAGRIFSRQCTAPELDDFLTLDAYNLIVVHHPGASSPCKL >ONIVA04G14780.1 pep chromosome:AWHD00000000:4:16845399:16852225:1 gene:ONIVA04G14780 transcript:ONIVA04G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSQSLHGDDAPGWCTTMRLYASSVRKSSSSGAVHCRLKILPASAYRPRRNLSAPTSASTLAISSSTTRASSSGVARTSTPPASSTAPCRSHCQFCTRLISAVAASSIRLYSGTEPDPDSHAATYCTPTRVLWRRPSTVRAPRGGWSRSSSVTATPARAASPAAASIWFGLPPRCPSNTSRIAGMSPGCAAHVPSCPARTSRSLSLRTSSRSSSLRTSSRSSSLRTSSRSSSLRTSSSAASFAAASSLIGICAACTTSATVWLIN >ONIVA04G14780.2 pep chromosome:AWHD00000000:4:16845399:16850063:1 gene:ONIVA04G14780 transcript:ONIVA04G14780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAVVNYPLVAALVAFALAQSSKFFTTWFKEKRWDARQLIASGGMPSSHSATVTALAVAIGIQEGYRSATFATSVIIACVVMHDAFGVRLHAGKQAEVLNQIVYELPEEHPLSETKPLREILGHTVPQNF >ONIVA04G14770.1 pep chromosome:AWHD00000000:4:16836764:16844175:1 gene:ONIVA04G14770 transcript:ONIVA04G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27880) TAIR;Acc:AT1G27880] MYSPPHAADSDSEGSLLSDVSASPPRRRSPPRPAPPPPPPPPPKHRTRPAAPTKPKLKPTPPAASAPAPAPPPPPTLRAAALSDPHGLAARIAAGSALTAASGTASSSSFRRLVQSRNPSFDPATAFTAPASSAPSEVPSAAPRPPPTAATDAPPQTRPKRVHPNSVSEVAAASAAAEQPKRARGGSEGNFVRLNINGYGRRRTFKNSQAKRSTKCRSWRRQRAAGATPRSQGDEEGDLVAEALLEREKQAASDSVLEAVESVREDPSEQNLKSLLNAAYGHDSFRQGQLEAIQQIVAGESTMLVLPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHDTLQRLCAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIMNALEIPSDNLIQTSQIRENLQLSISTSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDFVSKYLCDNNITAKSYHSGLLIKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYIQETGRAGRDGRLSHCHLLLDSATFYKIRSLSHSDGVDGYAMSKFLYQIFSSENTTGCICSLAKELTSRKFDIKEEVLLTILTQLEIGDQQYIRLLPQFSVTCTLYFHKTSPQLLADKDILIRSVLNRSEMKDGHYVFDIPRIANDLKITMNEVFDHLHKLKFSGEISFELKDPAYCYVILWRPDDFNALSANLTKWLSEVESSKISKLDAMFAIANFAVKGCKRTGGCSGSQHTPCIQKKIMEYFSKDDGTSENDCRTQLQKSSPFLQADIKVFIQSNSFAKFTPRAVARIMHGISSPAFPSVTWSKNHFWGRYVEVDFPLVMEAAKAELVKLVGKGEQHVKKALQ >ONIVA04G14770.2 pep chromosome:AWHD00000000:4:16836764:16844175:1 gene:ONIVA04G14770 transcript:ONIVA04G14770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27880) TAIR;Acc:AT1G27880] MYSPPHAADSDSEGSLLSDVSASPPRRRSPPRPAPPPPPPPPPKHRTRPAAPTKPKLKPTPPAASAPAPAPPPPPTLRAAALSDPHGLAARIAAGSALTAASGTASSSSFRRLVQSRNPSFDPATAFTAPASSAPSEVPSAAPRPPPTAATDAPPQTRPKRVHPNSVSEVAAASAAAEQPKRARGGSEGNFVRLNINGYGRRRTFKNSQAKRSTKCRSWRRQRAAGATPRSQGDEEGDLVAEALLEREKQAASDSVLEAVESVREDPSEQNLKSLLNAAYGHDSFRQGQLEAIQQIVAGESTMLVLPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHDTLQRLCAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIMNALEIPSDNLIQTSQIRENLQLSISTSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDFVSKYLCDNNITAKSYHSGLLIKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYIQETGRAGRDGRLSHCHLLLDSATFYKIRSLSHSDGVDGYAMSKFLYQIFSSENTTGCICSLAKELTSRKFDIKEEVLLTILTQLEIGDQQYIRLLPQFSVTCTLYFHKTSPQLLADKDILIRSFSGEISFELKDPAYCYVILWRPDDFNALSANLTKWLSEVESSKISKLDAMFAIANFAVKGCKRTGGCSGSQHTPCIQKKIMEYFSKDDGTSENDCRTQLQKSSPFLQADIKVFIQSNSFAKFTPRAVARIMHGISSPAFPSVTWSKNHFWGRYVEVDFPLVMEAAKAELVKLVGKGEQHVKKALQ >ONIVA04G14770.3 pep chromosome:AWHD00000000:4:16836764:16844175:1 gene:ONIVA04G14770 transcript:ONIVA04G14770.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27880) TAIR;Acc:AT1G27880] MYSPPHAADSDSEGSLLSDVSASPPRRRSPPRPAPPPPPPPPPKHRTRPAAPTKPKLKPTPPAASAPAPAPPPPPTLRAAALSDPHGLAARIAAGSALTAASGTASSSSFRRLVQSRNPSFDPATAFTAPASSAPSEVPSAAPRPPPTAATDAPPQTRPKRVHPNSVSEVAAASAAAEQPKRARGGSEGNFVRLNINGYGRRRTFKNSQAKRSTKCRSWRRQRAAGATPRSQGDEEGDLVAEALLEREKQAASDSVLEAVESVREDPSEQNLKSLLNAAYGHDSFRQGQLEAIQQIVAGESTMLVLPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHDTLQRLCAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIMNALEIPSDNLIQTSQIRENLQLSISTSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDFVSKYLCDNNITAKSYHSGLLIKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYIQETGRAGRDGRLSHCHLLLDSATFYKIRSLSHSDGVDGYAMSKFLYQIFSSENTTGCICSLAKELTSRKFDIKEEVLLTILTQLEIGDQQYIRLLPQFSVTCTLYFHKFSGEISFELKDPAYCYVILWRPDDFNALSANLTKWLSEVESSKISKLDAMFAIANFAVKGCKRTGGCSGSQHTPCIQKKIMEYFSKDDGTSENDCRTQLQKSSPFLQADIKVFIQSNSFAKFTPRAVARIMHGISSPAFPSVTWSKNHFWGRYVEVDFPLVMEAAKAELVKLVGKGEQHVKKALQ >ONIVA04G14760.1 pep chromosome:AWHD00000000:4:16833740:16834307:-1 gene:ONIVA04G14760 transcript:ONIVA04G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLSDLPDDVLLLILDKLDTRDAVRCSLLSRRWSRVPGMLANIELDVDSFAPDPDDDHDDGFTSTLSESARSNHAMVRAVQSILAAHESRHAIRRLGLSFFSRDESVGIVRAVDDAMARPQDPRPVKPELLCAGRDVARQGARLASYRDKYPRVFAGLTRLHVECVKLGAARVSAVSEMI >ONIVA04G14750.1 pep chromosome:AWHD00000000:4:16829638:16833536:1 gene:ONIVA04G14750 transcript:ONIVA04G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H2C1] MAKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDSKTLLFGEKEVTVFGCRNPEEIPWGETGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPDIDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEESEGKLKGILGYVEEDLVSTDFQGDNRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVVDLIRHMYNTQ >ONIVA04G14740.1 pep chromosome:AWHD00000000:4:16825938:16827156:-1 gene:ONIVA04G14740 transcript:ONIVA04G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA family protein [Source:Projected from Arabidopsis thaliana (AT3G25980) TAIR;Acc:AT3G25980] MASRTASKDIITLRGSAAIVSEFFGYAANRCALRAVAGARIDGRRCADADSGLISDPGCFVFLVCAWRSILYNRGVYPEESFTKVKKYGLTMLLTQDEGVKTFIANLNTQLSEWLEAGKLQRIVLVIMSKATSEVLERWNFSIQTDPEVVDKGVIKEKSDKEIMREIQAIMRQVASCITYLPCLDEPCIFDVLAYTDMDVAVPFTWVESDAKLIENPQMVKLHSFDTKIHKVDTLVSYKVDEWDEE >ONIVA04G14730.1 pep chromosome:AWHD00000000:4:16818426:16825994:1 gene:ONIVA04G14730 transcript:ONIVA04G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPRAPGDRKRCRRASGPVPRWASLPEDLVDLVASRLLAGGDLLDYVRFRAVCTSWRSGTASPRGRGVADRRFHPRRWMMLPEGHGLYPGHPSLRGYARFLNLDTGTLVRARIPLLRDGYVAIDSVDGLLLLLLDPDPNQEGAVRLLRPFTGDTAELPPLGTVLPHLGSRLLDCPAPATGAGAGAITVLLALSVVSRVAFATSLDRQWSLSTYECVTLSSPIASHGKIYLMHTDRSCGEKMHQILRIDHPPAAAQDGSGSGAGRALQEPKLVATIPARKLDHFQGLVECGSEILVLGYKNWSTSRISVFKLADLVLQRFMPIKSIEGHTLFIGERNISVSSKILPTVKGDNLVYLNSGLVKYHLSSGSLSLAIDNCSLYGRAPGPSSLVHYIYSCCIRNRWELGPGRAERPSHLPRVPPGSPRLRSPTAATTAPVTSPIAGFPFAHGTTPRAPPRPAAAMLLSGPSPQQPTPPLLLPESSGEDGGHDSSSRAAASGGGGGPKKRAETWVQDETLCLIALRREMDSHFNTSKSNKHLWEAISARMREQGFDRSPTMCTDKWRNLLKEFKKARSHARGGGGGGVGGGGAGTGGGNCPAKMACYKEIDDLLKRRGKPTGGGGAAVGSGAVKSPTVTSKIDSYLQFDKGFEDASIPFGPVEASGRSLLSVEDRLEPDSHPLALTADAVATNGVNPWNWRDTSTNGGDNQVTFGGRVILVKWGDYTKRIGIDGTAEAIKEAIKSAFGLRTRRAFWLEDEDEVVRSLDRDMPVGTYTLHLDTGMTIKLYMFENDEVRTEDKTFYTEEDFRDFLSRRGWTLLREYSGYRIADTLDDLRPGVIYEGMRSLGD >ONIVA04G14720.1 pep chromosome:AWHD00000000:4:16805049:16807207:-1 gene:ONIVA04G14720 transcript:ONIVA04G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNYSSCSLTSSFLMNEDCAGMMCGCGCWSEEASPLSSGGVNSLWWDELEFELELEEEEEFDPVDLLPTDPFGMNLETTFTAAIASCIEDLTVMSNAGRFGDSRDDAVFADLSYYLNKAFVLSPEFQFGGYRGVFEGPLGFGGLSAGEGDSFGFMKNPSSSGNADDSFGFVETPPTSGNAALECGDAVEVVPVQEGGVPHEGMLFALDYLGLRDILSVERVCKTLHSAVRNEPLLWKSIHIEGDLRQRISDAGLLHLTQKCPDTLQCLSIACCVNITDQGLKAVLESNPRLTKLSILGCPRLTLDGLISNLKSFNTKAVFGIKHLRVGTLFSLRKEQYEELLSLLNTDKTQEVHNRGPRFLHANRFLSDCNDGYALDIEMCPICQNYKLVYDCPDEGCDDRRSGNCKGCTVCILRCYECGRCVDKLAFKESFSLDWVCPNCQEKKDLSPPMK >ONIVA04G14710.1 pep chromosome:AWHD00000000:4:16800889:16803149:1 gene:ONIVA04G14710 transcript:ONIVA04G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRAPPRAAGLRAPPAAAAASSRRTPPLRVAGRAAAACPAASDKRGRRQISLDRTVNGIFVVRHKRILLPIPTAFRSTTRVRLTSPAFLPSRRNFEGYIPQSCSGSSLQIYSRSSLLSLSPSSALMVSSQLNSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWSEKVFGSTEIKLEDAARSAMNWGTVNESVAIEQYTSITGRSVGSLGFAVHTEANFGWLGASPDGVLGCDPDGGILEVKCPYNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSLFRVPRDRGYWELIHEVLRDFWWGNVMPARELVLLGKEAEARSFEPQPKHRSTNLVIFRSRKLASEAKLLCKDIGGHVEFFP >ONIVA04G14700.1 pep chromosome:AWHD00000000:4:16791258:16793233:-1 gene:ONIVA04G14700 transcript:ONIVA04G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic Fe-S cluster assembly factor NBP35 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H2B6] MENGGRDVPENANDHCPGTQSEAAGKADACTGCPNQQICATAPKGPDPDLLAIIERMNTVKHKILVLSGKGGVGKSTFSAQLSFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQIAGIDGAIIVTTPQQVSLIDVKKEINFCKKVGVPVLGVVENMSGLRQAFSDMKFVKPSEAGETDATEWALNYIKEKAPELLSVVACSEVFDSSKGGAEKMCQEMEVPFLGKVPMDPQLCKAAEEGRSCFTDQKCSASAPALKSIIKKLVKTK >ONIVA04G14690.1 pep chromosome:AWHD00000000:4:16784477:16793234:1 gene:ONIVA04G14690 transcript:ONIVA04G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H2B4] MSGGSSPRSRRSSFNSLSRDLELPSEQGCLSVIVLGASGDLAKKKTFPALFHLFAQGFIQSGEVHIFGYARSNLSDDGLRERIRGYLKGASEEHLSDFLQHIKYVSGSYDSGEGFEKLNKEISEYEKSNKSESPRRLFYLALPPSVYPSVCKMIRTYCMNPSGWTRVIVEKPFGKDLDSAEELSAQLGELFDENQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQIVFREDFGTDGRGGYFDQYGYVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKHDEVVLGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSRKAEVRVQFKDVPGDIFKCKRQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDEGKVKSIPYQPGSRGPKEADELSERVGYMQTHGYIWIPPTLA >ONIVA04G14690.2 pep chromosome:AWHD00000000:4:16784477:16793234:1 gene:ONIVA04G14690 transcript:ONIVA04G14690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H2B4] MSGGSSPRSRRSSFNSLSRDLELPSEQGCLSVIVLGASGDLAKKKTFPALFHLFAQGFIQSGEVHIFGYARSNLSDDGLRERIRGYLKGASEEHLSDFLQHIKYVSGSYDSGEGFEKLNKEISEYEKSNKSESPRRLFYLALPPSVYPSVCKMIRTYCMNPSGWTRVIVEKPFGKDLDSAEELSAQLGELFDENQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKHDEVVLGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSRKAEVRVQFKDVPGDIFKCKRQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDEGKVKSIPYQPGSRGPKEADELSERVGYMQTHGYIWIPPTLA >ONIVA04G14680.1 pep chromosome:AWHD00000000:4:16776491:16780992:1 gene:ONIVA04G14680 transcript:ONIVA04G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant UBX domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT3G27310) TAIR;Acc:AT3G27310] MEAEHPHQITYTTTTTTSTSSLCPRRRKRGDDEAAHHLVFPMDLDSAAAAAAAAAHQQQQQQQTTSQDKLKALAYEYGHEFRVFSSVTFESMTSNLPAADQEEDDDFYELQPADYFNLVSNRIGALSKTMKLTAEQSKVLKTRKMREAELAAQRAKIKKAVMRVRFPDGYILEADFHPSETVQSLMDFLKKVISRPDLPFYLYTVPPKKRIKDTSLDFYTIGFVPGANVYFSYDLPEGSELNTDSVKSGPYLREEIRMLDGLPIVQEPVHQPIDSTMNSSSAHQSDVSQSDFAPPANKKPAKPKWFKRVLEGDDEVRQSGRQECTTRGRL >ONIVA04G14670.1 pep chromosome:AWHD00000000:4:16763887:16770683:1 gene:ONIVA04G14670 transcript:ONIVA04G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVGEEGKQQPHLVLAHKLFLLSHPDVDDLAKVDLRADVLAAVKSDDMASLYESLGAGGVLETDAALLAEMRGRIEEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFHTLQIGFFYMDFDLISKSIDKAKKLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFPYDTFIFYTVLTSVISLDRVSLKAKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFAAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMASAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >ONIVA04G14660.1 pep chromosome:AWHD00000000:4:16760255:16763629:-1 gene:ONIVA04G14660 transcript:ONIVA04G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:radical SAM domain-containing protein / GCN5-related N-acetyltransferase (GNAT) family protein [Source:Projected from Arabidopsis thaliana (AT5G50320) TAIR;Acc:AT5G50320] MATAVAAAGGGGGGEQPRRRKPAPGRGGVVLPAGLSEEEARVRAIAEIVSAMGELSRRGEDVDLNALKSAACRRYGLARAPKLVEMIAAVPEADRAALLPRLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVCYSEHGAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSIYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFREFFENPAFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPELLVDIVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHKIRPDEVELVRRDYAANEGWETFLSYEDTQQDILIGLLRLRKCGRNVTCPELVGRCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIARKEHRSKKIAVISGVGTRHYYRKLGYELEGPYMVKCLV >ONIVA04G14650.1 pep chromosome:AWHD00000000:4:16751683:16761639:1 gene:ONIVA04G14650 transcript:ONIVA04G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase-promoting complex/cyclosome 2 [Source:Projected from Arabidopsis thaliana (AT2G04660) TAIR;Acc:AT2G04660] MQLVDDADGALDSWARFCDLSDELFGGAGDLSAGPRLAPVVADLCARGLAELLRDQFIRSLEGIFRSNAVKKFWQQFHPYCNSSAVERIKFCVQENWPEDILSKALEDICLEKNYQEKCVLALVHSLQSYEDRSPHRKSKALDCSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELNIMMAGLDGSDPFDNHDLFERNSTSAWHSEMDIDGQEPGISESRNLVKNIGKVVRDLRYLGFTSMTEDSYSSAIIWLLKSKVHELAGDDYRIPVLGCVKKWIQAVPLKFLHALLTYLGDSLDNESGSSGLKSPLASRPSSFPGIGVPSEALVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNANGTGNAGDNLLEELNRDAENQENVDYDDHTNIDEKQAWLNAESWEPDPVEADPLKGSRNRRKIDILGLIVSIIGSKDQLVNEYRVMLAEKLLNKSDFDIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLSKTSQTVGTVQEETELSHEVLDATIISSNFWPPIQTEDLTVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELQFEDRSMQFTVAPVHAAIIMQFQEKPSWTSKTLATAIGVPVDSLNRKISFWTSKGVLTESVGPDADDPTFTVVDSTSDFNKNSTVNQLSERFQITEEEGESSIASVEEQLRKEMTVYEMFCIAEPSYDKSLQQLQSFLSGLVSDEKLEMRDGSYLLRK >ONIVA04G14640.1 pep chromosome:AWHD00000000:4:16740836:16743112:-1 gene:ONIVA04G14640 transcript:ONIVA04G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLAAPAPKAPSAAGPARKATAPVGPAPKVTAPAGPAPKATAAAAPSSKAPSPVAPSPEAPLPAAPAARPSYSSVLRLVSNNSDEDFDREVYRLAHLRPLVSKAASQRSQDDFTNFSYDDEFEYSSGVLVDSVSDVLCDDFSDEDFQYEEVDARYYRVRPEIESEVICGKEKSIARTAHATLD >ONIVA04G14630.1 pep chromosome:AWHD00000000:4:16733687:16736922:1 gene:ONIVA04G14630 transcript:ONIVA04G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANREIVSTKFMILPEKHGIQRMEDDKIICGKRFMSFSYACPRAFGCLKQLILTCVRLGNSDFTDVLSTCKKLEYLQLISCDFQPSVLQMEHPTLIKMELVVCTFESVDLKSLPKLRTLIVDTWMGLEEIYPLSFGYVPQLSTLKLTYKGTTRDKNIKLSEFLGNAAIGALHLDFECGRMWDHECKTSEDEELYQKGGDKLLKWESSRDFKHHNLNVLRIVGFQVDEKFMTYIRRVTEIAINLETVLLFESVSCKGCQFRPSTRYPYTGEEKVMTVKQICEGRSLPIKFRFGTFIASMRKNIMHKNWRKDSRKVVCTVDDVCKPIFITNIIDTLCHANITKDCHANITEN >ONIVA04G14620.1 pep chromosome:AWHD00000000:4:16727295:16727889:-1 gene:ONIVA04G14620 transcript:ONIVA04G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNLPDNVLLDILDKLDTREAVRCSVLSRRWRRVPGMLPNIKLDVDSSFEHDDDGFTSTLSDAARNNYAMVGAVQSLLSRESRHDIRRLDLSFFSREESVGIIHAIDDTDRVKQGRRLLHCFDAYPRVFVGLTRLHLEFVTVHGPRFSDLIAACEQLIKLCLVYCDFGKETTLTIRHEQL >ONIVA04G14610.1 pep chromosome:AWHD00000000:4:16720266:16726439:-1 gene:ONIVA04G14610 transcript:ONIVA04G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50310) TAIR;Acc:AT5G50310] MGKKQKKPGKGKEKTERKTAKGEEKRARREARKVGEEDDIDAILRSIQKEEAKKKEVHVDENVPAPSPRSNCSLTINPLKDTELVLYGGEFYNGSKTFVYGDLYRYDVEKNEWKLVSSPNSPPPRSAHQTVAWKNNIYMFGGEFTSPNQERFHHYKDFWSLDLKTNQWEQILAKGCPSARSGHRMVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDNFKWEEIKPRPGCLWPSPRSGFQLMVYQDQIYLYGGYFKEVVSSDKSASEKGTVHADMWTLDPRTWEWNKVKKTGMPPGPRAGFSMCVHKKRAVLFGGVVDMEIEGDVIMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKTKDIKRKEPSNNVEDNLGNEEDEIMEDSETTGGQSEVHGVSNHLTKSLTLNKAGSGNSSDILSDSTTQEVLPEAVKPGGRINACLAVGKDTLYLYGGMMELKDREITLDDMYSLNLSKLDEWKCIIPASESEWLEISEDEDDEDDDDDDNENDSEDDANQTDEDDEESDEDAEKNVDMSTAVSLIKGERKNLRRKEKRARIEQIRVMLGLSDSQRTPMPGESLKDFYKRTDMYWQMAAYEHTQHTGKVAGSMSLLYIFVLIQCQELRKDGFDLAETRYKELKPILDELAVLEAEQKAEEEASASTSSKKDTKKSKQKSGMR >ONIVA04G14600.1 pep chromosome:AWHD00000000:4:16715115:16718077:-1 gene:ONIVA04G14600 transcript:ONIVA04G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSAQPAWALALAGVGLMVAATASARLARWLYAAFLRPGKPLRRRYGEWAVVTGATDGIGRALAFRFAGAGMSLVLVGRSPDKLAAVSGEIRGKHPRAEVRTFVLDFAAEGLASKVAALGDSIRGLDVGVLVNSAGMSYPYARYFHEVDEELMRNLIRLNVEALTRVTHAVLPGMVERKRGAIVNIGSGASSILPSYPLYSVYAATKAYVDQFSRCLYVEYKNKGIDVQCQVPLYAATKMASIKKASFFAPSPETYARAAVRYIGYEPRCTPYWPHAVLWFLISAFPEPIVDRLLLNMSVGIRKRGMAKDARKKTQ >ONIVA04G14590.1 pep chromosome:AWHD00000000:4:16707639:16711125:1 gene:ONIVA04G14590 transcript:ONIVA04G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRICLPSCPVLFLNLRSSVLSRCCSAGRGGACSSFNLSRHWKLHTKSSGMNRILDPLLHIYIMMTSNYVLLNPEEKTDKTIVQQKCRCCC >ONIVA04G14590.2 pep chromosome:AWHD00000000:4:16707639:16711125:1 gene:ONIVA04G14590 transcript:ONIVA04G14590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRICLPSCPVLFLNLRSSVLSRCCSAGRGGACSSFNLSRHWKLHTKSSGMNRILDPLLHIYIMMTSNYVLLNPEEKTDKTIVQQKCRCCC >ONIVA04G14590.3 pep chromosome:AWHD00000000:4:16707421:16711125:1 gene:ONIVA04G14590 transcript:ONIVA04G14590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPRQRLLARFCRYYSTRYGSRYASHHLPANCALAAAVRLLSSQITSSHRRSILDPLLHIYIMMTSNYVLLNPEEKTDKTIVQKCRCCC >ONIVA04G14580.1 pep chromosome:AWHD00000000:4:16700587:16706868:-1 gene:ONIVA04G14580 transcript:ONIVA04G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPPPPPPLPHAHSDSGEAPVSLFIDTDLGTRFALLAAGDSTMRDLKSTVAAEHATAFPDIGPVAVKSFQVRRKGALYHLSDLMTIRSAFAKIKAGCFLHVKMTVVVTDSHCCRDTSMENRGKSSEGCPGAEVHVDKCVLKIPALIPQIANRRLPGLENSSTAGMEKKRKRSEPEATREVVSAQEMVKQSSGAVEVPGSIGQVLLQKNNQELQGDGAYNVELTSRDNSGCEGTKHVQLMSGAQGATDLASDQGIDDLVHKAYKEPITRYMTNSSGVVAGAEKPTQGRRDEGAVETSKMEKASTSKSILEEIQSAGNPSQGRKRKKAKKVNSVDMASLDIADQCGTEHVQLMSDAQATANLVADQGIDDLVHKEYKDPTMGDMVNSSEVVAGAEKSTKGRHDKGVVETSKMESASTSKSVAKKRKKTKNVSSVDMASLDISGEKGQCGTKHVQFVSDAQATTNSVADKGIDDLVHKDYKDPTMGDMVNSSELVACAGESTKVRHDESGIETSKLEKSSKSILEEIQSVGHTSQQKKRKKAKKVSSVDMESLDISGEKDQCGYGENLVKSDKLATQGKIVNDPVDQHISSNMLSEGPNVIENPCGDGRRKKKKKTKHHSESSKDVGPTHDVTKSLITNEISIQNTNVSPLDPKQITPATTGVGTIGHQTKFDVSLDVAAAKVIDEVLADLRCTDNISKDLDQCQLSKQKHQGSDVLGVHGNTVDKGALSAVLPLKYPAAIHSDAPISSPSHNKAKGEKLEVLPTAHDSSHFSGGVPEENANAELRESVSLRPSDNTSVSNNISTENVVVQDDDKNKATKRQRKKISLKHVPTDNDKTIQSLDEQVNQVAIEDLNGSNATKADLVQGGSVIDGPAGTVENVQKKSRSTKIRTPKVQKANPSAHFEDSKSAKDSQGKCVSYIGESGTHSNDTAVGAPTQSFAVQEDATALRTSTPSALKGRKKSSKTGLQSQNASLDHGSDVDLMNYKAEHITASPKKSAVAVEPNEKINFLYHFSPKGTNDQYVSAENKENGREETVREVEDESNKREVDLQSQLADNAKPNDLLQSHHIEKTTSTNNSPGDVGVPSDSTQNVDIADGNVKKGKQKKRKKKSDLLNSVPQKVDPNSDHRDIDNGVQDFSFSVAQEGRMEHDRKENNNNVIWNSSMLTRDPKDATCDSRVKKLNQSKSGSDNQGNLPIDKDHALMDKGQRKTSSQTKPHAESKNFDRFSNGKADPNSKSIRNLVKSFSMSPPASSDSTQGTPQNSRFRLAARKVPRKRYEQTSGKSKKDKGTGTIFNDASSDGSDDELGIGSEKAAIETSSDDASSSADSGISSAAHDSGEPDDDGNASLSQKSRKGGLGSILRGSCSYKKAKQKQAVQLDDTEVPDSQPMDIF >ONIVA04G14570.1 pep chromosome:AWHD00000000:4:16695540:16700080:1 gene:ONIVA04G14570 transcript:ONIVA04G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGFWASLGSFLKFLPYFCGLLILGVIKGILICPWACLIMAIGLSALILGLWPMHVIWTYYCIIRTKLVGPVVKLLLLIAATATLILWLIVGIPGSILAGLVYGFLAPIMATFGAVGEGKEKPFDGTWSTITGSCTIVRDVKDLLFHSYFSIMDDLRLQAPPDNKPYEIRLLDIPGALLSAACGLILDGIMFTLIAIYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAFGAVVAYQESSLKMGLSYVVSSVSIFDEYTNDVLDMAPGSCFPRLKYRKREDSSHGGSLSRPTSFNKEKQEGKKPPARVTSFKNSIEDFNPFKLLEHLFVECKHEGETLVNEGVITMKDIEETKLGKVGTGVLNVGLPAYVILNALLRSAKANSVGLLLSDGSEITSDNRPKHTLYEWFFDPLLVIKEQIKAENFTEEEEKYLKMRVLLIGGPDRVKGSLPDVPSLDERKKAEIDAFARRLQGITKSISRYPTAKRRFDILVKQLLSELERTVGGGQSTNGSQSQSLRGGIARMLSQKSMGKAANVRDEDPEAQMTSHDRTP >ONIVA04G14570.2 pep chromosome:AWHD00000000:4:16696086:16700080:1 gene:ONIVA04G14570 transcript:ONIVA04G14570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRFLISLLQEAEESLPMEPPSGFWASLGSFLKFLPYFCGLLILGVIKDRTKLVGPVVKLLLLIAATATLILWLIVGIPGSILAGLVYGFLAPIMATFGAVGEGKEKPFDGTWSTITGSCTIVRDVKDLLFHSYFSIMDDLRLQAPPDNKPYEIRLLDIPGALLSAACGLILDGIMFTLIAIYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAFGAVVAYQESSLKMGLSYVVSSVSIFDEYTNDVLDMAPGSCFPRLKYRKREDSSHGGSLSRPTSFNKEKQEGKKPPARVTSFKNSIEDFNPFKLLEHLFVECKHEGETLVNEGVITMKDIEETKLGKVGTGVLNVGLPAYVILNALLRSAKANSVGLLLSDGSEITSDNRPKHTLYEWFFDPLLVIKEQIKAENFTEEEEKYLKMRVLLIGGPDRVKGSLPDVPSLDERKKAEIDAFARRLQGITKSISRYPTAKRRFDILVKQLLSELERTVGGGQSTNGSQSQSLRGGIARMLSQKSMGKAANVRDEDPEAQMTSHDRTP >ONIVA04G14570.3 pep chromosome:AWHD00000000:4:16695532:16700080:1 gene:ONIVA04G14570 transcript:ONIVA04G14570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGFWASLGSFLKFLPYFCGLLILGVIKDRTKLVGPVVKLLLLIAATATLILWLIVGIPGSILAGLVYGFLAPIMATFGAVGEGKEKPFDGTWSTITGSCTIVRDVKDLLFHSYFSIMDDLRLQAPPDNKPYEIRLLDIPGALLSAACGLILDGIMFTLIAIYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAFGAVVAYQESSLKMGLSYVVSSVSIFDEYTNDVLDMAPGSCFPRLKYRKREDSSHGGSLSRPTSFNKEKQEGKKPPARVTSFKNSIEDFNPFKLLEHLFVECKHEGETLVNEGVITMKDIEETKLGKVGTGVLNVGLPAYVILNALLRSAKANSVGLLLSDGSEITSDNRPKHTLYEWFFDPLLVIKEQIKAENFTEEEEKYLKMRVLLIGGPDRVKGSLPDVPSLDERKKAEIDAFARRLQGITKSISRYPTAKRRFDILVKQLLSELERTVGGGQSTNGSQSQSLRGGIARMLSQKSMGKAANVRDEDPEAQMTSHDRTP >ONIVA04G14560.1 pep chromosome:AWHD00000000:4:16684571:16686577:1 gene:ONIVA04G14560 transcript:ONIVA04G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFRRSSSKGSTDSSSSSSSSSDGDVGGRSGGGGGSGEIEWEVRPGGMLVQKRDGRGGVEVITVRVATGFSWHDVSIGATCTFGELKAVVSMVTGLEPKEQRLLFRGKEREDSDHLHMVGVRDKDKVLLLEDPALKDMKLRAALVARATVQSPYQPFIQV >ONIVA04G14550.1 pep chromosome:AWHD00000000:4:16678124:16680798:-1 gene:ONIVA04G14550 transcript:ONIVA04G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVASTATSFSYHKPRFAVECRKKDRDRDRDRERPEREKEHKYPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYLLNLYLDGLLDKS >ONIVA04G14540.1 pep chromosome:AWHD00000000:4:16672893:16676649:-1 gene:ONIVA04G14540 transcript:ONIVA04G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGARSPTRMLAEGHLRVATGGGAPADGGIAVRHLPHHHASKREGAGGKNEQYNLEDVDSVPSKMSNKLVNGNNKVPATLDDYKRLLVPVIEEYFSTGDVELAASELRSLGSDQFHSYFIKKLISMAMDRHDKEKEMASILLSALYADLLGSSKMSEGFMMLLESTEDLSVDIPDAIDVLSVFVARAVVDEILPPVFLTRARALLPEFSKGIEVLQVAEKSYLSAPHHAELVERKWGGSTHFTVEEAKRRIQDILKEYIESGDIDEAFRCIRELGLPFFHHEVVKRALTLSMENLSSQPLILKLLKESTAGCLISSNQMSKGFCRLAESIDDLSLDIPSAKILFDKLVLTATSEGWLDASFTTSSAPNEDMRNASGEKIKHFKEESGHIIQEYFLSDDVPELIISLQELSAPEYNPIFLKKLITLAMDRKNREKEMASALLSSLSLELFSTDDIMKGFILLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEIGNRLRPNSSGSQTVQMARALLAARHSGERILRCWGGGTGWAVEDAKDKIAKLLEEYNTGGDLGEACQCIRDLGMPFFNHEVVKKALVMAMEKENEARILALLQECFGEGLITINQMTLGFTRVKEGLDDLILDIPNAQEKFGAYVDLATERGWLLPPFA >ONIVA04G14530.1 pep chromosome:AWHD00000000:4:16667013:16671747:-1 gene:ONIVA04G14530 transcript:ONIVA04G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane protease ATP23 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H294] MAGTGGRTGEPEATGVEAASAPKRSESSRCMPFEDCVAGIKSSLKNPTVRFLMERMDKAGCPMPPGMITARNCGTADKNGSYGSRIGITVCCEEIRYKDEITQLLIHELIHAYDDCVVKDMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKMRGHEQECVKRRALMSLRNNPHCSGTAAKDAVEAVDVSPGTVTQL >ONIVA04G14530.2 pep chromosome:AWHD00000000:4:16668385:16671747:-1 gene:ONIVA04G14530 transcript:ONIVA04G14530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane protease ATP23 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H294] MAGTGGRTGEPEATGVEAASAPKRSESSRCMPFEDCVAGIKSSLKNPTVRFLMERMDKAGCPMPPGMITARNCGTADKNGSYGSRIGITVCCEEIRYKDEITQLLIHELIHAYDDCVVKDMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKMRGHEQECVKRRALMSLRNNPHCSGTAAKDAVEAVWSICYNDTRPFDRAP >ONIVA04G14520.1 pep chromosome:AWHD00000000:4:16664598:16665256:-1 gene:ONIVA04G14520 transcript:ONIVA04G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVLPMPQAQRGGQDGAIMRLLPASGIVRLVALVFLLIFSSSLQQQAGVGAIRLHDRKQHGEQWEEERTQMRSFMTMDYSSVRRRRPIHN >ONIVA04G14510.1 pep chromosome:AWHD00000000:4:16655183:16657891:1 gene:ONIVA04G14510 transcript:ONIVA04G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQWADLDALRPAVAADVQVVTSDGKSIAAHSFVLGTASPVLERMIERARRGWNAECTIRVLGVSSDAVFAFLQLLYASRVTPEDEEVVTAHGPQLLALSHAYRIGWLKRAAEASVTARLTPEHAVDMLKLARLCDAPRLYLRCARLAAKDFAAVERSEGWRFTRRHDAALELEILQLLEDADQRRERWARERASREAYRQLGEAMDSLEHIFSDDGCSCADADADTDAPPCRGLRLLMRHYATCGARKAAPGGGCTRCKRMVQLFRLHASVCDRAAPHDDGDRPCRVPLCSHFKGKMRAEKADKTWRLLVKKVTRARAMSRLAAGREREVVPEVVAASWARYSSSGGAARLR >ONIVA04G14500.1 pep chromosome:AWHD00000000:4:16648266:16648933:-1 gene:ONIVA04G14500 transcript:ONIVA04G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDTGADGGAANPDLGPDADAAAGEGLELAQFAAGCFWSVELTYQRLPGVARTEVGFSQGHHHEPTYDDVCGQGTGHAEVVRVHYDPKACPYGVLLDVFWAKHRPTTLIRQGDEAGTQYRSGIYYYTAEQERVARESLEAMQEEWKEKIVTEILPARRFYPAEEYHQRYLEKGGQSAQKGCTDPIRRYG >ONIVA04G14490.1 pep chromosome:AWHD00000000:4:16644648:16646383:-1 gene:ONIVA04G14490 transcript:ONIVA04G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGAAPLLACVSFYGSAVWPSEWLRRTAAQLVRGRLPRAGSALSLTVLAADGPICQFGRAVYYWHAPCTSQEGHGSAIIFQFCACMSIASILLIDHRLQIYSPQDQGENSGYQFHYSGENLRIFIAADKINCNPQSSHTTYLQAISIVTASKSSTVYVSIQGKSAADDLSYFKSTQTHTVSYMKNKIPHIDVFLTEQDFKNSEI >ONIVA04G14480.1 pep chromosome:AWHD00000000:4:16641693:16643066:-1 gene:ONIVA04G14480 transcript:ONIVA04G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNPGAANPALGPDADAAAGEGLELAQFAAGCFWSVELTYQRLPGVARTEVGYSQGHRHEPTYRDVCSGGTGHAEVVRVHYDPKACPYEVLLDVFWAKHNPTTLNRQGNDVGTQYRSGIYYYTAEQEKAARDSLAEKQKEWKERIVTEILPATRFYPAEEYHQRYLEKGGQSAKKSCNDPIRCYG >ONIVA04G14470.1 pep chromosome:AWHD00000000:4:16634287:16635310:-1 gene:ONIVA04G14470 transcript:ONIVA04G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAARGGETFDPDLIRAIFKLVWSRRGERGGGGGDAGDEVIEVEPAPETSRRNRSATDGL >ONIVA04G14460.1 pep chromosome:AWHD00000000:4:16630865:16632662:-1 gene:ONIVA04G14460 transcript:ONIVA04G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-L-isoaspartate O-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H286] MCLAAAIASASASPARCLSPSSAALPRRFLHHLLLAATPPRPTPSPPPLRCIPFHRMAQFWTQGSLDKNKALVEYLKQYGAVRTDKVAEVMESIDRALFVAEGLTPYTDSPMPIGYNATISAPHMHATCLELLKDHLQPGMHALDVGSGSGYLTACFAMMVGPEGRAVGIEHIPELVAASTENVQRSAAAQLLKDGSLSFHVADGRLGWPDDAPYDAIHVGAAAPEIPQPLVDQLKTGGRMVIPVGSYFQELQVVDKNADGSVTVQNDASVRYVPLTSRSAQLQDS >ONIVA04G14450.1 pep chromosome:AWHD00000000:4:16628745:16633485:1 gene:ONIVA04G14450 transcript:ONIVA04G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01660) TAIR;Acc:AT3G01660] MLRAPPQAPRASRRPPPPRCSPAAGASPPPSAGGIRRLVLTPEGRAKLDARPDRDFYAFPRLVKHVDDGFLAALADLYRERLRPGWDVLDLMSSWVSHLPPERELPLRRVVGHGLNAQELAKNPRLDYFFVKDLNKEQRLELQTSSLDAVLCTVSVQYLQSPEKVFAEIFRVLKPGGVCIVSFSNRMFYEKAIGAWREGTAYSRVQLVTQYFQCVDGFTEPEVVRKLPSDAAGGKPASPLDAVMRLFGMAGSSDPFYAVISYRNFKPM >ONIVA04G14440.1 pep chromosome:AWHD00000000:4:16623777:16627760:-1 gene:ONIVA04G14440 transcript:ONIVA04G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGTAPWRSPLRAPPPTPSRGYNSRPRGLVPAQCRPWPRPSLSSAAVACPRSEEMARLERGSLVVGGRELLERAPPSVALRRPAVVASPGDAAFLGATAPAPSSRHVFSLGTLASGWKWLSLFRFKIWWMIPTVGEDAAGVPAETQMLLLESRSEAGAALYALMLPVLDGGFRASLQGSPENELQFCFESGDPEVQTLEAVDAVFINSGDSPFKLMKESIKMLSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKSVNPVGIEEGLKSLCEGGAPPRFLIIDDGWQETVNGFKEVDEAFIEQTVFAERLIDLTENDKFRGETCKNLGDHVKKIKEHYGVKYVYIWHALHGYWGGVLTTPDAMKKYNPQLVYPVQSPDVQNVMETLGKGFGGRVALTQKYQQALEESITRNFKGNNLICCMSHNTDSIFSSLKSAVARASEDFMPREPTMQTLHIATVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGMHDFSVLKKLVLPDGLILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWIYPVKENAHVPTTVCITGDLSPSDVELLEEIAGDDWNGETAVFAFNSCSLSRLQKHQTMEVSLSTMTCEIYTIALIKVFGGFVQFAPLGLVNMYNSGGALENVTSTGDCSEITIQIQCRGPGRFGAYSATRPEICSVDEHEVEFKHTDDGFLAFDLSHGSSQDNLRNIEILYRAS >ONIVA04G14440.2 pep chromosome:AWHD00000000:4:16623777:16627760:-1 gene:ONIVA04G14440 transcript:ONIVA04G14440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGTAPWRSPLRAPPPTPSRGYNSRPRGLVPAQCRPWPRPSLSSAAVACPRSEEMARLERGSLVVGGRELLERAPPSVALRRPAVVASPGDAAFLGATAPAPSSRHVFSLGTLASGWKWLSLFRFKIWWMIPTVGEDAAGVPAETQMLLLESRSEAGAALYALMLPVLDGGFRASLQGSPENELQFCFESGDPEVQTLEAVDAVFINSGDSPFKLMKESIKMLSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKSVNPVGIEEGLKSLCEGGAPPRFLIIDDGWQETVNGFKEVDEAFIEQTVFAERLIDLTENDKFRGETCKNLGDHVKKIKEHYGVKYVYIWHALHGYWGGVLTTPDAMKKYNPQLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPAMIYDFYNDQHSYLSSVGVDGVKVDVQNVMETLGKGFGGRVALTQKYQQALEESITRNFKGNNLICCMSHNTDSIFSSLKSAVARASEDFMPREPTMQTLHIATVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGMHDFSVLKKLVLPDGLILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWIYPVKENAHVPTTVCITGDLSPSDVELLEEIAGDDWNGETAVFAFNSCSLSRLQKHQTMEVSLSTMTCEIYTIALIKVFGGFVQFAPLGLVNMYNSGGALENVTSTGDCSEITIQIQCRGPGRFGAYSATRPEICSVDEHEVEFKHTDDGFLAFDLSHGSSQDNLRNIEILYRAS >ONIVA04G14440.3 pep chromosome:AWHD00000000:4:16623777:16627760:-1 gene:ONIVA04G14440 transcript:ONIVA04G14440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGTAPWRSPLRAPPPTPSRGYNSRPRGLVPAQCRPWPRPSLSSAAVACPRSEEMARLERGSLVVGGRELLERAPPSVALRRPAVVASPGDAAFLGATAPAPSSRHIWWMIPTVGEDAAGVPAETQMLLLESRSEAGAALYALMLPVLDGGFRASLQGSPENELQFCFESGDPEVQTLEAVDAVFINSGDSPFKLMKESIKMLSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKSVNPVGIEEGLKSLCEGGAPPRFLIIDDGWQETVNGFKEVDEAFIEQTVFAERLIDLTENDKFRGETCKNLGDHVKKIKEHYGVKYVYIWHALHGYWGGVLTTPDAMKKYNPQLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPAMIYDFYNDQHSYLSSVGVDGVKVDVQNVMETLGKGFGGRVALTQKYQQALEESITRNFKGNNLICCMSHNTDSIFSSLKSAVARASEDFMPREPTMQTLHIATVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGMHDFSVLKKLVLPDGLILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWIYPVKENAHVPTTVCITGDLSPSDVELLEEIAGDDWNGETAVFAFNSCSLSRLQKHQTMEVSLSTMTCEIYTIALIKVFGGFVQFAPLGLVNMYNSGGALENVTSTGDCSEITIQIQCRGPGRFGAYSATRPEICSVDEHEVEFKHTDDGFLAFDLSHGSSQDNLRNIEILYRAS >ONIVA04G14440.4 pep chromosome:AWHD00000000:4:16623777:16627760:-1 gene:ONIVA04G14440 transcript:ONIVA04G14440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGTAPWRSPLRAPPPTPSRGYNSRPRGLVPAQCRPWPRPSLSSAAVACPRSEEMARLERGSLVVGGRELLERAPPSVALRRPAVVASPGDAAFLGATAPAPSSRHVFSLGTLASGWKWLSLFRFKIWWMIPTVGEDAAGVPAETQMLLLESRSEAGAALYALMLPVLDGGFRASLQGSPENELQFCFESGRFSLDLLDSKVFELKRTANFGISVGISEEYSGGLFLGDPEVQTLEAVDAVFINSGDSPFKLMKESIKMLSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKSVNPVGIEEGLKSLCEGGAPPRFLIIDDGWQETVNGFKEVDEAFIEQTVFAERLIDLTENDKFRGETCKNLGDHVKKIKEHYGVKYVYIWHALHGYWGGVLTTPDAMKKYNPQLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPAMIYDFYNDQHSYLSSVGVDGVKVDVQNVMETLGKGFGGRVALTQKYQQALEESITRNFKGNNLICCMSHNTDSIFSSLKSAVARASEDFMPREPTMQTLHIATVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGMHDFSVLKKLVLPDGLILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWIYPVKENAHVPTTVCITGDLSPSDVELLEEIAGDDWNGETAVFAFNSCSLSRLQKHQTMEVSLSTMTCEIYTIALIKVFGGFVQFAPLGLVNMYNSGGALENVTSTGDCSEITIQIQCRGPGRFGAYSATRPEICSVDEHEVEFKHTDDGFLAFDLSHGSSQDNLRNIEILYRAS >ONIVA04G14430.1 pep chromosome:AWHD00000000:4:16615577:16623467:1 gene:ONIVA04G14430 transcript:ONIVA04G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSACPTPLLLLLLLLLPLAAAAASLPPLPLSTASRWVVGADGRRVKLACANWASHLEPVAAEGLSRRGVGDIAARVAAMGFNCVRLTWPTYLATNATLASLPLRWSLERLGMRESVAGVRVNNPGLLDLPLIDVFQEVVSALARNNIMVILDNQMTTPGWCCSTTDGNGFFGDKYFDPEEWLNGLKTMATMFRKTKNVVGMSLRNELRGPYENVSLWYRYMKEGAESVHTANPDVLVILSGLKFDNTLNFVVPNQIHLSFTGKLVFEQHWYGFSDGGNWESQNQNDVCGMVVGFIKNKGLFLLQQGWPLFFSEFGFDMSGTHTGDNRYLTCFLSVAAEMDLDWAIWALQGSYYIREGTLAYDESYGLLSWDWCTARNPSFIKRINSLQSPFQGPGLPNSQEPYNVIFHPLSGLCVVVKSSEALELGPCDESNAWNYTSTHELVLQHTGQCLQVKSVGENAQLGTDCSKSSSKWQLISNSGMHVSTELTKNGTRVCLDATPDGIITTNPCKCLTGDPNCNPESQWFKIILSSRHTGTSILQLPSDGPWSPTSSREIVVFRPWQSREASPFPMPKQPRRRSTRYKSTSPLLLLGVSHCRTAGVDMRLVVVWLAAVAVLGLASHGRPAAAAAATTLSTASRWIVDEGGNRVKLACVNWPSHLEPMLAEGLGKQPVGAIAKDVVAMGFNCVRLTWATFMVTNASYSSLTVAQSFQRLNLTESLAAIRVNNPSLAVVSSLGENGVMVILDNHVSKPGWCCGNNDGNGFFGDAYFDPDVWVDGLTKMATMFAAVPSVVAMSLRNELRGPRQNSADWYKYMQRGAEAVHAANPRVVVILSGMSFDNDLAFLNSRQVNVSFAGKVAFEVHWYGFSDGQAWRAGNANQVCARVAASVSRRALYLLDQGWPVFLSEFGVDNRGGNVNDNRYYGCVAAVAADLDLDWALWTLQGSYYLREGVLGLDEVYGVLDWAWCKPRNDTALTRLHALQRPFRGPGLAEAAPYTVMFHPTTGRCVVRRSSSVVQTTLELGSCGEAEAWAYTASQQRLSPRDSPLLCLRAEGAGRPARLGLSCGDELARWSLTSDSKLHLAVNASSSSSSPETSNGGMLCLDVGDDGRSLVTNPCRCLSADNSCDPESQWFKLVTSTRSVAATNTMLAQLPPKLRSWKIRSL >ONIVA04G14430.2 pep chromosome:AWHD00000000:4:16615577:16623467:1 gene:ONIVA04G14430 transcript:ONIVA04G14430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSACPTPLLLLLLLLLPLAAAAASLPPLPLSTASRWVVGADGRRVKLACANWASHLEPVAAEGLSRRGVGDIAARVAAMGFNCVRLTWPTYLATNATLASLPLRWSLERLGMRESVAGVRVNNPGLLDLPLIDVFQEVVSALARNNIMVILDNQMTTPGWCCSTTDGNGFFGDKYFDPEEWLNGLKTMATMFRKTKNVVGMSLRNELRGPYENVSLWYRYMKEGAESVHTANPDVLVILSGLKFDNTLNFVVPNQIHLSFTGKLVFEQHWYGFSDGGNWESQNQNDVCGMVVGFIKNKGLFLLQQGWPLFFSEFGFDMSGTHTGDNRYLTCFLSVAAEMDLDWAIWALQGSYYIREGTLAYDESYGLLSWDWCTARNPSFIKRINSLQSPFQGPGLPNSQEPYNVIFHPLSGLCVVVKSSEALELGPCDESNAWNYTSTHELVLQHTGQCLQVKSVGENAQLGTDCSKSSSKWQLISNSGMHVSTELTKNGTRQCDLPTHPHSWPLHFTHVKDQQHSLGEIVVFRPWQSREASPFPMPKQPRRRSTRYKSTSPLLLLGVSHCRTAGVDMRLVVVWLAAVAVLGLASHGRPAAAAAATTLSTASRWIVDEGGNRVKLACVNWPSHLEPMLAEGLGKQPVGAIAKDVVAMGFNCVRLTWATFMVTNASYSSLTVAQSFQRLNLTESLAAIRVNNPSLAVVSSLGENGVMVILDNHVSKPGWCCGNNDGNGFFGDAYFDPDVWVDGLTKMATMFAAVPSVVAMSLRNELRGPRQNSADWYKYMQRGAEAVHAANPRVVVILSGMSFDNDLAFLNSRQVNVSFAGKVAFEVHWYGFSDGQAWRAGNANQVCARVAASVSRRALYLLDQGWPVFLSEFGVDNRGGNVNDNRYYGCVAAVAADLDLDWALWTLQGSYYLREGVLGLDEVYGVLDWAWCKPRNDTALTRLHALQRPFRGPGLAEAAPYTVMFHPTTGRCVVRRSSSVVQTTLELGSCGEAEAWAYTASQQRLSPRDSPLLCLRAEGAGRPARLGLSCGDELARWSLTSDSKLHLAVNASSSSSSPETSNGGMLCLDVGDDGRSLVTNPCRCLSADNSCDPESQWFKLVTSTRSVAATNTMLAQLPPKLRSWKIRSL >ONIVA04G14420.1 pep chromosome:AWHD00000000:4:16610601:16615392:1 gene:ONIVA04G14420 transcript:ONIVA04G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPLLLLLLLLPLAAATATASLPPPLSTASRWVVGADGRRVKLACANWASHLEPVAAEGLSRRGLGDIAARVAAMGLNCVRLTWPTYLATNATLANLPLRASLERLGMPESVAGVRVNNPGLLDLPLIDVFQEVVSALAKNNIMVILDNQMTTPGWCCSENDGNGFFGDKYFGPEEWLKGLSAMATMFRNTKNVVGMSLRNELRGSKNVSLWFRYMQLGAEVVHAANPGVLVILSGLNFDNTLDFLVPNQIQLTFTGKLVFEQHWYGFSDDGNWGSQNQNDACGMVVDSIKKKGLFLLQQGWPLFFSEFGFDMSGTHVADNRYLTCFLTVAAEMDLDWAIWALQGSYYIREGTLAYDESYGLLSWDWCTARNPSFIKRINALQSPFQGPGLPNSQQPYNVIFHPLSGLCVLVKSSEALELGPCDKSNAWNYTKGYELILKQTGQCLQAKSVGENAKLGTSCSKSSSKWKLLSNSGMHVSTELTNNGTRVCLEASPDGNITTNQCKCLTVDPNCNPESQWFKIILSSKHIPGGTSILQLPSHGPWSPTSSS >ONIVA04G14410.1 pep chromosome:AWHD00000000:4:16608663:16609826:-1 gene:ONIVA04G14410 transcript:ONIVA04G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGTLQECNGGRMVILSFLDKAPRLFDGIQTTVLLLNPNEATYIRRKGLPLTIVLGLGSALVDLYAKCGCSDNADKHSKLVAACEELRGTPGLFSSMCEANVKAHGRGIHCNMQDALRHPLDPISQSHWTDPGCIPIELEGTDDVRLDFDQNEKETSVTHHSKKLAIAFSLMKLRLGATIQLSKNLRVCLDCHSTTKVYNREIVVRGKNRFQSLQRWFLLLQ >ONIVA04G14400.1 pep chromosome:AWHD00000000:4:16599960:16604794:-1 gene:ONIVA04G14400 transcript:ONIVA04G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKREREAAALIAAVAAAGASPVNLSDMVAATSSRIVRRVAFGDGDGDGDESMDVKAVLDETQSLLGGLWVADYVPWLRWVDTLSGKRWRLERRFRQLDAFYERVIDDHINKRKHASDEEDDLVDVLLRLHGDPAHRSMFGSRTHIKGILTDMFIAGSDTSAVTVQWAMTELVRNPDVLAKAQHEVRRVIAAGGGGDKDGAMVREADLPELHYLRLVIKETLRLHPASPLVQRETTEPFRTAHGVEIPARTRVVINAMAIHTDPGVWGPNAERFLPERHRAHDADGEQQHEHDGFALVPFGIGRRSCPGVHFAAAAAELLLANLLFCFDWRALPGREVDVEEENGLAVRKKNPLVLIATKSKSNRDAH >ONIVA04G14400.2 pep chromosome:AWHD00000000:4:16599960:16606724:-1 gene:ONIVA04G14400 transcript:ONIVA04G14400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSLQAPEFLASCLLLATILFFKQLLAPSSKQRAASPSLPRPRGLPLIGNLHQVGALPHRSLAALAARHAAPLMLLRLGSVPTLVVSTADAARALFRDNDRALSGRPALYAATRLSYGQKSISFAPDGAYWRAARRACMSELLGPPRVRGLRDAREREAAALVAAVAAAGASPVNLSDMVAATSSRIVRRVAFGDGDGDESMDVKAVLDETQALLGGLWVADYVPWLRWVDTLSGKRWRLERRFRQLDALYERVIDDHLNKRKHASDEEDDLVDVLLRLHGDPAHRSTFGSRSHIKGILTDMFIAGSDTSAVTVQWAMTELVRNPDVLAKAQHEVRRVIAAGGGGDKDGAMVREADLPELHYLRLVIKETLRLHPASPLVQRETTEPFRTAHGVEIPARTRVVINAMAIHTDPGVWGPNAERFLPERHRAHDADGEQQHEHDGFALVPFGIGRRSCPGVHFAAAAAELLLANLLFCFDWRALPGREVDVEEENGLAVRKKNPLVLIATKSKSNRDAH >ONIVA04G14400.3 pep chromosome:AWHD00000000:4:16604851:16606724:-1 gene:ONIVA04G14400 transcript:ONIVA04G14400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSLQAPEFLASCLLLATILFFKQLLAPSSKQRAASPSLPRPRGLPLIGNLHQVGALPHRSLAALAARHAAPLMLLRLGSVPTLVVSTADAARALFRDNDRALSGRPALYAATRLSYGQKSISFAPDGAYWRAARRACMSELLGPPRVRGLRDAREREAAALVAAVAAAGASPVNLSDMVAATSSRIVRRVAFGDGDGDESMDVKAVLDETQALLGGLWVADYVPWLRWVDTLSGKRWRLERRFRQLDALYERVIDDHLNKRKHASDEEDDLVDVLLRLHGDPAHRSTFGSRSHIKGILTDMFIAGSDTSAVTVQWAMTELVRNPDVLAKAQHEVRRVVAAGDKVREADLPELHYLRLVIKETLRLHPAAPLLVPRETTEPFRTAHGVEIPARTRVVVNAMAIHTDPGVWGPDAERFVPERHRDDADGCAQQHDGFALVPFGIGRRRCPGVHFAAAAVELLLANLLFCFDWRAPPGREVDVEEENGLAVHKKNPLVLIATKSKRNTGGH >ONIVA04G14400.4 pep chromosome:AWHD00000000:4:16599960:16606724:-1 gene:ONIVA04G14400 transcript:ONIVA04G14400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSLQAPEFLASCLLLATILFFKQLLAPSSKQRAASPSLPRPRGLPLIGNLHQVGALPHRSLAALAARHAAPLMLLRLGSVPTLVVSTADAARALFRDNDRALSGRPALYAATRLSYGQKSISFAPDGAYWRAARRACMSELLGPPRVRGLRDAREREAAALVAAVAAAGASPVNLSDMVAATSSRIVRRVAFGDGDGDESMDVKAVLDETQALLGGLWVADYVPWLRWVDTLSGKRWRLERRFRQLDALYERVIDDHLNKRKHASDEEDDLVDVLLRLHGDPAHRSTFGSRSHIKGILTDMFIAGSDTSAVTVQWAMTELVRNPDVLAKAQHEVRRVIAAGGGGDKDGAMVREADLPELHYLRLVIKETLRLHPASPLVQRETTEPFRTAHGVEIPARTRVVINAMAIHTDPGVWGPNAERFLPERHRAHDADGEQQHEHDGFALVPFGIGRRSCPGVHFAAAAAELLLANLLFCFDWRALPGREVDVEEENGLAVRKKNPLVLIATKSKSNRDAH >ONIVA04G14390.1 pep chromosome:AWHD00000000:4:16597032:16597867:-1 gene:ONIVA04G14390 transcript:ONIVA04G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLGAPRVRELRDAREREAAALIAAVAAAGASPVNLSDMVAATSSRIVRRVAFGDGDGDGDESMDVKAVLDETQSLLGAIRVSKVPTPSPQSPPASALLHMPVHQDTTEQGH >ONIVA04G14380.1 pep chromosome:AWHD00000000:4:16593080:16596481:1 gene:ONIVA04G14380 transcript:ONIVA04G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRTSRIHVYGFIIILLLLVQATAAATSRCPAQQAAALLRLKRSFHHHHQPLLLPSWRAATDCCLWEGVSCDAAASGVVVTALDLGGHGVHSPGGLDGAALFQLTSLRRLSLAGNDFGGAGLPASGLEGLAELTHLNLSNAGFAGQIPIGVGSLRELVSLDLSSMPLSFKQPSFRAVMANLTKLRELRLDGVDMSAAAAAGDWCDVLAESAPKLQLLTLQSCKLSGAIRSSFSRLRSLVVIDLSYNQGFSDASGEPFALSGEIPGFFAELSSLAILNLSNNGFNGSFPQGVFHLERLRVLDVSSNTNLSGSLPEFPAAGEASLEVLDLSETNFSGQIPGSIGNLKRLKMLDISGSNGRFSGALPDSISELTSLSFLDLSSSGFQLGELPASIGRMRSLSTLRLSECAISGEIPSSVGNLTRLRELDLSQNNLTGPITSINRKGAFLNLEILQLCCNSLSGPVPVFLFSLPRLEFISLMSNNLAGPLQQFDNPSPSLTSVYLNYNQLNGSIPRSFFQLMGLQTLDLSRNGLSGEVQLSYIWRLTNLSNLCLSANRLTVIADDEHIYNSSSSASLLQLNSLGLACCNMTKIPAILRSVVVNDLDLSCNQLDGPIPDWIWANQNENIDVFKFNLSRNRFTNMELPLANASVYYLDLSFNYLQGPLPVPSSPQFLDYSNNLFSSIPENLMSRLSSSFFLNLANNSLQGGIPPIICNASDLKFLDLSYNHFSGRVPPCLLDGHLTILKLRQNKFEGTLPDDTKGGCVSQTIDLNGNQLEGKLPRSLTNCNDLEILDVGNNNFVDSFPSWTGELPKLRVLVLRSNKFFGAVGGIPVDNGDRNRTQFSSLQIIDLASNNFSGSLQPQWFDSLKAMMVTREGDVRKALENNLSGKFYRDTVVVTYKGAATTFIRVLIAFTMVDFSDNAFTGNIPESIGRLTSLRGLNLSHNAFTGTIPSQLSGLAQLESLDLSLNQLSGEIPEVLVSLTSVGWLNLSYNRLEGAIPQGGQFQTFGSSSFEGNAALCGKPLSIRCNGSNAGPPSLEHSESWEARTETIVLYISVGSGFGLGFAMAFLFQVFRGK >ONIVA04G14370.1 pep chromosome:AWHD00000000:4:16584551:16587153:1 gene:ONIVA04G14370 transcript:ONIVA04G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGKAAAAEAAAPDAGVSSPQGGGGEKEGSFLLGSPTWEDAGGGRWRCKETGHELPEREKEAYGRSRACRLALIDQAVARKKPPLNAFKPHPEHKSKLICNITGDIINKSEEHIWKHINGKRFLNKLEKLEEKMASGEMAEGEAEQSNEGENKTKSRKKKDKKKAAVVNPSLPREPKPEIDDSDNSDDPDFWVPPVGSRWDDDDGKDRWTSSPVKDKDDAAEDEDGDDDGDDMADKDDEETREIASRTKRLSVEAVGPSSFASRKKKTKKEQ >ONIVA04G14360.1 pep chromosome:AWHD00000000:4:16579605:16584297:1 gene:ONIVA04G14360 transcript:ONIVA04G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWITCH/sucrose nonfermenting 3A [Source:Projected from Arabidopsis thaliana (AT2G47620) TAIR;Acc:AT2G47620] MSPPVAGAASSGDGPPGRPPRELYTIPASSGWFQWDEIHETERRALPEFFGGAGGSGFGTASRNPRIYREYRDYIISRYREDTSRRLTFTEVRKALVGDVTLLRKLFAFLDSSGLINFSASPSRPEAQQQQRQTEAEAVVEAPVGLQVTPRPPPSYFAEEKGGGGNENGFRLPPLTSYSDVFGEWAPGMAPICGLCGMECRDGNAQILKDGFKVCSKCYANNDNKGEANIHPGDKKERIDNHSSSAWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTVNGKLDNRLHKIQTTDGKVNKSTVKESSSQPTETVDDMQIDGNEDGADKSVEEHPTKHRRLFSSIDTTVSLMEQLAHLTTSTSPDVVAAAADAAIKALGNENPQARRAFQLSEKEYQTRAFSSNHARQSDDVGGGDRDVEMHGHPAVATSIGVAAARAKMLADQEEREMELLMASIIETQLKKIQYKIKHFEELELIMDQEYATLQQMKSSLVDEWQKVLKRAFETGVPISRDEVLIKLFQNKPNL >ONIVA04G14350.1 pep chromosome:AWHD00000000:4:16577403:16578400:-1 gene:ONIVA04G14350 transcript:ONIVA04G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFGAVIHRVFLPLLLLLVVLGACHVTPAAAAAGARLSALAKALVVEASPRAGQVLHAGEDAITVTWSLNATAAAAAAGADAGYKAVKVTLCYAPASQVGRGWRKAHDDLSKDKACQFKIAQQPYDGAGKFEYTVARDVPTASYYVRAYALDASGARVAYGETAPSASFAVAGITGVTASIEVAAGVLSAFSVAALAVFLVLENKKKNK >ONIVA04G14340.1 pep chromosome:AWHD00000000:4:16571972:16575839:1 gene:ONIVA04G14340 transcript:ONIVA04G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPWGGVGAWALDAERAEEEEREQAAAFPAPEPPAAAGGAASFPSLREAAAAAGGGKQKKKNKGTTLSLSEFSGYGAQGQRRGGGGAVPVEPRGLTPEEMMMLPTGPRERSAEELDRSRGFRSYGGGFGAGGGDRRGGFDDDRRGPGRSSDLDMPSRADEADNWGTNKRFTPALGDSGRRDRFGGPSPAGRSDDIDDWSRDKKPMPSRYPSLGSGGGGGGGFRESPGFRDSPGPSDSDRWSRGGSFAPMPHNGERERPRLNLDPPKRDPLATATPPAEVARNRPSPFGAARPREEVLAEKGLDWRKMETEIEQKTSRPTSSQSSRPNSAHSSRPGSPGSQVSAVGSEGAPRSRPKVNPFGNAKPREVVLQEKGKDWRKIDLELEHRAVNRPETNEERILKEEINLLKEKLKESEANKTDGPDQASPEDPEDLSEKITQMEKQLELLTIELDDKIRFGQRPGSGAGRVSAVPPAIAEEPQIVVSIVDRPRSRGGMEPFPKPAEERWGFQGSRERGSFGGSRSSDRPMTRQRW >ONIVA04G14330.1 pep chromosome:AWHD00000000:4:16565158:16565642:-1 gene:ONIVA04G14330 transcript:ONIVA04G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRISAHRRSHVLASVAIPICWPNRAPSTAAVRPLSSTSWSHLTGDGAPVGGLLLVLPLQTKGHDAPVGELLLVAGATAVVSAAIACKGTRWPWPCWRAASVISAILNRSSTVIGKTKLRPLL >ONIVA04G14320.1 pep chromosome:AWHD00000000:4:16563708:16564346:-1 gene:ONIVA04G14320 transcript:ONIVA04G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLFTISGLREAAMLYASRDDPIKVIVTDVELRQAPALLELLTKASRLPRGWDLVRDHKLRQLARLANEIVVIVDVLVPMLRENALHHDAGRLLLQVRDKVADLNTMVVFIPELGLDDGPDGGEDDPEFGGDDGSDDGEDGPEFGSPQGDNEEADDA >ONIVA04G14310.1 pep chromosome:AWHD00000000:4:16557215:16561064:1 gene:ONIVA04G14310 transcript:ONIVA04G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALNRLMSLRRDRRRRRRHHRPQIRARCGLIASTGKRKTLPCQQDDYDGDSQAGKIMRNSIPDLPEDILFRIQSFMSMREAARAACVSRAFLHSWRCHPNLIFNKDTIGLKRNVFGENFHGKIGRILRNHSGISLKTFQLDYSGMCGFDGTSYLDSWLQIALKPEIEELTLFLPETNKQYSFPCSLLSDGVRDSLRYIKLRCCALHPTPELGPLRSLSNLHLLYVSITWAELECLLSNSLALEHLELNHCKGIICLKIPCTLQQLSSLNVVECSGLKVIESKAPNLSSLFVRGSRVNFSLVETLQIKKLDMGRAICDARAKLPSIMPNLETLVIESGHEVVDAPMLSTKFLYLKHLTIHMITGSTISRPYDYFSLVSFIDASPSLETLILNVTQVRMVHESIFTDSQLRHIPGHRHGHLKSVKITGFSSAKSLVELTCYILNNAVSLECLTLDTIYGPRCDQDKYRRCFPMIDGVLTEAPRGLAAIRTYIEDKVPSTVNLIVLEPCSRCHVRRRG >ONIVA04G14300.1 pep chromosome:AWHD00000000:4:16551247:16554124:-1 gene:ONIVA04G14300 transcript:ONIVA04G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPNRLMSQRRGRRRRRHRRPQIRARSAGFIASTGKRKISPCEHDGDGHSQAGKMMRNSIPYLPEDILSRIHSFMSMREAARAVCVSRVFLRSWRCHPNLIFNKDTIGLKRNAFGENFHGKIGRILRNHSGISLKTFKLDYSGMCGFDGTSYLDSWLQIALKPGIEELTLFLFDTNKQYNFPCSLLSDGVRDSLRYIKLRFCALHPTPELGPLRSLTSLSLWLVSITWAELECLLSNSLALGHLELTQCTKITCLKIPFALQQLSRLSVFECSSLKVIESKAPNLSSFFHRGFRVNFSVVETLQMKKLDMGCAIHDARANLPSIMPNLETLVIESVNEVVDAPMLPTKFIYLKHLTIRMLTGSTISWPYDYFSLVSFINASPSLETLILNVTLRIVHESIFTDSQLRHIPEHHHGHLKSVKITGFSSAKSLVELTCYILNNAVSLECLTLDTIYGPRCDQDKYRRCFPMIDGVLTEAPRGLAAIRTYIEDKVPSTVNLTVLEPCSRCHVRRRVQTSSQSDNTVSI >ONIVA04G14290.1 pep chromosome:AWHD00000000:4:16545328:16546947:1 gene:ONIVA04G14290 transcript:ONIVA04G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAADLDGVRLLHQYGWSIRHIAQHVDKPGGPFFLAAAEASSFVLGLQDHLKSGASSVEFLRKNLEDLRVKIGEFKQLMIRLPAEVGVEEDGVDGRLVAGEDEEGHQV >ONIVA04G14280.1 pep chromosome:AWHD00000000:4:16538882:16543128:-1 gene:ONIVA04G14280 transcript:ONIVA04G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAADAAKLSVSGAALAALLACCSSADGDSDGLLFGVASRAAAAPPSFYDDDDDDQARASSGPSLSISVAGHASVAQPSSLADPLGRFTRSSYSPDPSAAIGFFSSSRRRSPLRPSMREAALARSLSKTLVPAHPLVFLLVAPSSSAAGLSVHSFDYRAFLLVDSRLVPASLSVVNAGPGFREQYHAFAPESPLPSLPEQPVKQGYSIGEQKALDGMVEGFGLERVGAMVTSASALTSEMEEMYSGMLRKLEGLARQVERSNERVLDQEKQNTLLRKKTSVSS >ONIVA04G14280.2 pep chromosome:AWHD00000000:4:16540311:16543128:-1 gene:ONIVA04G14280 transcript:ONIVA04G14280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAADAAKLSVSGAALAALLACCSSADGDSDGLLFGVASRAAAAPPSFYDDDDDDQARASSGPSLSISVAGHASVAQPSSLADPLGRFTRSSYSPDPSAAIGFFSSSRRRSPLRPSMREAALARSLSKTLVPAHPLVFLLVAPSSSAAGLSVHSFDYRAFLLVDSRLVPASLSVVNAGPGFREQYHAFAPESPLPSLPEQPVKQGYSIGEQKALDGMVEGFGLERVGAMVTSASALTSEMEEMYSGMLRKLEGLARQVERSNERVLDQEKQNTLLRKKVAGLK >ONIVA04G14270.1 pep chromosome:AWHD00000000:4:16534944:16538313:-1 gene:ONIVA04G14270 transcript:ONIVA04G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRILSSPSPAPAPAPAHHGGAGARRTVTYMPRPGDGNPRAVTLIPGDGIGPLVTGAVQQVMEVMHAPVYFETYEVRGDMPTVPPAVIESIRRNKVCLKGGLATPVGGGVSSLNMQLRKELDLYASLVNCSNFPGLPTRHQDVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNENILEQKKANPIALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGTSTTQEVTDAVIANLD >ONIVA04G14260.1 pep chromosome:AWHD00000000:4:16530975:16534006:-1 gene:ONIVA04G14260 transcript:ONIVA04G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGQLDGRQASGLMRDGAFPAASLSGRQPLDRAATALEILEKKLAEQTAEAEKLIRENQRLASSHVVLRQDIVDTEKEMQMIRAHLGDVQTETDMHMRDLMERMRLMEADIQAGDAVKKELHQVHMEAKRLIAERQMLTVEMDKVTKELHKFSGDSKKLPELLTELDGLRKEHQSLRSAFEYEKNTNIKQVEQMRTMEMNLMTMTKEADKLRADVANAEKRAQVAAAQAVAAQAGVAHVTASQPGAAQATAVPAASNPYSSAFTGHPSAYHQGATQAGVYQQGTTQAGAYQQGSTQAGAYAYPTYDAATAYQMHAAQANAYAGYPGYPVAGYTQAALPGYPSAYAAPQQPISSGVATDVASMYGAISSAGYPAGVVQSSSGAANAGQAPATYPVAYDPTRAGQR >ONIVA04G14250.1 pep chromosome:AWHD00000000:4:16523601:16532750:1 gene:ONIVA04G14250 transcript:ONIVA04G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSSRLASRAARLAAAAASRAARLAAASASRAGDGDPSARATATAASRAARLAAAAASHAGGPTARAIAAAASRAARSVSSKLLDDDIADRLSFRYSTEPPDDGKCVTAKDLESDQAVWALYERWCKSYNKKRDHAEMIRRFDIFELKASLVHNWNNYVHKDKEELARAKKRRDLGKPVDHWYLQEELGPSADGGDTVNQYWRHMLKENDQGMDLPDIRGEKKRETEGTFGFASSRGDTPVKRYGWGSAGGRDGGARPRKRRLALKRGKSKISSPFHRPHPFPNPKTLAEKSLPSTSRALLGRHERPHGGLLLRAASSGCGICCRRRGPIAGARRGGDGGGGDHRFLLAAATDGVLLHATSTARAEDVCAAVERMLEARSLSYVDGPVPIPNDDPFLLASVKRIQICDTDEWIENHKVLLFWQVRPVVHVFQLSEDGPGEEPGEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTEKGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRVGILTHTQGGNSLCLLSYFSLMENQHCPEVADPHGSVHLSGLLHKAAEICEGLSGRTLRKLPFLAHASVANPSCCDASAFLHALIQTAQRELSESRG >ONIVA04G14250.2 pep chromosome:AWHD00000000:4:16525976:16532750:1 gene:ONIVA04G14250 transcript:ONIVA04G14250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMEVSFSAPPPPDAASAAAAAAPSLVPAVAATAAAATTVLLHATSTARAEDVCAAVERMLEARSLSYVDGPVPIPNDDPFLLASVKRIQICDTDEWIENHKVLLFWQVRPVVHVFQLSEDGPGEEPGEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTEKGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRVGILTHTQGGNSLCLLSYFSLMENQHCPEVADPHGSVHLSGLLHKAAEICEGLSGRTLRKLPFLAHASVANPSCCDASAFLHALIQTAQRELSESRG >ONIVA04G14250.3 pep chromosome:AWHD00000000:4:16525976:16532750:1 gene:ONIVA04G14250 transcript:ONIVA04G14250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMEVSFSAPPPPDAASAAAAAAPSLVPAVAATAAAATTVLLHATSTARAEDVCAAVERMLEARSLSYVDGPVPIPNDDPFLLASVKRIQICDTDEWIENHKVLLFWQVRPVVHVFQLSEDGPGEEPGEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTEKGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRVGILTHTQGLSGRTLRKLPFLAHASVANPSCCDASAFLHALIQTAQRELSESRG >ONIVA04G14250.4 pep chromosome:AWHD00000000:4:16523601:16525444:1 gene:ONIVA04G14250 transcript:ONIVA04G14250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSSRLASRAARLAAAAASRAARLAAASASRAGDGDPSARATATAASRAARLAAAAASHAGGPTARAIAAAASRAARSVSSKLLDDDIADRLSFRYSTEPPDDGKCVTAKDLESDQAVWALYERWCKSYNKKRDHAEMIRRFDIFELKASLVHNWNNYVHKDKEELARAKKRRDLGKPVDHWYLQEELGPSADGGDTVNQYWRHMLKENDQGMDLPDIRGEKKRETEG >ONIVA04G14250.5 pep chromosome:AWHD00000000:4:16523601:16525542:1 gene:ONIVA04G14250 transcript:ONIVA04G14250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSSRLASRAARLAAAAASRAARLAAASASRAGDGDPSARATATAASRAARLAAAAASHAGGPTARAIAAAASRAARSVSSKLLDDDIADRLSFRYSTEPPDDGKCVTAKDLESDQAVWALYERWCKSYNKKRDHAEMIRRFDIFELKASLVHNWNNYVHKDKEELARAKKRRDLGKPVDHWYLQEELGPSADGGDTVNQYWRHMLKENDQGMDLPDIRGEKKRETEG >ONIVA04G14240.1 pep chromosome:AWHD00000000:4:16509659:16511959:-1 gene:ONIVA04G14240 transcript:ONIVA04G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETGPYGHKKTDGICDGVCGEPASKAVLTMSRLRCALRGFDFRALLALLIGVPILILMIYAHGQKVTYFLRPIWESPPKPFKTIPHYYHENVTMAKLCKLHGWKVRETPRRVFDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGLKKPLHFKENRHRFGFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAKIEDDDLLIMSDVDEIPSGHTIDLLRWCDDIPEILHLQLRNYLYSFEFFLDDKSWRASIHRYRSGKTRYAHFRQTDELLADSGWHCSFCFRYISDFAFKMQAYSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPSYLLQNVDRYRYLLPGYCRRESG >ONIVA04G14230.1 pep chromosome:AWHD00000000:4:16499404:16505210:-1 gene:ONIVA04G14230 transcript:ONIVA04G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVTVAAAKVKGSASRFRYVCSTPRTLDQPWIIAGGKVIATKIRNATQLLSCKLGELVPEAWRECPNCKCHIDNSDVTLQWPEFPDGVKFDPSDLEVLEHLERKINLGNPGPQGLIDHFIPTLEKVEGICYTHPENLPGIKLDGTSSHFFHRISNAYGSGQRKRRKISHTGHAASDENIRWHKTGKSKQIYHNGVLKGWKKILVLYKGSKKNKIVQANWVMHQYNLGVEEGGEDGELVVSKVFYQLSSKQTGTPEMDSVTEEASDALTIRSDPITPITNPPLPRCLMNSPCDTEQNGTISHDQEGECSTSTLRPMVEAGNRAGCSAGASTAGDFNEDLLQRCEFPEDPVPTLDDTLPFLYTDETDLFSWEDFQFGSQESFGWVDGDHTLVQFLYLCMWDRLLVDDLLREKSIPLPNSVENSRKTLLRSPQFHMAPPTSAAAAVAAAARASPTSAAALALFKSALSADKALSPLAVLPHLDGAPSSLPNLLLTASAAVRPHATSLRLYSRMKSLSLPISTASLHPLLSALPSAPAFALFADMFRLRLPLCTTTFNIMLRHLCSAGKPARALELLRQMPRPNAVTYNTVIAGFCSRGRVQAALDIMREMRERGGIAPNQYTYGTVISGWCKVGRVDEAVKVFDEMLTKGEVKPEAVMYNALIGGYCDQGKLDTALLYRDRMVERGVAMTVATYNLLVHALFMDGRGTEAYELVEEMGGKGLALDVFTYNILINGHCKEGNVKKALEIFENMSRRGVRATVVTYTSLIYALSKKGQVQETDKLFDEAVRRGIRPDLVLYNALINSHSTSGNIDRAFEIMGEMEKKRIAPDDVTYNTLMRGLCLLGRVDEARKLIDEMTKRGIQPDLVTYNTLISGYSMKGDVKDALRIRNEMMNKGFNPTLLTYNALIQGLCKNGQGDDAENMVKEMVENGITPDDSTYISLIEGLTTEDERAIDDERLAAADAAKV >ONIVA04G14220.1 pep chromosome:AWHD00000000:4:16497598:16498095:-1 gene:ONIVA04G14220 transcript:ONIVA04G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVADVEKQQPLLSAAAADEKPSSGCDCGTIASPPLATGALALVVLAAGAAFAAQLAAREEYVLLAVLASQVVSFGVFTSLLALCALPEEEEEEEGKPRRIAGAPGARARVLLLWPFAMALPVSMACWAAESAPAAVGLALLTLALAAVLACYAEVVRSLWPRQ >ONIVA04G14210.1 pep chromosome:AWHD00000000:4:16490936:16494389:1 gene:ONIVA04G14210 transcript:ONIVA04G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMKLGNRPDTFFLSGPVRSVSTDLATDMQILVDGCLFRLHKFPLLSKCMWLQALCVESGEGGGAVELPAFPGGAEAFEACAKFCYGVAVTIGPHNVVAVRCAAARLGMSEAADRGNLAGKLDAFLSSCLLRRWKDALAVLHSTRRYAALCEELGVTSRCVDAVAALAVADPSGDASGAVPAGSSSSSPPWWVRDISELGVDLYWRVMVTVKATGTVHGKAIGDALKAYARRWLPIAAKNHHAAEQTAAAGGGGAVNAERATKNHRLLVEKIVSLLPAERNAVSCGFLLKLLKAANILGASPASKEELTRRVASQLEDANVSDLLIPATPPCAGGALYDVDAVVTILEEFALRQAAASGRPEGSPGRAGRHRRSMSAESSELEGARRSTSMAAASHGAMVRVGKLVDGFLAVVATKDARTPLDKMIAVAEAVPDFARPEHDDLYRAIDTYLRAHPEMDKSSRKKLCRVLNCRKLSEKASMHAAQNELLPLRVVVQVLFFENARAAGLSSGHGNRVAARFPGDVSALLARPRTTEENGKDEQRPAGSVAADGDWSVEGRRDWSVVASRVASLKMRLEEEDGEDAGDEAFVHRTRAGLARSASSRITAAAGRSKRMLSRLWPTSRTFT >ONIVA04G14200.1 pep chromosome:AWHD00000000:4:16480589:16484772:-1 gene:ONIVA04G14200 transcript:ONIVA04G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQDAAPTAREGGRDLVVKVKFGGTLKRFTAFVNGPHFDLNLAALRSKIASAFKFNPDTEFVLTYTDEDGDVVILDDDSDLCDAAISQRLNPLRINVELKSSSDGVHQTKQQVLDSISVMSTALEDQLAQVKLAIDEALKFVPEQVPTVLAKISHDLRSKAASSAPSLADLLDRLAKLMAPKSKMQSSSGSADGSSGSSSGRGQTMGRLNIKNDTELMAVSASNPLDMHNSGSTKSLGLKGVLLDDIKAQAEHVSGYPYYVDTLSGWVKVDNKGSTNAQSNCKSVTSSAVPQVTSIGHGAPTVHSAPASDCSEGLRSDLFWTQLGLSSEPFGPNGKIAGDLNSTCPPPPLFPRYPLQSLRADKSSYKGGSSYPPCICKSNTSKPENLSHYPVQSLQADRSFKGGRYFPPCTCKNNTSKPDNLSPVGLYGPYSEGSSCNRCPYRDLSDKHESMAQHTLHRWMQCDDCGVTPIAGSRYKSNIKDDYDLCSTCFSRMGNVNEYTRIDRPSFGSRRFRDLNQNQMLFPHLRQLHDCCFIKDITVPDGTVMAPSTPFTKIWRIHNNGSSMWPYGTCLTWVGGHLFARNSSVKLGISVDGFPIDQEIDVGVYFVTPAKPGGYVSYWRLASPTGQMFGQRVWVFIQVEHPGKTSSNKQSAAINLNIPPEGSNTEWKHSVDTNIQSADIVDEYSGSTITDRLAHTLYHEATKPMEPELVSSGAPSVPRAFESVLVPATDLLTSSAGAEKALKPAAVPAPAPQAIPLPKPVSIPASGPAPAPVSATTAAPIGAAAAPISEPTAPAAAIGMPSATARAASRLPTEPSSDHISAVEDNMLRELGQMGFGQVDLNKEIIRRNEYNLEQSIDELCGILEWDALHDELHELVRVTLNLCFLFPHQGI >ONIVA04G14190.1 pep chromosome:AWHD00000000:4:16476885:16484853:1 gene:ONIVA04G14190 transcript:ONIVA04G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPQAHPILSYVLSRLPTLAKTRPAGGDGGGGGDFDIEQPPVHTPSPRTPSTAGEFELVERMPGLRHPSVLRAMTRAVADVSAARSALQVLGPRPDHELVDSSRAIVAAADAEAGGSRRVPEGDLEACRAVVRLEETHDAYEALLQEAEGRLEAVYRSAMEGKDLEEPDGRDESAAAAAGDDAAVQEEVIAVLRQAEEGKPVESFRLVDRQLRHLPEAFGRIQGLRVLDVSRNQLEVIPDAIGGLDHLEELRLASNALISLPDSIGLLLNLRILNVGSNRLRSLPDSISKCRSLIELDASYNGLAYLPTNIGYELVNLRKLWVHMNKLRSLPSSICEMRSLYLLDAHFNELCGLPSAIGKLSSLEILNLSSNFSDLKDLPASFGDLLNLRELDLSNNQIHALPDSFGRLDKLEKLNLEQNPLSMPPMEIVSKGVDAVKEYMLQRWLDILLEEERKSIAAAESPQAPTTPSAWLARSVSWVSDVSGSLVGYLSGENKTEKDAYLDQQY >ONIVA04G14180.1 pep chromosome:AWHD00000000:4:16468790:16475947:1 gene:ONIVA04G14180 transcript:ONIVA04G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding and GRAM domain containing protein [Source:Projected from Arabidopsis thaliana (AT5G50170) TAIR;Acc:AT5G50170] MRLYLYVIEARGLPEHGGDGGGGPYYARAKVGKQRARTREVEARGGGASAAAAEWNEELVLEVDGGEAVEVGVARRREGRGRCGREVVGRVKLPVPAAAVPAGRRRRTTVPPTWFTLQPKHHRRRKKGAGAAAEAADCGKILLTFSLHGENSDNTVIHSSPCSSSRSDTDIEFERSTYWEHSSSNSGTVDSPRSFVIERSSLENSDRSAQANSNSNSEDDDLIEPSAATAKGTSDIEPMVPDASFEEAMEIMKSKSIPDMPEDLSGGVMFDHTYLVDSKNLNSLVFGPDSQFSKELRELQGTTDYEEQPWTWNNNNPPSLTRTCQYTKGATKFMKAVKTIEEQTYLKADGKSYVIMTRVRTPEVPFGNCFEVVMLYKIIHYPESSSGEGMSHLTVSYNVEFLQSTMMKSMIEGSVRDGLKENFESYAEILSRHVKIADSAGMDKERLLAPLQTDHQSDIRLAYKYFCNFTVISTVIMALYVLVHIFLSRPGPLMGLEFKGLDLPDTFGELIISGILVLQLERLLSMISRFVEARVQRGSDHGIKANGDGWLLTVALLEATSLPPVSSGSVDPYVVFSCNGITRTSSVQLQTHDPQWNEIMEFDAMEEPPATLDVEVFNFDGPFDLAVSLGHAEINFLKHTSAELADIWVPLEGKLAQTCQSRLHLRIFLENTKGPETSMREYLSKMEKEVGKKSPHRNATFQKLFGLPHEEFLIADYACSLKRKLPLQGRLFLSARIVGFYANLFGHKTKFFFLWEDVEEIEELTPSFTTVGTPSLLFVLKSGRGLDAKNGAKSQDKEGRLKFQFHSFASFSKASRTIIGLWKTKSSAIEQRAKLEEDQEDENYVDLNDVQSVLSIGDVPLSKEYTLELPIDADLLMGVFDGGPLEAKAMSRVGCLDYAATPWQDARPGVLERHASYKFNRYMSIFGGEVVSTQLRLPSDDGDGWTVYDVITLRNVPFGDFFRVHLRHNIRSVEAASSEAATSSGSRCEILVGIEWVKRSKFQKRIARNICEKLAHRAKEVLEAAAREIAPAVSG >ONIVA04G14170.1 pep chromosome:AWHD00000000:4:16462178:16466183:1 gene:ONIVA04G14170 transcript:ONIVA04G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLHLGQAHGPGSGEDDKLGFGVGAGAVSWVWREVWAVLAQPESLSQERAVGGLSSRDEGEREVPRWMTALAAGYGEGGGVGAADARKMDRCSGIWRKGKWRGGGGMHHVMDTHHSDQYAKSSLQLQFRDTSVSFENNNSVLDKHDITSPQYFADIVEMGATDPDAMEYVLSVMKEAKEKVRKFEESRKDRRPGDSPVSTGKRNGKSSRPSNDDGGNGISDSTPATTTVPTVAVATSTTMQATPTMVAIAPTSATVPAGMFLVPMHPHPMVFPPFTPAVPPVVAPAVPPPAPAANVGDVASNSTRKRKKRKGNK >ONIVA04G14170.2 pep chromosome:AWHD00000000:4:16462178:16466590:1 gene:ONIVA04G14170 transcript:ONIVA04G14170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHHSDQYAKSSLQLQFRDTSVSFENNNSVLDKHDITSPQYFADIVEMGATDPDAMEYVLSVMKEAKEKVRKFEESRKDRRPGDSPVSTGKRNGKSSRPSNDDGGNGISDSTPATTTVPTVAVATSTTMQATPTMVAIAPTSATVPAGMFLVPMHPHPMVFPPFTPAVPPVVAPAVPPPAPAANLRNASLCFCSCGLRPGAAQYQDYQ >ONIVA04G14160.1 pep chromosome:AWHD00000000:4:16456204:16460798:1 gene:ONIVA04G14160 transcript:ONIVA04G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: nucleus; CONTAINS InterPro DOMAIN/s: Ribonuclease H2, subunit B (InterPro:IPR019024); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; /.../ia - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G20325) TAIR;Acc:AT4G20325] MASWCGDLAAPPRLLVAPRPSDGNCQGNVLSLRHPRSDEETGYLFIDGQLHEFNWFKERFGSWFLGDYVCEDGSLYYCTVVDPIFILLPILKAARMSSLNSFTVLQNGKDPGKFRQLDEILYVEGYPGYQHLMGIAGNHIDLVCEVKEVANVKFFRLNDSKVLSWLCCKVHNLKEVFPKLGKNYAAQGEKELLKDAVQIIREYLNDEPWLTLDIKEIIEANKTSEASFCAENSPVPFHPAEEKLGSSSTRSSKGRPAKKQKAEVESKNIKDMFRRVTRKGT >ONIVA04G14160.2 pep chromosome:AWHD00000000:4:16456204:16460798:1 gene:ONIVA04G14160 transcript:ONIVA04G14160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: nucleus; CONTAINS InterPro DOMAIN/s: Ribonuclease H2, subunit B (InterPro:IPR019024); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; /.../ia - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G20325) TAIR;Acc:AT4G20325] MASWCGDLAAPPRLLVAPRPSDGNCQGNVLSLRHPRSDEETGYLFIDGQLHEFNWFKERFGSWFLGDYVCEDGSLYYCTVVDPIFILLPILKAARMSNGKDPGKFRQLDEILYVEGYPGYQHLMGIAGNHIDLVCEVKEVANVKFFRLNDSKVLSWLCCKVHNLKEVFPKLGKNYAAQGEKELLKDAVQIIREYLNDEPWLTLDIKEIIEANKTSEASFCAENSPVPFHPAEEKLGSSSTRSSKGRPAKKQKAEVESKNIKDMFRRVTRKGT >ONIVA04G14150.1 pep chromosome:AWHD00000000:4:16442937:16449002:-1 gene:ONIVA04G14150 transcript:ONIVA04G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H241] MAATQEKAPVVVCCGGGGAAAARRVDGGPGSSSRGAIVAAPAAAAAAGKVSMVSGDDPRVAAAAGGGGGAVMEEIAAAVQPTTAKVSSKGKRVKCLKWITMPVQRWTNRLVDYVNHGAISNMESALYLYAPLGTGRIPIMTRAQRSHPLDPLSAAEIAVAIATVRAAGKSPEERDGMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRAKLTVYNRQSNETSIWIVELSEVHAATRGGHHRGKVISSEVVPEVQPAMDAMEYAECEATVKSYPPFIEAMKRRGVDDMELVMVDAWCAGYYSDADAPSRRLGKPLIFCRTDSDSPMENGYARPVEGIHVIVDMQNNVVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDLKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKRGCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEAHNQVVEVNVKVENAGTHNVHNNAFYAEEKLLKSELQAMRDCDPSSARHWIVRNTRAVNRTGQPTGYRLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTQYKSDEVFPGGEFPNQNPRIHEGLATWVKKDRPLEETDIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPGSSDADIKEAESPKVIQNGLISKL >ONIVA04G14140.1 pep chromosome:AWHD00000000:4:16439602:16442383:1 gene:ONIVA04G14140 transcript:ONIVA04G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAGLMCWEEGRRDEAVGCYQKAAELGHPVGMCNLGVSYLEADPPKAEEAVRWFYPAAAAGNARAQYNLGLCLQNGKGIKRNQREAAKWYLRAAEGGNVRAMYNISLCYNYGEGFSQDQVRSKRWLQLAADCGHKKALYECGIKLCAAGDKVRSLMYLELATRRGEAAASHMRDVILESLSLVNAQRALSDADKWRPRALYTRR >ONIVA04G14130.1 pep chromosome:AWHD00000000:4:16433861:16435177:1 gene:ONIVA04G14130 transcript:ONIVA04G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHRRWADLHAGLVSRVADLCALRGYASCRAVCASWRAALPPPTSRPLAPVADTASRHGPLSLAVCSVHAQRWSRLLGLRQPSGLANATGASRCVGARDGWVVLAAANAKGAAAAAASVVLLFNPVTGVEIPLHASLYDPNCERAPAASTSPAPKVVFSPCPTARDLAAVSICRPNRLAVQRTTDGHSSALVLDTAALMDSDDLADVAFDGNGRVAYCLTRHGAVHVLRLNRRRHRGRLRPIEIEPLVAGAVFPSPYDTIARFTDAKNLVLCGGALYQVWRRPSGAGSAVAPAGICDQQLLRIPEGAVFVLRYEPPASAGAGGSRRPPCWSESKDLGGHAVFLGANDAAAAARCGDGAAELMRGDCLYYWASRAEGDYEAFVYSMADRMSTRLPPATGGVSSPLWYFLPAGAANVEATTTTAMEAASEEVSVAVITV >ONIVA04G14120.1 pep chromosome:AWHD00000000:4:16428712:16430349:-1 gene:ONIVA04G14120 transcript:ONIVA04G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASCRRVRLRFRSALATAAASPVEASISTSDSDVDPESHGPADATLLRRRMRASAAEGNLAAALDALALLRPAPAGAHDYNALLHAYLRSGQAAAQHVAAAEHVAAVLCHMRSVGPAPNALTFNTAFNGLLRLGHLDASHAVLEEMWSRCGFVPSFTTVDRLIKKAVSGSNFELALKVFDLMLSLCYFPTLPIANAIVSILLKSGSAEIAYEVFMVLVNRKFVPDVYMYNQILFGLCKSGCSNKALTLFCNLKKRGLSLNVYSYTALVLGLCKEKMWADAYRTLEKMCDEECKPSVVTYTVIVNFLCRDGKIDAAMHVFRMACKNGCCLDSTICNVLLHALCCEDRIPEAQVIVDLMEEAGLVPDYFTISSLAACFLKTGDVMTCQNFIRMVKKALALVSGMMERGLVPSTTTYNTILKGFCMELDLQGALQMLDHFSSTGVPCDSVSFNTILSAACRQQNASVIRMVLYRMHVEGINLDAISMTCLLRYFHKCGKFAESVNLVESLRIQGSLLFVHGT >ONIVA04G14110.1 pep chromosome:AWHD00000000:4:16423541:16424761:-1 gene:ONIVA04G14110 transcript:ONIVA04G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIPAIDLRLAGGGGGAEETARLRDACARLGCFRVSGHGVPPGLQAEMKAAVRALFDLPDDAKRRNADIIPGSGYVPPGTANPLYEAFGLCDAAAPADVDAFCARLDAPPHVRETVKAYAERMHSLIVDVAGKVAASLGLHGASFQDWPCQFRMNRYNYTQDSVGSPGVQVHTDSGFLTVLQEDECVGGLEVLDPAAGEFVPVDPLPGSFVVNVGDVGQAWSNGRLHNVKHRVQCVAAVPRVSIAMFLLAPKDDTVSAPGELVDGEHPRRYREFKYDDYRRLRLSTGERAGEALARLAA >ONIVA04G14100.1 pep chromosome:AWHD00000000:4:16418800:16420533:-1 gene:ONIVA04G14100 transcript:ONIVA04G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAVVRSPLRLAPPRAAARRRAAAAAVITMRDRSKNRKPTQRGRYLSTEAIQAVQSLKRAALRGSPSAAAAAVPVEPKLRRLLKADMVAVFRELAAQGEALLALQVFEEIRKEHWYKPKLLLYVDIVTVLASKGLRSEVDKVCSYLKREQLEPDTEGFNVLLKALLDAEFTQLTMDCFRLMKLWDSDPDRITYRTLIKGLESIGEMGLSADIKLEAQNDYGDLDFLDEEEMIDTLEQKSIWRGSSLIAENRRARISS >ONIVA04G14090.1 pep chromosome:AWHD00000000:4:16417065:16417913:1 gene:ONIVA04G14090 transcript:ONIVA04G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAHMAAVLNLPWGYRFRPSDRQIIANYLGPMAIHGADSLPQRGDVVEGVDVFATRPAAIPFELRRHVFGRDEVRAYFFGDQPTDSRGREVPGGAWLPCGGGDKAYSGGADGGEAVAYRRKYEFRAANEEADRAGEEAATPARPRWRMKEYRLNKSAAAFRRAYAQPNPKANMDCVVREIYTKAVPPPTPPSGRSGDEEMQEGSDYSVMDEDELVDYLLQGFEDGNFDEDQDQPAAAEDGDYSDEDEDQPAAAEDGDYSDEDQDQPAAAEDGDYSDEDEP >ONIVA04G14080.1 pep chromosome:AWHD00000000:4:16415023:16415553:-1 gene:ONIVA04G14080 transcript:ONIVA04G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRARCRAPQSSTSPTWPRRVEALTHILTHPSHSPSLHSQLFLASRVPCPPPGSTYPPFLCPGAGDGAGLLRWALASVFLPRAARLCLPPSSWRSRCPFQLPPPVVPSAAIEPTPERWGEAELRGYARRQRAQRGPMRARPPHSIAGAVLTIVPNVVIVAAVIRELFWVRPNRI >ONIVA04G14070.1 pep chromosome:AWHD00000000:4:16409972:16412438:1 gene:ONIVA04G14070 transcript:ONIVA04G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHTLLRNKRKLTTDFETCESFIPRAQMRRAAGHRVLPGREAGGAPMSRGDRVDNQRVVGGGGGCTRASMAAVVVVAGSGPSGSSGTSPRTYASSCAHCECMVLWMEMKAMSLKVKMGGGGGSHGRTRRRRRGKRPEEEDEEEVVEMEGKDIAAAAAPSASAKIAPAQAQEADGNEATTSGGGGDDDRRRGKDEQGGRGQEHRDKCCCPPEDGAGVVEEDEAMATTDHAMAAAAAEEEESDHEWVAEPEPGVLMTLVARPDGTNHLRRIRFSEELFDGARAAQRWWADNYDAIVELYSVVQPEPSHDGGDDDDDSESVPATPCQSEDDDHRRRREQGSDSASNFSGPSSGSGSGSGSGGRSASTVGSPILGLVTAPGGGGGAPATPTEHSPT >ONIVA04G14060.1 pep chromosome:AWHD00000000:4:16407030:16409432:1 gene:ONIVA04G14060 transcript:ONIVA04G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H232] MEHLFTLIFLLVFTVAPSKAQRNITKGSFLTTEGVNTSWVSPSGDFAFGFQLINGNNSYLLAVWFDKTVDKTLAWYAKTNTQVPELVVVPSGSRLQLSSNGLSLLDPGGHELWNPQVTSAAYANMLDTGNFVLAGADGSIKWGTFESPADTILPTQGPFSEVQLYSRLTHTDYSNGRFLLQVKDGDLEFDLAVPSGNPYSTYWTTNTGGNGSQLFFNATGRVYFTLKDRTEINITSTIMSSMGDYYQRATLDPDGVFRQYVYPKEAARKWNNIGWTTVDFIPRNICQAIRSDDGSGACGFNSFCNFNWSLNETVDCQCPPHYSFIDQALKYKGCKADFQPQSCDLDEETMIDQFDLIPMNGVDWPLADYEHYTSVGMDECKKLCLTDCFCAVVVFNNGDCWKKKLPMSNGILDSSVDRTLYLKVPKNNNTQSQLNSNSIKWKKQKKHWILGSTLLLGSFFLMCILLASFIIFQNYFAMESKKTDLPKQSSSTGGLPLKSFTYEELHEATGGFSEEVGRGGSGVVYKGQLQDPLGTYVAVKKIDRIMPDIEKEFAVEVQTIGWTFHKNLVRLLGFCNEGAERLLVYEFMPNGSLTGFLFDTVRPSWYLRVQFAIGVARGLLYLHEECSTQIIHCDIKPQNILLDNNLTAKISDFGLAKLLRMDQTQTHTGIRGTRGYVAPEWFKNIAITAKVDVYSFGVILLEIICCRRNVEKDMTNDDREILTDWANDCYRSGRIDLLVEGDEEASFDIKRVQRFLAVALWCIQEDPAMRPTMHKVTQMLDGAVEIAMPPDPASYISSLQ >ONIVA04G14050.1 pep chromosome:AWHD00000000:4:16398645:16401617:-1 gene:ONIVA04G14050 transcript:ONIVA04G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANLASKSTTRTLVSLGVHHGGGAALRGGAVGVVGARPSHQRAEHTGGGGERGHRGCCGGSAPNPSSFAARRLRRRLPHPLPQHRIRRHRDLNSTVEHLGHLMHGRSHGRVLLDAPKCYCKKPLYPLNNPSNLTRAICTKKHKISSIKHVGISCTRDLGVPKLMATRVKE >ONIVA04G14050.2 pep chromosome:AWHD00000000:4:16399862:16401617:-1 gene:ONIVA04G14050 transcript:ONIVA04G14050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANLASKSTTRTLVSLGVHHGGGAALRGGAVGVVGARPSHQRAEHTGGGGERGHRGCCGGSAPNPSSFAARRLRRRLPHPLPQHRIRRHRDLNSTVEHLGHLMHGRSHGRVLLDAPKCYCKKPLYPLNTTPEPPLPISSQNPPVAS >ONIVA04G14040.1 pep chromosome:AWHD00000000:4:16398339:16400722:1 gene:ONIVA04G14040 transcript:ONIVA04G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H229] MMEPLLTLLVLLLVFTIAPSKARNITLGSLLTTEGVNTSWISPSGDFAFGFQLISTNTYLLAVWFDKTVNKSMAWYAKTNTQVPEVVLVPSGSRLQLSSNGLSLLDPGGHELWNPQVPGAAYANMLDTGNFVLLGADGSTKWGTFDSPADTIVPTQGPFSEVQLYSRLTQADYSNGRFLLQVKDGNLEFDLVAVPSGNKYRSYLTPNTGGNGSQLLFNETGGVYFTLKDGTEITITSTIMGSMVNYYQRATLDPDGVFRQYVYPKKEAVTRGWKYIGWTAVDFIPRNICDVFTTSDGSGACGFNSYCSFNWNQNETVECQCPPHYSFIDEARKYKGCKANFQQQSCDLDEATMIDEFDLIPMKGIDWPSADYESFTSVGMDDCQKLCLTDCFCAVTVFNEGNCWKKKLPMSNGRMDSSVDRTLYLKVPKNNNSLSIINTGSIKWKKDKKYWILGSCLLLGSFLLVLILLISFILFGHYFAKKSKKIDPPKQSYSTGGLPLKSFTYEELHEATGGFCEEIGSGGSGVVYKGTLQDQLGTHIAVKKINKVLPDIEKEFAVEVQTIGWTFHKNLVRLLGFCNEGAERLLVYEFMPNGPLNEFIFCTIRPSWYQRGLLYLHEECSTQIIHCDIKPQNILLDNNLTAKISDFGLAKLLQMDQTQTTTGIRGTQGRNVEQDIIDEDRAILTDWANDCYRSGRIDLLVEGDEEASFDIKRVQRFLAVALWCIQEDPAMRPTMHKVTQMLDGAVEIAVPPDPASYISSLQ >ONIVA04G14030.1 pep chromosome:AWHD00000000:4:16391042:16396402:-1 gene:ONIVA04G14030 transcript:ONIVA04G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLIWATSLPISTHPNRPRLWQAHPLGHTHILPMAAAGEVVMLGGILLPLLLVVAVSGEPPPISRRSFPEGFIFGTASSSYQIADKSNGDVAADSYHLYKEDVRIMKDMGVDAYRFSISWTRILPNGSLSGGINREGISYYNNLINELLLKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPLSFCVAGYASGGMFAPGRCSPWEGNCSAGDSGREPYTACHHQLLAHAETVRLYKEKYQVLQKGKIGITLVSNWFVPFSRSKSNIDAARRALDFMLGWFMDPLIRGEYPLSMRELVRNRLPQFTKEQSELIKGSFDFIGLNYYTSNYAGSLPPSNGLNNSYSTDARANLTAVRNGIPIGPQAASPWLYIYPQGFRELVLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAHWFKEFLQK >ONIVA04G14030.2 pep chromosome:AWHD00000000:4:16391042:16396402:-1 gene:ONIVA04G14030 transcript:ONIVA04G14030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLIWATSLPISTHPNRPRLWQAHPLGHTHILPMAAAGEVVMLGGILLPLLLVVAVSGEPPPISRRSFPEGFIFGTASSSYQIADKSNGDVAADSYHLYKEDVRIMKDMGVDAYRFSISWTRILPNGSLSGGINREGISYYNNLINELLLKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPLSFCVAGYASGGMFAPGRCSPWEGNCSAGDSGREPYTACHHQLLAHAETVRLYKEKYQVLQKGKIGITLVSNWFVPFSRSKSNIDAARRALDFMLGWFMDPLIRGEYPLSMRELVRNRLPQFTKEQSELIKGSFDFIGLNYYTSNYAGSLPPSNGLNNSYSTDARANLTGFRELVLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAHWFKEFLQK >ONIVA04G14030.3 pep chromosome:AWHD00000000:4:16391042:16395645:-1 gene:ONIVA04G14030 transcript:ONIVA04G14030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEVVMLGGILLPLLLVVAVSGEPPPISRRSFPEGFIFGTASSSYQIADKSNGDVAADSYHLYKEDVRIMKDMGVDAYRFSISWTRILPNGSLSGGINREGISYYNNLINELLLKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPLSFCVAGYASGGMFAPGRCSPWEGNCSAGDSGREPYTACHHQLLAHAETVRLYKEKYQVLQKGKIGITLVSNWFVPFSRSKSNIDAARRALDFMLGWFMDPLIRGEYPLSMRELVRNRLPQFTKEQSELIKGSFDFIGLNYYTSNYAGSLPPSNGLNNSYSTDARANLTAVRNGIPIGPQAASPWLYIYPQGFRELVLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAHWFKEFLQK >ONIVA04G14030.4 pep chromosome:AWHD00000000:4:16391042:16395645:-1 gene:ONIVA04G14030 transcript:ONIVA04G14030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEVVMLGGILLPLLLVVAVSGEPPPISRRSFPEGFIFGTASSSYQIADKSNGDVAADSYHLYKEDVRIMKDMGVDAYRFSISWTRILPNGSLSGGINREGISYYNNLINELLLKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPLSFCVAGYASGGMFAPGRCSPWEGNCSAGDSGREPYTACHHQLLAHAETVRLYKEKYQVLQKGKIGITLVSNWFVPFSRSKSNIDAARRALDFMLGWFMDPLIRGEYPLSMRELVRNRLPQFTKEQSELIKGSFDFIGLNYYTSNYAGSLPPSNGLNNSYSTDARANLTGFRELVLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAHWFKEFLQK >ONIVA04G14020.1 pep chromosome:AWHD00000000:4:16375858:16380864:-1 gene:ONIVA04G14020 transcript:ONIVA04G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAMPGGLLLTFLLLAVVASGAYNSAGEPPVSRRSFPKGFIFGTASSSYQKIADRSNGDVASDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPNGSLRGGVNKEGIKYYNNLINELLSKGVQPFITLFHWDSPQALEDKYNGFLSPNIINDFKDYAEICFKEFGDRVKNWITFNEPWTFCSNGYATGLFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHAETVRLYKAKYQALQKGKIGITLVSHWFVPFSRSKSNNDAAKRAIDFMFMDPLIRGDYPLSMRGLVGNRLPQFTKEQSKLVKGAFDFIGLNYYTANYADNLPPSNGLNNSYTTDSRANLTGVRNGIPIGPQAASPWLYVYPQGFRDLLLYVKENYGNPTVYITENGVDEFNNKTLPLQEALKDDARIEYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGRKRYPKNSAHWFKKFLLK >ONIVA04G14010.1 pep chromosome:AWHD00000000:4:16358337:16375777:-1 gene:ONIVA04G14010 transcript:ONIVA04G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMAVAGAMVMSGALLLLHLLAFTCVACNGGSELPPISRRSFPKGFIFGTSSSSYQTKSLTEAMAMWLATATISTSMKEMGMDAYRFSISWSRILPNGSLSGGVNREGINYYNNLINELLSKGVQPFVTLFHWDSPQALEDKYKGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPWTFCSMGYASGIMAPGRCSSWEVGKCRVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTEGNVRQLQFIRDNNLNRRSAKFMDPLIRGDYPLSMRELVGNRLPEFSKEQSGMVKGAFDFIGLNYYTSSYADNDPPSHGHNNSYNTDSHAKITGICEMLLYVKENYGNPTIYITENGVDEVNNKTMPLEEALKDDTRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWAEGYTVRFGINFVDYDDGMKRYPKNSARWFKKFLQKSNRDGNKRLKRVMAVAGAMVMSGGVLLLLLAFTCAAYNDAGELPAISRRSFPKGFIFGTSSSSYQFEGAAAKDKITDKSNGDDGSLSGGVNREGINYYNNLINELLSKEVQPFATLFHFDTPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWNFCSMGYASGTMAPGRCSSWEKGKCRVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTEEAIRQSPFIRDNNLNQRSAKFMDPLIRGDYPLSMRELVGNRLPEFSKEQSEMVKGAFDFIGLNYYASSYADNDPPSYGHNNSYNTDSHAKITGVDEINNKTMRLKEALKDDIRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWSEGYTVRFGINFVDYDNGMKRYPKNSARWFKKFLRK >ONIVA04G14000.1 pep chromosome:AWHD00000000:4:16357473:16364108:1 gene:ONIVA04G14000 transcript:ONIVA04G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYHRTLRPPHSSRPPRSCPSPHRRRRQHCKLGSSDDLATGSPHQPQRQPPDPPRVSIRKDEVGCLT >ONIVA04G14000.2 pep chromosome:AWHD00000000:4:16357473:16361786:1 gene:ONIVA04G14000 transcript:ONIVA04G14000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYHRTLRPPHSSRPPRSCPSPHRRRRQHCKLGSSDDLATGSPHQPQRQPPDPPRVSIRA >ONIVA04G13990.1 pep chromosome:AWHD00000000:4:16304795:16307362:1 gene:ONIVA04G13990 transcript:ONIVA04G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAGDGKSRPVNRPDGEPETTVSRVMACPYVLRAQAPPAMAKEAMATPTRPQVRETWPAGGGGGGGGWMGVGSGERVASAYDLVEQMHYLYVRVVRARGLTAAASTVAGGGGCNPYVEVRLGNYRGTTRHHERKAAPEWNQVFAFSRERVQASVLEVFVRDKDAVAAVARDGYVGRVAFDVGEAPVRVPPDSPLAPQWYRLEDVGGGGGRAVQGEVMLAVWVGTQADEAFADAWHAGAASVRGGGDGVAAVQSTRSKVYVTPKLWYLRISVLEAQDVVPGAVAGAGGDKGRHGEAFVVVKVQVGGVTLRTKPCCRPTSPSWNEELVFVVAEPFDEPAVLVVEARAAHPGKDEIVSRAVLPLTLFERRLDRRGAAAATHTQSQWFSLEPFVHRPRHSPEEPAFAGRVHLRACLDGAYHVMDEPAMYASDTRPTARQLWRPPIGVLEVGVLGAQGLPPMKTAADGGRGTTDAYCVAKYGHKWVRTRTVVDSSTPRWNEQYTWEVYDPCTVLTLAVFDNCNLGNGGGGGKDQRIGKVRIRLSTLEMDRVYTNAHRLVVLHPSGLRKNGDVCLAVRLTCLSLASVVRLYGEPLLPGAHYVHPFAVAQLDGLRRQAVGVVAARLGRAEPPLRREVVEYMLDAGSHLWSIRRSRANFLRATALLSGAAGAARWLADVCHWRSPATTILAHLLLVTFACFPELILPTAFLYASVAGAWSYRRRPRRPPQADAGLSCAEAAGADEFDEEADTFPTSRPDGVVRARYDRLRTVAGRIQAVVGDVATQGERVRSLLAWRDPRATAVFTAACLAAAVVAYATPPRVVALVAGLYLLRHPRFRSRMPSAAGNFFKRLPSRADTML >ONIVA04G13980.1 pep chromosome:AWHD00000000:4:16296242:16298915:1 gene:ONIVA04G13980 transcript:ONIVA04G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFLRFGGPLFCPFFDELFAGCSDASVCLCRCRSGEVFESEQEIEEDFRGIGGEGTLARIHTSRNYSNMPEMRDSKRTALGELSGGGGFFIRRVASPGALAARGPGKPLARRFIRPSNNKENVPPVWAVKATATKRRSPLPDWYPRTPLRDITAIAKAIQRSRLRIAAAQQRSQTPEQNTPHCTEVRDSLDVEPGINSTQIVATPASSLAKDSLKIFSSPSETSLVTPSKPMDPVLLDDMEKKLSSSIEQIEKMVRRNLKRTPKAAAAQPSKRAIQRRTLMSMR >ONIVA04G13970.1 pep chromosome:AWHD00000000:4:16294195:16295781:-1 gene:ONIVA04G13970 transcript:ONIVA04G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEHKIPQPGNRLEPSNPSGVAAPDQPPAPPIADAADAGAAAMAPFRRWADLPPDLLCRVGDRLDLKCYASARGACTAWRSALSPASPALLVLSDARMCPSAASLPTRRCFDLAAILTGGRCVGSSNGWLVLSIALYGGQSAFVLFNPITTTEIVLPPLIYESRWVSKVVFAPSPAKDDYAAAAICDIDRIAYVTAGARRWAVLDPVRLVTGDQLTDVVYHDKGKVYCLTRCGDVHLLRLPERRRRKPADEPGPSEQPPEAPVVPLPECAVAIRARRTQLHHNFRMVCYDHLGPRDQMMPMKLTVCSETLIPFNYRRFAIGPLEPDLNAPATVEPLLPEGNLPFDPATSFAPPYNTVSAFTNAKNIVLCEGNLYQIWRNASCTVTLQLPGGGHRRVSENEILVLRYYPRRQPCWDAVTDLGGYSVFVGRNNAVSMYAEGVHGLKGNCVYWIGGRGRDQGMVFDMETGRSTPCYPLVGGMPGPLQSTICWFFLSDIVNASNNSGGRRVYQTRSRSRAERAQDMEE >ONIVA04G13960.1 pep chromosome:AWHD00000000:4:16290567:16295790:1 gene:ONIVA04G13960 transcript:ONIVA04G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G06940) TAIR;Acc:AT5G06940] MATTAAAANLLLFSAVLLLATPSNAASAAAPAVAAMPVQPQELLLSFRSSLNDPSGALSTWSRSTPYCNWSHVTCTAAGGGGGVAVGLSLQGLGLSGDIAAEPLCRVPGLAALSLASNTFNQTVPLQLSRCALLVSLNLSSAGLWGPLPDQLAMLASLASLDLSGNDIEGQVPPGLAALRGLQVLDLGGNRLSGVLHPALFRNLTKLHFLDLSKNQFLESELPPELGEMAGLRWLFLQGSGFGGGIPETLLQLEQLEVLDLSMNSLTGALPPAFGHNFRKLLSLDLSQNGFSGPFPKEIGKCVMLQRFQVQGNGFTGELPAGLWSLPDLRVVRAESNRFSGRLPELSAAASRLEQVQVDNNSISGEIPRSIGMVRTMYRFTASANRLAGGLPDTLCDSPAMSIINVSGNALSGAIPELTRCRRLVSLSLSGNALTGPIPASLGGLPVLTYIDVSSNGLTGAIPAELQGLKLALLNVSYNHLTGRVPPSLVSGALPAVFLQGNPGLCGLPADGGCDAPAAPPSRNRLALAATVASFVTGVLLLLALGAFAVCRRLHAAAKLVLFYPIKITADELLAALRDKNAIGRGAFGKVYLIELQDGQNIAVKKFICSSNQTFGAVKNHMKTFAKIRHKNIARLLGFCYDSHGGGGEVSVIYEHLRMGSLQDLIGAPKFAVGWNDRLRIAIGVAEGLVYLHRDYTPRLLHRDLKSSNVLLGDDFEPRVTGFGIDRVVGEKAYRSSLASDLNYSCYIAPEVNCTKKPTHLMDVYSFGVILLELITGKPAGQPASDDSVDIVRWVRRRVNVAGGAAQILDPAAAVSHAAQQGMQAALELALRCTSVMPDQRPAMDEVVRSLQLLHSPQTLPPPPPFTGVAVEP >ONIVA04G13950.1 pep chromosome:AWHD00000000:4:16280107:16288104:1 gene:ONIVA04G13950 transcript:ONIVA04G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGERTFKANFTGEGVRLLRARVKEKLRELMGDYSDDTLAEYVVVLLRNGRRKDEAAKELEVFLSDNNDAFVSWLWDHLSSNLHLYVQPKAISSNNEVNSTRSNARGMPAQNMTSSTQAIREPVAGTQKTTGIHQRREWGGIVRDQSETVPLRSVVTTVLHAEEKDVNKSHARRRTHSPDMHHQRKRSREDDERQIKRTSHQDIDAPRRLLQFAVRDAVRPVQPITPRSESASKRLRSVVSTMPSDSPLDVRLQRTNSDVRVPGVTAAALRAAAEAAEDVLKEKYSGSVFRRLGRKGMVNAAEESFGFSEQGREREYGDIDNVQAENQLDVHGRNHYAGDAYMYDREAAKGTDSASDIDRHDDTGAARYNDLVSCRSTLPSSIGKESVVAGFNTVEGTTTIRSRRSIMQDPHASSGRGPSERINMVNNITHKPANQATRRNAVKIEPQVPTEMKHTDSRKSTATLAHVNNTPMTDKSKDSMCSSSMVEEQKLPSLAVGSCSTGQPEGGTDSRTVFLSNVHFAATKDALSRHFNKFGAVLKTLIVTDIAGQPTGSAYIEFLHKESAEQALTLDNTSFMSRMLKVVRKNSLEVSQQSGWPRGSRGSTFPSRLTRTAYPRPAFPGAMRGRLPLRGGARSLQWKRDNADTVDAAKPGHSTPIPTGNQLISPVVRSFTYTRAEQKQDVGATI >ONIVA04G13940.1 pep chromosome:AWHD00000000:4:16276769:16277098:-1 gene:ONIVA04G13940 transcript:ONIVA04G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVLSLFRRRRRTARVVDESALVGGHAGAGDDDDARGRGVAAAGGAGALMARALLAMSCVVRRLDGEDVGGGGSVEEAWATSGWRPPRADEAGRHLVVRESMRYAIYG >ONIVA04G13930.1 pep chromosome:AWHD00000000:4:16270351:16275112:1 gene:ONIVA04G13930 transcript:ONIVA04G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSHVCSLVSSLVFLWLGVAAAQKASSWKTLSVRSYAMHVYKANGQLTISGNAPAIIAKGGFSGIFPDSSEFAYQFALIASSPDTILYCDVRLTKDGLGICLPDIKMDNCTNIPDFYQQGRKSYLVNGVSTAGWFSVDYNGTELGQVSLKQSIFSRSPRFDPSFFPILAVEDIASKFKPPGMWLNVQHDSFYSQFNLSMSNYILSVSKRVIVDYISSPEVSFLTKVSGKLSNNTRLVFRFLDESTIEPSTKQTYGSMLKNFTFVKTFASGIIVPKKYIWPVSPDNYLEPHTSVVDDAHKAGLEIYAADFANDFMFSYNHSYDPLAEYLSFIDNGCFTNLKKRKTDHGKPLIISHNGASGDYPACTDLAYQKAVDDGADVIDCPVQLTKDGIPICMSSINLMDDTTVAKSQFASQTAVIKDIESVLGVFTFNLTWDDIVKNLRPKISTPFSSFKLDRNPRYRNAGNFMRLSDFLDFTKDKDLSGIMISVEHAAFVAEELGFDMVDSVIKTLDAAGYSNQTAQKVMIQSSNSSVLVKFKQQTKYDLVYMINEEVKDAAPSSLAAIKKFADAVSVEGNSIFPENRHFTTYQTNLVESLQNAGLPVYVYTLMNEFASQPYDFFSDATAQINAYVQVNTCMHMGNNTPSFMAPARPGDLLQIISKPAQPPAMSPMPLLTGSDVAEPPLPPARTAQAPSLASRMQAHAAIVVTLAMLLACHPLV >ONIVA04G13920.1 pep chromosome:AWHD00000000:4:16262633:16263781:-1 gene:ONIVA04G13920 transcript:ONIVA04G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSAVLAVAALCLALALLLPRVALSQRLATAEAPAPAPAPRHVDLAELFSLAGPYGTFLGYLTKTGVITTFQSQANDTAAGAPGVTVFAPEDSAFAAVGGGAALSNLTADQLRTLMLCHGVPRYHPLSSFSALAASGPAPTFAGGQQYAVNVTDAAGTVRIQSGWATAKLVSSVYSTSPVAVYALNRVLLPEQIFPTAPKVAPVPAPAPAPVHGDKANDGAPGAGEHGASDVKSSSCRRV >ONIVA04G13910.1 pep chromosome:AWHD00000000:4:16261243:16262410:-1 gene:ONIVA04G13910 transcript:ONIVA04G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVATFLAIALSLSLLLAGALARPPPAPVRTDAGGGAAPAPQDKGGNLTDVLNVAGPFSTFLMYLRQTNLVAVLAGLSRNQLKHLLMYHSLAKHYTLAEFDGLSQSNPVKTLAGGRYAVNVTYDGGVVHVMSRWSSARVVGSVYESAAMAVYELDTVLLPDALFHAHPPVAATPPVPALPSPPPHADHPPPDDDDGDIDDYVPAPPPDPAAGKGGAKRSASGPAVVAHKAASYGAAAAMTLLVSCL >ONIVA04G13900.1 pep chromosome:AWHD00000000:4:16259576:16260001:1 gene:ONIVA04G13900 transcript:ONIVA04G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLTMASALLCVCAKPPTAKRRQGYRLRGERTTARRDEEQTSAERARARHGDRRARRAMGLGRTPAIYQARCWGSLGDAGQARPVRPMPRKRPVPYRCQRNGAVCCVLGSERGPCHDAIRDSGALGKSLTQPPQNIGLI >ONIVA04G13890.1 pep chromosome:AWHD00000000:4:16239866:16250686:-1 gene:ONIVA04G13890 transcript:ONIVA04G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTTATLDPPPPPLLIAGSLLDDDRDAGSAASSSPRWLPWARGSTVGSPPRRGGEERGGEAATRRKGNSSSPDDDDDCRNDEDDCRNDYDYRDDDVGATTDGGGDTAALLPMAMTTATTMAPMPVEAAADGGGELSSAGEGGQRRVNLLPAKILSAYENHLFSQALACRWNACPPRKMDLAPHLLQLMWHKALPPYRSFLVFGAHHLSLKNPCALFRLRLWSLWPLPIWEDEWIIEKLAVLAARRSSFVSEESLCSVPAAALVSLAIAHLGEMEGDIAMEEWKDSNHRGADYLMTMPMQNFLADAFPPPELLEGEGGFEKHGLSVAVGSPPPTPPPPEDGCSPLPLTPQFGQKFGSGGGGGGGSLADRRARGGFSNVARISVPYNQPVADVSSAGAPSPYVTIPPGLSPTTLLESPVFFSNAMGQASPTTGKLHMLGGANDSNPIRFESPPIEEGSGAFSFKPLNLASSQYAAAEKTKSLPNNQHQSLPISVKTEATSIQTAQDEAAANQLMQPQFNGGKRSRAAPDNGGDGEGQPVEGDAKADSSSGAAAVAVVAAAAAAVAEDGYSWRKYGQKQVKHSEYPRSYYKCTHASCAVKKKVERSHEGHVTEIIYKGTHNHPKPAASRRPPVHPPPPSPATTTTTPLPPGDAQADHAPDGGGGSTPVGAGQAGAEWHNGGVVGGEGLVDATSSPSVPGELCESTASMQVHEGAAAAQLGESPEGVDVTSAVSDEVDRDDKATHVLPLAAAAADGESDELERKRRKLDSCATMDMSTASRAVREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCLVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHPAGSASPGGGAGSSSQPHGVGVGGRRPEVPSVQESLMRLGGGCGAAPFPPHFGLHLPPPPPRDPLAPMSNFPYSLGHAPSPALRGLPPPPPPPPSASALAVAGLGGVVEGLKYPMLAPPSVHSLLRHRQGGGMEAVVVPKAEVKQEAMPPAAAVAGAGRGAAVYQQAMSRVSLGNQL >ONIVA04G13890.2 pep chromosome:AWHD00000000:4:16244644:16250686:-1 gene:ONIVA04G13890 transcript:ONIVA04G13890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTTATLDPPPPPLLIAGSLLDDDRDAGSAASSSPRWLPWARGSTVGSPPRRGGEERGGEAATRRKGNSSSPDDDDDCRNDEDDCRNDYDYRDDDVGATTDGGGDTAALLPMAMTTATTMAPMPVEAAADGGGELSSAGEGGQRRVNLLPAKILSAYENHLFSQALACRWNACPPRKMDLAPHLLQLMWHKALPPYRSFLVFGAHHLSLKNPCALFRLRLWSLWPLPIWEDEWIIEKLAVLAARRIKELHPREEREWEEREREE >ONIVA04G13890.3 pep chromosome:AWHD00000000:4:16239866:16244306:-1 gene:ONIVA04G13890 transcript:ONIVA04G13890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLIVYVPFAIWWGEMEGDIAMEEWKDSNHRGADYLMTMPMQNFLADAFPPPELLEGEGGFEKHGLSVAVGSPPPTPPPPEDGCSPLPLTPQFGQKFGSGGGGGGGSLADRRARGGFSNVARISVPYNQPVADVSSAGAPSPYVTIPPGLSPTTLLESPVFFSNAMGQASPTTGKLHMLGGANDSNPIRFESPPIEEGSGAFSFKPLNLASSQYAAAEKTKSLPNNQHQSLPISVKTEATSIQTAQDEAAANQLMQPQFNGGKRSRAAPDNGGDGEGQPVEGDAKADSSSGAAAVAVVAAAAAAVAEDGYSWRKYGQKQVKHSEYPRSYYKCTHASCAVKKKVERSHEGHVTEIIYKGTHNHPKPAASRRPPVHPPPPSPATTTTTPLPPGDAQADHAPDGGGGSTPVGAGQAGAEWHNGGVVGGEGLVDATSSPSVPGELCESTASMQVHEGAAAAQLGESPEGVDVTSAVSDEVDRDDKATHVLPLAAAAADGESDELERKRRKLDSCATMDMSTASRAVREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCLVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHPAGSASPGGGAGSSSQPHGVGVGGRRPEVPSVQESLMRLGGGCGAAPFPPHFGLHLPPPPPRDPLAPMSNFPYSLGHAPSPALRGLPPPPPPPPSASALAVAGLGGVVEGLKYPMLAPPSVHSLLRHRQGGGMEAVVVPKAEVKQEAMPPAAAVAGAGRGAAVYQQAMSRVSLGNQL >ONIVA04G13890.4 pep chromosome:AWHD00000000:4:16240816:16244306:-1 gene:ONIVA04G13890 transcript:ONIVA04G13890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLIVYVPFAIWWGEMEGDIAMEEWKDSNHRGADYLMTMPMQNFLADAFPPPELLEGEGGFEKHGLSVAVGSPPPTPPPPEDGCSPLPLTPQFGQKFGSGGGGGGGSLADRRARGGFSNVARISVPYNQPVADVSSAGAPSPYVTIPPGLSPTTLLESPVFFSNAMGQASPTTGKLHMLGGANDSNPIRFESPPIEEGSGAFSFKPLNLASSQYAAAEKTKSLPNNQHQSLPISVKTEATSIQTAQDEAAANQLMQPQFNGGKRSRAAPDNGGDGEGQPVEGDAKADSSSGAAAVAVVAAAAAAVAEDGYSWRKYGQKQVKHSEYPRSYYKCTHASCAVKKKVERSHEGHVTEIIYKGTHNHPKPAASRRPPVHPPPPSPATTTTTPLPPGDAQADHAPDGGGGSTPVGAGQAGAEWHNGGVVGGEGLVDATSSPSVPGELCESTASMQVHEGAAAAQLGESPEGVDVTSAVSDEVDRDDKATHVLPLAAAAADGESDELERKRRSHTHQYHCPLCSFHGIAREIV >ONIVA04G13890.5 pep chromosome:AWHD00000000:4:16239866:16240805:-1 gene:ONIVA04G13890 transcript:ONIVA04G13890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNECFRKLDSCATMDMSTASRAVREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCLVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHPAGSASPGGGAGSSSQPHGVGVGGRRPEVPSVQESLMRLGGGCGAAPFPPHFGLHLPPPPPRDPLAPMSNFPYSLGHAPSPALRGLPPPPPPPPSASALAVAGLGGVVEGLKYPMLAPPSVHSLLRHRQGGGMEAVVVPKAEVKQEAMPPAAAVAGAGRGAAVYQQAMSRVSLGNQL >ONIVA04G13880.1 pep chromosome:AWHD00000000:4:16213745:16218907:-1 gene:ONIVA04G13880 transcript:ONIVA04G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRSSRSGGVSEGVHQMLSSEEPSGPSCSSIQGVSAVPATSPGESPASQDPTDLVQPCAKFSIRDYVFASRSKGIKRSWPFHPRSLQLCLKRGVKDLLPPFEPPDLIRSRSLSTTINVEQFAASSEANAPVGLVKTRDDGSSIVNASNINFQSCQPVAESLGPSQYTSPEDGKSAVDQGENTNGLDHTDEVMPVDLQVNSCTKVIRQTEVAVPSWRSKNLDSSREPSEKKCKLVVKVGSLTRTEEVASNSSTVSDPMASKTCPVCKVFASTSNTTLNAHMDQCLSVESNTEPVEKVILKPKVKPRKKRLMVDIYKTARLFTLEDLDQRNGTNWAIELATPTTNKEVCTENRSPEVVPFDPRDDEREGDVYVDSNGIKIRILSKSSDASLVLRDEHNSRKVAKNETGKSMLMSKTCLKSKICKNKRLKFPGKKHSKTNRLNTQVRTHTDGDMHEHTSEDEEESTMHVQKPTESTSYGGSETIRQWVGSKRSGLSKNCAREVTDKASKSITPGTKKLARSSIRGFDDSQISDSPPEAFSSQPPEEMTTTSEANDDDERNGTSRLLRSIPRWSSKTTPSSNVIPKVPRSAAALAKRKIKEIGRRESYRSDNYDTVRNSTSIRNSVRRGPSSSVAGLSDGSNRVASTKKFRKNRSLLRTGRREFSPSNSGLVHGFGQDHGSNPNHTNKRFRVSNKETSTKLKHTQEDTADNDFSYESDVPALGQGDDQYDAAQQAGISQMYYEGEEPETEMQCASPSRSDPVDCSNDMSSDSLSLENNETADDVLVEGYSVAIVDPCSNEKSAYHAHIPNDVANNEVEEWQIDPSSTKESSACLTNNRDMGLGAPQDNSSITSNREDSNLDHGLVFDRGSSGSPVSTASTLSPSTSLRDSRTNRSEPGPSTVSLPTVEERVSGSSNQETKSTPLAREGEQLPEKSCCCNCRESISRDSQVHDQSAMARPVPAFTGRPVPQLNIGLRASSSFSTYQRTSTKANPCLDSHDQTLAGKVSAEPTMTHPSYTTDCMSPSIQTQLPSPSNPILRLMGKNLMVMNSEESGHPQAPSSDYIMRGNYMAPGCFMPQNYQHIGDPAFMNTTPSTANHQIPLSSVQAGNFSAPTLHNGSMVQSDYHSPQKPYRNLLPVMHHPSYMMKEVIMIDDSPEHRSDPQISMLLPSAPSPATISVPNTVASRPFYCLPSQNQLLPRESAVGPLPVFTNINPIVGVSSSSQGNNAEVAHPYMSNPFYVQSPAGYINPSVYYSQNLR >ONIVA04G13870.1 pep chromosome:AWHD00000000:4:16204081:16205673:-1 gene:ONIVA04G13870 transcript:ONIVA04G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSYDGDQSEDRQSEEVQSAYKSHGGGVLRGYFNLDRSEEQNEENEWSWIPQDGDPLAESMSSLQTTQEALENEMQKLSDLSKELGADNFSSDNRANNAFVSPDEDDVLETNQKMSHLEQKLEEASNTIREKNSVLSQLQELIDGMHIATPAERAFDIDQLETDLDRQLQEKIEAEIQSLVMLKARQSWQVRTEDQLALKEHKLSSSGGDNGDGDCDSDNARMMMMVKLRETESKIVKLKEQVEKLEVHERELFGTTQVLRMQSRTLKICLFGLLQLVLLFLSLKAFFAQVSDPFDDVVPT >ONIVA04G13870.2 pep chromosome:AWHD00000000:4:16204083:16205785:-1 gene:ONIVA04G13870 transcript:ONIVA04G13870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFGGVGRIARKSHPRGLRDKEEACIFESYFVTSGAGGAVSNNRHQIMSMSYDGDQSEDRQSEEVQSAYKSHGGGVLRGYFNLDRSEEQNEENEWSWIPQDGDPLAESMSSLQTTQEALENEMQKLSDLSKELGADNFSSDNRANNAFVSPDEDDVLETNQKMSHLEQKLEEASNTIREKNSVLSQLQELIDGMHIATPAERAFDIDQLETDLDRQLQEKIEAEIQSLVMLKARQSWQVRTEDQLALKEHKLSSSGGDNGDGDCDSDNARMMMMVKLRETESKIVKLKEQVEKLEVHERELFGTTQVLRMQSRTLKICLFGLLQLVLLFLSLKAFFAQVSDPFDDVVPT >ONIVA04G13860.1 pep chromosome:AWHD00000000:4:16187855:16188179:-1 gene:ONIVA04G13860 transcript:ONIVA04G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRANSGLRSLVLSLSLNCFTDQKWISDIQGKSGIPLLPETDKLDASDHSPPLVGIACNMSRQQDAPKHRSRSLIFLISLRSLEGAKPASLPKQGANPR >ONIVA04G13850.1 pep chromosome:AWHD00000000:4:16187266:16187451:-1 gene:ONIVA04G13850 transcript:ONIVA04G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPATTAQRHQGDVERKEASDLGTGSHGGGGEKRKEPSSPAAMVTARRHGEKGGAGPGH >ONIVA04G13840.1 pep chromosome:AWHD00000000:4:16187139:16192160:1 gene:ONIVA04G13840 transcript:ONIVA04G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGQVWCLLPLHVALSPPLPPWPPATMVMPPLLAAAAAMAASGQVRRLLSLRAALPSPWPLATMAPSSSRHRHHGCRCPGFQYAFVREKAAGDHLSLGYMQHGDNNNKKKKGDLSNNLDLSSSPLLMDSRGQAYYHRAAAAAAAVAAGDATDGEEPAAAELAPKAVRSKKKLAVEAQGGAAVRDDLTCPECGKVFMSDKAMYGHLRSHPLRKHKGAARLAAVAAAAADDASVAAGVKPRPWKVPRTKEEAELGDDRHPGRSPVTGKRGRPASSASSSGSAPAPAPPASSRLQLVITEEEEAAMTLLDIASGCSLDHQPTQPAHVADAALLAPASDQMPSVDVEQGVLAVAEHRTWEAEKPALVEHVFGIVKEHVAAVAADAEPQSPEAKTPVKLGPVTDQAVPVLGDKNDDGHADMPVSPGGGTTKKPLKRRLQDVETKHPTAPPPPPVKRIPSPASKRKYECSECHKTFSTHQALGGHVAAHKRQKKSCAEQQQEAVAAAAQVARHNFLAHQRPAGVVVAVDATVVAAAGGGIAIGPLGEEGLVGPPPPPPPPPPPPAPAPALAPAPQQHQCLRCPMVFPTGQALGGHMRKHFLEAKEQEQLLAIAIANANANANANAAPEPPPMMANAAPVPPPSMANGAGPVPPPIAVAPPPAPPIAGAAPPPPMANGAAAAAPPGGNPAPPAGPQPGVNMFDLNELPNEDAGENQQP >ONIVA04G13830.1 pep chromosome:AWHD00000000:4:16186610:16187260:-1 gene:ONIVA04G13830 transcript:ONIVA04G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARRGGITIVAGGHGGSGGDKATWRGRRHQTWPLAAMVAAARRGGVAIVSDGKADGSRLQVKRI >ONIVA04G13820.1 pep chromosome:AWHD00000000:4:16182048:16182681:-1 gene:ONIVA04G13820 transcript:ONIVA04G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDGDDGAAAAAVPLISDRPKHAAIVRSGTEWTAAAHVITAVIGSGVLSLAWSVAQLGWLAGPGMMLVFAAVTALQSALFADCYRSPDPEVGPHRNRTYANAVERNLVFLA >ONIVA04G13810.1 pep chromosome:AWHD00000000:4:16172993:16175233:-1 gene:ONIVA04G13810 transcript:ONIVA04G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHSLEVAAAGGDGGGGRCYDDDGHPARTGTVWTCVAHIITAVIGSGVLSLAWSVAKLGWVGGPACMACFALVTYVSAALLSDCYRRGDDDKGPRSRSYMDAVRAFLGKKHTWACGLLQYASLYGCGVAYTITTATSMRAILRSNCYHTHGHDAPCKYGGSYYMLMFGAAQLFLSFIPDFHDMAWLSVLAAVMSFSYSFIGLGLGLANTIANGTIKGSITGAPTRTPVQKVWHVSQAIGDIAFAYPYSLILLEIQDTLKAPPAENKTMKKASIISIVVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIYQFADRFFAERYPASRFVNDFHTVKLPLLPPCRVNLLRVCFRTVYVASTTAVALAFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRHVPRWSPRWVVLQSFSVLCLLVSAFALVGSIQGLISQKLG >ONIVA04G13800.1 pep chromosome:AWHD00000000:4:16170480:16171791:-1 gene:ONIVA04G13800 transcript:ONIVA04G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 103 [Source:Projected from Arabidopsis thaliana (AT5G56110) TAIR;Acc:AT5G56110] MGRVPCCEKDNVKRGQWTPEEDNKLLSYITQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPSDFPSPAVHDGAGAGASASALAAPCFPAAPPHHPQADDTIERIKLGLSRAIMSDPSTASAAAAAAAAPSAPAEDKPWPPGDMSEGLAGMYATYNPAAHAHAQAQAEFRYDGASAAQGYVLGGDGDQGTSMWSHQSLYSGSSGTEEARRELPEKGNDSVGSSGGDDDAADDGKDSGKGAASDMSGLFASDCVLWDLPDELTNHMV >ONIVA04G13790.1 pep chromosome:AWHD00000000:4:16166658:16169469:1 gene:ONIVA04G13790 transcript:ONIVA04G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAIDNIFRPLRNLFTRTVGYILFCESYIRALESEARWLKSQRDDVMKEVRLAERQGMEATNQVSHWLEAVASLLVRAIGIVAEFPRGGAAAGGLGLRAAYRLSKRADEARAEAVSLVEQRSTFQKVADAPVFACTEVLPTAAGPEGGTSVIGIYGAPGVGKTTLLHNFNNTFLSASAASMDIHLVIYVEVTERYSAGAVQKAIGGRLGLRWEDGKSTKEKALALCTYLHRWNFVLLLDDVWEPLNLAELGVPVPGRHGKSKVLLTTRLEHVCDQMDVTRKIKVECLSAADSWELFKNKVGNAFVTSREIQPLAQAMASRCGGLPLGLITVARAMACKRVTREWEHSMAVLNLAPWQLDGVEANLLVSLKRSYDSLRDDSLRICLLYCSLFSGETSKELLVESFIGEGFVSDVSADDMDDLYNKGHYMLGILVTSSLLEAAGDYHVTMHPMVRAMALWVVADCGRIDNKWLVRAGLVTSAAPRADKWTGAERVSLMRTGINELNDAPTCSVLKTLLLQSNRLLGRICHDFFSFMPCLRLLDLSDTLITALPSEINLLVTLQYLRLNNTTIRSLPAGIGALVNLRFLLLSNVPVQTIAAGVLNPLTALQVLCMDHCWSSWMDVGSCEPESGDSRKRRRHDLRQRVNLRELESLKSLQMLDISVQTLHSLEKLSQSPHLAEHLRNLHVQDCSDLPSIQFSPSSLWRHMSRLKGIIISGCCNLENVIITGGEYKGEKPWSLDRTVSMMRYRVPDKPLDVDSVYRPQTSQSLDMDCRKLVPLLPSLQSIILRKLPKAKIVWQGGSLEYLSSLSISSCSVLEHLISYDTEGLSHGSPAETVFPSLKELELHDLPNMRSIGPESIAVNFPSLASLKVVRCSRLKKLNLVAGCLKELQCTQTWWNKLVWEDENLKTVFLSSVKPLA >ONIVA04G13780.1 pep chromosome:AWHD00000000:4:16160059:16162296:-1 gene:ONIVA04G13780 transcript:ONIVA04G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAQLYGSAAAAAYRRLPLYGVPSSCRWPRTPLAALPKLSISTGGMEGDADTVTQKRSAKIHDFCLGIPFGVAPGLATLFLGTLSLKFWRSGKSSFIFILGQAAISAVLAWKYSHAYILTNRILPWAFYASLSTAMACFYAYVLLSGGNPPPKKKMAATPSS >ONIVA04G13780.2 pep chromosome:AWHD00000000:4:16160059:16162296:-1 gene:ONIVA04G13780 transcript:ONIVA04G13780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAQLYGSAAAAAYRRLPLYGVPSSCRWPRTPLAALPKLSISTGGMGMNLFGVGAKVSIKCTNGTTQVDELNFRSNQTEELVEGDADTVTQKRSAKIHDFCLGIPFGVAPGLATLFLGTLSLKFWRSGKSSFIFILGQAAISAVLAWKYSHAYILTNRILPWAFYASLSTAMACFYAYVLLSGGNPPPKKKMAATPSS >ONIVA04G13770.1 pep chromosome:AWHD00000000:4:16156213:16159001:-1 gene:ONIVA04G13770 transcript:ONIVA04G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRGNLWGVGADAVVAVVGAGGAELCYSLFCEALAVQKAMSMCP >ONIVA04G13770.2 pep chromosome:AWHD00000000:4:16156499:16159001:-1 gene:ONIVA04G13770 transcript:ONIVA04G13770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRGNLWGVGADAVVAVVGAGGAELCYSLFCEALAVQNCNEHW >ONIVA04G13760.1 pep chromosome:AWHD00000000:4:16152574:16157985:1 gene:ONIVA04G13760 transcript:ONIVA04G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITVDSRPVKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLVGNKSDLSHRRAVSYEEGEQFAKEHGLIFMEASAKTAQNVEEAFVKTAGAIYKKIQDGVFDLSNEANGIKLGYTVPGQSGGAGSSSSQGGGCCSS >ONIVA04G13750.1 pep chromosome:AWHD00000000:4:16129966:16133811:-1 gene:ONIVA04G13750 transcript:ONIVA04G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 724, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G14400) TAIR;Acc:AT5G14400] MVGGELVLAALVILLALLLTLVLSHFLPLLLNPKAPKGSFGWPLLGETLRFLSPHASNTLGSFLEDHCSRYGRVFKSHLFCTPTIVSCDQELNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHIVGSWHGKSKDKGMVNVIAFCEEARKFAFSVIVKQVLGLSPEEPVTAMILEDFLAFMKGLISFPLYIPGTPYAKAARARISSTVKGIIEERRNAGSSNKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSAQDLELVKREHEGIRSKKEKDEFLSSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPLLHGNAQQFQPCRWEGASQGTSKKFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYRWRIDGDDIPMAYPYVEFQRGLPIEIEPLCSES >ONIVA04G13740.1 pep chromosome:AWHD00000000:4:16128955:16134919:1 gene:ONIVA04G13740 transcript:ONIVA04G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTVAATANLSGFRAKWLDFYGQTSLELHHEKSVYLH >ONIVA04G13740.2 pep chromosome:AWHD00000000:4:16128955:16134919:1 gene:ONIVA04G13740 transcript:ONIVA04G13740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTVAATANLSGFRAKWLDFYGQTSLELHHEKSVYLH >ONIVA04G13740.3 pep chromosome:AWHD00000000:4:16128955:16134919:1 gene:ONIVA04G13740 transcript:ONIVA04G13740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTVAATANLSGFRAKWLDFYGQTSLELHRGKEMRPFMKARKSSSIMAVTGSSGDSPSTCFTITLNANCGSQGKRAHQREKKKRKVK >ONIVA04G13740.4 pep chromosome:AWHD00000000:4:16128955:16134919:1 gene:ONIVA04G13740 transcript:ONIVA04G13740.4 gene_biotype:protein_coding transcript_biotype:protein_coding FFLFYTFFLFNIVILSSPVNLSYYDLSPLPLLTLSSSGGVARGCADDPAGAARGGTAAGVGPGATAGESTPRWIGYGDDGAARLRLEFGLDPGRGYAWWIRGIFGIGRPWDVERERDETLHEGEEIFKYHGSDRLLCEVNWLHAYTVPVVAFIKEGYEPTA >ONIVA04G13740.5 pep chromosome:AWHD00000000:4:16129930:16134919:1 gene:ONIVA04G13740 transcript:ONIVA04G13740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTVAATANLSGFRAKWLDFYGQTSLELHRGKEMRPFMKARKSSSIMAVTGSSGDSPSTCFTITLNANCVK >ONIVA04G13740.6 pep chromosome:AWHD00000000:4:16129011:16134943:1 gene:ONIVA04G13740 transcript:ONIVA04G13740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCVCFVIVLKMLYSVQHMSCLPWPCFSLAIRPAFAELCSKVKRLPCMHNLVVLGQHVTLPKKTTYVHIQTHKWVFF >ONIVA04G13730.1 pep chromosome:AWHD00000000:4:16125797:16128324:1 gene:ONIVA04G13730 transcript:ONIVA04G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1Y2] MDPTAPNSGDANGGAAADATAPSNTTVTLPPLTLRDVPLLPSAAAATDTIPNPISRHPYFHPPATFYISPGDVSLRHAFFDLASASPSPLVAYRRAGPRAGVAVDPARARAAVVTCGGLCPGLNTVLRELVVGLRELYGVRDVFGVAAGYRGFYGPDADHARLDLAAVDDWHKKGGTVLKTTRGGFDLNKIVDGIVARGYTQVYAIGGDGTMRGAVAIFNEFKRRGLNISITGIPKTVDNDIGIIDRSFGFQTAVEIAQQAIDAAHVEAVSAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEVDFYLEGKGGLFEFLYERIKQKGHAAVVVAEGAGQELIPRTDDQKREQDESGNIVFLDVGPWLKSELGKWWKREHPSELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGIMAGYTGFVPGPINGNYSYIPLEDVAVAKNPVDVNDHKWAWVRSVTNQPDFMKPKY >ONIVA04G13720.1 pep chromosome:AWHD00000000:4:16120902:16123721:-1 gene:ONIVA04G13720 transcript:ONIVA04G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63370) TAIR;Acc:AT3G63370] MATTAALPFHPTPRRKLPPASAGASLRQLCKDGDLREALRQLAARSARGRAPPPTDHYGWVLDLVAVRRAVSEGRQLHAHAVSTGALGDDDAGFLATKLLFMYGKCGRLPDAHRLFDGMPARTVFSWNALIGACLSSGGAGEAVGVYRAMRASEPVAGAAPDGCTLASVLKACGAEGDGRCGSEVHGLAVKSGLDRSTLVANALVGMYAKCGLLDSALRVFEWMRDGRDVASWNSAISGCVQNGMFLEALDLFRRMQSDGFSMNSYTTVGVLQVCAELAQLNHGRELHAALLKCGTEFNIQCNALLVMYARCGWVDSALRVFREIGDKDYISWNSMLSCYVQNRLYAEAIDFFGEMVQNGFNPDHACIVSLLSAVGHLGRLINGREVHAYAVKQRLDSDLQIANTLMDMYIKCYSVECSARVFDRMRIKDHVSWTTIIACYAQSSRYSEAIGKFRTAQKEGIKLDPMMMGSILEACSGLKSISLLKQVHSYAIRNGLLDLILKNRIIDIYGECGEVCYALNIFEMLDKKDIVTWTSMVNCFAENGLLHEAVALFGKMLNAGIQPDSVALVGILGAIAGLSSLTKGKEIHGFLIRGKFPVEGAVVSSLVDMYSGCGSMNYALKVFDEVKCKDVVLWTAMINATGMHGHGKQAIYIFKRMLETGVSPDHVSFLALLYACSHSKLVDEGKFYLDMMVSKYKLQPWQEHYACVVDLLGRSGQTEEAYKFIKSMPLEPKSVVWCALLGACRIHKNHELAMIATDKLLELEPDNPGNYVLVSNVFAEMGKWNNVKEIRTKMTEQGLRKDPACSWIEIGNTVHTFTARDHSHRDSQAIHLKLAEITEKLRREGQYVEDTSFVLHDVSEEEKIDLLHRHSERLAISFGLISTASGTPLRIAKNLRVCGDCHEFTKLVSKLFEREIVVRDANRFHHFSGGTCSCGDFW >ONIVA04G13710.1 pep chromosome:AWHD00000000:4:16110612:16111161:-1 gene:ONIVA04G13710 transcript:ONIVA04G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVCAPCEGCRAKALEVAARAADGVISLAITGDDRDKLEVVGVGVDVTRLVICLRKKVCYAEILLVEEEKKEEEEKKEPECKPCYWPPYWCPPPEDPTCKPCYPRYSYAPPPPAVVVCDEPSACSIM >ONIVA04G13700.1 pep chromosome:AWHD00000000:4:16103050:16106848:-1 gene:ONIVA04G13700 transcript:ONIVA04G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKMVIKVSMPCGKCRSKAMALVAGATGVSSVEVTGDGKDRLQVVGDGVDPVCVVNRLRKKIGHAEIVQVEEVKEKKPDPPKKPDPPKPEPPKPPVVCYPPTPYYHHHYGGPPPPVVFCDQPSPNGTCSIIGVVFLVLMSRRKFTGLLFFFQDKKYEAGRLQQLQLWLRIQKIVIKACMPCDGCRAKALGVAAKADGVISMAITGDDRDRLEVVGDGVDVTCLVTCLRKKVRFADVLQVEEVKDKKPEEEKKKPEEEKKCQATLPVPWCPPPPCYYPPPSMVYCEEQPSACSIM >ONIVA04G13690.1 pep chromosome:AWHD00000000:4:16097716:16098225:-1 gene:ONIVA04G13690 transcript:ONIVA04G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVSMPCEKSRSKAMKLVVMASGVSSVEVTGDGKDRLQVVGDGVDAACLVTCLRKKIGHAELVQVEEVKEKKPEEKKPEEKKPEEKKPEPCYCPHPCYYHHHYGGIPVAVGDQPSDPCSIM >ONIVA04G13680.1 pep chromosome:AWHD00000000:4:16092047:16092499:1 gene:ONIVA04G13680 transcript:ONIVA04G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFRYAPSGPAGPSLATSVYETNLDLAALSWTRTSLGLSLCAVLRLSSPAIPEETDGVRPERRSGGRRRGSGGGDRRRHEVLRGARGTSGLGRRRPRRRVTLPTVLLLCAPRRPRRRVPAHRGAPQCRQICRIQPKKLCAAGRRCLSN >ONIVA04G13670.1 pep chromosome:AWHD00000000:4:16088139:16089489:1 gene:ONIVA04G13670 transcript:ONIVA04G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKPTDPDVFGRDTIFLAGLVAAKEENRRTAKEPAIIVPSRYRQVGSGCGGGRDSGRKRRRWRRGQR >ONIVA04G13660.1 pep chromosome:AWHD00000000:4:16083987:16085242:-1 gene:ONIVA04G13660 transcript:ONIVA04G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIKKEIVIRLQSSEKGHKKAIKVAAAVSGVESVTLAGEDKNLLLVIGFGVDSNDLTEKLRRKVGHAEVVELRTVDADELMRVAAANQYPYRYYPGAPPPAPYYGNGGYPPPHQRGGGGGGSGGGYYTPMTMATGGYYGGGGGGYPQYGQSSSYPQYGQSSSYYPPAAAATTNTHTVVHHQYANNDPDSCAIM >ONIVA04G13650.1 pep chromosome:AWHD00000000:4:16067988:16068915:-1 gene:ONIVA04G13650 transcript:ONIVA04G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEILIWIQLSSERCRSKALKVASTVNGVQSVTVAGEERNLLLVIGDGVVDASRLTRRLRNHVGYAEIVELTTSSSTAVPPVDVAAAAVTEDAVRPRYHGLVGGGGGLPWFARVGCPVTAHSVVASHAAPAAALWPGAGEVGGSWAASYSAHPSPCYRSSPLAGGYTLDVARSHAANYSPLIERHAGRGGHYPAHSCCSRRKLLRHSVPSCCTIQ >ONIVA04G13640.1 pep chromosome:AWHD00000000:4:16062093:16062989:-1 gene:ONIVA04G13640 transcript:ONIVA04G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPTTMKEIIIRMRPDSDKCHHKALKVAAAVSGVESVTVAGRDRDLLLVIGDGVDESKLTKKLRREVGEAEILELRTLDAGGSRGGGGAASLQLMTAAGARNGKGGGAVVFAQSSPYHGWHGHPATPGRSVPGVGRIMYPVTTTTTATAASPGAARWPGGEQYRSSSPQAALYYPRNPPNAYYYGGLGVRDGLAVARSHPANYSPMVERHDHGAVGRGGRRRRAGRRPSCCSIL >ONIVA04G13630.1 pep chromosome:AWHD00000000:4:16047251:16058389:-1 gene:ONIVA04G13630 transcript:ONIVA04G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGGRHDQGMALRKAEESAARRCEAARWLRQMEAAAAESLPERPEEEEFCVALRNGLVLCNVLNHVNPGAVPKVVENPIVAVQSSDVAAQSAIQYFENMRNFLVAVSEMNLLTFEASDIEKGGSSMKVVDCILCLKAYHEWKLSGGIGIWRYGGIVKIASSSKRLPSYSSRGGGSADLNQQMLEFVHLLSEVSLEESRVGESQHSLFQQFVLRVVRAFLQEWGEAEGLPLDDMVLETILEQACKEFTILLASHRNQVRSLLRKMMKDENGAHSKQELIEVISKSMKENSECFLTSLRLPCGRRKQLDDGGGLEHQQEELEKLKVSFNEMKLQVESIRSQWEEDLRRLESYFEAHNHNAYHKLLEENRKLYNQVQDLKGSIRVYCRVKPFLKMQTDQRSTVDHIGENAEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPDITTEETWGVNYRSLNDLFAISQNRADTTTYDVKVQMIEIYNEQIRNSSHVNGLNIPDANLVPVKCAQDVLDLMRVGHRNRAVGSTALNERSSRSHSVLTVHVQGKEIASGSTLRGCLHLVDLAGSERVDKSEAAGERLNEAKHINKSLSALGDVIAALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHMNPEADAFGETMSTLKFAERVATVELGAAHANKEVGQVKDLKEEISKLKLALDDKEREASKLRDIANRVASEKRNARTRSPLTTTLSSKPEAGQDSSVDTCTSEIRSSSSGKQRRFRSPLSVRELDEKSPVINRELYLSAKFKTPSPPVRSSLSAERVGIAKSVERSENIDCTPVSRIEVPPKVQHSSSRNTPSSVLTAQSLRKFRDSEENRSAKPSVRESMTKTRLDSATKPPQKEEQTANKNTGTRVRSEAKIPRNISDIENEFANSEPTFHSNRKAWKLPPQSTRQSQSIDLRASVREMEPLTEGKPRRSKAPHALHLPPINLSSLTKVPTSLRAQIRAMEEAAAPERKRPRDGDVGPSTAAAAAAASGEAQYVYLPIADALKAPGARVCLFAAVSEIGAAVRSRGTDFTLTLRIVDHSRASAISVTFFADNTALLPCVRSSGDVISLHNVVITMHHGEFFVTYNKRFSSFALFEGKVSMGCIPYQHSMKYHGSKHDSEFLTHLRMWLVYNPPGLKDLELQLRSIKSDSTFDLVCKVLDVHEASNGVWILYVWDGTDTPVTEFPTLDNESVSPPPLHLEGAPLPREVLCTLPCVGSVLRVFSNRFFKEMLHLQKGIYWARFCNMTCKQQFGMWKGILLPSSRVRLLSNEDGSVADRLKLFDSRIATQIHRQPMASLPNASDIADVEYERAGYTTLMESLTHGEAKFFGGFLTAEAVIRKMNKLLGIPEDTEEGAPSNRNPPWIWCCLKSYRLDKNDPWGSRRYRIFGTEIRD >ONIVA04G13620.1 pep chromosome:AWHD00000000:4:16042295:16045530:-1 gene:ONIVA04G13620 transcript:ONIVA04G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAIGSAPPRPPGLALPPLTRHHHLLLHRSKTLAPRRLVAAAPMDAAAAAAGRGGPAPPRCARAETDSEDAVATTSSPHSAEAAGAAEQGNGAPAPVADAADVEGVDGIRIRRRPVTGPPVHYVGPFQFRLENEGNTPRNILERIVWDKEAEMKERRPLYMLKGPLENAPPVRDFVGALKASFDRTGLPALVAEVKKASPSRGVLREDFEPVQIAQTYEKNGAACLSVLTDAKYFQGSFDYLDAIRNAGIQCPLLCKEFIVDAWQLYYARLKGADAVLLIAAVLPDLDIKYMLKICKILGMAALVEVHDEREMDRVLGIDGVQLIGINNRNLETFQVDISNTKNLLEGERGQTIAQKGIIVVGESGLFTPDHISFVQDAGVKAVLVGESLIKQEDPGKAIAGLFGKDISPVSAA >ONIVA04G13610.1 pep chromosome:AWHD00000000:4:16037154:16041578:-1 gene:ONIVA04G13610 transcript:ONIVA04G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G55870) TAIR;Acc:AT1G55870] MQRRRHPLLLLPRRRPPSRTLLSRLLSSSPPASGGGGGVGGGGVAVKQVTRGNLAEALEELRARVRGAAFVGIDLEMSGVTSAPWRDTLELDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKSAFVAHPQNFFIFPRNEPLNDCSTHEFLCQTTSIDFLAKYQFDFNTCFREGIYYLSRTQEEEALQKLYTLHNNETSAYPNTSEEEEDAPLKSAADVLFTERMKIKFNEWRNSIVSNQRVDDHRSENFKFADQFQTVFFKMRPAIMLNGFTSHQLKLIRQILRTHFRDLVYVCTFLEDGVSEKRVVYTDTNEDKISLMKNVREDLLKSREAKVKSAVGIRHVIDLLASERKLIVGHSCFLDIAQIYSKFVGPLPSSMEEFALSINRMFPHMADTRHLMSVNDAVQYRMRHKSKSLSSAFSLLCPALHAPDEKSSTLPSVRIEVEADETVLSCFTSGAKHEAGYDAFMTGCVFVQLCAYHGIKFEQLSPLEDLATNINLKKHINLLPPCWNSGTVLDLSTGTERPDAGYKRRYPAAVYDNVILIWGFQSKVRPKDIKDCICKVFGQASVTSVFPIDSTAVLVQFSKQESVNDFLDLKATLESADSAISVLHPLSTILEGGKTRAAKYDTYRDICRSSVSKFSFADQAEAVCSTSNSESKFKECNAADGSGAYGSALDGTVPASVQQSGGAKSGSKNKGDDDFSYQDILDALQDGKTSVGKRMRNA >ONIVA04G13610.2 pep chromosome:AWHD00000000:4:16037154:16041578:-1 gene:ONIVA04G13610 transcript:ONIVA04G13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G55870) TAIR;Acc:AT1G55870] MQRRRHPLLLLPRRRPPSRTLLSRLLSSSPPASGGGGGVGGGGVAVKQVTRGNLAEALEELRARVRGAAFVGIDLEMSGVTSAPWRDTLELDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKSAFVAHPTQEEEALQKLYTLHNNETSAYPNTSEEEEDAPLKSAADVLFTERMKIKFNEWRNSIVSNQRVDDHRSENFKFADQFQTVFFKMRPAIMLNGFTSHQLKLIRQILRTHFRDLVYVCTFLEDGVSEKRVVYTDTNEDKISLMKNVREDLLKSREAKVKSAVGIRHVIDLLASERKLIVGHSCFLDIAQIYSKFVGPLPSSMEEFALSINRMFPHMADTRHLMSVNDAVQYRMRHKSKSLSSAFSLLCPALHAPDEKSSTLPSVRIEVEADETVLSCFTSGAKHEAGYDAFMTGCVFVQLCAYHGIKFEQLSPLEDLATNINLKKHINLLPPCWNSGTVLDLSTGTERPDAGYKRRYPAAVYDNVILIWGFQSKVRPKDIKDCICKVFGQASVTSVFPIDSTAVLVQFSKQESVNDFLDLKATLESADSAISVLHPLSTILEGGKTRAAKYDTYRDICRSSVSKFSFADQAEAVCSTSNSESKFKECNAADGSGAYGSALDGTVPASVQQSGGAKSGSKNKGDDDFSYQDILDALQDGKTSVGKRMRNA >ONIVA04G13600.1 pep chromosome:AWHD00000000:4:16034403:16035854:-1 gene:ONIVA04G13600 transcript:ONIVA04G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGELTAVGAAFPPAEAIAAVHDSHAPSPTTEDDCDDLYGDVDLGFLPLSPPSHYPTSPPKTPSPGHSALSPSPPPPRRGPLPDPTAKAEPEPPKPTPQQQPQPLLPAAKPAPPRASPPTTAVFIGELPYWTTDAEVEGALAPHGALHGLHFFTDKLTGKSRGFCRADFLSPDAAASAAAALHGRTFDGRHCVASLSCPPALLPLGGGGGGDDPHVHAPRAARGRGRGRGGGHGGNSTTARGNVGPPLGDPPALAPPPRPQLPFGGGMLGGGGGAGYGGFAPMIGQCNAAIGTSMMPSVVSPHVNPAFLAASGMAMGGTGMWYDQRMTGMWVGQQPWNFGGYGMPRHQQKPPMHQPNRNGDYGTVRGTAWRGRPAGGRNEGDTGNANGNERGYPDRRQCGRGRDGFDLSRKHGHEERGRYRPRVLEEEREHERNWDESDRYGGDRRRYQEYPERDFERRGRVRSRSSSRDGDDDDHPGRHC >ONIVA04G13590.1 pep chromosome:AWHD00000000:4:16011478:16015517:-1 gene:ONIVA04G13590 transcript:ONIVA04G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMKIDDGRPYHSNVFHELVSNGGPKVDGEIERETKQHILPDTMVQQTNPSEYSFMKAGQQNVDKAIQIRPEDVSYDKDVVEIKLPDIMVSSNYGVQFVKDVCIDEGVLADQKAIAEKVSLNLNSSKGDTNGIRIKETADEPAKSVNDLKSQIVVLPEACVTDGDTVEQYHPCKLHDLEGNNTVDGLTVVNVEKSTPKQLVSNDNAEYCQQMGADVSESSENHGPNLNGEPVDQVPSNDSHETGASIASAITNVNGLSVESTADGHSGVVTEDGVSGMALNKTEINQINHYNPFIAYGSLEDTWEPKYSLPTIVDDVYSVPCPVEKTDSFSDIVNGALRGFNFLETGESIAEDSTLDSVVENSSMTDVQASEEKDEARSDDILSDERKIPVDQRSPVENSDSLSDPVDRALSSTETDGARNEDSRLDSTEASPSRSYVQPSEDRNDQVDNFVYGIRTDAAHGTSSGTSPLTGKTEPIDAKSENDPKCEIDSVQDGHDFNPREANDGTNISEDNKDSKSSTRQTGPVTEQNEPDSAKMTMQTEPVAQRNEPDSAKVTMQTESVAQPNEADSAKVTARNVIRNPFESSFSGPSIISGPLTPSGHIPYSGNISLRSDSSTTSTRSFAFPVLQTEWNSSPVKMAKADRRRLRRDRGWGYRILCCKF >ONIVA04G13580.1 pep chromosome:AWHD00000000:4:16009880:16011025:1 gene:ONIVA04G13580 transcript:ONIVA04G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRTSAANLLAVALLIISLLLLPLLHLPVAHARHVAVLKATDSSSAISIRSGHVEPTPASGAVQRRPASSGASNRGGGGRRRRAAASSRSTVEMRASAWAKHHRDEVARMHEMLKRDYASKARRRSPINNGEPSLEEEDLP >ONIVA04G13570.1 pep chromosome:AWHD00000000:4:15996812:16006672:-1 gene:ONIVA04G13570 transcript:ONIVA04G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATDTEIKSRAIVLPEQSMASERAGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVAKHPSELKLHKVAIVEKLQERICDTDKVVRESLFSILQSLVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPGYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLSGLGQCLSQVAYATENGDASNRLVHNLSGRELWKCTLDEDNSGSRAFAMSNILMKLQNLFQILVNSVEVSVSELFAKSTIDAQSSEALLSALHCLDLICNICIQEVKKPQMKFGRSKTQVGPEWLKSSVLVYAKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDNTIFPADEFCQFVSSLLAKAKTLRNKDTMEKHLSPLITFIPDLVSNAPDDSKGYLLEAFTDAFWDCKVDCKLIFPYLDAVGKMLFPEKTGILFVENDSGSEYHGVWVKELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTMEFGNLRSFIKLFGVKSSSGTIELGPFVNLPRDCQELAISCLYYFSNLLPDTMELLASCCLSDVLEPTILFRIIEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGSFCTQDNSQKGSNMNTFKSLNRLILNSLSEMGDGSLAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDAIKIIAGYLIDAAMDLSKTIELGFQSDRTRLFQYFIKPCIIIFCQNEKVLCCALEMLKSFATGDDHVLSSASKLNYPGELSHRICVVTTILIFLCNDGKLHKNLSLGKCVIKGILQHTRHLMDSNVLDVTYEDKQKLRFAFEQLKTKALQLNCWDRSELEGFSSTTYCRNVKPYSARDENFDELKVKMESGHGDWLFSSYFLGNIAVKAPINIATISGSCRH >ONIVA04G13570.2 pep chromosome:AWHD00000000:4:15998212:16006672:-1 gene:ONIVA04G13570 transcript:ONIVA04G13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATDTEIKSRAIVLPEQSMASERAGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVAKHPSELKLHKVAIVEKLQERICDTDKVVRESLFSILQSLVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPGYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLSGLGQCLSQVAYATENGDASNRLVHNLSGRELWKCTLDEDNSGSRAFAMSNILMKLQNLFQILVNSVEVSVSELFAKSTIDAQSSEALLSALHCLDLICNICIQEVKKPQMKFGRSKTQVGPEWLKSSVLVYAKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDNTIFPADEFCQFVSSLLAKAKTLRNKDTMEKHLSPLITFIPDLVSNAPDDSKGYLLEAFTDAFWDCKVDCKLIFPYLDAVGKMLFPEKTGILFVENDSGSEYHGVWVKELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTMEFGNLRSFIKLFGVKSSSGTIELGPFVNLPRDCQELAISCLYYFSNLLPDTMELLASCCLSDVLEPTILFRIIEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGSFCTQDNSQKGSNMNTFKSLNRLILNSLSEMGDGSLAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDAIKIIAGYLIDAAMDLSKTIELGFQSDRTRLFQYFIKPCIIIFCQNEKVLCCALEMLKSFATGDDHVLSSASKLNYPGELSHRICVVTTILIFLCNDGKLHKNLSLGKCVIKGILQHTRHLMDSNVLDVTYEDKQKLRFAFEQLKTKALQLNCWDRSELEGFSSTTYCRNVKPYSARDENFDELKVKMESGHGDCAIYSLDI >ONIVA04G13560.1 pep chromosome:AWHD00000000:4:15994566:16000433:1 gene:ONIVA04G13560 transcript:ONIVA04G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:porphyromonas-type peptidyl-arginine deiminase family protein [Source:Projected from Arabidopsis thaliana (AT5G08170) TAIR;Acc:AT5G08170] MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPARKVFARTAIAISKFESVTICASAKQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIVRKGAPGLGITEKSIAGIDWEFNAWGGATDGCYVDWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVAKVIWIPHGLYGDDDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHERPQLKQAVAVGCYSAMATTSELKTVLGVLTEPVVMVEGAREIVLAGGNIHCITQQQPIYRQ >ONIVA04G13560.2 pep chromosome:AWHD00000000:4:15994566:15999130:1 gene:ONIVA04G13560 transcript:ONIVA04G13560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:porphyromonas-type peptidyl-arginine deiminase family protein [Source:Projected from Arabidopsis thaliana (AT5G08170) TAIR;Acc:AT5G08170] MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPARKVFARTAIAISKFESVTICASAKQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIVRKGAPGLGITEKSIAGIDWEFNAWGGATDGCYVDWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVAKVIWIPHGLYGDDDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHEVVMVEGAREIVLAGGNIHCITQQQPVRPS >ONIVA04G13560.3 pep chromosome:AWHD00000000:4:15994566:16000433:1 gene:ONIVA04G13560 transcript:ONIVA04G13560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:porphyromonas-type peptidyl-arginine deiminase family protein [Source:Projected from Arabidopsis thaliana (AT5G08170) TAIR;Acc:AT5G08170] MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPARKVFARTAIAISKFESVTICASAKQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIVRKGAPGLGITEKSIAGIDWEFNAWGGATDGCYVDWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVAKVIWIPHGLYGDDDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHEVVMVEGAREIVLAGGNIHCITQQQPIYRQ >ONIVA04G13560.4 pep chromosome:AWHD00000000:4:15994303:15994976:1 gene:ONIVA04G13560 transcript:ONIVA04G13560.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:porphyromonas-type peptidyl-arginine deiminase family protein [Source:Projected from Arabidopsis thaliana (AT5G08170) TAIR;Acc:AT5G08170] MTCGPGGSASRPSFFLVGLSVLLQPGGGAHAATSGRSGGVQRRGGRRLRRRRRRRRWRRSTGAARPRVPPTPPAREGGELRELRPEDGGGAERRISGGSPSAPYSGEPHAATASSLTASRFLVSRGVAEVRVAKLGHAKLLGRVPTPLSPPATWRLAPPPTSFANWALIRRVFWIFRFREEEEERWFIR >ONIVA04G13550.1 pep chromosome:AWHD00000000:4:15986175:15990818:-1 gene:ONIVA04G13550 transcript:ONIVA04G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAAAACLSPVCAAAASVPRARVCFVSPPGSWSCLAASNGRGLLRGGNGMRLRWRAPVRAKVDEDKEAGLGFREPERRRMRLRLRPRLRLLWWRLRRLSPRDLPGDAAAALRRAARRVPPAAAAPIVLAVLLLAARLALPKNAAKEVAYSDLLAGLRAGAVTAVAFEEDSRRIYFRRAADDGGGSDDAGAGAGEARRSAAAAARWPCYARRVPHDEGFLLGLMRDGGVDYRSAPRPAGRLLVDMLSTLLTLWVSLLPMMWFIQRQMSAGGGAEKRRRPRKQRVGFDDVQGVDEAKEELVEVVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDPALCRPGRFSRKVLVGVPDLEGRRNILAVHLRDVPLEEDPEIICDLVASLTPGLVGADLANIVNEAALLAARRGGNTVAREDIMDAIEREKYGVNGRQENADSERQGLTKLFPWLPKPGNRPTNPDDIGGGITGGLPSGFHDS >ONIVA04G13540.1 pep chromosome:AWHD00000000:4:15981390:15984929:1 gene:ONIVA04G13540 transcript:ONIVA04G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSFLCIFSFSRRSRRYYADDEAAAVSDWERPAATRLRKVRSSDEDNGWWIGERDVDQKASDFIARFHHHQTSLVAV >ONIVA04G13530.1 pep chromosome:AWHD00000000:4:15973767:15977707:-1 gene:ONIVA04G13530 transcript:ONIVA04G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKPYEKLGTKYCKKFLEARAFKFSSYLLVIILTEERTQIERVTFRCITRGEEELLAKEYLFEEAFEGYEILLGCLITVVIVGVVSISCHLRRRAHNLKRSKKDIEVTAVSVEYEEVTCKQMCTKEIYDATENLSPLNVIGQGIAGKVYKGVLANGWPVAVKHIVKNEHAETFLREVTSLSHVRHPNLVSLRGYCDGQEECFLVYELCINGNLSEWLFGKDKNLSWIQRLQIALGSACGLWFLHIYPEGCIVHRDVKPTNILLGVDMEPKLSDFGLSRVIDIGVSHVSSEVRGTFGYVDPEYRHNHKVNAAGDVYSFGMVLLQLLSGKRAINIMNTAKPMSLDRMASMLIREGNVLEFADPRLNGEYSTEAFDLSLKLALSCTGHKKQRPSMEQVVSHLEKALKISMRDDDKHNSISIIESHS >ONIVA04G13520.1 pep chromosome:AWHD00000000:4:15970376:15973528:1 gene:ONIVA04G13520 transcript:ONIVA04G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLLYALSGGAALSRLAGAARFGPHHCAVYAANAFLGPDLGSFAEWLASFLPSSSSAAAAVGDLAMGVVHHPFYYPLLLGFPLACLYAWLSRRLLLAGVLDEPSRVALSRRQCFYLITAGSLSHFFLDHLFEENGHSTMYTWILSTGWWVGRAPINSDAVVIVGLLCICLVLGFVYINRVKHEKSATQKSNQSFFLIVVIAILYCMWCATQIYLRNPPQPAIGEEADLGVIIFVAIYLFLPHGLCALSMNQNDYAELEGIQLR >ONIVA04G13510.1 pep chromosome:AWHD00000000:4:15964484:15970247:1 gene:ONIVA04G13510 transcript:ONIVA04G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G11130) TAIR;Acc:AT4G11130] MPTAAAAATASLRVSNIPPSAVAAELLAFFDSAVAGAGGAFACEIAAAHRGWLSRGHGTVQFGSAAAAAAAAGLASSGRLPRFLGALLSVSPSPVDLLPRASDLSLRAAGAGLVVGDRVAERVFEAADAWDGVRAEVIPGKRRVDLYLEHDSQRYKLEVLFEDMKDCLGCTLDGMGAILLQLNYAPRIHTAISGPAVNSRFMDDRFHACKEDAKFSWVRALDFTPNYSFGRCSTLVLKLGKSALVSDILKSLPFSGNLGELTMNSMDGVGASSNVVPLVHCPRDYSVPYEVLFRLNSLMHMGKIVAKHVNADLFKALQELPVDVSRRIFEKMHKLESTCYEPLQFIQQEAYSMKRSHNVLLSNEGEGEGERKLMKCYRVNITPSKIFCLGPEEEVTNYVVKHHSAYASDFVRVTFVDEDWSKLSSNAISARIEQGFFSKPFKTGLYYRILSILKKGFSIGPKNFEFLAFSASQLRGNSVWMFASNASLNAGGIRRWMGHFENIRSVSKCAARMGQLFSSSRQTFEVLRWDVEVIPDIEITTDGSKYIFSDGIGKISLRFAKRVAHHVGLDPTNLPSAFQIRYGGYKGVIAIDPMSSIDLSLRPSMKKFESESRMLNITSWSKSQPCYVNREIISLLSTLGIRDEIFVAMQQDEMRETEEMLTNKEVALSVLGKLGGSETKTAVKMLLQGYEPSSEPYLSMILKAHQENRLTDIRTRCKIHVPKGRVLIGCLDETGVLEYGQVYIRITKNSKEQKDSNQSYFYNDDGKTATVVGKVAITKNPCLHPGDIRVLEAIYDPDLVGMVDCLVFPQRGERPHPNECSGGDLDGDLYFITWDDKLIPEKVDTPMDYTATRPRIMDHVVTLEEIQKHFVDYMINDSLGAISTAHLIHADRSPLKARSPECLQLATLHSMAVDFAKTGAPAEMPRTLRPREYPDFMERWEKPMYISNGVLGKLYRSAMGHMEKSGDSGALSSSSAQPSPTYDPDLEVPGSDEFLQAAEEYYELYEEKLTTLMNYYRAEHEDEILTGNIRNKMLYLKRDNKRYFEMKDRIVAAVDALHREARGWLLSSRKEEDASRMASAWYRVTYHPDRRRGKRFWSFPWIACDNLLAIKASSQLRRRRQKDDDSTAVVQMDCSA >ONIVA04G13500.1 pep chromosome:AWHD00000000:4:15921766:15923135:1 gene:ONIVA04G13500 transcript:ONIVA04G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVELVVEVKSPADKLWTALRESTELFPKIFPEQYKSIETVEGDGKSAGTVRLLKYTEGVPMVTFAKEKVEVADDEKKVVSYSVVDGELVSFYKNFRVTLQVTPKGGAAAPAADGAVVSWTMDFDKASEEVPDPDVIKETAAKTFHDLDDYLLKN >ONIVA04G13490.1 pep chromosome:AWHD00000000:4:15919345:15920897:-1 gene:ONIVA04G13490 transcript:ONIVA04G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G24040) TAIR;Acc:AT1G24040] MAAASAAFLLLLPAVSPAAPTHPRLLFSCPPYRSRPRHRARLAASRSSSDGEGDGGIVTGPAGGGGGVFLSPRALSQRDELAAFRYAHSFPHGRLTVRALTPAGDDDESDALVRLLASSFAENVRWAPAQRYEQLLAFVIRRYLFERRGLAPHAAVLVGYYRPAATGDGDEEEGEGNEDDDDYGEMACTAEVSLDAVGAPGAPPTPTPPLDFPYICNMTVKTSLRRRGIGKQLLKACEDLIIKMDAKRHVYLHCRIIDQVPFNMYRKAGYNIVQTDSILVWLSLQKRKHLMSKELLQTSVSSRSTAKDFDDNKLTS >ONIVA04G13480.1 pep chromosome:AWHD00000000:4:15916129:15918887:1 gene:ONIVA04G13480 transcript:ONIVA04G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKNSPPNLHGPNYIRNASDAFPCGRKTLGMMLLSVLLVLVLSAYSPEQIFLYPPMPAHNSHQTAINSPHDNAKACNLFNGTWVRDFGGPIYTNTTCPTIPEARNCAKYGKQMDYVNWMWKPHGCAMEKFEPHLFLTIVRGKTLAFAGDSIARNQMESLLCLLSQTLTQLYKLFQVEAPIRVSSDTKDKFVTWNFRSHNFTLMVLWTKFIVEDSQRQINGTVVEEHDIHLDKLDPRLATNLHQINILVISTSRWFFRRNYLYEGEELIGCIYCSEDNITSFSVPMAIQRVFRTALKNLKESQECRLQLTVVRTATSAHFENGLWNTGGSCNRTEPLREEAMIDQTEWAIRNAQVEEADRTKKNNGKGGVKIEIIDITKAMSMRPDAHPGIHWNNQWMRGYSDCSHWCLPGPIDMWNELLLAVLNKYKNSLEDQ >ONIVA04G13470.1 pep chromosome:AWHD00000000:4:15912737:15913390:-1 gene:ONIVA04G13470 transcript:ONIVA04G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1V1] MAECAWWGEVGVSGVSAISTAAVGRVGKHWLTLRSGANLFMHSLELDEPEKSVVKSWRKGANPLPPRCTVVVIRFRGESRVLGKGNGVVTPLHVPAFGYPMMSMDEQTSLSFALFKDAAFNASIRRGVRASDIACLPISFG >ONIVA04G13460.1 pep chromosome:AWHD00000000:4:15912314:15912661:-1 gene:ONIVA04G13460 transcript:ONIVA04G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIEGLTVLVDMDTAEVLHVSDRDAGIPIPTTANTDNRHGHSTPSPCSSADTQHELDSRSGGLPLPLLLLLLALPPAAAVELAPAPLVPSSLTHPPHARPRSVTPPPGAASETS >ONIVA04G13450.1 pep chromosome:AWHD00000000:4:15893786:15894317:-1 gene:ONIVA04G13450 transcript:ONIVA04G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKLAVFALLASLLLLNTIKAADYPPAPPLGPPPHKIVDPGKDCVGACDARCSEHSHKKRCSRSCLTCCSACRCVPAGTAGNRETCGRCYTDWVSHNNMTKCP >ONIVA04G13430.1 pep chromosome:AWHD00000000:4:15880125:15886465:1 gene:ONIVA04G13430 transcript:ONIVA04G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSSRHQVTITLGRSGQVVKRRAVSDIDNDDGVHLGRKRSVRDRLGNNMVGSESYDGQQRNKRRQIETNGLQHGDNDCQVGRDDLRLKLMKKGLSSNGGAEQNGVDLREKLSRKPKNIWRYDARGHVPESRSRYDGRDKIPELRSRYGMRERLPEPRTSALPSRIPSARSMDDLLKLDSSREAYSSWSGNLRHRSPEKLKSARRDMSPSRTYDHIRSMPPIRSAGTSRTSGLITRDAPDALRTQPYAGKSTISIDTTQPANGVASSATVMPTAPVMPEVPPTVTGLLNSLGLEKYVFLFHAEEVDMAALSQMGDSDLKEIGVPMGPRKKILQAVAPYSKRRR >ONIVA04G13420.1 pep chromosome:AWHD00000000:4:15877748:15879548:-1 gene:ONIVA04G13420 transcript:ONIVA04G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERDATQIPENPMEGIPQTAAAAAAAEASEPPRKRARVDGGGGGAGEEEDRLSDLPDCLLEDILAHLGSRQAVQTSVLSRRWRNLWRGVRVVVIDVGSFRLPGADGDPPRFRLDRIEDFADGVLSPSLHPGAARELDALRMRLDEDAVTTNFQRWIRRALWRRPATVDLYYLPRRSFSWPPAVPLTPVTAVSRLKTLRIFGLRPTVVFGADEFPALEDLHIERCSYAHGTIASPTLKRLALVSPINGCFVREQRLTAPGLTSLRLVLPYSREEGVRVITDAPLTSLVDASITIVDTDPGDPRNRRVNQFKVDFLVAISNLLGRLTSVRNLDLTGLNATALLDNKSQEFPMFPYLTTLLLNECDIGYKYHVLRSILQNAPNLEQLRLHNCKFVGKSRRKAGQTQSKEKTSKCSSSTLSSACSSLKSVEIKHPRGEPSHDLLHEFLKEIPHNQWRKRSIDEETISIELNRK >ONIVA04G13410.1 pep chromosome:AWHD00000000:4:15868945:15870859:-1 gene:ONIVA04G13410 transcript:ONIVA04G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAAASDEHPRKRARSASAEDRLSELPDCLLHDILALVGSRQAVRTSVLSRRWRGLWRSAPRVDIDQREFRRACGGEEGEPVVDCDGFEDFADGILSPTLLGGTGTPPLDAFRLHLLYEGRFITFGRWVRRALTRRPASVDIHVEYGGTVDWPPALTLGDGAGTGRLKRLHLFGVHLGFISGDGGRLAELLPVLEDLRMESCTFGHEPSSPTTTIAIPTLRSLALAVVPRRTARPYALTVASPRVASLRLFLPFSRTRAAAVRVAPAEEGEALASLVTASITVLETDQELNRRMNKHKLDFLASTRNMLDRFPNVRNLDLSGFPTIALLDKASQEFPTLPSLTTLLLSVCDVGANCYVLKSVLRNAPNLEHLRLHRCKFLGTPKRKRGNSRSKGKSSSTCLDSLSSKCKSLQSVEIKFRPIDNVRHHDLVGLLKEMLGETKRQHCKKSISEGTVTILIPIT >ONIVA04G13400.1 pep chromosome:AWHD00000000:4:15861425:15867096:-1 gene:ONIVA04G13400 transcript:ONIVA04G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM family member 2 [Source:Projected from Arabidopsis thaliana (AT3G01370) TAIR;Acc:AT3G01370] MLLLFLPHPSPPLLPPAASRTRPPPRLLLPPIHASPSPELLAKSALRRISDKLRSLGYLEADHPEAAPGPAAPEAGAGASPGEIFVPTPAQLPRHRVGSTLDPSWATGDGEGAAASRRRRRGGRDSSAAASAPPSAAELALPRDELRRLQGAGIRLRNRLKVGKAGVTEGIVNGIHERWRNAELVKIRCDDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFQDREMKNDMDESSEHTSSDDEDADLAIIASEQSGSEEDSDNPAEHGSNHTEEGDDLTRRFGVDALEGNLDIGSAEQSINSATKDQQAILHTSTNVSRPSEISGRARSTLVAGVGSPNKFRLQLPGEVKLAEEADKLLDGLGPRFSDWWGYDPLPVDADLLPAIVPGYRRPFRLLPSGVPPRLTDREMTILRRLARPLPYHYALGRSSNLQGLAASMIKLWERCEVAKVAIKRGAENIDSDLISEKLKGLTGGTLLSRDNESIVFYRGKDFLPTAVSLAIEKRRKYGNSTISNPKLNFDKSTPQNSSKLKMATDVSLDGHECYEKKHKDETAVSDNRAESLNVFTQNVEARLSQAIAEKEKTEKLIEELEMSSEPSRAETREVISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHNIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKKDALKRSVEYQRYKSLKLHVLNLSKNIDYLKDQMFFKQMEVQPVTPTNGMNSGHHNQGILDLNVNSGTLVDKKEEVSEVLPECAKSVVVECSSGESETEGTSVLTKSGVPLDVMQNKLLCFSKHTDDLSETTSSCLTESTSTSSESTHQSPLSSSVMHNSDSHRVSGSKFVGTLTPVHELKLDEKSSQLPSAAAPLSNRERLMLRKQALKMKKRPVLAVGRNNVITGVAKAIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSREPNKVILYRGWGADVAQNSLSGNNSTEQVEKEVISPQLLEAVRLECGLHPGESE >ONIVA04G13400.2 pep chromosome:AWHD00000000:4:15861425:15867096:-1 gene:ONIVA04G13400 transcript:ONIVA04G13400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM family member 2 [Source:Projected from Arabidopsis thaliana (AT3G01370) TAIR;Acc:AT3G01370] MLLLFLPHPSPPLLPPAASRTRPPPRLLLPPIHASPSPELLAKSALRRISDKLRSLGYLEADHPEAAPGPAAPEAGAGASPGEIFVPTPAQLPRHRVGSTLDPSWATGDGEGAAASRRRRRGGRDSSAAASAPPSAAELALPRDELRRLQGAGIRLRNRLKVGKAGVTEGIVNGIHERWRNAELVKIRCDDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFQDREMKNDMDESSEHTSSDDEDADLAIIASEQSGSEEDSDNPAEHGSNHTEEGDDLTRRFGVDALEGNLDIGSAEQSINSATKDQQAILHTSTNVSRPSEISGRARSTLVAGVGSPNKFRLQLPGEVKLAEEADKLLDGLGPRFSDWWGYDPLPVDADLLPAIVPGYRRSSNLQGLAASMIKLWERCEVAKVAIKRGAENIDSDLISEKLKGLTGGTLLSRDNESIVFYRGKDFLPTAVSLAIEKRRKYGNSTISNPKLNFDKSTPQNSSKLKMATDVSLDGHECYEKKHKDETAVSDNRAESLNVFTQNVEARLSQAIAEKEKTEKLIEELEMSSEPSRAETREVISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHNIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKKDALKRSVEYQRYKSLKLHVLNLSKNIDYLKDQMVQPVTPTNGMNSGHHNQGILDLNVNSGTLVDKKEEVSEVLPECAKSVVVECSSGESETEGTSVLTKSGVPLDVMQNKLLCFSKHTDDLSETTSSCLTESTSTSSESTHQSPLSSSVMHNSDSHRVSGSKFVGTLTPVHELKLDEKSSQLPSAAAPLSNRERLMLRKQALKMKKRPVLAVGRNNVITGVAKAIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSREPNKVILYRGWGADVAQNSLSGNNSTEQVEKEVISPQLLEAVRLECGLHPGESE >ONIVA04G13400.3 pep chromosome:AWHD00000000:4:15861425:15867096:-1 gene:ONIVA04G13400 transcript:ONIVA04G13400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM family member 2 [Source:Projected from Arabidopsis thaliana (AT3G01370) TAIR;Acc:AT3G01370] MLLLFLPHPSPPLLPPAASRTRPPPRLLLPPIHASPSPELLAKSALRRISDKLRSLGYLEADHPEAAPGPAAPEAGAGASPGEIFVPTPAQLPRHRVGSTLDPSWATGDGEGAAASRRRRRGGRDSSAAASAPPSAAELALPRDELRRLQGAGIRLRNRLKVGKAGVTEGIVNGIHERWRNAELVKIRCDDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFQDREMKNDMDESSEHTSSDDEDADLAIIASEQSGSEEDSDNPAEHGSNHTEEGDDLTRRFGVDALEGNLDIGSAEQSINSATKDQQAILHTSTNVSRPSEISGRARSTLVAGVGSPNKFRLQLPGEVKLAEEADKLLDGLGPRFSDWWGYDPLPVDADLLPAIVPGYRRSSNLQGLAASMIKLWERCEVAKVAIKRGAENIDSDLISEKLKGLTGGTLLSRDNESIVFYRGKDFLPTAVSLAIEKRRKYGNSTISNPKLNFDKSTPQNSSKLKMATDVSLDGHECYEKKHKDETAVSDNRAESLNVFTQNVEARLSQAIAEKEKTEKLIEELEMSSEPSRAETREVISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHNIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKKDALKRSVEYQRYKSLKLHVLNLSKNIDYLKDQMFFKQMEVQPVTPTNGMNSGHHNQGILDLNVNSGTLVDKKEEVSEVLPECAKSVVVECSSGESETEGTSVLTKSGVPLDVMQNKLLCFSKHTDDLSETTSSCLTESTSTSSESTHQSPLSSSVMHNSDSHRVSGSKFVGTLTPVHELKLDEKSSQLPSAAAPLSNRERLMLRKQALKMKKRPVLAVGRNNVITGVAKAIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSREPNKVILYRGWGADVAQNSLSGNNSTEQVEKEVISPQLLEAVRLECGLHPGESE >ONIVA04G13390.1 pep chromosome:AWHD00000000:4:15849166:15849459:-1 gene:ONIVA04G13390 transcript:ONIVA04G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIMRCHVMMHPHPQQQQQQLEEEEATLLTRVVVSSSSSSYLLLPRSNHAASSRLAPWPSSPPPSPPLASSSAACFQGEDAGLAIVLLHQMLEREG >ONIVA04G13380.1 pep chromosome:AWHD00000000:4:15848899:15849108:1 gene:ONIVA04G13380 transcript:ONIVA04G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQQNNGAAAAERKKEDDDEEEEEGGGGGDQVQLAICEHDGFLTHTAETNSIIIITHQHHLSSYYSS >ONIVA04G13370.1 pep chromosome:AWHD00000000:4:15834863:15840249:1 gene:ONIVA04G13370 transcript:ONIVA04G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNGGGGDKKPASGGRGGPTIRTLADISRGPSGFPGGGGGGGSDSDEPQEYYTGGEKSGMLVQDPTRRNTVDSIFEQARQMGALQDQPPPFEDQSSSSRSFTGTGRLLSGETAPAAPPPPGNVLHNIQFWNNGFTVDDGPLRDYDDPANADFIESIKKSQCPQELEPADRRTPVHVNVIKRLEDYQAPLRPPSPFQGVGRTLGGGSSAEESQAPAPATQEPRRSVGIVVDDSLPFTSIQLRLADGTRMVARFNMHHTVGDIRSFIDASRPGATRPYQLQTGFPPKQLADPAQTVEQAGLANSVIIQKM >ONIVA04G13360.1 pep chromosome:AWHD00000000:4:15827653:15831881:-1 gene:ONIVA04G13360 transcript:ONIVA04G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGVHGGDDGVVVDFRGNPVDKGRTGGWLGAGLILGTELAERVCVVGISMNLVTYLVGDLHLSNARSANIVTNFLGTLNLLALLGGFLADAVLGRYLTVAVSATIAAIGVSLLAASTVVPGMRPPPCGDAAAAAAAAAAESGGCVAASGGQMAMLYAALYTAAAGAGGLKANVSGFGSDQFDGRDRREGKAMLFFFNRFYFCISLGSVLAVTALVYVQEDVGRGWGYGASAAAMVAAVAVFAAGTPRYRYRRPHGSPLTAIGRVLWAAWRKRRMPFPADAGELHGFHKAKVPHTNRLRCLDKAAIVEVDLAAATPPEQPVAALTVTEVEEAKMVVKLLPIWSTSILFWTVYSQMTTFSVEQASHMDRRAGGFAVPAGSFSVFLFLSILLFTSASERLLVPLARRLMITRRPQGLTSLQRVGAGLVLATLAMAVSALVEKKRRDASGGAGGGGVAMISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLATLAMGFFLSSLLVSAVDAATRGAWIRDGLDDGRLDLFYWMLAALGVANFAAFLVFASRHQYRPAILPAADSPPDDEGAVREAATTVEGMDF >ONIVA04G13350.1 pep chromosome:AWHD00000000:4:15820778:15825204:-1 gene:ONIVA04G13350 transcript:ONIVA04G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit J, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1T8] MAAPSAIPRRGLFIGGGWREPSLGRRLPVVNPATEATIGDIPAATAEDVELAVSAARDAFGRDGGRHWSRAPGAVRAKYLKAIAAKIKDKKSYLALLETLDSGKPLDEAAGDMEDVAACFEYYADLAEALDGKQRAPISLPMEKFESYVLKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASLTCLELGGICAEIGLPPGVLNIITGLGTEAGAPLASHPHVDKIAFTGSTETGKRIMITASQMVKPVSLELGGKSPLIVFDDVDIDKAVEWAMFGCFANAGQVCSATSRLLLHEKIAKRFLDRLVAWAKSIKISDPLEEGCRLGSVVSEGQYKKIMKFISTARCEGATILYGGARPQHLKRGFFIEPTIITNVSTSMQIWREEVFGPVICVKEFRTEREAVELANDTHYGLAGAVISNDLERCERISKAIQSGIVWINCSQPCFVQAPWGGNKRSGFGRELGQWGLDNYLSVKQVTKYCSDEPYGWYRPPSKL >ONIVA04G13340.1 pep chromosome:AWHD00000000:4:15814578:15815561:1 gene:ONIVA04G13340 transcript:ONIVA04G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQKIVIKVSMPCEKSRSKAMALVARASGVNSMEVTGDGKDRLQVVGDGVDPVCLVACLRRKIGYAEIVQVEEVKDKKPEEKQPEPPKPVPCYYPAPPCYYPPATVVCSDEPSPCSIM >ONIVA04G13330.1 pep chromosome:AWHD00000000:4:15810749:15812301:-1 gene:ONIVA04G13330 transcript:ONIVA04G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGFAGNPPHRGAAIYRYPVGILSQRDRPLSIFLRRENEGHHGSSAGDELRRGGRGRRLRRGRRTRPPVTRSRRRTRSPVTSSDVDRGRGRMRLPDQLEYKEYIILLRPRPDAATVGMDDDGAQQS >ONIVA04G13320.1 pep chromosome:AWHD00000000:4:15802218:15809114:-1 gene:ONIVA04G13320 transcript:ONIVA04G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39952) TAIR;Acc:AT4G39952] MPPTPPLAALHRFLASPSPPPLPSLLTLHALAVTSGLSPRPDFAAKLVSAYSSAGLPALAALAFAASPCPDAFLWNSLLRSRHRASDFASALSAHRRMRASGARPSRFTAPLVASAAAELGALPVGAAVHAYSVRFGLLEGDGSVAVASSLVYMYARCGSVRDAVRLFDEMPERDVVAWTAVISGCVCNGQCGEGLSYLVRMVRSAGDGGARPNSRTMESGLEACGVLGELSVGTCLHGFGVKAGVGHCPSVVSSLFSMYTKCDSTEDARILFPELPEKDLVSWTSLIGAYCRAGHAEKAVELFLGMEESGLQPDEVVISCLLAGLGNDAKVRGGKTFHAAIVRRNFGDSVLIGNALISMYAKCKQVDIAAMVFRMLHQRDTDSWSSMVVAYCKAGLDLKCLELYREMQFRDKDEFEYDTNSLISIISSCSRLGRLRLGQSAHCYSIKHLAGENSSVANALISMYGRCGNFDVARKIFGLVKTKDVVTWSALISSYSHLGHSKDALLLYDQMLTEGVKPNSATLVSVISSCANLAALEHGELIHSHVKDVGLECDLSISTALVDMYMKCGQLGIARKMFDSMLERDVVTWNVMISGYGMHGEAIQALKLFSMMERGNVKPNSLTFLAILSACCHAGLVDKGRELFTRMEEYSLEPNLKHYACMVDLLGKSGHLQEAEDVVSAMPIEPDGGIWGTLLGACKMHDNFEMGLRVAKKAFASDPENDGYYILMSNSYGSAEKWNEIEKLRDMMKNHGVEKSIGWSTIDICGFMKNQLTQWQHSLFEQSEFRSSEDMCISFVSGIWSESMVNGLTEWGNEVVDSSEFRNKAQRLKVAAMLMFLRHSTEPGTSHVEGRISYQSYEVEWVIIPKSPHAFGATYPQRSELVALDILVPQKLDNMSSN >ONIVA04G13310.1 pep chromosome:AWHD00000000:4:15796607:15799747:-1 gene:ONIVA04G13310 transcript:ONIVA04G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVDASAAAAAARDAKKKRGNRSSAKLKQCKLDARREQWLSQVKDGKEAKASTSPTGTEPNAGSMTVPSPHPPLPRRRVDVRSKGGDPEEDREERGAARQELGSSYLDSPVHSPSSDNSGSVGGMHRKHYNNGGGLNLSSSSSVWSSSRSVSEAEDDDTGGPEEENGVLDDWEAVADADALTVDDCHSHQSSGHVAPPAAPNVCTAPANQTGRQDPIQRTKAWAPDDIFRPQSLPSISRQVSFPASIGNGWMGAAQQANLSTPLTCPICCEDLDLTDSSFCPCPCKFRLCLFCHNKILEADGRCPGCRKEYVAARLSRSCSMESVKLSNESTLPKNPVQVRLFFCWK >ONIVA04G13310.2 pep chromosome:AWHD00000000:4:15798378:15799747:-1 gene:ONIVA04G13310 transcript:ONIVA04G13310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVDASAAAAAARDAKKKRGNRSSAKLKQCKLDARREQWLSQVKDGKEAKASTSPTGTEPNAGSMTVPSPHPPLPRRRVDVRSKGGDPEEDREERGAARQELGSSYLDSPVHSPSSDNSGSVGGMHRKHYNNGGGLNLSSSSSVWSSSRSVSEAEDDDTGGPEEENGVLDDWEAVADADALTVDDCHSHQSSGHVAPPAAPNVCTAPANQTGRQDPIQRTKAWAPDDIFRPQSLPSISRQVSFPASIGNGWMGAAQQANLSTPLTCPICCEDLDLTDSSFCPCPCKFRLCLFCHNKILEADGRCPGCRKEYVAARLSRSCSMGPRY >ONIVA04G13300.1 pep chromosome:AWHD00000000:4:15793121:15797536:1 gene:ONIVA04G13300 transcript:ONIVA04G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARWVAKYTKGLVDVLHENNISHYRTQNGWRTDGWRKIVSEFNDRYPDAKFTKVQIQEHETQLKRDYRLVKLILQREGVTWDQNASMIRTTDEIWDEIIEEMPKARKYQFKSFPLLQSLEVLFEGDIPEGEHNLMPSKPQLAGRNVDEGGNNMSTAPSIPGRPCSTVIAGIDDGENNIGILQRTPELGQQGLDDVDILQNPTEEVLERPQHGADPKPQSADEPAHSSSCIEPQKDKRKKRKVPDIQQTMEAFLEFRMKQARLKEQAKKEKKDGEPFSISTCIKALHSMTDVSDQVKILASDVFKDAANREIFLSYDLRLRTLWIKREVNRLLT >ONIVA04G13290.1 pep chromosome:AWHD00000000:4:15787570:15790960:-1 gene:ONIVA04G13290 transcript:ONIVA04G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHLAAAAAAASPAAARSPAASSAATASAFARLSAMPRVASGGLAVRGQRGVAAVVAAAAGAAAATPVADFEERRATEKQPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKRKNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVRYDEELGKALFNGLPNPFTAARYHSLVIEQETFPHDALEATAWTEDGLIMAARHKKYRHIQGVQFHPESIITPEGKRIILNFVRFIEELEKQRAGEKN >ONIVA04G13280.1 pep chromosome:AWHD00000000:4:15782629:15785218:-1 gene:ONIVA04G13280 transcript:ONIVA04G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDGGGLPLLADKAASHSHHHHPERHFTSGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAKSEADHYQREMKREQEEIIAVPDTEAAEIGEIMSQYGLEPHEYGPVVDGLRRNPQAWLDFMMRFELGLEKPDPKRAIQSALTIALSYVIGGLVPLLPYMFISTAQNAMLTSVGVTLVALLFFGYIKGRFTGNRPFLSAVQTAIIGALASAAAYGMAKAVQTR >ONIVA04G13270.1 pep chromosome:AWHD00000000:4:15779882:15785700:1 gene:ONIVA04G13270 transcript:ONIVA04G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09680) TAIR;Acc:AT1G09680] MQRALRLRHRPRRPPPVPAQAPPPSPRPWYAAPPPPPQAAAADPLLVAASEVALALPVHPAPLPSAAPAPLLRLLPAFTSDHFLSLLRLNPLSLPPLPLHSLFRLLLVASPPGLFRHTPASFLSMACHLLRHRLPHLAHPLLRLLASRLGRSSPPRVLPLLLSAAAAAPGDPASLLSALSSAYAEEGLLPDACTLVLLALRRGIRLEPTSCTGLMSRFPTAPEACAFYLQLLDAGLPPEAKLFNVLMRDLVRLGLVIWTVRKLCTEGCRRQVSRRMCTLMGLCRVGRIEDARGVFEKMCGRGMKPNAVVFTILIDAHCKKGDAETMLELQREMRERGVRPDAVTYNAIVNGLCRARDLKSASGIVVEMRSAGLRPDTVTYTTLIDGYCKEEELDMAMEIKQNMVAEGVGLDEVTYTALISGLSKAGRSADAKRVLGEMMEAGLEPDNTTYTMVIDAFCRKGDVKTGLRLLKEMQNKGRKPGVVTYNVIMNGFCKLGQMKNADMLLNAMINIGVSPDDITYNILLDGHCKHGKVTDIEELKSAKGTVPDLGVYTSIVGD >ONIVA04G13270.2 pep chromosome:AWHD00000000:4:15779882:15781711:1 gene:ONIVA04G13270 transcript:ONIVA04G13270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09680) TAIR;Acc:AT1G09680] MQRALRLRHRPRRPPPVPAQAPPPSPRPWYAAPPPPPQAAAADPLLVAASEVALALPVHPAPLPSAAPAPLLRLLPAFTSDHFLSLLRLNPLSLPPLPLHSLFRLLLVASPPGLFRHTPASFLSMACHLLRHRLPHLAHPLLRLLASRLGRSSPPRVLPLLLSAAAAAPGDPASLLSALSSAYAEEGLLPDACTLVLLALRRGIRLEPTSCTGLMSRFPTAPEACAFYLQLLDAGLPPEAKLFNVLMRDLVRLGLVIWTVRKLCTEGCRRQVSRRMCTLMGLCRVGRIEDARGVFEKMCGRGMKPNAVVFTILIDAHCKKGDAETMLELQREMRERGVRPDAVTYNAIVNGLCRARDLKSASGIVVEMRSAGLRPDTVTYTTLIDGYCKEEELDMAMEIKQNMVAEGVGLDEVTYTALISGLSKAGRSADAKRVLGEMMEAGLEPDNTTYTMVIDAFCRKGDVKTGLRLLKEMQNKGRKPGVVTYNVIMNGFCKLGQMKNADMLLNAMINIGVSPDDITYNILLDGHCKHGKVTDIEELKSAKGTVPDLGVYTSIVGEIVKKKTTKNYHDK >ONIVA04G13260.1 pep chromosome:AWHD00000000:4:15776921:15777838:1 gene:ONIVA04G13260 transcript:ONIVA04G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNRISGGGGGGGGFLKPLAGVSFAFMPGVGAFYFLVGSVLGFLAMVYSSESDEAGGDWASAERWVALARSVSAPQMFVGIPLLLLATGVWRLGKRCETVEGLVGNADATVQALRVGGVVCAVCGTKILALKKKGGLPPSPTPSPARSKGSCSDKPVARSLAAELEQEADAEEDECAAAGDANGGCGSGAEEGGSVERLRRRLAAERRRREAALEELEKERRAAASAADEAMAKIACLRNEKALVEREARQFREMAQQKQMYDRQQIESLQWVIQRFGMPCGEAEVSSERAVSETSEDDRDRK >ONIVA04G13250.1 pep chromosome:AWHD00000000:4:15768620:15770713:-1 gene:ONIVA04G13250 transcript:ONIVA04G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPAAAAAALLLLLALSAGANDIASDAAALQAFIAPFGSATVSWNTSQPTCSWTGVVCSGGRVVEVHLPGVGLRGNVPVGALGGLDKLAVLSLRYNALSGPLPSDLAKCAELRVINLQSNHFSGELPPEILALPALTQLNLAENRFSGRIPASIAKNGRLQLLYLDGNLLTGELPNVNMPLLTSFNVSFNNLTGGIPSGLSGMPATSFLGMSLCGKPLAACRTPISIPPSQAPALSPEGAVSAGGRGRGGRRLAGGAIAGIVIGCALGFLLVAGVLVLACGALQRKPRPHHSRDVAAELALHSKEAMSPSVYTPRVSDARPPPPPAAVVPAIQPAVAANVAGKKKLFFFGRVPRPYDLEDLLRASAEVLGKGTYGTTYKAALETGPVVAVKRLKETSLPEREFRDKVAAIGGLDHPNVVPLQAYYFSKDEKLMVYEFVAMGSLSSMLHGNRGSGRSPLLWESRRRIALASARGLEYIHATGSKVVHGNIKSSNVLLSRSSVDARVADHGLAHLVGPAGAPSSRVAGYRAPEVVADPWRLSQKADVYSFGVLLLELLTGKAPTHAVLHDDEGVDLPRWARSVVREEWTSEVFDTELLRHPGAEDEMVEMLRLAMDCTVTVPDQRPAMPEIVVRIEQLGGAGSARTARSVSMDDADDRPLRPAGSTRES >ONIVA04G13240.1 pep chromosome:AWHD00000000:4:15764741:15765919:-1 gene:ONIVA04G13240 transcript:ONIVA04G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPAAVSFLTNIAKAAAGLGAAASLLSASLYTVDGGERAVIFDRFRGVLPETVGEGTHFLVPWLQKPFVFDIRTRPHNFSSNSGTKDLQMVNLTLRLLSRPDVVHLPTIFTSLGLEYDDKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDALIRRAREFNIILDDVAITHLSYGIEFSQAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESESARLISEATAAAGTGLIELRRIEAAREIAAELARSPNVAYVPAGDNGRMLLGLNAAGGVSLVTDVALLN >ONIVA04G13230.1 pep chromosome:AWHD00000000:4:15756553:15758513:-1 gene:ONIVA04G13230 transcript:ONIVA04G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASEELERRSRYLSSLVRRTKLADPPEPEPEPEPEPEREREREVAAKESGGGEGKGGKVVEEKEVKAAKEKKEKEKEAKVPRGEGGNGEEKKVAVRVRAADMPPALQRRAIRVALEATAAMPRIDSKRLALALKKEFDTTYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKLYILLFRTAVEPLSYQR >ONIVA04G13220.1 pep chromosome:AWHD00000000:4:15752215:15760393:1 gene:ONIVA04G13220 transcript:ONIVA04G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDTAEEIREAPKRDSSEGQ >ONIVA04G13220.2 pep chromosome:AWHD00000000:4:15752215:15760393:1 gene:ONIVA04G13220 transcript:ONIVA04G13220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDTAEEIREAPKRDSSEGQ >ONIVA04G13220.3 pep chromosome:AWHD00000000:4:15752222:15760393:1 gene:ONIVA04G13220 transcript:ONIVA04G13220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDTAEEIREAPKRDSSEGQ >ONIVA04G13210.1 pep chromosome:AWHD00000000:4:15746513:15748538:-1 gene:ONIVA04G13210 transcript:ONIVA04G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRDVPQRHRHGRRRRAQLAVRHGSPRMGAGDGGAGGVVGDDAVHAAAADRAARVRARGAVRPVPRPRRARAGPAPGALARRAAAAHRAARLRRGVHGHRREMPHEVRRVGVVVVARAAAAPPVLLDLHLRRLPVPPLPAPQPRLHHRRLPRRRRHVRRLLDDIMGGVLGEGYSRGGGGRWWGGELRVQGRDGGGLGVPGVQRAGAGGIRVRRARGGAGDPGDDPVHADEAVEGGHVEGRRGGVPGHRALLLPRRDRGLLGVRPRRLRQRARRAAAPAVARRRRQHDGRRPRPRQLPGVRHAHLRDPRDDSDHQDQAPSRGAPPPRRPISLRRVHAVRRGDVPVLRRPARLLRRLRVHADVLLPPLYSVAEDQEASEVQRVVVCQLGLHRRWSAVDDRFHHRRATKHHPGCLDVPVLLVMGDDAYVLT >ONIVA04G13200.1 pep chromosome:AWHD00000000:4:15742352:15744046:-1 gene:ONIVA04G13200 transcript:ONIVA04G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARTLALAFPLPLCAVSSPRRATPLALPRRGAARPLASLAQCPARGHSPSPRRAAGRALAPCAATRGSGGGGGGGEFASDDGGGARALLRATLWGAEAAYILWLFLLPYAPGDPVWGISQATISDLIGLSLNFFLILPLLNSAGVHLLESPVLHPVAEGLFNFVIAWTLLFAPLLFTDSRRDRFKGSLDLLWGFQMFLTNTFLIPYMAIRLNDPDKDKSPPQTSKLGSVMVRGAPIVGLTGGLVCILSIAWALFGRADASFGGIAERWQYVQSYVFSERLAYAFLWDILLYSIFQPWLIADNIQNVKASATEFVNSVRFLPVIGLVAYLFCLEDRDD >ONIVA04G13200.2 pep chromosome:AWHD00000000:4:15742352:15744046:-1 gene:ONIVA04G13200 transcript:ONIVA04G13200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARTLALAFPLPLCAVSSPRRATPLALPRRGAARPLASLAQCPARGHSPSPRRAAGRALAPCAATRGSGGGGGGGEFASDDGGGARALLRATLWGAEAAYILWLFLLPYAPGDPVWGISQATISDLIGLSLNFFLILPLLNSGTPRHSNLKFTALRPLILLVSLLGSVAGVHLLESPVLHPVAEGLFNFVIAWTLLFAPLLFTDSRRDRFKGSLDLLWGFQMFLTNTFLIPYMAIRLNDPDKDKSPPQTSKLGSVMVRGAPIVGLTGGLVCILSIAWALFGRADASFGGIAERWQYVQSYVFSERLAYAFLWDILLYSIFQPWLIADNIQNVKASATEFVNSVRFLPVIGLVAYLFCLEDRDD >ONIVA04G13190.1 pep chromosome:AWHD00000000:4:15741298:15742331:1 gene:ONIVA04G13190 transcript:ONIVA04G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVVAACVVVVAAALLLVTAPGAAAQPGGASSGSGCNAGLIRLLPCLGFVGGNDAAPSNTCCANLGSMVHDEPLCLCQALSQSGGGGAIPVPVNRTRAVQLPLLCRLDLPPAAAACPGFDLGGAAPSPPVSVPRSTPNSTAPSTPTPVTVTRAPPQQMTPSPKTSSQTPEYSSGLKLIADCVPVALGFMALVSALTF >ONIVA04G13180.1 pep chromosome:AWHD00000000:4:15739075:15741194:-1 gene:ONIVA04G13180 transcript:ONIVA04G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLIFLGAPIRKELCVELGRAPAVAGTKLGGGTACALRLFERRTADRGMETWSHGEIGAAIKKRYTDRVVLVYGVELPTCHSAIKCWYEMEIVTLVSCLGLALRAILILMISDAMLD >ONIVA04G13170.1 pep chromosome:AWHD00000000:4:15732554:15735446:-1 gene:ONIVA04G13170 transcript:ONIVA04G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1R5] MSSSARGITLLCLLLIVSSTVLHFSIGGGSNGEKRRDDGDGDGDDEKVRLLLGANALGERDRRHGHGHGGGVSSAPAPSPAPARTHLPPPLLHKNARLPDPVPGRVGLGHRRGNATAAHRRRSEREGKKSTPLVVVAAGAALSGAAAVLLVVLVVFLACRRFQRRAMPGADQSGTNKVSFDPGPDVFYLDAVKPYVEADHGGGGGVVKTAPELAGPKEEPRCEEEDSGVALSDDGADSVHSSCCFHSSHFSYSELRDTKPGSNGVSPSPSGRSRRRSSAPVTPSEKNKAASPYSPQCPRTPSNRERSSRAHSPSSSVSDLTSVSTSVVKDHEVRRAVHSLRFPEAQSGGAGHAKEDEAESGNMRPPPPPPPPPPPPPPPPPPPPPPPPAVTHQQDVKTSCGPAVPPPPPPPPPPPPPLLAPKQQSSGGPILQPAPAPPPLFRPWAPAVGKNGAPLPKLKPLHWDKVRAAPNRRMVWDRIRSSSFEYVHPKPTPSPLRLRTSSRLDEKMIESLFGYNARCSTKHEEVQSRSPSLGHHVLDTKRLQNFTILMKAVSATAEQIFAALLHGNGLSAQQLEALIKMAPAKDEADKLSAYDGDVDGLVPAERLLKVVLTIPCAFARVEAMLYRETFADEVGHIRKSFEMLEEACRELMSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDALLKLADVKGTDGKTTLLHFVVQEMTRSRAAEAADIAAGLGAELTNVRKTATVDLDVLTTSVSGLSHGLSRIKELVGSDLSGDERNQCFVAFMAPFVAHAGEVIRELEDGERRVLAHVREITEYYHGDVGKDEASPLRIFVIVRDFLGMLERVCKEVRGAKNCHGGNPALNLNNV >ONIVA04G13160.1 pep chromosome:AWHD00000000:4:15713869:15714910:-1 gene:ONIVA04G13160 transcript:ONIVA04G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYPAGGEQPSGRLVVLRPLRLLRRRRRLLPDLLLPVRRLREDRGDRRPGSHIVLRERDAVYVAGDGDGVRVRLLLLLPLQAAPAVRAPGEALRRLLRPLVLRALRPLPGVPRAQEPRLRHVPRGTWRGWGRASRLPRRRCTRG >ONIVA04G13160.2 pep chromosome:AWHD00000000:4:15714567:15714910:-1 gene:ONIVA04G13160 transcript:ONIVA04G13160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYPAGGEQPSGRLVVLRPLRLLRRRRRLLPDLLLPVRRLREDRGDRRPGSHM >ONIVA04G13160.3 pep chromosome:AWHD00000000:4:15713867:15714426:-1 gene:ONIVA04G13160 transcript:ONIVA04G13160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFACAYSCCYRSRLHQQYGLQEKPCGDCCVHWCCGPCALCQEYRELKSRGFDMSLGEHGEDGEGRRDCPAADAPGDDSLVRVCVRGHVGLRCADQASACVGSVASSRQGMPTCKVDVKLVFSFCYISSCRESHEL >ONIVA04G13150.1 pep chromosome:AWHD00000000:4:15694443:15702163:1 gene:ONIVA04G13150 transcript:ONIVA04G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVIDRYGRAKEEQQHVANPNSELKFWQREAASLRQQLHNLQENHRQLMGQDLSGLGVKELQTLENQLEMSIRCIRTKKDQLMIDEIHELNRKGSLIHQENMELYRKVNLIRQENAELYKKLYETGAETEANRDSTTPYNFAVIEEANTPARLELNPPSQQNDAEQTAPPKLG >ONIVA04G13150.2 pep chromosome:AWHD00000000:4:15694151:15702163:1 gene:ONIVA04G13150 transcript:ONIVA04G13150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVIDRYGRAKEEQQHVANPNSELKFWQREAASLRQQLHNLQENHRQLMGQDLSGLGVKELQTLENQLEMSIRCIRTKKDQLMIDEIHELNRKGSLIHQENMELYRKVNLIRQENAELYKKLYETGAETEANRDSTTPYNFAVIEEANTPARLELNPPSQQNDAEQTAPPKLG >ONIVA04G13150.3 pep chromosome:AWHD00000000:4:15699448:15702163:1 gene:ONIVA04G13150 transcript:ONIVA04G13150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLSGLGVKELQTLENQLEMSIRCIRTKKDQLMIDEIHELNRKGSLIHQENMELYRKVNLIRQENAELYKKLYETGAETEANRDSTTPYNFAVIEEANTPARLELNPPSQQNDAEQTAPPKLG >ONIVA04G13150.4 pep chromosome:AWHD00000000:4:15700259:15702163:1 gene:ONIVA04G13150 transcript:ONIVA04G13150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLSGLGVKELQTLENQLEMSIRCIRTKKDQLMIDEIHELNRKGSLIHQENMELYRKVNLIRQENAELYKKLYETGAETEANRDSTTPYNFAVIEEANTPARLELNPPSQQNDAEQTAPPKLG >ONIVA04G13150.5 pep chromosome:AWHD00000000:4:15694336:15695348:1 gene:ONIVA04G13150 transcript:ONIVA04G13150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLVIFSSTGRLYEYASTSMKSVIDRYGRAKEEQQHVANPNSELKVCLDDCY >ONIVA04G13140.1 pep chromosome:AWHD00000000:4:15681218:15683075:1 gene:ONIVA04G13140 transcript:ONIVA04G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMSVHPAATPALASRARISRPKLSAASPCTTSSSSTPRLVHLGSRRLPLRSLRGLAAAAASGAVEAEEGEEEKQVGGGDASAGEEAQEYKVKVPERQDPMLVLKFIWMEKNIGIALDQLVPGYGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST >ONIVA04G13130.1 pep chromosome:AWHD00000000:4:15677961:15680548:1 gene:ONIVA04G13130 transcript:ONIVA04G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRSDVAGAGGGGGGAAGGAAGAQHAKAAAHLSHTAQWESARLEAEARLAREAKLRALAASATPGAPHLPAPPASAAAAAAAAHGLDSPTSTLSFSESAVLATVLEAHGAAAAAAARAAMQPMQAYDEACKDQHWGDVDAADVGFPGAGAGFTGLLLEGSLNQIPRPAGRDAEADGEFQETEEEKNYWNSILNLVNSSSAPMSTAVVVPASHAYSPAPDF >ONIVA04G13120.1 pep chromosome:AWHD00000000:4:15671114:15671386:-1 gene:ONIVA04G13120 transcript:ONIVA04G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHVSKEKKGRRAANDGGKTSSRRWWETSSRRRGEEQLVTGEEEQPATGGNKQLPTNGDEQPAKEGAGAHARMAMEDGRSEVPGEQPKP >ONIVA04G13110.1 pep chromosome:AWHD00000000:4:15662108:15664346:-1 gene:ONIVA04G13110 transcript:ONIVA04G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASSSAAAATSSAAVTTSSAAAAAAAVATAASSSAAMDWYLDAVRGVATTEKALGFFKEKASITHAKSFWFYKHIPLVYYTIFTMILLPTRRSKGMERARAYVYMRQVVVRCTDAVARWPGVKVQRYEYDRTVDEPDVSMLDRLPAAFSLDRPHRASHSINGPGVCKAEMPHMATGLSSLFRWASTTVHQYIKAPKTCRPD >ONIVA04G13100.1 pep chromosome:AWHD00000000:4:15655130:15657699:1 gene:ONIVA04G13100 transcript:ONIVA04G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQGQAGMDLPPGFRFHPTDEELITHYLAKKVADARFAALAVAEADLNKCEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATESGYWKATGKDKDIFRRKALVGMKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLHAAALGLLHGKPASSKNEWVLCRVFKKSLVEVGAAGGKKAAVVTMEMARGGSTSSSVADEIAMSSVVLPPLMDMSGAGAGAVDPATTAHVTCFSNALEGQFFNPTAVHGHGGGDSSPFMASFTQYGQLHHGVSLVQLLESCNGYGGLVDMAASGSQLQPAACGGERERLSASQDTGLTSDVNPEISSSSGQKFDHEAALWGY >ONIVA04G13100.2 pep chromosome:AWHD00000000:4:15655156:15657699:1 gene:ONIVA04G13100 transcript:ONIVA04G13100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQGQAGMDLPPGFRFHPTDEELITHYLAKKVADARFAALAVAEADLNKCEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATESGYWKATGKDKDIFRRKALVGMKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLHAAALGLLHGKPASSKNEWVLCRVFKKSLVEVGAAGGKKAAVVTMEMARGGSTSSSVADEIAMSSVVLPPLMDMSGAGAGAVDPATTAHVTCFSNALEGQFFNPTAVHGHGGGDSSPFMASFTQYGQLHHGVSLVQLLESCNGYGGLVDMAASGSQLQPAACGGERERLSASQDTGLTSDVNPEISSSSGQKFDHEAALWGY >ONIVA04G13090.1 pep chromosome:AWHD00000000:4:15652037:15654142:1 gene:ONIVA04G13090 transcript:ONIVA04G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGDASAVRARVRSGDYFFFHFFPVDVDVDRLLPFVDRCGSSFFKSLYQPVTNVLSLGYRIIVPLPVCHRFDWLISWEILLLRV >ONIVA04G13080.1 pep chromosome:AWHD00000000:4:15645075:15650607:1 gene:ONIVA04G13080 transcript:ONIVA04G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor of nitrate reductase and xanthine dehydrogenase 3 [Source:Projected from Arabidopsis thaliana (AT1G01290) TAIR;Acc:AT1G01290] MSVLRSVLPTVARNNGWRCFATGFPSDTIAELNKMESVFGESPSPSPLGSTPQQPERPTNGSGDGQPMLTHIDSSGQAKMVDVSSKHDSTRVAIATCRVLLGQEAFDLVASNKIAKGDVLTVAKIAGITGAKQTSNLIPLCHNLNLSHVRVDLTLNEEDSSVMIEGEASTSGKTGVEMEAMTAVAIAGLTVYDMCKAASKNICITDICLQHKSGGKSGSWSRS >ONIVA04G13070.1 pep chromosome:AWHD00000000:4:15643670:15645073:1 gene:ONIVA04G13070 transcript:ONIVA04G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1P8] MTKDGSVMEHGEISSKAPLVAPVAAGVNRAVAVVDTFLRFIAIIGTIGSAIAMGTTNETLPFFTQFIQFEAKYSDLPSFTFFVAANAVVCTYLVLSIPLSIVHILRPRARYSRLFLVFFDTAMLALLTAGASAAAAIVYLAHKGNVRANWFSICQQFDSFCERISGSLIGSFAAMVLLVVLITLSAFALARRH >ONIVA04G13060.1 pep chromosome:AWHD00000000:4:15631174:15641817:1 gene:ONIVA04G13060 transcript:ONIVA04G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGTARTCMNGLNALSGVGLLTVPYALSEGGWVSLALLAAVAAACWYTGILLCRCMDADDAIRTYPDIGERAFGRTGRLLVSAFTYVELYLVATGFLILEGDNLDKLFPGARVTLGTVSLAGKRLFVVLVALVVAPTTWLRSLGVLAYVSATGVFASVVIVLSVLWAAAVDGVGFSGRGTTTPLRIAGLPTALGLYIFCYGGHPMFPTLYTSMKRKSQFPKMLVICFLLCTLNYGAMAVLGYLMYGDGVLSQVTLNLPSARLSSKVAIYTTLLNPVTKYALVVTPIAAAVEERIRGAAGKGARAVSVSVRTLLVLSTVAVALALPFFADLMALVGSMLNVAVCMLLPCACYVRIFGAPSMSSVEAVAIGGILVLGSLVAVTGTYYSLMKIIHELVRMAIGGAAPPHPPRGGGGGSPEDSSTARRPDFEQPLLQAHAAVPARGKQEPVERDHEAQCSPEADGDGATFVRTCFNGLNALSGERWAAVDSGGWLSLVLLLAVAMVCCYTGLLLRRCMAASPAVRGYPDIGALAFGAKGRLAVSAFLYAELYLVAIGFLILEGDNLDKLFPGTSLAVGGLVVSGKQLFVVVVAVVILPTTWLRSLAVLAYVSASGVLASVVVVFCVLWAAVFDGVGFHGKGRMLNVSGLPTALGLYTFCYCGHAIFPTLCNSMQEKDKFSRVLVICFVACTVNYGSMAILGYLMYGDDVKSQVTLNLPEGKISSKLAIYTTLINPFSKYALMVTPVATAIEEKLLAGNKRSVNVLIRTLIVVSTVVIALTVPFFGHLMALVGSLLSVMASMLLPCICYLKIFGLTRCGRGETLLIAAIIVLGSLVAATGTYSSLKKIFYEF >ONIVA04G13050.1 pep chromosome:AWHD00000000:4:15623526:15623849:1 gene:ONIVA04G13050 transcript:ONIVA04G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLNLALAVLVLVVVLLASFSDEVLAVRTPAVFAASRRSASPPTERPRELVEGGNAVAATATFDASVKAAAATATATGSSPSKVFDPDRMSKRRVRRGSDPIHNKC >ONIVA04G13040.1 pep chromosome:AWHD00000000:4:15614616:15622094:1 gene:ONIVA04G13040 transcript:ONIVA04G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain [Source:Projected from Arabidopsis thaliana (AT5G39830) TAIR;Acc:AT5G39830] MPVHLSHPAIPHDTVSPSRPRIGVRFQIISLHGGRSQATHARTPTTPSIRDPAGLWIACSEPGMHCLACAAPAARAPGSRVGGGGRRRMAIECAASSPFTRDGEETAPRSMMETYGEMSSKPVLLASRRKLVALSSFCFCLHSSRYFSALALGDPSVKIDDVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNVTGVVEIPEGNGSGVVWDDSGHIVTNYHVVGNALSKKPKPGEVVARVNILAADGIQKNFEGKLVGADRSKDLAVLKVDAPTDLLKPINVGQSSALRVGQQCLAIGNPFGFDHALTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVRRAGLNVEFAPDPIAYQLNVRTGSLILQVPGGSAAAKAGLVPTSRGFAGNIVLGDVIVAVDGKPIKGKSDLSRVLDDYGVGDKVSLTIQRGAETLEVTLPLEEASI >ONIVA04G13040.2 pep chromosome:AWHD00000000:4:15614616:15622094:1 gene:ONIVA04G13040 transcript:ONIVA04G13040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain [Source:Projected from Arabidopsis thaliana (AT5G39830) TAIR;Acc:AT5G39830] MPVHLSHPAIPHDTVSPSRPRIGVRFQIISLHGGRSQATHARTPTTPSIRDPAGLWIACSEPGMHCLACAAPAARAPGSRVGGGGRRRMAIECAASSPFTRDGEETAPRSMMETYGEMSTLALGDPSVKIDDVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNVTGVVEIPEGNGSGVVWDDSGHIVTNYHVVGNALSKKPKPGEVVARVNILAADGIQKNFEGKLVGADRSKDLAVLKVDAPTDLLKPINVGQSSALRVGQQCLAIGNPFGFDHALTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVRRAGLNVEFAPDPIAYQLNVRTGSLILQVPGGSAAAKAGLVPTSRGFAGNIVLGDVIVAVDGKPIKGKSDLSRVLDDYGVGDKVSLTIQRGAETLEVTLPLEEASI >ONIVA04G13030.1 pep chromosome:AWHD00000000:4:15608307:15611781:-1 gene:ONIVA04G13030 transcript:ONIVA04G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIAAALLRRSTSSQHHRRILLLPLLSHLQRAAPRSPSPWDPPPHHRFFFSSDVTAEGDSKPRPPLDGKQLWREVSTSEPATGASRLPKATWDAVVALLRRFGKDPAMSDQALALYIPASAFPTYARRFRHFLPARLSLESAEHLLSLPADDAHALLLPAFAEFCVTHLADELRKHESVMAAADLTAPHAWYPFARAMRRRVVYHCGPTNSGKTHNALTRFAAAKSGVYCSPLRLLAMEVFDKVNALGVYCSLRTGQEIKEVPFSNHVACTIEMLSTEEPYEVAVVDEIQMMADPVRGYAWTRAVLGLKADEIHLCGDPSVLKIVRKICADTGDDLEVHQYERFKPLVVEAKTLLGDLKNVRSGDCIVAFSRREIFEVKLAIEKFTKHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYSLAKYNGDRMVPVAASQVKQIAGRAGRRGSIYPDGLTTTFLLDDLDYLIQCLQQPFEEAKKVGLFPCFEQVESFAIQFPDLTFNELLDKFRENCRVDSTYFMCHQESIKKVANMLERIQGLSLKDRYNFCFAPVNIRDPKAMYHLLRFATNYSQSRRVSIAMGMPKGSAKNDTELLDLETKHQVLSMYLWLSHHFEEDHFPHVQKAEEMSINIADLLAKSLAKASWKPTSRQQAKPRRENEEDNDVEQASDDNAKNDSEDGYERSISRIKPFMRKRLDRLSQDPSSLNFVA >ONIVA04G13020.1 pep chromosome:AWHD00000000:4:15603486:15606723:-1 gene:ONIVA04G13020 transcript:ONIVA04G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQKGKKPRTDGAEAEPVDAALLQSIEKLQEIQDEIEKVNEEACDKVLELEQKYNEVRRPVYVRRNKIIKQIPDFWLTAFLSHPMLGELLTEDDQKIFKHLESIDVDDSEDIKSGYSITLTFSPNPYFEDTKLTKTYSFSDDEAVKVKATSIRWKKGMDIANDRAYTKKGDKRILIDESFFTWFNSEKNRSFAHGAMDEVADVIKEDLWPNPLKYFNNEFEEELELLDDDDEVSDDDDEEEDDEDQGEGEEDGEEN >ONIVA04G13010.1 pep chromosome:AWHD00000000:4:15599286:15602067:-1 gene:ONIVA04G13010 transcript:ONIVA04G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT1G69680) TAIR;Acc:AT1G69680] MSGERCAGRPLFGGAISSTFPVRFQVLPRLPHLPPCEAAASRSFTLYCAYRPDVSNIRQVPDHQEVFVDPARDESLIFELLDLKGEVEDGGSALWFLRDIANEHDAGDNLVVEHSGTIELGGLRFGDAPAVAGTAVGQLAISKGRQGREAQNIVRLYLANIRLKNAATDVVITAYEPLLINPLSESASAVAAGPAVPAEQAGCLAMSEIFKLAVMNFNCHQQTPVELEYHAPRIRMTNM >ONIVA04G13000.1 pep chromosome:AWHD00000000:4:15597109:15600259:1 gene:ONIVA04G13000 transcript:ONIVA04G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1P0] MASPMLSAATVPLQGGGLSEFSGLRSSSSLPLRRNATSDDFMNAVSFRTHAVGTSGGARRAPTEAKLKVAINGFGRIGRNFLRCWHGRGDSSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDNAISVDGKVIKVVSDRNPSNLPWGELGIDLVIEGTGVFVDRDGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADQYSPDEPIISNASCTTNCLAPFVKILDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDSAANELKGILEVCDVPLVSVDFRCSDVSCTIDASLSMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANQWK >ONIVA04G12990.1 pep chromosome:AWHD00000000:4:15589132:15589797:1 gene:ONIVA04G12990 transcript:ONIVA04G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCEVADPATGRRKAADPQRRWQAEAVEVAGARQQGRRGGGDRRGSAAASSRRRRLRPTGLGSGNGDGGGDFKEDDAGDSDSLYL >ONIVA04G12980.1 pep chromosome:AWHD00000000:4:15574638:15580756:-1 gene:ONIVA04G12980 transcript:ONIVA04G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESGSCGGGGGGGGGCEAVKKRVDQSVAFHELFGFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELINGFGKNQHSLRRMTDEYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDPADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPKGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYFRDPNAMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAAILRNDVGWFDQEENNSSLVAARLSTDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVAVLILVTFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKVLSLFCTELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWYGAHLVRHHVSTFSKVIKVFVVLVITANTVAETVSLAPEIVRGGESIRSVFAILNYRTRIDPDEPETEPVESVRGDIDFRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMIDGKDIRRLNVRSLRLKIGLVQQEPVLFATSIFENIAYGKDGATEEEVIEAAKVANMHGFVSALPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGELVSRPDGAYSRLLQLQLQHG >ONIVA04G12980.2 pep chromosome:AWHD00000000:4:15574638:15580756:-1 gene:ONIVA04G12980 transcript:ONIVA04G12980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADESGSCGGGGGGGGGCEAVKKRVDQSVAFHELFGFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELINGFGKNQHSLRRMTDEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDPADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPKGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYFRDPNAMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAAILRNDVGWFDQEENNSSLVAARLSTDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVAVLILVTFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKVLSLFCTELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWYGAHLVRHHVSTFSKVIKVFVVLVITANTVAETVSLAPEIVRGGESIRSVFAILNYRTRIDPDEPETEPVESVRGDIDFRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMIDGKDIRRLNVRSLRLKIGLVQQEPVLFATSIFENIAYGKDGATEEEVIEAAKVANMHGFVSALPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGELVSRPDGAYSRLLQLQLQHG >ONIVA04G12970.1 pep chromosome:AWHD00000000:4:15574111:15574326:-1 gene:ONIVA04G12970 transcript:ONIVA04G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRISFTSKYGAVEEERHRGLAPSPMIGTLSDLRPPVAGSPPPSLSDLQLSKASSRLREAVGPRPRMRT >ONIVA04G12960.1 pep chromosome:AWHD00000000:4:15570394:15572100:-1 gene:ONIVA04G12960 transcript:ONIVA04G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1N5] MAQKFLLGGVGAILVVAVVVGVVATVTRSGNKAGDNFTVPGEANLATSGKSVESLCAPTLYKESCEKTLTTATSGTENPKEVFSTVAKSALESIKSAVEKSKAIGEAKTSDSMTESAREDCKALLEDSVDDLRGMIEMAGGDVKVLFSRSDDLEHWLTGVMTFMDTCADGFADEKLKADMHSVLRNASELSSNALAITNTLGAIFKKLDLDMFKGENPIHRSLIAEQETVGGFPSWMKAPDRKLLASGDRNRPQPNAVVAQDGSGQFKTIQEAVNSMPKGHQGRYVIYVKAGLYDEIVMVPKDKVNIFMYGDGPKRSRVTGRKSFADGITTMKTATFSVEAAGFICKNMGFHNTAGAERHQAVALRINGDLGAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEYSRLVIMESTIADFIKPEGYMPWNGEFALNTLYYAEFNNRGPGAGTSKRVNWKGFRVIGQKEAEQFTAGPFVDGGTWLKFTGTPHFLGFKV >ONIVA04G12950.1 pep chromosome:AWHD00000000:4:15568394:15569682:-1 gene:ONIVA04G12950 transcript:ONIVA04G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQRQDSFDDLINLADDVVVEAPTLSSEEMDRARREALEILRNNSPEEAFRIFTQGLIGQVVQSPVVGNATTPTTNQAVTVSVPPKAGDGEPKTAPRPPNN >ONIVA04G12940.1 pep chromosome:AWHD00000000:4:15561907:15566095:1 gene:ONIVA04G12940 transcript:ONIVA04G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1N3] MKGSDQSKRSHASTRAAQVSVRNQAGPVPPRTNSSEIAAGIRLYLHLYQETIENELHASLSSRPVSCPRRIALLTMAGARLSLAFLCLVVVVVASALAGGAGARKTVGEYVLRKGDFSVKITNWGATMMSVVLPDSKGNLADVVLGLDTIAEYVNDTNYFGPVTGRVGQRIARGRFVLDGKVYHTYINDGRNAIHGGHRGFSKVIWTVKEYVGGGDSPYITLYYRSFDGEQGFPGDLDAYVTYQLSSPYVLALRMNATALNKATPVNFLQHTYWNLGGQGRGDVLGHTLQLSASRYTPLDEELLPSSGVVAPVAGTPYDFRHPTPIGARIRQVMGGRIAGYDINYVIDGEGMRKVAAARDGASGRALELWANQPAMQLYTGNWLNNTKGKGGKVYQQYGGFCLETQGYVDAVNHPEFPSMTVRPGQVYRHDMAFKFSF >ONIVA04G12930.1 pep chromosome:AWHD00000000:4:15557815:15558411:1 gene:ONIVA04G12930 transcript:ONIVA04G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATAAGKATPVNLAQHSYWNLGGAGSGDILGNTVQLFASRYTPVDAELIPTGQVAPVAGTPYDLRAPTPVGARVHLVTGGLSKTGATIYGFDTNYAVDGGDDVDAHAHALRRVAVVRDGKSGRSLELWANQPGVQFYTGNFLTADVKGKGGKAYGQYGALCLETQGFPDAVNHPNFPSVIVRPGQVYKHEMVYKFSF >ONIVA04G12920.1 pep chromosome:AWHD00000000:4:15553352:15555644:1 gene:ONIVA04G12920 transcript:ONIVA04G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRSCTIHSPRKTPAAWARIASHRSESQDKIDRWTPDRCAKTLAHAARESRTNRSRWPRALGSRATFSRRRLGSTHEHFNFVTILSHPQVASCYYYYAFDYITYPSIRPRPATIPGRACRVPSVSVFLTRREREREREREREREQCWAMARPAPLLLLLAAVCLVAAASAGGADAAERKATTVGVYELRKGDFSIRVTNWGAVIMSVVLPDSRGKLDDVVLGYDTIAEYVNSSTYFGALVGRVANRIAKARFVLDGKAYHLYPNDGKNTLHGILEKFPEVQEIHGAWFISTNSGHRGFSNVTWTVKEHVGGGDAPYITLYYHSFDGEQGMVNANQQKYHLLSPFQNTTI >ONIVA04G12910.1 pep chromosome:AWHD00000000:4:15547358:15548169:-1 gene:ONIVA04G12910 transcript:ONIVA04G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQASVTLARESGGGEGGGVSLRSGARRAGSRSLRAEAEAGAEEAVCFAAFLVASLVREKGRSTGLRVEYVWNAGVRGEGEKSVGGLVACAGGAGRDGGVGWMDDGGITWRAWLAGRLRSAAARASHATDRQRPSCFFALLFLNE >ONIVA04G12900.1 pep chromosome:AWHD00000000:4:15546638:15552437:1 gene:ONIVA04G12900 transcript:ONIVA04G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDNQGEREVVKKASTSTSSQALSMVMEGEGNEAARSGALISGLHDSEAVMLIVGVVLRTSQPIISSLFFSYSVIQLSFALTPLSYCQAVMDNSFVDIPNQPPMNNPFVLMEPSAQSYMVNLGKSTPHMDCLGSAMANYGHGNQNIQCIDSITTRDDGCRLVLGLGPTPNFYSAECQPTGVDKLKEAPSLSGQGLTITDPGTLRLGLQMDVSQTIQPLQAPDGTVHSFTVVDEASASPSVRSIGGYMPSLLFAPRSGSSAVNETHEAETQDSLDLTHSDNDNTQHVQHHLQLSPEPSAMTDTSFGVSSDVVTATTTSEQRNHPRHPKKCRFKGCSKGARGASGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEELGCTKSAEGKTDYCIAHGGGRRCEHDGCPKAARGKSGRCIKHGGGKRCTVQGCIRSAEGKAGLCISHGGGRRCQFPDCSKGAQGSTLYCKGHGGGKRCIFDGCSKGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTEYCVAHGGGKRCSVAGCTKSARGRTDCCVKHGGGKRCKVDNCSKSAQGSTDFCKAHGGGKRCTWGTGCEKFARGRSGLCAAHGTLAAKQQERDAANNGAGMIPPGLFSGIVTVAATSSMTNEYSSSGISTASDCDGTVRSQAMMIPPQVLVPRSMMPSSSSEPTVHGGREGGCAVPEGRVHGGGLLSLLGGSFRNADMDKL >ONIVA04G12890.1 pep chromosome:AWHD00000000:4:15527896:15532556:-1 gene:ONIVA04G12890 transcript:ONIVA04G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARLLRRRRLCLAVAVVWVVAVAVSRVGANTEGDALYSLRQSLKDANNVLQSWDPTLVNPCTWFHVTCNPDNSVIRVDLGNAQLSGALVPQLGQLKNLQYLELYSNNISGTIPNELGNLTNLVSLDLYLNNFTGFIPETLGQLYKLRFLRLNNNSLSGSIPKSLTNITTLQVLDLSNNNLSGEVPSTGSFSLFTPISFANNKDLCGPGTTKPCPGAPPFSPPPPFNPPTPTVSQGDSKTGAIAGGVAAAAALLFAVPAIGFAWWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPNDPPLEWQTRTRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQSGFVEHEVESLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAELAPRHNDWIVDSTYNLRAMELSGPR >ONIVA04G12880.1 pep chromosome:AWHD00000000:4:15525707:15526659:1 gene:ONIVA04G12880 transcript:ONIVA04G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMFSARALAFAFAVVVMSSCVADGVRTLPAGGQGSAGGLHNGGTAPSAAAANGSTTAAYDDSGAGGQTATFQVQQGAQPEEEMTTEMGNAAEAATGSRLPDCTHACGPCSPCRRVMVSLRCAEAAESCPVAYRCMCRGRFFRVPTL >ONIVA04G12870.1 pep chromosome:AWHD00000000:4:15522491:15523056:1 gene:ONIVA04G12870 transcript:ONIVA04G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERGELRHQANNNLWHGLHRVGGGEPVGRRSPPLPKWEELMGRDWTYHIRSMSSFMKKDRDKNDYGQV >ONIVA04G12860.1 pep chromosome:AWHD00000000:4:15515465:15520439:-1 gene:ONIVA04G12860 transcript:ONIVA04G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARRLHKWAATARLVLLLLAAAAAAAAAAAGRREVVTSPHGAVAADDGRCSRIGRDALRDGGNAVDAAVAASLCLGVVSPASSGVGGGAFMLVRFADGTALAYDSRETAPLAASQDMYGGNETLKARGALSIAVPGEIAGLYEAWKRHGKLPWKRLVMPAAKLARAFRVSPYLRKQMEATRDGILQNKGISGVYTSNGDILNVGDVCRNIRLARTLVAVAEKGPDVFYKGAVGDQLVKDIQEVGGIITMEDLKKYQVKIRRPLLEKVLGLTVLSMPPPSAGGAGLMLVLNILTQYGLPAGFSGSLGIHRLIESLKHYFAIRMNLGDPEFVNVNEVVSDMMSPKFAADLKKTIYDNMTFDPKHYGGRWNILQDHGTSHLSIVDSERNAVSMTTTVNAYFGSLILSPSTGILLNNEMDDFSMPANTSANSPPPAPANFVRPLKRPLSSMTPTIILKDGNLKAAVGASGGSMIPAGTMEVLLNHFVKNMDPLSSVMAPRVYHQLIPNVVQYENWTTVTGDHFELDAATRADLRRKGHVLEPLAGGTISQLVVDDVERHGGLTAVSDPRKGGFPAGY >ONIVA04G12850.1 pep chromosome:AWHD00000000:4:15513495:15513731:-1 gene:ONIVA04G12850 transcript:ONIVA04G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVAGDLVQAYVLKKACKEKMRAETNAGEAAAAAMTGKKTAVGGGSSEKKTAPEVSKGERRGFSGLMMMKNKVHPK >ONIVA04G12840.1 pep chromosome:AWHD00000000:4:15508568:15511206:1 gene:ONIVA04G12840 transcript:ONIVA04G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1M3] MGSCNSWKPTVTMVGVVVVFAVMNTLTKMAFNEGMRSTVLITLRQLIATLFLAPIAYFRERASLTQWLFFLGLQYTTATFACAFINMTPIFTFIVALPYGLEKVDLKTGAGIGKVGGTVLGFSGAMILALYQGPSLTKLGPAAARSSSSSSSSSATAAVVGHGSGGGAHRWAIGSVALLGGSACWSLWFILQSRIAKKYPALYSGTALMFLLSFLQMAAVALAVDRISLSPWILTTKLQIITVLFVGIVGSGIAFLAMSWCVEQRGPVFTTAFTPLIQIIAAAINVIVLHEQLHLGIVIGSALVIIGLYFVLWGKSKEASSSSSPAKEAVPALRQQYGGHDQETTNDVQMQTV >ONIVA04G12830.1 pep chromosome:AWHD00000000:4:15497656:15499929:-1 gene:ONIVA04G12830 transcript:ONIVA04G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTATEGDKEAGRGGGGGGGARRPVVLVTGCSEGGIGHAMARAFAAAGCAVVATARSRASMRGLEGDPRYLLLELDVRSDESARAAVADAVRELGRVDVLVNNAGVHLVAPLAEVPMEEFQQVFDTNVYGAMRLIHAVIPQMIEREQGTIVNVGSITALAPGPWAGVYSASKAALHALSDTLRLELKSFGINVMIVAPGGTKSNLGSNSTSKYVQIRDWKYYKKFEESLRARTDASQGPGSTPAEDLAERVVALVLKKNPPAWFAYGQFSAILSLLYYAPLWFRDYFYKIVMKC >ONIVA04G12820.1 pep chromosome:AWHD00000000:4:15495811:15496679:-1 gene:ONIVA04G12820 transcript:ONIVA04G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light harvesting complex photosystem II subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G15820) TAIR;Acc:AT1G15820] MALASTSATASAAVLKTPFLGAKRALANAVGVAGAKPAPRRALVVSAKKSWIPAFKSDAEFINPPWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGVPWFEAGAQPEAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQAVEWATPWSRTAENFSNATGEQGYPGGKFFDPLGLGGETRDGVYIPDTDKLDRLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >ONIVA04G12810.1 pep chromosome:AWHD00000000:4:15493601:15494935:1 gene:ONIVA04G12810 transcript:ONIVA04G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKGKAKAADAAAAAEAAPEQEEEFFSDSESGSESIEIADLKKRMWKDQMLLMKLEGRSGHEGALAAQDHRVVRGEEEAAAAAEEEPPEARYRRKAMLRAQDGVLRHMLKMMEACNARGFVYGIVDESGVPVSGSSDSLRGWWKDDVAFDRAGPTALSGRGGRGSPRSPAAAASFLHGLLDIQDSTLGSLLSALIQHCEPPQRSFPLDRGLPPPWWPTGGEAWWGLQGEAQASQGPPPYRKPHDLKKAWKISLLSAVIKHLSPRFDQIRKLVWQSKRLQHKMSARDADTWSRVITQEEALSRHALRSLHITPLDDDDDEPNEGPTPRESHADKRKREVGGGGGEEMQLSLPADIDVVPEADRSSIDELMKLYYSCLQGTDTDGGGGEQGKDVAAGAGGDGSVAPETVHVDDDDMLEGLLGVAQVVDMSDFPDSPIWHWGSSSD >ONIVA04G12800.1 pep chromosome:AWHD00000000:4:15488630:15489636:1 gene:ONIVA04G12800 transcript:ONIVA04G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLPRSQNPPPDLHHHFPIDRGGKGPSRLGRLERASSAMAKLSCLLIVSFAVVAALAATDDDAAAAAEGITVAEASSDPENKCVYTIYVRTGTIWKGGTDSVIGVTLLGADGSGVRIRDLQRWGGLMGDGHDYYERGNLDIFSGRGPCMRQAPCRMNLTSDGTGPHHGWYCNYLEATVTGPHLGCAQQLFTVEQWLATDASPYRLYAVVDNCNKAKDAAAADADEPRVTVL >ONIVA04G12790.1 pep chromosome:AWHD00000000:4:15485671:15486571:-1 gene:ONIVA04G12790 transcript:ONIVA04G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEADGGRRSSRALLRVSDGGSAALDQATAGGQPGRGWLTAGARAMAKPLDLPGEPSSEILLHDCVFHCSMRNAEPIIATGTSPGFACLDPPLSCPAPQGRERENGKKASDRRRPHRLPPKSSTGTVASRSVPPPGSLSTLPPVCTANLHLHRSIRPWPTNGGEMQGLVGKIREEKGEPQPSTELASTAALHPLSA >ONIVA04G12780.1 pep chromosome:AWHD00000000:4:15485164:15485430:-1 gene:ONIVA04G12780 transcript:ONIVA04G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEREVTESERSLRSRPRHQGRSGAGGGGDGEGEDPPEISSSVPPPFRRHATVVHGFRPSRTSHVARCRATDSAELADLTRPSLPLR >ONIVA04G12770.1 pep chromosome:AWHD00000000:4:15435155:15435991:-1 gene:ONIVA04G12770 transcript:ONIVA04G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRTVFICSKPQRWTARVISRRAAVREEQARRRRRRRRRLAGVFVEQGRTDGVSRYGAFDTDLTRAN >ONIVA04G12760.1 pep chromosome:AWHD00000000:4:15431871:15433308:-1 gene:ONIVA04G12760 transcript:ONIVA04G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREMHRLYRVLAHVASRSAGIARTSCHVTHAMGRAPLVLIGRAVTIIGGAIPIIAINYGILVACWMLHMFDVVQSKLICRAMALHFSWRSTSPFLDTVCSSVYDLYNSSAIHRASCGEQLVVNGTNSDLGDYWQHSPTPDFLDSDRIYAVLVLGCVCSSGGCGRGEAHAKQGHDVSGEKRPSRRDGLGRSFWWIMVARKYEWCVTYAVVVATLQLFLRLTGANVTTLFLPMLSQATGCGKAALAGHVVLVLANAGGVLGSALAARTYGREVMCVIGGVLIVFCQVVIPVAMEMHGGGGAYAAAAAAATMFFVACAASGGCGWSWGALFWAVPGEGVRSAGDAVGAALGFALGFAQTHCFLLMLRQLKHAALAYYAVWIWS >ONIVA04G12750.1 pep chromosome:AWHD00000000:4:15418561:15423411:-1 gene:ONIVA04G12750 transcript:ONIVA04G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSLLNSGGMADYGGGGGSGGGLTFPVVVTCLMAASGGLIFGYDIGISGGVSEMESFLEKFFPGLLKGTAHASKDVYCIYNSQALTAFTSSLYAFGMVGTLVASRVTRRTGRQAVMLIGGSMFLVGALVNAAAVNIAMLIIGRMLLGLGLGFSGQATPVYLAEMSPPRWRGGFISGFPLFISVGYLIANLINYGTSRIPVWGWRLSLGLAAFPAAVMVAGAAFIPDTPSSLVLRGKHDLARAALQRVRGKGVDVDAEFNDILAAVEHDRRNDEGAFRRILRREYRPYLVMAIAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGLMNIFGIVGSGFAMDRYGRRLLFMIGGALMFTCQVAMASIVGSQLGHGSKMAKGYAVTVLVMTCAFSASFSWSWGALYWAIPGEIYPVEVRSAGQGVAVALNLGLNFVQAQCFLAMLCCFKYGTFLFYASWLVVMTAFAVAFVPETKGVPLESMGHVFARHWYWGRFVKDHKFGDVST >ONIVA04G12750.2 pep chromosome:AWHD00000000:4:15418561:15420022:-1 gene:ONIVA04G12750 transcript:ONIVA04G12750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLEKFFPGLLKGTAHASKDVYCIYNSQALTAFTSSLYAFGMVGTLVASRVTRRTGRQAVMLIGGSMFLVGALVNAAAVNIAMLIIGRMLLGLGLGFSGQATPVYLAEMSPPRWRGGFISGFPLFISVGYLIANLINYGTSRIPVWGWRLSLGLAAFPAAVMVAGAAFIPDTPSSLVLRGKHDLARAALQRVRGKGVDVDAEFNDILAAVEHDRRNDEGAFRRILRREYRPYLVMAIAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGLMNIFGIVGSGFAMDRYGRRLLFMIGGALMFTCQVAMASIVGSQLGHGSKMAKGYAVTVLVMTCAFSASFSWSWGALYWAIPGEIYPVEVRSAGQGVAVALNLGLNFVQAQCFLAMLCCFKYGTFLFYASWLVVMTAFAVAFVPETKGVPLESMGHVFARHWYWGRFVKDHKFGDVST >ONIVA04G12740.1 pep chromosome:AWHD00000000:4:15403854:15418331:1 gene:ONIVA04G12740 transcript:ONIVA04G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSRQMAGGGFAAAGGGSARDYGGGITFSVVVTSLMAASCGLIFGYDSGVTDTVLPCYLSVHDSSLITGGVTQMESFLSKFFPEVLRGMKSARRDAYCKYDNQWLTAFSSSLFIAGTLSSLVASRVARAVGRQAIMLLGGAMFLTGSIINAAAVNIAMLIIGRMLLGFGLGFTLQSAPVYLSETAPARWRGAFTSAYNAFVVIGILSATITNYFTNRIPGWGWRVSLGLAAVPGTIIVAGSLFIPDTPSSLVLRGHHDRARAALQRIRGAGADVDDELKDIVRAVDEARQNEAGAFRRLFSRRYRHCLAVGLGIPVFYEFTGMIVISIFSPVLFRTVGFNSQKAILGSVINSMTNLASTLLSTSVMDRTGRRPLFIVGGVGMMLCEVAISWIMADHLGKHQGVTMPRSYATGVLVLICLCTFSFGLSWAPLRWVVPSEIYPVEVRSAGQALSISVALCLSFVELQVFIALLCAMKYGVFLFYAGWLLTMTIFVAAFLPETKGMPIEAMRSVWERHWYWKRFVNDGDHHDGRVVADEGTD >ONIVA04G12730.1 pep chromosome:AWHD00000000:4:15398405:15399243:1 gene:ONIVA04G12730 transcript:ONIVA04G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRREYRPYYLVFAVAMPMFFHLTGIIVISFLPPLVFRTVSLVRLMLSTLVIDRYSGKAARCSSWSVGKNGSAATATAYAVAVVGVHVPAHGRVRVVMRATAVGGAVRGRYFPVDIRSAEQAMTVSIVSRGDERRRNEPTEVTDRD >ONIVA04G12720.1 pep chromosome:AWHD00000000:4:15394586:15397488:1 gene:ONIVA04G12720 transcript:ONIVA04G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIVANDGDGSAVDHGGRLTFSVVITCLVAASGGLIFGYDVGISGGVSTMEPFLRRFFPGVVRRMAEARPGNEYCVYDSQALTAFTSSLYVAGLVASLVASRVTRAMGRQAVMVMGGALFFAGGAVTGFAVNIAMLIVGRMLLGFGVGFTNQAAPLFLAEMAPTRWRGSLTAGFQFFLAVGVVIATVTNYFASRVPWGWRLSLGLAGAPAVVIFLGALFLTDTPSSLVMRGDTARARAALLRVRGAGADVEAELKGIVRAVEVARQGEDGAFRRMAARREYRPYLVFAVAMPMFFQLTGVIVISFFSPLVFRTVGFGSNAALMGNVILGAVNLVCLMLSTLVIDRYGRKVLFMVGGAIMIIAQVGVAWIMGAQVGKNGSEAMARPYAVAVVAFTCLHTAGFGWSWGPLGWVIPGEIFPVDIRSAGQAMNVSIGLGLTFVQTQSFLAMLCRFRYGTFAYYAAWVAVMTVFIAVFLPETKGVPLESMATVWARHWYWKRFAREQPKTSADEPTGTY >ONIVA04G12710.1 pep chromosome:AWHD00000000:4:15390098:15392225:1 gene:ONIVA04G12710 transcript:ONIVA04G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGLRRPHLWLRHRHLRRRLSDEAVLGDVLPQGADEDGRREEGPVLRLRQPRAHGVHVVALRRRARGVAGRRPRHQVAGPARRDADGRGTVLRRRRHDRRRGERRHAHRRADAPGVRRRVHEPGRAAVPRRDGAPAVSRVAHRGLPVLPLAGDTHRQPDQLRHRARPVGMAPLPRPRRRPGRVHRRRRLLPHRHPEQLRDAREGGPRPRRAPPRARPPRRRGRRAQGHRPRRGGRAGQRGRRRVPEAGHLAGVPPAPDLRARAAAVPPAQRHDGPDLLPAGVPRRRLRQQRGADGRGHPRRRQVRVAHPLHAGHRPLRPQGARHRRRRPHDRVPGGERLDHGGEVWEARGGGDAEGVLGGAAGADVRAGRRVRDVVGAADLGDPRRDLPGGGEVGGAGGERVGHAGAHVRADADVPGAAVPAQVRHIRLLRRLGRGHDGLRPRVHAGDQGRPARVHGRRLGGTLVLEAVRRRRRRQTGAAPLTNHLRGSTQVPRLHLLTNKDVCDKLSCRSCMLN >ONIVA04G12700.1 pep chromosome:AWHD00000000:4:15378784:15389231:1 gene:ONIVA04G12700 transcript:ONIVA04G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGLSGLRGLEGFRSLAGSTSTAAKAPNPKPSSDIGGSTYGSFANLKITAEKLVKEQASVKTDLEMTHTKLRRATEQINLLEAKLQQAVNENAKLKVKQTEDSKLWQGLDSKVSSTKTLCNQLTETLQQLASQTERAEEDKKFFEEILGKNSKALEEFNCLLHDSSIKLECAEQMIISGKQEMLRIKQEKEEMDQSYKEQLYASDTTIREKNSLIKQLEDSIEQNKARLLYVDSRLECMEQELKLKEDVCICLKENLASTESEKNDLKLRNEGYTLEVQKLSKDNKELNELLSGFTVTELDKEHTSISSHVTQLISSFERYDGKVHEEKMLMIKSAKDKIEHLQNQYVNLISENNALQTEIEELKSRIIELQKTQEIVMVQHVEECQVAEDKIRRLESEAEISSSNISQLEKVASDLEGRVQKLLEDSRSAENHKQELLQKILKLESDNQELLGRVQSVLNEKSNDMESLQGEIAKRDQQVETLENQVNQLCSIIDEKEQLHTCAVEREKNLEEQKLQVQASLAATESQLTEAKKQYDIMLEGKKIELSKHLKELSLKNDQAINEIRRKYELEKVEIINIEKEKAEKLIKEMENKCNEKISENRQDSESSFEMVARIQQDNEHKESTLRAYHKEELQRIQSQAENELRERLSSLRKDHEIQMKSLTKKHEENCQKLQDELELQKSKEEKQRALLQLQWKVMGETQQVDQEVNSKKEYSVSSIKRRDPYIRKEHQLQLVSPETKRKDVNLSGIIQSPITNMLRKVEKGTQDIPKHRKVTHHEYEVETANGRITKRRKTKSTVMFGEPNTQKSLHDTADKDPTKMKKVVAGSHPHPANIVYQWLFMVCA >ONIVA04G12700.2 pep chromosome:AWHD00000000:4:15378784:15389231:1 gene:ONIVA04G12700 transcript:ONIVA04G12700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGLSGLRGLEGFRSLAGSTSTAAKAPNPKPSSDIGGSTYGSFANLKITAEKLVKEQASVKTDLEMTHTKLRRATEQINLLEAKLQQAVNENAKLKVKQTEDSKLWQGLDSKVSSTKTLCNQLTETLQQLASQTERAEEDKKFFEEILGKNSKALEEFNCLLHDSSIKLECAEQMIISGKQEMLRIKQEKEEMDQSYKEQLYASDTTIREKNSLIKQLEDSIEQNKARLLYVDSRLECMEQELKLKEDVCICLKENLASTESEKNDLKLRNEGYTLEVQKLSKDNKELNELLSGFTVTELDKEHTSISSHVTQLISSFERYDGKVHEEKMLMIKSAKDKIEHLQNQYVNLISENNALQTEIEELKSRIIELQKTQEIVMVQHVEECQVAEDKIRRLESEAEISSSNISQLEKVASDLEGRVQKLLEDSRSAENHKQELLQKILKLESDNQELLGRVQSVLNEKSNDMESLQGEIAKRDQQVETLENQVNQLCSIIDEKEQLHTCAVEREKNLEEQKLQVQASLAATESQLTEAKKQYDIMLEGKKIELSKHLKELSLKNDQAINEIRRKYELEKVEIINIEKEKAEKLIKEMENKCNEKISENRQDSERYLMCLKEEHGSMVARIQQDNEHKESTLRAYHKEELQRIQSQAENELRERLSSLRKDHEIQMKSLTKKHEENCQKLQDELELQKSKEEKQRALLQLQWKVMGETQQVDQEVNSKKEYSVSSIKRRDPYIRKEHQLQLVSPETKRKDVNLSGIIQSPITNMLRKVEKGTQDIPKHRKVTHHEYEVETANGRITKRRKTKSTVMFGEPNTQKSLHDTADKDPTKMKKVVAGSHPHPANIVYQWLFMVCA >ONIVA04G12690.1 pep chromosome:AWHD00000000:4:15367865:15373298:-1 gene:ONIVA04G12690 transcript:ONIVA04G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQVSQSKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKVPNGPVQPLANGVRKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFFDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEESTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >ONIVA04G12680.1 pep chromosome:AWHD00000000:4:15356945:15366824:-1 gene:ONIVA04G12680 transcript:ONIVA04G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLRDLSSLVSSMASGPPRGWLPTLARRAASISRAALNPPVRHVSPVFPCGSRNMASGNAVKSEQLGKSDERQLTPSPNDPIHTTNGIINSMDKHFPITRGMDNEENGAATEFIARYGDGRPANVEENGRKIVNAKYSDEVFCRTTVKVPGVKQDPRGAIKELTDGEEDARNQSASEADDDDDDDEYEEFNDRVDNYSCYGPVSWTTFKWSSHRDGYIYDTTFGSGWKWDYRIADRNETRLEAMMLSNPNKDCSLSDGTCTRHTQHSMLQIFSIKLAKVFGVDGSMELYGYIAARDLRDPLLNYIVNIGRDNPIIVEQQCSEKARNWIKQTGAASHFLPTILFARQIKGITISMEKLFPITQVMGNEENRAATEEFIACCHGDGYPTIVEQSGSKIVGDVMYGDENVEELTDGEEDARDQSASEGLRGENLAPLPVLATATPSDAIHLLEGVAFGALISTPLQGNSPGENLRFVRIGRCRRSVGITFSKDSF >ONIVA04G12680.2 pep chromosome:AWHD00000000:4:15363277:15366824:-1 gene:ONIVA04G12680 transcript:ONIVA04G12680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLRDLSSLVSSMASGPPRGWLPTLARRAASISRAALNPPVRHVSPVFPCGSRNMASGNAVKSEQLGKSDERQLTPSPNDPIHTTNGIINSMDKHFPITRGMDNEENGAATEFIARYGDGRPANVEENGRKIVNAKYSDEVFCRTTVKVPGVKQDPRGAIKELTDGEEDARNQSASEADDDDDDDEYEEFNDRVDNYSCYGPVSWTTFKWSSHRDGYIYDTTFGSGWKWDYRIADRNETRLEAMMLSNPNKDCSLSDGTCTRHTQHSMLQIFSIKLAKVFGVDGSMELYGYIAARDLRDPLLNYIVNIGRDNPIIVEQGSIIEMTGPKRDRFVSCCSS >ONIVA04G12680.3 pep chromosome:AWHD00000000:4:15356945:15363269:-1 gene:ONIVA04G12680 transcript:ONIVA04G12680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKTGERDENDLQLIDGVSCVNEILTSSNPHLGILRRRTTSFSTQCSEKARNWIKQTGAASHFLPTILFARQIKGITISMEKLFPITQVMGNEENRAATEEFIACCHGDGYPTIVEQSGSKIVGDVMYGDENVEELTDGEEDARDQSASEGLRGENLAPLPVLATATPSDAIHLLEGVAFGALISTPLQGNSPGENLRFVRIGRCRRSVGITFSKDSF >ONIVA04G12670.1 pep chromosome:AWHD00000000:4:15350849:15355872:1 gene:ONIVA04G12670 transcript:ONIVA04G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSSMGGGGGGDAGGRTVVWFRRDLRVEDNPALAAAARAGGEVVPAYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGAGKLVTRRSADAVVALLQLVRDTGATRLFFNHLYDPISLVRDHRLKEMMAAEGIIVQSFNADLLYEPWEVVDDEGQSFTMFAPFWNRCLSMPYDPAAPLLPPKRINSGDLSMCPSDDLIFEDDSERGSNALLARAWSPGWQNADKALTAFLNGPLIHYSVNRKKADSASTSLLSPYLHFGELSVRKVFHLVRMKQLVWSNEGNRAAEESCTLFLRSIGLREYSRYLSFNHPCSHEKPLLAHLRFFPWVINECYFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPASVLQAAGVELGSNYPLPIVGLDAANARLQEALSEMWQLEAASRAAMDNGMEEGLGDSSEVPPIEFPRELQMEVDREPARVTANVLTTARRREDQMVPTMTSSLNRAETEISADFMNSVDSRAEVPTRVNFEPRTEREENFRTTAGNVARTNGIHEHNNFQQPQHRMRNVLAPSVSEASSGWTGREGGVVPVWSPPAASDHSETFASDEADISSRSYLDRHPQSHRLMNWSQLSQSL >ONIVA04G12660.1 pep chromosome:AWHD00000000:4:15335623:15341596:-1 gene:ONIVA04G12660 transcript:ONIVA04G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFCCFGAGCSEFSGHASTSSGKGKGIQGQVKVSYGFYLVRGMTNHPMEDYHVAELAEEKGNELGLFAIFDGHLGDTVPAYLQKNLFANILNEEEFLTQPDRAIIKAYEKTDQAILSHTPDLGQGGSTAVTAILLNGRKLWVANVGDSRAVLLKGGRPIQMSTDHDPNVERSAIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLKSEPDIKVEDIDYTAELLVLASDGLWKVMNNQEVVDVAKRFKDPQAAAKQLTAEALKRDSKDDISYLEVHLASLCEYHLVCIAPLALY >ONIVA04G12650.1 pep chromosome:AWHD00000000:4:15333261:15334805:1 gene:ONIVA04G12650 transcript:ONIVA04G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLQPRRRDRLGVKGRLGASGRPQGRRRRGEERRIRRRHHRIRRPASATRRVAAGSGVSAAGSEPWWCGSTADAATPMARRPWLVGGGAEASRRRGDAASPASATAGGAGGRSRRSGATAVAGRRAAVAGSATRRPWLAGDGEAATAEIVPASWRLVAGLSLAAVVLVVVVTASWWFKAAGMAMVAGMASAEGAKAAVLVPARRRRGAQRRRRRCPQIRASRLDLEGSRLLWSETSADLRRLATAVGDDGAVAAAVGSDGWQLVTLSGADPVLAFS >ONIVA04G12640.1 pep chromosome:AWHD00000000:4:15332052:15332321:1 gene:ONIVA04G12640 transcript:ONIVA04G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARAMLLPAAAEVATVDVVGDGEERPWSGNGGNGGGGGGDSSSAMVGAVSVDLPTMWGDERRMKRELVAWAKAVASMAIRESCNSR >ONIVA04G12630.1 pep chromosome:AWHD00000000:4:15298490:15310042:1 gene:ONIVA04G12630 transcript:ONIVA04G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1J8] MEALLKLEKVQRVLSLMGSRGLSGSGSDSGASDGGGGDRFLAHFLLFLILHKNDYDILHYSKEACLNQYFNWDPGKGSGQFESSTLSSPHAQRLQRKENQKSGGLLVRCSGGLLVQPFDSLSMEKKALLVSDLLRKLDDLNEYNLQMVPGNDASLTVLERENRTSNEASLTGMLNVLDDLLQRQGLMTDQLERKLCQALSRNDKISIEDVMEAIHLKSFDYRVLNLLMYRLTGQQVNEVHMEFLSISEFLVEISDDLYDYEDDVMNNTFNILRMFAAIYGPSEAPKMLAKCIGEAEEKYERFSKKLDPTLSGSYWRRCEEATKEGGKMSGHAYGTWNIPPLIRDEEFFRLERSNKHDASAITIT >ONIVA04G12630.2 pep chromosome:AWHD00000000:4:15307973:15309684:1 gene:ONIVA04G12630 transcript:ONIVA04G12630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1J8] MGSIAADGDKPHAVCMPFPAQGHVTPMLKLAKILHHRGFHITFVNTEFNHRRLLRSRGAAALDGLPGFRFAAIPDGLPPSDADATQDVPPLCRSTRETCLPHFSRLLADLNANASPESPPVTCVVADDVMSFAVDAAREFRVPCALFWTASVCGYMGYRYYRSFLDKGIFPLKEEQLTNGFLDAPVDWTPGMSKHLRLKDFPSFFRATDPDEYMFHFALHVTERLAEADAAVLNTFDELEPEALDAMRAMLPPSVSIHTIGPLGFLAEQVVPKGSPLDALGSNLWKEDDSCFGWLDGKPPRSVVFVNYGSVTVMTNEELVEFAWGLANSGHYFLWIVRPDLIHGDAAVLPPEFMESVGGRGLLASWCPQEAVLRHEAVGVFLTHSGWNSTVESLCGGVPMLCWPFFAEQQTNRRYSCTEWGVAMEIDDDVRRDAVEAKIREAMGGDKGREMRRRAGEWKETGLRATRPGGRAHASLDALVADVLLSGGKAR >ONIVA04G12620.1 pep chromosome:AWHD00000000:4:15291973:15293682:-1 gene:ONIVA04G12620 transcript:ONIVA04G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDSPCRSGSFEAGLRSPAPPPLTVSPKDSKPSPRLHRSRSTAAASKPPPSPPPDVRLRHRRRDGAMRQKVSEMEEELRKEKDAAEKVQLLQREVEKAKESERKMLESLIYQTKQLEQTKISLEEAKLEITTLQQANASLEASAAAAVVSRRGGAVEQRSVKDLVFGGGDDEIRALRCELRTAMQGEEKSRKALDDLSVALSDVTMEAKQVKMWLSEAQAELEAANAEAERLRHELDAAEARLRDVSDEHDRCRLDAEECAAAWGDKERVLLDCVRASEEEVNRERQENTKLVESQRVIRDENARLRDILKQAVAEANVVKESLELARGENARLNDVVAEKDGALQSLRQEYECIKVSEAAAQGSLKELNSLLAATTTTTATACSTPASARTASVADYGFDQHLPSVRLVSSAKGTPEAASHRWTAADKSRTPSSRRYSIGEPAKFKGGFSQSARMGNLNHKDRVFASLSNIADLKSAAEAAMSDFDDEFDHVDESHYDSMDQSMKQKKKRPILRKFGDLFRRKSFYKANLAPVHT >ONIVA04G12610.1 pep chromosome:AWHD00000000:4:15286104:15288911:1 gene:ONIVA04G12610 transcript:ONIVA04G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G40030) TAIR;Acc:AT4G40030] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONIVA04G12610.2 pep chromosome:AWHD00000000:4:15286178:15288911:1 gene:ONIVA04G12610 transcript:ONIVA04G12610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G40030) TAIR;Acc:AT4G40030] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONIVA04G12600.1 pep chromosome:AWHD00000000:4:15267414:15268655:-1 gene:ONIVA04G12600 transcript:ONIVA04G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHRRALHSNDCDDGGYGCSSWPLSPPPPSVILTPFASPSPAPWACPPAFPAPSPSPLHGAAGGRRDQGGYHGSPPGGGGDADEHRRRIIKLIVVGAAALAFLSMILLVVIVAVRRRRLRRRRQRQQALLAPAAPADAVAVNVEDGGDDDAEGGGGGGGGGVVHHIWYIRTVGLDEAAIDSIAATRYRAGAGLLGAADCSVCLGEFQDGELVRLLPKCGHAFHVPCIDTWLRAHVNCPLCRSDVLGPAATATESGGGDTGSMPQADPVANTIAAAQQAAAPGDAILERQEEEEEAEQEDQGAPPHMEENRQEQSSSPDPLPPPRNVRRAASMDAAIVSTAAEVAALERLPEAAPEEEQSGGGSGDKRGGAPGASCLKVSSSGRLSNLGAAERLPRSFFSRHCRARSSVLPL >ONIVA04G12590.1 pep chromosome:AWHD00000000:4:15266624:15275670:1 gene:ONIVA04G12590 transcript:ONIVA04G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVSWYGPLIDLSAAAGHLGGFVQLLASVRRVLPHQEQNAADGRAFQKTMLEVGDDTRSRFCVSLWPKRGSSVLAGDVLLLQNIKIVEFRNGLEGRASQISAVQVLLNSKDLVKQSGIDELMINCKVGDNTRSKLRRVVEWAWMQHNKCTLSENCHKVRLKNWKDEKEKESGNFLSISELLSQSKLHGVGVYASISKMVLTGSLASHFNRKFSVIERFSLKEHDDIFRDLVTAGCTLCGSPLYPKNLHGENTYPIDCPKSPKYLHVIGQIYKPFMIYVRDQSGQIPVLVRNKAAEILFANIIADDVSECYKNHHPMLLDTCDCGSLNTSGGETGIIKRKRTKEKPDWHLIWLIIIKCLLNQHKNSPFCLQISVNTDKSVEDGRFELVSLTMTIP >ONIVA04G12580.1 pep chromosome:AWHD00000000:4:15257252:15261188:1 gene:ONIVA04G12580 transcript:ONIVA04G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G09450) TAIR;Acc:AT5G09450] MAAVFLRAAARATRSSALVRAILASRSPLSSSSCAASPTTAAPVPGTAPRAAAAGDGDEGCAAAAATPADVGGDEDDLRSRVFRLRLAKRSATAALERWAGEGRAASAAELRGIARDLSRAGRYKHALEVAEWMKTHHESDLSENDYGMRIDLITRVFGANAAEDFFEKLPACVQSLEAYTALLHSYARSKMTDKAERLFKRMKDANLSMNILVYNEMMTLYISVGELDKVPVIAEELKRQKFSPDLFTYNLRISAYAASMDLEGFKGILDEMSKDPNSNEGWKLYQNLAVIYVDAGQLVGSGNSLVEAEAKISQREWITYDFLVILHTGLGNRDRIKDIWKSMLMTSQRMTSRNYICVLSSYLMCGQLKDAGEVIDQWQRSKAPEFDISACNRLFDAFLNAGFTDTANSFRELMLQKSCILTSRQERSS >ONIVA04G12570.1 pep chromosome:AWHD00000000:4:15253941:15257194:1 gene:ONIVA04G12570 transcript:ONIVA04G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGEEGARPAAAAEGARRPRFLCLHGFRTSGEIMRKQVVGKWPADVTARLDLVFADAPFPAEGKSDVQGIFDPPYYEWFQFDKNFTEYRNFDECLNYIEELMIKEGPFDGLMGFSQGSILSGALPGLQEQGLALTRVPKIKYLIIIGGAKFQSPTVAEKAYANNIKCPSVHFLGDTDFLKTHGEKLIESYVDPFIIRHPKGHTVPRLDEKSLEIMLRFLDKIEKETALEHSSTDVDEKEMYL >ONIVA04G12560.1 pep chromosome:AWHD00000000:4:15248229:15249612:1 gene:ONIVA04G12560 transcript:ONIVA04G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPSSNSVVGGAGAGAGDVCCMCGDRGLPEELFRCRLCRVRLQHRYCSDLYPRATAYRRCNWCLVREPAPAAAAGAAGHVHAMVDKPTTVRRKTASSSSPPPSSSMTDQETSPTTMSSEAERQRRLQEAAGWSASRRAPDTAGLGRPVKKQKAAADDDEGEEAPGARAAPAAKGNNGGNKKEMQAAGKKTGVKVRVRRYKLLAEVISC >ONIVA04G12550.1 pep chromosome:AWHD00000000:4:15236878:15241736:1 gene:ONIVA04G12550 transcript:ONIVA04G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAATTTTTDSSQAAVPPHPTPPAPAGSGGNGCEENKTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRQTGQSEGYGFVEFYSHGSAEKALQNFTGHVMPNTDRPFKLNWASYSMGEKRSEVASDYSIFVGDLAADVTDEMLMELFANKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMTEMNGAYCSTRPIRIGPATPRRSSGDSGSSTPGHSDGDSTNRTVYVGGLDPNVSEDELRKAFAKYGDVASVKIPLGKQCGFVQFVSRTDAEEALQGLNGSVIGKQAIRLSWGRSPSHKQSRADSGSRRNNMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPVYGSQQLVS >ONIVA04G12540.1 pep chromosome:AWHD00000000:4:15234428:15235381:1 gene:ONIVA04G12540 transcript:ONIVA04G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAGDDDDVVHDFRPLIVVYKSGRLERPLATPPVPPGTDAATGVASRDVRLSAASFVRLYLPPPCAAVAGGERLPVVVYFHGGGFVIGSAASPAYHRCLNDLAAACPAVAVSVDYRLAPEHPLPAAYEDSAAALAWVLSAADPWLAVHGDLSRVFLAGDSAGGNICHHLAMRHGLTSQHPPHRLKGIVLIHPWFWGKEPIGGEAAAGDQKGLWEFVCPDAADGADDPRMNPTAAGAPGLENLACEKVMVCVAEGDTLRWRGRAYAEAVVRARGGEAAAVELLESEGVGHVFYLFEPGHEKADELLRRIAAFISAK >ONIVA04G12530.1 pep chromosome:AWHD00000000:4:15231748:15232200:-1 gene:ONIVA04G12530 transcript:ONIVA04G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKQLPFKPNRRPRSLRRHRSSPNPRPPAQPRVASPVALVRLGGAPPRAGEAPARAQRVEEAAVLLPAVGNTLRPRRTSNFGGLDLLMEFSFRARTGRPPTSSPANRDI >ONIVA04G12520.1 pep chromosome:AWHD00000000:4:15219267:15223743:-1 gene:ONIVA04G12520 transcript:ONIVA04G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKAWAGANYAEPMGSVEQSLRVAYVVLSFCAAFFLGGIKAAVVGPVAAALMVLGNVGVILLLFPAHVWWTIYSLIKTERINAGLKLAVLIALPVLFGLWLGLGIFGSALVALGYGFFTPWIATFEAFRQESESKKFVHGVVDGTWGTIKGSCTVVRDFADLCFHSYPVYLKELRESSQNCEPHSIRVLDVPSCIAVGLLGLIVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVVVYQEKSFQRGVSYVVAMVAEFDEYTNDWLYLREGTVLPKPSYRKRKSSSSTEFSVRTNASVKGGDHPSSNEAPAMLVPTLAPARSVREAIQEVKMVQIWENMMKNCELRGRDLLNLNVITTVDLTEWLRTKESGHEAIGLGVPSYSLLCMILHSIKAGSGGLLIGNGIEINQYNRPQDRLIDWFLHPVLVLKDQIQALKMTEEEVRFLEKLTLFIGNSERANGWDNGAEIPQDPVRAGQIQAISRRLVGIVRSMSKFPTYRRRHRHVMKLLVTYSVEKEGSFRSSASNRSVPIFEITQLEV >ONIVA04G12510.1 pep chromosome:AWHD00000000:4:15211116:15216258:-1 gene:ONIVA04G12510 transcript:ONIVA04G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATASAERASASASAGAGGRERERRPSVAAGQACRAKKGEDFALLKPACERLPAGGAPFSAFALFDGHNGSGAAVYAKENILSNVMGCVPADLSGDEWLAALPRALVAGFVKTDKDFQTRAHSSGTTVTFVIIDGYVVTVASVGDSRCVLEAEGTIYHLSADHRFDASEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQIKLSSAGGRIIISSDGVWDALTVDTAFSCARGLPPEAAADQIVKEAIASKGLRDDTTCIVIDIIPPEKISPTVQPAKKAGKGLFKNIFYKKATSDSPCHADKDQCTQPDLVEEVFEDGCPSLSRRLDSEYPVRNMFKLFICAICQVEVYPYMRVCQSQESCVHGMGLSFATVARKRKKQWKENVTHEIPPQETVGQVRRTYAARVADADDSTEEVY >ONIVA04G12510.2 pep chromosome:AWHD00000000:4:15211116:15216258:-1 gene:ONIVA04G12510 transcript:ONIVA04G12510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATASAERASASASAGAGGRERERRPSVAAGQACRAKKGEDFALLKPACERLPAGGAPFSAFALFDGHNGSGAAVYAKENILSNVMGCVPADLSGDEWLAALPRALVAGFVKTDKDFQTRAHSSGTTVTFVIIDGYVVTVASVGDSRCVLEAEGTIYHLSADHRFDASEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQIKLSSAGGRIIISSDGVWDALTVDTAFSCARGLPPEAAADQIVKEAIASKGLRDDTTCIVIDIIPPEKISPTVQPAKKAGKGLFKNIFYKKATSDSPCHADKDQCTQPDLVEEVFEDGCPSLSRRLDSEYPVRNMFKLFICAICQVELESGQGISIHEGLSKSGKLRPWDGPFLCHSCQEKKEAMEGKRHSREWYSCDKVRRTYAARVADADDSTEEVY >ONIVA04G12500.1 pep chromosome:AWHD00000000:4:15208198:15210058:-1 gene:ONIVA04G12500 transcript:ONIVA04G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARWLPSSPAHGKTKSRRSSSAVRPTLLVIAVTVIAVLLVAVVFGGAGRWTLSGGGDTSWVSAGARVVINAVSGQQRDGDDPVAAAVEPRNDRLLGGLLSPDFDDSSCLSRYRAGLYRRQSPHAVSPHLVASLRRYESIHRRCGPGTSAYERAVERLRSPPPSNTSDAECRYLVWTPLEGLGNRMLTLTSAFLYALLTDRVLLFHHPAGEGLRDLFCEPFPGSTWTLPEGDFPFSGMQGFNARTRESLGNALRRGEGAAKDHPPPPPPWMYVHLRHDYNRNANDPRFFCDDGQDALRRVGWVVLLSDNYFVPGLFLVPRFERALSRILPRRDAAFHHLGRYLLHPSNTVWGMVARYHASYMACANERVGIQVRSFYWARISTDELYGQIMSCAHGENILPRGRGPTHLDRQRSGEQLHNQKALAEMMLLSFSDVVVTSAASTFGYVGHGLAGLRPWVLMSPLDKKVPDPPCRLAATIEPCFHNPPNYDCRTRAKGDTGKIVRHIRHCEDFENGVQLVD >ONIVA04G12490.1 pep chromosome:AWHD00000000:4:15204068:15205924:-1 gene:ONIVA04G12490 transcript:ONIVA04G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSSSLATAGHGKTKSGRSSSSAVRPALLAIAVSVMVVLLMAVLFGARWTPSGGHGGGADTSWVSAGARVVLNAVSSQQGADPVVKVAQPHDRLLGGLLSPDFNDTSCLSRYRASLYRRRSLHVLSSHLVSALRRYESLHRLCGPGTSAYERAVARLRSPSSSNTTSDAPSECRYLVWTPHAGLGNRMLSITSAFLYALLTGRVLLFHRSGDDMKDLFCEPFPGATWVLPEKDFPIRGMERFGIRTRESLGNALGRGEGGRDPPPPWMYVHLRHDYTRPGASDRLFFCDDGQDALRRVGWVVLLSDNYFVPGLFLIPRYERELSRMFPRRDAVFHHLGRFLFHPSNTVWGMVMRYHGSYLAKAEERVGVQVRTFSWAPISTDELYGQIVSCAQGENILPRVRESSSGSDNATAIPGSRRQQQQRPARRKAVLVVSLHGEYYERIRDMYYEHGAAGGDAVSVFQPTHLGGQRSEERMHNQKALAEMMLLSFSDVALTSAASTFGYVSHGLAGLRPWVLMVPVRKKAPNPPCRLAATVEPCFHTPPHYDCQARTKGDNGKTVRHVRHCEDLKDGVQLVD >ONIVA04G12480.1 pep chromosome:AWHD00000000:4:15195288:15210306:1 gene:ONIVA04G12480 transcript:ONIVA04G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zeaxanthin epoxidase (ZEP) (ABA1) [Source:Projected from Arabidopsis thaliana (AT5G67030) TAIR;Acc:AT5G67030] MSARAAGGGGDGDGGGRRKTLAKVSLSSLSAAAAEAATFPIDAVKTRLQLHRGTGGSGGGGGGVMRVAGELVRDGGIYRGLSPAVLRHLFYTPLRIVGYEHLRSTFASGGRDAGLLEKALAGGVSGVVAQVVASPADLIKVRMQADSRLLSQGIQPRYTGIFDAFTKIVRAEGFRGLWKGVVPNAQRAFLVNMGELTCYDQAKHFIIRKQICGDNLYAHTLASVASGLSATTLSCPADVIKTRMMNQGKDAKVLYRNSYDCLVKTVKHEGLTALWKGFLPTWARLGPWQFVFWVSYEKLRQASGGGTMECNSVHQLNTILEVLAVPDVPDRLAVVTFGPRLAVCGGVWKHGSTVAASRHGGLGAFLRTGTMSTHGLSPASPWLTYPNVEAADVSATSEKLSSIISASAFWLCIRSSDRCPPSDTTSTALRLAGRCCCCRLEPGMAVALSEPELDSRTRGRMFSPCAHETIWP >ONIVA04G12480.2 pep chromosome:AWHD00000000:4:15192391:15201922:1 gene:ONIVA04G12480 transcript:ONIVA04G12480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zeaxanthin epoxidase (ZEP) (ABA1) [Source:Projected from Arabidopsis thaliana (AT5G67030) TAIR;Acc:AT5G67030] MALLSATAPAKTRFSLFSHEEAQHPHPHALSACCGGGASGKRQRARARVAAAMRPADAAASVAQAASPGGGGEGTRRPRVLVAGGGIGGLVLALAARRKGYEVTVFERDMSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMREGCVTGDRINGLVDGISGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNDSHVVDFIDDGNKVTAILEDGRKFEGDLLVGADGIWSKVRKVLFGQSEATYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFNGWCDNVVDLINATDEEAILRRDIYDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKSWQESAKSGTPMDIVSSLRRYEKERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSTKLEGRPLSCRLSDKANDQLRRWFEDDDALEQAMGGEWYLLPTSSGDSQPIRLIRDEKKSLSIGSRSDPSNSTASLALPLPQISENHATITCKNKAFYVTDNGSEHGTWITDNEGRRYRVPPNFPVRFHPSDAIEFGSDKKAVFRVKVLSTLPYESARGGPQILQAA >ONIVA04G12480.3 pep chromosome:AWHD00000000:4:15194919:15201922:1 gene:ONIVA04G12480 transcript:ONIVA04G12480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:zeaxanthin epoxidase (ZEP) (ABA1) [Source:Projected from Arabidopsis thaliana (AT5G67030) TAIR;Acc:AT5G67030] MAPLPSSSSTRATSLVAIPGVSCPHGQAQRLLAFRPPRRGSQWRGLCVSRGRHGATVAMAMPAAQAAGRRARVLVAGGGIGGLVFALAAKRKGFEVVVLERDMSAVRGEGKYRGPIQLQSNALAVLEAVDAGAADQVMDAGCITGNRVNGIVDGVSGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNDSHVVDFIDDGNKVTAILEDGRKFEGDLLVGADGIWSKVRKVLFGQSEATYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFNGWCDNVVDLINATDEEAILRRDIYDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKSWQESAKSGTPMDIVSSLRRYEKERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSTKLEGRPLSCRLSDKANDQLRRWFEDDDALEQAMGGEWYLLPTSSGDSQPIRLIRDEKKSLSIGSRSDPSNSTASLALPLPQISENHATITCKNKAFYVTDNGSEHGTWITDNEGRRYRVPPNFPVRFHPSDAIEFGSDKKAVFRVKVLSTLPYESARGGPQILQAA >ONIVA04G12480.4 pep chromosome:AWHD00000000:4:15192391:15199632:1 gene:ONIVA04G12480 transcript:ONIVA04G12480.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:zeaxanthin epoxidase (ZEP) (ABA1) [Source:Projected from Arabidopsis thaliana (AT5G67030) TAIR;Acc:AT5G67030] MALLSATAPAKTRFSLFSHEEAQHPHPHALSACCGGGASGKRQRARARVAAAMRPADAAASVAQAASPGGGGEGTRRPRVLVAGGGIGGLVLALAARRKGYEVTVFERDMSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMREGCVTGDRINGLVDGISGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNDSHVVDFIDDGNKVTAILEDGRKFEGDLLVGADGIWSKVRKVLFGQSEATYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFNGWCDNVVDLINATDEEAILRRDIYDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKSWQESAKSGTPMDIVSSLRRYEKERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSTKLEGRPLSCRLSDKANDQLRRWFEDDDALEQAMGGEWYLLPTSSGDSQPIRLIRDEKKSLSIGSRSDPSNSTASLALPLPQISENHATITCKNKAFYVTDNGSEHGTWITDNEGRRYRVPPNFPVRFHPSDAIEFGSDKKAVFRVKVLSTLPYESARGGPQILQAA >ONIVA04G12480.5 pep chromosome:AWHD00000000:4:15194919:15199632:1 gene:ONIVA04G12480 transcript:ONIVA04G12480.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:zeaxanthin epoxidase (ZEP) (ABA1) [Source:Projected from Arabidopsis thaliana (AT5G67030) TAIR;Acc:AT5G67030] MAPLPSSSSTRATSLVAIPGVSCPHGQAQRLLAFRPPRRGSQWRGLCVSRGRHGATVAMAMPAAQAAGRRARVLVAGGGIGGLVFALAAKRKGFEVVVLERDMSAVRGEGKYRGPIQLQSNALAVLEAVDAGAADQVMDAGCITGNRVNGIVDGVSGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNDSHVVDFIDDGNKVTAILEDGRKFEGDLLVGADGIWSKVRKVLFGQSEATYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFNGWCDNVVDLINATDEEAILRRDIYDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKSWQESAKSGTPMDIVSSLRRYEKERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSTKLEGRPLSCRLSDKANDQLRRWFEDDDALEQAMGGEWYLLPTSSGDSQPIRLIRDEKKSLSIGSRSDPSNSTASLALPLPQISENHATITCKNKAFYVTDNGSEHGTWITDNEGRRYRVPPNFPVRFHPSDAIEFGSDKKAVFRVKVLSTLPYESARGGPQILQAA >ONIVA04G12470.1 pep chromosome:AWHD00000000:4:15179913:15184925:1 gene:ONIVA04G12470 transcript:ONIVA04G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSESSRKALLPSFLYAAPAASSSPSFAAAAAVGVRGVPAPSLAGPAVWARSPREPAGKIEMYSPAFYAACTAGGIASCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFARGLSDGLAKFVKAEGYAGLYKGIVPLWGRQIPYTMMKFASFETVVEMIYKYAIPAPKSECSKPLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVNKLGMWGLFTRGLPLRIMMIGTLTGAQWGLYDAFKVMANYWWSCSNTSQVMVGLPTTGGVAPTPAIGEEQLKA >ONIVA04G12470.2 pep chromosome:AWHD00000000:4:15179913:15184925:1 gene:ONIVA04G12470 transcript:ONIVA04G12470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSESSRKALLPSFLYAAPAASSSPSFAAAAAVGVRGVPAPSLAGPAVWARSPREPAGKIEMYSPAFYAACTAGGIASCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFARGLSDGLAKFVKAEGYAGLYKGIVPLWGRQIPYTMMKFASFETVVEMIYKYAIPAPKSECSKPLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVNKLGMWGLFTRGLPLRIMMIGTLTGAQWGLYDAFKVMVGLPTTGGVAPTPAIGEEQLKA >ONIVA04G12460.1 pep chromosome:AWHD00000000:4:15176406:15179261:-1 gene:ONIVA04G12460 transcript:ONIVA04G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGSSSGGRFTGGGGQKGRWPGFEGKRAAPVVKWSHAEAMKKKPSGRGDAAVTGDGGGWMRRLEEEEAGLELDGSCWGSWSEAAATRASGTATGGAGKHVRFRPEAEAEAKRERPFDAGEWGGGGGGEVGGDGGEGRMYEWRWTEAVSPEILALILRGRVDADEVARGAARVCRAWREAAAAPDVWGDVDIEAWCRRVNCRPRADAAVRRLVALAWGTLRRLSAYRVGDAALAYVAASGKLLNVLQIPMSEISDQAVKKYAECLPALRVLDISNCLKISSSGIEALGRHCKLLVQLKRNMPPPDVPHGYNTVPNVVNDEALAIANTMPVLEHLELAYGLFSDTGLGAVLTRCPRLQTLDILGCWNVRLEGDIEERCCALESFREPWEPMYSDCSSTGSDNDDDDEDNDSDN >ONIVA04G12450.1 pep chromosome:AWHD00000000:4:15173354:15175522:-1 gene:ONIVA04G12450 transcript:ONIVA04G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ChaC-like family protein [Source:Projected from Arabidopsis thaliana (AT1G44790) TAIR;Acc:AT1G44790] MAMWVFGYGSLVWNPGFAHDARLVGFVRDYRRVFYQGSTDHRGTPEFPGRTVTLEHQPGATCWGVAYKISTEQDKQTALEHLEVREKQYDEKIYLDLYTDSSPKTPAVKNVMVYLATTNKQSNQNYLGPAPLEEMAKQIYLAEGPSGPNKEYLFKLEDALNKIGVVDPHVQDLANAVRKYPDTAVSC >ONIVA04G12440.1 pep chromosome:AWHD00000000:4:15171481:15172875:1 gene:ONIVA04G12440 transcript:ONIVA04G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAVLLLLLALAALPASCAPPRSFRLELASVDALAADAANLTEHELLRRAIQRSRYRLAGIGMARGEAASARKAVVAETPIMPAGGEYLVKLGIGTPPYKFTAAIDTASDLIWTQCQPCTGCYHQVDPMFNPRVSSTYAALPCSSDTCDELDVHRCGHDDDESCQYTYTYSGNATTEGTLAVDKLVIGEDAFRGVAFGCSTSSTGGAPPPQASGVVGLGRGPLSLVSQLSVRRFAYCLPPPASRIPGKLVLGADADAARNATNRIAVPMRRDPRYPSYYYLNLDGLLIGDRTMSLPPTTTTTAAATATATAPAPTPSPNATAVAVGDANRYGMIIDIASTITFLEASLYDELVNDLEVEIRLPRGTGSSLGLDLCFILPDGVAFDRVYVPAVALAFDGRWLRLDKARLFAEDRESGMMCLMVGRAEAGSVSILGNFQQQNMQVLYNLRRGRVTFVQSPCGALR >ONIVA04G12430.1 pep chromosome:AWHD00000000:4:15165835:15167169:1 gene:ONIVA04G12430 transcript:ONIVA04G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT2G03200) TAIR;Acc:AT2G03200] MAIAAACWATGGVAAAATAATTTTTSRLKGLRVHLTHVDAHGNYSRQQLLRRAARRSHHRMSRLVARATGVPMTSSKAAGGGDLQVPVHAGNGEFLMDVSIGTPALAYSAIVDTGSDLVWTQCKPCVDCFKQSTPVFDPSSSSTYATVPCSSASCSDLPTSKCTSASKCGYTYTYGDSSSTQGVLATETFTLAKSKLPGVVFGCGDTNEGDGFSQGAGLVGLGRGPLSLVSQLGLDKFSYCLTSLDDTNNSPLLLGSLAGISEASAAASSVQTTPLIKNPSQPSFYYVSLKAITVGSTRISLPSSAFAVQDDGTGGVIVDSGTSITYLEVQGYRALKKAFAAQMALPAADGSGVGLDLCFRAPAKGVDQVEVPRLVFHFDGGADLDLPAENYMVLDGGSGALCLTVMGSRGLSIIGNFQQQNFQFVYDVGHDTLSFAPVQCNKL >ONIVA04G12420.1 pep chromosome:AWHD00000000:4:15158220:15164578:1 gene:ONIVA04G12420 transcript:ONIVA04G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEPSLKPEWLVRGHGAVAATSLWTGTSSPRADDQGRSISSRNQSSGRDRERSSQQSISRRSSGSIGPRRHDRDGTAKSRGYASFGRSNRDRGGEKDSESCNWESRLGPPDDPLYDGFKPFSSCRPERDRLNHTRLKVDTLNQAVGESLDNGVRSVSRKVSGGVSFEREFPHLGSDDKNGKQDVGRVPSPGISTPIQSMPLGTALDGRSSVLAEVPVLSGPTNCPVPSSLLRTGSSKQMEVPNCGTALSMAETVMQAPLKISTTPQLSIDTHKIEERTMKQCILRPRTPSSNKISVSSSSDKLKSKGARAGDSNGPVKGATQLPLQLSGSFIRAPVKHELVKPTQSGSFQVLSREQNGTVNTAKESTSNPASPVLGRSYSVEPLRKPIVNQKLKGVANGLPLQLQGSFGERKSSAKDKHKFFELLRSKSLNGSCTSTVSSSTLLDEQNNSCLELFDSGVKCMEHGSSSCEEANSCEGSQQHLSDNEEINPPWEPHDVFDEGMQEVLSDNRDFNSSSEIADTQDVYMKPHTNNAGSSPSIIPAEIYDGSMGSNCSDDETVMLFEPIGTGEEESYPAQDRPSPEEMAFLVSLGWKEDEIVPPLKQEEIADCLRHNVRLQQKLEECRG >ONIVA04G12410.1 pep chromosome:AWHD00000000:4:15155482:15156285:-1 gene:ONIVA04G12410 transcript:ONIVA04G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPRDRTLSSRRASSATSAVPSYYQKTKKASKENGLQLTSEKKDWKRATCSICLEHPHKAVLLLCSSHSKGCRPYMCDTNRQHSNCLEQFKNAYSRGKPACELSGAVAQASKKPQEMELVCPICRGDVKGWTVVEPARRFLNRKRRTCMHEGCSFGGSYRKLRNHVRSNHPSSNPREIDSASLAEWKELEYEKDRQDAISIITALNPGSTIMGDYFIDPNSDSNDSFDYSSDSLTFSDSDPGTQLNGSTSSRRPARIIPNARVRY >ONIVA04G12400.1 pep chromosome:AWHD00000000:4:15152695:15157062:1 gene:ONIVA04G12400 transcript:ONIVA04G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTASPAAAREAMIEPASTPLLRRRGSYTRSMSHARDELGSFRSCLRWMCVEHSDGSSAVASWLVFTLLAVAVPAAARAALPRRAYDGQILRMTGFARDFGQCADVADVLRQHRRIREQLRRISHRYRKFIVSCLLLVTASQFSALLAATRPHAQVNIATSGELALCSLSLVTGLLICLHSAAKITHKTQAITSVAAQWHADATINSQERDHENPRTPIKASSYLHAAGPVVPQPAPNASSSGDESEDETSPSDDGLDGTKIVSFHATHISFQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS >ONIVA04G12390.1 pep chromosome:AWHD00000000:4:15150342:15151040:-1 gene:ONIVA04G12390 transcript:ONIVA04G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFSGRSKRPERKSGGGGGGGRDHAAVMAKEKAAGAGARQRNGRCRALCCGASRLSVSSSASCSSVEYAVEQRLPPPQSRGLSNLAHGMVQARLQSMIDAAAGRSSAASRPRPLPRHGTTETAAERQVQRGGPCRCACNYCGGHYDDGGGGASCGQRRPCVVLVAVDRRTSDPREEFRRSIAEVITAKRMAEPAELRALLNCYVSVNAREHRAAILEAFHEVCSGLFSRKR >ONIVA04G12380.1 pep chromosome:AWHD00000000:4:15147951:15149851:1 gene:ONIVA04G12380 transcript:ONIVA04G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHVEAVEEGSEAAAAVFASRYVQDPVPRYELGERSISKDAAYQIVHDELLLDSSPRLNLASFVTTWMEPECDRLILEAINKNYADMDEYPVTTELQASNQTNQTIYPRRLHILSLHCVVVDNRCVNIIARLFNAPVGDGEKAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAAGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLTEGCYVMDPVKAVDMVDENTICVAAILGSTLTGEFEDVRRLNDLLAAKNKRTGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWGYKSVMKNCMESARTLREGLEKTGRFTIISKEEGVPLVAFTFKDGAGAQAFRLSSGLRRYGWIVPAYTMPAALEHMTVLRVVVREDFGRPLAERFLSHVRMALDEMDLAARAPVPRVQLTIELGPARTAGEEASIRVVKSEAVPVRKSVPLVAGKTKGVC >ONIVA04G12370.1 pep chromosome:AWHD00000000:4:15134072:15141259:1 gene:ONIVA04G12370 transcript:ONIVA04G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSSGRCSRTPGFPAGPLGGGGRAVPALGLGTASLRSVGEESFRGALLAALEVGYRHIDTASVYGSERVVGEAVAGAARRGVIACREEVFVTTKVWCTQCHPDLVLPSLRESLQNLQMEYVDMYLVHWPMSVKPTKPHYPMKREDIMPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLAFAKIPPAVNQVELNPVWQQKKLMEFCKAKGIHVTAYFPLGGRHSTSTVNPVLDSDVLKEIAAAKGKSVAQISLRWIYEQGASMVTTSTKRERLKENIDIFDWQLSDEDRLKISQIPQHKTVSVLSILCPDGVSSVELSEVDVVESTTNFRPDSTNSIIVRLQNNPAIRCILFHRAGGRAMAVVVPEAVLRHGDARPMPAVGMGVAEYPSTPERTRDAVLAALEAGFRHFDTASLYRTEAPLGEAIAEATRRGLLASREEAFVTTKLWCTQCHPDLVLPSLRESLRNLQMEYVDLYLIHLPISVKPGPMVFPVKKEDVVPFDFGGVWRAMEECHRLGLAKAIGVSNFTTKHIDKLLAVATILPAVNQVEMNPTWQQRTVREYCDAKGIRVTAYSPLGGQNWGGSANYVMESSVLTEIARARGKSIAQVSLRWIYEQGVTPIAKSYRKERLKENLEIFDWELTDEDRLKISQIPQRKRVTAASLFSPDGEFTSVDLPDIEIVEE >ONIVA04G12370.2 pep chromosome:AWHD00000000:4:15141035:15144721:1 gene:ONIVA04G12370 transcript:ONIVA04G12370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAAAEQLGRHRAHARAFLDRSVGRELARPAMAAVPEVALRHGAGRPMPAVGVGTADSAATSPETKRGAALAALEVGFRHFDTAALYGTEAPLGEAIAEATRRGLVASREEVFVTTKLWCTQCHPGLVLPSLRESLRNLQMEYVDLYLVHWPISVKPGPPMLPVKRGDAVPFDFEGVWRAMEECHRLGLAKAIGVSNFTTKHLDKLLAVATIPPAVNQVEMNPVWQQRTVREYCAAKGIRVAAYSPLGGQNWIGEGNDVMESPVLADIARARGKSIAQVSLRWIHEQGVTPIPKSYNKERLKQNLEIFDWELTKEDRLKISQIPQKKIVTAARMFSPDGEFASVDLSDMEIVEE >ONIVA04G12360.1 pep chromosome:AWHD00000000:4:15131785:15133677:-1 gene:ONIVA04G12360 transcript:ONIVA04G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1G2] MALSTAQTGESMHSSTFASRYVRTALPRFRMPEKSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNRMKAEGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLTQGYYVMNPEKAVEMVDENTICVAAILGSTLNGEFEDVKMLNDLLTAKNAETGWNTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPDELIFHINYLGADQPTFTLNFSKGSNQIIAQYYQLIRLGFEGYKDIMQNCRDNATVLREGIEKTGHFDVVSKDSGVPLVAFSLKDSSRYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRGLAERLITDLTKTVADMDAHAVKKAAAEPAKKTVREIEKEVTTYWRSFVARKKSSLVC >ONIVA04G12350.1 pep chromosome:AWHD00000000:4:15129899:15130357:-1 gene:ONIVA04G12350 transcript:ONIVA04G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLRYYAAAFDAVDAAGLLETSPARAKVEEMFAREIRNAVAFEGAERFERHESFAGRRRRMEDGGGLQWGSKAEEKCLLPSSSLARRPRRQPSPPVSLRPDGSLPPAVAAAPLVLPLPRASAAEPPRCAPMPPTAAPLVLPPPLPTEKERI >ONIVA04G12340.1 pep chromosome:AWHD00000000:4:15122839:15127275:1 gene:ONIVA04G12340 transcript:ONIVA04G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1F9] MCFASMEAAGSRGMGKGASRRRTARSTAPVGALVERVVVAPAPVEQQRGAGRPEAHPQSVAARAVVTVRRRRKEDAKDRFAEQLDALADRVGRSVLLELVSTETDPRKGTPKKSKPSALVGWFDKKDVKAERVVYTAEFAVDAGFGEPGAVTVLNRHQREFYIESIVVEGFPTGPAHFTCNSWVQPTRVSRDRRVFFSNRPYLPSETPPGLRELRLRELADLRGDGTGERRITDRVYDYDVYNDLGNPDKGVASARPVLGGEQMPYPRRMRTGHASAESRVEYPEPIYVSRDEEFEEGKNEMLSEGAIKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQVSRGAHDQHGKTLQLPKQSSHEFVVLAVAVHAFPPVSKLDPAVYGPPESAITEEHIIGHLDGMSVQEAVEGSRLYMLDYHDIFLPFLDRINAQDGRKAYGTRAVFFLTAAGTLKPIAIELCLPPMTDGCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGNVCMEMSAFAYRELWRLDQEGLPADLIRRGMAVEDPSQPHGLRLLIEDYPYAADGLLLWSAISRWCEAYVAAYYPSDEAVQADYELQSWYAEAVQSGHADKRGAPWWPRLSTPGDLASLLTTLVWLCSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEEYLGERPDEAWTADPAALAAAREFAADVRRAEEEIERRNADPSRRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI >ONIVA04G12340.2 pep chromosome:AWHD00000000:4:15122839:15127275:1 gene:ONIVA04G12340 transcript:ONIVA04G12340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1F9] MCFASMEAAGSRGMGKGASRRRTARSTAPVGALVERVVVAPAPVEQQRGAGRPEAHPQSVAARAVVTVRRRRKEDAKDRFAEQLDALADRVGRSVLLELVSTETDPRKGTPKKSKPSALVGWFDKKDVKAERVVYTAEFAVDAGFGEPGAVTVLNRHQREFYIESIVVEGFPTGPAHFTCNSWVQPTRVSRDRRVFFSNRPYLPSETPPGLRELRLRELADLRGDGTGERRITDRVYDYDVYNDLGNPDKGVASARPVLGGEQMPYPRRMRTGHASAESRVEYPEPIYVSRDEEFEEGKNEMLSEGAIKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPVSKLDPAVYGPPESAITEEHIIGHLDGMSVQEAVEGSRLYMLDYHDIFLPFLDRINAQDGRKAYGTRAVFFLTAAGTLKPIAIELCLPPMTDGCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGNVCMEMSAFAYRELWRLDQEGLPADLIRRGMAVEDPSQPHGLRLLIEDYPYAADGLLLWSAISRWCEAYVAAYYPSDEAVQADYELQSWYAEAVQSGHADKRGAPWWPRLSTPGDLASLLTTLVWLCSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEEYLGERPDEAWTADPAALAAAREFAADVRRAEEEIERRNADPSRRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI >ONIVA04G12330.1 pep chromosome:AWHD00000000:4:15105802:15106005:1 gene:ONIVA04G12330 transcript:ONIVA04G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEIPNFFRDVTYRCRVIRTFGKRKPGQDRHLRSKKVNLICSPEMIPEMMEYLKIVLPQGIQVPD >ONIVA04G12320.1 pep chromosome:AWHD00000000:4:15101105:15101800:1 gene:ONIVA04G12320 transcript:ONIVA04G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDKFIAVVKETKPRWDLRAATRCLEAVLARLLPPRQRRSSHSRRRPPNHGIDNDDDRDIGTDRALSRLFVKGISLATLMRCASSTRAGSSTLVPWISSSRPARRPLWTPTFGPYPSNVLISSASDMRNDRQRRYNKKTDGFRVCTACGGG >ONIVA04G12310.1 pep chromosome:AWHD00000000:4:15087514:15093057:1 gene:ONIVA04G12310 transcript:ONIVA04G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSPPTLCTLLPSSSSSSSSSSGHPHHPRQPTAAGGWLPPRLRSSGAKPPPTPRLESPTTRQQQQAPRRLLGSDRRLSALVHRGDLDAALRLVESSPRPPDAALANRLVRDLCRRGRPDDAERVVGACGPAATVVAYGALTDGYCRAGRLGDARRVVGGMPVQPNAYTYNPLIHTLCERGQVRDALSVLDDMLCRGCAPDVVTYNILLEATCKGRGYRQAMELIDLMRAEGCTPNNVTYNVLMDGMCGEGDVDDALELLRNLPSHGCKPSTVNYNTVLKGLCSAERWGDADELVTEMLRENCPPNEATFNVVIYSLCRKGLLQQAIQLLEKMSKHGCTANIVTYNAIINGLCEQRNVDGAMGLLSKMKSYGCKPDIVTYNTLLKGLCSAARWVDAEELMDNMTQNGCLPDNVTFNTLIGFLCQKGLMVDAIEVFKQMPDKGCTPNSITYSTIISGLAKATKLDQALELFNEMGHKGFNPDKIYQLLAECLNDDDTIEEAIQTVRKLQDSGISPHTVLYNAILLGLCRNGKTEFAIDIMAYMVSSGCMPDDLTYVILIEGLAYEGYLNEARELLIKLCSRDVLVNSLIKSEALLLQAYQCAIAYMNVYIDAIIYGSTGTGEDARQAKLEDYCR >ONIVA04G12300.1 pep chromosome:AWHD00000000:4:15080043:15082616:-1 gene:ONIVA04G12300 transcript:ONIVA04G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYLMCKRTTPKPTHGKEISEKGKPNLQFEDLPADLICTILSKLPQKEAIRTSVLSSKWRSMWTLRSKISLDGGAVCGSRRRGQNKYCQRFINNVEKVLQNYQGKMVEAFGIKFEFDSILVDNLNKWVSFAVSARTKHLSFDLVPIRFARCDDRFIFPFELLDSGSICRLQHLQFSFISLQPPSWFGGFPNLRKLELNLVHVTRKELENMLCNCCCLEWLRMVRCHLKDDLRVDRPMSHLAYLLISCCVITKIELHATKLSTFIYEGEFVPIVLNHTSKLNLWSLDNSLKFSCLKHIQLFAHILSHGSDKILYLAYVMRAAPFVEKVEVHFASGHSLWFAQEGPLRHELGHDEYKYLKNLCVTGFKGARGQLEFLLHVVENTTALDVITVDTTERMLESDIKNDYLCSIARQTIELHIREALPPKAKLFVL >ONIVA04G12290.1 pep chromosome:AWHD00000000:4:15077442:15078977:1 gene:ONIVA04G12290 transcript:ONIVA04G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREANSIDQEASASSSEDAANEDKPAEQGVSGTIDRIVTFIGDRGSNLNNLGEMLKQWEGSCNRYGTFLLHIGQVQFSERNGPVPVVIDRTTTVSRGVGCTLLHLGMALGSGGEVVRRVGVACTAASMLNDTPGLLALLDARSQEQPLKILLKSVEDAKDFISNWKINKDVVEVDVCPALTLDEVGAIRRLFQVEKADKFLTGDVVKVKLGQYEAIQAARNLPELPVSAVCAILAAAEKGKNKGAEENGGEKAADVGAQGQQKPSAYLSVMLLFSTWYSKVSIGINSK >ONIVA04G12280.1 pep chromosome:AWHD00000000:4:15070688:15075110:1 gene:ONIVA04G12280 transcript:ONIVA04G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1F3] MSSFWGAEVKPGKPYTHTHIPRLGRLRLTQATLGGEAGKVEKGGGGKKNVVQLQCTVKNKDPVFLCALVPGQSETCHLELEFEEKHVTFSVLGPRSVHLAGYYIGDVYGEEIDDSDTGSDSLQGSDDDAFLDTDDDDSGADDGTVLIPLSPGNSDGEDDDSEYDSEDDDSEDDDSEMIYNQRRGKSSVVIEEIQEDDKPAAGGAQKGSNKKQTSENGDDSKLQLVVRTPPAESLESEDEDGFPVSFSESKKRTDGSSKKKGNLNNKMSTEDRKRKSSAVGDHHDPSGEVKDENDGVSKKNKKAKAKKTAVGGVEKEIKQEDSPADLVDAKQKKNKNKNTSEAEAGAHQNTDKTKHIHTDAEEDTAQEASKKKKNKKKKTQEKNESENQTPKTQEKNAKGNQTSNTDLTGSESKKQPLQTRTFGNGMIIEEIEMGKPDGKKASPGKKVSVKYIGKLKNGTIFDSTVGRRAFDFRLGIGEVIKGWDIGINGMRVGDKRRLTIPPSMGYGNKRMGPIPQNSTLVFDVELVNVK >ONIVA04G12270.1 pep chromosome:AWHD00000000:4:15060643:15063186:-1 gene:ONIVA04G12270 transcript:ONIVA04G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAAATPAKASPKKANLLDPHSIKHLLDETISDVVKSKGYAEDTRLGNWKLAIGAAVIAIALLAQFYPKKFPQNRDYTKEKDAIMFTHPPAGSFNSTGLVISSKLPRFSDMYTITIASADPQSISANKPVHFTKSVTKWFTKEGVLVEGLFWKDVERLIDDYNTERKGK >ONIVA04G12260.1 pep chromosome:AWHD00000000:4:15057138:15060052:1 gene:ONIVA04G12260 transcript:ONIVA04G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 4 [Source:Projected from Arabidopsis thaliana (AT2G06010) TAIR;Acc:AT2G06010] MASSSRGGGGGGVGPDGGVGDGPTTLDELYKINVVPAELHFKFRKELQGLRVGLNFEFYNLEVNDFEAKVVLKPLDYERKWKFHYKPISGDIQLLSKKIPVTKFLNLQVGIGHNFHLNATGWKWKLSTCLGGDGVSQIRNKSTLSMFPGFDLRVGWRAEYVLPEIHGAVGTGEPAFSMNYGRLQASIDRVEAIVTQSDRY >ONIVA04G12250.1 pep chromosome:AWHD00000000:4:15053406:15055733:-1 gene:ONIVA04G12250 transcript:ONIVA04G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G06000) TAIR;Acc:AT2G06000] MTTPAAAAAASSSSASSPPNPPPPSLSLMPSRLHALLCIYLPHPRPLARLLHYSAAGPPSQQQQLPPSSSPPSPSHASAELWIAKALASAALLRPRHLLGFRRIDPSPLAAVAALRLAPCASSALAVFTALHCSPLSITPSAHSCQQIIVVLCRSGRQADALQLFDQMTTHYGYSPDARFLSFLVSSCTCANLLDASATLLSKASEFGCRVEAYAYNKLMSSLIGRGRVHDVVALFERWIQDRVYSPDVWSFNVVIKGVCRVGQVQKALELVERMNEFGCSPDTVTHNIIVDGLCRTNEVSRGHEVLRRLQRDGVCMPNVVTFTSVISGYCKAGKLEDAMAVYNDMVASGIMPNTVTYNVLINGYGKVGDLGSAVEVYQQMTRLRCPPDVVTFSSLIDGYCRCGQLDDALRIWSDMAQHRIQPNVYTFSIIIHSLCKQNRSDEAIRLLNELNLRPDIAPQAFIYNPVIDVLCKCGKVDEANLIRKGMEEKGCRPDKYTYTILIIGYCMKSRISEAIMFFHEMVEAGCSPDSITAGMPNEVDHVMRLASGGASSIQEFPSPDIQIREPQM >ONIVA04G12250.2 pep chromosome:AWHD00000000:4:15053341:15055733:-1 gene:ONIVA04G12250 transcript:ONIVA04G12250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G06000) TAIR;Acc:AT2G06000] MTTPAAAAAASSSSASSPPNPPPPSLSLMPSRLHALLCIYLPHPRPLARLLHYSAAGPPSQQQQLPPSSSPPSPSHASAELWIAKALASAALLRPRHLLGFRRIDPSPLAAVAALRLAPCASSALAVFTALHCSPLSITPSAHSCQQIIVVLCRSGRQADALQLFDQMTTHYGYSPDARFLSFLVSSCTCANLLDASATLLSKASEFGCRVEAYAYNKLMSSLIGRGRVHDVVALFERWIQDRVYSPDVWSFNVVIKGVCRVGQVQKALELVERMNEFGCSPDTVTHNIIVDGLCRTNEVSRGHEVLRRLQRDGVCMPNVVTFTSVISGYCKAGKLEDAMAVYNDMVASGIMPNTVTYNVLINGYGKVGDLGSAVEVYQQMTRLRCPPDVVTFSSLIDGYCRCGQLDDALRIWSDMAQHRIQPNVYTFSIIIHSLCKQNRSDEAIRLLNELNLRPDIAPQAFIYNPVIDVLCKCGKVDEANLIRKGMEEKGCRPDKYTYTILIIGYCMKSRISEAIMFFHEMVEAGCSPDSITVNCFISCLLKAGMPNEVDHVMRLASGGYSDKRTSNVNILHLDQNYQETNRKQLHTDQ >ONIVA04G12240.1 pep chromosome:AWHD00000000:4:15050010:15053437:1 gene:ONIVA04G12240 transcript:ONIVA04G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSMPVVSKIFCSSTLTTLMIRRRPTVVNGGGFVVTDLGNNVVFIVDGCGILGSKGELLVKDGDGEPILFISRKGGIIQALSTWNKWNGYSMDYQGKKKLIFSLSDPKSCIAKGAPIRIHIEPKRHCKNWDFEISGSFADRNCTITDCTGTIVGQMGKTEQIGTNDFYHVVVQSGCDKAFIIGAMAVLDNIHGESTRC >ONIVA04G12230.1 pep chromosome:AWHD00000000:4:15043653:15044138:-1 gene:ONIVA04G12230 transcript:ONIVA04G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKHNQPANHKGDLPTTSSPAPTPQLARRRLIMGEAQAPAAASFSLAQRRGGGLRLPRRVGRRKVHVVRLGGGCSSARTGGGGRGLCLRRLRLRWLRRAVWRLAELCVAALSGPLGAGAAAAATDAPSPWGGGAAVAVDPYAFAAPFVPAVLLKRAGKGY >ONIVA04G12220.1 pep chromosome:AWHD00000000:4:15036866:15041326:1 gene:ONIVA04G12220 transcript:ONIVA04G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM1B [Source:Projected from Arabidopsis thaliana (AT3G14080) UniProtKB/Swiss-Prot;Acc:Q8LFL8] MSSWAGPDEIFLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGELYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRKAEKAEREARDLKGSMRKRMEFLDFD >ONIVA04G12220.2 pep chromosome:AWHD00000000:4:15037517:15041326:1 gene:ONIVA04G12220 transcript:ONIVA04G12220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM1B [Source:Projected from Arabidopsis thaliana (AT3G14080) UniProtKB/Swiss-Prot;Acc:Q8LFL8] MSSWAGPDEIFLSTSLAGFLDSEALSLAGALRNLLSYYEMDGSCLAHSAHLISLGACERVIVGELYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRKAEKAEREARDLKGSMRKRMEFLDFD >ONIVA04G12210.1 pep chromosome:AWHD00000000:4:15031097:15035023:-1 gene:ONIVA04G12210 transcript:ONIVA04G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLSISKYVGPEFSEVDAFYERLLAGESGVGAIDRFDAGGFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALENAGLGKGSDGHTKLDKVRAGVLVGTGMGGLTVFSDGVQNLIEKGYKKISPFFIPYAITNMGSALLAMDAGFMGPNYSISTACATSNYCFYAAANHIRRGEADVIVAGGTEAAIIPIGLGGFVACRALSQRNDDPETASRPWDKERDGFVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCITQSLEDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFKNPSDIKINSTKSMIGHCLGAAGGLEAIAAVKAITTGWVHPTINQFNPEPEVDFDTVANEKQQHEVNVGESLYPCTNQRQKSLP >ONIVA04G12200.1 pep chromosome:AWHD00000000:4:15027185:15028438:1 gene:ONIVA04G12200 transcript:ONIVA04G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDAAASASPSPSPSPVASALPVADPVTVAAGPPSGLLALALPIQKQQHAASPNPGGGGGGREDAWSEGATAALIDAWGERFVALGRGSLRHPQWQEVADAVSSREGYAKAPKSDVQCKNRIDTLKKKYKIERAKPASSWQFFGRLDDLLAPTFNQKPGGNGGGGVGASVNGRNPVPAALRVGFPQRSRTPLMPAPVSAVKRRAPSPEPSASSESSDGFPPERQPAFPPLPLPPPPNGKRSRADEGRGGGGGGGGDRAQGLRELAQAIRRFGEAYERVETAKLEQSAEMERRRLDFASELESQRVQFFLNTQMELSQVKNHSSSPANAAAPPGATGGAGGTSRRMASVNDASASGNYHRRYRVSDGGRHRHHPQPPPSRPHYQYHENNIAVAAAAAASDGEQSDDEEDDEEEESQ >ONIVA04G12190.1 pep chromosome:AWHD00000000:4:15021259:15024217:1 gene:ONIVA04G12190 transcript:ONIVA04G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGMRVNVASLLALGDDTVELLGERKDGEALAQACAGARMLRSVCRSESDDLEVQMKVRDELDNLDSQRDSIEQRKEALRKMEKEMMKAQNMLSMCVSVTKIMPNFEDKDKISDIVDKNMKKLERFEFDKTTPPVDICNNLWKMV >ONIVA04G12180.1 pep chromosome:AWHD00000000:4:15019906:15020472:1 gene:ONIVA04G12180 transcript:ONIVA04G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLVFSLLLTVACSHAALAAAASSSAVEDTCAKATASGSRKDLAPFCVSTLQAAPGSAGADARGLAVIATNLTLANYTAAYATIKALQRRGGWSERERAALATCRQLYIEALNVVHSAIHALNTGQTQAYVADMGVVRRAATGCEDAFGFGGGGVGNQLATESPLHKVDDDAINLTTVATLIVLIL >ONIVA04G12170.1 pep chromosome:AWHD00000000:4:15018049:15018597:-1 gene:ONIVA04G12170 transcript:ONIVA04G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTTMVGVATSAHLHTTTTGRLSCACAPPTRGTRRRRLAVAVRVRVRVRASASEAMATEKLGVRVERNPPESRLSELGVREWPKWGCEKSKFPWTYSAKETCYLLQGKVKVYPDGAGEDFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYKFE >ONIVA04G12160.1 pep chromosome:AWHD00000000:4:15015834:15016481:1 gene:ONIVA04G12160 transcript:ONIVA04G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10250) TAIR;Acc:AT4G10250] MASMRTAAAAAMLACIAVVLASTAADGALLPWFGGGGARDEAVPELGLLAAADPFRILEHVPFGFDRDDVAMLSMARVDWRETGDAHEVVVDVPGMRKEDLRVEVEDNRVLRISGERRREETTEQKGGGDHWHREERSYGRFWRQLRLPDNADLDSIAASLDNGVLTVRFRKLAPDQIKGPRVVGIAAAGGDDGGKKSIGGAGEGQNQQAKKVEL >ONIVA04G12150.1 pep chromosome:AWHD00000000:4:15006905:15012116:-1 gene:ONIVA04G12150 transcript:ONIVA04G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYELNEIDDTLHGSVGSRLSLFARELKSRRSSSWHGGTALRLPKDLYESLVIHPNGRWYRIWANMMFLWSIYSTFFTPFEFSFFRGLPDQLLDLECVQLVFLADVAVHFFLAYRDPHTYRMVHDKRRIALRYIKGSFALDVLGCFPWDAIYKVTGRVEAVRWLVWVRLYRGRKVMAFFKRVEKDIRVSYLLTRIVKLITVELYCTHTAACGFYYLATTLPPAREGGTWIGSLSLGDARYINFREVDLLTRYVTSLYLAIVTMATVGGSGYGDIHAVNTREMAFTVVYISFSIVLSAYLIGNMTALIVKGSRTERFRDRMTDLIRYMNRNRLGSAIRSQVKDHLMLQYESSYTRDRVIVDDIPVAVRSKMSQTLYLDMVSRVGLFRGCSDDFLSQIVLKLHEEFFLPGEVILEQGTVVDQIYIVAHGCLEEVANGEDGSEEIISELRPYGIVGDVAVICNIPQPYTVRVCELCSLLRIDKQSLTSILQIYFKDNSQILSNLLKGKETESKRKQLESDITYLLAKQESELVLGVNNAAYHGDIFRLKSLISAGADPSKSDYDGRTALHIAALRGYENIVRFLIQRGANVNSIDRFGNSPLLQAVKSGHDRITSLLVEHGAILNLEDAGGYLCRVVRGGRIDLLKKLLRFGISPNCRNYDQRTPLHIAAAEGLHLVASTLIESGADIQAKDRWGNTPLDEGRRCSSKPLVRILEQARTVATN >ONIVA04G12140.1 pep chromosome:AWHD00000000:4:15002759:15006678:1 gene:ONIVA04G12140 transcript:ONIVA04G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYGSVTIVHDARSPEDVFQDFCGRRSGIVKALTIEVEKFYKQCDPEKENLCLYGLPNGTWAVTLPADEVPPELPEPALGINFARDGMQEKDWLSLIAVHSDSWLLSVAFYFGARFGFDKKARERLFMMTSSLPTVFEVVSGGVNTQSKTANGSSKNKSGSKPPKRPNSDSKPQRQVQAKYEEENGGRGNGGDEDQAETICGACGEAYANGEFWICCDICETWFHGKCVRITPAKAEHIKHYKCPGCSNKRTRE >ONIVA04G12130.1 pep chromosome:AWHD00000000:4:14997764:15002639:1 gene:ONIVA04G12130 transcript:ONIVA04G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSMMAQERGEPLLQSGNGAAGGGAKGSPPPALARTVLKVLMWAVFLTWAAAIFFYPTKPAQAAFEGWMAATKQSLFGITGSIFLAFSAPILIVAALAYVYISAFPSDHIHVEKNKLKTLCFRLWTFPVLVDGPFGVVSAVEFIGIVLFIVYVVYSMTYYAVESVSLISKFGQISLTYSELLLYIIGLRFGSVGLFCMAFLFLPVSRGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVIAWSLEGNLLGELAAWKEIGVANLPGVISLAAGLLMWVTSLHPVRKTYFELFFYTHQLYIIFVVFLAFHVGDFIFSFSAGPIFLFMLDRFLRFWQSRAKVDIISASCRPCGTVELVFSKPASLRYNALSFIFVQVRELSFLEWHPFSVSSSPMDGRYHMSILIKVLGSWTEKLRGIITDAQEQGRNGSESETGRITACVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEEGKPCMPKNVLVLWSVKKSNELSLLSAVDAQFISSSVSDKLHLDIQAFVTQESQPPLEEGIVGDDRKATGMFVKNGTTMSGLVGTGNNFWAGMYFAASTLGFVLAYALAQAYYVRRLNVFAWWHLGLVFVLCMAAGVALPGGLVVLLWHLSEKRKAEDDRWDAAAAAVPRAQDGEEEAEQTTNGADAADGGVSLAAVKTTRYGCRPQFEAEFAAFAEKAGGGAADVGVLVCGPPGLQASVARECRSHNLGRRGGRRRRAGAVFHFNSHSFDL >ONIVA04G12120.1 pep chromosome:AWHD00000000:4:14992185:14993594:-1 gene:ONIVA04G12120 transcript:ONIVA04G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSTKLRIPTSQQQQLDAAIMDGAHRSPAARPPAPPRSKMKLLLLVIATNLVSVYLFSGASLSLRLPAGAAAPSIHLWDSSALLRDLGATRAALAAARAEVAALRAQCNASSLLLESVLAGLGAAHGDKPAAADRGFDGWPEEPTGELRLATEPHRLPLGFSAKLGTDELHPGVGFACRNFQDELARYMAYDAGGECPDDADALELQLILKGCEPLPRRRCRPRSPARYVEPAPLPGSLWSIPPDTTVNWSPYACKNYTCLVGRARARGGGGGSYECKDCFDLAAGGKERRRWMSDNGGPGFSIDGVLASRAPGTVRVGLDIGGGAGTFAARMRERGVTVVTTTLDVGAPFSAFVASRGLVPLQLSLAQRLPLADGVMDIVHAMQLGGWVPGAVLELALFDVYRVLRPGGVFWLDHFACVGPRLNDTYAPILDRVGFRRLRWKASRKLDLGAERNEWYLSALLEKPLT >ONIVA04G12110.1 pep chromosome:AWHD00000000:4:14984031:14989284:1 gene:ONIVA04G12110 transcript:ONIVA04G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESSYLPATTESIAKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSELQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >ONIVA04G12110.2 pep chromosome:AWHD00000000:4:14984031:14989284:1 gene:ONIVA04G12110 transcript:ONIVA04G12110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESSYLPATTESIAKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSELQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >ONIVA04G12100.1 pep chromosome:AWHD00000000:4:14975670:14982140:-1 gene:ONIVA04G12100 transcript:ONIVA04G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEATTIEDTPTWIVAAVCSAIVLISFAFERSLHYLGKLYEALLKLKEELMLLGFISLLLVVFQEPIQRICIAESLMGHWLPCRSDGKASSHHGVAAASAAVVSGAGARRLLGEGTAGSGHCSSKGKVPLLSLHAIEQIHIFIFVLAVTHMRRWRHWENAIKADGDFGPKMINRAQQFKFIQDRYKGYHKRSFFKQFYGSVTKDDYTAMRLGFVMEHFRGHPKFNFYDYMIKALEKDYNRVVGIKWYLWIFVMIFLLLNITGWHSYFWISLIPLVLLLLIGTKLEHIITQLAYEVATKHTAVEGDIAVSPSDNLFWFHSPRLVLALLHFILFQNAFEFARRRGQSKRMGRRSSAAHRKSRRRCWLPRCGGRIIKAGRDSRTKHVRGANKGKQIR >ONIVA04G12090.1 pep chromosome:AWHD00000000:4:14971281:14973145:1 gene:ONIVA04G12090 transcript:ONIVA04G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGREEIEVMISSSSLDQLMYLLSPRNGDEEDEQEEIQELISDDEPPNLKLASCATAASSSSSGSDMEKGRGKACGGGSTAPPPPPPSSSGKSGGGGGSNIREAAASGGGGGVWGKYFSVESLLLLVCVTASLVILPLVLPPLPPPPSMLMLVPVAMLVLLLALAFMPTTTSSSSSAGDGGGGGRNGATTGHAPYL >ONIVA04G12080.1 pep chromosome:AWHD00000000:4:14963711:14969558:1 gene:ONIVA04G12080 transcript:ONIVA04G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRHLERSGSKRALDAGGGGGDDDDRAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAATPARIQGRSSPKRIEGPSGINLQLQFRSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGRVVTSGPESFAKLDVLVLEGDFNKEQDEDWTEEEFENHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGVRVKEAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNSNGIYTVEHFLQLLVRDQQKLRTILGSNMSNKMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQYISAENLSENQRLFADTLVKQAYDDWINVVEYDGKELLRFKQKKKSVTTRSDTAKASTSYPSSYGSTHSHKQLTGGPVNIEQSSMSSMSEDGTRNMSNGSLAARYAANPQDISQSITMPYDMSSLRPEEQFAGSSIQTQASRSSNMLALGPTQQQNFEFSALGQSMQPSPLNPFDDWSRLQENRGGVDDYLMEEIRVRSHEILENEEDMQQMLRILSMGGSSANMNHGDGFSPFMPSPAPAFNYEDDRARPSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >ONIVA04G12080.2 pep chromosome:AWHD00000000:4:14963711:14969566:1 gene:ONIVA04G12080 transcript:ONIVA04G12080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRHLERSGSKRALDAGGGGGDDDDRAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAATPARIQGRSSPKRIEGPSGINLQLQFRSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGRVVTSGPESFAKLDVLVLEGDFNKEQDEDWTEEEFENHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGVRVKEAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNSNGIYTVEHFLQLLVRDQQKLRTILGSNMSNKMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQYISAENLSENQRLFADTLVKQAYDDWINVVEYDGKELLRFKQKKKSVTTRSDTAKASTSYPSSYGSTHSHKQLTGGPVNIEQSSMSSMSEDGTRNMSNGSLAARYAANPQDISQSITMPYDMSSLRPEEQFAGSSIQTQASRSSNMLALGPTQQQNFEFSALGQSMQPSPLNPFDDWSRLQENRGGVDDYLMEEIRVRSHEILENEEDMQQMLRILSMGGSSANMNHGDGFSPFMPSPAPAFNYEDDRARPSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >ONIVA04G12080.3 pep chromosome:AWHD00000000:4:14963711:14969566:1 gene:ONIVA04G12080 transcript:ONIVA04G12080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLGYSASLTFVLPCFNTSLLAYLRNLSLCSVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAATPARIQGRSSPKRIEGPSGINLQLQFRSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGRVVTSGPESFAKLDVLVLEGDFNKEQDEDWTEEEFENHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGVRVKEAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNSNGIYTVEHFLQLLVRDQQKLRTILGSNMSNKMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQYISAENLSENQRLFADTLVKQAYDDWINVVEYDGKELLRFKQKKKSVTTRSDTAKASTSYPSSYGSTHSHKQLTGGPVNIEQSSMSSMSEDGTRNMSNGSLAARYAANPQDISQSITMPYDMSSLRPEEQFAGSSIQTQASRSSNMLALGPTQQQNFEFSALGQSMQPSPLNPFDDWSRLQENRGGVDDYLMEEIRVRSHEILENEEDMQQMLRILSMGGSSANMNHGDGFSPFMPSPAPAFNYEDDRARPSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >ONIVA04G12070.1 pep chromosome:AWHD00000000:4:14957556:14958083:1 gene:ONIVA04G12070 transcript:ONIVA04G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGMVEDAAAAAGEEGLTLSLSLQPSPPRFQALFSCCYCPRKFRSSQALGGHQNAHKLQRNLARRGREAAASLAAAAAAAAAAAASSGDQQQQGRTTAAAAAVLAGGESAPPAAARAAADLDGAGVWGGAGMRGRPAHHHRLMQGGYSSGGSSAAGGRGNGELADEMIDLSLKL >ONIVA04G12060.1 pep chromosome:AWHD00000000:4:14937701:14938525:1 gene:ONIVA04G12060 transcript:ONIVA04G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAVGDADGGVVSPGGGLQASPTVVVDGDVVLSGVVVVLVALAFVFVMHHFLAAMRRRDSDAGSSSASSGRQRGGRGVMAGVVGIDAAKAGGQGGVDPAVLRALPVTVHRAEAAPPLECAVCLAEVEDGEAARFLPRCGHGFHAECVDLWLRSHPTCPLCRLAVVADAAAGAAPPPLALPPAQPEPANYASATTTAQLPTNVLFWGSQGAVVTTTSSAAAAAASSSGGDEAAAAVLVVVEVPGTTTTKPQGDSARVGGSLRRMWSRGALPRT >ONIVA04G12050.1 pep chromosome:AWHD00000000:4:14932720:14936424:-1 gene:ONIVA04G12050 transcript:ONIVA04G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT1G26230) TAIR;Acc:AT1G26230] MAAPPPPLSGTGKPPTLPFSLKKPPPMPVYKDLHFNRDLSATKKLQAGVDLVARLVGVTLGPKGRNVVLSNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDVAGDGCTTSIILAQGLIAEGMKVLAAGINPVQIARGIEKTASALVSELRLMSREIEDHEIAHVAAVSAGDDYAVGNMISDAFKRVGRKGMVRIENGRGTENGLEIVEGMQFERGYLSPYFVTDRTNMSAEFTDCKILLVDKKITDASEIIRILDSAVKEDYPLLIVAEDVEEKAMADLIKNKLKGTIKVAAIKAPSFGEQMTQCLDDIAVMTGGTLVCEDMGYTLEKAGKEVLGSASKVVVGKDSTLIVTDGSTQHVIEKRVAQIKGQIENSSERYQKKILGERIARLCGGIAIIQVGAQTIIEMKDKKLRIEDALNATKAAIEEGVVIGGGCSLLRLSMKIDRIKESLDNMEQKIGADIFKQALSYPTALIANNAGVNGSFVIEKVLLNEDSRYGYNAAKNRYEDLMAAGILDPSKVVRCCIEHAAVVAKSFLTSDVVIVEAKEGKPVRIRPPMPPKSLIPPMPASASGIRV >ONIVA04G12040.1 pep chromosome:AWHD00000000:4:14918850:14927133:-1 gene:ONIVA04G12040 transcript:ONIVA04G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKVGFEKFDLEVIREVDLNKIEPWDLQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDADDSQSASSEDGWVVCRVFKKKCFFKIGGGGGGEGSGGSQGGDVGGGHLAVSPPLGGGHAMAAASHYMHPHHQYHHHHHHAAAASPFYYTQMPPPAAAAPPHAAYSHHVQVQDLLTNHRPSADAGYDFSGLPAVDHHHHHPGLDVGSSDGGGGVAAGGADGDQAAAAAAAGSTDQQQWQAMDGFSNGGAGAAAAVQQQLGAMSSGQRGGEMDLWGYGRLTFYIFGNASPSNLTQASYIIEAFQKCHVDHPVKKFFGECTDLKIKLDQCFRQEKALKRKANFEESKKFKEQNKDNYVICPFKFQVNQDTRTSRGAGEREKMDPVPLFNPCEMGRFTFSHRIVLAPLTRARSYGNLPQSHAILYYSQRATKGGLLISEATGVSSDAPCTNTPGIWTKEQVEAWKPVVDAVHAKGGIFFCQIWHVGRASDLEQEPISSTDKPVEKNEDMDFPVPRRLAVEEIPDVINHFRIAARNAIDAGFDGVEVHGAHGFLLEQFMKDGVNDRADEYGGSLQNRCRFALEVIDAVSTEVGPDRVGFRISPYISYYGCHDSDPDALGVYMARELDRRGVLYCSVVEPEMVAATTVVDCETTTTMSRRMMIPHRLHGMREAFRRGMFMVGGGYDRDAGNMAVASGYADMVVFGRLFLANPDLPRRFQLDAPLNKYDRATFYTHDPVVGYTDYPFLDDDREAMSDHTG >ONIVA04G12040.2 pep chromosome:AWHD00000000:4:14918850:14927133:-1 gene:ONIVA04G12040 transcript:ONIVA04G12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKVGFEKFDLEVIREVDLNKIEPWDLQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDADDSQSASSEDGWVVCRVFKKKCFFKIGGGGGGEGSGGSQGGDVGGGHLAVSPPLGGGHAMAAASHYMHPHHQYHHHHHHAAAASPFYYTQMPPPAAAAPPHAAYSHHVQVQDLLTNHRPSADAGYDFSGLPAVDHHHHHPGLDVGSSDGGGGVAAGGADGDQAAAAAAAGSTDQQQWQAMDGFSNGGAGAAAAVQQQLGAMSSGQRGGEMDLWGYGRLTFYIFGNASPSNLTQASYIIEAFQKCHVDHPVKKFFGECTDLKIKLDQCFRQETSRGAGEREKMDPVPLFNPCEMGRFTFSHRIVLAPLTRARSYGNLPQSHAILYYSQRATKGGLLISEATGVSSDAPCTNTPGIWTKEQVEAWKPVVDAVHAKGGIFFCQIWHVGRASDLEQEPISSTDKPVEKNEDMDFPVPRRLAVEEIPDVINHFRIAARNAIDAGFDGVEVHGAHGFLLEQFMKDGVNDRADEYGGSLQNRCRFALEVIDAVSTEVGPDRVGFRISPYISYYGCHDSDPDALGVYMARELDRRGVLYCSVVEPEMVAATTVVDCETTTTMSRRMMIPHRLHGMREAFRRGMFMVGGGYDRDAGNMAVASGYADMVVFGRLFLANPDLPRRFQLDAPLNKYDRATFYTHDPVVGYTDYPFLDDDREAMSDHTG >ONIVA04G12030.1 pep chromosome:AWHD00000000:4:14914710:14917417:-1 gene:ONIVA04G12030 transcript:ONIVA04G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFQKGEDQKGESANMKPIPLLSSYDMGKFNLSHRVVLAPLTRSRSYGNLPQSHAMEYYSQRATKGGLLIAEATGVSSDAQGMSVIPHTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRASDMEERPISSTDKPIEKTEENYFLGFSTPRSLTVEEIPDVIKHFTLAAKNALEAGFDGVEVHAANGFLLDQFMKDGVNARADEYGGGVAGRCRFALEVVDAVAAEAGAGRTGVRLSPYSRCLDCADSDPDALAAHMARELGARGVLYCNVVEPEMVATPAEGGGGGETMRIPHRLRDVREAFAGTLMVGGGYDREEGNWAVAGGYADLVVYGRLFLANPDLPRRFRLGAPLNGYDRATFYTADPVAGYTDYPFLDDDGDDGLAASAASASSNKSGDQDGV >ONIVA04G12020.1 pep chromosome:AWHD00000000:4:14906191:14910329:-1 gene:ONIVA04G12020 transcript:ONIVA04G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVGRRPMRTVGTGVAHHHAGAMRSGGRRPTSRRKVDSGRPTGAARQSRHRRVESLQAKAYPFGPAKTTPGSAAFPLGCCLHDFFLLVLQGSSRTTDKAAILWVAARNAIEAGKRHPITFFTPDILIRNILNYPNTGFDGVEIHGAHGYLIDQFLKDQVNDRSDKYGGSLENRCRFALEVVQAVTDEIGADKVGIRLSPFASYSEAADSNPEALGLYMANALNKFGILYCHMVEPRMVKLGEKFETPHSLRPIRDAFKGTFIAAGGYNKEDGNKAVSTGYTDLVAYGRLFLSNPDLPERFEIDAPLNKYNRETFYISDPVIGYTDYPFLPSDV >ONIVA04G12010.1 pep chromosome:AWHD00000000:4:14897086:14899498:-1 gene:ONIVA04G12010 transcript:ONIVA04G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMRRIENPVHRQVTFCKRRMGLLKKAKELSVLCDADIGVIVFSPHGKIYELATNGNMQGLIERYKNKSNLPEAQAESNEQNIPQVIQQDVLLLRQEVDLLQNSLRYMYGERDISHMNLGELQSLESNLEVWVNNIRSTKMQLMSREIEMLKNKEGILKAANDILQERIIAQTSIMDVGCNMMIPQVPFQLTTESNYYF >ONIVA04G12000.1 pep chromosome:AWHD00000000:4:14895661:14898284:1 gene:ONIVA04G12000 transcript:ONIVA04G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLSWDTAGQERFRTITSSYYRGAHGITIVYDITDMESFNNVKEWMSEIDKYANDIVCKLLVGNKCDLAESRVVETAAAQAYADEIGISFLETSAKDSIKKIWEPGSPGEEGIQSSSDERPANSAAAAAAATEEQLLFIMMAQWSGNFHELG >ONIVA04G12000.2 pep chromosome:AWHD00000000:4:14895661:14897054:1 gene:ONIVA04G12000 transcript:ONIVA04G12000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLSWDTAGQERFRTITSSYYRGAHGITIVYDITDMESFNNVKEWMSEIDKYANDIVCKLLVGNKCDLAESRVVETAAAQAYADEIGISFLETSAKDSIKKIWEPGSPGEEGIQSSSDERPANSAAAAAAATEEQLLFIMMAQWSGNFHELG >ONIVA04G11990.1 pep chromosome:AWHD00000000:4:14888905:14890497:-1 gene:ONIVA04G11990 transcript:ONIVA04G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYLLTVQQSLKIKFVSCMRARYKDTPGICTKEQVEAWKPIVDGVHAKGGILFCQIWHPNGQAPISSTNKSLKPAVRANGIDVATFSTPRRLETDEIPFVVNDYRVAARNTIEARFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEVVQAVADEIGADKVGIRLSPFASYSEGADSNPEALALFMAKVLNKFGILYCHMVEPRMVKLGEKFETPHSLRPIRDAFKGTFIAAGGYNKEDGNKAVSTGYTDLVAYVRLFLSNPDLPRRFEIDAAPLNKYNRETFYISDPVIGYTD >ONIVA04G11980.1 pep chromosome:AWHD00000000:4:14879130:14880733:-1 gene:ONIVA04G11980 transcript:ONIVA04G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMRRIENPVHRQVIFCKRRMGLLKKAKELSVLNMEGLIERYKCNLPEAQVESTEQNIPMVIQQDVLFLRREVDLLQNSLRYMYGEKDINHMNLGELQSLESNLEVWVNNIRSTKMQLMSREIEMLKNKEGILKAANDILQERVLLAINSCIL >ONIVA04G11970.1 pep chromosome:AWHD00000000:4:14874966:14875400:-1 gene:ONIVA04G11970 transcript:ONIVA04G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNLGNQIRIAILEKTQKLTANRRRKHDLPTEESPMRSSLNSFFCSGSKVSAGSKRKRIDAWTELERRLSRNKKVVRIWETT >ONIVA04G11960.1 pep chromosome:AWHD00000000:4:14871796:14879769:1 gene:ONIVA04G11960 transcript:ONIVA04G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTGDGGGSVLSFLCPLLKLLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSSENNVNPTTSAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGNSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTSGIVLEEVRDSIVFILGKAPVVSHILTTFLFLESLLSSSVQASILFLLLPADTFDPEQKKLQKWVKAGRAMNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQITQLWAASIASDPGILQTDDGRVDVAAGDGQLLARTAAAFFPGLRRRRAEWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAVAQAYADEIGIPFLETSAKDSINVEEAFLAIKSGSQAALERKASNLVQMKGQPIQQQQQPQKSSCCSS >ONIVA04G11960.2 pep chromosome:AWHD00000000:4:14871796:14878314:1 gene:ONIVA04G11960 transcript:ONIVA04G11960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTGDGGGSVLSFLCPLLKLLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSSENNVNPTTSAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGNSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTSGIVLEEVRDSIVFILGKAPVVSHILTTFLFLESLLSSSVQASILFLLLPADTFDPEQKKLQKWVKAGRAMNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQITQLWAASIASDPGILQTDDGRVDVAAGDGQLLARTAAAFFPGLRRRRAEWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAVAQAYADEIGIPFLETSAKDSINVEEAFLAIKSGSQAALERKASNLVQMKGQPIQQQQQPQKSSCCSS >ONIVA04G11960.3 pep chromosome:AWHD00000000:4:14871796:14878314:1 gene:ONIVA04G11960 transcript:ONIVA04G11960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTGDGGGSVLSFLCPLLKLLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSSENNVNPTTSAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGNSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTSGIVLEEVRDSIVFILGKAPVVSHILTTFLFLESLLSSSVQASILFLLLPADTFDPEQKKLQKWVKAGRAMNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQITQLWAASIASDPGILQTDDGRVDVAAGDGQLLARTAAAFFPGLRRRRAEEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAVAQAYADEIGIPFLETSAKDSINVEEAFLAIKSGSQAALERKASNLVQMKGQPIQQQQQPQKSSCCSS >ONIVA04G11960.4 pep chromosome:AWHD00000000:4:14871796:14878314:1 gene:ONIVA04G11960 transcript:ONIVA04G11960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTGDGGGSVLSFLCPLLKLLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSSENNVNPTTSAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGNSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTSGIVLEEVRDSIVFILGKAPVVSHILTTFLFLESLLSSSVQASILFLLLPADTFDPEQKKLQKWVKAGRAMNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAVAQAYADEIGIPFLETSAKDSINVEEAFLAIKSGSQAALERKASNLVQMKGQPIQQQQQPQKSSCCSS >ONIVA04G11960.5 pep chromosome:AWHD00000000:4:14871796:14878314:1 gene:ONIVA04G11960 transcript:ONIVA04G11960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTGDGGGSVLSFLCPLLKLLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSSENNVNPTTSAPTLQLRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGNSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTSGIVLEEVRDSIVFILGKAPVVSHILTTFLFLESLLSSSVQASILFLLLPADTFDPEQKKLQKWVKAGRAMNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAVAQAYADEIGIPFLETSAKDSINVEEAFLAIKSGSQAALERKASNLVQMKGQPIQQQQQPQKSSCCSS >ONIVA04G11950.1 pep chromosome:AWHD00000000:4:14859925:14860506:-1 gene:ONIVA04G11950 transcript:ONIVA04G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMGRSSSAMAAAAAGGGCQYRGVRRRKWGKWVSEIRQPGTKTRIWLGSFESAEMAAVAHDVAALRLRGRDAQLNFPGSVDRLPRPASSRPGDIRAAAAEAADRVRREPALVVVRGAAAAGGELAAARWAGVEVEVEQQLGGSDEEFEVDSPRLWAEMAEAMLLDPPVWAVDVSEMEGPHCWAHGSLWDAY >ONIVA04G11940.1 pep chromosome:AWHD00000000:4:14854909:14857126:-1 gene:ONIVA04G11940 transcript:ONIVA04G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPITFFTNIIGVVTIVLISIVSILGLICLCHSLNFQLLIKRRRRNYYQANDEQLSYFNGPWLTRITLILVALWWGVGEVLRLTFVNGEGRFISDQTWQASVCKFYIVSNLGFAEPGLFLLLAFLLSAALQNQEVGALNRKWNQRTICAVFMLCSPSLIWEACVVFIGPHIASNDGQTSKVAKYWYSASSVHDGDVACTYPLLSSIFLGTFYTVLTLYVIFVGGQILSLVINKGLRRRIYMLIFATGILLPRAMFLGFSVLPWPGEIVHESLVFVSFLVLMIAAMLGIVILVYFPVAETFEVRNQEHIELQTSHSIAL >ONIVA04G11940.2 pep chromosome:AWHD00000000:4:14854909:14857126:-1 gene:ONIVA04G11940 transcript:ONIVA04G11940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPITFFTNIIGVVTIVLISIVSILGLICLCHSLNFQLLIKRRRRNYYQANDEQLSYFNGPWLTRITLILVALWWGVGEVLRLTFVNGEGRFISDQTWQASVCKFYIVSNLGFAEPGLFLLLAFLLSAALQNQEVGALNRKWNQRTICAVFMLCSPSLIWEACVVFIGPHIASNDGQTSKVAKYWYSASSVHDGDVACTYPLLSSIFLGTFYTVLTLYVIFVGGQILSLVINKGLRRRIYMLIFATGILLPRAMFLGFSVLPWPGEIVHESLVFVSFLVLMIAAMLGIVILVYFPVAETFEVRNQEHIELQTSHSIAL >ONIVA04G11930.1 pep chromosome:AWHD00000000:4:14847181:14853095:1 gene:ONIVA04G11930 transcript:ONIVA04G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:esterase/lipase/thioesterase family protein [Source:Projected from Arabidopsis thaliana (AT4G10050) TAIR;Acc:AT4G10050] MEPAPLASLQEEGEGEPGESPSSAAAAVPPRPATHHSLHKYAPLDWSAYFDEERRVAIPDTEDVFNVYMAESEGPVVFCLHGGGYSGLSFALAASRMKEKARVVSMDLRGHGKSTTSDDSDLSIETLSSDVIAVLHTLYGDSPPAIILVGHSMGGSVAIHVAAKKVIRNLHGLVVIDVVEGTAMASLIHMQKILANRAQHFPSIEKAIEWSVKGGPLRNIDSARVSIPSTLKYDESREWYEGLSEKFLSCPVQKVLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFIARNKIGPNGVEIPGLIKKWQH >ONIVA04G11930.2 pep chromosome:AWHD00000000:4:14847181:14853499:1 gene:ONIVA04G11930 transcript:ONIVA04G11930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:esterase/lipase/thioesterase family protein [Source:Projected from Arabidopsis thaliana (AT4G10050) TAIR;Acc:AT4G10050] MEPAPLASLQEEGEGEPGESPSSAAAAVPPRPATHHSLHKYAPLDWSAYFDEERRVAIPDTEDVFNVYMAESEGPVVFCLHGGGYSGLSFALAASRMKEKARVVSMDLRGHGKSTTSDDSDLSIETLSSDVIAVLHTLYGDSPPAIILVGHSMGGSVAIHVAAKKVIRNLHGLVVIDVVEGTAMASLIHMQKILANRAQHFPSIEKAIEWSVKGGPLRNIDSARVSIPSTLKYDESREWYEGLSEKFLSCPVQKVLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFIARNKIGPNGVEIPGLIKKWQH >ONIVA04G11930.3 pep chromosome:AWHD00000000:4:14847181:14853511:1 gene:ONIVA04G11930 transcript:ONIVA04G11930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:esterase/lipase/thioesterase family protein [Source:Projected from Arabidopsis thaliana (AT4G10050) TAIR;Acc:AT4G10050] MEPAPLASLQEEGEGEPGESPSSAAAAVPPRPATHHSLHKYAPLDWSAYFDEERRVAIPDTEDVFNVYMAESEGPVVFCLHGGGYSGLSFALAASRMKEKARVVSMDLRGHGKSTTSDDSDLSIETLSSDVIAVLHTLYGDSPPAIILVGHSMGGSVAIHVAAKKVIRNLHGLVVIDVVEGTAMASLIHMQKILANRAQHFPSIEKAIEWSVKGGPLRNIDSARVSIPSTLKYDESREWYEGLSEKFLSCPVQKVLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFIARNKIGPNGVEFHRIVQMNHHL >ONIVA04G11920.1 pep chromosome:AWHD00000000:4:14840697:14843077:-1 gene:ONIVA04G11920 transcript:ONIVA04G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H1A3] MVSCSRMMIMSPSSSSSSWRALVLVAAAVLSFSGHVVVVAAAAGHPDYADALAKSILFFQGQRSGRLPPDQAVKWRSNSGLSDGSAANVDLTGGYYDGGDNVKFGFPMAFTTTMLSWGVVEYGGRMRGRVLRDARDAVRWAADYLLRAATATPGVLYVGVGDPDADHRCWERPEDMDTLRAVYSVSASSPGSDVAAETAAALAAASLAFRAADPGYSRRLLAAARDVMAFAVRHQGKYSDHVGGDVGAYYASYSGYQDELLWGSAWLLWATRNASYLDYLASLGANDGVDMFSWDNKLAGARRALVNGDRRLDAFRRQAEDFICRILPGSPSSTTQYTPGGMMYKSGHANLQYVTSASFLLTTFAKYMAVSNHTFSCQSLPVTAKTLRALARKQVDYILGANPQGMSYMVGYGARFPQRIHHRGASMPSVAAHPAHIGCQEGFSGYFNAGGANPNVHTGAVVGGPDQHDAFPDERGDYDRSEPTTYTNAALVGCLAYFAGSYRS >ONIVA04G11910.1 pep chromosome:AWHD00000000:4:14836785:14837276:-1 gene:ONIVA04G11910 transcript:ONIVA04G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMAAAAASTFLLIAALVVPTASASAAESAAGPYDPPTVPELMDRFGLPRALLPATARRYLLHDDGSFQLFLDGGCVAEAGVYRVGYGVKLSGAVAPGRATGLGGVRVRVLFAWVPVTAVEVAGGEVTVSLGPIKKSFPAAGFKSSPRCIAGAATPATASDG >ONIVA04G11890.1 pep chromosome:AWHD00000000:4:14821808:14822670:1 gene:ONIVA04G11890 transcript:ONIVA04G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGDLLLSRSDPVVSLRPAHADPPPATMGVSRSGGVDRGRGLPAAAVSARAARTPHPVVSFFSSTCPYT >ONIVA04G11880.1 pep chromosome:AWHD00000000:4:14801411:14809861:-1 gene:ONIVA04G11880 transcript:ONIVA04G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H199] MQDLSGARRPQPEGPIPTPLIARRRNAGGGPRQPLLRKRTMNTTSQIAIVGANVCPIESLDYEIVENDLFKQDWRSRKKKQIFQYIVLKWALVLLIGMLTGIVGFFNNLAVENIAGLKLLLTSDLMLKQRYFTAFLAYGGCNLVLATTAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGQGGLIMFDLSSTIPTYTAQDVVAIIVLGIIGGVFGGLFNFLLDRILRAYSIINERGPPFKILLTMIISIITSACSYGLPWLAPCTPCPADAAEECPTIGRSGNFKNFQCPPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFMEAHAEPFMRNLVAGDVVSGPLITFSGVEKVGNIVHALRITGHNGFPVVDEPPVSEAPELVGLVLRSHLLVLLKGRSFMKEKVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDLTDEELDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMHEHIHGLFPNLGKSH >ONIVA04G11880.2 pep chromosome:AWHD00000000:4:14801411:14809861:-1 gene:ONIVA04G11880 transcript:ONIVA04G11880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H199] MQDLSGARRPQPEGPIPTPLIARRRNVAGQRTRQDQRGNPTRATKMDGHPSPRSHHQPPPPERDGSFNYDIESLDGGGGGAWRGRYESSEALLRYDDEAGGGPRQPLLRKRTMNTTSQIAIVGANVCPIESLDYEIVENDLFKQDWRSRKKKQIFQYIVLKWALVLLIGMLTGIVGFFNNLAVENIAGLKLLLTSDLMLKQRYFTAFLAYGGCNLVLATTAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGQGGLIMFDLSSTIPTYTAQDVVAIIVLGIIGGVFGGLFNFLLDRILRAYSIINERGPPFKILLTMIISIITSACSYGLPWLAPCTPCPADAAEECPTIGRSGNFKNFQCPPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFMEAHAEPFMRNLVAGDVVSGPLITFSGVEKVGNIVHALRITGHNGFPVVDEPPVSEAPELVGLVLRSHLLVLLKGRSFMKEKVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDLTDEELDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMHEHIHGLFPNLGKSH >ONIVA04G11880.3 pep chromosome:AWHD00000000:4:14801411:14809657:-1 gene:ONIVA04G11880 transcript:ONIVA04G11880.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H199] MGGQRTRQDQRGNPTRATKMDGHPSPRSHHQPPPPERDGSFNYDIESLDGGGGGAWRGRYESSEALLRYDDEAGGGPRQPLLRKRTMNTTSQIAIVGANVCPIESLDYEIVENDLFKQDWRSRKKKQIFQYIVLKWALVLLIGMLTGIVGFFNNLAVENIAGLKLLLTSDLMLKQRYFTAFLAYGGCNLVLATTAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGQGGLIMFDLSSTIPTYTAQDVVAIIVLGIIGGVFGGLFNFLLDRILRAYSIINERGPPFKILLTMIISIITSACSYGLPWLAPCTPCPADAAEECPTIGRSGNFKNFQCPPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFMEAHAEPFMRNLVAGDVVSGPLITFSGVEKVGNIVHALRITGHNGFPVVDEPPVSEAPELVGLVLRSHLLVLLKGRSFMKEKVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDLTDEELDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMHEHIHGLFPNLGKSH >ONIVA04G11860.1 pep chromosome:AWHD00000000:4:14768149:14778436:1 gene:ONIVA04G11860 transcript:ONIVA04G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF482 (InterPro:IPR007434), Acyl-CoA N-acyltransferase (InterPro:IPR016181); Has 2165 Blast hits to 2163 proteins in 543 species: Archae - 0; Bacteria - 1044; Metazoa - 0; Fungi - 0; Plants - /.../ruses - 0; Other Eukaryotes - 1088 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G23390) TAIR;Acc:AT2G23390] MASPAVATRHPSPSFFGPDPRRRRGHSPSNLGFRRLGLQLKVTAIFGWIKGDTRTRELNPSAESYTLTGSASEADTKPREVSVAVVSSIMDIPSADWDACAVDSVDPDNFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILLRDTPYRDQVFEALVKELKSLTTKLKVSSLHITFPSEGEFSKLKDSEFLQRIGMQYHWRNRDYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSEHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKHVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGAAIGNYLTHETAQVKHVIKVLHDSGPYKEDILNEFLLTQGDGVRSCHRRKERARKKLSECHIDISAKIPTKKDIRYCLIMLFVQGYKDWFFLPPLINQRPRRTKLQCRKLSQTRKSFASCSLPLHLDCTGCFIRQAHHRLRKQPFDHLCSSSPRHRMAYTSRMNLKRKGK >ONIVA04G11860.2 pep chromosome:AWHD00000000:4:14768149:14777390:1 gene:ONIVA04G11860 transcript:ONIVA04G11860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF482 (InterPro:IPR007434), Acyl-CoA N-acyltransferase (InterPro:IPR016181); Has 2165 Blast hits to 2163 proteins in 543 species: Archae - 0; Bacteria - 1044; Metazoa - 0; Fungi - 0; Plants - /.../ruses - 0; Other Eukaryotes - 1088 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G23390) TAIR;Acc:AT2G23390] MASPAVATRHPSPSFFGPDPRRRRGHSPSNLGFRRLGLQLKVTAIFGWIKGDTRTRELNPSAESYTLTGSASEADTKPREVSVAVVSSIMDIPSADWDACAVDSVDPDNFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILLRDTPYRDQVFEALVKELKSLTTKLKVSSLHITFPSEGEFSKLKDSEFLQRIGMQYHWRNRDYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSEHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKHVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGAAIGNYLTHETAQVKHVIKVLHDSGPYKEDILNEFLLTQGDGVRSCHRRKERARKKLSECHIDISAKIPTKKDIRYCLIMLFVQGYKDWFFLPPLINQRPRRTKLQCRKLSQTRKSFASCSLPLHLDCTGCFIRQAHHRLRKQPFDHLCSSSPRHRMAYTSRMNLKRKGK >ONIVA04G11860.3 pep chromosome:AWHD00000000:4:14768149:14777034:1 gene:ONIVA04G11860 transcript:ONIVA04G11860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF482 (InterPro:IPR007434), Acyl-CoA N-acyltransferase (InterPro:IPR016181); Has 2165 Blast hits to 2163 proteins in 543 species: Archae - 0; Bacteria - 1044; Metazoa - 0; Fungi - 0; Plants - /.../ruses - 0; Other Eukaryotes - 1088 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G23390) TAIR;Acc:AT2G23390] MASPAVATRHPSPSFFGPDPRRRRGHSPSNLGFRRLGLQLKVTAIFGWIKGDTRTRELNPSAESYTLTGSASEADTKPREVSVAVVSSIMDIPSADWDACAVDSVDPDNFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILLRDTPYRDQVFEALVKELKSLTTKLKVSSLHITFPSEGEFSKLKDSEFLQRIGMQYHWRNRDYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSEHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKHVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGAAIGNYLTHETAQVKHVIKVLHDSGPYKEDILNEFLLTQGDGV >ONIVA04G11860.4 pep chromosome:AWHD00000000:4:14769892:14777087:1 gene:ONIVA04G11860 transcript:ONIVA04G11860.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF482 (InterPro:IPR007434), Acyl-CoA N-acyltransferase (InterPro:IPR016181); Has 2165 Blast hits to 2163 proteins in 543 species: Archae - 0; Bacteria - 1044; Metazoa - 0; Fungi - 0; Plants - /.../ruses - 0; Other Eukaryotes - 1088 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G23390) TAIR;Acc:AT2G23390] MQYHWRNRDYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSEHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKHVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGAAIGNYLTHETAQVKHVIKVLHDSGPYKEDILNEFLLTQGDGV >ONIVA04G11860.5 pep chromosome:AWHD00000000:4:14775538:14777034:1 gene:ONIVA04G11860 transcript:ONIVA04G11860.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF482 (InterPro:IPR007434), Acyl-CoA N-acyltransferase (InterPro:IPR016181); Has 2165 Blast hits to 2163 proteins in 543 species: Archae - 0; Bacteria - 1044; Metazoa - 0; Fungi - 0; Plants - /.../ruses - 0; Other Eukaryotes - 1088 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G23390) TAIR;Acc:AT2G23390] MSWISVSDTASSQRSNAHSTKVRIIMGRQAETRRNRNPHARTRAVRPAYGRRCSAAGERRKTKMASPWQQQGIGGDYGRPRNSMAFLALSTAITARN >ONIVA04G11860.6 pep chromosome:AWHD00000000:4:14775538:14777034:1 gene:ONIVA04G11860 transcript:ONIVA04G11860.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF482 (InterPro:IPR007434), Acyl-CoA N-acyltransferase (InterPro:IPR016181); Has 2165 Blast hits to 2163 proteins in 543 species: Archae - 0; Bacteria - 1044; Metazoa - 0; Fungi - 0; Plants - /.../ruses - 0; Other Eukaryotes - 1088 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G23390) TAIR;Acc:AT2G23390] MSWISVSDTASSQRSNAHSTKAETRRNRNPHARTRAVRPAYGRRCSAAGERRKTKMASPWQQQGIGGDYGRPRNSMAFLALSTAITARN >ONIVA04G11860.7 pep chromosome:AWHD00000000:4:14775538:14777087:1 gene:ONIVA04G11860 transcript:ONIVA04G11860.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF482 (InterPro:IPR007434), Acyl-CoA N-acyltransferase (InterPro:IPR016181); Has 2165 Blast hits to 2163 proteins in 543 species: Archae - 0; Bacteria - 1044; Metazoa - 0; Fungi - 0; Plants - /.../ruses - 0; Other Eukaryotes - 1088 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G23390) TAIR;Acc:AT2G23390] MSWISVSDTASSQRSNAHSTKAETRRNRNPHARTRAVRPAYGRRCSAAGERRKTKMASPWQQQGIGGDYGRRKSRVQD >ONIVA04G11850.1 pep chromosome:AWHD00000000:4:14756522:14767476:1 gene:ONIVA04G11850 transcript:ONIVA04G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0H189] MAAAMEMAANPGGSGTCSDALFRELWHACAGPLVTVPKRGERVYYFPQGHMEQLEASTNQQLDQYLPMFNLPSKILCSVVNVELRAEADSDEVYAQIMLQPEADQSELTSLDPELQDLEKCTAHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGTEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGESGELRVGVRRLMRQVNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFVVSVNKYLEAKKQNLSVGMRFKMRFEGDEAPERRFSGTIIGIGSVPAMSKSPWADSDWKSLKVQWDEPSAIVRPDRVSPWELEPLDASNPQPPQPPLRNKRARPPASPSVVAELPPSFGLWKPPSEAAQTLSFSEPQRAREIFPSIPASIFSASSHVEFNSKNEPSILSNQFYWSMRDSKTDSFSASTNKARVERKQEPTTMGCRLFGIEISSAVEEALPAATVSGVGYDQTVLSVDVDSDQISQPSNGNKSNAPGTSSERSPLESQSRQVRSCTKVIMQGMAVGRAVDLTKLNGYGDLRSKLEEMFDIQGDLCPTLKRWQVVYTDDEDDMMLVGDDPWDEFCSMVKRIYIYSYEEAKLLAPKSKLPVIGDTIKLSSMNSSHESVDLDNHASGARDSADWLQWRGLLPRVVIVASCRSE >ONIVA04G11850.2 pep chromosome:AWHD00000000:4:14756522:14767476:1 gene:ONIVA04G11850 transcript:ONIVA04G11850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0H189] MVLLGQKIPNSGWAAFDRRWRSKDGRGDDTDVNSFPALSDYIAPSAASSSVAENSRPKAKPFASVLRPSVDCAADGNENGNKHFTCHRENANYGLKSASENKIELLRGAHSWADSNLIEDVLASVNNDVGEASALLKAMASPCFPIREDGLPDQLSSEINKTHGLPSGNGTAENNLVNDSQLLPLPMNMSSVPIEPEVEELDDDYFNHRKDALKIMRAATKHSQAASNAFLRGDHAAAKELSLRAQEERSAAEELNKKAAKEIFRLRNSNNSIWKLDMHGLHASEAVEVLERHLHRIEFQSPGNNAASSDEVARSEPRVSGPSIEPGPGKVVFVRPIQAILEVITGIGKHSKGQASLPVAVRGFLIENGYRFDELRPGVFSVRPKFRRR >ONIVA04G11840.1 pep chromosome:AWHD00000000:4:14741393:14746376:-1 gene:ONIVA04G11840 transcript:ONIVA04G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPLDMWMYLSENEKFNDFSNEDALVWHEANIPYAVWGPTSTRTHTLTYYPSEAIKNNGSLYAHVYFARSGYPVDPTDPEYEQSSAFGRTHPVVAYLRKPKDGKKKSLLGDSSESNEQPPPKENKDSVDKDEGPVEYISYWKPNVTINLVDDFTRYPHNNVPPNVAPYLNVDPSSNNYYPTVFFNEFWLLRDKLIALNETVEELPLNLEVGPISMTKWQIFLQMEQSFQVHRSYGSMLEGEADELKRVFLEGNPYFLGLTMIVSLFHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFVCQLIIFLYLLDNETSWMILGSSGIGVCIEFWKIGKAMHVEIDRSGKIPMLRFRDRESYAQNKTKEYDAIAMKYLTYVLLFLVFCFSIYSLKYEKHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWVYPVDKKRVNEYGFGGEDEPQAPQTLEGSDPAAASQQAGAEAEAETSTEDKKTK >ONIVA04G11840.2 pep chromosome:AWHD00000000:4:14741393:14746430:-1 gene:ONIVA04G11840 transcript:ONIVA04G11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAAVAAGAAAGQQPQGRAQAARQAGGGLGQTIAGIVRMAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPLDMWMYLSENEKFNDFSNEDALVWHEANIPYAVWGPTSTRTHTLTYYPSEAIKNNGSLYAHVYFARSGYPVDPTDPEYEQSSAFGRTHPVVAYLRKPKDGKKKSLLGDSSESNEQPPPKENKDSVDKDEGPVEYISYWKPNVTINLVDDFTRYPHNNVPPNVAPYLNVDPSSNNYYPTVFFNEFWLLRDKLIALNETVEELPLNLEVGPISMTKWQIFLQMEQSFQVHRSYGSMLEGEADELKRVFLEGNPYFLGLTMIVSLFHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFVCQLIIFLYLLDNETSWMILGSSGIGVCIEFWKIGKAMHVEIDRSGKIPMLRFRDRESYAQNKTKEYDAIAMKYLTYVLLFLVFCFSIYSLKYEKHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWVYPVDKKRVNEYGFGGEDEPQAPQTLEGSDPAAASQQAGAEAEAETSTEDKKTK >ONIVA04G11830.1 pep chromosome:AWHD00000000:4:14722466:14727062:-1 gene:ONIVA04G11830 transcript:ONIVA04G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESRASSSPPPDAAVDWRGRPCEPRRHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSQAANVVTNFVGTIFLLSLLGGFLSDSYLGCFWTMLIFGFVELSGFILLSVQAHLPQLKPPPCNMAATDGGCEQARGIKASIFFAALYLVALGSGCLKPNMIAHGADQFAAAAAADNAKRLSTYFNSAYFSFCAGELVALTALVWVQTHSGMDVGFGISAAAMAAGLVSLVSGAAFYRNKPPQGSIFTPIARVFVAAYTKRKQICPSSSSDPVNAGVCEPAHLAGGSFRHASKFRFLDKACIRAAEQGPNTKPESPWRLCTAAEVRQAKTLLAVAPIFACTIVFNTVLAQLQTFSVQQGSAMDTALGGAGSSFRIPPASLQAIPYAMLLALVPAYELLLVPLMRRATGARSGITPLQRIGVGLCTVPLSMVAAATVERRRRDLSLSAGGAPPRAMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQARGAGMQSFLTALTYCSYAFGFYLSSVLVSLVNRVTASRGGGGHGGWLGDNDLDKDRLDLFYWMLAVLSVINFFCYLLCARWYNSGGADDGCDASASAQVAAEGDGNGKEII >ONIVA04G11820.1 pep chromosome:AWHD00000000:4:14718556:14721828:1 gene:ONIVA04G11820 transcript:ONIVA04G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGENRVDNHDDDDDDWELAAGAALADVTLVLVGKVGSGKSATANSILGDEAFESKCSYAGVTQTCQKKSTTVQDGCLIRTINVIDTPGLFDMDIKAEDVRREIVKCMDMAKDGIHAMLMVFSATSRFSCEDEKTIETLKSFFGDKILDHMILVFTRGDEVGGETSWKNMLSDSAPTYLQDILKLFENRVVLFENKTSSTQDRQAQRKKLLDAVDFVVSSNHGKPFSNQLFTQIQEVHHRQKEANSEVYSSMQETDSYISLITKMVEEKLNGTILRMEQQLLKEQEARLDIQNEMTKAILRSEEDIRRLRLSLEKAEQESNNAREENKRFRESEKASKEQEKQTEAEIQKLKEKMEKDREEREEEIRRLRDDLEKEREERQKQSGCIIL >ONIVA04G11810.1 pep chromosome:AWHD00000000:4:14710097:14717853:-1 gene:ONIVA04G11810 transcript:ONIVA04G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRTTTATMIPAASSSRKRARVPTTGAGDGGGGGEGRLGELPDELLLSILSCLTTRQAVQTSVLSRRWRHLWRSTPRFDVDLAEFARPPPSSAPWLLHGRGSTDPWERLRGFTARLLMSHAAPVLDAFRLRVATPFHRRADVESWVRRGIRRRPAALELAVGPPPGRFAAFAPPSLPALTTTSSSSPSRLTRLRLRGVVLESAFAGDLRSGCPALVDMELDRCKCFFHELSSATLRSLAMESCLWMRRPSGTNGDRTVSVVAPRFAYLRLLTFGHGDCKVFRFESGDSISEVSIRGGFNLINLFRLLRMMPNVTTLRLSGFGPTSKYLRECSENFPDLHNLTTLLLDRCVMNYKFQILRLFLQNTPTLEKVILKNCELPPATTTSRSYLPAMEPVAKRRRRRQRHRSGGRSTSTTGGEDRLGALPDDLLHAVMSFMAAREVVRTCVLSKRWRHLWRSAPFLNLDGAEFMPLLGGGSPGEWERMDAFVTTLLRLRSRDETAVDSFRHFVDHLGAARQMGPRRRRAPATVLEINVVTPSYPPGHYDGYTMPDLIITSRAWRRLTRLHLSHAWLDGGFGEQLGDGCPLLEDLALRRCAMAPGFRRIRCGSLRTLVLHYTGCGGGDAGGGDEEEEEETLVISAPRLASVRVKITSYACRHGVSFDGSTADSLVEASIRVGRRRRRRRRRALPTGVEAVLLAGMVNVTTLELEGIQAKNCRTSAMEPVAKRPSRAGGGGSAAGDRLSALPDGLLHAVMSFLPAPGKPCRPACSPRGGYTSGAPCLASTSKPREFLGSIEYWGPNEKWGKVLDFTTNLLMFHHMLPL >ONIVA04G11800.1 pep chromosome:AWHD00000000:4:14704477:14704926:1 gene:ONIVA04G11800 transcript:ONIVA04G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQHLRAVLHAPADPCNEISRPLANDVIHIRSYAKTERIQGRSMVKHQQVRVEIPYLPPFLPDGAWEILAVEVEARQGTPQIPPPLGQHARLHRLPGGEGGQDGVEEAIRQSAQPIAGGSGSDEARFTYMTPPASAPPLQTSRTAAVD >ONIVA04G11790.1 pep chromosome:AWHD00000000:4:14688073:14710073:-1 gene:ONIVA04G11790 transcript:ONIVA04G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMNPGHFRHVDRWIRGGMKYCPQMVDIHVGICLNLVIIAPRLVSLRLLIRVRDGTVSLYGVNSLVEASIDVSNCQMSPSGEAMLLGALFSATNLELKGTRAMAILDEGLDKFPLFNNLRNLSLHCCLRDKGNLSDRFKALGRLLQKSPNLEKLTLQDCWFLGGSETQREKQKTSTCVPLKNQDQVSFQCQKLKLIEIKYNNELRCDHQLFQLMWAFWRNLKKTRIRSLSSHHPVTASVHNQSPAPIRINAFHRSFLFLYSFLVAMGTRVEDPPKPSAADGVAILPNRRRRCGWSGAAVLRPAESCILVGAGSAGDRLSALPDGLLHAILSSLSTRQAVQTCVLSERWRDLWRSLPRLHLDSEDFPGSIREKWGKIRDFNTNLLMLHHAPTLDSFCLSIGSDVDHVIGQRSRDLVTWIRGGMKYCPQVLGIHVLGSNCASYELPHLGSATCRLKRLYLHSSFLRLILDSRFAEQLRAGCPVLEDLDIDSCQIEFCHIQSDTLKNLGIRGCSSREFGGLVISAPRLASLRLDIPYYTHKNGVLLNGANSLVGASVSVIPCQISPEGQATLLCGLFNVTNLELDGIQAMTMLQDKFNKFPLFDNLRTLSLGSCFSDEVDLNDKFRALARLLEMCPNLEKLTLQSCWRFWDSEGKAKDQNRWALSPKSGPNVLPFALPDGLLHAVMSFLPARQMAQTCVLSKRWVHLWRSVPSLNLDIREFRNLSKEEDDDEDDDETWGKMKDFIANLLMFHHAPTLDTFTVCTGAFKLRLAIAVVAITVATMSTIPPWLTIAVAMSIRGGIKYCPRVLDIAVAPSCSGCRPPPDLGSGSCFRRLERLRLSCFALDSGFARQVRDSCPVLRCLELHRCHIEFSHIESSTLNKLVIEGCIGCSLSLAISAPRLASLCLDLSYGAYKNGVSLNTISLVEASVTLNVFQISPEGEAMLLCGLFIVTNLELEAIHAKLGAVLGAKLSAVGSAKGGGRERRKFYGCCNVNEKVILHEKFDKFSSFNNLRTLSLDDCFQGMGDLKEKFKALGRLLEKCPNLEKLTLQHCWWFYSKGRDRDQNEWTLSPKSGPSDLPLSEIEPVAKRARTGGGGGSAAVDRLSSLPDALLHAVMSSLPARQMVQTCVLSKRWVHLWRSVPSLNLDSREFLLPIYDRWQKMEDFTTNLLMFHHAPTLDAFSIRADVAVGKHGRHVVSSTAPECWTSRSQPLPDLASGSCRLGRLHLSYVALHSGFARQVRDSCPVLRCLELHRCLTKFSHIESSTLNRLVIEDSMGGSDSLAISAPRLASLRLAILHEKFDKSQSFHNLRTLSLDNCFQAEGDLKDKFRALGKLLEMCPNLEKLTLQHCWEVLLKGQRERPERVGSVSKRLEDKISERLKRGEDGETDLDSVPDPHDFNTSQCPNLKVIEIKYKYEKERETSKLLWDIWTNNEKTVVFTKTRSSRPLNCMGLFSIEYIYNSTDPKIRLIYFGTKRVYNIITILNYCIFLPMLTLSYIRFTMYGVYLFLLRAM >ONIVA04G11780.1 pep chromosome:AWHD00000000:4:14674079:14675934:-1 gene:ONIVA04G11780 transcript:ONIVA04G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARKRSCPDTISTGDRLSALPDALLHTILSSLKGRQMVETSVLSKRWRHLWRSVPCLDIDQREFAAASENWAISRSDLEKFEDFADNVLAYRCGSPAKLDTFRLRICDRYHSLRSSDTDRWIRRGLKCSPREFHLHFDYRYDSYLLEMHKLGSNSGCLTKLHLTNVSLHECFMEHITTVCTLLEVLELNRCSLYLQEITHPKLKNLVLHGPAVYDEDELPVGANAKCYKELIIRAPCLTYLNLVLPVDMRQITLKDAISCQSINPVVFPCKCNFLNVLFNVTSLELSGFREMVRLEFNQVEFHAFKNLRTLLLDRCRPSYNNELLRHLLQNSPNLEKLTVHCCKFSKGSLEWRKSSQHKNQVNCRKLKSTEIIYKDIDDVRELVDLLLDVSGHLPKNTIALTKI >ONIVA04G11770.1 pep chromosome:AWHD00000000:4:14668619:14673847:-1 gene:ONIVA04G11770 transcript:ONIVA04G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFEEPRSCRLSVGLDSIGLARYLRGSGDAAGPCAATAGCSDWNCSESRALQVSMDLDTTRKRPRESGVAVDRLSALPDCLLHVIMSFMKARQVVQTCMLSKRWEHLWRTVPCLDVDHREFQSTGEAAHGDNEVWQNFEDFADNLMLHHQIAHLDTFQLRVNDVYRWGQHASRWIRRSIKYNTKVPGIPRPGLSCSSWSLKRLHLSNICLDDLFAKHISSMCCSLEDLNLKGCRFAFNEITSHSLKSLVIDSCDSKLCPSKLVVTAPAIASLCLIVKLWFFPGGLIVNEMPFLSKASILVSATYDGKNFQHNQSKFLGSLCNVTTLELSGFQTMIIPEEPVELPEFKNLRILSLDKCDLSDNFQLLKHFLQNSPNLEKLTLRLCELPKDSKKRKGKAKAKKTRLVDIRCENLKLTEIIYDADDVLQLVGLLLNDSANLPKNNIKLSKVDRTSRN >ONIVA04G11770.2 pep chromosome:AWHD00000000:4:14667149:14673847:-1 gene:ONIVA04G11770 transcript:ONIVA04G11770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFEEPRSCRLSVGLDSIGLARYLRGSGDAAGPCAATAGCSDWNCSESRALQVSMDLDTTRKRPRESGVAVDRLSALPDCLLHVIMSFMKARQVVQTCMLSKRWEHLWRTVPCLDVDHREFQSTGEAAHGDNEVWQNFEDFADNLMLHHQIAHLDTFQLRVNDVYRWGQHASRWIRRSIKYNTKVPGIPRPGLSCSSWSLKRLHLSNICLDDLFAKHISSMCCSLEDLNLKGCRFAFNEITSHSLKSLVIDSCDSKLCPSKLVVTAPAIASLCLIVKLWFFPGGLIVNEMPFLSKASILVSATYDGKNFQHNQSKFLGSLCNVTTLELSGFQTMIIPEEPVELPEFKNLRILSLDKCDLSDNFQLLKHFLQNSPNLEKLTLRLCELPKDSKKRKGKAKAKKTRLVDIRCENLKLTEIIYDADDVLQLVGLLLNDSANLPKNNIKLSKVDRA >ONIVA04G11760.1 pep chromosome:AWHD00000000:4:14662580:14665660:-1 gene:ONIVA04G11760 transcript:ONIVA04G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGSRKRKRTPAAAAEDRLSELPDCLLHDILSHLKARQMVQTCVLSRRWRHLWRSVPRLDVDCKDFWSPPPASTQQQQQHAALLAAEFARFEDFADNLLLRRSAAAPLDALRLRVDERCQRTTYGRWVRRAMVMHAPAALEVVRHYGGGGAAAPLFAALPLSLPSGCHRRLTRLCLDGVTLPAGFDALLASGSGLPVLEDLELRAAHYPFARIASATLKKLAVERCGGGGAGYLTGDDGGVVVISAPRLSSLRLGIYLEPNWPAFAVEGPTPSLVEASIQVFHATAIDAHAPEPQITQRMSLLKSLCNLLAGISHVMPLQGEGNDPYNQAPAGQHYHNPDNNLAQYYQALPPIFQYQQPVQYYDIPLHPLFPPYNYQHPNWAAQHRQPMPLLQTMLDDNHGGLPVFSNLTTMVLRECNIHVNDSMKMLWRFLQNTPALEKLTLQNCKFSNGADVRKHGPKLKISSSLKFVDIIYKDVNHHDGEEDEYKDEDEDKDEHPEEVNKVLFIMSRKLKDVTVKVKKVDGYQ >ONIVA04G11750.1 pep chromosome:AWHD00000000:4:14654483:14661087:-1 gene:ONIVA04G11750 transcript:ONIVA04G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein [Source:Projected from Arabidopsis thaliana (AT1G33980) TAIR;Acc:AT1G33980] MAAARAHVKDPAHRTKVVLRRLPPAIAQQAVVEQVDARFGGRYDWSCFRPGNASQKNHRYSRLYLNFKGPEDVVEFAEVFNGHVFVNEKGAQFKALVEYAPSQQVPKSNTKKDARQGTIMKDPEYLEFLESISKPAEHLPSAEIQLERKEAERAAAGKEPPVVTPLMVYVRQQRAAKSMAQRSGSSRLSRKVAGVVTSSPSPSKRGSEKRRTSASTQYVLRENAKEKPTYILVPKRDEHAQREKDATSGGISGSAHVAENKKEKIVLLKGRARVDSNTSDVTSQQQSGTPMKNAAQSSSRQDPRLEGSGRIIKTILSNKEGRHVVTSQHDQEGHIITAEKRPPRIPNPRSIVKDQVVENAEKNHLDDKHSHLHGSGPISEKTERHARNRDRPDRGVWAPRRYDKSASGGTHSSSSEFSPMQQHSGENFCQQADGHGERKIDPRGHGGIRGGPVENGHRHANRRGPPRGLKEMEISASTSDGKPSKRASANYGAHEILIKTDIASCGVLDFAA >ONIVA04G11740.1 pep chromosome:AWHD00000000:4:14650396:14652799:-1 gene:ONIVA04G11740 transcript:ONIVA04G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGLQLRTKPAASSSSRAPPPPPARPLAAFADDGDDDVEADILRQSYKKRSQQKVEEQQKKAMEEDPSVFAYDEVYDDMKQKAALPKMQDRVVRESKYIAALKEKAEQRKREQDIIYERKLQKERSKEDHLYGDKDKFVTSAYRKKLEEERKWLEEEKRRQLQEEKEDVTKKKDLSDFYFGLSKNVAFGAQTHDNTKHAKPEKLDEKVQDAKTSKVGAEVSDRSPKRKRDSGEGAETANESRSVEEPATTQSRDSAAARSTEKNADVSLDAPQTQTPENTQPAPQTQNPQNTQPAQITDEHYKRNADALAAARERALARKKAKAQQI >ONIVA04G11730.1 pep chromosome:AWHD00000000:4:14643592:14649461:-1 gene:ONIVA04G11730 transcript:ONIVA04G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G16620) TAIR;Acc:AT5G16620] MESLVLASSCSASPRLPPLSAARRRRPSSQTLPATAAASGRRGAGRSKLVVVAAAAAAARGSGNGFEGLKTNGFASMSSSTNSENMSTGTGSLPPMPPPSSYIGSPVFWIGVGVALSAAFSMVSSMVKKYAMQQAFKSMMTQAPPNTFGSNSPFPFAMPPQAAPAAPSSYPYSQPRKDTSPQSATVDVSATKVEATGTLEEADVAEQPKKKFAFVDVSPEELQQKELQSSLETVDVKSESKQSETMEDTEQKAPTNGTAFKMNEGSASGTTESRPMLSVDTIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDMLNNMGGSPDQWDNRMLDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEDTIVYQ >ONIVA04G11730.2 pep chromosome:AWHD00000000:4:14643592:14649461:-1 gene:ONIVA04G11730 transcript:ONIVA04G11730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G16620) TAIR;Acc:AT5G16620] MESLVLASSCSASPRLPPLSAARRRRPSSQTLPATAAASGRRGAGRSKLVVVAAAAAAARGSGNGFEGLKTNGFASMSSSTNSENMSTGTGSLPPMPPPSSYIGSPVFWIGVGVALSAAFSMVSSMVKKYAMQQAFKSMMTQAPPNTFGSNSPFPFAMPPQAAPAAPSSYPYSQPRKDTSPQSATVDVSATKVEATGTLEEADVAEQPKKKFAFVDVSPEELQQKELQSSLETVDVKSESKQSETMEDTEQKAPTNGTAFKMNEGSASGTTESRPMLSVDTIEKMMEDPAVQKMVYPYLPEEMRNPDSFKNNMGGSPDQWDNRMLDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEDTIVYQ >ONIVA04G11730.3 pep chromosome:AWHD00000000:4:14643592:14649461:-1 gene:ONIVA04G11730 transcript:ONIVA04G11730.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G16620) TAIR;Acc:AT5G16620] MESLVLASSCSASPRLPPLSAARRRRPSSQTLPATAAASGRRGAGRSKLVVVAAAAAAARGSGNGFEGLKTNGFASMSSSTNSENMSTGTGSLPPMPPPSSYIGSPVFWIGVGVALSAAFSMKYAMQQAFKSMMTQAPPNTFGSNSPFPFAMPPQAAPAAPSSYPYSQPRKDTSPQSATVDVSATKVEATGTLEEADVAEQPKKKFAFVDVSPEELQQKELQSSLETVDVKSESKQSETMEDTEQKAPTNGTAFKMNEGSASGTTESRPMLSVDTIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDMLNNMGGSPDQWDNRMLDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEDTIVYQ >ONIVA04G11720.1 pep chromosome:AWHD00000000:4:14636613:14638892:-1 gene:ONIVA04G11720 transcript:ONIVA04G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHLLLLLLALLLSPPLLLASSSFPLPTIAIAAVSNSSSNPSKQHLACGLVPAAGGAAAGYRISCASVSNRSAAAPHVYAYGGDGTCSPYSAVVAGDGYLCSAAPTSSPPMSMRWWDLNEAGDGSKRVYRGRVLSAVSGGGESVCGLVGERMQCWRCPWGEGGPARVGFSAVAAASPPRISRAVSTVAVGDAVTCVLWGNWTVSCWPEGEASPPPALAGQQFVALEAKGKVVCGVLMSDYSLQCWGAGVAGGVRKVFDKVLPGPCAPSKSCSCGVWSGSAQLCAGSGGGGGGDVSVCYPCGYTPPPMALSPTSNSSSSSSSQSKGKRRPSNLAIALISAGAGSALVALLAALAAVYYLRRHRGSSSPVSGRIHAEPTGTAPRVERRLSALLSKGPNTTVEQFPLVALRAATDCFSPAKRIGSGSFGAVYRASLPDGREVAIKRAERRDTGGPSSSSAAAARRVDHEAAFVSELALLSRVNHKNLVRLLGFCADGGERILVYEFMPNGTLHDHLHRRAASAAAPLSPPLASWPSRLRLALGAARGIEYMHTYAVPPIIHRDIKSSNILLDSCWTAKVSDFGLSLLNTLDGDNAAAGDGGNAGDGDDEERCVTAGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELLSGCKAIQKYEGSGSPKNVVDMAVPHIEGDRVHRVLDARLPLPTPWEMEAVAYVGYLAADCVRLAGRDRPTMSEVVGVLERAVAACDEYEEGGAGAGGEPALSRSCTDGSTAT >ONIVA04G11710.1 pep chromosome:AWHD00000000:4:14620368:14624955:-1 gene:ONIVA04G11710 transcript:ONIVA04G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKRRPFFLLDPPKDLNPEDKVFQVREYLNRVNLYRERVWTCKVSGKSNLTYEEALVSEHHAAEKAQQLPRELIAPVLHMIQYSTLSLTDLVNKIYSILQEDFFEGLELNGRKDGSVSACKILKVIIGSGNTKMYEVGWIGQDNAETNTSVLQADDLVIRKKARASRSMLKIYIRESTSQNSPWIIHANLAKKYGIPTEPPKDLLNGQGLPKLRRGLENGTTDDVRKKLKKGEPIDDLLLRPTADDPSLSKRRPLSTDFRVPVDSVGDLLMVWDFCMTYGRILCLSPFSLSDLENAICHKESNLVLLVELHAALFHLLIKDGGGYFMFLQNKRRKLKVTLVTWAEYLCDFLEMTSKEEFSSSLSTELVDEAITTSAVRQNIDEKIDQQQAIAASKRELARNKKEEHKLAMEGVTEKEMSQTDAAENVNGNVNGQVVEKEGKEKKNIYANKMGEGKVHPRHLGTEMEKQSVQSNSLGKDRYYNRYWFFRHEGRLFVESADSKEWGYYSTKEELDALIGSLNVKGIRERALKRQLDKFYNTIRLAGKKLIIPSIDLCVGRCSNALEKRTKDVTQKMLLQEAALRRSSRVQAQPRDNPSMLFLKYVNKWKEN >ONIVA04G11700.1 pep chromosome:AWHD00000000:4:14604750:14619663:1 gene:ONIVA04G11700 transcript:ONIVA04G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREPVAMEIPAVESSAAGRMPPRIRRRLLEGSRVGGGAPTSAEEIEAKLKEAELRRQQFHEWVSCKARKKPRSPSWSSQEEDQGQRLEAKLQAAEQKRLSLLAKAQNRLAKLDELRQAAKNIVEMRIEKEREELGTRVESRVRQAEANRMRLLHAHMQKRAAMKERTARSLVRKQTSERKYTERVKSLILQKRNAAEKKRLALLEAEKRKAQARILHIQRAAKTVCSKRESERRQLQEQLESKLQKAKRQRAEYLKQRVSPRSSAHADYIKHAEFLSTKLARCWKRFLKSNKTTYALVQAYDALGINEMSVKSMPFENLAMLMESPTTLQTTKAVLDRFEKRLLLSQPTGSSSAENIDHLLKRLGSPKRKAPASRSRVAAKKPAKGSETSKLSRYSLRVVLCSYMILAHPGAVLSGQGEKENLLMESAENFVKEFELLVKTVLDRPGGASTQSTDAASQKKFRTQLAAFDKAWCAYLYHFVVWKLKDAKSLEQDLVRAACKLELSMMQTCKLSSDGQSHDLSHDMKAIQKQVTDDQKLLREKIQHLSGDAGIERMNSALSDTRSKFFEAKENGNPLATSVANVSTPLSINSSGQVPNPTSKPTVEGSSFTAQSLPGAASSSSSTSPMKPPTDNEQMVNEMLHEDDVSFARNSDNVSSAEKDFQAKVKATMEKAFWDLVTDSMRGDKPDNSQLINLVKEVRNSLHELASNELKEEILENIDLEILSQVLQSGSQDTRYLGQILQYSLDMVRKLSAPAKEDDMKRSHEKLLNELAASSEVNDNGISSFVIAVIKGLRFTLEEIKQLQTEVSKARIQLMQPIIKGSAGVEYLQKAFTDRYGPPANASVSLPITKQWVSATKSIVEQEWSSHLESLQALPADHAQHVVPVLRAGHGAPAPQASSSAASSSGLPECKGEKIDKLTRVGLLQLISNVEGLNMQSTPETFQINLLRLRAVQDQFQKVIVIATSMLVLRQVLMSKIAPPELQNTISELYDALVKLLDNNADASTKEIVEAMTRSLASVGSLPEEQIQDTTELATKMLLKSLQAGDIVFGKVSRAVYFAFRGVVLGGGAKGKKLAEAPLRRLGAAKLADRVVKAGEVLIKMAVISEKIRRHHRRLVDRRAREGEMAVAAMELPAVRAYDALGINETSVKSMPFENLATLMQSPATLQATKAVLDRFEKLLPLLSSPVINIDHLLKHLGSPKKKKIPPPAAASASRYSLRVVLCSYMILAHPGAVLSGQGEKEKLLMDSAGSFVKEFELLVKTVLEKQSTDAAAGQKMFSAQLADFDRAWCAYLYCFVVWKLKDAKSLEDDLVRAACKLELSMMQTCKLSSDGQSHNGLSHDMMKAIQKQVADDQKLLREKVHQLSGDAGIERMNSALSDTRSKFFEAKENGNPLAASVANVSTPLSIGSSGSSFTAQSLPGTASSSSSSSLMKQPTDNEQMLNEMLHEDDVSFGGNSDNVSSAEKEFQAKVKSTMEKAFWDLVTDSMKGDKPDYTQLINLVKEIRDSLHELASKELKEEILENIDLEILSQVLESGSQDTRYLGQIMHYSLDMIRKLSAPAKEDDMKRSHEKLLNELAASSEVNDNGISSFVIAVIKGLRFTLEEIKQLQSEVSKARIQLMQPIIKGSAGVEYLQKAFADRYGPPANASASLPITKQWVSATKSIVEQEWSSHLESLQALPADYAQRVVVPALRAGHGAPMAQASSSAASGSGLPECKGEKIDKLTRVGLLQLISNVEGLNMQSTPETFQINLPRLRAVQDQFQKVIVIATSILVLHQVLVSKVAAPELQNAISELYDALVKLLDSNPDASTEEIVEAMASSLATVGSLSLPEKQAQATAELATKMLLKSLQAGDVVFGKVSRTVYCAFRGVVLGGGGVKGKKLAEAPLRRLAAAKLADRVVKAGEVLVKMAAISEKVHGQWYKALAL >ONIVA04G11700.2 pep chromosome:AWHD00000000:4:14609987:14619663:1 gene:ONIVA04G11700 transcript:ONIVA04G11700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSLQAGDIVFGKVSRAVYFAFRGVVLGGGAKGKKLAEAPLRRLGAAKLADRVVKAGEVLIKMAVISEKIRRHHRRLVDRRAREGEMAVAAMELPAVRAYDALGINETSVKSMPFENLATLMQSPATLQATKAVLDRFEKLLPLLSSPVINIDHLLKHLGSPKKKKIPPPAAASASRYSLRVVLCSYMILAHPGAVLSGQGEKEKLLMDSAGSFVKEFELLVKTVLEKQSTDAAAGQKMFSAQLADFDRAWCAYLYCFVVWKLKDAKSLEDDLVRAACKLELSMMQTCKLSSDGQSHNGLSHDMMKAIQKQVADDQKLLREKVHQLSGDAGIERMNSALSDTRSKFFEAKENGNPLAASVANVSTPLSIGSSGSSFTAQSLPGTASSSSSSSLMKQPTDNEQMLNEMLHEDDVSFGGNSDNVSSAEKEFQAKVKSTMEKAFWDLVTDSMKGDKPDYTQLINLVKEIRDSLHELASKELKEEILENIDLEILSQVLESGSQDTRYLGQIMHYSLDMIRKLSAPAKEDDMKRSHEKLLNELAASSEVNDNGISSFVIAVIKGLRFTLEEIKQLQSEVSKARIQLMQPIIKGSAGVEYLQKAFADRYGPPANASASLPITKQWVSATKSIVEQEWSSHLESLQALPADYAQRVVVPALRAGHGAPMAQASSSAASGSGLPECKGEKIDKLTRVGLLQLISNVEGLNMQSTPETFQINLPRLRAVQDQFQKVIVIATSILVLHQVLVSKVAAPELQNAISELYDALVKLLDSNPDASTEEIVEAMASSLATVGSLSLPEKQAQATAELATKMLLKSLQAGDVVFGKVSRTVYCAFRGVVLGGGGVKGKKLAEAPLRRLAAAKLADRVVKAGEVLVKMAAISEKVHGQWYKALAL >ONIVA04G11700.3 pep chromosome:AWHD00000000:4:14604750:14609978:1 gene:ONIVA04G11700 transcript:ONIVA04G11700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREPVAMEIPAVESSAAGRMPPRIRRRLLEGSRVGGGAPTSAEEIEAKLKEAELRRQQFHEWVSCKARKKPRSPSWSSQEEDQGQRLEAKLQAAEQKRLSLLAKAQNRLAKLDELRQAAKNIVEMRIEKEREELGTRVESRVRQAEANRMRLLHAHMQKRAAMKERTARSLVRKQTSERKYTERVKSLILQKRNAAEKKRLALLEAEKRKAQARILHIQRAAKTVCSKRESERRQLQEQLESKLQKAKRQRAEYLKQRVSPRSSAHADYIKHAEFLSTKLARCWKRFLKSNKTTYALVQAYDALGINEMSVKSMPFENLAMLMESPTTLQTTKAVLDRFEKRLLLSQPTGSSSAENIDHLLKRLGSPKRKAPASRSRVAAKKPAKGSETSKLSRYSLRVVLCSYMILAHPGAVLSGQGEKENLLMESAENFVKEFELLVKTVLDRPGGASTQSTDAASQKKFRTQLAAFDKAWCAYLYHFVVWKLKDAKSLEQDLVRAACKLELSMMQTCKLSSDGQSHDLSHDMKAIQKQVTDDQKLLREKIQHLSGDAGIERMNSALSDTRFRTRQS >ONIVA04G11690.1 pep chromosome:AWHD00000000:4:14601573:14602967:1 gene:ONIVA04G11690 transcript:ONIVA04G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKIEPTCGPAHSVVLLLSPTLNVGRPFFLCPLPQSGSLSISPVSAVSTGKCGRSPSLPSPVISEGRDVEDADPNLPGFFKNPSCLSDNEIGEDGLPLAAEPDGFLGHDEGGDAPSEFDIAAELDDLDIA >ONIVA04G11680.1 pep chromosome:AWHD00000000:4:14590124:14593244:1 gene:ONIVA04G11680 transcript:ONIVA04G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFFLLLSFLLPRVLLRHACVVEVNDEVVRVNGPRPEPRSTPLFPTTVQVRTPSIRTGRHGDAGRRRPAPRATSRRRWSRSSGTDGGGATAEKCETPHRTAQATGAACWGCSPAMQPGAEGSTGCTPVRQLGEGAKTASSLATRPGEEASMGCSPATRRGAEASMASSPATRRDAEGSTAWTGRSPATRPGATESTGCNPATRRGAEASMASSPAKRRDAEESTASSQAKRRGAKGSTAGTGRGPATRPCATESTGCNPATRPGATG >ONIVA04G11670.1 pep chromosome:AWHD00000000:4:14589226:14589981:-1 gene:ONIVA04G11670 transcript:ONIVA04G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRCAASSMMPMTCCSGSSPQSTRRGGGVLGRDDVGGPRRGDEVDKAGGVGARREEVEPATAAAHRADAEGVAGGAAPSWPSRPGSGRPPSLSAPRRRRGKEVRGRTLYRVTGTLPLGPTAVALPVGICIACIRRDILIKLQPPPSLIPRGNTVVSFTSLLSDQPRRLELELLVAQSSRIHQ >ONIVA04G11660.1 pep chromosome:AWHD00000000:4:14581343:14586597:-1 gene:ONIVA04G11660 transcript:ONIVA04G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAETPNPDAEIPNTDAAAAADPAAAAAATDPAAAGSPSPPLPPRKRRLSPTPSPTRRSSRSRSRSRSRSPRRGRSRSRSRSRSRGRSASPRYPDGKRRRHNDLNVEVCRDFLRDRCARADIECKYAHPHPTVAVDRDSKVTACADSLRNNCFRGRTCRYYHPPPHIQESLLRSIGVEDPKVKMVCRDFTRGRCSRSANECRFLHHSPLEDCAIVCQDFLRGRCDRKSCRYSHVMAHPMPPPMRDIPMQYPDMVYMPPPAPLGVPMMMPPPSAPAAFSGNNYGVEVCRDYLKNMCNRESCRFAHPDLNNEFAVILSEENAIDLPVAFTIHLQAQILLDKCPALLPLLPLCCC >ONIVA04G11650.1 pep chromosome:AWHD00000000:4:14578444:14579008:1 gene:ONIVA04G11650 transcript:ONIVA04G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRAGEDRTNSPSTWRRRRRRRRRRLPAAALDVDGGELLDRQPYLRPPALRRLLLFLLSISPVLLLLLRLVSFARVLRSYGLRRRVSSSFAFAAWPVVHGARRRRRRFALISPRLRLHHHGLMPQKVFGLRRSHQSHGDCEHAIIVVVILRCVCSCPVSGRV >ONIVA04G11640.1 pep chromosome:AWHD00000000:4:14575486:14578855:-1 gene:ONIVA04G11640 transcript:ONIVA04G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETETGRDESEAAAAAASAMDDGPCGESEGGGDAAAKAVGAKDAGEGDEPKEEEEDGRDREEEKEEAAKRGWSEIRLAIEELSAVDVERRGGKPPPPSPPPPTLTFLALSHLLLQVLDKIGPTMAVLRLDVQRNIERLQELYLLDPSKYYNLEEILEKEVDEGTARKVDSCARAILWLTRSMDFTIALLQRLEEDSDQKCFAQLVESAYMVTLKPWHGWISSAAYKIAMKLIPDRKMFINLLVGKCQDCAALKEEIRKLAKLLKPFLDDIHAMMVSSSALH >ONIVA04G11630.1 pep chromosome:AWHD00000000:4:14562376:14564148:-1 gene:ONIVA04G11630 transcript:ONIVA04G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQGFGPGGSPKSFRYPRADFDLESGIARKGLRKPKNHDAPGLLTSTLMKIRYFYEAHPVAVAFILLSFGLSVLILLSVYETRFRMMRGSSGEVGEYPLPELRNLVMVAGHSIYTSESCGKIDREDSWFLEPYQKHPGQAATFLAHIKEGVGIAARDEKALLLFSGGETRKDAGPRSEAQSYWTIADSKGWFGNDESVRRRALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVSYDFKEERFAQLHRSALGFPEGRFFFLGTPATLTARESAVKGEAAVRSQFQEDPYGCLGSLHMKRLKRDPFHRAIPYPNGCPELKGLFSYCGLVPYPGQLPWTQ >ONIVA04G11620.1 pep chromosome:AWHD00000000:4:14558284:14559726:1 gene:ONIVA04G11620 transcript:ONIVA04G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H160] MPRSLGYGHGHGHELRRRRLLPVASAAAVLLLLALLIILPSTPPGGPRASSDPASLLRAAIAAHPEPGSYARPCADHLSLSLHRLRAAAASLDSGDHPAALHLASASLQYQYDCSHLLSLPAFPSHPITSRFLASLAPPRPGAAATTTPSSAYAYAAAFPATLRAHATVCNASPSATTQRCDYSTVQAAIDAAPNHTAGHFVIKVAAGIYKENVVIPYEKTNILLVGDGIGATVITASRSVGIDGIGTYETATVAVIGDGFRAKDITFENGAGAGAHQAVAFRSDSDRSVLENVEFRGHQDTLYARTMRQLYRRCCITGTVDFIFGNSAAVFEECVIKTVPRAEGARKSARNVVAANGRIDPGQTTGFVFWNCTLDGSKEFLALFRAKPESYRLYLGRPWKEYAITVYAGCYLGKVVRPEGWLPWRGEFALRTLYYGEFDSRGPGANHTARVEWSSQAPEQLVGVYSVENFIQGHEWIAY >ONIVA04G11610.1 pep chromosome:AWHD00000000:4:14555902:14558066:-1 gene:ONIVA04G11610 transcript:ONIVA04G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family UPF0090 [Source:Projected from Arabidopsis thaliana (AT1G77122) TAIR;Acc:AT1G77122] MPLGYSHTHTGARDSAMDLVAGGVIRGLPSSWERIRSPRTPLFLSSWASRRRVAFAACSSCPLVVCRNASAVVPFAKKKRKGGSEEPPDEEEGDDFVDEMEGEDDDEEEEEDVDHDDLLDDNEDDDDDYSFEDDFESDDEQDLYVGDGGAGGGISLAGTWWDKEALALAEEVSNSFDGDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAFTIAYRAKMDDAESAGRIPQNISLEVSSPGVERVIRIPDDLERFKERAMYVRYTITSDGGEGMTPQEGDGVFRLISYDMDLCECTWGIADVKVNRQQAGKGRPLSKKQREWRLQTPFESLKLVRVHSDC >ONIVA04G11600.1 pep chromosome:AWHD00000000:4:14554562:14555041:-1 gene:ONIVA04G11600 transcript:ONIVA04G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERSYVTPPPPPPPPPPPSPTTHVTVIVIVVPIVGVICLGLLAALLFVVFRRRRRARCDEEEEEAKVEDVEDVEVKVTEHVRIVEGVVGEAGVAGVAAGGAIGCGGGGGGGISGGAAAVVAEAVAAGAVVVDDEIKVEEHVVKVTEASARRDHHDHE >ONIVA04G11590.1 pep chromosome:AWHD00000000:4:14548461:14548955:-1 gene:ONIVA04G11590 transcript:ONIVA04G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGNSEFVSFWRVDPPPPHCPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPNNIVVIVVVPIAGLLFLGLLAGLFLLARARRRRRAEAAEEETVEEVDDVEVAHHVRAEEHVVAGPSGEMLKVLDVTDEVDVHEHIVRHEHEHERHDVVEREG >ONIVA04G11580.1 pep chromosome:AWHD00000000:4:14548444:14548986:1 gene:ONIVA04G11580 transcript:ONIVA04G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHGHPSLSTTSWRSCSCSWRTMCSWTSTSSVTSSTLSISPDGPATTCSSARTWWATSTSSTSSTVSSSAASALRRRRARASRNSPARRPRNSSPAIGTTTITTMLFGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGQCGGGGSTRQNDTNSELPLAIRAQIQFRET >ONIVA04G11570.1 pep chromosome:AWHD00000000:4:14536744:14537439:-1 gene:ONIVA04G11570 transcript:ONIVA04G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKRQSESFWRVEPPPPPPATRARPPCSSAHLLPPPPPPPPYVPPHLLPPSPAPQQWYDHPPNYHPPHTPAPAPAPVPAPGPYIPPHHPHHHHPPTPAPAPSTTPGGHGGVPPYYPPPPVTPTPYYYPSPAPPPPSRHVVVIAVVVPIAGLLFLGLVAGLFLLARARRRREAEEETAAAAVVVDDVEVSSSHHVHAVAHVVDGQNVVDITDEVEVHEHIVRHDHEHTTS >ONIVA04G11560.1 pep chromosome:AWHD00000000:4:14519615:14525098:-1 gene:ONIVA04G11560 transcript:ONIVA04G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWPRKSRSKAKGVGKPPGAGAASAASSPRKSLDLVDLSASPSVTPRAREKARSLDSPSAAAPRHGGGRCGGGFGEVGYKLPVPVGDAGPGQGQGQGQEPELEPAPVRFEENGDVVGGVAGDASSEELSVCSHDSSDEATDQQVCRSTDPASFVRGRNMPSDSHKILNEDNHFMSYSMPREHRKFFEVPVTNMGELHLHCDDLSTSETSSRGRMLPEDFLAPRTRSLSPGPKGHTFAVNNVNSREFGFSPRSPVKMMDGLKSPPHPLPLPPGPATCSPLPPSPTAYSPHPLGPTTCLQSESQWKKGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVISDDPHSKERLKQLNQEIDMLRQLSHPNIVQYYGSEMTDDALSIYLEFVSGGSIHKLLREYGPFKEPVIRNYTGQILSGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNGRGYHLPVDIWSLGCTIIEMATAKPPWHKYEGVAAIFKIANSKEIPEIPDSFSEEGKSFLQMCLKRDPASRFTATQLMDHPFVQDHPAVRAAKSGALRNAFAPADGTHTTSNREFSRKSITPLKDIGVSARDFTGFSTAVPSPHTASSPISVVRTNMSLPVSPCSSPLRQFKQSNWSCLPSPPHPTFSNGATSYNLSSYMMNETRRIPTISDTWQDISQLKVQSPYGSPKRF >ONIVA04G11550.1 pep chromosome:AWHD00000000:4:14517682:14518863:1 gene:ONIVA04G11550 transcript:ONIVA04G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMMRVAQEQMRRMPPAALAAMQQQLMSNPSLLRFATEGIKTLTPDDLRRAGEQMSRTSAEEVLGMSRRLAAASPEELAATMKNAQAEQQRASSYAAVSGARALKDQGNALFRLARHADAAAKYALAVDNLRSVPSSASRSLRAVCGVNLMACHLKTGRLAECVELGSEVLGLDPGNVKAHYRRGQAYRELGRMEAAVADLRRAHELSPEEDAIADALRDAEEKLGAPRGLVIEEIVEEEEEAQGSEILPTSGATSSSTSGHSVPSPSPSPSAAAAAEMMNSMGDPAMGKMVASVVQGMDPETVSMIIRKQFGVDLSRDDAARLQDAMKKLSPENLEKVMGWVNRARRAAEAARKAKELLLGSRRGWLVLAIVVLVLAFVLHHLLGFIGA >ONIVA04G11540.1 pep chromosome:AWHD00000000:4:14512111:14514261:1 gene:ONIVA04G11540 transcript:ONIVA04G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H152] MAAAAGLFEELLIRFGGLISYWIGEEMSIPHLFRCPISLDIFTDPVTLCTGQTYDRPCIERWLAAGHRTCPVTMQPLGDATALVPNRTLRHLIERWLSTDQHHHHHLPEPAAPAAEAEADAEEPSLAALKRCLQQPDAAGAGKAKVGALKKVMTLASESDVGRACMVQLGFLPVLLQLVFHAPAAPPSERRGGEAAVVEELALQCALGLMPSSAASPQLGCLNVLKSEASLASLVALLERGRGQTRAGLCRLLEAVATAAATRELALVVAASPRVWQALLPLLRHDGPAPTPAPPHDAHAASDAAVRAVAAICASEPARGGAIHHGAVGALLGHLSWAASGKCASGGGAGAVPSALAAVEALAASEAGRMAVARVPGGTRALVRHVFMMNSSNDGSEHAVAALLAVCRESRAARSEAAGAGVVTQLLLLLQSQCGARAKAKARSLLKLLKSM >ONIVA04G11530.1 pep chromosome:AWHD00000000:4:14505679:14506518:1 gene:ONIVA04G11530 transcript:ONIVA04G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSCASCSGAAGSFSSTASSFYGGGGSRMMMMKAARFPFFVEMDVGDEVSSCCPPPSPDYTPASPPRRRAASPDYTPESPPRRAASPDYSPASPPRRAASPDYTPESPPRRAAASSPDYTPESPPRRRAASPDYSPSTPPRRAASPDYSPSTPPRRAASPDYSPSTPPRRAASPDYTPMSPPRRAASPDYTQMTPPRRAASPDYTPSTPPPPRAASPDYTPSTPPPSPLPSAAESFTVPPPRRYHPYQRSGSGGACSSRAIRVIGDQQHRRRVLLL >ONIVA04G11520.1 pep chromosome:AWHD00000000:4:14500609:14501738:1 gene:ONIVA04G11520 transcript:ONIVA04G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNLPPGFHFFPSDEELIIHFLRHKASLLPCQPDIVPTLILNLYDPWELNGKALQSGNQWYFFSHATQTRTSPNGHWKPIADETVISGGCNVGLKKTLIFFIGEPFEAIKTNWVMHEYHLMDGSTNCSSSSTSSSSSKRSHKKKGHSDTESKNWVICRVFESSYDSQVSFHEEGTELSCLDEVFLSLDDYDEVSFAK >ONIVA04G11510.1 pep chromosome:AWHD00000000:4:14493048:14495198:-1 gene:ONIVA04G11510 transcript:ONIVA04G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26540) TAIR;Acc:AT3G26540] MAAAAAASSASTASSISALVAAGRLFAALDALPPSYSSSQVPSAVYASLLRLATSHRSLAAARRIATHLASSTAPSTSHSFTATSTFLFNRAVESLAACGSLTDARKLFDAMPRRDGGSWNAIISAYSRGEEPTEAISLFSAMNSRGVRPKDVTLASVLGCCAECLDLHGARQLHGHIAKRDFQSNVILGTALVDVYGKCFALSEARRAFDGIPKPNDISWNVIIRRYLLAGMGDMAIDMFFRMVWAGVSPLVYTVSQALLACRDNGALEEGRRIHTFVLRHGYEQHVHVRSSVVDMYAKCGAIDLAQRLFDLAPVKDIVMSTSIVSGLASCGRITDAKRVFEGMEEHNLVSWNAMLTGYVRSMDLTSALQLFQQMRQETKELDAVTLGCVLNACTGLLDLGKGEEVHTFAFKSGFIGYPFLKNALLRMYSKCGCLRSAERLLLFEMGSERDSYSWNSLISGYERHSMSEAALHALSEMHYEATPNQSTFSSALAACANIFLLNHGKQIHAYMIRNGYVIDDILRSALIDMYSKCRLFDYSMRIFEAGPSQDVILWNSMIFGCAYNGKGEYGLELFFEMSKQGITPDSVTFLGALVSCISEGHVGLGRSYFTQMTDEYNIVPRIEHYECMIELLGKHGYMVELEDFIGHMPFEPTTAMWLRIFDCCREYGNRKLGERAAQCINDSNPLTPVRFEIAPDYKYCDDDSDESMSVSWEG >ONIVA04G11500.1 pep chromosome:AWHD00000000:4:14489788:14490188:1 gene:ONIVA04G11500 transcript:ONIVA04G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFALRYTNGSTWFSTFAALVMAIPMTEFFLINGMLYLDEEEPPPPPPAAGTRELIAAELRYVFTKPDDSIPIETTQEKGDRCFLRLT >ONIVA04G11490.1 pep chromosome:AWHD00000000:4:14482359:14483760:1 gene:ONIVA04G11490 transcript:ONIVA04G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPKSSWEALKEFWVQIYTKRSKTEYQENRRTEEEIEHEQANGYVNLVLVSLGGVFWSGARAAVGKLKNPYVMSAANAYINFALLSMLIGVAAGSFPRHFKCPLALSGNGVLQGLLFNVLAFNIESFTSLPPEVFKYTPAMDEQTIAIVWSVTAGISALIVILVWTLATEDPVCVLVALRLMWYPIARMIDATRRKKEEAITWWRRPRENRSTGRRSRMHQRLLGCTNF >ONIVA04G11480.1 pep chromosome:AWHD00000000:4:14472927:14479831:-1 gene:ONIVA04G11480 transcript:ONIVA04G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTLVGPPVSPLGGRRLRRRGHVMLSLFTFADRWVQPCATSPRRRCCRRWRGGRGGGCYGESEWMRRRVGPALERGGAAAALGVEAEAPRRLPIPSASRPHSSTPSRPTPSPSPSPPRSSPSLRAPHGSPGGGGGARHGVFAAWASFFPSRIGLDCQGPVPTVVGGSEIEAYCHIFRAAEQLHAASRWHSVIQLQGECPVRYDVQAVNLPVLEAKVTAVLGWMLALRNQGQKEVLSGLSGVASAFQGSEDSTMERIPPLTLFRGKRCCESMWVALANYLVPSEAQWLDIWRMLQRLKMPDMIQVSQGLMVIPVQRYFQIGFRGGQFSGVQLEWLLSKGFKIIVNLWEEDVKDDLYLSAVQEAVSLGKIEVVQRFTEVVSDSVKKPIYLHCQEGNGRTSVMVSRWKQCWATQNGSLNENDQLTNSPGFSSEGSKNSTSFTDHLRTKQSLSAEQNEPLTIKQLRFYGLEKLGHPLIVIIFYQLLLVLLMENHPAMEPPHLLRKGKSKLQGQQLILGHLMPAILKAILSLDHKNLLKGTIVKYLLACMPLPSTFFLDGREQSLFKAYNMKFSMYEKIIISRPKKRSIQMSHVCCLLQSARTHCHLDLSSFLISARLELKDLSKAINLVQTRRNLVENDRVLLNPAHRAQQEYERTEKCSSRGSDVLRIANLLGVCKQVGSSFHFQNGKVDEWHEQPAAIGVDWVTIDGQIVGAWINLV >ONIVA04G11480.2 pep chromosome:AWHD00000000:4:14472927:14479831:-1 gene:ONIVA04G11480 transcript:ONIVA04G11480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTLVGPPVSPLGGRRLRRRGHVMLSLFTFADRWVQPCATSPRRRCCRRWRGGRGGGCYGESEWMRRRVGPALERGGAAAALGVEAEAPRRLPIPSASRPHSSTPSRPTPSPSPSPPRSSPSLRAPHGSPGGGGGARHGVFAAWASFFPSRIGLDCQGPVPTVVGGSEIEAYCHIFRAAEQLHAASRWHSVIQLQGECPVRYDVQAVNLPVLEAKVTAVLGWMLALRNQGQKEVLSGLSGVASAFQGSEDSTMERIPPLTLFRGKRCCESMWVALANYLVPSEAQWLDIWRMLQRLKMPDMIQVSQGLMVIPVQRYFQIGFRGGQFSGVQLEWLLSKGFKIIVNLWEEDVKDDLYLSAVQEAVSLGKIEVVQRFTEVVSDSVKKPIYLHCQEGNGRTSVMVSRWKQCWATQNGSLNENDQLTNSPGFSSEGSKNSTSFTDHLRTKQSLSAEQNEPLTIKQLRFYGLEKLGHPLIVIIFYQLLLVLLMENHPAMEPPHLLRKGKSKLQGQQLILGHLMPAILKAILSLDHKNLLKGTKIVKYLLACMPLPSTFFLDGREQVCFHGSVRSIQMSHVCCLLQSARTHCHLDLSSFLISARLELKDLSKAINLVQTRRNLVENDRVLLNPAHRAQQEYERTEKCSSRGSDVLRIANLLGVCKQVGSSFHFQNGKVDEWHEQPAAIGVDWVTIDGQIVGAWINLV >ONIVA04G11480.3 pep chromosome:AWHD00000000:4:14472927:14479831:-1 gene:ONIVA04G11480 transcript:ONIVA04G11480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTLVGPPVSPLGGRRLRRRGHVMLSLFTFADRWVQPCATSPRRRCCRRWRGGRGGGCYGESEWMRRRVGPALERGGAAAALGVEAEAPRRLPIPSASRPHSSTPSRPTPSPSPSPPRSSPSLRAPHGSPGGGGGARHGVFAAWASFFPSRIGLDCQGPVPTVVGGSEIEAYCHIFRAAEQLHAASRWHSVIQLQGECPVRYDVQAVNLPVLEAKVTAVLGWMLALRNQGQKEVLSGLSGVASAFQGSEDSTMERIPPLTLFRGKRCCESMWVALANYLVPSEAQWLDIWRMLQRLKMPDMIQVSQGLMVIPVQRYFQIGFRGGQFSGVQLEWLLSKGFKIIVNLWEEDVKDDLYLSAVQEAVSLGKIEVVQRFTEVVSDSVKKPIYLHCQEGNGRTSVMVSRWKQCWATQNGSLNENDQLTNSPGFSSEGSKNSTSFTDHLRTKQSLSAEQNEPLTIKQLRFYGLEKLGHPLIVIIFYQLLLVLLMENHPAMEPPHLLRKGKSKLQGQQLILGHLMPAILKAILSLDHKNLLKGTIVKYLLACMPLPSTFFLDGREQVIFYPVLSQSLFKAYNMKFSMYEKIIISRPKKRSIQMSHVCCLLQSARTHCHLDLSSFLISARLELKDLSKAINLVQTRRNLVENDRVLLNPAHRAQQEYERTEKCSSRGSDVLRIANLLGVCKQVGSSFHFQNGKVDEWHEQPAAIGVDWVTIDGQIVGAWINLV >ONIVA04G11480.4 pep chromosome:AWHD00000000:4:14472927:14479831:-1 gene:ONIVA04G11480 transcript:ONIVA04G11480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTLVGPPVSPLGGRRLRRRGHVMLSLFTFADRWVQPCATSPRRRCCRRWRGGRGGGCYGESEWMRRRVGPALERGGAAAALGVEAEAPRRLPIPSASRPHSSTPSRPTPSPSPSPPRSSPSLRAPHGSPGGGGGARHGVFAAWASFFPSRIGLDCQGPVPTVVGGSEIEAYCHIFRAAEQLHAASRWHSVIQLQGECPVRYDVQAVNLPVLEAKVTAVLGWMLALRNQGQKEVLSGLSGVASAFQGSEDSTMERIPPLTLFRGKRCCESMWVALANYLVPSEAQWLDIWRMLQRLKMPDMIQVSQGLMVIPVQRYFQIGFRGGQFSGVQLEWLLSKGFKIIVNLWEEDVKDDLYLSAVQEAVSLGKIEVVQRFTEVVSDSVKKPIYLHCQEGNGRTSVMVSRWKQCWATQNGSLNENDQLTNSPGFSSEGSKNSTSFTDHLRTKQSLSAEQNEPLTIKQLRFYGLEKLGHPLIVIIFYQLLLVLLMENHPAMEPPHLLRKGKSKLQGQQLILGHLMPAILKAILSLDHKNLLKGTIVKYLLACMPLPSTFFLDGREQVCFHGSVRSIQMSHVCCLLQSARTHCHLDLSSFLISARLELKDLSKAINLVQTRRNLVENDRVLLNPAHRAQQEYERTEKCSSRGSDVLRIANLLGVDEWHEQPAAIGVDWVTIDGQIVGAWINLV >ONIVA04G11480.5 pep chromosome:AWHD00000000:4:14477034:14479831:-1 gene:ONIVA04G11480 transcript:ONIVA04G11480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTLVGPPVSPLGGRRLRRRGHVMLSLFTFADRWVQPCATSPRRRCCRRWRGGRGGGCYGESEWMRRRVGPALERGGAAAALGVEAEAPRRLPIPSASRPHSSTPSRPTPSPSPSPPRSSPSLRAPHGSPGGGGGARHGVFAAWASFFPSRIGLDCQGPVPTVVGGSEIEAYCHIFRAAEQLHAASRWHSVIQLQGECPVRYDVQAVNLPVLEAKVTAVLGWMLALRNQGQKEVLSGLSGVASAFQGSEDSTMERIPPLTLFRGKRCCESMWVALANYLVPSEAQWLDIWRMLQRLKMPDMIQVSQGLMVIPVQRYFQIGFRGGQFSGVQLEWLLSKGFKIIVNLWEEDVKDDLYLSAVQEAVSLGKIEVVQRFTEVVSDSVKKPIYLHCQEGNGRTSVMVSRWKQCWATQNGSLNENDQLTNSPGFSSEGSKNSTSFTDHLRTKQSLSAEQNEPLTIKQLRFYGLEKLGHPLIVIIFYQLLLVLLMENHPAMEPPHLLRKGATVDPRTSDASNSKGNSQLGSQKSAERNGIPYLERKKQVILMDHQHLEANGCSSFNGFARFMRHVNMTWVVLLLGGEDR >ONIVA04G11480.6 pep chromosome:AWHD00000000:4:14472927:14477017:-1 gene:ONIVA04G11480 transcript:ONIVA04G11480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSTFFLDGREQVIFYPVLSQVCFHGSVRSIQMSHVCCLLQSARTHCHLDLSSFLISARLELKDLSKAINLVQTRRNLVENDRVLLNPAHRAQQEYERTEKCSSRGSDVLRIANLLGVCKQVGSSFHFQNGKVDEWHEQPAAIGVDWVTIDGQIVGAWINLV >ONIVA04G11480.7 pep chromosome:AWHD00000000:4:14472927:14477017:-1 gene:ONIVA04G11480 transcript:ONIVA04G11480.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSTFFLDGREQVIFYPVLSQSLFKAYNMKFSMYEKIIISRPKKRSIQMSHVCCLLQSARTHCHLDLSSFLISARLELKDLSKAINLVQTRRNLVENDRVLLNPAHRAQQEYERTEKCSSRGSDVLRIANLLGVCKQVGSSFHFQNGKVDEWHEQPAAIGVDWVTIDGQIVGAWINLV >ONIVA04G11480.8 pep chromosome:AWHD00000000:4:14472927:14477017:-1 gene:ONIVA04G11480 transcript:ONIVA04G11480.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSTFFLDGREQVIFYPVLSQSLFKAYNMKFSMYEKIIISRPKKRSIQMSHVCCLLQSARTHCHLDLSSFLISARLELKDLSKAINLVQTRRNLVENDRVLLNPAHRAQQEYERTEKCSSRGSDVLRIANLLGVDEWHEQPAAIGVDWVTIDGQIVGAWINLV >ONIVA04G11470.1 pep chromosome:AWHD00000000:4:14470049:14471881:-1 gene:ONIVA04G11470 transcript:ONIVA04G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G13600) TAIR;Acc:AT2G13600] MPHRNTFSYNALLSACARLGRADDALALFGAIPNPDQCSYNAVVAALAQHGRGGDALRFLAAMHADDFVLNAYSFASALSACASEKASRTGEQVHALVTKSSHGSDVYIGTALVDMYAKCERPEEAQKVFDAMPERNIVSWNSLITCYEQNGPVDEALALFVRMMKDGFVPDEVTLASVMSACAGLAAGREGRQVHTRMVKSDRFREDMVLNNALVDMYAKCGRTWEAKCVFDRMAIRSVVSETSMITGYAKSANVGDAQAVFLQMVEKNVVAWNVLIATYAHNSEEEEALRLFVRLKRESVWPTHYTYGNVLNACANLANLQLGQQAHVHVLKEGFRFDSGPESDVFVGNSLVDMYLKTGSISDGAKVFERMAARDNVSWNAMIVGYAQNGRAKDALLLFERMLCSNERPDSVTMIGVLSACGHSGLVKEGRRYFQSMTEDHGIIPTRDHYTCMIDLLGRAGHLKEVEELIENMPMEPDAVLWASLLGACRLHKNIDMGEWAAGKLFELDPDNSGPYVLLSNMYAELGKWADVFRVRRSMKHRGVSKQPGCSWIEIGRKVNVFLARDNIHPCRNEIHDTLRIIQMQMSRMSIDAEIADDLMNFSSEACG >ONIVA04G11460.1 pep chromosome:AWHD00000000:4:14466607:14469402:-1 gene:ONIVA04G11460 transcript:ONIVA04G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H135] MAVNLVLSFALAILISSSPTAVGVDATEELKEAVLTLDAGNFSEVVAKHPFIVVKFYAPWCGHCKQLAPEYEKAASILRKNELPVVLAKVDAYDERNKELKDKYGVYSYPTIKIMKNGGSDVRGYGGPREADGIVEYLKRQVGPASLKLESAEEAAHSVVDKGVILVGVFPEFAGMEYENFMVVAEKMRADYDFFHTSDASILPRGDQSVKGPIVRLFKPFDELFVDSEDFGKDALEKFIEVSGFPMVVTYDADPTNHKFLERYYSTPSAKAMLFVSFGDDRIESFKSQIHEAARKFSGNNISFLIGDVADADRVFQYFGLRESDVPLLFMIASTGKYLNPTMDPDQIIPWLKQYIGTSCPLISNYGNLTPYVKSEPIPKVNDQPVKVVVADNIDDIVFNSGKNVLLEFYAPWCGHCRKFAPILEEIAVSLQDDQDIVIAKMDGTANDIPTDFTVEGYPTIYFYSSSGNLLSYDGARTAEEIISFINENRGPKAGAAAAVDEKTQIDAVEEEDTDGLYTAYNRDY >ONIVA04G11460.2 pep chromosome:AWHD00000000:4:14466607:14469402:-1 gene:ONIVA04G11460 transcript:ONIVA04G11460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H135] MAVNLVLSFALAILISSSPTAVGVDATEELKEAVLTLDAGNFSEVVAKHPFIVVKFYAPWCGHCKQLAPEYEKAASILRKNELPVVLAKVDAYDERNKELKDKYGVYSYPTIKIMKNGGSDVRGYGGPREADGIVEYLKRQVGPASLKLESAEEAAHSVVDKGVILVGVFPEFAGMEYENFMVVAEKMRADYDFFHTSDASILPRGDQSVKGPIVRLFKPFDELFVDSEDFGKDALEKFIEVSGFPMVVTYDADPTNHKFLERYYSTPSAKAMLFVSFGDDRIESFKSQIHEAARKFSGNNISFLIGDVADADRVFQYFGLRESDVPLLFMIASTGKYLNPTMDPDQIIPWLKQYIYGNLTPYVKSEPIPKVNDQPVKVVVADNIDDIVFNSGKNVLLEFYAPWCGHCRKFAPILEEIAVSLQDDQDIVIAKMDGTANDIPTDFTVEGYPTIYFYSSSGNLLSYDGARTAEEIISFINENRGPKAGAAAAVDEKTQIDAVEEEDTDGLYTAYNRDY >ONIVA04G11460.3 pep chromosome:AWHD00000000:4:14466752:14469402:-1 gene:ONIVA04G11460 transcript:ONIVA04G11460.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H135] MAVNLVLSFALAILISSSPTAVGVDATEELKEAVLTLDAGNFSEVVAKHPFIVVKFYAPWCGHCKQLAPEYEKAASILRKNELPVVLAKVDAYDERNKELKDKYGVYSYPTIKIMKNGGSDVRGYGGPREADGIVEYLKRQVGPASLKLESAEEAAHSVVDKGVILVGVFPEFAGMEYENFMVVAEKMRADYDFFHTSDASILPRGDQSVKGPIVRLFKPFDELFVDSEDFGKDALEKFIEVSGFPMVVTYDADPTNHKFLERYYSTPSAKAMLFVSFGDDRIESFKSQIHEAARKFSGNNISFLIGDVADADRVFQYFGLRESDVPLLFMIASTGKYLNPTMDPDQIIPWLKQYIYGNLTPYVKSEPIPKVNDQPVKVVVADNIDDIVFNSGKNVLLEFYAPWCGHCRKFAPILEEIAVSLQDDQDIVIAKMDGTANDIPTDFTVEGYPTIYFYSSSGNLLSYDGARTAEEIISFINENRGPKAGAAAAVDEKTQIDAVEEEVTSSSEPVKDEL >ONIVA04G11450.1 pep chromosome:AWHD00000000:4:14464793:14466887:1 gene:ONIVA04G11450 transcript:ONIVA04G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVTTKEQRRRPCSAASMRTPVRAKASLAEAGPTTSLDKAVGSRLLRTASGSISTAAAAAIRPAGDEVARPDPTRGHGTRNGVCEADRWDRRATNELTSRSSQALFLIQWLRVRLKLHLNCFSVQIRSALISFPFKS >ONIVA04G11440.1 pep chromosome:AWHD00000000:4:14461449:14464962:-1 gene:ONIVA04G11440 transcript:ONIVA04G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04290) TAIR;Acc:AT1G04290] MDPEAVRRSLEPTALSKEVVGPASASLRYDAFALTGVRIDAAEHGRLLCSFVVTPRIAVSARSPPPSPTPSQTLISFGGSEMVTARTRVVSPAGYLLSGVTATLADQLGSGVFLSSGIGTSGVSLELNLSYVDVASIGEEIEVEGKLLRAGKSVGVVSVDFRKKKTGKLIAQARHTKYLAHCN >ONIVA04G11430.1 pep chromosome:AWHD00000000:4:14459239:14460093:1 gene:ONIVA04G11430 transcript:ONIVA04G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTFPTTAAAAAAAADTAAAAAEPLRLHHHHHHHRRRGRLHFAASPLWFPSSCPVAAAPPDVPVADDADTADAAVASAVKDVDVVVFVRDAMEGKEQEQEDEEGGGGGQEEATSDAGRREEEGKKAEEATATAAATGELSRGDGDEDGGDHGGGGAARDKEEKMDQLWENFNEELHQALHQRVGSCPRADARAAAAAGMELSPETSDAESEPAAAAALRGHIGCAPMLRPSSRAGAGGYRRTATSWVLLMKIFRRLFVIEKTISSSSAAAASASGRHGSARR >ONIVA04G11420.1 pep chromosome:AWHD00000000:4:14449074:14455609:1 gene:ONIVA04G11420 transcript:ONIVA04G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVMSAADDAGAASGREDPPPAVVLVSAGASHSVALLAGNMLCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAAAPGITSVICGADHTTAYSEDEQQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGIKIKQIACGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLVPEKVSSVEGEKMVLIACGWRHTITVSSSGSLYTYGWSKYGQLGHGDFEDHLVPHKLEALKDSSISQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGDTDDHCFPVQVKFPEDQKVAQVACGWRHTLAFTEKKNVFSWGRGTSGQLGHGEIVDRNKPVMIDALSPDGPGCKKLEPSTAVPFAAKVWVSPSERYAIVPDEKVPNSGEGTARGNGADANVPENDVKRMRVHS >ONIVA04G11410.1 pep chromosome:AWHD00000000:4:14428999:14430949:-1 gene:ONIVA04G11410 transcript:ONIVA04G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT1G77290) TAIR;Acc:AT1G77290] MQLYHHPYSLDSQKVRMALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIYRAFDIIQYLDRLSVHLSGEIVPVNTEVYQWMQKVDSWNPKMFTLTHTPIKYRTFVSKFIRRVLIARMAEAPDLASMYHVKLREAYETEDKLKDPDIMKQSEEELSKLLDDVEAQLNNGKYLAGDEFSPADSVFIPILARITLLDLDEEYINCRPRLLEYYTLVKQRPSYKVAIGKFFGGWKKYRTLFKTSFFLCVRTLFRKY >ONIVA04G11410.2 pep chromosome:AWHD00000000:4:14429001:14429906:-1 gene:ONIVA04G11410 transcript:ONIVA04G11410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT1G77290) TAIR;Acc:AT1G77290] MQLYHHPYSLDSQKVRMALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIYRAFDIIQYLDRLSVHLSGEIVPVNTEVYQWMQKVDSWNPKMFTLTHTPIKYRTFVSKFIRRVLIARMAEAPDLASMYHVKLREAYETEDKLKDPDIMKQSEEELSKLLDDVEAQLNNGKYLAGDEFSPADSVFIPILARITLLDLDEEYINCRPRLLEYYTLVKQRPSYKVAIGKFFGGWKKYRTLFKTSFFLCVRTLFRKY >ONIVA04G11400.1 pep chromosome:AWHD00000000:4:14427890:14428340:-1 gene:ONIVA04G11400 transcript:ONIVA04G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFALLHYIVYKYLGAGELEQLSLLVSEFQDKSEPGRGRKREPKSSGNGNMEESLKTKFLPPAMEVEIWFALLPLPFSSHDYFNGEIRTHILDSLRSSKAGSEEEEKRAKEQWAWGHEGMLKPLSNPRMRLEMEL >ONIVA04G11390.1 pep chromosome:AWHD00000000:4:14400833:14405956:1 gene:ONIVA04G11390 transcript:ONIVA04G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVAIDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLRYTGPASLVWGWVVVSFFTWFVGFAMAEICSSFPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAFAGSQVLQSIILLCTGTNKGGGYLTPRWLFLLMYIGLTFIWAVLNTFALEVIAFLDLISMWWQVIGGTVIVIVLPLVAKTTQPASYVFTHFETAPEATGIRSSAYATILSLLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIITVFGWAYILALTFSIQDFSYLFDPSNETAGTFVPAQILFDAFHGRYGSSAGAIALLFVIWGSFFFGGLSITTSAARVVYALSRDRGVPLSSVWRRVHPRHRVPANAVWLCAAACALLGLPILWINVVFTAITSIATIGWVGGYAVPIFARMVMREEDFSPGPFYLRRASRPVCLVAFLWICYTCTVFLLPTAYPISAGNFNYAPVALGACLGLIGLWWVLDARRWFKGPVRNIDDPLKDGGGDGVHNNGNKV >ONIVA04G11380.1 pep chromosome:AWHD00000000:4:14398247:14400184:1 gene:ONIVA04G11380 transcript:ONIVA04G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPATACHPPSATPPCVLLALRRERGGDGRRDSRAPLAARRAPSMPPLRCRRQGGVGMPLGIGDERGGGGDEKTREKRRERWWRRRRDGRRGREEEARRSGGRKEKEKRRKKRRKKRGMKIKSVHVKKESH >ONIVA04G11370.1 pep chromosome:AWHD00000000:4:14392718:14398132:1 gene:ONIVA04G11370 transcript:ONIVA04G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT2G28605) TAIR;Acc:AT2G28605] MPLVVGCPRRGPPPRPAGRAQRPPPPHSVAPKRASSSSSSTTPPLLTTRRAASAASLLLAALPPFPASSPQLPVASAKEDDEAEAREGEGELELELERYTDRDEGFTLLKPVSWPKVEKAGATALFQQEGKGSNNIGIVVNPVRLSTLTEFGTPQFVAERLIQAEKKKESTKSAEVISAEERSGHDGLTVYEIEYLLDSTRGGMKRIFSAAFVASRKLYLLNIAHSDSQEKPLDSQTRNVLEQIQDNMDVAAHIRKLFRLKVHTSIDEHPKYSALSSVADQQQLRAYVWTLQ >ONIVA04G11360.1 pep chromosome:AWHD00000000:4:14385820:14390129:-1 gene:ONIVA04G11360 transcript:ONIVA04G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H124] MAAQRLAALHAAAPSAFSSTSSASHGRPAARSSTTALLPVALPRAAATLRAAPSRLLPQEAKAAGSGRSVMCMASASASAAVASGAAELKAAREDIRELLKTTHCHPILVRLGWHDSGTYDKNIKEWPQRGGANGSLRFDVELKHGANAGNITFSRFRFLVAWLVNALKLVQPIKDKYPNISYADLFQLASATAIEEAGGPKIPMTYGRIDVTGPEQCPPEGKLPDAGPSAPADHLRKVFYRMGLDDKEIVVLSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPTFKVYAEKYAEDQEAFFKDYAGAHAKLSNLGAKFNPPELYQPDAPPTTGAADLQTAAHTPQGPGHE >ONIVA04G11350.1 pep chromosome:AWHD00000000:4:14372796:14383487:-1 gene:ONIVA04G11350 transcript:ONIVA04G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G21730) TAIR;Acc:AT1G21730] MSSSSRPGRASISPFRSRRTSAAGGGAGVAAAAHPPPARTSSGGRPSTPSSSSSAAGGGRPTTPSSSSAGGRPTTPSAAFARSTTPSSGRPTTPSSASSRAAGRAPPVAAVDAANAKENIMVTVRFRPLSPREINKGDEVAWYADGDNMVRNEYNPSIAYAFDKVFGPATTTRHVYDIAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPIGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPSGENDEGEVKLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHIEIKASQNKIIDEKSLIKKYQKEITCLKEELQQLRRGMMGNGYIPPTDQEDLVSLKLQLEAGQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKSSISSNVSGKASLRRRHSFGEDELAYLPDRKREYSMEDDDVSLDSEFSVEGKLDSNNPDESLRFDRRNRRRGMLGWFKLKKSDQLSGLSTSVDSESTASGSPSFSRSSQQKHPLLDLKDGRRKSMTRKGDDPALTDSFPGRTQAGDLFSAASRARHHLPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLSEQAANNPDDSQIQEQIEKLKNEIDEKKSHIRVLEQRMAQSLETTEDPAIRTEMSQTFSKLSTQLSEKTFELEIMSADNRILQDQLQAKVSENAELVETVAQLRQEIDNLLKTAKNEDNVASMQSSEPSSTSSNPRDLANEVASHSKMPSRTTEDHTESPLKSQVLLQAAEIENLKLDKLRLAEEKDGLEIHSQKLAEESSYAKELAAAAAVELKNLAEEVTRLSYENAKLNADLAAAKDHTRSSIQSDTKRRDQENGIFVEELQKELVASCQREAVLEDTLSQRARRESELLKVIEDAKCREHDLENELANMWMLVAELKKENSQEDLFQFKATQNGYHSSKSDTGRMMSGMEASDNRNWDGVSVSTYEEAKAAYNVQRRRCKELEGIVSRLKGEDLRGLDVKVLEELQNFHVEALSKICQEKGEVTALQLPSNSSADVDPFTPHHTILQQPKQH >ONIVA04G11340.1 pep chromosome:AWHD00000000:4:14364569:14365435:-1 gene:ONIVA04G11340 transcript:ONIVA04G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLSLVPYEGGSAGGGGGGGGGGGGKYKECMRNHAAAMGGQAFDGCGEYMPASPDSLKCAACGCHRSFHRRAAAGIGGGPVFFRPPPPPQPHSHHAALQGFLPSSVPAPPPPPQLALPYHAVPAAAWHHAAAAAAGRAGSETPPRMDDFGPGSAGGSGSGGGGIFGRKRFRTKFTPEQKERMREFAEKQGWRINRNDDGALDRFCVEIGVKRHVLKVWMHNHKNQLASSPTSAAAAAAGVMNPGAGIGLGTGLGTGISGDGDGDDDDTDDSPPRAAVSSPSPSPISV >ONIVA04G11330.1 pep chromosome:AWHD00000000:4:14359357:14361124:1 gene:ONIVA04G11330 transcript:ONIVA04G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFYRGSLCFFALSPLHSTHWNWKLTSVRPMPRRAALAMMNVVAIGLVLSTLAAAGVWSPAPTPPPSTAGEHVVREGRRVVIVEYEREHPLYPGDAVKETHVLPPDSLDGGEGRLTDKARGAVSDAADRVADAAEGGKEKMSDAKESATGKVFGAVKRCKDRLCGAAREAEEGAKDKASAGEHSAEEAARGAEEALSHAKEIAEDKVFDAASKVKETAVGAKDKVSEAAGKAKERASHVQHGAAETMRNAKDKVSHAARHARESARERAMDAKDRVSDVAERAEQCTEDAAGRAARKAARAEEAVKAKAGEAASNLSDIARRARDVASDAAAHLLGGGPREAARTATAVMHLLGFAAAYGASLWVTFVSSYVLAAALPRQQLAMVQSKLFPMYFRAVAYGVGLALAAHLLGRERSSLAARAQSVNLLAALALVLANMLLLEPKATKVMFERMKVEKEEGRGRDMADIVDPPTVTVAAGNTATTTTVPTAAARKPAGNNNMAAKSAAAAAPVDEQTSKSRVATLNQRLKKLNGYSSLCNVLCLMALTWHLVHLARRLQMASVC >ONIVA04G11320.1 pep chromosome:AWHD00000000:4:14355552:14358888:-1 gene:ONIVA04G11320 transcript:ONIVA04G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthiotransferase [Source:Projected from Arabidopsis thaliana (AT1G72090) TAIR;Acc:AT1G72090] MEMEDIEDVLGPAGIAGGGAAPGLRLPLAAVALKPKRPRSSRVAQTRPQPEARIPGTQSDSEYMSGQLSAFGYAITEEPEGADLWLINTCTVKNPSQSAMTTLISKCKSANKPLVVAGCVPQGSRDLKELEGISVIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIESLVDRVKIVVSEGVREIWLSSEDTGAYGRDIGTNLPNLLNGIVAELPADRSTMLRIGMTNPPFILEHLKEIASVLCHPCVYSFLHVPVQSGSDAVLTAMNREYTVSEFRRVVDTLCELVPGMQIATDIICGFPGETDEDFSQTVNLVKQYQFPQVHISQFYPRPGTPAARMKKVPSVEVKKRSRELTSVFESFSPYQGMEGKVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSMLGTSADVKITSVGRWSVFGEVIEGSVVAKETQKHNHSELQEEYRPSQVEEATCCGTDSFGACTCSDAAQQCNPGPERSENSTPQSCGDATHQEAVQSKLVRRNVEGAVKSSESDTAKQVGEIQRLNVAARRFPDVDTILWGGLAVSFATTVTLIALLAYKISSTPSY >ONIVA04G11320.2 pep chromosome:AWHD00000000:4:14355552:14358888:-1 gene:ONIVA04G11320 transcript:ONIVA04G11320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthiotransferase [Source:Projected from Arabidopsis thaliana (AT1G72090) TAIR;Acc:AT1G72090] MEMEDIEDVLGPAGIAGGGAAPGLRLPLAAVALKPKRPRSSRVAQTRPQPEARIPGTQSDSEYMSGQLSAFGYAITEEPEGADLWLINTCTVKNPSQSAMTTLISKCKSANKPLVVAGCVPQGSRDLKELEGISVIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIESRDIGTNLPNLLNGIVAELPADRSTMLRIGMTNPPFILEHLKEIASVLCHPCVYSFLHVPVQSGSDAVLTAMNREYTVSEFRRVVDTLCELVPGMQIATDIICGFPGETDEDFSQTVNLVKQYQFPQVHISQFYPRPGTPAARMKKVPSVEVKKRSRELTSVFESFSPYQGMEGKVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSMLGTSADVKITSVGRWSVFGEVIEGSVVAKETQKHNHSELQEEYRPSQVEEATCCGTDSFGACTCSDAAQQCNPGPERSENSTPQSCGDATHQEAVQSKLVRRNVEGAVKSSESDTAKQVGEIQRLNVAARRFPDVDTILWGGLAVSFATTVTLIALLAYKISSTPSY >ONIVA04G11310.1 pep chromosome:AWHD00000000:4:14349368:14349969:-1 gene:ONIVA04G11310 transcript:ONIVA04G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVVRRWVGETSSATTLRATVGAMENNDDLSVNVRDLLSCSGYSPRGRRRRKRRCKVVQVKVDLVGDGSPRGGGGLESGWKMISSLTTAKDADRGGGGLEVREAEEDYNVGSGPMGSRGLGIRRSISFQLASGRLVLTGRQWMKVDYPAACGIGLLEADEDGTSGDSLR >ONIVA04G11300.1 pep chromosome:AWHD00000000:4:14338764:14346663:-1 gene:ONIVA04G11300 transcript:ONIVA04G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSWRRSRGRRTRGINAAASCRCREQRHSLIASIIADCLPFGFKLWLVINRMDAKDLVEIGMKEEDITTMLFGKKVIELTEDAFDGSKEERKIYEELFCRTGTSGASTRHPRRDGKSSSLRDASKELISTNTPSSSASNHKSARCRVVESFTYGNLSSYLVFCNNDKQKMQKTVGFPDMASASDLVIQWTPPSVERVYTRRAVARRNQRAKLCSVLDLERVDITSVRQRRDRGQHKYGHSHAVLWDHLRLHANLLMMDAGWKIDGKERWDKSKVDHIYESPDKVMRLFSLPRAWKCFGQWLLINSSGFGGNDYGRIWFNIHDFLSDLKNTLLCLEYEVRLPKQSLSFLNQWQLLDPFMAVVCIDKKVRALKKGVALKAVNSTVTFVSCIESELSNPRNADNPLGLNHSNNFNSTHPRSRKNLLPLLQSDDLDGNSSRSKHPSTVGKRKSSQYKMDERLPIMAEIQEKSIRSTAHRIVMGLQDSTAFPGSRTSLNMKNKPSYSKSNLTQSIQDKSDPLYVPPNYSPSDLVENAEINDPSFHAYDMIESANVDNSADSPDELLLGANLLFSHEVDEMLLDDISNEHYCTAAVSEPQAKNIDLEDGPSGPSSVVSEKDTGLKANKDVINNEQHDDGVVTESQLANTNVMDRPAGALSFLPTMDTVLEGNEMSSEEMTKGGQLSCEGTNNAMVISEPQLLFVSPHDGTLSFMNDSMCSQEMRSCLNASNGTMGTNVQLGIQSSVYEASLIRGFLYLDNEGSPICWTVLNPEPPRQLICSEPISKASELHGEMNMECGMLTSGPKQTSKLDSSKNNRKRSKKAANIEDKGSQKKQKVNDVPVSYCAIGQYMDHTTENPAGCLISNDKEQIGSASTEQVSLNLLPGNSNDMNQQVPIKNSDNDQARVRIGSAEKIVPEKTPKKDVKRQKASSRHKCKFDDNDLLVTAVIHKLTARYRNRFSRRLAKKSGFKRLPRCRWKSEERRDRKTFPKGARTVLGKLLEMGIVCKVNILQYRRPGSKNVLKDGNITKKGIRCRCCDMVFTMSMFKYHAGLRQEIPSLNLFLGSGKSYTLCQLQAWSIEHKARKERAKCTMPLQADENDDTCGLCGDGGELICCDNCPASYHQDCLPCQDIPDGSWYCYRCLCDICGEVINLKELRSSLPALECAQCERQYHAKCIYGKLLCNEEGGPCAWFCGRRCQQIYMNLRSRVGIPIHTIDGFSCTVLRNNGDQRVSTAADIAILAECNMKLVIALSIMEECFLPIIDARTGIDIIPPILYNWRSDFVHLDYKGFYTVVLENDDRIISVASIRLHGTVVAEMPLIATCLENRQQGMCRRLMDYIEQMLKSLKVEMLLLSAIPSLVDTWTMAFGFVPIDDLDRKNLSRLRLVSVPGTVLLKRNLYECPGTNAAGGGGGGGGSKENLAFVDRSVAVTAADAGQQRPFEDRSPVSCAVDSLADELRSLKINSSCENRRRLGKTTVISASTLYERPVGC >ONIVA04G11300.2 pep chromosome:AWHD00000000:4:14338764:14346663:-1 gene:ONIVA04G11300 transcript:ONIVA04G11300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSWRRSRGRRTRGINAAASCRCREQRHSLIASIIADCLPFGFKLWLVINRMDAKDLVEIGMKEEDITTMLFGKKVIELTEDAFDGSKEERKIYEELFCRTGTSGASTRHPRRDGKSSSLRDASKELISTNTPSSSASNHKSARCRVVESFTYGNLSSYLVFCNNDKQKMQKTVGFPDMASASDLVIQWTPPSVERVYTRRAVARRNQRAKLCSVLDLERVDITSVRQRRDRGQHKYGHSHAVLWDHLRLHANLLMMDAGWKIDGKERWDKSKVDHIYESPDKVMRLFSLPRAWKCFGQWLLINSSGFGGNDYGRIWFNIHDFLSDLKNTLLCLEYEVRLPKQSLSFLNQWQLLDPFMAVVCIDKKVRALKKGVALKAVNSTVTFVSCIESELSNPRNADNPLGLNHSNNFNSTHPRSRKNLLPLLQSDDLDGNSSRSKHPSTVGKRKSSQYKMDERLPIMAEIQEKSIRSTAHRIVMGLQDSTAFPGSRTSLNMKNKPSYSKSNLTQSIQDKSDPLYVPPNYSPSDLVENAEINDPSFHAYDMIESANVDNSADSPDELLLGANLLFSHEVDEMLLDDISNEHYCTAAVSEPQAKNIDLEDGPSGPSSVVSEKDTGLKANKDVINNEQHDDGVVTESQLANTNVMDRPAGALSFLPTMDTVLEGNEMSSEEMTKGGQLSCEGTNNAMVISEPQLLFVSPHDGTLSFMNDSMCSQEMRSCLNASNGTMGTNVQLGIQSSVYEASLIRGFLYLDNEGSPICWTVLNPEPPRQLICSEPISKASELHGEMNMECGMLTSGPKQTSKLDSSKNNRKRSKKAANIEDKGSQKKQKVNDVPVSYCAIGQYMDHTTENPAGCLISNDKEQIGSASTEQVSLNLLPGNSNDMNQQVPIKNSDNDQARVRIGSAEKIVPEKTPKKDVKRQKASSRHKCKFDDNDLLVTAVIHKLTARYRNRFSRRLAKKSGFKRLPRCRWKSEERRDRKTFPKGARTVLGKLLEMGIVCKVNILQYRRPGSKNVLKDGNITKKGIRCRCCDMVFTMSMFKYHAGLRQEIPSLNLFLGSGKSYTLCQLQAWSIEHKARKERAKCTMPLQADENDDTCGLCGDGGELICCDNCPASYHQDCLPCQDIPDGSWYCYRCLCDICGEVINLKELRSSLPALECAQCERQYHAKCIYGKLLCNEEGGPCAWFCGRRCQQIYMNLRSRVGIPIHTIDGFSCTVLRNNGDQRVSTAADIAILAECNMKLVIALSIMEECFLPIIDARTGIDIIPPILYNWRSDFVHLDYKGFYTVVLENDDRIISVASIRLHGTVVAEMPLIATCLENRQQGMCRRLMDYIEQMLKSLKVEMLLLSAIPSLVDTWTMAFGFVPIDDLDRKNLSRLRLVSVPGTVLLKRNLYECPGTNAGELRNPEPFKVYSRSARKNRDAGGGGGGGGSKENLAFVDRSVAVTAADAGQQRPFEDRSPVSCAVDSLADELRSLKINSSCENRRRLGKTTVISASTLYERPVGC >ONIVA04G11290.1 pep chromosome:AWHD00000000:4:14326711:14337705:-1 gene:ONIVA04G11290 transcript:ONIVA04G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSRNRNPTQESMHQTTESGTMEKNSSHLPAGTKSCTRTYLNNHVVQADTITTTNQSLARTGPELFKTAPFIDNMCDDAKLDAMDEDELLASIDVDRIVMEHYQATNTPRGSSKSPLEKCNFNGFDENNLPQELSIMCDHGSKLAFCPEAKSHLLEMKDNLLAISHELIDGQLSPQQSDDLHQKRALLKKQIELLGEYTARLTQDEERQQSHSMASTTAHQGHHPTSILSSSFVKDTNIFQSPIYTRNEPGESGLCFSSAPYSYMDGLSMPLPSVQRDYTPRAIDISYTEGSGDKQWSSTHFAWTKELEANNKRVFGNRSFRPNQREIINATMSGNDLPALICNGVTLVVSPLVSLIQDQIMHLLQANISAAYLSASMEWSEQQEILRELMSPTCTYKLLYVTPEKIAKSDALLRQLENLYSRGHLSRIVIDEAHCVSQWGHDFRPDYQHLGILKQKFPQTPVLALTATATASVKEDVVQVLGLANCIIFRQSFNRPNLRYFVWPKTKKCLEDIHNFIHANHNKECGIIYCLSRMDCEKVAAKLREYGHKASHYHGSMDPEDRANIQKQWSKDRINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDSQLSSCVLFYNYSDYIRLKHMVTQGFAEQGTSAPRGGSSQEQALETHKENLLRMVSYCENDVDCRRLLQLIHFGEMFNPSCCAKTCDNCLKELRWVEKDVTNIARQLVDLVMMTKQTYSTTHILEVYRGSVNQNVKKHRHDTLSLHGAGKHLAKGEAARILRHLVIEEILIEDVKKSENYGSVSSVLKTNHKKSGDLLSGKHNVVLKFPTPEKAPKMGVLDESSVPRINKTNQQSQVDGSLAAELYEALQCLRTQIMDENPQLLAYHIFKNETLKEISNRVPRTKEELVEINGIGKNKLNKYGDRVLATIEDFLARYPNATRKTSSGGSNEHSEAVKKRRGFSVTNTSTNCDDFEERTVQSKKRAAKTRTRQEISDAASIVQDVRYIDLELDGCEQVNEVPYSVQKPMASGRVLPAWQSARIA >ONIVA04G11280.1 pep chromosome:AWHD00000000:4:14319158:14322385:-1 gene:ONIVA04G11280 transcript:ONIVA04G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYMLKSRRLVGVADAWSVDDGADGISGFPDAMSAAAHKQMVTGAEEAMLGKLELDSQSNLFSNSDDFLLSGSSKLGISASEDIDLPGKQGLPESTTDRPGSNGSSRVPRLRVLGTAGMAGFGKAVDILDTIGCLVTTSLSTDGGFISRAKTKGCPISILAFEVANTILKGATIMQSLSEDTVTYFKQVVLPSEGVQNLISSDMSVLMRIVANDKREELKIFSQEIVRFGNRCKDPQWHNLDRYFVKLESENPPQKQLKETAVAEMQKLMDLVHRTTDLYHELHALDRFEQDYRCKLTVKGNSYQKDNLPGENIEVVRIELKSQRNYVKSLKKRSLWSKTLEDIVEKLVDIVQYLHFEINASFGSSDEGELSSESTEDCQRLGPAGLALHYANIIIQIYSVVSRSGYIPPNTRDALYQGLPPRVRSALPNRLRTSSVPQELNIDQIRATMDKTLKWLVPMAINTTCARGFLRFSEWARSGTERVGRRPGQPDVVETLYHADKAKTEDYILDLVVWLHHLVSQSNRPANAKDKDKEQSTSPLTKSDLDKAQQE >ONIVA04G11280.2 pep chromosome:AWHD00000000:4:14319158:14322420:-1 gene:ONIVA04G11280 transcript:ONIVA04G11280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRARASACGWIATVRVYVLFFLDLAAAIGLEVEDSALCSRRLVGVADAWSVDDGADGISGFPDAMSAAAHKQMVTGAEEAMLGKLELDSQSNLFSNSDDFLLSGSSKLGISASEDIDLPGKQGLPESTTDRPGSNGSSRVPRLRVLGTAGMAGFGKAVDILDTIGCLVTTSLSTDGGFISRAKTKGCPISILAFEVANTILKGATIMQSLSEDTVTYFKQVVLPSEGVQNLISSDMSVLMRIVANDKREELKIFSQEIVRFGNRCKDPQWHNLDRYFVKLESENPPQKQLKETAVAEMQKLMDLVHRTTDLYHELHALDRFEQDYRCKLTVKGNSYQKDNLPGENIEVVRIELKSQRNYVKSLKKRSLWSKTLEDIVEKLVDIVQYLHFEINASFGSSDEGELSSESTEDCQRLGPAGLALHYANIIIQIYSVVSRSGYIPPNTRDALYQGLPPRVRSALPNRLRTSSVPQELNIDQIRATMDKTLKWLVPMAINTTCARGFLRFSEWARSGTERVGRRPGQPDVVETLYHADKAKTEDYILDLVVWLHHLVSQSNRPANAKDKDKEQSTSPLTKSDLDKAQQE >ONIVA04G11270.1 pep chromosome:AWHD00000000:4:14306724:14307903:1 gene:ONIVA04G11270 transcript:ONIVA04G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDAAAAAASGASASPSPLLPPAPPSAARRRRRRLLTSPNPSVSSTSTSTSSSSSSSSSSSSLSFPFAPFSPAPSPFHHRFLSPLRASAVPFSWEHRPGIPKTPTRQHQHHRGGCGGGKIYSTPLPLPPSLLSSKVVVADEDRGGADRLAVSDDDDDAKAARRRSRRRHRRRLLQRPRRPAALAAALTDWLAVLSLYRSCTRFLEVVVVKAKSWVFQLLQIFTNCFS >ONIVA04G11260.1 pep chromosome:AWHD00000000:4:14294603:14300401:1 gene:ONIVA04G11260 transcript:ONIVA04G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Etoposide-induced 2.4 (InterPro:IPR009890); Has 264 Blast hits to 262 proteins in 100 species: Archae - 0; Bacteria - 0; Metazoa - 130; Fungi - 16; Plants - 79; Viruses - 0; Other Eukaryotes - 39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G06676) TAIR;Acc:AT4G06676] MESLASQAKPAAVLWLAGFLQAARLHRVVSFCASSRPLSARIAQCFLLNGFIFLGSLLTLKSVVIPILSWILPGDCSQLQGQHLCDHTVAVATYSFLRSVLIQIFYLRVEYTLVWTIVLPSSRFPYNDIAKHALDVVKRKSLDATKALDAHTISESTEKPEGVAIGIGEQVYSILLLTIFFIEVSVIGYIPYFGKPMNFLLLSLMYAYYCFEYKWNFFAVSLNERLDFFESNWAFFAGFGSPCVLPIFFFSPLTSYGVMAILYPLFVLTAAGTQAEKVIDQLKPSHGGKLQRIPVFFIAKRLTTQVLQLFPEVQKEQ >ONIVA04G11250.1 pep chromosome:AWHD00000000:4:14272675:14288348:-1 gene:ONIVA04G11250 transcript:ONIVA04G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKLLRSASALVGSTVSGQHLLEIKGYSHIKEVIPSTNYIVSRHFRVGGHGWCIRYYPNGFYQGWSEYIAVTLYLDGSVNQGVRAHFSFTVLNQAGEPMPESWNYYNVGYTFTSWDWAGPRTFIRKDTLEGSGPLHDNCFTIRCDLTVIMPPEAKGVDTESPPPAVSVPPSDLIRHLGGLLATGDGADVTFEVDGKTFLAHTSVVASRSPVLRADLFGAVGKKKRNGVIADSAGAVVRIDNMEARDFEALLHFMYTDSLPEMKGGGDAVAMLPDLVAAANRYKMERLRLVCEDKLCGYVNVRTVAAMLAFAGEHHCHGLQKKCLRLLDDPANLREIVETEGLEHLAKSGTESGQHLLEINGYSSIKDAVSTGNCVQSRHFRVGGHDWYIRYYPNGFNSNVSDCISIYLVLDGHDYYYGRSIVRAELTLSLLDQEREPVTSYIYSHGLQIFDGYDRYRGSLRFIQKAVLERSEYLRDNRFTIRCDITVMKNPEAKDTGGRRVTLPPSDLARHLGGLLATGVGADVTFEVDGKTFLAHRNVLAARSPVFHQELFSLTEKGNAATGGAGVIIRVDDMEAQDFEALLHFMYTDSLPEMKGGDAVAMLPDLVAAANRYKMERLRLVCEDKLCEYVTVRTVAAMLAFAGEHQCPELEKKCLQLLEDPANLRNIVETEGLEHLTKSYPFVLKDLIAMFATKPSSRRPPPPLRSASAILAGTESGQHLLKIVGYSHTKDKLPTGSAIKSRSFRVGGHSWYISYYPSGNDSGNADCISVFVQLDKRVGENGVKAQFTFSLLDRAGRPSHSTSSGDDPDIFNSSGWGFVRFIRRDVLEKSEYLRDDCLTIVCDLTVFMELQTEDIDVDTATPPPPPPPPPPPTVVVPPPDLHRHLGGLLDTGEGADVTFEVSGKTFAAHRLVLAARSPVFRAELFGPRKELGATTGGAVDHTAIRIDDMEARDFEALLRYMYTDSLPEPETTKGGGDAAAMLPDLVAAASRYKMERLRLVCEHKLCEYVNGRTVVSMLAFAREHHCDGLKEKCLRFLDDPVKVREIVKAEGLDNLSKTMPTATGSRTPVRSASAVIAGTESGQHHLKIDGYSRIKDELPTGSDIKSRSFRAGGHSWHLRYYPNGFNSDCAECISIFLQLDYNVMKGVKAQYKFSLLDRARKPSYSRSSGKADVFLNTGWGYRTYIERGLLESSEYLRDDCLTIVCDFTVFKDLRTEDIDVDDAMPPPQSPPTVVVPPSDLHRHLGDLLATGEGADVTFEVEGKTFAAHRWVLAARSPVFRVALFGATTGGADDVVRVNIDAMKVQDFEALLHYMYTDSLPEMKGGEAAAMLPDLVAAANRYKMERLRLVCEHKLCEYVNGRTVVAMLAFAGEHQCNGLKEKCLRFLDDPVKLREIVQAEGVENLSKSYPSILKDVIAKFVATPVDS >ONIVA04G11240.1 pep chromosome:AWHD00000000:4:14268538:14271795:-1 gene:ONIVA04G11240 transcript:ONIVA04G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTIQNLRNHSAADVTKFPVYSTTSTISGLSHRLRNDMPAKNRMLAEACMVFDAIPVRNYVSWAALFTVYACCGRVAEARELMPERNDVSWNMMILGKLFPPLLFRRRSFEGTFPPIQNLLRPFRCLLLRRFCSLGPPQRHAAGDVFQSNTAINEHFRAGRVAAARRVFDEMSERNVFTWNCMVSGLIRNRMLAEARKVFDAMPVRNSVSWAALLTGYARCGRVAEARELFNRIPDRNVVSWNAMVSGYARNGMVKRARELFDMMPWRDDVSWLTMISGYIKRKHVREARELFDSMPSPPTSVCNALLSGYVELGYMRAAEVLFGQMQTRNPVSWNVMITGYARAGSMGIAQRLFDEMPEKDVLSRTAIMRGYLQNGSVDAAWKVFKDMPHRDTVAWNTMMDGFVQNDRLDDALKLFSEMPDRDQISWNAILQGYVQQGDMDSANAWFRRAPNKDAISWNTLISGYKDEGALSLLSEMIRGGLKPDQATLSVVISICASLVSLGCGKMVHLWAIKTGFEHDALVMSSLISMYSKCGLISEASQVFELILQRDTVTWNAMIATYAYHGLADEALKVFDMMTKAGFRPDHATFLSILSACAHKGYLYEGCYHFRSMQEDWNLVPRSDHYSCMVDLLGRSGFIHQAYDFTRRIPSDHRTTAWETLFSACNSHGEIQLGEIIARNVLKARPSDGGMYTLLSNIYAAKEMWSSAASVRGFMKERGLKKETGCSWIELKGEVVTFSSNDSNHPLIEQICQEVDSISVMIEEAT >ONIVA04G11230.1 pep chromosome:AWHD00000000:4:14266417:14268372:-1 gene:ONIVA04G11230 transcript:ONIVA04G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRRKRRKERKPFNSSASRGFHATGVKRMGGHGHDEPYYLHAKHMYNLHRMKHQKPKVYLSVLGAVGIGIAVPVYAVVFQQKKTASG >ONIVA04G11220.1 pep chromosome:AWHD00000000:4:14261847:14264369:-1 gene:ONIVA04G11220 transcript:ONIVA04G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTRPLPLLLLLLLPPLLLLLLSFHAAAAAEEFPRDGRVIELDESSFEAALGAIDYLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIIVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADSLVRNLNKFVAPDVSILESDSAIKSFVENAGTSFPMFIGFGVNESLIAGYGGKYKKRAWFAVAKDFSEDFMVTYDFDKVPALVSLHPKYKEQSVFYGPFEGSFLEDFIRQSLLPLTVPINTETLKMLDDDDRKVVLAILEDDSDETSSQLVKVLRSAANANRDLVFGYVGIKQWDEFVETFDISKSSQLPKLIVWDRNEEYEVVEGSEKLEEGDQASQISQFLEGYRAGRTTKKKVSGPSFMGFLNSLVSLNSLYILICVFALLGVMIYFTGQDDTPQVRRAHEE >ONIVA04G11210.1 pep chromosome:AWHD00000000:4:14251112:14255232:-1 gene:ONIVA04G11210 transcript:ONIVA04G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGMRKASSHASMAAAAADPDDFDLTRMLNHRPRINVDRQRSFDDRSLAELSISGTASRGGGGGGYPAMMESYESMYSPGGGLRSLCGTPASSTRLSFDPHPLVFDAWDALRRSLVCFRGQPLGTIAAVFVRDFVPSALAFLMNGEPEIVKNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDAKRGGAERLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETAECQRGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLMLKPDAPEGKETMDRVATRLHALTYHMRSYFWLDFQQLNDVYRYRTEEYSHTAVNKFNVIPESIPDWVFDFMPSRGGYFVGNVSPARMDFRWFALGNFVAILASMATPEQAAAIMDLIEERWEDLIGEMPLKISFPAIESREWEFVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRLKIARRAIELAEARLARDGWPEYYDGKLGRYVGKQARKLQTWSVAGYLVAKMMVEDPSHLGMISLEEDRAMMKPVLKRSASWTV >ONIVA04G11200.1 pep chromosome:AWHD00000000:4:14236171:14238251:1 gene:ONIVA04G11200 transcript:ONIVA04G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPSSGSERSLASLVSAAAHSVKLNRAYLLAPAVAAGLLAAVLLSSLLDFSAFSASPRPAFPPPSAGAPANASALSAPPRAPVRTALDTLGKRPPEPFTALRDAYARWDAAVGCAAFAEKHRSRSSPPTDPAALQDPEAAPCGSLRLPHIALAVRGVTWVPDILDGVYQCRCGLTCLWSRNEEALADTPDVVLYEIWPPPDTRKQGEPLRAFMDIEPTRKRSGHEDIFIGYHADDDVQVTYAGKFFRITHNYHVATHKRDDVLVYWSSSRCFEHRNKIARELFRHLPAHSFGRCENNVGGGDKALELYPDCARDGHGAAEWWDHLHCAMSHYKFVLAIENTIADSYATEKLYYALEAGSVPIYFGAPNARDLAPPGSYIDGAAFASVGELAAYVREVAGDPAAYAEFHAWRRCGVLGGYGRNRLVSLDTLPCRLCERASRMGGRHAPAPAAPNATVS >ONIVA04G11190.1 pep chromosome:AWHD00000000:4:14212224:14228045:-1 gene:ONIVA04G11190 transcript:ONIVA04G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQQQAPKQKQKPKHSSNSSSSSSAGGGGSSAAPRLQISSENERRLRRLLLNSGATAPAPADAPAARAESREQKARRLRGVYDKLSLEGFSSAQIEQALSALSDSATFESALDWLCFNLPGDELPLKFSSGTASSSGAGPLGTEGSVKVVSTAKDNWVPQSRESEEVQGSNERLEIIISRRREEDVTLDDGRSSQAAWIRQYMEQQEEEDDVNSNDSYTWEDHCPPSLETAEAKPSRRKKKGKQAKSSSGNSKEDLSSSDNVFPNSDIANAEGDLVDSGATGKKCESPVHMDGGSSLEKKMAKDVDETSTKEVEEEEVELDNLFFEDSSAWEAVAPEILKQQKIEKLSHDGYGHLLGNIDDIWKKGDSGKMPKAVLQKFCQKLGWEAPKYSKISEKDRKFIYAVNVLRGSTGRGKSRKAGGLTKVELTEQDKEYASVEEAQNRVAAFALYQFFADLSLRQLLIEPYASLVLRWQEGELSSSSSRVMDTEDSRRAGFVDKLLDMDANTTPHQVEDASDGATSVDSRSIEDSYSVHEKKETYLVNRTGSRSAEQVESTVLKKHLENKMKQSSYLKMLEARASLPISRFKDHFLQLLKENDVIVVCGETGCGKTTQVPQFILDDMIESELGGYCSIVCTQPRRIAAISVAERVSSERCESSPGSKDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNNDLSDVTHVVVDEVHERTILGDFLLIVLKSLVEKRSNQPGRKLKVILMSATVDSSLFARYFGDCPVINVEGRTHPVSSHFLEDVYEKMEYCLSLDSPASGAYFQQHGEKWKNASSTVNNRRGKKNLVLSSWGDESVLTEDYVNPHYTTDCYQSYSERTNQNLKRLNEDVIDFDLLEDLICYIDENCPPGAVLVFLPGVAEIDMLIDRLSASVRFGRESSDWILPLHSLLAPTDQRKVFQSPPENIRKIIVATDIAETSITIDDVIYVVDTGKHKENRYNPQKKMSSIVEDWISRANAKQRRGRAGRVPEMLRMPLTELCLQIKSLHLGGIKSFLLKAIEPPKEEAISSAIDLLYQVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFISPKDEKQNVEKAKASLMNENLDGSASTADNKQSDHLLMVIAYNKWSRILRENGARSAHQFCRSFYLNSTVMYMVRDMRLQYGTLLADIGLLDIPKDSLRPVDGTRKNTLESWFANMSLPFNLYARYSSVVKSVICAGLYPNVAATLEGVDPGALGGRKPSDFLSGKDRPRWYDGRREVHIHPSSMNHSLKAGQYPFLVFLEKVETSKVFLRDTSVISPYSLLLFGGTMVIQHQTGVVIIDGWLRLTAAAQTAVLFKQLRATLDAVLKELIRKPEMATFVDNEVVRSIIHLLLEEEKAQQA >ONIVA04G11180.1 pep chromosome:AWHD00000000:4:14206494:14208008:-1 gene:ONIVA04G11180 transcript:ONIVA04G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKLSLAIDGGGGGDAAAAVAKKSKVVGGGAVVVDGVGSSAICGGDRGSRVRDRMVKKAEEFDHENGMAATSSDGGGGGGGGMELVRLLLSAVAAGEAGDARAAAAALREVDRRASCRGGGDPAQRVAACYAAVLAPRLAAGLRPARSSPAAPAAARAEQFLAYTMFYQASPFYQFAHFTANQAIVEAFESGGRRRLHVVDFDVSYGFQWPSLIQSLSDAAAAATSSSSHDDDDNRGGCGDGPVSLRITGFGASADELRETEARLRRFAAGCPNLRFEFEGILNNGSNTRHDCTRIDDDATVVVNLVFPASSREACAATRMAYINSLNPSMVFLIEKHDGGGGLTGGDNTTTGRSASLLPRFAANLRYFAAVFDSLHECLPADSAERLAIERDHLGREIADAVASLDHQHRRRHGGGGGGGDHAAASWNWKAAMAGAGLDGVKLSSRTVSQAKLLLKMKSGCGGGGFRVVEGDGGMAMSLAWRDMALATATVWRRRRRRRRCR >ONIVA04G11170.1 pep chromosome:AWHD00000000:4:14195726:14201047:1 gene:ONIVA04G11170 transcript:ONIVA04G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYELLKDIGAGNFGVARLMRNKETKELIDENVAREIINHRSLRHPNIIRFKEVVVTPTHLAIVMEYAAGGELFDRICNAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKKDNSAPTYSVQSVEEIMKIVEEARTPPRSSTPVAGFGWQEEDEQEDNSKKPEEEQEEEEDAEDEYDKQVKQVHASGEFQLS >ONIVA04G11170.2 pep chromosome:AWHD00000000:4:14195479:14201047:1 gene:ONIVA04G11170 transcript:ONIVA04G11170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKKDNSAPTYSVQSVEEIMKIVEEARTPPRSSTPVAGFGWQEEDEQEDNSKKPEEEQEEEEDAEDEYDKQVKQVHASGEFQLS >ONIVA04G11160.1 pep chromosome:AWHD00000000:4:14185356:14190509:1 gene:ONIVA04G11160 transcript:ONIVA04G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) TAIR;Acc:AT1G21760] MASSDVSVDVRPEFNSFDHLRSIRYIATDRPWLTLYGIRVQPVTPFSSLSSRPDLALIHQCLPDELLFEIFARMSPYSLGRAACVCRKWKYTVRNPTLWRNACLKTWQRTGMEANYQMVQSLYDSSWRKMWMLRPRIQFDGLYVSRNTYIHTGTAEWQFTKTVNVVCYYRYLRFFPSGKFLYKISPQKIKDVVKCMHFRASKGDCVFKGDYILSEDGQIELALLYPGRRYTLVRMRLRLRGTTLGANNRLDVLKILTTGVNATELRNWKGSXLELVETWDEDETHDPEVPAVTHSRGLTPFVFVPFEEADTSVMNLPVEKMDYFVPGLVLKMNNIPSGIIRVVYMA >ONIVA04G11160.2 pep chromosome:AWHD00000000:4:14185356:14190687:1 gene:ONIVA04G11160 transcript:ONIVA04G11160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) TAIR;Acc:AT1G21760] MASSDVSVDVRPEFNSFDHLRSIRYIATDRPWLTLYGIRVQPVTPFSSLSSRPDLALIHQCLPDELLFEIFARMSPYSLGRAACVCRKWKYTVRNPTLWRNACLKTWQRTGMEANYQMVQSLYDSSWRKMWMLRPRIQFDGLYVSRNTYIHTGTAEWQFTKTVNVVCYYRYLRFFPSGKFLYKISPQKIKDVVKCMHFRASKGDCVFKGDYILSEDGQIELALLYPGRRYTLVRMRLRLRGTTLGANNRLDVLKILTTGVNATELRNWKGSXLELVETWDEDETHDPEVPAVTHSRGLTPFVFVPFEEADTSVMNLPVEKMDYFVPG >ONIVA04G11150.1 pep chromosome:AWHD00000000:4:14182151:14184046:-1 gene:ONIVA04G11150 transcript:ONIVA04G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone GrpE family protein [Source:Projected from Arabidopsis thaliana (AT1G36390) TAIR;Acc:AT1G36390] MAATFSAAAAAAAASPTYLHRPRTLAPPASTPARLSPSSSSRRPATPSLLSLRRTHAAPPPLRAAAADPKVVNGEDFPPMKDLLRLYKKAFLDGNDEALGGIESAIIAIEKERSNSAAQYESIATEITSGKDKFLRINADLENFRKQTEKERARFTSNIQVDVVQSLLTLVDSFEKVNQEITPETDKEQTISTSYQGIYKQLVETLRSLGVGVVETVGKPFDPSIHEAIAREESHQFKAGIVSHEVKRGFLLRERLLRPATVKVSTGSGTQETSSPSTEKPVEDSKEDAAV >ONIVA04G11140.1 pep chromosome:AWHD00000000:4:14178121:14183050:1 gene:ONIVA04G11140 transcript:ONIVA04G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEQKEEGVNLCFLARSGGGGMQYHQGGSRMPPFARGGAYSRGYKQLYAPPQQQPPPQDKHEVLMEAGRLAAEYLVAKGVLPPASLQRRGVGGGGWVQLPPPPPPPPPPQGTLAFYGARNGRRRLDDDDGNPNPRSRRNRGGENNNDDSSSSYNGRGKRKFGAYSRHSDWGRDKGRSRGNSDSQSYDDEDDDGPPGYRRERRGGGRFDDAGSSMSGVAASKTEATGESELEDTGSKVGSSSNFRKDVDPPQEVEGVDKLNKINEESNPSNSEVVEQMTNGESTSNNASCIVIDEEQMKAKYLPVPSDDKVSDEKPDDSSVLNEKIEDDETLAEKAEDDKTSDERVPGVKNNLRDDCNNLLSYCSYPNVPTRRRSIVAHRNAAPAHREVAVAEQIDLVSSEDETHMATDVTGHGSSLTNTQEGNKDGLACLEHTDTSTTCNQMVEPVRFQTEETQIGTDDLRGQKNIEQHYAVHESREENMLPPKVGVQQQVEEGMQIYNVDTPPQDEDLIASADKEKVAGVALLPSIKAEAVVAKEEDKFGQSSSFKICDLNLVGSPEVAELRNDPGLGQFSTAGCSMEPQNQQQEFRNTGNSADDTNMHAQIPLHNKVVQVIDLEDDSPIEAGACDTSKAKEENMANPAVTTDVLPGIQDGYNFAISDYLGADIPCYQPMQTDLPNGMSLNDSEGITVMDDSIYGSLSDIGFMEVWDQQPQDYEKFF >ONIVA04G11140.2 pep chromosome:AWHD00000000:4:14178121:14183225:1 gene:ONIVA04G11140 transcript:ONIVA04G11140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEQKEEGVNLCFLARSGGGGMQYHQGGSRMPPFARGGAYSRGYKQLYAPPQQQPPPQDKHEVLMEAGRLAAEYLVAKGVLPPASLQRRGVGGGGWVQLPPPPPPPPPPQGTLAFYGARNGRRRLDDDDGNPNPRSRRNRGGENNNDDSSSSYNGRGKRKFGAYSRHSDWGRDKGRSRGNSDSQSYDDEDDDGPPGYRRERRGGGRFDDAGSSMSGVAASKTEATGESELEDTGSKVGSSSNFRKDVDPPQEVEGVDKLNKINEESNPSNSEVVEQMTNGESTSNNASCIVIDEEQMKAKYLPVPSDDKVSDEKPDDSSVLNEKIEDDETLAEKAEDDKTSDERVPGVKNNLRDDCNNLLSYCSYPNVPTRRRSIVAHRNAAPAHREVAVAEQIDLVSSEDETHMATDVTGHGSSLTNTQEGNKDGLACLEHTDTSTTCNQMVEPVRFQTEETQIGTDDLRGQKNIEQHYAVHESREENMLPPKVGVQQQVEEGMQIYNVDTPPQDEDLIASADKEKVAGVALLPSIKAEAVVAKEEDKFGQSSSFKICDLNLVGSPEVAELRNDPGLGQFSTAGCSMEPQNQQQEFRNTGNSADDTNMHAQIPLHNKVVQVIDLEDDSPIEAGACDTSKAKEENMANPAVTTDVLPGIQDGYNFAISDYLGADIPCYQPMQTDLPNGMSLNDSEGITVMDDSIYGSLSDIGFMEVWDQQPQDYEKFF >ONIVA04G11130.1 pep chromosome:AWHD00000000:4:14173136:14176530:1 gene:ONIVA04G11130 transcript:ONIVA04G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRHVAAGAGAPAPAAGEWAAVTAGGGAAWALSPVEEVGTKQELMRRTGLPPRDLRALDPALSSAASASSCRPSAITGRDRAVVVNLDRARAVITASEVLVPSPRDPAVAPLVRELRARLALAASPTPAPSPSPPQHGMAVGMDGSISPSPASRGGEEAAGNGKDGEALGGGDKALPFEFRALEVCLEFACKSLEHETCTLEKEAYPALDELSSKVSTLNLERVRQIKSRLVAISGKVQKVRDELEHLLDDDMDMAALHLTEKLAYQSSRFDIDKEASELEDHSSECSSRDEEGVEGGGGGDGDDETIAGGGSFSPNTDELEILLESYFVQIDGTLNSLSTLREYVEDTEDYINMMLDEKQNQLLQMGILLSTGTLVSSCAIAVTGVFGINVHISLYDSPASSAAFPCAAAGIVAGSLALYLAALLCYKRAGILQ >ONIVA04G11120.1 pep chromosome:AWHD00000000:4:14165929:14169980:-1 gene:ONIVA04G11120 transcript:ONIVA04G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATATACPAPPPPRSLYRGVALAAPGRRRAGYGASSSAARRWPGCRRRWAAHRIRTVSCAYSPRGAETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKDGEKTHSITGTLPKAVYLKVFLLIWYVTSLSRFVLLKYYLRVSSGTHAIWGDPGIAYEYIRMIVATRKHVLALRQRALILRLMSRNLGIISYSELFPFLTSRREQKIYLVTEARNLVRAGIWDLLISAPTYTLF >ONIVA04G11120.2 pep chromosome:AWHD00000000:4:14165929:14169980:-1 gene:ONIVA04G11120 transcript:ONIVA04G11120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATATACPAPPPPRSLYRGVALAAPGRRRAGYGASSSAARRWPGCRRRWAAHRIRTVSCAYSPRGAETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKDGEKTHSITGTLPKAVYLYKVCTIKLCGFVLLKYYLRVSSGTHAIWGDPGIAYEYIRMIVATRKHVLALRQRALILRLMSRNLGIISYSELFPFLTSRREQKIYLVTEARNLVRAGIWDLLISAPTYTLF >ONIVA04G11120.3 pep chromosome:AWHD00000000:4:14166950:14169980:-1 gene:ONIVA04G11120 transcript:ONIVA04G11120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATATACPAPPPPRSLYRGVALAAPGRRRAGYGASSSAARRWPGCRRRWAAHRIRTVSCAYSPRGAETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKDGEKTHSITGTLPKAVYLYKVCTIKLCGFVLLKYYLRVSSGTHAIWGDPGIAYEYIRMIVATRKHVLALRQRALILRLMSRNLGIISYSELFPFLTSRREQKIYLVTEARNLVRAGIWDLLISAPTVLRC >ONIVA04G11120.4 pep chromosome:AWHD00000000:4:14166181:14169980:-1 gene:ONIVA04G11120 transcript:ONIVA04G11120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATATACPAPPPPRSLYRGVALAAPGRRRAGYGASSSAARRWPGCRRRWAAHRIRTVSCAYSPRGAETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKDGEKTHSITGTLPKAVYEPMRSGELLLNPALLHGSALFHGTYAGGILDDSGNPQACTSTQAASADTQV >ONIVA04G11110.1 pep chromosome:AWHD00000000:4:14163539:14165869:1 gene:ONIVA04G11110 transcript:ONIVA04G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSLSLVAFMAAVAAAAAAAGDDRRPYVVRMDVSAMPAPFATHDGWYRSVLSSASARDAAAAPAAEHLYTYSHAMNGFSAVLTARQVEEIRRADGHVAVFPETYARLHTTRTPAFLGLSAGAGAWPASRYGADVVVGIVDTGVWPESASFSDAGVAAPVPARWKGACEAGASFRPSMCNRKLVGARSFSKGLRQRGLNISDDDYDSPRDYYGHGSHTSSTAAGAAVPGASYFGYANGTATGVAPMARVAMYKAVFSADTLESASTDVLAAMDQAIADGVDVMSLSLGFPESPYDTNVVAIGAFAAVRRGILVTCSAGNDGSDSYTVLNGAPWITTVGASTIDRAFTATVTLGAGAGGARSIVGRSVYPGRVPAGAAALYYGRGNRTKERCESGSLSRKDVRGKYVFCNAGEGGIHEQMYEVQSNGGRGVIAASNMKEIMDPSDYVTPVVLVTPSDGAAIQRYATAAAAPRASVRFAGTELGVKPAPAVAYFSSRGPSPVSPAILKPDVVAPGVDILAAWVPNKEVMELDGGETKLYTNYMLVSGTSMASPHVAGVAALLRSAHPDWSPAAVRSAMMTTAYVKDNADDADLVSMPGGSPGTPLDYGSGHVSPNQATDPGLVYDITADDYVAFLCGELRYTSRQVAAIAGHRAGCPAGAGAASHRDLNYPSFMVILNKTNSATRTFTRTLTNVAGSPAKYAVSVTAPAGMAVKVTPATLSFAGKGSTQGFSVTVQVSQVKRSRDGDNYIGNYGFLSWNEVGGQHVVRSPIVSAFAQ >ONIVA04G11100.1 pep chromosome:AWHD00000000:4:14154671:14158618:1 gene:ONIVA04G11100 transcript:ONIVA04G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAAGEVSEKESGSGERWSRNGVRLRRHPSMASSKWICYNVDDEGFHGRRQGCAEHVVVHSRLRRHGTRVSRWYPGLISRYKLDTQIPGTRIRAKAKLSYHTVVAAHSSSSSHCTRQRVTRTSWHARALQGGGRMHLHAKTDSEVTSSMAASSPPRAAYYVQSPSHDDGENKTAASSFHSSPAASPPRSLGNHSRESSSSRFSAAKSGSSRRTAAAGGDGGKGGVAAGRGGGGGGGGGRRSPWMKEAAIEEEGLLMEDDDADGGGGGGGGFSSLPRRWRYALGFVGAFFALFFFFALILWGASHNQKPVVSINSITFHNFVIQAGTDASLVPTELSTVNATVRMTFRNTGSFFGVHVTAEPLTLYYYQLLMASGNVKYFYQSRKSSRHVAVAVVGDKVPLYGGGSGLSSTPVKGAPPAPVPLQLAVRFRSRAFVLGKLVKPKFLTNVQCSVRLDVAKLGKPVSLNKACSLV >ONIVA04G11090.1 pep chromosome:AWHD00000000:4:14141463:14145550:1 gene:ONIVA04G11090 transcript:ONIVA04G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCSDENGHSKCCRYINAIIAVSSAMYANMTGILGVPAEISDACIGNISDTLVSKGILPTAASFCGLGIKIQVSYQCIGMTTVLQMLQSPNFSDVTRSCATLLSDDVSCKRCLNSGLSYLRHLVGEQDNVTLNTCRDAAFVAFASQGNISTVDTASCFFSVQGLSALQVNISVPSPAGLIAPNIAPSPLAMQIPGEHVTGVLSKHHRSYKLALFPAIGALVTGLAVILMIVLILLIRKKSRELEKIEGTNPLDAWSSCLKKGQEGSSTIFDRFTYRQMKKATRNFGTVLGGGEKGTIFKGKLSDGSVVAIRRIESSPKQGQLEFCKEMELLGRLHHRHLVGLKGFCLTRFERFQVYEYMENGSLKDHLHSSGKRLLPWKNRIQIAIDVANALEYLHFYCDPPLCHGNIKPSNVLLDRNYLAKLAVSGLVQCSNGDSTTISSTLVNMKIPATPGYVDPCYVVNQVVTPKSDVYSYGVLLLELVTGKPVAQGDDDGNGDSSSRSSSKNLVEWSRELIGTDYRLHELVDPAVADAFDLDELQVMADVIHWCTHRDGAARPSMKQVLRILYERLDPLSGGLARAVAGEEGYYYGGGQSGRKGKEGAEMLAGGGGDGGRCLPSSSSTSRSYCSRSVLLECNSPEEAPPQSSPRGLR >ONIVA04G11080.1 pep chromosome:AWHD00000000:4:14133437:14136349:-1 gene:ONIVA04G11080 transcript:ONIVA04G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWQEAPAPGVRVLLFAVAAAALLLVVSARKVGETCAADRNCDAGLHCETCVADGNVRPRCTRVTPVDPQTKARDLPFNRYAWLTTHNSFARLGTRSRTGTAIATAWNQQDTITDQLNNGVRGLMLDMYDFRNDIWLCHSFGGACQNFTAFVPAVEVLGEIERFLARNPSEVVTVFVEDYVESPMGLTRVLNASGLTKYVFPAWRMPKSGGDWPRLSDMVRDNHRLLLFTSKSAKEAAEGIPYEWHYVVENQYGTKGMIKGRCPNRAESAAMNDLSRSLVLVNYFRDLPNFPVACKDNSAELLDMLTTCHDLSADRWANFIAVDFYKRSDRGGAAEATDRANGGLVCGCGSVSACSGNGTCTTARHGGTPKGIFNATSGATALLRPPTATLQWQQLIILVPSTLAALLLSL >ONIVA04G11070.1 pep chromosome:AWHD00000000:4:14130581:14135208:1 gene:ONIVA04G11070 transcript:ONIVA04G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRNEICKYLFQEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGGGFGAGPTSSSME >ONIVA04G11060.1 pep chromosome:AWHD00000000:4:14123263:14127939:1 gene:ONIVA04G11060 transcript:ONIVA04G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLHLWELVGIGVGAAFVLLLVLLSLLCLVASRRRRRRRGVAVATPVLHLATAVAPPKHPGKPPKDIQEVPSRAAAAAAAPKAQPAQVIQAPPPQPPPSESIQIETGKEHRITFREQQHQPPQPPPYHQRSGGPSSRGGSGESRGGGGGGGGGGAEPGVPEVSHLGWGHWYTLKELEDATAMFADEKVIGEGGYGIVYHGVLEDGTQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGDVGPVSPLTWDMRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKTWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSNRNSEGVLDPKMTEKPTSRALKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPYRDERRGGKAPGQVKSGEIPPVEAGDSSGNNTPKETPKGQPKDEPFKWRNQAAA >ONIVA04G11050.1 pep chromosome:AWHD00000000:4:14120642:14121156:1 gene:ONIVA04G11050 transcript:ONIVA04G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGTSGGGATPRRRREEAEQRRDLGAATRCLEVALAPLPAASLLPLTEARVRLRLAALLLRSRSKGLPPPRACLAAPLLPELWRGYPAGGAMDPATTEQLQGGQIQPSGDGAGRSSGEGAGRSSGEGTERSSCGRWRLAGSPSLFSSPAPNPAPLSSSAPAVLGNDN >ONIVA04G11040.1 pep chromosome:AWHD00000000:4:14111414:14119758:1 gene:ONIVA04G11040 transcript:ONIVA04G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGSASAGANGSAAAHRVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVKNPFGGEFTVFAGLEECIKFIANFKFTEDDILFLQSVMPMCEDAFFDYLRKTDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAYVSSYMSLDEIPDKSLKSKDGSRVCKDFVSLVKEWLQKIQLADSLCGVFGETNQSELAAFASYALAFPTNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFRTLEKEFNVPAFGKMIITASNDLNEETIDALNKQGHEVDSFGIGTYLVTCYSQAALGCVFKLVEINSRPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMMRESEPSPKAGERILCRHPFLESKRAYVVPQHVEELLQCYWPDKPRVELPSLEEIRGRCMQQLEKLRPDHIRRLNPTPYKVSVSAKLYEFIHSLWLNEAPVGELQ >ONIVA04G11030.1 pep chromosome:AWHD00000000:4:14084612:14096805:-1 gene:ONIVA04G11030 transcript:ONIVA04G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASGEKEEEEKKLQERAPIRRTAWMLADFVVLFLLLALLVRRATAADAEERGVGGAAWRVAFACEAWFAFVWLLNMNAKWSPARFDTYPENLAGRIDELPAVDMFVTTTDPALEPPVVTVNTVLSLLAVDYYPGGAGAGGGERLACYVSDDGCSPVTYYALREAAGFARTWVPFCRRHGVAVRAPFRYFASTPEFGPADRKFLDDWTFMKDADETTLLRQGGGEFAEFMDAKRTNHRAIVKVIWDNNSKNRIGEEGGFPHLIYVSREKSPGHHHHYKAGAMNALTRVSAMMTNAPIMLNVDCDMFANDPQVVLHAMCLLLGFDDEISSGFVQVPQSFYGDLKDDPFGNKLEKLLGGVAGIQGLFYGGTGCFHRRKAIYGIEPDSIVVGREGAAGSPSYKELQFKFESSEELKESARNIISGDMSGEPIVDISSCIEVAKEVSSCNYESGTHWGLEVGWAYGSMTEDILTGQRIHAAGWRSAKLETEPPAFLGCAPTGGPACLTQFKRWATGLFEILISQNNPLLLSIFKYLQFRQCLAYLTLYVWAVRGFVELCYELLVPYCLLTNQSFLPKASENCFNITLALFLTYSTYNFVEYMECGLSVRAWWNNHRMQRIISASAWLLAFFTVLLKTIGLSETVFEVTRKEKSTSDGNGQNDEVDPERFTFDASPVFIPVTALTMLNIVAITIGTWRAVFGTTEDVPGGPGISEFMSCGWLLLCLLPFVRGLVGKGSYGIPWSVKLKASLLVALSGGGGGEGTRSGRKKPPPPPLQERVPLGRRAAWAWRLAGLAVLLLLLALLALRLLRHHGGAGGDGGVWRVALVCEAWFAALCALNVSAKWSPVRFVTRPENLVAEGRTPSTTAAEYGELPAVDMLVTTADPALEPPLVTVNTVLSLLALDYPRAGERLACYVSDDGCSPLTCHALREAAGFAAAWVPFCRRYGVAVRAPFRYFSSSSSPESGGPADRKFLDDWTFMKDEYDKLVRRIKNTDERSLLRHGGGEFFAEFLNVERRNHPTIVKTRVSAVMTNAPIMLNMDCDMFVNNPQAVLHAMCLLLGFDDEASSGFVQAPQRFYDALKDDPFGNQMECFFKRFISGVQGVQGAFYAGTGCFHRRKAVYGVPPNFNGAEREDTIGSSSYKELHTRFGNSQELNESARNIIWDLSSKPMVDISSRIEVAKAVSACNYDIGTCWGQEVGWVYGSLTEDILTGQRIHAMGWRSVLMVTEPPAFMGSAPIGGPACLTQFKRWATGQSEIIISRNNPILATMFKRLKFRQCLAYLIVLGWPLRAPFELCYGLLGPYCILTNQSFLPKASEDGFSIPLALFISYNTYNFMEYMVCGLSARAWWNNHRMQRIISVSAWTLAFLTVLLKSLGLSETVFEVTGKDKSMSDDDDNTDGADPGRFTFDSSPVFIPVTALAMLNIVAVTVGACRVAFGTAEGVPCAPGIGEFMCCGWLVLCFFPFVRGIVWGKGSYGIPWSVKLKASLLVAMFVTFCKRN >ONIVA04G11030.2 pep chromosome:AWHD00000000:4:14084612:14096805:-1 gene:ONIVA04G11030 transcript:ONIVA04G11030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASGEKEEEEKKLQERAPIRRTAWMLADFVVLFLLLALLVRRATAADAEERGVGGAAWRVAFACEAWFAFVWLLNMNAKWSPARFDTYPENLAGRIDELPAVDMFVTTTDPALEPPVVTVNTVLSLLAVDYYPGGAGAGGGERLACYVSDDGCSPVTYYALREAAGFARTWVPFCRRHGVAVRAPFRYFASTPEFGPADRKFLDDWTFMKVIWDNNSKNRIGEEGGFPHLIYVSREKSPGHHHHYKAGAMNALTRVSAMMTNAPIMLNVDCDMFANDPQVVLHAMCLLLGFDDEISSGFVQVPQSFYGDLKDDPFGNKLEKLLGGVAGIQGLFYGGTGCFHRRKAIYGIEPDSIVVGREGAAGSPSYKELQFKFESSEELKESARNIISGDMSGEPIVDISSCIEVAKEVSSCNYESGTHWGLEVGWAYGSMTEDILTGQRIHAAGWRSAKLETEPPAFLGCAPTGGPACLTQFKRWATGLFEILISQNNPLLLSIFKYLQFRQCLAYLTLYVWAVRGFVELCYELLVPYCLLTNQSFLPKASENCFNITLALFLTYSTYNFVEYMECGLSVRAWWNNHRMQRIISASAWLLAFFTVLLKTIGLSETVFEVTRKEKSTSDGNGQNDEVDPERFTFDASPVFIPVTALTMLNIVAITIGTWRAVFGTTEDVPGGPGISEFMSCGWLLLCLLPFVRGLVGKGSYGIPWSVKLKASLLVALSGGGGGEGTRSGRKKPPPPPLQERVPLGRRAAWAWRLAGLAVLLLLLALLALRLLRHHGGAGGDGGVWRVALVCEAWFAALCALNVSAKWSPVRFVTRPENLVAEGRTPSTTAAEYGELPAVDMLVTTADPALEPPLVTVNTVLSLLALDYPRAGERLACYVSDDGCSPLTCHALREAAGFAAAWVPFCRRYGVAVRAPFRYFSSSSSPESGGPADRKFLDDWTFMKDEYDKLVRRIKNTDERSLLRHGGGEFFAEFLNVERRNHPTIVKVLWDNSKSRAGEGFPHLIYVSREKSPTHHHHYKAGAMNVLTRVSAVMTNAPIMLNMDCDMFVNNPQAVLHAMCLLLGFDDEASSGFVQAPQRFYDALKDDPFGNQMECFFKRFISGVQGVQGAFYAGTGCFHRRKAVYGVPPNFNGAEREDTIGSSSYKELHTRFGNSQELNESARNIIWDLSSKPMVDISSRIEVAKAVSACNYDIGTCWGQEVGWVYGSLTEDILTGQRIHAMGWRSVLMVTEPPAFMGSAPIGGPACLTQFKRWATGQSEIIISRNNPILATMFKRLKFRQCLAYLIVLGWPLRAPFELCYGLLGPYCILTNQSFLPKASEDGFSIPLALFISYNTYNFMEYMVCGLSARAWWNNHRMQRIISVSAWTLAFLTVLLKSLGLSETVFEVTGKDKSMSDDDDNTDGADPGRFTFDSSPVFIPVTALAMLNIVAVTVGACRVAFGTAEGVPCAPGIGEFMCCGWLVLCFFPFVRGIVWGKGSYGIPWSVKLKASLLVAMFVTFCKRN >ONIVA04G11030.3 pep chromosome:AWHD00000000:4:14084612:14096805:-1 gene:ONIVA04G11030 transcript:ONIVA04G11030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASGEKEEEEKKLQERAPIRRTAWMLADFVVLFLLLALLVRRATAADAEERGVGGAAWRVAFACEAWFAFVWLLNMNAKWSPARFDTYPENLAGRIDELPAVDMFVTTTDPALEPPVVTVNTVLSLLAVDYYPGGAGAGGGERLACYVSDDGCSPVTYYALREAAGFARTWVPFCRRHGVAVRAPFRYFASTPEFGPADRKFLDDWTFMKVIWDNNSKNRIGEEGGFPHLIYVSREKSPGHHHHYKAGAMNALTRVSAMMTNAPIMLNVDCDMFANDPQVVLHAMCLLLGFDDEISSGFVQVPQSFYGDLKDDPFGNKLEKLLGGVAGIQGLFYGGTGCFHRRKAIYGIEPDSIVVGREGAAGSPSYKELQFKFESSEELKESARNIISGDMSGEPIVDISSCIEVAKEVSSCNYESGTHWGLEVGWAYGSMTEDILTGQRIHAAGWRSAKLETEPPAFLGCAPTGGPACLTQFKRWATGLFEILISQNNPLLLSIFKYLQFRQCLAYLTLYVWAVRGFVELCYELLVPYCLLTNQSFLPKASENCFNITLALFLTYSTYNFVEYMECGLSVRAWWNNHRMQRIISASAWLLAFFTVLLKTIGLSETVFEVTRKEKSTSDGNGQNDEVDPERFTFDASPVFIPVTALTMLNIVAITIGTWRAVFGTTEDVPGGPGISEFMSCGWLLLCLLPFVRGLVGKGSYGIPWSVKLKASLLVALSGGGGGEGTRSGRKKPPPPPLQERVPLGRRAAWAWRLAGLAVLLLLLALLALRLLRHHGGAGGDGGVWRVALVCEAWFAALCALNVSAKWSPVRFVTRPENLVAEGRTPSTTAAEYGELPAVDMLVTTADPALEPPLVTVNTVLSLLALDYPRAGERLACYVSDDGCSPLTCHALREAAGFAAAWVPFCRRYGVAVRAPFRYFSSSSSPESGGPADRKFLDDWTFMKDEYDKLVRRIKNTDERSLLRHGGGEFFAEFLNVERRNHPTIVKTRVSAVMTNAPIMLNMDCDMFVNNPQAVLHAMCLLLGFDDEASSGFVQAPQRFYDALKDDPFGNQMECFFKRFISGVQGVQGAFYAGTGCFHRRKAVYGVPPNFNGAEREDTIGSSSYKELHTRFGNSQELNESARNIIWDLSSKPMVDISSRIEVAKAVSACNYDIGTCWGQEVGWVYGSLTEDILTGQRIHAMGWRSVLMVTEPPAFMGSAPIGGPACLTQFKRWATGQSEIIISRNNPILATMFKRLKFRQCLAYLIVLGWPLRAPFELCYGLLGPYCILTNQSFLPKASEDGFSIPLALFISYNTYNFMEYMVCGLSARAWWNNHRMQRIISVSAWTLAFLTVLLKSLGLSETVFEVTGKDKSMSDDDDNTDGADPGRFTFDSSPVFIPVTALAMLNIVAVTVGACRVAFGTAEGVPCAPGIGEFMCCGWLVLCFFPFVRGIVWGKGSYGIPWSVKLKASLLVAMFVTFCKRN >ONIVA04G11020.1 pep chromosome:AWHD00000000:4:14083869:14084551:-1 gene:ONIVA04G11020 transcript:ONIVA04G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHMYQETPHHFPSLPSASLPSQGRHGRRLILLRRILPSPPLCLATVRAARWQSRPATRTAVAGPSPLLEEASGGDTGAARERRSAAREAAPGGWRGGSVSPGSGSGPSVSRAEQRRAAVSGAGGGAEGSSRRIRPRRRWIRLPWRRIRPPRIQGPSDGEPRGDGGRKEAMDARCCGRMWRRRRPGGRFRSSRGDGRRRRSTAPVAAMATAVHGRDVVVAGVGGW >ONIVA04G11010.1 pep chromosome:AWHD00000000:4:14082885:14083291:-1 gene:ONIVA04G11010 transcript:ONIVA04G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTTMEFPLLRALSCCLIPQGWLPGESPVLAPLSPDGRRRRFTIASLLEYVVLVSPSRSLSIDWCKHTLGVGFVLVVRRRSVKLSGGQSGVSLLPVLCVGAVGVWVVVYFSFFLVTTL >ONIVA04G11000.1 pep chromosome:AWHD00000000:4:14079594:14082859:1 gene:ONIVA04G11000 transcript:ONIVA04G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGADLSQDVDESPYHTAVVTTNNLVRSIKAEKSNSSSSSGKPIETDIGLKVASPTMFGFNTKIEGTGKNMAVKREEGEGGGRPGVSSGVSTRDTNGKGKNAMDMEHALHIWTERERRKKMKNMFSTLHGLLPKIPGKTDKASIVGEAIGYIKTLEDVVQKLETIKTERVRAHQWAAAAAAAVAANGGGEGSSHSHSQPPRHATAVTVAVAEPAPVAAAVNAQAPQKKAAAAAAPTLQTWSAPNITLTMAGVDAFINMCLPRQRASFTTVAFVLEKHQIDVVTSTISADHDKSLFSLNEASLQSTEGLTPEAKYKLAVSELMVRLAE >ONIVA04G10990.1 pep chromosome:AWHD00000000:4:14074412:14077031:1 gene:ONIVA04G10990 transcript:ONIVA04G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGPNNHPQGTQETHDCPFTIATNTPLGSTKMGYSDSRKSDENNTSSKPASPVVSSDVVEIKETWENVVVADEEGEGGEDGFASDASESKSKSSSDEIDYELLDLLAGASEGCSGGNNNDEVKNFAYADHKMHVLTERERRKEMKNKFEILHALIPNLPEKTDKATIVEATINYIKNLQDKIHKMEMLKVEREHAIALATAATATAAASADTALQAPPPSEEENEEHDSVVAAATREMALADMVHAWEQQQEAAATGGSHGGHAVPPPPPAASLQTWTGPNMTASLTGDDGFITLSLPHQGGQKNLVAGAVSVLERHHIDVVTATVSASEQGDNLISLHCHLSPGSSSSQNLTPLDKFKLAMSELMLWVISV >ONIVA04G10980.1 pep chromosome:AWHD00000000:4:14066959:14071859:1 gene:ONIVA04G10980 transcript:ONIVA04G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 27 [Source:Projected from Arabidopsis thaliana (AT4G39370) TAIR;Acc:AT4G39370] MGKSEHGNLLVSLSDGLPHYSLAAAVGLAIGVAGLCKALHSSLVIPPVSQKKLLSNSDRLYYTGGLKNLGNNCFLNVILQALASCDGFVSSLDNLLGSEDVLPEEKSEKMPLIFALSSLIKVYELYLNVVDLSVVRDKRTELSPHRVMDALSFYDASEAFHHLLTSLRDEFSRWYVPNRSSLADITMFPSKVYSQREGNQPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSLDFENFYCLPLSPVATINGDIINGCSLVDCLEHFTALEHLDNYRCDHCWHNVAAKYLSLKSEVDEEKINKLRTCVDYGTCSCRHIFTPEEMTCSISSQATKQLAITHFPKILCIHLLRASVGLDGEFVKRGGHISFPLLLDLSPFAGGALIPGQGPKPSAMNKQRHGQQTLHLWRQLNAEMPVNMFPAATDGDSSSHHCGDESINTLGRSFYVGNRDADSRFLSSSSLTDKLYGLSSVVEHYGVCGGGHYAAYRRVTPNSDSNEPVQSLASFRKEWLYVSDDHVSHVSECEVLAAEATLLFYERL >ONIVA04G10980.2 pep chromosome:AWHD00000000:4:14066959:14071859:1 gene:ONIVA04G10980 transcript:ONIVA04G10980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 27 [Source:Projected from Arabidopsis thaliana (AT4G39370) TAIR;Acc:AT4G39370] MGKSEHGNLLVSLSDGLPHYSLAAAVGLAIGVAGLCKALHSSLVIPPVSQKKLLSNSDRLYYTGGLKNLGNNCFLNVILQALASCDGFVSSLDNLLGSEDVLPEEKSEKMPLIFALSSLIKDLSVVRDKRTELSPHRVMDALSFYLSLDFENFYCLPLSPVATINGDIINGCSLVDCLEHFTALEHLDNYRCDHCWHNVAAKYLSLKSEVDEEKINKLRTCVDYGTCSCRHIFTPEEMTCSISSQATKQLAITHFPKILCIHLLRASVGLDGEFVKRGGHISFPLLLDLSPFAGGALIPGQGPKPSAMNKQRHGQQTLHLWRQLNAEMPVNMFPAATDGDSSSHHCGDESINTLGRSFYVGNRDADSRFLSSSSLTDKLYGLSSVVEHYGVCGGGHYAAYRRVTPNSDSNEPVQSLASFRKEWLYVSDDHVSHVSECEVLAAEATLLFYERL >ONIVA04G10980.3 pep chromosome:AWHD00000000:4:14066959:14071859:1 gene:ONIVA04G10980 transcript:ONIVA04G10980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 27 [Source:Projected from Arabidopsis thaliana (AT4G39370) TAIR;Acc:AT4G39370] MGKSEHGNLLVSLSDGLPHYSLAAAVGLAIGVAGLCKALHSSLVIPPVSQKKLLSNSDRLYYTGGLKNLGNNCFLNVILQALASCDGFVSSLDNLLGSEDVLPEEKSEKMPLIFALSSLIKDLSVVRDKRTELSPHRVMDALSFYLSLDFENFYCLPLSPVATINGDIEKINKLRTCVDYGTCSCRHIFTPEEMTCSISSQATKQLAITHFPKILCIHLLRASVGLDGEFVKRGGHISFPLLLDLSPFAGGALIPGQGPKPSAMNKQRHGQQTLHLWRQLNAEMPVNMFPAATDGDSSSHHCGDESINTLGRSFYVGNRDADSRFLSSSSLTDKLYGLSSVVEHYGVCGGGHYAAYRRVTPNSDSNEPVQSLASFRKEWLYVSDDHVSHVSECEVLAAEATLLFYERL >ONIVA04G10970.1 pep chromosome:AWHD00000000:4:14060927:14065906:1 gene:ONIVA04G10970 transcript:ONIVA04G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 26 [Source:Projected from Arabidopsis thaliana (AT1G76710) TAIR;Acc:AT1G76710] MEEERMEPPPPPPYIHIETNDFLHRRHKRQKEEDIAVCECQYNLLDPDSACGDRCLNVLTSTECTPGYCLCGVYCKNQRFQKSQYAATRLVKTEGRGWGLLADENIMVTEFTLILWSANVVKYIQAGQFVMEYCGEVISWKEAKRRSQAYENQGLLILSSLNVSMVLVIEACSQPNCETRKWNVLGEVRVGIFAKQDIPIGTELSYDYNFEWFGGAMVRCLCGAGSCSGFLGAKSRGFQEATYLWEDDDDRFSVENVPLYDSADDEPTSIPKDILIKDEPNTQDGNNNTIQNTGIPIIASSSEFTPMNVEPSIASSNEFTPMNVEPLNVSSNELTPMTIEPLNAIPMGVDFTQNGSIEYGAQCAEDALQNSTRGVANLQNQSAPRDNNHTELVAVKRRPTLRGGKAKRGMRKQLNVAGICDRLASEVAREEILYCEEMKNQAAAEIDSLYDEIRPAIEEHERDSQDSVATSLAEKWIEASCCKYKADFDLYASIIKNLASTPLRSKEDAAPKEQNGLMYLENGP >ONIVA04G10970.2 pep chromosome:AWHD00000000:4:14061027:14065906:1 gene:ONIVA04G10970 transcript:ONIVA04G10970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 26 [Source:Projected from Arabidopsis thaliana (AT1G76710) TAIR;Acc:AT1G76710] MEPPPPPPYIHIETNDFLHRRHKRQKEEDIAVCECQYNLLDPDSACGDRCLNVLTSTECTPGYCLCGVYCKNQRFQKSQYAATRLVKTEGRGWGLLADENIMVTEFTLILWSANVVKYIQAGQFVMEYCGEVISWKEAKRRSQAYENQGLLILSSLNVSMVLVIEACSQPNCETRKWNVLGEVRVGIFAKQDIPIGTELSYDYNFEWFGGAMVRCLCGAGSCSGFLGAKSRGFQEATYLWEDDDDRFSVENVPLYDSADDEPTSIPKDILIKDEPNTQDGNNNTIQNTGIPIIASSSEFTPMNVEPSIASSNEFTPMNVEPLNVSSNELTPMTIEPLNAIPMGVDFTQNGSIEYGAQCAEDALQNSTRGVANLQNQSAPRDNNHTELVAVKRRPTLRGGKAKRGMRKQLNVAGICDRLASEVAREEILYCEEMKNQAAAEIDSLYDEIRPAIEEHERDSQDSVATSLAEKWIEASCCKYKADFDLYASIIKNLASTPLRSKEDAAPKEQNGLMYLENGP >ONIVA04G10960.1 pep chromosome:AWHD00000000:4:14048605:14049333:-1 gene:ONIVA04G10960 transcript:ONIVA04G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEPDLAAETEAIVSALTHVVAYGGGGPPPSEETAASAVTRTAPWRADGARQGAVPAARKYRGVRRRPWGKWAAEIRDPHRAARVWLGTFATAEDAARAYDAAALRFRGGRARLNFPEDAAAADERRATDAAAAAAAASSAPAALLESQPGDVADCLDYSRILAGAPERPTSSTVPDGFFGGGGNGRFLHSWSIGTSPSSSGSGSGGAGGGGGGGGAPVRPLFHGGNGWEQRGDSAYNGF >ONIVA04G10950.1 pep chromosome:AWHD00000000:4:14033094:14035595:-1 gene:ONIVA04G10950 transcript:ONIVA04G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAAIGANEIRITAQGRTRNYITYALALLQDNATDEIVIKAMGRAINKTVAIVELLKRRIVGLHQNTSIESIDITDTWEPLEEGLNTLETTRHVSLITITLSKKELDTSSPGSGRQLTLIRRQRPCPVVVEEDVVAVEGAGEEGSTMKIMMMSMEMQRSHSLRDIVAGEEEGAGVDPLDLAGVMVEMVLQWKKLVDMMMGSLMHLLCKGMKVAEEGAVVEAEAVDVVVVEAVAVDLLLLLKSRLAGSSTIQCSDSSSLD >ONIVA04G10940.1 pep chromosome:AWHD00000000:4:14027963:14030923:-1 gene:ONIVA04G10940 transcript:ONIVA04G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome, subunit CSN8 [Source:Projected from Arabidopsis thaliana (AT4G14110) TAIR;Acc:AT4G14110] MDLAAVHAALAGKSYSSVAPLCDDLFLQAASRGAATDGWPYAVHLLAHLYLNDLNSARFLWKSTPQEAKDARPELAAVWRIGQCLWNRDYAGVYAAAQGFEWSPEIADFVAAFLGHHFVVWVGKSGDSDVHTYTSWKSYRKRIFQLLTSAYSTISVADVAHFMGMNEEDATNYAMQNGWSLDAAARMLTVVKPKVKTNQKLDASKLQRLTECVFHLEH >ONIVA04G10940.2 pep chromosome:AWHD00000000:4:14027963:14030923:-1 gene:ONIVA04G10940 transcript:ONIVA04G10940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome, subunit CSN8 [Source:Projected from Arabidopsis thaliana (AT4G14110) TAIR;Acc:AT4G14110] MDLAAVHAALAGKSYSSVAPLCDDLFLQAASRGAATDGWPYAVHLLAHLYLNDLNSARFLWKSTPQEAKDARPELAAVWRIGQCLWNRDYAGVYAAAQGFEWSPEIADFVAAFLESYRKRIFQLLTSAYSTISVADVAHFMGMNEEDATNYAMQNGWSLDAAARMLTVVKPKVKTNQKLDASKLQRLTECVFHLEH >ONIVA04G10930.1 pep chromosome:AWHD00000000:4:14014865:14015104:1 gene:ONIVA04G10930 transcript:ONIVA04G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHCCNFVPHCRRKRLREGVCDGNPEGSGGEGTAERDIGGGVLGRAASRRDQATEESRERRGGDCGQDDRRVGDSRVA >ONIVA04G10920.1 pep chromosome:AWHD00000000:4:14013410:14014756:1 gene:ONIVA04G10920 transcript:ONIVA04G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGRERELEELGLCGEEEGASSSFYRRREAVDGGGCKGEGRADYGDSGAEKEEYGADLVHQLDGLGRRAHASAAARLGGGGDGLRHGDGLPWLARQRRRRQKDHGRRCNAASGGVRMTHSGGAHREEKGERLGWPARLGRQPKKEKGMGQKKGGSSKVLLGMATGRGGGGLGWNDPAPTPKLYTRPHP >ONIVA04G10910.1 pep chromosome:AWHD00000000:4:13990448:13991000:-1 gene:ONIVA04G10910 transcript:ONIVA04G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVRRSSSRLLPLVRYGRRPLVRQSGLLNEAREARESIKQNGSSRGARPQHQGRADGAERHRRAAPTGDTVGVTLDEFLGGKGLVCREVALASWTDVNADDPDMCPVLHVGDRAFESMHQNEHRRAQA >ONIVA04G10900.1 pep chromosome:AWHD00000000:4:13929626:13930624:-1 gene:ONIVA04G10900 transcript:ONIVA04G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRRFLNVIMDSRILGLRSLRRIDLARQKFFNPGPPPLNGKGSASKMERIHLPDPIITFRASPKDDDQRRSIRCLPLTESKVLCADQSGRAFLFDAETRHVATMASLHKPKEDPLSLFIPSAAIGQNKADFLTDEEEYNEDCVGTLFVMERSPNMELRGYKDHQTSDQFEAYTYGHQQPKKSSFKSWNCRPLPPPPYLRDPMWKKFRHDITAYVVVGGGTQILISVEDVGTYCMDIATHTWSPVGKWMLPLYGKIEYVPELGLWFGFTAKHHLAAADLSDLNSRPRLVGAWKEFDPPDEDWVLLQGPQLVNIGSGRFCIARFFNTTITSY >ONIVA04G10890.1 pep chromosome:AWHD00000000:4:13899102:13900183:1 gene:ONIVA04G10890 transcript:ONIVA04G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTFDGSYGIPSSVSHPAAARHRSGRGGRGGAGAAARQWREGRGGGWLLHREPFFSRASLRRIRSGDCRRRRDLELIGGSEPQPPDLAVMNMIVMIFVILFCAQMIVNVNFVTGLNSDPPSQVKVTCDGFYLQSVKGYIYH >ONIVA04G10880.1 pep chromosome:AWHD00000000:4:13880562:13888869:1 gene:ONIVA04G10880 transcript:ONIVA04G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKWSECSSNSYRELSSKENGEGKSSITEAVPSSTSYFGSPAVNRMCSLSAQKKDGNVYKRRKMEKDSTSLTANEEFKEMTAQNCTSEDHSSLLLPVTSDAMVSNSTAPILEHDEPAGVPLVPRSGVNDRSSVSSMLPPFMMFDKKDATECSSSNIGSTEPMTGFTSARDLCIAILREDGLITESRTKIKAEELTGYDANLLFQCKTCGKSDHPLKMLICDSCEAAFHLSCCIPRVHEVPTDEWYCLPCFRKKPKSQYGKLSEGKVKSSGNINQRPHGMSHIEYMLKDTKPYVTGVRIGIDFQAEVPEWSCPTSSGDVYCEEPSEFDSADLTKLNWSKTNTQYRSSIGNWIQCREILSEGDSDKPVVCGKWRRAPLFVVQSDDWDCSCCLPWDPAHADCAVPQELGTDEVLGQLKYVRMVKNRLVDRNHKPANVQG >ONIVA04G10880.2 pep chromosome:AWHD00000000:4:13880081:13888869:1 gene:ONIVA04G10880 transcript:ONIVA04G10880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKWSECSSNSYRELSSKENGEGKSSITEAVPSSTSYFGSPAVNRMCSLSAQKKDGNVYKRRKMEKDSTSLTANEEFKEMTAQNCTSEDHSSLLLPVTSDAMVSNSTAPILEHDEPAGVPLVPRSGVNDRSSVSSMLPPFMMFDKKDATECSSSNIGSTEPMTGFTSARDLCIAILREDGLITESRTKIKAEELTGYDANLLFQCKTCGKSDHPLKMLICDSCEAAFHLSCCIPRVHEVPTDEWYCLPCFRKKPKSQYGKLSEGKVKSSGNINQRPHGMSHIEYMLKDTKPYVTGVRIGIDFQAEVPEWSCPTSSGDVYCEEPSEFDSADLTKLNWSKTNTQYRSSIGNWIQCREILSEGDSDKPVVCGKWRRAPLFVVQSDDWDCSCCLPWDPAHADCAVPQELGTDEVLGQLKYVRMVKNRLVDRNHKPANVQG >ONIVA04G10870.1 pep chromosome:AWHD00000000:4:13845333:13853045:1 gene:ONIVA04G10870 transcript:ONIVA04G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPESYIGSVISLTSKSEIRYEGVLYTINTEESSIGLRNVRSFGTEGRKKDVQQIPASDKIYEYILFRGSDIKDLQVKSSPPAQPTTLHNDPAIIQSHYPCAASTSLPPPASTAADPASHNGQSGIQMPPPLPQFQPGARFYTPPSGFPHLQQPPFLRPPHGLTIPQALQQPIQYPGLNAPLPPFPRMPEFALPQPGSGNNLTQNLGVSTSMPVPALSSTPATESSANQLPNMLSSVSASVFSLGLTPPSVNPPVSTIESTMSQSQGISPLMNNKPVSLPLDSTVPSASSNKPMNIPVPTYLPSSQPPLANIAASAATVAEPVTLVTPGQLLPTISSTVLSADALETASAMIPSPKAASSMVSSSQAALAASSQVTSSVVSPSEDQFASSPVPSHQQLEQNNEDRHTKKPEWKAKQHSVAPSNKEPLLPAPKPTLQKPVGASSYIQYNNRGRGRGRGRGRGIGQSRPITKFTEDFDFMAMNEKFNKDEVWGHLGKSKGQLNDDPNEYEDDVLEDDISPGKPEVKPVYVKDDFFDSLSCNTIDNGGGNGRIKFSEQRKIDTETFGDSARHRPMGMRGGGRGPRGGPRGRGYYGRGYGYMGRGRGYSYPNHQS >ONIVA04G10870.2 pep chromosome:AWHD00000000:4:13845333:13853045:1 gene:ONIVA04G10870 transcript:ONIVA04G10870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPESYIGSVISLTSKSEIRYEGVLYTINTEESSIGLRNVRSFGTEGRKKDVQQIPASDKIYEYILFRGSDIKDLQVKSSPPAQPTTLHNDPAIIQSHYPCAASTSLPPPASTAADPASHNGQSGIQMPPPLPQFQPGASLPSWNSSPMPSSANGAPASTTTADPGSHNGQSGIQMPPPLPQYQPGASLPSWNSSPMPSSANGAGLTMPPMYWPGFYTPPSGFPHLQQPPFLRPPHGLTIPQALQQPIQYPGLNAPLPPFPRMPEFALPQPGSGNNLTQNLGVSTSMPVPALSSTPATESSANQLPNMLSSVSASVFSLGLTPPSVNPPVSTIESTMSQSQGISPLMNNKPVSLPLDSTVPSASSNKPMNIPVPTYLPSSQPPLANIAASAATVAEPVTLVTPGQLLPTISSTVLSADALETASAMIPSPKAASSMVSSSQAALAASSQVTSSVVSPSEDQFASSPVPSHQQLEQNNEDRHTKKPEWKAKQHSVAPSNKEPLLPAPKPTLQKPVGASSYIQYNNRGRGRGRGRGRGIGQSRPITKFTEDFDFMAMNEKFNKDEVWGHLGKSKGQLNDDPNEYEDDVLEDDISPGKPEVKPVYVKDDFFDSLSCNTIDNGGGNGRIKFSEQRKIDTETFGDSARHRPMGMRGGGRGPRGGPRGRGYYGRGYGYMGRGRGYSYPNHQS >ONIVA04G10860.1 pep chromosome:AWHD00000000:4:13816656:13818133:1 gene:ONIVA04G10860 transcript:ONIVA04G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMETRPLSPRASPLPSSAKSPAGGGGGGGGGGLQWLFGKRGRRNRARQPLAAQLGPGDDDGEEVEDEEDFFFVSTPYLSTPSWSAAAAAAGASPRKRGDHQAALARLRAAVLSVLARARRGGRRAGGGSSASARVLTGTVFGRLRGRVHLALQTDPRAAPAMMLELAGYSTGALVREMASGLVRLALECEKAPPPPNPGEKRRRAALMEETTWRAYCNGRKCGYAVRRECGAEEWRVLRAVEPVTVGAGVLPDGGGGGAGGEGDMMYMRARFERVVGSRDSEAFYMISPDGNAGPELSIYLLRV >ONIVA04G10850.1 pep chromosome:AWHD00000000:4:13804634:13812594:1 gene:ONIVA04G10850 transcript:ONIVA04G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGEPCEADAKDEEETNLQTIPPNLNEVNPLASEGESGPVDNTKASDGIIDLEGQDQVDGEPTTMDSTKVPDVIIDLEEGQVEDMDLSDDDVVVKHQHLDASIQSSTSVADVQTLHGVSVEQDKGNGLENGSHASNSILIDESTIRGVKRARVESTEPSVRVIYSNLTRESKRKLMELMQQWSEWQTRKQNTLTKAGEEVLECGEETYYPALHVGSEKSCAVSFWVDSQAKEGVVLDDDSVPLYDREFTLGSTPLGDPSNTESRADKDDSRCFNCGSYSHALKECPKPRDNAAINNARKQHNMKRNQSNVNRGQNRYYQKTPGKFDDLRPGILGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDEDPKEEYEEGELPDQGEPSPPRKKKTVEFPGINGPIPENGDRWLWDSTPPQYSERHHSSDSREQRDRGPPGADRYSRYHSYDYGPASPSHGRSHPDRGWRSSSGYENLPADDGAWTPHAYSSRQYSSQYSTSSETSSRHSRDRHDRHYHHRR >ONIVA04G10850.2 pep chromosome:AWHD00000000:4:13804634:13812594:1 gene:ONIVA04G10850 transcript:ONIVA04G10850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGEPCEADAKDEEETNLQTIPPNLNEVNPLASEGESGPVDNTKASDGIIDLEGQDQVDGEPTTMDSTKVPDVIIDLEEGQVEDMDLSDDDVVVKHQHLDASIQSSTSVADVQTLHGVSVEQDKGNGLENGSHASNSILIDESTIRGVKRARVESTEPSVRVIYSNLTRESKRKLMELMQQWSEWQTRKQNTLTKAGEEVLECGEETYYPALHVGSEKSCAVSFWVDSQAKEGVVLDDDSVPLYDREFTLGSTPLGDPSNTESRADKDDSRCFNCGSYSHALKECPKPRDNAAINNARKQHNMKRNQSNVNRGQNRYYQKTPGKFDDLRPGILGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDEDPKEEYEEGELPDQGEPSPPRKKKTVEFPGINGPIPENGDRWLWDSTPPQYSERHHSSDSREQRDRGPPGADRYSRYHSYDYGPASPSHGRSHPDRGWRSSSGYENLPADDGAWTPHAYSSRQYSSQYSTSSETSSRHSRDRHDRHYHHRR >ONIVA04G10850.3 pep chromosome:AWHD00000000:4:13804667:13812594:1 gene:ONIVA04G10850 transcript:ONIVA04G10850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGEPCEADAKDEEETNLQTIPPNLNEVNPLASEGESGPVDNTKASDGIIDLEGQDQVDGEPTTMDSTKVPDVIIDLEEGQVEDMDLSDDDVVVKHQHLDASIQSSTSVADVQTLHGVSVEQDKGNGLENGSHASNTIRGVKRARVESTEPSVRVIYSNLTRESKRKLMELMQQWSEWQTRKQNTLTKAGEEVLECGEETYYPALHVGSEKSCAVSFWVDSQAKEGVVLDDDSVPLYDREFTLGSTPLGDPSNTESRADKDDSRCFNCGSYSHALKECPKPRDNAAINNARKQHNMKRNQSNVNRGQNRYYQKTPGKFDDLRPGILGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDEDPKEEYEEGELPDQGEPSPPRKKKTVEFPGINGPIPENGDRWLWDSTPPQYSERHHSSDSREQRDRGPPGADRYSRYHSYDYGPASPSHGRSHPDRGWRSSSGYENLPADDGAWTPHAYSSRQYSSQYSTSSETSSRHSRDRHDRHYHHRR >ONIVA04G10850.4 pep chromosome:AWHD00000000:4:13804634:13810047:1 gene:ONIVA04G10850 transcript:ONIVA04G10850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGEPCEADAKDEEETNLQTIPPNLNEVNPLASEGESGPVDNTKASDGIIDLEGQDQVDGEPTTMDSTKVPDVIIDLEEGQVEDMDLSDDDVVVKHQHLDASIQSSTSVADVQTLHGVSVEQDKGNGLENGSHASNSILIDESTIRGVKRARVESTEPSVRVIYSNLTRESKRKLMELMQQWSEWQTRKQNTLTKAGEEVLECGEETYYPALHVGSEKSCAVSFWVDSQAKEGVVLDDDSVPLYDREFTLGSTPLGDPSNTER >ONIVA04G10840.1 pep chromosome:AWHD00000000:4:13800900:13801759:-1 gene:ONIVA04G10840 transcript:ONIVA04G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVCAGEEGATSTQGAGEAAGAGARLPCSPASRRHAAEHAGTHPCAGHRARPPHRRRRRRQSPAPPPRSLLAPSLAAGEVCRRRHEERARRGGVQPAAVDEHRVVVVRVRPEPQDRGGGHREAQVAVVVVAAGELPAARRRVRERRRGVVRQLHVVPAPVLPPRRRRRRPASPSRRRATSRTTTGARWRRPSRRRRRARRATRTHRRRRPRVCAAAARRRSTATPPSLPPPLLHLPVAVLVAATVPPTVV >ONIVA04G10830.1 pep chromosome:AWHD00000000:4:13797157:13799793:1 gene:ONIVA04G10830 transcript:ONIVA04G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALDTLCGQAFGARQYHLLGVYKQRAMLLLTAVSVPLAVAWYYTGDILLLFGQDADIAAEAGAYARWMIPALFAYGPLQCHVRFLQTQNVVVPVMAAAGAAALCHLGVCWALVHAAGMGSRGAALGNAVSYWINVGVLAVYVRVSRSCKKTWTGFSMEAFRDPLSFFRLAIPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSITLNTANCLFMIPFGLGAAISTRVSNELGAGRPRAARLAVRVVTLLATLEGLGMALVLACVRYVWGHAYSDEEEVVAYVAKMMLIGKCSFGCVGVARGCGWQKIGACINLGAFYVVGVPAAYLAAFVLRAGGLGLWMGIICGVAVQTLLFMAITSRTDWQKEAKMAKDRVFSSSLPTDLAT >ONIVA04G10820.1 pep chromosome:AWHD00000000:4:13773461:13773808:-1 gene:ONIVA04G10820 transcript:ONIVA04G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAPATGSATVTVDPTPSSSSSAPASSAPPPAAETVVLRLKRREKKKVTWKEGTVDNESLGRRIFHKDVPFDEDCSDDDPDGGRRSPPGDAGEGTSGGGGGGCCSSSSDGHGH >ONIVA04G10810.1 pep chromosome:AWHD00000000:4:13768555:13773936:1 gene:ONIVA04G10810 transcript:ONIVA04G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLPNPISSPPVPDPAARPPVAPTPSRRGRLAVAPPLRSAPPRSYTRAARPARQPPAARAPRGQGQPTTPRHQRRRPVVRVRRRHNQRKNYLKEFYCTVSIRRQYGSFQEQVHGDGGVHRYTSQGPARPSHSHAAQYHQSYQRQHPAQLWGVWPSSLSWVVASEVCKSDNEALRDPSFP >ONIVA04G10800.1 pep chromosome:AWHD00000000:4:13764039:13768180:1 gene:ONIVA04G10800 transcript:ONIVA04G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVTPTAFFHQTHHHHPILTGDDNDELPPPFPFLPPSPSFTTPHLAGDDDNRNDASARYVSTSFLSAPSIATTATTAASSSLSSSLPVLLLPTSSAPASSSPLRAPPATVTAALKGLPERRLVLPPLGGVLLHLLPGALRCSLAARNFHELFHVLLLFLNPPSPPRPRHAPRPVTTPWRRQRAYAVANPFLSRSAGSICGDHRHRRLPSVTLKGEKEKEEVPRSWSVTLLPPVVTHLCQRAPPPTATTCLCQ >ONIVA04G10790.1 pep chromosome:AWHD00000000:4:13752937:13754325:-1 gene:ONIVA04G10790 transcript:ONIVA04G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQPKADPNPIGRKNKIFLLEIAGPRLLSPRPSLRHLSRWRATPPQLRPSSSWPLRVRVPKRALELCFQALAELRRVEMSSSSAAALARGKSGISAAKFEASKGLGAKPKRSASATGSRGKTEKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWYAQIFTPFSVCLTNCSDQLYYPSTSSTKMYNRLMEHGLLSPERAKKAYEKKQKRQQQIRSGTPIKPSVKKDKPESSKKPSSYNSSDSKAKKRVDYSDDDDDFIVKLKRSRG >ONIVA04G10790.2 pep chromosome:AWHD00000000:4:13752937:13754325:-1 gene:ONIVA04G10790 transcript:ONIVA04G10790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQPKADPNPIGRKNKIFLLEIAGPRLLSPRPSLRHLSRWRATPPQLRPSSSWPLRVRVPKRALELCFQALAELRRVEMSSSSAAALARGKSGISAAKFEASKGLGAKPKRSASATGSRGKTEKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYEKKQKRQQQIRSGTPIKPSVKKDKPESSKKPSSYNSSDSKAKKRVDYSDDDDDFIVKLKRSRG >ONIVA04G10780.1 pep chromosome:AWHD00000000:4:13750295:13753666:1 gene:ONIVA04G10780 transcript:ONIVA04G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIGLNLCPPPPARAWRGGDGEGVREAAAAAARATAAAARVGARARGATVTASPRRSSGGVRGLLQHLDLPLSARGSGRRSQQPPQQLNRSEPSPTSSPQQRERVGEELGDWAPPEWALLLIGCLLGLATGICVAAFNRGNSAISLEGICLDKDS >ONIVA04G10770.1 pep chromosome:AWHD00000000:4:13748198:13749805:1 gene:ONIVA04G10770 transcript:ONIVA04G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPGPLVVVLLLSLLLLVAGIHGRLQHEEEEEEEEVQDQEGGSSSFTLPVWAPHVPESGEERREHFRALMAKDMRRMMRQVPELMSKTDMFELPMRSALNIAQVGMYVVVVRIGTPALPYSLALETANEVTWINCRLRRRKGKHPGRPHVPPAATTMSIQVDDDGGGGGSGGKSKVTKVIMNWYRPAKSSSWRRFRCSQRACMDLPYNTCESPDQNTSCTYYQVMKDSTITSGIYGQEKATVAVSDGTMKKLPGLVIGCSTFEHGGAVNSHDGILSLGNSPSSFGIAAARRFGGRLSFCLLATTSGRNASSYLTFGANPAVQAPGTMETPLLYRDVAYGAHVTGILVGGQPLDIPPEVWDEGPLGNDNPEAGIILDTGTSITYLVSAVYDPVTAALDSHLAHLPKAEIKGFEYCYNWTFAGDGVDPAHNVTIPSFSIEMAGDARLAADAKSIVVPEVVPGVVCLGFNRISQGPSIIGNVLMQEHIWEIDHMSTVLRFRKDKCINHQQLNRHHKKASSSSSSSSSSSPPPYPAA >ONIVA04G10760.1 pep chromosome:AWHD00000000:4:13741123:13745151:-1 gene:ONIVA04G10760 transcript:ONIVA04G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G20270) TAIR;Acc:AT4G20270] MALRPQLVPPLLSHRRRLVIPLLVLLLAAAASDEQLLSLRGQAAVLVSIKDAFSPPLPTPLRTTWSVANDASLCSSWHAVRCAPDNRTVVSLDLSAHNLSGELSSAIAHLQGLRFLSLAANSLAGDLPPTIAALRHLRYLNLSNNQFNGTLHYYLSTMTSLEVLDVYDNDLSGPLPLPDTNSNLRHLDLGGNFFSGSIPTSFGRLQAIQFLSVAGNSLSGRIPPELGNLTALRQLYLGYYNQFDGGIPASLGRLASLVHLDLASCGLQGEIPPSLGGLANLDTLYLQTNQLNGTIPPALANLTALRFLDVSNNALTGEIPPELAALTHLRLLNMFINRFRGGIPEFIADLRSLQVLKLWQNNFTGSIPGALGRVAPLRELDLSTNRLTGEVPRWLCALRKLDILILLDNFLFGPVPEGLGACRTLTRVRLARNYLTGPLPRGFLYLPKLTTLELQGNYLTGQLHNEDEDAGSPLSLLNLSGNRLNGSLPASIGNFSSLQTLLLSGNHFTGEIPPEVGQLRRLLKLDLSGNNLSGEVPGEVGECASLTYLDLSANQLWGAMPARVVQIRMLNYLNVSWNKLNGSIPAEMGSMKSLTDADLSHNDFSGHVPHNGQFAYFNASSFAGNPRLVLCGTPAPGPAPGTTTPGSGGDGRAPVMWLAAALGLLACSVAFAAAAVATTRSAIERRRRSGWQMRAFQKVRFGCEDVMRCVKENSVVGRGGAGVVYAGEMPGGEWVAVKRIVDGGFSAEVQTLGRIRHRHIVRLLAMCWSAEAKLLVYEYMAGGSLGDALHGHHRHHDEYDDDGSNTNIIGSLLLPWAARLRVATEAAKGLCYLHHDCSPPILHRDVKSNNILLDARLEAHVADFGLAKYLRAGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGQKPVGEHLQLHQEEEEEEANTTTTVVDLVQWVRARCGSGKDGVWRVLDRRLGGDVPAAEATHMFFVAMLCVQEHSVERPTMREVVQMLEQAKQQLSRCHPPPPPPTSTSIDHACMI >ONIVA04G10750.1 pep chromosome:AWHD00000000:4:13732240:13734290:-1 gene:ONIVA04G10750 transcript:ONIVA04G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGGDDIQAAEKGAEEDGGGGPLPGGDGDRGPWNGASTGRCRGAAANGSRRRLLRQGFNDVMAIVVVNFFVSTPLCRHQRPGGSPLTRIAQMLVVSARKWGVKVPADRSRLHESSIKGSHKLEHTKQFACLDRAAVETPEDMTSSSSAWRPCVSLRCGVAMPASPSSPPLPCFRSSPPPFRPRGPPRRTRPLPPMNWIDPLATGGAEVV >ONIVA04G10730.1 pep chromosome:AWHD00000000:4:13725817:13728663:-1 gene:ONIVA04G10730 transcript:ONIVA04G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAARGKAPRPRPRPPRGLAASLRRLLAGAGGGLGVAAAAYVGVDYLRYLSPAWHGRLMPALWAALALAAAARAPFYRHWSAELRAALPFLGSIAFMLGAFLCEAVSVRFVSAVMGLQWHRSAAPLPDTGQWLLLALNEKLPQSVVDLLRAHVITLHHYLMLFIMLGFSVLFGCIKAPGLGIATRYMFTMAIGRLLRTMTFVATILPSARPWCAAARYQIPGHPHPWAQKYYVPYASDSDAIRRVIRDDVAYAAVQSYPGEYRPDWGRMSFLVDILRPTPGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTAMAWTEAYGGWISVAIWLLVLHSAQREIRERHHYTVDCVVAIYVGILLWRMTRFIWSARDASRARRLAKLDEVQNRLIHAAKDSDVDEIRGLLKEVELAGQEKQGVSQRAILAFAAATIIFTLTCVVLALTLTSDG >ONIVA04G10720.1 pep chromosome:AWHD00000000:4:13717286:13724242:-1 gene:ONIVA04G10720 transcript:ONIVA04G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRARESVNMSEDLTQAIAPYATALHDVSLQSHCLSCFHRIPAQSPHDMSCTMCGSVRYCCSDCLISDCEVHSSSGECCFFVKHLREASPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSSNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKSRMKNYVDLSYGLTIEKVALWAVMTNSVENEGCTSNKPESCVVPVSKGAAPDVWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRIVKYCYRIGLVKVQGLRCDARNLKLPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMNDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNMPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKHLLSLSLQCWPFLAQGIPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKCLLIPTSINFFRVMSVPNDQLTNIGPTYKYGKNHFEHVDRRSGFPVMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDTGLFNKSSNDDNESGYKNTNTDEYGSTGSYNKSNTDDLTGGFNKSGTDDYSGGGGYNKSGADDYSSSGGYSKSGTDNYSGSGGYNKSGNNDYSGSGGGYNKSSAGDYGSKYKDSSTGDYGRGDEYKKSSSDDYDGGYKKSSSNDDGYGGSGYSKPSTGDYDSGKNASNTDGYGGSGYNKSSTDNAESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYDKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >ONIVA04G10720.2 pep chromosome:AWHD00000000:4:13717286:13724242:-1 gene:ONIVA04G10720 transcript:ONIVA04G10720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRARESVNMSEDLTQAIAPYATALHDVSLQSHCLSCFHRIPAQSPHDMSCTMCGSVRYCCSDCLISDCEVHSSSGECCFFVKHLREASPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSSNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKSRMKNYVDLSYGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPSASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDVWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRIVKYCYRIGLVKVQGLREARLSDLWSKYKFICSCERCTALPKPYVDLILNCDARNLKLPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMNDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNMPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKHLLSLSLQCWPFLAQGIPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKCLLIPTSINFFRVMSVPNDQLTNIGPTYKYGKNHFEHVDRRSGFPVMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDTGLFNKSSNDDNESGYKNTNTDEYGSTGSYNKSNTDDLTGGFNKSGTDDYSGGGGYNKSGADDYSSSGGYSKSGTDNYSGSGGYNKSGNNDYSGSGGGYNKSSAGDYGSKYKDSSTGDYGRGDEYKKSSSDDYDGGYKKSSSNDDGYGGSGYSKPSTGDYDSGKNASNTDGYGGSGYNKSSTDNAESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYDKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >ONIVA04G10720.3 pep chromosome:AWHD00000000:4:13717286:13724242:-1 gene:ONIVA04G10720 transcript:ONIVA04G10720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRARESVNMSEDLTQAIAPYATALHDVSLQSHCLSCFHRIPAQSPHDMSCTMCGSVRYCCSDCLISDCEVHSSSGECCFFVKHLREASPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSSNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKSRMKNYVDLSYGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPSASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDVWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRIVKYCYRIGLVKVQGLRCDARNLKLPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMNDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNMPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKHLLSLSLQCWPFLAQGIPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKCLLIPTSINFFRVMSVPNDQLTNIGPTYKYGKNHFEHVDRRSGFPVMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDTGLFNKSSNDDNESGYKNTNTDEYGSTGSYNKSNTDDLTGGFNKSGTDDYSGGGGYNKSGADDYSSSGGYSKSGTDNYSGSGGYNKSGNNDYSGSGGGYNKSSAGDYGSKYKDSSTGDYGRGDEYKKSSSDDYDGGYKKSSSNDDGYGGSGYSKPSTGDYDSGKNASNTDGYGGSGYNKSSTDNAESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYDKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >ONIVA04G10720.4 pep chromosome:AWHD00000000:4:13717286:13724242:-1 gene:ONIVA04G10720 transcript:ONIVA04G10720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRARESVNMSEDLTQAIAPYATALHDVSLQSHCLSCFHRIPAQSPHDMSCTMCGSVRYCCSDCLISDCEVHSSSGECCFFVKHLREASPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSSNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKSRMKNYVDLSYGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPSASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDVWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRIVKYCYRIGLVKVQGLREARLSDLWSKYKFICSCERCTALPKPYVDLILNCDARNLKLPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMNDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNMPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKHLLSLSLQCWPFLAQGIPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDTGLFNKSSNDDNESGYKNTNTDEYGSTGSYNKSNTDDLTGGFNKSGTDDYSGGGGYNKSGADDYSSSGGYSKSGTDNYSGSGGYNKSGNNDYSGSGGGYNKSSAGDYGSKYKDSSTGDYGRGDEYKKSSSDDYDGGYKKSSSNDDGYGGSGYSKPSTGDYDSGKNASNTDGYGGSGYNKSSTDNAESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYDKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >ONIVA04G10720.5 pep chromosome:AWHD00000000:4:13717286:13724242:-1 gene:ONIVA04G10720 transcript:ONIVA04G10720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRARESVNMSEDLTQAIAPYATALHDVSLQSHCLSCFHRIPAQSPHDMSCTMCGSVRYCCSDCLISDCEVHSSSGECCFFVKHLREASPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSSNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKSRMKNYVDLSYGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPSASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDVWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRIVKYCYRIGLVKVQGLRCDARNLKLPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMNDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNMPEAIFSFASCSTNSAKHDSVRYNQFRSTCEKFGKHLLSLSLQCWPFLAQGIPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSGKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKCVMSVPNDQLTNIGPTYKYGKNHFEHVDRRSGFPVMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDTGLFNKSSNDDNESGYKNTNTDEYGSTGSYNKSNTDDLTGGFNKSGTDDYSGGGGYNKSGADDYSSSGGYSKSGTDNYSGSGGYNKSGNNDYSGSGGGYNKSSAGDYGSKYKDSSTGDYGRGDEYKKSSSDDYDGGYKKSSSNDDGYGGSGYSKPSTGDYDSGKNASNTDGYGGSGYNKSSTDNAESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYDKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >ONIVA04G10710.1 pep chromosome:AWHD00000000:4:13709668:13710492:-1 gene:ONIVA04G10710 transcript:ONIVA04G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYGRGGYGRSGAGAGDNYEGGGYNRSGAGADEYGRRGGGAGGYNKPGGTDDYDSGYNKSGGGDDDYGRTGGGGGGYNKSGTDDYDDSGYNNRSGANEEYGRNKSGDDEYSGGGGGYKKPGGGGAEADDEYVDGSSSRDDPEKYRKEEKEHKNNERLGEVGALAAGAFAMYERHQAKKDPENAQRHRIEEGVAAAAALGSGGFAFHEHHDRKEAKQAAKDAEEEAEEESGEGKKKKHHLFG >ONIVA04G10700.1 pep chromosome:AWHD00000000:4:13694753:13699669:1 gene:ONIVA04G10700 transcript:ONIVA04G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLGGGGETKDVIDVEAVVVGAGIAGLATALALRRAGFAARDGGGGGGVVVLERHAELRATGAALTVFPNGWFALRALGIAHKLTPRYQPYETSVVTNLESGATQVFRFGGHKSRSGEVRVRPVHRRELLEAMAEELPPGTIRFSSRLASIGTEPAAGGGGGEELAVVGLDDGTVIRSRVLVGCDGVHSAVARWLGMAEPASSGRSCVRGLAVYPGGHGVRKELRQFLSHGLRAGMVPISDTDIYWFVVNNTVPAGKPAQMPRIKICSNLMIKREAAGDPEKILREVTDNLGRHLPEEFLDVARHSDPDNLTWAPLLYRAPWAILTGRAARGPVTVAGDAFHPMTPDMAQGGCAALEDAIVLARALSSRSPSPSPADGVAAYVAERRGRAAWIVAGAYLSGYVQQGSTSAPGVRAAAVKLFRDWIFYRFVFPLLADTMWFDCGDLVAPPPRDGGGEEEAADCKKSHVGPDHLYHRDTISRGFLRIKASGEVHFKPSQSRIWIREAIQQCLSQKDQGTPRKANRACPKRSRNSKKFMCPSHQAMESMSIEEEYISTLHTRSNARFFSRSKQQLSGVEMEAAAAAEQQDAVVVPAELQRMMHRRTSSEIELAMAGYFDASDEASEICRQLLANIKNAQSNYLSMDSFLATIVSDSVAAAATTAPLAAVRSNPFSDAATRSSFRRIHDRYSSILRAIKRSHGKVARKLRVARAVRKASRACLVVACGAAAAASVAVAAHLLLFGLLVGPAAMALCPMALKRKVTNTNAAAAAAVDARPAARRRRRRSTTGSLLRLQEQLDTAAKGTYVLGRDLDTVSHLVARLSDGIERENAMARRCAERVAADDADAVVAGRFFPVQEMANELRRSCSSSRKLAEELEEHVCLCLATIHRARLLVIKEISKQA >ONIVA04G10690.1 pep chromosome:AWHD00000000:4:13689619:13691211:1 gene:ONIVA04G10690 transcript:ONIVA04G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSILRHHAGGGASRTMCSPPSRSRAYAASGGTGGSHYRSRCLRSCSCDEHSRRRRHLYLVLDDWKEGYSLHKLDLSHVSGDGGEHEHNPINPRRLPAPLLRLGFRTLGSSPRVGALGSKIVFIGQRHPDQFTGAGDDDDDGDDEQERDTATAAVDVSHHVPDDVRLACTCDAVAAGNRLYLLLLSDQSHFERSPESCRRRDDRAVGMPYVEKVEEVAAGNRVCLMLPDQSERSPPPESGSPDLAVAMRYLEKVEQVYDDEVETADDDGDDDEYMDAEKKKHVVVAYSERLTERWSWGSTSSPPPPPPPFSGGGRRRITGYALHPDGRTIFVSVAKRTPDHDDVGDRPDEEVTFSYDTERAEWARRGGWLLPFDGQAHYDAELDAWVGAIGSRAGPRLVACDVVPAAAAGDRRPAPPPPRWTFCEQPLTFLGAESHQSLGDPKLLPMGSGAFCVVVESAPRGADSVGDGDKLLLRVAVFRAKYGKNGELFMTTAAGGRGSCQTHTYVRSRYLVDFHAPAFWM >ONIVA04G10680.1 pep chromosome:AWHD00000000:4:13686295:13688506:1 gene:ONIVA04G10680 transcript:ONIVA04G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYSLHKLDLNANSGDGGDDPSPPRRLPAPLLRLGFRTLGSWPHISALGSKIIFMGQRRPDQFRVDGGGGDGGDDDKRRRLILVYDTATAAVDVSHHLPDDMHLVTSYHAVADAGKNRPYLLLPQFERSPSPSPSPEPPRRRPPWPGAPERGVDMAPYPYVEKVERVKAGTRLYLLLPDPFDQRSPEPGRAAVGMPYLEKVEKIDRAVVVADQHFAAAILRREAHHGARAAPRRPHHLRLRREDPRPPPSRRRGRRGGRTFSYDTERGGEWTRRGGWRLPFKGQAHYDRHLDAWVGIGASSSGAGGHPRLVACDVVHLSDAADDPSPPPKWTACEETLTFLQGGALRERRVGGDPKLVPMGGGGGTFCVVESAPRAGLELIGLGSLLGDGDKFELRVTVFHAKYGENGELLMTTTASAAAAASHTYALSRYLSNFHAPAFWMFHHFWYDSGDDGDDEVETAYESGGDDDEDEVETEYDSDDDDEDEVETE >ONIVA04G10670.1 pep chromosome:AWHD00000000:4:13682288:13683300:-1 gene:ONIVA04G10670 transcript:ONIVA04G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRLLPPPLLLLLLCCSLAAVQPARALFHLRGAGTGAYVEQLLGGGGGGGGGYGEEKVPMTVVVPDYSPRPAPFGRPATTPTPAPAPAIPPLPGSDDGGGGYMPTLPSERRSPRGALPGGNAGPIANAGAPSPAAAAASTSTAFISSSPAVPLPAGVTDSATVLPMPTPGQEQHQAVGMGTLPRARTVQLQLAVPLAMMLFFSALR >ONIVA04G10660.1 pep chromosome:AWHD00000000:4:13676116:13682659:1 gene:ONIVA04G10660 transcript:ONIVA04G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0S8] MGGAMLLTFYKGPKIMVLDQLPHPKFAHLTENPQSHPISTGNQIIGSFLGIISCFTYATWLVIQAKVSKVYPCHYSIAAMVCLFGALQSTVMALCVHRDMEHWRLGLNIRLYSSAYAGLIASGSAFPLLSWCLRKKGPLFISVFSPLMLIFVALMSSIILNEALHLGSVLGSVLIVGGLYMVLWGKVKEAADLSEDENQGKESIPVTTGGENEMK >ONIVA04G10660.2 pep chromosome:AWHD00000000:4:13676021:13682659:1 gene:ONIVA04G10660 transcript:ONIVA04G10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0S8] MGSESGRAVAAMVSLQLLFSALQVFIKLALNDGMDARVLVAYRFMFAATFLCPIAFLRERKKRPPLTMKVVLQLFLCGLFGFSINQNLYVLAIKLTSATYITAISNLTPATTFLLAILTRLETLKLKKPAGQAKLLGTLVGMGGAMLLTFYKGPKIMVLDQLPHPKFAHLTENPQSHPISTGNQIIGSFLGIISCFTYATWLVIQAKVSKVYPCHYSIAAMVCLFGALQSTVMALCVHRDMEHWRLGLNIRLYSSAYAGLIASGSAFPLLSWCLRKKGPLFISVFSPLMLIFVALMSSIILNEALHLGSVLGSVLIVGGLYMVLWGKVKEAADLSEDENQGKESIPVTTGGENEMK >ONIVA04G10660.3 pep chromosome:AWHD00000000:4:13676116:13678490:1 gene:ONIVA04G10660 transcript:ONIVA04G10660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0S8] MGSESGRAVAAMVSLQLLFSALQVFIKLALNDGMDARVLVAYRFMFAATFLCPIAFLRERDPTRFSGRFLHHEETTASNHEGGAATILVRVVWMC >ONIVA04G10660.4 pep chromosome:AWHD00000000:4:13676021:13678490:1 gene:ONIVA04G10660 transcript:ONIVA04G10660.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0S8] MGSESGRAVAAMVSLQLLFSALQVFIKLALNDGMDARVLVAYRFMFAATFLCPIAFLRERDPTRFSGRFLHHEETTASNHEGGAATILVRVVWMC >ONIVA04G10650.1 pep chromosome:AWHD00000000:4:13667461:13673843:-1 gene:ONIVA04G10650 transcript:ONIVA04G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHQPLCTRAHQAAALLLVAATFFLTRLLDRSPLSAPPPPCAAPYSPWPHRDLRIYVYAEDEVDGLRALLRGRNDDVTAATCIKGQWGTQVKIHQLLLSSRFRTFDKDEADLFFVPTYVKCVRMTGKLNDKEINQTYVKVVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKSDRLAVKPIPLTKRKYLANFLGRAQGKVGRLQLVKLAKQYPDKLESPELKLSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPGLLEYLESIPDGRVEEMIGRGREIRCLWVYAADTERCSAMSAIMWELQRKVRRFHQSAETFWLHNRSIVNRDLVEFHEWRTPHHHPPSPLRGGAAFPSLADRHHQLLRPSMAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAVSELDLYKFAPWDLPEKSSLQSKDREWYFFCPRDRKYSSGSRTNRSTEAGYWKATGKDRPVIYNSQTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDKELSASGVKLDACVLCKIFQKSGPGPKIGEQYGAPFNEDDWNEANGELSSFAFSVPPCALESSNGRLNTAGQQLAVSDNIGSSLDHCSETNDKIAVGGCGTTSPSVPFDTIHTQQLAEIISCFSTDLLNSVSRDGSLPDSTADYDNDNEVSSDDGEAIFNELDELDSQSDESISNHCNSCGEDLIPPTLEVLKTEQYLELNDLSFSLADDPDPCNLLLTTNLSDQNHPELETSSNRKALRL >ONIVA04G10650.2 pep chromosome:AWHD00000000:4:13667461:13673843:-1 gene:ONIVA04G10650 transcript:ONIVA04G10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHQPLCTRAHQAAALLLVAATFFLTRLLDRSPLSAPPPPCAAPYSPWPHRDLRIYVYAEDEVDGLRALLRGRNDDVTAATCIKGQWGTQVKIHQLLLSSRFRTFDKDEADLFFVPTYVKCVRMTGKLNDKEINQTYVKVVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKSDRLAVKPIPLTKRKYLANFLGRAQGKVGRLQLVKLAKQYPDKLESPELKLSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPGLLEYLESIPDGRVEEMIGRGREIRCLWVYAADTERCSAMSAIMWELQRKVRRFHQSAETFWLHNRSIVNRDLVEFHEWRTPGDGDGSRLPLSAARRLFPIPPRRFSSPTHQRPASHSPLLSSQSLIPPLSYRIRISTTIHHLLSAAAPHFLPSPTATTSYRQRSILLSMAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAVSELDLYKFAPWDLPEKSSLQSKDREWYFFCPRDRKYSSGSRTNRSTEAGYWKATGKDRPVIYNSQTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDKELSASGVKLDACVLCKIFQKSGPGPKIGEQYGAPFNEDDWNEANGELSSFAFSVPPCALESSNGRLNTAGQQLAVSDNIGSSLDHCSETNDKIAVGGCGTTSPSVPFDTIHTQQLAEIISCFSTDLLNSVSRDGSLPDSTADYDNDNEVSSDDGEAIFNELDELDSQSDESISNHCNSCGEDLIPPTLEVLKTEQYLELNDLSFSLADDPDPCNLLLTTNLSDQNHPELETSSNRKALRL >ONIVA04G10650.3 pep chromosome:AWHD00000000:4:13667461:13673843:-1 gene:ONIVA04G10650 transcript:ONIVA04G10650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHQPLCTRAHQAAALLLVAATFFLTRLLDRSPLSAPPPPCAAPYSPWPHRDLRIYVYAEDEVDGLRALLRGRNDDVTAATCIKGQWGTQVKIHQLLLSSRFRTFDKDEADLFFVPTYVKCVRMTGKLNDKEINQTYVKVVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKSDRLAVKPIPLTKRKYLANFLGRAQGKVGRLQLVKLAKQYPDKLESPELKLSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPGLLEYLESIPDGRVEEMIGRGREIRCLWVYAADTERCSAMSAIMWELQRKVRRFHQSAETFWLHNRSIVNRDLVEFHEWRTPYRQRSILLSMAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAVSELDLYKFAPWDLPEKSSLQSKDREWYFFCPRDRKYSSGSRTNRSTEAGYWKATGKDRPVIYNSQTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDKELSASGVKLDACVLCKIFQKSGPGPKIGEQYGAPFNEDDWNEANGELSSFAFSVPPCALESSNGRLNTAGQQLAVSDNIGSSLDHCSETNDKIAVGGCGTTSPSVPFDTIHTQQLAEIISCFSTDLLNSVSRDGSLPDSTADYDNDNEVSSDDGEAIFNELDELDSQSDESISNHCNSCGEDLIPPTLEVLKTEQYLELNDLSFSLADDPDPCNLLLTTNLSDQNHPELETSSNRKALRL >ONIVA04G10640.1 pep chromosome:AWHD00000000:4:13662423:13665576:-1 gene:ONIVA04G10640 transcript:ONIVA04G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPASE HOMOLOG B18 [Source:Projected from Arabidopsis thaliana (AT1G43890) TAIR;Acc:AT1G43890] MDSSSSSSSTQAQSQPDFDYLFKLLLIGDSGVGKSSLLLRFTSDAFEDLSPTIGVDFKVKMVNTGGKKLKLAIWDTAGQERFRTLTSSYYRGAQVQIDFSVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKPPEADAAASSCC >ONIVA04G10630.1 pep chromosome:AWHD00000000:4:13653189:13656494:1 gene:ONIVA04G10630 transcript:ONIVA04G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAFGSVFGEAKPPVAMRMRPILFHAHAHTNDVSQLRLLATDLHSLAWDRSLSLSDIDDLEKNEIMQKQLEALSFLDKRKVTKPKLVANQVPSVSDVTQGSDQVIVPAQQQTPGRECEELCCKILRKMSKNSQILPFLCID >ONIVA04G10630.2 pep chromosome:AWHD00000000:4:13653189:13656494:1 gene:ONIVA04G10630 transcript:ONIVA04G10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAFGSVFGEAKPPVAMRMRPILFHAHAHTNDVSQLRLLATDLHSLAWDRSLSLSDIDDLEKNEIMQKQLEALSFLDKRKVTKPKLVANQVPSVSDVTQGSDQVIVPAQQQTPGRECEELCCKILRKMSKNSQILPFLCID >ONIVA04G10620.1 pep chromosome:AWHD00000000:4:13652284:13652914:-1 gene:ONIVA04G10620 transcript:ONIVA04G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARRVLEHPTPTDASSAAALPSGFYDAFVLRGIRVEAAEPGRLLCRFTVPSRLLNSGGFLHGGATASLIHLVASAVFHTTGNSSSSSSSTSPLEMNISYLDAAFPDEEIEIEAKVLRAGKAVGVALVDLKKKSGKLIAQARYSNYLAPSSKL >ONIVA04G10610.1 pep chromosome:AWHD00000000:4:13648030:13651815:-1 gene:ONIVA04G10610 transcript:ONIVA04G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0R8] MEMEARGGGGRWYAGGMSTADNIKGLLLALSSSLFIGASFIIKKKGLKKAASSSSSALRAVVVGEVANFAAYAFAPAILVTPLVLYLRILCCVRSYTYLAFSDASYVLWDPPQLSFMPPRSVRLIVLAMVAILVCRFVPLYGQTHVMVYIGVCSLVGSISVMSVKALGIALKLTFCGTNQLIYPQTWAFTLVVLSCIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEACGFVTILSGTFLLHKTKDMADGLSTSSSFRLPTSSSFRFSKQTDEECEGIPLRSSESFRILVEKREQKWMEEIIHHLRPEGGTQEVNNTEQPGHF >ONIVA04G10610.2 pep chromosome:AWHD00000000:4:13649278:13651815:-1 gene:ONIVA04G10610 transcript:ONIVA04G10610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0R8] MEMEARGGGGRWYAGGMSTADNIKGLLLALSSSLFIGASFIIKKKGLKKAASSSSSALRAVVVGEVANFAAYAFAPAILVTPLVLYLRILCCVRSYTYLAFSDASYVLWDPPQLSFMPPRSVRLIVLAMVAILVCRFVPLYGQTHVMVYIGVCSLVGSISVMSVKALGIALKLTFCGTNQLIYPQTWAFTLVVLSCIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEACGFVTILSGTFLLHKTKDMADGLSTSSSFRLPTSSSFRFSKQTDEECEGIPLRSSESFRSPP >ONIVA04G10600.1 pep chromosome:AWHD00000000:4:13646017:13650276:1 gene:ONIVA04G10600 transcript:ONIVA04G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGPPRLLRGLIPQLLSVDAWLPCTCSSRLQLLLSHFHCRLHLRWPSCADAFKLLLALLLVSAALAEVRYIASSSMAPTLRPADRAVAERITYFFRRPSIGDIVFFKVPTTLQNYGVNKDVVFIKRILATPGDFIEVRQGQLIINGVARKEHYTASHASYTMEAMDPVLKPCMILQRLPEGHVFVMGDNRNNSCDSRAWGPLPISNIIGRYMMSFTRSSIQ >ONIVA04G10600.2 pep chromosome:AWHD00000000:4:13646017:13650276:1 gene:ONIVA04G10600 transcript:ONIVA04G10600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGPPRLLRGLIPQLLSVDAWLPCTCSSRLQLLLSHFHCRLHLRWPSCADAFKLLLALLLVSAALAEVRYIASSSMAPTLRPADRAVAERITYFFRRPSIGDIVFFKVPTTLQNYGVNKDVVFIKRILATPGDFIEVRQGQLIINGVARKEHYTASHASYTMEAMRLPEGHVFVMGDNRNNSCDSRAWGPLPISNIIGRYMMSFTRSSIQ >ONIVA04G10590.1 pep chromosome:AWHD00000000:4:13641780:13645735:1 gene:ONIVA04G10590 transcript:ONIVA04G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:farnesylcysteine lyase [Source:Projected from Arabidopsis thaliana (AT5G63910) TAIR;Acc:AT5G63910] MSMPMPILLLLLLLPQGQGHGGAGDICIVGSGISGSSTAFFLTNYTTALSGAQLRVFERRAKVGGRLATVTVSGDHFEAGGSIIHPRNLHVRRFADLLGLEAKTDGDDDWLGIWDGHRFVFQTLRPLPPGTSWLRRKLHTLVNSLRLFKRYGLSLLKMDRFVQEMLQRFMLYYNGFESRPVFDTVEEMLKWSGLYGLTCRTLEAELLDAGLNSQTISELVTVITRINYGQSVSISGLAGAVSLAGSESGLWAVKGGNWQLAAGLLEAANATLHLQEGIDSIEYAGDHYILKSNKGLEYNCVATVVATPLDEVNITFSPPISIPARKTQHTHATFVRGILNPKFFGLSSVSDIPKLIGTMEVPEIPFSSISILKKYSEQDMTYKVFSRVKLNESLLDQIFSGRKETIRINWPAYPHYEAPEVFAPIILDGKQLYYVNTFESAASAMETGAVAAENVARLLIARLRLPLPSRPAAPAPDHHDQRADL >ONIVA04G10580.1 pep chromosome:AWHD00000000:4:13625231:13639037:-1 gene:ONIVA04G10580 transcript:ONIVA04G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPFDICGDLDDDPTPPAPTPLAAPTPNGLNDRLLRLTRTHQRGPSQNPNPNPNPKPPPPPPPQEPEPAKVKLAGRRRLCKLSTAGDESAGDDDSIRDILDDLTTRLDSLSVDRPTARPRPHVSPLPCALHADPDPSQSQLNDGTKPSSSFVDCDDEDDDAGGAYGGFGVKEEVTRKVFKASSSSFGGRGNDDKMKAKGAYAFDTVSRKTTTESKASKFFGDSDDEDDIDQDAENGKENHADDVGWEKTEDFKMEPTGTGVTRKPYNLPGRIFNMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRVLVVAPKTLLTHWTKELSVVGLKDKIRDYSGPNANARNYELKYAFKEGGILLTTYDIVRNNFKMIKGNFTNDFDDEEETLWNYVILDEGHIIKNPKTQRAQSLFEIPCVHRIVISGTPIQNNLKVQSKELRERIKPYFLRRMKNEVFLDSGTGEDKKLAKKNELIIWLKLTSCQRQLYEAFLNSELVHSSMQGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDAMLNNQEMGMVEKMAMNLADMAHDDDDVELQVGQDVSCKLSFMMSLLQNLVSEGHNVLIFSQTRKMLNIIQEAIILEGYKFLRIDGTTKISERERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQMKDVIVYRLMTSGTIEEKIYKLQVFKGALFRTATEHKEQTRYFSKRDIQELFSLPEQGFDVSLTQKQLQEEHGQQLVMDDSLRKHIQFLEQQGIAGVSHHSLLFSKTAILPTLNDNDGLDSSRRAMPMAKHYYKGASSDYAANGAAYAMKPKEFIARTYSPNSTSTESPEEIKAKINRLSQTLANTVLVAKLPDRGDKIRRQINELDEKLTVIESSPEPLERKGPAEFAAKILVCCQSEHARTLKDWKSLKAKEEEAGGRARGEGRKGSELNSTPFRLNSTSSTLVVFKVPSTFTTGRGALAAAPANGRFVPMAPTSQPQPQQEVEVEVDEDEELVNMVVEAGVGAIKMKMKIPRRVLGSLYPHQRDGLAWLWALHCTATGGILADDMGLGKTIQEGGILLTSYHIVRNNYMLLRGNGNGNNVDNNEEEPLWDYVILDEGHIVKNTKTQRAQSLFQIPSAHRIVLTGTPIQNKLKRRLYEAFLNKDPVRSQTGALKGSSLEASTILRKICDHPLLLTKRDTDDFLEEMGAMLNNRDMCMVERILEDNLYADKRLQIVQGASCKIAFILPLLRNLVEEGHYVLIFSQTRVMLNLIQDAVSIEGHKFLRIDGTTKISERKKILKDFQEGLDSPILLLTSHVGGLGNTLTKADRVIVVDPAWNPSIDNQSVDRAYRIGQTKDVIVYRLVTCGTIEEKIYKQQRSKNEG >ONIVA04G10570.1 pep chromosome:AWHD00000000:4:13622568:13623083:1 gene:ONIVA04G10570 transcript:ONIVA04G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVNAGTAAPDSGTGSIAANDGDGDLHGEQSKLVAISDEAPNVDRALATSRPATADGAGGGDDVSPRLRRRQRRRLLEIKKRGLEVEEQMLALEQRRLRWAAADAEARREEDTELEKMRVENGVARAENARLWRRLLRRRRERELGVGGVRSNKCRDGAAAMEGEEKSVP >ONIVA04G10560.1 pep chromosome:AWHD00000000:4:13620194:13621278:-1 gene:ONIVA04G10560 transcript:ONIVA04G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSRSRNTLLRRFSNFTGLIPGGGGWNGDGSAALSYSWVRARGDCGGGWNGDADEIDLGRRCEQEPTHLDPLSLTDLKNDPRAHWSQHS >ONIVA04G10550.1 pep chromosome:AWHD00000000:4:13601392:13604303:-1 gene:ONIVA04G10550 transcript:ONIVA04G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0R1] MGSCNSWKPTLTMVGVVVVFAVMNTLIKMALDEGMHATVLITLRQLIATLFLAPIAYFRERASLTQWLFFLGLQYTTATFACAFINMTPIFTFLVALPYGLEKVNLNIAGIAKVGGTVLGFSGAMVLALYQGPSLTKLSSSSSSSSSSSSPMASAAVVAAGHVGGAHRWAIGSVALLGGSACWSLWFILQSRIARKYPALYSGTALMFFLSFLQMAVVALAIDRVSLPPWILRTKLQIITVLFVGIVGSGIGFLAMSWCVEQRGPVFTTAFTPLIQIIAAAINVIVLREQLHLGTVIGSALVIMGLYFVLWGKSKEASPPSSSSHPAKEAVPVLQQQHGHDDQETTNVQMQTV >ONIVA04G10540.1 pep chromosome:AWHD00000000:4:13599659:13600231:-1 gene:ONIVA04G10540 transcript:ONIVA04G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARGGRGGYKAICSVHLGLLLLLVILALQCGVEPAAARREWPVGDGAGWSPGVVGWPNYKPFKAGDVLVFSYDASAHNVVVVGDVDYALCRAPANATAYGSGDDRVALPPGVTFFVSGFPGDCDKGMMKIAVTAR >ONIVA04G10530.1 pep chromosome:AWHD00000000:4:13593658:13596858:1 gene:ONIVA04G10530 transcript:ONIVA04G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT5G09820) TAIR;Acc:AT5G09820] MAAASVLLLLPSPFLRPSSPAHRARCCIATTTTTSTSGRRGLFLFASRCRPGPRRRAASAAVPPEHGLSQPQPQARAVGSYEAALGDAKDALYAALEGMNRGIFGMTSEKRSEIHALVELLESKNPTPEPTDKLQDKVDGCWRLVYSTISILGKKRTKLGLRDFISLGDFFQMIDVKEEKAVNVIKFSARALKILSGQLTIEASYKITTKTKVDITLDSSTITPDQLMNIFQKNYDMLLAIFNPEGWLEITYPFRLM >ONIVA04G10520.1 pep chromosome:AWHD00000000:4:13582690:13592896:1 gene:ONIVA04G10520 transcript:ONIVA04G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAVCPCRTELELEYATAAGKTGQETKRNLNPPSSRQPRSRTRESERQRARARNAPQIQPPFGPPFRAAAPAYRKVENPKLRSEISPPPPPRLSLPLYPLSSLSPHPPRRLVPDPDMASDSDVDEDELLQMALQEQAARDLSHQRPAGAGKPVVNLVRPPANSSRGGGGGGRGGGGPAKARQPSRGGGDDDDDSEVEMLSISSGDEDGAPSSRDRGPPPPRGGGRAGARRAASRDDGDFDDDEPRSWKRVDEAELARRVREMREGGAAPTVDQKAAAAATRKALTNVQTLPRGVEVLDPLGLGVIDNKSLRLITDASVSSPVSREKAQGLDPSMREKVIYSSPNFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTAHLYSVTQKISGVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTLDHEARMEVLQNKIREKILSDAKWRQLQQDSNKSLEVDSATGDSFQDDQLSTNIMADEADSLRAAYIRRLTAVLIQHVPAFWRLALSVFSGKFAKAAAGNVLSDSDMNTKQSVNKTDDKGGEAKYTNHTLDEVASMVRATVSAFDTKVQNTFRDFEECNILRPFMGDTIKEIAKACLTLEGKDSSPTAVKMLRALHYEITKLYILRLCSWMRATTKEISKYETWFTLTTLERNKSLYAISSMPLEFRDIIVSAMDRIDFMVLNLRSETAKSYDISQHLHEIHESVRLAFLNSFLDFAGYLERFGGELAQNRSNKENNHTQNGYVNGTNSETSAGMDGDLYKKLLVVLSNIGYCKAELSDELYTKYRHIWSPVRDNDERSADMRDLMTSFSALEEKVLEQYTFAKSNLIRNAARNYLLDYGIHWGAAPAVKGIRDAALDLLHILVAVHAEVYSGARPLLEKAMTILVEGLIDIFLSIFHENKTKELRMLDANGFCQLMLELEYFETILRTYLSTEAEQALRSLQENLLEKACESVTEALENPGHHRRPTRGSEDAASDDRQSVSPDDLLALAQQCSSDLLQGELEKTRLNIACFMESTLQSTPAPAGSKPAAYQSYKAPATHQPVQVSSPSFRRQQTSTNSPAASRRRR >ONIVA04G10520.2 pep chromosome:AWHD00000000:4:13582690:13592896:1 gene:ONIVA04G10520 transcript:ONIVA04G10520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAVCPCRTELELEYATAAGKTGQETKRNLNPPSSRQPRSRTRESERQRARARNAPQIQPPFGPPFRAAAPAYRKVENPKLRSEISPPPPPRLSLPLYPLSSLSPHPPRRLVPDPDMASDSDVDEDELLQMALQEQAARDLSHQRPAGAGKPVVNLVRPPANSSRGGGGGGRGGGGPAKARQPSRGGGDDDDDSEVEMLSISSGDEDGAPSSRDRGPPPPRGGGRAGARRAASRDDGDFDDDEPRSWKRVDEAELARRVREMREGGAAPTVDQKAAAAATRKALTNVQTLPRGVEVLDPLGLGVIDNKSLRLITDASVSSPVSREKAQGLDPSMREKVIYSSPNFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTAHLYSVTQKISGAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTLDHEARMEVLQNKIREKILSDAKWRQLQQDSNKSLEVDSATGDSFQDDQLSTNIMADEADSLRAAYIRRLTAVLIQHVPAFWRLALSVFSGKFAKAAAGNVLSDSDMNTKQSVNKTDDKGGEAKYTNHTLDEVASMVRATVSAFDTKVQNTFRDFEECNILRPFMGDTIKEIAKACLTLEGKDSSPTAVKMLRALHYEITKLYILRLCSWMRATTKEISKYETWFTLTTLERNKSLYAISSMPLEFRDIIVSAMDRIDFMVLNLRSETAKSYDISQHLHEIHESVRLAFLNSFLDFAGYLERFGGELAQNRSNKENNHTQNGYVNGTNSETSAGMDGDLYKKLLVVLSNIGYCKAELSDELYTKYRHIWSPVRDNDERSADMRDLMTSFSALEEKVLEQYTFAKSNLIRNAARNYLLDYGIHWGAAPAVKGIRDAALDLLHILVAVHAEVYSGARPLLEKAMTILVEGLIDIFLSIFHENKTKELRMLDANGFCQLMLELEYFETILRTYLSTEAEQALRSLQENLLEKACESVTEALENPGHHRRPTRGSEDAASDDRQSVSPDDLLALAQQCSSDLLQGELEKTRLNIACFMESTLQSTPAPAGSKPAAYQSYKAPATHQPVQVSSPSFRRQQTSTNSPAASRRRR >ONIVA04G10510.1 pep chromosome:AWHD00000000:4:13579050:13582138:-1 gene:ONIVA04G10510 transcript:ONIVA04G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRAQVVTNATCRNTNIVFASNDIVRKSDLKTDPDCPKPPKARKENVRNVQPATGHPTAYHPQSMTSGPDSNPSPQVIDYYDGILPPASTRGRSIPPITSRQQQQPRRSRTHL >ONIVA04G10500.1 pep chromosome:AWHD00000000:4:13572958:13578840:-1 gene:ONIVA04G10500 transcript:ONIVA04G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin interaction motif-containing protein [Source:Projected from Arabidopsis thaliana (AT1G43690) TAIR;Acc:AT1G43690] MGDRGEEEEEELQMALRMSLQGSPPAQQPEPKRSKPPPPPAEEGVAAADAEAEARRKQRELRAAAAEKRLRAVAPSPAAAAPRPPAPEVVAREVEVEVKADPGPSGVSMEEAKAEEVEEEKGERLPTDVAEKLWLMVFGNKLEKEVLAQWSNQGIRFSSDPETTMGLVQHEGGPCGVLATVQAYVLKYLLFFSDELGNPEVSDPFYALGQRRFYQSSFAARDDFSSLTDDRKMRALVHAMLEILFLCGTGNRAVVATIGSVNEAKTAAVLEGLSVDSAMDLQKVLRISTFTSRKDAFNSLIANISLFESRLGAMLFLISALLSRGLERIQADRDDPSLPLVTAPFGHASQEVVNLLLCGEAVSNVFDGKVDFGGGMFLNGIPNDVEVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYSVLFALNPNVQEENELEERESKIRRAFDAQDQSGGGGFISVEGFQQVLRDTDINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKQFTIYHFNGIAKSVLNGNANIGGSTIQRPRLCKLNVSVPPRWTQDEYLADVVSASTSGSKDDSVLSLAPPVQTSQHAPLVDCIRTRWPRAVCSWVGDMPSIV >ONIVA04G10490.1 pep chromosome:AWHD00000000:4:13564774:13571862:-1 gene:ONIVA04G10490 transcript:ONIVA04G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEPPPPEHPPTPAPAPAPASPPPHPAASGNEAAAPPKPNPPITPAPGRNASAAPPSGSPATAAAAAPVPSRKESGSTSAAAPAAATRKASGVAAATTGVLPRKPPGTTAPVAARNIPATTAASRTATRATTTTTATSVSRRPAAAAAAVASRIPLRATDATTTHAASRNPLTGAAAATATATVAAVGRGGFRAAPTRPEEYTPRMGMEFESEHEAYEFYRYYGWKVGFNVRKEYANKSKKTGEITSRKFACSREGYRANVKRGNHMVPMPDSRTGCNAHLVIRRKKPGAKLEVYAFQPRHNHPLFATSCMPNPLQPNVVHWTTLLDAVTPPDLLMDGENIMDKSSGTTAKESKKKGQKNKIQSRNCIEKGLRKKQKVHSEQPSEYALLGGSQSGNMFQAFEGPPNMSPLGTQTPTYKTYRGIDLSSPMGPISYDEMPSGLDPTFTTVLQPITLLRCQVAAHTTSKANVKDYPISIRSSIQQLLYIIQLIMPNGAAIFPIGICSTGTVAQANL >ONIVA04G10480.1 pep chromosome:AWHD00000000:4:13563021:13563938:-1 gene:ONIVA04G10480 transcript:ONIVA04G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFPSPEIVSSGEVGSTTGLSPLAVHSRDSLPGFKKPRANLLLLETSLLLPASAWLGDTVSVAAELAREEEYDAKKDMTKERHVEADDEQGKEKWRTEGGMYDQIRHSFSKSKSV >ONIVA04G10470.1 pep chromosome:AWHD00000000:4:13557243:13559424:-1 gene:ONIVA04G10470 transcript:ONIVA04G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERRKFAWKGRWAGPGRVGSAPMASFPRFIWAAPFVGVVGEMTTEPRGGERPPAAPPFSTEMVTRAAAGDLSLSLSPCSTSSSTS >ONIVA04G10460.1 pep chromosome:AWHD00000000:4:13539681:13541839:-1 gene:ONIVA04G10460 transcript:ONIVA04G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMGLQPRTSREIILLCARGERRAASSASPPTAVAADGDGADVRRSISLRDAPLRTMRIARDTEGSLGASPDARKREICGMRRALRTLFEGKNAVFMVHRSLNKRIGSDFRQLLKGTSQSWQWRHVEFK >ONIVA04G10460.2 pep chromosome:AWHD00000000:4:13534379:13541839:-1 gene:ONIVA04G10460 transcript:ONIVA04G10460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMGLQPRTSREIILLCARGERRAASSASPPTAVAADGDGADVRRSISLRDAPLRTMRIARDTEGSLGASPDARKREICGMRRALRTLFEGKNAVFMVHRSLNKRIGSDFRQLLKGTSQPSPSRRRDPRRHRRPPTEMERNGSGASPRLRYGSRHPSSQCPCECRCRRSSESRDGYSMFGMARVQLPVEIN >ONIVA04G10460.3 pep chromosome:AWHD00000000:4:13540809:13541839:-1 gene:ONIVA04G10460 transcript:ONIVA04G10460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMGLQPRTSREIILLCARGERRAASSASPPTAVAADGDGADVRRSISLRDAPLRTMRIARDTEGSLGASPDARKREICGMRRALRTLFEGKNAVFMVHRSLNKRIGSDFRQLLKGTSQVYIKPIFMSRVNWLVHCLL >ONIVA04G10450.1 pep chromosome:AWHD00000000:4:13532562:13547376:1 gene:ONIVA04G10450 transcript:ONIVA04G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLIFILLLFFLRIRITESSTMTDTISVGKAFDETNKLVSKIGSSGANNLQDGNLAVLNQSAKTIIWSTQAKITTNNTIATHLIEINLILTNPSNSLEVSRWSFDYPTDTYFPRAKFGWNKVTGQNHHIISWKNSRDPAIGVYCNELDPTGVDQTSLSPLKLRFLCSSVSSGYCSNLKFSLQKSELFLLHHSSPVFIFCFPMPLPCHVLLGLLLLLSLHTPASSAATTDTVSPGHSLAGSDRLSFDYPTDTLFAGAKIGWDKVTGMNRRLVSRKSSVDQAPGIFSLELGLNGEGHLLWNSTVAYWSSGDWNGRYFGLAPEMIGDVMPNFTFVHNDKEAYFTYTLYDDTAIVHAGLDVFGIGFVGMWLEGNQEWFKNYRQPVVHCDVYAVCGPFTICDDNKDLFCDCMKGFSVRSPKDWELDDQTGGCIRNTPLSCGSSKDRTSLTDKFYPMQSIRLPHNAENVQAATSGDECSQVCLSNCSCTAYSYGKDGCSIWHDELYNVKQLSDASSDRNGGVLYIRLAAKELPGSEKKKNRNISGFAIGASTATLFLMILLLILWRRKGKWFTRTLQKPEGGIGVVAFRYINLQRATKAFSEKLGGGSFGSVFKGYLGNSTIAVKRLDGAYQGEKQFRAEVNSIGIIQHINLVKLIGFCCEGDNRLLVYEYMPNRSLDVCLFEANDIVLDWTTRYQVATGVARGLAYLHNSCRDCIIHCDIKPENILLDASYVPKIADFGMAKILGREFSRAMTTMRGTIGYMAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSSHECFRDGDYSFFFPMQVARKLLNGDIGSLVDASLKGDMNLVEVERACKIACWCIQDNEFDRPTMAEVVQALEGLLELDMPPLPRLLSAITGDSHSVTPQYFDSVKTIVLLYYELGPNAQRILIPNQHSASIHPNFSFRMPLALTLLGLLLLSLHISASCAAMDTMTPAQALFGNGKLISSNGKFALGFFQTGITDPNNSELTISGDGGLVILDRSNRSIVWSTRINITTNDTVAMLLNSGNLVLQNFLNSSDALWQSFDYPTHTFLPGAKLGWSKISGLNSRLVSRKNSIDLAPGKYSVELDPSGANQYIFTLLNSSTPYLTSGVWNGQYFPSIPEMAGPFIVNFTFVDNDQEKYFTYSLLDETVVFHHFLDVSGRTKTFVWPEGSQDWVMTYAQPKVQCDVFAVCGPFTICNDNELGFCKCMKGFSIKSPKDWELDDRTDGCMRNTPLDCASNKTASSLTDKFHSMPCVRLPQNGYSIEAATNADKCALVCLSNCSCTAYSYGNGGCLVWHAELFDVKQQQCDGITDTNGGTLYIRLASREEQSQKKNRRGLIIAIALGLSFAALFMLAIALVIWWNKSKRYNCTSNNVEGESGIVAFRYIDLQHATKNFSEKLGEGGFGSVFKGFLHDSRTIAVKKLAGAHQGEKQFRAEVSSIGLIQHINLIKLIGFCCDNDSKLLVYEHMPNRSLDVHLFPTDTKILNWDTRYQIAIGVARGLSYLHDSCRDCIIHCDVKPQNILLSESFTPKIADFGMAKFLGRDFSRVLTTMRGTIGYLAPEWISGVPITPKVDVYSYGMVLLEIVSGRRNSNGGCITGGDKDVYFPVKVAHKLLEGDVESLIDPNLHGDANLTEVERVCKVACWCIQDNEFDRPTMGEVVQILEGIFELDTPPMPRLLQAIAGSSCSIAE >ONIVA04G10450.2 pep chromosome:AWHD00000000:4:13532562:13547376:1 gene:ONIVA04G10450 transcript:ONIVA04G10450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLIFILLLFFLRIRITESSTMTDTISVGKAFDETNKLVSKIGSSGANNLQDGNLAVLNQSAKTIIWSTQAKITTNNTIATHLIEINLILTNPSNSLEVSRWSFDYPTDTYFPRAKFGWNKVTGQNHHIISWKNSRDPAIGVYCNELDPTGVDQTSLSPLKLRFLCSSVSSGYCSNLKFSLQKSELFLLHHSSPVFIFCFPMPLPCHVLLGLLLLLSLHTPASSAATTDTVSPGHSLAGSDRLVSNNSKFALGFFKPGNESSYTNHNSYLGIWFNKVSKLTPLWTANGENPVVDPTSPELAISGDGNLAILDHATKSIIWSTRANITTNDTIAVLLNNGNLVLRSSSNSSNIFWQSFDYPTDTLFAGAKIGWDKVTGMNRRLVSRKSSVDQAPGIFSLELGLNGEGHLLWNSTVAYWSSGDWNGRYFGLAPEMIGDVMPNFTFVHNDKEAYFTYTLYDDTAIVHAGLDVFGIGFVGMWLEGNQEWFKNYRQPVVHCDVYAVCGPFTICDDNKDLFCDCMKGFSVRSPKDWELDDQTGGCIRNTPLSCGSSKDRTSLTDKFYPMQSIRLPHNAENVQAATSGDECSQVCLSNCSCTAYSYGKDGCSIWHDELYNVKQLSDASSDRNGGVLYIRLAAKELPGSEKKKNRNISGFAIGASTATLFLMILLLILWRRKGKWFTRTLQKPEGGIGVVAFRYINLQRATKAFSEKLGGGSFGSVFKGYLGNSTIAVKRLDGAYQGEKQFRAEVNSIGIIQHINLVKLIGFCCEGDNRLLVYEYMPNRSLDVCLFEANDIVLDWTTRYQVATGVARGLAYLHNSCRDCIIHCDIKPENILLDASYVPKIADFGMAKILGREFSRAMTTMRGTIGYMAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSSHECFRDGDYSFFFPMQVARKLLNGDIGSLVDASLKGDMNLVEVERACKIACWCIQDNEFDRPTMAEVVQALEGLLELDMPPLPRLLSAITGDSHSVTPQYFDSVKTIVLLYYELGPNAQRILIPNQHSASIHPNFSFRMPLALTLLGLLLLSLHISASCAAMDTMTPAQALFGNGKLISSNGKFALGFFQTGITDPNNSELTISGDGGLVILDRSNRSIVWSTRINITTNDTVAMLLNSGNLVLQNFLNSSDALWQSFDYPTHTFLPGAKLGWSKISGLNSRLVSRKNSIDLAPGKYSVELDPSGANQYIFTLLNSSTPYLTSGVWNGQYFPSIPEMAGPFIVNFTFVDNDQEKYFTYSLLDETVVFHHFLDVSGRTKTFVWPEGSQDWVMTYAQPKVQCDVFAVCGPFTICNDNELGFCKCMKGFSIKSPKDWELDDRTDGCMRNTPLDCASNKTASSLTDKFHSMPCVRLPQNGYSIEAATNADKCALVCLSNCSCTAYSYGNGGCLVWHAELFDVKQQQCDGITDTNGGTLYIRLASREEQSQKKNRRGLIIAIALGLSFAALFMLAIALVIWWNKSKRYNCTSNNVEGESGIVAFRYIDLQHATKNFSEKLGEGGFGSVFKGFLHDSRTIAVKKLAGAHQGEKQFRAEVSSIGLIQHINLIKLIGFCCDNDSKLLVYEHMPNRSLDVHLFPTDTKILNWDTRYQIAIGVARGLSYLHDSCRDCIIHCDVKPQNILLSESFTPKIADFGMAKFLGRDFSRVLTTMRGTIGYLAPEWISGVPITPKVDVYSYGMVLLEIVSGRRNSNGGCITGGDKDVYFPVKVAHKLLEGDVESLIDPNLHGDANLTEVERVCKVACWCIQDNEFDRPTMGEVVQILEGIFELDTPPMPRLLQAIAGSSCSIAE >ONIVA04G10450.3 pep chromosome:AWHD00000000:4:13547265:13566048:1 gene:ONIVA04G10450 transcript:ONIVA04G10450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPTTSLSHILLKLIPLGFSTKRSTAMDTVSPGHALVGSDRLVSNNGKFALGFFKPGNESYTNHNSYLGIWFNKVPKLTPLWTANGNNPVVDPTSPELAISGDGNLAILDHATKSIIWSTHANITAKDTIAILLNNGNIVLRSSSNSFHNLLAELRLPNRYTFPPSAKIGWDKVTGLNRRLVSRKNSIDQAPGIYSLELGPNGDGHLLWNSTIAYWSSGQWNGRYFGLTPEMTGALMPNFTYFHNDQEAYFIYTWDNETAIMHAGIDVFGRGLVATWLEESQDWLIYYRQPEVHCDVYAICGPFTICDDNKDPFCDCMKGFSVRSPKDWELDNRTGGCIRNTPLSCGSRTDRTGLTDKFYPVQSIRLPHSAENVKVATSADECSQACLSNCSCTAYSYGKSGCSVWHDELYNVKQLSDSSSDGNGEVLYIRLAAKELQSLERKKSGKITGVTIGASTGGALLLIILLLIVWRRKGKWFTLTLEKPEVGVGIIAFRYIDLQRATKNFSKKLGGGSFGSVFKGYLSDSTIAVKRLDGARQGEKQFRAEVNSIGIIQRINLVKLVGFCCEGDNRLLVYEYMPNSSLDVCLFKANDIVLDWTTRYQIAIGVARGLAYLHTSCRDCIIHCDIKPENILLDASYVPKIADFGMAKILGREFSRAMTTMRGTFGYLAPEWISGTVVTSKVDVYSYGMVFFEIISGRRNSSHENFRDGDYSFFFPMQAARKLLNGDVGSLVDASLEGGVNLVEVERACKIACWCIQDNKFDRPTMGEVVQSLEGLLELDMDTVSPSQALAGSNRLVSNNSKFALGFLKPGNESYNNHNSYLGIWFNKVPKLTLLWTANGDNPVVDPTSPELTISGDGNLAILDHATKSIIWSTRANITTNDTIAVLLNNGNLVLRSSSNSSKIFWQSFDYPTDTLFAGAKIGWDKVTGLNRRIVSRKNSIDQAPGMYSLEVGLNGDGHLLWNSTVPYKSSGDWNGRYFGLAPEMIGVALPNFTFVYNDQEAYFTYTLRDDTAIVHTGIDVFGRGFAGTWLEGSQDWLIHYRQPIVHCDVFAICGPFTICDDKKDPNNNPFCDCMKGFSVKSPKDWELDDRTGGCMRNTPLSCGSSKDRSDLTDKFYPMQSIRLPNNAENVQAATSGDQCSQVCLSNCSCTAYSYGEDGCSIWHDELYNVKQLLDAASDGNGVVLYVRLAAKELQISERKKSGTLIGVAIGASTGTLFLITLLLILWRIKGKWIIAHPLEKSEDSIGIIAFRHIDLRRATKNFSEKLGGGSFGSVFKGNLSDSTIAVKRLDGARQGEKQFRAEVNSIGIIQHINLVKLVGFCCEGDNRLLVYEYMPNCSLDVCLFKANDIVLDWTTRYQIAIGVARGLAYLHTSCRDCIIHCDIKPENILLDASYVPKIADFGMAKILGREFSRAMTTMRGTIGYLAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSSHENFRDGDYSFFFPMQAARKLLDGDVGSLVDASLEGGVNLVEVERACKIACWCIQDNEFDRPTMGEVVQSLEGLLELDMPPLPRLLNAITGGSHPVTPQYFYSL >ONIVA04G10440.1 pep chromosome:AWHD00000000:4:13527454:13527786:-1 gene:ONIVA04G10440 transcript:ONIVA04G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDGSGARAAAADGDGTRVAAAAVDEDGARAAAADGGDGWGRSLSSRRRMVATDGSRARAAAVDGGGGGDGGGEARAPGGGCRRRMGAKPERRRRMAAPRQWIGMEPE >ONIVA04G10430.1 pep chromosome:AWHD00000000:4:13519579:13522728:-1 gene:ONIVA04G10430 transcript:ONIVA04G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSVARLQATTEGKPQDYIRATFVSRMRCTSWHWGYANFKKTFEILNNFTHCRSIKLIILNTPACNPESSFYLLQINIAIQLLFLGIFAIKKSAKAKEATLAVTATPMNIDRPLLFILCTSLAARRRSWVYRVFPGNKTAV >ONIVA04G10430.2 pep chromosome:AWHD00000000:4:13521268:13522728:-1 gene:ONIVA04G10430 transcript:ONIVA04G10430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSVARLQATTEGKPQDYIRATFVSRMRCTSWHWGYANFKKTFEILNNFTHCRSIKLIILNTPACNPESSFYLLQINIAM >ONIVA04G10430.3 pep chromosome:AWHD00000000:4:13520395:13522728:-1 gene:ONIVA04G10430 transcript:ONIVA04G10430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSVARLQATTEGKPQDYIRATFVSRMRCTISISKCNNSTTTMKILSSVTKQPTLVPWDLRYQEECQSKGSNTCSDGNANEY >ONIVA04G10420.1 pep chromosome:AWHD00000000:4:13519063:13532403:1 gene:ONIVA04G10420 transcript:ONIVA04G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPITVLFLLFTLHIPASCKVTDTISAGETLAGNDRLVSSNGKFALGFFPTSSKSSHNASNWYLGIWFNQVPKLTPAWVANGDEPVTGPTSPEATISGDGNLVILDQATKSIFWSTQADITANTTMVKLLDNGNLVLQNTSNSSVVLWQSFDYPTNTHLAGAKLGRNKVTGLNRRLVSRKNSVDPASGMYSYELTDNNGSARFILAALNSSIPYWSSGEWNGHYFGSIPEMTGQRLIDFTFVNNDEEVYFTYTLLDNATIMRFMLDISGQTKIFLWVEHVQDWVPTYTNPKQCDVYGICGAFTVCEESKLPICKCMKGFSVRSPNDWELDDRTGGCVRNTPLDCGINRNTSMQDRFHPMPCVGLPSNGQIIEDVTSAGGCAQVCLSNCTCTAYYYGNTGCSVWNDELINVKQLQCGDIANTDGAILYLRLAAKEVQSIKSSGRSIFIGVAVTASVASFALALFLIAKIPRNKSWLLGHRRKNFHSGSGVIAFRYADLQHATKNFSDKLGAGGFGSVFKGLLNESTVIAVKRLDGARQGEKQFRAEVGSIGIIQHINLVKLIGFCCEDFGMAKFLGREFTQVLTTMRGTIGYLAPEWISGTVITSKVDVYSYGMVLLEIISGTRNSSKEFATRDDYEYFPLLVAHKLLDGNAGSLVDQNLHGDVDLEQVERAFRVACWCIQDNELDRPTMSEVVQYLEGLLEVGIPPVPRLLQAIAGNPYSNMQAMRFIIFFLMPLHHVILGLLLLHSQHTPASSTVTDTVSPGHALVGSARLVSNNSKFALGFFKSSSYTNHNSYLGIWFNKVPKLTPLWTANGENPVMDPTSPQLSISGDGNLAILDEATKFIIWSTHAKITTNDTTIAVLLNNGNLVLRSSSNSSIIFWQSFDYPTDTLFSGAKIGWDKATGLNRRLVSRKNLIDQAPGIYSLELGLNGDGHLLWNSTVAYWSSGDWKGHYFGQLPEMTGSFMPNFTFFHNDQEAYFIYTLSDETTMMHAGIDVYGRGLVGIWLEELQDWFIYYRQPVVNCDVYAICGPFTICNDNKDPFCDCMKGYSIRSPKDWELDDRTGGCMRNTPLSCGAGKDRTGLTDKFYPVQSIRLPHNAENLQAPTSREECSQVCLSNCSCTAYSYGNGGCSIWHDELYNVKQLSDASPNGDEGVLYIRLAAKELQNSQRKMSGKIIGVAIGASIGVLFLMILLLIVWKSKGKWFACTQEKPEDGIGITAFRYTDLQRATKNFSNKLGGGSFGSVFKGYLNDSTIAVKMLDGARQGEKQFRAEVNSIGIIQHINLVKLIGFCCEGDNRLLVYEYMPNCSLDVCLFEANDIVLDWTTRYQIAIGVARGLACLHDSCRDCIIHCDIKPENILLDVSYMPKIADFGMAKMLGREFSRAMTTMRGTIGYIAPEWISGTVVTSKVDVYSYGMVLFESYQEGGTEVMNISWMVIIPSTFPCKLHASFSKERLDVLWMPIWKVMLTSWRLKELAKLHVGAFKITNLIGQQWPRWYSPLKVYLSSTCLHCQDY >ONIVA04G10420.2 pep chromosome:AWHD00000000:4:13518466:13532403:1 gene:ONIVA04G10420 transcript:ONIVA04G10420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPITVLFLLFTLHIPASCKVTDTISAGETLAGNDRLVSSNGKFALGFFPTSSKSSHNASNWYLGIWFNQVPKLTPAWVANGDEPVTGPTSPEATISGDGNLVILDQATKSIFWSTQADITANTTMVKLLDNGNLVLQNTSNSSVVLWQSFDYPTNTHLAGAKLGRNKVTGLNRRLVSRKNSVDPASGMYSYELTDNNGSARFILAALNSSIPYWSSGEWNGHYFGSIPEMTGQRLIDFTFVNNDEEVYFTYTLLDNATIMRFMLDISGQTKIFLWVEHVQDWVPTYTNPKQCDVYGICGAFTVCEESKLPICKCMKGFSVRSPNDWELDDRTGGCVRNTPLDCGINRNTSMQDRFHPMPCVGLPSNGQIIEDVTSAGGCAQVCLSNCTCTAYYYGNTGCSVWNDELINVKQLQCGDIANTDGAILYLRLAAKEVQSIKSSGRSIFIGVAVTASVASFALALFLIAKIPRNKSWLLGHRRKNFHSGSGVIAFRYADLQHATKNFSDKLGAGGFGSVFKGLLNESTVIAVKRLDGARQGEKQFRAEVGSIGIIQHINLVKLIGFCCEGDRRLLVYEHMPNLSLDTHLFHNDATVLKWSIRYQIALGVARGLAYLHDSCQDCIIHCDIKPENILLDASFVPKIADFGMAKFLGREFTQVLTTMRGTIGYLAPEWISGTVITSKVDVYSYGMVLLEIISGTRNSSKEFATRDDYEYFPLLVAHKLLDGNAGSLVDQNLHGDVDLEQVERAFRVACWCIQDNELDRPTMSEVVQYLEGLLEVGIPPVPRLLQAIAGNPYSNMQAMRFIIFFLMPLHHVILGLLLLHSQHTPASSTVTDTVSPGHALVGSARLVSNNSKFALGFFKSSSYTNHNSYLGIWFNKVPKLTPLWTANGENPVMDPTSPQLSISGDGNLAILDEATKFIIWSTHAKITTNDTTIAVLLNNGNLVLRSSSNSSIIFWQSFDYPTDTLFSGAKIGWDKATGLNRRLVSRKNLIDQAPGIYSLELGLNGDGHLLWNSTVAYWSSGDWKGHYFGQLPEMTGSFMPNFTFFHNDQEAYFIYTLSDETTMMHAGIDVYGRGLVGIWLEELQDWFIYYRQPVVNCDVYAICGPFTICNDNKDPFCDCMKGYSIRSPKDWELDDRTGGCMRNTPLSCGAGKDRTGLTDKFYPVQSIRLPHNAENLQAPTSREECSQVCLSNCSCTAYSYGNGGCSIWHDELYNVKQLSDASPNGDEGVLYIRLAAKELQNSQRKMSGKIIGVAIGASIGVLFLMILLLIVWKSKGKWFACTQEKPEDGIGITAFRYTDLQRATKNFSNKLGGGSFGSVFKGYLNDSTIAVKMLDGARQGEKQFRAEVNSIGIIQHINLVKLIGFCCEGDNRLLVYEYMPNCSLDVCLFEANDIVLDWTTRYQIAIGVARGLACLHDSCRDCIIHCDIKPENILLDVSYMPKIADFGMAKMLGREFSRAMTTMRGTIGYIAPEWISGTVVTSKVDVYSYGMVLFESYQEGGTEVMNISWMVIIPSTFPCKLHASFSKERLDVLWMPIWKVMLTSWRLKELAKLHVGAFKITNLIGQQWPRWYSPLKVYLSSTCLHCQDY >ONIVA04G10420.3 pep chromosome:AWHD00000000:4:13513725:13518589:1 gene:ONIVA04G10420 transcript:ONIVA04G10420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVKSSDHLSYSFTMALLIFILLLFSLCIPASSATTDTISAGQTLAKDDKLVSKNGRYAFGFFNTDTKASGKTNKWYLGIWFNQVPKLTPAWVANRDKPIDDPTLLELTIFRDGNLAILNRSTKVILWSTQANITTNNTIVILLNSGNLILTNPSNSSEVFWESFDYPTDTFFPGAKLGWNKITGLNRRIVSMKNLVDPATGMYCEELDPTGVNQVLLALVNSSTPYWSSGAWNGEYLSSIPEMASHNFFIPSFVNNDQEKYFTYNLAKENIISRQILDVGGQSKTFLWLEGSKDWVMVNAQPKAQCDVYAICGPFTVCTDNELPNCNCIKGFTITSLEDWALEDRTGGCSRNTPIDCISNKTITRSSDKFYSMPCVRLPPNAQNVGSVDSSSECAQVCLNNCSCTAYSFSNGGCSVWHNELLNIRKNQCTGNSNTDGETFHIRLAAQELYSQDVNKRGMVIGVLSACFALFGLLLVILLLVKWRNKTKLSGGTRKDYQFCNGIIPFGYIDLQRATNNFTEKLGGGSFGSVFKGFLSDSTVVAVKRLDHACQGEKQFRAEVSSIGIIQHINLVKLIGFCCEGGRKLLVYEHMPNRSLDHQLFQTNTTLTWNIRYEIAIGIARGLAYLHENCQDCIIHCDIKPENILLDDSFSPKIADFGMAKLLGRDFSRVLTTTRGTVGYLAPEWISGVPITTKVDVYSYGMVLLEIISGKRNSYASCPCGGNHDVYFPVLVACKLLDGDMGGLVDYRLHGGIDKKEAEKAFKVACWCIQDDEFRRPTMGGVVQILEGLVEVDMPPMPRRLQAIAGSSNSTCSLIVMRCQKRKPGEGEGGAQGRLIAP >ONIVA04G10410.1 pep chromosome:AWHD00000000:4:13506405:13508867:1 gene:ONIVA04G10410 transcript:ONIVA04G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIYVVLLFSLCISANAAMTDTISVGNALGRKDKLVSKNGRYALGFFETERVEVSQKSSKWYLGIWFNQVPKITPAWVANRDNPINDPTSLELTIFHDGNLVILNRSAKTIIWSSQANITNNNTSAMLLSSGNLILTNPSNSSEVFWQSFDYPTDTLFPGAKLGWDKVTGLNRRIISRKNSKDLAAGVYCKELDPSGVDQSLLTPLNSFTPYWSSGPWNGDYFAAVLEMASHTVFNSTFVHNDQKRYFTYTLVDERTVSRHIVDVGVCGPYTICIDNELPNCNCIKGFTITSHEDWELEDRTGGCSRNTPIDCTNNKNTTHSSDKFYSMTCVKLPQNEQNIENVKSSSECAQVCLNNCSCTAYSFSNGGCSIWHNELLNIRKSQCSDSSNTDGEALHIRLAAEELYSKKANKRVMVIGVVISASFVLLGLLPLILLLLRRRSKTKFFGDTLKDSQFCNGIIAFGYIDLQRATKNFSEKLGGGNFGSVFKGSLSDSTTIAVKRLDHACQGEKQFRSEVSSIGIIQHINLVKLIGFCCEAGKRLLVYEHMPNRSLDLQLFQSKTTITWNIRYQIAIGIARGLAYLHENCQDCIIHCDIKLENILLDASFIPKITDFGMAKLLGRDFSRVLTTVRGTAGYLAPKWISGVPITPKVDVYSYGMVLLEIISGRRNSRTSCSCGGDHDVYFPVLVARKLLDGDMGGLVDYRLDGEIDIKEAEIACKVACWCIQDNEFNRPTMGGVVQILEGLVEINMPPMPRLLEAIAAGSSNLTCSSASFFISRIPLNTYSSF >ONIVA04G10400.1 pep chromosome:AWHD00000000:4:13481351:13494763:1 gene:ONIVA04G10400 transcript:ONIVA04G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIVALIIIIVFELFLLSQLHIPSCHAATLDALSPGQELAGGDKLVSSNGRFALGFFQTDSNKSSSNSTPNIYLGIWFNTVPKFTPVWVANGENPVADLASCKLLVSSDGNLAVVATTQAKNSSMVWSSKANIPTNTTLAVLLDDGNLVLRSTSTTNASSTILWQSFDHPTDTVLQGGKIGWNNATGVNRRLVSRKNTADQAPGMYSFELLGHNGPTSMVSTFNSSNPYWSSGDWNGRYFSNIPETVGQTWLSLNFTSNEQEKYIEYAIADPTVLSRTILDVSGQLKALVWFEGSRDWQTIFTAPKSQCDVYAFCGPFTVCNDITFPSCTCMKGFSVQSPEDWELDDRTGGCVRNTPLLCNSNKTAAGTADKFYPMTSVQLPDKAQSIGAATSADECAAACLSSCSCTAYSYGEGGCSVWHDKLLNVRQQGNGVLYLRLSAKEVLESRRNNRWGVILGASIGASTAALGLIFLLMIGIRKGKRYNLTMDNVQGGMGIIAFRYVDLQHATKNFSEKLGAGSFGSVFKGSLSDSTIIAVKRLDGARQGEKQFRAEVSSIGIIQHVNLVKLIGFCCEGDRRLLVYEHMPNSSLDAHLFPSSGAVLSWTIRYQIALGVARGLAYLHSSCRDCIIHCDIKPENILLDSSFTPKVADFGMAKFLGRDFSHVVTTMRGTIGYLAPEWISGTAITSKVDVYSYGMVLLEIISGSRNSSKQSSRDGVHEACFPVQVARNLLNRNIDSLVDANLHGEVNLEQVERVCKVACWCIQDNEFDRPTMSEVLQFLEGLSEVETPPMPRLLHTLAGGEKSREFPTFSTYDSCSKIFSSSGNSSKLAPNDESYGRAAARQAYHTDEVPPSAANADLSTPPSTRSRSSIPASSATIDTISIGTALAKNDKLVSENRRYALGFFETQRKASQKTSKWYLGIWFNQVPKLTPAWVANRDKPIDDPTSVELTIFHDGNLAILNQSTKSIVWSTQANITANNTVATLLNSGNLILTNLSNSLEVFWQSFDYPTDTFFPGAKLGWDKVTGLNRQIISWKNSIDPATGSYCKELDPSGVDQYLLLPLNSSTPYWSTGAWNGDYFSSIPEMKSHTIFNSSFVDNDQEKYFRYDLLDERTVSRQILDIGGQEKMFLWLQDSKDWTLIYAQPKAPCDVYAICGPFTVCIDNELPHCNCIKGFTVTSLEDWELEDRTDGCSRNTPIDCINNKTTTHSTDMFYSMPCVRLPPNAHNVESVKSSSDRLKDSQFCNGIISFEYIDLQRATTNFMERLGGGSFGSVFRGSLSDSTTIAVKRLDHACQIPQGDKQFRAEVSSIGTIQHINLVKLIGFCCEGGRRLLVYEHMSNRSLDLQLFQSNTTISWNTRYQIAIGIARGLSYLHESCQDCIIHCDIKPENILLDDLFIPKIADFGMAKLLGRDFSRVLTTVRGTAGYLAPEWISGVPITPKVDVYSYGMVLLEIISGRRNSYTSSPCVGDHDDYFPVLVVRKLLDGDICGLVDYRLHGDINIKEAETACKVACWCIQDNEFNRPTMNEVVHILEGLVEIDIPPMPRLLEAIVAGSSNPTCTSSSFFGSIRESL >ONIVA04G10400.2 pep chromosome:AWHD00000000:4:13481351:13495608:1 gene:ONIVA04G10400 transcript:ONIVA04G10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIVALIIIIVFELFLLSQLHIPSCHAATLDALSPGQELAGGDKLVSSNGRFALGFFQTDSNKSSSNSTPNIYLGIWFNTVPKFTPVWVANGENPVADLASCKLLVSSDGNLAVVATTQAKNSSMVWSSKANIPTNTTLAVLLDDGNLVLRSTSTTNASSTILWQSFDHPTDTVLQGGKIGWNNATGVNRRLVSRKNTADQAPGMYSFELLGHNGPTSMVSTFNSSNPYWSSGDWNGRYFSNIPETVGQTWLSLNFTSNEQEKYIEYAIADPTVLSRTILDVSGQLKALVWFEGSRDWQTIFTAPKSQCDVYAFCGPFTVCNDITFPSCTCMKGFSVQSPEDWELDDRTGGCVRNTPLLCNSNKTAAGTADKFYPMTSVQLPDKAQSIGAATSADECAAACLSSCSCTAYSYGEGGCSVWHDKLLNVRQQGNGVLYLRLSAKEVLESRRNNRWGVILGASIGASTAALGLIFLLMIGIRKGKRYNLTMDNVQGGMGIIAFRYVDLQHATKNFSEKLGAGSFGSVFKGSLSDSTIIAVKRLDGARQGEKQFRAEVSSIGIIQHVNLVKLIGFCCEGDRRLLVYEHMPNSSLDAHLFPSSGAVLSWTIRYQIALGVARGLAYLHSSCRDCIIHCDIKPENILLDSSFTPKVADFGMAKFLGRDFSHVVTTMRGTIGYLAPEWISGTAITSKVDVYSYGMVLLEIISGSRNSSKQSSRDGVHEACFPVQVARNLLNRNIDSLVDANLHGEVNLEQVERVCKVACWCIQDNEFDRPTMSEVLQFLEGLSEVETPPMPRLLHTLAGGEKSREFPTFSTYDSCSKIFSSSGNSSKLAPNDESYGRAAARQAYHTDEVPPSAANADLSTPPSTRSRSSIPASSATIDTISIGTALAKNDKLVSENRRLHGDINIKEAETACKVACWCIQDNEFNRPTMNEVVHILEGLVEIDIPPMPRLLEAIVAGSSNPTCTSSSFFGSIRESL >ONIVA04G10390.1 pep chromosome:AWHD00000000:4:13476724:13479147:1 gene:ONIVA04G10390 transcript:ONIVA04G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0N5] MTILLVILGLHLCSLHLPAISAAADTLSPGQSIAGDDRLVSSNGKFALGFFNTGSKSSGNDTLSYWYLGIWFNKVPNKTHVWIANRGSPVTDATSSHLTISPDGNLAIVSRADSSIVWSSQANITSNNTVAVLLDTGNLVLQSSSNSSHILWESFDHPTDVFLPSAKIGLNKITGLNRRIFSRRDLVDQAPSVYSMEFGPKGGYQLVWNSSVEYWSSGEWNGRYFSRIPEMVVKSPHYTPFIFQIEYVNNDQEVYFTYRIHDDTIPLYTVLEVTGQRKALAWLNDTQGWQAVFTHPNDQCEVAATCGPFTICNDNTFPSCSCMEGFSIESPDSWELGDRTGGCRRNIPLDCVSSRSDIFNAVPATRLPYNAHAVESVTTAGECESICLGKCSCTAYSFGNYSGCSIWHGKLVNVKQQTDDSTSANGETLHIRLAARELQARKSNKGLVVGVVVSASLSALGILTLVLLLIMIRRHRKKLHCQALNSIYAGTGVIPFRYSDLHRATKNFSEQIGAGGFGSVFKGLLNGSTAIAVKRLVSYCQVEKQFRAEVSSIGVIHHTNLVKLIGFSCKGDERLLVYEYMSNGSLDTHLFRSNNSVTLNWSTRYQIALGVARGLAYLHESCRDCIIHCDIKPQNILLDDSFVPKIADFGMAKLLGRDFSRVMTTARGTIGYLAPEWFSGVAVTPKVDVYAYGMVLLEIISGKMNSHRESNSYADHIVCFPLEVAHKLLEGDVLSLVDGKLNGDVNVEEAERACKLACWCIQENELDRPTMGKVVQILEGLLELDLPPMPRLLQSIVQSSWKTETQH >ONIVA04G10370.1 pep chromosome:AWHD00000000:4:13474369:13474779:1 gene:ONIVA04G10370 transcript:ONIVA04G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW50] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONIVA04G10360.1 pep chromosome:AWHD00000000:4:13467599:13470869:1 gene:ONIVA04G10360 transcript:ONIVA04G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRILLHTPFSGQPSGPSQPVSGATIVEGGSPGSNFDANIVMILAVLLCALICALGLNSIVRCALRCSSRMVVDPEPSRVTRLAQSGLRRKALRSMPILLYSTGLKLNTVSPMCAICLSDFEDGEHVRVLPKCNHGFHVRCIDRWLLARSTCPTCRQSLFGAPQKASGCSESEGSQAEPAPARPVLAPLRPEGLGKNRSEDFRSNHMAVLHHQSTIMLVGNQALVAKVQVSGTSIFSCNYREIRALLSECIVVIYVPELTGGSTADYALCTLAILCCLLQKLERPHQEDTLRVFEKDVSTAVAPYKKGGPMVDRVHLNAFFFWNDIIRIRLLLRTVCSV >ONIVA04G10350.1 pep chromosome:AWHD00000000:4:13466750:13467088:-1 gene:ONIVA04G10350 transcript:ONIVA04G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRELAAVGRLPRCRPAPSPFLELLPFSVGSFYVGLRRQPVSEGSSDRRRDAVQFRVLLRPKLARRSAGGRTEEVWASSQGGDSSMQQVWWSAGGGAPARCGGGLVLICL >ONIVA04G10340.1 pep chromosome:AWHD00000000:4:13462991:13463287:1 gene:ONIVA04G10340 transcript:ONIVA04G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEPARVVLLAAQEPEDEGEVVGECEAAMAGVLARLSTCPSRPLTSHPLSSSLCCRILLRKCWWVFQEISTGTFFADGDGIGKTFFTIQNVFLLALA >ONIVA04G10330.1 pep chromosome:AWHD00000000:4:13451810:13453598:-1 gene:ONIVA04G10330 transcript:ONIVA04G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAERPSSYAVNFPPLLPAPAPAVAGAMGVANHKSVLCMKWREGRCHNGVACRYAHGEEDQRIVPEMRVGGGGTSMHARSSPPRDGASSGSTASIAMAACRIEEQRHGRGGESFILPRSRRKRQYTEAWAARGGAARGWWGEELWRAAGEGQRAGSGKRSDARAAPPATAHEEVPGRRRASAFIMSGFKSSQAEVTESTPRRLLTPPSNRHADWRRLLDAAVARVLDLFRLGYLFSPRWIHLWHRLPLYLHDRQFTTPSIPAGNVAQAITNVLELHVGNGV >ONIVA04G10320.1 pep chromosome:AWHD00000000:4:13438509:13440267:-1 gene:ONIVA04G10320 transcript:ONIVA04G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPLPNSPNEETAAGASCGRAAAVLLLLCREAAAVDTCAPEEVGNAAGNGTAAAAAGGAQAAAVARREQGAAGDEAAARREPGVVARGEQGAAAASGEAAASGEPVAAPVELPRGGGGGGGGGGRGACAPSRCRTTAVQPAATTTTTRGGDASRAQVLVAPSMAPRRHREPEPPHPVLPPAGRRSEAGRRWRWRCSETARAPPQERRRGGRCTCGGLP >ONIVA04G10310.1 pep chromosome:AWHD00000000:4:13426647:13427573:1 gene:ONIVA04G10310 transcript:ONIVA04G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTSLGVVPLLGGVVLTLTSPSTKNPLLAMGHWWIPAMSPKLYKPRSELLRCRGATKLGNDDTMQSLYWIIDASWQCTLDTALYLDAISSLSWNISNVPKLQQSLIEQMLSHNNEIPGLISGGFMKA >ONIVA04G10300.1 pep chromosome:AWHD00000000:4:13426310:13426546:1 gene:ONIVA04G10300 transcript:ONIVA04G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIEEVEAACQGKAAPEVCKVAAGDTRCYGRSAAMAGSVRLWAAMLREEEAGAVALGQPNSSLLTARAVGWWAELGC >ONIVA04G10290.1 pep chromosome:AWHD00000000:4:13425918:13426232:1 gene:ONIVA04G10290 transcript:ONIVA04G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISINPSRPRRHILIPSSTSSLSELAGGEPGRRWQGPNSSAEPPLHKEDRARPTMAWPEAETTGQRATDLAPLWPDLAPSPADLASAVGDAAASGTREDGEAA >ONIVA04G10280.1 pep chromosome:AWHD00000000:4:13422697:13423050:1 gene:ONIVA04G10280 transcript:ONIVA04G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKVALLVVLVAMSVVLLETQAKTKPKAEEKPPKTKEHRLPSHPDKPPPYRNSHTKTNTPRTPLYAPPPPLPHTSPTPEPTPPTYSPITKTTMRADRWRHRSEGEACVRVWGGVPC >ONIVA04G10270.1 pep chromosome:AWHD00000000:4:13414666:13416015:1 gene:ONIVA04G10270 transcript:ONIVA04G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0M4] MGRKESSTTTTTTAAAATRSMRLPPQHQALEVKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRSLISHWSSSSSPATSGDASVTSSPAGLVRQVASPDADPSAALRQLAAYLSDDDVDEFEKNALVGAGGAAEAVASVLRRKGEREVGVEGCEAAVRVLAAVVAMDGVEDANKRRVAAGLAADAAASAASLARVMRGASGLEARVDAARLVEFLLANAADEAREAVAESAELVAELVRLVGPADEKGSLDASAVGAGLSCLATISRSRRAARADMVRAGAVRAAARALRATAADPAASARALRVLESAVGSAEGRAALCEDAEEAVPAVVGRMMKSGRDGAEAAVAVLWAVCHKYRDRRAADAAAASEGGLTRLLLLLQSGCSPAARQMALELLKIYKVNAKSCLAGYDSKTTHIMPF >ONIVA04G10260.1 pep chromosome:AWHD00000000:4:13404955:13405425:-1 gene:ONIVA04G10260 transcript:ONIVA04G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPAVVRQRPAGEGESAAAGDGGGRCPPAAARPSLFSQALAPTASLANLLPTGTLLAPTFTNNGSCDVTAWLLTAALLALLALSCVLVSFTNSLNGLDGRVYYDLATPRGLWLLDYPPLGASALPPLDMSRYSLRAIDGGPLRLPPHCRH >ONIVA04G10250.1 pep chromosome:AWHD00000000:4:13383778:13387453:1 gene:ONIVA04G10250 transcript:ONIVA04G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:succinate dehydrogenase 5 [Source:Projected from Arabidopsis thaliana (AT1G47420) TAIR;Acc:AT1G47420] MAGPHKRTKHKKHHDKTPRFHPPHHETSPFVSASVASISPRSLSPAMAAALRSSCAAARRLLRISPAALSTLTAASSRPAAVAPLARPIAAAAVSGGNNAFSWNLRRLFSSNEKHLPAISDPEVESAFKDLMAASWTGLPDSLVIEAKKAASKATDDKAGKEALLNVFRAAEACEEFGGVLVTLRMALDDLCGITGENVGPLPGYIEDAVKSAYKRYMKYLESFGPEENYLRKKVENELGTKMIHLKMRCSGVGSEWGKITLIGTSGISGSYVELRA >ONIVA04G10240.1 pep chromosome:AWHD00000000:4:13382011:13383414:1 gene:ONIVA04G10240 transcript:ONIVA04G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILAYEYVQQHVVLAAVSFHFTCAYITIPLKPRNPLRANSNPRQSHPPMKKQAAAARCNALFVDRFEKIMMYPLVASLEYIFCFGGWTASCVVFFHLIVSFYGTEMTENLCSCDGMTAEEAAAMRGVEACMLLSCAAQMAAAAAAMALTTATATWGRRPRRARAVRRASASVALAVAGLTLWLWCVYLRFLPGLRCFRCFGVLRRVAVAAVALGFATPVFAFVALGSHAVVRGDEAEWDE >ONIVA04G10230.1 pep chromosome:AWHD00000000:4:13370626:13373549:-1 gene:ONIVA04G10230 transcript:ONIVA04G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSESDRDDIFFDAFDDVTSTREPSLSDDCSTSDEGLASRRFEYDIWGNEPMSVEERRQRFLKGMGFDEFLATRVDFSQPQGEITTVGPFADLGLEESTTSDISSVNSSVPENESVSDASCCIGDIDSGERYTVQNDGYGELTSMLKDVASHKVVSLLEFDGVPGLSQSVQKLLRKVYSSSMEEKKNVFNKKKGVKSLWKSFMKNRSFGGICKHDINVKNCTIGIPSRTKVQHRKKKTMEFSAVHLGQEIQAHKGLIKVMKFSPSGWYLATGGEDCIVRIWQIMEVEASSKLHGGDNPQNYDDKITIIKTELGRGKNHALAVVPKKGFRISETPLHEFQGHTDDILDMAWSESDYLLTSSKDKMVRLWKVGCDGCLGLFKHKDYVTCVQFNPIDERYFISGSIDGKVRVWDALDKRVVDWADTRKIITALSYQPDGKGFIVGTTSGECRFYDQSGENIQLDKELFMQGKKSAVHRVNSLQSRSSDSSRITITSTGSKIRVADGVDIIQKFEGPWNLKALSSPSLTSDGRYLISAGLDSNVYIWNFDIPSVADHKGEAKSVRSCEKFFSKDVTTAVPWPGLHQERQQVKNSSSLTEESVSSPILHRHGERRSPAARCFADGMKGTPTWPEEKLPPAKAADAPRLSDCLSTISPAWNTVIVTASRDGVIRSFHNYGLPVRL >ONIVA04G10220.1 pep chromosome:AWHD00000000:4:13364893:13365316:1 gene:ONIVA04G10220 transcript:ONIVA04G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDMAWSVEDSTAGDKLWRETWIVGPPATTLWCLAPDTLYQLIPRKYRLIKVKYQDMIPQR >ONIVA04G10210.1 pep chromosome:AWHD00000000:4:13364474:13364839:-1 gene:ONIVA04G10210 transcript:ONIVA04G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIHASRRSSSPTAASSPVRATSELIADGRVLRHPRLTPELVAAGGILHPTPELVAVATSSIIHTPCRSSLPTAASSPVHATLELVAGVRVLLAPRLSTPATTASPAVATTHVHKLRR >ONIVA04G10200.1 pep chromosome:AWHD00000000:4:13361426:13362352:1 gene:ONIVA04G10200 transcript:ONIVA04G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSSTSPSPSPSSGQHQQQPTTPRRQLQGPRPPRLNVRMESHAIKKPSSGAAAAAAAAQAHQLPAQAQARREQQQPPPRAPVIIYDASPKIIHAKPNEFMALVQRLTGPGSGPPAPPHQGEAQAQDYPMMDEAAAQQFFPPELLLSPSAAMSPAARLATIERSVRPMPEPAPEYVDITNGGGGGGVDDGGLAAILGSIRPGILSPLPSSLPPAAVPGQFSPLPFDASCISWLNELSPILRAASAGAASSGSGGGGSGGNTSNGGGARPPPSYYADPFVPSPRHLLATPTVPSPATCAELFSNLPDL >ONIVA04G10190.1 pep chromosome:AWHD00000000:4:13355511:13355879:-1 gene:ONIVA04G10190 transcript:ONIVA04G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAHQQKIVLKHIKANHAQAFKLYTYTAIPGGPGNDGKLNVAPLLPSDGSRWMWRFLPTGAIVLLRQGTWRGVRVRWLGLASNGVAAWLRWQRRGGVVTAAAVGGGRGGEQLCESRDTGTG >ONIVA04G10180.1 pep chromosome:AWHD00000000:4:13348530:13349879:-1 gene:ONIVA04G10180 transcript:ONIVA04G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0L5] MGEESSPAAAPAVEVPSYFVCPISLEIMRDPVTLSTGITYDRESIERWVFTGGHGECPVTKQRLAPADREPTPNHTLRRLIQGWCAVHAVERFPTPRPPVDAARVAAIVDAARPLLRRRRQREELMASLRELADIVAESDRNRRCVQGASGAVEFLLSVVKERASVAGVDDATSAKPEETTCGGVHDPAKASSPEEAALSILHSLKLSEESFKRVLEGSGGEDFLETMACMLRRPSYLSRMQGIHLLKSALPAMAPARLTSASAALVDGVVGVVADRPSAKAVKVALHVLCRLCPWGRNRVKAVDAGAVSALVRLLLDEGCGGGGGDRRACELAAVAIDHICGCAEGRLALVAHPAGLAAVGAATRLPAAAGAESAVRALHAVARHSATSAVLQEMLAVGVVARLLFLVQVGASGERTRARAREMLKMHARVWRDSPCLASHLNASYPR >ONIVA04G10170.1 pep chromosome:AWHD00000000:4:13332226:13335157:-1 gene:ONIVA04G10170 transcript:ONIVA04G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAVETTPAAAPAENGGEVVVVVGGGWVDGCWARVRAAVEVAGRWVGGLARKVGGIAADDPRRVAHSLKVGLALTLVSVLYYVTPLFKGFGVSTLWAVLTVVVVMEYTVGGTLSKGLNRAFATLVAGFIAVGAHQVANRCGAQGEPILLAVFVFLLASAATFSRFIPEIKARYDYGVTIFILTFSLVAVSSYRVEELIQLAHQRFSTIVVGVATCLCTTIFVMPVWAGEDLHKLAAGNLDKLADFLEGMETECFGESATSESLEGKAFLQAYKSILNSKATEDSLCNFARWEPGHGKFSFKHPWSQYQKIGALSRQCASSMEAMASYVITLTKSQYPEANPELSFKVRTACSEMSSHSAQALRELSAAIRTMTVPSTTSMSAAIKAAKTLRSELSEDKALLQVMHVAVTASLLSDLVTQVKKIAESVDNLARLACFKVPEKSQKEVAINIMS >ONIVA04G10160.1 pep chromosome:AWHD00000000:4:13326326:13330486:1 gene:ONIVA04G10160 transcript:ONIVA04G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERPPPISGGGAFAFISKGWREVRDSASADLRQMRARADRELEHLLASASALAGRPLPPVAAGAPIAEVEFVRKRIQPKIMELRRQYSSTVRDAGWAPKAAGASLRVDLSGITAIRNAIVAEGGGGGGGGGRWGLVRWKGHADDEGRKEWEVVRMIRSGLKEFERRSLSSEVFGGFRGRGEFVEKFKLSLKSLNKESQESKEVLPLDLTEILAYLVRQSGPFLDQLGIRRDLCDKIVETLYSKHNGRLIYHSLSADRSLIGNENMTDELDLRIARVLESTGHHTEESFWKDHAKYKLSDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSTKQKVTLVVPWLCKSDQELVYPNNITFSSPEEQENYIRNWLQERLGFEANFKISFYPGKFSKERRSIIPAGDTSQFISSSEADIAILEEPEHLNWYHHGKRWTDKFKHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQDLPRSVVCNVHGVNPKFLKVGEKIAADKEHGQQSFTKGAYFLGKMVWAKGYRELIDLLSKHKSDLEGFNVDVYGNGEDSQAVQMAARKLNLSLNFFKGRDHADSSLHGYKVFINPSVSDVLCTATAEALAMGKFVICADHPSNEFFKSFPNCLTYKTSEEFVARVKEAMASEPSPLTPEQRYSLSWEAATERFMEYSELDKVLNNKIGYSGQDGKRSKVRKIPLLPRLSEVVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPQVQHPVYGW >ONIVA04G10150.1 pep chromosome:AWHD00000000:4:13318835:13319584:-1 gene:ONIVA04G10150 transcript:ONIVA04G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKHHRDWILRRCCGSIAACILTLAVLVGFIVLVIYLAIHPSKPSFYLQDVQLRNIDLSDPAISLNLQVTIASRNPNDRVGVYYKTLHVFTTYREEPITVPVELPAIYQGHKDVSVWSPVMSGESVPVGQYVADAMRQDIAAGYVLLHVKVDGRVKWKVGSWVSGGYHLFVTCPALLAASGGNVGGAFAMSATAGGGAGGNATVSLKFAQAADCTVDV >ONIVA04G10140.1 pep chromosome:AWHD00000000:4:13307056:13310547:1 gene:ONIVA04G10140 transcript:ONIVA04G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTAEAAAVVKQAVTLARRRGNAQVTPLHVASAMLAPPGGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAAVASSPLLGGHGHGHHGHYYPPSLSNALVAAFKRAQAHQRRGSVETQQQPVLAVKIELEQLVVSILDDPSVSRVMREAGFSSTQVKANVEQACSTTTATSAPPNQNPNPSCTGAAATATATTSPAHPPEIKAKLPLLDMLARDEDIAAVLDCLAPAAAGGRGGGGSRRRVVVVAESTAAAEATARAAVDRVRRGEAKQHDALRGAQVVNLRVSSFRDMPREEAERRLAELRCLVKSRGARVLLVVEDLKWAADFWAAAHAGARRVGSGGDGYYCSVEHVVTEVRALASCDGGIWLVGFGTYQTYMKCRAGHPSLESMWGLQTLAVPAGSLALSLTCAFDDSALGAVNQSMKASPHTTDGNRPAPSCWPLLGGSHLLSRCCGGDCSAATTTHEHDTKASLPRSFVSSSSLPSWLQHCRDQQLQESTHFADLGKTWGSICGKPSQRMTLHFSAPVSPASSISSYEHGHGHQQQQHQPHHSWLLADLDAKHPWKPKREDDDDEKAKSHDDCSGASNGSVEVECRSRFKELNAENLKLLCAALEKEVPWQKEIVPEVASAVLQCRSGIAKRRDRSRSTEAKEETWLFFLGGDAHGKERVARELAGLVFGSRKSFLSVKLGASSSSPSASGSTEDHHRSKRPRTTTSSASEAYLERLYDAVSENPHRVILIEDVEQGDHRWQVGVKEAIDRGVLRSQAGDEVGVGDAIIILSCESFEARSRAGSPLMNKKMKVEKEEANTSDHDHKLEIESGVPSSCFDLNLDMESDQAADELSSGDVCLLTAVDRVLLFRRQDEM >ONIVA04G10130.1 pep chromosome:AWHD00000000:4:13299313:13304717:1 gene:ONIVA04G10130 transcript:ONIVA04G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDQGFIKSKCMFVSSAEITAFSDRYEEFEKINTEVLGVSIDSVGIALRGLFIIDKEGVIQHSTMNNLAIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGDKSMKPDPKDSKEYFASI >ONIVA04G10120.1 pep chromosome:AWHD00000000:4:13292640:13297068:1 gene:ONIVA04G10120 transcript:ONIVA04G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRDTPLYRSPAAPKDYLHPQPPVVALSYLRSTSFTFGWIDLLESTQDTKVTPDIKNYTDIIGQFLLQTRISKTYIQPLMGGRKTMDIQVEAADRISVTLTLHVSTHGRCNLKKRMGNFCSEQWHTSSVRLHLSLPQGTSTPNDNRCLLNAMYIAYAIVKSPRANGALPL >ONIVA04G10120.2 pep chromosome:AWHD00000000:4:13292640:13297068:1 gene:ONIVA04G10120 transcript:ONIVA04G10120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRDTPLYRSPAAPKDYLHPQPPVVALSYLRSTSFTFGWIDLLESTQDTKVTPDIKNYTDIIGQFLLQTRISKTYIQPLMGGRKTMDIQVEAADRISVTLTLHVSTHGRCNLKKRMGNFCSEQWHTSSVRLHLSLPQGTSTPNDNRCLLNAMPRANGALPL >ONIVA04G10110.1 pep chromosome:AWHD00000000:4:13282999:13289174:-1 gene:ONIVA04G10110 transcript:ONIVA04G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGRPPAAQHIVRSVRQRFVPLPPPLARAPFAAAPGDYHRFAAASRGGEIDEGIVIRRTPLKRKTPCGESEAAESSERSPGNPSTPASSRYDNSLGLLTRKFINLLKQAQDGILDLNDAAKILDVRKRRIYDITNVLEGTGLIEKKLKNRIRWRGSDDSGTNLDSDISCLKTEVENLYIQEQALDRSISEIREKMEELTEDESNHRWLFVTEDDINGLPCFQNEALIAIKGPRGTTVEVPDPDEAGDYLQRRYRILLRSTMGPIDIYLVSQYKKMEELGETATPPRHASVVEPPSIATEAGHSSKQTMPLNVQQDIQETPELNASRAFGRMKKITPSDVDTDADYWLLTDDDISITHMWTTASEMQWDQIDTNDFLAEEISDTPCALNQPSAAASEPTGSVKEMSESVIEVARYAPPYLARSSFACSVLDFLRPNSRPYFSVISSAVWASPSLVSCTAESRHRGGREEARSRGCLRCCSNRCWCLAVGAAGLARRRAPAAMEGPRARMVYEGSERARGRRRGGGEVNGDAEAGGESGDREIEPPGLLVVVVFTGDILVMDG >ONIVA04G10110.2 pep chromosome:AWHD00000000:4:13284029:13289174:-1 gene:ONIVA04G10110 transcript:ONIVA04G10110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGRPPAAQHIVRSVRQRFVPLPPPLARAPFAAAPGDYHRFAAASRGGEIDEGIVIRRTPLKRKTPCGESEAAESSERSPGNPSTPASSRYDNSLGLLTRKFINLLKQAQDGILDLNDAAKILDVRKRRIYDITNVLEGTGLIEKKLKNRIRWRGSDDSGTNLDSDISCLKTEVENLYIQEQALDRSISEIREKMEELTEDESNHRWLFVTEDDINGLPCFQNEALIAIKGPRGTTVEVPDPDEAGDYLQRRYRILLRSTMGPIDIYLVSQYKKMEELGETATPPRHASVVEPPSIATEAGHSSKQTMPLNVQQDIQETPELNASRAFGRMKKITPSDVDTDADYWLLTDDDISITHMWTTASEMQWDQIDTNDFLAEEISDTPCALNQPSAAASEPTGQQIYWVALYLNI >ONIVA04G10110.3 pep chromosome:AWHD00000000:4:13284644:13289174:-1 gene:ONIVA04G10110 transcript:ONIVA04G10110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGRPPAAQHIVRSVRQRFVPLPPPLARAPFAAAPGDYHRFAAASRGGEIDEGIVIRRTPLKRKTPCGESEAAESSERSPGNPSTPASSRYDNSLGLLTRKFINLLKQAQDGILDLNDAAKILDVRKRRIYDITNVLEGTGLIEKKLKNRIRWRGSDDSGTNLDSDISCLKTEVENLYIQEQALDRSISEIREKMEELTEDESNHRWLFVTEDDINGLPCFQNEALIAIKGPRGTTVEVPDPDEAGDYLQRRYRILLRSTMGPIDIYLVSQYKKMEELGETATPPRHASVVEPPSIATEAGHSSKQTMPLNVQQDIQETPELNASRAFGRMKKITPSDVDTDADYWLLTDDDISITHMWTTASEMQWDQIDTNDFLAEEISDTPCALNQPSAAASEPTGVGFNHG >ONIVA04G10110.4 pep chromosome:AWHD00000000:4:13284644:13289174:-1 gene:ONIVA04G10110 transcript:ONIVA04G10110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGRPPAAQHIVRSVRQRFVPLPPPLARAPFAAAPGDYHRFAAASRGGEIDEGIVIRRTPLKRKTPCGESEAAESSERSPGNPSTPASSRYDNSLGLLTRKFINLLKQAQDGILDLNDAAKILDVRKRRIYDITNVLEGTGLIEKKLKNRIRWRGSDDSGTNLDSDISCLKTEVENLYIQEQALDRSISEIREKMEELTEDESNHRWLFVTEDDINGLPCFQNEALIAIKGPRGTTVEVPDPDEAGDYLQRRYRILLRSTMGPIDIYLLLPQKKLSDEHPYYIISQYKKMEELGETATPPRHASVVEPPSIATEAGHSSKQTMPLNVQQDIQETPELNASRAFGRMKKITPSDVDTDADYWLLTDDDISITHMWTTASEMQWDQIDTNDFLAEEISDTPCALNQPSAAASEPTGVGFNHG >ONIVA04G10100.1 pep chromosome:AWHD00000000:4:13280944:13287001:1 gene:ONIVA04G10100 transcript:ONIVA04G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1279) [Source:Projected from Arabidopsis thaliana (AT2G27290) TAIR;Acc:AT2G27290] MAAGALLLANPAAPTARHQHRLLQQRRQPRLLASSRPPRWRLSAVQETKEGEAQTAEEITEKYGLEFGLWKVFSSKDGEEEEGKTRKSRTEQAKELLAKYGGAYLATSITLSLISFTLCYLLVSAGVDVQDLLGKVGIATGETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVASWIGRIKKGGD >ONIVA04G10100.2 pep chromosome:AWHD00000000:4:13280944:13287001:1 gene:ONIVA04G10100 transcript:ONIVA04G10100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1279) [Source:Projected from Arabidopsis thaliana (AT2G27290) TAIR;Acc:AT2G27290] MAAGALLLANPAAPTARHQHRLLQQRRQPRLLASSRPPRWRLSAVQETKEGEAQTAEEITEKYGLEFGLWKVFSSKDGEEEEGKTRKSRTEQAKELLAKYGGAYLATSITLSLISFTLCYLLVSAGVDVQDLLGKVGIATGETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVASWIGRIKKGGD >ONIVA04G10100.3 pep chromosome:AWHD00000000:4:13280944:13281904:1 gene:ONIVA04G10100 transcript:ONIVA04G10100.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1279) [Source:Projected from Arabidopsis thaliana (AT2G27290) TAIR;Acc:AT2G27290] MRSSPIIFLLLAAAAAIAAPWQVAHAAGKCGKTPAEKVALKLAPCAKAAQDPGARPPAACCAAVRDIGTHQSHACLCAVLLSSTVRRSGVKPEVAITIPKRCKLANRPVGYKCGERLQL >ONIVA04G10090.1 pep chromosome:AWHD00000000:4:13277856:13279015:1 gene:ONIVA04G10090 transcript:ONIVA04G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIRGVVVVVLALVVVAAAAADGAGECGATPPDKMALKLAPCASAAKDPKSTPSSGCCTAVHTIGKQSPKCLCAVMLSSTTRNAGIKPEVAITIPKRCNIADRPVGYKCGDYTLP >ONIVA04G10080.1 pep chromosome:AWHD00000000:4:13274992:13275717:-1 gene:ONIVA04G10080 transcript:ONIVA04G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESLPSSWTAGEPISRAWTVGEPLPMRGQPASAFPMRQRPESTSPTRGRPVSHSPARGRPASSSPRISHYLTHHPRATWEALSTAFPTADQVDVVLLSLAKHRHSSSSPELVARNALTFFYWAASLSPSSSIPHSLRAYYLLVHLLSRAALVRRRSPPPLHTVVFPRRERPGRRERQGREGQEEGKKREDDSAT >ONIVA04G10070.1 pep chromosome:AWHD00000000:4:13267754:13269738:1 gene:ONIVA04G10070 transcript:ONIVA04G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARPFEAMSNPYQLLEDREMECRGSRGCGSSMNIKSKSKVGIDQGKAIHNCPEVPWKKERKLSKNEDLAHKFFKSTKEGHFASSCPCKIDDEATLPRKTSRINKRKCYGCNDKGHEIGFCPHKKDDHCNQSSKRQTGNKQVKKQDKSKTQLCYNCRVKRHIGKNCPIGNIPKPNLSFHHNLLRKAKNGTCATRVISSLHASTKAIWVPKSLVTNFHGPNIIW >ONIVA04G10060.1 pep chromosome:AWHD00000000:4:13265968:13266522:1 gene:ONIVA04G10060 transcript:ONIVA04G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMGDDGMGPMAMAPPRSGHATAAAPPPPQHKMAMMMHMTFFWSDRAVVLFRGWPGERGAGMYALCLLFVLALAALTEGLSVLSRRLARRGAAAASSDGGRPAPAPASSAALLTAVHAARMGMAYLVMLAVMSFNVGVLLAAVAGHALGFLLARSRVRPAARDGGGGVACEHGGLPPADGSKT >ONIVA04G10050.1 pep chromosome:AWHD00000000:4:13262669:13263193:-1 gene:ONIVA04G10050 transcript:ONIVA04G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASYSQPLLSHHSTSAAATSDSNGSDNFSSSGAAPAGAEARLPPISRRLHSYDDLVHAAAAAAHDAYFKRCHTTPGYVSFEDVIGSQEFEESSRRPPEAGISDPLLRATSRLYARPHPALHRRRSPGPLGTRRGGAVYRFVKRYVCPCLGFVAGIIGVKQVDQVEEEYPALTY >ONIVA04G10040.1 pep chromosome:AWHD00000000:4:13257983:13260545:1 gene:ONIVA04G10040 transcript:ONIVA04G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0J5] MSVEFLTKALTALFGYAMPALECFKAIEQRPGRTDHLRIILVILVIFDDIAGVLTSKIPMYSELRLAFLVYLWYPQTRGTDIVYDTFLRPLVMQYQPNIEERLRYLRANAGDILIFYLKNFTDRGYDLFLRGMEYIRSQTSRGSRTRRWFSFGGDRAERSSYVDDYVAGGGDRRSTARHRRPRDDY >ONIVA04G10030.1 pep chromosome:AWHD00000000:4:13251105:13252505:1 gene:ONIVA04G10030 transcript:ONIVA04G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLNPPHLHFGKLRKRARAVAAGGGRQPAAAMAKKGLVGILYKLRDVHHRAPPKPTSPSSSSSPHCHGRHQLCYPPAPSSWPWPSCRHPRTSSFRWPTAPQQGQADDDAAAAAGSVYRTVNTVYDTSSLEHFNPRRSSLDEASSCIADRSFFAVESEVEVEEEKEKEKELQLRETAVVRGVRSERLFFEPAGAEFLPKQEMARGKNDDEATAMDVVARKNDDVDEATPMTTPQTGKNEAEAAEAAALKGGAVVLTVESEDPYGDFRSSMADMVAAHGLRDWEGLEELLAWYLKLNAKGVHGVIVGAFIDMLVSLASSPIPSQSPSSSCITFEDYSSATMEEES >ONIVA04G10020.1 pep chromosome:AWHD00000000:4:13240344:13241820:1 gene:ONIVA04G10020 transcript:ONIVA04G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLTPSNSTRSELLPFHRLQSPSSARLTDPTQQQVGYLSIGMSRSNKKSSRGIDLKLNLSLPARGDSSSRRAMAADEESSPSSCLSSENEHGLQWSNSPEATSMVLAACPRCFIYVMLPQDDPRCPQCKSPVILDFLQQDNGNNNANSNSSRKTRRG >ONIVA04G10010.1 pep chromosome:AWHD00000000:4:13231116:13235928:1 gene:ONIVA04G10010 transcript:ONIVA04G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37570) TAIR;Acc:AT5G37570] MTTAALRPSPPVATLLGRCRTARCLAQLHARIVRLGLHNHHALLARFAAACDTLSCPSVVASLLSVAVPVRLRNAVLASLARHAPLRDALAQFNLLRGGASRPDAFSFPPLLCACARASSLPTGASLHAAAIRLGVDADLFVRTALIQFYGRCGAAAAARALFDSLTNPSEVSWTAIVTAYVNSGDILTARELFDQIPHRNVVHWNAMVDGYVKCGDLEGARKLFDEMPERTPAAYTSLIGGYLNAGNMGAARTLFDKLEDRDLFAWSTMISGCAQNGYPGEALRIFNEFQKQEICPDELVIVGLMSACSQLGNITLARWIEGYIMIYPIDMNNVHVMAGLINMNAKCGNMERATLLFESMSVRDVFSYCSMMQGHCLHGSASKAVELFSQMLLEGITPDNAAFTVVLTACSHAGLVEEGKRYFDMMKNEYMIVPSGDHYACLVSLLGRFGMLRDAYELIKSMPGEPHPGAWGALLGGCKFHCDIELGKIAAKKLFEIEPENAGNYVSLSNIYANIDRWGNVSETRAEMTGRGITKIAGCTLVLQGFRDTGLIPSTSVAESHPLFQLLMRRLPLLAIV >ONIVA04G10000.1 pep chromosome:AWHD00000000:4:13227645:13230012:1 gene:ONIVA04G10000 transcript:ONIVA04G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit O [Source:Projected from Arabidopsis thaliana (AT1G08380) TAIR;Acc:AT1G08380] MAASTVSGLAGATLARRPAFSTGFTTGARVSARNPLMTRNLERNGRITCMTFPRDWLRRDLNVIGFGLIGWIAPSSVPAINGNSLTGLFFSSIGQELSHFPSPPALDSPFWLWLVTWHLGLFLALTFGQIGFKGRTEGYFDK >ONIVA04G09990.1 pep chromosome:AWHD00000000:4:13217742:13218650:-1 gene:ONIVA04G09990 transcript:ONIVA04G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTTIEDLHADVLARALRRLDGRSLAAASCATAGLRALAADPETWRALCLAEWPSMAGHPRLLSVVPPRRLFADAFPFPRPDAGELGGGGGGPLPSELVSAVDVYYRGAPLLSRVVETPASSPWFLGSPFRVEAVECKKPAAEAALSPAELELSWVVVDPARGRAVNVSSRRAVAVDRHWYTGETLVRFAVVLGGCKFETTVTCSEGAGNISEVSLAVQDADGAAASGERSLRLLAAAMEEQRIGGGRERDEAKRRYDEFVKSRKGRKESKARREALIDLCCSAASAMAVLSFVAAVVLR >ONIVA04G09980.1 pep chromosome:AWHD00000000:4:13215853:13216824:1 gene:ONIVA04G09980 transcript:ONIVA04G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALREASRRLVSRRESPAAYARPFLLTHSRGITYRLFIGGLSQFATEDSLAEAFSQYGQVLEATIVTDKMTNRPKGFGFVLNGRVIYVDIAKAKMNRTTDSSPRATGPPKPPDRC >ONIVA04G09970.1 pep chromosome:AWHD00000000:4:13156000:13157198:-1 gene:ONIVA04G09970 transcript:ONIVA04G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESSVHEWGRRAATPRRPAWPSRAVGEAGADLQHRRPRGGERLVLAHGLRLRSDLTLAPRAARLDLPRPFRPRRLPQPLVVAGQDKTSGSDSPPSCAPTRTSWASASSSPHRTWRPSRSSNGSGGGHEPSKEQRHRQDFVTTRRHGGRGVLQYLQRWVNPWIPVSYMGY >ONIVA04G09960.1 pep chromosome:AWHD00000000:4:13148199:13153413:1 gene:ONIVA04G09960 transcript:ONIVA04G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT2G27350) TAIR;Acc:AT2G27350] MTRIFVQRGAAGSSSSSSRSGSQTLQQQQQLQATSAVAREEELPPQPHQHPSELSASDNITDHLVEDADNSSNSNKPLGLDDPTSESSSSAEERAVMEKPPKDDSNVIDPAFLVEELTGLQFSDQFEQENLVQSGIGPSQIAGAASHPPPPPAPPAPPPKPSSGNNGLRRMGSGSSNNARIGSSRRPVAWPPVAVRSSASGSRPSSPRSLADSEGYNSADEQGPCYASNYYDSERERMFEHDLRRVRGFEINKMAEDGNCLFRAVADQVYGDPEAYDMARQMCVDYMERERDHFSQFMTEGFTSYCRRKRRDKVYGNNMEIQAFAEMYNRPIHIYSYSTEPINIFQGSYNTDVPPIRLSYHHGNHYNSVVDPRGLTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRLYSDLELTEKEIERMVMEASRAEYLKQQQQQLNFRESSTSGAEPSSSAAISGSSRSAGTADRVGEECFVLPDTVLTRSMQLLLAMGFNYIQVMEAYSIFGEDVDSMIYYLVEMGGTGASAGGSNRRKGKAAE >ONIVA04G09960.2 pep chromosome:AWHD00000000:4:13148193:13153413:1 gene:ONIVA04G09960 transcript:ONIVA04G09960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT2G27350) TAIR;Acc:AT2G27350] MTRIFVQRGAAGSSSSSSRSGSQTLQQQQQLQATSAVAREEELPPQPHQHPSELSASDNITDHLVEDADNSSNSNKPLGLDDPTSESSSSAEERAVMEKPPKDDSNVIDPAFLVEELTGLQFSDQFEQENLVQSGIGPSQIAGAASHPPPPPAPPAPPPKPSSGNNGLRRMGSGSSNNARIGSSRRPVAWPPVAVRSSASGSRPSSPRSLADSEGYNSADEQGPCYASNYYDSERERMFEHDLRRVRGFEINKMAEDGNCLFRAVADQVYGDPEAYDMARQMCVDYMERERDHFSQFMTEGFTSYCRRKRRDKVYGNNMEIQAFAEMYNRPIHIYSYSTEPINIFQGSYNTDVPPIRLSYHHGNHYNSVVDPRGLTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRLYSDLELTEKEIERMVMEASRAEYLKQQQQQLNFRESSTSGAEPSSSAAISGSSRSAGTADRVGEECFVLPDTVLTRSMQLLLAMGFNYIQVMEAYSIFGEDVDSMIYYLVEMGGTGASAGGSNRRKGKAAE >ONIVA04G09950.1 pep chromosome:AWHD00000000:4:13136819:13141243:1 gene:ONIVA04G09950 transcript:ONIVA04G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGGGGGGPAGAPEIFAGGTGARGSVRRAVVIGNGCAGAENQCLGLLRALGLADRLTLYRAIRPTGGINKWLHFLPISLHKLVDQVLRQMFSSNKFATLFQGAKMAQYTVCNGQSLGLSSVLEADTKRIVTMVNDTFEKEGLALVVACGRDTISYASSIRCLAPDNVFVIQIQHPRYRLDRFDLVVTPRHDYYALTTRGQREFPHLLWRWITAREPPGPNVLTVGALHQADSAALRTAASDWHDELANSPKPLVVVNIGGPTRNCNYDVGLAKKLISSLHNVLKTCGSVRVSFSRRTPHKVSDLILKEFSTHPKVYIWNGEGPNPHLGHLAWADAFVITADSISMLSEACSTGKPVYVVGTEHCRWKFLDFHNRLHERGAVRPFTGLEDMSDNWSYPPLNDAIDVAARVREVLAERGWTVG >ONIVA04G09950.2 pep chromosome:AWHD00000000:4:13136819:13141243:1 gene:ONIVA04G09950 transcript:ONIVA04G09950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGGGGGGPAGAPEIFAGGTGARGSVRRAVVIGNGCAGAENQCLGLLRALGLADRLTLYRAIRPTGGINKWLHFLPISLHKLVDQVLRQMFSSNKFATLFQGAKMAQYTVCNGQSLGLSSVLEADTKRIVTMVNDTFEKEGLALVVACGRDTISYASSIRCLAPDNVFVIQIQHPRYRLDRFDLVVLTVGALHQADSAALRTAASDWHDELANSPKPLVVVNIGGPTRNCNYDVGLAKKLISSLHNVLKTCGSVRVSFSRRTPHKVSDLILKEFSTHPKVYIWNGEGPNPHLGHLAWADAFVITADSISMLSEACSTGKPVYVVGTEHCRWKFLDFHNRLHERGAVRPFTGLEDMSDNWSYPPLNDAIDVAARVREVLAERGWTVG >ONIVA04G09950.3 pep chromosome:AWHD00000000:4:13136819:13141243:1 gene:ONIVA04G09950 transcript:ONIVA04G09950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGGGGGGPAGAPEIFAGGTGARGSVRRAVVIGNGCAGAENQCLGLLRALGLADRLTLYRAIRPTGGINKWLHFLPISLHKLVDQVLRQMFSSNKFATLFQGAKMAQYTVCNGQSLGLSSVLEADTKRIVTMVNDTFEKEGLALVVACGRDTISYASSIRCLAPDNVFVIQIQHPRYRLDRFDLVVTPRHDYYALTTRGQREFPHLLWRWITAREPPGPNVLTVGALHQADSAALRTAASDWHDELANSPKPLVVVNIGGPTRNCNYDVGLAKKLISSLHNVLKTCGSVRVSFSRRTPHKDPTHTLGILHGLMLLKPVYVVGTEHCRWKFLDFHNRLHERGAVRPFTGLEDMSDNWSYPPLNDAIDVAARVREVLAERGWTVG >ONIVA04G09940.1 pep chromosome:AWHD00000000:4:13133492:13134229:1 gene:ONIVA04G09940 transcript:ONIVA04G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYRPRRSPASERFIGMFASPSSSPTEPSFVAGDELHEDDFLFSSSPAAPPSSARPGEGPGSPSRVPQGQVGLLAALHEGDKRLLLRRGGGGGGGAAAAAAASAGTLLRRKATIAAAAASASGGGGSLSPTQSPTSAARAIPMTPRPKSAGPAAPYHQSAPVKVPVRPPRRQEMFKWDELDDDDFLRNGDAAMLPPHEMVARASAGGAGPAAPFSMLEGAGRTLKGRDLRRVRDAVLRQTGFLD >ONIVA04G09930.1 pep chromosome:AWHD00000000:4:13122199:13125320:-1 gene:ONIVA04G09930 transcript:ONIVA04G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAHPRSGRKKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >ONIVA04G09920.1 pep chromosome:AWHD00000000:4:13105239:13116647:1 gene:ONIVA04G09920 transcript:ONIVA04G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLVEGVATGDELVAMMGLAREVAWAWLVQLLLLQQLAGASHVVYDDLELQAAAATADGVPPSIVDSELRTGYHFQPPKNWINAPMYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWVALKPAIEPSIRADKYGCWSGSATMMADGTPVIMYTGVNRPDVNYQVQNVALPRNGSDPLLREWVKPGHNPVIVPEGGINATQFRDPTTAWRGADGHWRLLVGSLAGQSRGVAYVYRSRDFRRWTRAAQPLHSAPTGMWECPDFYPVTADGRREGVDTSSAVVDAAASARVKYVLKNSLDLRRYDYYTVGTYDRKAERYVPDDPAGDEHHIRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRKVWLDPSGKQLLQWPIEEVERLRGKWPVILKDRVVKPGEHVEVTGLQTAQAAERLDPAMAYDAQRLCSARGADSMGGVGPFGLWVLASAGLEEKTAVFFRVFRPAARGGGAGKPVVLMCTDPTKSSRNPNMYQPTFAGFVDTDITNGKISLRSLIDRSVVESFGAGGKACILSRVYPSLAIGKNARLYVFNNGKAEIKVSQLTAWEMKKPVMMNGA >ONIVA04G09920.2 pep chromosome:AWHD00000000:4:13102285:13105260:1 gene:ONIVA04G09920 transcript:ONIVA04G09920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATARARAALVFVALLQMAAVVVVRASHVVYPELQSLEAKHVDGKLRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIEWAHSVSTDLIDWTALEPGIYPSKTFDEKGCWSGSATVLPSGVPVIMYTGIDPDERQVQNVAYPVNLSDPYLREWYKPDYNPIINPDGGINASAFRDPTTAWYGPDGHWRLLVGSKVNMKGLAVLYRSRDFKKWVKAHHPLHSAHTGMWECPDFFPVAVAGGSRHYRRGVDTAELHDAAVAEEVKYVLKVSLDLTRYEYYTVGWYDHATDRYVPDAAFPDNDYGLRYDYGDFYASKSFYDPAKRRRIVWGWANESDTVPDDRRKGWAGIQAIPRKLWLSADGKQLVQWPVEELKALRAKHVNVTDKVIKKGNYFEVTGFKSVQSDVDMAFAIKDLSKAEEFDPAWRTDAEALCKKLGSDVDGGVGPFGLWALASGDLKERTAVFFRVFKANDSSHVVLMCNDPTRSSYESKIYRPTFAGFVDVDIAKNKQIALRTLIDHSVVESFGARGKTCILTRVYPRKAVGDDAHLFVFNNGESDVKVTNLDAWEMKTPKMNAEE >ONIVA04G09910.1 pep chromosome:AWHD00000000:4:13101215:13101832:-1 gene:ONIVA04G09910 transcript:ONIVA04G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPLHCYKAPEEQRLGPRPATQSRPPPHLLPYSGGLDLLTEALGAESFDPDDDDDATAASPAMEDVGAAVAAVDVLAPPCKRPHHVLLSSSSEGVGHDDDDNQHAVMVLRRTRSGRAFPPPISVIGKGGRPWLSLRAHREAGRLVLREMRLPSQELLQPCKEDGRFKLLIHPEAGRRSGGAGAGPRVGSGREGHGALES >ONIVA04G09900.1 pep chromosome:AWHD00000000:4:13095917:13100315:-1 gene:ONIVA04G09900 transcript:ONIVA04G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 12 [Source:Projected from Arabidopsis thaliana (AT5G03910) TAIR;Acc:AT5G03910] MEVVSSNLEDNFWDPPGIDCDSTEPFYSVASCSRTTRIACHASLLPHGAALRALATAMATPTPMPHTPISSQTLTLAPHVSRRRCGGSVRARTLALPATPASACSFRLRATAARDSPLPSLFDEAFPFVAVEWKTIVKGWACAAAAVYCLSRAVPAAGRLPRALAACGGGAAEAFKGGFALAGLAAARSAAAYVQQALLWEAALRAAGRLRERAFEGVLARDLAFFEGSGGLSAGDIAHRITDEADDVADAVYSVLNTIVPTSLQLIAMGHQMVTINPLLSMVAATVIPCMWLVIASLGRRLRQISKEAHISLAMLTVYLNDVLPSMLTVKANNGEGKEISRFQNLVIVDLKNNLSKKKMKAFIPQVVRTTYIGGLVVLCAGSIAVSGTFFDGEGFLSFLTALALAIEPIQDFGKAYNEYKQGEPALDRIFDLTRFIPEVRDKATAVHLKYVKGDINFHDVTFQYIDGMPPILDGVNLHIRSGETIAFVGPSGGGKTTLAKLLLRLYQPQSGYILLDNCDIRDIQLQCLRTHIAFVSQDAMLLSGTIAENIAYGDPMGAIDMSKVESAAKIANAEEFIKMLPGGYNSYVGQKGSSLSGGQKQRLSIARAIYQNSSVLILDEATSALDNRSEILVKEALTNLMANHTVLVIAHRLEMILMADRIVLLEGGKLREITKSSFLSRDSQFSSPQGSSPKLGEV >ONIVA04G09890.1 pep chromosome:AWHD00000000:4:13087863:13097507:1 gene:ONIVA04G09890 transcript:ONIVA04G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEWPHGTHRKLLLHAALHGFLFLPPLVLLRSLSRSRPTTTDPHPKAAARGAAAAAAAAMAVRASLACFPSDAALEESSGIPWGVAVTPFSAADERGAAPATGAEGHLLPRCDSCYAYFSTLCPLHRWSWSCAICSADNDLTPDASARYARDGGQDPPEMRSAFVDLLLPGEEGEAATTTPVYVAAIDLSSSEEFLELVKSALLAALEAIPPGSLFGLLTFSSKIGLYDVQGPIPIVKNAFIPPDSDGTLLVDLEDVMPLRSFLAPVDGCKDRIAEALETIKPTSSWEITATASEVQDHALHHARGFGLAMDAVVNYLCTEYGSLFELARIFTFLSGPPNYGPGQIETRSDVDHNAAKMLGSDHTFISEQTNFYTNLAASAVQAGVCVDLFAITNEYTDLSSLKVLGVESGGSLYMYSSTDESTLPQDIYKMLSRPYAFGCVLRLRTSSEFKIADSYGHFFPDPQYMHVQHINCCDSFATYVYDFEFQKDSQFSRKSSPPILQIAFKYTTIVQQGDTSDDVSNSVSRSKFTLQRRLRVRTIQYNITANIWDLYDFVDPDVVLTILVHQSVAWHMADALAVWYFLFWRSFIQSHDVLEARIWLRDWLVNFIAQYNKAYKVVRSGGTGMSDIDVDFIHCSQLQPLSRLVFAFLLSPLLQLHGQGIHPDYRTYLQCLFSALEASSLRQAICPTLISYSSPDVEAEVHQSLSRSVFNSESPIFLLDAYTDLLVYYSPTVSSTIPFPPPRDCLLRSKIDRLKQERNITPKLVFIHGAHDDTTAFERYLIEDQSVDGSLVASATGFRSFLEGIRSRIAEYSR >ONIVA04G09880.1 pep chromosome:AWHD00000000:4:13084281:13085627:1 gene:ONIVA04G09880 transcript:ONIVA04G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKLLPPGPGDARGGSGARATVRGRVDHVVSLTSTTYGVLDLHPKHGAAAAAAAAAAAAAAVACQEKVQETQAQPPQEDKPISREWKRARPPPLVVPSAKKPAPAGKLDSGLEVINAWEIMAGLEDADAADASPAKKPAKQPSRWSPARVIAMALPSPKKSATKRRNTPGKENSPLQRCSGNNNSSNINKTGDVNVDRVLRPYNSIDNSKLSRMSKRFSPVSARIVRKPGQPETGGGMSSSRRSLSPLFDPELLASIERELSEEGAHIKRMVGSEKPKHPKAAPPAMVAEGKCPPGGADAVVLYTTTLRGIRRTFEECNAVRAAIEAHDVKLIERDVSMDSGYREELRLLLGGREVRVPAVFVRGRHVGGAAEVTKLEEEGKLKALLQGLPRARVWCAGCAGVRFVMCRDCNGSRKVRVDGERKETVQCGECNENGLVRCPICS >ONIVA04G09870.1 pep chromosome:AWHD00000000:4:13082594:13083379:1 gene:ONIVA04G09870 transcript:ONIVA04G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQINLESLFCGGGEAGSRVACETIALPGCSDAPAESRCVRIGDGAIWAELAGGAVLERDGSTKGSSNPKAAAASGKGKKGGPRPSSAESRRLPVTGKAAVVICGLPAGKMVAQKKRRSPCLGRGWRRAPAAAGARVFASEAVETDPGSPKVSCFGAVRSERSPATAAAAPAPPVEDEERNGGCWASVAATLRHLCRSSSNPLEGELETNEWKATATSSPTVAALSPPRPVAVGLGEMKRLASRRWPETMAVAGQGPVSAA >ONIVA04G09860.1 pep chromosome:AWHD00000000:4:13078215:13080540:1 gene:ONIVA04G09860 transcript:ONIVA04G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGNAIFVLLLCTLFLPSLACDSGGVKFGYTGSIGPDFWGNLSADFTRCSNGKQQSPIDIDTNNLVHELNMEPLHRNYTAANATLVDNIFNVALRYEEAAGVLSINGVKYTLKQMHWHSPSEHTINGFRFPLELHMVHTNENGNITVLAFLYRFGRPDPFFEQDKLAALNAEGCKAEKGSPVPAGSVSLLTMRQHVHIYYRYVGSLTTPPCAENVIWNIPAMPREMTPQQAADLMAPLDEGYRRNSRPTQQMNGRTVQLYHRFWGKKRRSSP >ONIVA04G09860.2 pep chromosome:AWHD00000000:4:13078215:13080603:1 gene:ONIVA04G09860 transcript:ONIVA04G09860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGNAIFVLLLCTLFLPSLACDSGGVKFGYTGSIGPDFWGNLSADFTRCSNGKQQSPIDIDTNNLVHELNMEPLHRNYTAANATLVDNIFNVALRYEEAAGVLSINGVKYTLKQMHWHSPSEHTINGFRFPLELHMVHTNENGNITVLAFLYRFGRPDPFFEQDKLAALNAEGCKAEKGSPVPAGSVSLLTMRQHVHIYYRYVGSLTTPPCAENVIWNIPAMPREMTPQQAADLMAPLDEGYRRNSRPTQQMNGRTVQLYHRFWGKKRRSSP >ONIVA04G09860.3 pep chromosome:AWHD00000000:4:13078335:13080540:1 gene:ONIVA04G09860 transcript:ONIVA04G09860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHGNAIFVLLLCTLFLPSLACDSGGVKFGYTGSIGPDFWGNLSADFTRCSNGKQQSPIDIDTNNLVHELNMEPLHRNYTAANATLVDNIFNVALRYEEAAGVLSINGVKYTLKQMHWHSPSEHTINGFRFPLELHMVHTNENGNITVLAFLYRFGRPDPFFEQDKLAALNAEGCKAEKGSPVPAGSVSLLTMRQHVHIYYRYVGSLTTPPCAENVIWNIPAMPREMTPQQAADLMAPLDEGYRRNSRPTQQMNGRTVQLYHRFWGKKRRSSP >ONIVA04G09850.1 pep chromosome:AWHD00000000:4:13072663:13075031:-1 gene:ONIVA04G09850 transcript:ONIVA04G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPPDLSPPHLTGAALPHATISTALPTPPPSSLAAAATGGGSQLRLPHHASHIASPLPPRIYRPTVPPRRRRLLPRHPTPIPALLSVEIGGVAGSQEVERWRFRRRRSPTQCTSASRLPPTPRHLPTGAAARITADASPSRGRHANRDARLADLLRGRCRHLHRPPLSSDVGFHEEGLQLS >ONIVA04G09850.2 pep chromosome:AWHD00000000:4:13072493:13075031:-1 gene:ONIVA04G09850 transcript:ONIVA04G09850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRPPDLSPPHLTGAALPHATISTALPTPPPSSLAAAATGGGSQLRLPHHASHIASPLPPRIYRPTVPPRRRRLLPRHPTPIPALLSVEIGGVAGSQEVERWRFRRRRSPTQCTSASRLPPTPRHLPTGAAARITADASPSRGRHANRDARLADLLRGRCRHLHRPPLSSV >ONIVA04G09840.1 pep chromosome:AWHD00000000:4:13066598:13074862:1 gene:ONIVA04G09840 transcript:ONIVA04G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQPGTGSPPQPSTRLFLLVLAVILTDQVLAASAQGMSIGINYGQIADNLPSPTRVSGLLRSMQISKVKLYDADQNVLSAFLDTGVEFVVGIGNENVSAMVDPAAAQAWVQQHVRPYLPSARITCITVGNEVFKGNDTALKANLLPAMQSVYNAVVALGLQGQVNVTTAHSLDIMGSSYPPSAGAFRPDAVPYIQPLLNFLSMAGSPFLINCYPYFAYKADPGSVPLEYVLFQPNAGVTDPNTKLNYDNMLYAQIDSVYAAMQALGHTDVDVKISETGWPSRGDPDEAGATPEYAGIYIGNLLRRIEMKQGTPLRPSSPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYDVGLRGYLPPMDESKSARKSKGAASLAAEDSHRRKQGMLREHKIIQISER >ONIVA04G09840.2 pep chromosome:AWHD00000000:4:13074495:13077114:1 gene:ONIVA04G09840 transcript:ONIVA04G09840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAEEIGEAGVAVGVAAARWRGVGSDAGGGAGRKMAGRRRESRRRCALGGASAASESPPLHLYQRLPKCCITSHRCSTLRAGYPADLHGQKRRDGGGVPREEPAATRRNRRPVPESAMGLILLHLPIMGRLIYQVKTGNPHVFNSWKWLLSKDYIFGLPYAL >ONIVA04G09830.1 pep chromosome:AWHD00000000:4:13059311:13062767:1 gene:ONIVA04G09830 transcript:ONIVA04G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0G6] MPSLSLSLTLIRNTTTGESVTAPAPSSPVLSLHSPRRVAVAASASFGLGSSTGADNLLLLLLLLLQEMATTTVTTPILCNLSAKPRDTLRLPTTRSPNDGTRRTTLHLSSSRARGDLIRAAAAVYTVKLIGPEGQESVIKVPEDTYILDAAEEAGVDLPYSCRAGACSTCAGKVVEGGVDQSDQSFLDDAQVGAGYVLTCVAYPTANSVIQTHKEADLY >ONIVA04G09820.1 pep chromosome:AWHD00000000:4:13057891:13058475:-1 gene:ONIVA04G09820 transcript:ONIVA04G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITCFFTETLALQAEIIKRLQREKFVDMIKHMDGHEQIYRLVALYTSSAKVFHLPELPVRVKVALDAAGALLLVDGDELEQARDRLVKARNTTGLSSRFVFESSSRGGKDTVTAELATGLGVAAVGSSGGGGGDGGRERRSSGDGKAEKAAATEDVGLATATHRYRLSADERRCRSAFPPTSVQARACWPTHA >ONIVA04G09810.1 pep chromosome:AWHD00000000:4:13052052:13052663:1 gene:ONIVA04G09810 transcript:ONIVA04G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDVEEVPEPGMDHPAEPCMGVGGDQLVPTTEEISLPLAAETTSDHHEAAQLEQSAETSTSESESEEVAAKTTSDSSEAAAVITKHAAEGSSTASEEEQVAKKELKEAEEDDGLQGESARERLKRHRREMAGRVWVPDMWGQEKLLKDWVDCAAFDRPLVPPDLLTARRALVAECCARRPDRTTTPPARSSPLRVQKSCS >ONIVA04G09800.1 pep chromosome:AWHD00000000:4:13036150:13041233:1 gene:ONIVA04G09800 transcript:ONIVA04G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVKRPPRPAPASSGVRKLILALAVFLPALLYSQLQPPPPKICGSPGGPPITGTRTRLKDGRYLAYLESGVPKEQAKYKIIFVHGFDSCRYDALPISPELAQELGIYQLSFDRPGYAESDPNLASTEKSIALDIEELADNLQLGPKFYLMGFSMGGEIMWSCLKHISHRLAGVAILAPVGNYWWSGLPSNVSWHVWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNLALFSEGDKLLLSKFAFRTYMPQIRQQGEYGCLHRDMTVGFGKWSWSPLELEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVKSLLLGDQPPQAS >ONIVA04G09790.1 pep chromosome:AWHD00000000:4:13033591:13033773:1 gene:ONIVA04G09790 transcript:ONIVA04G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTVATATTTTVPSPPAPADDLDDSRRGSRPPQATAAVEKPPPQAPQAAPPLHRSCR >ONIVA04G09780.1 pep chromosome:AWHD00000000:4:13027783:13032792:1 gene:ONIVA04G09780 transcript:ONIVA04G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVKRPPRPAPASSGGMVRKLILALAVFLPALVYQQLQPPPPKICGSPGGPPVTGTRTQLKDGRHLAYLESGVPKDQAKYKIIFVHGFDSCRYDALPISPELAQELGIYQLSFDRPGYAESDPNPASTEKSIALDVEELADNLQLGPKFYLMGFSMGGEIMWSCLKHISHRLAGVAILGPVGNYWWSGLPSNVSWHAWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKLIMPKFAFRTYMPQIRQQGEYSCLHRDMTVGFGKWSWSPLELEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVKSLLLGDQPPQA >ONIVA04G09780.2 pep chromosome:AWHD00000000:4:13031009:13032792:1 gene:ONIVA04G09780 transcript:ONIVA04G09780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLILALAVFLPALVYQQLQPPPPKICGSPGGPPVTGTRTQLKDGRHLAYLESGVPKDQAKYKIIFVHGFDSCRYDALPISPELAQELGIYQLSFDRPGYAESDPNPASTEKSIALDVEELADNLQLGPKFYLMGFSMGGEIMWSCLKHISHRLAGVAILGPVGNYWWSGLPSNVSWHAWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKLIMPKFAFRTYMPQIRQQGEYSCLHRDMTVGFGKWSWSPLELEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVKSLLLGDQPPQA >ONIVA04G09770.1 pep chromosome:AWHD00000000:4:13007624:13009150:-1 gene:ONIVA04G09770 transcript:ONIVA04G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLVVGKVIGEVIDNFNPTVKMTATYSSNKQVFNGHELFPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYESPKPNIGIHRFVLVLFKQKRRQAVTPPSSRDYFSTRRFAADNDLGLPVAAVYFNAQRETAARRR >ONIVA04G09760.1 pep chromosome:AWHD00000000:4:12998256:13009507:1 gene:ONIVA04G09760 transcript:ONIVA04G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLATSQSFQFPMIDCSRNRRVTRGVIADGGRTAAWSSRSDSEPYLVGTCSGCLPEGVSLGEGRQAQTSVKKDLRSPP >ONIVA04G09750.1 pep chromosome:AWHD00000000:4:12993525:12993815:1 gene:ONIVA04G09750 transcript:ONIVA04G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNAKLYLQNCYIMKENERLRKKALLLNQENQALLTELKQRLAKTKAAAAATKANGNGNMPAGGGRASLPDLNSAPPAHGHDKAVPKSKKTAAK >ONIVA04G09740.1 pep chromosome:AWHD00000000:4:12987701:12997960:-1 gene:ONIVA04G09740 transcript:ONIVA04G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNPICAKENEAEANRRGRRRIAGPSSRRRAAARPGGRPATRHGDQPATKLHYLAAVFLDLGTALSWPCAGGAELRSGRDARPPPAGMLPLPLALVAAAAAFVLASRCLSSRGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSEGAESCDEDAARCWGVGDERRERSSGDTRGGRVGSECEVMREAGLLLPKLRDRSLWGKAARGKRIIIGLKFLPASFPIRILYTYGTYCISI >ONIVA04G09740.2 pep chromosome:AWHD00000000:4:12988541:12997960:-1 gene:ONIVA04G09740 transcript:ONIVA04G09740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNPICAKENEAEANRRGRRRIAGPSSRRRAAARPGGRPATRHGDQPDGDCLLHIDFACSIQRQRIIMERGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSEGAESCDEDAARCWGVGDERRERSSGDTRGGRVGSECEVMREAGLLLPKLRDRSLWGKAARGKRHTVNQSW >ONIVA04G09740.3 pep chromosome:AWHD00000000:4:12987701:12997960:-1 gene:ONIVA04G09740 transcript:ONIVA04G09740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNPICAKENEAEANRRGRRRIAGPSSRRRAAARPGGRPATRHGDQPDGDCLLHIDFACSIQRQRIIMERGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSEGAESCDEDAARCWGVGDERRERSSGDTRGGRVGSECEVMREAGLLLPKLRDRSLWGKAARGKRIIIGLKFLPASFPIRILYTYGTYCISI >ONIVA04G09740.4 pep chromosome:AWHD00000000:4:12992615:12997960:-1 gene:ONIVA04G09740 transcript:ONIVA04G09740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNPICAKENEAEANRRGRRRIAGPSSRRRAAARPGGRPATRHGDQPDGDCLLHIDFACSIQRQRIIMERGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSEGAESCDEDAARCWGVGDERRERSSGDTRGGRVGSECEVMREAGLLLPKLRDRSLWGKAARGKR >ONIVA04G09740.5 pep chromosome:AWHD00000000:4:12987701:12993835:-1 gene:ONIVA04G09740 transcript:ONIVA04G09740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKLHYLAAVFLDLGTALSWPCAGGAELRSGRDARPPPAGMLPLPLALVAAAAAFVLASRCLSSRGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSEGAESCDEDAARCWGVGDERRERSSGDTRGGRVGSECEVMREAGLLLPKLRDRSLWGKAARGKRIIIGLKFLPASFPIRILYTYGTYCISI >ONIVA04G09740.6 pep chromosome:AWHD00000000:4:12988541:12993835:-1 gene:ONIVA04G09740 transcript:ONIVA04G09740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKLHYLAAVFLDLGTALSWPCAGGAELRSGRDARPPPAGMLPLPLALVAAAAAFVLASRCLSSRGGKKDDIEAETVDYCARDPINIILHTQGVPALATSSEGAESCDEDAARCWGVGDERRERSSGDTRGGRVGSECEVMREAGLLLPKLRDRSLWGKAARGKRHTVNQSW >ONIVA04G09730.1 pep chromosome:AWHD00000000:4:12978136:12978640:1 gene:ONIVA04G09730 transcript:ONIVA04G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNVSCQAHPLIPNPASSLSHAACAQGGKMHSISCCDGCDFSPLSRTILSPGGKVVVAVVLPEATGKGSVTREHSGLGAGHQLDLRRSDGTAGFAAATTLLDVIITFSKASTYLPLRRRLLRCYFLLQTGDGTVAALEPMPTLVGVEDVDSDVTDYPSWTR >ONIVA04G09720.1 pep chromosome:AWHD00000000:4:12973505:12973948:1 gene:ONIVA04G09720 transcript:ONIVA04G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGFEKEQEDVALGLLMLSRDIGMWRSPVKAETFEKPEQKKDEEDSALLQYGGGGGDVAKSRKQRPRATPHVLASCPFPATLAPQASPPTAHLAPLTLAACGHGVRPRRHAEVRPRHDAAGGAGQEGRHLPDAAAGGHGGAPQRLL >ONIVA04G09710.1 pep chromosome:AWHD00000000:4:12970993:12972623:-1 gene:ONIVA04G09710 transcript:ONIVA04G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0E8] MTRRADDLLVAGTLVISIVFFRCAAAVAATEYVRPPPGRVIFTEHTKPASHPQQVHVSLVGANHMRVSWITEDKHVKSVVEYGKVSGNYTASATGEHTSYRYFLYSSGKIHHVKIGPLDPGTVYYYRCGMAGDEFGLRTPPAALPVELAVAGDLGQTEWTASTLSHVGRSDYDVLLVPGDLSYADAQQPLWDSFGRFVQKYASRRPWMVTEGNHEVEAAMALPGWPRPFTAYAARWRMPYEESGSGTSLYYSFDAAGGAVHVVMLGSYADFNSSSEQYRWLARDLAAVDRGATPWVVVLLHAPWYNTNAAHEGEGEAMRKAMERLLYEARVDIVFAGHVHAYERFVSSILISAIARTTRVYNNEANPCGPVHITIGDGGNREGLAFDFRKNHKLAPLSLMREASFGHGRLSVVNATAARWTWHRNDDADSTVRDEIWLESLAANGACQQSSSAAAAADSQNDEL >ONIVA04G09700.1 pep chromosome:AWHD00000000:4:12967615:12969231:1 gene:ONIVA04G09700 transcript:ONIVA04G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVRPSAAALLLAATAFLVVAVGAQPLSPDSPILRDPNVIPIYMTPGSSPTVASCYNQNNTASGPDCTVEPRACPRGCRDMCYVHCPTCKLVCLCELTGTECYDPRFVGGDGNKFLFHGRKDADFCLLSDANLHINAHFIGKRNAAAARDFTWVQALGIRFGGHRLYLGVRRTVRWDAAVDRLVITFDGAPVELDAVPAASWSPASAPALSVFRTGPANGVVVRLDGRFRIVANAVPVTEEDSRIHGYGLTADDSLAHLNVAFKFYSISADVHGVLGQTYRPDYVSAGVDVGAKIPVMGGAGKYAVSDIFATDCEVARFAGEDGALASSVGMVDAPADALCGSGKGSAGLVCKK >ONIVA04G09690.1 pep chromosome:AWHD00000000:4:12963399:12966995:1 gene:ONIVA04G09690 transcript:ONIVA04G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKQETMEETILVGDDLMRGLPSPVIPKEIASHVLDGVEICDGILKNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKEIRERLQDSEYKLGFSMPLEEAKERATQLQSEITLLERRMILASGLEGMEGFRQRWSLHGQLGDTRKRLEALNSGIVKRGSQSSPVQETTPAVRKRWFLW >ONIVA04G09680.1 pep chromosome:AWHD00000000:4:12948862:12950295:-1 gene:ONIVA04G09680 transcript:ONIVA04G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRQDNAPLTLRATRALRLGRNRNRNRNRNRSTGNREFFDALSVRCPQAEHSQTQQPLPLYSYYLLENPTFLPFSLSSSASLSYSSAALQLNPSPVAAATAVLLPGPVMPLLDPGKLPLWSPNCRQPLVLT >ONIVA04G09670.1 pep chromosome:AWHD00000000:4:12946182:12948749:-1 gene:ONIVA04G09670 transcript:ONIVA04G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSEIVEFVDESKDARPGGVTHLRVRVKPVGQEHGARSCSVEDDLDRLIRSINVRTSARASGQTSTDRRLIALGKSQISSSEIVESVSLKQALRKMCISQASEMAAMKRMPKPTAVSNTPEAGAIKKLYTSVVVQTNEERDEKNKFGKVSVLPEKDVISSSVKSTEAKNKVRNKSPAKKNVRSASPTTTKVQKTRIQDVISNKSSEASEDLPAGPAVAKQRKGKMKTSSPRAVPVGGSRLVFRSKTSTKKKVKPEPAAAVVSHKTCEAKSSNSQANKKHEALQDEPRTPTPINKKAAASSISTDGANCGTKGCGVGEIHGSKPSELSRSKEKGECSQSSKSSMGDYSTSTSISDDSYGSFSGNGCRPHMSKDVRWGAIRRMAIQQGSLGLKNFKLLKQLGCGDIGTVYLAELVGSECMFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPTLYSFFTTDNLSCLVMEYCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPMLVRASSVGRDEPSRPSGPCAESCIDPLCIQPSWANSSCFTPRLVSSTPSRPRRPRGEPQKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGRTPFRGPGNEETLTNVVSQGLKFPDNPAVSFHARDLIRGLLVKDPEYRLGSTKGAAEIKRHPFFEGLNWALIRWTAPPETLKSFDAASLTTARKKKEGKCLEFRLNGDDIEFELF >ONIVA04G09660.1 pep chromosome:AWHD00000000:4:12935698:12942340:1 gene:ONIVA04G09660 transcript:ONIVA04G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKDRIAYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPTELAQFHSADYVEFLHRITPDTQHLYENELRRYNLGEDCPVFDNLFEFCQIYAGGTLDAARRLNHKTCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDFFFPGTGDIKDIGEREGKYYAINIPLKDGIDDSGFTRLFKTVIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPDNEYIKYFAPDYTLKVSNVNMDNLNSKSYLSSIKVQVMESLRAIQHAPGVQMQEVPPDFYIPDIDEDELDPDERVDQHTQDKQIHRDDEYYEGDNDNDHEDGAR >ONIVA04G09660.2 pep chromosome:AWHD00000000:4:12942317:12947508:1 gene:ONIVA04G09660 transcript:ONIVA04G09660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARVVAPPLRLAWCPASRRWGRRKGRPPCPSSLPGPRHDHAVKRPGGEAAGGRSVNGAAPAPAPAPAEAPAKAPQRRQRRGPHDVEDEAWGLLRESVVRYCGSPVGTIAACDPNDASPLNYDQVFIRDFVPSGIAFLLKGDYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVVPLDGDDDVTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNSRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATSHQSDAILDLVEAKWSDLVADMPMKICYPALEDQEWKFITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKVDRSEIAAKAVEVAERRIANDKWPEYYDTKRARFIGKQSRLFQTWTIAGFLVAKQLLENPDKSRILWNNEDEEILNAMNRMTDASNLKRRRGRKGLKKTYIV >ONIVA04G09660.3 pep chromosome:AWHD00000000:4:12942317:12949726:1 gene:ONIVA04G09660 transcript:ONIVA04G09660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARVVAPPLRLAWCPASRRWGRRKGRPPCPSSLPGPRHDHAVKRPGGEAAGGRSVNGAAPAPAPAPAEAPAKAPQRRQRRGPHDVEDEAWGLLRESVVRYCGSPVGTIAACDPNDASPLNYDQVFIRDFVPSGIAFLLKGDYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVVPLDGDDDVTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNSRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATSHQSDAILDLVEAKWSDLVADMPMKICYPALEDQEWKFITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKVDRSEIAAKAVEVAERRIANDKWPEYYDTKRARFIGKQSRLFQTWTIAGFLVAKQLLENPDKSRILWNNEDEEILNAMNRMTDASNLKRRRGRKGLKKTYIV >ONIVA04G09660.4 pep chromosome:AWHD00000000:4:12946804:12948645:1 gene:ONIVA04G09660 transcript:ONIVA04G09660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSAQGPEGLLGSSLPTDEALTSIGFTEHLRDRSKSESIMCPSSRTTSGVFDTAVGFGILFMAAISDACEMHIFLRACFRLTDSTISELDICDFPSAISLLSVLVCPEARADVRTLIDLMSLSRSSSTEHDRAPCS >ONIVA04G09650.1 pep chromosome:AWHD00000000:4:12931424:12936370:-1 gene:ONIVA04G09650 transcript:ONIVA04G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQDRVVTIIGRNLWETGNDAGGEMSPPPPPPPPRVVICVGDVHGYISKLESLWANLQSALPPDAFATALVVFLGDYCDRGPSTREVIDFLLALPSRHPAQRHAFLCGNHDLAFAAFVGALPPPPDGTPFSSTWGEYIQNEENEGWYRGPGHEGMHCQGRRWGGIMKEKRNPKRGSSYMGSIYDARPTFESYGVAHGSPDLVKAVPEEHKKFLRELVWIHEEENVPIDTNEGQIICKLIAVHAGLERSIDLNEQFRILRTKDTKISKVAMLSGRQDVWNIPKDLAGKQTIVVSGHHGKLHIDGLRFVIDEGGGYADRPIAAIVFPSKELIRSTEGTSSQNRSRYHQEFGTARVHGPDSFALSGRGF >ONIVA04G09650.2 pep chromosome:AWHD00000000:4:12931872:12936370:-1 gene:ONIVA04G09650 transcript:ONIVA04G09650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQDRVVTIIGRNLWETGNDAGGEMSPPPPPPPPRVVICVGDVHGYISKLESLWANLQSALPPDAFATALVVFLGDYCDRGPSTREVIDFLLALPSRHPAQRHAFLCGNHDLAFAAFVGALPPPPDGTPFSSTWGEYIQNEENEGWYRGPGHEGMHCQGRRWGGIMKEKRNPKRGSSYMGSIYDARPTFESYGVAHGSPDLVKAVPEEHKKFLRELVWIHEEENVPIDTNEGQIICKLIAVHAGLERSIDLNEQFRILRTKDTKISKVAMLSGRQDVWNIPKDLAGKQTIVVSGHHGKLHIDGLRFVIDEGGGYADRPIAAIVFPSKELIRSTEGTSSQNRSRYHQE >ONIVA04G09640.1 pep chromosome:AWHD00000000:4:12921046:12929914:-1 gene:ONIVA04G09640 transcript:ONIVA04G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSSLPLPPPPHTRRGSTHRGMASFAVSGARLGVVRAGGGGGGGGGPAARSGGVDLPSVLFRRKDSFSRGVVSCAGAPGKVLVPGGGSDDLLSSAEPDVETQEQPEESQIPDDNKVKPFEEEEEIPAVAEASIKVVAEDKLESSEVIQDIEENVTEGVIKDADEPTVEDKPRVIPPPGDGQKIYQIDPMLEGFRNHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKLGFTRSAEGITYREWAPGAQSAALVGDFNNWNPNADTMTRNEYGVWEISLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFAVQAPGEIPYNGIYYDPPEEEKYVFQHPQPKRPNSLRIYESHIGMSSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHASNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVLPGNNYSFDKCRRRFDLGDADYLRYHGMQEFDQAMQHLEEKYGFMTSEHQYISRKHEEDKVIIFERGDLVFVFNFHWSNSYFDYRVGCLKPGKYKIVLDSDDGLFGGFSRLDHDAEYFTADWPHDNRPCSFSVYTPSRTAVVYALTED >ONIVA04G09640.2 pep chromosome:AWHD00000000:4:12921046:12929656:-1 gene:ONIVA04G09640 transcript:ONIVA04G09640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVSGARLGVVRAGGGGGGGGGPAARSGGVDLPSVLFRRKDSFSRGVVSCAGAPGKVLVPGGGSDDLLSSAEPDVETQEQPEESQIPDDNKVKPFEEEEEIPAVAEASIKVVAEDKLESSEVIQDIEENVTEGVIKDADEPTVEDKPRVIPPPGDGQKIYQIDPMLEGFRNHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKLGFTRSAEGITYREWAPGAQSAALVGDFNNWNPNADTMTRNEYGVWEISLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFAVQAPGEIPYNGIYYDPPEEEKYVFQHPQPKRPNSLRIYESHIGMSSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHASNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVLPGNNYSFDKCRRRFDLGDADYLRYHGMQEFDQAMQHLEEKYGFMTSEHQYISRKHEEDKVIIFERGDLVFVFNFHWSNSYFDYRVGCLKPGKYKIVLDSDDGLFGGFSRLDHDAEYFTADWPHDNRPCSFSVYTPSRTAVVYALTED >ONIVA04G09630.1 pep chromosome:AWHD00000000:4:12917354:12918921:-1 gene:ONIVA04G09630 transcript:ONIVA04G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGGGEDYISELPDALLSVIFSLLGTAALSTRFRGVWAATPLRLDDLDLPALAALGLGGGTRTTASSSPIAPWTARADAVTRVLASHPGPVALFRLSRTSFRGRVAAAEAWFRELAAKRAREVALLCAPEWCHLALADPLLGCATLESLALGECRVSDRGASAARLTELTLSSTHLSEAALQSVLSGCPALRTVMLKHVEGPRSIRVRSCRSLVLLGVWQYKNLEELTVEDAPCLERLLGDMRLTAAINVSGAPKLTAFGYVVISSSNFLLFDEVIEKDVCNGLRAPLLSVKILAISVKFSSKNDMDKLMNLLNFFPFVETLHVQASDTRFDLTQDSTDTVGSSYHEKLDHIGCVMNHLNSVRLESKVHNIYMLEFACFLLARAQVLQLMTIQSKVFSTPQCVAGQQALLNQSHVASTEAEIVFEDMESHDLEHLSLELANTLPDPFDTYHR >ONIVA04G09620.1 pep chromosome:AWHD00000000:4:12913099:12914690:-1 gene:ONIVA04G09620 transcript:ONIVA04G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSNNMIIEEVNKGLNPGTIVLLVVATLLILFFVGNYALYMYAQKTLPPRKKKPVSKKKLKREKLKQGVSAPGE >ONIVA04G09610.1 pep chromosome:AWHD00000000:4:12908577:12910218:-1 gene:ONIVA04G09610 transcript:ONIVA04G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVWSRSAMADQFADSANNVVIEEVNKGLNPGMIVLIVVATFLLLFFVGNYALYVYAQKTLPPRKKKPVSKKKMKREKLKQGVSAPGE >ONIVA04G09610.2 pep chromosome:AWHD00000000:4:12908577:12909765:-1 gene:ONIVA04G09610 transcript:ONIVA04G09610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQFADSANNVVIEEVNKGLNPGMIVLIVVATFLLLFFVGNYALYVYAQKTLPPRKKKPVSKKKMKREKLKQGVSAPGE >ONIVA04G09600.1 pep chromosome:AWHD00000000:4:12905326:12906795:-1 gene:ONIVA04G09600 transcript:ONIVA04G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEEEDKERISTSVDYCSTDQRGEVDQPMQMVLRVKHPSSLGGGGGCGEEEAGEASSRSALSVFKAKEEQIERKKMEVREKVFAQLGRVEEESKRLAFIRQELEGMADPTRKEVEVIRKRIDVVNRQLKPLGKTCVKKEKEYKEILEAYNEKNKEKALLVNRLIELVSESERMRMKKLEELNKTVDSLY >ONIVA04G09590.1 pep chromosome:AWHD00000000:4:12894870:12895208:-1 gene:ONIVA04G09590 transcript:ONIVA04G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGKKEEVAGLREIVMGSGGTVLGGGMGKWRGARAMRDEGEWWHRRWWWGYLAVDGSRRKERGRKGGGGGGQGSLASAIDAFRLAGEPGGGGDAGGGGARAQGRGAKWW >ONIVA04G09580.1 pep chromosome:AWHD00000000:4:12891082:12892668:1 gene:ONIVA04G09580 transcript:ONIVA04G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTWLATMPAASSLVVGVAFTAAVAVAVAAAVARRAWRHRGLRLPPGPPGWPVVGNLLQVVFAGKPFIHYIRDLRREYGPIVKLQMGVRTLVVISSAELVHEALVEKGREFATRPAESPIRSIFSSGKFTVNSAVYGPEWRSLRRNMVSGMLSAARLREFRPARLRAMERFVARVRAEAAASRDGASVWVLRNVRFAMFCVLLDMTFGLLDLDEELVVRVDAVMKRVVLAVAARIDDYLPFLRPFLWRQHRQAVALRREQIDTVLPLINRRRAIVRGMRAGSPPDPAVAAPYSYLDSLLDLRVEGRDAVPTDEELVTLCAEMINGGTDTTATAIEWAMARVMDNPSIQARLHGEIMQRVGDARPVDDRDTDGMPYLQAFVKELLRKHPPTYFALSHAAVEPGSKLAGYDVPVDANLDIFLPTISEDPKLWERPTEFDPDRFLAGGETADITGSAGVRMIPFSAGRRICPGVGMGTAHIALMVARMVQAFEWRAHPSQPPLDFEDKVEFTVVMKRPLLAMVTPRKLSF >ONIVA04G09570.1 pep chromosome:AWHD00000000:4:12877079:12878185:1 gene:ONIVA04G09570 transcript:ONIVA04G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASAAATCGRLQPGGGGGESSSTTTTRTTQMDPSCPPFPPPEAPPLPLQLQLQPGLPRLELPTLDLERVGGEDRAALVAACRDLGAFRVVNHGVPGELRRRLLELGKQLLGRDTFELKKARPGYFWGTAALKSLRVKEVNWLEGLHVDLVPGSSSSSSQVGDGDDDDDDGWMRIRALMAEYGDHMARIARKLFDALAAELGLDHHQAASYLAERQGFLRLYRYPPCPSSASCLGMEPHTDSSVLSIILGQDHVGGLQVIRDGAWRDVAPAPGELLVNLGDMMTAISGGSYQSVRHRVLASRPSTERVSCCYFAFPQEDAVVEAPSGIGGGVYRPFSYREFREQVQADIKAVGTKVGLSRFYATATR >ONIVA04G09560.1 pep chromosome:AWHD00000000:4:12871601:12872641:1 gene:ONIVA04G09560 transcript:ONIVA04G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLVSCFSEHAVRISDVACSGSANAATVSAAGVAAAGVGDGGGAGRAAVSAVTSVYRSRLSASGKDLVIDVTWSRAPDGPALSVAVHDAAAASRLRGGGGGAAGAAPRHLHRRKGSGTFTAGSCVVGVFWDFAAARYAAGPEPVSGYYVAVVADAEFVLLLGDMSRGYVERLHGGIPIAGSRMARRRERFVGCGCWSTRARFLESGAEHDIVVALDGDAEAWVTVDGRKVVQLRRLRWNFRGSHTLFLDGGAPVDMTWDLHGWLFHAADPSPASSCAAVFTFQTRGASETKFWIEDDGDGDDDLEQSQPPAAPRGPKQKLGGGGAPSGQGFCLLIQGFRGASKIA >ONIVA04G09550.1 pep chromosome:AWHD00000000:4:12865760:12866761:-1 gene:ONIVA04G09550 transcript:ONIVA04G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTVQLPFLLLLLVVPTAGEGRMRTPKGGDEPMGSNPRRILILSMSDDLASPLFRDALLRSTATHKPTSLVTPGRNLIWIWHNDGEQSCFQKIIIR >ONIVA04G09550.2 pep chromosome:AWHD00000000:4:12865597:12866447:-1 gene:ONIVA04G09550 transcript:ONIVA04G09550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPRPSFATPCSDRRRRISLPHWLHPAETSSGFGTTMGNNLVFKRSSSGDSHPKSMNGKRKLDDRIELGDNMITNIAPDCCYPESIYKRRRSNQQLEKLPEVC >ONIVA04G09540.1 pep chromosome:AWHD00000000:4:12846868:12848181:1 gene:ONIVA04G09540 transcript:ONIVA04G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0C4] MAAASLIKAPVGQNPARMGSGRSSGGGGVVRCSLQGAVVGGRAEWQSSCAVLSSKVAALGAASPHAAAPSFVNGHVAPLVPEQPAAAAEDGGAVLDLVPVSSVNGGGVAKNLPQPLRISDLSPAPMHGSQLRVAYQGVPGAYSEKAAGKAYPGCDAIPCDQFEVAFSAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLMALPGVRKECLTRVMSHPQALAQCEHTLTAMGLNVVREAFDDTAGAAEYVAANGLRDTAAIASSRAAELYGMEVLADGIQDDCGNVTRFVMLAREPIVPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDITLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYVDFQASLAEPRAQNALAEVQEYTSFLRVLGSYPMDMTPMTAGSSSTVTSDDSSST >ONIVA04G09530.1 pep chromosome:AWHD00000000:4:12836168:12838868:-1 gene:ONIVA04G09530 transcript:ONIVA04G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNVRAENYMCHISYFIFILKQMECNVRAENYICTIEIRNDFALLSYFSIEKPLDLDTLSWLPRHGEERGKHMECKAVAKALLMACNLPIGRRERVKDRGGGSPRSIPKSMAGEDGNDGILNRSAEARAILLSGLVDLVAGASSMAIGESASIHA >ONIVA04G09520.1 pep chromosome:AWHD00000000:4:12820978:12822482:-1 gene:ONIVA04G09520 transcript:ONIVA04G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPHIEKGYAGGRQSRQQEASAAAAAAAAAGGQLLLQRGPGQRSASFHGRGTEPRHQLARQRPKTQPDLLAGVRGRATAASFGPAAAAGGGEQLEPEAAGRRTPSKVLVSVAVQRSLWPLHVMASAAWSVADLVAAAVALYVKEGRRPPLPSADPSDFGLHYSQFSLESLDPREKVMELGSRSFFLCPKSSAAVHAPSPSCSSDEASRIRDRDAPAAARAGAAPAWVSYMQFWPMM >ONIVA04G09510.1 pep chromosome:AWHD00000000:4:12814298:12818093:1 gene:ONIVA04G09510 transcript:ONIVA04G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate/glutamate/uridylate kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G10030) TAIR;Acc:AT3G10030] MASCDDDFGLLGDGPVPEEEAAAAAASSQAAPPPPQQQAQAFCFGDAASVAGAGAGVGPFAPMGQEEGNHSAERGKAAAAAAHHSKRGRDRAEEFSDGGEYCSYLNSGGGGGGAGKKGRGGGGGGGGGGGVAAGSSEYRKDREEWTDGAISSLLDSYTDRFEQLNRGNLRGRDWEDVAAAVSDGQGKSSGGKSVEQCKNKIDNLKKRYKVECQRLAGSGASAVSHWPWFKKMEQIVGNSSSPASSKALVASDDDNKPRQPQQHSSKRHAPSGSSTPTFGGSSRLAPPSNPKWKRVLLKIGGTALAGAPPQNVDPKVIMLIAREVQVACRHGVEVSIVVGGRNIFCGDTWVSATGTDRASTYSIGMMASVMNAVLLQASLEKIGVETRVQTALMMQEVAEPYIRRRAIRHLEKGRVVIFAGIGAGIGNPLFTTDTAAALRASENVVLKGTAGDDDYGCPPRGNNNPPFEHISFRELAARGFSRMDMTAITCCQENNIPVVIFNMLEPGNISKAIRGDQVGTLVDQSGRIT >ONIVA04G09500.1 pep chromosome:AWHD00000000:4:12807216:12812030:1 gene:ONIVA04G09500 transcript:ONIVA04G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWERRGVGAEGGESVGREDTDTNTNTTASSANASTSSSTAASGSSGARRNGAAAAAGEGWGRSSLSTATINLSQEYTLAIHTSSYHEIWAKIHVDGGDGQREGGVSGGGGGEEDEEDEDRCTLAGVLQPEDAVVERALGDAPDTELTRLAADYLRSTHHASLLCLSLRRALRRARALYGPITDLLALIPHAPPLAAPHRDCAFDAFLLFDQMPNPFPAPAAGFQGMHRSFVGLKNHLDLRLLRARRRRRLVRCATRGSGICLIACATGAAIAGLVLATHAITVLLAAAPACAASRGSCCPATASMKRLQQHMDRLDAAARGAYVLNNDVDTIERLVGRLHATVESDKLLVRLGLERGRGEHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARLLLVCMTNRSKMNWFTNLNCFLHIIITVDPADYIQYDEADVASEEAVWALYERWRDFYGAERSHDEMLRRFGMFKDKARHVLEFNKSGASFTKALKEGADLTLEENAKRLGIRRRL >ONIVA04G09500.2 pep chromosome:AWHD00000000:4:12807216:12812030:1 gene:ONIVA04G09500 transcript:ONIVA04G09500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWERRGVGAEGGESVGREDTDTNTNTTASSANASTSSSTAASGSSGARRNGAAAAAGEGWGRSSLSTATINLSQEYTLAIHTSSYHEIWAKIHVDGGDGQREGGVSGGGGGEEDEEDEDRCTLAGVLQPEDAVVERALGDAPDTELTRLAADYLRSTHHASLLCLSLRRALRRARALYGPITDLLALIPHAPPLAAPHRDCAFDAFLLFDQMPNPFPAPAAGFQGMHRSFVGLKNHLDLRLLRARRRRRLVRCATRGSGICLIACATGAAIAGLVLATHAITVLLAAAPACAASRGSCCPATASMKRLQQHMDRLDAAARGAYVLNNDVDTIERLVGRLHATVESDKLLVRLGLERGRGEHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARSKMNWFTNLNCFLHIIITVDPADYIQYDEADVASEEAVWALYERWRDFYGAERSHDEMLRRFGMFKDKARHVLEFNKSGASFTKALKEGADLTLEENAKRLGIRRRL >ONIVA04G09490.1 pep chromosome:AWHD00000000:4:12800648:12806806:-1 gene:ONIVA04G09490 transcript:ONIVA04G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1350) [Source:Projected from Arabidopsis thaliana (AT5G47860) TAIR;Acc:AT5G47860] MIRVVSPPPPSAVQLRGGGGTPGPSSSSAVCRFWGSRRGSRVAATSSWGWGKSRRRRRAAISCCSAEEGEGPRVATPSAPPAPSEGSIQLYSQIERVITEAAKQSREGWGSTGDWTEIEGAWVLKPKSQEPSFVVHFVGGIFVGAAPQITYRFFLERLADKGALVIATPYASGFDHFFIADEVQFKFDRCLRNMVEPVNDLPTFGVGHSLGSVIHLLIGSRYAVQRSGNILMAFNNKEASLAVPLFSPVIVPMAQSFGPIFSQLTSYPTLRFGAEAAIKQLENLSPPVVKQLLPLVQQLPPLYMDLVKGREEFVPKPEETRRLVKSYYGISRNLLIKFKDDQIDETSILAQVLSSESAISSLLDMSIRSLPGDHGLPLQQVLPDVPPAMADAVNRGSELLTNLATGTPWEAVAKEVRIRAFYEHKSQRMSIHLLTWVFHKTAATTTATTVDFITYGLDLP >ONIVA04G09480.1 pep chromosome:AWHD00000000:4:12796451:12797638:-1 gene:ONIVA04G09480 transcript:ONIVA04G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVGETVAAAAVAVAPVVAGDQDGVAYCSEHPYPPGAAAAAGVAAGGGICAFCLQEKLGMLVSSSKSSPFHPPPASASSSTPTSHVAAESSSSSLPLHPSAAAAARKVIPASAAGGLKRSKSVAPRPEETTPVTAPAPSAVTADSPRKKSFWSFLYSSSSSSSGGHQGSASMANGGGGGGASVRRKSVSVASASSASLGRRLEAIVEPDSPGRRSEGSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPKSSAGALAHLGGNHSAAGNGDDDEYESTQQHRIKCAGFFGGLGAAPPPTSSSYWLSAADGATAAAPSARTHGARSHRSWAWALASPMRALRPTSSSSSKSIMAAPHNRGGVSGNGGMAMAAVATS >ONIVA04G09470.1 pep chromosome:AWHD00000000:4:12793219:12793840:1 gene:ONIVA04G09470 transcript:ONIVA04G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELPVQQQGDTVSSLVYEANDRMRDPVYDCVRAISFLQNQVSQLQMQLAIGQGENLCIQMQHRDGNENEKNAREEEERERREKREGNI >ONIVA04G09460.1 pep chromosome:AWHD00000000:4:12787266:12788203:-1 gene:ONIVA04G09460 transcript:ONIVA04G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSYGDVHESARKLVGKVALITGGASGIGECTARLFVKHGAQVVVADIQDEAGARLCAELGSATASYVRCDVTSEDDVAAAVDHAVARYGKLDVMFNNAGIGGAACHSILESTKADFDRVLAVNLTGPFLGTKHAARVMVAAGRGGCIIGTASLASAVAGTASHAYTCAKRALVGLTENAAAELGRHGIRVNCVSPAAAATPLATGYVGLEGEAFEAAMEAVANLKGVRLRVEDIAAAVLFLASDDARYVSGHNLLIDGGCSIVNPSFGIFKD >ONIVA04G09450.1 pep chromosome:AWHD00000000:4:12785330:12786022:-1 gene:ONIVA04G09450 transcript:ONIVA04G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTGSSSHGGGGDLEEARSSGTGRMRPNVCSSASSFNGQRDGEPSCSSPDCVQPVLLGIMPDTCGIADRYPVSCLAWYLGIMVRCLDLVPVGIRPATWYQVAGIKARAGTCKVSTINALSSFPFPSAQLSFLAVVTSIDAYDTTGTSSRRGGCEHMEVGGGG >ONIVA04G09440.1 pep chromosome:AWHD00000000:4:12779043:12780197:-1 gene:ONIVA04G09440 transcript:ONIVA04G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTFAVVAAVALVALCGGGGARAQDMDNEWARNRGFYGGTGGGVGGGLLPQSDVDLLEFPLNLEYLEAEFFCWSALGYGLDGIDASLTGGGPAPVGAQTAALTPFVRDIATQFCYQEVGHLRAIKQNVKGFPRPLLDISAANFGKIVETAMNTTLDPPFNPYENSLNFLLASYIIPYVGLTGYVGANPRLLTPQARKLVAGLLGVESAQDAVIRALLYEHGLSRVASYGVGVAELTAHISELRNVLGRKGVKDEGLVVAPGQGPEGQTVGNIIAGDRFSLAYDRTPEEILGVVYGSGDPAKAGGFFPQGADGRIARAFIA >ONIVA04G09430.1 pep chromosome:AWHD00000000:4:12742730:12749126:-1 gene:ONIVA04G09430 transcript:ONIVA04G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMGQQKRRPLVVMASAQAAARGGANPLAELTDRVKTLEAGLRAWLAKQPTHVEAAVATAVGAVQGGALGGLMGTLAPDGGSPFPVPQPPPGADPNALASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSIVSGMGSPNPVANAITTGVAFAVFQGGFFMIGQKFSQPPSEDIYYSRGRNMLKQLGLQNYEKNFKKGLLTDQTLPLLNDSALRDVKIPPGPRLLILDHIQSSQVSYFSRRPSNIFTASSCREFKRIEIMIKWKASANSQYQDQLLCFLFQQSQTQ >ONIVA04G09430.2 pep chromosome:AWHD00000000:4:12746729:12749126:-1 gene:ONIVA04G09430 transcript:ONIVA04G09430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMGQQKRRPLVVMASAQAAARGGANPLAELTDRVKTLEAGLRAWLAKQPTHVEAAVATAVGAVQGGALGGLMGTLAPDGGSPFPVPQPPPGADPNALASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSIVSGMGSPNPVANAITTGVAFAVFQGGFFMIGQKFSQPPSEDIYYSRGRNMLKQLGLQNYEKNFKKGLLTDQTLPLLNDSALRDVKIPPGPRLLILDHIQRDPELTKST >ONIVA04G09420.1 pep chromosome:AWHD00000000:4:12740775:12746937:1 gene:ONIVA04G09420 transcript:ONIVA04G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACCCSSSSVPSASILATGAGLRRRFSPAGAGGGGRAVAVAAGRPIRASAALLAAPAPRRRGGVVVRAVFERFTERAVKAVVFSQREARGMGDETVAPHHLLLGLVAEDRSPLGFLASGVRVERAREACRAAVGKEGLAQAPVGLATDVPFSGASKRVFEAAVEFSRNMGCNFISPEHIALGLFNLNDPTTNNVLKSLGVDSSQLAKQALTRVQGELAKDGREPVGLSSFKVREKFTPGGGKSAIGKRILSLDVALLMAGAKERGELEARVTSLIREVRKAGDVILFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFDKDKALARRFQPVLVNEPSQEDAVKILLGLREKYETYHKCKYTLESINAAVYLSARYIADRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSILSKSPDEYWQEIRAVQNMHEVALTNKVKYSLNQNDQEDAVDIELVGEDKTSPASMLSTSTDKPSLVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVLAISKAVKRSRVGLNDPDRPIATLIFCGPTGVGKTELTKALAASYFGSESATVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISNGKRSIGFQTQTDTEEKSYAAMKSLVMEELKAFFRPELLNRIDEVVVFHPLEKTQACCFYRYCTCILPCTFVNTMLAILNIMLQEVKGRILALGIGLEVSDSMKDLISQHGYDKSYGARPLRRAVTQLVEDVISEAILSGQFKPGDTIMMDTDATGKPCLSRLNDQTVQLSDPTPTL >ONIVA04G09420.2 pep chromosome:AWHD00000000:4:12740775:12746937:1 gene:ONIVA04G09420 transcript:ONIVA04G09420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEACCCSSSSVPSASILATGAGLRRRFSPAGAGGGGRAVAVAAGRPIRASAALLAAPAPRRRGGVVVRAVFERFTERAVKAVVFSQREARGMGDETVAPHHLLLGLVAEDRSPLGFLASGVRVERAREACRAAVGKEGLAQAPVGLATDVPFSGASKRVFEAAVEFSRNMGCNFISPEHIALGLFNLNDPTTNNVLKSLGVDSSQLAKQALTRVQGELAKDGREPVGLSSFKVREKFTPGGGKSAIGKRILSLDVALLMAGAKERGELEARVTSLIREVRKAGDVILFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFDKDKALARRFQPVLVNEPSQEDAVKILLGLREKYETYHKCKYTLESINAAVYLSARYIADRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSILSKSPDEYWQEIRAVQNMHEVALTNKVKYSLNQNDQEDAVDIELVGEDKTSPASMLSTSTDKPSLVGSEEIARVTSLWSGIPVQQLTADERKLLVGLDDELRKRVIGQDDAVLAISKAVKRSRVGLNDPDRPIATLIFCGPTGVGKTELTKALAASYFGSESATVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISNGKRSIGFQTQTDTEEKSYAAMKSLVMEELKAFFRPELLNRIDEVVMLAILNIMLQEVKGRILALGIGLEVSDSMKDLISQHGYDKSYGARPLRRAVTQLVEDVISEAILSGQFKPGDTIMMDTDATGKPCLSRLNDQTVQLSDPTPTL >ONIVA04G09410.1 pep chromosome:AWHD00000000:4:12729847:12738069:-1 gene:ONIVA04G09410 transcript:ONIVA04G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSYELVRTDDAAGHVLDLEAGRCAAVYPKGGGGGGGIAPPPVPSPPSSPASARQRLVSLDVFRGITVLLMILVDDAGAFLPAINHSPWDGVTLADFVMPFFLFIVGVALALAYKRVPNKLEATRKAILRALKLFCVGLVLQGGFFHGVRSLTFGIDMEKIRLMGILQRIAIAYIVTALCEIWLKGDDDVDSGFDLLKRNRYQLFIGLIVMITYMGFLYGTYVPDWEYRISVPGSTEKSFFVKCSVRGDTGPGCNAVGMIDRKILGIQHLYCRPQCSINSPQNGPLRPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHKHKERIMKWLIPSFSMLILAFSLDFFGMHMNKPLYTVSYALATAGAAGLLFAGIYALVDMYGHRRPTAVMEWMGTHALMIYVLIACNILPIFIHGFYWREPKNNLSY >ONIVA04G09410.2 pep chromosome:AWHD00000000:4:12729847:12738157:-1 gene:ONIVA04G09410 transcript:ONIVA04G09410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSYELVRTDDAAGHVLDLEAGRCAAVYPKGGGGGGGIAPPPVPSPPSSPASARQRLVSLDVFRGITVLLMILVDDAGAFLPAINHSPWDGVTLADFVMPFFLFIVGVALALAYKRVPNKLEATRKAILRALKLFCVGLVLQGGFFHGVRSLTFGIDMEKIRLMGILQRIAIAYIVTALCEIWLKGDDDVDSGFDLLKRNRYQLFIGLIVMITYMGFLYGTYVPDWEYRISVPGSTEKSFFVKCSVRGDTGPGCNAVGMIDRKILGIQHLYCRPQCSINSPQNGPLRPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHKHKERIMKWLIPSFSMLILAFSLDFFGMHMNKPLYTVSYALATAGAAGLLFAGIYALVDMYGHRRPTAVMEWMGTHALMIYVLIACNILPIFIHGFYWREPKNNLSY >ONIVA04G09410.3 pep chromosome:AWHD00000000:4:12729847:12737698:-1 gene:ONIVA04G09410 transcript:ONIVA04G09410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGAAEAEAEAEAMGGSYELVRTDDAAGHVLDLEAGRCAAVYPKGGGGGGGIAPPPVPSPPSSPASARQRLVSLDVFRGITVLLMILVDDAGAFLPAINHSPWDGVTLADFVMPFFLFIVGVALALAYKRVPNKLEATRKAILRALKLFCVGLVLQGGFFHGVRSLTFGIDMEKIRLMGILQRIAIAYIVTALCEIWLKGDDDVDSGFDLLKRNRYQLFIGLIVMITYMGFLYGTYVPDWEYRISVPGSTEKSFFVKCSVRGDTGPGCNAVGMIDRKILGIQHLYCRPQCSINSPQNGPLRPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHKHKERIMKWLIPSFSMLILAFSLDFFGMHMNKPLYTVSYALATAGAAGLLFAGIYALVDMYGHRRPTAVMEWMGTHALMIYVLIACNILPIFIHGFYWREPKNNLSY >ONIVA04G09400.1 pep chromosome:AWHD00000000:4:12722965:12728641:1 gene:ONIVA04G09400 transcript:ONIVA04G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0A3] MAQLHIYASCGCARARAAIPLPAQAQASAAALPWVMSVTSPPLLRRGGWAMGASDHAAVAAAASGNGKPRARRPSAAAAAVLGEPVAASDDHGLVHPSADFASQALVSSTQQYQEMYRRSIDDPAGFWSEIAETFYWKHKWNPDEVCTENLDVTKGPIKIEWFKGGKTNICYNAVDRNVEAGDGEKIAMYWEGNEPGQDAKLTYSELLNKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIIDCKPKVVITCNAVKRGKKLIALKDIVDVSLADSAKNGVDVGISLTYENQSAMKREDTKWTSGRDVWWQDVVPNFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVYEGAPNYPDPGRCWDVVDKYGVTIFYTAPTLIRALMRDGTEYVTRYSRKSLRVLGSVGEPINPTAWRWFYDIIGDARCPISDTWWQTETGGFMITPLPGAWPLKPGSATFPFFGVQPVIVDEKGKEMEGECSGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSRDKDGYHFLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDELRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVDQLIALADS >ONIVA04G09400.2 pep chromosome:AWHD00000000:4:12723931:12728641:1 gene:ONIVA04G09400 transcript:ONIVA04G09400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0A3] MAGDQYQEMYRRSIDDPAGFWSEIAETFYWKHKWNPDEVCTENLDVTKGPIKIEWFKGGKTNICYNAVDRNVEAGDGEKIAMYWEGNEPGQDAKLTYSELLNKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIIDCKPKVVITCNAVKRGKKLIALKDIVDVSLADSAKNGVDVGISLTYENQSAMKREDTKWTSGRDVWWQDVVPNFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVYEGAPNYPDPGRCWDVVDKYGVTIFYTAPTLIRALMRDGTEYVTRYSRKSLRVLGSVGEPINPTAWRWFYDIIGDARCPISDTWWQTETGGFMITPLPGAWPLKPGSATFPFFGVQPVIVDEKGKEMEGECSGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSRDKDGYHFLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDELRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVDQLIALADS >ONIVA04G09400.3 pep chromosome:AWHD00000000:4:12722965:12723811:1 gene:ONIVA04G09400 transcript:ONIVA04G09400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H0A3] MAQLHIYASCGCARARAAIPLPAQAQASAAALPWVMSVTSPPLLRRGGWAMGASDHAAVAAAASGNGKPRARRPSAAAAAVLGEPVAASDDHGLVHPSADFASQALVSSTQQIRQEWQTATAQS >ONIVA04G09390.1 pep chromosome:AWHD00000000:4:12719448:12719657:-1 gene:ONIVA04G09390 transcript:ONIVA04G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPCVKEGQQHGGRERKGGRGGRAVVKKTSPRLEGGHERVVRCGARVVEDPVGGEACIGRRDGWIRG >ONIVA04G09380.1 pep chromosome:AWHD00000000:4:12718118:12718501:1 gene:ONIVA04G09380 transcript:ONIVA04G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELIASRISQVAACAGKGGHLLLAYDDSATARFGEDTRGRTHSHSRTQHRECPTRVHVLIRPTARAPSSPHALVPTVRPITVRSWYTRDRAGKPFVPWVFFSLESHTGWVHTVCAARCHGFRGAP >ONIVA04G09370.1 pep chromosome:AWHD00000000:4:12708301:12709091:-1 gene:ONIVA04G09370 transcript:ONIVA04G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTVWESRVAFKASPLSVPSQFAALRLLHLSAVLIPFLQVLELQKAGSNGVQLRGHCWGGRQVPRPRPPQQRHDADRRGGVAEDAEELVVRRLGVVRRRRTRVRPQVRLRAGDARRLLQVPAPPQQLARPPVVLSHRRRRAGGGRAVLVLPHRRGAVTQERSLGPRNAQQEEERRRKKEGKNII >ONIVA04G09360.1 pep chromosome:AWHD00000000:4:12700835:12701267:1 gene:ONIVA04G09360 transcript:ONIVA04G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSMQALSIMSCTYIVKTFSCEPVKVKPCIKVKVKDRRAKLPVRSPKFTARVD >ONIVA04G09350.1 pep chromosome:AWHD00000000:4:12682973:12687712:-1 gene:ONIVA04G09350 transcript:ONIVA04G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G16560) TAIR;Acc:AT3G16560] MGRASDAVNAKKMVDEELFDKSSNDHSISSEEEDMLVRSYSNLNVSFGYHCNSYQCFSLDTDEYDISPNKRLETNTMMTSQNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSQGSPVSTESSIFEISKNIWRSSAPTTVSSNFLTSTEIKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQENGLYGSPEGSLNGVKSELTLAMRFAENEDVKFSETFRAGVLKCLTTAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNLGDSRAVLASVPSSGMDKLKAVQLTEIHSLENPLEYQKLLADHPNEPSVVMGNKIKGKLKVTRAFGVGYLKQKKLNDALMGILRVRNLCSPPYVYTNPHTVSHKVTEDDLFVVLGSDGLFDFFSNDEVVQLVYQFMHDNPIGDPAKYLIEQLLLKAAKEAALTAEELMRIPVGSRRKYHDDVTVIVIILGNAQRTMTAVGID >ONIVA04G09350.2 pep chromosome:AWHD00000000:4:12682973:12687087:-1 gene:ONIVA04G09350 transcript:ONIVA04G09350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G16560) TAIR;Acc:AT3G16560] MDASVNAKKMVDEELFDKSSNDHSISSEEEDMLVRSYSNLNVSFGYHCNSYQCFSLDTDEYDISPNKRLETNTMMTSQNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSQGSPVSTESSIFEISKNIWRSSAPTTVSSNFLTSTEIKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQENGLYGSPEGSLNGVKSELTLAMRFAENEDVKFSETFRAGVLKCLTTAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNLGDSRAVLASVPSSGMDKLKAVQLTEIHSLENPLEYQKLLADHPNEPSVVMGNKIKGKLKVTRAFGVGYLKQKKLNDALMGILRVRNLCSPPYVYTNPHTVSHKVTEDDLFVVLGSDGLFDFFSNDEVVQLVYQFMHDNPIGDPAKYLIEQLLLKAAKEAALTAEELMRIPVGSRRKYHDDVTVIVIILGNAQRTMTAVGID >ONIVA04G09350.3 pep chromosome:AWHD00000000:4:12683955:12687712:-1 gene:ONIVA04G09350 transcript:ONIVA04G09350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G16560) TAIR;Acc:AT3G16560] MGRASDAVNAKKMVDEELFDKSSNDHSISSEEEDMLVRSYSNLNVSFGYHCNSYQCFSLDTDEYDISPNKRLETNTMMTSQNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSQGSPVSTESSIFEISKNIWRSSAPTTVSSNFLTSTEIKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQENGLYGSPEGSLNGVKSELTLAMRFAENEDVKFSETFRAGVLKCLTTAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNLGDSRAVLASVPSSGMDKLKAVQLTEIHSLENPLEYQKLLADHPNEPSVVMGNKIKGKLKVTRAFGVGYLKQKKLNDALMGILRVRNLCSPPYVYTNPHTVSHKVTEDDLFVVLGSDGLFDFFSNDEVVQLVYQFMHDNPIGDPAKYLIEQLLLKAAKEAALTAEELMRIPVGSRRKYHDDVTVIVIILGNAQRTMTASTSL >ONIVA04G09350.4 pep chromosome:AWHD00000000:4:12683955:12687087:-1 gene:ONIVA04G09350 transcript:ONIVA04G09350.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G16560) TAIR;Acc:AT3G16560] MDASVNAKKMVDEELFDKSSNDHSISSEEEDMLVRSYSNLNVSFGYHCNSYQCFSLDTDEYDISPNKRLETNTMMTSQNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSQGSPVSTESSIFEISKNIWRSSAPTTVSSNFLTSTEIKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQENGLYGSPEGSLNGVKSELTLAMRFAENEDVKFSETFRAGVLKCLTTAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNLGDSRAVLASVPSSGMDKLKAVQLTEIHSLENPLEYQKLLADHPNEPSVVMGNKIKGKLKVTRAFGVGYLKQKKLNDALMGILRVRNLCSPPYVYTNPHTVSHKVTEDDLFVVLGSDGLFDFFSNDEVVQLVYQFMHDNPIGDPAKYLIEQLLLKAAKEAALTAEELMRIPVGSRRKYHDDVTVIVIILGNAQRTMTASTSL >ONIVA04G09340.1 pep chromosome:AWHD00000000:4:12682479:12682748:-1 gene:ONIVA04G09340 transcript:ONIVA04G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEDYVNKRNEVRREQRRKQLQMEQALAGVSPPAPEPRESPRVPAQCLTLTGGPSTTVGSPTASATAAEAAETVGHRLFDCLKPY >ONIVA04G09330.1 pep chromosome:AWHD00000000:4:12678173:12687665:1 gene:ONIVA04G09330 transcript:ONIVA04G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAQTIRLLTDASIKFRAMGIGPVDAAPPFPPSLKTPTPTSEGKKNKTKFLPKKLPSFFSRPPTCAAHNTDQQEAAREIGKITRTGRAPPATERKNQTKPERDSLTSKKTRFVFFLFSVANCRYQLAGQRTRKSRS >ONIVA04G09320.1 pep chromosome:AWHD00000000:4:12672327:12677932:1 gene:ONIVA04G09320 transcript:ONIVA04G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:forkhead-associated domain-containing protein / FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G07400) TAIR;Acc:AT5G07400] MEAEPPPENRHDDHHPSTSGGGLGYFHLRLLCPAAPLLLLLLRSDRLYSLSLSRRRGPRLRLLASPRRRHGRRRRALLLSTSGCALRLTHRSSSADAVRVNGRPLLRGGCPADLAVGDEVSLLRRGTRYGFLVEKFVSCERPKLAAAEPCGEVLVLRAESLRKRLRAISESHDPLSFLRDSHCVENGSDDVRVKKAREEDVFLPLNLNAPIDAVAEEGLLREDCNLGQGKLEHCTDSAIAKNETDELIQRSKGSCDGNTEQKEYSNENTEQQHNENEGCYSDGSTFFLNRLIGIGSDVKVEQRSGVTLPQLLHPMDSLERVFIATFTSDVPQNLPVTIACHNKETCWSASRESRTAAPFGSYPNLLLVYPQFPEEIAFGKDRKKQGVACHHPKLLVLQRKDSMRVIVTSANLVPRQWHLITNTVWWQDFPCRTSTDYSALFSKVEESKSDFATQLVSFIAFLINEVPSQSYWINEIAKYNFEGAAGYLIASVPGIYARNPHYLESNYCLSRKQILHTKSAHRMFLGSVQTSVVGLSHRFHIPSDAGSKLKALSVLLSKCHVNMHGTTEVILKRNTNIPADANAVSVLVADLDKFTEEDSVHLGFLPREVAKWVSPLSDLGFFTFSGFIYPREALEAAYGATNTKVQLLLYVSKGPEFSQISRLIQDEHFPLLCSLVASLKRSLGLWRLEEVLSHFKWPETLETDFFYSASSIGTSINPQFIASFASAAGKRCNQDLDSEESDPEWGCWTANHELKKPSINLLFPTIDRVKNGACGIQLSRHLLSLPERTWQRLRSTGIFRDAIPHPYERIGHPMHVKVAQRRFESRLGRHSFGWTYCGSHNFSPAAWGQQLPPPKANPTEARAVSSGPRLHICNYELGIILIIPPSAMSKQISGRRHEINDIALPFVVPPPQYKLGDRPATSLAMREAMAEARILQSNDLVLDLSQDTDEDIPDEDDEHVIELSDCSPEEKEEEKIYAETLWEQVDSSQSQGKDQAGQ >ONIVA04G09310.1 pep chromosome:AWHD00000000:4:12668332:12672099:1 gene:ONIVA04G09310 transcript:ONIVA04G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-amylase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G76130) TAIR;Acc:AT1G76130] MPVGARAHWSGRHRRRLAPRALALPAAAAAVSDENFEEQAARNGGIIKHGREILFQAFNWESHKHNWWSNLEEKVVDLAQSGFTSAWLPPPTQSLSPEGYLPQNLYCLDSCYGSLHDLQALLRKMKEHNVRAMADVVINHRVGTTQGSNGMYNRYDGIPVSWDEHAVTSCSGGKGNESTGDNFDGVPNIDHTQPFVRKDIIDWLIWLRESIGFQDFRFDFTKGYAAKFVKEYIEQSKPLFAVGEYWDSCEYSPPDYRLNYNQDKHRQRIINWMDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRSVTFVENHDTGSTQGHWPFPSDHIMEGYAYILTHPGIPTVFYDHFYGKDDSFHGGIAKLMEIRKCQDIHSRSAVKILEASSDLYSAIVDDKLCMKIGDGSWCPSGPEWKLAASGDRYAVWHKARTVYASTMNFIICRLTAFTGPGNLPWEGGLRFLPSEDAD >ONIVA04G09300.1 pep chromosome:AWHD00000000:4:12656634:12658325:1 gene:ONIVA04G09300 transcript:ONIVA04G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYLSHASFPHDLYALRALEGATAAGSLFLDDHGGCAPATPAAAAAGIGHTVLSDLPRSELTCNDNNGAGYGFVPRKRARLDADESAGALMAAAAAQQQRMVLPPHGLVFPGDVQSRAVGCGAASTSGRAGNAAGLSQGLLSQLYHQGVEIDALVRLEVYLSM >ONIVA04G09290.1 pep chromosome:AWHD00000000:4:12646409:12647173:1 gene:ONIVA04G09290 transcript:ONIVA04G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPCRIRSLLRGSGARATPSRRRRARGRRGGQIRPPSIRIQSRRPSPEPQAARRRSAAVQSRRGRGCGAAVRRQRRHGLAVRRRWRSCAKAEAQALRWRRGNEPADTALAGRGASAGWPAGRRRRRRQRVHEVRLARAEASEARSVAAEATVVRGGAVEVPVRCDEAARRGGSGRCGGRRGQWPGEARPVAARRDWPAGGAGAVVPMLRRRFWMAVEQWYMCGRSTGGGRQVKTQSGLGRTGIDDIRASFPS >ONIVA04G09280.1 pep chromosome:AWHD00000000:4:12646210:12647142:-1 gene:ONIVA04G09280 transcript:ONIVA04G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRPRPDWVFTCRPPPVDLPHMYHCSTAIQNLRRSMGTTAPAPPAGQSLRAATGRASPGHWPLLPPHRPLPPRRAASSHRTGTSTAPPRTTVASAATDRASLASALASRTSCTRCLRRRRRPAGQPADAPLPASAVSAGSFPRLHLSACASAFAQLRHRLRTAKPCRLCLRTAAPQPRPRRLCTAALRRLAAWGSGLGLLLWIRIEGGRIWPPRRPRARRRRLGVALAPDPRSRLRIRQGRLAMSFALAVAQRRCRRPEDRSGLRANAATSGEKAPPPPSLRPRHGFRRPAQAAARQKEGSEGWCCGGG >ONIVA04G09270.1 pep chromosome:AWHD00000000:4:12625242:12625442:1 gene:ONIVA04G09270 transcript:ONIVA04G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSEASHGVAGSGVGGRRGGRIRHQQQTGVAGSGVGSRRGGRIRRQHLFPRRIRVDSGNGTAAA >ONIVA04G09260.1 pep chromosome:AWHD00000000:4:12602102:12604459:-1 gene:ONIVA04G09260 transcript:ONIVA04G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQRLAQAFPYDLHAAASGGALFLDELAGECAPPMAAVEGIGGAVVFGGDNGEYGFVERKRPRVAAGLLEDQRAVLAHAMAAPLQGILPFGDVAGRAACAGAASTSGRRMDGAGGISQGLLSQLYHHGDGDAISVVT >ONIVA04G09250.1 pep chromosome:AWHD00000000:4:12595431:12600033:1 gene:ONIVA04G09250 transcript:ONIVA04G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02070) TAIR;Acc:AT3G02070] MTHMFPYDGASSSSTSLSSQKSETDDDRMIAMVLSEEYAKLDGAMAKRLSNLTSIPHVPRINTYFPTYSDATMDHHRLLDRLNVYGLYEVRVSGDGNCQFRALSDQLYRSPDYHKHVRKEIVKQLKACNSLYEGYVPMKYKHYCKKMKKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQYQAPQRDLPSKYKPRKKHWLLF >ONIVA04G09240.1 pep chromosome:AWHD00000000:4:12583419:12591745:-1 gene:ONIVA04G09240 transcript:ONIVA04G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGAVPAAAPVWKGKVKSVPSGDTVVIMDTSKAEEVIPPPEMSVTLSCIIAPSLARRGGMDEPFAWESREYLRRLLIGQDVRFRVEYTASPSGRKFGMVFFAEKNVACMVVAAGLAKVKEQGQKGEISPYLPGALESSIRDLPPSTIGDGRSFDAKGFVAENKGKSLEAIVEHVRDGSTIRVHLIPSFLYVQVYVAGVQAPSMGRRATPPPNAQAGVGNGAANGEASATPAPMAAAQKLLASADIYSEVPPDRFGQEAKHFTETRVLNREVRIVMEGTDNFNNIFGSVYYSDGDVVKDLALDLVQNGLAKYVEWSANVLDPQLKTKLRNADLQVKKEQLRIWTGFKPPVTNTKPIHNQKFTGKVIEVVNGYCLVIADDAEPYGSPSAERRVNLSSIRPPKFEKPSEENKSSEQFARTAKEFLRTRLIGKQVNVSMEYSRRINIADGQIAGPRTNSTETRVLEYGSVFLPSSSHADGETATSSSDSSNNQLGINVAALLVSRGLADITRHRDYEDRSHHYDALIAAHARAEKTKKGYHSKKERHSAIVEYVFSGHRFKVTIPKETCTIAFALSGVRCPGRGEPYSDEAITMMRRRILQRNVEIEINTVDRTGTFLGSLWESNINVASVLLEAGLAKISSFAVDKMPDAQVLLKTEKIAKQKKLKVWENYEEVEVSNVSLYDNKETLKVIVTEVLGAGMFYVQALADEHVEFVRHQLASLDIKDDPAEALEVKELETSKEVATLTKDLPETLDAEDPSSDVAKDESVTSKDIDPLPDDSNTAPFTPMKGEMVLALFRCDNSWNRAMIIGECQGVEGPEFEVFYIDYGNQELVPHSCLRPINLSISSIPPLAKLCSLAFVKVPSLNDYLGQEAAMYLNSILLDNGREFEAIVEERDAASGGKLQGQGTGEILGVTLLDSETDNSINAEMLERGYGQLERRRWDSRERRAAIKKLEEFQEVARKEQLGVWCPKNARKQGMDENEYPVLARAPPPPKKGYDLIKFIASRSD >ONIVA04G09230.1 pep chromosome:AWHD00000000:4:12576015:12579030:-1 gene:ONIVA04G09230 transcript:ONIVA04G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRALLPLLLLSALLVQIRASDPLFYEPFDESFEGRWVLSGKDDYKGVWKHEKSDGHEDYGLLVSEKAKKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYLKYIRPQDAAWDAKEFDNETPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILVDGEEKKKANFLSADDFEPSLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEEATKPEGWLDDEPEEIDDPEANKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKRPMKQNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELDKPDSDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKYEVEKEKEKAEEAAAAAGDGLSEFQKKIFDVLYKLADIPFLEPYKTKIIDVIEKGEKQPNITIGILVSIVVVFVTVLFRILFGGKKPAAPVKPVAEVKKPKSTESEAAGSSGDKEDEKEEEEKDGAAAPRRRSRRET >ONIVA04G09220.1 pep chromosome:AWHD00000000:4:12565407:12565772:-1 gene:ONIVA04G09220 transcript:ONIVA04G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEDGSSGRVVATWSGNGALRLPRRRGRELPGGSLRLSLAAQLRAPRRRRVPSDGGGGGRSARGGIRRRLSCVVLFSSSSGPCAWLFGRAPRSFVEKRRTHRSYGSHLVFPPRRHSTPST >ONIVA04G09210.1 pep chromosome:AWHD00000000:4:12558984:12562050:-1 gene:ONIVA04G09210 transcript:ONIVA04G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEAQLVAAVAQELGLLDQVYHRLKQRMEETNYFSWGVSGDNEMREYLFWEMAPRINATLDSKRYLLVVENLYKPITTSAFTTELRLPPANAWSGSEWVVSATSREVCSKSKSEDDGVYESFSGADIMVLILFALHQSAKDISKAVGQEDDLEHWHRVALRCFHYALLLFPQWHEPPTADNNNGINVTKEELIRHWAAQGFLTASKPPRAVQDNIHNVGIRHHDDVYQVGNIILQTFLEYSLLKLPSAPATKVDEPTDTAAHFLAYHGLVINHLTEDEMFHEEQCLQNMGWIKLLNINIFPCDIFQDMIKLEELLLSMCSNLEELPRSISALSSLLTLEISGTKLTSLPDWMFIEMQQLQLLKLIENKLLVSVPSFNINTRRLVEYVEFFQDFYVQIAQCKTNIRRLEDEQDMMADKLTELANKKSPYGDVYRRYMAKEFSVVAIAPPIRQTKRHVEMSATNRYPHGLYSLLQVAKSISLIDDIYVSCLTKLSSFDKLEDCKLRSCHHMKHVLEYAYSMGQSLQNVRVSQLQSLIHFYKPLEYNDTSNFDSLKHLHLEYCPRLERIVPRESALPSLTTLDILFCYNLKTIFYQHPCEQPINYQLPSLQRMRLQELPLLQHLRDDVNAAISAPAWKELHVRGCWSLRRLPLLRQEHSSQAVEVSGERAWWRKLIWDDDSSTMHSASYKSKLPLPFASFNERATVMSYLR >ONIVA04G09200.1 pep chromosome:AWHD00000000:4:12547910:12551287:-1 gene:ONIVA04G09200 transcript:ONIVA04G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT3G26680) TAIR;Acc:AT3G26680] MGTDIAGDGEPSSPPPLPVPDGLDDNGFPLPSSPTAATAATSFADDFYRSGTDWSSLLAAPSPPPSSEESGKNKSGDSLVQRSLFQAWGIDRRPRREEEVAGVAGGAGAGASSAPSGSWPGRKRRRGGEVEAAADRKPLACPFYKKIPGTPFTVDAFRYGAVEGCNAYFLSHFHHDHYGGLTKKWCHGPIYCTALTARLVKMCLSVNPEYICPLELDKEYVIEGVSVTLLEANHCPGAALIHFRLGDGKKYLHTGDFRASKSMQLYPLLQRGQINLLYLDTTYCNPKYKFPPKEDVIDFAVRTAKRYLQKEPKTLIVVGAYSIGKENVYLAISKALQVPIYTDASRRRILHAFGWSDLSKMICSDSQSSSLHVLPLSSLRHEKYLETLKQRFLAVLAFRPTGWTCSEETGNQLDLIKPSSRGKITIYGVPYSEHSSFSELREFVMFLRPQKVIPTVNVGNAASRDKMQAHFREWLKGFDTMIVPPVPKSEEQPRSSVNVKIVN >ONIVA04G09190.1 pep chromosome:AWHD00000000:4:12541270:12547470:1 gene:ONIVA04G09190 transcript:ONIVA04G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0H079] MSSALEVEGSGSPGVEPAATATASRLKRHDSLFGDAEKVSGRKHHGGSAVSWAVTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIGHHDDLVGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPSSQLRRAQWVKHKLESSRAAKMALFFLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPIISVWFLLIAGIGLYNLVVHEITILKAFNPWYIVQYFRRNGKKGWVSLGGVVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLRKFPENVSDTFYKSIPGKYRDRLNFGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFMVTTHLMTVVMLLIWKKHLVFILLFYCVFGFTEVVYLSSILSKFVDGGYLPFCFAMVLMTMMATWHYVHVRRYWYELDHIVPTAELASLLEENGGVRRVPGVGLLYTELVQGIPPLFPRLVRKIPSVHAVFVFISIKHLPIPHVAAAERFLFRQVGPRARRVFRCVARYGYTDALEEPREFAAFLVDGLKMFIQEESAFAPHQEMIDAAADDDDEAAARPRRSTSSAVHSEEAIQAASSGRTTASSVQLQAGGEPPAAMDVEEEKRLIDREVGRGVVYLMGEANVSAGPNSSILKRIAVNYIYTFLRKNLTEGHRALAIPNDQLLKVGITYEI >ONIVA04G09190.2 pep chromosome:AWHD00000000:4:12541270:12547470:1 gene:ONIVA04G09190 transcript:ONIVA04G09190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0H079] MSSALEVEGSGSPGVEPAATATASRLKRHDSLFGDAEKVSGRKHHGGSAVSWAVTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIGHHDDLVGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPSSQLRRAQWVKHKLESSRAAKMALFFLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPIISVWFLLIAGIGLYNLVVHEITILKAFNPWYIVQYFRRNGKKGWVSLGGVVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLRKFPENVSDTFYKSIPGKYRDRLNFGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFMVTTHLMTVVMLLIWKKHLVFILLFYCVFGFTEVVYLSSILSKFVDGGYLPFCFAMVLMTMMATWHYVHVRRYWYELDHIVPTAELASLLEENGGVRRVPGVGLLYTELVQGIPPLFPRLVRKIPSVHAVFVFISIKHLPIPHVAAAERFLFRQVGPRARRVFRCVARYGYTDALEEPREFAAFLVDGLKMFIQEESAFAPHQEMIDAAADDDDEAAARPRRSTSSAVHSEEAIQAASSGRTTASSVQLQAGGEPPAAMDVEEEKRLIDREVGRGVVYLMGEANVSAGPNSSILKRIAVNYIYTFLRKNLTEGHRALAIPNDQLLKVGITYEI >ONIVA04G09180.1 pep chromosome:AWHD00000000:4:12505590:12512772:-1 gene:ONIVA04G09180 transcript:ONIVA04G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRFAWPYGGQRASFCGSFTGWRECPMGLVGAEFQVVFDLPPGVYQYRFLVDGVWRCDETKPCVRDEYGLISNEVLVDNTHPVVQPETSIRVVSMDEGTILTTMPPDQLSQNSGVQIAIFRHRVSEILLHNTIYDVVPVSSKIAVLDARLPVKQAFKIMHDEGLSLVPLWDDQQQTVTGMLTASDFVLILRKLQRNIRTLGHEELEMHSVSAWKEAKLQFYGGPDVAAIQRRPLIHVKDSDNLRDVALAIIRNEISSVPIFKSSTDSSGMPLLGLATLPGIVKFICSKLQEQPEGYSFLQNQIVSMPIGTWSPHTGKASNRQLRTSRPSTPLNSCLDLLLEDRVSSIPIVDDNGALLDVYSLSDIMALGKNDVYTRIELEQVTVEHALELQYQVNGRRHCHTCLSTSTFLEVLEQLSAPGVRRVVVIEPRSRFVQGIISLRDAFTFLIG >ONIVA04G09170.1 pep chromosome:AWHD00000000:4:12503697:12504683:1 gene:ONIVA04G09170 transcript:ONIVA04G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPDVVSHNALVHGYVKAGRLGLAVRVFEGMPERDAVSWGTVVAGCAKAGRLEKAVRLFDRMRREGYRPDDVALAAVLSCCAQLGALDKGQEVHEYVRRTRPRPNVYLCTGIVDLYAKCGRVEVAREVFDACPEKNVFTWNALIVGLAMHGHGTVALDYFDRMLVEGFRPDGTTFLGVLIGCSHAGLVDTARRIFYEMQHNHGVPRELKHYGCMADLLGRAGLIDEAMEMISSMPMEADTYVWGGILAGCRMHGNNVEFAEVAARRLLELNPDDGGVYSAMAGIYADAGRWEDVARVRRSMDEMVGMRNVGRSSIAMELKDGIKMLS >ONIVA04G09160.1 pep chromosome:AWHD00000000:4:12496354:12498451:-1 gene:ONIVA04G09160 transcript:ONIVA04G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRGELEIEKIVYEKDTVVVSGPFDAEKLSCKLWCKAGKIIKDIKIKPPEEKKKPEPKPDEKKPDPKPKPDPCKLIPFPYPYVYPPPPPCGGCATPHCCDCHPKPPPPAPAPAKPACGCPAWSSPCHCYPPPAMPPYPPVLVCDEGPQYGACAVM >ONIVA04G09150.1 pep chromosome:AWHD00000000:4:12477753:12492748:-1 gene:ONIVA04G09150 transcript:ONIVA04G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKMGILVISVDLQCCRCDAKIRKVLGCLEEEYCIEKVEYDVKNNRVIVRGKFDPEKLCKKIWCKAGKIIKEILIVDVWPPPSPPPCKPPPCEKPPEDCKPKPCHCCSCEKPKPKPKPCHCEKPKPCHCEKPKPCEKPPPCKPEEPPKPPPEKPPPKPECKLVPYPYPVPYPYAGQWCCPKPEPPKPPPEPPKEPEPPKPCGCSHAFVCVCKPAPPPPPPCGPWPPQVWPPPPVCPPPPWCYTEDNANACSIISTMGILVISADLKCCRCKEKLSKILCSLRGKHCKYCKMFLFLCSVVDLSAFRADKYGIEKTEYEDKDDRVIVRGNFPADKLRSVIWCKAGRKLVRDIAVVDVWPPPPPKKKPEKAGDPTPAPAVANPPPAATVAATPPKGDGAGNKGEKQPKTPPPPPPPPPPPPPVPLPYPWPPCYYPPMQHCQTSSTTWTGSCPTQCHCCPKPPPPPETKTKACEYCSRDHCHGGCKITPPPPSRCGGCGHADCGGWCGHRPINCPPPPPPPSRCGGCGHGDCGGWCGHRPINCPPPPPCCQQQQWGGGCQYDENACSVILLPCSITGSD >ONIVA04G09150.2 pep chromosome:AWHD00000000:4:12472541:12477747:-1 gene:ONIVA04G09150 transcript:ONIVA04G09150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVITVNMQCCRCKEKIDKILNCLRCKHCIEKIEYEGEKVIVRGGFCAEELRKCIWRKAGCKVIVSIVIVEVWPPPKPKPVEVNVSVKTEVTAEAKAAAEAAARAAVAVVIKERGLQQHCGGKPPGCKMVPFPYPVPYPVPCKCCPPPPPPPCPQRPCSPPPCPRPPPPCPQRSCSPPPCPRPCSPPPCPRPPCPPPPQHFCQQRHCSSQWGGSCNCNVNVMVCEQEVATMAILVITVNMQCCRCKEKIDKILNCLRCKHCIEKIEYEGEKVIVRGSFCAEELRTCIWRKAGCKIIVSIVIVEPSCGGCVPPPPRCKCGVDGCGGGCSGGHGGECGGSGGGCKKPCCSPPPCPWQPVCPPPPCGGGGCKKPCCSPPPCSWQPVCPPPPCGGGGYVVYEQPEGCSVIILSHPNLIPPYNGT >ONIVA04G09150.3 pep chromosome:AWHD00000000:4:12477753:12489519:-1 gene:ONIVA04G09150 transcript:ONIVA04G09150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSQYIDDGYTGHLGRPEMLPLQGEALQNPLQPERQAYGIEKTEYEDKDDRVIVRGNFPADKLRSVIWCKAGRKLVRDIAVVDVWPPPPPKKKPEKAGDPTPAPAVANPPPAATVAATPPKGDGAGNKGEKQPKTPPPPPPPPPPPPPVPLPYPWPPCYYPPMQHCQTSSTTWTGSCPTQCHCCPKPPPPPETKTKACEYCSRDHCHGGCKITPPPPSRCGGCGHADCGGWCGHRPINCPPPPPPPSRCGGCGHGDCGGWCGHRPINCPPPPPCCQQQQWGGGCQYDENACSVILLPCSITGSD >ONIVA04G09150.4 pep chromosome:AWHD00000000:4:12472541:12477747:-1 gene:ONIVA04G09150 transcript:ONIVA04G09150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVITVNMQCCRCKEKIDKILNCLRCKHCIEKIEYEGEKVIVRGGFCAEELRKCIWRKAGCKVIVSIVIVEVWPPPKPKPVEVNVSVKTEVTAEAKAAAEAAARAAVAVVIKERGLQQHCGGKPPGCKMVPFPYPVPYPVPCKCCPPPPPPPCPQRPCSPPPCPRPPPPCPQRSCSPPPCPRPCSPPPCGGCVPPPPRCKCGVDGCGGGCSGGHGGECGGSGGGCKKPCCSPPPCPWQPVCPPPPCGGGGCKKPCCSPPPCSWQPVCPPPPCGGGGYVVYEQPEGCSVIILSHPNLIPPYNGT >ONIVA04G09150.5 pep chromosome:AWHD00000000:4:12490243:12492748:-1 gene:ONIVA04G09150 transcript:ONIVA04G09150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKMGILVISVDLQCCRCDAKIRKVLGCLEEEYCIEKVEYDVKNNRVIVRGKFDPEKLCKKIWCKAGKIIKEILIVDVWPPPSPPPCKPPPCEKPPEDCKPKPCHCCSCEKPKPKPKPCHCEKPKPCHCEKPKPCEKPPPCKPEEPPKPPPEKPPPKPECKLVPYPYPVPYPYAGQWCCPKPEPPKPPPEPPKEPEPPKPCGCSHAFVCVCKPAPPPPPPCGCSGGHGNCGCGIRPWPPQVWPPPPVCPPPPWCYTEDNANACSIM >ONIVA04G09150.6 pep chromosome:AWHD00000000:4:12477753:12489773:-1 gene:ONIVA04G09150 transcript:ONIVA04G09150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSANIIKHDYRDKYGIEKTEYEDKDDRVIVRGNFPADKLRSVIWCKAGRKLVRDIAVVDVWPPPPPKKKPEKAGDPTPAPAVANPPPAATVAATPPKGDGAGNKGEKQPKTPPPPPPPPPPPPPVPLPYPWPPCYYPPMQHCQTSSTTWTGSCPTQCHCCPKPPPPPETKTKACEYCSRDHCHGGCKITPPPPSRCGGCGHADCGGWCGHRPINCPPPPPPPSRCGGCGHGDCGGWCGHRPINCPPPPPCCQQQQWGGGCQYDENACSVILLPCSITGSD >ONIVA04G09140.1 pep chromosome:AWHD00000000:4:12462108:12463784:-1 gene:ONIVA04G09140 transcript:ONIVA04G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASILVITVDLKCCRCRAKITKDDKVIVRGKFDAGCLRNKICCKAGGKVVKDIKTVDAWPPPKEQVCKLVPFPVPYPAPPPPSCCPPSTQQCYHCCPEPPPPPPKPKPCECTYCGGHGGGCNKPAVPPCGGGCSISDGGACGASCKPPPPPPPAIWPPQPSFYSYPPPPCGGYKFACEENSDVCAIM >ONIVA04G09130.1 pep chromosome:AWHD00000000:4:12449193:12452844:-1 gene:ONIVA04G09130 transcript:ONIVA04G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGNDATATDEAERRGGIGGWFSHLPPLSEEKKMGASILVITVDLKCCRCRAKITKVLDCLKEEYCIEKTEFEEKDDKVIVRGKFDAGSLRNKICCKAGGKVVKDIKTVDAWPPPKEPEKKKKDEKPVCKLVPFPVPYPAPPPPPACCPPSTHQCYHCCPAPPKPKPKPCECTHHCGGHGGGCNKPAVSPCGGGCSISDGGACGASCKPPPPPAAIWPPQPSFYYYPPPPCGGYKFACEENSDVCVIM >ONIVA04G09120.1 pep chromosome:AWHD00000000:4:12442978:12443862:1 gene:ONIVA04G09120 transcript:ONIVA04G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFSSYFYSSSAAAAGGGGGGGEKKSSSSSASKKKQQQAAAAEGGNNQTRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARSLRGARARTNFAYPDLPPGSSVTPYLSPDLSADASDQLLQPFYANPSAAAALPTPAAVMAGGGGVEFGGEYMYGGGVDMSSLMDDIAAMPDDLPPSVTGGGGGGFASSDEYSSGGGGMVDDVSMYGGGGGSSWCDASDFASYSSSPAAAAAAAAAAGSHGMYFEEGYVHSPLFSPMPAVDDAGADGFQLGGSSSSYYY >ONIVA04G09110.1 pep chromosome:AWHD00000000:4:12422661:12424323:1 gene:ONIVA04G09110 transcript:ONIVA04G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPSLLINWFLCGHHSSSYSLDTIIGFSLEDNNLWLRHLLNLVVQVVLTLYIFWKSAIGPNMNKVELVMSILLFVTGTIKYGERTWALQYGVLRTLAASLPRYALQLRNSFQKSLPICPGCDRDKYIGQLLDNADSGTNDDDDPFPEPLVLSIALCSMPSALRLFWDNDTICIVMNEWVLVRAYQLPKALEILEIELGLVYDYIYTKAVVFQARRGIALRCISQASFLAVFLLFLVSNIQRHNSINTVITYALFLASIVMRLQRRRVWWSNSMGQYKQRNHLAKYDEEEKRSRSWSWKRGVVGGKIRKVVDAVCGEKVKFWISKQLDINFAKVDKEIIRCIFNKVVECAAEAHQSQNSLPAQEWSNLSVLLKNLLETPDGTLSWETIVVLHVYTKVQLKLFSHASPSESTSAALVELVHKLSNYMLYLLATQHEMLPVSKSTGESNRGVGSHFAKIDIEAAETEMLTNTGVQLLGSCTKEQLLETKQAWLRLLIFFAGKSRPEMHTAQLAAGGELLTFVWLFMAFKDIGASVISRIEISDL >ONIVA04G09100.1 pep chromosome:AWHD00000000:4:12396604:12398370:-1 gene:ONIVA04G09100 transcript:ONIVA04G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVTAAADAVVALLSLTMAVAAPLFDAQVVLPRGLYPAPLVGIHRWFAAEFGHYLVADPPPFFRGLVWLDLAFLWPVCVANLYGILARRPWSAATSIMAGVYVLTYMSAIFGEMLGSGRTTPKLIQLYVPFALAAFCVVSAHAQHRLLLLHLMPQLLGRKGSRSDAIRWERQPSVMVLAPNCLLVTLKMVGPYMRANKVISRFKLSFYLFFFQIDVL >ONIVA04G09100.2 pep chromosome:AWHD00000000:4:12396604:12397405:-1 gene:ONIVA04G09100 transcript:ONIVA04G09100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGICKGIAVALERDLEDMAVMLNAKAGGVTWVLAIRWERQPSVMVLAPNCLLVTLKMVGPYMRANKVISRFKLSFYLFFFQIDVL >ONIVA04G09100.3 pep chromosome:AWHD00000000:4:12397585:12398370:-1 gene:ONIVA04G09100 transcript:ONIVA04G09100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVTAAADAVVALLSLTMAVAAPLFDAQVVLPRGLYPAPLVGIHRWFAAEFGHYLVADPPPFFRGLVWLDLAFLWPVCVANLYGILARRPWSAATSIMAGVYVLTYMSAIFGEMLGSGRTTPKLIQLYVPFALAAVTAVLRGFCSCSAQATAVASHAPTARKKRL >ONIVA04G09090.1 pep chromosome:AWHD00000000:4:12390109:12391449:-1 gene:ONIVA04G09090 transcript:ONIVA04G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLPRLPRRQRRPGPELRVPARGLLEARVPWVRDRALDHVVERERHLVPFLLAKDALLSAAPPPHAVPLHSLPSTIPFPFRPLRFLRLYPSAFALSPHPVAVSPTPRLAGIHSAEAQVLDSTRADAADRLLRLLMLAPARALPLHLVARLRLDLGLAPDFPRSLLPNYPDYFALSRDGALLELVCYRKDLAVSAMQSYAQRTGGYKVGDAVPFPLSFPRGFELDKKVRKWLDDWQRLPYISPYEDGSHLTPRSDITEKRTAAVLHEVLSLTVGKKMEKEVLVKIGEALRLPPGFRKVLARHPGIFYLSHKLRTQTVVLRESFRRHMLVDKHPMMGIRYQYLHLMHMGQEEAGKRKGKGRKTVRGEQMIGEEFGAEGENDDEEDEEYDDDEEEDMEAGVASGDEDSDDDDDDEEGEKEDMEAGVASGDEDSDDEDADDTDHAAKG >ONIVA04G09080.1 pep chromosome:AWHD00000000:4:12384672:12395729:1 gene:ONIVA04G09080 transcript:ONIVA04G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASGGGGGGERATKRSAFHIDYGGGVSLRRLAQPEALARGMITQGSAQLRTLGRSLRTGAAMAVVFQEDLKNTSRKIFDPQDRLLVRLNRSFVVSCIVSIAVDPVFFYAPQVTANGGNLCVGISRDLAISASVVRTVVDLFFAARIVLQFRTAYIAPSSRVFGRGELVIDTAQIAARYFRRFFAADLLSVLPLPQIVIWKFLHRSKGAAVLSTKDALLIIVFLQYIPRVVRIYPLSSELKRTSGAFAESAYAGAAYYLLWYMLASHIVGASWYLLSIERVSDCWKKACNEFPGCNKIYMYCGNDHQKGFLEWRTITRQYINETCEPRDGVMPFNYGIYTPAVRSDVIKSNDFTSKLLYCLWWGLANLSTLGQGLQTSIYTGEALFSIFLATFGLILMAMLIGNIQTYLQSMTVRLEEMRVKRRDSEQWMHHRLLPQELRERVRRYDAYKWVNTRGVDEEALVANLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLRPALYTERTFIIREGDPVDQMLFIIRGCLESITTDGGRSGFFNRSLLEESDFCGEELLTWALDPKAGLSLPSSTRTVRALSEVEAFALHSDELKFVAGQFRRMHSKQVQHTFRFYSQQWRTWAATYIQAAWRRHLKRRAAELRRRGSRPPCWCRASPPTPCAASTGSDPGGPTRSS >ONIVA04G09080.2 pep chromosome:AWHD00000000:4:12385126:12395729:1 gene:ONIVA04G09080 transcript:ONIVA04G09080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASGGGGGGERATKRSAFHIDYGGGVSLRRLAQPEALARGMITQGSAQLRTLGRSLRTGAAMAVVFQEDLKNTSRKIFDPQDRLLVRLNRSFVVSCIVSIAVDPVFFYAPQVTANGGNLCVGISRDLAISASVVRTVVDLFFAARIVLQFRTAYIAPSSRVFGRGELVIDTAQIAARYFRRFFAADLLSVLPLPQIVIWKFLHRSKGAAVLSTKDALLIIVFLQYIPRVVRIYPLSSELKRTSGAFAESAYAGAAYYLLWYMLASHIVGASWYLLSIERVSDCWKKACNEFPGCNKIYMYCGNDHQKGFLEWRTITRQYINETCEPRDGVMPFNYGIYTPAVRSDVIKSNDFTSKLLYCLWWGLANLSTLGQGLQTSIYTGEALFSIFLATFGLILMAMLIGNIQTYLQSMTVRLEEMRVKRRDSEQWMHHRLLPQELRERVRRYDAYKWVNTRGVDEEALVANLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLRPALYTERTFIIREGDPVDQMLFIIRGCLESITTDGGRSGFFNRSLLEESDFCGEELLTWALDPKAGLSLPSSTRTVRALSEVEAFALHSDELKFVAGQFRRMHSKQVQHTFRFYSQQWRTWAATYIQAAWRRHLKRRAAELRRRGSRPPCWCRASPPTPCAASTGSDPGGPTRSS >ONIVA04G09080.3 pep chromosome:AWHD00000000:4:12391701:12395495:1 gene:ONIVA04G09080 transcript:ONIVA04G09080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASGGGGGGERATKRSAFHIDYGGGVSLRRLAQPEALARGMITQGSAQLRTLGRSLRTGAAMAVVFQEDLKNTSRKIFDPQDRLLVRLNRSFVVSCIVSIAVDPVFFYAPQVTANGGNLCVGISRDLAISASVVRTVVDLFFAARIVLQFRTAYIAPSSRVFGRGELVIDTAQIAARYFRRFFAADLLSVLPLPQIVIWKFLHRSKGAAVLSTKDALLIIVFLQYIPRVVRIYPLSSELKRTSGAFAESAYAGAAYYLLWYMLASHIVGASWYLLSIERVSDCWKKACNEFPGCNKIYMYCGNDHQKGFLEWRTITRQYINETCEPRDGVMPFNYGIYTPAVRSDVIKSNDFTSKLLYCLWWGLANLSTLGQGLQTSIYTGEALFSIFLATFGLILMAMLIGNIQTYLQSMTVRLEEMRVKRRDSEQWMHHRLLPQELRERVRRYDAYKWVNTRGVDEEALVANLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLRPALYTERTFIIREGDPVDQMLFIIRGCLESITTDGGRSGFFNRSLLEESDFCGEELLTWALDPKAGLSLPSSTRTVRALSEVEAFALHSDELKFVAGQFRRMHSKQTTMLVSRFAANAMRGVHRQRSRRADEVLMMPMPKPSEPDFGADY >ONIVA04G09080.4 pep chromosome:AWHD00000000:4:12391701:12395495:1 gene:ONIVA04G09080 transcript:ONIVA04G09080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASGGGGGGERATKRSAFHIDYGGGVSLRRLAQPEALARGMITQGSAQLRTLGRSLRTGAAMAVVFQEDLKNTSRKIFDPQDRLLVRLNRSFVVSCIVSIAVDPVFFYAPQVTANGGNLCVGISRDLAISASVVRTVVDLFFAARIVLQFRTAYIAPSSRVFGRGELVIDTAQIAARYFRRFFAADLLSVLPLPQIVIWKFLHRSKGAAVLSTKDALLIIVFLQYIPRVVRIYPLSSELKRTSGAFAESAYAGAAYYLLWYMLASHIVGASWYLLSIERVSDCWKKACNEFPGCNKIYMYCGNDHQKGFLEWRTITRQYINETCEPRDGVMPFNYGIYTPAVRSDVIKSNDFTSKLLYCLWWGLANLSTLGQGLQTSIYTGEALFSIFLATFGLILMAMLIGNIQTYLQSMTVRLEEMRVKRRDSEQWMHHRLLPQELRERVRRYDAYKWVNTRGVDEEALVANLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLRPALYTERTFIIREGDPVDQMLFIIRGCLESITTDGGRSGFFNRSLLEESDFCGEELLTWALDPKAGLSLPSSTRTVRALSEVEAFALHSDELKFVAGQFRRMHSKQVQHTFRFYSQQWRTWAATYIQAAWRRHLKRRAAELRRREEEEEEAAAIRSSTGLKTTMLVSRFAANAMRGVHRQRSRRADEVLMMPMPKPSEPDFGADY >ONIVA04G09070.1 pep chromosome:AWHD00000000:4:12382757:12383836:1 gene:ONIVA04G09070 transcript:ONIVA04G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGWQQWRWRMASGGWGWGGGAGGCGWGWGGGGGEDQLSPTASWAEGLGENEEKDEEEERVVETDTWVPLS >ONIVA04G09060.1 pep chromosome:AWHD00000000:4:12359413:12361239:1 gene:ONIVA04G09060 transcript:ONIVA04G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTPGYAHIQRQHGRCSTTAGGRGASNSVRFSARAVSSVPHAAAASSAPAFLPVPFVPGADAPSPSGKSAIGVPKAPRKGEEGKRLNFFQRAAAMALDAFEEGFVANVLERPHGLPSTADPAVQIAGNFAPVGETPPARALPVSGRIPPFINGVYARNGANPHFDPVAGHHLFDGDGMVHAVRIRNGAAESYACRFTETARLRQERAMGRPMFPKAIGELHGHSGIARLALFYARAACGLLDPSHGTGVANAGLVYFNGRLLAMSEDDLPYQVRVTADDDLETVGRYDFDGQLGCAMIAHPKLDPATGELHALSYDVIKKPYLKYFYFAPDGTKSADVEIPLDQPTMIHDFAITENYVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHAADASEMVWVDVPDCFCFHLWNAWEEADTDEVVVIGSCMTPADSIFNESDDRLESVLTEIRLNTRTGESTRRAILPPSSQVNLEVGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGRFGGEPCFVPMDAAAATPRGEDDGYILSFVHDERAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFITGDELTTQA >ONIVA04G09050.1 pep chromosome:AWHD00000000:4:12333446:12338047:1 gene:ONIVA04G09050 transcript:ONIVA04G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSKKKEEEEVAVVAARKGRLRQRYDGEYRLVAGCVPYRVVAGGGGGGELEVLMVSTPNRADLVFPKGGWEDDEDVYEAACREAMEEAGVKGNINRVSLGMWVMRSKSSQSGGGGEASRSPRGGACKGYMFELEVTEEMDRWPEQATHGRRWLPPADAFRLSRYGWMREALAALLDRRRLLLLPPPQPEPSEHAGVYGLAMLKAAAAAAADRAVALC >ONIVA04G09040.1 pep chromosome:AWHD00000000:4:12322309:12326838:1 gene:ONIVA04G09040 transcript:ONIVA04G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H053] MVLSNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKND >ONIVA04G09030.1 pep chromosome:AWHD00000000:4:12320816:12321148:-1 gene:ONIVA04G09030 transcript:ONIVA04G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGSNRAVRCAAAVAFIVVVVVVGSADGRGGGRGGHVLHGGGGGDDDPGNFRSSMVDCMMECAAEVVSCSSACAGKPRGEAPTCAADCVHGDISCLAGCGAPAPPAA >ONIVA04G09020.1 pep chromosome:AWHD00000000:4:12304514:12319608:-1 gene:ONIVA04G09020 transcript:ONIVA04G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAAAAAVAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLNLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSEVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVLNLNQESISVFSVITDGKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYESPDLQYVSTYYASKYAAKIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLNLGGWLKQMIKKELVSQLQGKLKALSLLIYGDIEGNLMSLSNYMLSQMQRMEFLQHILHIDGCSIWEETLTAVLEECAKREVLESPAAIYSSFNGSIALHVYRGYDGLVDVKCREELNKLDDLLGPPMSIPLMGWSSYKEMVKMLHSSWGPLVEKLATIGQLQLVRNLVSFKLRSACKVRENTISSAVDILSSSVCLQNGRFETGAEDHNVRLFLNNIKDQQNFCGLLSPLQAIYISEEPPMFLTRLLCIFSISQLPKYVLDIHLGSLTNPLKKSVADFSALVIGLGTLLQQFGPSHITQYIEFMIQYIRMAEAAFNPTPVTNKGSAHSSEAPKALYWVMSFCNKIT >ONIVA04G09020.2 pep chromosome:AWHD00000000:4:12304514:12319608:-1 gene:ONIVA04G09020 transcript:ONIVA04G09020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAAAAAVAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLNLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSEVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVLNLNQESISVFSVITDGKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYESPDLQYVSTYYASKYAAKIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLRMEFLQHILHIDGCSIWEETLTAVLEECAKREVLESPAAIYSSFNGSIALHVYRGYDGLVDVKCREELNKLDDLLGPPMSIPLMGWSSYKEMVKMLHSSWGPLVEKLATIGQLQLVRNLVSFKLRSACKVRENTISSAVDILSSSVCLQNGRFETGAEDHNVRLFLNNIKDQQNFCGLLSPLQAIYISEEPPMFLTRLLCIFSISQLPKYVLDIHLGSLTNPLKKSVADFSALVIGLGTLLQQFGPSHITQYIEFMIQYIRMAEAAFNPTPVTNKGSAHSSEAPKALYWVMSFCNKIT >ONIVA04G09020.3 pep chromosome:AWHD00000000:4:12304514:12319608:-1 gene:ONIVA04G09020 transcript:ONIVA04G09020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAAAAAVAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLNLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSEVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVLNLNQESISVFSVITDGKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYESPDLQYVSTYYASKYAAKIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLNLGGWLKQMIKKELVSQLQGKLKALSLLIYGDIEGNLMSLSNYMLSQMQRMEFLQHILHIDGSPAAIYSSFNGSIALHVYRGYDGLVDVKCREELNKLDDLLGPPMSIPLMGWSSYKEMVKMLHSSWGPLVEKLATIGQLQLVRNLVSFKLRSACKVRENTISSAVDILSSSVCLQNGRFETGAEDHNVRLFLNNIKDQQNFCGLLSPLQAIYISEEPPMFLTRLLCIFSISQLPKYVLDIHLGSLTNPLKKSVADFSALVIGLGTLLQQFGPSHITQYIEFMIQYIRMAEAAFNPTPVTNKGSAHSSEAPKALYWVMSFCNKIT >ONIVA04G09020.4 pep chromosome:AWHD00000000:4:12304514:12319608:-1 gene:ONIVA04G09020 transcript:ONIVA04G09020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAAAAAVAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLNLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSEVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVLNLNQESINLQYVSTYYASKYAAKIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLNLGGWLKQMIKKELVSQLQGKLKALSLLIYGDIEGNLMSLSNYMLSQMQRMEFLQHILHIDGCSIWEETLTAVLEECAKREVLESPAAIYSSFNGSIALHVYRGYDGLVDVKCREELNKLDDLLGPPMSIPLMGWSSYKEMVKMLHSSWGPLVEKLATIGQLQLVRNLVSFKLRSACKVRENTISSAVDILSSSVCLQNGRFETGAEDHNVRLFLNNIKDQQNFCGLLSPLQAIYISEEPPMFLTRLLCIFSISQLPKYVLDIHLGSLTNPLKKSVADFSALVIGLGTLLQQFGPSHITQYIEFMIQYIRMAEAAFNPTPVTNKGSAHSSEAPKALYWVMSFCNKIT >ONIVA04G09020.5 pep chromosome:AWHD00000000:4:12304514:12319608:-1 gene:ONIVA04G09020 transcript:ONIVA04G09020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAAAAAVAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLNLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSEVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVLNLNQESINLQYVSTYYASKYAAKIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLNLGGWLKQMIKKELVSQLQGKLKALSLLIYGDIEGNLMSLSNYMLSQMQRMEFLQHILHIDGSPAAIYSSFNGSIALHVYRGYDGLVDVKCREELNKLDDLLGPPMSIPLMGWSSYKEMVKMLHSSWGPLVEKLATIGQLQLVRNLVSFKLRSACKVRENTISSAVDILSSSVCLQNGRFETGAEDHNVRLFLNNIKDQQNFCGLLSPLQAIYISEEPPMFLTRLLCIFSISQLPKYVLDIHLGSLTNPLKKSVADFSALVIGLGTLLQQFGPSHITQYIEFMIQYIRMAEAAFNPTPVTNKGSAHSSEAPKALYWVMSFCNKIT >ONIVA04G09020.6 pep chromosome:AWHD00000000:4:12304514:12319608:-1 gene:ONIVA04G09020 transcript:ONIVA04G09020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAAAAAVAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSEVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVLNLNQESISVFSVITDGKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYESPDLQYVSTYYASKYAAKIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLRMEFLQHILHIDGSPAAIYSSFNGSIALHVYRGYDGLVDVKCREELNKLDDLLGPPMSIPLMGWSSYKEMVKMLHSSWGPLVEKLATIGQLQLVRNLVSFKLRSACKVRENTISSAVDILSSSVCLQNGRFETGAEDHNVRLFLNNIKDQQNFCGLLSPLQAIYISEEPPMFLTRLLCIFSISQLPKYVLDIHLGSLTNPLKKSVADFSALVIGLGTLLQQFGPSHITQYIEFMIQYIRMAEAAFNPTPVTNKGSAHSSEAPKALYWVMSFCNKIT >ONIVA04G09020.7 pep chromosome:AWHD00000000:4:12311177:12319608:-1 gene:ONIVA04G09020 transcript:ONIVA04G09020.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAAAAAVAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHMYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLNLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSEVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVLNLNQESISVFSVITDGKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYESPDLQYVSTYYASKYAAKIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLNLGGWLKQMIKKELVSQLQGKLKALSLLIYGDIEGNLMSLSNYMLSQMQRMEFLQHILHIDGCSIWEETLTAVLEECAKREVLEFMGCMQPSTNMVKPSNHMSNPGTFFGNILD >ONIVA04G09010.1 pep chromosome:AWHD00000000:4:12302660:12303995:-1 gene:ONIVA04G09010 transcript:ONIVA04G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRTIPVIFGILFYILASTATATDAPDYVVQGRVYCDTCRAGFETNVTEYIKGAKVRLECKHFGTDKVERAIDGVTDETGTYKIELKDSHEEDICEVVLVHSPLANCSEIEAERDRARVLLTRNVGICDNLRLANPLGYLKDIPLPICGALLKQFDLADDDNESSSPVEALVTGLQVYSLWVWKLASKAIQDLVERISWLGWLWKQHGLLH >ONIVA04G09000.1 pep chromosome:AWHD00000000:4:12300185:12305933:1 gene:ONIVA04G09000 transcript:ONIVA04G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTVGWWLLAAAVVLAAAAADSGEAERAAEQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVNKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISHKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQENHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGVYLQPSDKRLNWTAGPVGDLKAW >ONIVA04G09000.2 pep chromosome:AWHD00000000:4:12300185:12305933:1 gene:ONIVA04G09000 transcript:ONIVA04G09000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTVGWWLLAAAVVLAAAAADSGEAERAAEQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVNKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISHKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQENHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGVYLQPSDKRLNWTAGPVGDLKAW >ONIVA04G09000.3 pep chromosome:AWHD00000000:4:12300185:12305933:1 gene:ONIVA04G09000 transcript:ONIVA04G09000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTVGWWLLAAAVVLAAAAADSGEAERAAEQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVNKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISHKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQENHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGVYLQPSDKRLNWTAGPVGDLKAW >ONIVA04G08990.1 pep chromosome:AWHD00000000:4:12294881:12297858:1 gene:ONIVA04G08990 transcript:ONIVA04G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit Rieske, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0H040] MLRVAGRRLSSSLSWRPAATAAAAAGGPRGGPLAGKNDDDDNNGNGRVQPRFSIESPFFAAARGFSSSETLVPRNQDTGLAELPATVAALKNPNPKVVYDEYNHERHAPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIALANSVDVGSLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >ONIVA04G08980.1 pep chromosome:AWHD00000000:4:12286485:12291960:1 gene:ONIVA04G08980 transcript:ONIVA04G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSASRALAAAKPRSPEPFAAAAAVLLRRQLAAGMAAAPASSASAAGNREAFRVAVTNTLERRLFYVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKDKLEKDNTLSPEKTAEFNHVLAVLDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEARFSQNGVISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFRDVSDLEFLMFPREQQLTGKSAMKLNIGQAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSFGWIECVGIADRSAYDLRAHSDKSGVALEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMSETEALNMKSALESKGEVEFKVCTLGKDVTIKKSMVSINMEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKIEKFEVVAKKISKALTAAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAAEEEEASET >ONIVA04G08980.2 pep chromosome:AWHD00000000:4:12286485:12291960:1 gene:ONIVA04G08980 transcript:ONIVA04G08980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSASRALAAAKPRSPEPFAAAAAVLLRRQLAAGMAAAPASSASAAGNREAFRVAVTNTLERRLFYVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKDKLEKDNTLSPEKTAEFNHVLAVLDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGLLRVREFTLAEIEHFVDPEDKSHPKFRDVSDLEFLMFPREQQLTGKSAMKLNIGQAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSFGWIECVGIADRSAYDLRAHSDKSGVALEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMSETEALNMKSALESKGEVEFKVCTLGKDVTIKKSMVSINMEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKIEKFEVVAKKISKALTAAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAAEEEEASET >ONIVA04G08970.1 pep chromosome:AWHD00000000:4:12279497:12280702:-1 gene:ONIVA04G08970 transcript:ONIVA04G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQCRTAAAVARFPLANSIGGDKVEGGKLITMGRRSDYLIVLFDLYFSMRLASWQSIVDAIELFELLGTKSGWYLFAFGYPYVLVWVLETGSVAERLMMNVVFFMGDVGKIALTLSNMLCEDMKAGPDRVLVLYDQTS >ONIVA04G08960.1 pep chromosome:AWHD00000000:4:12261946:12266590:1 gene:ONIVA04G08960 transcript:ONIVA04G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTALAHVIRAAPDLHLPHHPSSSASAAAHPQQASSFYPTAAAAASSPSDQLAAAAAAAEEQGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRGIPPAATHGGGYYPSSSPAAGACPPPRQQQTVVPYPDLMRYAQLLQGGVGGSYMPFGGAATMSSSTVSSSSAPQILDFSTQQLIRAGPPSPMPSSGSGSATAAASSTTSASSPGAWPYGGSERKKKDSSS >ONIVA04G08950.1 pep chromosome:AWHD00000000:4:12251073:12252545:-1 gene:ONIVA04G08950 transcript:ONIVA04G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVPLSFPPLLFFPLFSRPRRCETEGVHSIISTVCELELEMWCFPISCESFEPSGSQLLNRPRRIRLHRQALLLFRQADLPSLLSSYIVQVVIHYFDKQSAEFINSAVGAITVSYIMQLLTGLLGREFSAVIYVTQSISNILSISGEE >ONIVA04G08950.2 pep chromosome:AWHD00000000:4:12252550:12252814:-1 gene:ONIVA04G08950 transcript:ONIVA04G08950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDAALGSIQSSTWPTVPALPPPLDRREDNRRQNPSSARGPLAYGLIRRHAAVALSWADGDASAHAASSPPRATE >ONIVA04G08940.1 pep chromosome:AWHD00000000:4:12240322:12240525:1 gene:ONIVA04G08940 transcript:ONIVA04G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSFRLSGRAERWFWESVPSPSPPLFADGLDPMFVTTYVVHLDSCTVFMLEAGAACDEERGGCRRQ >ONIVA04G08930.1 pep chromosome:AWHD00000000:4:12237270:12240226:1 gene:ONIVA04G08930 transcript:ONIVA04G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMDLLADDDGGEAERLEAAEAQADLLRDRLRLAVISIATSEGKKAGMEVSDPVVACIADLAYKTVEQLAKDVELFAQHAGRKSIKMEDVILTAHRNEHLMGLLRTFSQELKGKEPSSERKRKKSSKKDDNVMQI >ONIVA04G08920.1 pep chromosome:AWHD00000000:4:12223516:12226175:-1 gene:ONIVA04G08920 transcript:ONIVA04G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEGSGRAPRLPRWTRQEILVLIEGKRVVEGRGRGRGRGGGGGAAAEPTKWAAVAEYCRRHGLERGPVQCRKRWSNLAGDYKKIREWERSLSSPSSSSAAAGMGKEVSFWAMRNDARRERRLPGFFDREVYDILEGRGGGNAAAAAAAGKEGEEEKAAVFDSGRAAAGGGGGGGDDGLFSSSEEEEDDDEATPPATTPAAAPAPPPAPAPAVPVLTSEKKSDPPRQDASEQAGTSRAKQPEQIVEDSPAQGAHKRQRSDDASGEAPDLQGQLIEILDRSSRMVAAQLEAQNINCQLDREQRKDQVSSLLGVLGKVADALYRIADKM >ONIVA04G08910.1 pep chromosome:AWHD00000000:4:12220920:12226682:1 gene:ONIVA04G08910 transcript:ONIVA04G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGGGGEGFEERVKRLFGSRLFDDVPGSSFPAASWSVAAGDVERRRWAKPSEARDEEEAREGADAADRGDTPCASAFYDDNGCLRAGTRRRRRSKQEEFEGDLDEEDEEEEEEEKERGGGGGKQQQEEDEEEGVRVSIGLDPTLDREEEEDKYDREAFGREDAADRVYMNEIMDDGINMSINTVVPDILDDSVEEIHRFSRDPRADMGAASARLKEYDSSAKGGTCSLAQPNEFPSGGIQAMKTDDANVKPILKRKEEQGNSKPRKRVKFAADVKDQSAELPEQDEDSPMVPQSMDLVIGKDSSTPSESPGVPDYVKNPAKYTRYTLDTPECNDETNRRAFADLHDILRRMEPEPEAPPVEIPTSVTFIPRKKTVDAMTVDEGPKSNDANSSLIGLAAGASDETEQCEMDEDDPKALLPPQVQTNTKMNSRRYRSSRTDDE >ONIVA04G08900.1 pep chromosome:AWHD00000000:4:12218813:12219604:-1 gene:ONIVA04G08900 transcript:ONIVA04G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLSPDLKRGNFTDDDDELTIKLHALLGNKWNTHIKRKLLSQGIDPQTHQPVSAGTSVAAASELTTTAITVGFPSLAVAPARGSSRRKERRLGGVFLVVVAGGGGVSGGGRVSDRGELELRVEERCEVVVAGRRRRGGQRLGGGAYGRGQRACFGWLGWGGCASALAHHRSAAPPVAALAHRRFAAALALCFSARGRSCPSPRSRSHPPLRCRARPLLRHPHLRAARPAAGLPH >ONIVA04G08890.1 pep chromosome:AWHD00000000:4:12212275:12217558:-1 gene:ONIVA04G08890 transcript:ONIVA04G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLVQSAIAPTIYRRSGTARFRVRARATMMRTMPTRTLTLGGFQGLRQTNFLDSRSVIKRDFGSIVASQISRPRGLGSRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDDSLIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQVTKDKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMVKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDIDLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGGSGVPEPLAPALSV >ONIVA04G08890.2 pep chromosome:AWHD00000000:4:12217563:12218369:-1 gene:ONIVA04G08890 transcript:ONIVA04G08890.2 gene_biotype:protein_coding transcript_biotype:protein_coding LEITCRGGEGSGQSRRLSPLLSLLFLSPPLAWVRLPSLLSHLLLRRLRVPVPPSPRAARVGGRPLVAAAVEVRPGIGLVGRWLGVFLLG >ONIVA04G08880.1 pep chromosome:AWHD00000000:4:12208520:12211424:1 gene:ONIVA04G08880 transcript:ONIVA04G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit DAD1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H026] MPRATSDAKLLIQSLGKAYAATPTNLKIIDLYVVFAVATALIQVVYMGIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >ONIVA04G08880.2 pep chromosome:AWHD00000000:4:12209730:12211424:1 gene:ONIVA04G08880 transcript:ONIVA04G08880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit DAD1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0H026] MPRATSDAKLLIQSLGKAYAATPTNLKIIDLYVVFAVATALIQVVYMGIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >ONIVA04G08870.1 pep chromosome:AWHD00000000:4:12197878:12201614:1 gene:ONIVA04G08870 transcript:ONIVA04G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0H024] MRGAIAAAVCAVLLLLVGGNGGGWSAMAAATMEEDRIVALPGQPNVSFAQYSGYVTVDAARRRELFYYFAEAELDPATKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNVLVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYGGVGDSRTARDNLRFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRMVEFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDSTYHSFTTVCNYSRYVSEYYHGSLSSACDTVMTQVATETSRFVDKYDVTLDVCVSSVLMQSKSLAPQRGSRELDVCVEDETMGYLNRKDVQEAMHARLEGGVPKWTVCSSVLEYKQLDLQIPTINIVGGLVKSGVPVLVYSGDQDSVIPLTGSRTVVQRLAGRLRLGTTAAGYRVWFEGRQVGGWTQSFGGGALSFATVRGASHEAPFSQPERSLVLFAAFLAGRPLPDSFQ >ONIVA04G08860.1 pep chromosome:AWHD00000000:4:12183579:12184118:1 gene:ONIVA04G08860 transcript:ONIVA04G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKNPIGFRCNKCMKKDSSLHDQNQHMTNVPTDRSTCLKESSDNVHGATNRFSSD >ONIVA04G08850.1 pep chromosome:AWHD00000000:4:12169668:12182956:1 gene:ONIVA04G08850 transcript:ONIVA04G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARSVAHPHPHLVRRRRDHAAAAHGATARCDDDDDGVVTPRGPTRYMAQEPINHHQHQHDPPKQPPPREADDDDHRIQEREPLPPPTTTTRNQRLQLQLGGDGHHNHHHHHHHHQEVAGTSGSSSGGSSSNNGGGGTRDWLRLATGPASPGASAGSDHDLFPSTTTTAPAPQPPTPTPRHHHHDVLVLPGMPPPGSFLRPGPAMPGIPQASIPTHMPRATPPWLPPWSPVAAPPPLLPFPHQHRAFYAAAPTTTPPASSGFDAIRVVLPPSAVAAAAGVWFVLQAAPLQGREPFLPQIPRSYLRIKDGRVTVRLLTKYLVNKLGLEDESEVEITCRGRQLLPILTLQHVRDSIWCRRDAVSPSAAPDIPTADHHQHIMVLQYGRRP >ONIVA04G08850.2 pep chromosome:AWHD00000000:4:12169668:12171991:1 gene:ONIVA04G08850 transcript:ONIVA04G08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARSVAHPHPHLVRRRRDHAAAAHGATARCDDDDDGVVTPRGPTRYMAQEPINHHQHQHDPPKQPPPREADDDDHRIQEREPLPPPTTTTRNQRLQLQLGGDGHHNHHHHHHHHQEVAGTSGSSSGGSSSNNGGGGTRDWLRLATGPASPGASAGSDHDLFPSTTTTAPAPQPPTPTPRHHHHDVLVLPGMPPPGSFLRPGPAMPGIPQASIPTHMPRATPPWLPPWSPVAAPPPLLPFPHQHRAFYAAAPTTTPPASSGFDAIRVVLPPSAVAAAAGVWFVLQAAPLQGREPFLPQIPRSYLRIKDGRVTVRLLTKYLVNKLGLEDESEVRGVCLGWHISPPSPLDKSFSIHAIPFHSIPSIL >ONIVA04G08850.3 pep chromosome:AWHD00000000:4:12172144:12182956:1 gene:ONIVA04G08850 transcript:ONIVA04G08850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYMVEITCRGRQLLPILTLQHVRDSIWCRRDAVSPSAAPDIPTADHHQHIMVLQYGRRP >ONIVA04G08840.1 pep chromosome:AWHD00000000:4:12146215:12146836:-1 gene:ONIVA04G08840 transcript:ONIVA04G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSQYRGSKEEGKFKDQSIFNRGALEWLCKSFCLAGRTTMVDEELVCRLKLEDFGQCMHALKVSKVLDKRGLTMWNLLGFDTKAGIATDRPLIIRTTIGRASKRGTNDNKGHKSRSYQSTAIGEQYRKSSTTAMQGFGQPSSKEDSSA >ONIVA04G08830.1 pep chromosome:AWHD00000000:4:12145937:12146095:-1 gene:ONIVA04G08830 transcript:ONIVA04G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGLVSTAPISIAREGEGAERPKATAPRRFGDPISTADRSDSNIAEIAAT >ONIVA04G08820.1 pep chromosome:AWHD00000000:4:12100309:12106145:-1 gene:ONIVA04G08820 transcript:ONIVA04G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFNSSTRSAISRFPFSINLFCEGSGGAAAAAEAPEWKKVSAKRFGIKDSMIPDEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVYENNSIVEGLVYIFLKINVCRLMFNPYAEKIYDYFGGIEDIKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFNFPKETAYYVRTLACSVARLDKGRILMEINYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTRFKRKDKGTNMLLVLFSKLDNFLAPNRPCHNSLWISILALHEALVRQPRDPLVVATFALALYLGGDMSLALDIGKSINRQHNTGFSELLEPQVWDDKHLVGEVQSLAVSMRRALTEMTDEYFVANAMAKIPQAPSSDLVFIPLQAYLKVLKLIECVQHGKKEHGYEPKRDGNIDYHDLSYGTPAEVRNVFTLVVFDTLYPSNTENQQDVSS >ONIVA04G08810.1 pep chromosome:AWHD00000000:4:12093727:12098303:1 gene:ONIVA04G08810 transcript:ONIVA04G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRAPVELDFLGLRAAAAADADDRHAKSGGSSASSSSSIRGMETSAIARIGPHLLRRVIAAARPPPPPSTAPAEAIMRMATEATKAKGLARGNAIVGNFAKEPLTRTKSLQRFLSKRKERLTSLGPYQVGGPAAVGATTSTTTKSFLAKEEEHTAS >ONIVA04G08800.1 pep chromosome:AWHD00000000:4:12084348:12084887:-1 gene:ONIVA04G08800 transcript:ONIVA04G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDEAPATDPAPAPSPSPAKRKPDAEATDLAPLDPPPKSARPDADEAAAEEAARARAADKGKGKMVVEDEDEEEEEGGGSDEDSSDDDDDDDEEGGGGGGGGGGDDSDDGFCDDPLAEVDLNNILPSRTRRRAPPQPGAYLVPPEEAAEDDDDEDADVDVDVDMARGHQAGDGEDSD >ONIVA04G08790.1 pep chromosome:AWHD00000000:4:12054862:12059188:-1 gene:ONIVA04G08790 transcript:ONIVA04G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWFRFGEVAIVAPRFGLVPIWSQPARPWRRKQILSCSSRGGGCGGGGEEEEEGGGGCGGGGAMTYFPEEVVEHIFSFLPAQRDRNTVSLVCKVWYEIERLSRRGVFVGNCYAVRAGRVAARFPNVRALTVKGKPHFADFNLVPPDWGGYAAPWIEAAARGCHGLEELRMKRMVVSDESLELLARSFPRFRALVLISCEGFSTDGLAAVASHCKLLRELDLQENEVEDRGPRWLSCFPDSCTSLVSLNFACIKGEVNAGSLERLVSRSPNLRSLRLNRSVSVDTLAKILLRTPNLEDLGTGNLTDDFQTESYFKLTSALEKCKMLRSLSGFWDASPVCLSFIYPLCAQLTGLNLSYAPTLDASDLTKMISRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFYVAGYSAVTEEGLVAVSLGCPKLNSLLYFCHQMTNAALVTVAKNCPNFTRFRLCILEPGKPDVVTSQPLDEGFGAIVRECKGLQRLSISGLLTDKVFMYIGKYAKQLEMLSIAFAGDSDKGMMHVMNGCKNLRKLEIRDSPFGDAALLGNFARYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEVINERDGSNEMEENHGDLPKVEKLYVYRTTAGARDDAPNFMVGGIWEEAGAAATVAVADNLLIPIATAVAAGRLDGLIVIN >ONIVA04G08780.1 pep chromosome:AWHD00000000:4:12051899:12052973:-1 gene:ONIVA04G08780 transcript:ONIVA04G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITLETVAAWLQQQNREGQASTGNEEGQANIGSTGMCMEDSSSEVSLSLLEQPQGSQVGDVSHAPWSTLSQTSSSLSPSTMGTNDVNYNWYSEGEDTYDSDDEYDEYDSDDEYDEYDSDDEYDEVSSVNNVYTPTSVLSPTLASVLGTNSDASDNDSDATNSDASASAPISNVVVGCRTCIVFIMVPSQTNVCPRCGDDNLVHFDGSANI >ONIVA04G08770.1 pep chromosome:AWHD00000000:4:12031975:12037026:-1 gene:ONIVA04G08770 transcript:ONIVA04G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPGDGAMAASHQQLLTAAAVVVLASLRAVAQPAGDGYGNATFSLCSGVDNTQAAGGPFYLVRQTTASEAVKAATAATADRSSSVVSDGEYYALAQCRADVPAGDCSRCLAACLGVIGGSGDDLCEKAAVRYDDRCLLRFAKGDDFTGFDVDEHTATVFDGGRSGLTVAEEVPTRLLATVAAAAPASRSRTAAGIASYGGGGSLYGLAQCTTQIPAPDCARCLLGALSRLSEDFNSTAAGMQLLRSSCMLRYGSSLFFNQSSPLLPVAHLAIAPAGGGAGPPAAAPPSPGHRPARARTIAISAGQPGFAALAALALALLISWHIRRTKTRSKVSGNYSYQTLVNSTGKFAERNKLGSGGCGTVYKGILHNNEEIAVKKLTRKDLREVEREVSLVAQLQHENIVKFLGHCFRHDKMFLVYEYLSNGTLSRYFKYSADCQKLDWPKWLNIIRGIARGLSYLHRDSGKDIVHRDLKPSNVLLDSNFNAKIADFDLARPYDRDKSHESTQKRAGTHGYIAPELYAGGEYSTKSDVYSFGVMTLEIIVGQSISKFDNDNCTGLVEYAWQHFVRRTVEDMLDGDHLGLVNDEQVQQASRCVHVALLCVQSNRSVRPSMDRVHGVLGSKEELEEPSTPGFVAAPAAGVSPSAYSVNSVTISVMEPRP >ONIVA04G08760.1 pep chromosome:AWHD00000000:4:12025934:12026501:-1 gene:ONIVA04G08760 transcript:ONIVA04G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPYAPQLTRWRAAVGGAVVRDFVEHAGSVIALRPEDYYHRAAAATGDDDDDGDDPRERVLLGGRLYPVADETTTIHGGVGGGGRTTLRCVEFCPEPGVAPLRLTEVAEVVSPDGAVRVLGRGERFGGGGGERGTVQHVVDVEGEREVFVLLVSVRPELARIVRVQRLT >ONIVA04G08750.1 pep chromosome:AWHD00000000:4:12013961:12021487:1 gene:ONIVA04G08750 transcript:ONIVA04G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRKFRGFGLHSHRERKDHRPPPAKLDELADAAQEMEEMRNCYDSLLSAAAATMNSVYEFAEAMEEMGTCLLEKTALNYDDDDSGRVLMMLGKAQFELQKFVDNYRTNIINTITNPSESLLKELQVVEEMKELCDHKRQEYEAMRAAYREKGRSRHSKTETLSSEQLQAYFLDYQEDAALLLATILLRRGLKYLEALEPHVKAVAEKQHIEYPLNGLDDDTDNDEYSSYQGNQSDDSELSFDYEINDRDKDFPASRSSMDLDQSNQACSPEPLKEHKQEYAEQIQADFAAPRVKLEIGTQSAPISADNVFDPSTRFRKMNTSNRTNYSYKLPTPDDDKNSTSAHTNRSPHSDQPESKSHVAENLWHSSPLVKGFKPNSMFSGPVKMPSSTEGISAPLVYPYATSDFKKMKREAFSGPIPSKAGLNKPLFSATDLRAPMNYPRAMSTKSYGPGWQSSVAPKFTPRITSLPTTSPRISELHELPRPPANVGAARPGLVGYSGPLVSRRQVPNVPTRASPPSQTASPLPRPPAAMTRSYSIPSNSQRTPILTVNKLLEARHSRESSEVSSPPLTPISLADVSRRSTAETALEKTRMMETL >ONIVA04G08740.1 pep chromosome:AWHD00000000:4:12001221:12005074:-1 gene:ONIVA04G08740 transcript:ONIVA04G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTVHVNPASAKDSNGQSNSRIFGDVGQQSNRASHKASRTLYVNGIPLESNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDRIPDEVEGRIPAKSSHMSAALANSVPPQPSSSNRGKENLQSATPRASSGSSAEASGPGTGHKMLPANSVKSLPPDTKRQESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKGLANSAKQAEAGGKEVASNDVHRVTDSKSMNTGTEGPRDAAGTLQNRTSGELASSSHKSSATSAQKPAVATKQTSPLLVPSQNRFKLDNRTTSFRILPPLPPEIADESVLKDHFMSFGELSSVVLEDTEAYNHDATLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHTLRFMWLTASPGSTNHSRFQKTSIPARASSFSSQTQNMPSESSTTVGKMSSTVKSSTTAKPHSESMPTATSAKTSVEIPKALLRIEDWVAI >ONIVA04G08730.1 pep chromosome:AWHD00000000:4:11995245:12000378:1 gene:ONIVA04G08730 transcript:ONIVA04G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIASRLLRRSNATLGLIRSYSHARNYSSQLSALIPIGSQSSKLTRRRYYLPNASPYQLWSRSFASDNGDQVEAVVPFMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVASPEAGVIEKFIASEGDTVTPGTKVAIISKSAAPAETHVAPSEDSTPKETPPKAEETKPKLEEKSPKAEPPKMPLPPKTSPTEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVTKHGVKLGLMSCFVKAAVTALQNQPIVNAVIDGDDIIYRDYVDISVAVGTSKGLVVPVIRDADNMNFADIEKGINALAKKATEGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVDGNILARPMMYLALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDI >ONIVA04G08720.1 pep chromosome:AWHD00000000:4:11990792:11993907:1 gene:ONIVA04G08720 transcript:ONIVA04G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKAASAAAQLSLAASACIAGAEAAAMVGREGAPAMVAAAAMVVIGHRGKGMNALGSADPRLREVKENSLRSFHAAARVAGVSYVEFDVQTGASTIVQLNLNQMVTKDGYPVIFHDDFIFTEQDGEICGRRVTDLRLDEFLSYGPQKDQSKAGKPLFRKLNDGRVLRWDVQSDDALCTLQEALDGVDRRVGFNVELKFDDDVVVFEHAKERPIFFSSFQPDAARIMRKLQDRYPVYFLTKGGTQVFADERRNSLEAAVKLCVAGGLRGIVSEARAVLRQPSAIGRIKEAGLSLLTYGQLNNVPKAVYLQQLMGVDGVIVDLVAEIAAAVSEFAAAAAAAVPVPERDSSSSYMDGGGDVGLLEMTSPAARTTASFSRREDVSFLLRLTPELVQ >ONIVA04G08710.1 pep chromosome:AWHD00000000:4:11982973:11988310:1 gene:ONIVA04G08710 transcript:ONIVA04G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFDSRMGEEEEEELYYGKGGARGGGNGGGALSAAAAAASSSSGVGGGGWEGTSTAAPRVEKISAGARGRGNATVKKELSALKDANGNVISAQTFTFRQLAAATRNFREECFIGEGGFGRVYKGRLDGTGQIVAIKQLNRDGTQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKVPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEDFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPHVEQNLVSWARPLFNDRRKLPKMADPGLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQKYDPNTTPSSKKAGGGEAGRALSRNDEAGSSGHKSPSSKDSPREQQLPGILNDRERMVAEAKMWGENWREKRRAAATTSSNAQVSLDSPTETG >ONIVA04G08700.1 pep chromosome:AWHD00000000:4:11973592:11973999:1 gene:ONIVA04G08700 transcript:ONIVA04G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAAEQAWYMPAAAMVVAAAAETAAERVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPAVHELDLDPRGRDLERALARLVGAGGAAAAAVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >ONIVA04G08690.1 pep chromosome:AWHD00000000:4:11966135:11966532:1 gene:ONIVA04G08690 transcript:ONIVA04G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGATWGTADGGEEQQVTSETRVARWDTTSASIEAQKGGAGTRVSPPPSNAVAGGHPSAAAVVYEEEIEDYDDELGCGCYSGGGGGLRAEGGRGFVVEGSNSVDCDSSEI >ONIVA04G08670.1 pep chromosome:AWHD00000000:4:11952222:11955747:-1 gene:ONIVA04G08670 transcript:ONIVA04G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H003] MAEKEEGKVAAEGGAEAEADEEVEVKFRLFDGSDIGPLRCNAVATTVAALKDRVVADWPKDKTIVPKTANDVKLISGGKILENDKNIAQCRAPFGDLPSTAITMHVVVQPSSAKSKPDKKTNKLPKTTRCSCTIL >ONIVA04G08670.2 pep chromosome:AWHD00000000:4:11952222:11955747:-1 gene:ONIVA04G08670 transcript:ONIVA04G08670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0H003] MAEKEEGKVAAEGGAEAEADEEVEVKFRLFDGSDIGPLRCNAVATTVAALKDRVVADWPKDKTIVPKTANDVKLISGGKILENDKNIAQCRAPFGDLPSTAITMHVVVQPSSAKSKPGELYYFIENKKTNKLPKTTRCSCTIL >ONIVA04G08660.1 pep chromosome:AWHD00000000:4:11946463:11949602:1 gene:ONIVA04G08660 transcript:ONIVA04G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTQQKRGFGFSGAGAAAAAAAPSPRGMPMAAGAAGPRRLAVQQKQKQPALVPPPPTQQQTQGFGGVGGAGAAALVVGSSSSARGMAAKAAAEWMAHEDAWRACNRDFATPFASVEDAISRLLPYHVFAEYEEDQPPAKDKSSVQEWDDDHEAEAIRMAEEFEKQVVTFNVAVLKSAAGAARAEERLMVENLLLAYERRQSEHVRALVRQQQLVALQKQQQMMAEQRQQQQQMMAALQQRQQPATIMPAQGHPDAMDLFLDAYAAGEQSAYSWMTAAHAVPQPQSQPRQQQPDA >ONIVA04G08650.1 pep chromosome:AWHD00000000:4:11940771:11944527:1 gene:ONIVA04G08650 transcript:ONIVA04G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQQRGFGFGGAVPSPRGMPMAAGAAGPHLAVQQKQPTTTLAPPPPPQQQNQGSSSSARGMAAAAEQIAYEDAWKACNPDITTPFASVEDAISRLLPYHVFAEYEEDEIYAEDQPPAKDTSSVQEWDDDREAEAIRIAEEFEKQVLTFNVAVRKSAAGAARAEERLMVENLLLADDHEAEAIRMAEEFEKQVLTFNVAVRKSAAGAARAEERLMVENLLLADEQRQSEHVRALVRQQQLVALQKQQQQQEKEAAALQRQLMLEQQQQQQAALQQMMAMEQRQQQQQMMSALQHQRQPAIVMPQQGHSSAAALDAFLDAYAEVGLPRQQHRHGVQAPQQPESSSSGPAYWGPAHAVPPPPPENGAGALRE >ONIVA04G08640.1 pep chromosome:AWHD00000000:4:11938015:11938233:1 gene:ONIVA04G08640 transcript:ONIVA04G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITIEDVDHILGVPSKGVELVEVPRAIQADVDAPKDKDKNKALQATKAALFALYKDKRGTKITLSALRDS >ONIVA04G08630.1 pep chromosome:AWHD00000000:4:11929425:11932530:1 gene:ONIVA04G08630 transcript:ONIVA04G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDYDEALSQLREPEVPSPPPSPRLLAMLQSAGFASPPPPTSTQQQQGIELCSVCAEEAAAAAVAAARGMEAPGLRPATQQQQQQGIGLCSVCAEGEAAAQTRQDYGFGFGGAGTGASQSLAPRGMGMVPGPRHAVPQQPTLAPPPAQQPQKNQGVCFGGTGAGAATTVSPAPRGTTMARERAAEQLAYDDAISRLINKFAGHDKDAIQGKNTSNVQVFDDIHEGDAIRMAELEEFEKQVLALNAAAWNDAASSCGEEPAVADNFLNGEEQREEEEEEEAAERRSWTLERWELELKASRRRVVEANERARGVQRERERVRRQVAALLQQRMLKRQQMSALSRERALAHKRFVLQQRQWRQQMVEQQQQQQRAPMQQQRMPVVQQAAPRKQRVFTVTVSRAYALQHQRGSTPEERRASVRERVRLELQKVVAFLQRRELEQRYERAAALLRQRAQLEQAALQEQLKLEMQQMRRRQEQRQRARELAIMPQPQGHRGRGGGTARHPDAAIAQGGSGEGHNHPRVEEQLPAQQQQHRRQRVRSVRPTFVAPRGVGTSSGQAYRAPPARQIVVQPQPPPQQPELAGQAFRAPAPQTPQRPESAGQDVPEPQPEEEEGEAVGGEAVVMAPQPSSSREQ >ONIVA04G08620.1 pep chromosome:AWHD00000000:4:11926946:11927299:-1 gene:ONIVA04G08620 transcript:ONIVA04G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSTGSIHELHDDSSSADSGSKPSMTKLAAEGKKQAQGDDQGKEDHAGTTTLPQRSRLRSYVIGLIQFALLAVVQYYYLELMARHRGTNDLFCNLFACSHYILIISLILGKLKDDA >ONIVA04G08610.1 pep chromosome:AWHD00000000:4:11923665:11926193:1 gene:ONIVA04G08610 transcript:ONIVA04G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPTSTLGPRVRGDSEPAPPLRHHLRARLRVRGRVRGGGRSRPHRRCRRRGGLLPRLFPLSGVAGGGGGFLHRAARRRAPRRGGWRWERVSPRHRRRRSRGSGESDAAALVAAVVAPPPSPRGMPVAAGPRLAMQQTSTILAPPMTAKQKQQGIGFGGAVAPPPSPRGIPMVAGPASQSKLKKPNVAPPQQQKIQGIGGAGAGAGPVPVSSSARAMAAVAEKAAAAETEDEARARERAAAEQIAHEDARKDFVTPLASVEDAISSEIHINTYKTDAVKYAEGSEGGVAEGGGGAAAAAHARATATDHGAAPQPLR >ONIVA04G08600.1 pep chromosome:AWHD00000000:4:11921449:11921790:1 gene:ONIVA04G08600 transcript:ONIVA04G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKEFIDELHKPLTAEAKAQMVADGKKKVQLQEKQEEDGTALRQGSRLSATDLIRYTLMGIRFAFTAAMHYYHCTQMQMMPELTRTTFGVFYNCFHYFLIAIIILASYMGI >ONIVA04G08590.1 pep chromosome:AWHD00000000:4:11914635:11917108:-1 gene:ONIVA04G08590 transcript:ONIVA04G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWPRKDFHIMVVHSQVMLRMGSTCRRHKPATLGANKPKCLAEVEEEEAKAEEAHKENTMVGGCYR >ONIVA04G08580.1 pep chromosome:AWHD00000000:4:11910094:11913030:1 gene:ONIVA04G08580 transcript:ONIVA04G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEEEPEQVPMVLVAPGKLVTPEQFQRARALPRQLAAVAASPRQPRPLAMQQQQQQMSQSMIFGRPSAPAPTTLQGFGFGRGAGPGAAAVAARSAPRGMGMAAGPRLAMQQSTTTTMMQQQKGFGFGGAGPAAVAPSPRGMTMAVGPRLAAQQKQRQPTLAPPPPPQQNQGFSGAGAGAMGVPSPQGMAVGTRLAMQQQQQPSMIPAPPTMTTQKQQGMVFGGGAAGAGLAPPSPRGTPMATGPRLLMQQQQPSTILAPPPPTPTRQKQQGIVFGGGAAAGVAPPPSLRGMPMASGPRPARQPQRKRKQRTLAPPQQKNQGIGVSGAAAGAGAAPVSSPPSGMLAAVEMALGDMAEDARKACNPDITTPFASVEDAISRLLPYNVYAEYEEDEIYVEDQPPAKDKSSVQEWDDDREAEVIRMAEEFEKLVLTYNVAVRKSGAGAARGEERLMVENLLLADEQRKSEHVSALVRQQQQQQQEAALQRQRMQQQQALQRRQLFLEQQQQQAALQQQLMLEQQQQQQMMAALQQQQLAILFHDQPQQPEPLGPAYWLAPVHAVPPPPQQQQQQPEEGQAGGAATEMAPQPLRELRDSC >ONIVA04G08570.1 pep chromosome:AWHD00000000:4:11903639:11907309:1 gene:ONIVA04G08570 transcript:ONIVA04G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics;hydrolases [Source:Projected from Arabidopsis thaliana (AT2G35450) TAIR;Acc:AT2G35450] MAARSCRFLYSSSSSPIATTSPLLSPTPSAATRRKLLLSSTCGTIASAAAASAMEGQGAPAAPGGKGSAIVVVDSHLHVWASPQQAAERYPYFPGQEPPIRGDVDLLLQCMDEAGVDGALIVQPINHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAVRFNPNLWPSGQKMTNEVGRSLFAKAGELGAPVGIMVMKGISTYIQEIEELCTDYPKTTVIFDHMAFCKPPMNIEEEKAFTSFLELSRFPQIYVKYSALFRISREAYPYEDTSQLLSCVISSYGANRIMWGSDFPFVVPECGYKGAKEAISHVAGKIPVSSSDLEWILGKTVTQLFQGAWVSA >ONIVA04G08560.1 pep chromosome:AWHD00000000:4:11900444:11901738:-1 gene:ONIVA04G08560 transcript:ONIVA04G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSAHDDSLPLAASEVTARLVRRAAPKRRVAELDVEAQQASGGRRGGCRRRGGGVLGVGGVQVLTEKRRGGGVLGADGVQLLTEEQHAYGYLSDAEWHPGGCFVPESEDQMCGSVNDSELPADGGFVPDSEDEASGGIDDSELTPDGCVVPDSEDEASCVVPDSEDEESGGGVHNLEQKPDKDISANLEEQHMDGIEQLVGGEEVAGLQDDAGVAARDEGVDEFAEICESMLRLLLPLYFTIPH >ONIVA04G08550.1 pep chromosome:AWHD00000000:4:11893525:11894037:-1 gene:ONIVA04G08550 transcript:ONIVA04G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARRSAADELDEATRAQADPDYLLFLSHLYPDGGGGAAAAPSPSSSTYVLDIPDLSLVVRYGPFVIGGDGDGGGDAASNKNATVGRRQLSSAVVINDDDLPPPSAAREAEVADSAPSRSSVASNDDDDLAAAGTVDDGEEVSNDGIAVAGEEGAARGGRQVEEMRAI >ONIVA04G08540.1 pep chromosome:AWHD00000000:4:11889393:11891535:1 gene:ONIVA04G08540 transcript:ONIVA04G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAAASGGDAHDDEYAKLVRGMNPPRVVVDNEACDEATVIRVDSVSSHGTLLAVVQVIADLGLVIRKAYFSSDGSWFMDVFNVTDRDGNKVLDDQTISYIQTTLEADDWYYPEVRNTVGIVPAEEYTVIELTGTDRPGLLSEVCAVLAGMRCAVRSAELWTHNTRVAAVVHVTDDGGSGGAIEDEARIADISTRLGNLLRGQSGVRAAAAAAPGGLTHKERRLHQMMFDDRDYDGGGGAASSSPRGRSPTPATEVSVTPCAERGYTAVVVRCRDRPKLLFDTVCTITDMGYVIHHGAVSSEPRGGAYQEYYIRHVDGDPVRSEAERQRVVQCLEAAIERRTADGLALEVRTGDRAGLLSDVTRIFRENGLTIRRAEISSERGEAVDTFYLSDPQGHPVEAKTIDAIRAQIGEATLRVKHNPFADGDGAGGGGGGATDDVAGSTAFLFGNLFKFYRPFQNFSLIKLYS >ONIVA04G08530.1 pep chromosome:AWHD00000000:4:11879028:11879796:-1 gene:ONIVA04G08530 transcript:ONIVA04G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGISGVSGTGTGQVRDSGACRVRARFSLRECMHVVSRGSRVLTKSHTGFGKGIGQWLTGVDGFDDDGGRPAPEKKRLISLLASSILVVLGAGARGDNDGEAAWPVMLHGGRNAEVAMAAVLVACSRALVARSCSDAVTAQNGEMMERAKDRDDRDSMAMTAFVFTTAGREDEGDEDEIEYPASVSWQWGEE >ONIVA04G08520.1 pep chromosome:AWHD00000000:4:11860717:11866227:-1 gene:ONIVA04G08520 transcript:ONIVA04G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNLIITSDSDSDDGGGGGGGGGGAATASTPASASAFASFPSVSGGGCGDGWPSPQNPRSVPVQFPSPSSPPPSPPIEISDEEEAEAEVVVVEDEEEEYEEVEEIEDPDGDSPFVDAPEHISPPPPPPPPARTPMPTPTPTPTPTPTPTPTRPPVPVWAAPLPARTPTPTPSAPPRAAAPSPAGTPSPSPIPPSSTPVSALSGPLRQVDEFLRGLGLRLRPEWLESCAAGVPGFYGLGGVEAMARRCFEQFLFADMNACGAGVLPEGVGSMHNAVLDGPFVLQVDEIVNLSAPLRERYRDAHAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIVIRNVHIRRGLFMLVPEVIEILGGVDDELDEARNRLVSEVNKPPRGKRKQGGLPLSSRATLAAWPTNANATNDAEQGASVPRTVNTPHPTRLGNASHASQVGRTTQPMVDNLIPHVVVSNAQEQSRHIQEITMQGQPTSLNRHNKEASASTYRYNAQCSISGTTRAMADEHVLVSNAQEQSPHIQEITMQDQSTSLNGRNKEASASTSYRYNAQCSISGTTRAMADERVDPSFVGNNVHEQMQRVQGITMQDHISASSESKRELSVTTPSGYDSRLAPHGVGNTGTRSGEATRSSNVDDGINNIGHPISLCGENEKPFTYIFNMLADWGVQQDTVPYIQGKIKGLITSVKRFQYKQSMQYDLYVYIDDGSFITEAFVDRDIVQNMIGLSAEELAAALSSGGPAQANIRKTMKAFEHFLVNFEGTILIELNRDSSVPIVREMNKGCSSSDAWQLLRRVKTFSGQGYMRSLDFMDTTP >ONIVA04G08510.1 pep chromosome:AWHD00000000:4:11851345:11853993:1 gene:ONIVA04G08510 transcript:ONIVA04G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAQLAGAAVRVALAALLALLLPAYRVYRLTASFLGALLAAAAADDVAGKVVLITGASSGIGEHLAYEYARRGAYLALVARREESLREVGDAALAAGSPGVLVVAGDVSSPDDCRKFVDDTIRYFGRLDHLVNNASIWQVGKFEELTDVNHFRKLMDINFWGHVYPTRHAIPHLKKTRGRIVGVTSNSSYIFMPRNTFYNASKAAAANLYETLRMELAGDGIAITEVIPGVVESEITKGKMLTKEGDMRVDQDERDAILGAAPVERAGEFARAAVRGVCGGERYVFEPRWYRAAYTLRVCFPEALEWCARLLTVWRLGPATTDTVGRWLLLGVPGQRWLSQPASLRSPEIKAR >ONIVA04G08500.1 pep chromosome:AWHD00000000:4:11847609:11849816:1 gene:ONIVA04G08500 transcript:ONIVA04G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSMLKVGYTLLRSETPATDLVNAFMDWAARRSLLLLAVFVPPYLAYRLASSALAAASPEDVAGKVVLVTGASSGIGEQVAYRYARRGARLALVARREASLGEVAARARALGSPDVLAVPGDVARPDDCRRFVQATVEHFGRLDHLVNNAGLANVCWFEEVPDVANFKQVLDVNFWGTVHPTHAALPHLKASRGKIFVNSSASAVLAMPRMSFYNASKAAVHNFAETLRMELHGEVGVTVATPGWVDSEMTKGKHLSSHGAMEVDQDTRDAQVGVFPVERGERCAEAIVDAVARGRRRVTSPAWYGALFLWRTMAPEVADACQRVFYHRRSSAGGGGGRARAALEATGAKAVLQPPSLRSSEIKVE >ONIVA04G08490.1 pep chromosome:AWHD00000000:4:11834959:11846053:1 gene:ONIVA04G08490 transcript:ONIVA04G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVADDAEITGPLLAAAGGGGGDSAAAAGVERVPAWREQVTVRGIVVSAVLGVLFCLITHKLNLTVGVIPSLNVSAGLLGYFLVRSWTAVLGRLGFVIAPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQKTYELIGPDYPGNRAIDVMNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTSGAELAEKQVSCLGKYLSISFFWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEVMNARSKQGRLPLVRVHDDNEGSKLSAEEKLRNDTFLKDRIPSWLAGSGYVGLAAISTATVPMIFPQIGLFIFASLVGRSGGVIAGLAACGVMMSIVSTAADLMQDFRTGYLTLSSPRSMFVSQLIGTTLGCIIAPLTFWLYWTAFDIGNPDGMFKAPYAVIYREMSILGVEGFSALPQHCLAICSVFFVAAILINLLRDVTPKSVSKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAIASGLICGDGIWSVPSAILSIMRIDPPMCIRQREGRKSNQNPLSRAPNPPEMPPPETSSAAAPSPPSPDPLASLLLLHPREREIDPASPPPPPPPPPRWRDQLTLRGVAVAAVLGSLLCVVIHRLNLTVGVIPALNVASGLLAYFLATAWRGAAAVLGLGHHRGRPFTRQENTVIQTCAIACGSLAFSGCSSSYIFAMDRKTYELVGQDYPGNRMEDIRDPSLGWMIGFMFLIALIGPFSIVMLRKVMVIDYKLAFPGGTATALMINSLHGKTEADLAGRKVHCLVKYMSLSFGWSFFKWFFSGVGDSCGFDNFPSFGIEAFKNTFYFNFNPSYVGYGLISPHIVNCSVFLGSVISWGFLWPFIAKQAGDWYPDNLSNTDFRGLYGYKVFIAISVILGDGLYNLVKVFLIIAKEICNARSKEHDLPVQALLQDDDSSRQLLDEKLQTEIFLKDSIPTWLAVSGYIVLAAISTVAVPIIFPQLKWYLVLVCYFLAPAIAFCNSYGMGLTNLNLAPTYGKIALFVFASLVGSDGGVIAGLAACGVIMSIVCSAADLMQDFKSGYLTLSSPRSMFISQMIGVTLGCIIAPLTLWLFWTAFDIGDPDGEYKAPFAIIFREMAIIGIEGFAALPRHCLEICCVFFLAALIINLMKDVVPNHVSRFIPIPMAMAVPFYIGAYFGVDMFIGTLILFAWQKIDRREADDYAVAVASGLICGDGVWSIPSAVLSILGVDPPICMSFRPSSASV >ONIVA04G08490.2 pep chromosome:AWHD00000000:4:11834959:11846053:1 gene:ONIVA04G08490 transcript:ONIVA04G08490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVADDAEITGPLLAAAGGGGGDSAAAAGVERVPAWREQVTVRGIVVSAVLGVLFCLITHKLNLTVGVIPSLNVSAGLLGYFLVRSWTAVLGRLGFVIAPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQKTYELIGPDYPGNRAIDVMNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTSGAELAEKQVSCLGKYLSISFFWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEVMNARSKQGRLPLVRVHDDNEGSKLSAEEKLRNDTFLKDRIPSWLAGSGYVGLAAISTATVPMIFPQVKWYLVLCAYVVAPLLAFCNSYGCGLTDWNLASTYGKIGLFIFASLVGRSGGVIAGLAACGVMMSIVSTAADLMQDFRTGYLTLSSPRSMFVSQLIGTTLGCIIAPLTFWLYWTAFDIGNPDGMFKAPYAVIYREMSILGVEGFSALPQHCLAICSVFFVAAILINLLRDVTPKSVSKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAIASGLICGDGIWSVPSAILSIMRIDPPMCIRQREGRKSNQNPLSRAPNPPEMPPPETSSAAAPSPPSPDPLASLLLLHPREREIDPASPPPPPPPPPRWRDQLTLRGVAVAAVLGSLLCVVIHRLNLTVGVIPALNVASGLLAYFLATAWRGAAAVLGLGHHRGRPFTRQENTVIQTCAIACGSLAFSGCSSSYIFAMDRKTYELVGQDYPGNRMEDIRDPSLGWMIGFMFLIALIGPFSIVMLRKVMVIDYKLAFPGGTATALMINSLHGKTEADLAGRKVHCLVKYMSLSFGWSFFKWFFSGVGDSCGFDNFPSFGIEAFKNTFYFNFNPSYVGYGLISPHIVNCSVFLGSVISWGFLWPFIAKQAGDWYPDNLSNTDFRGLYGYKVHIFILVSIKLMMVLAGSNFEQLFLKFKNLSLDLFEEILLATNIPTVFIAISVILGDGLYNLVKVFLIIAKEICNARSKEHDLPVQALLQDDDSSRQLLDEKLQTEIFLKDSIPTWLAVSGYIVLAAISTVAVPIIFPQLKWYLVLVCYFLAPAIAFCNSYGMGLTNLNLAPTYGKIALFVFASLVGSDGGVIAGLAACGVIMSIVCSAADLMQDFKSGYLTLSSPRSMFISQMIGVTLGCIIAPLTLWLFWTAFDIGDPDGEYKAPFAIIFREMAIIGIEGFAALPRHCLEICCVFFLAALIINLMKDVVPNHVSRFIPIPMAMAVPFYIGAYFGVDMFIGTLILFAWQKIDRREADDYAVAVASGLICGDGVWSIPSAVLSILGVDPPICMSFRPSSASV >ONIVA04G08490.3 pep chromosome:AWHD00000000:4:11834959:11846053:1 gene:ONIVA04G08490 transcript:ONIVA04G08490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVADDAEITGPLLAAAGGGGGDSAAAAGVERVPAWREQVTVRGIVVSAVLGVLFCLITHKLNLTVGVIPSLNVSAGLLGYFLVRSWTAVLGRLGFVIAPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQKTYELIGPDYPGNRAIDVMNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTSGAELAEKQVSCLGKYLSISFFWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEVMNARSKQGRLPLVRVHDDNEGSKLSAEEKLRNDTFLKDRIPSWLAGSGYVGLAAISTATVPMIFPQVKWYLVLCAYVVAPLLAFCNSYGCGLTDWNLASTYGKIGLFIFASLVGRSGGVIAGLAACGVMMSIVSTAADLMQDFRTGYLTLSSPRSMFVSQLIGTTLGCIIAPLTFWLYWTAFDIGNPDGMFKAPYAVIYREMSILGVEGFSALPQHCLAICSVFFVAAILINLLRDVTPKSVSKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAIASGLICGDGIWSVPSAILSIMRIDPPMCIRQREGRKSNQNPLSRAPNPPEMPPPETSSAAAPSPPSPDPLASLLLLHPREREIDPASPPPPPPPPPRWRDQLTLRGVAVAAVLGSLLCVVIHRLNLTVGVIPALNVASGLLAYFLATAWRGAAAVLGLGHHRGRPFTRQENTVIQTCAIACGSLAFSGCSSSYIFAMDRKTYELVGQDYPGNRMEDIRDPSLGWMIGFMFLIALIGPFSIVMLRKVMVIDYKLAFPGGTATALMINSLHGKTEADLAGRKVHCLVKYMSLSFGWSFFKWFFSGVGDSCGFDNFPSFGIEAFKNTFYFNFNPSYVGYGLISPHIVNCSVFLGSVISWGFLWPFIAKQAGDWYPDNLSNTDFRGLYGYKVFIAISVILGDGLYNLVKVFLIIAKEICNARSKEHDLPVQALLQDDDSSRQLLDEKLQTEIFLKDSIPTWLAVSGYIVLAAISTVAVPIIFPQLKWYLVLVCYFLAPAIAFCNSYGMGLTNLNLAPTYGKIALFVFASLVGSDGGVIAGLAACGVIMSIVCSAADLMQDFKSGYLTLSSPRSMFISQMIGVTLGCIIAPLTLWLFWTAFDIGDPDGEYKAPFAIIFREMAIIGIEGFAALPRHCLEICCVFFLAALIINLMKDVVPNHVSRFIPIPMAMAVPFYIGAYFGVDMFIGTLILFAWQKIDRREADDYAVAVASGLICGDGVWSIPSAVLSILGVDPPICMSFRPSSASV >ONIVA04G08480.1 pep chromosome:AWHD00000000:4:11797941:11801823:1 gene:ONIVA04G08480 transcript:ONIVA04G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKEAAKAKEEEKPKQEEKPKEQEEGKKEEKPKEEGEKGKDGGGGEEKKDGEAAAAAAAADAPPPPPPPEEVVMRVYMHCEGCARKVRKILKGFDGVEDVIADSKSHKVLVKGKKAAADPMKVVERVQKKTGRKVELLSPMPPPPEEKKEEEKKEEPEPPKPEEKKEPPVIAVVLKVHMHCEACAQGIKKRILKMKGVQSAEPDLKASEVTVKGVFEEAKLAEYVHKRTGKHAAIIKSEPVAPPEKVAAEGGDEKKAAEGGDEKKDEKEEKKDGKEEGGNGGGDEKKEEKEKEKEGGNADGEEKDKDKEKDPAAIAAANLYLHYPRFAFPAGYYPPGPGYAYPPPYPPSYPPPYQPSYPPYPSHPSHHPSQIFSDENPNACSVM >ONIVA04G08470.1 pep chromosome:AWHD00000000:4:11784926:11792198:1 gene:ONIVA04G08470 transcript:ONIVA04G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRAASGLARVVLRRNLARAPANPFAGPAPRYFHSTRPQRFATPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVSGHLKAKLDPLALEERPIPDVLDPAFYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDREKCNWLRDRIETVNAREYSYDRRQVMLDRLMWSTQFESFLAQKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPAEEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDLDRTKNLGVLLHGDGSFSGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHQSALEIYQNRLLESGKISKEDIDKMQKKVSTILNDEFQNSKEYIPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFELRRQMIETGEGIDWAVGEALAFATLIIEGNHVRLSGQDVERGTFSHRHAVIHDQETGEQYCPLDNLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGIKRLVLCSGKVYYELDEERRKKERDDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLLTAMRALGRGTIDDIKYVGRAPSAATATGFYSVHVQEQTELVQKALQRDPINSPF >ONIVA04G08460.1 pep chromosome:AWHD00000000:4:11781687:11783458:-1 gene:ONIVA04G08460 transcript:ONIVA04G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDRRGPSPFRTRLAPTPACIPPLPFPHARPPITPRTPSLPSSLSHSPSSAEAAPSRHRRSAIATPPRHPRRRLAPHANRRRPVPPPASPILHRALSWPASPIYPDRRRRPHHPSTPCLHVNLHRLPGLETVVVIGKTNGANLFAWDDRRGLLAVGRWKRLTIFRLDNENSAPHCLFFLGCDLSVYGYGLTVTSFGFRWKLRWPVGSAQFGEWEPLSHDEARSLFAFVAPYVNEAKETKSSSSLPQKVAKSEIEFCFFIYVIYFPFCIELGDLNMI >ONIVA04G08450.1 pep chromosome:AWHD00000000:4:11778555:11780377:1 gene:ONIVA04G08450 transcript:ONIVA04G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASLSVSDAAAKLPKPGGQVQRRRDRDRPRVDAAACTRDSRRPTRERCSTTVSLAATATATTATPVRAPVRTRAPMGQRKGADIVVEALERCGVRDVFEYPGGASMEIHQALTRSPAFFLASTGRPGPVLVDIPKDIQQQMAVPSWDAPMRLPGYISRLPKPPAANLLDEVIRLVGDAERPVLYVGGGCSASGDELRRFVELTGIPVTTTLMGIGNFPSDDPLSLRMLGMHGTVYANYAVDNADLLLALGVRFDDRVTGKVEAFASRAKIVHVDIDPSELGKNKQPHVSICADVKLALQGMNAMLEEQSAAAARKNLDFSAWRSELEKKKVEFPLGYRTFGEEIPPQYAIQVLDEVTNGEAIVATGVGQHQMWATQHYTYRRPRQWLSSAGLGAMGFGLPAAAGAAVANPGATVVDIDGDGSLLMNIQELAMVRVEDLPVKVMVLNNQHLGMVVQWEDRFYDANRAHTYLGNPAANGGGEVYPDFVTIAGGFGIPAARVTRKGEVRAAVEEMMAAPGPYLLDVVVPHQEHVLPMIPSNGAFKDIIVDGDGRSSY >ONIVA04G08440.1 pep chromosome:AWHD00000000:4:11771185:11773173:-1 gene:ONIVA04G08440 transcript:ONIVA04G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZX7] MATTPASLAAAAAAATAAPEPRGIRPPRAPVHRLRLRHHATRVGCSTVSVSTRPATTTTTTTTRSQPPPPQPPQAAEPLQRRWGPTERRKGADILVEALERCGVRDVFGYPGGASMEIHQALTRSPAIRNHLLRHEQGEAFAASGYARSSGRPGVCVATSGPGATNLVSALADAHLDSVPLVAITGQVPRRMIGTDAFQETPIVELTRSITKHNYLVLDVDDIPRVINEAFFLATTGRPGPVLVDIPKDIQQQMAVPSWDAPMRLPGYISRLPKPPSANLLDEVIRLVGDAERPVLYVGGGCSASGDELRRFVELTGIPVTTTLMGIGNFPSDDPLSLRMLGMHGTVYANYAVDNADLLLALGVRFDDRVTGKVEAFASRAKIVHVDIDPSELGKNKQPHVSICADVKLALQGMNATLEQQQRKNLDFSAWRSELEKKKAEFPLGYRTFGEEIPPQYAIQVLDEVTNGEAIVATGVGQHQMWATQHYTFRRPRQWLSSAGLGAMGFGLPAAAGAAVANPGATVVDIDGDGSLLMNIQELAMVRVENLPVKVMVLNNQHLGMVVQWEDRFYDANRAHTYLGNPAANGGGEVYPDFVAIAGGFGIPAARVTRKGEVRAAVEEMMAAPGPYLLDVVVPHQEHVLPMIPSNGAFKDIVVDGGGRRT >ONIVA04G08430.1 pep chromosome:AWHD00000000:4:11763673:11768627:-1 gene:ONIVA04G08430 transcript:ONIVA04G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGGADKSGGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDDA >ONIVA04G08420.1 pep chromosome:AWHD00000000:4:11758480:11761468:1 gene:ONIVA04G08420 transcript:ONIVA04G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSRLVSSRAAPIFRLAKLGGILIKSAEVSLAAVFAKSSPKGGVEMNVDYNMDEAIKARGVAESRFHSRDIRGARKYAIKAQNLCPSLEGISQMVSTLEVHLAAESKIDGESDWYRILSLTAFADEEEVKKQYRKLALQLHPDKNKSVGAEEAFKLISEAWSVLSDNSKKVLYDQKRKDHSVVNVTNGMYTYDKKANKRARKNAAAAAAAAAAAAAAAEATTRPAGVDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFLAVETGFPCNGSSSSFSWSTKQQPQNNNSTKHSYGSTSRTSSIPGTGHGGYQQDGTYDSYNNQSFQWNQYSKTTPAAGTNAYGTQALEKPKRKHEESYSYNYSATGNSYGHERTNSRRGRFSKRRRHSNDGYTTMDFGGDNRETVAASTETTAFTDVAVAQVNGTSGEKLRSAVSGRRANVLREISQIDTRALLIEKAKAAIQEKLQEWNITSSSRLAERGKSQGKVYPSDNNIKQNGGLSDKHVKGLKQCSSRSVDTQAPTVDEKNPEQRRVPVSIDVPDPDFHDFDKDRTERAFDSDQVWATYDSEDGMPRLYAMVQKVLSMRPFRIRMSFLNSKSNSELAPISWVASGFQKTCGDFRVGRYQISETVNIFSHKVSWTKGPRGIIRIVPQKGDTWALYRNWSPDWNELTPDDVIYKYEIVEVIDDFTDEQGLTVIPLLKVAGFKAVFHRHMDPKEARRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVTEDTATQPAK >ONIVA04G08410.1 pep chromosome:AWHD00000000:4:11751498:11756201:1 gene:ONIVA04G08410 transcript:ONIVA04G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGEKVRAFATNRWLVFVAAMWLQSMAGIGYLFGAISPVVKAALGYNQRQVAALGVAKDLGDCVGFLAGTLSATLPAWAMLLVGAAQNFLGYGWLWLIVTRQLPALPLSMMCLLIFVGTNGETYFNTASLVTCIQNFPKSRGPTVGILKGFAGLSSAILTQLFAVLHTPDHATLVFMVAVGPSLVAIGLMFVIRPVGGHRQVRPSDNNSFMFIYTICLLLASYLVGVMLVQDFVQLSDNMLVFITAVLFILLILPIAIPVTLTFSSKTEHPMEEALLAEPSKGQASTSQEKEPDVFLSEVEDEKPKEIDSLPPSERRKRIAELQARLVQAAARGGVRIRRRPHRGENFTLMQALVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQAVGYKDAHIFVSLTSIWNFLGRVGGGYFSENIVRERTYPRHIALAFAQILMAAGHFLFAMAWPGTIYVATFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGVIASNLYDYEAEKQAHHQSSLSGRSLFDMSFLAEGPLKCEGAVCFFVSSLIMSAFCIVGAGLSLIVVHRTKRVYANLYRAVR >ONIVA04G08400.1 pep chromosome:AWHD00000000:4:11743414:11746044:-1 gene:ONIVA04G08400 transcript:ONIVA04G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MASSAAARRRQEMAAEGQRHLEETIAAAFQILSSMNDELCNPTLWSSSSSAAAAAAGGAGGLQHHGNNHHHHHQHHHHHGGLPPPPPPLHSADSDAADAAGGGPGGAPGSGGSLDEARHRYKVAMAALRASIAAVSSCAQEMGSTEHKADQAEIERLEEHASALRKEIESKNKHVKLLIDQLHDLISDISMWQSPCSV >ONIVA04G08390.1 pep chromosome:AWHD00000000:4:11735067:11744786:1 gene:ONIVA04G08390 transcript:ONIVA04G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALLAAGASAPAVTDPTAQDPVGKTAAFLASERGHLGLAAYLSEVSLTSYLASLTIQESDTSKGSAAAEAERAVESISQRNAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLKDEYGMTQEDIDELAAASRSYYQSLLPNGQFYDKAAVSIQKKFKGWKGRRHFLNMRRNAVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQTAMAEAEEDDEDDDDDDFNDDEAVKVFRRQKVDESVKEAMSRVLSMVDSPEARMQYRRMLEEFRQATAE >ONIVA04G08380.1 pep chromosome:AWHD00000000:4:11727111:11728958:-1 gene:ONIVA04G08380 transcript:ONIVA04G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGVWFVRQIPTSISISEKEARNPLFDSDSPTPVVAFLSVTSKVAASASATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIVKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTDRCYMLKI >ONIVA04G08370.1 pep chromosome:AWHD00000000:4:11716611:11718926:1 gene:ONIVA04G08370 transcript:ONIVA04G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPATSSRSSGDFPADWVFLDTVAHAGRCRRDNATTTTARARSSDGHPIEVSFALADPPALTRCLVHCPAGLTAGEFSRSPPSVAAAYGAFLLLRVVFPHRSDRCMATDWFVYRQPGPAGAPPPSLELLVQRPNPLDVVSRHAGVLSRGDHCLVVDPEWGFHDDDDDDVPPAYLLEQDQAVVANLGRGAMEAFDPFFFPTKVLRVVRGGSMAWVDFRNSILLFDSVPGDSPEVSLIRLPPLMPINNVDSGSPDGPCVDHVRDVTCRNDGWFKFIEMGFPHLDPNDARLNRGWEATMFKRRIIRSDDDCYWQWEPCGTVDSASLLLPAADSCVPDCLFPEIFDYEERKLALNNVLSSFPTLDLYRDDVVYMMTKIKDDDPDGWIIAVNTESKRLEGISPFSQESYHLHRIYQQCDFSKHLINKALGTHLAKDMDKLMDQQPPELATVERAACREEDDVS >ONIVA04G08360.1 pep chromosome:AWHD00000000:4:11713693:11713974:1 gene:ONIVA04G08360 transcript:ONIVA04G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVALELVAALSSTPNPVVATGFLRTAVVPKSTLLAKSAWGQRWSRGRVGAEVMEAISVRRRSKAAAAESGEMHGMWP >ONIVA04G08350.1 pep chromosome:AWHD00000000:4:11712662:11712874:1 gene:ONIVA04G08350 transcript:ONIVA04G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVVVGVVLFVVLSPGLLIELPGTHRWVDFGSLRVTGKSAVVHTIVFFVLFAIVVVACKLHIYTGA >ONIVA04G08340.1 pep chromosome:AWHD00000000:4:11710861:11711133:-1 gene:ONIVA04G08340 transcript:ONIVA04G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAATVGKPDGSPAELHDLARASTGRRGRVQASRAAGRRERRGHRRGEAGEEEDGAATAAGGGRWARRSSSQPSTELEQKGGGAHQR >ONIVA04G08330.1 pep chromosome:AWHD00000000:4:11710185:11710623:-1 gene:ONIVA04G08330 transcript:ONIVA04G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQITPRRWRQRRFPPLTFQWTVHPIELWSWFGVSGAELGVRYTKVKLRFNYGTVIANSLLSYPRKSRDAVNEMTSLSNLTEELTLYVPTVSEPFPRGRFGWPFLQLKMFSLGSLVGRASPASFLGTPVAEGRSG >ONIVA04G08320.1 pep chromosome:AWHD00000000:4:11708932:11709141:-1 gene:ONIVA04G08320 transcript:ONIVA04G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVVATVLFVLLTPGLLCTVPGRGRVAEFGSFHTSGLAIIVHAVLYFALLTIFLIAIGVHIYAG >ONIVA04G08310.1 pep chromosome:AWHD00000000:4:11698310:11702449:1 gene:ONIVA04G08310 transcript:ONIVA04G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIENIVERYEHSQAAQKGVHGRCILQKRKSKDFQVLKEAIDKGPINDDMRPIDEKDISTLNMDQISQIEILLEDELRWTRARKVVADRIARLQKKVQKKPATAETESNSTEMPSDHEKKQVAGGSQQSAEEKEEEMEVVLRHRLSLGTGDRDDGGGGAAEQRHRTTPPPAVDLNVPCRDAGQLQ >ONIVA04G08300.1 pep chromosome:AWHD00000000:4:11686597:11687225:1 gene:ONIVA04G08300 transcript:ONIVA04G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRVVLRRIEDRVRRGICFRKRLAGLEKKAEELAVLCDAHVGFVVLSCSGDDANPHHFAAPATHLELLFH >ONIVA04G08290.1 pep chromosome:AWHD00000000:4:11678933:11681433:1 gene:ONIVA04G08290 transcript:ONIVA04G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIDETDISTLNMDQLSQIERLLEDELRWTRARKVVVDRSARLQKKVQGKAAIVEVERGSMEIPIESGQEKEQIGGEAVEEQRSRTTPLDLNMPCWDAGPLQ >ONIVA04G08280.1 pep chromosome:AWHD00000000:4:11664703:11664915:1 gene:ONIVA04G08280 transcript:ONIVA04G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRVVLRRIEDRVRRGICFRKRLAGLEKKAEELAVLCDAHVGFVVLSCSDDDRLHHFAAPATYALSP >ONIVA04G08270.1 pep chromosome:AWHD00000000:4:11659319:11659564:-1 gene:ONIVA04G08270 transcript:ONIVA04G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVGTRAVSATSRLPSWWCWGGTARYAISSNTSEVAAVEAEAKDADRRKGELAWRKVMPSVVVSVRWLPSPVLRGGLHRG >ONIVA04G08260.1 pep chromosome:AWHD00000000:4:11649495:11649839:-1 gene:ONIVA04G08260 transcript:ONIVA04G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAFYNRGGRRIIKHKSTAAAAMPAFYPRSPAAVVVVAPAAAAPVPYSAAAPWPAPAPPPSAVTVVGHAHAHGGGGGGGDADVDRRAAMYISRVQERLRRERAASEEYWRNRY >ONIVA04G08250.1 pep chromosome:AWHD00000000:4:11645614:11648408:1 gene:ONIVA04G08250 transcript:ONIVA04G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPKNRFPKVKTPDIDNTQVWSVNVPLGKVITRYPRGTDATGVPKFPAAKDPHQASGFNDFERVKL >ONIVA04G08240.1 pep chromosome:AWHD00000000:4:11642287:11643044:-1 gene:ONIVA04G08240 transcript:ONIVA04G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAATALPSIPSSSSPSPMASDPTELRCSSPESSGDAGAEDPAAVDAAEESGGEGGSGHIAAGTEAAPPRPPEPEPEKVARHGVLPLLGKPYFTCIMCKSHVQPPFQVVVPRSFAPLLPSRTTPATLSWRGRSWGMRFTGGRLIQRLEAGWRGFAVDNDLRLGDGCVFELLVGGGGEQERVEFRVQVLRAEIPARIRGRAGGYTSATPIVID >ONIVA04G08230.1 pep chromosome:AWHD00000000:4:11640103:11640804:1 gene:ONIVA04G08230 transcript:ONIVA04G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSTATGAARDGRRRHGSCEDAFRGGGGGLEMRWRELEREWDAYKTSRCSGASRRRHHRRSRSGSGTGTPSSAVIVAAEPADAPGSHLLLGVRLGGSPRRLVSSLQRDGSSSSTASAGVTPERGRYDGDDHHDAASSVSSVDAGAMAAAASSNSSCSSAATSLFSLRDDKLAVVGEAAKTGGTATPATGSIGRFVAAIAAAGVVLLVAAIMAAAVLEFAMDDGQAEFLVPT >ONIVA04G08220.1 pep chromosome:AWHD00000000:4:11638563:11639972:1 gene:ONIVA04G08220 transcript:ONIVA04G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFLCHVKFVACSCIATWCSSVTSLNW >ONIVA04G08210.1 pep chromosome:AWHD00000000:4:11631981:11636927:-1 gene:ONIVA04G08210 transcript:ONIVA04G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSPYFVPESEGIRAGESPAAALRRILASPGAHQAPCCFDALGARLIQRAGFPICFMGGFCVSAARLGLPDAGLISYGEMVDQGRLITEAVSLPVIGDGDNGYGNAMNIKRTVKGYINAGFAGIMLEDQVAPKACGHTEGRKVISREDAIMHIKAAVDARKESGSDIVIIARSDSRQAISIDEALWRVQAFADAGADVLFIDALASIEEMKAFCAVSPKVPKMANMLEGGGKTPILSPAELQEIGFSLVVYPLSLIGVSMLAMEDALIAIKSTGAPRPGSLPSFQEIKDTLGFNRYYKEEKQYATVQQAQPSSTNIVLRLKITEKSGTQKINEGIPAGILEKISKAIPGLAGVNFTEILQGADQSQKGKLLLDREDATGDRIQVSIE >ONIVA04G08200.1 pep chromosome:AWHD00000000:4:11628530:11631730:1 gene:ONIVA04G08200 transcript:ONIVA04G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRALALRSLLVPDHPRAAAAAAARPAAGRRAPRRRSRHLRCCSGGGDPGQPPQEAVLEAISKVARSKGRVALTTNMVLGGTVTDDASDEWLVLDQKVNSYPTNRGFTAIGTGGDDFVQSMVVAVESVLQEPIPKGQVSHKLSSRGKYVSVKIGPIRVVSSEQVQAVYRAMRSDNRMKYFL >ONIVA04G08190.1 pep chromosome:AWHD00000000:4:11621809:11623212:-1 gene:ONIVA04G08190 transcript:ONIVA04G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKMIVRVSQGHGCIDHKLKCNLIEPPQVVSGNRAGNQKGCRISKTMRRFVLTEIMGRRIHGTRYWVYCTAYRIPGRRINIVVVVFLPPLRIQASVHSACSLLQLPSFTLALFVQGGQNLGLLQSLYGYRYAFVTVPQGQPSHGLGHQPNTPKFKASGIITV >ONIVA04G08180.1 pep chromosome:AWHD00000000:4:11619556:11620107:1 gene:ONIVA04G08180 transcript:ONIVA04G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEQGDRELQLLLPAAASAAAAASRVLCGGGGGDAAAGLGGGGGSVDSSGGAAALDLDLSMSIGPTTRRQQPAAAVPSPSPPPAPAPVVDVRAVRQQTAEQMRQASAHRAYAERVREMARAELELAEREFARARAIWERAREEVERVERMKEIAARRLGIGPAAASAALEITCHACMQRFHP >ONIVA04G08160.1 pep chromosome:AWHD00000000:4:11607589:11608448:1 gene:ONIVA04G08160 transcript:ONIVA04G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWHFLLAFAIRLCVPAPSELVVSLLGSTAVGRALAGHPDPFRVVHRSELAERRSSESALTRSSPS >ONIVA04G08150.1 pep chromosome:AWHD00000000:4:11596455:11597628:-1 gene:ONIVA04G08150 transcript:ONIVA04G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCSPGLSIDADDSGRVVGGPAPLSLALVHADVDDDKVLFVPWVEGGEARGGDGAGGDGGSEGEGPATKTGMERNGRWRRGMEGNDVD >ONIVA04G08140.1 pep chromosome:AWHD00000000:4:11595339:11597319:1 gene:ONIVA04G08140 transcript:ONIVA04G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVAPIAVASAGLGMLAGVAMANRSSNSSSSSGRTSSPAALLRWGAPEPAPRLRPPRVVASPEVGLHSHPRHEENLVVVDVGVNQCQRLFQTQKCSPAQFSMQHQECDIRVGKLTKGAGPPTTLPWSHHDKKKSGEAEEEKRKRRMRNFGSDAGQRRGLRRSAAGRVAACREEEQKPW >ONIVA04G08130.1 pep chromosome:AWHD00000000:4:11581758:11588388:1 gene:ONIVA04G08130 transcript:ONIVA04G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:splicing factor-related [Source:Projected from Arabidopsis thaliana (AT5G51300) TAIR;Acc:AT5G51300] MASAETLARSPSREPSSDRPRADSSEPPRESSSEPHHHNGDGSGGAGGGGDSSSRRRRRSRWEQSNDDSAANSGGEGGGGGGGGGGRKRKSRWAEEEPRPIIALPDFMKDFAADLDPEVHNLNARLLEISRMLQSGLPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPADYRPPKLQKKLYIPMKEFPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDMKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNRTSTFKSEVQCKICGDGGHPTIDCPVKGTTGKKMDDEYQNFLNELGGSAPESVTKSSGPMLALTGSGGSGGSSGGVGAGSGSNPPWAAGGGAAASGANGIKKEYDETNLYIGYLPPTLDDSGLIGLFSQFGEIVMAKVIRDRITGQSKGYGFVKYSDVSQANAAITAMNGYHLEGRVIAVRVAGKPPQPAVPPGPPAVPAPPTYPPADPAAGGYTSQPYMGAPPPPPPGSYAPVPWGQPPPYASYPPPPPGSSMYNPPPPAPGQATPPPYGVQYAPPPAPIPPPGTAPSTDGAQNYPPGVTPPSSGAPTQPVPAPVYGTSGAPNAPPMYPPPPYGYASYYPSVTPVQPPPPPPPAGADPSQSLANAPWATHSAPPPPPSGADPSQSIANAPWATHSAPPPPPATADHSQSIASAPWATHNAPPPPPPPSSIEQPPATYGADAEYDKFMSEMK >ONIVA04G08120.1 pep chromosome:AWHD00000000:4:11553754:11555120:-1 gene:ONIVA04G08120 transcript:ONIVA04G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGACYSPASCQDGRRKRRRIAGGGGEGSAAAAAAVAGGAEGPANDMFEELPDDLVVSILADVAASARSPGDLAGAMLTCKRFRELGQSKVVLARASPRCLAVRAKAWSDAAHRFLQRCADAGNLDACYLLGMIRFYCLGSRGSGAALMAAAAVGGHREALYSLAVIQFNGSGGSKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYGVRRSVLDGRRLLIQANARELAAAVAASASLLRAATGKPAAAASRRHSCLLSDFGCHAAAPKAGAEAHAANRFLVDWFASRPLAGSAAAAAAAPTPGSAAEDEAAGLRLCSHALCGRPETRRHEFRRCSVCGVVNYCSRACQALHWKTAHKAECTPMDRWLDNAAAGAANPNAAAMAAPAP >ONIVA04G08110.1 pep chromosome:AWHD00000000:4:11536710:11537273:1 gene:ONIVA04G08110 transcript:ONIVA04G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRGAGTAGLAGWCIRRGGSCHHRCRHCCWWRRGRSRGEDREAVHEVPKHMMEVELLTTFQEVAIVDEVTVIKDKRPLVVSDMVPVGTMLSTCGIMAGTHRYQT >ONIVA04G08100.1 pep chromosome:AWHD00000000:4:11505480:11508439:-1 gene:ONIVA04G08100 transcript:ONIVA04G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAWIDRITLSAKKICSDCSTVSEEET >ONIVA04G08090.1 pep chromosome:AWHD00000000:4:11503245:11504800:1 gene:ONIVA04G08090 transcript:ONIVA04G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGNVCGTTAAAAAAMAIPNDLLVSEVLSRLPVKSLLRFSSVCRSWRTAVADRAFARRHLTLSRRAAGTTPPSVLAVVTRIDADPDNAAPPEDLISFHRIHPGRQPSSAVSAAAADVAVDVEQMQELALECSNPLLRSSHCDGLVAVAADVGRIFVCNPATREFVVLPPGSPGPYDHRREEAAVLGADPRPGVHVVARCLYRHYGCHANEHTGEQSLEYDIVHEVFVLGPSGSGAGRPPRRRRAPSSSWCRRPTRGAPNDQSDQAQREHPNALLRFAIHDGVFDVVPLPPGVAFMAPDDRDALTELGGELCYMRPTGATAFDFWMLPADADADADAEHDEEEGGHGAGWSLRWRFDFGGGDPIDDLTPLYMAPDGTLTVYVDTARMICRLDERSNLLEKVVDMAAVYWHLVEQLGHGNYYDHSEKDYRLEIQNGRWKREAY >ONIVA04G08080.1 pep chromosome:AWHD00000000:4:11498956:11500066:1 gene:ONIVA04G08080 transcript:ONIVA04G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDGVWKIHCIQIHLIASQCNGLITVAAKVGQNFLCNPVTKEFFVLPTGYSSPYDLLHEEVVVLGFDLCTATGFTLTF >ONIVA04G08070.1 pep chromosome:AWHD00000000:4:11496876:11498444:1 gene:ONIVA04G08070 transcript:ONIVA04G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPNDLLICEVLTRLPVKSLLRFRSVCRSWRDAVTDPAFVRRHLELSRAATPPSTTVLAVHTRMDHDPDDRAAPEDVVSFHRVRPGQSPAAAAAAIVELMHEEALECAGINLFASHCDGLVAVAATAGKIFVCNPATKELFLLPPGGRGGPSKETAALGFDPCTGRYVVARCFFRRDVYYLDEDTGVLQYREYDINDIVHQVFVLGPSGSGDWEATVTPPCIIYTDLPAACAGGAFYWVAHDKSDGTFAVECPNCLVRFAMNDGTFTIVPLPQGVTFMDVDFDSISELGGELCYTQRTSGTAYNIWTLQLPGDEEEEGHRWSLRWRVDFRRRVGVVLPLAVSDDGGTLTVYEHRVGIHRLDGGRESHPEKVLVDMAAVTRGLVGQWIAGYGCDQQCGGSGDHDREQCDGGGAAHDRMQCGDGDYYCERCGGDGDYCERCGGDDEDDWEQCGGDDDGDGDGDGVGEPLGLGQGDHGDYDGDEYDDGYDYDGEYWYLQGGRYKPLRPALRSLFAYVPSLVKID >ONIVA04G08060.1 pep chromosome:AWHD00000000:4:11495095:11496522:-1 gene:ONIVA04G08060 transcript:ONIVA04G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTNARPEKRHRIAAAAAAAAAVPDDVLFSNILVHLPVKSLARLKCVSRSWLAAVEDPAFVRRHLELSRARPSAMIVVVPPKDGSISFHRFRQLQVVDTTTMDDSSEVELMLERAFPDGVEIDVATHCDGLIAVTTDAGETFVCNPATKELVTLPLGISCHNGCVVWDRFAAIGYDPWRSRYVVRRYFRRRYPNRTAGAEIGHEIFVLGGGGGGSWPPRIHHQPAPSTPPACIGGCFYWCTNEDVGNPSMLLRFSLRSHKFDLVPCHPGCSSDVFAFNTVSELDGKLCYTHTATETTTTTSRLWMLDGGGDMARPEWSMRCFIDVGDYVSCVSPLVAGSTSCCRVLEKVVNTAEVEYARSDDGSKYKAQEPPPLLHPPPALFVRAHPRLSSSPERRAAARTTAAELLLSSSTP >ONIVA04G08050.1 pep chromosome:AWHD00000000:4:11490154:11490549:1 gene:ONIVA04G08050 transcript:ONIVA04G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSKQALATPLSLFLLHLLLAALALRLVAVASASVVVSVAEEAENNATAPWTTEERLVVVVELGSAAARQLQLGGGGVELHHRRRELAGKIPFGPLRPDGSACRPHCPAKSGLPYTRDCKVIYLCGRGR >ONIVA04G08040.1 pep chromosome:AWHD00000000:4:11483892:11484296:1 gene:ONIVA04G08040 transcript:ONIVA04G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAATAWLLLLAVVVAPGAALPPASSAAKVASYNYNDAAAAAALSTAAWVEIFPAEARPEMAMLLLAGAAGGGGGGGAGVVVHRRVLAGGGYINPSVVRDQVRCFRSNCQGRGGSYTGRANKCYFQNQSCQK >ONIVA04G08030.1 pep chromosome:AWHD00000000:4:11480815:11481171:1 gene:ONIVA04G08030 transcript:ONIVA04G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIMISKASVLAAVFSAWLLLLVLLADDAAAEPAGEAVAAAAWMIRRLDDTVEPEPLPAELYRVQRRVLQATSHYVAPSALNPDHQGCIQSCLPGSQYTVPPPGSHCDRKFYNQGC >ONIVA04G08020.1 pep chromosome:AWHD00000000:4:11477579:11477968:1 gene:ONIVA04G08020 transcript:ONIVA04G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAAAAAPTSAWPLLLLLLILPLDAAAAMAAPAGGTVASTSSVAAAVWSRTTTVEDTVVELEPLLPADQLDMLIQSRRVWSTTKHDTGALDQGKPVCLPGHSCTEPPPGVSYIGRGDKCVYHNQGC >ONIVA04G08010.1 pep chromosome:AWHD00000000:4:11471139:11471543:1 gene:ONIVA04G08010 transcript:ONIVA04G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAATACSTLLLVIAAATTLLVPAASAAKLVAGKDAATATAAEAALGSTVAPWVEADAGGVVGGMMMVAAAAGSVEYGHGGVHHRRILQARGGGNVNPSLVADRQRCIGSCPARGGSYTGRGNQCFYHNRSC >ONIVA04G08000.1 pep chromosome:AWHD00000000:4:11464319:11468205:1 gene:ONIVA04G08000 transcript:ONIVA04G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPLLLLLLLLLLLLLIPSFKAVVSKGSRRSRSKILEADVKGSWHGGGHGHWLSSTDVMSNATAMEIVTCSQDDVSRCPQEKTVNLHDLHNSLIASKELVRVLAHIWGPGELNPSTTSLISALRSEIDLARSHVRKLIKEQKSEGIESLKKQLVQEMESWKSKQKEKVANALQYIVSELDSEKKSRRRAERINKKLGMALANTEASLQAATKELERERKSKGRVEKICTELIRGIGEDKAEVEALKKETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAINQLHDELQAYLDTKKEHGQSNDQMTPLRASENGREIADNIQKNSEECDDEDEDDDDDDSASEGSDMHSIELNVDGNSKSYTWSYTPTSKDRKRNASFSQRGMDSGSSCGFDRKFQETGEELLEGDWAEGCSNGMLNFEHDEERYLAIKNLREQMLAGSGFIVSQGREHAESEFCGL >ONIVA04G07990.1 pep chromosome:AWHD00000000:4:11455388:11461059:1 gene:ONIVA04G07990 transcript:ONIVA04G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 11 [Source:Projected from Arabidopsis thaliana (AT2G05170) TAIR;Acc:AT2G05170] MYQWRKFEFFEEKAAGRGVPGEIASRVSCCSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAYASSVLFLQQLKQRNVLITVGDDDQPSSLSSAICLKVFDLDKVQEEGSSTTSPFCVQILRIFTKQFPQAKITSFVVLEEAPPILLIAIGLDNGSIYCIKGDIARERITRFMLQVEDGTSLPITGLGFRVEGQAHQLFAVTPSSITLFSLHDHPPRRQTLDQIGCETNAVAMSDRMDLIIGRPEAVYFYEIDGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRSRKNTLNVYDLKNRLIAHSMPVGDVSHLVSEWGYIILIMSDKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNHFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISSLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDGGDPMTRRGSNSMHLLMIPSPMDFVNIFVHSPKHLMEFLENYTKAVKDSPAQTEIHNTLLELYISKDLSFPSMSQENGFEEQNSKERKGKEVANGYKSGPREKGNLGKEDMNVAKDIVDRQRKGLALLKSAWTSEMDDPLYDVDLALIICNANAFKDGLLFLYEKLKLFKEVISCYKQAHDHEGLIACCKKLGDSSQGGDPSLWGDLLKYFSELGEDCSKEVKEVLTYIEKEDVLPPIVVLETLSKNPCLTLSVVKDYIARKLEQESKLIEEDRKSIDKYQDETELMKREIEDLKTNAKVFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEHNARDHDLFFRQLRGSKDGFSVVADYFSKGIVSKTAIPPENGR >ONIVA04G07980.1 pep chromosome:AWHD00000000:4:11441558:11444703:1 gene:ONIVA04G07980 transcript:ONIVA04G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDDFREMVSENDLLLLSKEKFEEGVTPSAYAFALVEQRGGRETISLRTFVAGEIKNLNVAKPVSCSRLQRIASIFSTTESFLWILKICSLSTIMREFSGMHSVASLPFKDLILSASEKNSGGNDQNRAWNVPEPLMDYLKTNLNDSQLDAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMQTKGGFDVKKHGPELDIEGKHAHWMKASPWLIGVNPRDLIMPVDGDDGFYPTGNELKPEVVSSNRKYRAHVLVCAPSNSALDEIVLRVLQTGIRDENNNTYNPKIVRIGLKAHHSVKAVSMDYLIQQKLSGVDRSSDGGRRGAGEYDRIRSSILDEAAIVFSTLSFSGSSIFSRMARAFDVVIIDEAAQAVEPATLIPLIHGCKQVFLV >ONIVA04G07970.1 pep chromosome:AWHD00000000:4:11438164:11440142:1 gene:ONIVA04G07970 transcript:ONIVA04G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASTFAAAFLTPLLTSKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILSSGLQVVMSVCWLHASGFFFGYVLSRTIGIDISSSRTISIEVGMQNSVLGVVLASKHFGNPLTAVPCAVSSVCHSVYGSLLAGIWRSLPPNDKGQ >ONIVA04G07960.1 pep chromosome:AWHD00000000:4:11430547:11433315:1 gene:ONIVA04G07960 transcript:ONIVA04G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHLLLQLSPPPPPPPLPAAHLMMSPSFFDAGVFADVGGDWMEDLMHLGELFGVGVGGDDDDNGGVDGGVGGGDDRMQEWQNNCEGAGSPDHQPSCGDGDGDGDGDVSPRDGELGDGDGDNSATRKRRDRSKTIVSERKRRVRMKEKLYELRALVPNITKMDKASIIADAVVYVKDLQAHARKLKEEVAALEEGRPIRPPPPSAAAQRPQRQPRRVAAAAAQLARAADAAAVTTAAAAPHGARVAHVGAAQVGEGRFFVTVECEPAAAAARGGGGGVAAPVCAAVESLSCFTVESSTVGCSPDRVVATLTLKVSEAEEDVSAISECTVKLWVMAALLKEGFRPQPTVQIS >ONIVA04G07960.2 pep chromosome:AWHD00000000:4:11430880:11433315:1 gene:ONIVA04G07960 transcript:ONIVA04G07960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHLLLQLSPPPPPPPLPAAHLMMSPSFFDAGVFADVGGDWMEDLMHLGELFGVGVGGDDDDNGGVDGGVGGGDDRMQEWQNNCEGAGSPDHQPSCGDGDGDGDGDVSPRDGELGDGDGDNSATRKRRDRSKTIVSERKRRVRMKEKLYELRALVPNITKVRTQCLIARVGVAMAAPPCAIANTSLMIAWQMDKASIIADAVVYVKDLQAHARKLKEEVAALEEGRPIRPPPPSAAAQRPQRQPRRVAAAAAQLARAADAAAVTTAAAAPHGARVAHVGAAQVGEGRFFVTVECEPAAAAARGGGGGVAAPVCAAVESLSCFTVESSTVGCSPDRVVATLTLKVSEAEEDVSAISECTVKLWVMAALLKEGFRPQPTVQIS >ONIVA04G07950.1 pep chromosome:AWHD00000000:4:11412581:11418174:1 gene:ONIVA04G07950 transcript:ONIVA04G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPSPSPATAAAAATLPHLPLLLPRVLLPRRASSHHGPLHLRLLPAAAAAPLPPPPSSPDELLPDQATGLVAASQANFMRVIVGAAPRGVEGARPGGDLLCVVRALLKKIRRRVLVGDRVLIGAVDWAGGRGVIEGVFERRAEVADPPVANVDRLVLLFALDQPQPEPATLTRFLVDAESTGIPFVLVFNKVELVDDKTISYWRDRMKSWGYDPLFLSVDQKSGLSALEETLEGQTTVIVGPSGVGKSSLINALRSNQNISEEDPIHKLVEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIAGGGFLADTPGFNQPSLMKVTKKGLAETFPEIRKMLAENEPSKCLFNDCVHLGERGCVVKGDWERYPYYLQLLDEIKIRESFQLRTFGTKREGDVRYKTGVMGVKQAEPRLQLKKHRRVSRKKINQSILDDIDDELDEDNWFDVKQRSRKR >ONIVA04G07940.1 pep chromosome:AWHD00000000:4:11405990:11406427:1 gene:ONIVA04G07940 transcript:ONIVA04G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNTAVSIILLAVAVAVAVVPLAAAVAGNNNMQIVPTTSHQPAGGESTAVVADVAEALIISRPPWEGGGVAGGAGDAQAMSECMEKTLYTGPCLRRCARRRASWSSTTAATAEAASCFSRNAAASCASSCIVPVPRARARGAE >ONIVA04G07930.1 pep chromosome:AWHD00000000:4:11399630:11404924:1 gene:ONIVA04G07930 transcript:ONIVA04G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVPKCSRVVLLQFLVLDVELLRSAGVRGGDGEGAGGECGTAQREGGARATLRDGATEKDSAREKRAPRPGGTTRVRRGLPALDSGAGTADCGPERGVVRKRHDCGVAGDDGRAAAQARWSASCGAARLGSALAVRDGAAPGVQQLAATRGGDGARGWRQRLAASSSRCSAMQGTADGQQFFVVMWPAQSCVCSVHATETNCVTARRASVRRRRTASAALQHVFIYVWVVNPASRAAVIRIGTSSSPKMPPPMAMATPAASATLTLLLPASPPMRLRARRPPARTSRSRPLLLRASCAYALREGQSQRFHRLPCGLDLEVIAQQPPAPATGGGGAAARPPLVFVHGSFHAAWCWAEHWLPFFSRAGFPCYALSLRAQGESSVPPEKVAGTLETHTGDIADFIRKEVSLPPVLIGHSFGGLIVQQYISCLGELLHPKLAGAVLVCSVPPSGNSGLVWRYLLTKPVAAIKVTLSLAAKRFANSLSLCKETFFSPEMDDDLVQRYQGLMRDSSKLPLFDLRKLNASLPVASVPNNTVNILVVGASIDFIVDAEGLSETARFYNVQPVCIEGIAHDMMLDCSWDKGAGIILSWLEKLTPR >ONIVA04G07920.1 pep chromosome:AWHD00000000:4:11393012:11393377:-1 gene:ONIVA04G07920 transcript:ONIVA04G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHRRSMRLLRVRLSRKAAINGPQNKLIDVAGAARRPVDELLKKPHPSIPVNTKEAASEYPSPP >ONIVA04G07910.1 pep chromosome:AWHD00000000:4:11389722:11390327:-1 gene:ONIVA04G07910 transcript:ONIVA04G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKASMFLKQMVSTIVAVVKAKSTAVRAKTSAMKTRLLIFGVLRNRKLLATAINHKIHAIMGGAAAQDTTNDGGVAGVEDDDGGGSKKAVVLYNTAPSFLTERGYYDHAGEEEEEEEDSDEYLTHSLFQEEDDEDDELVNAPGSVIDLVRDAKEGEGGEFRLEDEIDHVADVFIRRIHKQLKLQKLDSFKRFCEMLERSA >ONIVA04G07900.1 pep chromosome:AWHD00000000:4:11378016:11383941:-1 gene:ONIVA04G07900 transcript:ONIVA04G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESPRSPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIAVASFPRPLGSQVIEIPSNASLADTVEILSKNKILSAPIRNVDAPEDASWIDKYIGIVEFAGIAMWLLYQSEAAANGTAGSAVGSPVANLVSRLGSFTFRRTSSGRVETTTDPESDETASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDIGGDKIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPLMKPCKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGTKAIGNISIRDVQYLLTAPNIYKDYRTITAKDFLTAVRQHLQEQHEASPLLGSVITCRRDDEVKDIILKLDSEKIHRIYVIDDKGNTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPPNSTCQKTVKEYRSGSLFLQPIGSILVRMPKCVHPLKCLETVTREKQKQTRTPGFCSNQFSVSKTWIFCRNCA >ONIVA04G07890.1 pep chromosome:AWHD00000000:4:11372804:11374870:1 gene:ONIVA04G07890 transcript:ONIVA04G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPAPPHPQPEELEVAEEPPAAAGGVGNDKVLAAAQHIVKSLATSKNAADDMIRILSGFDHRFSSITADLFPSPSPSSGAGPTPPPPPPPRGAFEAAERLIRQWDATPELLVFEGPEGDVADYLEAVDVAVDQLLSGVGAAAADAEAEAAGVVVQLAMARMEEELRHLMVRHAVPLDASGLFCSLRRLSLESMDDLDTSSEFDPITPHSLEGGPDTARSASLVGNPFDDQVFDLVRPEAIDDLRSIAQRMDRAGYASELEQVYCGVRRDLLDECLAVLGVERLSIDEVQRMEWKLLNDKMKKWVHGVKTVVRSLLTGERRICDQVLAMSDELRDECFVESTKGCIMQILNFGDAVAVCSRSPEKLSRILDMYEALAEVIPELKELFFGNSGNDVICDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDKLLGDDSAGDVDHSDTHRGGDDEEEYLESLSPLGRHLVKLISYLEANLEEKSKLYEDGALQCIFSMNNILYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKNYLRISWTKVLSFLKDDAHGGRSGSGSGSGNSSRIKEKFKNFNLAFDEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFLGRYGSLVDSGRNSGRYIKYTPEDLENQLSDLFEGSLGPANHSRRR >ONIVA04G07880.1 pep chromosome:AWHD00000000:4:11367350:11370670:1 gene:ONIVA04G07880 transcript:ONIVA04G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPASPPAVRQCLSFTSHRIAACRREAPLMKERARRKKRSRLSLAAAAMATGGNPNPNSTPTPQPRPPQPQQQGGSPATPLGHLRPPSLAGSPFQGLFHTPPQHNPAFQIHMGAAASPQNPLMAAAAAAAAAAASAKRPPQKPPARPPAPGSSSSGGGAAAAAAAAASAAAAYKAAAAAAAVGANSAGGVDLTPAAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFESRVDAALHRKKVDIQEALKSPPALQRTLRIYVFNTFANQAPRTIPPPKNAEPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSSFFKRVTIALDPSLYPENPLIIWENARSAAPQEGFEVKRKGDKEFSANIRLEMNYNPEKFKLSQPLMEVLGVEVDTRSRVIAALWQYIKAKKLQNPTDPSFFMCDPQLKKVFGEDKLRFAMLSQKISQHLSPPPPINLEHKIKLSGNGAHASACYDVIVDVPFPLQKEMSAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLIAGEANRNIERERRADFYNQPWVEDAVIRYLNRKPASGNEGPGGGAGGS >ONIVA04G07870.1 pep chromosome:AWHD00000000:4:11364433:11366029:1 gene:ONIVA04G07870 transcript:ONIVA04G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERAVAADLKMGRVTEVDLEVCHEGLRPTCRNRLNHQTSAKSQMDTKGETKGRSPWAEPDDED >ONIVA04G07860.1 pep chromosome:AWHD00000000:4:11343948:11355304:-1 gene:ONIVA04G07860 transcript:ONIVA04G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLLWLCIGIAAYCSAASAAICERSCGGVEIPYQFGLDPPCALPGFKLTCNTTGDGKPYYKDVELLNISLMGGQVRMRMDIANYCYNSTSGGMNGRSWSLNLTGTPYRLSDFGNKFTAIGCQTLAYLIVGDELTTGCVATCKEDDLVRLTDGVCSGIGCCQTAIPKGLQYYGVTFKPGFNTTKIYNMSRCSYAALVEASSFNFSKNYPTSSAFNDHYRGQAPLLVDWAIGNETCKVARRKSNFTCISKNSECVDSLNGPGYRCNCSQGFHGNPYLKPEDLDSCQDIDECKEPYKYPCHGKCRNKVGGICASLVVALTTLLGIEWIKYKQRIKRQDIMRKRGEYFHLHGGQLLTDMMNIENNISFKLYDRDDIELATKGFDKTSIIGEGGQGTVFKGYNLDQVNNPVAIKKCKGFDENSRTEFTQELLILSRVNHENIVKLLGCCLQFEVPVLVYEFVPNKTLHYLIHSQNDPSIRTLEIRLKVAAESAEAFSYLHSLDHPILHGDVKSMNILLSNNFIAKISDFGCSKIRAADGHDDVVKGTIGYLDPEYLLKFELTDKSDVYSFGVVLLELLTRCTPLSKQKVSLASVFQEAMKEGLFLELIDTEILHEDNMGLIGDLARLACQCLAMTSESRPTMCRIAEELRRIEKQVRQHRGVLTTISSTRKVARAMRGHVTKLAADQPLAAAEPIARSCHDCGGVQIPYPFGVSSSGCAMAPSFEVDCNDTGNGVSKPFVGNIEVVSLGNGQARVMNHVSSSCYNRTSRQMNPADVWYLNLTGTPYRLSDSANKFTVIGCRTLAYIFDDYNVGKYMSGCVSVCRRGDLSSAINGSCVGIGCCQTNITTGLSYYQVMFDYTLRIRGLQPHPL >ONIVA04G07850.1 pep chromosome:AWHD00000000:4:11326936:11332776:-1 gene:ONIVA04G07850 transcript:ONIVA04G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRNAAIRGAVDGHICLLIVLFLISIKLAAGGPIARSCQDSVCGGVRIPYPFGISSSGCAMAPSFEVDCNNTANGFKPFVGNVEVISLSNGQARVMNHVSSSCYNRTSRQMNPADVWYLNLTDTPYRLSDSANKFTVIGCRTLAYIFDDYNVGKYMSGCVSVCRRGDLSSAINGSCVGIGCCQTNISTGLSYYEVMFDNTLNTSGIYNRTPCSYAVLMESSSFTFSTTYLTSRAFNTSYGGQAPLVLDWAIRTANNCVEAQKNPASYACKGDYSVCLNSTNGPGYIYINECQDSNNYPCHGEYAITNPAILIVSAVRPWKCNYSWRMSEGLLTSKSTAGNWNCCMCVGWPVCFPWMGSDSAQKEH >ONIVA04G07840.1 pep chromosome:AWHD00000000:4:11325827:11326867:-1 gene:ONIVA04G07840 transcript:ONIVA04G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAEGNIGFTLYKRVEIETATKNFNKAQIIGEGGQGTVYKAVLDGTVVAKKKCKEIDESRKMDFMQELVILYRVNHPNIVKLLGCCLQFEAPMLVYEFVENKTLQELLDLQRSKRFHVTLGTRLRIAAESANALGHLHSLPHPILHGDVKPANILLAEGLVAKMSDFGCSTIDEKTQSMLKGTPGYIDPEYLLEYQLTAKNDVYSFGVILLELLTGKRPLSKESKTLASMFQEAMMDGTFHELLDSEIIDEASMGVLHQIAVLAIQCLALPGMTRPVMEQVAKELRRLALSDEVQQCPQPSLVLEGLNFAVMGSMCTTSLLYTEGNSTGVYDLEKKTLMSTEFAR >ONIVA04G07830.1 pep chromosome:AWHD00000000:4:11321914:11322748:1 gene:ONIVA04G07830 transcript:ONIVA04G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQKFEFENEQGFWSFFHQDRDTSYKADTYRYDLLCESLKDLRLAHLLTEPEGPEIGLRFLLGKCKALERLCLEYVSGLIDNDMIALSQTCKNLKSISLWLNPEHYNVGDDIVFRTGFTDESLKALALNCPLLQDVELTFTGCEHWDPHRRDFALFFNDDGMKALSTAQFLETLSLIDCDEVTDHGMRFAVHSPSLINLTLRYCYNVSDVGLSELVHAQKLESLVVGGCPMISEKGVQCAAKSVCYEVKCQSLAHYKRLR >ONIVA04G07820.1 pep chromosome:AWHD00000000:4:11310245:11321883:1 gene:ONIVA04G07820 transcript:ONIVA04G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSEELIIEILKRITRTSDLNSVSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEIDYSGSTPGNGNHIDNQEVTSSGLLMVAFGCKALSSLYLNDCKGIAGSTEWLEYLGSDGSLEELIVSNCKGVSQYNFLKFGPGWMKLKTFEYENKENFFSIHPRYGSSVKANTHRYELCCENLKDLKLVRLVTEPDGPEIGLRFLLGKCKALEKLCLEYVTGVIDNDMVVLSQACKNLKSISLWLKPEHYVVGGHIEFRTGFTDESLKALALNCPLLQDVELTFTGCAHWDPPEIGFTQEGLVSFVESCPIRVLVLNGALFFNNKGMKALSSAQFLETLSLIDCNEVTDHGMRFIVHFPSLINLTLRFCHNVTDAGLSELAHAQKLQSLDVGGCGYISQKGVLGAAKSVYYEVNCKSLGHYKRIVTSMPHGTKTKYINTATFAACNQIELQHLRRQWGRRSSAKGAVQGRSSSEWRGRARRRYTRGEELERHDHREFGAPIRLAWWKQARDLLNEERARLHQTVVGATGILKRITRTSDLNSLSLVSKQLYTIDAEQRATIRLHCGLATEAFPTLCSRFPNLLKVEIDYSGWTSGNGSQIDNQCLLFLSSRCILLNDLTLSFCSNISDPGIACLTYCKKLMSLRLNSIPELTSSGLLLLAVGCKALSSVYLNDCKGIAGSTEWLENLGANGSLEELAVKNCKGIG >ONIVA04G07820.2 pep chromosome:AWHD00000000:4:11311253:11321883:1 gene:ONIVA04G07820 transcript:ONIVA04G07820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSEELIIEILKRITRTSDLNSVSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEIDYSGSTPGNGNHIDNQGLFILTSCCTLLNDITLSFCSKINDAGIACLTYCKKLMSLKLNSIPEVTSSGLLMVAFGCKALSSLYLNDCKGIAGSTEWLEYLGSDGSLEELIVSNCKGVSQYNFLKFGPGWMKLKTFEYENKENFFSIHPRYGSSVKANTHRYELCCENLKDLKLVRLVTEPDGPEIGLRFLLGKCKALEKLCLEYVTGVIDNDMVVLSQACKNLKSISLWLKPEHYVVGGHIEFRTGFTDESLKALALNCPLLQDVELTFTGCAHWDPPEIGFTQEGLVSFVESCPIRVLVLNGALFFNNKGMKALSSAQFLETLSLIDCNEVTDHGMRFIVHFPSLINLTLRFCHNVTDAGLSELAHAQKLQSLDVGGCGYISQKGVLGAAKSVYYEVNCKSLGHYKRIVTSMPHGTKTKYINTATFAACNQIELQHLRRQWGRRSSAKGAVQGRSSSEWRGRARRRYTRGEELERHDHREFGAPIRLAWWKQARDLLNEERARLHQTVVGATGILKRITRTSDLNSLSLVSKQLYTIDAEQRATIRLHCGLATEAFPTLCSRFPNLLKVEIDYSGWTSGNGSQIDNQCLLFLSSRCILLNDLTLSFCSNISDPGIACLTYCKKLMSLRLNSIPELTSSGLLLLAVGCKALSSVYLNDCKGIAGSTEWLENLGANGSLEELAVKNCKGIG >ONIVA04G07820.3 pep chromosome:AWHD00000000:4:11307174:11313122:1 gene:ONIVA04G07820 transcript:ONIVA04G07820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSEELIIEILKRITRASDLNSLSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKIEIGNSGSTPGNGNHIDNQGLFVLSSSCNSLNDLTLSFCSKINDAGIASLTYCKKLMSLKLNSIPDVTSSGLLLVAFGCKALSSLYLNDCKGIAASTEWLEYLGSDGSLEELVVNNCPGISQYDFLKFGRGWMKLKKFVFVNKETMVNHFITRHDPSYNANCVYKYDLCCENLEDLRLARLRTEPEGPEIGLRFLLRKCKALEKLCLEYVGGLIDKDMIVLSQSCKNLKSISLWMMPRRFHEHEVFRMGFTDESLEMVAHNCPLLQDIELTFAGVEDLEYPDIGFTQEGLVKLMHSCPIRSLTLNGTLFFNDKGMKELSSAPFLETLRLVDCKKITDYGMCFLVQYPCLTDLKLQHCPGLTDVGIAELVHAQKLQSLVVEGCSNISENAVQGAARSVQYFPNSARSATRGFYRSPPPTGVRGRARRRCPDTAAERDCGSEVAARERGFPLPPPHPPLLLFLLHDHLLPTLPSSSGPLPPALFLVPLQETIPAIDRRFLRGLWTPATP >ONIVA04G07820.4 pep chromosome:AWHD00000000:4:11300969:11309110:1 gene:ONIVA04G07820 transcript:ONIVA04G07820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSEELIIEILKRITRASDLNSLSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKIEIGNSGSTPGNGNHIDNQGLFVLSSSCNSLNDLTLSFCSKINDAGIASLTYCKKLMSLKLNSIPDVTSSGLLLVAFGCKALSSLYLNDCKGIAASTEWLEYLGSDGSLEELVVNNCPGISQYDFLKFGRGWMKLKKFVFVNKETMVNHFITRHDPSYNANCVYKYDLCCENLEDLRLARLRTEPEGPEIGLRFLLRKCKALEKLCLEYVGGLIDKDMIVLSQSCKNLKSISLWMMPRRFHEHEVFRMGFTDESLEMVAHNCPLLQDIELTFAGVEDLEYPDIGFTQEGLVKLMHSCPIRSLTLNGTLFFNDKGMKELSSAPFLETLRLVDCKKITDYGMCFLVQYPCLTDLKLQHCPGLTDVGIAELVHAQKLQSLVVEGCSNISENAVQGAARSVQYFPNSARSGATHLKRLVD >ONIVA04G07810.1 pep chromosome:AWHD00000000:4:11299516:11300354:1 gene:ONIVA04G07810 transcript:ONIVA04G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRVLSLPVLLGSALPPPSNNPSRRRRQIAGRFIGDKLQTHSAKGSGAGCLMNLEIT >ONIVA04G07800.1 pep chromosome:AWHD00000000:4:11275817:11279158:-1 gene:ONIVA04G07800 transcript:ONIVA04G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHKIAAVALVLWLGVASSMARLPAVGALPPAPSSNCQRKCGDVYIPYPFGIGDDQSSPDHCAWAAGFYVSCKGNAPFVANVELLNISLQGQARVMNSISSYCYCKPKGPISANKAPPAPVLGGGTGNPKLDDPGNIMKSSGWFLDLTNCPYRFSDSQNKFTVIGCRMLAYISDEDDVGRYMSGCVSVCVGGNVSNAMNGFCSGIGCCQTAIPTDLQYYKVYLTSTAFNNSYGRRRRGQVPLVLDWAIRDASSCEEAKKHIDSYACVSNNSICLDSRNGPGYFCNCSKGYRGNPYLQGLDGCIDINECNEESNYPCYGVCKNTPGGFNCSCHPGFQGIATIQGGCQKEPVGTLTLRAKLAIGKDIF >ONIVA04G07800.2 pep chromosome:AWHD00000000:4:11275817:11279158:-1 gene:ONIVA04G07800 transcript:ONIVA04G07800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHKIAAVALVLWLGVASSMARLPAVGALPPAPSSNCQRKCGDVYIPYPFGIGDDQSSPDHCAWAAGFYVSCKGNAPFVANVELLNISLQGQARVMNSISSYCYCKPKGPISANKAPPAPVLGGGTGNPKLDDPGNIMKSSGWFLDLTNCPYRFSDSQNKFTVIGCRMLAYISDEDDVGRYMSGCVSVCVGGNVSNAMNGFCSGIGCCQTAIPTDLQYYKVLFDPRMNTTGIYNETPCSYAVLMDSSSFTFSTSYLTSTAFNNSYGRRRRGQVPLVLDWAIRDASSCEEAKKHIDSYACVSNNSICLDSRNGPGYFCNCSKGYRGNPYLQGLDGCIDINECNEESNYPCYGVCKNTPGGFNCSCHPGFQGIATIQGGCQKEPVGTLTLRAKLAIGKDIF >ONIVA04G07790.1 pep chromosome:AWHD00000000:4:11261661:11262695:-1 gene:ONIVA04G07790 transcript:ONIVA04G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEGNVAFTLYEREQIEAATNNFNKENIIGEGGQGTVYKAVLDGIAVAIKRCKEVDESRKMDFLQELVILCRVNHPNIVKILGCCLQFEAPMLISEFVQNKTLQELLEHQRSRRCLVTLGTRLRIAAESADALAHLHSLPHPILHGDVKPANILLGEGWVAKVSDFGCSTIDEKTQPVPKGTPGYFDPDYLLEYQLTAKNDVYSFGVILLELLTGKRPLSKERKCLTSTFQEAMADGTLLDLMDSDIVFEGSIRVIHQITLLTSQCLAFPGSMRPTMRQVAEELRRLALSDEVQQFQQPPLVLDGLILTEMGNTTSSQYITSNTSSVYSLDNNAILSTEFAR >ONIVA04G07780.1 pep chromosome:AWHD00000000:4:11257196:11259416:1 gene:ONIVA04G07780 transcript:ONIVA04G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNLASYPAQPNKVQQEPDRHRREGTRRRRARVRARWSVERYTRESNPPALPPACAAATSSSVASAPALFFSAPPLPLLCYAPRNNPKPAVSFEHLSEELIIKILKKITRTSDLNSLSLVSKQLYTIDAEQRAAIRLGCGLATEDFLALCSRFPNLLKALSSLFINDCKGIAGSTEWLEYLGTDGSLEELVVNNCQGISQYDFLKFGRGWMKLKKFEFENKESLWKHFGPSHDSSYNANCVYKYDLCCQNLEDLRLARLITEPEGPEIGLRFLPRKCKALERLCLEYMLTDMIVLSQSCKNLKSISLWMIPGLFHEPDGIVFRMGLTEESLEALANNCHLLQDVELVFTGVEHWKPPEIGFTQEGLVKLMHYCPIRALTLNGALFFNDKGMKGLSSAPFLETHSLIDSKEITDY >ONIVA04G07780.2 pep chromosome:AWHD00000000:4:11257196:11259416:1 gene:ONIVA04G07780 transcript:ONIVA04G07780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNLASYPAQPNKVQQEPDRHRREGTRRRRARVRARWSVERYTRESNPPALPPACAAATSSSVASAPALFFSAPPLPLLCYAPRNNPKPAVSFEHLSEELIIKILKKITRTSDLNSLSLVSKQLYTIDAEQRAAIRLGCGLATEDFLALCSRFPNLLKVEIDYSGSTPGNGNHIDNQGLFVLSSCCTLLNDITLTFCSKINDAGIVCLSYCKKLISLKLNSLPEVTSSGLLMLFFGCKALSSLFINDCKGIAGSTEWLEYLGTDGSLEELVVNNCQGISQYDFLKFGRGWMKLKKFEFENKESLWKHFGPSHDSSYNANCVYKYDLCCQNLEDLRLARLITEPEGPEIGLRFLPRKCKALERLCLEYMLTDMIVLSQSCKNLKSISLWMIPGLFHEPDGIVFRMGLTEESLEALANNCHLLQDVELVFTGVEHWKPPEIGFTQEGLVKLMHYCPIRALTLNGALFFNDKGMKGLSSAPFLETHSLIDSKEITDY >ONIVA04G07770.1 pep chromosome:AWHD00000000:4:11240813:11249127:-1 gene:ONIVA04G07770 transcript:ONIVA04G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHGGAAVAAICAAFYQNIWIWMLLLGAALAAEPLAAGATAQCQNAKCGGVDIPYPFVMNSSSCPMVPAFEVSCNDMGNGVYKPFVGDVELLSIDVQHGQARVRNHISYACYNNSTRQIDSNVWELNLTGTGYRISDSANKFTVIGCRTLAYIADQDYVGKYMSGCVSVCRRGELIGVTNNTCSGKGCCQTAIPEGLDYYQVWFEESMNTSGIYNQTPCNYAVLMEASNFSFSTTYLTSPFEFNNTYGGKAPVVLDWTIQTANTCKEAEVNLESYACKSDNVKCINSFDRTGYICSCQDGYQGNPYLQGPNGCQDINECQHGESYPCYGDCYNKPGSFDCLCHAGSSGNATIQGGCRKDLLSPKTRLAIGVVASVLAVLFGFLGWEVIRHKQKIKRQALLRQTDEFFQQHGGQILLEIMKADGNDGFTLYKRGEIETATNNFSKAHVIGEGGQGTVYKAVIDGVAVAIKKCKEIDESRKMEFVQELVILCRVSHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLDLQRSRRFHVTLGTRLRIAAESADALSHLHSLPHPILHGDVKTANILLANGLVAKVSDFGCSTIDERTQAVPKGTPGYIDPDYLVEYQLTTRNDVYSFGVILLELLTGRRPLSKERKSLTLVFQEARSNGTLIELLDSDIVDETSMRVIKRAANLVSHCLVVPGTTRPSMTIVAAELRRLAEADEVKRSPQPPLVLEDLRFMDMGSTTNTLYGESRTSGAYSLEKKAVLSIDEQTILRLTIAFAAAALLAGGAEAQCQHSCGGIDIPYPFGIGSGDDCALPGYEIVCNNSRPFYVDVEVLSISLQLGQMRVMTGISSSCYNTTSRKMDSTAWSFNLSAVPFMLSDSNKFTFVGCRSLAYISDPMSNYTSGCASSCPGATVVSATNGTCTGIGCCQTTIPRELEYYEAPLMLDWGIWDTQDCVEAQKNLTSYACKSNHSVCLNYSNGVESAYMCNCLKGYQGNPYIQDGCQDIDECEHPESYSCYGECHNKDGGFDCFCHAVTRGNAYISGGCQLDFLEHPQW >ONIVA04G07770.2 pep chromosome:AWHD00000000:4:11240813:11249127:-1 gene:ONIVA04G07770 transcript:ONIVA04G07770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHGGAAVAAICAAFYQNIWIWMLLLGAALAAEPLAAGATAQCQNAKCGGVDIPYPFVMNSSSCPMVPAFEVSCNDMGNGVYKPFVGDVELLSIDVQHGQARVRNHISYACYNNSTRQIDSNVWELNLTGTGYRISDSANKFTVIGCRTLAYIADQDYVGKYMSGCVSVCRRGELIGVTNNTCSGKGCCQTAIPEGLDYYQVWFEESMNTSGIYNQTPCNYAVLMEASNFSFSTTYLTSPFEFNNTYGGKAPVVLDWTIQTANTCKEAEVNLESYACKSDNVKCINSFDRTGYICSCQDGYQGNPYLQGPNGCQDINECQHGESYPCYGDCYNKPGSFDCLCHAGSSGNATIQGGCRKDLLSPKTRLAIGVVASVLAVLFGFLGWEVIRHKQKIKRQALLRQTDEFFQQHGGQILLEIMKADGNDGFTLYKRGEIETATNNFSKAHVIGEGGQGTVYKAVIDGVAVAIKKCKEIDESRKMEFVQELVILCRVSHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLDLQRSRRFHVTLGTRLRIAAESADALSHLHSLPHPILHGDVKTANILLANGLVAKVSDFGCSTIDERTQAVPKGTPGYIDPDYLVEYQLTTRNDVYSFGVILLELLTGRRPLSKERKSLTLVFQEARSNGTLIELLDSDIVDETSMRVIKRAANLVSHCLVVPGTTRPSMTIVAAELRRLAEADEVKRSPQPPLVLEDLRFMDMGSTTNTLYGESRTSGAYSLEKKAVLSIDEQTILRLTIAFAAAALLAGGAEAQCQHSCGGIDIPYPFGIGSGDDCALPGYEIVCNNSRPFYVDVEVLSISLQLGQMRVMTGISSSCYNTTSRKMDSTAWSFNLSAVPFMLSDSNKFTFVGCRSLAYISDPMSNYTSGCASSCPGATVVSATNGTCTGIGCCQTTIPRELEYYEVSFEESLNTSEIYKYTPCSYAMLMDYSNFTFSTSYLASPLEFNTTYGGQAPLMLDWGIWDTQDCVEAQKNLTSYACKSNHSVCLNYSNGVESAYMCNCLKGYQGNPYIQDGCQDIDECEHPESYSCYGECHNKDGGFDCFCHAVTRGNAYISGGCQLDFLEHPQW >ONIVA04G07770.3 pep chromosome:AWHD00000000:4:11240813:11249127:-1 gene:ONIVA04G07770 transcript:ONIVA04G07770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHGGAAVAAICAAFYQNIWIWMLLLGAALAAEPLAAGATAQCQNAKCGGVDIPYPFVMNSSSCPMVPAFEVSCNDMGNGVYKPFVGDVELLSIDVQHGQARVRNHISYACYNNSTRQIDSNVWELNLTGTGYRISDSANKFTVIGCRTLAYIADQDYVGKYMSGCVSVCRRGELIGVTNNTCSGKGCCQTAIPEGLDYYQVWFEESMNTSGIYNQTPCNYAVLMEASNFSFSTTYLTSPFEFNNTYGGKAPVVLDWTIQTANTCKEAEILMNVNMERVTLAMEIATINLAVSIVCVMLVVVEMRQFKEDAGKTSYHRKQGWQLTDEFFQQHGGQILLEIMKADGNDGFTLYKRGEIETATNNFSKAHVIGEGGQGTVYKAVIDGVAVAIKKCKEIDESRKMEFVQELVILCRVSHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLDLQRSRRFHVTLGTRLRIAAESADALSHLHSLPHPILHGDVKTANILLANGLVAKVSDFGCSTIDERTQAVPKGTPGYIDPDYLVEYQLTTRNDVYSFGVILLELLTGRRPLSKERKSLTLVFQEARSNGTLIELLDSDIVDETSMRVIKRAANLVSHCLVVPGTTRPSMTIVAAELRRLAEADEVKRSPQPPLVLEDLRFMDMGSTTNTLYGESRTSGAYSLEKKAVLSIDEQTILRLTIAFAAAALLAGGAEAQCQHSCGGIDIPYPFGIGSGDDCALPGYEIVCNNSRPFYVDVEVLSISLQLGQMRVMTGISSSCYNTTSRKMDSTAWSFNLSAVPFMLSDSNKFTFVGCRSLAYISDPMSNYTSGCASSCPGATVVSATNGTCTGIGCCQTTIPRELEYYEVSFEESLNTSEIYKYTPCSYAMLMDYSNFTFSTSYLASPLEFNTTYGGQAPLMLDWGIWDTQDCVEAQKNLTSYACKSNHSVCLNYSNGVESAYMCNCLKGYQGNPYIQDGCQDIDECEHPESYSCYGECHNKDGGFDCFCHAVTRGNAYISGGCQLDFLEHPQW >ONIVA04G07760.1 pep chromosome:AWHD00000000:4:11236829:11238955:1 gene:ONIVA04G07760 transcript:ONIVA04G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFCHRRLKDRKPPSKRQQLEEEVPVPVMAPPPVLPPQGPHSELTMGTGGMYGEQLLPSSSHGGTGRPSAIPKISTSEVARRYFEPPQLIDCIPHQGKAWQIVQLDALDERDLVKP >ONIVA04G07750.1 pep chromosome:AWHD00000000:4:11207254:11213978:-1 gene:ONIVA04G07750 transcript:ONIVA04G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRAKEAATFGGGRRRPAVGGRKRRETERRRRETEQVAVGPMGGGWGFLFYMSKLITFDGCKLKISDGLEVISITEVADKKETKMSNTYVSHVAVVVILAMASQCFAATTGAGNGVSGADAGRRARAAQTVAGILAVHNEARRAVGVAPLAWSAGIARYAKGYAASRRGDCAPRRSPLFNFGENAFVGKGRRWNAPALAAAWVDEGRRRYDYGSNTCAGAAAAASSGSSSPCTRYTQVVWRNTTQVGCGRIVCDSGDSLLPPANSLLPAEQFLRAHNEARAAVGVPPLAWNATIALDAQRYAGELRASCEARPVWAWGTDGLYGRNLYRGSGPRVRAGADASARWAEGARWYDRDGDSCAAPPGRCCGEYTQMVWRATTQIGCARRLCRCLGDTCPLELDTVAPPVEPTPVQFLRVHNEARAAVGVPPLSWNGTLQLDAARYAGELRTDCSLRPPPPLAARGTGDGAPVYGRNLFKAYGRRHTGAEVAAFWADGRRWYDRDAGRCAAPPGLTCGAYTQVVWRATTQLGCARRTCRNGVDTVAVCDYYPPGNIVGQRPY >ONIVA04G07740.1 pep chromosome:AWHD00000000:4:11196855:11201461:-1 gene:ONIVA04G07740 transcript:ONIVA04G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPSNLPCSSDGVCMVCKVLTAEVEQLRCSTCATPWHTPCLSSTPPLTDVAHWVCPDCSGDVTASYLPSDVARPESSLIAAIRIRCCPSKRRLVVARSFLAMPVMQGLSRTEAVGENVEDSESNNPLSMLNKNINCSFCMLLPERPVTTPCGHNFCLKCFRRWIENGKRACVNCRAPITQKVAQDLRINLALVQAIRMANAANNASTTGETTVYHYKENEDKPDRAFTTERAKRAGMANTSSGQIFVTIAPDYFGPILEDHDPRRNRGVRVGDHWKDRMECRQWGAHFPHIAGIAGQSTHGAQSVALSGGYLDDEDHGEWFLYTGSGGRDLSGNKRTSKEQSFDQKFEKLNAALRVSCLNGYPVRVVRSFKEKRSPYAPESGVRYDGIYRIEKCWRKTGVQGTFKVCRYLFVRCDNEPAPWTSDEHGDHPRPLPDIEELKNAIDITERKGNPAWDFDATDGWKWMITPPISTKAVVTGDPRGKKMQGAARHTNNLSMRERLLKEFRCSICRNVMEEPVTTPCAHNFCKKCLLGSYDNLSLTEERSRGGRILRARKIVKKCPSCPSDIADFIQNPQVNRDIMNVIESLQNEAEKEDHARVSGEGSSAALVDSDDENDTAWENQDDGNLDEGGCNNPEDMITESVDLDSVTNVDNTENKVEVQQPHKRTAGAGKGKGGKRARTSSPGDADARNIVTSTETLDGIAADENVADLVQTEDCTFTGVERADPNALEVDGKNMIPDFSEAEKVNPKQDQEVLP >ONIVA04G07740.2 pep chromosome:AWHD00000000:4:11196855:11201461:-1 gene:ONIVA04G07740 transcript:ONIVA04G07740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPSNLPCSSDGVCMVCKVLTAEVEQLRCSTCATPWHTPCLSSTPPLTDVAHWVCPDCSGDVTASYLPSDVARPESSLIAAIRIRCCPSKRRLVVARSFLAMPVMQGLSRTEAVGENVEDSESNNPLSMLNKNINCSFCMLLPERPVTTPCGHNFCLKCFRRWIENGKRACVNCRAPITQKVAQDLRINLALVQAIRMANAANNASTTGETTVYHYKENEDKPDRAFTTERAKRAGMANTSSGQIFVTIAPDYFGPILEDHDPRRNRGVRVGDHWKDRMECRQWGAHFPHIAGIAGQSTHGAQSVALSGGYLDDEDHGEWFLYTGSGGRDLSGNKRTSKEQSFDQKFEKLNAALRVSCLNGYPVRVVRSFKEKRSPYAPESGVRYDGIYRIEKCWRKTGVQGTFKVCSDEHGDHPRPLPDIEELKNAIDITERKGNPAWDFDATDGWKWMITPPISTKAVVTGDPRGKKMQGAARHTNNLSMRERLLKEFRCSICRNVMEEPVTTPCAHNFCKKCLLGSYDNLSLTEERSRGGRILRARKIVKKCPSCPSDIADFIQNPQVNRDIMNVIESLQNEAEKEDHARVSGEGSSAALVDSDDENDTAWENQDDGNLDEGGCNNPEDMITESVDLDSVTNVDNTENKVEVQQPHKRTAGAGKGKGGKRARTSSPGDADARNIVTSTETLDGIAADENVADLVQTEDCTFTGVERADPNALEVDGKNMIPDFSEAEKVNPKQDQEVLP >ONIVA04G07730.1 pep chromosome:AWHD00000000:4:11174327:11174896:-1 gene:ONIVA04G07730 transcript:ONIVA04G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPCLAIAVAVLSLLALANSNHLPAASVSAAAGRGRSAIPRGHIAAMKFLYAVNDVRQQAGAPALEWSGAAARLAKERATWLRGPGGCDLQAQKGRDVPAPANGGAVTYFLSDGGGRASPEDAVRVWADERRWHDAGARACAAGKQCGDYEIMVQPASKQLGCAVAVCASRKTIMVCEYYAGQALI >ONIVA04G07720.1 pep chromosome:AWHD00000000:4:11160131:11160679:-1 gene:ONIVA04G07720 transcript:ONIVA04G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSCLAILSLLALASHLPDAASAPRGIGPLSPAAAAKLVSAVNGVRRQAGAPPVAWSATVAQRAKLRAEWLSDPTKGRCDVANAGLDPGLTRVLIKPIVALTYFVTGGGPGRRRAADAVGAWAEGRRWYDAGANRCVAGGGEECASYKDMVQPAWKTVGCAVAPCASGQTLTICAFSPA >ONIVA04G07710.1 pep chromosome:AWHD00000000:4:11153709:11154375:1 gene:ONIVA04G07710 transcript:ONIVA04G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPESLRRIAGLHRHASCRPSQPALRSLNQDPPPEPHRVWCSCAHIVTKPKLRDTCEEVGLHLVAPARRRQATGKPRGFVFCRLQVNDVTSRSTCRNGATSIATPSVVASVLRIGLGDGCGGGELHEPVGIEDATHAASHVKGVSSSATITTDSRCPFVGLSQRHLWPVGGRRWMPWRRRETSRAPPAA >ONIVA04G07700.1 pep chromosome:AWHD00000000:4:11145600:11151486:-1 gene:ONIVA04G07700 transcript:ONIVA04G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT3G45890) TAIR;Acc:AT3G45890] MSSSQSLLLLAAPLSPPTLPRLVPPPPTSAPRLPRLAANLSRPPPLAISSPAGFSGGGGGGGGGGGGGWWRQGGSGPPDPGDGWWRWLQSLHPELLLLFVLLHSGAFAAIPAALAEAIGGSGGREGASVWEVRGGARTLLVPDPTGTSYVVAGDGRRKQAEGEDAEKAGAGRAELAALRRQLERSWRRCATVAVQLLLPDGYPDSVSSDYLQYSLWRGVQGIASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKILLSKFGRHFDVNPKGWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFLGIMLGIALANRIGSSVSLALISFAGVTAVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQVPSVKEVNDEEPLFLNLSVGTSRKESKILSPQAKDAAEIICRRLQLGSKLSEIIENKEDACALFDLYKNEQYLLTEYKGKFCVILKEGSSPEDMLKSLFHVNYLYWLEKYLGIKPSDVASACRPGGRLEASLDYTQREFSHVKLDGSNGGWVMDGLIARPLPLLKGFGLLDPALKAWSAGR >ONIVA04G07690.1 pep chromosome:AWHD00000000:4:11129241:11135877:-1 gene:ONIVA04G07690 transcript:ONIVA04G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLRRRSPSGFSPSSTAEEVTAGIDGSGLVALITGASSGIGAETCRVLVMRGVHVVMGVRNLSAGACVRDEILKQVPSAKIDILNLDLSSMSSVRRFAENFKALNLPLNILINNAGVCFVPFKLSEDGIELHFATNHVGHFLLTDLLIEKMKVTAIESGKEGRVVTVASIKDEKTDLYKDMTFNYIFLFSLARFLAYGQSKLANILHSNLLSSHLKEQDAKVIVNSLHPGAVATNILHHWCPLYGAIRAIGKYFVKGVEQGAATVCYVALHPQVAGVTGKYFSDCNITELKSHALDRDLAKRLWDFSLSLIR >ONIVA04G07690.2 pep chromosome:AWHD00000000:4:11129241:11135877:-1 gene:ONIVA04G07690 transcript:ONIVA04G07690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLRRRSPSGFSPSSTAEEVTAGIDGSGLVALITGASSGIGAETCRVLVMRGVHVVMGVRNLSAGACVRDEILKQVPSAKIDILNLDLSSMSSVRRFAENFKALNLPLNILINNAGVCFVPFKLSEDGIELHFATNHVGHFLLTDLLIEKMKVTAIESGKEGRVVTVASVSYSFSYRGGIRFDKINDESGFLAYGQSKLANILHSNLLSSHLKEQDAKVIVNSLHPGAVATNILHHWCPLYGAIRAIGKYFVKGVEQGAATVCYVALHPQVAGVTGKYFSDCNITELKSHALDRDLAKRLWDFSLSLIR >ONIVA04G07680.1 pep chromosome:AWHD00000000:4:11112551:11115123:-1 gene:ONIVA04G07680 transcript:ONIVA04G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPAFPVTSQPALMSCVAAHTSVDPDESGKQQGRSKGATSTAGIHGATVTGDEAVWASVSRRCLLTGGLLVDVTGVAPLIAAVGIGIGLAVGLGILLILCGQYLFRKHRSNNEKQLRNKYFQKNQGLLLEQLISSDETTSDNTKIFSLDELKKATNNFDATRIIGSGGHGVVYKGILSDQRVVAIKNPKIIMEEEINQFINEVAILSQINHRNIVKLYGCCLETEVPLLVYDFVPNGSLFSIIHDNPINKEFSLSWDDCLRIATETAGALYYLHSAATTSVFHRDVKSSNILLDGNYTAKVSDFGASKFIPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLSFFLERSLFLQVILDQRKAYLITFLWGIKAMPITEIVSYQVLEEASEDEISAVASLAEECLRLRGEERPSMKQVELTLQFLRNKRLKSRNVTPESNHELEPLLPTISIAHRQAFAIDHPT >ONIVA04G07670.1 pep chromosome:AWHD00000000:4:11095044:11102324:-1 gene:ONIVA04G07670 transcript:ONIVA04G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHGLLEALLWSSVAGLAALLAVGAALPPPPGSNCSTACGGINVSYPFGFEPGCSWPGFELSCRDTKQGKKLFLPQVPGADGYFEVASISLLEGQARVWSNISRYCYNHTSKGMTDDNYWNFVYQLPDTYRLSETANMLTVIGCSVVAYISVGNGDFVRQMSGCFATCSEQGNNNRMNLSRLANGTCDGVGCCQSTISKAQTFYNVYFDGQTYNTTGIYNISPCSYAVLMESSSFTFHTSYATSSEFFDTQGGRAPLVIDWAIRNTSNCAEAMKHSESYACISSNATCVDSSNGPGYTCNCTQGFQGNPYLRGGCQDIDECREPTQHSCYGNCINLPGTFECSCPPGTRGNASVEGACQKNLLTPGVQVTIGVVACVLAGLFGFLGCEVIRHKQRIRKQVLLRQTDEFFQQHGGQLLLEMMKVEGNVGFTLYERGQIEIATNNFHKSHIVGEGGQGTVYMAELNNTIVALKRCKEIDESRKMDFMQELVILCRVNHPHVVKLLGCCLQFEAPILVYEFVQNRTLQELLNIQRNRRFHVTLGTRLRIAAESAGALAHLHSLPHPILHGDVKPANILLAEGLVAKVSDFGCSTIDEKTQAVPKGTPGYIDPDYLLEYQLTAKNDVYSFGVILLELLTGKKSLTKGMKSLTLMFQEAMANGTLIELLDSDIVDEASMRVIHQAAVLASQCLVVPGTGRPPMMLVAEELRRLALADEVQRYPQPPLVLEHLSFMDTGSTTSIWYNDSKTSGVYSHENKAVVSTEFAR >ONIVA04G07670.2 pep chromosome:AWHD00000000:4:11095042:11103860:-1 gene:ONIVA04G07670 transcript:ONIVA04G07670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVEGNVGFTLYERGQIEIATNNFHKSHIVGEGGQGTVYMAELNNTIVALKRCKEIDESRKMDFMQELVILCRVNHPHVVKLLGCCLQFEAPILVYEFVQNRTLQELLNIQRNRRFHVTLGTRLRIAAESAGALAHLHSLPHPILHGDVKPANILLAEGLVAKVSDFGCSTIDEKTQAVPKGTPGYIDPDYLLEYQLTAKNDVYSFGVILLELLTGKKSLTKGMKSLTLMFQEAMANGTLIELLDSDIVDEASMRVIHQAAVLASQCLVVPGTGRPPMMLVAEELRRLALADEVQRYPQPPLVLEHLSFMDTGSTTSIWYNDSKTSGVYSHENKAVVSTEFAR >ONIVA04G07670.3 pep chromosome:AWHD00000000:4:11102698:11103860:-1 gene:ONIVA04G07670 transcript:ONIVA04G07670.3 gene_biotype:protein_coding transcript_biotype:protein_coding SPIHYTGTQAHSARAAAHTRDYTSETPKAACPRLPPPATKAARPRRPSAASRSHLASFLAKSPSLHRLAGCDDDHELPTASCDFSGTRWQRVAATA >ONIVA04G07660.1 pep chromosome:AWHD00000000:4:11080349:11085459:-1 gene:ONIVA04G07660 transcript:ONIVA04G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWDWRQALPPPHVACNPTYLLLPLYHGLGAAVSSACDDLESDWEGDWQQFVYREPVNCKLPSQNYRHTQPEDVYSSGVELLRYYTYP >ONIVA04G07650.1 pep chromosome:AWHD00000000:4:11076203:11078843:-1 gene:ONIVA04G07650 transcript:ONIVA04G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGFDVDCTDTGNGVYKPFYGDVELLGIDIQRGMNSTEWFLNLTDTPFMLSDSNKFTVVGCRTLAYIANQDYVGKYMSGCVAGCRRAIPKGLDYYQVFFDVSSMNTSGIYNRTPCSYAVLMDSSNFTFSTTYLTSLEFNSTNDGQAPMVLDWAICSANTCEEARKKNDSYACKSTNSECFNSTNGPGYICNCSKGYEGNPYLQDIDECLDSKTYPCYGKCCNKPGDFDCTCQAGSKGNATIPGGCRKDFLPLKARLGFGTVVCVLAGLFGFLGNRVNHPNIVKLLGCCLQFEAPILVYEFVQNKTLQELMDLQRSRRFYVTLGTRLRIAAESAGALAHLHSLPHPVLHGDVKPANILLAEGLVAKVSHIGCSTIDENTQAMPKGTLGYIDPDYLLEYELTAKNDIYSFGVILVELLTGMKPLSKERKSLTSLFLEAMADGTVRELLDSDIVDEACMRVIHRAAMLASQCLVVPGMARPTMALVAEELRCLALADEVHQCPQPPFVLEGMSFMDMGSTVSIWYDESKTSGVYSLENKAVLSTEFAR >ONIVA04G07640.1 pep chromosome:AWHD00000000:4:11073912:11074848:1 gene:ONIVA04G07640 transcript:ONIVA04G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAAASAGNRGGPDRGRQRRRGRRRRRGAGALGSGEAEEECGGERLRDGMGGGKVWDPLLARPFWLAKFGQREQYFGQLDGLAIRLTRNPYVLGGCSRDKGMPNL >ONIVA04G07630.1 pep chromosome:AWHD00000000:4:11066465:11071001:1 gene:ONIVA04G07630 transcript:ONIVA04G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGEDLLCKMIYKVLCFEYLPRGSLENYLHGEYGGNLPTLSNYLTCKQKKNPHISRIFFATLTEESRGLDCWCTRYKIIMGICEGLSYLHGGLEEPILHLDLKPANILLDNNMVPKIADFGVSRPFGGSHTHTTKVCVGSEYYMAPEYLAQRKISNKNDIFSLGIIIIQIMVGHKGYSKYGEMSSTQQFIDFVANNWRKRIGDTSMHAKEECQQVKKCVEIAVRCVEVDRHRRPAINDIIHELKQTEIYTRAVSSSQDQV >ONIVA04G07620.1 pep chromosome:AWHD00000000:4:11066079:11066402:1 gene:ONIVA04G07620 transcript:ONIVA04G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDLPVHFLEDITKKFSDHQIVGSGGYGQVYKGVLTNGTEIAVKKLYDLRGLDDVQFRMNSATL >ONIVA04G07610.1 pep chromosome:AWHD00000000:4:11050579:11053884:-1 gene:ONIVA04G07610 transcript:ONIVA04G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKENTLEHARVMPVDLTYKKLEEITNGFSEEHKVGSGGYGVVYKGVLENNTEIAIKKLHQMVGLDDVQFKKEFNNLMRVQHKNIIGLVGYCYEIRHKHIKVDGDYHFSRMEERALCFEYLHRGSLDKYLSDETCGLDWHTRYKIIKGICEGLDYLHNGRPEDQIVHLDLKPANILLDENKMPKIADFGLSRLFGSTQTFTTRTFIGTVGYMPPEYIERRHISMKFDVFSLGVIIIEIMAGQSGRSTSAEMSPQQFIDTVQEKWKKRMQQISSHTSSHEADSLEVKTCIEIAVRCVEPDRKKRPTLREIIDKLNELENVRKALIGQSSAGCKSERLVIDPLEVRFPFEKDRDVSCVLQLTNRSADRVAFAVQVDESKYRAVPDRGVVQPRSRRYIVATSRAQASAPTNLQRDDSFLLRSKRVEGSADDDFAEDFEKLMGKAVDGETIRPPVVYIALPLPPSS >ONIVA04G07600.1 pep chromosome:AWHD00000000:4:11049675:11050055:1 gene:ONIVA04G07600 transcript:ONIVA04G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPLGMPRRRRGCLSRSPDAAERELGDCREPRKDPLRGVAEHGTSIERESVAHGGVRLLPLRVGERRVAFGRGEQHEHPGQVLRVVALRRWRHESRDGWGHGEVQAIVEEDMKKKGRRSRIGEKK >ONIVA04G07590.1 pep chromosome:AWHD00000000:4:11049541:11049980:-1 gene:ONIVA04G07590 transcript:ONIVA04G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPIAALVAPPPECDNTQDLPRMFVLLTPTECNTSLTYTQWKEADASMRHRLSLNARAVLGYTAQRIFARHQAHAQLRRGSGTDSLSDDAACQVAIALLSERLARLKLRGLRHLSDDRFASLAGATPVIHKLSIASSSFGP >ONIVA04G07580.1 pep chromosome:AWHD00000000:4:11043457:11046942:-1 gene:ONIVA04G07580 transcript:ONIVA04G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQFHTLLSAVTIWLGVAAATARLHAAVAGARAPPPPPPPGNCQRKCGDVDIPYPFGVWNGSESDGCAVPGFYLNCDVDDNHVYRPFHGNVEVLSISLPTGQARVMNSISSACYNTSSRDMDYNDWQINFTGTPLTISDADNKFTVVGCQTLAYITDDDNMGKYTSGCVAMCQGGDLTSLATNGSCSGIGCCQTAIPRGLKYYRVRFDTGFNTSEIYNVSRCSYAVLMESKAFSFRTSYVSSLEFNSSNGGRVPLVVDWAIGNETCDKARRKVDTYACVSHNSECFNSSNGPGYICNCSEGYQGNPYLQDGQHGCTDIDECADPKYPCSVPGTCHNLPGRFECLCPRSRPKGNAFNGTCERDQTLHTGGKVAIGISGFAIVGLVVFLVREVIQHKRSIKSQALQRQTDMYFQQHGGQILLELMKVESSAEFTLYDRETIEVATNNFAKENIVGKGGQGTVYKAVLDGTTVAIKRCNEVDESRRADFVQELVILCRVNHPNVVKLVGCCLQFEAPMLIYEFVQNKTLQELLDHQRSRKFHVTLGTRLRIAAESANALAHLHSLPRPILHGDVKPANILLAEGLVAKVSDFGCSTIDEKTQAVVKGTPGYLDPDYLLEYQLTAKNDVYSFGVILLELLTSKKPLSKERTSLIPIFQGAMESGKLVELLDSDIVDETNMGVIRQAASLASQCLANPSSSRPKMRQVAEQLRRLALADEVQQCPQPPLVLDGLILIEMGSTTSSWYTGSGTSRVYNLENNVVLSTEFAR >ONIVA04G07570.1 pep chromosome:AWHD00000000:4:11028609:11032037:-1 gene:ONIVA04G07570 transcript:ONIVA04G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGELTISCLTAIAVAGAALLAGGAEAQCLHTCGGIDIPYPFGIGSDGDYALPFYNIDCNNKKPFYRDVEVLSISLQLGQIRVSTPISSSCYNPFSKRMYSSGWGFNLSYTPFMLSDSNKFTVVGCQSLAYISDPTSNYTSGCASSCPGGKVVSATNSTCSGIGCCQITIPRGMEFCKVSFGESMNTSGIYEHTPCSYAAIMDYSNFTFSTSNLTSLLEFNNTYSGRAPVKFDWAIWGPRDCVEAQKNLTSYACKSDHSVCLNYSSGAKSAYMCNCSKGYHGNPYLQGSNGCEDINECEHPESYPCYGECHNKDGGFDCFCRDGVVACILSILFGFLGWEVIRHKRSIKRQALLRQNDEFFQQHGGQLLLEMMKVEGNAGFTLYGRQEIETATNNFNKANIIGEGGQGTVYRAVLGGIAVAIKMCKEIDENRKMEFVQELVILCRVNHPNIVKLLGCCLQFEAPMLVYEFVQNKTLKELLDLQRSTRFHVTLGTRLRIAAESAGAFAHLHSLSHPILHGDVKPANILLAEGLVAKVSDFGCSTIDESTPAVPKGTPGYIDPDYLLEYQLTAKNDVYSFGVILLELLTGKKPFSKERKSLTLMFQEAMVNGTLQDLLDSDIVDEASMRVIHRVAVLASQCLVVPGTTRPSMALVVEELWRLALADELQRYPQPPLVLEELSFLDTGSTSGIYSLDNKAVLSTTFAR >ONIVA04G07560.1 pep chromosome:AWHD00000000:4:11014488:11017134:-1 gene:ONIVA04G07560 transcript:ONIVA04G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHRGLTTTTLCLAIAIAAELLAGRAEGQCQNTKCGDVEIPYPFRTSLDKCAASAFEFDCNDTGNGVYKPFYGNLEVLSVSLQLGQVRVMNHISSSCYNLSSKEMDSDTWQLNMTGTPFMLSDSNKFTVVGCRTQAYIADQDYMGKYMSGCVSVCRRGDVWKATNGTCSGIGCCQTAIPKGLDYYQAFFDDSSMNTSGIYNRTPCRYAVLMDSSNFTFSTTYLTTSEFNNTYDGRAPMVLDWAIRSANSCEEARKKMDSYACKSTNSECFNSTNGPGYTCNCSKGYEGNPYLGGPNGCRDIDECQDSKTHHCYGECRNKPGGFDCNCPAGSKGNATIPDGCRKDFRLPLKARLAIGAVICVLVGLFSFLGWEVIRHKRSIKKQALLRQTHEFFLQHGGQLLLEMMKVEGNVGFTLYERGEIETATSNFNKEHIIGEGGQGTVYRAALNGVNVAIKKCKEIDESRKMEFVQELVILCRVRHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLDLHRSKRFHVTLGTRMRIAAESAEALAHLHSLPHPIIHGDVKPSNILLAEGLIAKVSDFGCSTIDENTQAVPKGTPGYIDPDYLLEYQLTSKNDVYSFGVILLELLTSKKPLSKDRKSLTLMFQEAMAEGTLFELLDSDMVDEASMRVMHQAAVLASQCLVVPGMTRPTMVLVAAELRRLALADEVQQCPQPPLVLEDLSFVEMGSTTSGVYSLEKKAVLSIEFAR >ONIVA04G07550.1 pep chromosome:AWHD00000000:4:11007846:11008730:-1 gene:ONIVA04G07550 transcript:ONIVA04G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFQKLAAILLLLAAVGALPPPPGSSNCSTASGGVDIPYPFGIGPAGCALPGFELTCRDTSNGGKKPFLGHGGHFELAGVSLSDGQARVWNNISSYCNDTSQTIMDVVSFADPYRLSQAGNTFAVVGCQAVAVVGVGDSADNIVRFLSGCVATNCGRRGDRLADDACSGAGCCQTTITKGFNAYQVEFQNYSTVFNSSKDIYNVSRCSYAALMESSSFTFRRSYATSSEFFDANGGRVPVVVEWAVRNASNCVEARKNRESYACVSMNSACVNSSSGPGYICNCAKGFEGNPYL >ONIVA04G07540.1 pep chromosome:AWHD00000000:4:11005284:11008829:1 gene:ONIVA04G07540 transcript:ONIVA04G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDAHPQDRRLDAPFLLPAAPCAPPRLPRSRHQQTSQPAIEEGDGSDGRMLHLSAPHPASLSPRRRQRRTSTCVDAAGCGKFQCFLVWTMSCHCTYLC >ONIVA04G07540.2 pep chromosome:AWHD00000000:4:11005159:11008829:1 gene:ONIVA04G07540 transcript:ONIVA04G07540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDAHPQDRRLDAPFLLPAAPCAPPRLPRSRHQQTSQPAIEEGDGSDGRMLHLSAPHPASLSPRRRQRRTSTCVDAAGCGKFQCFLVWTMSCHCTYLC >ONIVA04G07530.1 pep chromosome:AWHD00000000:4:10996991:11005584:-1 gene:ONIVA04G07530 transcript:ONIVA04G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVVGGGAARVMLGVALKGGASAHLIHPLLLWPVGRSAGGGYAAILGVRMALQGGETEHPSGDLEDGNGGGAELHGGGAESPSAMRRRRSWSCRLMGYLGSAIPRNHHAVVEPTWGREGGGARAPTTPLDS >ONIVA04G07520.1 pep chromosome:AWHD00000000:4:10994912:10996507:-1 gene:ONIVA04G07520 transcript:ONIVA04G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVAGALVALFGFLGWGVIKYKQRIKKQALLRQADEFFQQHGGQLLLEMMKVEGNAGFTLYERERIKIATNNFNKAHIIGEGGQGTVYRAVIDGTTMAIKRCKEINESKKMDFVQELVILCRVNHTNIVRLLGCCLQFEAPMLVYEFVQNKTLQELSKRFHVTLGTRLRIAAESADAFAHLHSLPRPILHGDVKPANILLAEGLVAKVSDFGCSTIDEKTQVVPKGTPGYIDPDYLLEYQLTASNDVYSFGVILLELLTSRRPFSKERKSLTSMFQEAMANGTLVELLDSDIVDEASMRVIQQAAVLANQCLVVPDEVQQYPQPPLVLEDLSFTGIGRTSMSTWYGGSKTSGVYGLSKKAVPSIEFAR >ONIVA04G07510.1 pep chromosome:AWHD00000000:4:10986233:10988166:1 gene:ONIVA04G07510 transcript:ONIVA04G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZM2] MDRRDDSCCPGGGGGVSSLIGEFVGDVVMWRRGDVSACLLAATVSGWLLFGSGGYTFLSLASNVLLLLLTVLFLWAKAARLLNRPEPPIPEMRISQQVVNEVAALLHSGMNTVFSVFHDIALGKDSVLFYQVFLSLWIISIIGSLTDFTTLCYTSIVAVLTIPALYQKYEECIDRYMRFAYLNLQMYEMVYERFSAKCFHRARDLVIEVLKEP >ONIVA04G07510.2 pep chromosome:AWHD00000000:4:10986233:10987758:1 gene:ONIVA04G07510 transcript:ONIVA04G07510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZM2] MDRRDDSCCPGGGGGVSSLIGEFVGDVVMWRRGDVSACLLAATVSGWLLFGSGGYTFLSLASNVLLLLLTVLFLWAKAARLLNRPEPPIPEMRISQQVVNEVAALLHSGMNTVFSVFHDIALGKDSVLFYQVFLSLWIISIIGSLTDFTTLCYTIALVQWFGIGMIDRKHALFLCLMLTGIVAVLTIPALYQKYEECIDRYMRFAYLNLQMYEMVYERFSAKCFHRARDLVIEVLKEP >ONIVA04G07500.1 pep chromosome:AWHD00000000:4:10958481:10960977:-1 gene:ONIVA04G07500 transcript:ONIVA04G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNTIGKYTCVSCPRKTEYDPVRRRCLPKRHNLLLGIVIGLSVGFGILLICLSGVFLIRQWRNGIQKQLRKKYFQKNKGHLIEQLICSNEKPSEMGTYYTDLIIHRRSVKRQLLIRQRDEYFQQHGGQLLSDMMKIERNLAFTLFKREDIEAATNDFDKNQIIGEGGQGTVYKGFIENIPVAIKRCKGVDKSRRMEFGQELLILCHVNHNHVVKLLGCCLLFEVPILVYEFVLNRTLHDLLHCKDERCCITLATRLRIAAESAQALGHLHCLAHPILHGDVKSANILLGDNLIAKVADFGCSIIARMDEEALVAKGTIGYLDPEYLQSCKLTEKSDVYSFGKKPRCLASLFQDAMKDGTINELIDEEIIDKNDLEVIHQVAELTSRCLAMPGDLIAFPELARSFTGTMDSPGYTGNRTTEYFTLEKKS >ONIVA04G07490.1 pep chromosome:AWHD00000000:4:10949355:10949651:1 gene:ONIVA04G07490 transcript:ONIVA04G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHGIDHVQERHETSAAAQGRTCASAAASAREVRPEQATEHNLAATGAPAVTTDRLGVKPRLRTGSASRRRRHHTPRPLKATLAARRRRLRLHRKKG >ONIVA04G07480.1 pep chromosome:AWHD00000000:4:10943056:10947608:-1 gene:ONIVA04G07480 transcript:ONIVA04G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSLHAANSLHLLLIVFLLATTLLTSAKDLAVPRGHGMVVTSTATLAVPSRDFGLTCDSTTRPPKLLFRDGITQITDSINIVSTEVLGPDDGVSIRFSDTISMRNASVVSWSLPPKLFEDTLDASYLSLAGLRFSGCDFDVYWLNPPSNNKATPNCTATCPKGESTGMVSPTQDCNGTGCCTIDFGAEIIVYSSSTIEFKFVRRAETNLHHNRSLSWGTIYITADTYISHTTSWSIVDQPDCVSARQNKTSYACVSNKSICRNASVFEQHGYNCMCRNGYIGNPYILDGCSLDSGYNPLQRKMNCIRQCGNISVPFPFGLEEGCFARKGFDLNCTNSTSSTLLLQGQYHIGTPIVSVQWVAAHLTCQDAKRNSSGYACISTNSECITSKPTHTFVGYRCKCAHGYQGNPYITNGCVDINECLQQNICPEMCNNTVGKYTCVSCPRKTEYDPVGRRCLPVEIMDTPYLHGDDIWTGYRILNIDRISCLYLGSVS >ONIVA04G07470.1 pep chromosome:AWHD00000000:4:10931928:10932952:-1 gene:ONIVA04G07470 transcript:ONIVA04G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFHRDVKSSNILLDGKYTAKVSDFGASRLIPIDQSHVITNIQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRKEPIFTDESGLNKNLSNYFLWEIKARPIREIVVSQVLQEATEDAINTIASLAEECLRL >ONIVA04G07460.1 pep chromosome:AWHD00000000:4:10912715:10931911:-1 gene:ONIVA04G07460 transcript:ONIVA04G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVEMALHFLHSKINVVEQSNNESHPLQQLTRPPHHKAMTIDTGNKAILESSSCCNLEGEFMSSARYSLLVLVLLPMPTGGALAAPTLDGCNSSCGNLTFAYPFGIGQECFLNPDFELICHHGDNTHPPSLFLQGSSLQVVEDIVVRSSDDYNVHGFGVNMSDAIPVVLGVDVYNYTWTSPESFLLIDTTVKVVGCDMVVYYENKSVPLCTLTCPNKTMTEADAMMNCNGTGCCKFSYMMNKTMSFFQLQFVRSNETVSHSVNSSLWNSIQLRLYSPYLEWSIDQPSCSTANACQKANCSRLCGHVSVPYPFGLEEGCFARELFHLNCTDANSTLRFDDYNQVTDIKVEEGVVMIKHDARGGADQEFIAIHGEPNLYDGSGDYSISVGWAVANLTCPEAKQNASGYACVSTNSNCVHVNSTSGYVGYRCNCSAGFQGNPYIQNGCTGGIAIGLGGGIGILLLSLSVTFLIRKKRSNRQRQLRKKYFQKNQGLLLEQLISSDETATDHSTKIFSLEELKMATNNFNQARVLGSGGHGTVYKGILSDKRVVAIKKPNTIKKEEISQFINEVAILSRINHRNIVKLFGCCLETDVPLLVYDFVPNGSLNHIIHADPNNREFSLSWANCLRIATETAGALYYLHSAASISVFHRDVKSSNILLDANYTAKVSDFGSSRLISNDQTHVSTNIQGTFGYLDPEYYYTGRLNEKSDMYSFGIVLLELLLRKQAIFKSGSDSLQNLSIYFLSEITVRSIMEIAAPEVLEEATEDEMNNVASIAQACLRLRGEERPTMKHVELALQSIRDKGVRADSAADRNHDIQPVQSKRYLHRQALGVDVNNLANMPSASCYSLEQEFLLSANLAR >ONIVA04G07450.1 pep chromosome:AWHD00000000:4:10904477:10910893:1 gene:ONIVA04G07450 transcript:ONIVA04G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQALLMPPLVLRRTTVATSLCLTVAMAACLAAVAASCQRKCGEIDIPFPFGIGGQPGCAMTGFELSCIDTGNGVPTLLLRNVEVLSISLPLGQARMKMDMSYDCYNTTRKDIDCVDMVDLNLKGSPFTFSDTANKFIVFGCRMLAYLGPGEQNDVGSNLTIGCAATCGIGDDLVSINSAGCSGIGCCQTNIPKGIQYYKVWFDGRFNTTDIYNWTRCAYAALVETSSFNFSTVYNSLSRFNDNLGSQPPFVVDWAIGNSTCEQAKTNPDSYMCISSNSVCLNSRNGPGYICNCQNGFEGNPYMNDSFRCQDINECEDSSKYLCYGKCINKPGGYDCFCPAGTRGNASIGPCRKEIPLLTGIAIGMAAGFGILVLSLSVVLLIRKQRSDIQKQQRKKYFRKNQGLLLQQLISSDERASDNTKIFSLEELKQATNNFDPTRVLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEITQFINEVAILSQINHRHIVKLFGCCLETEVPLLVYDFVPNGSLNQIIHGATSNRESSLSWDDCLRIATEAAGALYYLHSAASVSVLHRDVKSSNILLDANYTAKVADFGASRLIPNDQTHVFTNIQGTFGYLDPEYYYTGHLNEKSDVYSFGVVLLELLLRRQPIFECESGTKKNLSIYFLYEIKGRPITEIVAPEVLEEATEDEINTVASIAQACLRLRGEERPTMKQVEMSLQSVRNKGFSSAGTSPESNHGMQPALSETYVNLHQPLGVHTIGIINLASSNCNSLQQEFLLSASFAR >ONIVA04G07430.1 pep chromosome:AWHD00000000:4:10860317:10863066:-1 gene:ONIVA04G07430 transcript:ONIVA04G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDYRRRRHQRKGEKEMQDRDTSDCWRVETHMIAASFSCTHENETKQLYITICDCSQDCKDGPTGEELTEHIIWCKVCKNIFKMTGTLPRWVSNGIKALFRALAHGTLFRAPHVKPSVRKNRVRLPTFMPCRLDLFESG >ONIVA04G07420.1 pep chromosome:AWHD00000000:4:10845915:10853973:1 gene:ONIVA04G07420 transcript:ONIVA04G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDKGTLPQDQGRCSIDATAGIIHATSSPEQSSHDPSAAANPALSASAQEYTEEGAQPLTGCQASTAGQGNDDQQTIDPAGVLENTTPQPTQAVVSQDTGDIGQHIPSSEPVRCPRRPARFVSPLKIGHTHPLPDSVKVVALRERILSDPARFGSVHQHLNSHTHHQRLAGHLREVLPPAKDLQSWKMYKDHHGDLGRRVVKRLSDGLYAAARTAFKRFGNQKLVRNKCPIMLKPNDCAFFVMRYMELYDGDDSPLIQAAESEEYTDLRSQMLCYMVFHSKNVAAPLPPELEELRPSSPCADSSE >ONIVA04G07410.1 pep chromosome:AWHD00000000:4:10780928:10788498:-1 gene:ONIVA04G07410 transcript:ONIVA04G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPQSHLYSAQALSASQLTWNNITSSARHAGNRHYSLARPEVILVLSPHPVPVACLPTFGTSAAILAGGGVVVLASSGGARGTAGSDPSRSRSATFLKSKSGEAKPPVMAATTWSLYGAWATSVALRWHRQSGSAARWSPVVVQWYFVVFVVDAIVEGGFEVKALLGFPVLTMAMLSSAITFSKVLLLESPFNSTSREFSG >ONIVA04G07390.1 pep chromosome:AWHD00000000:4:10760851:10761072:-1 gene:ONIVA04G07390 transcript:ONIVA04G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDKANASEGDGDRRRCRNIKARPPRREATTRPMPTPAHNLPGFSPEGTETRKQHLQGGNDTRRPMSAVLEY >ONIVA04G07380.1 pep chromosome:AWHD00000000:4:10740224:10740583:1 gene:ONIVA04G07380 transcript:ONIVA04G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTATPSRLRPLWPDPSGARRSSIPAVPSLLCFFVPPSPIHHLPLAAPPPLPPLLAKEAALPTSATRCFPTSLPALATPHGQILGSSWLPYPAHRCSRGWAAVAGVEDGSGDRESGGR >ONIVA04G07370.1 pep chromosome:AWHD00000000:4:10715250:10718640:-1 gene:ONIVA04G07370 transcript:ONIVA04G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQALIFPTLLCLTIATSGGLAVVAASTCQRSCGSIDIPFPFGIKGQAGCAMPGFELICNSTGNSIPKLLLRNVELLNISLPEGQARMRMPMSYECYNKTKHDMDCVDKANLSLTGSPFTFSNSANKFTVFGCRMLGYLGPGGQSAVGSNLTIGCATSCGQGDDLVSINGEGCSGIGCCQTAIPKGIKHYKVWFDTHFNTSVIHNWSRCSYGALVEEASFKFSTIYSTSSNFSNSFGGEPPFVVDWVVANNTCAEARKHLDSYACASSNSVCIDSSNGPGYFCKCSQGFEGNPYLQGHDGCQDINECEDSNKYPCYGKCINKLGGFDCFCPAGTRGDASVGPCRKEFPLAFGIAIGLGVGFGILLLVLTVAFLVRKRKNDIQKQLRKKYFRKNQGLLLEQLISSDECATDSTKIFTLEELKEATNNFDPARVLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFVPNGSLNRIIHADPSMREFTLSWDQCLRIATEAAGALYYLHSAASVSVLHRDVKSSNILLDANYTAKVSDFGASRLIPNDQTHVFTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRKQPIFDSESGSKKNLSIYFLSELKGRPVAEIAAPEVLEEATEDEINIVASIARACLRLRGEERPTMKQVEMSLQSIRNKGFRSGTVSPEDSDELQTPQSEGHVDYHQAMGIGINSMANLASPGCYSLQEEFMLSGSLPR >ONIVA04G07360.1 pep chromosome:AWHD00000000:4:10701029:10701371:1 gene:ONIVA04G07360 transcript:ONIVA04G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAADPQPKSSGRADPPPVALGAVDPPSHAIERGGGKVVQQSRQWWRLAHRLRWRHPTTVCDLGCDAPTQKIPIFADIWL >ONIVA04G07350.1 pep chromosome:AWHD00000000:4:10675753:10680583:1 gene:ONIVA04G07350 transcript:ONIVA04G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPTVCYSMGTINSLVEKITPLLENHPMMEDLLQDVKSLREDLMNKFAAGGRASGGERVKVWMKQVREMVYDMEDWIDLKLQEEGANLSQSDKPRMDGFRSLIQDARGRCERYELLERAAAATANSDAGAGRPGEVAGGRLLWEEKTVLLGIDAPKSELLNHLSSEQKELKVVSILGAGGHGKTALAREIYRDICTTKQFECQAFVSVGRTTSTRTALIELLRQVKSDTNAPQSRSYNDEKQVSEIITELFRFLKTKRYFICIDDIRSTQDSESITCALPDNNLGSRILTTSRMKDIAKLCSRRPSDVVYEMMSLGEDNTRSLFLNNVYVQEEEWPDHFKESSKKLFKVCGDVPFAIIITAGLLGRTSAELSARSDRLTKTILSELSARSERLTKTILSELDQFDSAPQVMGKILDISYGDLPLPLKSCFLYMAAFIGNHGIIKRGRLIQRWVAEGLIPERHGKSSLETGASYFDELISRRLIQPAFDDNDDQPIGCTVHGVVADFVASLSTEENFITMGAELKSGGLFPCDRVRRVSLDYSGEDEADTSFSTTYCLLEQKSWVCSDEKPSSDIDEAISLHLSRVRSLAFLGDATRIPDVSALKHMRVLDLEDTKGLENKHLGSIGQLSLLRYLGLCGTNVTVLPPEVMALKQLTTLNLRQTRVKRLPKFGDTKLVSLLAHQLTILPRGMGVMAELEELSEVLVGQDGSHAGDVARLIAELGQLRMLGVRFGRLFNNQETDRRGVKHLLEEMAKSNLQSLLLDNYLHHLLDLLIASWAKKRPQYLRKFELRIPGSLPLVPPDIGSLIALTHLHIHVEAVEPQAVHALGCLPNLVVLRLELSTDPTLTVCGTDGFQCLKVFWYGGGGNGIGLRFEVGAMPQLRRLRLDLDAREAMLNYGHLQFGIQHLPCLVHVHAIIQCKDASAGTEVDGMEAFIRDQVSLNPNDPVLEINTRVQRSVAKAAEGAVIAIHNREGWMNQIDPDKLMVVDFSTSWCPASRRMAPVFADLAKMYPNVVFLTVDVDDNDEMSTVAKQFGVNGVPTFLFMKGGYVQDRVVGAEKEELEEKLQEHAALML >ONIVA04G07340.1 pep chromosome:AWHD00000000:4:10668149:10672310:-1 gene:ONIVA04G07340 transcript:ONIVA04G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVVRLVFSMVGEVCRVMEKDAERHDRLYGGLQSIKLEMEMVNALIKEEEPAGGAVRETRMQQLQEFAYDVEDFVEGLWEAGAYGKVLVAIGMDPRGQQVRSIDRFKERIISLRKEWKPRIGGESSHVGGGGDEEEEEELDELLPLEGMDVPRSRVVELLSPSSPAEGRQLRVVSVVGCRGVGKTSLARAIYRDPSVSHAFDCVAWVDASGCNTNKALLHRIFESVRATTENVPMEAEGAAFTNLHTILSPKRYLVFIDDVEQAQVWKDTVHAFPDNGMNSRVIVTTSVHSVAAACSAGSYVYSMQCLSDDDSKSLFWRRVFGYQRIPPHSLVMDSESIFSKCGGLPLALASVAKHLNVKGVRLDSSHCKEVGQNLGRDYLQSGNGIFKGMRRVLTQCYDSLPDYEHKSCLLYLSIFPRGHQIKSKSLVRRLRAEGLVVKEGCKCFDELVDRCIIEPVPIINNSVVVKSCQVHGIVLEFIIQKSVEKNVVALIRGHDPLLKNSAETCVRRLSIQSSTKERFDELADKSALRSLTMFKSEPFDFRSCKMLRLLDLEGCTDLDKRFLEGLCQLLLLRYLSLRRTGINKLPTQIEKLQRLETLDIRETKVEKLPMQIIMLPKLAYLFGRFQLPDVPNGKVTNTLSEFLKKKSSLHTLAGFVANKRQSPEHVILLARNLKKVKLWCNEDARKSFLGPDLGAPSGSRRKRDCWGKTKLEDNYSHSITSEERQSNNFDFIRLLKMRFTSLESVSIVSSGLCKDFLGSLEGPCNISSIKLRGNLDRLPDSNKLGELGRIKKLHLFSTGLSIEVLSALQCLRGLEYLKLVEHSDIFCNGIFIVEKNGFESLKSLWIESPMPPKMRFNEGAMESLTSLHLLCPHSQMQQPSEIVEGISHLSNLSEVILHRSMQRAWETLATGHPNRPCVKRQPEPAANTAE >ONIVA04G07330.1 pep chromosome:AWHD00000000:4:10653765:10654614:1 gene:ONIVA04G07330 transcript:ONIVA04G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSSAPSSRRRKESLLVTEGLVWKNRRGVAMRGRQIGKRNFFNLSDPAQVWWRCPLPASGQHATTVAALAALSPDTTTHPLRLRPLPLQMLGQRWCLLGWRQLSDSRTAGNKIFGSLCFSRMLRWCWSDWCLHDLLVDGLGMIVAHQGIAAGHQVNFSSSSFVELQAEVERQQINQCHPMVPTLGSSFGLRADRPCNLVSQDCSQFSSDSMINSVEINSHTKIYDSTTNLQFVPFPWKQLKGIIGLSSGVHVNEDP >ONIVA04G07320.1 pep chromosome:AWHD00000000:4:10636252:10638554:-1 gene:ONIVA04G07320 transcript:ONIVA04G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRYLQELGALLPRARGVTLLQVFVGGRHLGGAEEVRRLHKSGELRRVVAGAGAGAGATALAACSRCGGECYVLCGSCNGSHKRYSLKEATTSTIGREDTKSESQRTAI >ONIVA04G07320.2 pep chromosome:AWHD00000000:4:10636383:10638554:-1 gene:ONIVA04G07320 transcript:ONIVA04G07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRYLQELGALLPRARGVTLLQVFVGGRHLGGAEEVRRLHKSGELRRVVAGAGAGAGATALAACSRCGGECYVLCGSCNGSHKRYSLKEATTSTIGREDTKSESQRTAI >ONIVA04G07310.1 pep chromosome:AWHD00000000:4:10632552:10633970:-1 gene:ONIVA04G07310 transcript:ONIVA04G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZK2] MATVDGTTAPSSGGKTATVASESGGGRYGGGPPPPARCSGANLALRALLFAVSLSALVVLVTAKQTVMIPFVIRPPQFILAPVPAKYTHSPALIYLLAALCATCFYSLITAISSVRLLSSSACSAKTLFYLILLDVFYAAVMASATGTAGAVAWVGLKGNSHTRWNKICNVYGKFCRHIGSSTFLALIAAIVLVLLAFLNAYSLYRRSR >ONIVA04G07300.1 pep chromosome:AWHD00000000:4:10627816:10629617:-1 gene:ONIVA04G07300 transcript:ONIVA04G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLANAFARFLQDPGAAAVLSQLLSQPASLPPLPAFPCSLLPFPPFCTQPPPPSSSAPPAISAETDTLGSTGKKATPSSGPAAGSAATCKPSLSSRVGCRHHVTATPTPAPAPGPEDESGGKTGKELYSHEEDIRLLWAKEAHVTAISMLSDQIWGGKESA >ONIVA04G07290.1 pep chromosome:AWHD00000000:4:10625896:10628583:1 gene:ONIVA04G07290 transcript:ONIVA04G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGDAAAHLGVAELERTPPRRRRRRSWPPRRRLPEAAVEVDEEEEVIEQLLCPRPATWSGGISGGSSVPPRSCAAPPRLSPNDGGCLGSCLANMEMK >ONIVA04G07280.1 pep chromosome:AWHD00000000:4:10624520:10624720:1 gene:ONIVA04G07280 transcript:ONIVA04G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAMNRRPCAHQRAATKNEGEEVADAEDERPEERVGSARHRASPSAPKMVAAAAEEEEEESHRV >ONIVA04G07270.1 pep chromosome:AWHD00000000:4:10615000:10617368:1 gene:ONIVA04G07270 transcript:ONIVA04G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGVRLVKRGDSARGWRREEATQWRTSVDGDGDLFPSDVRALCCLLAGQELFQARFGNGPAEQGLSMIEVHASGKPRSLDSRSFLAKSTSPYPTAGKYLYLVGSSKSRWIDKSKAGR >ONIVA04G07260.1 pep chromosome:AWHD00000000:4:10602526:10602753:-1 gene:ONIVA04G07260 transcript:ONIVA04G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWSKEENKLFEQAIAYYGEGAPDLWHKVSRAMGGTKTADEVRRHFEILVDDIKLIEARRVPFPKYNTQGAWN >ONIVA04G07250.1 pep chromosome:AWHD00000000:4:10589940:10591583:-1 gene:ONIVA04G07250 transcript:ONIVA04G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADIEFDGATNALALTLRFDDNATLAPAHIISTRADVKRLLTQEVAFGFSATTGSWIERHRILSWSFNSTTVAVEDQPREQSTSTSFCASYLPLVTEVTFNLTLVWEGNKDGEAKKGRNTPVMATLQQWQRNKEIFLWRWWDSGGHRV >ONIVA04G07240.1 pep chromosome:AWHD00000000:4:10574627:10579432:1 gene:ONIVA04G07240 transcript:ONIVA04G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFIHRAAALLCLAVATAVCLSSVTAMSCQRKCGDIEVPFPFGIDGDQPGCAKPGFELSCGNSTESGVPILLRKVQPLSRSVEVLGISLPKGQLRMRMHMSSHCYNMTTRVMDCVDNGWMDLTGSPFTFSDSANKFTAFGCQVLAYLGAGEQRDIGSNLRIGCAASCGKDDSATIGGGRCSGIGCCQTAIPKGIKYYKAWFDDRFNTSSMYTWNRCAYAALVEESSFNFSMIYDSSSKFNSDTVSSQPPFVVDWVMGNISCKEARKNLGTYPCISNNSICLDSQNGPGYTCNCRKGFQGNPYNKGLDSCQDINECDDPKKYPCYGKCINKLGGFDCFCPAGMRGNASVGPCRKDFPLGIGIAIGLGVGFGILLLSLSVVFLIRKQRSDIQRQLRKKYFQKNKGLLLEQLISSDERASDSTKIFSLEELKEATNNFDSTRVLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEISQFINEVVILSQINHRHIVKLFGCCLETEVPLLVYDFVPNGSLNQIIHADKSNRRFSLSWDDCLRIATEAAGALYYLHSAASVSVLHRDVKSSNILLDSNYTAKVSDFGASRLIPNDQTHVFTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRKQPIFDDGTGTKKNLSIYFLSEIKGKPITEIVAPEVIKEAIEDEINIFASIAQACLRLRGEERPTMKQVEISLQSIRNKVLSSGSASSESNHEIETPLCESYVDLHQTMGVDINGIANLISSNCYSLEHEFMLSASFGR >ONIVA04G07230.1 pep chromosome:AWHD00000000:4:10513783:10514479:1 gene:ONIVA04G07230 transcript:ONIVA04G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTLAAGTSWHLAANECTPSGTVEVAEAESAAAGATNANHTYLMYNWSPPTSASGTKAVMTLHDFNRLAPQGKYGGHGRVQQQIHNIPRDFVVVLSTEWDWLPAAMRGPNAIAVSPDVWDALGLGTTCTKDRK >ONIVA04G07220.1 pep chromosome:AWHD00000000:4:10479378:10480890:-1 gene:ONIVA04G07220 transcript:ONIVA04G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIRDSVKFPVRSAIHTYISEVFNKISQLYDLFCVFRFIMMSAKLLQRMSVRRLLGQQRSSVEFYRKLQAWNSTASFSDVDEKNRKGGDAEDDFTHSRPDHVFRGVHRAIICGKVGQVPVQKILRNGHTVTVFTVGTGGMFDQRTVGAENLPMPAQWHRISVHNEQLGAYAVQKLVKNSAVYVEGDIETRVYNDIINDQVKNIPEICVRRDGKIQLMQSGDCDVSKSLEELREGLF >ONIVA04G07210.1 pep chromosome:AWHD00000000:4:10409930:10410299:1 gene:ONIVA04G07210 transcript:ONIVA04G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGADDTKPREGGSGHPSFGSGGSAYASLGSSGASAMTATPARVKETTVTTRATAETLPRPKRWIYHPRP >ONIVA04G07200.1 pep chromosome:AWHD00000000:4:10383833:10386898:-1 gene:ONIVA04G07200 transcript:ONIVA04G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPYLAHAVLATPARAFVPSCPGVWQTLYDVSSFTIRLHRFFGIIYLNDCHDRVTVIVSSASSRTLVHDALPCIHDHSTAPHACPTARLPRHHRLLNFGYIDHVNFMHGFIYHGSITPFALATSSDIIKIESSLVGVDVAMMWSTAANGDSHRTKKLRQQLTRGV >ONIVA04G07190.1 pep chromosome:AWHD00000000:4:10373079:10377095:-1 gene:ONIVA04G07190 transcript:ONIVA04G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKLHNSSRHSFLLAWHSSNLAILHEAIRIIPRYIVAIVVEILRGLLVILLLGFVLHLAGGDRHPPPGPIACARGGTSGGCTVTNIYGSFPDRAACRAAGVAYPRTEQELVAAVAAAAAAGRKAKAATRYSNSFPRLACPGGGEGEGGVAISTRWLNRTVRVDAARRLMTVEGGMVLRDLIREAAAAGLALPHSPYWSGVTVGGALATGAHGSSLWGKGSAVHEYVVGMRIVTPAPASEGFAVVRELAAGDPDLDAAKVSLGVLGVISQVTLALEPQFKRSVKFVKRDDTDIAEKVAVWGGLHEFGDMVWFPGQRQVIYREDNRVNISTPGDGLNDYFGFRAQPTLSMVAARVIDEWLEDNPMYTDTARCLASRAVTTMFDLLAYGFTNDGATFTGYPVVGYQHRIQSSGSCMGSLEEKDDGLLLTATCPWDPRKRGVFAYNVAFTVPLSRAPAFVADVARLRDTNPSAFCQIDAKMGVLVRYVAASSAYLGKAEDSVDFDVTYYRSRARGAPRAHADVFDEVEQMALRGHGGVPHWGKNRNAAFDGAIARYPNAGEFLRVKDRFDPEGVFSSEWSDRVLGVGGASPAIVGDGCAMEGLCVCSDDSHCAPELGYFCRPGKVFTEARVCSLLRDAADGYLRDRHGNVRVNATSTGKHSLLHE >ONIVA04G07180.1 pep chromosome:AWHD00000000:4:10324918:10327040:1 gene:ONIVA04G07180 transcript:ONIVA04G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGIPATMRAVQYTGYGGGAGALKHVEIPVPSVKKHEVLIKVEAASVNPIDWSIQKGMLRPFLPKFPFIPVTDVAGEIVEAGSAVHELKVGDKVLSKLNFWEEASLSMSLHRRASPSSAPPEFPPSTWPGCRPHSSQGPMSIGTKFDGTGGTGANVLITAASGGVGTYAVQLAKLGNHRVTATCGARNMDLVRSLGADEVLDYNTPQGAALTSSASDEKYDYIINTAMNVNWSAMKPTLSSRGRVVDITPNPGNYVAAMLTMFARKKITMMALMSLGKEAMRFVMELVGEGKLRTVVDSRCPFEKAAEAWEKSMGGHATGKVIVEM >ONIVA04G07170.1 pep chromosome:AWHD00000000:4:10321295:10323295:1 gene:ONIVA04G07170 transcript:ONIVA04G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVRYLDADDILNYNTPEDAILMSSASGEKYDYIINAAINIGWSLMRPILTSHGRVVEITPNPGNYIQGGPTMTQRHIIKLKKVFTPSHFEYTSSKSLARAPSYIVTVLTMFANKKITCMSMRKEKMRFMMELAGEGKLKMVANSWHPFEEAAKP >ONIVA04G07160.1 pep chromosome:AWHD00000000:4:10308291:10308539:1 gene:ONIVA04G07160 transcript:ONIVA04G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVGEGDGGGRGRRRSGGVRGVGEEATDADGWGMEAVVAGSTSLNLVEDGSSGQWSGGLGGRGGGGRICIPEARSAGGH >ONIVA04G07150.1 pep chromosome:AWHD00000000:4:10305804:10306880:-1 gene:ONIVA04G07150 transcript:ONIVA04G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZI6] MVSSPSLWRPPVPIKDAIEQDAITPFPKPEAPEEEEEESQGDRDRRLMSSLPVKVYGERRYLEYQATWWPESAVQAVIAIQRRFRPRPSDVLLASYPKSGTTWMKALVFAIMSRKVYPLRDHPLLRLNPHDCVVHLSGAYATGKEAVVEALPSPRIMAVHMPFSTLPASVVVDSSSGCKIVYVWRDPKDVLVSLWHYYRKLRPEEAHVSEFHDLYESFCQGDTVFGPWWDNVLGYFRASVEMPTRVLFLRYEDMLEDTASAVVAIANFVGCPFSAEEERAGIVDAIVKLCSFEELKNLDTNMSGSNGHLIKLPSSSYFRKGVAGDWVGHMTREMADRIDSIVQGKFQGSGLEIKRAST >ONIVA04G07140.1 pep chromosome:AWHD00000000:4:10300296:10303769:1 gene:ONIVA04G07140 transcript:ONIVA04G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGERPATMRAVQYSGYGGGAAALKFVEIPVPSVKKDEVLVKVEAASINQSDLMTQKGMMRPFHPKFPFIPVNNVSGEIVEVGSAVREFKVGDKVVSKLDFWTAGGLAEYVATSDKLTVARPAGISAADAAGVPVAGLTALQALKAIGTKFDGSGTSGGADVLITAASSGVGTYAVQLAKLGNHRVTATCGARNLGLVAGLGADEVLDYKTPEGAALSSPSGKKYDYIVNISNKNKWSVFKPRLSSHGRVVDVAPNFGNFVASVVTLFSRRKKLSLVSLKMSKEDLGLLLELMREGKIRTVVDSRHPFEKAADAWARSLSGHATGKVIVEM >ONIVA04G07130.1 pep chromosome:AWHD00000000:4:10293614:10293832:-1 gene:ONIVA04G07130 transcript:ONIVA04G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARLKIVNDRLKKLHEEGLIPAFVSLSGTEVPTQREGWLMDVARGIGSMGYNRFRGSSGSGTAGYNGDMA >ONIVA04G07120.1 pep chromosome:AWHD00000000:4:10255009:10258663:-1 gene:ONIVA04G07120 transcript:ONIVA04G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVECDLHMTSGEGEGSYAKYSRRQTIVTNETKPVIEKATIEVYKALLPKTMVIADLGCSTGPNTMLFMSNIINMIAHHCSKLDEQDHVELQFFLNDLPGNDFNQLFRSLEKIKNSTTTCDKGDIPPSYYISGLPKSYYSRLFPRHNVHLFHSSYCLHWRSQVPEGLEASGESILNQDVYISSTSSPLVVKLFQEQFQKDFSFFLQLRHEELVNGGRMVLIFLGRKDEDVYKGDLNHMFGFVSKALESLVEKGLVSKEKLESFILPVYGPSVDEVKEIVAKSRMFDLDHIKLFEANWDPYDDSEGDVVLDSANSSLNIRNLIRSVLESMIASHFGGSILDAIFQEFRSLVAQHLKREKTKFAVIVMSLKKIY >ONIVA04G07120.2 pep chromosome:AWHD00000000:4:10255009:10258663:-1 gene:ONIVA04G07120 transcript:ONIVA04G07120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVECDLHMTSGEGEGSYAKYSRRQVPEGLEASGESILNQDVYISSTSSPLVVKLFQEQFQKDFSFFLQLRHEELVNGGRMVLIFLGRKDEDVYKGDLNHMFGFVSKALESLVEKGLVSKEKLESFILPVYGPSVDEVKEIVAKSRMFDLDHIKLFEANWDPYDDSEGDVVLDSANSSLNIRNLIRSVLESMIASHFGGSILDAIFQEFRSLVAQHLKREKTKFAVIVMSLKKIY >ONIVA04G07110.1 pep chromosome:AWHD00000000:4:10245587:10246826:-1 gene:ONIVA04G07110 transcript:ONIVA04G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHAEQELSTPDMLQGHIELHHHLFGYLKSMALRCAADLGVPSAIHRRGGAAMISDIAADTGVHPAKLPHLRRIMRVLTGAGIFAANDEPSSPADQDGDAAGETVYTLTPPSRLLVGDHATCNMAPMMRFLVRPEVAAVFFGLDAWLRDGDTGAATLYQAAHGGVPAWEMTKRDSSYSRALNEACAGDTSFVMDIAVREGGDVFRGLSSLVDVGGGHGAAAMAIARAFPHIKCSVLDLPQAISEAPADGTVNFVAGNMFEYIPPANAVFLKYVLHCWGEEDCIKILQQCKKAIPAKGDGGKVIIINAVVGSGEPQDNALKETQVLFDVYMMGIGGGEREEHAWKKIFLEAGFSDYKIKPILGFISVIEVYP >ONIVA04G07100.1 pep chromosome:AWHD00000000:4:10207630:10207809:-1 gene:ONIVA04G07100 transcript:ONIVA04G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLNGVGDGDYRVLLDAGGAKLFADGHAHYVILCAAMGLLGRLGHVVQRIVVEVGPAG >ONIVA04G07090.1 pep chromosome:AWHD00000000:4:10187411:10204730:1 gene:ONIVA04G07090 transcript:ONIVA04G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZH9] MQPDVRKLYLLVRAPDAAAAKERLLTEVVGKGLFDVLREQHGASFHSFIKEKVCPLPGDITHQNFGLGNSEILRLSQDVDIIVNGAATTNFMERYDVALATNTAAVIYLCQFAKQCDNLKILLHVSTAYVAGEKAGLLLEKPFQIGEALRQGYHMDIEAELQLVDRIKSKLHIKSSSDNKAIHFGWPNTYVLTKAMGEMLLQQLGRDLPFVIIRPSMITSTFQDPMPGWIEGTRTVDAIFVAYNNQTLPCFIFNGRVIFDLIPGDMVINTMMAAINSHWNKRAQVIYHVTSAPLPLSLIVESMYKYFHTNPRTNKDGKIIKNKRVLMFKRFAYFQAYMTLRYKVPLEMMHAANVLFGGIYSKNYKKLNRGYNILMTVAKVFDDTNLRKLSKAMAVDQNDVSIFNFDTRCINLSSYVVNTNIPAAIKYANNQKAKAGNA >ONIVA04G07070.1 pep chromosome:AWHD00000000:4:10117733:10166569:1 gene:ONIVA04G07070 transcript:ONIVA04G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZH6] MKTGGIAERFRDKTILITGATGFLGKLLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGNGLFDVLREQYGAGFHSFIKEKIYALPGDVTHENFGLESYDILQLSQKVDIIVNGAATTNFMERYDVALATNTTGIVHLCQFAKQCDNLKMVLHVSTAYVAGEQAGQIFEKPFQMGTALRLDYQLDIEAELQLVDKIKSELGINSDSKLEKITMRKLGLERALHFGWPNTYTLTKAMGEMLLQQLGQDLPVVIVRPSMITSTFQEPMPGWIEQTRTIDVIFVAYNDQTLPCFIFDGSVIFDLIPGDMVINAMMAAINSQWNKQAQVIYHVTSSHQNPLPLSLIEESLYKYFHKNPRTSKDGKTIQNEKILTFNRLVYFQAYMILRYKMMRAANVLLGGIYTKNYYELNRGYNILMTVAKLFDDTNLRKLWKATAMDQNDDASIFNFDPKCINWSSYLVNTHISAAIKYANNQKAKARRKGLFDVLRKQHSAAFYSFIKKKICPLAGDVMHENFGLGSSEILRLSQEVDIIVNGAATTNFMERYDVALATNTEGVVHLCHFAKQCDNLKMLLHVSTAYVAGEQAGLLLEKPFQICEALRQGYTLDVEAEVQLVDRIKSKLRIKSSIDNKLEKTTMKKLGLKSGQTT >ONIVA04G07070.2 pep chromosome:AWHD00000000:4:10117733:10166569:1 gene:ONIVA04G07070 transcript:ONIVA04G07070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZH6] MKTGGIAERFRDKTILITGATGFLGKLLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGNGLFDVLREQYGAGFHSFIKEKIYALPGDVTHENFGLESYDILQLSQKVDIIVNGAATTNFMERYDVALATNTTGIVHLCQFAKQCDNLKMVLHVSTAYVAGEQAGQIFEKPFQMGTALRLDYQLDIEAELQLVDKIKSELGINSDSKLEKITMRKLGLERTIDVIFVAYNDQTLPCFIFDGSVIFDLIPGDMVINAMMAAINSQWNKQAQVIYHVTSSHQNPLPLSLIEESLYKYFHKNPRTSKDGKTIQNEKILTFNRLVYFQAYMILRYKMMRAANVLLGGIYTKNYYELNRGYNILMTVAKLFDDTNLRKLWKATAMDQNDDASIFNFDPKCINWSSYLVNTHISAAIKYANNQKAKARRKGLFDVLRKQHSAAFYSFIKKKICPLAGDVMHENFGLGSSEILRLSQEVDIIVNGAATTNFMERYDVALATNTEGVVHLCHFAKQCDNLKMLLHVSTAYVAGEQAGLLLEKPFQICEALRQGYTLDVEAEVQLVDRIKSKLRIKSSIDNKLEKTTMKKLGLKSGQTT >ONIVA04G07070.3 pep chromosome:AWHD00000000:4:10156234:10175311:1 gene:ONIVA04G07070 transcript:ONIVA04G07070.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZH6] METGGIAERFRDKTILITGATGFLGKLLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGKGLFDVLREQYGAGFNSFIKEKIYALPGDVMHENFGLESYEVLQLSKKVDIIVNGAATTNFMERYDVALATNAAGVMHLCQFAKQCDNLKMVLHVSTAYVAGEQAGQLLEKPFQIGRALRLDYQLDIEAELQLVDSIKSELRIKCSSDDKLEKTTMRKLGLKRATHFGWPNTYVLTKAMGEMLLQQLGQDLPVVIVRPSMITSTFQEPMPGWIEETRTIDVIFVAYNDQTLPCFIFDGSVIFDLIPGDMVINAMMAAINSQWNKRAQVIYHVTSAHQNPLPASLIEESMFRYFDINPRTSKDGKAIKNKRPLAFKRLAYFQAYMILRYKLPLEMMRAANVLLGGIYTKNYYEFNRDYNILMTVAKLFAPYVFFKGWFDDTNLRKLWKATAMDQNDDASIFNFDPKCINWSSYLVNTHIPAAIKYANDQKAKARRKSDFLFFSFCAQELTGIPRRQWQRRLVFGGEALVCDLGVEAIPFLWSAAREAPVARLAAAGRYRRRRRPWRRRLRRTPGRGGGLVRFTEPRGLQRWRRPKLGRPGVAAHRAAGDVPATDWMGGSWGGFEEVKEVEIAILLPPVLSLLVEGRRNYGGGKVEVSSGHSSVDRPRAGVWRHRIGARQHELGNKKDDRYDVALATNTAGVVHLCQFAKRCHKPKMLLHVFF >ONIVA04G07070.4 pep chromosome:AWHD00000000:4:10117733:10166569:1 gene:ONIVA04G07070 transcript:ONIVA04G07070.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZH6] MKTGGIAERFRDKTILITGATGFLGKLLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGNGLFDVLREQYGAGFHSFIKEKIYALPGDVTHENFGLESYDILQLSQKVDIIVNGAATTNFMERYDVALATNTTGIVHLCQFAKQCDNLKMVLHVSTAYVAGEQAGQIFEKPFQMGTALRLDYQLDIEAELQLVDKIKSELGINSDSKLEKITMRKLGLERTIDVIFVAYNDQTLPCFIFDGSVIFDLIPGDMVINAMMAAINSQWNKQAQVIYHVTSSHQNPLPLSLIEESLYKYFHKNPRTSKDGKTIQNEKILTFNRLVYFQAYMILRYKMMRAANVLLGGIYTKNYYELNRGYNILMTVAKLFDDTNLRKLWKATAMDQNDDASIFNFDPKCINWSSYLVNTHIPAAIKYANDQKAKARSA >ONIVA04G07070.5 pep chromosome:AWHD00000000:4:10166500:10175311:1 gene:ONIVA04G07070 transcript:ONIVA04G07070.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZH6] MAELTGIPRRQWQRRLVFGGEALVCDLGVEAIPFLWSAAREAPVARLAAAGRYRRRRRPWRRRLRRTPGRGGGLVRFTEPRGLQRWRRPKLGRPGVAAHRAAGDVPATDWMGGSWGGFEEVKEVEIAILLPPVLSLLVEGRRNYGGGKVEVSSGHSSVDRPRAGVWRHRIGARQHELGNKKDDRYDVALATNTAGVVHLCQFAKRCHKPKMLLHVFF >ONIVA04G07060.1 pep chromosome:AWHD00000000:4:10083571:10100171:-1 gene:ONIVA04G07060 transcript:ONIVA04G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAYASSNKQRFMNQYFLLIACLQLWSSITPISPATTWGPLAIIFIVSASKEAWDDYNRYLSDKKANEREVLVIKAQDIHVGNIVWLYQNDEIPCDLVLIGTSDPQGICYVETAALDGETDLKTRIVPSICANLSPDQLGRVKGVVECSNPDNDIRRFDANMRLFPPIIDSEKCPLTINNTLLQSCYLRYTEWACGVAVYTGNQTKSGMSRGTAEPKLTAADAMIDKLTVAIFMFQIVVVLVLGFAGNIWKKNQGLKACSFLKALLLYFIILNCYGLHQWYLLYPVEGPWYDFLIIPLRFELLCSIMIPISVKVTLDLSKGVYAKFIDWDEQMFDRETSYISVSFSTAISEDLGQVEYVLSDKTGTLTENRMIFRRCCISDILYGENNEDALKDARLLDAVSRNDPDIVKFLMVMALCNTVVPIKRLIFAFISLFSISTEISFNGSKFYYDLLDILEFTSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGQQIRTYLETVEMYSQLGLRTLCLGWRELEEDEYKDWSKTFQDASCSLENRERKIAEVCHRLEQDLQILGVSAIEDRLQLVGLLKSAGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILVHGRYSYNRTAFISQYSFYKSLLICFIQILLPVTTIIFDKDISEETVLQYPQILLYSQSGRLLNPTTFAGWFGRSVYHALVVFLTTICAYSDEKSEIEELSMVALSGCIWLQAFVVTLDTNSFTYPQIILIWGNFVAFYMINLIVSAVPTLQILTLRFLQPSGETIFLQLIVAARHFALWNPTPPPPPPPTHARRLPPRPPRRLPSAVTEPEADQDAGATATATAAADADAASAEQIGAGARGVFDGMRRRAALSWNATVAAHAKRGCVRDALGTAARMHRSAAGLDEATYASALGACARGRCLRMGWQVHCQVVKSGSDDFPVVGASLLDFYSSCLDLDAARTLFDALHANNELLWSPMVVALVRFNLLSDALDLLQRMPPPRDVFAWTAIISGYARGVKEYCCKALELFVQLLAEDGVMPNEFTYDSVLRACVKMGALEFGRSIHGCLIRSGFESEQLITSALVDLYCRSGAVDDAVMVYNGLQMPSLITSNTLIAGFLSMGRTEDAKLVFSQMTEHDSGSYNLMIKAYADEGRLEDCRRMFEMMPRRNMVTLNSMMSVLLQNGKLEEGRKLFEQIKDEKNTVTWNSMISGYVQNDQSSEALKLFAVMCRLSIECSASTFPALLHACATIGTIEQGKMVHAHLCKTPFESNGYVGTALVDMYSKCGCVSDARTAFSCIISPNVASWTSLINGLAQNGHWMEAIVQFARMLKNSVKPNEITFLGLLMASARSGLVNKGMRFFHSMESYGVVPTVEHYTCAIDLLGRARRVREAEKFISKMPIPADGVMWGALLTACWYSMDLEMGEKIAEKLFYMGTKHISAYVAMSNIYAKLGKWEDVVKVRTRLRSINAKKEPGCSWIEVKDMVHVFLVEDRNHPEREEIYLMLEDLVSNISYSETDDETHGYYLEPASLDFLTSQKGLANQ >ONIVA04G07050.1 pep chromosome:AWHD00000000:4:10077199:10080342:-1 gene:ONIVA04G07050 transcript:ONIVA04G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZH2] MADDAYDLPMAGAEEEVDDVMKDELDEEGEEVDYSSSPVAMKVGEEKEIGKQGLRKRLLKEGEGWDRPESGDEVQVHYTGTLLDGTKFDSSRDRGTPFKFNLGQGEVIKGWDEGIKTMKKGEQAVFTVPPELAYGEAGSPPAIPPNATLRFDVELLSWASVKDICKDGGIFKKVLAEGQKWENPKDLDEVFVKYEARLEDGTVVSKSDGVEFAVKDGYFCPALSKAVKTMKKGEKVLLTVKPQYGFGDQGRLASGDEAAVPPNATLHIDLELLSWKTVTLIGDDKRILKKVLKEGEGYERPNDGAVVRVRFIGKLEDGTVFSKKGHDGDEPFEFRTDEEQVIEGLDRTVVTMKKGEVSLVRLPPQHAFGSTETKQDLAVVPASSTVWYEVELVSFEKEKESWDLKDNAEKIEAAAKKKDEGNVWFKMGKYAKASKRYEKAAKYIEYDSSFTDDEKKQSKALKVSCKLNNAACKLKLKEYREAEKLCTKVLELESTNVKALYRRAQAYIELADLELAELDVKKALEIDPDNRDVKMVYKTLKEKIKEYNKRDAKFYGNMFAKWRKLEHMENKKVPGEQEAQPMAIDSAA >ONIVA04G07040.1 pep chromosome:AWHD00000000:4:10070320:10070943:1 gene:ONIVA04G07040 transcript:ONIVA04G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSRSSSGCQSGWTLYLDHSNGGHRQYYARKNHELDDDDDDDDMVSDASSGPPPRMRDEDDDEVWHQHRHQQQRRQRNHLVGCHTGHDDDDDGDDDSGSSGVGGGYSTCTARSMSSKRRAIAGEHSVVDAAVVVVLRHREHNCGGGDDDLDDTASSSSAVSSSLQPSCAFSARHLQQWSSTAAVRGTSIYCRPPAPATQCYIATD >ONIVA04G07030.1 pep chromosome:AWHD00000000:4:10066583:10066909:1 gene:ONIVA04G07030 transcript:ONIVA04G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPDDDVQASGSRRPTNRVVKLAKRSGLLSERIFVSPLAYESWWCSSSMAAVECGNESPESAMVATYGGGGPTVGRRGVEHAQEQLIQVQQQPTSILSSLSSGTV >ONIVA04G07020.1 pep chromosome:AWHD00000000:4:10064626:10064826:1 gene:ONIVA04G07020 transcript:ONIVA04G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAATAMAMARAVVAVLLLVQILGAMAVSARTMKGEGWLEDGIGMVVDMLGELKSGGNSPTHCC >ONIVA04G07010.1 pep chromosome:AWHD00000000:4:10050660:10050969:-1 gene:ONIVA04G07010 transcript:ONIVA04G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVALLLLFLVQVMSVIGGGEAAARPLQQADGGAVIGMLASLSIGSDGSSHLIR >ONIVA04G07000.1 pep chromosome:AWHD00000000:4:10041792:10043031:1 gene:ONIVA04G07000 transcript:ONIVA04G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAATARTTAKLVLLVVVLMHASGVLAAAARSLSGEEWLTDGGGVVVREARRNF >ONIVA04G06990.1 pep chromosome:AWHD00000000:4:10012718:10019296:1 gene:ONIVA04G06990 transcript:ONIVA04G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNLVGNSIGCSASGERLVSADRDGDLQEARALLEYNPRLAWYSTFGGRNSPLHYAVHIFCCLHVQIVSLLLESEVEINLRNYRGQRYTTAISSPPPPLLLAPRKRAPSCPDVPTPQIALAPPPALRDAAMPRPRLSTIFAGAATSTAPAPAPAASPSARAPLPPAYAVFKQRLLSGELCPGDARDLFDELLRRGGDGDGSAPVPARALNGILAALARAPPSAACGDAPALAIELFKRMDRWACPRHSPPTIHTYNILIDCYRRVHRPDLGLAIVGRLLKNGLGPDDFSYSLIYGFVKDGEVDKAHCLFLEMMEQGVLPNILICNSIIKELCKMKEMDKAESIVQKMVDSGIAPDLFTYSLIIDGLCKSKAMDKAERVLEQMVEAGTRPNSITYNSLIHGYSISGMWNESVRVFKQMSSCGVIPTVANCNSFIHALFKHGRTNEAKCIFDSMVLKGPKPDIISYSTMLHGVGRLDDALHKFNHMVDIGVPPSKAVYHCLIQGCCNHGELVKAKELISDMVNKDIPPPDIKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYATLVDGYCKNGRIDDALTVFRDMFHKRVKPTSVLYSIILHGLFQARRTTAAKKMFREMIESGTTVSIHTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDMITFNIVISAMFKVGRRQEAKELFDAISTYGLVPNIQTYSLMITNLIKEESYEEADNLFISVEKSGHAPDSRLLNHIIRMLLKKAEVAKASNYLSIIGENNLTLEASTISLLASLFSREGKYWEHIKLLPAKDDELCSPFSVSRLLDSKTMAVVAATAKLALLLVLLLQFSGVLTTVAARTLPGEEWLLPEGGGVVRAVVEMLVGSKSGGGGGGTHCC >ONIVA04G06990.2 pep chromosome:AWHD00000000:4:10012718:10027388:1 gene:ONIVA04G06990 transcript:ONIVA04G06990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNLVGNSIGCSASGERLVSADRDGDLQEARALLEYNPRLAWYSTFGGRNSPLHYAVHIFCCLHVQIVSLLLESEVEINLRNYRGQRYTTAISSPPPPLLLAPRKRAPSCPDVPTPQIALAPPPALRDAAMPRPRLSTIFAGAATSTAPAPAPAASPSARAPLPPAYAVFKQRLLSGELCPGDARDLFDELLRRGGDGDGSAPVPARALNGILAALARAPPSAACGDAPALAIELFKRMDRWACPRHSPPTIHTYNILIDCYRRVHRPDLGLAIVGRLLKNGLGPDDFSYSLIYGFVKDGEVDKAHCLFLEMMEQGVLPNILICNSIIKELCKMKEMDKAESIVQKMVDSGIAPDLFTYSLIIDGLCKSKAMDKAERVLEQMVEAGTRPNSITYNSLIHGYSISGMWNESVRVFKQMSSCGVIPTVANCNSFIHALFKHGRTNEAKCIFDSMVLKGPKPDIISYSTMLHGYATATDGCLADVHNIFNLMLTKGIAPNKHVFNILINAYGSRGMIDKAMLIFEDMQNKGVTPGVVTFVTVISALCRVGRLDDALHKFNHMVDIGVPPSKAVYHCLIQGCCNHGELVKAKELISDMVNKDIPPPDIKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYATLVDGYCKNGRIDDALTVFRDMFHKRVKPTSVLYSIILHGLFQARRTTAAKKMFREMIESGTTVSIHTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDMITFNIVISAMFKVGRRQEAKELFDAISTYGLVPNIQTYSLMITNLIKEESYEEADNLFISVEKSGHAPDSRLLNHIIRMLLKKAEVAKASNYLSIIGENNLTLEASTISLLASLFSREGKYWEHIKLLPANKTMAVVAATAKLALLLVLLLQFSGVLTTVAARTLPGEEWLLPEGGGVVRAVVEMLVGSNIKRSAFMHV >ONIVA04G06990.3 pep chromosome:AWHD00000000:4:10012718:10019296:1 gene:ONIVA04G06990 transcript:ONIVA04G06990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNLVGNSIGCSASGERLVSADRDGDLQEARALLEYNPRLAWYSTFGGRNSPLHYAVHIFCCLHVQIVSLLLESEVEINLRNYRGQRYTTAISSPPPPLLLAPRKRAPSCPDVPTPQIALAPPPALRDAAMPRPRLSTIFAGAATSTAPAPAPAASPSARAPLPPAYAVFKQRLLSGELCPGDARDLFDELLRRGGDGDGSAPVPARALNGILAALARAPPSAACGDAPALAIELFKRMDRWACPRHSPPTIHTYNILIDCYRRVHRPDLGLAIVGRLLKNGLGPDDFSYSLIYGFVKDGEVDKAHCLFLEMMEQGVLPNILICNSIIKELCKMKEMDKAESIVQKMVDSGIAPDLFTYSLIIDGLCKSKAMDKAERVLEQMVEAGTRPNSITYNSLIHGYSISGMWNESVRVFKQMSSCGVIPTVANCNSFIHALFKHGRTNEAKCIFDSMVLKGPKPDIISYSTMLHGYATATDGCLADVHNIFNLMLTKGIAPNKHVFNILINAYGSRGMIDKAMLIFEDMQNKGVTPGVVTFVTVISALCRVGRLDDALHKFNHMVDIGVPPSKAVYHCLIQGCCNHGELVKAKELISDMVNKDIPPPDIKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYATLVDGYCKNGRIDDALTVFRDMFHKRVKPTSVLYSIILHGLFQARRTTAAKKMFREMIESGTTVSIHTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDMITFNIVISAMFKVGRRQEAKELFDAISTYGLVPNIQTYSLMITNLIKEESYEEADNLFISVEKSGHAPDSRLLNHIIRMLLKKAEVAKASNYLSIIGENNLTLEASTISLLASLFSREGKYWEHIKLLPAKDDELCSPFSVSRLLDSKTMAVVAATAKLALLLVLLLQFSGVLTTVAARTLPGEEWLLPEGGGVVRAVVEMLVGSKSGGGGGGTHCC >ONIVA04G06990.4 pep chromosome:AWHD00000000:4:10012718:10019582:1 gene:ONIVA04G06990 transcript:ONIVA04G06990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNLVGNSIGCSASGERLVSADRDGDLQEARALLEYNPRLAWYSTFGGRNSPLHYAVHIFCCLHVQIVSLLLESEVEINLRNYRGQRYTTAISSPPPPLLLAPRKRAPSCPDVPTPQIALAPPPALRDAAMPRPRLSTIFAGAATSTAPAPAPAASPSARAPLPPAYAVFKQRLLSGELCPGDARDLFDELLRRGGDGDGSAPVPARALNGILAALARAPPSAACGDAPALAIELFKRMDRWACPRHSPPTIHTYNILIDCYRRVHRPDLGLAIVGRLLKNGLGPDDFSYSLIYGFVKDGEVDKAHCLFLEMMEQGVLPNILICNSIIKELCKMKEMDKAESIVQKMVDSGIAPDLFTYSLIIDGLCKSKAMDKAERVLEQMVEAGTRPNSITYNSLIHGYSISGMWNESVRVFKQMSSCGVIPTVANCNSFIHALFKHGRTNEAKCIFDSMVLKGPKPDIISYSTMLHGVGRLDDALHKFNHMVDIGVPPSKAVYHCLIQGCCNHGELVKAKELISDMVNKDIPPPDIKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYATLVDGYCKNGRIDDALTVFRDMFHKRVKPTSVLYSIILHGLFQARRTTAAKKMFREMIESGTTVSIHTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDMITFNIVISAMFKVGRRQEAKELFDAISTYGLVPNIQTYSLMITNLIKEESYEEADNLFISVEKSGHAPDSRLLNHIIRMLLKKAEVAKASNYLSIIGENNLTLEASTISLLASLFSREGKYWEHIKLLPAKREETNSKFKQ >ONIVA04G06990.5 pep chromosome:AWHD00000000:4:10012718:10019582:1 gene:ONIVA04G06990 transcript:ONIVA04G06990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNLVGNSIGCSASGERLVSADRDGDLQEARALLEYNPRLAWYSTFGGRNSPLHYAVHIFCCLHVQIVSLLLESEVEINLRNYRGQRYTTAISSPPPPLLLAPRKRAPSCPDVPTPQIALAPPPALRDAAMPRPRLSTIFAGAATSTAPAPAPAASPSARAPLPPAYAVFKQRLLSGELCPGDARDLFDELLRRGGDGDGSAPVPARALNGILAALARAPPSAACGDAPALAIELFKRMDRWACPRHSPPTIHTYNILIDCYRRVHRPDLGLAIVGRLLKNGLGPDDFSYSLIYGFVKDGEVDKAHCLFLEMMEQGVLPNILICNSIIKELCKMKEMDKAESIVQKMVDSGIAPDLFTYSLIIDGLCKSKAMDKAERVLEQMVEAGTRPNSITYNSLIHGYSISGMWNESVRVFKQMSSCGVIPTVANCNSFIHALFKHGRTNEAKCIFDSMVLKGPKPDIISYSTMLHGYATATDGCLADVHNIFNLMLTKGIAPNKHVFNILINAYGSRGMIDKAMLIFEDMQNKGVTPGVVTFVTVISALCRVGRLDDALHKFNHMVDIGVPPSKAVYHCLIQGCCNHGELVKAKELISDMVNKDIPPPDIKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYATLVDGYCKNGRIDDALTVFRDMFHKRVKPTSVLYSIILHGLFQARRTTAAKKMFREMIESGTTVSIHTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDMITFNIVISAMFKVGRRQEAKELFDAISTYGLVPNIQTYSLMITNLIKEESYEEADNLFISVEKSGHAPDSRLLNHIIRMLLKKAEVAKASNYLSIIGENNLTLEASTISLLASLFSREGKYWEHIKLLPAKREETNSKFKQ >ONIVA04G06980.1 pep chromosome:AWHD00000000:4:9996227:9999560:-1 gene:ONIVA04G06980 transcript:ONIVA04G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHFFPAGDYFSTSSSGAGTGGAGALLPAAAYGTMTMMPPWAVAAAEQMMMMAPAAAAAEFDSALSSLVSSPQGGGGGDEMAAIGDLIGRLGSICSHGGASANNSCYSTPLSSPPRAAPPPPFRGYAAAGGRLSRVSSSKSLGGAAAALDSSEADMNPTTAAAADQPSKPSAAAARKRKSSAKPKASSSSLPTATATTNASPKRSKVAAGAGDDGDGDADAAEEKPEPAKDYIHVRARRGQATDSHSLAERVRRERISERMKLLQSLVPGCNKITGKALMLDEIINYVQSLQRQVEFLSMKLATMNPQLDFDSHYMPSKDMSHMPVPAYPSGDPTTTTAFSYTGSPATADPFTVYNCWELDLHTAMQMGATPGLSQDVPIATMAPSPSPLPHHPPLHGFYGGQQQQGTTVGPSCTGKEKVFQGLTFSRCSVILFRQRTCFDVVMFVGQRSICVVCLEMARQGSCVTFVCAGCMP >ONIVA04G06980.2 pep chromosome:AWHD00000000:4:9996679:9999159:-1 gene:ONIVA04G06980 transcript:ONIVA04G06980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTAAAADQPSKPSAAAARKRKSSAKPKASSSSLPTATATTNASPKRSKVAAGAGDDGDGDADAAEEKPEPAKDYIHVRARRGQATDSHSLAERVRRERISERMKLLQSLVPGCNKITGKALMLDEIINYVQSLQRQVEFLSMKLATMNPQLDFDSHYMPSKDMSHMPVPAYPSGDPTTTTAFSYTGSPATADPFTVYNCWELDLHTAMQMGATPGLSQDVPIATMAPSPSPLPHHPPLHGFYGGQQQQGTTVNHMKAEP >ONIVA04G06980.3 pep chromosome:AWHD00000000:4:9996681:9999560:-1 gene:ONIVA04G06980 transcript:ONIVA04G06980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHFFPAGDYFSTSSSGAGTGGAGALLPAAAYGTMTMMPPWAVAAAEQMMMMAPAAAAAEFDSALSSLVSSPQGGGGGDEMAAIGDLIGRLGSICSHGGASANNSCYSTPLSSPPRAAPPPPFRGYAAAGGRLSRVSSSKSLGGAAAALDSSEADMNPTTAAAADQPSKPSAAAARKRKSSAKPKASSSSLPTATATTNASPKRSKVAAGAGDDGDGDADAAEEKPEPAKDYIHVRARRGQATDSHSLAERVRRERISERMKLLQSLVPGCNKITGKALMLDEIINYVQSLQRQVEFLSMKLATMNPQLDFDSHYMPSKDMSHMPVPAYPSGDPTTTTAFSYTGSPATADPFTVYNCWELDLHTAMQMGATPGLSQDVPIATMAPSPSPLPHHPPLHGFYGGQQQQGTTVNHMKAEP >ONIVA04G06970.1 pep chromosome:AWHD00000000:4:9979503:9980888:-1 gene:ONIVA04G06970 transcript:ONIVA04G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNAPLFNLSSFIVIVTSPSLPTQVTAGAILHVPILIADSPPPDHRRLPRLRRCGPGLRQRSVRRGAVPAHLRHHGLSTAASAARNAMLAPAWLPIRNRGLQPGEPAAYEHTHRTAPASSSNVAGRRSSAAWVFIGLNALAARLKFNGPLEAAQLHGGCGAWGVIFTALFAGCSWVAAAAGCSGHT >ONIVA04G06960.1 pep chromosome:AWHD00000000:4:9978972:9979485:-1 gene:ONIVA04G06960 transcript:ONIVA04G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVSFTMALLFLVLNKLGLLRISAEDKMAGMDQTRHGGFVGVNGGDDCGDGGREGVQELWNGSDAEQKRTYPPVLLAGEGGDNDCGVHHWLRLPLPSPVSWNRGEGRKRKKKERRAI >ONIVA04G06950.1 pep chromosome:AWHD00000000:4:9964654:9973519:1 gene:ONIVA04G06950 transcript:ONIVA04G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALRRAWSTLNGNDNVLPYFLCVTLNEHGRHPATPPPPSLSAGGGGGDTPPSRRRVPKENVDPGSSPAGHSPFRSPTSSAKPLGNRNRGLLPPRPPSSNPLKRKLDVSPAAAADSSGGAAAAAAGGGGGPAPDSGVQVVVRIRPPCRVEEEEDARAPDLCVRKTATNSVAIQGQDFTFDAVADEVSTQEDIFKLVGLPLVENCLSGFNSSIFAYGQTGSGKTYTMWGPLSALSEDSTCSERGLTPRVFEQLFSRIKEEQGKHEDKELTYHCIYNEQITDLLDPSPKSLQIREDVRTACVYVESLTKELVFTTKDVTQLLVKGLSNRRTGATSANADSSRSHCVFTCVIKSESKNLEDGSNSTRTSRINLVDLAGSERQKLTHAFGDRLKEAGNINRSLSQLGNLINILAEISQSGKQRHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKSETLSTLRFAQRAKSIKNNAVVNEQKEEDVNMLREQIRQLKDELHRMKSGGSDGSNGSFSTGWNARRSLHLLKMSLSRPTTFQTIHEDSGDVEMEIDENDVEKPYNQDNMVISPPGDKECKELQASLKINGGTSLDVFDGENLMPTKRSCSDDRYKLNLAASIQRGLQVIENHQNNGAWRRASVGFNARIVDVQPCKVDVAIQTEPEESEARDNPLALISSHVLGTSATVSNDPNACRDLQLVQYDAGITRDEPKQQQILKAVEKVLAGAIRREMARDEQCVKQAAEIQQLNRLVQQYKHERECNAVIAQTREGKIARLESLMDGTLPTEEFINEEYLSLMNEHKILQQKYENHPELLRAEIELKRLQEELELCRNYIDEKEVLQEEIQDLKSHLHFMLSSSASIRRLWPPVQLSQGVGPSPVTNDADGDTNAVDTSDWAEAESKWVTLTEELRVELEANKSLVGRLRSELESEKKCSEEVKEALQTAMQGHARILEQYAELEERHIGLLAMHRKIREGVEDVKARAAKAGVKGAELRFINSLAAEMAVLRAENKGLQDQLGDTAEAVQAAGELLVRLKEAEEAEALAQRRALLAEQETEKAYQEIDNLKKNYDQEIVALNQRLSESSHHQETTLAIEACDMETAKYDTAGSPGDQQWREEFNQQGGSFEVSKSTDLNSWFSGIACCTTSW >ONIVA04G06950.2 pep chromosome:AWHD00000000:4:9964654:9973346:1 gene:ONIVA04G06950 transcript:ONIVA04G06950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALRRAWSTLNGNDNVLPYFLCVTLNEHGRHPATPPPPSLSAGGGGGDTPPSRRRVPKENVDPGSSPAGHSPFRSPTSSAKPLGNRNRGLLPPRPPSSNPLKRKLDVSPAAAADSSGGAAAAAAGGGGGPAPDSGVQVVVRIRPPCRVEEEEDARAPDLCVRKTATNSVAIQGQDFTFDAVADEVSTQEDIFKLVGLPLVENCLSGFNSSIFAYGQIYNEQITDLLDPSPKSLQIREDVRTACVYVESLTKELVFTTKDVTQLLVKGLSNRRTGATSANADSSRSHCVFTCVIKSESKNLEDGSNSTRTSRINLVDLAGSERQKLTHAFGDRLKEAGNINRSLSQLGNLINILAEISQSGKQRHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKSETLSTLRFAQRAKSIKNNAVVNEQKEEDVNMLREQIRQLKDELHRMKSGGSDGSNGSFSTGWNARRSLHLLKMSLSRPTTFQTIHEDSGDVEMEIDENDVEKPYNQDNMVISPPGDKECKELQASLKINGGTSLDVFDGENLMPTKRSCSDDRYKLNLAASIQRGLQVIENHQNNGAWRRASVGFNARIVDVQPCKVDVAIQTEPEESEARDNPLALISSHVLGTSATVSNDPNACRDLQLVQYDAGITRDEPKQQQILKAVEKVLAGAIRREMARDEQCVKQAAEIQQLNRLVQQYKHERECNAVIAQTREGKIARLESLMDGTLPTEEFINEEYLSLMNEHKILQQKYENHPELLRAEIELKRLQEELELCRNYIDEKEVLQEEIQDLKSHLHFMLSSSASIRRLWPPVQLSQGVGPSPVTNDADGDTNAVDTSDWAEAESKWVTLTEELRVELEANKSLVGRLRSELESEKKCSEEVKEALQTAMQGHARILEQYAELEERHIGLLAMHRKIREGVEDVKARAAKAGVKGAELRFINSLAAEMAVLRAENKGLQDQLGDTAEAVQAAGELLVRLKEAEEAEALAQRRALLAEQETEKAYQEIDNLKKNYDQEIVALNQRLSESSHHQETTLAIEACDMETAKYDTAGSPGDQQWREEFNQQGGSFEVSKSTDLNSWFSGYDKCNI >ONIVA04G06940.1 pep chromosome:AWHD00000000:4:9959917:9960363:-1 gene:ONIVA04G06940 transcript:ONIVA04G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZF4] MATSPLLLLLLLLVAAAAAAGDEASLSPSNAAAPAAPVLVGGRTEIRDVGGNKAVQSLGRFAVAEHNRRLRHGFGGPADPVPVKLAFARVVEAQKQVVSGVAYYLKVAARDPRGGAAAGDRVFDAVVVVKAWLKSKELVSFTPAASTK >ONIVA04G06930.1 pep chromosome:AWHD00000000:4:9953488:9959129:1 gene:ONIVA04G06930 transcript:ONIVA04G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRPDTWGPRGSHADSAATSDKTGAAIVHVAHEVLSNPAVERACAPWLLTPPAGRPAAAAAAEEKPWRLTTAPRRSTSVMSQTTAPMSLLRLSSILASTATAAASSTAASTTTAATASPARPSPPAHAAFRERLRSGTLGPDDARHLFDELLLRRDAPAPARGLNELLSALARAPPSAACRDVPALAVELFKRMDRCACPEAAPTIYTYNILINCYRRARRPDLGLPVFGRLLRTGLGPDVFSYNALIDGFSKEGEVDKAHDLFYKMEEQGIMPNVVTYSSLINGLCKTKEMDKAERVLRQMVGAGIRPNNMTYNCLIHGYSTSGMWKESVRVFKEMSSSRLVPDVSNCNSFMTALCKHGRIKEARDIFDSMVLKGPKPDVISYGALLHGYATAGCIAGMDNLFNVMGQCNRGDLVKAKELISDMLSKGIPPPCIKFFTSIINNLCKEGRVAEGKDIVDLIIHTGQRPNLITFNSLVDGYCLVGNMKEAVGLLDSMESVGVEPDIYTYNTLVDGYCKHGRIDDALTLFRDMLHKRVTLTSVSYNIILHGLFQARRTVVAKEMFHEMIESGMAVSIHTYATVLGGLCRNNCTDEANMLLEKLFSMNVKFDILTFNIVIRAMFKVGRMQEAKELFAAISTYGLVPTILTYRVMITNLIKEESFEDADNLFSSVEKSGCTPDSRILNEIIRMLLNKVNGKYREYIKLLPEKYRFLREQTAVDNCI >ONIVA04G06930.2 pep chromosome:AWHD00000000:4:9953488:9959129:1 gene:ONIVA04G06930 transcript:ONIVA04G06930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRPDTWGPRGSHADSAATSDKTGAAIVHVAHEVLSNPAVERACAPWLLTPPAGRPAAAAAAEEKPWRLTTAPRRSTSVMSQTTAPMSLLRLSSILASTATAAASSTAASTTTAATASPARPSPPAHAAFRERLRSGTLGPDDARHLFDELLLRRDAPAPARGLNELLSALARAPPSAACRDVPALAVELFKRMDRCACPEAAPTIYTYNILINCYRRARRPDLGLPVFGRLLRTGLGPDVFSYNALIDGFSKEGEVDKAHDLFYKMEEQGIMPNVVTYSSLINGLCKTKEMDKAERVLRQMVGAGIRPNNMTYNCLIHGYSTSGMWKESVRVFKEMSSSRLVPDVSNCNSFMTALCKHGRIKEARDIFDSMVLKGPKPDVISYGALLHGYATAGCIAGMDNLFNVMVCEGVVPDRHVFNTLINAYARLGMMDKSLLIFEDMKKQGVNPDIITFSTVISAFCRLDRLDDAMEKFKHMIDIGVPLDTAVYGCLIQGQCNRGDLVKAKELISDMLSKGIPPPCIKFFTSIINNLCKEGRVAEGKDIVDLIIHTGQRPNLITFNSLVDGYCLVGNMKEAVGLLDSMESVGVEPDIYTYNTLVDGYCKHGRIDDALTLFRDMLHKRVTLTSVSYNIILHGLFQARRTVVAKEMFHEMIESGMAVSIHTYATVLGGLCRNNCTDEANMLLEKLFSMNVKFDILTFNIVIRAMFKVGRMQEAKELFAAISTYGLVPTILTYRVMITNLIKEESFEDADNLFSSVEKSGCTPDSRILNEIIRMLLNKVNGKYREYIKLLPEKYRFLREQTAVDNCI >ONIVA04G06930.3 pep chromosome:AWHD00000000:4:9953488:9956162:1 gene:ONIVA04G06930 transcript:ONIVA04G06930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRPDTWGPRGSHADSAATSDKTGAAIVHVAHEVLSNPAVERACAPWLLTPPAGRPAAAAAAEEKPWRLTTAPRRSTSVMSQTTAPMSLLRLSSILASTATAAASSTAASTTTAATASPARPSPPAHAAFRERLRSGTLGPDDARHLFDELLLRRDAPAPARGLNELLSALARAPPSAACRDVPALAVELFKRMDRCACPEAAPTIYTYNILINCYRRARRPDLGLPVFGRLLRTGLGPDVFSYNALIDGFSKEGEVDKAHDLFYKMEEQGIMPNVVTYSSLINGLCKTKEMDKAERVLRQMVGAGIRPNNMTYNCLIHGYSTSGMWKESVRVFKEMSSSRLVPDVSNCNSFMTALCKHGRIKEARDIFDSMVLKGPKPDVISYGALLHGYATAGCIAGMDNLFNVMVCEGVVPDRHVFNTLINAYARLGMMDKSLLIFEDMKKQGVNPDIITFSTVISAFCRLDRLDDAMEKFKHMIDIGVPLDTAVYGCLIQGQCNRGDLVKAKELISDMLSKGIPPPCIKFFTSIINNLCKEGRVAEGKDIVDLIIHTGQRPNLITFNSLVDGYCLVGNMKEAVGLLDSMESVGVEPDIYTYNTLVDGYCKHGRIDDALTLFRDMLHKRVTLTSVSYNIILHGLFQARRTVVAKEMFHEMIESGMAVSIHTYATVLGGLCRNNCTDEANMLLEKLFSMNVKFDILTFNIVIRAMFKVGRMQEAKELFAAISTYGLVPTILTYRVMITNLIKEESFEDADNLFSSVEKSGCTPDSRILNEIIRMLLNKGEIAKAGHYLSKIDKKGILPEATTTSLLIYLFSVNGKYREYIKLLPEKYRFLREQTAVDNCI >ONIVA04G06920.1 pep chromosome:AWHD00000000:4:9953205:9953477:1 gene:ONIVA04G06920 transcript:ONIVA04G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATAAAELTVSKSSALGPPLRRATTSPAAARAGLPLRRAARATVRRHLTPTTTHGHDNGASPHLSPAASRREEKRRNKRKEKKEKK >ONIVA04G06910.1 pep chromosome:AWHD00000000:4:9952628:9952828:1 gene:ONIVA04G06910 transcript:ONIVA04G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASEKGRASARGHALAMPVLVKKMFRVSDVATKLCRRHRSLGSVKGGAGETARTVRRKPTVGTGR >ONIVA04G06900.1 pep chromosome:AWHD00000000:4:9952245:9952538:1 gene:ONIVA04G06900 transcript:ONIVA04G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDEAAARVLASSSSMGSLITIAKHGSLPGRLNAVLAIKEAVSRDGAFVDLADDKIVDALLVIIKALIRLQATKAAMVATYHLASSDERVAARVAY >ONIVA04G06890.1 pep chromosome:AWHD00000000:4:9941053:9945785:-1 gene:ONIVA04G06890 transcript:ONIVA04G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSTSMLLLSPLVVHLLLLLAIIQHSCSLGTYSSSSINQTAKVPYCRPDQASALLRLRRRSFSPTNDSACTLASWRPGTDCCAWEGVACSSTGTGTAGGGGRVTTLDLGGCWLEISAAGLHPALFELTSLRYLDLSENSLNANDSELPAAGFERLTELTHLNLSYSDFTGNIPRGIPRLSRLASLDLSNWIYLIEADNDYSLPLGAGRWPVVEPDIGSLLANLSNLRALDLGNVDLSGNGAAWCDGFASSTPRLEVLRLRNTHLDAPICGSLSAIRSLVEINLKFNKLHGRIPDSLADLPSLRVLRLAYNLLEGPFPMRIFGSKNLRVVDISYNFRLSGVLPDFSSGSALTELLCSNTNLSGPIPSSVSNLKSLKNLGVAAAGDSHQEELPSSIGELRSLTSLQLSGSGIVGEMPSWVANLTSLETLQFSNCGLSGQLPSFIGNLKNLSTLKLYACNFSGQVPPHLFNLTNLEVINLHSNGFIGTIELSSFFKLPNLSILNLSNNELSVQVGEHNSSWESIDNFDTLCLASCNISKLPHTLRHMQSVQVLDLSSNHIHGTIPQWAWDNWINSLILMNLSHNQFSGSIGYGSVISDGMFVIDISYNLFEGHIPVPGPQTQLFDCSNNRFSSMPSNFGSNLSSISLLMASSNKLSGEIPPSICEATSLLLLDLSNNDFLGSIPSCLMEDMSDHLNVLNLKGNQLGGRLPNSLKQDCAFGALDFSDNRIEGLLPRSLVACKDLEAFDIRNNRIDDKFPCWMSMLPKLQVLVLKSNKFVGNVGPSVPGDKNSCEFIKLRIFDLASNNFSGLLQNEWFRTMKSMMTKTVNETLVMENQYDLLGQTYQITTAITYKGSDITFSKILRTIVVIDVSDNAFYGAIPQSIGDLVLLSGVNMSHNALTGLIPSQLGMLHQLESLDLSSNDLSGEIPQELASLDFLSTLNMSYNKLEGRIPESPHFLTFSNLSFLGNMGLCGLQLSKACNNISSDTVLHQSEKVSIDIVLFLFAGLGFGVGFAIAILLTWGTSRSLSLALRLSQ >ONIVA04G06880.1 pep chromosome:AWHD00000000:4:9935476:9942053:1 gene:ONIVA04G06880 transcript:ONIVA04G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPRLSSIFAASTAVSTTTTVAASPARPLPPAYAAFQERLRSGTLGPDDARHLFDELLLRRDDPAPAPAPARAISDILAALARAPPSAACSDGPALAVELFKRMDRWACPHAAADALTIYTYNILIDCYRRMHRPELALAVFGRLLRTGLGPDVCSYNTLIDGFSKEGEVDKAYELFYKMIEQSVSPDVVTYNSLIDGLCKTKEMVKSERVLEQMVDAGIRPNNKTYNSLIYGYSTAGMWKESVRVFKEMSSSGLIPCVVNCNSFIHALCRHNRIKEAKDIFDSMVLKGPKPNIISYSTLLHGYAAEGCFANMNSLVNLMVSKGIVPNHRFFNILINAYARCGMMDKAMLIFEDMQNKGMIPDTVTFATVISSLCRIGRLDDALHKFNHMVDIGVPPSEAVYRCLIQGCCNHGELVKAKELISEMMNKDIPPPGVKYFSSIINNLCKEGRVAEGKDIMDMMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYGTLVDGYCKNGRIDDALTVFRDMLHMGVKPTSVLYNIILHGLFQARRTTAAKKMFHEMIESGTTVSIQTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMLKVGRRQEAKELFAAISTYGLVPTVHTYNLMISNLIKEESYEEADNLFISVEKSGRAPDSRLLNHIVRMLLKKAEVAKASNYLSIIDENNLTLEASTISLLASLFSREDTQGEPSETCLSSPKELSGSSNKNLQQVMHGS >ONIVA04G06880.2 pep chromosome:AWHD00000000:4:9935476:9941727:1 gene:ONIVA04G06880 transcript:ONIVA04G06880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPRLSSIFAASTAVSTTTTVAASPARPLPPAYAAFQERLRSGTLGPDDARHLFDELLLRRDDPAPAPAPARAISDILAALARAPPSAACSDGPALAVELFKRMDRWACPHAAADALTIYTYNILIDCYRRMHRPELALAVFGRLLRTGLGPDVCSYNTLIDGFSKEGEVDKAYELFYKMIEQSVSPDVVTYNSLIDGLCKTKEMVKSERVLEQMVDAGIRPNNKTYNSLIYGYSTAGMWKESVRVFKEMSSSGLIPCVVNCNSFIHALCRHNRIKEAKDIFDSMVLKGPKPNIISYSTLLHGYAAEGCFANMNSLVNLMVSKGIVPNHRFFNILINAYARCGMMDKAMLIFEDMQNKGMIPDTVTFATVISSLCRIGRLDDALHKFNHMVDIGVPPSEAVYRCLIQGCCNHGELVKAKELISEMMNKDIPPPGVKYFSSIINNLCKEGRVAEGKDIMDMMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYGTLVDGYCKNGRIDDALTVFRDMLHMGVKPTSVLYNIILHGLFQARRTTAAKKMFHEMIESGTTVSIQTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMLKVGRRQEAKELFAAISTYGLVPTVHTYNLMISNLIKEESYEEADNLFISVEKSGRAPDSRLLNHIVRMLLKKAEVAKASNYLSIIDENNLTLEASTISLLASLFSREDTQGEPSETCLSSPKELSGSSNKNLQQVMHGS >ONIVA04G06870.1 pep chromosome:AWHD00000000:4:9926601:9927896:-1 gene:ONIVA04G06870 transcript:ONIVA04G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQRNKKKPRKGAAMVVVVEAEGASQDKGDLPLSPAVMDEASRVLGNNDLVKEILLSLGLHIPLVHATLVCKRWLHIIANPEFLGRFGKLHPPRLLASYVSTMSGCHMLVPSQGLPTEFFSILSRAKDYFSDLEKNWCGDDFDVLDWCNGQVLISVENSITDFQQRLAICTPLNPTKDFTFIPHRQLDVPQGYIKMDIYDFFYEKGNDGQMSIYRVRLGCTPTRKSICAMIFGFKDGAWSYNHISTMIDLPSRWLQRKNSGLLIDTKFYMLGPSKYILGLDLVSMSLFIIDLPNGLEHRNPEMLQLSREEDSKLYIIHLNGLQLHFWFHDINNTGNTNNWVLIDTISLLEVFSHIANPSWDSEVDIKIARGGNSGDFIYLHVDDDVYLVHIKKRMVEKVFDNGKVFRVHPFMMAWPPTFTKKIMMGNILK >ONIVA04G06860.1 pep chromosome:AWHD00000000:4:9921015:9923291:-1 gene:ONIVA04G06860 transcript:ONIVA04G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZE3] MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNLKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAAEGQEGEAATEEAKKSNHVVRKLEKRQQTRTLDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAAA >ONIVA04G06850.1 pep chromosome:AWHD00000000:4:9915305:9918236:-1 gene:ONIVA04G06850 transcript:ONIVA04G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVLAASPRYGVVIDAATRDHVWVLLRLCLKAAASEARCSLEDARGAEGGGSGFVDPRAIRFECPRLVDAVSWLGTQLRILYGESSGRSFAIAAVREAILRAGSCLAVGVDGGGSSGVEGSDFGNVGTSSVSVAQVAAAIAALHERFSLEEKIKALRAPRPAKFQLYTFSKPTVLFNQALLIELYSSMLPRLKNAVEILTSLVSVQQTVGKSCILNSTSNNLLFDGPMDMIFSFHDPIYSFAGSLLEYSKALERGREERSKRPNYRAVLEYDGIISRRVDSQESGRVKTREELLAEERDYKRRRTSYRGKKAKRNPKEILRDIIDEHMEEIKQAGGIGCHLDVPGDIAQSVLKNSPHDGTYQGSFNPTSSSYGKDIFGIPSVSCEKLPCANSFGIVSSRNHGTRDSYKDFRNGSHQRQYQKVSDHENRSIKDSESTVDQRYSHHHENSRHQRNSDDHRKYGYKYNKNGSDYYSESSGCTRWSSEREYDRMSRVRSNDVSTTSHTRHRSVSVTQDKFSDRYDPQSAYSDVDPATSMIDEASTGQREIYHDGAHHRRKHDRHY >ONIVA04G06840.1 pep chromosome:AWHD00000000:4:9905497:9909028:1 gene:ONIVA04G06840 transcript:ONIVA04G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNEGIPNGTLSAMVIDEDKCHADSTCSMICTQLNFCVTVFTSPIKALDFLQNQAEGVHLVLADVQMEEMNGFEFLKVARELHKSIQAISVGDKSTCANEMNSFPENQKDGTKRKYYLMWTPHLQKKFLHALEILGEGQISLMIMDVDNIDRKQISTHLQKHRLPLKKKLSKASFTKGSNEDTSNPSAKNHLTCRTMTLQPHPYTNQPAETTMQIHSEDVEHDDVYDAMRRALQDGTAFDESKYSSDPFSNEDEDVVGDGYADKANAIDSSGDHYQVAVVLTTPHNVDYTQEIMNKVTTSDDVQVTRGGKATVSRLVDYSDSDSD >ONIVA04G06830.1 pep chromosome:AWHD00000000:4:9900466:9903500:1 gene:ONIVA04G06830 transcript:ONIVA04G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVMVIDEDRRHAYSTSSMLTQFNFCVTVYTSPIKALDFLENHAQDFDLVLAEVHMEELNGFAFLTASRKIHKSIQVINDSSKNTKVIKNKGTTDCNQIATHVHQGRQLHLEKEMQVLNGDDVYTAMRRSLHLGTIFDESNYSNDPCSNEYKVGEDEIGGYGCANEANATHSSDDHNVVVPDLSCNIADDVSQEIMSKATTCVDHRKQDTTRTDGPAAMSADEANATFSTGNLQQVNVIVTCNGDGSQESIQKNTCDDQHAPTGSKPETFRLVNYSDSESD >ONIVA04G06830.2 pep chromosome:AWHD00000000:4:9900466:9903500:1 gene:ONIVA04G06830 transcript:ONIVA04G06830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVMVIDEDRRHAYSTSSMLTQFNFCVTVYTSPIKALDFLENHAQDFDLVLAEVHMEELNGFAFLTASRKIHKSIQVINDSSKNTKVIKNKGTTDCNQIATHVHQMQVLNGDDVYTAMRRSLHLGTIFDESNYSNDPCSNEYKVGEDEIGGYGCANEANATHSSDDHNVVVPDLSCNIADDVSQEIMSKATTCVDHRKQDTTRTDGPAAMSADEANATFSTGNLQQVNVIVTCNGDGSQESIQKNTCDDQHAPTGSKPETFRLVNYSDSESD >ONIVA04G06820.1 pep chromosome:AWHD00000000:4:9897362:9897884:1 gene:ONIVA04G06820 transcript:ONIVA04G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSNCCFSVIMYSSPVNALIILENNAQDIVVVLATVDVKQLSGLKFLEAARMKHQDLQVIMMSAETTRLYTMMRCVKLAARFLVKKPLNEDIVHDLWQHIALKVLMMEKIRELLQGCTIYVNGSVCVLLSAK >ONIVA04G06810.1 pep chromosome:AWHD00000000:4:9890134:9893372:1 gene:ONIVA04G06810 transcript:ONIVA04G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEGLPAGRLSAMVIDEDKCHADSTSYMLSAELNFSVTVFTSPIKALDFLQNHAEGVDLVLADVHMEEMNGFDFLKVARELHKSIQVIMMSTETTMYTMKRCVKLGAQFLVNKPLDAGTIKNLWQYVDLKVLRMEKIKDLLQGIGDESTCANETNSLAENPKNDTKKKYYLMWTPHLQKKFLHALQILGKDASPKNIKKIMGVDNIDCRQIAAHLQKHRLRLTKDLKKASFTTDTSKDESNSRIGPAESHHVCRNASTLQPRSNTQPTETTMQILSEDAEYDDVYATMRRALQYGIVFDESKHSSDPSGDEDEQVVVGGDQDGCANEANDIDSSGDHHQVAAVVTKPCNANASQEIINKMTNSDGMQATKGSKAAVFRLVDYSESDSD >ONIVA04G06800.1 pep chromosome:AWHD00000000:4:9878573:9881451:1 gene:ONIVA04G06800 transcript:ONIVA04G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVGHSADGVFVMIVDEDKSHANFARGMLSSLNFHVIVYSSPVNALVFLENNAQDVAVVLAAVDMKQLSGFQFLEAARVKRQDLQVIMMSAETTMSTMMRCVKLGARFLVKKPLNEETVGNLWQHVDLKVLKMEKIRELLQDPGQETVITISYEEQFSRETEADQNNENNEEEEVNSFEANKADSVKVQSDEKGHDNAKISNTAAAEGSDEKVSSGDGHVVPKAYNNVNVEESTGSNNTLGEQVSDKIKSDARVGVSLVDYPDSEDDETKKPTST >ONIVA04G06790.1 pep chromosome:AWHD00000000:4:9866619:9869652:-1 gene:ONIVA04G06790 transcript:ONIVA04G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZD5] MAKPAPAPAAGAREDEDAPALRRRLRRLVAAATAGAASESVFDEAAAALAALRDAEVGVGRKGVGGGEEADAAAVPALFLCPISSGIMRDPVVIESGQTYDRRSIQEWFSAGNQICPQTQQVLSHTILIPNHLVRTMISQWCTENGLTLPEIENQEEDHVTNSEEKTFDEIFIKITSSSSSGGRKQAIKDLRLVTKRNSEFRAVLGQRPDSIAQMIMARSTPGLQNDPQVLEDMVTIILNFSIHDSNKKIIGDDSEAIQFLIWALKSGDMGSRSNSAAAIFTLSALDSNKEKIGKLGAMDPLIDLLEHGSIIAKKDAASAIFSLCLLHENRSIAARSGIVDVAMRAIDDQSLVEESLAILALLSRNQEMVEIITEFNGTASMLRSIRESECKRSKENAMVVLFAICTYNRTKLKEVEADESINGSLTFLAQTGTQRARRKASGILEKMKRTMHNRHCSC >ONIVA04G06780.1 pep chromosome:AWHD00000000:4:9855837:9863219:1 gene:ONIVA04G06780 transcript:ONIVA04G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 5 [Source:Projected from Arabidopsis thaliana (AT1G09770) TAIR;Acc:AT1G09770] MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDRPLEHVQFPTTIEELEGKRRVDIEAQLRKQDIARNKILQRQDAPAAIMQANRLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGNAGDPSLVEELGEGSTATRALLSSYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEMQTPNPMATPLASPGPGATPRIGMTPSRDGSSFGLTPKSTPFRDELRINEEMDMQDTAKLELRRQAELRKSLRSGFASIPQPKNEYQIVMPPITEEEKEEAEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASIEILRQTLIKGGESRSRSTFVPPTSLEQADELINEELLRLLEHDNAKYPLDEKTQKDKKKGSKRQANGTPSVPEIEDFDEDELKEANSMLEEEVQYLRVAMGHESESLEDFVKAHDACQEDLMFFPNNNSYGLASVAGNSDKIAALQYEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTSATELECFQELQKQEQMAASYRIRNLTEEVNKQKALERTLQSRYGDLLTSYKRIQEQLEEHKRQLMIQEEMEAQKRAQEEEMEAQKRTQAEEEKEAAKAEEEARKMDRAADEEAAGSKQVNEDQMDVDNSNADGDEFVGPIPPGPGTQGDDNVVAVEENSSSQGGDTATTEDGSCGMIDASKSGGQDHIDSKDELPTVGASLDDGSAAASSDQDVSTEVNATVPE >ONIVA04G06770.1 pep chromosome:AWHD00000000:4:9851125:9855559:1 gene:ONIVA04G06770 transcript:ONIVA04G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAVFWQEHHPLPNPVAPETGGAGTKAGKRSGGGSAKPPATKKRRSKHGFLVSTSARTGVRWSAEIRDNIRYDTNESIFLIRHWLETALAYDAFSRRLYGLNAKANFAAGEDLPPLLLAPAPVVMPYAAPPKRPKKCNTAMAPPQAVDTPAAAAGGVELTSLLYMQRGGAGAGGAPELRCQRRCLRPDLTRRTTGSVYGDGGGERDPCHRHRVTPPPNRVPPVAFPSVARAQPMEEQLVLLMKEASGLELRRCRPRASSLSRILSLYRERGRKEIKGEEVKVTFHRWN >ONIVA04G06760.1 pep chromosome:AWHD00000000:4:9844001:9847101:-1 gene:ONIVA04G06760 transcript:ONIVA04G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEPRPPAAATRKRKKPHKPTKTLAKNPTNPVSTKAKKKKPPPPSKADQSASAAAAGGVLLSAEIPPARQLEFLLRSFERAAKMRLSPLELDAYSEGCMVPLAEGASQDVEGFGDHVKGAFGSSWKEELCEGELEGGAVDAGSPALLVICSAALRSLELLRGLKMFTKECRPVKLFAKHMKVEEQVALLKTRVNIACGTPSRIKKLIDMEALSLSRVKLVVLDMQRDAKSFTLFTLPQVSNEFWDLYKGYLDQKVRGGDTRLCFYGAVSEKDVKKVLPSAE >ONIVA04G06750.1 pep chromosome:AWHD00000000:4:9836347:9840788:1 gene:ONIVA04G06750 transcript:ONIVA04G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVNTVNKFLGNNADGVPFRRCSYLPNSTCDENGSSVTRKSASAINGSINGHGTLYKDVGNEEMHLATDSTSKPGCRGDTNHCTNKERETRNVIVHTDSRQNGDATNSDNAVLICNQTAGHMSYGLDGESNRSSGSLVAVVSEVLVSKAPLEKRCRTNLQETGDLENTPNAHVSKRSRLHRVSPANSLFDREACDDLIDSAHNLDCSRTPNASVHDETVPNEDKTPCTSLDVRGCEGTPRASLKRRVIKKRTKREASYPTTPLNGNTGALVAYVLPAMKLRIHYESICLSR >ONIVA04G06750.2 pep chromosome:AWHD00000000:4:9836347:9840788:1 gene:ONIVA04G06750 transcript:ONIVA04G06750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVNTVNKFLGNNADGVPFRRCSYLPNSTCDENGSSVTRKSASAINGSINGHGTLYKDVGNEEMHLATDSTSKPGCRGDTNHCTNKERETRNVIVHTDSRQNGDATNSDNAVLICNQTAGHMSYGLDGESNRSSGSLVAVVSEVLVSKAPLEKRCRTNLQETGDLENTPNAHVSKRSRLHRVSPANSLFDREACDDLIDSAHNLDCSRTPNASVHDETVPNEDKTPCTSLDVRGCEGTPRASLKRRVIKKRTKREASYPTTPLNGNTGALVVIEPPLTRTKAKGKALSLATPESLKRSTRSGRLIVPRLDPGSQKIIYDMDGSILAITNLESPRLQGPYSEPPPKRRKTPRCSSPGHRRLLPF >ONIVA04G06750.3 pep chromosome:AWHD00000000:4:9836135:9840788:1 gene:ONIVA04G06750 transcript:ONIVA04G06750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVNTVNKFLGNNADGVPFRRCSYLPNSTCDENGSSVTRKSASAINGSINGHGTLYKDVGNEEMHLATDSTSKPGCRGDTNHCTNKERETRNVIVHTDSRQNGDATNSDNAVLICNQTAGHMSYGLDGESNRSSGSLVAVVSEVLVSKAPLEKRCRTNLQETGDLENTPNAHVSKRSRLHRVSPANSLFDREACDDLIDSAHNLDCSRTPNASVHDETVPNEDKTPCTSLDVRGCEGTPRASLKRRVIKKRTKREASYPTTPLNGNTGALVVIEPPLTRTKAKGKALSLATPESLKRSTRSGRLIVPRLDPGSQKIIYDMGLIRSHLLRGGKRLGVRPQDTEDCCHSNGEHMVKKEILTLSTGMMIWCPTRCAFV >ONIVA04G06750.4 pep chromosome:AWHD00000000:4:9836347:9839209:1 gene:ONIVA04G06750 transcript:ONIVA04G06750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVNTVNKFLGNNADGVPFRRCSYLPNSTCDENGSSVTRKSASAINGSINGHGTLYKDVGNEEMHLATDSTSKPGCRGDTNHCTNKERETRNVIVHTDSRQNGDATNSDNAVLICNQTAGHMSYGLDGESNRSSGSLVAVVSEVLVSKAPLEKRCRTNLQETGDLENTPNAHVSKRSRLHRVSPANSLFDREACDDLIDSAHNLDCSRTPNASVHDETVPNEDKTPCTSLDVRGCEGTPRASLKRRVIKKRTKREASYPTTPLNGNTGALVVSVILFSGYLTYYVKDLKVLTCLLLLFTSDFFLLPLLKPLMAFYH >ONIVA04G06740.1 pep chromosome:AWHD00000000:4:9828048:9834151:1 gene:ONIVA04G06740 transcript:ONIVA04G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVSTSLHPFSSLLLSPPPASSIFLSQKRAVELFDWWLKRVEGDDRKVRIAGHTERNHKPHLFTSAPIVKRHKACMLEAEDSIIVLIDGPLDLSQMENNGYSLEVQKASTSVTALIHDMVFNIEIMYAANLLNNSRSSSGNDADSFEKGSYLSNKKPRFEEYTCDLDISAKEKTTAFNEGSTGSLAVCNKVGNQQIDLVVKSFSKERGHGNIDLSASLTSIEETTRDKTSEDAGNQNEFIHSDAEYQEAGSHLVNSDSIYGMSTESGNQNEFIHADAEHQEVGSHVVNSDSNFDMSTDNMICEMGDGSANAGSAVSQGSKEVLATVLPERANLSPDSCLDNILPISTCNSNNCLENQGFPEIAQHMTLNEEVVPNEDISTSVHSDVESLGNRNIILPSYIHDAEFIFSMFLPLILVVLLMMHIYNRLDNFYLKKQPVGPAEVQRSECDILQGAPRSPKQHVGSAQEQRPEQSMSQGAARSPMIRTPIPDGAPSLRNQHLGSAQEQRSEHFMLKGATRSPMIRTPIPYGHYSPLTRGKAKSSSVSTPESLKLRRTRSGRVVVPTLDPGCQRIVYDRDGLVSGVAGLEFESPPLKGNESRTPESKRRVR >ONIVA04G06730.1 pep chromosome:AWHD00000000:4:9826592:9827107:1 gene:ONIVA04G06730 transcript:ONIVA04G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPVETAPGQWRGVVVEMELEAVATCGEAKSSSELTKVDLSRAGDSVSFSCPSPPSTSGSLIALKRLTAFLNPLQARPATLGLGEGTSAKELKGVGSGGFSSTIGPIEPVTIDYDVILKESFVVIMDILRLCYLAPSSFFVVVTLLMSVTILLRHPTAINHHLAALKRR >ONIVA04G06720.1 pep chromosome:AWHD00000000:4:9810794:9825858:1 gene:ONIVA04G06720 transcript:ONIVA04G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSSAADGLLAMAEEAERRRDLGAAARCLEAALAPPPPASAASAAAAPLLPLAEARARLRLAALLLRSRSGGLAAAKSHLERALLLLSPLPSAPPRLKLLAHSLLATAYGVLGAVPSQKNVLRRGLGLLASASASGLLARGPALLWTCNFQTQLASALAVDGDAASALSTLSAGASAAAELGSPQLELFFAATGLHKEQWTGLFFYTELLQTFYLLRTCDYKAASQHVERLDTAAKDEMQKGQRVQELAAELGTVERTLAQPGLKERERSALTHKQRQLKYQLQTLCGYDKLNDVLDYGDKLLLAPPPMHGEWLPRAAVFVLVDLMVVMVSRPKGIFKECGKRIHSGLELIRGELSKLGIVDGVTEANLEHSTIWTAGLYLMLLLQFLENKVAVELTRSEFVEAQEALAQMINWFTRFPTILRGCENTIEMLRGQYAHSVGCFDEAAFHFLEAARLTDSRSTQSMCQVYASVSYICMGDAESTSQALELVGPAYRTMDSFVGVREKTCIIFVYGLLLMRQQNPQEARLRLAGGLRIAHQQLGNIQLVSQYLTILGTLALQLHDCGQAREILKSSLTLAKTLYDIPTQIWILSVFTELYRELKERENEMENSEYERKKEDDLQRRLSEAHSSPFHQELPRLTHEAPFQVEKTRIQVQQLHNFSREQQGMPGPTTAKADLDIPESVGLYAAQPSSVKRLIEPSSSFSNCQVPTAYFRRMESDGEDTPAAAARACGAGHRASHSLPTSAGGRVCLSCAAALLSSAASAPAHHVSHALAALSLALADPAFLAPLRAAHPRLLAAPLAEALAGAASRRDAALAAQASDLAADLAAAVGAPAASDLVARVARALSSGSLVKHLHTLHCLGILLNSTKDAATYIGDKQSLYLNLVNNLRLPRLIPLHIDTFLALRITLSDSILNLFWYSDEIRGEILFVLYKLSLLNATPWDDICDNDNVDLSAIGRSLLQFSLEVLLKTQNDDVRLNCIALLLTLAKKGAFDILLLSDPSLINSAEAEDNVPLNDSLVILFAEAVKGSLLSTNIEVQTGTLELIFHFLSSDANIFVLKTLIDQNVADYVFEVLRLSGNNDPLVISSIKVLSILANSEERFKEKLAIAVSTLLPVLHYVSEIPFHPVQSQVLRLVCISIVNCSGILSLSQEEQIACTLSAILRRHGNGELGMSSETFALVCSMLVEILKLPSADDIQKLPSFIVEASKHAISLTFSHEYDCLFLIPHSLLLLKEALIFCLEGNKDQILCKKSLEDSIIETCETYLLPWLESAIVDGNDEETLSGILQIFQIILSRASDNKSFKFAEMLASSSWFSLSFGFMGLFPTDHVKSAVYLVISSIVDKVLGISYGETIRDACIYLPPDPAELLYLLGQCSSEDFNLASCQCAILVILYVCSFYNERLAADNQILASVEQYILLNGAKFPHEIPGSLMLTLLVHLYAFVRGISFRFGIPHSPEAEKTLFHAMTHKEWDLLLIRVHLIALKWLFQNEELMEPLSFHLLNFCKFFCEDRTVMLSSSTQLVDIQLIAELVYSGETCISSLLVSLLSQMIKESAEDEVLSVVNVITEILVSFPCTSDQFVSCGIVDALGSIYLSLCSSRIKSVCSLLIFNILHSASAMTFTCDDDAWLALTMKLLDCFNSSLAYTSSEQEWKILIGILCLILNHSANKVLIEPAKAIILNSCLALLMDGIVQEACAKGPSLFQHNQETTFGELLILMLLLIFFSVRSLQAILEASIDWQEFLQYSDDTESSSVLGIPCHDLCRLMHFGPSPVKLIASQCLLELLNRISDQRSCLNAELRCSAKYLKSMIAVTEGMVFDQDSRVAENCGACLTVILGWERFGSREKAVIRESKWSRLILEEFAVALTAPGLTSKSFSNQQKIAANIALSLLQLSQVPDWLTSLFSDSLISGIVANLSARNVTAEIVTLFSELMAKNYLNQEHIAGLHNLFQVCRRQAYEGGGGSKAQPSEQKAAAARCADDVRALLFGMMLEQRACSRATVEMEQQRLLREIDSFFFQESSLREQNSVK >ONIVA04G06710.1 pep chromosome:AWHD00000000:4:9805231:9808592:1 gene:ONIVA04G06710 transcript:ONIVA04G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDHAGAGAAVGAAKAMQLKVLMPSSFHKMRISDELAADLLGERGDGGGGGGGAPRRAARVVSPVGKVWDVEVGRDDDGDGGGAFLGRGWAEFAAAHGLGMGWFVVVRHEGGGVLTVKLFDTTCCLWDFGARPAGNPKDYLHAKFKFIILVFVLFGEIRRIPAKFVQHYIAEEHLNIHMASILSPLGKFWRIELEKDELGMFFKGGWLQFLSFHGISPGDVVLLRHEGNLVFKIKVFGINGCKKDLKTKDDITIQQSARNQHETPSFSTRKCNKNSRFGEDCKNQLQEIPCSIKGSRKKGRETKRPKKSKSIYEIGPPSWIKKEISNYMLENGNISLPGIFCKSIGLVEETTITLMINSSRGRSSSSSSRSWEVACSVNKNGYGCCNLLPSGWKRFCQANGLLVGDVCTFSVVEATLWHVAIDRVERS >ONIVA04G06700.1 pep chromosome:AWHD00000000:4:9794382:9795701:-1 gene:ONIVA04G06700 transcript:ONIVA04G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWRLALAAAMCGALILSSSSAAAAAGKKTGRITVYWGQTAAEGRLREACGSGLYTTVIVSFLTGFGGGRYKLDLAGHDRGAVGPDVKYCQSRGVLVLLSIGGGIGRYSLASKADAKAVADHLWDFYLGGRSKSRPFGDAVLDGIDFDIELGRPAHYDDLARYLKAYSGRKPGGKKVWLTAAPQCPFPDRMLGEALRTGVFDRVHVQFYNNPACSYRASNAAAFAAAWRKWASSLPRSSVYLGLPAAPGAANSGYVPPAALAGEALPIVQRSRNYGGVMLWSRYWDRRTGYSKKIKRAV >ONIVA04G06690.1 pep chromosome:AWHD00000000:4:9785280:9789022:-1 gene:ONIVA04G06690 transcript:ONIVA04G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGDHGGGGGGRGAARATQLKVLVPSSFRKMRICDELAAQLGVGVGGGGAPRAATARVASPLGKAWDVGVVRDGDGRAFLGRGWAEFAAAHGLGVGWFVVLRHGGGGGVLAVEAFDTTCCLRVFGAPPAEAGRATDTSRKPQFLTVLLPGIMDKMRIPDKFVRDYITGENLNSNMAIILSPLGKSWRVELDKDQSGVFLGGGWLQFLSFHGISRGDVVIFRYEGNLVFKISVFGPNGRQKDFKAKGISIHQGTGEQQEAPSFSRRKCNNKKKSRFGEDDGNQQEMPCSRKGSGNKGRTSDRETKRMRKTRSVYEIGPRSWIKKEINEYVLERCILSLARTFCESIGLAEESSIKLMMVDTTSTQGDQGGSSSSSSRSWEVTGRRYKDACYLLGAGWRRFCEDNGVRSGDVCVFTVLDTTLWRVDIERC >ONIVA04G06680.1 pep chromosome:AWHD00000000:4:9774103:9776974:1 gene:ONIVA04G06680 transcript:ONIVA04G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVVGLGETAKGSGGGGGGGEVTLETLRRKMSEFARERDWEQFHSPRNLLLALVGEVGELSEVFQWKGEVPKGLPGWGEREVEHLGEELADVLLYLIRLSDMCDVDLGKAALRKMELNARKYPIGQCRGSSKKHTHYSTTTTTTDNGASGDDNNRNAGAGADADAGKEQC >ONIVA04G06670.1 pep chromosome:AWHD00000000:4:9771933:9773684:1 gene:ONIVA04G06670 transcript:ONIVA04G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLPGQSPAGGAVATDLAGGAKEGGASAREEGGGGEASNGPFLVVVVLRLRLPPDLFFSPPLGDARWRKPTPPTAPSSHHAPAPGAGAAGVGLGCDGTPTAATDTVLACHHRAQLRAGSLASVPTTGRRHDAVLLLSHLAPSKPATPTPWSSARLLPCFPTAGRRGAILLLSRLAPSTPTPPVDTRARPHLPIDDGGDGGAALPVTPLSLKAATAPPEYEMPSMKEWIVSRVLALVSMVALHHHHSHQIKATTLTWLIVKATPPPRDGAKKLAAVAYSPLLLSPSVWQASTAVAGERGGGSCCWRPVAAQLRRRLPPAQLCAAARLGPEPTR >ONIVA04G06660.1 pep chromosome:AWHD00000000:4:9768326:9768673:1 gene:ONIVA04G06660 transcript:ONIVA04G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAATTSTLPPTQAPCILNPRRSATIIVEVASCCRRRLHRRHPLPSSPRLPLLSSAFFGVSHWRHDEGDGAARRRGRNEEGWQGARRPGPLLALPHRKPSCRLTRCAGSPAAAT >ONIVA04G06650.1 pep chromosome:AWHD00000000:4:9749526:9753042:1 gene:ONIVA04G06650 transcript:ONIVA04G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQQARVVADAEAGAGVARQRGGGRRQPPDPATAVAAAAVEAGMSDVAFGEVIRHCFRHPILALTKLGFRQQASRSVWPGGRSWRGARCSVRSPAWSYARCTVAKADGSLPGLGTGRRELSRCGHGRSSVRTSSEEVGWCGRERIRSSSDRRNRGRKGWGWTEGGLCATWLSSEGRPAAGVQREADWLQISTHCCL >ONIVA04G06650.2 pep chromosome:AWHD00000000:4:9749837:9753042:1 gene:ONIVA04G06650 transcript:ONIVA04G06650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQQARVVADAEAGAGVARWLRRPTEDAEAGPGGGGRRTRGEVARQRGGGRRQPPDPATAVAAAAVEAGMSDVAFGEVIRHCFRHPILALTKLGFRQQASRSVWPGGRSWRGARCSVRSPAWSYARCTVAKADGSLPGLGTGRRELSRCGHGRSSVRTSSEEVGWCGRERIRSSSDRRNRGRKGWGWTEGGLCATWLSSEGRPAAGVQREADWLQISTHCCL >ONIVA04G06640.1 pep chromosome:AWHD00000000:4:9695541:9748633:-1 gene:ONIVA04G06640 transcript:ONIVA04G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERGGSEAQSPSGLPIRLRLPLFGSPAVLLSLPLDPAGGEVVATVWRWPGIGALPSWRRGSAGQSRQHPQRRSVGSGVSHCGSDIRWEVRWWRRFCGGLASTPSPPGGGALRDNVGSIRSDGASAAGSPTADPMIGAGALITAASMVPRSEGWMQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGINHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDITNDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTSKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWWKDLCGYMELSYVRDRVIEAYTWSYMSFYEESFAWTRMFLAKIIVLTTVMDDTYDTHATIEENRLLNTAIQRWDKSATSILPEYLKKYYNKLLTNFEEFEDQVTDNEKYQFQKQSTYYLQEAEWSNQKHKPSFKDQVAMSTKSSAVHLMCVASMVGWGNAMATEAFEWTACGNDAVIACAKIGRFMNDIAGFKRGKNKGDAASSVECYMNENGVTSDVAFAKIDSLVEDEWRTTNQSRLEHRTLLPIVQRVVNHTVSMALFYDDRKDAYTFGTILTEIIKSLFRSEEWMRQRADNLREKVRTLFRTSGDVVARMKLVDSIQHLGVGHLFNEEISTTLSDIHASEFTSSSLYEVALRFRLLREHGLWVSPATFNIFKDDDGRFINEIADEPSAYLLVHDEPELEEAISFSRHHLKSMMQCGNLKHPLADQVKRALHLPLPRTYKRVETLHYLSEYGQEEGHISFLLDLAKVDFNILQGVHLKELKAISEWWKDLTGYVGLSYLRDRLVESFTWSQMLFYEEGLALTRIIFTKIIVLMVIMDDTYDSHATIQECRKLNEAIQRWDESALFLLPEYLKKFYNELLNNFKEFEDQVAINDKYRVAYAKKEFQKLSHYFLQEAEWSHNNYKPSFEEQVALSTKTSTVQLLCVSTTVGRGDAISSEAFQWAASSSTVTSCAKILRFMNDIASFKCGKNKGDMVSTVECYMNEHKVISEVAFAKLDSLIEDEWRTMNHARYEHHQLLPVVQRVLNMAISIMFFYDKRKDAYTFSTHLQEIRSETCMQERAEKLKGDIRTLFGTCNGISARMNLVDSIQHLGIVHLFQEQIEDALMSIHESEFTSSSLYEVALRFRLLREHGFWVPPDAFNKFKGDDGRFRNEIANDPRGLLSLYNAAHLLIHGEPELEEAISFAREHLKLMSQDNVLNPPLACQVRRALTLPLPRTFKRVETICYMLEYQLEEGNIPILLDLARLDFNLLQHIHLKELKAISEWWKDLYGYMGLSYIRDRTIEGYTWSYMMFYEEGFAFTRMFVAKLIALVTVMDDTYDAHATIEECHQLNTAIQRWDKSAISILPEYLKKYYSKLLINFKEFEDQVTDNEKYMVACTKEEFQKQSTYYLQEVEWSNQKYKPGFKDQVVLSTKYSAVQLLCVAAMVGWGGTMTTEAFEWVASGNAAVIACAKIGRFMNDIAAFKRIVNFTVSMVLFYDDKKDAYTFGTLLREIVESLFVKPVPI >ONIVA04G06640.2 pep chromosome:AWHD00000000:4:9695541:9748633:-1 gene:ONIVA04G06640 transcript:ONIVA04G06640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERGGSEAQSPSGLPIRLRLPLFGSPAVLLSLPLDPAGGEVVATVWRWPGIGALPSWRRGSAGQSRQHPQRRSVGSGVSHCGSDIRWEVRWWRRFCGGLASTPSPPGGGALRDNVGSIRSDGASAAGSPTADPMSSRSEGWMQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGINHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDITNDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTSKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWWKDLCGYMELSYVRDRVIEAYTWSYMSFYEESFAWTRMFLAKIIVLTTVMDDTYDTHATIEENRLLNTAIQRWDKSATSILPEYLKKYYNKLLTNFEEFEDQVTDNEKYQFQKQSTYYLQEAEWSNQKHKPSFKDQVAMSTKSSAVHLMCVASMVGWGNAMATEAFEWTACGNDAVIACAKIGRFMNDIAGFKRGKNKGDAASSVECYMNENGVTSDVAFAKIDSLVEDEWRTTNQSRLEHRTLLPIVQRVVNHTVSMALFYDDRKDAYTFGTILTEIIKSLFRSEEWMRQRADNLREKVRTLFRTSGDVVARMKLVDSIQHLGVGHLFNEEISTTLSDIHASEFTSSSLYEVALRFRLLREHGLWVSPATFNIFKDDDGRFINEIADEPSGLLSLYNAAYLLVHDEPELEEAISFSRHHLKSMMQCGNLKHPLADQVKRALHLPLPRTYKRVETLHYLSEYGQEEGHISFLLDLAKVDFNILQGVHLKELKAISEWWKDLTGYVGLSYLRDRLVESFTWSQMLFYEEGLALTRIIFTKIIVLMVIMDDTYDSHATIQECRKLNEAIQRWDESALFLLPEYLKKFYNELLNNFKEFEDQVAINDKYRVAYAKKEFQKLSHYFLQEAEWSHNNYKPSFEEQVALSTKTSTVQLLCVSTTVGRGDAISSEAFQWAASSSTVTSCAKILRFMNDIASFKCGKNKGDMVSTVECYMNEHKVISEVAFAKLDSLIEDEWRTMNHARYEHHQLLPVVQRVLNMAISIMFFYDKRKDAYTFSTHLQEIRSETCMQERAEKLKGDIRTLFGTCNGISARMNLVDSIQHLGIVHLFQEQIEDALMSIHESEFTSSSLYEVALRFRLLREHGFWVPPDAFNKFKGDDGRFRNEIANDPRGLLSLYNAAHLLIHGEPELEEAISFAREHLKLMSQDNVLNPPLACQVRRALTLPLPRTFKRVETICYMLEYQLEEGNIPILLDLARLDFNLLQHIHLKELKAISEWWKDLYGYMGLSYIRDRTIEGYTWSYMMFYEEGFAFTRMFVAKLIALVTVMDDTYDAHATIEECHQLNTAIQRWDKSAISILPEYLKKYYSKLLINFKEFEDQVTDNEKYMVACTKEEFQKQSTYYLQEVEWSNQKYKPGFKDQVVLSTKYSAVQLLCVAAMVGWGGTMTTEAFEWVASGNAAVIACAKIGRFMNDIAAFKRIVNFTVSMVLFYDDKKDAYTFGTLLREIVESLFVKPVPI >ONIVA04G06640.3 pep chromosome:AWHD00000000:4:9695541:9748633:-1 gene:ONIVA04G06640 transcript:ONIVA04G06640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERGGSEAQSPSGLPIRLRLPLFGSPAVLLSLPLDPAGGEVVATVWRWPGIGALPSWRRGSAGQSRQHPQRRSVGSGVSHCGSDRSEGWMQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGINHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDITNDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTSKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWWKDLCGYMELSYVRDRVIEAYTWSYMSFYEESFAWTRMFLAKIIVLTTVMDDTYDTHATIEENRLLNTAIQRWDKSATSILPEYLKKYYNKLLTNFEEFEDQVTDNEKYQFQKQSTYYLQEAEWSNQKHKPSFKDQVAMSTKSSAVHLMCVASMVGWGNAMATEAFEWTACGNDAVIACAKIGRFMNDIAGFKRGKNKGDAASSVECYMNENGVTSDVAFAKIDSLVEDEWRTTNQSRLEHRTLLPIVQRVVNHTVSMALFYDDRKDAYTFGTILTEIIKSLFRSEEWMRQRADNLREKVRTLFRTSGDVVARMKLVDSIQHLGVGHLFNEEISTTLSDIHASEFTSSSLYEVALRFRLLREHGLWVSPATFNIFKDDDGRFINEIADEPSGLLSLYNAAYLLVHDEPELEEAISFSRHHLKSMMQCGNLKHPLADQVKRALHLPLPRTYKRVETLHYLSEYGQEEGHISFLLDLAKVDFNILQGVHLKELKAISEWWKDLTGYVGLSYLRDRLVESFTWSQMLFYEEGLALTRIIFTKIIVLMVIMDDTYDSHATIQECRKLNEAIQRWDESALFLLPEYLKKFYNELLNNFKEFEDQVAINDKYRVAYAKKEFQKLSHYFLQEAEWSHNNYKPSFEEQVALSTKTSTVQLLCVSTTVGRGDAISSEAFQWAASSSTVTSCAKILRFMNDIASFKCGKNKGDMVSTVECYMNEHKVISEVAFAKLDSLIEDEWRTMNHARYEHHQLLPVVQRVLNMAISIMFFYDKRKDAYTFSTHLQEIRSETCMQERAEKLKGDIRTLFGTCNGISARMNLVDSIQHLGIVHLFQEQIEDALMSIHESEFTSSSLYEVALRFRLLREHGFWVPPDAFNKFKGDDGRFRNEIANDPRGLLSLYNAAHLLIHGEPELEEAISFAREHLKLMSQDNVLNPPLACQVRRALTLPLPRTFKRVETICYMLEYQLEEGNIPILLDLARLDFNLLQHIHLKELKAISEWWKDLYGYMGLSYIRDRTIEGYTWSYMMFYEEGFAFTRMFVAKLIALVTVMDDTYDAHATIEECHQLNTAIQRWDKSAISILPEYLKKYYSKLLINFKEFEDQVTDNEKYMVACTKEEFQKQSTYYLQEVEWSNQKYKPGFKDQVVLSTKYSAVQLLCVAAMVGWGGTMTTEAFEWVASGNAAVIACAKIGRFMNDIAAFKRIVNFTVSMVLFYDDKKDAYTFGTLLREIVESLFVKPVPI >ONIVA04G06640.4 pep chromosome:AWHD00000000:4:9695543:9744607:-1 gene:ONIVA04G06640 transcript:ONIVA04G06640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCFNFMQRSEGWMQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGINHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDITNDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTSKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWWKDLCGYMELSYVRDRVIEAYTWSYMSFYEESFAWTRMFLAKIIVLTTVMDDTYDTHATIEENRLLNTAIQRWDKSATSILPEYLKKYYNKLLTNFEEFEDQVTDNEKYQFQKQSTYYLQEAEWSNQKHKPSFKDQVAMSTKSSAVHLMCVASMVGWGNAMATEAFEWTACGNDAVIACAKIGRFMNDIAGFKRGKNKGDAASSVECYMNENGVTSDVAFAKIDSLVEDEWRTTNQSRLEHRTLLPIVQRVVNHTVSMALFYDDRKDAYTFGTILTEIIKSLFRSEEWMRQRADNLREKVRTLFRTSGDVVARMKLVDSIQHLGVGHLFNEEISTTLSDIHASEFTSSSLYEVALRFRLLREHGLWVSPATFNIFKDDDGRFINEIADEPSAYLLVHDEPELEEAISFSRHHLKSMMQCGNLKHPLADQVKRALHLPLPRTYKRVETLHYLSEYGQEEGHISFLLDLAKVDFNILQGVHLKELKAISEYGISLIYTHQVVNKWWKDLTGYVGLSYLRDRLVESFTWSQMLFYEEGLALTRIIFTKIIVLMVIMDDTYDSHATIQECRKLNEAIQRWDESALFLLPEYLKKFYNELLNNFKEFEDQVAINDKYRVAYAKKEFQKLSHYFLQEAEWSHNNYKPSFEEQVALSTKTSTVQLLCVSTTVGRGDAISSEAFQWAASSSTVTSCAKILRFMNDIASFKCGKNKGDMVSTVECYMNEHKVISEVAFAKLDSLIEDEWRTMNHARYEHHQLLPVVQRVLNMAISIMFFYDKRKDAYTFSTHLQEIRSETCMQERAEKLKGDIRTLFGTCNGISARMNLVDSIQHLGIVHLFQEQIEDALMSIHESEFTSSSLYEVALRFRLLREHGFWVPPDAFNKFKGDDGRFRNEIANDPRGLLSLYNAAHLLIHGEPELEEAISFAREHLKLMSQDNVLNPPLACQVRRALTLPLPRTFKRVETICYMLEYQLEEGNIPILLDLARLDFNLLQHIHLKELKAISEWWKDLYGYMGLSYIRDRTIEGYTWSYMMFYEEGFAFTRMFVAKLIALVTVMDDTYDAHATIEECHQLNTAIQRWDKSAISILPEYLKKYYSKLLINFKEFEDQVTDNEKYMVACTKEEFQKQSTYYLQEVEWSNQKYKPGFKDQVVLSTKYSAVQLLCVAAMVGWGGTMTTEAFEWVASGNAAVIACAKIGRFMNDIAAFKRIVNFTVSMVLFYDDKKDAYTFGTLLREIVESLFVKPVPI >ONIVA04G06640.5 pep chromosome:AWHD00000000:4:9695543:9744607:-1 gene:ONIVA04G06640 transcript:ONIVA04G06640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCFNFMQRSEGWMQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGINHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDITNDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTSKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWWKDLCGYMELSYVRDRVIEAYTWSYMSFYEESFAWTRMFLAKIIVLTTVMDDTYDTHATIEENRLLNTAIQRWDKSATSILPEYLKKYYNKLLTNFEEFEDQVTDNEKYQFQKQSTYYLQEAEWSNQKHKPSFKDQVAMSTKSSAVHLMCVASMVGWGNAMATEAFEWTACGNDAVIACAKIGRFMNDIAGFKRGKNKGDAASSVECYMNENGVTSDVAFAKIDSLVEDEWRTTNQSRLEHRTLLPIVQRVVNHTVSMALFYDDRKDAYTFGTILTEIIKSLFRSEEWMRQRADNLREKVRTLFRTSGDVVARMKLVDSIQHLGVGHLFNEEISTTLSDIHASEFTSSSLYEVALRFRLLREHGLWVSPATFNIFKDDDGRFINEIADEPSAYLLVHDEPELEEAISFSRHHLKSMMQCGNLKHPLADQVKRALHLPLPRTYKRVETLHYLSEYGQEEGHISFLLDLAKVDFNILQGVHLKELKAISEWWKDLTGYVGLSYLRDRLVESFTWSQMLFYEEGLALTRIIFTKIIVLMVIMDDTYDSHATIQECRKLNEAIQRWDESALFLLPEYLKKFYNELLNNFKEFEDQVAINDKYRVAYAKKEFQKLSHYFLQEAEWSHNNYKPSFEEQVALSTKTSTVQLLCVSTTVGRGDAISSEAFQWAASSSTVTSCAKILRFMNDIASFKCGKNKGDMVSTVECYMNEHKVISEVAFAKLDSLIEDEWRTMNHARYEHHQLLPVVQRVLNMAISIMFFYDKRKDAYTFSTHLQEIRSETCMQERAEKLKGDIRTLFGTCNGISARMNLVDSIQHLGIVHLFQEQIEDALMSIHESEFTSSSLYEVALRFRLLREHGFWVPPDAFNKFKGDDGRFRNEIANDPRGLLSLYNAAHLLIHGEPELEEAISFAREHLKLMSQDNVLNPPLACQVRRALTLPLPRTFKRVETICYMLEYQLEEGNIPILLDLARLDFNLLQHIHLKELKAISEWWKDLYGYMGLSYIRDRTIEGYTWSYMMFYEEGFAFTRMFVAKLIALVTVMDDTYDAHATIEECHQLNTAIQRWDKSAISILPEYLKKYYSKLLINFKEFEDQVTDNEKYMVACTKEEFQKQSTYYLQEVEWSNQKYKPGFKDQVVLSTKYSAVQLLCVAAMVGWGGTMTTEAFEWVASGNAAVIACAKIGRFMNDIAAFKRIVNFTVSMVLFYDDKKDAYTFGTLLREIVESLFVKPVPI >ONIVA04G06640.6 pep chromosome:AWHD00000000:4:9695543:9744607:-1 gene:ONIVA04G06640 transcript:ONIVA04G06640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCFNFMQRSEGWMQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGINHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDITNDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTSKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWDKSATSILPEYLKKYYNKLLTNFEEFEDQVTDNEKYQFQKQSTYYLQEAEWSNQKHKPSFKDQVAMSTKSSAVHLMCVASMVGWGNAMATEAFEWTACGNDAVIACAKIGRFMNDIAGFKRGKNKGDAASSVECYMNENGVTSDVAFAKIDSLVEDEWRTTNQSRLEHRTLLPIVQRVVNHTVSMALFYDDRKDAYTFGTILTEIIKSLFRSEEWMRQRADNLREKVRTLFRTSGDVVARMKLVDSIQHLGVGHLFNEEISTTLSDIHASEFTSSSLYEVALRFRLLREHGLWVSPATFNIFKDDDGRFINEIADEPSAYLLVHDEPELEEAISFSRHHLKSMMQCGNLKHPLADQVKRALHLPLPRTYKRVETLHYLSEYGQEEGHISFLLDLAKVDFNILQGVHLKELKAISEWWKDLTGYVGLSYLRDRLVESFTWSQMLFYEEGLALTRIIFTKIIVLMVIMDDTYDSHATIQECRKLNEAIQRWDESALFLLPEYLKKFYNELLNNFKEFEDQVAINDKYRVAYAKKEFQKLSHYFLQEAEWSHNNYKPSFEEQVALSTKTSTVQLLCVSTTVGRGDAISSEAFQWAASSSTVTSCAKILRFMNDIASFKCGKNKGDMVSTVECYMNEHKVISEVAFAKLDSLIEDEWRTMNHARYEHHQLLPVVQRVLNMAISIMFFYDKRKDAYTFSTHLQEIRSETCMQERAEKLKGDIRTLFGTCNGISARMNLVDSIQHLGIVHLFQEQIEDALMSIHESEFTSSSLYEVALRFRLLREHGFWVPPDAFNKFKGDDGRFRNEIANDPRGLLSLYNAAHLLIHGEPELEEAISFAREHLKLMSQDNVLNPPLACQVRRALTLPLPRTFKRVETICYMLEYQLEEGNIPILLDLARLDFNLLQHIHLKELKAISEWWKDLYGYMGLSYIRDRTIEGYTWSYMMFYEEGFAFTRMFVAKLIALVTVMDDTYDAHATIEECHQLNTAIQRWDKSAISILPEYLKKYYSKLLINFKEFEDQVTDNEKYMVACTKEEFQKQSTYYLQEVEWSNQKYKPGFKDQVVLSTKYSAVQLLCVAAMVGWGGTMTTEAFEWVASGNAAVIACAKIGRFMNDIAAFKRIVNFTVSMVLFYDDKKDAYTFGTLLREIVESLFVKPVPI >ONIVA04G06640.7 pep chromosome:AWHD00000000:4:9745567:9748633:-1 gene:ONIVA04G06640 transcript:ONIVA04G06640.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERGGSEAQSPSGLPIRLRLPLFGSPAVLLSLPLDPAGGEVVATVWRWPGIGALPSWRRGSAGQSRQHPQRRSVGSGVSHCGSDIRWEVRWWRRFCGGLASTPSPPGGGALRDNVGSIRSDGASAAGSPTADPIFL >ONIVA04G06630.1 pep chromosome:AWHD00000000:4:9635650:9638725:-1 gene:ONIVA04G06630 transcript:ONIVA04G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTAAPRPMFFAAHVGVVSTVKISPCPSTIRPNRSRACRVRAAESLSRPSIASSDPNAPEKLFYFEPSVWRSDEWMRHKAD >ONIVA04G06620.1 pep chromosome:AWHD00000000:4:9629676:9635596:-1 gene:ONIVA04G06620 transcript:ONIVA04G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVDAIQRLGIGHLFEDEISCILSDIHKSEFTSSSLHEVALRFRLLREHGLWVSPDVFNKFKADDGKFIDEVANEPRDLLSLYNAADLLVHDEPELEEAISFSRYHLKTMMQHNDLKQPLFDQVCRALHLPLPRTYKRVETLHYFLEYGQEEGHIPILLDLAKLDFNILQRVHLKELKAISEWWKDLYKYIGLTYIRDHAVESYIWSHTMLFGDGLALTRMICAKIIILLVIMDDTYDAHATIEESRKLNEAIQRWVESAIPRVPEYLKKFYIKLLNNFKEIEDQFQKLSHYYLQEVEWLHQNHKPSFQEQVDLSTKTSTAHLMFVSTTVGLGDAVTKEALEWAESSTAIVAVGKIMRFMNDIAAFKHGKNKGDVTSTMEC >ONIVA04G06610.1 pep chromosome:AWHD00000000:4:9611891:9617343:-1 gene:ONIVA04G06610 transcript:ONIVA04G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYCLSFLSHGPVETAAAAIRLGGRWPVAVQHVLRARPKRASFRRSRTVLRGYASIVGTLVTPSGDDEIIAAAGKEASGFEPSMWRDFFINYEPKPLQACRSEEWMVERAEKLKDDVRRLFETCDSTERRMQLVDAVQHLGTDHLFKEEIEYSLSEINASEFISSSLHDVALRSRLLRQHGFRVSLDVFNKFKGDDGRFVSGITDDPRGLLSLYNAAHLLTHDEPELEEAITFATQHLASLSSGTDLNPHLIDQINRALDVPLPRTFRRMESLFHMSEYRQEEGHIPILLELAKLDFNLLQHVHLRELKAISEWWKDLYGYMGLSYIRDRVVESYVWSYVVFYEEGSALARMIFTKIIVFIILMDDTYDSYATIQECRKLNEAIQRWDESATPFLPEYMKKFYRALLKTFKEFEIHVEDDGQNRIDHTKKASSVTSTVPLLSVSTAVDRGDALTKEAFEWAANETSAKTACAKITRFMNDIAAFKRGRKNRGDVASTVECYMNENKVTSEDAFTKIDSMIEDEWRTIN >ONIVA04G06600.1 pep chromosome:AWHD00000000:4:9607201:9608624:1 gene:ONIVA04G06600 transcript:ONIVA04G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWCPSWAVVSPAWRRPEGGLATSGKEAGTARRMGIVTECVEDTIKFVMAQSDRMCGGRDKVRDGTEVGSNFLVVGRQAPSFLMAHKGWEEGTLRPRWVMGRMQRRRSHGSRRRPWAAVILPGSWHPSSSSGKGHGAASTTGTRRWLGWCGGGDEEPAMEAVIDRERGGGCDGDGMRSGSGGVVCRGEGAHNNDGGVRGENGK >ONIVA04G06590.1 pep chromosome:AWHD00000000:4:9591426:9595997:-1 gene:ONIVA04G06590 transcript:ONIVA04G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRADELKEKVRTQLGTCEDIVGTMNLVDAIQHLGIEHLFKQEIDNTLRDIRTSEFTSSSLHEVALWFRLLREHGLWVSPDVFGKFDGDDARLSSVIADHDTRGLLSLYNAAHLLVHGEPELEEAISIARHHLKSMTRDCDLNPVLANQVNRALNIALPRTCKRLETSLFISEYEQEEGWWRDLYTYVGLNYARDRAVEGYLWSCLVFYEKDLSFTRTFVAKMILLVTLMDDSFDSHATIQECRQFNSAIQRWDESAVTLLPEYLKKFYRELLRNFKVLQDQVTDNDKYRVTYTRKEFQKLSTYYLQEAEWSHQRHKPSFGDQITLTAMSSVIPLLCVSGTVGMGYVTKETFEWVASRTTAIVASAKIGRFMNDIAAMKRGKNKGDVASSVECYMNEHKVTMEVAIDKIDSLVEDEWRTLNQAHFEDHKLFPVVEQVVNLTASMASFYDERKDAYTFPTLLQDTIESLFVNPVPI >ONIVA04G06580.1 pep chromosome:AWHD00000000:4:9576636:9579240:-1 gene:ONIVA04G06580 transcript:ONIVA04G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGADDGDGAPGRSSPAVCVRGGARRPSERGEELAGRPCAGRSSHAVLARGRSCSSPVIGSSGSAVGDCPRLPVYTNAWRKSGGGGRYDGGKCCSKAEQKRRKGIPWTEEEHRLFLLGLDKFGKGDLQSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVTAGDVAAQQGPITGQAKAALRRRSGHRA >ONIVA04G06570.1 pep chromosome:AWHD00000000:4:9576418:9576615:-1 gene:ONIVA04G06570 transcript:ONIVA04G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYGGVPMGHPVAGHMVLAAVGTPVMFPPATRRPWPRCTNDETTLAPPWMDMSSISSSSFLDES >ONIVA04G06560.1 pep chromosome:AWHD00000000:4:9565255:9570724:-1 gene:ONIVA04G06560 transcript:ONIVA04G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHCLRFLSHGQVQTTAVAVPYVLRVRPSRASFRRSRTALRGRASIVDTPVGIPSGDDEIIAAAGKEASGFEPSRSEGWMVERAEKLKDDVRTLFETCDSTDGRMQLVDAVQHLRIDHLFKEEIEYSLSQINASEFISSSLHDVALRFRLLRQHGFRVSPDVFNKFKGDDGRFISGITDDPRGLLSLYNAAHCLAHDEPELEEAISFATQHLSSLSSGTDPNPHLIEQINRALDVPLPRTYKRMETLCYMPEYGQEEGHIPIVLELAKLDFNLLQHVHLLELKAISEWWKDLYGYMGLSYIRDRVVESYVWSYVVFYEEDSALARMIFTKIIAFIILMDDTYDSYATIQECRKLNEAIQRWDESATAFLPEYMKKFYSALLKTFKEFEIHVEGDGQYRIDHTKKAFQKLSAYYLQEAEWSYQNYNPSFEEQVALSTITSTVPLLCVSTTVGRGDALTKEAFEWVANDIGAKTACAKITRFMNDIAAFKRGRKNRGDVASTVECYMNENKVTSEGAITKIDSMIEDEWRTINQALCEHRELLPAVQQVLNLTICATFFYGKRKDAYTFSTHLQETIESLFVRPVPI >ONIVA04G06550.1 pep chromosome:AWHD00000000:4:9530511:9541496:-1 gene:ONIVA04G06550 transcript:ONIVA04G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTIPLPFFFSHGVVHARIGHRPIRPPVGLLSGGAPAKVSFRPQSNAHRHAAYVVSSESITPVKEVSSFEPSVWGDFFINYDPKPLQRSEDWMMEKADKLKQDVRILFEAHNDTAKKMHLVDAVQRLGIDHLFQDEINNAISDIKESGFTSSSLHEVALHFRILREHGIWVSPDVFKKFKGDDGRFIDTIADEPRALLSLYNAAHLLVHDEPELEEAMSFARHHLESIKGGGRLKAPLDNQINRALHLALPRTYKRVEMLHYMLEYGQEEEHIVVLLDLAKLEFNLLQHVHLKELKAFSQWWKDLYGYVELSHVRDRAVESYLWSYALFYEENLTLTRMILAKIIGFTILMDDTYDDHATIEECRKLNEAIQRWDESAISLLPEYMKKFYHALLNYFRETEAQVEASDKYRVTCMKKEFQNLSTYYLQEFEWLHQNYKPGFKERVALSTLSISVAVLCVTATVGRGDAVTKESFELATGRTSAVIACAKIMRFMNDIAAFKSGRKNKGDATNTVECYMNEHKVTSEVALDKIESMIESEWRTLNQVRCDHHQQFPVVQRLLNLAVSVPFFYDKKKDAYTFSSYIQEIVGDLNIRDVIVLVEIDVRSYTTVVRK >ONIVA04G06540.1 pep chromosome:AWHD00000000:4:9514201:9519221:-1 gene:ONIVA04G06540 transcript:ONIVA04G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVQLAMPVAAVPHLPAEETTPSVDVEIASPDQQQPAAAAAPSMAVFPPAADDDDEEEAVAVLLSGEFFTCWSSLSESTDDETTRRTTTESMFYIPMNGNNDVGRRRRRRKVRSWSRGSFLGRGSFGMVFEGITSEGVFFAVKEVYLDDQGRYDDAQQCIFQLQQEIALLSRLQHNNIVQYYGTDKEDSKLYVFLELMSQGSLASLYQKYRLRNSHVSRYTKQILNGLIYLHDRNIVHRDVKCGNTLVHRNGSVKLADFGLAKEINKFSVLKSCEGSVYWMAPEVVNPKRTYGTAADIWSLGCTVLEMLTRQLPYPNLEWAQALFKIGRGEPPAIPKYLSKEARDFISQCLRPNPDDRPSASKLLDHPFVNRSVRSIMSVMTS >ONIVA04G06530.1 pep chromosome:AWHD00000000:4:9496468:9501120:-1 gene:ONIVA04G06530 transcript:ONIVA04G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSKQISEEWMRERANKLKEDVCGLFIMASNGILERMNLVDTIQHLGIDHLFQEEIDSALKDIHENDLASSKLHEVALRFRLLREHGFWVSPDVFNKFKGDHGTFNNELSLADDPRGLLSLYNAAHLFIHGEPELVEAISFARHHLESLNRRNVLKAPLADQVKRALHLPLPRTHRRVEMVSYMFEYGREDGHNPVILELAKLDFNLLQRVHLKELKEISRWWKDVSGYMGINHIRDRVIECYTWSYAVYHEEEMSFARMLFAKIVVIIALLDDTYDVHGYTSIQECRMLNAAIQGWDDSAVLLVPEYLRKFYEFILRCFREFEDQVPSNQKYLIAFSKTELQRLSSYYLEGAEWSHRKHKPSFSEQVALATMTTGTRPLAAGLMVGMSESMTTKQAYEWAVNSTDAIISCGKTGRFMNDIAGFKSYINEHKVTADVAIAKINELVEDEWKTTNQARIDHRDVLPVVQRLINITMAIPLYYSDGIDGFTFGEGIQEVLEKLYVKPIPL >ONIVA04G06520.1 pep chromosome:AWHD00000000:4:9490696:9494664:1 gene:ONIVA04G06520 transcript:ONIVA04G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAALAAAVVRRMKLGSQGMEVSAQGLGCMGMSAVYGERKPEADMVALVRHAVAAGVTFLDTSDVYGPHTNEVLVGKAVAAAAATEEEVQVQVATKFGITPAWEVRGDPAYVRAACEGSLRRLGVGCIDLYYQHRIDSTVPVEITMGELKKLVEEGKIKYIGLSEASASTIRRAHVVHPITAVQIEWSLWSRDVEEDIVPTCRELGIGIVAYSPLGRGFFSSGAKLVDELPDDDFRKSLPRFQPENLEKNAAIFEKVNAMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKIHNFDQNVGALSVKLTPDEMSELESYASADVVQGDRYHGTFLNTWKNSETPPLSSWRSGN >ONIVA04G06510.1 pep chromosome:AWHD00000000:4:9463113:9466127:1 gene:ONIVA04G06510 transcript:ONIVA04G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRMVNDTVLRCSVGSRCEHSGEYLAALHAMVRLSSGLSVADLFPSSRLAAMVSAAPGAALANRDKMERIIEQIIRERKAQIETEDRAADSKSACSLDDLLRLQKEGGSPIPITNEVIVVLLMDMFAGGTDTSSTTLIWAMAELIRSPRVMAKVQTEMRQIFHGKNTITEDELVQLSYLKMVIKETLRLHCPVPLLAPRKCRETCKIMGYDVPKGTSAFVNVWAICRDSKYWEDAEEFKPERFENNNIEFKGGNFEFLPFGSGRRVCPGINLGLANMEFVLANLLYHFDWKLPNEMLYKDLDMREAPGLLAYKHTSLNVCPVTHIASSCA >ONIVA04G06500.1 pep chromosome:AWHD00000000:4:9460739:9461152:-1 gene:ONIVA04G06500 transcript:ONIVA04G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVPVFNTTRTIVKSGRPNKCGFSTSIVVAEVAEIGRARRTTAAAEADASDGDDGRSYGEQGVAETDKGNECGRRRITVVGEADASDRTSRVDEHEGKGLTYVGDGGGHSGGGRWAWLTQAMMACNGRGDDGLRCG >ONIVA04G06490.1 pep chromosome:AWHD00000000:4:9454715:9456543:1 gene:ONIVA04G06490 transcript:ONIVA04G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIDTWFLYKSSRRRQIYAEQGNCDIYRGAAYIYCSMNILESIRIAVLTDLSIGTHMDFYLFILSLLQVNLALHRYYLSFIHC >ONIVA04G06480.1 pep chromosome:AWHD00000000:4:9422991:9430027:1 gene:ONIVA04G06480 transcript:ONIVA04G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPATAAERRVKLGSQGLEVSAQGLGCMGMSAFHGPSKPEADMVALIHHAVAAGVTLLDTADIYGPHANEALLGKALKVGGVRDNVALATKFGKFLADGKVGIRGDPAYVRAACEGSLQRLGVDCIDLYYQHRIDKKVPIEVTKHKRVSDEKLIMKGKVGGGLVKGLANGWCQEGLMRYTLSLQFSSSGHYGLETELGIGIVAYSPLGRGVKSCYHMWSLAPVKIKWLLAPTRENQVAGRENRNDLYDFLKRSPIGMETNLPRFQPENLEKNAKIFDRVNAMAMRKGCTAAQFALAWIHHQGDDVCPIPGTTKIENFDQNVGALSLELTRDEMAELESYAAAADVHGDRYAQMANTWKDCETPPLSSWKEE >ONIVA04G06480.2 pep chromosome:AWHD00000000:4:9422991:9430027:1 gene:ONIVA04G06480 transcript:ONIVA04G06480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPATAAERRVKLGSQGLEVSAQGLGCMGMSAFHGPSKPEADMVALIHHAVAAGVTLLDTADIYGPHANEALLGKALKVGGVRDNVALATKFGKFLADGKVGIRGDPAYVRAACEGSLQRLGVDCIDLYYQHRIDKKVPIEVTHLHQQSEGLMRYTLSLQFSSSGHYGLETELGIGIVAYSPLGRGVKSCYHMWSLAPVKIKWLLAPTRENQVAGRENRNDLYDFLKRSPIGMETNLPRFQPENLEKNAKIFDRVNAMAMRKGCTAAQFALAWIHHQGDDVCPIPGTTKIENFDQNVGALSLELTRDEMAELESYAAAADVHGDRYAQMANTWKDCETPPLSSWKEE >ONIVA04G06470.1 pep chromosome:AWHD00000000:4:9405584:9411366:1 gene:ONIVA04G06470 transcript:ONIVA04G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMATVAVPRVKLGSQGMEVSAQGLGCMGMCPAFEPPKPEADMVALIRHAIAAGVTFFDTSDLYGPHTNEVLLGKALQGGGVRDRVELATKFGKFFAGGKPGIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIRYIGLCEASASTIRRAHAVHPITAVQLEELGIGIVAYSPLGKGFFSSGAKLVDSLPDHDFRKLIPRFQPGNIEKNAEIFERVNEMAARKGCTPSQLALAWIHHQGRDVCPIPGSTKIENFNQNVAALSVKLTPAEMAELESYASNVHGDRYPLMMANTTWQDSETPPLSSWKSE >ONIVA04G06460.1 pep chromosome:AWHD00000000:4:9382746:9398244:1 gene:ONIVA04G06460 transcript:ONIVA04G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEASLTQFQYTPQHLVRLLQIIVDGNCDMAVRQFASIHFKNFVAKNWSPTDPESDKSMVRENILGFVTQLPPLLRAQLGESIKTLILADYPEQWPSLLPWVTHNLESQDQIFGALYVLRILARKYEFKSEDERIPLYQIVEECFPRLLNILRNLVPISNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNTWMILFLNLLERPVPVEGQPLDPDARKSWGWWKVKKWIIHILNRLYTRFADMKLQRPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDSDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVEIFMRYNEASIEVKPYRQKDGALLAIGTLCDRLKQTEPYKAELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHINFSDQNNFRKAMHCVISGLRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDKFGEEMAPYALGLCQSLAAAFWRCMASSEADEEVEDTGALAAVGCLRAISTILESISSLPHLFPQIEPTLLPILRRMLTSDGQDVYEEVLEIVSYMTFYSPTISLEMWGLWPLMMEALNDWAIDFFENILVPLDNYISRGTDQFIACKDPDYQQSLWRALSSIMTDQNMEDSDIIPAPKLIEVVFQNCKGQVDHWIEPYLRLTIDRLRRAVKPYLKSLLVQVIANTLYYNPSLTLGTLHKLGVATEIFNLWFGMLQQVKKSGIRANFKREHDKKVCCLGLTSLISLPANHIPPEALERIFKATLELLVSYKDQVAESKRENEAAAEDLDGFDGDEEDEDIESDKEMGYDDEDGDEVSSVNLQKLREARGFQPHYDDDDDDSDDDFSDDEELQSPIDEVDPFIFFVDTIQGMQASDPARFQSLMQTLDFRYQALANGLAQHAEERKVEIEKEKLEKANAQ >ONIVA04G06460.2 pep chromosome:AWHD00000000:4:9382746:9398244:1 gene:ONIVA04G06460 transcript:ONIVA04G06460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEASLTQFQYTPQHLVRLLQIIVDGNCDMAVRQFASIHFKNFVAKNWSPTDPESDKSMVRENILGFVTQLPPLLRAQLGESIKTLILADYPEQWPSLLPWVTHNLESQDQIFGALYVLRILARKYEFKSEDERIPLYQIVEECFPRLLNILRNLVPISNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNTWMILFLNLLERPVPVEGQPLDPDARKSWGWWKVKKWIIHILNRLYTRFADMKLQRPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDSDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVEIFMRYNEASIEVKPYRQKDGALLAIGTLCDRLKQTEPYKAELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHINFSDQNNFRKAMHCVISGLRDPELPVRVDSVFALRSFVEACKEFFKLMSEVENEDLVFTLETIVDKFGEEMAPYALGLCQSLAAAFWRCMASSEADEEVEDTGALAAVGCLRAISTILESISSLPHLFPQIEPTLLPILRRMLTSDGQDVYEEVLEIVSYMTFYSPTISLEMWGLWPLMMEALNDWAIDFFENILVPLDNYISRGTDQFIACKDPDYQQSLWRALSSIMTDQNMEDSDIIPAPKLIEVVFQNCKGQVDHWIEPYLRLTIDRLRRAVKPYLKSLLVQVIANTLYYNPSLTLGTLHKLGVATEIFNLWFGMLQQVKKSGIRANFKREHDKKVCCLGLTSLISLPANHIPPEALERIFKATLELLVSYKDQVAESKRENEAAAEDLDGFDGDEEDEDIESDKEMGYDDEDGDEVSSVNLQKLREARGFQPHYDDDDDDSDDDFSDDEELQSPIDEVDPFIFFVDTIQGMQASDPARFQSLMQTLDFRYQALANGLAQHAEERKVEIEKEKLEKANAQ >ONIVA04G06450.1 pep chromosome:AWHD00000000:4:9359535:9368128:-1 gene:ONIVA04G06450 transcript:ONIVA04G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPAPAPAVALLLLVVVVAAAVMLAAVEGAGAEATGVFHVRRSLPAGGAGAAAEAYRAHDGSRRGRLLAAADIPLGGLGLPTDTGLYYTEIGIGTPTKRYYVQVDTGSDILWVNCISCDRCPRKSGLGLELTLYDPKDSSTGSKVSCDQGFCAATYGGLLPGCTTSLPCEYSVTYGDGSSTTGYFVSDLLQFDQVSGDGQTRPANSTVTFGCGSQQGGDLGSSNQALDGIIGFGQSNTSMLSQLSAAGKVKKIFAHCLDTINGGGIFAIGNVVQPKVKTTPLVPNMPHYNVNLKSIDVGGTALKLPSHMFDTGEKKGTIIDSGTTLTYLPEIVYKEIMLADNLYCVGFQNGGLQSKDGKGMVLLGDLVLSNKLVVYDLENQVIGWTEYNCSSSIKIKDEQTGATYTVDAHNISSGWRFHWQKHLAVLLVTMVYSYLIF >ONIVA04G06450.2 pep chromosome:AWHD00000000:4:9359533:9367766:-1 gene:ONIVA04G06450 transcript:ONIVA04G06450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLSAAGKVKKIFAHCLDTINGGGIFAIGNVVQPKVKTTPLVPNMPHYNVNLKSIDVGGTALKLPSHMFDTGEKKGTIIDSGTTLTYLPEIVYKEIMLADNLYCVGFQNGGLQSKDGKGMVLLGDLVLSNKLVVYDLENQVIGWTEYNCSSSIKIKDEQTGATYTVDAHNISSGWRFHWQKHLAVLLVTMVYSYLIF >ONIVA04G06440.1 pep chromosome:AWHD00000000:4:9330811:9335355:1 gene:ONIVA04G06440 transcript:ONIVA04G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMNTAARNQEIKQGLWKYSDERCPWHTFSSVQANFLLLNLIGDDVQTDHMDKMNTAARNQEIKQGLWKYSDERCPWHTFSSVQANETDADKLTDVKIRVDPPKLLVQAHGDQSEDQETDADKLTDVKIRVDPPKLLVQAHGDQSEDQVNPAPLKACKDCKPSVN >ONIVA04G06420.1 pep chromosome:AWHD00000000:4:9284916:9324012:-1 gene:ONIVA04G06420 transcript:ONIVA04G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLSTIILALVVVASSTHGTMANGVFQVRRKFHIVDGVYKGSDIGALQTHDENRHRRRNLMAAELPLGGFNIPYGTGLYYTDIGIGTPAVKYYVQLDTGSKAFWVNGISCKQCPHESDILRKLTFYDPRSSVSSKEVKCDDTICTSRPPCNMTLRCPYITGYADGGLTMGILFTDLLHYHQLYGNGQTQPTSTSVTFGCGLQQSGSLNNSAVAIDGIIGFGNSNQTALSQLAAAGKTKKIFSHCLDSTNGGGIFAIGEVVEPKVKTTPIVKNNEVYHLVNLKSINVAGTTLQLPANIFGTTKTKGTFIDSGSTLVYLPEIIYSELILAVFAKHPDITMGAMYNFQCFHFLGSVDDKFPKITFHFENDLTLDVYPYDYLLEYEGNQYCFGFQDAGIHGYKDMIILGDMVISNKVVVYDMEKQAIGWTEHNSVEEACGGSEGLSPIGRMHGGLFL >ONIVA04G06420.2 pep chromosome:AWHD00000000:4:9284834:9324012:-1 gene:ONIVA04G06420 transcript:ONIVA04G06420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLSTIILALVVVASSTHGTMANGVFQVRRKFHIVDGVYKGSDIGALQTHDENRHRRRNLMAAELPLGGFNIPYGTGLYYTDIGIGTPAVKYYVQLDTGSKAFWVNGISCKQCPHESDILRKLTFYDPRSSVSSKEVKCDDTICTSRPPCNMTLRCPYITGYADGGLTMGILFTDLLHYHQLYGNGQTQPTSTSVTFGCGLQQSGSLNNSAVAIDGIIGFGNSNQTALSQLAAAGKTKKIFSHCLDSTNGGGIFAIGEVVEPKVKTTPIVKNNEVYHLVNLKSINVAGTTLQLPANIFGTTKTKGTFIDSGSTLVYLPEIIYSELILAVFAKHPDITMGAMYNFQCFHFLGSVDDKFPKITFHFENDLTLDVYPYDYLLEYEGNQYCFGFQDAGIHGYKDMIILGDMVISNKVVVYDMEKQAIGWTEHNCSSSIKIKDEKTGATYTVQADNISSGWRIQ >ONIVA04G06410.1 pep chromosome:AWHD00000000:4:9263271:9270298:-1 gene:ONIVA04G06410 transcript:ONIVA04G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLQSVINIREAVSTVINFGEASKLEKDLSCLQVSLDKARAVIYRGEWGRFENKDLAALLWHLKDATYDAEDLLRESNDQVLRQKMEDADQSLAGQFFSSSLNVVQTLIGGSKTRIKEVQDKLNKAVADLEGELNSVGLNFEIVQHMPVTSSVIGVPQVFGRDEERDLVIEKLGVMIGRDNERDLVIEKMGVPLTRFVAARAKGKRAAGGTVAKSASTLKRLKGESSRAGPRISQSKCIGNVSILPIFGIGGVGKTTLAQYIYNDERVRSHFRMRIWVCVSDLFDKKRIIEEIFKSITKKDSSQHSSNDLQEELKKKLKSQKFLLVLDDIWSITNREWEELNALLKDGLKGSMILVTTRLQNVANLLTVRHWETIEKSELWELPHQENEILPALQLSYLYLPRELKRCFAFCSMFPKDYSFERDEIVDIWVAESLVELVSGERTRPEDIGIRYLDDLRSRFLLQIDPKYPEESRYVMHDLIHDMAQSVSVNECLLLQDLSFRNEGRKLHAVRHMSVQVADESLKSELRDIQYLNKLHSLRLGINLKVEITWFNQLSNILFMSLQGCKLVKLPESIGGCTASELPEKFWRLYSLQVVDARRSSLRAISPDVTKLINLRRLALPTNCALKLSVLTRLGNLSRLRNLKYFTVAPRNGRKIGELKDMDKLSGTLTIKSICNVKSKEEASEARLVDKQYLKTLDLWWRDSDGYNVMSSENGVLEGLCPLRRIECLKVEKFRGDSFCPSWFKPESLPTIRSLELVRCHCLKSLSIPSLPSLEHLLLAQVPIEELTVFADDTPSGSTDCDRMQHPSSSNGIVCFRGLTSVRLINCNGLRNLDQFLSPDYLPSIKFIAIGRCLRQVLPSFVGFDRLQDLRISYCNEVCPQEMVLPSSLQRLSIVNCGELDRSFPTCLRALTSLTALHLVRCNNMESIPIGTNFQVQYLHLKKCLKLSSIGGAHALSSFRYVSISECPELLHQVQQPFERDLLTKEEKELHNFLRLRLIDY >ONIVA04G06400.1 pep chromosome:AWHD00000000:4:9259440:9260954:1 gene:ONIVA04G06400 transcript:ONIVA04G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) TAIR;Acc:AT5G62990] MATTASSSSSSSLSFLSPQPIHPRRVRLPNPPPSTAAPPTPTAVRCTPAPAAAPSAASAASARSIPPPKLVRCPALDRQAARANRLRFARKLLVLLLSKPRRFLPLRVLRRCHRYLGLPPHRRRRPLVPFVLRYPALFRLFQAPTSHPLSPNLSTLAVALTPAAEALAADLAAFRGSSELAPRLAAKMHRLLLLAPGRSLLVSKIAHLAPDLGLAMDFRATLCPQHPDLFTFVNTSHGHALQLVDPPPPPPPPLPPFRPAAPSDRLIDRPRRFPHLKLRRGLNLRRAHRDYLLRFHSLPEVSPFEPLDEGASLEMMERRACAVVREVLAMTVEKRTLVDHLTHFRRDFGLPNRLRAMLVRHPELFYVSVKGLRHSVFLVEAFDDDGRLLVEDDMLVARDRLEELVREGKRMRRAKKKGLLALADDSDEDDEEEDGEEQDSVQVDGESWDLLEDGGIGEDWEEVGDLGEGSDDDADAELDALEEFWVKKAVAEGLVDTGSELDAW >ONIVA04G06390.1 pep chromosome:AWHD00000000:4:9258029:9258442:1 gene:ONIVA04G06390 transcript:ONIVA04G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAIAVAVGLGGSGGEADVRGGVGAAGAVVATMPDGRRGRWPREKEAVVLPPPLTTSPLSSPTSTADGRRGGGTGRGGHQPEMAVADWRGKRGSGGRPTSGLGEIVSLRVASAGSSPHGQRQRERRGHRPVTAVAN >ONIVA04G06380.1 pep chromosome:AWHD00000000:4:9244509:9245839:-1 gene:ONIVA04G06380 transcript:ONIVA04G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAAASVLMLLAVTIYSCDSANLRLQLSHVDAGRGLTHWELLRRMAQRSKARATHLLSAQDQSGRGRSASAPVNPGAYDDGFPFTEYLVHLAAGTPPQEVQLTLDTGSDIAWTQCKRCPASACFNQTLPLFDPSASSESETTPPCGGGGNDATSRACNYSISYGDGSVTRGELGREVFTFASGAGEGSSVAVPGLVFGCGHANRGVFTSNETGIAGFGRGSLSLPSQLKVGNFSHCFTTITGSKTSAVLLGLPANLYSNGRGRGAAVVQTTPLTHNSRHPTLYYLSLKGITVGGSYRCRSTPRSSNSGTSITSLPPRTYRAVREEFAAQVKLPVVPGNATDPFTCFSAPLRGPKPDVPTMALHFEGATMRLPQENYVFEVVDDDDAGNSSRIICLAVIEGGEIILGNIQQQNMHVLYDLQNSKLSFVPAQCDQL >ONIVA04G06370.1 pep chromosome:AWHD00000000:4:9215466:9220246:-1 gene:ONIVA04G06370 transcript:ONIVA04G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRVKLQRIVIDVKQRVTFMKSLKGLTKKVSEFATLFFMVYGEVEVQATKVWPSVWEVTRVLEHFKAMPHLIRYKKLTDLEGILNEQVDKLKEQLHKXLLIEAINGHRPSLEGLTIEQITSLGWMANARLKIVNDRLKKLREEGLIPASVSFSSTERVKLQRIVIDVKQRVTFMKSLKGLTKKVSEFTTLFFVVYSEVEVQATKVWPSVWEATRVLEHFKAMPHLVRYKKLTDLEGILNEQVDKLKEQLHKLLLIEAINGHRPSLEGLTIEQITSLGWMANARLKIVNDRLKKLREEGLIPASVSLSSTEVPIQREGWLVDVASGIGPMGYNRFGGSSGSGTAGSNGDMA >ONIVA04G06360.1 pep chromosome:AWHD00000000:4:9206812:9212144:-1 gene:ONIVA04G06360 transcript:ONIVA04G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPEMRRYRARIDVAERALRETPSGLCPKGKLRQLANGKWSHPLHLQCTRHSQQWMNASITVDQGRPWRGARGAAALGPQIVGLHLPSPFPDQSNRRSALPQPLGLAQRKRTERDKIRRRDRVARWLRRRPHPNPSCESRYRAIAVHRHKPAGRACRLPDCFPAICRGERLVIQESGLSGHVVEEVARKGKKREMRNSVR >ONIVA04G06350.1 pep chromosome:AWHD00000000:4:9198879:9205912:1 gene:ONIVA04G06350 transcript:ONIVA04G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSVAAVGWAISTAGWIISPIVAKLVNSVCPRITLNVPKKIRDLETCTLPRLALTLGALEISQRHELQKLVKELKSAFYQVEDILDDAEYCRLEKQLARSKKRKFPLDFNDVGSSYQADGTKLTAGYSRCRLKDKLDKIEKLIEKAQDIIKLANLPGNNNESGDHRRYVGVTAKHTSKPTAAYLPRKVIGRDKDREEIISMLRDENQSSADRCFSVIGIHGIPGSGKTTLAQYVCEYEEEANHFDIVMWIHVSQNFGLDTILREMIEQATKQPCPQFKSLTALQKRLKQDLREKRFLLVLDDVEHNKDISEDDLSALEVGGAGSKILATSRTRDALLALGAVRSKCIPISKLDEHVFRELFLQYALDGAEICERDRIVLQMIGADIAKKLKGSPLAARTVGGQLRIRQDVEFWRSVRDKDFFSDAMEALRWSYQLLDERVRRCFAYCSIFPRGHHLGRSKLINLWAAEGFISTEEVGGDYFHKLVATSFLQLERKDSSGEEYYLLHDLLQDLAETVGRSDCCRIEGGCTGQVPRDDVRHLYIKACNGATVAGNKAFEKMITENIVKLKELRTLIIDGGETGIEAKVFDDIFDSLKSLRVLIVETQSRRTLQIPESIGYLKYLRYLSIEYRCRIFFPRTVTKLYHLRVLDFGEYGMLERSCSPENMSNLVNLQRVVGRSLGDFPNIGKLTLLRTLPTFRVKRDLLGYDIKQLKHLNKLQGKLVISGLQHVRSEEEAVEAKLAEKEHLKQLTLAWDDDNPSSNHDAAVLECLCPPMGLEVLEIIGYRGSYPGWMVGKHSAQLYLQKLELRQCSPLGPAPRLFECFLHLESLCLSHLSWHTLPDNMEQVRTLKVLMISHCKNMKVLPTLPQSLSRFKLSNCGHEFTRSCKQNGENWEKIQHILEKIIL >ONIVA04G06350.2 pep chromosome:AWHD00000000:4:9199387:9205912:1 gene:ONIVA04G06350 transcript:ONIVA04G06350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSVAAVGWAISTAGWIISPIVAKLVNSVCPRITLNVPKKIRDLETCTLPRLALTLGALEISQRHELQKLVKELKSAFYQVEDILDDAEYCRLEKQLARSKKRKFPLDFNDVGSSYQADGTKLTAGYSRCRLKDKLDKIEKLIEKAQDIIKLANLPGNNNESGDHRRYVGVTAKHTSKPTAAYLPRKVIGRDKDREEIISMLRDENQSSADRCFSVIGIHGIPGSGKTTLAQYVCEYEEEANHFDIVMWIHVSQNFGLDTILREMIEQATKQPCPQFKSLTALQKRLKQDLREKRFLLVLDDVEHNKDISEDDLSALEVGGAGSKILATSRTRDALLALGAVRSKCIPISKLDEHVFRELFLQYALDGAEICERDRIVLQMIGADIAKKLKGSPLAARTVGGQLRIRQDVEFWRSVRDKDFFSDAMEALRWSYQLLDERVRRCFAYCSIFPRGHHLGRSKLINLWAAEGFISTEEVGGDYFHKLVATSFLQLERKDSSGEEYYLLHDLLQDLAETVGRSDCCRIEGGCTGQVPRDDVRHLYIKACNGATVAGNKAFEKMITENIVKLKELRTLIIDGGETGIEAKVFDDIFDSLKSLRVLIVETQSRRTLQIPESIGYLKYLRYLSIEYRCRIFFPRTVTKLYHLRVLDFGEYGMLERSCSPENMSNLVNLQRVVGRSLGDFPNIGKLTLLRTLPTFRVKRDLLGYDIKQLKHLNKLQGKLVISGLQHVRSEEEAVEAKLAEKEHLKQLTLAWDDDNPSSNHDAAVLECLCPPMGLEVLEIIGYRGSYPGWMVGKHSAQLYLQKLELRQCSPLGPAPRLFECFLHLESLCLSHLSWHTLPDNMEQVRTLKVLMISHCKNMKVLPTLPQSLSRFKLSNCGHEFTRSCKQNGENWEKIQHILEKIIL >ONIVA04G06340.1 pep chromosome:AWHD00000000:4:9159285:9160249:-1 gene:ONIVA04G06340 transcript:ONIVA04G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLCASSFVAVGLVVLVCSAAAAAAETYVVGDSKGWGFSVAYDSWASGKAFAAGDTLVFNYQAGVHNVVAASAAEYRSCRVRNAADAAATAAGSAEVELKEGVNYFICGVPGHCAAGMKLRVVADEFPSADTK >ONIVA04G06330.1 pep chromosome:AWHD00000000:4:9154794:9156350:-1 gene:ONIVA04G06330 transcript:ONIVA04G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZ75] MGSLPAAAEARPHAVMVPYPAQGHVTPMLTLAKLLYSRGFHVTFVNNEFNHRRLLRARGAGALDGAPGFRFAAMDDGLPPSDADATQDVPALCHSVRTTWLPRFMSLLAKLDDEAAAAAAADGAARRVTCVVADSNMAFGIHAARELGLRCATLWTASACGFMGYYHYKHLLDRGLFPLKSRSSMANFLIQFSIHAKKFVPVLAGEADLSNGHLDTKMDWIPGMTGDLRLRDLPSFVRSTDRDDIMFNFFVHVTASMSLAEAVVINTFDELDAPSSPLMGAMAALLPPIYTVGPLHLTARNNLPADSPVAGIGSNLWKEQGEALRWLDGRPPRSVVYVNFGSITVMSAEHLAEFAWGLAGSGYAFLWNVRPDLVKGDAAALPPEFAAATRERSMLTTWCPQAEVLEHEAVGVFLTHSGWNSTLESIAGGVPMVCWPFFAEQQTNCRYKRTEWGIGAEIPDDVRRGEVEALIREAMDGEKGREMRRRVAELRESAVAAAKPGGRSVHNIDRLIDEVLMA >ONIVA04G06320.1 pep chromosome:AWHD00000000:4:9151910:9152517:-1 gene:ONIVA04G06320 transcript:ONIVA04G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIKAEENTPRGGGDGWQDGTKSLLHGLCLGDRCGGYSEVVKHDCGARDKWRTKLSGALEAGTGNATVVAGDGARAPTGGLWCLVDATASGCRLERRQKEGFVDLAFFSIAVTTGSSRPSSHAVTPPDPVAGHW >ONIVA04G06310.1 pep chromosome:AWHD00000000:4:9135592:9136708:-1 gene:ONIVA04G06310 transcript:ONIVA04G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRDRKGAALPFHGTGSPAGWLCDTASLPQPRRAVAWSRINQGTASGADAQEQGGCAALMRGTEPVTQRRVAGPPSSEAMRGGAARLSCATAGPTEWKSGTASIPHPHYAEEGNYDGYGCRFTHNRHSPWTST >ONIVA04G06300.1 pep chromosome:AWHD00000000:4:9127867:9133045:-1 gene:ONIVA04G06300 transcript:ONIVA04G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKRILLLSFFIRCNASNRKEKKKSPDSSLVHSREATRNSRISTPRPATTASFSNDFFFFFLLYLLGFCLCSSSKICEMVAVTPGRRRRPAGCAAGHHHQHQQQRLLAVAARLAAAKPAATTEAAVYSGVGGGGCCLEFLDCVLRAMGVATPAEIMPPADFRWAARPMRRRRRGGSSSSSSSPRDREPRDGRIAANGASAAASLYTMRGNKGVNQDAMLVWENFCSKEDTIFCGVFDGHGPYGHLVMTEGGGTERMDRDTETPLGTEENGEYPEMFAALRTSLLRAFYVMDRDLKFHKTIDSVFSGTTAVTVIKQGHDLLIGNLGDSRAVLGTRDEYDQFFAVQLTVDLKPTIPSEAARIRERSGRIFSLPDEPDVARVWLPKYNMPGLAMARAFGDFCLKDYGLISMPDVSYHRITEKDEFVVLATDGVWDVLSNSEVVSIVSQAKSEASAARFVVESAQRAWRTRFPTSKIDDCAVVCLFLNTDARNKPPGSGIKDLANAIELGGGNLS >ONIVA04G06300.2 pep chromosome:AWHD00000000:4:9127867:9133045:-1 gene:ONIVA04G06300 transcript:ONIVA04G06300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKRILLLSFFIRCNASNRKEKKKSPDSSLVHSREATRNSRISTPRPATTASFSNDFFFFFLLYLLGFCLCSSSKICEMVAVTPGRRRRPAGCAAGHHHQHQQQRLLAVAARLAAAKPAATTEAAVYSGVGGGGCCLEFLDCVLRAMGVATPAEIMPPADFRWAARPMRRRRRGGSSSSSSSPRDREPRDGRIAANGASAAASLYTMRGNKGVNQDAMLVWENFCSKEDTIFCGVFDGHGPYGHLVSKRVRDLLPIKLSANLGRDGHKETSTNIVTSSMTEGGGTERMDRDTETPLGTEENGEYPEMFAALRTSLLRAFYVMDRDLKFHKTIDSVFSGTTAVTVIKQGHDLLIGNLGDSRAVLGTRDEYDQFFAVQLTVDLKPTIPSEAARIRERSGRIFSLPDEPDVARVWLPKYNMPGLAMARAFGDFCLKDYGLISMPDVSYHRITEKDEFVVLATDGVWDVLSNSEVVSIVSQAKSEASAARFVVESAQRAWRTRFPTSKIDDCAVVCLFLNTDARNKPPGSGIKDLANAIELGGGNLS >ONIVA04G06290.1 pep chromosome:AWHD00000000:4:9119602:9124360:-1 gene:ONIVA04G06290 transcript:ONIVA04G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAAAAAAAAPPPLLLLSAAAAIAIAIAVAVAAPDGHLVASLPGFHGAFPSKHYSGYVTVDEGSGRRLFYYLVTSERDAAADPVVLWLNGGPGCSSLDGFVYENGPFNFERGSDPGGLPNLELNPYSWSKVSNVVYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHTFLLKWFELYPEFQSNPFYMSGESFAGIYIPTLADEVVKGIEKDLKPRINFKGYLIGNGATDQDYDFNSFVPFAHGMGLISTELFEDASTACHGTFWGKVNNLCQEKIDRVHWELKDLNKYNILAPCYHHPEIQELEFKNSSLPSSFRKLGETEKRFPVRKRMAGRSWPLRAPVTRGRMTMWPELGGRSLPCTSDELANAWLDDEDVRAAIHAEPKSLIGSWELYTARIEYYHDTGDSMVKYHKKFTAMGYRALIYSGDHDLCIPYVGTEAWVRSMGYRVIDHWRPWYFGGQVAGYTQGYEHNLTFLTIKGAGHTVPEYKPKETLAFYSHWLSGKKI >ONIVA04G06280.1 pep chromosome:AWHD00000000:4:9115696:9118866:1 gene:ONIVA04G06280 transcript:ONIVA04G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGNAKPGGGGSGAYTINLDNFSKRLKVFYDHWKEHNSDLWGSSNAIAIATPPPSEDLRYLKSSALDVWLLGYEFPETIIVFMHKQIHFLCSQKKANLIGTLKKAANDAVGADIVLHVKAKNDSGVGLMEDIVRAVCAQSKSDDPIVGHIAKEAPEGKLLEAWADKLSSSSVQLTDITNGFSELFAMKDTSEIACVKKASYLTSSVMKNFVVPKLEKVIDEERKVTHSSLMDETEKAILDPLKVKVKLKAENVDICYPPVFQSGGKFDLKPGASSNDDYLYYDSASVIICAIGARYGNYCSNMARTFLIDATPTQSKAYETLMKAHEAALEALKPGNRMSAVYQAAVDVIKKNAPELLPNLTKSAGTGIGLEFRESGLNLNPKNDRIIKAGMVFNVSLGLHNLQAETKSEKTKQYSFLLADTCLVPLENLTASCSKLLKDVAYSFNDEDEVLPVKKVEVNAKEALPPTKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGVGSGSGDGRGPSRSSNELVAYKNVNDIPYARELVIQVDQKNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDSNLKSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQLASNRNKPVRLSDVWIRPAFGGRGRKLTGTLESHVNGFRYSTSRADERVDIMYGNVKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGNRRSALDPDEIEEEQRERDRKNRINMDFQNFVNKVNDHWSQPQFKGLDLEFDVPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVTLSEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFIDDGGWEFLNMEASDSETEETEESDQGYEPSDAEPESESEDEDSDSESLVESDEDDEDDSEEDSEEEKGKTWEELEREASNADRENGAESDSEEERRRRKVKTFSKSRPPPERSSFKGGPSKKPKFR >ONIVA04G06260.1 pep chromosome:AWHD00000000:4:9101551:9102030:1 gene:ONIVA04G06260 transcript:ONIVA04G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGCAGAGRWRDGVAGGVGRWRRGGVAGWHMAGRRHCDGQLMGRGTAVVASRVCGAGTGTGLCSARVRRDCGAMVAPTRVRVGGEPASDSGGLGLCQHST >ONIVA04G06250.1 pep chromosome:AWHD00000000:4:9080090:9083304:-1 gene:ONIVA04G06250 transcript:ONIVA04G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:complex 1 family protein / LVR family protein [Source:Projected from Arabidopsis thaliana (AT3G62810) TAIR;Acc:AT3G62810] MAAAAAAAEGLAAYRAVLRAARRTFAGDRLMLAESAVEIRRRFEEHRGLAPGSGEAARALSDAREAAHFITHMIVQAQRAPSGSFVVKPEKEHAGATLEVPSEEILSKLK >ONIVA04G06240.1 pep chromosome:AWHD00000000:4:9078896:9079604:1 gene:ONIVA04G06240 transcript:ONIVA04G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEALKINSYTNCLENMDFLGRLRGLTLLFLAKFLHSKICHVKQTCAVTKQLYGSILFSAVKRADIIQYGKTSTNQHGDLRQGEQNKAMKRTADLGMKRGNVGASLKAPKAWVPWSSGQHCKNPMLAKGRELFKDAFLTLNPAPKTSYENAKELFLNNTY >ONIVA04G06230.1 pep chromosome:AWHD00000000:4:9063010:9070081:-1 gene:ONIVA04G06230 transcript:ONIVA04G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06880) TAIR;Acc:AT3G06880] MPTATTAMAVPPHSSICSLIAFLHHHIRALLADRDALLAARARCLALLDPPGAGGAAHDDGDGDVLAALRHAADALTAGADAGGLDGAEAALQGPALLPEEGETGGLDNRRVAACAYFYLALVRAAQGDAWQMAMHFLQAVVVSPAAVAGAGAGEGLAPRALWDGLFDGAVLARAGGASEDDAARRAARRYKDWLIYYKVVAGAPASGGGGGVGCIQFGRSVSSIIPKWPEFSEDGTIHSIDQEGKCRAFDSNCGDHDSFAELKDFLNCEDPDLQEDTKGSSDSRCLHEMLEEYQSDSPVSFYSHLDSSEESDNENKNLTWCTSPENAMIYTPESPLYQVDDCDMKQNDLQSSRSQCSVNSLSNSVLNINKADSYSTSNYFNKDGMFPQCTPKHDLRCFSNFSTKFMKRSALSDIVSRGSMSRKFKAFSQSDEWSDVSSRWGKESQVDFLERFEKAVSKLLVSDGLESYLDAGSEVTTIWHLLNSSSEVRYKSSARQDILDQLLDSISTSKKDKVIRASVYVLLLMLSEDRNAMRGIKRKEFHLSNLASALKRDVHEAAILIYLLDPSPLQIKNLELLPSLLHVACNSDTKKWPAVLPLTPTSASIALIEILVTAFDYVTNNVHLGAISSPHILSKLVDVAKNNNLEEGVALAAILVRCVRLNGNCKKFLSQATPVEPFLHLVRRKEHRAKCAALEYFHEILQIPRSAANSLLQEIKKLGGIAIMHTLMACLHQTEPEHRVLAANLLLQLDMLALAASFLCNLGGNYSWSGESYTAAWLAKKAGLTSTSHRNMIRNIDWVDPCLQDTEIGPWSSKSARTIIRTGVPVLRALAKGIQSKAKGTSHDCLVCAAWLGSELAALGENNMRYSACEILLHDIARHLHPGFELDERLLACMSLYTYTSGKGKQKLMGLSEGSRESLRRLSSFTWMAEELLQVTDYYLPSKPRVSCVHTQILEIGQPGNGAATAIIFFGGQLFVGYSSGTIRAWDIKGQRAVVIREVKEHKRAVTCFALSDTGENLLSGSADKSIRVWKMAQRKLECVEVIQIREAVEQFEIYNDKIIVLTPNNVLKFSYSSRSTQTFYKSKHVKSLAVAHGKAYLGCTDLSIQELDVAVGSKIEIRAPTRSWRIRKQPISSIVVYKDWMYCAGTQVEGSTIKDWKKRCKPTMTMAISKGTNVEAMAVVEDFIYLNCDKSPSIIQIWLRENQQKVGRLSAGSKITSMFTANDIIFCGTETGLIKAWIPF >ONIVA04G06230.2 pep chromosome:AWHD00000000:4:9063010:9070081:-1 gene:ONIVA04G06230 transcript:ONIVA04G06230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06880) TAIR;Acc:AT3G06880] MPTATTAMAVPPHSSICSLIAFLHHHIRALLADRDALLAARARCLALLDPPGAGGAAHDDGDGDVLAALRHAADALTAGADAGGLDGAEAALQGPALLPEEGETGGLDNRRVAACAYFYLALVRAAQGDAWQMAMHFLQAVVVSPAAVAGAGAGEGLAPRALWDGLFDGAVLARAGGASEDDAARRAARRYKDWLIYYKVVAGAPASGGGGGVGCIQFGRSVSSIIPKWPEFSEDGTIHSIDQEGKCRAFDSNCGDHDSFAELKDFLNCEDPDLQEDTKGSSDSRCLHEMLEEYQSDSPVSFYSHLDSSEESDNENKNLTWCTSPENAMIYTPESPLYQVDDCDMKQNDLQSSRSQCSVNSLSNSVLNINKADSYSTSNYFNKDGMFPQCTPKHDLRCFSNFSTKFMKRSALSDIVSRGSMSRKFKAFSQSDEWSDVSSRWGKESQVDFLERFEKAVSKLLVSDGLESYLDAGSEVTTIWHLLNSSSEVRYKSSARQDILDQLLDSISTSKKDKVIRASVYVLLLMLSEDRNAMRGIKRKEFHLSNLASALKRDVHEAAILIYLLDPSPLQIKNLELLPSLLHVACNSDTKKWPAVLPLTPTSASIALIEILVTAFDYVTNNVHLGAISSPHILSKLVDVAKNNNLEEGVALAAILVRCVRLNGNCKKFLSQATPVEPFLHLVRRKEHRAKCAALEYFHEILQIPRSAANSLLQEIKKLGGIAIMHTLMACLHQTEPEHRVLAANLLLQLDMLDKPDGKSVFRDEAMEVLLDSLSSQENCTVQALAASFLCNLGGNYSWSGESYTAAWLAKKAGLTSTSHRNMIRNIDWVDPCLQDTEIGPWSSKSARTIIRTGVPVLRALAKGIQSKAKGTSHDCLVCAAWLGSELAALGENNMRYSACEILLHDIARHLHPGFELDERLLACMSLYTYTSGKGKQKLMGLSEGSRESLRRLSSFTWMAEELLQVTDYYLPSKPRVSCVHTQILEIGQPGNGAATAIIFFGGQLFVGYSSGTIRAWDIKGQRAVVIREVKEHKRAVTCFALSDTGENLLSGSADKSIRVWKMAQRKLECVEVIQIREAVEQFEIYNDKIIVLTPNNVLKFSYSSRSTQTFYKSKHVKSLAVAHGKAYLGCTDLSIQELDVAVGSKIEIRAPTRSWRIRKQPISSIVVYKDWMYCAGTQVEGSTIKDWKKRCKPTMTMAISKGTNVEAMAVVEDFIYLNCDKSPSIIQIWLRENQQKVGRLSAGSKITSMFTANDIIFCGTETGLIKAWIPF >ONIVA04G06220.1 pep chromosome:AWHD00000000:4:9052539:9052938:-1 gene:ONIVA04G06220 transcript:ONIVA04G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVRRTGGDGGKKAVLRRGGGVAVAERKRRYGQGPQGGGMAARRGGDKVGSKREDKTGPVFVMNRYQRVIIDADF >ONIVA04G06210.1 pep chromosome:AWHD00000000:4:9044774:9048362:-1 gene:ONIVA04G06210 transcript:ONIVA04G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGGGATLAGEAEAAVAAGGYSPKPSKPLAWLPRAARYAAGEHRPLFALAGMLVAAAIFSLATPSSSSTPAAAAAGSTAANPLARFSVEPAVSRRQQQLPARQFVGGKVPLGLKRKGLRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNLFTGRKENVVHHFGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKWHKTNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRPNTQDDPHKRKPDIGRAKELLGWEPKIPLHKGLPLMVTDFRKRIFGDQDSTATTTGGQQG >ONIVA04G06200.1 pep chromosome:AWHD00000000:4:9037515:9048513:1 gene:ONIVA04G06200 transcript:ONIVA04G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEMADDVEYHEEFVTNPRGLRLFTCGWLPASSSPKALIFLCHGYGMEVSGFMKACGVELATAGYGVFGIDYEGHGKSMGARCYIQKFEHLVDDCDRFFKSICELGEYRDKSRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKISEKVKPHPLVVTLLTQVEEIIPKWKIVPTKDVIDSAFKDPIKREKIRKNKLIYQDKPRLKTALELLRTSISVEQSLSQVSMPFFILHGEADTVTDPEVSRALYERAASADKTIKLYPGMWHGLTAGEPDHNVHLVFSDIVAWLDRRSHRQDRASMTPPAACTDSAAAAAADSPVSPEPPRQGAAGGFLCGLTGRANPQQCRM >ONIVA04G06200.2 pep chromosome:AWHD00000000:4:9042072:9048513:1 gene:ONIVA04G06200 transcript:ONIVA04G06200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDIVILAACGVELATAGYGVFGIDYEGHGKSMGARCYIQKFEHLVDDCDRFFKSICELGEYRDKSRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKISEKVKPHPLVVTLLTQVEEIIPKWKIVPTKDVIDSAFKDPIKREKIRKNKLIYQDKPRLKTALELLRTSISVEQSLSQVSMPFFILHGEADTVTDPEVSRALYERAASADKTIKLYPGMWHGLTAGEPDHNVHLVFSDIVAWLDRRSHRQDRASMTPPAACTDSAAAAAADSPVSPEPPRQGAAGGFLCGLTGRANPQQCRM >ONIVA04G06200.3 pep chromosome:AWHD00000000:4:9037515:9042436:1 gene:ONIVA04G06200 transcript:ONIVA04G06200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEMADDVEYHEEFVTNPRGLRLFTCGWLPASSSPKALIFLCHGYGMEVSGFMKGWPSLAATAAAVMNGYGWQPQSIREHDAVF >ONIVA04G06190.1 pep chromosome:AWHD00000000:4:9033434:9033910:-1 gene:ONIVA04G06190 transcript:ONIVA04G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACHVNFPLSFFLFFFYFSLPRGSSNRRQRAGLSGGWWRRRVSARRPGGGAWRRRGDAADERIADDGVGARHEAELGDDGLGDDGLGGGGLGGGGCGGREAEHGAEEERLTNCEVGWSTSSYATNPVCRCKVRHGARPLHRRHHRGRSFHLDATSMN >ONIVA04G06180.1 pep chromosome:AWHD00000000:4:9027026:9028053:1 gene:ONIVA04G06180 transcript:ONIVA04G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELASYSYDDKSLEKSNARFASASHTPDLALPLPELIGVCTAARTGGGGRGEAEVWRWRPEPAGPALGRVGLGWLEVVGRVVGSGKASAMESLWKVVGAAKHGGGPVAAMARQLPSALATLGAATVPVGASGPRDSGGSRWCRWP >ONIVA04G06170.1 pep chromosome:AWHD00000000:4:9022322:9023761:1 gene:ONIVA04G06170 transcript:ONIVA04G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPFLLPPLPSLFLSPPLRPSVGSERRRELAGAAAAVGRSHVAPLSVPLAPPPPAPPGGQHRDIGGGRAATAAGRGREKQAAAVTSKPREETARPHSEAEPLEEREEGERAAEEVASRRHPPMRPSTERRSAMIRSLPPPLPLVLPLPRAAAALAPPPAPHPANPAATSATVPAFACRAPPPPCRPPPATHLCHRVVPGFAVAGRAAPPVLHQPKPPQSHGLRAPPSVAESASPAAAPLGAAHAIATEAGRSSFPVAGHLRRAGSAGETRSSWGSAGSGARGARSGVTARGEPAAAASTPPPTSPPTPSLPLHPLPHRRLLHPAAASFALPPPPPPRRLLHLAAVFAPKTASVPPPPMGGRDEAPLPPSLWPRSFAGGRLGGGEAVEVGGGSRRQRRWFPPPVSAVREDDAGAYVA >ONIVA04G06160.1 pep chromosome:AWHD00000000:4:9020996:9021774:-1 gene:ONIVA04G06160 transcript:ONIVA04G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAASCVALLAQRRGLSAAITAAEGSAKTIDDKAVKLGTAAKDVATATATTTEEKTAFWEPDPDTGYYRPVTGTKEVDAADLRAEMLKQRMLHD >ONIVA04G06150.1 pep chromosome:AWHD00000000:4:9017911:9018459:1 gene:ONIVA04G06150 transcript:ONIVA04G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLHLLLLAVLAVAAAAAEAAAEKKPTAYEVLESYDFPVGILPKGVTSYTLEATTGDFTATLDTGDDDDSSSSTCEFAIEGSYSLRYQRAITGRIATGHLTDLRGVAVKVLFFWLNIVEVTRRGDRLEFSVGIASADFTVDNFLESPQCGCGFDCDDDGISSSSSLPPPLEPSLLRLRGAF >ONIVA04G06140.1 pep chromosome:AWHD00000000:4:9007802:9008323:1 gene:ONIVA04G06140 transcript:ONIVA04G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPLPFLLLVALLVAGGGGAAAETKPTAYEALATFDFPPGILPKGVVSYTLDDATGDFTATLNTTSTCAFSIQGSYSLRYQRRLSGRIAADRLTNLQGVSVKILFLWVNIVEVTRHGDELGFSVGIASADFGIDNFLESPQCGCGFDCHDHRDLSLPLPLPEPSLRLRGAF >ONIVA04G06130.1 pep chromosome:AWHD00000000:4:8991286:8998592:1 gene:ONIVA04G06130 transcript:ONIVA04G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTSVEVRRDGVAVITVSNPPVNALSLDVIASLQRDYGEALRRSDVKAIVLTGAKGRFSGGFDINAFDKKPKNEKPGYLSIDFLTDIVEDAPKPSVAAIDGVALGGGLELAMVCHARVSTSSAQLGLPELQLGIIPGLGGTQRLPRLVGLPKALEMLLMSKPLKGVEAHKFGLVDAVVSADELISTACSWALEIVEDKRPWFRSLHRTDRLPALEETKKILNFARVQAQKQSASLQHPLVCIDVIEEGIISGPRAGLMKETLCGKMLEMSQISKSLRHVFFAQRATSKIPNISNLGLTPRRIHKVAIVGGGLMGSGIATALILNNLLVILKEVNEQFLDAGISRVKANLQSLVKRGQMTKEDYENKFSLLSGVLDYEQFREADVVIEAVIEDLSLKQKIFADLEKYCHSNCILATNTSTIDLHLIGQKTSCQDRIAGAHFFSPAHAMPLLEIIRTHRTSSQVVIDLLNVAKQIRKTPIVVGNCTGFAVNRMFFPFTQVAYFLVDYGLDVYHIDHVITKFGMPMGPFRLADLVGFGVAIASRKQYLQSYPERCYKSMLIQIMLEENRTGESSRKGFYLYDDKRKASPDPEMNKYIEKSRSMASIVQDPKLPKLTDDEIVEMMLFPVVNEACRLLDEGVAMKASDLDVASIMGRGFPSYRGGVMFWADSFGAKYIYDRLKDWSKYHGGIFEPYEYLSTIARQGLSLAAMADGAMSRL >ONIVA04G06130.2 pep chromosome:AWHD00000000:4:8991286:8998592:1 gene:ONIVA04G06130 transcript:ONIVA04G06130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTSVEVRRDGVAVITVSNPPVNALSLDVIASLQRDYGEALRRSDVKAIVLTGAKGRFSGGFDINAFDKKPKNEKPGYLSIDFLTDIVEDAPKPSVAAIDGVALGGGLELAMVCHARVSTSSAQLGLPELQLGIIPGLGGTQRLPRLVGLPKALEMLLMSKPLKGVEAHKFGLVDAVVSADELISTACSWALEIVEDKRPWFRSLHRTDRLPALEETKKILNFARVQAQKQSASLQHPLVCIDVIEEGIISGPRAGLMKIPNISNLGLTPRRIHKVAIVGGGLMGSGIATALILNNLLVILKEVNEQFLDAGISRVKANLQSLVKRGQMTKEDYENKFSLLSGVLDYEQFREADVVIEAVIEDLSLKQKIFADLEKYCHSNCILATNTSTIDLHLIGQKTSCQDRIAGAHFFSPAHAMPLLEIIRTHRTSSQVVIDLLNVAKQIRKTPIVVGNCTGFAVNRMFFPFTQVAYFLVDYGLDVYHIDHVITKFGMPMGPFRLADLVGFGVAIASRKQYLQSYPERCYKSMLIQIMLEENRTGESSRKGFYLYDDKRKASPDPEMNKYIEKSRSMASIVQDPKLPKLTDDEIVEMMLFPVVNEACRLLDEGVAMKASDLDVASIMGRGFPSYRGGVMFWADSFGAKYIYDRLKDWSKYHGGIFEPYEYLSTIARQGLSLAAMADGAMSRL >ONIVA04G06120.1 pep chromosome:AWHD00000000:4:8984954:8986969:-1 gene:ONIVA04G06120 transcript:ONIVA04G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKPLHKSIATKNVDTKTNPQPAAPPLATCLQPSRTDPAPPCRHDLVRSRPPSPVVNDGCCSFPAATPSCSSPWLGRVGEDARLLLLAAMLPSCSRFGRVAAV >ONIVA04G06110.1 pep chromosome:AWHD00000000:4:8984029:8984841:-1 gene:ONIVA04G06110 transcript:ONIVA04G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEERRQRQKKGPPDISLIWHAKTECRDQKTEGRKRRGRSGSETSTPTRSSDADGRRLPVEPPMAGSGTATASYSLLGPVV >ONIVA04G06100.1 pep chromosome:AWHD00000000:4:8980400:8983700:-1 gene:ONIVA04G06100 transcript:ONIVA04G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEEEKPFNFLQILCEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGSQIQWKGLYSGLAGNIAGVLPASAVFVGIYEPTKRKLLETFPENLSAVAHFTAGAIGGIAASLIRVPTEVVKQRMQTGQFRSAPDAVRLIVGKEGFRGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKVVAKRELNDPENALIGAFAGAITGAITTPLDVMKTRLMVQNNTSFLSTPVGIADPIYFFGPQSQGSANQYSGIVSCAQTILREEGPGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSMLAERRSREPPAGKDE >ONIVA04G06090.1 pep chromosome:AWHD00000000:4:8958120:8958715:1 gene:ONIVA04G06090 transcript:ONIVA04G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPPNSLFPEPPPHTIGWPSHLVRCGDGASKRTNHHAGESPSARAARVEKGGCSRIRDAATIVSSLTPITSPRTNTRSA >ONIVA04G06080.1 pep chromosome:AWHD00000000:4:8956130:8956759:1 gene:ONIVA04G06080 transcript:ONIVA04G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGPSHSDAPPSAWGRRTRVSDGGQAATSPAGGSGSGPPASRSDAPAQIWPFSSQHSAGASGGAQRGWGRRGAAWQKAVDDTTRKEGVDSATELAAARGWHRRQQSGHRGGVGGRRHCSGRLVWVVPAAARRPAWVAPPVADVAACAGAGGCGWPARLGDVADNRHGEGVATGNGGTHVGVAGGVEDGQCVMAAQREVMSVEVEPGE >ONIVA04G06070.1 pep chromosome:AWHD00000000:4:8952077:8953119:-1 gene:ONIVA04G06070 transcript:ONIVA04G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFDVPGCFCFHIWNAWDEPSVVIVCSCITPPDALLSSVRAVLSEVRLDLRTGRYSRRELVPGLNLEAGTVNRSLLGRRTRFAYLAVAEPWPRCRGVAKVDLGTGELAAVHEYGEGRFSGEPTFVPATSATSGTGTGGREDDGHVVVMVHDEAAGTAELVVLDAGKMEVAATVAALPCRRPNI >ONIVA04G06060.1 pep chromosome:AWHD00000000:4:8929303:8949626:1 gene:ONIVA04G06060 transcript:ONIVA04G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRKEKRREKRSQQSTHVAATQQSKGIRFQRRIFAFCLGVGAPRRRRRGRRRSSTAPAYIRVCERERLQMASAAEEAKGVEEGGGGASANGGDNPATATASASAAAAASSSSPADDRGLPRSSTMPGGINNVEITNETAGPSNLERSRTERRRQNNPADDPTKQLFDDKISLKKKLKMINRIATVKHDGTVVVDVPSSLETSTTDGVAYDGYSDVTVEEPLDGADIPVRPPMQIVILIVGTRGDVQPFIAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFFPLGDMVKNKGFLPSGPSEIPIQRKQMKEIIFSLLPACKEPDPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQAAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYVGFGSLPVQDPAKMTEVIVKALEITGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPLPIPVDQFSLQKLVDAINFMMEPKVKDNAVELAKAMESEDGVSGAVRAFLRHLPSRAEETAPQQTSSFLEFLGPLSYEIIDSMIWLDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYVGFGSLFSFYDIFHVMALQAIVALYMCQYHLEASLSVSSRVIILVDPTAEGIC >ONIVA04G06050.1 pep chromosome:AWHD00000000:4:8925719:8925943:-1 gene:ONIVA04G06050 transcript:ONIVA04G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRVTNAAASSAHPTFCTYLRRNAARGTPCGRPVSSFELAPMHPWCVLDHVPYAASVLLLLRMDVCVEMSIW >ONIVA04G06040.1 pep chromosome:AWHD00000000:4:8924544:8925672:-1 gene:ONIVA04G06040 transcript:ONIVA04G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGNPCPRAYYRCTVAVGCSAAVAKSSSANAATTEMLELLRDFSDYSSFNSDISGELERLAAAVTPRSDASRVVAVDLNSGNSSSSRLTTTTPPPLLQLGRWQALPFIVPTGRCASLAAIVKEISGRRASPPWTSAAGDAVIPSYENHVTEVSTCVKHSSSHTDIDCLRLSITTPFHDYDVITLIDKIIYPLFWC >ONIVA04G06020.1 pep chromosome:AWHD00000000:4:8908427:8915166:-1 gene:ONIVA04G06020 transcript:ONIVA04G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAR2 protein family [Source:Projected from Arabidopsis thaliana (AT1G66510) TAIR;Acc:AT1G66510] MSSGGGAAAAVDPEAATELVRKGATLLLLDVPQRTLLGVDTQVFSVGPKFKGIKMVPPGPHFLYYCSPNSYGQNNLHEKPHIDYSSTICDPFRHANEFAPTVGFFLTTHPSEVIVRKWHAQEERLIKLREEEEIRYSEAVRHFEFDSQLGPYNLDSFGDWKQLSSYLSQSVIERLEPIGGEITIAWESSWMDKAPQTDMERRLMDQLKDGKFAKNAPVQSERRGCYYTTIPASIKHSNIFGDELTALNLDKTCLLESVLAKNYQGQEDLLLGELQFAFIAFMMGQSLEAFMQWKALVSLLLSCSEAFIRAIYYQLKHGFQHTQDNRSGEEMGNSLFLDEAWFSKDIFLYHLSKTRKLKSLLETTFGWDLDNNTVNLIDEDDEFAPVVVEMDGS >ONIVA04G06010.1 pep chromosome:AWHD00000000:4:8895272:8899371:-1 gene:ONIVA04G06010 transcript:ONIVA04G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPLPPLLPSPMHFLLLLLLHLLSFSSSTAASASSEVAFLTQWLNTTAARPPDWSPSASSPCKWSHVGCDAATGSVTSVTFQSVHLAAPLPPGICPALPSLASLVVSDANLTGGVPDDLHLCRRLAVLDLSGNSLSGPIPASLGNATAMASLALNSNQLSGPIPASLGNLAASLRDLLLFDNRLSGELPASLGELRLLESLRAGGNRDLGGEIPESFSRLSNLVVLGLADTKISGALPASLGRLQSLQTLSIYTTMLSGSIPAELAGCGNLTNVYLYENSLSGPLPPSLGALPRLQKLLLWQNSLTGPIPDTFGNLTSLVSLDLSINAISGAIPASLGRLPALQDLMLSDNNLTGTIPPALANATSLVQLQLDTNAISGLIPPELGRLAALQVVFAWQNQLEGSIPASLVGLANLQALDLSHNHLTGAIPPGIFLLRNLTKLLLLSNDLSGVIPPEIGKAASLVRLRLGGNRLAGTIPAAVAGMRSINFLDLGSNRLAGGVPAELGNCSQLQMLDLSNNTLTGALPESLAGVRGLQEIDVSHNQLTGGVPDAFGRLEALSRLVLSGNSLSGAIPAALGKCRNLELLDLSDNALSGRIPDELCAIDGLDIALNLSRNGLTGPIPARISALSKLSVLDLSYNALDGGLAPLAGLDNLVTLNVSNNNFTGYLPDTKLFRQLSTSCLAGNSGLCTKGGDVCFVSIDASGRPVMSADEEEVQRMHRLKLAIALLVTATVAMVLGMVGILRARGMGIVGGKGGHGGGSSDSESGGDLAWPWQFTPFQKLSFSVEQVVRNLVDANIIGKGCSGVVYRVGLDTGEVIAVKKLWPSTRNGADKDDVAGGGRVRDSFSAEVRTLGCIRHKNIVRFLGCCWNKTTRLLMYDYMANGSLGAVLHERRHGGHGGGGAQLEWDVRYRIVLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVDDGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGQHVVDWVRRRKGATDVLDPALRGRSDAEVDEMLQVMGVALLCVAPSPDDRPAMKDVAAMLNEIRLDRDDYANVDLLLKSGAAAASPPRAAAAATSTSSSTPPSSSSFSGSSAMIYNSSSKAKSPFD >ONIVA04G06000.1 pep chromosome:AWHD00000000:4:8896296:8898541:1 gene:ONIVA04G06000 transcript:ONIVA04G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVVDELGEAEVGDVGLEVEPDEDVVGLDVAVHDRRHAVVVQQQPRNLTMFLCRMHPSVLTSAENESRTRPPPATSSLSAPLRVLGQSFLTAMTSPVSRPTRYTTPEQPLPMMLASTRLRTTCSTLKLSFWNGVNCHGHARSPPDSESLLPPPCPPFPPTMPIPRARRIPTMPSTIATVAVTSSAMASLSRCIRCTSSSSALITGLPLASMLTKHTSPPLVQSPELPARHDVDSCRNSFVSGSVTRLSRPASGARPPSSALYDRSSTESLLSAEILAGIGPVSPFRLRLSAMSRPSMAHSSSGMRPERALSLRSRSSRLRHFPSAAGMAPESELPLRTRRLSASSLPNASGTPPVSWLCDTSISCRPRTPASDSGSAPVSVLLLRSSIWSCEQLPSSAGTPPARRLLPRSRKLMLLIPATAAGIVPASRFPPSRSRTRLAALPISGGMTPERSLERRSSLVRLRSKKIPGGMAPVRWLCERSSAWRLARPTSDAGMEPSSWFCHANTTCSAARRPSSGGMRPEMALVSSWSWTSDVAFASAGGMVPVRLLSLSMRSCSAGRRPSDAGMAPEMALMERSRETREVRLPKVSGMGPVSEFCHSRSFCSRGSAPSDGGSGPESEFS >ONIVA04G06000.2 pep chromosome:AWHD00000000:4:8894819:8896358:1 gene:ONIVA04G06000 transcript:ONIVA04G06000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSFMAGRSSGEGATQRRATPMTCSISSTSASERPRSAGSSTSVAPFRRRTQSTTCWPSGMVGSMGCFPIVDQMIQD >ONIVA04G05990.1 pep chromosome:AWHD00000000:4:8886056:8887089:-1 gene:ONIVA04G05990 transcript:ONIVA04G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAIRRGYTGDPPTKVLERENADAHKDQVKGLNGRISKLNDTIKELNDTIEALERQVQNLTRYKEEKQKQHANLQKEFAELERKYRDLDAAHRNCGPTVRFPVFTVGQPYYHRHN >ONIVA04G05980.1 pep chromosome:AWHD00000000:4:8878815:8879117:-1 gene:ONIVA04G05980 transcript:ONIVA04G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRGQATEVLEKGNIRLQDREIAGLEEQIRTLRMKLQNHESYEEQKRREYYNLESEYNKLHSSYRNLQACHHNLQTSYHNLEARHNALRWQMSRPGCCG >ONIVA04G05960.1 pep chromosome:AWHD00000000:4:8853546:8854247:-1 gene:ONIVA04G05960 transcript:ONIVA04G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREAFYENKLAPTHEASRRLNVEKNKSYEAQIAGLNQQISALQMKIQALDKYRQNRNRDYCELEKKFKALEIRHTDQDKKYKAMEAKYTNLDKKYVTLLEKHGDCC >ONIVA04G05940.1 pep chromosome:AWHD00000000:4:8817208:8820437:-1 gene:ONIVA04G05940 transcript:ONIVA04G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G60770) TAIR;Acc:AT1G60770] MATRVKDVARRSSKKYVDEALYRRLFRRGSTPQAVREEVDGFLDSRKRAFKWEVGVCVRRLRKQALYRPALKLSEVMARRGMNPTVSDQAIRLDLVAKSRGIAAAEKYFLDLPETSKTHLTYGALLNCYCKDLMTEKAEALMGKMKELNFAFTAMCYNSLMTLYTKVNQHEKVPSVIQDMKADDVLPDIYTYNVWMRALAARVDIKGVERVIEEMKRDGRVTPDWTTYSNLASIYVDAGLFEKAEAALKELEKWNTSNDLEAYQFLITLYARTQNLVEVHRVWRSLKRNQPRRANMSYLNMIQALANLKDLPGAEACFKEWEAQYINPPKTNTKAPGTAETSSNESDVKATKDKGTDGELKHPKYDIRVANAMIKAYITEGMFDKAVAVKKRAKMRGGRLNAKTWEIFMEHYLKEGDLKMVHWCADRAIKKGHSAGRIWVPPHEVTETLMDYFEKNKDVDGAEKFVEVLKKVQKDLGTVVFEPLVRTYAAAGKKLPGMRHRLKIENVEVSEETAKLLDSVCIDQ >ONIVA04G05930.1 pep chromosome:AWHD00000000:4:8809872:8815315:1 gene:ONIVA04G05930 transcript:ONIVA04G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:coenzyme F420 hydrogenase family / dehydrogenase, beta subunit family [Source:Projected from Arabidopsis thaliana (AT1G04620) TAIR;Acc:AT1G04620] MARCISFLSTSSSLPCPTKPPCCSVSSVLPSSPSSHQCRGRKTSCGSIRALREDWRERSKAIPPGGVYPAKDHCSQCGLCDTYYIAHVKNACAFLGDGMSRVEDLEPLVHGRGRKQDMDEMYFGVYEQLLYARKMKPVEGAQWTGIVTTIAVEMLKANMVDAVVCVQRHLNNNQILHNCFPLQKDFFHGLEKTVVCLLCSDPDDRLAPMPVLARTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVPYFCLPAKDLVDVIAPSCYRFSPEFPVIIHNELMFLLLPSCSCFDYTNGLADLVVGYMGVPKYPGVSMTQHPQYITVRNDRGREMLSLVEGLLESTPTVSSGVRQPFVIETVKADDEAKQGRGPSQPAPTFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYAKKIVEAYDKDGRIESMLQ >ONIVA04G05930.2 pep chromosome:AWHD00000000:4:8811001:8815315:1 gene:ONIVA04G05930 transcript:ONIVA04G05930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:coenzyme F420 hydrogenase family / dehydrogenase, beta subunit family [Source:Projected from Arabidopsis thaliana (AT1G04620) TAIR;Acc:AT1G04620] MQADYSISMARCISFLSTSSSLPCPTKPPCCSVSSVLPSSPSSHQCRGRKTSCGSIRALREDWRERSKAIPPGGVYPAKDHCSQCGLCDTYYIAHVKNACAFLGDGMSRVEDLEPLVHGRGRKQDMDEMYFGVYEQLLYARKMKPVEGAQWTGIVTTIAVEMLKANMVDAVVCVQRHLNNNQILHNCFPLQKDFFHGLEKTVVCLLCSDPDDRLAPMPVLARTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVPYFCLPAKDLVDVIAPSCYRFSPEFPVIIHNELMFLLLPSCSCFDYTNGLADLVVGYMGVPKYPGVSMTQHPQYITVRNDRGREMLSLVEGLLESTPTVSSGVRQPFVIETVKADDEAKQGRGPSQPAPTFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYAKKIVEAYDKDGRIESMLQ >ONIVA04G05920.1 pep chromosome:AWHD00000000:4:8793241:8802230:-1 gene:ONIVA04G05920 transcript:ONIVA04G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDAAEVEVRRRLRDVGARLSSLPDDGELLRLLQEAAKLLYRVNQCEVDRIHSALIPVMRALIKKELLDHTDPRVKLAVASCLTTLIKIRAPDPPYDDDVMKDVLKLVVGVFCELDDVDCPSYGTRVSMLGTFARIRGCALLLDLDCNDLIRDMFHHFFRTVSNTHQEHVISYMETIMKFVIEDITDMEQDLIKDLASCLLQNVKKEEKETPPASFVLAERVIGLCHEKLKPVFIKLLQGAPITEYSNLVTSFLQDAIVAGDNNVGAFMHDMKEVVSPKSSTMMGKTIGQPADSGDELKPEIVQGTKEAPNSNKKALDGIVGSRIKVRWPADEMFYNGLVKSFDASSETHEIVYDHGDVVRQSLKDEKWEFIAEEQDYNPDASPDMLEDRSDEGSLGQPFQDVHKAASSHSFVIQEKYNTVLNEIGCISTETTGSLLVRVSGWENCHLEPEKVSTVYTGQLPKASVLQLQIATHKPINRGLVPPDIQPRIVEALQLNQKRPAMKIQPRIARELQLSLNKHARKVQPRIVEESQLNHNRHDGMVQPGVARESQVNQNMHVTKGVQKHMARYNNNKPTEIEGANVGTTKHAKVKRAALACENVVGRDVFLKRIVRPYNRVARATIQSQDPLEMVGGTMLGRECYKEYPRQ >ONIVA04G05920.2 pep chromosome:AWHD00000000:4:8793241:8802230:-1 gene:ONIVA04G05920 transcript:ONIVA04G05920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDAAEVEVRRRLRDVGARLSSLPDDGELLRLLQEAAKLLYRVNQCEVDRIHSALIPVMRALIKKELLDHTDPRVKLAVASCLTTLIKIRAPDPPYDDDVMKDVLKLVVGVFCELDDVDCPSYGTRVSMLGTFARIRGCALLLDLDCNDLIRDMFHHFFRTVSNTHQEHVISYMETIMKFVIEDITDMEQDLIKDLASCLLQNVKKEEKETPPASFVLAERVIGLCHEKLKPVFIKLLQGAPITEYSNLVTSFLQDAIVAGDNNVGAFMHDMAPNSNKKALDGIVGSRIKVRWPADEMFYNGLVKSFDASSETHEIVYDHGDVVRQSLKDEKWEFIAEEQDYNPDASPDMLEDRSDEGSLGQPFQDVHKAASSHSFVIQEKYNTVLNEIGCISTETTGSLLVRVSGWENCHLEPEKVSTVYTGQLPKASVLQLQIATHKPINRGLVPPDIQPRIVEALQLNQKRPAMKIQPRIARELQLSLNKHARKVQPRIVEESQLNHNRHDGMVQPGVARESQVNQNMHVTKGVQKHMARYNNNKPTEIEGANVGTTKHAKVKRAALACENVVGRDVFLKRIVRPYNRVARATIQSQDPLEMVGGTMLGRECYKEYPRQ >ONIVA04G05920.3 pep chromosome:AWHD00000000:4:8793241:8802230:-1 gene:ONIVA04G05920 transcript:ONIVA04G05920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERDAAEVEVRRRLRDVGARLSSLPDDGELLRLLQEAAKLLYRVNQCEVDRIHSALIPVMRALIKKELLDHTDPRVKLAVASCLTTLIKIRAPDPPYDDDVMKDVLKLVVGVFCELDDVDCPSYGTRVSMLGTFARIRGCALLLDLDCNDLIRDMFHHFFRTVSNTHQEHVISYMETIMKFVIEDITDMEQDLIKDLASCLLQNVKKEEKETPPASFVLAERVIGLCHEKLKPVFIKLLQGAPITEYSNLVTSFLQDAIVAGDNNVGAFMHDMKEVVSPKSSTMMGKTIGQPADSGDELKPEIVQGTKEAPNSNKKALDGIVGSRIKVRWPADEMFYNGLVKSFDASSETHEIVYDHGDVVRQSLKDEKWEFIAEEQDYNPDASPDMLEDRSDEGSLGQPFQDVHKAASSHSFVIQEKYNTVLNEIGCISTETTGSLLVRIQPRIVEALQLNQKRPAMKIQPRIARELQLSLNKHARKVQPRIVEESQLNHNRHDGMVQPGVARESQVNQNMHVTKGVQKHMARYNNNKPTEIEGANVGTTKHAKVKRAALACENVVGRDVFLKRIVRPYNRVARATIQSQDPLEMVGGTMLGRECYKEYPRQ >ONIVA04G05920.4 pep chromosome:AWHD00000000:4:8793241:8802230:-1 gene:ONIVA04G05920 transcript:ONIVA04G05920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERDAAEVEVRRRLRDVGARLSSLPDDGELLRLLQEAAKLLYRVNQCEVDRIHSALIPVMRALIKKELLDHTDPRVKLAVASCLTTLIKIRAPDPPYDDDVMKDVLKLVVGVFCELDDVDCPSYGTRVSMLGTFARIRGCALLLDLDCNDLIRDMFHHFFRTVSNTHQEHVISYMETIMKFVIEDITDMEQDLIKDLASCLLQNVKKEEKETPPASFVLAERVIGLCHEKLKPVFIKLLQGAPITEYSNLVTSFLQDAIVAGDNNVGAFMHDMAPNSNKKALDGIVGSRIKVRWPADEMFYNGLVKSFDASSETHEIVYDHGDVVRQSLKDEKWEFIAEEQDYNPDASPDMLEDRSDEGSLGQPFQDVHKAASSHSFVIQEKYNTVLNEIGCISTETTGSLLVRIQPRIVEALQLNQKRPAMKIQPRIARELQLSLNKHARKVQPRIVEESQLNHNRHDGMVQPGVARESQVNQNMHVTKGVQKHMARYNNNKPTEIEGANVGTTKHAKVKRAALACENVVGRDVFLKRIVRPYNRVARATIQSQDPLEMVGGTMLGRECYKEYPRQ >ONIVA04G05910.1 pep chromosome:AWHD00000000:4:8791060:8792550:1 gene:ONIVA04G05910 transcript:ONIVA04G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZ27] MGSTATARRQHHAVMVPYPAQGHVTPMLKLAVLLHARGFHVTFVNTEFNHRRLLASRGAAALDGVVPGFRFAAIPDGLPPSDPDATQDIPALCYSTMTTCLPHLDALLATINADAAAAPPVTCVVCDGVMSFAYDAARRIGVPCAALWTASACGLMGYRHYRHLVERGLVPLRDAAQLTDGYLDTVVDGARGMCDGVRLRDLPSFIRTTDRGDTMLNFLMRECERLSLPDAVIVNTFDDLERQALDEMRRVLPPPVYAVGPLLLQVRRAVPAGSQLDVAVGSNLWKEQGGLLEWLDGRPPRSVVYVNYGSIAVMTNEQLLEFAWGLAHSGYPFLWNVRPDLVKGDAAVLPPEFLAAVEGRGLLTTWCPQEQVIEHPAVGVFLTHSGWNSTLESLAAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEARRGEVAALIREAMEGEKGAEMRRRAAGWKEAAARAARPGGPAECGLDRLIHEVLLAGGNKGGQRLS >ONIVA04G05900.1 pep chromosome:AWHD00000000:4:8773662:8780422:1 gene:ONIVA04G05900 transcript:ONIVA04G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZ24] MGSVAPPEKQDATMAARRRQHHAVMIPYPAQGHVTPMMKLAKLLHARGFHVTFVNTEFNHRRMLASQGAAALDGGVPGFRFAAIPDGLPPSDADATQDIPALCHSTMTTCLPYVVALLAELNDPTSGVPPVTCVVADAIMSFAYDAARRIGVPCAALCTPSACGFVGYSHYRQLVERGLVPLKDAAQLADGYLDTVVDGARGMCDGVQLRDFPSFIRTTDRGDIMLNFIMREAERLTLPDAVILNTFDDLERPALDAMRAILPPVYTVGPLHLHVRHVVPKGSPLDTAIGSNLWKEQDGLLEWLDGRPPRSVVYVNYGSITVMTNEQLLEFAWGLAHSGYPFLWNVRPDIVKGDAAVLSPEFLTAVEGRSMLTTWCPQEQVIEHPAVGVFLTHSGWNSTLESLCAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEVERSDVAATIREAMEGEKGREMRRRAAEWKEMATRVTLPGGTADINLTRLIDEVLLGGGKKRQALDARD >ONIVA04G05900.2 pep chromosome:AWHD00000000:4:8773662:8777563:1 gene:ONIVA04G05900 transcript:ONIVA04G05900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZ24] MMVPLDPSSKPTSQRRIAEGDTVVVYERHDAMRAVAVRPGAVLQNRFGVFRHDDWIGRPFGCKVHSAASAGGGGARGGKGKGGGFVHLLAPTPELWTLVLSHRTQILYLADISLVVSYLELVPGCVVLESGTGSGSLTTSLARAVAPHGRVCTFDFHDQRAASAREDFERNGLTSIITVAVRDIQGQGFPEEHTGAADAVFLDLPQPWLAIPSAGTMLKQDGVLCSFSPCIEQVQRACEAMRSCFTDIRTFEILLRTYEVREGGLKGATTNEESNAVPLAQKKRKLLAAAETLDVKQNSSSVMVRPCSTARGHTGYLTFARLRVHGN >ONIVA04G05900.3 pep chromosome:AWHD00000000:4:8773662:8776931:1 gene:ONIVA04G05900 transcript:ONIVA04G05900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GZ24] MMVPLDPSSKPTSQRRIAEGDTVVVYERHDAMRAVAVRPGAVLQNRFGVFRHDDWIGRPFGCKVHSAASAGGGGARGGKGKGGGFVHLLAPTPELWTLVLSHRTQILYLADISLVVSYLELVPGCVVLESGTGSGSLTTSLARAVAPHGRVCTFDFHDQRAASAREDFERNGLTSIITVAVRDIQGQGFPEEHTGAADAVFLDLPQPWLAIPSAGTMLKQDGVLCSFSPCIEQVQRACEAMRSCFTDIRTFEILLRTYEVREGGLKGATTNEESNAVPLAQKKRKLLAAAETLDVKQNSSSVMVRPCSTARGHTGYLTFARLRVHGN >ONIVA04G05890.1 pep chromosome:AWHD00000000:4:8767440:8767854:1 gene:ONIVA04G05890 transcript:ONIVA04G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEVGGVGLVVDVISSEEHHGQPLRAAPEHGHRRGRRVLALTTLLFNDRDDLAQDSIVLLARKAEQTSGAVAVLSQPGLTAHLAEALAASSS >ONIVA04G05880.1 pep chromosome:AWHD00000000:4:8749713:8750854:1 gene:ONIVA04G05880 transcript:ONIVA04G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCAVEVDGIVKFRPLYGARSSSSTLSLGFAGFYNQLFDVGASRPRTGPREGRGEGERGAGAPVVERLRRQRKNMFPSDAHPGRVVACQGEEGYGRNGVDKSLVPAGKRSGDGGLIWRGKEGESRGMGLPRRDEGIGSRAWSVMLQRGRSADGRHGKEREKKSSKLGCGRNGMSSPAIYRRRGAVVNGGSGGGRRLGHGDGGAKGEVMAPALFVHWSAVEARTRGGRGTVERRRRTDTERR >ONIVA04G05870.1 pep chromosome:AWHD00000000:4:8737108:8737548:1 gene:ONIVA04G05870 transcript:ONIVA04G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAIAARGAAVATSHGGFEASRCSLCARAPLPAATALKPPTAITHGLFTMLTIVAGLDSLQLPRVVPTRSDAIKLDPHVGCLDPATPAPDPLLSVRRVTILMLRQVATGERPHHHPPGWPLGSPAAHSGGGDGRDRKEARQQWG >ONIVA04G05850.1 pep chromosome:AWHD00000000:4:8692178:8695080:-1 gene:ONIVA04G05850 transcript:ONIVA04G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIDDRHVCLKISNQESTTSMFRSHKQRHLVRLTDAQLALRQDASTVNGCEVPDTTGLGSFAE >ONIVA04G05840.1 pep chromosome:AWHD00000000:4:8689374:8691616:-1 gene:ONIVA04G05840 transcript:ONIVA04G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIQGKTERKILQANLPMERVLQTVPNTVDESQWPTLVSYWYSKDSKKISDRNQENALKHPHTLGRKSFTRKRKELEVNGVEVDRETFFDECHKTKDGRYVTDATQEKMNEVYMKLAEKRVDSQQLTEADFEQAMLDVFGKDHSGRVRGMGPTITPTNYYGGRFLNISAINEQGTSSSNRNIPRTICWLGCHHPLLGSSQQVRLIKTKKFRHQILRHLPVREHLLSLQLKKNTCNPYNLTQRRTTVAQVKTSVPRALPNLSVIATK >ONIVA04G05830.1 pep chromosome:AWHD00000000:4:8684360:8685767:-1 gene:ONIVA04G05830 transcript:ONIVA04G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLGRSTASGRRMSALTISKHESEINGCTGPSMVMPPLTSMFAPTVCNPHTPVVAEFKPVIVSGLDLHDILVVANSADAITGVSDFDLKIVIAAGAVAVLLAMFPCS >ONIVA04G05820.1 pep chromosome:AWHD00000000:4:8678348:8678725:1 gene:ONIVA04G05820 transcript:ONIVA04G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIAWQRCVIYGLRRLGDVPCNSDEMAYDVAGAGDALRAPSSGTPAGFLLEQPADEFEEDGNADESERTSVMSRRGGCDREGDNVQRLGDITRRRGIEVAAGEAKTSWQQVDVSPATDVSDERE >ONIVA04G05810.1 pep chromosome:AWHD00000000:4:8661529:8661990:-1 gene:ONIVA04G05810 transcript:ONIVA04G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAVAALLVVGSCATELTFKVAEGSSAKSLELVTNVAISEVEVKEKGGKDWVALKESSSNTWTLKSEAPLKGPFSVRFLKEKGGKDWVALKESSSNTWTLKSESPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGIQL >ONIVA04G05800.1 pep chromosome:AWHD00000000:4:8657259:8658193:1 gene:ONIVA04G05800 transcript:ONIVA04G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSPSDLTAAAVTRGRIRFSINPFGLASSSWLIFPPATYRSSEMLLEWSSVNPETTPNSLRTSEECNFRNALCGSSYTRIPNAS >ONIVA04G05790.1 pep chromosome:AWHD00000000:4:8650665:8651057:-1 gene:ONIVA04G05790 transcript:ONIVA04G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAIVAPTVADPAIATGRLFLIGDDSDIRQGSGFSRLLLVASLSSAAVPLLAASSSSSAVAAPGGDENDTTPSRLLVVGGSATLSRLPPCRAAAQPPTTTSSRSYERRGGKRERREKIIPGEKKGERG >ONIVA04G05780.1 pep chromosome:AWHD00000000:4:8646225:8646491:-1 gene:ONIVA04G05780 transcript:ONIVA04G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPGGIIIVVVTSLLLSRCRRREREEPSRCIPSPPLTSSSPPPPLPKTCQRWIGVLMAGWRWICAPRASRWQIQEESLLSSQPPHC >ONIVA04G05770.1 pep chromosome:AWHD00000000:4:8634067:8635839:-1 gene:ONIVA04G05770 transcript:ONIVA04G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPGAAGVAERWTARALAGAVLDVALVWACLWVAAAASAAASALALLGVPLPCTCARPHLPCLLAFLSRYPSRALASLASALLSRFPFASSCSPSPSSDDDHGVEHEESGRVDGVEEVDEHPADVGEVRREAVVVEEERDARAALQRELEKERSAAASAAEEAMAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDIVLVRERESRALQKEVDAYRRLLGLAAEDGDDDDDEDDMMTPPSTMVEGEPSTSRSVYKDSRNGAMPQLGNDYGFSLKTPFSPQELLSPIKVGHIKGINEDKLSAGPSEKIPMVGLKPEVDSSKDDRVETIEILPLSARSLDQVGYGEVVAAVDTKAPEQLPHEFQEECGGVDKIGGDSTGSENDANIYDVHVVDDICFPTEVKGLICRSFSDATMQAEKLQNRVAADDLLGKSLNAIKGAQDKIKHAASERKQSLQLQLLEDIANQLQEIKVAAEAGRQLHCASPRNLKKS >ONIVA04G05760.1 pep chromosome:AWHD00000000:4:8629137:8635515:1 gene:ONIVA04G05760 transcript:ONIVA04G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRHRLAAAAALLLLLLSSAAALSSSAAEGEEDPLIEQVVGDGEEEDAQLDAEAHFASFERRFGRTYRDAGERAYRMSVFAANLRRARRHQRLDPTATHGVTKFSDLTPGEFRDRFLGLRRPSLEGLVGGEPHEAPILPTDGLPDDFDWREHGAVGPVKDQGSCGSCWSFSTSGALEGAHFLATGKLEVLSEQQMVDCDHECDASESRACDSGCNGGLMTTAFSYLMKSGGLQSEKDYPYAGRENTCKFDKSKIVAQVKNFSVISVNEDQIAANLVKHGPLAIAINAAYMQTYIGGVSCPFICGRHLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWGENWGEKGYYKICRGPHDKNKCGVDSMVSSVTAIHTSKKEE >ONIVA04G05750.1 pep chromosome:AWHD00000000:4:8608381:8619801:1 gene:ONIVA04G05750 transcript:ONIVA04G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRAVKTEAAAAAAVERPRPLAMAAAGGGGRGGRPASAGPSKSATKQFWKAGDYDGKPLGDGAPQSSVSGLDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENNKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGKGGKRLTQSVGMLSYTFLRSTNKEDIVVPMIDYENQQGWKRKPRTTFADWNTSLQTIITWSPYSTEAELLEQFSSIKEQGTRIIIYNLWEDDEGHLELDFDEDIHDIQLRGGNRDEKNILMAKQFPNSKHFLTYRHSLRSYASILYLRVPSFFQMILRGKEIEHHNIVTDMMLKKEVKYKPVAPNGVPKDSNMVADVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLIQMQKDYWSGNAHRIGYSGTRAGRSNETEEDAPEVTQSAQQSPYSTGKNYGISNKKQSPYSTGKDNGKSNTKSGKASTSFHTQRRTDKSATTKQPGRSIMYGLSDTSDESDSEFVGAPTPTSSRSHILNPHRKSFQNGTALATPPSNGRTERERNKTASQPVQLNAASNGDYTIDDHETIIKQLRDENSSLKERLLKVEESLSQELAIERDKNKSLTERLEDAQRQLETSNKEQEALIDIFSEERGRRDLEEENLRGKLKDASSTIQDLLEQLNAARKGRKF >ONIVA04G05750.2 pep chromosome:AWHD00000000:4:8608355:8619801:1 gene:ONIVA04G05750 transcript:ONIVA04G05750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRAVKTEAAAAAAVERPRPLAMAAAGGGGRGGRPVEEDFIELSSSDSDSDGEGGGGGGGSAKRSRGVGAGGSAGKRARVSAAGVAVDLPPGFLDPLPHAASAAAGAEASAGPSKSATKQFWKAGDYDGKPLGDGAPQSSVSGLDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENNKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGKGGKRLTQSVGMLSYTFLRSTNKEDIVVPMIDYENQQGWKRKPRTTFADWNTSLQTIITWSPYSTEAELLEQFSSIKEQGTRIIIYNLWEDDEGHLELDFDEDIHDIQLRGGNRDEKNILMAKQFPNSKHFLTYRHSLRSYASILYLRVPSFFQMILRGKEIEHHNIVTDMMLKKEVKYKPVAPNGVPKDSNMVADVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLIQMQKDYWSGNAHRIGYSGTRAGRSNETEEDAPEVTQSAQQSPYSTGKNYGISNKKQSPYSTGKDNGKSNTKSGKASTSFHTQRRTDKSATTKQPGRSIMYGLSDTSDESDSEFVGAPTPTSSRSHILNPHRKSFQNGTALATPPSNGRTERERNKTASQPVQLNAASNGDYTIDDHETIIKQLRDENSSLKERLLKVEESLSQELAIERDKNKSLTERLEDAQRQLETSNKEQEALIDIFSEERGRRDLEEENLRGKLKDASSTIQDLLEQLNAARKGRKF >ONIVA04G05750.3 pep chromosome:AWHD00000000:4:8608355:8620128:1 gene:ONIVA04G05750 transcript:ONIVA04G05750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRAVKTEAAAAAAVERPRPLAMAAAGGGGRGGRPVEEDFIELSSSDSDSDGEGGGGGGGSAKRSRGVGAGGSAGKRARVSAAGVAVDLPPGFLDPLPHAASAAAGAEASAGPSKSATKQFWKAGDYDGKPLGDGAPQSSVSGLDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENNKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGKGGKRLTQSVGMLSYTFLRSTNKEDIVVPMIDYENQQGWKRKPRTTFADWNTSLQTIITWSPYSTEAELLEQFSSIKEQGTRIIIYNLWEDDEGHLELDFDEDIHDIQLRGGNRDEKNILMAKQFPNSKHFLTYRHSLRSYASILYLRVPSFFQMILRGKEIEHHNIVTDMMLKKEVKYKPVAPNGVPKDSNMVADVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLIQMQKDYWSGNAHRIGYSGTRAGRSNETEEDAPEVTQSAQQSPYSTGKNYGISNKKQSPYSTGKDNGKSNTKSGKASTSFHTQRRTDKSATTKQPGRSIMYGLSDTSDESDSEFVGAPTPTSSRSHILNPHRKSFQNGTALATPPSNGRTERERNKTASQPVQLNAASNGDYTIDDHETIIKQLRDENSSLKERLLKVEESLSQELAIERDKNKSLTERLEDAQRQLETSNKEQEALIDIFSEERGRRDLEEENLRGKLKRCDKVNNSREAL >ONIVA04G05740.1 pep chromosome:AWHD00000000:4:8598590:8600788:-1 gene:ONIVA04G05740 transcript:ONIVA04G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA synthetase 5 [Source:Projected from Arabidopsis thaliana (AT1G62940) TAIR;Acc:AT1G62940] MGDAAVPAMVVEEEEQEHVFRSRFPPVAVPDGVTVPEFVLDGAEAYADRVALVEAAAGGRSYTYGEVARDTARFARALRSVGVRKGHVVVVALPNLAVYPVVSLGIMSAGAVFSGVNPRALAAEIKKQVEDSEAKLVVANEVAFDKVKDAGVPVIGVGDRERMPGAISWDELLAAADRTGAGVVPVDAAQQSDLCALPYSSGTTGVSKGVMLSHRNLVSNLCSSMFAVAPETAGQVVTLGLMPFFHIYGITGICCATLRHKGTVVVMDRFDLRTFLRALVDHRVMFAPLVPPVMLAMVKSPVADEFDLSDLALKSVMTAAAPLAPDLLAAFQRKFPGVQVEEAYGLTEHSCITLTHAAGDGHGHVAKKSSVGFILPNLEVKFVDPDTGRSLPANTPGELCVRSQSVMQGYYKRKEETERTVDGKGWLHTGDVGYIDGDGDVFIVDRIKELIKYKGFQVAPAELEAVLLSHPSVEDAAVFGVPDEEAGEVPVACVVRRHGAEEGEEEIVAYVAERVASYKRVRVLQIVDAIPKSVSGKILRRQLRDEFIKRMKPSA >ONIVA04G05730.1 pep chromosome:AWHD00000000:4:8588629:8593477:-1 gene:ONIVA04G05730 transcript:ONIVA04G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRANLSRGVKEIRFLFCQSSPASAPAREFVKKNYGDIKARNPSLPVLIRECSGVEPQLWARYDMGVERCVRLDGLTEAQIDSKLEELAKAGGSLKSK >ONIVA04G05720.1 pep chromosome:AWHD00000000:4:8582068:8587986:1 gene:ONIVA04G05720 transcript:ONIVA04G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVDVLLACLALLLLASSCAAGAPTVAAAQTSAAGGGYGAGCAKCCGDLTTFDYPFGIGAGCARGHDFQLICNTTTQPPTLFLSDGFTQVINSIKPYGAAGYVYVNFSLDIPMRSGVDLYNVSWTVPGDSFSVRDSARPTIIDDTSDEGECQRNIPIVRGFQLQFVHRHEHGEGQRTSVDSVRIENDGVRLGWAIVDHSTCAEAKRDKSSYACASKHSRCDDNLSFTASRAGYLCKCTDGYQGNPYAPNGCRRDVGLPDARYDRFPSKNNCSPSCGNISVPYPFGLEKGCSANQHFLLRCTCYKDNKSTNPDLLWWATRYTDEPSTPTKLVRIDISQGLIILTGEHYEEFLAMDGTASTRVSDGSAKDFVVKNLHFAITNQTCKEAQQNTTGYACVSVNSTCLAVNTGDGYIGYRCKCKHGFEGNPYIKDGCQDVDECSTAPGICPEICNNTVGNYICIKCPAKSEYNDKTKRCTPVSSSDLVLALEFYCLA >ONIVA04G05720.2 pep chromosome:AWHD00000000:4:8582068:8587986:1 gene:ONIVA04G05720 transcript:ONIVA04G05720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVDVLLACLALLLLASSCAAGAPTVAAAQTSAAGGGYGAGCAKCCGDLTTFDYPFGIGAGCARGHDFQLICNTTTQPPTLFLSDGFTQVINSIKPYGAAGYVYVNFSLDIPMRSGVDLYNVSWTVPGDSFSVRDSARVTIGHGNFDVYLLDAYSSKRIILCSLTQPTIIDDTSDEGECQRNIPIVRGFQLQFVHRHEHGEGQRTSVDSVRIENDGVRLGWAIVDHSTCAEAKRDKSSYACASKHSRCDDNLSFTASRAGYLCKCTDGYQGNPYAPNGCRRDVGLPDARYDRFPSKNNCSPSCGNISVPYPFGLEKGCSANQHFLLRCTCYKDNKSTNPDLLWWATRYTDEPSTPTKLVRIDISQGLIILTGEHYEEFLAMDGTASTRVSDGSAKDFVVKNLHFAITNQTCKEAQQNTTGYACVSVNSTCLAVNTGDGYIGYRCKCKHGFEGNPYIKDGCQDVDECSTAPGICPEICNNTVGNYICIKCPAKSEYNDKTKRCTPVSSSDLVLALEFYCLA >ONIVA04G05710.1 pep chromosome:AWHD00000000:4:8565959:8566887:1 gene:ONIVA04G05710 transcript:ONIVA04G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGVPITDETLEAMSRYAGKSISQVDRAREAMRLIHAEGKNLDALQHAVGLKASYGDGVSAMVLVYNATGAALELVDGEGGTMDWYGYVYHEQPPASFQNGQWLAFLHAHPTAQSIGCEAARVFRGRDVDGQVRDFMVAWSLPWSATQNSAYTEVREKDHFPNYWGYIKEEKLEKAGKICTDQTDKNCASTVSVGGCTSSEFIAVLQHKFGPLPEE >ONIVA04G05700.1 pep chromosome:AWHD00000000:4:8564535:8565410:-1 gene:ONIVA04G05700 transcript:ONIVA04G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFGDVVDNYKLDEMERYVGKAKRQEDRAREAMNLVNEDGKDKKAASYVQGVKDWYGNGESTLCLVYNATGATLRHVADHDWWGFIGRTPYPTEIGNGQWAAFHHVHKSGDSSGSEAAVVYRGTNADGVERDLLVAWSTPWSSFYRNKAYCAVGGVDSFQGEWEQLYDKVNNAAYTCNTDSDGFKIDASTATGDSPVFTATIKIHFSQ >ONIVA04G05690.1 pep chromosome:AWHD00000000:4:8520565:8521766:-1 gene:ONIVA04G05690 transcript:ONIVA04G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMGDGGDLVMLMEVSQLKKLALLLRNNEEAQITQAVKSQNERVKYLHSVNHAYNHAVDLLDDGSATRDKYAAAAAGGGGEAKASIAEDVLEYVKYGLNMSMQNVRNCCLRVDCIGKIRAHYDSLVADLAGLHADDVANLRRLAKDTAMFKECMFEHCNKLRSGSARAMSKAFSMMLKQEGIKFPDLVKRHKNKLGFEGEFEHLTDAQKLEVYNSIIEESGRAKMPVTEMVSTAAGVAVLLATAGLMVWDIFTAEHTVEAVLRNSLNALAEVGAFAVQVVVEGAVTEAVADLELGVFVVSMAGFVAGAVAGLLFVAVAGVLVDLIMGTGGNVAPPVTDLKFHTATMPDGMQLAYIISHRG >ONIVA04G05680.1 pep chromosome:AWHD00000000:4:8502466:8503506:-1 gene:ONIVA04G05680 transcript:ONIVA04G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISDAALPLLLLVFAVATLVQAYHGNMSLPSAATLAGCKRSCGNLTFDYPFGTGSSHCFKQPDFELICDDTTRPPRLLFKSSTTEIVESPDSWSSNVAFSHTISMESNVSMYNMSWDAPGKSFALDYALMNITGCNFDTYRVLHDHEGDMPAKLCSVTCPNEGITEAVARQTCNGTGCCSVSVEIAANSLQLMFVRHGKGNYEPDTHSNRSSLWNTINITTV >ONIVA04G05670.1 pep chromosome:AWHD00000000:4:8499120:8502417:-1 gene:ONIVA04G05670 transcript:ONIVA04G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRTHNACVSRNSTCIDDLFIPAVGYICSCDGGYQGNPYILDGCLRDTGYHPFQQKKNCTRKCGSIDIPYPFGLEEGCSARKLFQLNCTDMSSSSLQLNDNYHVKYIKVNEGLVGIEDTSYFKQDMYQMHVSKEPQLYIGSGESASVQWAVANLTCQEAWQNKSGYACVSNSSTCLPVDSRDGYIGYRCECTPGFQGNPYVQDGCQDIDECMMPGKCKGVCHNTVGSYHCVACPHRTQYDTTKMQCTSTKRQNLILGIVIGFSCGFGILLVSLSTMVFIRRWKNDIQKQLRRKHFRKNQGLLLEQLISSDENASDKTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKDIKDGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFDILHSGSSSRFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVADFGASRLVPIDQTHIVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWELKARPIKEIVAAQVCEEATEEEIKSVSSLAEMCLMLRSEDRPTMKQVEMTLQFLRTKRLTSSHAAAENDEEMQSLLHTRSEVSCESLANNLGVSANPESGNSHKCYSLEQEFISSIGLPR >ONIVA04G05670.2 pep chromosome:AWHD00000000:4:8499120:8502417:-1 gene:ONIVA04G05670 transcript:ONIVA04G05670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRTHNACVSRNSTCIDDLFIPAVGYICSCDGGYQGNPYILDGCLRDTGYHPFQQKKNCTRKCGSIDIPYPFGLEEGCSARKLFQLNCTDMSSSSLQLNDNYHVKYIKVNEGLVGIEDTSYFKQDMYQMHVSKEPQLYIGSGESASVQWAVANLTCQEAWQNKSGYACVSNSSTCLPVDSRDGYIGYRCECTPGFQGNPYVQDGCQGIVIGFSCGFGILLVSLSTMVFIRRWKNDIQKQLRRKHFRKNQGLLLEQLISSDENASDKTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKDIKDGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFDILHSGSSSRFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVADFGASRLVPIDQTHIVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWELKARPIKEIVAAQVCEEATEEEIKSVSSLAEMCLMLRSEDRPTMKQVEMTLQFLRTKRLTSSHAAAENDEEMQSLLHTRSEVSCESLANNLGVSANPESGNSHKCYSLEQEFISSIGLPR >ONIVA04G05660.1 pep chromosome:AWHD00000000:4:8483300:8489365:1 gene:ONIVA04G05660 transcript:ONIVA04G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIKMIDMSPFSSVVPLLLFVLFSAVNPTVRSSSAPGNQGINSTFLLSADTLEGCPRSCGNLSFNYPFGIGSGCFRNPDFNLTCDNTAQPPRLFLQGGTTEVVDDIDPITYGTPYFMSVDVDFSQVISMRPGANTYNMSWHAPGRSFALDYAFLNITGCDFDIYLIDQDRNSGVRLCTVTCPDKEITEKVARQNCNGTGCCTIELDTRLSAFQFKFVHHGKGELEARTNKSSLWDRINITTVDASLLWSIVDQPTCASTRDNRTNYACISSYSKCYDNPLTPDLGYLCGCDSGYSGNPYVRNGCQRDNGYIPAEQKANCSRSCGNISVPFPFGLEEGCFARKLFQLNCTNASSSSLQFDDEHQVAYINISEGLVGIRYTSWYEQLEFKVYVPKQPDLYVGSGESSSVKWAVANLTCLEAKQNYSGYACVSINSTCLGVNSTDGYIGYRCQCLPGFQGNPYVQNGCEDIDECNTLGICKGVCHNTIGTYYCTDCPYKTQYDSIQMKCTSTGKQNILLGIIIGLSVGFAILIVSLSATFISRRWKRDIQKKLRRKHFQKNQGLLLEQLILSDQNATDKTTIFSLEELEKATNNFDSTRILGRGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSGSSSDFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWEIKCLMLRSEDRPTMKQVEMTLQFLRTKKLNSCHATPENDAEMQLLLPRRSEASREQLAIDLGHSANSGSRNSQKCYSLEQEFISSVGVPR >ONIVA04G05660.2 pep chromosome:AWHD00000000:4:8483300:8489642:1 gene:ONIVA04G05660 transcript:ONIVA04G05660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIKMIDMSPFSSVVPLLLFVLFSAVNPTVRSSSAPGNQGINSTFLLSADTLEGCPRSCGNLSFNYPFGIGSGCFRNPDFNLTCDNTAQPPRLFLQGGTTEVVDDIDPITYGTPYFMSVDVDFSQVISMRPGANTYNMSWHAPGRSFALDYAFLNITGCDFDIYLIDQDRNSGVRLCTVTCPDKEITEKVARQNCNGTGCCTIELDTRLSAFQFKFVHHGKGELEARTNKSSLWDRINITTVDASLLWSIVDQPTCASTRDNRTNYACISSYSKCYDNPLTPDLGYLCGCDSGYSGNPYVRNGCQRDNGYIPAEQKANCSRSCGNISVPFPFGLEEGCFARKLFQLNCTNASSSSLQFDDEHQVAYINISEGLVGIRYTSWYEQLEFKVYVPKQPDLYVGSGESSSVKWAVANLTCLEAKQNYSGYACVSINSTCLGVNSTDGYIGYRCQCLPGFQGNPYVQNGCEDIDECNTLGICKGVCHNTIGTYYCTDCPYKTQYDSIQMKCTSTGKQNILLGIIIGLSVGFAILIVSLSATFISRRWKRDIQKKLRRKHFQKNQGLLLEQLILSDQNATDKTTIFSLEELEKATNNFDSTRILGRGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSGSSSDFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWEIKVKPIREIVAAYVHEEATEDEINSVASLAEKCLMLRSEDRPTMKQVEMTLQFLRTKKLNSCHATPENDAEMQLLLPRRSEASREQLAIDLGHSANSGSRNSQKCYSLEQEFISSVGVPR >ONIVA04G05660.3 pep chromosome:AWHD00000000:4:8483300:8489642:1 gene:ONIVA04G05660 transcript:ONIVA04G05660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIKMIDMSPFSSVVPLLLFVLFSAVNPTVRSSSAPGNQGINSTFLLSADTLEGCPRSCGNLSFNYPFGIGSGCFRNPDFNLTCDNTAQPPRLFLQGGTTEVVDDIDPITYGTPYFMSVDVDFSQVISMRPGANTYNMSWHAPGRSFALDYAFLNITGCDFDIYLIDQDRNSGVRLCTVTCPDKEITEKVARQNCNGTGCCTIELDTRLSAFQFKFVHHGKGELEARTNKSSLWDRINITTVDASLLWSIVDQPTCASTRDNRTNYACISSYSKCYDNPLTPDLGYLCGCDSGYSGNPYVRNGCQRDNGYIPAEQKANCSRSCGNISVPFPFGLEEGCFARKLFQLNCTNASSSSLQFDDEHQVAYINISEGLVGIRYTSWYEQLEFKVYVPKQPDLYVGSGESSSVKWAVANLTCLEAKQNYSGYACVSINSTCLGVNSTDGYIGYRCQCLPGFQGNPYVQNGCEGIIIGLSVGFAILIVSLSATFISRRWKRDIQKKLRRKHFQKNQGLLLEQLILSDQNATDKTTIFSLEELEKATNNFDSTRILGRGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSGSSSDFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWEIKVKPIREIVAAYVHEEATEDEINSVASLAEKCLMLRSEDRPTMKQVEMTLQFLRTKKLNSCHATPENDAEMQLLLPRRSEASREQLAIDLGHSANSGSRNSQKCYSLEQEFISSVGVPR >ONIVA04G05650.1 pep chromosome:AWHD00000000:4:8469794:8473062:1 gene:ONIVA04G05650 transcript:ONIVA04G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRRPATASASIQVSQLLQVFRIGKPDTSHLKSPQASHFVNDPEMQKMLLEACNQMEKLVLQAKQSPAAMQLLRDELIDLGVKLNAMVPEKELSQIEEFESYLGCSIPSQIEIHPPSDTRSRGKIKRIKGHHDKEPKQNKKRKKIERVPRKCKKCGHVGLHDSRNCPNKVTQQ >ONIVA04G05640.1 pep chromosome:AWHD00000000:4:8461993:8462214:1 gene:ONIVA04G05640 transcript:ONIVA04G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSQVLEPDETDDHHAKILQPSPPKRTANMSRRESATSSCSTDEKAMIAAKRKLREVYQEAEDAKRQLAHN >ONIVA04G05630.1 pep chromosome:AWHD00000000:4:8442798:8468501:-1 gene:ONIVA04G05630 transcript:ONIVA04G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLVAHAVLLFAAVALPLAAAQPWPVCGTSGGTYTAGSKYETNLDNLALILSGNASSSLFASGTVGSSPNTVYGLLLCRGDINPSDCADCGTQVVQDVGQACNRTKDKILVYNQCYAQFSNRGDFLAATNNSGEYSLLISGTNITSTDVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGNDTGFSNIYSMAQCSPDLSPAQCRSCLDGLVGQWWKTFPLNGKGARVAGPRCYLRSELGPFYTGSPMVRLPVKADGLTPASAPAPDVVPAITGGKNNSGSKILVIILPIVSVAIIMAVISLCIWNARKKRRLAKAERHPGTDTNEDFESVQSTLLSLASLQVATDNFHESNKIGEGGFGAVYKGILHGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLDEGERLLIYEYMSNKSLDTFLFEQKRKLDWAVRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTSRIAGTFGYMPPEYVLRGQYSTKSDVFSFGILVIEIVTGQRRNSGPYLSEQNDEDILSIVWRHWEEGAIAEMIDHSLGRNYSETEVLKCVNIGLLCVQQNPVDRPTMADVMILLNSDTTCTMPALAPRPAYLIDGTSGYSQTVTQWSGSPTIEQACSDGAMRRRSSVLHAVLLLLLVAAVALPLAAAQPWPVCGTSGGNYTAGSTYESNLLRLASTLRANASASPTLFASGVRGAGPDAVYGLLLCRGDMNPSDCFDCGTRVGDDVAQACNRTKDAILVYNQCYAQFSDTGDFLAATNNSGAYSLLISGTNISSADVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGADPAFRNIYSMAQCSPDLSPAQCRSCLDGLVGQWWTGFLFPRNGEGARVAGPRCYLRSELGSGFYTGAPMVLLRADGLSPASAPAPDVVPATTLVKKNSASKILVIALPIVAVAIVAATSLCMWTVRKKSRSAKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKGHLFGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHKNLVRLVDAEQRRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDADLNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTGQRNSGLCFAEQNEDLVWRHWTEGNIVEMIDYSLDRNYPEAEVQKCVNIATSSTGEMLRRRRSSSVVHAVLFFAAVVLPLAATQPWPQCGNGSTFTAGSTYETNLKNLALTLRTNAASSTTLFASDSRGSGPDTVYGLLLCRGDLNYSVCADCGNKVWGDAGSACNRTMDMALVYNQCYARFSNKGDFLTSMYNSLESSTPLMSSINVTSADVAGYDRAVTELLNATVRYAVENTKTLFATGQRLGTEPGFRIIYSLAQCSEMSPVTCRSCLDDLVGRWWKTFPTNVDGARVDGDRCHLRSELYLFYTGDPMVRLPDVKANGLMPSPDVPAITGGEKNSGSKILVIILPTVSVAIIAVISLCIWNVRKRSRSAKAGHYSRPDTSEDFESVKSTVLSLASLQVATDNFHESKKIGEGGFGEVYMGILSGQEVAVKRMTKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLEEGERLLVYEYMPNKSLDTFLFDAKQRRQLDWATRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTRDITSRIAGTFGYMSPEYVMRGQYSTKSDVFSFGILVIEIVTGRRRNSRPYFCEQNDEDILSIVWRLWEEGTTTEMIDYSLGRNYPEAEVLKCVNIGLLCVQQNPVDRPTMTDVLVLLNSDTTCTLPTLAPRPTYLIDGTSSYSQTVTQWSGR >ONIVA04G05630.2 pep chromosome:AWHD00000000:4:8442798:8468501:-1 gene:ONIVA04G05630 transcript:ONIVA04G05630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLVAHAVLLFAAVALPLAAAQPWPVCGTSGGTYTAGSKYETNLDNLALILSGNASSSLFASGTVGSSPNTVYGLLLCRGDINPSDCADCGTQVVQDVGQACNRTKDKILVYNQCYAQFSNRGDFLAATNNSGEYSLLISGTNITSTDVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGNDTGFSNIYSMAQCSPDLSPAQCRSCLDGLVGQWWKTFPLNGKGARVAGPRCYLRSELGPFYTGSPMVRLPVKADGLTPASAPAPDVVPAITGGKNNSGSKILVIILPIVSVAIIMAVISLCIWNARKKRRLAKAERHPGTDTNEDFESVQSTLLSLASLQVATDNFHESNKIGEGGFGAVYKGILHGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLDEGERLLIYEYMSNKSLDTFLFEQKRKLDWAVRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTSRIAGTFGYMPPEYVLRGQYSTKSDVFSFGILVIEIVTGQRRNSGPYLSEQNDEDILSIVWRHWEEGAIAEMIDHSLGRNYSETEVLKCVNIGLLCVQQNPVDRPTMADVMILLNSDTTCTMPALAPRPAYLIDGTSGYSQTVTQWSGSPTIEQACSDGAMRRRSSVLHAVLLLLLVAAVALPLAAAQPWPVCGTSGGNYTAGSTYESNLLRLASTLRANASASPTLFASGVRGAGPDAVYGLLLCRGDMNPSDCFDCGTRVGDDVAQACNRTKDAILVYNQCYAQFSDTGDFLAATNNSGAYSLLISGTNISSADVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGADPAFRNIYSMAQCSPDLSPAQCRSCLDGLVGQWWTGFLFPRNGEGARVAGPRCYLRSELGSGFYTGAPMVLLRADGLSPASAPAPDVVPATTLVKKNSASKILVIALPIVAVAIVAATSLCMWTVRKKSRSAKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKGHLFGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHKNLVRLVDAEQRRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDADLNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTGQRNSGLCFAEQNEDLVWRHWTEGNIVEMIDYSLDRNYPEAEVQKCVNIGLLSVQQNPIDRPTMADVMILLNSDATSSLPAPMAHRPIYLSDGSSATSSTGEMLRRRRSSSVVHAVLFFAAVVLPLAATQPWPQCGNGSTFTAGSTYETNLKNLALTLRTNAASSTTLFASDSRGSGPDTVYGLLLCRGDLNYSVCADCGNKVWGDAGSACNRTMDMALVYNQCYARFSNKGDFLTSMYNSLESSTPLMSSINVTSADVAGYDRAVTELLNATVRYAVENTKTLFATGQRLGTEPGFRIIYSLAQCSEMSPVTCRSCLDDLVGRWWKTFPTNVDGARVDGDRCHLRSELYLFYTGDPMVRLPDVKANGLMPSPDVPAITGGEKNSGSKILVIILPTVSVAIIAVISLCIWNVRKRSRSAKAGHYSRPDTSEDFESVKSTVLSLASLQVATDNFHESKKIGEGGFGEVYMGILSGQEVAVKRMTKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLEEGERLLVYEYMPNKSLDTFLFDAKQRRQLDWATRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTRDITSRIAGTFGYMSPEYVMRGQYSTKSDVFSFGILVIEIVTGRRRNSRPYFCEQNDEDILSIVWRLWEEGTTTEMIDYSLGRNYPEAEVLKCVNIGLLCVQQNPVDRPTMTDVLVLLNSDTTCTLPTLAPRPTYLIDGTSSYSQTVTQWSGR >ONIVA04G05630.3 pep chromosome:AWHD00000000:4:8442798:8468501:-1 gene:ONIVA04G05630 transcript:ONIVA04G05630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLVAHAVLLFAAVALPLAAAQPWPVCGTSGGTYTAGSKYETNLDNLALILSGNASSSLFASGTVGSSPNTVYGLLLCRGDINPSDCADCGTQVVQDVGQACNRTKDKILVYNQCYAQFSNRGDFLAATNNSGEYSLLISGTNITSTDVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGNDTGFSNIYSMAQCSPDLSPAQCRSCLDGLVGQWWKTFPLNGKGARVAGPRCYLRSELGPFYTGSPMVRLPVKADGLTPALAKAERHPGTDTNEDFESVQSTLLSLASLQVATDNFHESNKIGEGGFGAVYKGILHGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLDEGERLLIYEYMSNKSLDTFLFEQKRKLDWAVRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTSRIAGTFGYMPPEYVLRGQYSTKSDVFSFGILVIEIVTGQRRNSGPYLSEQNDEDILSIVWRHWEEGAIAEMIDHSLGRNYSETEVLKCVNIGLLCVQQNPVDRPTMADVMILLNSDTTCTMPALAPRPAYLIDGTSGYSQTVTQWSGSPTIEQACSDGAMRRRSSVLHAVLLLLLVAAVALPLAAAQPWPVCGTSGGNYTAGSTYESNLLRLASTLRANASASPTLFASGVRGAGPDAVYGLLLCRGDMNPSDCFDCGTRVGDDVAQACNRTKDAILVYNQCYAQFSDTGDFLAATNNSGAYSLLISGTNISSADVAGYDRAVTELLNATVRYAVENSTRLFATGQRVGADPAFRNIYSMAQCSPDLSPAQCRSCLDGLVGQWWTGFLFPRNGEGARVAGPRCYLRSELGSGFYTGAPMVLLRADGLSPASAPAPDVVPATTLVKKNSASKILVIALPIVAVAIVAATSLCMWTVRKKSRSAKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKGHLFGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHKNLVRLVDAEQRRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDADLNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTGQRNSGLCFAEQNEDLVWRHWTEGNIVEMIDYSLDRNYPEAEVQKCVNIGLLSVQQNPIDRPTMADVMILLNSDATSSLPAPMAHRPIYLSDGSSATSSTGEMLRRRRSSSVVHAVLFFAAVVLPLAATQPWPQCGNGSTFTAGSTYETNLKNLALTLRTNAASSTTLFASDSRGSGPDTVYGLLLCRGDLNYSVCADCGNKVWGDAGSACNRTMDMALVYNQCYARFSNKGDFLTSMYNSLESSTPLMSSINVTSADVAGYDRAVTELLNATVRYAVENTKTLFATGQRLGTEPGFRIIYSLAQCSEMSPVTCRSCLDDLVGRWWKTFPTNVDGARVDGDRCHLRSELYLFYTGDPMVRLPDVKANGLMPSPDVPAITGGEKNSGSKILVIILPTVSVAIIAVISLCIWNVRKRSRSAKAGHYSRPDTSEDFESVKSTVLSLASLQVATDNFHESKKIGEGGFGEVYMGILSGQEVAVKRMTKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLEEGERLLVYEYMPNKSLDTFLFDAKQRRQLDWATRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTRDITSRIAGTFGYMSPEYVMRGQYSTKSDVFSFGILVIEIVTGRRRNSRPYFCEQNDEDILSIVWRLWEEGTTTEMIDYSLGRNYPEAEVLKCVNIGLLCVQQNPVDRPTMTDVLVLLNSDTTCTLPTLAPRPTYLIDGTSSYSQTVTQWSGR >ONIVA04G05620.1 pep chromosome:AWHD00000000:4:8429770:8434268:1 gene:ONIVA04G05620 transcript:ONIVA04G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFSVVHAVLLLAAVALPHFAARPWPLRCTGGNCSAVSSYKSNLPTPTINLGKSLFASRASRALSSASTANSSSDVVVAYDRAVIELLNATVQYAVENSTRLFATGLRVGTDPGFSKIYSMAQCSPDLLPAQCRSCLDGLVGQWWKTFPLNGQGARVAGPRCYLRSELGPFYTGSPMVLLPLPVKASGLTPAPSPSPDVVPAITGGKRNSASKILAIIIPILAVAILAAISLCIWNMCKKVRSRKAAKRFSRRDEVEEFESFRSTLLSLTSVQVATDNFHESKKIGEGGFGAVYKGLLSGQEVAVKRLVKGSDQEGQEEVKNELTLMANLHHRNLVQLEGFCLEAGERLLVYEYMPNKSLDTFLFEGVARGLQYLHEDSQKKIVHRDMKASNVLLDANMNPKIGDFGLARLFQQDQTRDVTDHIVGTFGYMPPEYMMCGQYSTKSDVFSFGILVIEIVTGRRNNEPDFSEENEEIVSIVRKHWEDGTTAELVDHSLERNYSESEMLKCVNIGLLCAQENPIDRPTMAHVMVLLNSDSTCFLDAHARPTYFMDGSSSYPSETQSSTE >ONIVA04G05610.1 pep chromosome:AWHD00000000:4:8420844:8424175:-1 gene:ONIVA04G05610 transcript:ONIVA04G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSLVAHAVHLFAAVALPLAAAQPWPVCGTSAGTYTAGSTYETNLENLALTLRTNASSSPTLFASGALGSAPDTVYGLLQCRGDINPSDCADCGILVVQDIGQACNRTKDKILVYNQCYAQFSDSGDFLAATNNSGEYSLLISGTNITSTNVTGYEGAVTSAKRTTLCGTGGTYTAGSTYESNLLNLTRTLRENASSSPTLFAAGALGSAPNPVYGLLLCRGDVSPSDCAYCGLNVVQDVGRSVCNRSKDSVLVYDQCYARFSNKADFLVSSNNSGEVSLLLTNGTSITSAGVAGYNHAVSELLNATVRYAAENSTRLFATGQRVGNENDTGFRNIYSMAQCSPDLSPALCRRCLDDLVGKWWKTFPPKGEGAKVAGAKCYLRSELGQGPFYNGAPMVMLRADVPTKATDVVPATSGKSNSATKVLVIVVLIMAGAIIAAISLCMWNMRNKRSVGKAEVFSGPDTGEQFESVKSSLLSLASLRVATNNFDESMKLGEGGFGPVYKGLLLGQEVAVKRLAKGSNQGLGELKNELVLVAKLHHNNLVRLIGFCLEEGEMLLVYEYMPNKSLDTFLFDTEQSRRLDWKTRLRIIEGIAQGLQYLHQDSEKRIIHRDMKASNVLLDADLSPKIGDFGLARLVKQDKSRDITKRVAGTFGYMSPEYVMRGEYSTKSDVFSFGILVIEIVTGKKRSNGTYFTEQYEDIISTVKRHWVEGNIVDMIDQSLGKNYPEAEVLKCIKIGLLCVQQNPIVRPTMTDVIVLLNGGVTRSLPASAAHRPTSLGDGNSGYSQTITQLSAR >ONIVA04G05600.1 pep chromosome:AWHD00000000:4:8381751:8382421:-1 gene:ONIVA04G05600 transcript:ONIVA04G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIVIHDLCKVAQYEVSKIKVSKPLKNYQSLYWEEILCPEVRLQAALPLGFEQLGGYQQARQ >ONIVA04G05590.1 pep chromosome:AWHD00000000:4:8360729:8382600:1 gene:ONIVA04G05590 transcript:ONIVA04G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQTESVVIDVAETTVAQPEASCASQLPNTAPEKQLNLFVRVVASVERAGNALGTLAFTWATVVLLGGYPTSVNFEDFVFATTLFFLEAPRMFSPNRSEYQLFFRTWGAFRPFSWNRAIVVICLDDVSEYLRSNQRREFHSLVVSMLMLVAATMPIPGVHKLKSGPLHNAILLWSPLVVMLLLVPSIVCKQTAVAHKGNCLIARTFYMISLVTVLLLIISKLQFPSITRIVHRPVIHKLQSCHQVILVFCMCLAAVPLVFFSPNLILMIVLTLLTTVCGSLQIPAAVIRVEIALMRLLPQDYCSEGDPANDSGKINLKPTLNVFYGMVLGQGILYLAARILEFFSFFPRRSLARRGGFRGQKGVQSVDMYYEYAFEKCMNTSILAPKKMNLTTFAMDSLKSGSRKEQFCGVRILYSLVCREPYDKPVLSKVTNSRKTVTTLIQMLGWENPEDNQIRLLAAKITAELANGLRIVAISGAMNFISSLLDNHNKQQIHELTIQTDSGDEENCWVLKRWRQMIKQWSILEEEQWAESDILPALGLVILERLATYDLVNCVEISRSMDIIPKITGFTSNNGEKMCVNETGQKVLIEFSLRVLRILASIGGETGITLRHKISEDPFLLDNLAEILEDSRSNQDQELREMTIDILTKLAMDESTRKEIGSIQDLARMLQDNRYIYVAANVLQNLCKHSRVELRDSDVLELFSVLPEVLGRVMDADGKELEVLVGLSSQICSVSPESFTKAFKQGQNEEIFVEKLINALNANSKPNAQFPGIRRVIIEQLTYMMELNSRYATYFRNHGLMEALIRVEKTPSKTEKYRLFLGKAGLMEHKVHLSSLVARAKLIRARSDSMSSLTLLLLAAAATEKNSSPQAMIKMSYKLDGMSRDDSRRPASPFELLPRLKGRGNALGTLAFIWATVVLLGGYPDKCHLRRFCNEVGACIERVARGDRRERRAHAAAATAARVEGACSGVSCNWHDVVSSSSETTMQSASPCHFALEPIICNPIAGLRFPCIVKLVGNVLGRKLLPWRQVILNMCMLAAIVMLVFTFSDELPGRLVIIVYDVSALLLLSFGNFQIPAAAVRVVLASLGLHKKNGENSEKNLKASLIIFYGMVLGQGILYIVACLLEVFSFIPRKYLIRHGGLGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGVQMLHKFLKKEQLRTKTITKLTNDTRTVVSLFDMLDWTSNGDEEIRLFAAKVTAELAGSLQVVQIPGATQLVASLLDTDHQQTTRDHFLFIDSQVGREDSPIQQDGMGQQNSPVLKYLKQMVIYCLIPVDEPSNVDEQNSCMVRCWKQITKCWSVPEEEPSTDQDFLPVQGLIILERLANFDLGNCMEISRTGLISKMIDFTSYRNHMISTNEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILDHTFILSNLAEIMDDNGSSHELKQLAAEILKNLAMDRNTSEDIGHIRVIISSLMRAFLSQDPSSSTNSNHLLWKNVGRITNELHSIV >ONIVA04G05590.2 pep chromosome:AWHD00000000:4:8360729:8369543:1 gene:ONIVA04G05590 transcript:ONIVA04G05590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQTESVVIDVAETTVAQPEASCASQLPNTAPEKQLNLFVRVVASVERAGNALGTLAFTWATVVLLGGYPTSVNFEDFVFATTLFFLEAPRMFSPNRSEYQLFFRTWGAFRPFSWNRAIVVICLDDVSEYLRSNQRREFHSLVVSMLMLVAATMPIPGVHKLKSGPLHNAILLWSPLVVMLLLVPSIVCKQTAVAHKGNCLIARTFYMISLVTVLLLIISKLQFPSITRIVHRPVIHKLQSCHQVILVFCMCLAAVPLVFFSPNLILMIVLTLLTTVCGSLQIPAAVIRVEIALMRLLPQDYCSEGDPANDSGKINLKPTLNVFYGMVLGQGILYLAARILEFFSFFPRRSLARRGGFRGQKGVQSVDMYYEYAFEKCMNTSILAPKKMNLTTFAMDSLKSGSRKEQFCGVRILYSLVCREPYDKPVLSKVTNSRKTVTTLIQMLGWENPEDNQIRLLAAKITAELANGLRIVAISGAMNFISSLLDNHNKQQIHELTIQTDSGDEENCWVLKRWRQMIKQWSILEEEQWAESDILPALGLVILERLATYDLVNCVEISRSMDIIPKITGFTSNNGEKMCVNETGQKVLIEFSLRVLRILASIGGETGITLRHKISEDPFLLDNLAEILEDSRSNQDQELREMTIDILTKLAMDESTRKEIGSIQDLARMLQDNRYIYVAANVLQNLCKHSRVELRDSDVLELFSVLPEVRSP >ONIVA04G05590.3 pep chromosome:AWHD00000000:4:8378174:8379022:1 gene:ONIVA04G05590 transcript:ONIVA04G05590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDPKRLWGPYVSEQYSRRPASPFELLPRLKGRGNALGTLAFIWATVVLLGGYPDKCHLRRFCNEVGACIERVARGDRRERRAHAAAATAARVEGACSGGAN >ONIVA04G05580.1 pep chromosome:AWHD00000000:4:8345589:8360441:1 gene:ONIVA04G05580 transcript:ONIVA04G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGRKSGSSGYAIWASIDGTCAIPGVSLSSRHPATTAPIRSTALIRIEIEAEGEAVKHAADLLGEMQRYAGAGNNSGFSGGGGAGGGGRDSSRLDGSPYSANNYPLSSRRQQQLAPYKLKCDKEPLNNKLGPPDYYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSQIPYFCKTDIVVKCKEALKKRLRAINDSRAQKRKAGQVYGVPLFGSLLIKPGVYPEQRPCNEDTRRKWAEALSQPNKRLRSLSEHVPHGYRRKSLLDVLTRYNVPLLRATWFVKVTYLNQPQVRSTSVSTSAGGSDNHRSNQWTNDVVEYLQQLLDEFCLKEVPPSFREQSSPGLIAGVTQVKMKSEAPPAVGDIDEPLVHFKWWYMVRLIQWHLAEELLVPSERVAVEALELLMPVALGMIDIITLSQTYVRMFVEILVRRLSDGPVVDNPKRAHISSVIAEVLRYMVLAVPDTFVSLDCFPLPSFVVPDVYSRGALLKITAGGGIAGSKRQDGYRYLSCGYAVCSIQKRSYDLATVANPNFQARGAAKVVQALDKALVTGNLTMAYLSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVESSLICSVFFLCEWATCDYRDCRTSLLRNVKFTGRRDLSQVHLAVSILKNKMDEINNLSRSKSSSRIAVNNTAKGSSLNNTSLAATVSDSSGLRNNAKSVDEKDKKDIFESPGPLHDIIVCWLDQHEVNSAVGFKRVDVLIIELIRSGIFYPQAYVRQLIISGITDKNDTLLDVERKRRHRRILKQLPGSSLFEILEEDRITEEQHLYEMMSTYSSERRLVLSELSTGQAFDANSRGEYASSSCVPKASDLFLASGGDKHGRVPEQVEDVKALVSSMLRFINPHSVEEEHCQIKTNPQGSSASTVTQIDTVDVKHDCEDCARTKRQKLDERASPLQGFPLIQSDEEDIWWVRKGTKLHESLKAEPAQKPIKQNSRGRAKVVRKTQSLAQLASSRIEGSQGASTSHLCESKVGCSHHKPSIDVDNVKDVDHMKIVDLSEVGKSLKRLRLLERRSVSSDDKTLLKWRLGDEELLSILYILDICCDLVSGARFLVWLLTKVRGGMATSGQTGRSATHIKNRENQVCQIGEALVFSSLLRYENILLATDLLPEVLSASMSRNFVLATARQPASGAFAYTRYFLKKYRDVPSVARWEKSFRTTCDQRLLAELDNGRSVDGDLVSSSGVSAGEEIDEQIRQKMNVRNSRIVPNMKDIIQRQTEEKKGITAPKSPSVDREDSYQIAHDIVLGLLECIRQNGGASLDGDPSIVASAVSAIVLNAGHTIAKHLDLSADALGDRFSRVFEIALAVEASSAITATFAPPKMQRNQFQPSPETHDVYGNHTNDLSNTGKGFVGRTTKVAAAISALVVGSVIHGVVNIERMVAVLKIKDGLDILHLLRGLRSSTNGVSRSTGTFKMENSVEVLVHWFRILLGNCRTVYDGLIADILGESYVLALSRLQRMLPLSMVLPPAYSIFAMVLWKRYTFSREDVQLYQSLSNAINDITMHQPFRDICFRNTHQLYDLLAADVGDSEFAAMLEMHNPDKGSKAMAFIPLRARLFLNSLVDCKTAGAILGDGSCASESGEAKESELKLSDRLIQLLDTLQPAKFHWQWVELRFLLDEQALLEKVAAGNTSVAEAIQSLSPNAESFALSDSEKGFTEIILSRLLARPDAAPLYSELVHLLGKLQESLVVDVKWLLQGQDALLGRKSTRQQLVHIAQRKGLSTKTQVWKPWGWASLLSDVIASKSTKRKLEVTSIEEGEVVDDSADAKRPSKANPHNVDRSFEGIRSINKYLTEKALSELMLPCIDRSSAEFRSIFAGDLVKQMGTISEHIKAIARNGTKQSGLVPSGTEAASNKSSSRKGIRGGSPNIGRRAPVGNDPTPPSASALRATVWLRLQFIIRLLPLIMADRSMRHTLASAILGLLSARIIYEDADLPLPPTNTTVLRRDVDSLLEPPLDVLLDRPGESLFERLICVFHALLGNGKPSWLKSKSVSKSTTRTPRDFPSFDSEAAEGLQSALDHLEMPGIIRRRIQAAMPVLPPSRHPCISCQPPQLSLAALSPLQSGTSTSGPQQKGNSTSWVPTNVSIRNKAAFATQDPEMEVDPWTLLEDGTSCPSMSSGSNSSSGMAGDHGNLKACSWLKGAVRVRRTELTYIGSLDDDS >ONIVA04G05580.2 pep chromosome:AWHD00000000:4:8345589:8360441:1 gene:ONIVA04G05580 transcript:ONIVA04G05580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGRKSGSSGYAIWASIDGTCAIPGVSLSSRHPATTAPIRSTALIRIEIEAEGEAVKHAADLLGEMQRYAGAGNNSGFSGGGGAGGGGRDSSRLDGSPYSANNYPLSSRRQQQLAPYKLKCDKEPLNNKLGPPDYYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSQIPYFCKTDIVVKCKEALKKRLRAINDSRAQKRKALSQPNKRLRSLSEHVPHGYRRKSLLDVLTRYNVPLLRATWFVKVTYLNQPQVRSTSVSTSAGGSDNHRSNQWTNDVVEYLQQLLDEFCLKEVPPSFREQSSPGLIAGVTQVKMKSEAPPAVGDIDEPLVHFKWWYMVRLIQWHLAEELLVPSERVAVEALELLMPVALGMIDIITLSQTYVRMFVEILVRRLSDGPVVDNPKRAHISSVIAEVLRYMVLAVPDTFVSLDCFPLPSFVVPDVYSRGALLKITAGGGIAGSKRQDGYRYLSCGYAVCSIQKRSYDLATVANPNFQARGAAKVVQALDKALVTGNLTMAYLSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVESSLICSVFFLCEWATCDYRDCRTSLLRNVKFTGRRDLSQVHLAVSILKNKMDEINNLSRSKSSSRIAVNNTAKGSSLNNTSLAATVSDSSGLRNNAKSVDEKDKKDIFESPGPLHDIIVCWLDQHEVNSAVGFKRVDVLIIELIRSGIFYPQAYVRQLIISGITDKNDTLLDVERKRRHRRILKQLPGSSLFEILEEDRITEEQHLYEMMSTYSSERRLVLSELSTGQAFDANSRGEYASSSCVPKASDLFLASGGDKHGRVPEQVEDVKALVSSMLRFINPHSVEEEHCQIKTNPQGSSASTVTQIDTVDVKHDCEDCARTKRQKLDERASPLQGFPLIQSDEEDIWWVRKGTKLHESLKAEPAQKPIKQNSRGRAKVVRKTQSLAQLASSRIEGSQGASTSHLCESKVGCSHHKPSIDVDNVKDVDHMKIVDLSEVGKSLKRLRLLERRSVSSDDKTLLKWRLGDEELLSILYILDICCDLVSGARFLVWLLTKVRGGMATSGQTGRSATHIKNRENQVCQIGEALVFSSLLRYENILLATDLLPEVLSASMSRNFVLATARQPASGAFAYTRYFLKKYRDVPSVARWEKSFRTTCDQRLLAELDNGRSVDGDLVSSSGVSAGEEIDEQIRQKMNVRNSRIVPNMKDIIQRQTEEKKGITAPKSPSVDREDSYQIAHDIVLGLLECIRQNGGASLDGDPSIVASAVSAIVLNAGHTIAKHLDLSADALGDRFSRVFEIALAVEASSAITATFAPPKMQRNQFQPSPETHDVYGNHTNDLSNTGKGFVGRTTKVAAAISALVVGSVIHGVVNIERMVAVLKIKDGLDILHLLRGLRSSTNGVSRSTGTFKMENSVEVLVHWFRILLGNCRTVYDGLIADILGESYVLALSRLQRMLPLSMVLPPAYSIFAMVLWKRYTFSREDVQLYQSLSNAINDITMHQPFRDICFRNTHQLYDLLAADVGDSEFAAMLEMHNPDKGSKAMAFIPLRARLFLNSLVDCKTAGAILGDGSCASESGEAKESELKLSDRLIQLLDTLQPAKFHWQWVELRFLLDEQALLEKVAAGNTSVAEAIQSLSPNAESFALSDSEKGFTEIILSRLLARPDAAPLYSELVHLLGKLQESLVVDVKWLLQGQDALLGRKSTRQQLVHIAQRKGLSTKTQVWKPWGWASLLSDVIASKSTKRKLEVTSIEEGEVVDDSADAKRPSKANPHNVDRSFEGIRSINKYLTEKALSELMLPCIDRSSAEFRSIFAGDLVKQMGTISEHIKAIARNGTKQSGLVPSGTEAASNKSSSRKGIRGGSPNIGRRAPVGNDPTPPSASALRATVWLRLQFIIRLLPLIMADRSMRHTLASAILGLLSARIIYEDADLPLPPTNTTVLRRDVDSLLEPPLDVLLDRPGESLFERLICVFHALLGNGKPSWLKSKSVSKSTTRTPRDFPSFDSEAAEGLQSALDHLEMPGIIRRRIQAAMPVLPPSRHPCISCQPPQLSLAALSPLQSGTSTSGPQQKGNSTSWVPTNVSIRNKAAFATQDPEMEVDPWTLLEDGTSCPSMSSGSNSSSGMAGDHGNLKACSWLKGAVRVRRTELTYIGSLDDDS >ONIVA04G05580.3 pep chromosome:AWHD00000000:4:8345589:8360441:1 gene:ONIVA04G05580 transcript:ONIVA04G05580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGRKSGSSGYAIWASIDGTCAIPGVSLSSRHPATTAPIRSTALIRIEIEAEGEAVKHAADLLGEMQRYAGAGNNSGFSGGGGAGGGGRDSSRLDGSPYSANNYPLSSRRQQQLAPYKLKCDKEPLNNKLGPPDYYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSQIPYFCKTDIVVKCKEALKKRLRAINDSRAQKRKAGQVYGVPLFGSLLIKPGVYPEQRPCNEDTRRKWAEALSQPNKRLRSLSEHVPHGYRRKSLLDVLTRYNVPLLRATWFVKVTYLNQPQVRSTSVSTSAGGSDNHRSNQWTNDVVEYLQQLLDEFCLKEVPPSFREQSSPGLIAGVTQVKMKSEAPPAVGDIDEPLVHFKWWYMVRLIQWHLAEELLVPSERVAVEALELLMPVALGMIDIITLSQTYVRMFVEILVRRLSDGPVVDNPKRAHISSVIAEVLRYMVLAVPDTFVSLDCFPLPSFVVPDVYSRGALLKITAGGGIAGSKRQDGYRYLSCGYAVCSIQKRSYDLATVANPNFQARGAAKVVQALDKALVTGNLTMAYLSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVESSLICSVFFLCEWATCDYRDCRTSLLRNVKFTGRRDLSQVHLAVSILKNKMDEINNLSRSKSSSRIAVNNTAKGSSLNNTSLAATVSDSSGLRNNAKSVDEKDKKDIFESPGPLHDIIVCWLDQHEVNSAVGFKRVDVLIIELIRSGIFYPQAYVRQLIISGITDKNDTLLDVERKRRHRRILKQLPGSSLFEILEEDRITEEQHLYEMMSTYSSERRLVLSELSTGQAFDANSRGEYASSSCVPKASDLFLASGGDKHGRVPEQVEDVKALVSSMLRFINPHSVEEEHCQIKTNPQGSSASTVTQIDTVDVKHDCEDCARTKRQKLDERASPLQGFPLIQSDEEDIWWVRKGTKLHESLKAEPAQKPIKQNSRGRAKVVRKTQSLAQLASSRIEGSQGASTSHLCESKVGCSHHKPSIDVDNVKDVDHMKIVDLSEVGKSLKRLRLLERRSVSVWLLKSVRQLIEVNEMAASKASNSISSFSSQSDDKTLLKWRLGDEELLSILYILDICCDLVSGARFLVWLLTKVRGGMATSGQTGRSATHIKNRENQVCQIGEALVFSSLLRYENILLATDLLPEVLSASMSRNFVLATARQPASGAFAYTRYFLKKYRDVPSVARWEKSFRTTCDQRLLAELDNGRSVDGDLVSSSGVSAGEEIDEQIRQKMNVRNSRIVPNMKDIIQRQTEEKKGITAPKSPSVDREDSYQIAHDIVLGLLECIRQNGGASLDGDPSIVASAVSAIVLNAGHTIAKHLDLSAGNYHGVSSMGSSLSSIRHILHVHISSLCLLKDALGDRFSRVFEIALAVEASSAITATFAPPKMQRNQFQPSPETHDVYGNHTNDLSNTGKGFVGRTTKVAAAISALVVGSVIHGVVNIERMVAVLKIKDGLDILHLLRGLRSSTNGVSRSTGTFKMENSVEVLVHWFRILLGNCRTVYDGLIADILGESYVLALSRLQRMLPLSMVLPPAYSIFAMVLWKRYTFSREDVQLYQSLSNAINDITMHQPFRDICFRNTHQLYDLLAADVGDSEFAAMLEMHNPDKGSKAMAFIPLRARLFLNSLVDCKTAGAILGDGSCASESGEAKESELKLSDRLIQLLDTLQPAKFHWQWVELRFLLDEQALLEKVAAGNTSVAEAIQSLSPNAESFALSDSEKGFTEIILSRLLARPDAAPLYSELVHLLGKLQESLVVDVKWLLQGQDALLGRKSTRQQLVHIAQRKGLSTKTQVWKPWGWASLLSDVIASKSTKRKLEVTSIEEGEVVDDSADAKRPSKANPHNVDRSFEGIRSINKYLTEKALSELMLPCIDRSSAEFRSIFAGDLVKQMGTISEHIKAIARNGTKQSGLVPSGTEAASNKSSSRKGIRGGSPNIGRRAPVGNDPTPPSASALRATVWLRLQFIIRLLPLIMADRSMRHTLASAILGLLSARIIYEDADLPLPPTNTTVLRRDVDSLLEPPLDVLLDRPGESLFERLICVFHALLGNGKPSWLKSKSVSKSTTRTPRDFPSFDSEAAEGLQSALDHLEMPGIIRRRIQAAMPVLPPSRHPCISCQPPQLSLAALSPLQSGTSTSGPQQKGNSTSWVPTNVSIRNKAAFATQDPEMEVDPWTLLEDGTSCPSMSSGSNSSSGMAGDHGNLKACSWLKGAVRVRRTELTYIGSLDDDS >ONIVA04G05580.4 pep chromosome:AWHD00000000:4:8345589:8359776:1 gene:ONIVA04G05580 transcript:ONIVA04G05580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGRKSGSSGYAIWASIDGTCAIPGVSLSSRHPATTAPIRSTALIRIEIEAEGEAVKHAADLLGEMQRYAGAGNNSGFSGGGGAGGGGRDSSRLDGSPYSANNYPLSSRRQQQLAPYKLKCDKEPLNNKLGPPDYYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSQIPYFCKTDIVVKCKEALKKRLRAINDSRAQKRKALSQPNKRLRSLSEHVPHGYRRKSLLDVLTRYNVPLLRATWFVKVTYLNQPQVRSTSVSTSAGGSDNHRSNQWTNDVVEYLQQLLDEFCLKEVPPSFREQSSPGLIAGVTQVKMKSEAPPAVGDIDEPLVHFKWWYMVRLIQWHLAEELLVPSERVAVEALELLMPVALGMIDIITLSQTYVRMFVEILVRRLSDGPVVDNPKRAHISSVIAEVLRYMVLAVPDTFVSLDCFPLPSFVVPDVYSRGALLKITAGGGIAGSKRQDGYRYLSCGYAVCSIQKRSYDLATVANPNFQARGAAKVVQALDKALVTGNLTMAYLSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVESSLICSVFFLCEWATCDYRDCRTSLLRNVKFTGRRDLSQVHLAVSILKNKMDEINNLSRSKSSSRIAVNNTAKGSSLNNTSLAATVSDSSGLRNNAKSVDEKDKKDIFESPGPLHDIIVCWLDQHEVNSAVGFKRVDVLIIELIRSGIFYPQAYVRQLIISGITDKNDTLLDVERKRRHRRILKQLPGSSLFEILEEDRITEEQHLYEMMSTYSSERRLVLSELSTGQAFDANSRGEYASSSCVPKASDLFLASGGDKHGRVPEQVEDVKALVSSMLRFINPHSVEEEHCQIKTNPQGSSASTVTQIDTVDVKHDCEDCARTKRQKLDERASPLQGFPLIQSDEEDIWWVRKGTKLHESLKAEPAQKPIKQNSRGRAKVVRKTQSLAQLASSRIEGSQGASTSHLCESKVGCSHHKPSIDVDNVKDVDHMKIVDLSEVGKSLKRLRLLERRSVSSDDKTLLKWRLGDEELLSILYILDICCDLVSGARFLVWLLTKVRGGMATSGQTGRSATHIKNRENQVCQIGEALVFSSLLRYENILLATDLLPEVLSASMSRNFVLATARQPASGAFAYTRYFLKKYRDVPSVARWEKSFRTTCDQRLLAELDNGRSVDGDLVSSSGVSAGEEIDEQIRQKMNVRNSRIVPNMKDIIQRQTEEKKGITAPKSPSVDREDSYQIAHDIVLGLLECIRQNGGASLDGDPSIVASAVSAIVLNAGHTIAKHLDLSAGNYHGVSSMGSSLSSIRHILHVHISSLCLLKDALGDRFSRVFEIALAVEASSAITATFAPPKMQRNQFQPSPETHDVYGNHTNDLSNTGKGFVGRTTKVAAAISALVVGSVIHGVVNIERMVAVLKIKDGLDILHLLRGLRSSTNGVSRSTGTFKMENSVEVLVHWFRILLGNCRTVYDGLIADILGESYVLALSRLQRMLPLSMVLPPAYSIFAMVLWKRYTFSREDVQLYQSLSNAINDITMHQPFRDICFRNTHQLYDLLAADVGDSEFAAMLEMHNPDKGSKAMAFIPLRARLFLNSLVDCKTAGAILGDGSCASESGEAKESELKLSDRLIQLLDTLQPAKFHWQWVELRFLLDEQALLEKVAAGNTSVAEAIQSLSPNAESFALSDSEKGFTEIILSRLLARPDAAPLYSELVHLLGKLQESLVVDVKWLLQGQDALLGRKSTRQQLVHIAQRKGLSTKTQVWKPWGWASLLSDVIASKSTKRKLEVTSIEEGEVVDDSADAKRPSKANPHNVDRSFEGIRSINKYLTEKALSELMLPCIDRSSAEFRSIFAGDLVKQMGTISEHIKAIARNGTKQSGLVPSGTEAASNKSSSRKGIRGGSPNIGRRAPVGNDPTPPSASALRATVWLRLQFIIRLLPLIMADRSMRHTLASAILGLLSARIIYEDADLPLPPTNTTVLRRDVDSLLEPPLDVLLDRPGESLFERLICVFHALLGNGKPSWLKSKSVSKSTTRTPRDFPSFDSEAAEGLQSALDHLEMPGIIRRRIQAAMPVLPPSRHPCISCQPPQLSLAALSPLQSGTSTSGPQQKGNSTSWVPTNVSIRNKAAFATQDPEMEVDPWTLLEDGTSCPSMSSGSNSSSGMAGDHGNLKACSWLKGAVRVRRTELTYIGSLDDDS >ONIVA04G05570.1 pep chromosome:AWHD00000000:4:8339956:8343065:1 gene:ONIVA04G05570 transcript:ONIVA04G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEQLNCFVRVVASVERVGNALGTLAFTWATVVLLGGYPTSITCVDFAYTTALFFLEAARMFCPNRSEYQLFFRTRGALRPFSWNRVIVVICLNNVGLFLPSTKSKELSVSILMLVAATLPFPGVHKLKGGPLRNAISLLSPLVVMKLNLTNFAMESLESDSRKKQLCGVRILYSLVNREPYDKQVLSKVTNSMKTVTTLIQMLGWTNQEDNQIRLLAAKITAELARGLQIVTIPGAMNSISSLLDNQNKQQIQELIIQKDSGGEENCWILKLWHQMTKKWSILEEEQWTETDVFLVLGLVTLERLATYDIVNCMEISRSMDLIPKITEFTSNNSERICVNETSQKILIDLSLKVLRRLASIGGETGITLRHKISEDPFLLGNLAEILEDSKSSQELRKLTIDILIKLAMDETTKREIGSIQVIVQMLMFAFTAQDDLPGAYSDCSMTMKAGQALSMLTLESADNCSAIMKEPGHRFFKDVARMLVHDNRYIHVAANVLQNLCKHSRVELGDSDLVELSSVLPEVLGQVMDAEGKELEVLVGLSSQICRVSPKSFSKALEQGQKEARFVEKLINGLNANMKPNPQFPGIRSVIVEQCIYMMELSSRYATYFRNHELMEALIRVEKTPSRAEKYRLFLGNTGLIEHRVNLSSLVERAKQLMAVHSTQQP >ONIVA04G05560.1 pep chromosome:AWHD00000000:4:8335167:8338287:-1 gene:ONIVA04G05560 transcript:ONIVA04G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDTTADHGGGAGAGGVDIPMQILVQPPPLPPPTATATARHRRTHTGDSTASSYEPQRRPVEHQVSSMHAATASDDGGGVDDVVPERKVTAFALHVAVLEKAASHVGAVCFVWATVVILGGFAADLDARDFWLVTAILLVEGTRVFSRSNELDLQEQPMHLPDAAAAAAGDDDDDPPPPHKAAAAASPIHLLPLGGWLVEARNVSYVLYWLQLLSASACVALSLLRLATLRFAGDNGGGGNKNAYYALMLFYVLALSEAVIFLVERAYWEWVLSYRRLVEAVSGECDLGDAGVVPIKRFFYRAFSRSVEGGILDATRMDLVSFAVELLSSDSGDEQLIGAHILRGSIANRDSARRAVRKIGTSAATVERLVEMVSWKSPSKRRVRSLAAEVVLRLAGKRRNLIRVATIPGAIESISTLLETPTTDAAAGDLAMNEMGLHIMKKLAREHGNAAKISSTRGVLSRIIHFTRTSRAALQIGAGGEGSLPAKTVLRSLQVVKNLSSTPGHTGEAIRREISDNVFVLGNIRKVLQHGGERHGKMQLTAIGVLADLAIDGDAKEKIGCTGDMIAHLLDMFTGSPESAPAVAYAAQGAAHIRLQAGEVVALLALESAANCDRILREAAVVERLVMTLHHPGLQITSSRILLNLCRYSRSDHFLQLSSLTAAVPIVFKAIMVEKSSLLEVSIGLAIQITRLATPEFHKEIFGKAGVPDTDIARRLVEILKEHRTPRVKVPRMRRFVIELAIAMMRGDAELVPFFRSMELEKELRSVVRSTSELESFNMFSGSIGLSRHSSTLASLVDDAMEIMQALQDS >ONIVA04G05550.1 pep chromosome:AWHD00000000:4:8331386:8335178:1 gene:ONIVA04G05550 transcript:ONIVA04G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09720) TAIR;Acc:AT3G09720] MEKAKLSSALFAGTHFDRKRFAGDFARFRQGPPAPDVASAAAPSPEKKRKRQSKAKAKKSKKRRAEGADSASDAVEGFSVFKGLAAKKDEDDSEKKVETGKSEDSEVVRRRKEVEREIERAAILRKKFDIHISGQNVPAPLENFEELVSRYGCDSYLVGNLSKLGFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLFPILMKIKPGSKEGVKAVILCPTRELAAQTTRECKKLAKGRKFYIKLMTKDLSKSGNFKDMHCDILVSTPLRLDHAVQKRDLDLSRVEYLVLDESDKLFELGFVEVIDSVVKACSNPSIIRSLFSATLPDSIETLARTIMHDAVRVIVGRKNSASSLIKQKLIFAGTEKGKLLALRQSFAESLNPPVLIFVQSKERAKELYKELAFDDVRADVIHADLDEEQRQDAVDNLRAGQTWVLIATEVIARGMDFKGVNCVINYDFPESASAYIHRIGRSGRAGRSGEAITFFTEEDKPFLRNIANVLISSGCEVPSWIKALPKLKRKKHRVNRDPISTLPDED >ONIVA04G05550.2 pep chromosome:AWHD00000000:4:8331386:8335686:1 gene:ONIVA04G05550 transcript:ONIVA04G05550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09720) TAIR;Acc:AT3G09720] MEKAKLSSALFAGTHFDRKRFAGDFARFRQGPPAPDVASAAAPSPEKKRKRQSKAKAKKSKKRRAEGADSASDAVEGFSVFKGLAAKKDEDDSEKKVETGKSEDSEVVRRRKEVEREIERAAILRKKFDIHISGQNVPAPLENFEELVSRYGCDSYLVGNLSKLGFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLFPILMKIKPGSKEGVKAVILCPTRELAAQTTRECKKLAKGRKFYIKLMTKDLSKSGNFKDMHCDILVSTPLRLDHAVQKRDLDLSRVEYLVLDESDKLFELGFVEVIDSVVKACSNPSIIRSLFSATLPDSIETLARTIMHDAVRVIVGRKNSASSLIKQKLIFAGTEKGKLLALRQSFAESLNPPVLIFVQSKERAKELYKELAFDDVRADVIHADLDEEQRQDAVDNLRAGQTWVLIATEVIARGMDFKGVNCVINYDFPESASAYIHRIGRSGRAGRSGEAITFFTEEDKPFLRNIANVLISSGCEVPSWIKALPKLKRKKHRVNRDPISTLPDED >ONIVA04G05540.1 pep chromosome:AWHD00000000:4:8326463:8330291:-1 gene:ONIVA04G05540 transcript:ONIVA04G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSTKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVFVVGARGAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYQLASMGFAAFGVFLLAKRALQHFLERKRRHELQKRVHAAAAQRQAREAEGGNGTSDVDSNNKKDQLVLDICVICLEQEYNAVFVPLAPAWNT >ONIVA04G05540.2 pep chromosome:AWHD00000000:4:8327013:8330291:-1 gene:ONIVA04G05540 transcript:ONIVA04G05540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSTKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVFVVGARGAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYQLASMGFAAFGVFLLAKRALQHFLERKRRHELQKRVHAAAAQRQAREAEGGNGTSDVDSNNKKDQLVLDICVICLEQEYNAVWPHVLLYELLFSFDELSTLPEKN >ONIVA04G05540.3 pep chromosome:AWHD00000000:4:8327013:8330291:-1 gene:ONIVA04G05540 transcript:ONIVA04G05540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSTKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVFVVGARGAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYQLASMGFAAFGVFLLAKRALQHFLERKRRHELQKRCVPTNHLFGFRRTWFMPLLHKDKLEKLKGAMVWPHVLLYELLFSFDELSTLPEKN >ONIVA04G05530.1 pep chromosome:AWHD00000000:4:8321991:8325787:1 gene:ONIVA04G05530 transcript:ONIVA04G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFLNLIVDDRSQSQGAKSLRCLDLRLHKLFNTTPPPPVVLPLNGDGDGPKTKQAMHKIVLPTPSFNLRAPHFFPVAADRRVFSLDQSGRAFLLDAESPRMVILPRLQKPNLEPIALYIPCPKLDLDGYGSGSSGGNLFIMDRIVKPKAGCNHFEFEGEALIYSNHSPNILCKCWDYELLPPLPLNVRENTTSLEISSYAVVKVWREVDKWTLPFQGKVEYVPELKLWFGFSAEDGCLAAANLFGVGSRESQPKLLYSWMELEPPQEWKQMQDPQFVNLGSGRFCIARFFHSRAPNDESGGQNVTVLTGVEFANVRDGILNLGLGLVRHKPRCHKASCGDDTITAVLRHKLFNTTTPADLPLINRSASESERPPCAANANNQKKMMKKKTLKMRMLHLPAPTIDLEASSRGYSWNIKCSPLTDRKLLCTDNYGRHVLFNSETRQVEDLPFLNEPKNSTFSIFIPGAATDTNGCDDNGGGGSIIYIMERCPSHEQEQRLLSGQFEAFVHGRDNTWSSQLLPPPPFIYDPKYKRPKISSYAVLIDDCGSGRSHICISVDHVGTYCLDTVKHTWIRVGDWTLPFTGKVEYVPELKLWFGICTSDWKLGAIDLSTILSTATMEEDSQPQIVGTWKELEAPQHWNEKRCPQLANLGSGKFCIARFFHTWTTPMEPNSFGYDSIKVHSFSDFDSDSDSIEFEEHSFTVLTGTDVVPCVHDGNGTGNGNYANGSNGKVELRMIKHNSKSHISDGIDGTIRLVF >ONIVA04G05520.1 pep chromosome:AWHD00000000:4:8317345:8318660:-1 gene:ONIVA04G05520 transcript:ONIVA04G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTQCSKVVRGRGRNKRKWTADEDEELVKALCEVSLDPRFKVEGGGFKNCYSQGIENILAQRLPGRGIRSSPHVDSRLKVLKRKYYAIKDMLALPRFSWDGMRKMIQCEKELYDAHCKDHPRAKSLYGIPFPYFDTFDVIYGKDRTGREEELSDEVVADMENENTDEVGDEDGDKDRESAGPSGRSLDVASSCKRQKKHSNGTKRSRTESNFPSPRMLKDVHSHFQSSIQHVSTMATAMELFKDVHNHFQNVVQHANAMATAMEMFRDAHDHFQGAVQSVSSAASAIERFKDAHDRFQNITHHGSMVAAVMECGADHTQEKKMCEEPQQKAKVTAIAEIQKLGLTGSEVVFAASIFAKEPNQMEMFLALPEIYKRDYIVQMLNGMLRDPQIPHKFHDCSSLHSKNDEGVGQ >ONIVA04G05510.1 pep chromosome:AWHD00000000:4:8312905:8315385:1 gene:ONIVA04G05510 transcript:ONIVA04G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQQAAAHFILGRTRQEQYPGPKFTQYNGPFTTFPAQSGPPESVHKSRCRVASFPSSSVRSTISSQIHLLRRRRRRRPPPTRASQPSRMTEAPFVPREKLFKQQQYFQNLTKHTYLKGRYDVITSVAIPLALAGTSLFMIGRGVYNMSHGIGKKE >ONIVA04G05510.2 pep chromosome:AWHD00000000:4:8313388:8315385:1 gene:ONIVA04G05510 transcript:ONIVA04G05510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQLMRDAPKFRVLTMTEAPFVPREKLFKQQQYFQNLTKHTYLKGRYDVITSVAIPLALAGTSLFMIGRGVYNMSHGIGKKE >ONIVA04G05500.1 pep chromosome:AWHD00000000:4:8310030:8311406:1 gene:ONIVA04G05500 transcript:ONIVA04G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSILAEPEPGPSSPPPPPETEQEHAGSTSTPPTDDDVGGGGGGGGGGGWGFGGLLKTLTSQSETVLEAYRRDLAEFGTGLRRETEALRDAAARAARDLPAHAHALDGLADIVAQGKDAIAQVAAAAAAAPATGHSDGGGGESEPSSASGQVRYSRFEAQLRALQSDPATFTSDPEDSDDFAAWRVGFSVDERSGEIEALCYESDALEGMVEKLVPGTVESEVFWARYFYRVHRLKQQEDARVKLVKRVFAAEEDEEDLSWEVDDEDEEEQQRAEVKEEGSKQEPIEEDVERVAGDKESKRIVEEKEVEAVEESRGLEKEQQNADAPQPEVFGSSMVVVDKREKEEASVSNIEESSDKKAVTEEPRSSTGDDAVKDGAKHETSDSSKDSDYSMVSRQRTTTEEEDLEWDEIEDLGEHEEKRGSNNDSSSALKEELRKRLSVAEDDEDLSWDIEDD >ONIVA04G05490.1 pep chromosome:AWHD00000000:4:8302926:8308121:-1 gene:ONIVA04G05490 transcript:ONIVA04G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase complex, subunit Tim44-related protein [Source:Projected from Arabidopsis thaliana (AT5G27395) TAIR;Acc:AT5G27395] MALARLGHAVTGRLRRPLHLLHPPPPPLTDHHASVAHSLALIHASTRARGFASSPYNAGGVIGYRGRSPVYTVKVLELLFQINHTRSMSTAAQAEPPSLSKAPTPSQTSSKVPLGARKVGMKVVMMSPGFVYEPYSIREPIPFWKSSLPFPNTDPSASFQDRIVRVSSFKLNTKRWFTPSGWRRTKEDVILEMKNAYAVSRLRKKTGYTKKEFYDQAFKIYKEVNTLMAHGDTSSLRKILTERMHSTIKNELKKRQSMWSSVHWELVEPAVCIRTLRARMKFEAYNTKGEVVSGDKSKEVLVKDIWVFERSLFHPGAYWRVCGRITL >ONIVA04G05490.2 pep chromosome:AWHD00000000:4:8302926:8308121:-1 gene:ONIVA04G05490 transcript:ONIVA04G05490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase complex, subunit Tim44-related protein [Source:Projected from Arabidopsis thaliana (AT5G27395) TAIR;Acc:AT5G27395] MALARLGHAVTGRLRRPLHLLHPPPPPLTDHHASVAHSLALIHASTRARGFASSPYNAGGVIGYRGRSPVYTVKVLELLFQINHTRSMSTAAQAEPPSLSKAPTPSQTSSKVPLGARKVGMKVVMMSPGFVYEPYSIREPIPFWKRWFTPSGWRRTKEDVILEMKNAYAVSRLRKKTGYTKKEFYDQAFKIYKEVNTLMAHGDTSSLRKILTERMHSTIKNELKKRQSMWSSVHWELVEPAVCIRTLRARMKFEAYNTKGEVVSGDKSKEVLVKDIWVFERSLFHPGAYWRVCGRITL >ONIVA04G05480.1 pep chromosome:AWHD00000000:4:8292475:8296514:-1 gene:ONIVA04G05480 transcript:ONIVA04G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSWAWTWSWSGAAAVAVAAAAAWVAVYAAAARAAEALWWRPRRVERHFAAQGVRGPGYRFFVGSSIELVRLMVDAASRPMEPPTSHDILPRVLPFYHHWRKLYGPMHLIWFGRTPRLVVSEPELIREVLLTRADHFDRYEAHPMICQFEGYGLSNLHGERWARRRRVLTPAFHTENLRMIAPFVAGTVTRMLDELAERARAGGAGEAEVDVAEWFQRVPQEAITFAAFGRRNYDDGAAVFRLQDELAGYATEAHSKVYIPGYRFLPTRKNRRVWQLDREIRSHLAKFVTGLQSCSSSHGDDADDGGDGGGGMREFMSFMAPAMTAGEIIEESKNFFFAGKETLSNLLTWTTVALAMHPEWQERARREVVALGMILNETLRLYPPAVAMIRTAKEDVELGGCVVPAGTEVMIPIMAVHHDAAAWGDDAAEFNPARFAADDDGGRRRHPMAFMPFGGGARVCIGQNMALMEAKVALAVVLRRFEFRLSPAYVHAPRVLMILSPQFGAPVIFRPLTSAAA >ONIVA04G05470.1 pep chromosome:AWHD00000000:4:8284705:8292675:1 gene:ONIVA04G05470 transcript:ONIVA04G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRAASRPARPSPQELVRSIKESLLALDTRTGAKALEDVEKNVSTLRQTLSGDGEVEPNQEQVLQIALEICKEDVLSLFVQNMPSLGWEGRKDLAHCWSILLRQKVDEAYCCVQYIENHFDLLDFLVVCYKNLEVALNCGNMLRECIKYPTLAKYILESSSFELFFQYVELSNFDIASDALNTFKDLLTKHEAAVSEFLCSHYEQFFELYTRLLTSTNYVTRRQSVKFLSEFLLEAPNAQIMKRYIVEVSYLNIMIGLLKDTSKNIRICAFHIFKVFVANPNKPRDIIQVLVDNHRELLKLLGNLPTSKGEDEQLEEERDLIIKEIEKLVHSSV >ONIVA04G05470.2 pep chromosome:AWHD00000000:4:8287371:8292675:1 gene:ONIVA04G05470 transcript:ONIVA04G05470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRAASRPARPSPQELVRSIKESLLALDTRTGAKALEDVEKNVSTLRQTLSGDGEVEPNQEQVLQIALEICKEDVLSLFVQNMPSLGWEGRKDLAHCWSILLRQKVDEAYCCVQYIENHFDLLDFLVVCYKNLEVALNCGNMLRECIKYPTLAKYILESSSFELFFQYVELSNFDIASDALNTFKDLLTKHEAAVSEFLCSHYEQFFELYTRLLTSTNYVTRRQSVKFLSEFLLEAPNAQIMKRYIVEVSYLNIMIGLLKDTSKNIRICAFHIFKVFVANPNKPRDIIQVLVDNHRELLKLLGNLPTSKGEDEQLEEERDLIIKEIEKLVHSSV >ONIVA04G05460.1 pep chromosome:AWHD00000000:4:8280003:8281136:1 gene:ONIVA04G05460 transcript:ONIVA04G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYW2] MAPPTAVSASTAGLIWSLSPSLSLVVTTSVALLLVLGGVSGIRVNVIRLPSASPFPAFREAPAFRNGDGCPPARGSAAAEGGRVDVAMTLDANYLRGTMAAVFSILQHTACPESVAFHFLAARSDPDAGDLAAAIRATFPYLGAAVSVYRFDPSRVHGRISRSVRRALDQPLNYARVYLADTLPAGVRRVLYLDSDVVVVDDVRKLWSVDLAGHVVAAPEYCHANFTKYFTDAFWSDGELSGAAFRRGRRRPPCYFNTGVMVMDMGRWRDGGYTRRVEEWMAVQKRRRIYHLGSLPPFLLVLAGDIKAVDHRWNQHGLGGDNAEGKCRSLHPGPVSLLHWSGKGKPWLRLDSRKPCAVDYLWAPYDLYKAAVPALEE >ONIVA04G05440.1 pep chromosome:AWHD00000000:4:8266380:8267396:-1 gene:ONIVA04G05440 transcript:ONIVA04G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQATQYTLLSDFVTEIANSFIPCHSVADPSVRPQRQLFRRLPHLVTLVTGAGVGQTLGELEGLELGLRHGWEEPVVSNLAKQQQLIVHRYSLCVNCSSLISSLVSSFSPIN >ONIVA04G05420.1 pep chromosome:AWHD00000000:4:8221919:8227879:1 gene:ONIVA04G05420 transcript:ONIVA04G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDITLIAKQSHKSSITLNFIALKSENFRCVEMSLFDGLLPLLLIVFTMTPVIQASNRTTSLPSAATLAGCQRSCSNLTVDYPFGIGSSHCSRQPDFELICNNTTQPPRLLFKNGTTEIIDSPSTEYLDVMFSHSISMKSNVSVYNMSWDAPGKSFTLGYARLNITGCDFDIYQVLDQSGNVPAKLCNVTCPNRGITEDIARQDCNGTGCCSIHVSIRAQTFQLMFVRHGKGAVELDAQSNQSSLWSTINVTTVYAVILWIILDQPTCASTFDNRTNYACISEHSKCMDGYFAPILGYNCLCDGGYQGNPYILDGCSRDRGYNPFQQKDVCDRKCGSIDVPYPFGLEEGCAARKSFQLNCTNMLSSSLQLNDEYHVTYINVSNGLMGVEDTTDYKQYMYGMRVTQEPQLYIGSGESASVQWAVANLTCLEAQQNISGYACVSINSTCLGVNSTDDYIGYRCSCTLGFQGNPYIQDGCQDINECLVPNKCKGVCYNIPGSYRCTACPDKTQYDMTTMQCTRTRRQSLMLGVVIGLSCGFSILLLSLGIMLLIHRWKKDIQKQLRRKHFQKNQGLLLEQLISSDENASENTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSGSNNGFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRKEPIFTRVSGSKQNLSNYFLWELKVKPIMEIVAAQVREEATDEEIESVASLAQMCLRLRSEDRPTMKQVEMNLQFLRTKRLNSCPDALDKAEEMQPLLCTRSEASCASLAINLGDSYNPESQSSHKCYSLEQEFSSSVGLPR >ONIVA04G05410.1 pep chromosome:AWHD00000000:4:8206095:8208253:1 gene:ONIVA04G05410 transcript:ONIVA04G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTSLEGKHFLDSSLVLPPNGHHHAPSSSDAHRSSALVDLMAFIADRRNATTARCAMRSGLELQVTLCVDAPPPRVSYFCVWCPGERPTELATEPCIVAAEADLVVFAAVRGNARDILNLDKTDVFIYQAAGAPSIRRLGDLEPHFSAVYNIGLLRHSVAHPGGGDGEHGHYFIVTLHPGYTSSWEYVLYVFDSKTGSWSDRTVSLGPEHRHSQFNCSPSKVVVLGNGGLMAFVDLWRGIIVVDVLDRGVPPRFILLPRALRSRRILRMDASIVRDVVVVDGRVKFKNHQQQQQQPDVINGGATSNVASRLRKVSMWSRMATWEEDDDWRRDHIFSVPDIIVDEDYASHLELLRPELQIDDATGRPTLRGLHITRPAISLNDDDKVYFMAKVDPWDKRGWVIAVDMRSKKLEDVGIFRAERVIGVDLSYAFCRISKYFSTSTGKSTAGHLKRQGQFCTEYPHNRQAGRTDDGTSMDVEDIDDNMDED >ONIVA04G05400.1 pep chromosome:AWHD00000000:4:8204332:8205676:1 gene:ONIVA04G05400 transcript:ONIVA04G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRGKGRKGGRGSSGSVAAAGRRWGGCRWAEEVRRPAGPAACGSRRARSGCPSWATSTCSRRCHTRHSTASPRATARSSTSASVPSARTARTFQESLWKWRPPPPPPSTGRPRSPSRRRRTTTRYSGGGGGGAPLQLHLDSFHASTSPPPSYHRYAHTSTPLFPASGGYGWLSSKEHCLTLGGAADLSLDKPADHHHHDTTSATTTEKPLWRFLDEWPRSDDGRTPWDGTQLSISIPTAAASSPYLAIAGAASRYHNNVTHGAVGQYGEDAWRRLTSIPSRVSTPPHLQDGQPERARREALAAGPAGRRTSSPRRRPPHRRPAAAAEPLEPRTPSLPLPLLSVLAQGRR >ONIVA04G05390.1 pep chromosome:AWHD00000000:4:8194952:8202386:1 gene:ONIVA04G05390 transcript:ONIVA04G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENSSLFLIFILTMIALPLVPYTIMRLCRAANVKAKTIHCRCSGCHRSGKYRKSIYKRISNFSTCSNLTILLLWIVMIFLVYYIKHVSREVQVFEPYSILGLEPGASESDIKKSYRRLSIQYHPDKNPDPEAHKYFVEFISKAYQALTDPVSRENYEKYGHPDGRQGMQMGIALPKFLLNMDGASGGIMLLGIVGLCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIRTEQAKFWKQHPSLVKMELLIQAHLTGESFALTPALLKDYRHMLELAPRLLDELVKIALLPRSPHGFGWLRPAIGVIELSQNIIQAVPLSARKASGGNSEGIAPFLQLPHFTETVVKKIARKKIRSFQEFCDMPVEERAMLLTQVAGLSDEGAQDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLHRRNGLTAALPHAPSFPFHKEENFWLLLADAASNEVWLSQKVSFMDETTAITAASKAIQETQEALGASAKEIGIAVREAVDRVKKGSRLVMGKFQAPAEGTHNLTSFCLCDAWIGCDTKTSLKLKVLKRSRAGTRGHVAEEGPVAEDGIEEEEEEEEEEYDDYESEYSDDEEDEKSKGKGKVANGVAHQKANSDIDSGSDD >ONIVA04G05380.1 pep chromosome:AWHD00000000:4:8182345:8190283:-1 gene:ONIVA04G05380 transcript:ONIVA04G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAAAEAEEQLLRRSLRLFAAGERSFRMDRLSPDADALRAAVADVLPRFLGSYTDDILAEYIVILVCNGKHQYQARDDLEAFLGDDSAKFVSWLWGYLSKKALTLADNSSIQHGLTNEIRNRSTKKNLQVAKALSEDTFIVSWAGSVAASYQNLPEIISNTNNGYRLVNSDISSPQEHHTLQKHDSTEGQNVARRHISSTVTVTPERLVDDQCYWEGQHQKKDQRSSSGRNFSTLKSGVAVRTAQALPQDELRHEVCIGRNASARRFPLAVRSDDVLDPESIKKRGNVWDRLGKPAIKDRICATEDDDMHVQNGLHKKAKLMVTEHELRCRMNSSTEGDLFDIANSRRFPRSYQDVNTVQAHEHTEKSNRSRLIGRINFGDIERNQLQVRDVIRQKSSPNLPARSVPPQSQNEFISEVKSSVTAVSESACHVSKSTKGQVPGSSKLGQLATRRNLETEVLQSQQVSSPAQSKTGSSVHEDGGNCCNKPMKNEMLDVKLKLKQVELDVLKLRSKQAQINNVKQGFLSSGPHANLDEDADSRTVLVTNVHFAATKEALSGHFMKCGTVLKINILTDAISGHPKGAAFVTFTDKESVEKAVSLSGSSFFSRVLTVMRKAEAPPGFLASVQPIGRPLQSWNSPPIQKGVSPRQIPGYHLQWKREQSVLEKSPASCPTN >ONIVA04G05380.2 pep chromosome:AWHD00000000:4:8182345:8190283:-1 gene:ONIVA04G05380 transcript:ONIVA04G05380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAAAEAEEQLLRRSLRLFAAGERSFRMDRLSPDADALRAAVADVLPRFLGSYTDDILAEYIVILVCNGKHQYQARDDLEAFLGDDSAKFVSWLWGYLSKKALTLADNSSIQHGLTNEIRNRSTKKNLQVAKALSEDTFIVNSDISSPQEHHTLQKHDSTEGQNVARRHISSTVTVTPERLVDDQCYWEGQHQKKDQRSSSGRNFSTLKSGVAVRTAQALPQDELRHEVCIGRNASARRFPLAVRSDDVLDPESIKKRGNVWDRLGKPAIKDRICATEDDDMHVQNGLHKKAKLMVTEHELRCRMNSSTEGDLFDIANSRRFPRSYQDVNTVQAHEHTEKSNRSRLIGRINFGDIERNQLQVRDVIRQKSSPNLPARSVPPQSQNEFISEVKSSVTAVSESACHVSKSTKGQVPGSSKLGQLATRRNLETEVLQSQQVSSPAQSKTGSSVHEDGGNCCNKPMKNEMLDVKLKLKQVELDVLKLRSKQAQINNVKQGFLSSGPHANLDEDADSRTVLVTNVHFAATKEALSGHFMKCGTVLKINILTDAISGHPKGAAFVTFTDKESVEKAVSLSGSSFFSRVLTVMRKAEAPPGFLASVQPIGRPLQSWNSPPIQKGVSPRQIPGYHLQWKREQSVLEKSPASCPTN >ONIVA04G05370.1 pep chromosome:AWHD00000000:4:8175948:8176991:-1 gene:ONIVA04G05370 transcript:ONIVA04G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGRGSGGGGEDDVVVNLPEVVKGAALARVMEYCDHHHGGGADPGSARVRDDGGGVCDGGGGRDDGFPFAVVELVVLATVRVLAALYRRAVRGGRCPLLLLPLLGPCRSRSLLISLDAQLAAVSFSASAAATRGEELSAVSALEEERLRSGSGTAAAREPGRRRVRAVSSKSSPNTADDWSGCVRLVLRLTDFAGVGLHGGGDGGVGCLPA >ONIVA04G05360.1 pep chromosome:AWHD00000000:4:8175723:8177035:1 gene:ONIVA04G05360 transcript:ONIVA04G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERERQAPTTNGAAVERSEDADGREDDELNDGEGEAVVPPAAAVAYSAAVVPHSAAIPYSAAFVLCGALATARRWPAPPRSPQRRSVGVRRGRPLRRASHGEALAGAAALAAAFIGSGEAGASASGVAVLCCALATARRWPPRSLACCACQGGREGGPGGARVGAAAVVVVAVLHDAGEGGALDDFGEVDDDVVLAAAAAAAALLEHPPNRARCRGDHLR >ONIVA04G05350.1 pep chromosome:AWHD00000000:4:8164040:8164867:1 gene:ONIVA04G05350 transcript:ONIVA04G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFASPLDAAPAPPTAKPSPPPAPAAANGLVTQEELKRVAAHRAVEMVEPGMTLGLGTGSTAAHALDRLGDLLRSGELAAVAGVPTSLKTEAHAARVGIPMLPLGEAGGIDLSIDGADEVDPELNLVKGRGGSLLREKMIEGSGGRFVVIVDESKLVPRLGCTGAVPVEVVPFGCDHTLGLVRKVFDGLPGFSARLRTVASKDGEGKEEMFVTDNGNYIVEMFFEDGIRGDLNEISDRLLRITGVVEHGMFLGMATSVVVAKKDGTVALLHKKK >ONIVA04G05340.1 pep chromosome:AWHD00000000:4:8155215:8156200:-1 gene:ONIVA04G05340 transcript:ONIVA04G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVVALAATAVVTVLKGTAGWCAKWDAEGRRLLVSNFFDVGVSKLYAAGTAGKEKEEERVVSGMYELQRHREGRAGHKVA >ONIVA04G05330.1 pep chromosome:AWHD00000000:4:8138899:8140383:1 gene:ONIVA04G05330 transcript:ONIVA04G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYV0] MAAAGHDVQLPHVAIFPFMARGHTVPMTHLACLLRRRGLATVTFFSTPGNAPFVRGQLDDDVAVVELPFPDHVVARGAAECVEALDSLFPLPAFVEAVSALRPGLEVSLAAARPRVGLLVADAFLHWAHASAAALGVPTVAFLGGNMFATIMRDVILRDNPAAALLSGGGGAEAATFAVPEFPHVHLTLADIPVPFNRPSPEGPIMELNAKLWKAIAGSNGLIVNTFDAMEGRYVEHWNRDHRAGPRAWPIGPLCLAHGGTGTGTGAVEPSWMKWLDEKAAAGRAVLYVALGTAMAIPDAQLREVAGGLEAAAAAGVDFLWAVRPSDADLGAGFEERVEGRGMVVREWVDQWRILQHGCVRGFLSHCGWNSAVEGVAAGVPLAAWPMGAEQPLNAMLVVDELRVGVRVPVPTAMATGGHGVVGSEVIARVARELMMMAGEGKGGGGGEAARNVASLASKAREAVAEGGSSWKALEEMVATLCRPVEGDTPKPTK >ONIVA04G05320.1 pep chromosome:AWHD00000000:4:8110178:8111548:-1 gene:ONIVA04G05320 transcript:ONIVA04G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGAPELTHELSNNPRAGGRAAILGIGTAVPVHVYEQKSFPDYYFEITNSNHLVDLKAKFANICKKTTTEKRHMYISDEWLRANPSVTAYMSTSLNVRQQVAEEGIPRLGAEAACNAIGNWGKPASSITHVVFATTSTGCLPSADVTLIKLLGLPLSTKRVMLYQSGCFGGTTALRVAKDIAESNRDARVLVVTSEVMSLIIRGPSESHVGNLVAQAVFGDAAGAAVVGCCRHPSSTGERPVFQLVRASQDVIPGTDDAVVVKVQQEGVVITLHRDLPLHVSNAIGGVVESAFRGVGTTVTSYDEAFWLLHAGGRAVVDGVEERLGLGEGKLAVTREVMRQYGNTRSTTIFLAMEEMRRRSEERGMATAGEGLEWGMLMAFGPGLTVETMLLRAMPRN >ONIVA04G05310.1 pep chromosome:AWHD00000000:4:8089480:8092935:1 gene:ONIVA04G05310 transcript:ONIVA04G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIKRIDNTTNRQVTFSKRRGGLMKKARELAILCDADVGLIVFSCTGRLYDFSSSSMKSIIERYQEAGEEHCRLLNPMSEAKFWQREVTTLRQQVQNLHHNNSFYLSANYLNENSAYYFIRINQYEGENEIFFKAHVEIHVSNKRQLLGEEISNFTVRDLQLLQNQVEMSLHSIRNKKGSLVQKENSELRKKFNIAHQRNIELHKKLNSGESTSSEQVTRSSKDPGESSTPRDSRVCIDLELSQKEVEDE >ONIVA04G05300.1 pep chromosome:AWHD00000000:4:8071015:8071821:-1 gene:ONIVA04G05300 transcript:ONIVA04G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAGGRGGRAAATESRRDDRESLDRSRARGLHYPHLPPLLLRPPFFLSPTCFLLFLFLFPHLLEQGKNRWLHGQDCYTFADK >ONIVA04G05300.2 pep chromosome:AWHD00000000:4:8071015:8071821:-1 gene:ONIVA04G05300 transcript:ONIVA04G05300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAGGRGGRAAATESRRDDRESLDRSRARGLHYPHLPPLLLRPPFFLKNRWLHGQDCYTFADK >ONIVA04G05290.1 pep chromosome:AWHD00000000:4:8049140:8070990:-1 gene:ONIVA04G05290 transcript:ONIVA04G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phototropin 2 [Source:Projected from Arabidopsis thaliana (AT5G58140) TAIR;Acc:AT5G58140] MAGSSSSKEIVDAVEKWMAFPTSGGGGATAGLEIVAEDAPSGSSGAHQQQAWRPVAPATAGRDSGGTGSGKSSVDGGVGRASHDSLPRVSQELKDALSSLQQTFVVSDATRPDCPIIYASEGFFTMTGYSPREVVGRNCRFLQGPDTDAAEVAKIRDAVKHGRSFCGRLLNYRKDGAPFWNLLTVTPIRDDNGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIRYDERQKDKAMSSMTEVVQTVKQPRGARAPADAALLTPPKMSDADKMAAMSPVVAPGTPSGGGGGAGSFKSPLWDLKKEESRLSRLASGRKSGRSSLMGFKIGKRSSVGSREAPAVVEEPAPAPPPAPEVVERTDSWERAEREKDIRQGIDLATTLERIEKNFVITDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQGTVDKIREAIRERKEITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSENTEIQSAKLVKATAENVDDAVRELPDANLRPEDLWAIHSMRVSPKPHKRNNPSWIAIEKATNLGEKIGLKHFKPVKPLGCGDTGSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRACIEREIYALLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFAVLDRQPMKIFREECARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQADGHIVLTDFDLSFLTTSKPHVIKNSTSLKRRRSQEFLPPTFVSEPSTPSNSFVGTEEYIAPGIQVQSIGGLSMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVSLAAKQLIHGLLQRDPSNRIGSNAGANDIKQHSFFQDINWPLIRCMSPPELDVPLKLIGKETQPKAKPDEDGRSNWSSSFSCIVIRGFM >ONIVA04G05290.2 pep chromosome:AWHD00000000:4:8049140:8070990:-1 gene:ONIVA04G05290 transcript:ONIVA04G05290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phototropin 2 [Source:Projected from Arabidopsis thaliana (AT5G58140) TAIR;Acc:AT5G58140] MAGSSSSKEIVDAVEKWMAFPTSGGGGATAGLEIVAEDAPSGSSGAHQQQAWRPVAPATAGRDSGGTGSGKSSVDGGVGRASHDSLPRVSQELKDALSSLQQTFVVSDATRPDCPIIYASEGFFTMTGYSPREVVGRNCRFLQGPDTDAAEVAKIRDAVKHGRSFCGRLLNYRKDGAPFWNLLTVTPIRDDNGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIRYDGEHRRRRRGILCFLDVSLVLLSYQCRHWRVWSAERQKDKAMSSMTEVVQTVKQPRGARAPADAALLTPPKMSDADKMAAMSPVVAPGTPSGGGGGAGSFKSPLWDLKKEESRLSRLASGRKSGRSSLMGFKIGKRSSVGSREAPAVVEEPAPAPPPAPEVVERTDSWERAEREKDIRQGIDLATTLERIEKNFVITDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQGTVDKIREAIRERKEITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSENTEIQSAKLVKATAENVDDAVRELPDANLRPEDLWAIHSMRVSPKPHKRNNPSWIAIEKATNLGEKIGLKHFKPVKPLGCGDTGSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRACIEREIYALLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFAVLDRQPMKIFREECARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQADGHIVLTDFDLSFLTTSKPHVIKNSTSLKRRRSQEFLPPTFVSEPSTPSNSFVGTEEYIAPEVITGAGHTSAIDWWALGILLYEMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVSLAAKQLIHGLLQRDPSNRIGSNAGANDIKQHSFFQDINWPLIRCMSPPELDVPLKLIGKETQPKAKPDEDGRSNWSSSFSCIVIRGFM >ONIVA04G05290.3 pep chromosome:AWHD00000000:4:8049606:8070990:-1 gene:ONIVA04G05290 transcript:ONIVA04G05290.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phototropin 2 [Source:Projected from Arabidopsis thaliana (AT5G58140) TAIR;Acc:AT5G58140] MAGSSSSKEIVDAVEKWMAFPTSGGGGATAGLEIVAEDAPSGSSGAHQQQAWRPVAPATAGRDSGGTGSGKSSVDGGVGRASHDSLPRVSQELKDALSSLQQTFVVSDATRPDCPIIYASEGFFTMTGYSPREVVGRNCRFLQGPDTDAAEVAKIRDAVKHGRSFCGRLLNYRKDGAPFWNLLTVTPIRDDNGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIRYDERQKDKAMSSMTEVVQTVKQPRGARAPADAALLTPPKMSDADKMAAMSPVVAPGTPSGGGGGAGSFKSPLWDLKKEESRLSRLASGRKSGRSSLMGFKIGKRSSVGSREAPAVVEEPAPAPPPAPEVVERTDSWERAEREKDIRQGIDLATTLERIEKNFVITDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQGTVDKIREAIRERKEITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSENTEIQSAKLVKATAENVDDAVRELPDANLRPEDLWAIHSMRVSPKPHKRNNPSWIAIEKATNLGEKIGLKHFKPVKPLGCGDTGSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRACIEREIYALLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFAVLDRQPMKIFREECARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQADGHIVLTDFDLSFLTTSKPHVIKNSTSLKRRRSQEFLPPTFVSEPSTPSNSFVGTEEYIAPGIQVQSIGGLSMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVSLAAKQLIHGLLQRDPSNRIGSNAGANDIKQHSFFQDINWPLIRCMSPPELDVPLKLIGKETQPKAKPDEDVPLNLDTF >ONIVA04G05290.4 pep chromosome:AWHD00000000:4:8049606:8070990:-1 gene:ONIVA04G05290 transcript:ONIVA04G05290.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:phototropin 2 [Source:Projected from Arabidopsis thaliana (AT5G58140) TAIR;Acc:AT5G58140] MAGSSSSKEIVDAVEKWMAFPTSGGGGATAGLEIVAEDAPSGSSGAHQQQAWRPVAPATAGRDSGGTGSGKSSVDGGVGRASHDSLPRVSQELKDALSSLQQTFVVSDATRPDCPIIYASEGFFTMTGYSPREVVGRNCRFLQGPDTDAAEVAKIRDAVKHGRSFCGRLLNYRKDGAPFWNLLTVTPIRDDNGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIRYDGEHRRRRRGILCFLDVSLVLLSYQCRHWRVWSAERQKDKAMSSMTEVVQTVKQPRGARAPADAALLTPPKMSDADKMAAMSPVVAPGTPSGGGGGAGSFKSPLWDLKKEESRLSRLASGRKSGRSSLMGFKIGKRSSVGSREAPAVVEEPAPAPPPAPEVVERTDSWERAEREKDIRQGIDLATTLERIEKNFVITDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQGTVDKIREAIRERKEITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSENTEIQSAKLVKATAENVDDAVRELPDANLRPEDLWAIHSMRVSPKPHKRNNPSWIAIEKATNLGEKIGLKHFKPVKPLGCGDTGSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRACIEREIYALLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFAVLDRQPMKIFREECARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQADGHIVLTDFDLSFLTTSKPHVIKNSTSLKRRRSQEFLPPTFVSEPSTPSNSFVGTEEYIAPEVITGAGHTSAIDWWALGILLYEMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVSLAAKQLIHGLLQRDPSNRIGSNAGANDIKQHSFFQDINWPLIRCMSPPELDVPLKLIGKETQPKAKPDEDVPLNLDTF >ONIVA04G05280.1 pep chromosome:AWHD00000000:4:8040052:8045165:-1 gene:ONIVA04G05280 transcript:ONIVA04G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLKSAAARSPMRSPPPHPPSAPAPRDEGFEEEEEEEESARAIAVSDQRTIYLVNMFIANTVEFLNSFAAQCNDKLSLLHRKIVKLDSSLNLLEAKLHSIDDTNAFGHSTNQKAHGLFTQDGRFEPTNLLGESSSKETGREGRSGAGGDVRRWRREKRSRGRGAAMNLALRRDGAGGDGAAAAHRGATARRDEGMERRRRRLDGGGWIGRATWVWVAGGGGKHKHMGITDNWERWIWRSNSSYSACLPGLESPPPLRNFKVVEIFQV >ONIVA04G05280.2 pep chromosome:AWHD00000000:4:8040340:8045165:-1 gene:ONIVA04G05280 transcript:ONIVA04G05280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLKSAAARSPMRSPPPHPPSAPAPRDEGFEEEEEEEESARAIAVSDQRTIYLVNMFIANTVEFLNSFAAQCNDKLSLLHRKIVKLDSSLNLLEAKLHSIDDTNAFGHSTNQKAHGLFTQDGRFEPTNLLGESSRARREIRRWRGCAEMAPRETVEREGSGDESGVAA >ONIVA04G05280.3 pep chromosome:AWHD00000000:4:8042660:8045165:-1 gene:ONIVA04G05280 transcript:ONIVA04G05280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLKSAAARSPMRSPPPHPPSAPAPRDEGFEEEEEEEESARAIAVSDQRTIYLVNMFIANTVEFLNSFAAQCNDKLSLLHRKIVKLDSSLNLLEAKLHSIDDTNAFGHSTNQKAHGLFTQDGRFEPTNLLGESSR >ONIVA04G05280.4 pep chromosome:AWHD00000000:4:8044758:8045165:-1 gene:ONIVA04G05280 transcript:ONIVA04G05280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLKSAAARSPMRSPPPHPPSAPAPRDEGFEEEEEEEESARAIAVSDQRTIYLVNMFIANTVEFLNSFAAQCNDKLSLLHR >ONIVA04G05270.1 pep chromosome:AWHD00000000:4:8028803:8036409:-1 gene:ONIVA04G05270 transcript:ONIVA04G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLANMFSVLNLDAEDDREEGAEPPTSSKAEAAAVTSSTETDKIRLNHTMIVNHDGENLASSSSDYDKPLVWIDLEMTGLDITKDRILEIACIITDGKLTKRIEGPDLVIRQSKECVNDMNEWCKEKVLQSDISENDAEKQVLDFIRKYIGSATPLIAGNSVYMDLLFLKKYMPHLAAIFSHVIVDVSSISALCSRWFPKERKHAPRKEKNHRAMDDIRESIKELQYYKENIFKSRKSKGRG >ONIVA04G05270.2 pep chromosome:AWHD00000000:4:8028803:8036409:-1 gene:ONIVA04G05270 transcript:ONIVA04G05270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLANMFSVLNLDAEDDREEGAEPPTSSKAEAAAVTSSTETDKIRLNHTMIVNHDGENLASSSSDYDKPLVWIDLEMTGLDITKDRILEIACIITDGKLTKRIEGPDLVIRQSKECVNDMNEWCKVHHSASGLKEKVLQSDISENDAEKQVLDFIRKYIGSATPLIAGNSVYMDLLFLKKYMPHLAAIFSHVIVDVSSISALCSRWFPKERKHAPRKEKNHRAMDDIRESIKELQYYKENIFKSRKSKGRG >ONIVA04G05270.3 pep chromosome:AWHD00000000:4:8030954:8036409:-1 gene:ONIVA04G05270 transcript:ONIVA04G05270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLANMFSVLNLDAEDDREEGAEPPTSSKAEAAAVTSSTETDKIRLNHTMIVNHDGENLASSSSDYDKPLVWIDLEMTGLDITKDRILEIACIITDGKLTKRIEGPDLVIRQSKECVNDMNEWCKVHHSASGLKEKVLQSDISENDAEKQVLDFIRKYIGSATPLIAGNSVYMDLLFLKKYMPHLAAIFSHVIVDVSSISALCSRWFPKERKHAPRKEKNHRAMDDIRESIKELQYYKENIFKSRKSK >ONIVA04G05270.4 pep chromosome:AWHD00000000:4:8028803:8036409:-1 gene:ONIVA04G05270 transcript:ONIVA04G05270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLANMFSVLNLDAEDDREEGAEPPTSSKAEAAAVTSSTETDKIRLNHTMIVNHDGENLASSSSDYDKPLVWIDLEMTGLDITKDRILEIACIITDGLKEKVLQSDISENDAEKQVLDFIRKYIGSATPLIAGNSVYMDLLFLKKYMPHLAAIFSHVIVDVSSISALCSRWFPKERKHAPRKEKNHRAMDDIRESIKELQYYKENIFKSRKSKGRG >ONIVA04G05260.1 pep chromosome:AWHD00000000:4:8027837:8030606:1 gene:ONIVA04G05260 transcript:ONIVA04G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G59980) TAIR;Acc:AT3G59980] MMAAAARMAFASCGRLLAPSSSSSLPALPRTRGSVAASGKHPVSFLAAARSASVLCYCSDATVAPAPQAAAAEGEGEEGEKKAEVPPVEEVAALLDIRVGRVVKAWRHPEADTLYVEEVDVGEEQPRTICSGLVNYLPIDQLQDSNVIVLANLKPRNMRGIKSNGMLMAASDASHENVELLTPPEGSVPGERVWFGTEDGKDRQSEAASPNQVQKKKIWESVQPHLRTSENCTAFLGEHPMRTSAGVVFCKTLQGARVS >ONIVA04G05250.1 pep chromosome:AWHD00000000:4:8027098:8027346:-1 gene:ONIVA04G05250 transcript:ONIVA04G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLLDAPFFSVLEGGPQGGVDGVVACSGMDEDGRTSTMPKRRCSGARSRTTMARRCSRCYNGSNAMASKESLFGKMS >ONIVA04G05240.1 pep chromosome:AWHD00000000:4:8026330:8027095:-1 gene:ONIVA04G05240 transcript:ONIVA04G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRDKDDRGLVMNMIIVFISAGDRIETKEAAHACSLDVVSSRVESEMGRPSWEKTIAAAAAAAQYAGEAMAFCPLLATLTRKGNFP >ONIVA04G05230.1 pep chromosome:AWHD00000000:4:8008013:8010687:-1 gene:ONIVA04G05230 transcript:ONIVA04G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYT0] MVRPFCRSPYQLHHCHADISSHPSTMLPANFFFFIIVIVIIALATIAPPVSAERYDYPTANLSTRWVNNAAALQHSVGYSDGSAVRAIVLRSPKTFYGPSYAAGFFCSPPCDAFLFAVYVVYTNSGAGITMTTTGIPQVVWSANRARPVRENATLELTYNGNLVLSDADGSLVWSSGSSGRSVAGMEITDTGNLVLFDQRNVTVWQSFDHPTDTLLPGQSLMEGMKLRANSTTTNSTENQVYMAVQPDGLFAYVESTPPQLYYSHSVNTNKSGKDPTKVTFTNGSLSIFVQSTQPSNISLPQASSTQYMRLEFDGHLRLYEWSNTGAKWTVVSDVIKVFPDDCAFPMACGKYGICTGGQCTCPLQSNSSLSYFKPVDERKANLGCSPLTPISCQEMRSHQLLALTDVSYFDVSHTILNATNRDDCKQSCLKNCSCRAVMFRYGQNDSDGTCFSVSEVFSLQTIQPEALHYNSSAYLKVQLSPSASASTENKTKAILGATISAILILFLAVTVITLYVQRRKYQEIDEEIDFEPLPGMPVRFSYEKLRECTKDFSKKLGEGGFGSVFEGEIGEERVAVKRLESAKQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSNRLLVYEYMPRGSLDRWIYYRYNNDPLDWCTRCRIIMDIAKGLCYLHEECRRKIAHLDIKPQNILLDEKFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLLEIICGRKNIDISQPEESVQLINLLREKAKDNVLIDIIDKKSTDMVSHHQEEVIKMLKLAMWCLQNESSRRPSMSMVVKVLEGAVSVENCLDYSFANANSVISAQDNPSTYSAPPSASILSGPR >ONIVA04G05220.1 pep chromosome:AWHD00000000:4:8007433:8009408:1 gene:ONIVA04G05220 transcript:ONIVA04G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAARTAFVSGDLLPLNVSSSASIPTPAKTQEAEGLSCTLRASGCIVCNEKTSDTEKHVPSESFWPYLNITALQEQFFKQDCLQSSLFVAFRMVWLTSKYETSVRAKS >ONIVA04G05210.1 pep chromosome:AWHD00000000:4:8004762:8005843:1 gene:ONIVA04G05210 transcript:ONIVA04G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPVRWLPPKLVLPGCVAIAARTRFSCYLAGARAIDNTAAKKDIAEKINHAGFGFDGLVYVTVVAALTDGQRSQQIAEMAQPARCTTVFNIQPKGQYHLIKAHFSENEIENGENGKVDVVAWSILAF >ONIVA04G05200.1 pep chromosome:AWHD00000000:4:8001022:8001409:-1 gene:ONIVA04G05200 transcript:ONIVA04G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVGRSPSSEHCRPNPRTNTVASTRQTHSHTITVNPITGRSKVTPSPVPAISLPFSTGNHPPRTRDAQEHTLPSASPPSSHQSPGAPPPPPLVCSAGREEEDDRRKKKKEKKKKKKG >ONIVA04G05190.1 pep chromosome:AWHD00000000:4:7999732:8000584:-1 gene:ONIVA04G05190 transcript:ONIVA04G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVVGASLFPRPPQHAAQLALGLCVILAAPTPLTVVESLFYFGWQPVVFQGSDNQVAYHGFIRVGARQVIIPPL >ONIVA04G05170.1 pep chromosome:AWHD00000000:4:7967425:7968047:-1 gene:ONIVA04G05170 transcript:ONIVA04G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLTIETPADEDDDISCVPSPSNSETDEPAMAFTSSRGVAATALGSMSGGAAEVLGEGAGGSVLLRGAWSRRGGLAGVLGGIEQAQRVARVHLGDAGAEVGEDAVHRGGASKARSRQLAIFGDFACVDEEKHRGK >ONIVA04G05170.2 pep chromosome:AWHD00000000:4:7967425:7968038:-1 gene:ONIVA04G05170 transcript:ONIVA04G05170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLTIETPADEDDAMAFTSSRGVAATALGSMSGGAAEVLGEGAGGSVLLRGAWSRRGGLAGVLGGIEQAQRVARVHLGDAGAEVGEDAVHRGGASKARSRQLAIFGDFACVDEEKHRGK >ONIVA04G05160.1 pep chromosome:AWHD00000000:4:7960645:7962105:-1 gene:ONIVA04G05160 transcript:ONIVA04G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKASDRADRGSACNPTYTWQEIPDDPPLPGHDSDEGVASCLDIKWGHRLIRESEYRLLSKNQGWYVFRVPTPPPKLFIIYPNVTFVFQDHPEAQPREQYGDCICLKPVFHPKNSGPPEVLQYIALLAQHFINSASMRWVEDQPLKLRMQVPSYDKLLLWARMMLVNQEDCEYLIRADIIRGVMASIYQCHVDPSLVVAFLTYWNVDAHTLITSQGEMGYPLNTLYDAMDISFSGRLYEEYIPLLSTSCEHVQILHSTYPFLRNYADPNDPLLIRKGFRVEVHDDRPIAIFGDWEISYQYQYPPLVYRAAFIATWLCTYCVTIEDGHFIRPEVFTMAVEIAKGH >ONIVA04G05150.1 pep chromosome:AWHD00000000:4:7958284:7960623:-1 gene:ONIVA04G05150 transcript:ONIVA04G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLYRALDEVYYNIVAGTTSISERTLSIPGHFIMGWFASFWRDAPMPTSSAQLVACPPFITDFRNYAAVDIQTAHSFFWEFNNDGTGLRFLDFLGRSEVRFPHSGEAIYICDDRTQYRNSRAITIAAIDMLVSCTQPASKLLDDDQMEFLDKAVDPKSTSKDCNGDNSVVRAGETTLCKFFPFFLCNQFHTLPFFSCAPARIQQLSSESKKLSINVEHIIAQVSQAVNMNCVTEENFLLKEFDGNNPVTLPKLLDVVSARGLKHVWSEIKAFQELLKQRPRDIILKEISINLDLWSNFFSKPPPEIIRLMEGLHVLKGALSEKAQLPTTNLVLAQQDQINQHVDLLRTAEDKVESSCVALEALTSQYNVEQAVDEGNKREAEIAVLQARLQQVEDTHSSAQHRQDVVTENFNSHLERHRQAKDQKSEIAAYLKQASVHQKFLQDIIDFTKPDEFGLSQYVYNLFDFFMGCS >ONIVA04G05140.1 pep chromosome:AWHD00000000:4:7954776:7956057:-1 gene:ONIVA04G05140 transcript:ONIVA04G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEHHRKKKPEKCYFGRTILIFLRMQKRRLICQRVNLLLGSQYQPGAGPVGEPGDMQILKPNFFSEAGVYDLPRHTVTLAQEFINSTTKRWVEGRPMQLRMEVSPNDKLMLWAHMLLVNPEESACLKKAGIFRGVMASIYKCQKDPALVAAFLTYWNMDGHTLITSQGEMGYPLHTMYDAMGILISGRLYEEFIPLPSTVHGHTLHNIYADQCPLQLNEGPGLVTISTWVNHFFGNDPVSIQSFLPDGFADPTKPLYEDRGFHVELRNNRPTAIMCDLEMSYIYTYPLVLLLLHGYAPNVFQWRRGNSFVLRFLLWQWKLLREAVEPLALQAWHFYVLMTVALAIICTPWLCLPII >ONIVA04G05130.1 pep chromosome:AWHD00000000:4:7933262:7934165:1 gene:ONIVA04G05130 transcript:ONIVA04G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMALLLLDAVVAFVVAWYVRATSDRSIPEKIHMAQRASRRRGGNATEIAAMQWQAFDQQFSRDPRRWHGMHGSVHMRCRAAAAAASAASY >ONIVA04G05120.1 pep chromosome:AWHD00000000:4:7909359:7914042:-1 gene:ONIVA04G05120 transcript:ONIVA04G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGAYTIHQSLTAEAAAVLKLALGIARRRGHAQVTPLHVAFALLSPACSPPQQQPAPPPYGLLKRACLRSHPSAAAAVAAHPLQCRALELCFNVALNRLPTSAPHSPPPSSSAPSGAVAPPFASSLIQPNPTLSNALVAALKRAQANQRRGCVELQQQPPPPPPPPPPPVAATAQQQQPLLAIKVELDQLIISILDDPSVSRVMREAGFSSSTVKSNLEGESALMMSTSSSPPPPAIPPHFFLDPSIGVGGNGGGGGGGFMLWPAPFLSSPGMAVPSCKEDVRAVLEVMVRKQGRRTNPVVVGDSVSMAEAVAGELLRRLEGGDVPDELAGAHLLKLQLSYVHVRLMSRADVDAKAAELRRSVDAVKRGGLVVYVGDLRWALDEDHHHHHHPGADHHNTASSYSPVEHMVAELGRLLGDLRASAPPRGRVWLVATASYQTYMRCRRRRPSLESAWALQAIVVPTGAGTGLALNNLHAVATTTSNGEPVQQAMVATNHQQQQQQLASPFVAMAAEPAARDELDDKLLVLCTECSHNYEREASAVKAEAAADEEGPRAAGNLPGWLVPEPPKENYLIELKRKWSRLCRKLHLCGGGDPCSGQSFGAGAYGNGPSSLLPWWSASCLLPNGGGKPSIAGFLGMEALRWSPPAAAALPSLSSLREPECQDVTTALALGSLPLSDSASSSGGGGGDGAAARELERRLRKNVPWQRAAVAEIADAVAAGARSGNGTKGAGVWLLLKGSDHAAVRRVAAVIAETHCCSADRVVVVSADPNKFGCADDFRSDVVARASMAAAAGGNKLVLVVDDVERAPQHVVECLVAASRSGALKDKFGGQELDLSGSVVVMTTSKLADAAVSGVISLRLYTSEQSPPSGDLKRKTPTSSPPTSDRKRARARRSAGNGHSLDLNLNLFAHDDDDNDAGDVDDDDDGVPSDITHEGGGDDSGEHGHSHHRLLLESIAMRVVTLDGDHHGAAAAVRERLSGRLDGGGRDLRVDGEAAAALAAASGHFVDEVMERWVAEVFEPAAATVKNGGKAVVLGVGPSGGGAHESVGFMGSVLPSRVHVD >ONIVA04G05110.1 pep chromosome:AWHD00000000:4:7889759:7904256:1 gene:ONIVA04G05110 transcript:ONIVA04G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFWRSRNRFSLEELRYLTDQLQKVHIVYEANKDFVVEALRSIAELMIYGDQHDPSYFEFFMEKQIMGEFARILRISKLSRVSLQLLQTMSIMIQNLRNEHSIFELYMLYKTQSCNRFPLYYMQDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHDRSFLSVGDESVNRFVSRAPLSDYFSDMVNHFQKQCIDLDKLVVRSVRNADSAVPTASVEDAIVQIEDTLYYFSDVMSSGIPDLGRFITENILQLLVFRFLLPSLQRQSTDLGISVTTSMYLICCILHIFKNKDMASTVAAALFHQPDCHDRKQGTPNGYTSEHDNGISDNQGTSTSDIDQSNEDKSDILSSSNTHCLPDDPASSDCCQGNTLRCVDDSVIIIIINSIKFYEITEYCMREHLLSYIISGDDFQALGSLCLFSTLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLADDSICSDFDMYVRKLQDKYGLKCHHPRQMTSKFHRYQVLDALVALFCRSNVSADVRLVGGWLFRQLLPHGEEEFTAFHLKWLKDSHKDYSIKLLDESGGCWRDLLVPIVKEAWKNCKKGDSSIAIAERIYEMVKEMWPQRFTSVIIVLMYKVYNYFGVCKVPYRPTFVCHTEYQTSKCSLKYFEHYQQCSSILLLAVDLQKMRRTPKHNTHPNADEILYQSPSIEISTDHKQPRERTEMNANIRHDKTCAITESLMLSRSWLARWTVNGARYESPRQVLSHGGMVSWQCCGAVWCGLSVMVPTHAASRHIWLGPSAVLRSLNLSHVESNDQGFVLQRQVILFCLGETLTDQPPIFSPTDLPVNNRATLAGFDGSVPKPGLEVNLVDAVPCRIAFERGKERHFCFLALSNGTSGWILLLEELPLKEKRGIVRVTAPLAGSDPRIDEKHAKWLHLRIRPSTVPFLDTEKYKGKTRKYLVDGRWTLAFRDEQSCKEAETMVIEEMKLQQGAVGEQLKLLLEFDMPEDGLQHPCSSHETTSDDGS >ONIVA04G05100.1 pep chromosome:AWHD00000000:4:7876323:7882314:-1 gene:ONIVA04G05100 transcript:ONIVA04G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G51610) TAIR;Acc:AT1G51610] MRRPFAAAAALRLRLRLLSSSSSSSSSSSSLPRLPSSPYPLHHLLLLSRRSGDHHDHDHPSPPPPFSPRPLLASGVLGLSRWRARARALPPAPSPPRGPVADAPPVRLTLSRSYSLRVAKAKKKAHFDDEHSHRAVNTALWCNFLVFSLKFGVWLSTSSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWNSQPPENIHYAALVIGGSFLIEGASLLVAIKAVRKGAAAEGMSIRDYIWRGHDPTSVAVMTEDGAAVTGLAIAGASLVAVQTTGNAMYDPIGSIIVGNLLGMRNRHALIGRAIDDHDMQRVLEFLKADPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGEWAKQFREASLSEDDTELIRVMSNYGEDVVEALGYEVDRLESEIQKIVPGIKHVDIEAHNPEGLSL >ONIVA04G05090.1 pep chromosome:AWHD00000000:4:7872526:7874878:1 gene:ONIVA04G05090 transcript:ONIVA04G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEKTKCRMAMEAAEAAQHGMAHGSAGKAADGAHGGAADGGWGRSARTAARRRPAVPAAAAGVARHVRGADAQGQGVPHPAARERAPLPALHVYTRHARCSCVRVCSWFLLALAAFAYAVCLVFKPRQSDYTLQGADLLVGLSALRRRYASRSTSR >ONIVA04G05080.1 pep chromosome:AWHD00000000:4:7852444:7852919:-1 gene:ONIVA04G05080 transcript:ONIVA04G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLNGCKSTCLAEMMEMVEEEMACTMKLEATRKGDGPRAATVVAGRVRAAAAAEDWIRATAVATGRVRTAAIASGRNHVVAAAADLIRAAAAAADWIRAAAVASGRIRVSIAVATRNLVASTVAGRNRVANEKKTGRTRPNETSPTT >ONIVA04G05060.1 pep chromosome:AWHD00000000:4:7833676:7834764:-1 gene:ONIVA04G05060 transcript:ONIVA04G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGTVARLNRRRCFRPCGKTSGNTLTSKEVFTWAKSNNRRLLHVGGIDRRSKIDGLQKSYICRSYSMWLAAKDRVESADHGDDGWLLLCNAELISIPQHLGGWE >ONIVA04G05050.1 pep chromosome:AWHD00000000:4:7813966:7818140:-1 gene:ONIVA04G05050 transcript:ONIVA04G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPADLIPSPISEANRWFVGHDLFLDMINVLPKLQMTRTMDAIRCWGLSHSKNVNKSFGKGRLYSKVGLCAAQLYVSASSRSYLDKEDRGEGQKRKARGKWAGASLSQDVEDGGEGGECGSSVENCGDNADWEQIRWIGRNRTLDRCLHRLLDLLRRLLEVNSAAAAAAGKDDIDAIAATGNDDIDAAAAGNDDIDAAAAGNDEFDAAAPASTLPSGRTPPQLGTTSSRPPPPPPGTTTSTPQQDDFSSPHVFFLPILPMPE >ONIVA04G05050.2 pep chromosome:AWHD00000000:4:7816069:7818140:-1 gene:ONIVA04G05050 transcript:ONIVA04G05050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPADLIPSPISEANRWFVGHDLFLDMINVLPKLQMTRTMDAIRCWGLSHSKNVNKSFGKVVFQSRSLCCPVVCFSVISLLSGDFWPMTKKIEGRGKREKPVENGLAPH >ONIVA04G05050.3 pep chromosome:AWHD00000000:4:7816069:7818140:-1 gene:ONIVA04G05050 transcript:ONIVA04G05050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWPADLIPSPISEANRWFVGHDLFLDMINVLPKLQMTRTMDAIRCWGLSHSKNVNKSFGKGRLYSKVGLCAAQLYVSASSRSYLVISGPSCQGYELLSMPALSAAVWVYRTKKIEGRGKREKPVENGLAPH >ONIVA04G05050.4 pep chromosome:AWHD00000000:4:7813966:7815045:-1 gene:ONIVA04G05050 transcript:ONIVA04G05050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTEEKGVNSAAAAAAGKDDIDAIAATGNDDIDAAAAGNDDIDAAAAGNDEFDAAAPASTLPSGRTPPQLGTTSSRPPPPPPGTTTSTPQQDDFSSPHVFFLPILPMPE >ONIVA04G05050.5 pep chromosome:AWHD00000000:4:7813966:7814840:-1 gene:ONIVA04G05050 transcript:ONIVA04G05050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLTDSNTIGAGFYRGWIGRNRTLDRCLHRLLDLLRRLLEVNSAAAAAAGKDDIDAIAATGNDDIDAAAAGNDDIDAAAAGNDEFDAAAPASTLPSGRTPPQLGTTSSRPPPPPPGTTTSTPQQDDFSSPHVFFLPILPMPE >ONIVA04G05040.1 pep chromosome:AWHD00000000:4:7808579:7809313:1 gene:ONIVA04G05040 transcript:ONIVA04G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVDATHADGRRWLLILVAREIATDTVGEAIYQTQRYTKLIEGHSAIDAHRALPLSAPAALHFTQSELFLCQGRRLDLIQDESTSLLVSTARG >ONIVA04G05030.1 pep chromosome:AWHD00000000:4:7761179:7764337:-1 gene:ONIVA04G05030 transcript:ONIVA04G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNKGDVIHFDGWGGLGASAVLKAIATRLKSSASLLGEAATVMKAGLDKIIHVDCSLWQNTRAVQKAIAIDLNLPQHVIALFDQWDEKDDFDGVHRVARGPIQHVKEEILYNLRDRRFLVIFHNGSGRYIDLKDCGILVAGLLGKRVLWTSRGRFSLHSNDIREVHERKLAESNVSIYADLSSDSILNSVRHLLQVEAEEVAFYSGVLEPDMSTKLVMECILYKALRGDDYGINWGTNAANYWVCDGIIKDATNGDRSAWEIAEALHSAMHLEDWHQVWAVNIRDAFGLSSKEWRHTNRWISTTHQYVATVKVPPQATSFFVTEAGSLIDKSSSMILRGDMFGHSNRSRLRVLHLSQCTFSFSSPPFLGCSNLRFLLLDHCKDKDIDDQVAAHLDEEDEHQQSKMGHHNRTCFEELWVLDISYTEWYWLLSEEMMDQMVHLHELNVKGIKNATWISHLGPGHVARSNSCRPHNLGKLRVTCCEITNQASSFVEFPDLSTSSIKTIALDGCVELEKLAHNFLPLLLESFIFVSNVAAKIKIISFQGCTQLKSLLLRGLLESLVEMDMSHTAIKMLDLTAMQAPRLNKLILLGCEKLRAILWPREWKKPELYVLHIDTTDARWVGEDKSSKKEAASGDTSVGSSSRKVLHGDQAVVNFDFYISLRNARFIRSLLHDRLGNRVSVEISSIANISVTYGFKEASREMHTGICGCKQPVPTVNLQKPIDNLYMDDINTHFKDILQADDGDRDASDGGDAPSFIYMWPCPSNCLKPYSAHCYISVQDEMQTNLHQGTTTIIKEASGITLPDFVHDSALSLHLHDCLSITSIQGHASAAIDLSWRILWWCRIERCPNLEGTIFTAPRTRDNIFRSLETFWASQLLKVFYIWDWDTSLFQPSYNSFENLKFLHLDRCPRLVHVLPLCSSNSNGCRSLKTLEIVCCGELKDVFPLDSDSTIVFRRLKRIHLHELPKLQRICGRKMSTPQLETVKIRGCWSLRRLPSVGRHDSTPPIVDCEKEWWDGLEWEGMEANHHPSLYKPIHSHYYKKALRRTSLLR >ONIVA04G05020.1 pep chromosome:AWHD00000000:4:7752415:7758737:1 gene:ONIVA04G05020 transcript:ONIVA04G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKVTKFGPWGGPGGDYRDVQVAPYRLVRLTIRSGDTVDGISFTYIGIDGLVYHMGHWGSDGGVPHEIHLGLMDFVMEISGTTGMWVSGMSNVLRSLKITTLKRTYGPYGNPKAGIPFSFSVDGSDRITGFFVRAGFITDAIGVYVRHC >ONIVA04G05020.2 pep chromosome:AWHD00000000:4:7752498:7758737:1 gene:ONIVA04G05020 transcript:ONIVA04G05020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTKFGPWGGPGGDYRDVQVAPYRLVRLTIRSGDTVDGISFTYIGIDGLVYHMGHWGSDGGVPHEIHLGLMDFVMEISGTTGMWVSGMSNVLRSLKITTLKRTYGPYGNPKAGIPFSFSVDGSDRITGFFVRAGFITDAIGVYVRHC >ONIVA04G05010.1 pep chromosome:AWHD00000000:4:7745065:7745508:1 gene:ONIVA04G05010 transcript:ONIVA04G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHQQNMNLHDQSNTKKIRTGTKKPKKRMDPTMMSIYEDLQKTMVADAGNVALAPTYSSVRCAPRKVR >ONIVA04G05000.1 pep chromosome:AWHD00000000:4:7600165:7608728:-1 gene:ONIVA04G05000 transcript:ONIVA04G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRGFIRHSVWCLVFVWSWRVAAAQDQQAPKTDPVEAVALNTILRRWGKQASSEWNVSGDLCSGFAADKNDWDHYPNINPFIKCDCTFSNNTLCHITKLRVNKLDVVGQIPSELQNLTRLENLALGFNPLSGPLPKELGNLTNLISLGISLNNFTGGLPEELGNLTKLKQLYIDSSGFSGPFPSTFSKLQNLQILLASDNGFTGKIPDYLGSMTNLEEMRIGDIVNGISPLALISNLTSLNTLILRNCKIYGDLGAVDFSMFEKLSLLFLGNNNLAGRLPDGTSSSLKAMNLVANNFLLGSTSNSNTSTRGSDNTIYEADPANLGAATYYVTGQTRWGVSSVGHYFRATDAKNIIYSSQNFNNVVDSKLFETGRVSPSSLRYYGLGLENGNYTVLLRFAEIAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWADFTPTVRNGIPKSESKVGIIAGISVGAIVLVLAALFGVFTLLKKRRALAYQKEELYYLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKQYFVHAQNIHVTNLAGSLNLDWVTRFEIILGIASGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVVMLETVAGRPNTNNSLEENKIYLLEWAWGMYDKDQALEIVDPTIKDFDKDEAFRVINVALLCTQGSPHQRPPMSRVVAMLTRDVDAPKVVTKPSYITEWQLRGGGNNGNTSNSYAGSSYQP >ONIVA04G05000.2 pep chromosome:AWHD00000000:4:7600165:7608728:-1 gene:ONIVA04G05000 transcript:ONIVA04G05000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRGFIRHSVWCLVFVWSWRVAAAQDQQAPKTDPVEAVALNTILRRWGKQASSEWNVSGDLCSGFAADKNDWDHYPNINPFIKCDCTFSNNTLCHITKLRVNKLDVVGQIPSELQNLTRLENLALGFNPLSGPLPKELGNLTNLISLGISLNNFTGGLPEELGNLTKLKQFLASDNGFTGKIPDYLGSMTNLEEMRIGDIVNGISPLALISNLTSLNTLILRNCKIYGDLGAVDFSMFEKLSLLFLGNNNLAGRLPDGTSSSLKAMNLVANNFLLGSTSNSNTSTRGSDNTIYEADPANLGAATYYVTGQTRWGVSSVGHYFRATDAKNIIYSSQNFNNVVDSKLFETGRVSPSSLRYYGLGLENGNYTVLLRFAEIAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWADFTPTVRNGIPKSESKVGIIAGISVGAIVLVLAALFGVFTLLKKRRALAYQKEELYYLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKGKLHDKRVIAVKQLSQSSHQGASEFVTEVATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDQAIFGDSSLNLDWVTRFEIILGIASGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVVMLETVAGRPNTNNSLEENKIYLLEWAWGMYDKDQALEIVDPTIKDFDKDEAFRVINVALLCTQGSPHQRPPMSRVVAMLTRDVDAPKVVTKPSYITEWQLRGGGNNGNTSNSYAGSSYQP >ONIVA04G05000.3 pep chromosome:AWHD00000000:4:7600165:7608728:-1 gene:ONIVA04G05000 transcript:ONIVA04G05000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRGFIRHSVWCLVFVWSWRVAAAQDQQAPKTDPVEAVALNTILRRWGKQASSEWNVSGDLCSGFAADKNDWDHYPNINPFIKCDCTFSNNTLCHITKLRVNKLDVVGQIPSELQNLTRLENLALGFNPLSGPLPKELGNLTNLISLGISLNNFTGGLPEELGNLTKLKQFLASDNGFTGKIPDYLGSMTNLEEMRIGDIVNGISPLALISNLTSLNTLILRNCKIYGDLGAVDFSMFEKLSLLFLGNNNLAGRLPDGTSSSLKAMNLVANNFLLGSTSNSNTSTRGSDNTIYEADPANLGAATYYVTGQTRWGVSSVGHYFRATDAKNIIYSSQNFNNVVDSKLFETGRVSPSSLRYYGLGLENGNYTVLLRFAEIAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWADFTPTVRNGIPKSESKVGIIAGISVGAIVLVLAALFGVFTLLKKRRALAYQKEELYYLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKQYFVHAQNIHVTNLAGSLNLDWVTRFEIILGIASGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVVMLETVAGRPNTNNSLEENKIYLLEWAWGMYDKDQALEIVDPTIKDFDKDEAFRVINVALLCTQGSPHQRPPMSRVVAMLTRDVDAPKVVTKPSYITEWQLRGGGNNGNTSNSYAGSSYQP >ONIVA04G04990.1 pep chromosome:AWHD00000000:4:7594115:7604569:1 gene:ONIVA04G04990 transcript:ONIVA04G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEGGDRRRQIWLPAARARCGEFGGCTASLGGLRWGCTLAASPLLDRGRWRISWLVGGDVRMWSWQDDVVKPALLDRLGAYPFKEIDLVFLQGIVGVRSPSDRSAGSEVLRTLKGTDH >ONIVA04G04980.1 pep chromosome:AWHD00000000:4:7570352:7571542:-1 gene:ONIVA04G04980 transcript:ONIVA04G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGRRQQGKEMQRPAVGEQEAVNTEQRSWPVGERWVGEPGPTSEATQETGRRGQRRRRGHQVVGFMGKSRLAAQSQEKPPVAKPVSTRSAGKAVDPRGEGGGRVYAS >ONIVA04G04970.1 pep chromosome:AWHD00000000:4:7555693:7559812:1 gene:ONIVA04G04970 transcript:ONIVA04G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLRRRSPSGFSPSSTGEEVTAGVDGSGLVAVVTGASSGIGAETCRVLAMRGVHVVMGVRNSSAGARVRDEIARQVPAAKIEMLDLDLSSMSSVRRFAENFNALNLPLNILVNNAGIAFVPFKLSEEGIELHFSTNHLGHFLLTDLLLEKMKVTAIESGIEGRVVIVASDSYKHPYREGIRFDKINDESGYNKIFAYGQSKLANILHSNLLSSNLKEQDAKVTVNSLHPGAVVTNIMRHWYFVNGMLSTLGKFFVKGVEQGAATVCYVALHPQVAGVTGKYFVDCNVTELKSHALDMDLAKRLWDFSLNLIH >ONIVA04G04970.2 pep chromosome:AWHD00000000:4:7555693:7559812:1 gene:ONIVA04G04970 transcript:ONIVA04G04970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLLRRRSPSGFSPSSTGEEVTAGVDGSGLVAVVTGASSGIGAETCRVLAMRGVHVVMGVRNSSAGARVRDEIARQVPAAKIEMLDLDLSSMSSVRRFAENFNALNLPLNILGLRLYHLSYQRRALSCIFQQITLMKVTAIESGIEGRVVIVASDSYKHPYREGIRFDKINDESGYNKIFAYGQSKLANILHSNLLSSNLKEQDAKVTVNSLHPGAVVTNIMRHWYFVNGMLSTLGKFFVKGVEQGAATVCYVALHPQVAGVTGKYFVDCNVTELKSHALDMDLAKRLWDFSLNLIH >ONIVA04G04970.3 pep chromosome:AWHD00000000:4:7555691:7558756:1 gene:ONIVA04G04970 transcript:ONIVA04G04970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTAIESGIEGRVVIVASDSYKHPYREGIRFDKINDESGYNKIFAYGQSKLANILHSNLLSSNLKEQDAKVTVNSLHPGAVVTNIMRHWYFVNGMLSTLGKFFVKGVEQVSFGSFFFLFLSQD >ONIVA04G04970.4 pep chromosome:AWHD00000000:4:7555691:7558429:1 gene:ONIVA04G04970 transcript:ONIVA04G04970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTAIESGIEGRVVIVASDSYKHPYREGIRFDKINDESGYNKIFAYGQSKLANILHSNLLSSNLKEQDAKVTVNSLHPGAVVTNIMRHWYFVNGMGISDKCI >ONIVA04G04960.1 pep chromosome:AWHD00000000:4:7513331:7529901:-1 gene:ONIVA04G04960 transcript:ONIVA04G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAAVGRLLRRLAADAGRLKLPSSIDEDMAQVRRTLARLQDVLLSVEGKYFKMSMEAQEWMRKINQISYDIQDLLDEFEDCSEAGSQRGSSWIAKHSPSNLKHCNEPVIFDGYKILGRDNDRANVNKKEETISEFVLNDQIHNNLQFMKNRLREVLSDKSSLIVLDGLISTDKNQLIELKEMLRGTEKCTKIIVTTSSEVSADLIGTVPSYKLRPLSDDDCWGIFCQRAFDSGAGNMDRAEIGRQIVKRCEGIPMAAYSLGSMMRNKDDNAWLWARDKEIWELPKVFANGFELLAPFSEIYHRFVIDREKLIQQWIALDMVGSKHGALPAYVHGEMFIQELLSLFFLEIQKIPSATGISPTNRRTLLQKDVLTGARAISFKNCLLADAFLRLNHLRILDLTCCYDLELPASIGYLKLLRYLAGSGLRIRKLPNQMSSLQNLEALDFSESHLEELPSFIGSYQKLTYLNLQRCEKLGNLPRTLGDLKRLEYLNLSYCPGVSEDADYLCSLHALRFLDLSGCSELQQLPHLFGNLTNLEDLNLSGCFRLERLPLPDSITGLVNLQYLKLSHVISELPESLSKLERLHTLDLTGYHLPLSSGLPPTLADIIRKMPNLNIMLRDRYGVEMSCSSVSTGGNGRGLPLNLKNKKIVVLQFQIYVHHNIRCSTDNAKLVTEETSDERVTLRKIRSLHDLGDLHDVHHLESHEKIEEMQEQARQLVASSRLDEIHSEQENCKKTTKKAMKNAASVTGVQSVTLCGGNRNLLTVIGEGVDTNKLLKKLRNNVGAADIVETMPAEAEEFEAAAAVSGSKNFMKMMPRWPKSWSFVKQESCLVDQLNFPSHSLGLC >ONIVA04G04960.2 pep chromosome:AWHD00000000:4:7513331:7529901:-1 gene:ONIVA04G04960 transcript:ONIVA04G04960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAAVGRLLRRLAADAGRLKLPSSIDEDMAQVRRTLARLQDVLLSVEGKYFKMSMEAQEWMRKINQISYDIQDLLDEFEDCSEAGSQRGSSWIAKHSPSNLKHCNEPVIFDGYKILGRDNDRANVNKKEETISEFVLNDQIHNNLQFMKNRLREVLSDKSSLIVLDGLISTDKNQLIELKEMLRGTEKCTKIIVTTSSEVSADLIGTVPSYKLRPLSDDDCWGIFCQRAFDSGAGNMDRAEIGRQIVKRCEGIPMAAYSLGSMMRNKDDNAWLWARDKEIWELPKVFANGFELLAPFSEIYHSMPSALKSCFSYLSIFPIGFVIDREKLIQQWIALDMVGSKHGALPAYVHGEMFIQELLSLFFLEIQKIPSSYQSSYITPESHLEELPSFIGSYQKLTYLNLQRCEKLGNLPRTLGDLKRLEYLNLSYCPGVSEDADYLCSLHALRFLDLSGCSELQQLPHLFGNLTNLEDLNLSGCFRLERLPLPDSITGLVNLQYLKLSHVISELPESLSKLERLHTLDLTGYHLPLSSGLPPTLADIIRKMPNLNIMLRDRYGVEMSCSSVSTGGNGRGLPLNLKNKKIVVLQFQIYVHHNIRCSTDNAKLVTEETSDERVTLRKIRSLHDLGDLHDVHHLESHEKIEEMQEQARQLVASSRLDEIHSEQENCKKTTKKAMKNAASVTGVQSVTLCGGNRNLLTVIGEGVDTNKLLKKLRNNVGAADIVETMPAEAEEFEAAAAVSGSKNFMKMMPRWPKSWSFVKQESCLVDQLNFPSHSLGLC >ONIVA04G04960.3 pep chromosome:AWHD00000000:4:7513331:7529901:-1 gene:ONIVA04G04960 transcript:ONIVA04G04960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAAVGRLLRRLAADAGRLKLPSSIDEDMAQVRRTLARLQDVLLSVEGKYFKMSMEAQEWMRKINQISYDIQDLLDEFEDCSEAGSQRGSSWIAKHSPSNLKHCNEPVIFDGYKILGRDNDRANVNKKEETISEFVLNDQIHNNLQFMKNRLREVLSDKSSLIVLDGLISTDKNQLIELKEMLRGTEKCTKIIVTTSSEVSADLIGTVPSYKLRPLSDDDCWGIFCQRAFDSGAGNMDRAEIGRQIVKRCEGIPMAAYSLGSMMRNKDDNAWLWARDKEIWELPKVFANGFELLAPFSEIYHRFVIDREKLIQQWIALDMVGSKHGALPAYVHGEMFIQELLSLFFLEIQKIPSATGISPTNRRTLLQVNSLVHAFAKYVAGSDIVISDGRELSRGPSAEKVSSTYAVLINHTGHSTLQKDVLTGARAISFKNCLLADAFLRLNHLRILDLTCCYDLELPASIGYLKLLRYLAGSGLRIRKLPNQMSSLQNLEALDFSESHLEELPSFIGSYQKLTYLNLQRCEKLGNLPRTLGDLKRLEYLNLSYCPGVSEDADYLCSLHALRFLDLSGCSELQQLPHLFGNLTNLEDLNLSGCFRLERLPLPDSITGLVNLQYLKLSHVISELPESLSKLERLHTLDLTGYHLPLSSGLPPTLADIIRKMPNLNIMLRDRYGVEMSCSSVSTGGNGRGLPLNLKNKKIVVLQFQIYVHHNIRCSTDNAKLVTEETSDERVTLRKIRSLHDLGDLHDVHHLESHEKIEEMQEQARQLVASSRLDEIHSEQENCKKTTKKAMKNAASVTGVQSVTLCGGNRNLLTVIGEGVDTNKLLKKLRNNVGAADIVETMPAEAEEFEAAAAVSGSKNFMKMMPRWPKSWSFVKQESCLVDQLNFPSHSLGLC >ONIVA04G04960.4 pep chromosome:AWHD00000000:4:7513331:7529901:-1 gene:ONIVA04G04960 transcript:ONIVA04G04960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAAVGRLLRRLAADAGRLKLPSSIDEDMAQVRRTLARLQDVLLSVEGKYFKMSMEAQEWMRKINQISYDIQDLLDEFEDCSEAGSQRGSSWIAKHSPSNLKHCNEPVIFDGYKILGRDNDRANVNKKEETISEFVLNDQIHNNLQFMKNRLREVLSDKSSLIVLDGLISTDKNQLIELKEMLRGTEKCTKIIVTTSSEVSADLIGTVPSYKLRPLSDDDCWGIFCQRAFDSGAGNMDRAEIGRQIVKRCEGIPMAAYSLGSMMRNKDDNAWLWARDKEIWELPKVFANGFELLAPFSEIYHSMPSALKSCFSYLSIFPIGFVIDREKLIQQWIALDMVGSKHGALPAYVHGEMFIQELLSLFFLEIQKIPSATGISPTNRRTLLQVNSLVHAFAKYVAGSDIVISDGRELSRGPSAEKVSSTYAVLINHTGHSTLQKDVLTGARAISFKNCLLADAFLRLNHLRILDLTCCYDLELPASIGYLKLLRYLAGSGLRIRKLPNQMSSLQNLEALDFSESHLEELPSFIGSYQKLTYLNLQRCEKLGNLPRTLGDLKRLEYLNLSYCPGVSEDADYLCSLHALRFLDLSGCSELQQLPHLFGNLTNLEDLNLSGCFRLERLPLPDSITGLVNLQYLKLSHVISELPESLSKLERLHTLDLTGYHLPLSSGLPPTLADIIRKMPNLNIMLRDRYGVEMSCSSVSTGGNGRGLPLNLKNKKIVVLQFQIYVHHNIRCSTDNAKLVTEETSDERVTLRKIRSLHDLGDLHDVHHLESHEKIEEMQEQARQLVASSRLDEIHSEQENCKKTTKKAMKNAASVTGVQSVTLCGGNRNLLTVIGEGVDTNKLLKKLRNNVGAADIVETMPAEAEEFEAAAAVSGSKNFMKMMPRWPKSWSFVKQESCLVDQLNFPSHSLGLC >ONIVA04G04960.5 pep chromosome:AWHD00000000:4:7513331:7529901:-1 gene:ONIVA04G04960 transcript:ONIVA04G04960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAAVGRLLRRLAADAGRLKLPSSIDEDMAQVRRTLARLQDVLLSVEGKYFKMSMEAQEWMRKINQISYDIQDLLDEFEDCSEAGSQRGSSWIAKHSPSNLKHCNEPVIFDGYKILGRDNDRANVNKKEETISEFVLNDQIHNNLQFMKNRLREVLSDKSSLIVLDGLISTDKNQLIELKEMLRGTEKCTKIIVTTSSEVSADLIGTVPSYKLRPLSDDDCWGIFCQRAFDSGAGNMDRAEIGRQIVKRCEGIPMAAYSLGSMMRNKDDNAWLWARDKEIWELPKVFANGFELLAPFSEIYHSMPSALKSCFSYLSIFPIGFVIDREKLIQQWIALDMVGSKHGALPAYVHGEMFIQELLSLFFLEIQKIPSATGISPTNRRTLLQVNSLVHAFAKYVAGSDIVISDGRELSRGPSAEKVSSTYAVLINHTGHSTLQKDVLTGARAISFKNCLLADAFLRLNHLRILDLTCCYDLELPASIGYLKLLRYLAGSGLRIRKLPNQMSSLQNLEALDFSESHLEELPSFIGSYQKLTYLNLQRCEKLGNLPRTLGDLKRLEYLNLSYCPGVSEDADYLCSLHALRFLDLSGCSELQQLPHLFGNLTNLEDLNLSGCFRLERLPLPDSITGLVNLQYLKLSHVISELPESLSKLERLHTLDLTGYHLPLSSGLPPTLADIIRKMPNLNIMLRDRYGVEMSCSSVSTGGNGRGLPLNLKNKKIVVLQFQIYVHHNIRCSTDNAKLVTEETSDERVTLRKIRSLHDLGDLHDVHHLESHEKIEEMQEQARQLVASSRVQSVTLCGGNRNLLTVIGEGVDTNKLLKKLRNNVGAADIVETMPAEAEEFEAAAAVSGSKNFMKMMPRWPKSWSFVKQESCLVDQLNFPSHSLGLC >ONIVA04G04950.1 pep chromosome:AWHD00000000:4:7488460:7491919:1 gene:ONIVA04G04950 transcript:ONIVA04G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTPPKPPSCRQPQREIHNNSENTGATGNDQAQDEGFLLHVVAANGDGENYRKCATLLSGMDKTRLFARNSENKTALECAANAGYTNMVFHLLDMERKHNFCGLNTKPNEILKKTSGRSETALHEAVRQRCERKIEELKGKDSGLARVPDINGMSPLYLAIPLGYRDIVDKLTLTFGDANLSYDGPNGQNVLHAAALQSAGRRGDLMNKADWSGSTPLHFAASVGVQGVTTALLDGIDQDRRTDYTQRPDNNGMFPIHIAASVGSMDTITSLVNADQDCATLRDNVKGRTLLHIAIENRKYKVVKLVCKDPRFKETLNLEDNDGNTALHLAVKKRDEYIFTYLLENKAVELNHVNLEGYTPLDLAKVIRMEDYFASPQNPTEWMVRVLAHSGAVFSPRRRDELIRGGSSQEQEKHGKTLSESTESVLVASALIATLTFAAAFTMPGSYRTTGPKEGTPALGALYGFKVFLVADILAFFCSVAATFSLAEYGNRGTVDPLVRCRYSQRAVWLFHVALRSIIVAFAFGVSVVMWNISLSAISIGGVATIAVVFYGNVPLGHDFRLMLLMHRRFGFSRYWNLYPSTASHLNWTSWQLKSFSATLVWNLMNLFWTYVLIFALAGFAQLKQKH >ONIVA04G04940.1 pep chromosome:AWHD00000000:4:7464459:7465097:1 gene:ONIVA04G04940 transcript:ONIVA04G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVYYAIANKTSCLGFPGSVGPTVGIGGYLSGGGFDLMLRKHGLASDHVLDATMVDAKGRLLDRAAMKADLFWAIRGGDSGNFGIVLSCKLRLVPIPATVTVFTIHRSRNQSTTNLLIKWQRVAPSLPSDAFLHVVVPLYLDTRAGLIAVMADTFPELNVTASDCTEMMRIQSVLYFAFYSTGKPSERLLDRGNGIGDPSGSWMRLARSS >ONIVA04G04930.1 pep chromosome:AWHD00000000:4:7463746:7470117:-1 gene:ONIVA04G04930 transcript:ONIVA04G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALGSLSPIRATSAGHDRHGGRSVASASVLNAILIKFGNASLLNPADLTLQQRLTNRRSSPLGQHSQLPSAHFTDKETRRVIGRICYFFAGSATSCNQQTYKWIRLLGLHTIKYKIRIA >ONIVA04G04930.2 pep chromosome:AWHD00000000:4:7463748:7469765:-1 gene:ONIVA04G04930 transcript:ONIVA04G04930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALGSLSPIRATSAGHDRHGGRSVASASVLNAILIKFGNASLLNPADLTLQQRLTNRRSSPLGQHSQLPSAHFTDKETRRVIGRICYFFAGSATSCNQQTYKWIRLLGLHTIKYKIRIA >ONIVA04G04920.1 pep chromosome:AWHD00000000:4:7458409:7461687:-1 gene:ONIVA04G04920 transcript:ONIVA04G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKATAVDVLRGLKRRFDRCRRQYAPWLPWKLDEALSVGVNPTLPLLQDMLLLPPPAPVPGDELGTIKGALYELEDLLDDLDEHAGVRRRPGGRPTWKRNNDAEFKLHHQHANPQHEKYKEMVGVNGATIIGRDTEKQYLKDLLSQSNPDDLSILPIVGLPGLGKTSLARLVFEDKEEGWDFDLRIWIHVDDNFDLEKFAVCIISEANKLMKGKFSHILNRSDCPSYLKFKDCIEEILSSSSCLIVLDVLLYANEHWLPDLKYVLGETKHKCTRFIVTTSSEEVAQVMHTVPSYKLGGLSEDDCWTLFSEKAFGSRDATIHSWQTKIGKAIVKRCMGMPILAQSLGLMVQNQDMETWLAAGNDELWELVERHSLEREVFSSFKQIYYDMSLMLKSCFLYLSVFPRGSDIDKDELIRQWIALDLINSSRHGTLPAFLHGEMFIEALVSRSFLQIVNTSSVTEKKCKNPPPTILKVHSLVYDFLRYIAADDIFTLDYAKSPNISVRNQPFRYAVLTNYSWQAKMHEDFIAKAKAAKAAIFRNCEATMPIADIFPILRYSRLLDLSGCLFQELPTSIGELKHLRYLNVSFFRITELPNEMCCLRSLEYLDLSKTCIEVLPLFVGAFDKLKYFNLHGCGKLKNLPQNIGDLKRLEHLNLSCCPEIRELPSSISGLDELKLLNLSSCTKLELLPHQFGNLSCLESLEMAGCCSLQRLPESFGGLSKLCSLSLASCSSLQRLPDYIGALCSLEYLNISHAHLELPDSLTKLQSLHIVNS >ONIVA04G04910.1 pep chromosome:AWHD00000000:4:7455266:7457525:-1 gene:ONIVA04G04910 transcript:ONIVA04G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRHSRLVAATIAVLLCHLTRSAASPSWSDAAVSPPSPSPQLRPMMQQRSVLPPRVSELPASPFTAKAAFVRYWNRKVHSNRPHPAFFFAKLSPLSAPDAAAFSTLAAAGQLGSRIRAFCAAASLLCPTTPGSSWSKSSSDGDGAAAAAAPAGGGGGGGGGGGAAPFKNYENGNFSSYGNSGGGGADQFAVYSSGQSNGGGGGGGGVDSFRRYGKGSLGRNDSFTSYEAGGNVGTSSFTSYNGDATGGAGGFSSYAGDANTVAVSFGNYDHTGNGRSREFSEYTQDANTGEESFVAYGKTANGAAESFRTYGNHSNSIATGFDNYGDRANGAADAFSSYGASGNTPENTFKSYASGSNAGVDDFKGYRDDANVGNDSFTSYASNANGAAAGFESYGKSVNPGSVTFKGYGLGSNPNHRIGFARYSGDNTTFKAYSNDGVEFKEYQNMSKMEVSKIEAAARRPPLRWSPEPGKFFRERDLVAGNRMPMPDIADRTPPRAFLPRDIAAKIPFDAAAVSALFGAAPGTAMRQVVSSTVAECARPPSRGETKRCATSAEDVVDFAVEMLGDNVVARATESTAGGGGDVRLGRVAGVPAGGNVTRSVSCHQSLFPYLVYYCHSVPTVRVYEADILAVDSNQRINHGVAICHLNTSDWSPNHGAFIALGGKPGKKVDPTKFICFIMQDGEFV >ONIVA04G04900.1 pep chromosome:AWHD00000000:4:7446955:7448463:1 gene:ONIVA04G04900 transcript:ONIVA04G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYN3] MASPTLTTSGSHGRLPHLALFPFMAKGHTIPLIQLANYLRHHRLAAVTFFTTPANAAFVRDGLSTCGGAGEDDDDDDDLAVVELAFPAADAASPGGAESAEGLTSMASFVAFAESTSLLRPRFEASVAAMEPPASFVVADAFLHWTNDSAAVLGVPKVSFLGTSTFAHVMRELIVRQDPFAVLRPRDAVDDDNGGGGGGGPPATTFSMPEFPQVELPVEELMLTFRDSSAFVAMMELDAKMGKSIEESHSLIINTFHGLEAPYIKFWNEHVGPRAWAIGPLCLAQPASAPAATRPSWMEWLDNKAAAGQSVLYIALGTLAVIPEVQLKEVAKGLERAEVDFIWVVSPKDIDLGPGFEERIKGKGIVVRDWVDQSQILQHKSVRGFLSHCGWNSVLESVTAGVPLAVWPMNFDQPLNARFLIDDMKIAVMVWTSNSLRRGLVTHEEISRVVTELMLGKVGVEAAKNVAKLSTLAKKAVDEGGSSWVIVREMINELCAINANRK >ONIVA04G04890.1 pep chromosome:AWHD00000000:4:7427768:7429267:1 gene:ONIVA04G04890 transcript:ONIVA04G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYN2] MAPAHLTSGSHGRLPHVAIFPFMAKGHTIPLIQLANYLRHHRLATVTFFTTPGNAAFVRGGLSSGDDDDEYVTAVVELDFPVDAPGIPPGVESAEGLASMAAFVAFTDAVSLLRPQFEASVAAMRPPASFIVADAFLYWVNESAAVLGVPKVSFFGISAFAQVMRELRNRHGLCSVLKPGDVDDDGYPATLAVPEFPHVRVTLEDLMATFGEPSAVRMMMELDGKLGKAIEESHGLIINSFHGLEAPYIKFWNEHVGPRAWPIGPLCLAQPASATADARPSWMEWLDEKAAAGRPVLYIALGTLAAIPEVQLKEVADGLERAEVNFIWAVRPKNIDLGPGFEERIKDRGLVVREWVDQLEILQHESVRGFLSHSGWNSVLESVTAGVPLAVWPMIADQPFNARFLVDELMIAIRVSPIDRTMRGLVPSEEISKVVKELMDGEAGAEATKRVVELSTLAKEAMDEGGLSWIAVKEMITELCATKNDVHEKEEANYCKQDV >ONIVA04G04880.1 pep chromosome:AWHD00000000:4:7407442:7411050:-1 gene:ONIVA04G04880 transcript:ONIVA04G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQLPTAASLPAPAVPMAVAAGDRGESPRFREDAAVEILVVRGGMHRLLLAAACSGDCEGLSFLLSGDSNSLAHPTTTKPSEKFLKLIPVRNGSSPPSDIEECTNVPPMAAESLLEGVTVDGNTALHVVATHGNSPSFLKCAKEIHRSAKHLLFQPNNNGDTPLHCAVRAGNPQMVSQLVDLATEANGANVVKDLLRKENNSKETVLHQAVCIGDNLMVKLLLTYDSELARFPREGTSPLYLAILLEKNVIAQTLYDMSKRNILSYAGPNGQNALHAAVFRGKDMTERLLRWKNDLSEEKDKYGSTPLHFAASVESSLHNRFSSKAIISKVLEASPSSAFQPDNEESLPIHVAASAGVRSAIAILIEKWPGCASFRDSDGRTFLHIAVEKQRNDIVRFACKKVVLSSVLNMQDKEGNTALHLAVQLGNLSLVCSLLGNKRVLLNLTNKVGQTPLDVARRKIPTGIFYGWNLEETIHHALVRSGAKHGTIRWDQLQQKHIPPGTAEGDSNESQILSDSTQTLAIGSVLIATVTFGATFALPGGYRADDHINGGSPTLAGRYTFDAFIMATTLAFICSSIATLDLMYSGISMVNLPVRRNHFAVSIFFLTSSGTSLVAAFALGVYMVLAPVDAKTGIAICVLSPFTMLYRNKGRLQKLYALAGPLYIRMGLWALLSLTKDILSGVLKECWTLILIFGWAGYTTYCRHHR >ONIVA04G04870.1 pep chromosome:AWHD00000000:4:7405404:7406546:1 gene:ONIVA04G04870 transcript:ONIVA04G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPMAPSSSHGSPIHDRFLTAPPPAESRCPHHRGAGACATSSASASAAGQQWIINWVTDEIWFWQTAVAAWDGRRQWLGMRRRGMQRRQRGMRRLWRGMRKRRRRRGMRQR >ONIVA04G04860.1 pep chromosome:AWHD00000000:4:7400556:7403566:1 gene:ONIVA04G04860 transcript:ONIVA04G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMVLGWNKGMAKQADQFGHTPLHFSSSLKHSVMEMVFGSSFWFSFSWRMNGTTELLLEANESSAYHPDKNGSFPIHVAASMGRLEVIKILLSKCGISCADLRDKQGRTFLHVAVEKRRHNIVAFVCREPWLAPFLNMQDYDMNTPLHLAVAVGDLKIFANLMRNQQVCLNMANNKGQTPLDISESAINHSGFHYGSNAQRWIHRLLSLTSVEGSMSKRDDFQKDHIPVLDEEQESKKLTVSTQVLGIGSVLIVTMTFAVAFALPGGYRGSEHAHPGTPTLSGRYAFNAFVVSNTLAFICSGLATFSLMYSGIVSVDFSIRSRHFDASIILLRSSVRSVGAAFALGLYVVLAPVDEKTAVAVCVITSAALLYGSVEIVRFLAQAMALHLRLGCRVWIGLGTTMLANLLLEYWSFVMIFVLPLYLKF >ONIVA04G04860.2 pep chromosome:AWHD00000000:4:7400556:7403566:1 gene:ONIVA04G04860 transcript:ONIVA04G04860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSDSAAAAPLPATAMDPELLTAARRGDIRLLRDLLKMEDGPAMPAPTAASAALVVVDVVVDGTATPTRPSGAGAGGRQSLLEGVTSRGDSPLHVVAAASPHPRGGGDDDLLQCATAMYSKAKHLLVDRLNNDGDTPLHCAARAGNVRMVSHLISLAARGGGDDEKSHEAAAAATTRAVLRKQNGRKETVLHEAVRFAKEDMVEVLMSTDPELARIPDVGTSPMYLAVSLGRVEIAKLLHRKDGDLLSYSGPHGQNALHAAVLHGKEMTKMVLGWNKGMAKQADQFGHTPLHFSSSLKHSVMEMVFGSSFWFSFSWRMNGTTELLLEANESSAYHPDKNGSFPIHVAASMGRLEVIKILLSKCGISCADLRDKQGRTFLHVAVEKRRHNIVAFVCREPWLAPFLNMQDYDMNTPLHLAVAVGDLKIFANLMRNQQNAQRWIHRLLSLTSVEGSMSKRDDFQKDHIPVLDEEQESKKLTVSTQVLGIGSVLIVTMTFAVAFALPGGYRGSEHAHPGTPTLSGRYAFNAFVVSNTLAFICSGLATFSLMYSGIVSVDFSIRSRHFDASIILLRSSVRSVGAAFALGLYVVLAPVDEKTAVAVCVITSAALLYGSVEIVRFLAQAMALHLRLGCRVWIGLGTTMLANLLLEYWSFVMIFVLPLYLKF >ONIVA04G04860.3 pep chromosome:AWHD00000000:4:7401143:7403566:1 gene:ONIVA04G04860 transcript:ONIVA04G04860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMVLGWNKGMAKQADQFGHTPLHFSSSLKHSVMEMVFGSSFWFSFSWRMNGTTELLLEANESSAYHPDKNGSFPIHVAASMGRLEVIKILLSKCGISCADLRDKQGRTFLHVAVEKRRHNIVAFVCREPWLAPFLNMQDYDMNTPLHLAVAVGDLKIFANLMRNQQVCLNMANNKGQTPLDISESAINHSGFHYGSNAQRWIHRLLSLTSVEGSMSKRDDFQKDHIPVLDEEQESKKLTVSTQVLGIGSVLIVTMTFAVAFALPGGYRGSEHAHPGTPTLSGRYAFNAFVVSNTLAFICSGLATFSLMYSGIVSVDFSIRSRHFDASIILLRSSVRSVGAAFALGLYVVLAPVDEKTAVAVCVITSAALLYGSVEIVRFLAQAMALHLRLGCRVWIGLGTTMLANLLLEYWSFVMIFVLPLYLKF >ONIVA04G04850.1 pep chromosome:AWHD00000000:4:7395017:7395946:-1 gene:ONIVA04G04850 transcript:ONIVA04G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKKELRLTCGSHWHTLRIESMNGERVGQIDIWRVRFGETVRDALKRNGTLFLSPSQASMCRSHYLIACTDLHVLVDRPDATVPMDVWWLVPQHVLVGVAEHVGAGMAIACLTTVVAALVEARRIACTDYTGLVDRPDATVPMDVWWLVPQHVLVGVAEVLAVIELEEFFYDQLAGELHIVGLAVSQGNFVSSSHIPAKIKSKMEKMEKIAKWLWSHASYWHFNERRFQNGILAKPLFEHGKMSIFSG >ONIVA04G04840.1 pep chromosome:AWHD00000000:4:7370106:7384715:1 gene:ONIVA04G04840 transcript:ONIVA04G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRRRRRRRCPGARLERAGGKEPTGFVVLGDGQTTGGRSDGIEGSSSNATADELALLSFKSMFASDGSLASWNKSIHYCSWPGVVCSRRHPERVISLRLGSSRLSGLLSPFLGNLSFLKILDLHDNRLVGSIPPELGRLSRLRLLNLSTNSLQGNIPVALVGCTNLSLLHLSDNQFQGEFPTEIGASLKNLVLLNVEKNGFSGEIPRSLADLPLLEELNLRVNRFSGEIPPALGNLTNLWILGLDYNRLSGAIPSSLGKMSGLSRLTLSSNNLTGLIPSSIWNNMSALMAFTVQQNSLSGTIPPNAFSNFPSLQLIGMDHNKFHGSIPTSIANASHLWLVQLGANFLSGIVPPEIGGLRNLKILQLSETFLEARSPNDWKFITALTNCSQFSVLYLASCSFGGVLPDSLSNLSSLTNLFLDTNKISGSIPEDIDNLINLQAFNLDNNNFTGHLPSSIGRLQNLHLLSIGNNKIGGPIPLTLGNLTELYILQLRSNAFSGSIPSIFRNLTNLLGLSLDSNNFTGQIPTEVVSIVSLSEGLNLSNNNLEGSIPQQIGNLKNLVNLDARSNKLSGEIPTTLGECQLLQNIYLQNNMLTGSLPSLLSQLKGLQTLDLSSNNLSGQIPTFLSNLTMLGYLNLSFNDFVGEVPTLGVFLNASAISIQGNGKLCGGVPDLHLPRCTSQSPHRRQKFLLARATDSFSATNLLGSGSFGSVYKGELDKQSGQSKDIIAVKVLKLQTPGALKSFTAECEALRNLRHRNLVKIITACSSIDNSGNDFKAIVFDFMPSGNLEGWLHPATNNPKYLNLLQRVGILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDAEMVAHVGDFGLAKILFEGNSLLQQSTSSMGLRGTIGYAPPEYGAGNTVSTQGDIYSYGILVLETVTGKRPTDKKFIQGLSLREYVELGLHGKMMDVVDTQLSLHLENELRTTDEYKVMIDCLVSLLRLGLYCSQEIPSNRMSTGDIIKELNAIKQTLLSPTSVMISSLLLLLLIGPASSDDDAAAAARTSTGGVAGDELALLSFKSSLLHQGGLSLASWNTSGHGQHCTWVGVVCGRRRRRRPHRVVKLLLRSSNLSGIISPSLGNLSFLRELDLSDNYLSGEIPPELSRLSRLQLLELSGNSIQGSIPAAIGACTKLTSLDLSHNQLRLYFDLSCNRLSGAIPSSLGQLSSSLLTMNLRQNNLSGMIPNSIWNLSSLRAFSVSENKLGGMIPTNAFKTLHLLEVIDMDTNRFHGKIPASVANASHLTRLQIDGNLFSGIITSGFGRLRNLTTLYLWRNLFQTREQEDWGFISDLTNCSKLQTLDLGENNLGGVLPNSFSNLSTSLSFLALDLNKITGSIPKDIGNLIGLQHLYLCNNNFRGSLPSSLGRLRNLGILVAYENNLSGSIPLAIGNLTELNILLLGTNKFSGWIPYTLSNLTNLLSLGLSTNNLSGPIPSELFNIQTLSIMINVSKNNLEGSIPQEIGHLKNLVEFHAESNRLSGKIPNTLGDCQLLRYLYLQNNLLSGSIPSALGQLKGLETLDLSSNNLSGQIPTSLADITMLHSLNLSFNSFMGEVPTIGAFADASGISIQGNAKLCGGIPDLHLPRCCPLLENRKHFPVLPISVSLVAALAILSSLYLLITWHKRTKKGAPSRTSMKGHPLVSYSQLVKATDGFAPTNLLGSGSFGSVYKGKLNIQDHVAVKVLKLENPKALKSFTAECEALRNMRHRNLVKIVTICSSIDNRGNDFKAIVYDFMPNGSLEDWIHPETNDQADQRHLNLHRRVTIHYLMLPVHWTIFTAMALNLLYTVMLNQAMCC >ONIVA04G04840.2 pep chromosome:AWHD00000000:4:7370106:7382685:1 gene:ONIVA04G04840 transcript:ONIVA04G04840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRRRRRRRCPGARLERAGGKEPTGFVVLGDGQTTGGRSDGIEGSSSNATADELALLSFKSMFASDGSLASWNKSIHYCSWPGVVCSRRHPERVISLRLGSSRLSGLLSPFLGNLSFLKILDLHDNRLVGSIPPELGRLSRLRLLNLSTNSLQGNIPVALVGCTNLSLLHLSDNQFQGEFPTEIGASLKNLVLLNVEKNGFSGEIPRSLADLPLLEELNLRVNRFSGEIPPALGNLTNLWILGLDYNRLSGAIPSSLGKMSGLSRLTLSSNNLTGLIPSSIWNNMSALMAFTVQQNSLSGTIPPNAFSNFPSLQLIGMDHNKFHGSIPTSIANASHLWLVQLGANFLSGIVPPEIGGLRNLKILQLSETFLEARSPNDWKFITALTNCSQFSVLYLASCSFGGVLPDSLSNLSSLTNLFLDTNKISGSIPEDIDNLINLQAFNLDNNNFTGHLPSSIGRLQNLHLLSIGNNKIGGPIPLTLGNLTELYILQLRSNAFSGSIPSIFRNLTNLLGLSLDSNNFTGQIPTEVVSIVSLSEGLNLSNNNLEGSIPQQIGNLKNLVNLDARSNKLSGEIPTTLGECQLLQNIYLQNNMLTGSLPSLLSQLKGLQTLDLSSNNLSGQIPTFLSNLTMLGYLNLSFNDFVGEVPTLGVFLNASAISIQGNGKLCGGVPDLHLPRCTSQSPHRRQKFLLARATDSFSATNLLGSGSFGSVYKGELDKQSGQSKDIIAVKVLKLQTPGALKSFTAECEALRNLRHRNLVKIITACSSIDNSGNDFKAIVFDFMPSGNLEGWLHPATNNPKYLNLLQRVGILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDAEMVAHVGDFGLAKILFEGNSLLQQSTSSMGLRGTIGYAPPEYGAGNTVSTQGDIYSYGILVLETVTGKRPTDKKFIQGLSLREYVELGLHGKMMDVVDTQLSLHLENELRTTDEYKVMIDCLVSLLRLGLYCSQEIPSNRMSTGDIIKELNAIKQTLLSPTSVMISSLLLLLLIGPASSDDDAAAAARTSTGGVAGDELALLSFKSSLLHQGGLSLASWNTSGHGQHCTWVGVVCGRRRRRRPHRVVKLLLRSSNLSGIISPSLGNLSFLRELDLSDNYLSGEIPPELSRLSRLQLLELSGNSIQGSIPAAIGACTKLTSLDLSHNQLRLVPA >ONIVA04G04840.3 pep chromosome:AWHD00000000:4:7369822:7382685:1 gene:ONIVA04G04840 transcript:ONIVA04G04840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRACAYSHFLMVMARTIILFFFSLFLFCSDALVSPGSSSNATADELALLSFKSMFASDGSLASWNKSIHYCSWPGVVCSRRHPERVISLRLGSSRLSGLLSPFLGNLSFLKILDLHDNRLVGSIPPELGRLSRLRLLNLSTNSLQGNIPVALVGCTNLSLLHLSDNQFQGEFPTEIGASLKNLVLLNVEKNGFSGEIPRSLADLPLLEELNLRVNRFSGEIPPALGNLTNLWILGLDYNRLSGAIPSSLGKMSGLSRLTLSSNNLTGLIPSSIWNNMSALMAFTVQQNSLSGTIPPNAFSNFPSLQLIGMDHNKFHGSIPTSIANASHLWLVQLGANFLSGIVPPEIGGLRNLKILQLSETFLEARSPNDWKFITALTNCSQFSVLYLASCSFGGVLPDSLSNLSSLTNLFLDTNKISGSIPEDIDNLINLQAFNLDNNNFTGHLPSSIGRLQNLHLLSIGNNKIGGPIPLTLGNLTELYILQLRSNAFSGSIPSIFRNLTNLLGLSLDSNNFTGQIPTEVVSIVSLSEGLNLSNNNLEGSIPQQIGNLKNLVNLDARSNKLSGEIPTTLGECQLLQNIYLQNNMLTGSLPSLLSQLKGLQTLDLSSNNLSGQIPTFLSNLTMLGYLNLSFNDFVGEVPTLGVFLNASAISIQGNGKLCGGVPDLHLPRCTSQSPHRRQKFLLARATDSFSATNLLGSGSFGSVYKGELDKQSGQSKDIIAVKVLKLQTPGALKSFTAECEALRNLRHRNLVKIITACSSIDNSGNDFKAIVFDFMPSGNLEGWLHPATNNPKYLNLLQRVGILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDAEMVAHVGDFGLAKILFEGNSLLQQSTSSMGLRGTIGYAPPEYGAGNTVSTQGDIYSYGILVLETVTGKRPTDKKFIQGLSLREYVELGLHGKMMDVVDTQLSLHLENELRTTDEYKVMIDCLVSLLRLGLYCSQEIPSNRMSTGDIIKELNAIKQTLLSPTSVMISSLLLLLLIGPASSDDDAAAAARTSTGGVAGDELALLSFKSSLLHQGGLSLASWNTSGHGQHCTWVGVVCGRRRRRRPHRVVKLLLRSSNLSGIISPSLGNLSFLRELDLSDNYLSGEIPPELSRLSRLQLLELSGNSIQGSIPAAIGACTKLTSLDLSHNQLRLVPA >ONIVA04G04840.4 pep chromosome:AWHD00000000:4:7369822:7384715:1 gene:ONIVA04G04840 transcript:ONIVA04G04840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYGAGNTVSTQGDIYSYGILVLETVTGKRPTDKKFIQGLSLREYVELGLHGKMMDVVDTQLSLHLENELRTTDEYKVMIDCLVSLLRLGLYCSQEIPSNRMSTGDIIKELNAIKQTLLSPTSVMISSLLLLLLIGPASSDDDAAAAARTSTGGVAGDELALLSFKSSLLHQGGLSLASWNTSGHGQHCTWVGVVCGRRRRRRPHRVVKLLLRSSNLSGIISPSLGNLSFLRELDLSDNYLSGEIPPELSRLSRLQLLELSGNSIQGSIPAAIGACTKLTSLDLSHNQLRLYFDLSCNRLSGAIPSSLGQLSSSLLTMNLRQNNLSGMIPNSIWNLSSLRAFSVSENKLGGMIPTNAFKTLHLLEVIDMDTNRFHGKIPASVANASHLTRLQIDGNLFSGIITSGFGRLRNLTTLYLWRNLFQTREQEDWGFISDLTNCSKLQTLDLGENNLGGVLPNSFSNLSTSLSFLALDLNKITGSIPKDIGNLIGLQHLYLCNNNFRGSLPSSLGRLRNLGILVAYENNLSGSIPLAIGNLTELNILLLGTNKFSGWIPYTLSNLTNLLSLGLSTNNLSGPIPSELFNIQTLSIMINVSKNNLEGSIPQEIGHLKNLVEFHAESNRLSGKIPNTLGDCQLLRYLYLQNNLLSGSIPSALGQLKGLETLDLSSNNLSGQIPTSLADITMLHSLNLSFNSFMGEVPTIGAFADASGISIQGNAKLCGGIPDLHLPRCCPLLENRKHFPVLPISVSLVAALAILSSLYLLITWHKRTKKGAPSRTSMKGHPLVSYSQLVKATDGFAPTNLLGSGSFGSVYKGKLNIQDHVAVKVLKLENPKALKSFTAECEALRNMRHRNLVKIVTICSSIDNRGNDFKAIVYDFMPNGSLEDWIHPETNDQADQRHLNLHRRVTIHYLMLPVHWTIFTAMALNLLYTVMLNQAMCC >ONIVA04G04840.5 pep chromosome:AWHD00000000:4:7384724:7386041:1 gene:ONIVA04G04840 transcript:ONIVA04G04840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHVGDFGLARILVDGTSLIQQSTSSMGFRGTIGYAAPEYGVRHIASTHGDIYSYGILVLEIVTGKRPTDSTFRPDLGLRQYVELGLHGRVTDVVDTKLILDSENWLNSTNNSPCRRITECIVSLLRLGLSCSQDLPLSRTPTGDIIDELNAIKQNLSGLFPVCEGASLEF >ONIVA04G04830.1 pep chromosome:AWHD00000000:4:7362304:7365594:-1 gene:ONIVA04G04830 transcript:ONIVA04G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGARATALSCFSLLLFCSYALVSPGSSDATVVDELALLSFKSMLSGPSDGLLASWNTSIHYCDWTGVVCSDRRHPERVVALLMNSSSLSGRISPFLGNMSFLNRLDLHGNGFIGQIPSELGHLSRLRVLNLSTNSLDGSIPVALGRCTNLTVLDLSSNKLRGKIPTEVGALENLVDLRLHKNGLSGEIPLYISNLLSVEYLYLRDNWFSGEIPPALGNLTKLRYLDLASNKLSGSIPSSLGQLSSLSLFNLGHNNLSGLIPNSIWNISSLTVLSVQVNMLSGTIPPNAFDSLPRLQSIAMDTNKFEGYIPASLANASNLSFVQLSGNSLRGIVPSEIGRLSNINWLQLSNNLLQAKETKDWNFISALTNCSQLEMLDLGANKFSGVLPDSLSNHSSSLWFLSLSVNEITGSIPKDIGNLISLQQIDLSNNYFTGTLPSSLSRLNKLQALSVYSNNISGLVPSTIGNLTEMNYFDLDSNAFSGSIPSTLGNMTNLLALGLSDNNFIGRIPIGILSIPTLSDILELSNNNLEGPIPQEIGNLKNLVEFHAYSKRLSGEIPITLGECKLLRNLYLQNNDLTGSIPSLLSQLKGLENLDLSSNNLSGQVPKFFGNITMLYYLNLSFNSFVGDIPNFGVFANATAISIQGNDKLCGGIPDLHLPPCSSESGKRRHKFPLIPVVVSLAATIFILSLISAFLFWRKPMSKLPSATSMQGYPLISYQQIVRATDGFSTTNLLGSGTFGTVFKGNISAQDGENTSLVAIKVLKLQTPGALKSFSAECEALRDLRHRNLVKIITVCSSIDNRGNDFKAIVLDFMSNGSLEGWLHPDKNDQTDQRYLSLLERVCVLLDVAYGLDYLHCHGPTPVVHCDLKSSNVLLDADMVAHVGDFGLAKILVEGSSMFQQSTSSMGFRGTIGYAAPEYGAGNMVSTNGDIYSYGILVLETVIGKKLAGSEFRQGLSLREYVKSGLEDEVMEIVDMRLCMDLTNDIPTGNDATYKRKVECIVLLLKLGMSCSQELPSSRSSTGDIVKELLAIKESLSGDEHKM >ONIVA04G04820.1 pep chromosome:AWHD00000000:4:7357095:7357754:1 gene:ONIVA04G04820 transcript:ONIVA04G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTPNCSTLFFFLVFLAAVFVSAAAASEHGRVLEEDQNIRYRVEDSELPDLYYEICMYAREHRPCRRVMATIPDLKFKLSGQVDLALLLSHVIANRSAEAKALADPLLAAAAAKKGGDELPKCLASCAASLDDVSKAMSGLPADIDVERYPKVQSFLRNKFESGAAPPLCKSGCPDKTSTADEAAIADKFHAIWALMDCAETLAQYYVLPPPPPPKR >ONIVA04G04810.1 pep chromosome:AWHD00000000:4:7354651:7355265:-1 gene:ONIVA04G04810 transcript:ONIVA04G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNDTVAVDGGGRRWPAALEAKALLQASLPQHLLQIDAEETSGPWRTRWTSWGRLTSIGLSTQLGVLLYSPLHGGDHVCDVYDSEAVTTATATSIFSSVAGSGSYRGPRGQTWCCLGSSLHLYRFVFLLSLPGQKLHSAVMRNCV >ONIVA04G04800.1 pep chromosome:AWHD00000000:4:7343978:7346616:-1 gene:ONIVA04G04800 transcript:ONIVA04G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYL7] MKNTSLQLPLLLVVAALVCCLPTSGAELPGWAKPRLQRLLRGGARSAAAHGRRFPVVEVTVAKNGSGDYRTIAAALAAAPKSTKKVRSSYTIRIGEGTYIEQLNTTRRDVTLFGDGVGKTVITGNRGSLKHGDMPSSATVTASGRGFMARDLTIQNTAGPEGNQSLALRSSSNHTDTLYAENGLQLYLDSVISGTVDFVFGNAKAVFQRCHLLVRRGREGAHNIITAQGRDKPGDDTGFSFQNCSIMAKPNENLTGVETFLGRPWKNHSHVIFMQSFLDGIVHPKGWVEWDKSKHVLETTKTVSYMEFNNTGPGSDTSRRVNWEGFSVVDASKAEEYTVDRFIHGTQWLPNALNYKPGLY >ONIVA04G04790.1 pep chromosome:AWHD00000000:4:7326740:7327574:1 gene:ONIVA04G04790 transcript:ONIVA04G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGVLSLLLEEHTRTKLMELEPGNVSYHVVFSNAQAGTDRWDEVESIRSSMVEMDLQKLPAWTCVSKTMQQLGCFTFARRRSKMKSCCEVAGVWSWCHSHKSQVKVEAAITGRRRPRPPGWHDSRVEQDLYDNGKIC >ONIVA04G04780.1 pep chromosome:AWHD00000000:4:7322447:7323442:-1 gene:ONIVA04G04780 transcript:ONIVA04G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPRSDGRLKPDETYLLDSSCMKGEGWLKRVKRGVEAEQLRPYMLPVGRVNLVAFARYGDHIIG >ONIVA04G04770.1 pep chromosome:AWHD00000000:4:7311504:7316467:-1 gene:ONIVA04G04770 transcript:ONIVA04G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLNPSSSSSSKATPRPPQQQQLLLLLLNLLLVAVPATSLTFSYDADSFVSEDFRQEDDAMVTAGRIELLGEEFAARARGRALYKRPVQLWDGATGEEASFAVSFNFTIRSVAGRGNALAGHGMTFFLAPFMPDMPQECYEGCLGLFDQSLTRNTASATMGNASGAASFVAVEFDTHMDGWDPSGRHVGVDVNNVDSRRGNYVVLPEDSLVDAGVMSATVSYDSGARRLDVALAVGGGAATATYNLSAAVDLRSVLPEQVAVGFSAATGDQFASNHTVLSFTFSSTLPTRTTNPPPPSTSSAKTAHLSAAVAAAGIALLLLVLAITILIRRARKRRRRDDGDSYDDSLDDDEEEDMESGTGPRRIPYAQLAAATGGFAEIGKLGEGGSGSVYGGHVRELGRDVAIKVFTRGASMEGRKEYRSEVTVISRLRHRNLVQLMGWCHGRRRLLLVYELVRNGSLDGHLYSNKETLTWPLRYQIINGLASAVLYLHQEWDQCVVHGDIKPSNIMLDESFNAKLGDFGLARLIDHGMSLQTMTAVAGTPGYLDPECVITGKASTESDMYSFGIVLLEVASGRRPMVVTPRAAAATVGGGKDDDDGGGQVFRLVEWAWELYGRGDDDQSSLDAIADTRLGGAFDRWEMERVVGVGLWCAHPDPKARPAIRQAAEALQSRKFRMPVLPPRMPVAVYLQPFAASTMKYYGDSMTSVGSEVVGYSSTSLATATLSSSSSLPPAMADSDSLSPRE >ONIVA04G04760.1 pep chromosome:AWHD00000000:4:7279608:7279883:-1 gene:ONIVA04G04760 transcript:ONIVA04G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIASEAHRTNITTLGPLVLPLSEQLRFLATVVLRRVFRAGVKAYLPDFTAALDHFCIHAGGRSVLEELERSLKLSVWHMEPSWMTHVLPE >ONIVA04G04750.1 pep chromosome:AWHD00000000:4:7255289:7258064:1 gene:ONIVA04G04750 transcript:ONIVA04G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMDLMSGYGRVDEQVAIQEAAAAGLRGMEHLILQLSQTGTSERSPAPAPAQEQQQQQQVDCREITDMTVSKFKKVISMLNRTGHARFRRGPVVAQSSGPAASEPAPVRSSPSAVSRPMTLDFTKAASGYGKDAGFSVSGISAASSSFLSSVTGDGSVSNGRGGGSSSLMLPPPPATSCGKPPLSSAAAAMSAGVGHKRKCHDHAHSENIAGGKYGSTGGRCHCSKRRKHRVKRTIRVPAISSKVADIPADDFSWRKYGQKPIKGSPFPRGYYKCSTLRGCPARKHVERDPADPSMLIVTYEGEHRHTPSAAGQDHPPAPPPPLALPLA >ONIVA04G04740.1 pep chromosome:AWHD00000000:4:7253630:7254146:1 gene:ONIVA04G04740 transcript:ONIVA04G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGSDVRMLRAAAAQMGKKRAAHACITGGGITDVKKAVAAWGKKAVTTRVRRVAAAAQMGKTSNYTCPGKKKENEVFLLNH >ONIVA04G04730.1 pep chromosome:AWHD00000000:4:7222748:7224077:-1 gene:ONIVA04G04730 transcript:ONIVA04G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSGHGDWTTNRDRPTFTLYPLQECYKLWRWGTQMIKVFSKIKMNALAINVFLQHTSAWAHIGIKRMGELDIIAFKNAVKQKSPEEAAILCSKWEAEITKPEWHPFMIVMVDGKEMEVIREDDAKLVELKEELGEEIYTTWYPVSEPWNYKEGRKVTLKEIVQFIMRQWTRKRKR >ONIVA04G04720.1 pep chromosome:AWHD00000000:4:7209203:7209679:1 gene:ONIVA04G04720 transcript:ONIVA04G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVEEEKVRGEEEEDDRPQLSAAAVEALPEFLLEQRRDGGEEGSGGVEPVAEDWRLSQFWYDERTERELAEKVVRPVSLSGPASSATAAAVACVACPTLYAYLKTSNPKGVGDNGGVRGTVRSGAVEEATGRGGGSKTASRSGGTQRRSDRRWRGI >ONIVA04G04710.1 pep chromosome:AWHD00000000:4:7208342:7210330:-1 gene:ONIVA04G04710 transcript:ONIVA04G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVVLTVEKAAPTLVASGNDGHAECGPRGGASRSNGGGEVSETVVRKWLDVAQENPAVVASLSCRLDYSGEGRDIFSSSQLRAAPGYGRWRRSSPCSGGTVGLGSVGLPLLTWAQEQAGAPTSRQEREAMVGRVRCVVVEKGICVLTVSSRKAD >ONIVA04G04700.1 pep chromosome:AWHD00000000:4:7198496:7199671:-1 gene:ONIVA04G04700 transcript:ONIVA04G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKDPPSRDRAARMSPNLKRSSGIEASSAAGYGPRRARSVPSSPDRKFGAAAAAPAAASGSPDVYRPSLSAAGRSTSARSVSGSSRTQPFPKPTLARVKSERATTAAAASPRPPALAVPASNSLTDMARTAPSASSKAPSTLQKSKLSPRPSPDKAAASLKPITQRSPASVTARGGRTPVVSSSRVPGNIAAKKRAESANGGSASSKARSGAPQRAMAASATSKEKEDEPSMQFEESESISTPSIEDHLHEQLPDPVDLKPLDMSASDSALYGQQAPSSDIPEQQSKNEEVKESFSEDKDVVVGNELHNGGQGADDIAKNITGIVKADDQSQLAEKEEAKAKVDKVWRKDEPKSNDVIEETKSKLLEERKSRVKALVGAFETVMSFKE >ONIVA04G04690.1 pep chromosome:AWHD00000000:4:7194058:7195627:-1 gene:ONIVA04G04690 transcript:ONIVA04G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGEYGSSVRMMVSYGGEIVQGDHGPDGKAAAPCYAGGVHRIVKVGLSERLAELRQRMAALAGCRDVCIRYALPGEGLSRLRDVANDGDLWGLVSLLFCHDASKTGRVRVFLFAVEAPLLRSASAPSSLPALVEEDAMTAASGGACAAAPLGLPRSASSPSLATSGTGTAVRMKVSYGGEIIQQQRGGSAAASCYYAGGVHRIVRVGLSERLASLRTRLAALXXXXXXXHDAPPHRTASAPSLPVLVSGSASAAPPVTLGLPRSASSPSLATSGSGTAVRMKVSYAGGVHRIVRVGLSERLASLRTRLAALAGFSGSDDVRIRYALPGEEGLHLRDVASDGDLWSLVSLLFFHEPGRIRVFLFAAHDAPATSTSPTAAPLRRSASTPFLPTLVEEDEDDGDTETAAATQTSPSRVTATVGMRRSASSPALAMAMATTSSSSDAAAAASTSSGATSGSSGDSDTPAMTSSSTAAAAVQFGPVVLVPVMVVFPVIPVYPIGVVDYRGVLLVA >ONIVA04G04680.1 pep chromosome:AWHD00000000:4:7186534:7193169:-1 gene:ONIVA04G04680 transcript:ONIVA04G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKPKQGRIRVFLFAADDAPAVAGPGFRGWAGKPWSFGCRIAYLCGGLVDWRPARLAEPQRRTAAAVDAPPARLGASCRRRSRARRSRKPLPQPPTSTLQTRLQSPPPDALPRLLPALAPPSADAAGSRRRRTAARPRRHTAHVLGPLWARPCAPAAPPLRRGSASSPSLVDVAKHQGALPALAEEEEDMDIDTAAATSPAGVSVTRTGQGMRRSASSPALAPPPPSESGTAAVTSTSSSSSSGDGVQFAPVRALRIGGAAKRDDADRRGGGPGAHWHTTLLPPPPENNGERRRAEAVNGSAADESVVEELGDADQEASTGEGATASPSTTSMGELLSSPPNGTTN >ONIVA04G04670.1 pep chromosome:AWHD00000000:4:7179756:7186126:-1 gene:ONIVA04G04670 transcript:ONIVA04G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAAAADGGDIEEVDRLKKRIRTLEMEETKLNQHMERVIRGIEANEAYLVGMVLRIMEKGPEDETAEDDCDVGFHLQRKIIFRPIAGVVYPSKLKPGDLIGVDSTSNEHYCGIGGLEKQIEELVEAVVLPIIHKNCFQRLGIHPPKGVLLYGPPGTGKTLVAHAFASQTNATFLKLTGPQLAVKLIGEGARLVRDAFQLAKEKAPCIIFIDEIDAIGSNHFDSGDREVQQTIVELLNQLDGVGSYESIKVIAATNRPEVLDPAFLRSGRLDQKIEFPHPSEQARIHSRKMDKNPDVNFEELACCTDDFNGAQLKAVCFEASMLAFHRDATEVRHEDFVRAIAQSGWRRSPANVARRC >ONIVA04G04670.2 pep chromosome:AWHD00000000:4:7180302:7186126:-1 gene:ONIVA04G04670 transcript:ONIVA04G04670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAAAADGGDIEEVDRLKKRIRTLEMEETKLNQHMERVIRGIEANEAYLVGMVLRIMEKGPEDETAEDDCDVGFHLQRKIIFRPIAGVVYPSKLKPGDLIGVDSTSNEHYCGIGGLEKQIEELVEAVVLPIIHKNCFQRLGIHPPKGVLLYGPPGTGKTLVAHAFASQTNATFLKLTGPQLAVKLIGEGARLVRDAFQLAKEKAPCIIFIDEIDAIGSNHFDSGDREVQQTIVELLNQLDGVGSYESIKVIAATNRPEVLDPAFLRSGRLDQKIEFPHPSEQARIHSRKMDKNPDVNFEELACCTDDFNGAQLKAVCFEASMLAFHRDATEVRHEDFVRAIAQVKDGNY >ONIVA04G04660.1 pep chromosome:AWHD00000000:4:7170168:7179090:1 gene:ONIVA04G04660 transcript:ONIVA04G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPSVQPAPTVQPLPSSTAGQSTRIDLREIKSNIIKRIGPGRAKKYFQHLERFLSSKLNKNEFDKLCLVTLGRENLPLHNHLIRSILHNACRANGPPAIDAPKLAGDVSKSEQILHPVWNNGNVSIQHVKDNRSLTIEQEGAPIIRENGAPNFSDLKRRQQVQNSEHAEPRNKRLHYEKEPPSFLEPSHSNGPSAISYGENSGGENIHRIQGPVRAPLGIQFSPVNFGGIQKSSAIASVPPNDSSVSCYELGELCDTMLLSKRMEKIAEAAGLEGVSVECANLLNNGVDVFLKQLIGSCVQLVGTRSQLGKLSHASLKQQLSRKLINGVSLKNHVHGQGIIIPTGPNSISIQDLKAVSELSPHLLGVNASLLREKINSYD >ONIVA04G04660.2 pep chromosome:AWHD00000000:4:7170168:7179090:1 gene:ONIVA04G04660 transcript:ONIVA04G04660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPSVQPAPTVQPLPSSTAGQSTRIDLREIKSNIIKRIGPGRAKKYFQHLERFLSSKLNKNEFDKLCLVTLGRENLPLHNHLIRSILHNACRANGPPAIDAPKLAGDVSKSEQILHPVWNNGNVSIQHVKDNRSLTIEQEGAPIIRENGAPNFSDLKRRQQVQNSEHAEPRNKRLHYEKEPPSFLEPSHSNGPSAISYGENSGGENIHRIQGPVRAPLGIQFSPVNFGGIQKSSAIASVPPNDSSVSCYELGELCDTMLLSKRMEKIAEAAGLEGVSVECANLLNNGVDVFLKQLIGSCVQLVGTRSQLGKLSHASLKQQLSRKLINGVSLKNHVHGQGIIIPTGPNSISIQDLKAVSELSPHLLGVNASLLREKINSYD >ONIVA04G04660.3 pep chromosome:AWHD00000000:4:7170168:7179090:1 gene:ONIVA04G04660 transcript:ONIVA04G04660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPSVQPAPTVQPLPSSTAGQSTRIDLREIKSNIIKRIGPGRAKKYFQHLERFLSSKLNKNEFDKLCLVTLGRENLPLHNHLIRSILHNACRANGPPAIDAPKLAGDVSKSEQILHPVWNNGNVSIQHVKDNRSLTIEQEGAPIIRENGAPNFSDLKRRQQVQNSEHAEPRNKRLHYEKEPPSFLEPSHSNGPSAISYGENSGGENIHRIQGPVRAPLGIQFSPVNFGGIQKSSAIASVPPNDSSVSCYELGELCDTMLLSKRMEKIAEAAGLEGVSVECANLLNNGVDVFLKQLIGSCVQLVGTRSQLGKLSHASLKQQLSRKLINGVSLKNHVHGQGIIIPTGPNSISIQDLKAVSELSPHLLGVNASLLREKINSYD >ONIVA04G04660.4 pep chromosome:AWHD00000000:4:7170168:7179090:1 gene:ONIVA04G04660 transcript:ONIVA04G04660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPSVQPAPTVQPLPSSTAGQSTRIDLREIKSNIIKRIGPGRAKKYFQHLERFLSSKLNKNEFDKLCLVTLGRENLPLHNHLIRSILHNACRANGPPAIDAPKLAGDVSKSEQILHPVWNNGNVSIQHVKDNRSLTIEQEGAPIIRENGAPNFSDLKRRQQVQNSEHAEPRNKRLHYEKEPPSFLEPSHSNGPSAISYGENSGGENIHRIQGPVRAPLGIQFSPVNFGGIQKSSAIASVPPNDSSVSCYELGELCDTMLLSKRMEKIAEAAGLEGVSVECANLLNNGVDVFLKQLIGSCVQLVGTRSQLGKLSHASLKQQLSRKLINGVSLKNHVHGQGIIIPTGPNSISIQDLKAVSELSPHLLGVNASLLREKINSYD >ONIVA04G04660.5 pep chromosome:AWHD00000000:4:7170168:7179090:1 gene:ONIVA04G04660 transcript:ONIVA04G04660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPSVQPAPTVQPLPSSTAGQSTRIDLREIKSNIIKRIGPGRAKKYFQHLERFLSSKLNKNEFDKLCLVTLGRENLPLHNHLIRSILHNACRANGPPAIDAPKLAGDVSKSEQILHPVWNNGNVSIQHVKDNRSLTIEQEGAPIIRENGAPNFSDLKRRQQVQNSEHAEPRNKRLHYEKEPPSFLEPSHSNGPSAISYGENSGGENIHRIQGPVRAPLGIQFSPVNFGGIQKSSAIASVPPNDSSVSCYELGELCDTMLLSKRMEKIAEAAGLEGVSVECANLLNNGVDVFLKQLIGSCVQLVGTRSQLGKLSHASLKQQLSRKLINGVSLKNHVHGQGIIIPTGPNSISIQDLKAVSELSPHLLGVNASLLREKINSYD >ONIVA04G04650.1 pep chromosome:AWHD00000000:4:7150516:7158451:-1 gene:ONIVA04G04650 transcript:ONIVA04G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRKAMANQANVPADPQFQSRTTYRVKNKKFIDHLEEILQSYVDRREQVRLIKELYGNQIGELKVTVSIRYSDLLFTLPSQARVLAWPLRSSRGISVTERRASRSASAQLVPFRLPYAEDALKTLSLPEAFAEIVLSLPRALKRILSSQESD >ONIVA04G04640.1 pep chromosome:AWHD00000000:4:7143256:7147049:-1 gene:ONIVA04G04640 transcript:ONIVA04G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPPPPVIGKAGNLTVFITPPSPAEAEAEGSPDSPRSEFTTPSGSPRAAEDSTPPPSPPRAEQPPVKEEAPAASPQLATPPPVKTVSPPLPAAKLSSAAAPRPPPPVQVPLPSAKLSAPRPPPTPPPVQVPPPQFEKAEARPDGSMLALFWDTVARVQEAHASLDEYISNWFGLDQSKYQWALNEYYESNGKDMESGKAVKPKELGTKLENCVKFHWEVDTSSAATSRPGHNL >ONIVA04G04630.1 pep chromosome:AWHD00000000:4:7141337:7142620:1 gene:ONIVA04G04630 transcript:ONIVA04G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGDYGASVSMMISYGGAIVQADGKAKAAYYAGGVHRIVKVGMSERLPGLRARLAALAGCADVFIRYALPGEGLGVIRDVADDGDLWGLVSLLFYYKEVPVSSKPGRIRVFLFAADHDAPPHRTASAPSLPVLVSGSASAAPPVTLGLPRSASSPSLATSGSGTAVRMKVSYGGEIIQKQRGGSAAASCYYAGGVHRIVRVGLSERLAGLRARLAALAGFSDSDDVRIQYALPGEEGLHLHDVASDGDLWSLVSLLFFHEAVMASSKPKQGRIRVFLFAAHDAPATSSTSPTAAPLRRSASSPFLPTLVEEDEDDDDNDGNTDTAAATQTSTSRVTATVGMRRSASSPALATTSSSSDAATASTSGGATSGSSGDSDTPAMTSSTAAAAAVQFGPVVLVPVMVVFPVIPVYAIGVVDYRSVLLVA >ONIVA04G04620.1 pep chromosome:AWHD00000000:4:7135224:7136060:1 gene:ONIVA04G04620 transcript:ONIVA04G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAAGLILALAFASSSPPAAAAVKFNVTEILHEFPEFSVFNGLLSQTRLAEDVNLRPAVTVLVVDDAAAGAITSLPEATQGEVVALQVLLDYYDPVKLYSLKAKTALLPTLLRPTAAGGGGGGVGLVKYTQVADDQMAFGSGEPGAPIGSQLVKVVACRPYNLSVMQVSAPIVAPSLGGPSSGGGTPPSSPATAKSADDASASSMTDYDDDPIAPAAVDGPGIVDTPPTKTTSPSTNGTSAAADGTTTSAGSCAVVAGAGVGLMAAGLVMFISV >ONIVA04G04610.1 pep chromosome:AWHD00000000:4:7107097:7107372:-1 gene:ONIVA04G04610 transcript:ONIVA04G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMATMVALVFGLALLLSAAAPAAAQNCGCQDGYCCSQWGYCGTTEAYCGQGCQSGPCWGSGGEAAAGMAGRKAGAGGVEVPESNNRSR >ONIVA04G04600.1 pep chromosome:AWHD00000000:4:7102176:7103338:-1 gene:ONIVA04G04600 transcript:ONIVA04G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPTLTMLVFQAIGLSLVLSAAGVSVESVVTEAFFNGIKNQAPNGCAGKSFYTRQSFLNAARSYSGFANDRTNDDSKREIAAFFAHVTHETGHMCYINEINGANMDYCDKSNKQWPCQPGKKYYGRGPLQISWNFNYGPAGKNIGFDGLRDPDKVAQDPTISFKTALWFWMNNVHQVMSQGFGATIRAINGALECNGKNPGAVNARVNYYKDYCRQFGVSPGGNLYC >ONIVA04G04590.1 pep chromosome:AWHD00000000:4:7097853:7098117:-1 gene:ONIVA04G04590 transcript:ONIVA04G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVATMLALVLGLARAPPLLRRPGGRAELRLRGELYGYCGLGGDYCGMGCQSGPCYNSNVNGVGGGRKAGVGAMENNNLNN >ONIVA04G04580.1 pep chromosome:AWHD00000000:4:7092642:7096003:-1 gene:ONIVA04G04580 transcript:ONIVA04G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKHTSQSPDSTSVIPVTAVVGAAERRRRASLHRLPTPPRDGCGGRLPHSSHRSSSGSVPQKRHLITKATTYKPHNGIIVDKVAIGLGSTCKLLHERAKCSYSNRFIKLQEQVYPRLLLVAACHNRIGPVYASSGKGNSERVNDPFSMESLNKAIAGTKKQWPIQDMLIDQISKIRGSGSGGNGGGNKNSHEGSGGGSEDESLTESLYEMVQVLLATIAFILMYIHIIRGEELYRLARDYTRYLVTGKRTSRLKRAMLNWHNFCEGITNKDSVQESTFERSTSEPMWWQQPLKT >ONIVA04G04580.2 pep chromosome:AWHD00000000:4:7092850:7096003:-1 gene:ONIVA04G04580 transcript:ONIVA04G04580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKHTSQSPDSTSVIPVTAVVGAAERRRRASLHRLPTPPRDGCGGRLPHSSHRSSSGSVPQKRHLITKATTYKPHNGIIVDKVAIGLGSTCKLLHERAKCSYSNRFIKLQEQVYPRLLLVAACHNRIGPVYASSGKGNSERVNDPFSMESLNKAIAGTKKQWPIQDMLIDQISKIRGSGSGGNGGGNKNSHEGSGGGSEDESLTESLYEMVQVLLATIAFILMYIHIIRGEELYRLARDYTRYLVTGKRTSRLKRAMLNWHNFCEGITNKDSVQESTFERSTSEPMWWQQPLKFVHRIEELYRGYFRPHAQES >ONIVA04G04570.1 pep chromosome:AWHD00000000:4:7079390:7080328:-1 gene:ONIVA04G04570 transcript:ONIVA04G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTEVANHSKRNHNESYFTGKAAVTSSSEEFGSMTSKKPRNTNPRDAPEKKDKIGERVAALQQLVSPFGKTDTASVLQEASGYIKFLHQQLEVLSSPYMRAPPVPGAAPEDPDHYSLRNRGLCLVPVDQTLQLTQSNGADLWAPANTTRRR >ONIVA04G04560.1 pep chromosome:AWHD00000000:4:7072170:7073170:-1 gene:ONIVA04G04560 transcript:ONIVA04G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACEAAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLDAALSAALPRCDVCQSREDAAACGWESGDVSLRHWSYVLSSLLLQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSVCSANADHLPPPAPKGNSKPPASGIAAAAAPKPAVSAAAQEVPSSPFLPPSGWAKGSPIGFKDLEWLDDIDLFHVQSPAKGGSTAAEVPELFASPQPASNMGLYKASGARQSKKPRVEIPDDDEDFFIVPDLG >ONIVA04G04550.1 pep chromosome:AWHD00000000:4:7052377:7053907:-1 gene:ONIVA04G04550 transcript:ONIVA04G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACGSQEKIFVFLKAAGSFLCSSYSLCASKPDQRRISIFHQLEQREMGSGSSPANSKAADVSPLPIPATELISHTRGMRDPNLSPNLNLEINPCGRCLERGHSRASCSGPLRCKACLMPGHLARSCLASKPTKAPHRNNPKPSARTTAVWKAKTIPPEISPSSSRNPSSPECTGAGGWDEAVQQQQAANEQQEDAWGQDHPMGQIEENPGQLIIPQQMATPCPSSMMTPI >ONIVA04G04540.1 pep chromosome:AWHD00000000:4:7038825:7039802:1 gene:ONIVA04G04540 transcript:ONIVA04G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERRGRPAPPEFNGSGSAPLEAASARSMMEMEKLGRPAAHLRLVEADLTLLSPVTVDPSRPVVTPVTNINMCLTAELTSTSHCRRRRASAEREIEEAEVREISWMA >ONIVA04G04520.1 pep chromosome:AWHD00000000:4:6975995:6976351:-1 gene:ONIVA04G04520 transcript:ONIVA04G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWVFEDGMVRRADSEAPSRGRGVGGGGGGGKVLVHVPSSEVVTSYEVLERRLRELGWERYLNDPCLLQFHQRSTVHLISVPRDFSRLKLVHMYDVVVKTRNVFEVRDAATTAAPP >ONIVA04G04510.1 pep chromosome:AWHD00000000:4:6973173:6973424:-1 gene:ONIVA04G04510 transcript:ONIVA04G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDHCTGTPRRLALVRHRPHRTHRQFLHLAPCPLPSLPVAPRRAMLASSAVGRASSCQAGLSPPAAPPRVVPASAATGRASTR >ONIVA04G04500.1 pep chromosome:AWHD00000000:4:6965925:6966362:-1 gene:ONIVA04G04500 transcript:ONIVA04G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRWIWRRGTCHHRPWEPAAASRCRLWEAAAVAAIASPPVGSGGRKPIAAAARGAPPLPLPPLPPNLAEGRVLPAATASPPAAARYAAASVPQPHAIACHRQETAVEKEREPRERGCERERSGGEWIRTPPHHPLSVLSSLPIR >ONIVA04G04490.1 pep chromosome:AWHD00000000:4:6957960:6961246:1 gene:ONIVA04G04490 transcript:ONIVA04G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKEHRRGVPRPPPLSLYIEREQGEVIMAGQALATTRMLQQVATSSSGKKRILSKQLSMKETTREVKWEKRRRQIHRQRSSMALQDVEEEASANMFAATTDSEMGSSTERVPKRLTDGDLDELRGSMELGFGFDEENGGQNLCDTLPALDLYFAVNRQLSEPKMRLSTSSLPSPTSATSSSSTLGGTSNPGSPVAPSSFMDSWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >ONIVA04G04490.2 pep chromosome:AWHD00000000:4:6957960:6961246:1 gene:ONIVA04G04490 transcript:ONIVA04G04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKEHRRGVPRPPPLSLYIEREQGEVIMAGQALATTRMLQQVATSSSGKKRILSKQLSMKETTREVKWEKRRRQIHRQRSSMALQDVEEEASANMFAATTDSEMGSSTERVPKRLTDGDLDELRGSMELGFGFDEENGGQNLCDTLPALDLYFAVNRQLSEPKMRLSTSSLPSPTSATSSSSTLGGTSNPGSPVAPSSFMDSWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >ONIVA04G04490.3 pep chromosome:AWHD00000000:4:6957960:6961246:1 gene:ONIVA04G04490 transcript:ONIVA04G04490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKEHRRGVPRPPPLSLYIEREQGEVIMAGQALATTRMLQQVATSSSGKKRILSKQLSMKETTREVKWEKRRRQIHRQRSSMALQDVEEEASANMFAATTDSEMGSSTERVPKRLTDGDLDELRGSMELGFGFDEENGGQNLCDTLPALDLYFAVNRQLSEPKMRLSTSSLPSPTSATSSSSTLGGTSNPGSPVAPSSFMDSWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >ONIVA04G04490.4 pep chromosome:AWHD00000000:4:6958719:6961246:1 gene:ONIVA04G04490 transcript:ONIVA04G04490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKEHRRGVPRPPPLSLYIEREQGEVIMAGQALATTRMLQQVATSSSGKKRILSKQLSMKETTREVKWEKRRRQIHRQRSSMALQDVEEEASANMFAATTDSEMGSSTERVPKRLTDGDLDELRGSMELGFGFDEENGGQNLCDTLPALDLYFAVNRQLSEPKMRLSTSSLPSPTSATSSSSTLGGTSNPGSPVAPSSFMDSWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >ONIVA04G04480.1 pep chromosome:AWHD00000000:4:6949020:6953563:-1 gene:ONIVA04G04480 transcript:ONIVA04G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKCQLAGIKEYQRQPATNRMLPENELKLQPTMRKFQAEKSRFSLIGTPELKKWLWSAYVLPLLELKDHIVTAT >ONIVA04G04470.1 pep chromosome:AWHD00000000:4:6942873:6945768:1 gene:ONIVA04G04470 transcript:ONIVA04G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRSNHPTALNAPPSPIPIPPHLHLHLHLALEPSLSSTSTGESVFLGSWRRDVQDGGGEGAGGAGHRRRRDAVLRGPAGFVGGGGACAARRDAPPRRAAGALRRRHGHHAPQLGHQRVRHRLLLRPRRLQVPGVRERALRRLLAGVGVLHRRAEAGDVVPLLGRFPPRPGVHVPDPGSGGGVGGATVPGVQRRQGGDVERGVRRLRWILPAGEDVGGHHLRLRCLLHPPLPHLLLPPLQRLRPTPAFPRQQGRRDRRLPPLIAAAAGDEEEDDHPDDHHHHDIPSY >ONIVA04G04460.1 pep chromosome:AWHD00000000:4:6908374:6935187:-1 gene:ONIVA04G04460 transcript:ONIVA04G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37590) TAIR;Acc:AT5G37590] MALYRRLLHLRRLQPPAEHASSVASATPRLFPLLTPSRPFAAVSSIPRVEPPLLVVPSGLARDGFFGRRGDRQFFSTVGAVLVGQAAIFLGLCNDSALAQDDSAGLGATRNEQTEENATGLQRIEDGSVVSNEHTRNLDEAEKFIQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSDDIRVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGIGHPEYASTMYLLGKVLSQQGKDAEALIEESIRILEESGLGESPTCIQRMRYLSTGWDSLDTTNAAELLTMTLQTMGKLKESEELLERCLEVRKRILSEEHFQVFEFFTTSSALLAISTDWELRHTQDHVTCICYVEFTVAVTLVHLARLTMLNFISDKEDSDLARSKLVRARLLVNDSIRYSIELHQRLGVSPRLFKKRKEKKNKRKKKREREVPVLSLAAAFICALAVARCSVPMIAEGTLYDSRKDLNKLNNGRTTDRDKIAATSALLQALEVAGLLESGMKNMLTPGEQDLYPVEQALNKCVSLYKEPHTRKFVSKTLKNEYIRCLRRLTGIVQSDFAVSEALTLQGLLAEAQQILEELGHESN >ONIVA04G04460.2 pep chromosome:AWHD00000000:4:6908374:6935187:-1 gene:ONIVA04G04460 transcript:ONIVA04G04460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37590) TAIR;Acc:AT5G37590] MALYRRLLHLRRLQPPAEHASSVASATPRLFPLLTPSRPFAAVSSIPRVEPPLLVVPSGLARDGFFGRRGDRQFFSTVGAVLVGQAAIFLGLCNDSALAQDDSAGLGATRNEQTEENATGLQRIEDGSVVSNEHTRNLDEAEKFIQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSDDIRVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGIGHPEYASTMYLLGKVLSQQGKDAEALIEESIRILEESGLGESPTCIQRMRYLSTGWDSLDTTNAAELLTMTLQTMGKLKESEELLERCLEVRKRILSEEHFQVFEFFTTSSALLAISTDWELRHTQDHVTCICYVEFTVAVTLVHLARLTMLNFISDKEDSDLARSKLVRARLLVNDSIRIAEGTLYDSRKDLNKLNNGRTTDRDKIAATSALLQALEVAGLLESGMKNMLTPGEQDLYPVEQALNKCVSLYKEPHTRKFVSKTLKNEYIRCLRRLTGIVQSDFAVSEALTLQGLLAEAQQILEELGHESN >ONIVA04G04460.3 pep chromosome:AWHD00000000:4:6908374:6935187:-1 gene:ONIVA04G04460 transcript:ONIVA04G04460.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37590) TAIR;Acc:AT5G37590] MALYRRLLHLRRLQPPAEHASSVASATPRLFPLLTPSRPFAAVSSIPRVEPPLLVVPSGLARDGFFGRRGDRQFFSTVGAVLVGQAAGLGATRNEQTEENATGLQRIEDGSVVSNEHTRNLDEAEKFIQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSDDIRVGTALHSLGICYHLQRKFALAQTCYEIEGRVMGIGHPEYASTMYLLGKVLSQQGKDAEALIEESIRILEESGLGESPTCIQRMRYLSTGWDSLDTTNAAELLTMTLQTMGKLKESEELLERCLEVRKRILSEEHFQVFEFFTTSSALLAISTDWELRHTQDHVTCICYVEFTVAVTLVHLARLTMLNFISDKEDSDLARSKLVRARLLVNDSIRYSIELHQRLGVSPRLFKKRKEKKNKRKKKREREVPVLSLAAAFICALAVARCSVPMIAEGTLYDSRKDLNKLNNGRTTDRDKIAATSALLQALEVAGLLESGMKNMLTPGEQDLYPVEQALNKCVSLYKEPHTRKFVSKTLKNEYIRCLRRLTGIVQSDFAVSEALTLQGLLAEAQQILEELGHESN >ONIVA04G04460.4 pep chromosome:AWHD00000000:4:6908374:6935187:-1 gene:ONIVA04G04460 transcript:ONIVA04G04460.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37590) TAIR;Acc:AT5G37590] MALYRRLLHLRRLQPPAEHASSVASATPRLFPLLTPSRPFAAVSSIPRVEPPLLVVPSGLARDGFFGRRGDRQFFSTVGAVLVGQAAGLGATRNEQTEENATGLQRIEDGSVVSNEHTRNLDEAEKFIQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSDDIRVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGIGHPEYASTMYLLGKVLSQQGKDAEALIEESIRILEESGLGESPTCIQRMRYLSTGWDSLDTTNAAELLTMTLQTMGKLKESEELLERCLEVRKRILSEEHFQVFEFFTTSSALLAISTDWEVAVTLVHLARLTMLNFISDKEDSDLARSKLVRARLLVNDSIRIAEGTLYDSRKDLNKLNNGRTTDRDKIAATSALLQALEVAGLLESGMKNMLTPGEQDLYPVEQALNKCVSLYKEPHTRKFVSKTLKNEYIRCLRRLTGIVQSDFAVSEALTLQGLLAEAQQILEELGHESN >ONIVA04G04460.5 pep chromosome:AWHD00000000:4:6908374:6935187:-1 gene:ONIVA04G04460 transcript:ONIVA04G04460.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37590) TAIR;Acc:AT5G37590] MALYRRLLHLRRLQPPAEHASSVASATPRLFPLLTPSRPFAAVSSIPRVEPPLLVVPSGLARDGFFGRRGDRQFFSTVGAVLVGQAAIFLGLCNDSALAQDDSAGLGATRNEQTEENATGLQRIEDGSVVSNEHTRNLDEAEKFIQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSDDIRVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGIGHPEYASTMYLLGKVLSQQGKDAEALIEESIRILEESGLGESPTCIQRMRYLSTGWDSLDTTNAAELLTMTLQTMGKLKESEELLERCLEVRKRILSEEHFQVFEFFTTSSALLAISTDWEVAVTLVHLARLTMLNFISDKEDSDLARSKLVRARLLVNDSIRIAEGTLYDSRKDLNKLNNGRTTDRDKIAATSALLQALEVAGLLESGMKNMLTPGEQDLYPVEQALNKCVSLYKEPHTRKFVSKTLKNEYIRCLRRLTGIVQSDFAVSEALTLQGLLAEAQQILEELGHESN >ONIVA04G04460.6 pep chromosome:AWHD00000000:4:6908374:6935187:-1 gene:ONIVA04G04460 transcript:ONIVA04G04460.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37590) TAIR;Acc:AT5G37590] MALYRRLLHLRRLQPPAEHASSVASATPRLFPLLTPSRPFAAVSSIPRVEPPLLVVPSGLARDGFFGRRGDRQFFSTVGARNLDEAEKFIQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSDDIRVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGIGHPEYASTMYLLGKVLSQQGKDAEALIEESIRILEESGLGESPTCIQRMRYLSTGWDSLDTTNAAELLTMTLQTMGKLKESEELLERCLEVRKRILSEEHFQVFEFFTTSSALLAISTDWEVAVTLVHLARLTMLNFISDKEDSDLARSKLVRARLLVNDSIRIAEGTLYDSRKDLNKLNNGRTTDRDKIAATSALLQALEVAGLLESGMKNMLTPGEQDLYPVEQALNKCVSLYKEPHTRKFVSKTLKNEYIRCLRRLTGIVQSDFAVSEALTLQGLLAEAQQILEELGHESN >ONIVA04G04460.7 pep chromosome:AWHD00000000:4:6908374:6935187:-1 gene:ONIVA04G04460 transcript:ONIVA04G04460.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37590) TAIR;Acc:AT5G37590] MALYRRLLHLRRLQPPAEHASSVASATPRLFPLLTPSRPFAAVSSIPRVEPPLLVVPSGLARDGFFGRRGDRQFFSTVGAVLVGQAAIFLGLCNDSALAQDDSAGLGATRNEQTEENATGLQRIEDGSVVSNEHTRNLDEAEKFIQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSDDIRVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGIGHPEYASTMYLLGKVLSQQGKDAEALIEESIRILEESGLGESPTCIQRMRYLSTGWDSLDTTNAAELLTMTLQTMGKLKESEELLERCLEVRKRILSEEHFQVAVTLVHLARLTMLNFISDKEDSDLARSKLVRARLLVNDSIRIAEGTLYDSRKDLNKLNNGRTTDRDKIAATSALLQALEVAGLLESGMKNMLTPGEQDLYPVEQALNKCVSLYKEPHTRKFVSKTLKNEYIRCLRRLTGIVQSDFAVSEALTLQGLLAEAQQILEELGHESN >ONIVA04G04450.1 pep chromosome:AWHD00000000:4:6892325:6904948:1 gene:ONIVA04G04450 transcript:ONIVA04G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVRSAAAAAAVARHSLRPPLAAPGSIRGASLLPPQRARGLLRDWSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQKDNLDRFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEGMLCQLLLPIVMSQSALSAELVLMIVTPTKNFVVSKSPTRHFIIHARKALLSGLSPAENRKVTASIRQGAHRVMVGRAAYNNPWNMLGHVDSEVYGMPTRRSSRRQILESYQVYGDSIMGQYGPSRPNVRQLVKPLLNLFHSEPGNGLWKRKADSTLRHCKTLESFLEETLDAIPNSVLDAPIGKEAYIEEGHFADMDSLLPPRYSSLTNGSCEIPALVTAST >ONIVA04G04450.2 pep chromosome:AWHD00000000:4:6892229:6904948:1 gene:ONIVA04G04450 transcript:ONIVA04G04450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVRSAAAAAAVARHSLRPPLAAPGSIRGASLLPPQRARGVGPCRARARAASSSATGGGGHLPPLFSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQKDNLDRFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIAANCNVPVSIKCRIGVDDRDSYEELCEFVDKVVSKSPTRHFIIHARKALLSGLSPAENRKVTASIRQGAHRVMVGRAAYNNPWNMLGHVDSEVYGMPTRRSSRRQILESYQVYGDSIMGQYGPSRPNVRQLVKPLLNLFHSEPGNGLWKRKADSTLRHCKTLESFLEETLDAIPNSVLDAPIGKEAYIEEGHFADMDSLLPPRYSSLTNGSCEIPALVTAST >ONIVA04G04450.3 pep chromosome:AWHD00000000:4:6892229:6904948:1 gene:ONIVA04G04450 transcript:ONIVA04G04450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVRSAAAAAAVARHSLRPPLAAPGSIRGASLLPPQRARGVGPCRARARAASSSATGGGGHLPPLFSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQKDNLDRFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEGMLCQLLLPIVMSQSALSAELVLMIVTPTKNFVVSKSPTRHFIIHARKALLSGLSPAENRKVTASIRQGAHRVMVGRAAYNNPWNMLGHVDSEVYGMPTRRSSRRQILESYQVYGDSIMGQYGPSRPNVRQLVKPLLNLFHSEPGNGLWKRKADSTLRHCKTLESFLEETLDAIPNSVLDAPIGKEAYIEEGHFADMDSLLPPRYSSLTNGSCEIPALVTAST >ONIVA04G04440.1 pep chromosome:AWHD00000000:4:6885849:6887687:1 gene:ONIVA04G04440 transcript:ONIVA04G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAEPACCSSSSAMLVRTRSAVPMRESGDYMKEYLRELCKRLLYHRFNDLHPKRHFYAHSVQAVSDACLGHFCSPLPSSPPTNICNKSSFRIVYVATVFVLNWKIARGTIIK >ONIVA04G04430.1 pep chromosome:AWHD00000000:4:6881427:6881975:-1 gene:ONIVA04G04430 transcript:ONIVA04G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASGNEQAVAVAVPRRSLPSSINHNSKTSTRDWTNLGDGPAGMIAELALANDVADYVRFRAMCRPWRLSSSLHPRPQDGGLDSRFLPRHWIMLDKAHHNKGTTAAATRRRRRRFLNVSTGGCIHTDDIPELADHTMLALTPEGLLLLLHEPSLRLHLLNPLTRHRTDLPPVTALLTPEWRR >ONIVA04G04420.1 pep chromosome:AWHD00000000:4:6838042:6844984:-1 gene:ONIVA04G04420 transcript:ONIVA04G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGNRCGLQPISLLQALATIILAVAAAAAAARPAPIAGTSSSTSRVAAANGTCQSRVAPFGYACEEHTVTTEDGYILSLQRIPSGRGETAAGGGGGGKVPVLLQHGLMMDGVTWLMNSPNESLGYILADNGYDVWIANSRGTVYSRHHTSLVSSDSAYWNWSWDELSSKDLSAEVQYVYSQAGQQKMHYVGHSLGTLIALAALSDQQQQIGMLRSAGLLSPIAFLDKMSSPLARAAADVFLAEVSSPELTPPTPTTTTTNGALYWLGLSEFDPTGEYVHSLVTDICKQPGIDCYNLMSAFTGDNCCLDNSSVQVFLAHEPQATATKNMIHLAQMIRGGTIAKYDYGNAGDNREHYGQATPPAYDVTAIPGDFPLFLSYGGRDSLSDVQDVSRLLRALGQSHSRDGDKLTVQYLADYAHADFVMARNAGERVYAPLMAFFKLQEK >ONIVA04G04410.1 pep chromosome:AWHD00000000:4:6832836:6838003:1 gene:ONIVA04G04410 transcript:ONIVA04G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKSSGGGSGGSGMCDLPMDCIACIASLTSPGDACRLAAAAAALRPVADSDDVWGSFLPPEWAGDGDGDGDALDGKPGGREGESKKEMFLRLCDSPVLLDGGKLRSGAKKYMMPARALGFGWSGYPYGGLVWIQNHPDSRFSEVALLSHLCWLDIYGIFNTKHLSNGTSYGAYLVYNVQFLHTEDQNGGYKEQDATASGSSSTSSICSHECNHLVPQKHLRSLLFNMDYDGSSFVKTNNNQKKELKYVGICVRSDGWMEQEISTEISVVKQNNEENGDISIEFRGLTGSHQCQIIVEGIEIRPKN >ONIVA04G04410.2 pep chromosome:AWHD00000000:4:6832836:6837300:1 gene:ONIVA04G04410 transcript:ONIVA04G04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKSSGGGSGGSGMCDLPMDCIACIASLTSPGDACRLAAAAAALRPVADSDDVWGSFLPPEWAGDGDGDGDALDGKPGGREGESKKEMFLRLCDSPVLLDGGKLRSGAKKYMMPARALGFGWSGYPYGGLVWIQNHPDSRFSEVALLSHLCWLDIYGIFNTKHLSNGTSYGAYLVYNVQFLHTEDQNGGYKEQDATASGSSSTSSICSHECNHLVPQKHLRSLLFNMDYDGSSFVKTNNNQKKELKYVGICVRSDGWMEQEISTEISVVKQNNEENGDISIEFRGLTGSHQCQIIVEGIEIRPKN >ONIVA04G04400.1 pep chromosome:AWHD00000000:4:6828746:6829204:1 gene:ONIVA04G04400 transcript:ONIVA04G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLRPSGATTPYPPPQQKKSNATLPIPAHECRFPPSPSPQRVRGTHRVSTHNSNNHDSKPSSAEQEEAAWSSTQCAWGRRSSIYWLASRRRCSTDGGQNGVAAGRQAAQCRGGGGMSACGLQRDERGKRREKMGIIPSSKQGFAEMPSYN >ONIVA04G04390.1 pep chromosome:AWHD00000000:4:6810457:6828708:1 gene:ONIVA04G04390 transcript:ONIVA04G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01460) TAIR;Acc:AT2G01460] MDADLVQRCLEAGGRDLLLHHPSSPPSPTSASASAAAASSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDVDAIDFDALACNLQVRARTCITSILTIMSAEQDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNELPDGHNLYFFNQNEGETENFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRDQLLIAAETIDTLNETFLVLKGPSRKIVAAEARKLGIKGPWITKSYLEMILESKGVPRLNTPPPISRKLLTESQEKKIVAPKPIRVSTENVANFDDFAQPWTRSPPKKFDQEPVMGKWQFNQDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIGLLTKNIMEIRKNHKAEVPCFDFEKCKRKKFEELHVSEECGVPSSITFDLTRDHHDSMRMYTIKVIFEGVYALHPAIRKSLDLWIAVVGGVHSHLIARIQRDKNRAGFSISQSEIMTTLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKACSCVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDSLQGETNPYLQIKGTNKEIVSSAASALSLDDSYTTKSYLQIILESLPADENVHTGIHNQQAARLQELVEFIQSQGGSFNSDLSSPTREVSSTDSFMDYITVCSCWILTLPEKDDAKALTIHTFDPNLTSLVLLSALILIRTFRSLMRCDEKNPLELAGGLTCSIMHTTGATSLHPGWLRHDHPLLPNPAPVSP >ONIVA04G04390.2 pep chromosome:AWHD00000000:4:6810457:6828708:1 gene:ONIVA04G04390 transcript:ONIVA04G04390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01460) TAIR;Acc:AT2G01460] MDADLVQRCLEAGGRDLLLHHPSSPPSPTSASASAAAASSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDVDAIDFDALACNLQVRARTCITSILTIMSAEQDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNELPDGHNLYFFNQNEGETENFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRDQLLIAAETIDTLNETFLVLKGPSRKIVAAEARKLGIKGPWITKSYLEMILESKGVPRLNTPPPISRKLLTESQEKKIVAPKPIRVSTENVANFDDFAQPWTRSPPKKFDQEPVMGKWQFNQDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIGLLTKNIMEIRKNHKAEVPCFDFEKCKRKKFEELHVSEECGVPSSITFDLTRDHHDSMRMYTIKVIFEGVYALHPAIRKSLDLWIAVLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKACSCVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDSLQGETNPYLQIKGTNKEIVSSAASALSLDDSYTTKSYLQIILESLPADENVHTGIHNQQAARLQELVEFIQSQGGSFNSDLSSPTREVSSTDSFMDYITVCSCWILTLPEKDDAKALTIHTFDPNLTSLVLLSALILIRTFRSLMRCDEKNPLELAGGLTCSIMHTTGATSLHPGWLRHDHPLLPNPAPVSP >ONIVA04G04390.3 pep chromosome:AWHD00000000:4:6810457:6828708:1 gene:ONIVA04G04390 transcript:ONIVA04G04390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01460) TAIR;Acc:AT2G01460] MDADLVQRCLEAGGRDLLLHHPSSPPSPTSASASAAAASSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDVDAIDFDALACNLQVRARTCITSILTIMSAEQDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNELPDGHNLYFFNQNEGETENFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRDQLLIAAETIDTLNETFLVLKGPSRKIVAAEARKLGIKGPWITKSYLEMILESKGVPRLNTPPPISRKLLTESQEKKIVAPKPIRVSTENVANFDDFAQPWTRSPPKKFDQEPVMGKWQFNQDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIGLLTKNIMEIRKNHKAEVPCFDFEKCKRKKFEELHVSEECGVVIFEGVYALHPAIRKSLDLWIAVLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKACSCVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDSLQGETNPYLQIKGTNKEIVSSAASALSLDDSYTTKSYLQIILESLPADENVHTGIHNQQAARLQELVEFIQSQGGSFNSDLSSPTREVSSTDSFMDYITVCSCWILTLPEKDDAKALTIHTFDPNLTSLVLLSALILIRTFRSLMRCDEKNPLELAGGLTCSIMHTTGATSLHPGWLRHDHPLLPNPAPVSP >ONIVA04G04390.4 pep chromosome:AWHD00000000:4:6810457:6828708:1 gene:ONIVA04G04390 transcript:ONIVA04G04390.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01460) TAIR;Acc:AT2G01460] MDADLVQRCLEAGGRDLLLHHPSSPPSPTSASASAAAASSILQSLPLHVSFDRGFYLLVKAIQELRERKDGLVVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTVAGADDGSDVDAIDFDALACNLQDLVKGKDTLMPLVDFQEKKRTGWRQLKISPSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNELPDGHNLYFFNQNEGETENFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRDQLLIAAETIDTLNETFLVLKGPSRKIVAAEARKLGIKGPWITKSYLEMILESKGVPRLNTPPPISRKLLTESQEKKIVAPKPIRVSTENVANFDDFAQPWTRSPPKKFDQEPVMGKWQFNQDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPSGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIGLLTKNIMEIRKNHKAEVPCFDFEKCKRKKFEELHVSEECGVVIFEGVYALHPAIRKSLDLWIAVLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKACSCVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDSLQGETNPYLQIKGTNKEIVSSAASALSLDDSYTTKSYLQIILESLPADENVHTGIHNQQAARLQELVEFIQSQGGSFNSDLSSPTREVSSTDSFMDYITVCSCWILTLPEKDDAKALTIHTFDPNLTSLVLLSALILIRTFRSLMRCDEKNPLELAGGLTCSIMHTTGATSLHPGWLRHDHPLLPNPAPVSP >ONIVA04G04380.1 pep chromosome:AWHD00000000:4:6802740:6803219:1 gene:ONIVA04G04380 transcript:ONIVA04G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDIASDDCRKPPLRMRMAIESSLIHSSRALQSTLHLVDNGGELILVQRMLWPDHYAHDDGSYRTKRSRKYEAWRVDFDAGILVPVKGFNWRALFISMSRAISVSAETLPFVAADTIYFGYCGNLERYSLADGSIERFEPCTIVDCLSYCIQGFGLIL >ONIVA04G04370.1 pep chromosome:AWHD00000000:4:6771730:6781863:1 gene:ONIVA04G04370 transcript:ONIVA04G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFASSFGVGSQLLGSQAIEVVDVVGGLTSQWGTQLHGGHLARVISYIRAFHDPEQQILSNLVTHQSIETMLNHYAQTAEMSYSMRYPENAVTRGPQNVSGFPQTNGQINTLNNMNSEFHFLLEQAKNPENNSMRLINIRGHLRAFSIDPFGSRFIQHKLERATPAELAMVYEEIVPHAHMLAIDVFANYALLRYGPTFYRRELIGKLTGRVVALSLHVYGCRVIQKAFEVSDMDQRIEMANEVGRNFMQCVYDQNGNHVVQKCLQCVPPKYIKLIHASFYWKAMVLSTQPYGCRVIQRVLEWCDDPEILKGLMSEIVEGVLELAIDQFGNYVVQVNGHVSYVEILTS >ONIVA04G04370.2 pep chromosome:AWHD00000000:4:6771730:6782193:1 gene:ONIVA04G04370 transcript:ONIVA04G04370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFASSFGVGSQLLGSQAIEVVDVVGGLTSQWGTQLHGGHLARVISYIRAFHDPEQQILSNLVTHQSIETMLNHYAQTAEMSYSMRYPENAVTRGPQNVSGFPQTNGQINTLNNMNSEFHFLLEQAKNPENNSMRLINIRGHLRAFSIDPFGSRFIQHKLERATPAELAMVYEEIVPHAHMLAIDVFANYALLRYGPTFYRRELIGKLTGRVVALSLHVYGCRVIQKAFEVSDMDQRIEMANEVGRNFMQCVYDQNGNHVVQKCLQCVPPKYIKLIHASFYWKAMVLSTQPYGCRVIQVDRYICSSFFNYFSYNAKISHIAPFLRHEIECRI >ONIVA04G04370.3 pep chromosome:AWHD00000000:4:6771730:6782193:1 gene:ONIVA04G04370 transcript:ONIVA04G04370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTFASSFGVGSQLLGSQAIEVVDVVGGLTSQWGTQLHGGHLARVISYIRAFHDPEQQILSNLVTHQSIETMLNHYAQTAEMSYSMRYPENAVTRGPQNVSGFPQTNGQINTLNNMNSEFHFLLEQAKNPENNSMRLINIRGHLRAFSIDPFGSRFIQHKLERATPAELAMVYEEIVPHAHMLAIDVFANYAVQKIFPNGN >ONIVA04G04360.1 pep chromosome:AWHD00000000:4:6709427:6714303:-1 gene:ONIVA04G04360 transcript:ONIVA04G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHTKTALLCLLGAVATTAWLSFAVAQPQPPPACRRRCGDVDIPYPFGLNGDAPGCALGHGTYGFNISCNDTGNGVYKPFIWDVELLGVLLPEGQARILMSISSYCYNPATGAMDGPENNTWALDFTSSPYRFSHTGNVFTAIGCRTLAYIGGDNVDADVGSLTTGCVATCRLQAGNLTVTDDDVGACSGIGCCRTSIPVGLQYYYVWFDDRFNTTAIHNVSRCSYAALMEKSSASWFRFTPEYVTSSAFNDTFNGQVPLLLDWAIGNETCEQARRASPESYACRSRNSECFDSPSGLGYICNCSKGFRGNPYLHPEDPSSCQDIDECTDQNMNNNCHGICRNTLGGFECICPAGTRGNASVGQCQKVLTHGVLLAIGICSSTVVGLLIFLGIEWIKYKRRLVRQDLMNKRDAYFRQHGGQLLLDMMKLENQVSFKLYDREEIELATNNFRESAILGQGGQGTVYKGFDLDPENNPVAIKRCKGIDANRRMEFGQELLILSRVRHEYIVKLLGCCLQFEVPVLVYEFVPNKTLHYLIHGQSDASTRTLDIRLEIAAQSAEALAYLHSLDHPIFHGDVKSANILIGDKFTAKVSDFGCSIFRAAADENINVVKGTIGYLDPEYLMTFQLTDKSDVYSFGILLLELLTRRKPLSNEVSLASLFQDAMKKGNIDHHIDKEILHEDNMELLHEFACLASQCLVMDSENRPAMSHVADILRQLADTASQQHTGTLQGIRSLRLLGNSSGSISEPCYSPVETMEYDSRKTSMGIEFAR >ONIVA04G04350.1 pep chromosome:AWHD00000000:4:6694352:6695668:1 gene:ONIVA04G04350 transcript:ONIVA04G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSRGEDRGELRDGAVEKKKTAPALCSHWMSTAARARGSCGCVGWRRRSSTARRRWRRDLVATARRRRRRTKPWAAAALWLGRRVHPQRGERAAQLVGPAWAVRVRGGKEKCGPNSKGRKSNFLWRLNNLI >ONIVA04G04310.1 pep chromosome:AWHD00000000:4:6668237:6669700:1 gene:ONIVA04G04310 transcript:ONIVA04G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYE9] MTAATSKNVVVLFPFPGHGHLAAFLSFAGVLHRALPDVAITLVSTPRNVASLRRATSAGHDSFLLHELPFVPADHGLPAGWESSDGVPHNRFPDFLEALEVLQPAFDDFVAGATAAGDVAVCVVSDPFLAWTVTVARRRGCAHAFFVSCGAFGSAVVHSLWSHLPIRPDEAGRILLPEYPDVVIHRSQVSSNVLHPPTAVKHRVEAFFGRQIQLGYKTDALLINTVEEFEPTGLAMLRRTFRLPVIPIGPLVRASTKTTSPETDATAGAITSFLDSHPPSSVLYVSFGSQFSIQAEHMAELAAALEATGRPFVWAVKPPDGHNINGEIQPKWLPDGFEERVTATKKGLLLHGWAPQVGILAHHSTGAFLSHCGWNSVLESMTHGVPIIGWPLAGDQYYNAKMLDEEWGVCLRVEGARGDMDMSAIIVDKATLVAVVETVMSPTAKAAEMRQRARAIKEIMEAAREGGHGSSANQALEEFFKTMKLNG >ONIVA04G04300.1 pep chromosome:AWHD00000000:4:6664803:6665117:-1 gene:ONIVA04G04300 transcript:ONIVA04G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASTHRRRHRRGGYRIHPSRRRRRDGIRARAEEVVGSAPPIAADGGSAREKGGETVESRRRRRIRARGAERRRDPCGLELQGASGAAEGRRCPNTLITELQQ >ONIVA04G04290.1 pep chromosome:AWHD00000000:4:6658481:6658930:1 gene:ONIVA04G04290 transcript:ONIVA04G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAGATAAALAVGAAEDGEDVAKWHGATSVTGARACRGRGDGEDGGSGRGGEEESRVVVANCGHSRGGMYPWCSTPASPPPSSATSTCTALSPGTSCCRRCRRVSPLSCMLWPEIDCDDIACVRALALIDVPSLLMCAGVAADGAEE >ONIVA04G04280.1 pep chromosome:AWHD00000000:4:6651119:6655818:-1 gene:ONIVA04G04280 transcript:ONIVA04G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIPLLPPAPTRASSPRNSRPPRSPQISRLSRKKKTRHSRSSRRQVATAAEAAPPILAPPVVRSPPGRTSTLPRFAPRLLRRTLPSSPAPSPSPPPSPPSPPPPPPAPLPFRPPPLALVAGEAAQIPSPFAGEVFDGLLLLLPSARPPRVTRAHARPATSRLGGRDAVPGLRPSRLCPPSQFESNRRVRAASDRRLDALPIDLEVGFPGRRGGLRLHRQPLLKVMETETGDFC >ONIVA04G04280.2 pep chromosome:AWHD00000000:4:6651119:6655167:-1 gene:ONIVA04G04280 transcript:ONIVA04G04280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEADRWRCASRDEGCVPLATDVSTPCRSIWRWGSLVGEEGFVSPSRGTDYTTGNLVHGALTSLRLFTKQVMETETGDFC >ONIVA04G04280.3 pep chromosome:AWHD00000000:4:6651119:6655818:-1 gene:ONIVA04G04280 transcript:ONIVA04G04280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIPLLPPAPTRASSPRNSRPPRSPQISRLSRKKKTRHSRSSRRQVATAAEAAPPILAPPVVRSPPGRTSTLPRFAPRLLRRTLPSSPAPSPSPPPSPPSPPPPPPAPLPFRPPPLALVAGEAAQIPSPFAGEVFDGLLLLLPSARPPRVTRAHARPATSRLGGRDAVPGLRPSRLCPPSQFESNRRVRAASDRRLDALPIDLEVGFPGRRGGLRLVMETETGDFC >ONIVA04G04270.1 pep chromosome:AWHD00000000:4:6646845:6648083:-1 gene:ONIVA04G04270 transcript:ONIVA04G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGLLKSRRSSAGDEEEGSGGSGGLPTATRKEWCWSLGILLKFVAALLILMAGVLIGLAASASLSCYYVEGSGKQGEARRGDGGGGEGGSRCRDDGCGAALSFQRFVQPHPPWGHSMKDEELFWRASMAPRVEEYPYQRVPKVAFLFLTRGPLPFAPLWERFFHGHEGLYSVYVHALPEYRLNVSSSSPFHGRQIPSGDVSWGSITLVDAEKRLLANALLDFSNERFVLASESCVPVFNFPTVYEYLVNSAQSYVESYNIDVPQCAGRYNPRMAPDVLEEQWRKGSEWFEMSRDLAADIVADRKYHAIFRKHCTPSCYPDEHYIPTYLHLRHGARNANRTVTWVDWSRGGPHPARFGKATVTPAFVQAIRNNGTRCAYNGKPTTVCYLFARKFAPSALGPLLNMSTTLLEF >ONIVA04G04260.1 pep chromosome:AWHD00000000:4:6633811:6635154:-1 gene:ONIVA04G04260 transcript:ONIVA04G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDGNLRIVLFPFPAQGHFSAFLSLAAHLHDAQPTADISIVSTPRNVEGLRRRSSSQTRYLRFHALPFVPAEHGLPGDAESTDAVPPLHFITLFEATESRSLQDSFDGFVRDLIADAGADAARVCVIADPFLAWTTDIARRRGVAHAIFVSCGAFGSVVYHSLWNHLPHLRAPGDDAFCLPDHPEVTVHRSKLPPYLLHADGTDRWSAHHRRQTSAGYDTDAILISTMEELETTGLRMLRRTMGVPVYPIGPLVRCRTEHSDHTGDHNDDYVKRWLDTQEERSVLYISFGSYNSLRPDQMVDLAVALELTGRPFIWAIRPPFGFDIEPTNGGQFSAEWLPEGFEERMHAKNIGLLIHGLAPQVSILAHASTGAFLSHCGWNSVLESMAHGVPIIAWPLTADQFFNAQMLEEWGACVEVSRGSWPDSPALERERVVEVVDMAMGITA >ONIVA04G04250.1 pep chromosome:AWHD00000000:4:6613252:6619101:-1 gene:ONIVA04G04250 transcript:ONIVA04G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSVWKGLGVAGSTSIVISDDEKKEIQQDVEDLEEEEERPGWLPDGWIMEVYQGDDGTIYRYYTSPISGLTFTMKSEVLQYLFSGMDERFLESKNCAADNQLINNSVYVSPTMTYMQMTHEWLPKGWIIEVRAGGKNMNKMYKFYVYPPAGVRLFSKEDVLLYINKSEITGFDTNGECDTRTKDNILANVEFNPHSLPEGWVKEVVFRKTKTGVIRKDPYFTDPVNNYSFRTRKSAMLYVQTGKVPKRAFIQRTSVHDLYSFEKSADLLKMVTPLMIYQIHQARLKKTRASLRRLHAKPKNRYPLTLPSVLLGDPQKDPLRKCLVTWKSNKRVQVAKNIGAENCDSIGLKKKLCSYFFSVRSIRRRHIKTLTLVFLLPLQFPSPQDTVRR >ONIVA04G04240.1 pep chromosome:AWHD00000000:4:6607098:6608577:1 gene:ONIVA04G04240 transcript:ONIVA04G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPKKHVVLFPFTSKGHIAGFLSLASRLHRILPHATITLVSTPRNVAALRAAAAAPFLDFHALRFDPAEHGLPPGGESQDEIFPPLLIPLYEAFETLQPAFDDFVASTAAAAARVVVISDVFVAWTVEVARRHGCGHAFFASCGAFGSAVTHSLFTHLPLRPEEPSGPNDGSGGRADRRFLDRQLAHGNNTDAVLVNAVAEPEPAGLAMLRRTLRVLPVWPIGPLSRDRRDAATEATDDTVLRWMDTQPPGSVLYISFGTNSMIRPEHMLELAAALESSGRCFLWKIKPPEGDVAGLNGGATTPSSYNRWLAEGFEERVRILAHPSTAAFLSHCGWSSVLESMAHGVPVIGWLLTAEQFHNVMMLEGLGVCVEVARGNTDETVVERRRVAEVVKMVMGKTAKADDMRRRVQEVRTMMVDAWKEEGGSSFEASQAFLEAMKLK >ONIVA04G04230.1 pep chromosome:AWHD00000000:4:6580913:6585690:-1 gene:ONIVA04G04230 transcript:ONIVA04G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: YL1 nuclear, C-terminal (InterPro:IPR013272); Has 279 Blast hits to 279 proteins in 147 species: Archae - 0; Bacteria - 0; Metazoa - 94; Fungi - 133; Plants - 35; Viruses - 0; Other Eukaryotes - 17 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G38495) TAIR;Acc:AT4G38495] MESEVVKTEMVLAATFPFKKVQIADKYPKGQSRGRQWKHLRLLLQAADATSLPPDRPNYLNIQSPPSIYPPKRYCDITGFEAPYVDPRTKLRYADPEVFKQIRMLPDEYVQRREMGICALESVGKYISRSSVNQIVRDDFSSVVIADIMAGDVQFVLYRRS >ONIVA04G04220.1 pep chromosome:AWHD00000000:4:6569574:6575333:-1 gene:ONIVA04G04220 transcript:ONIVA04G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEAFRRIIFCVLLLLCVSCKCLTSEVNTTQLAVLKVDASPQHARKIPETLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPNTPSSIDPWSIIGNESVISVATDRSSCFSRNIIALRMEVLCGDCQAGGVGIYNPGFWGMNIEDGKNYSLVMYAKSLENTELTVSLTSSDGLQNLSSATIQVAGTSNWTKLEQKLVAKGTNRTSRLQITTNKKGVIWLDQISLMPSDTYKGHGFRKELVSMLLDLKPQFMRFPGGCFVEGQWLRNAFRWRESVGPWEERPGHFGDVWGYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGFSHNEEINTTAIAPFDILDSLEFARGSTNSTWGSLRVAMGHPEPFPVKYVTIGNEDCTKKFYHGNYLKFHRAIREAYPDIQIISNCDGSSKPLDHPADIYDFHVYGDSNTLFSMRNKFDSTPRNGTKAFVSEYAVSSNGVGRGTLLASLAEAAFLTGLEKNSDVVQMASYAPLFMNDNDRSWNPAAVVFNSWKQYGSPSYWMQTIFRESSGAVLHPVTINSMYSNSLAASAITWKASNSSFLRVKIVNIGSNPVNLIVSTTGLEALVNMRKSTITILTSKNLSDENSFSKPTNVVPVTRELPNAGEEMFAFLGPYSFTSFDLALGQQKHVS >ONIVA04G04210.1 pep chromosome:AWHD00000000:4:6565350:6566675:1 gene:ONIVA04G04210 transcript:ONIVA04G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRPSRAKATSPASSPSRASSAATPSCPTATSTSRSSAPRATAPPSAPPTTTTTTARALPGWPSTRYAVRAGRPRRGLPDGCESSSDLPLREFITLLFEAFESLEPAFDGFLSGLVDQEGTTVCVVADGFVAWTVGVARRRGCPRTHSSCRAARSARPSSSETTTSEHDAVLSWLDTQRPASVLYISFGSQNSIRLHQTTKSSRRRWSPAAVRSSGPSARRWGSTCINGQFRDEWLPEGFEQRARGHVVHGWAPRVSILAHA >ONIVA04G04200.1 pep chromosome:AWHD00000000:4:6510351:6511165:-1 gene:ONIVA04G04200 transcript:ONIVA04G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGSASDRPRICRPRSAAQLVGRRIDSNSPKMGQPKFRPAWEDLGRNRGNHQKGQVATFWPSPAQLAAHSQARPARTPAGQAASQPAKPCAHASQPSRAPMRAMPAFALRRCS >ONIVA04G04190.1 pep chromosome:AWHD00000000:4:6503025:6503532:-1 gene:ONIVA04G04190 transcript:ONIVA04G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQQKSIFASGHAKRSKVAFSLSSQFMARSNVGEGIARWRHGVAVLGRSGVGLGVALRQRGAGGGSATAVWGRGWLGSGAGWRCSVAVALEVAVLDSGSGAHLTQKQR >ONIVA04G04180.1 pep chromosome:AWHD00000000:4:6466289:6472681:-1 gene:ONIVA04G04180 transcript:ONIVA04G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYEMEKYVPVLLSFAMQVVLFFAGRFHVHITDKFTRVLISSTYLGADIIAIYALGGLSRQEGNPQSIAFFWAPFLLIHLGGQDTITAFKMEDKNAWLTRSGKLLFHAVLAVFVFFNSIGRHKELLLAGIFMFATGFIKYFTRSWSLKWGSFESIENSTIRHMYEIQLPEASNGSNMTYYDYVLTALNSMLQIHDIFAARSLRSTANSESEDEEIVESEEAARSVREDEEAVELEKATKSVDTDQEIVEQKECNILIKDDEVVEPKENKISTENDEAIQSTGNNTPIEGDEEIQLEGDEISIENRNAELEKIIIETIIKSELSLVQLQLGMMYDDLYTKALLLRKKGGIALRFIAISTSIVAFALFLSTEKQRYSKVDIAVTMSLFIGELLLEVCAVLIFLMSPWTWAWLKVRKYNWLACFSWYLFSSRIGWPENRPRWSNSIGQYNCVNRLVGISPPTSCTPKIMTYLRNIANKVGAKEISWINKLIHTGYVKADRDTMERVVFGLYGLMNEVDGQDTEYLEWRYVGSFLEQIQDVLTADFGTALLMMHMVTEVFLRQYPGNHSLVDVCRKLSNYMIYLLVNHPSMLPLNTSAISSIKTAERMFQRRTNDISDVEHYKNIHDSLLVGDQPEGDGVLDELVEMWVRVLLYSAGKSRAELHVEQLASGGELITFAWLLMAKNDYGDSRMKRIQITNFSPRDESDDCYDLPMKEGHAFHIVHRSEVDIQRD >ONIVA04G04170.1 pep chromosome:AWHD00000000:4:6456245:6462498:-1 gene:ONIVA04G04170 transcript:ONIVA04G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSERMGIRQKGYKASMDAEEGRRRREDITVILRKADRDRALKEKRRRPTATAAAEGLPQAAHSSAIEKKVRFGVGVRGLDHCPPIDEVIRSGVLPRFVEFLTREEYPQLQFEAAWALTNIASGTAANTMAVIEHGAVPIFVKLLSSPREDAVWALGNVAGDSTKCRNLVLMHGAMLPLLQQLNEHAKLSMLRNSAWTLSNFCRGKPQPDFKHVEPALPVLRELILSQDEEILTDSCWALSYLSDGSNDNIQAVIETGVCDRLVELLSHPSPVVLIPALRTVGNIVTGDDAQTQCIVGHPQALPCLFNLLTTTQKKSVKREACWTISNITAGTKEQIQAVIDSNIIAPLLHLLQHAEFDVKKEAVWAISNATSGGTFNQIEYLVSQGCIKPLCDLLVHQDSKTVLTCLEALDNILRVGEAKKNLGACNMNIFVPMVDEADGLDKIEDLQNHDNVEIYNKAVYVLESYWVQEDDQQPFLIPSVSESESDNEMFQFGSFGKVSVKCPIWMEMHRSCLFRGLSRIGESSYPSHGLVLLTCYEAAVRRLVHMDYGSPHKGVGTGNWSVGEGHTLACVVGLAITFTFLSVAIDLYVDWVGTDSTESTGLIMPVPMPRGPRLEVRNKNVVRYKSEV >ONIVA04G04160.1 pep chromosome:AWHD00000000:4:6450719:6452538:-1 gene:ONIVA04G04160 transcript:ONIVA04G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDRKVVGIGVAEEGRRSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQDLGLDLNQPQKQEHGEVIPEVKDSNSNSNSGSGNSSSNLQVVPKRRLLMGVEEAALLLMTLSSPSASTLLHG >ONIVA04G04150.1 pep chromosome:AWHD00000000:4:6439795:6440777:1 gene:ONIVA04G04150 transcript:ONIVA04G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFCPCSPAKRGSRSCELPAMGSARRGRRRRVAVAAFPLLILLVFLLSASLGACSSASKRSGDGDGAVAEEAYYSSWELEVAGRRRCGSGGGILLQLGICGGGVTKAGQARVIAADMPEPVRWLPPKMVLPGCVAIAVRTRFSCYLAGAKAVDNTAAKSPRRRALLRRSIRQASMGWCM >ONIVA04G04140.1 pep chromosome:AWHD00000000:4:6432663:6436671:1 gene:ONIVA04G04140 transcript:ONIVA04G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDYLVVMQGLNDDWKSGIQAVASDAMKKVVNSIGQHGYAMIMVKDFFHFIALFGGPWTYEVHKNISKHRKGYKLPSMLRNYLITFMRDETPPTLQFEAKNILIIAYIDKEKALKDTEDYVQGKLLPFEINKCKSYGDSPRGYVVDMGIFMYES >ONIVA04G04140.2 pep chromosome:AWHD00000000:4:6434823:6436671:1 gene:ONIVA04G04140 transcript:ONIVA04G04140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAMIMVKDFFHFIALFGGPWTYEVHKNISKHRKGYKLPSMLRNYLITFMRDETPPTLQFEAKNILIIAYIDKEKALKDTEDYVQGKLLPFEINKCKSYGDSPRGYVVDMGIFMYES >ONIVA04G04140.3 pep chromosome:AWHD00000000:4:6432663:6433149:1 gene:ONIVA04G04140 transcript:ONIVA04G04140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDYLVVMQGLNDDWKSGIQAVASDAMKKVVNSIGQHGYVFYRGAVVGFQLRLDWPDGGRPNLGRPRARRTGTDCVA >ONIVA04G04130.1 pep chromosome:AWHD00000000:4:6424052:6424324:-1 gene:ONIVA04G04130 transcript:ONIVA04G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFLSPGAKSTPLPAAKLPKFTAAAPNPLHLAPNPPPLAAQTASSPLPCTRRRLLFSSPSPPAAATLLPLRHHPPLLHPPFLLLHSSAS >ONIVA04G04120.1 pep chromosome:AWHD00000000:4:6423548:6424140:1 gene:ONIVA04G04120 transcript:ONIVA04G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPLHHSIIHPTASFHPLPLHHPKKKAAKRGEAADGLTARWLAAAWGRGGRCLGRGWPATCGDDSAGLGRTTAAPGGLTVLLTPGGGRQRRLREDDGGVLGRVGWRLDNGLDAGGGVGLEGVEKRPVAARIWLAVEEAVI >ONIVA04G04100.1 pep chromosome:AWHD00000000:4:6402914:6404087:-1 gene:ONIVA04G04100 transcript:ONIVA04G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGIVKLRPLYAARRKVPGAALRPLVWVLPGFTTNGSTSGPIDRKRPHGRRKVPIGGILVANSRVNERRGREGRRCSSGWGTATSVAERVPEQRAPGEGGGWERGRVSWPEQRKHELTFGRLSLEGERRGKWTKWIRRSEERLVVQGFEKWSCRGGDRPGLSGGRSWWREKRELRRRKDVGGGRGRYGTASAAAQITAHDNGP >ONIVA04G04090.1 pep chromosome:AWHD00000000:4:6390337:6393963:1 gene:ONIVA04G04090 transcript:ONIVA04G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYC1] MALLVAQILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKASEKYCLRMPNKWNFSFDFFYASILSLAVYVPGSPHMFTYMLAQRKKALAKAKAA >ONIVA04G04090.2 pep chromosome:AWHD00000000:4:6390337:6393963:1 gene:ONIVA04G04090 transcript:ONIVA04G04090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYC1] MALLVAQILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKASEKYCLRMPNKWNFSFDFFYASILSLAVYVPGSPHMFTYMLAQRKKALAKAKAA >ONIVA04G04090.3 pep chromosome:AWHD00000000:4:6390337:6393956:1 gene:ONIVA04G04090 transcript:ONIVA04G04090.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYC1] MALLVAQILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKASEKYCLRMPNKWNFSFDFFYASILSLAVYVPGSPHMFTYMLAQRKKALAKAKAA >ONIVA04G04090.4 pep chromosome:AWHD00000000:4:6390337:6393601:1 gene:ONIVA04G04090 transcript:ONIVA04G04090.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYC1] MALLVAQILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKASEKYCLRMPNKWNFSFDFFYASILSLAVYVPAGIKIGSDKNTALQDRLTCSPTCLPNGRRHWQRLRLHNGDAQELS >ONIVA04G04090.5 pep chromosome:AWHD00000000:4:6390337:6393956:1 gene:ONIVA04G04090 transcript:ONIVA04G04090.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYC1] MALLVAQILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKASEKYCLRMPNKWNFSFDFFYASILSLAVYVPGSPHMFTYMLAQRKKALAKAKAA >ONIVA04G04090.6 pep chromosome:AWHD00000000:4:6390337:6391507:1 gene:ONIVA04G04090 transcript:ONIVA04G04090.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYC1] MILAGEPAQSVIRVAAAAITATASHSSPHPSTPIHCEFSCVSSSLVGQPPTHRNSQIRASSLLLIASSNLMLMRAVINSYSRFSPRAH >ONIVA04G04090.7 pep chromosome:AWHD00000000:4:6390337:6391843:1 gene:ONIVA04G04090 transcript:ONIVA04G04090.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYC1] MILAGEPAQSVIRVAAAAITATASHSSPHPSTPIHCEFSCVSSSLVGQPPTHRNSQIRASSLLLIASSNLMLMRAVINSYSRFSPRAH >ONIVA04G04080.1 pep chromosome:AWHD00000000:4:6371966:6383675:-1 gene:ONIVA04G04080 transcript:ONIVA04G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 1 [Source:Projected from Arabidopsis thaliana (AT5G55760) TAIR;Acc:AT5G55760] MSLGYAEKLSYREDVGNVGMPEIFDSPELLHKKIEELAVMVRERSGKGVPGASLPFHRAVPTLTHMALVELEKTGRLKFVISQNVDSLHLRSGLPREKLAELHGNSFKEICPSCKKEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWEDALPPEEMDAAKEQCQTADLVLCLGTSLQITPACNMPLLSLKNGGRVAIVNLQATPKDKKASLVIHGLVDKVSFPERPDMKPVVLKEQPFSLQRETSMNRPFVMLLTFNFSDGCGCSSSSIEWPVDFLKQKDSFVRDRSLVLQELQHAAEHRSRAGQHAILEREGVPRAETSIHALVTNIVRYDTEDSKAAVPMATWMNSNGSLSKRHMDAIGCNPASSKKQKLVATRHRRKGLNPATQKV >ONIVA04G04080.2 pep chromosome:AWHD00000000:4:6371966:6383675:-1 gene:ONIVA04G04080 transcript:ONIVA04G04080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 1 [Source:Projected from Arabidopsis thaliana (AT5G55760) TAIR;Acc:AT5G55760] MSLGYAEKLSYREDVGNVGMPEIFDSPELLHKKIEELAVMVRENVDSLHLRSGLPREKLAELHGNSFKEICPSCKKEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWEDALPPEEMDAAKEQCQTADLVLCLGTSLQITPACNMPLLSLKNGGRVAIVNLQATPKDKKASLVIHGLVDKVSFPERPDMKPVVLKEQPFSLQRETSMNRPFVMLLTFNFSDGCGCSSSSIEWPVDFLKQKDSFVRDRSLVLQELQHAAEHRSRAGQHAILEREGVPRAETSIHALVTNIVRYDTEDSKAAVPMATWMNSNGSLSKRHMDAIGCNPASSKKQKLVATRHRRKGLNPATQKV >ONIVA04G04070.1 pep chromosome:AWHD00000000:4:6364980:6366536:-1 gene:ONIVA04G04070 transcript:ONIVA04G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G12890) TAIR;Acc:AT5G12890] MADTDHRRRGGATRAHVVLFPFMAQGHVAPFRCIAALVRRCRPDARLTVVATPGMAEAFRAHLVADGVGDGRLAGVHELPFLPAEHGLPAGADTSASIGFQQLITLFLASESLRPAFRRFVDDLRAANPGDDIHVMADMFLGWAVDVARDAGASSSIVLTCGGYCSALYFSLWDSVPLPATASPDDDFPLPRFPDVRVQRSQLTNHLAAADGKDAWSTFIQRQIAAFSRADALLVNTAENLEPKGLSMLRQWLNVPTYPVGPLLRAPAPSPEAKKTSPILEWLDEQPPGSVLYISFGSLYRITAPQMMELARGLEQSSHRFVWVIRPPAGNDANGEFSPEWLPEGFRERAEAEGRGLVVRCWAPQVEILAHAATGAFLTHCGWNSVQEALGHGVPLLGWPLSAEQFYNSKLLAEEMVCVEVARGSAAVDAAKVAAAVEAVLGETSMERAAMKRRAAEMKEAIDAARDGDKSSVTVMRRFLDEVLPPRGAQFMASWALQSACFRDGAMSHQLRSSHPMM >ONIVA04G04060.1 pep chromosome:AWHD00000000:4:6338225:6341323:-1 gene:ONIVA04G04060 transcript:ONIVA04G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAECKYEVAQVAYVKLALHALKHPAAAVNGLLVGRLLDGAASPAAVVSVADAVPLSHHPHHLPLLPTLELALTLVEDHFAAQGLAVVGYYHANTRRDDADLPPVAKRVGDHVFRNFPRAAVLLLDNKKLEEAVKGKSREPVVQLYTRDSSKSWRQAGSDGSSQLTLKEPSTNMVLADHVTTKKWQQVVDFDDHLDDISKDWLNPGLLA >ONIVA04G04050.1 pep chromosome:AWHD00000000:4:6320642:6334587:1 gene:ONIVA04G04050 transcript:ONIVA04G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAHAPPPAAQELGDASASASVVDDWARDEDTEPMAVDPHHAAAAAATASEDGEGVADAAPPAAPAEDVTEIQSSLQSLELKTNAAAHEDAQEVVEEEKETKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERLKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVAKLIVVINKMDEPTVKWSKERYDEIESKMVPFLRSSGYNVKKDVHFLPISGLLGSNMKTRMDKSICSWWDGPCLFEVLDRIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTIREGDSLLVMPNKTNVKVIGISLDEHKVRRAGPAENVRVKLSGIEDEDIMAGFVLSSIGNPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVDLIEEIDMKKKKEADPKKKKPKRKPLFVKNGAVVVCRIQVNNLICIENFSDFPQLGRFTLRTEGKTVAVGKVVAIPPAGSPTFSA >ONIVA04G04050.2 pep chromosome:AWHD00000000:4:6320642:6336438:1 gene:ONIVA04G04050 transcript:ONIVA04G04050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAHAPPPAAQELGDASASASVVDDWARDEDTEPMAVDPHHAAAAAATASEDGEGVADAAPPAAPAEDVTEIQSSLQSLELKTNAAAHEDAQEVVEEEKETKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERLKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVAKLIVVINKMDEPTVKWSKERYDEIESKMVPFLRSSGYNVKKDVHFLPISGLLGSNMKTRMDKSICSWWDGPCLFEVLDRIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTIREGDSLLVMPNKTNVKVIGISLDEHKVRRAGPAENVRVKLSGIEDEDIMAGFVLSSIGNPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVDLIEEIDMKKKKEADPKKKKPKRKPLFVKNGAVVVCRIQVNNLICIENFSDFPQLGRFTLRTEGKTVAVGKVVAIPPAGSPTFSA >ONIVA04G04040.1 pep chromosome:AWHD00000000:4:6316087:6316822:1 gene:ONIVA04G04040 transcript:ONIVA04G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVPLGPHVSSARQQHQGTAVAIATHLSKMTDNENTHLKKRLIQMGFDPMTHRPRTDFFTALPQLIALATLRDHLAGTTCGGGGADHAGRLWWWRRHCHLGRQDAVPTSAASTIASVGSGGGGAMSAHDADAALAVATCSSSSAGSSVPITATAIDHSSGQTQAPCAFSEAPVITSDDVEANLRLLGCGVGADAFACHGGSLPLLADLFDVTTTTNLLDWCSATGSSRRCPFLWLERGE >ONIVA04G04030.1 pep chromosome:AWHD00000000:4:6313841:6316380:-1 gene:ONIVA04G04030 transcript:ONIVA04G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPQAPCMICAAAAACRPGEVVPKGGERDELRQRGEEVGAWPVRHGVESHLYETLLEVRVLIVRHFRKVLEEMHPYLLDPGLQTIRLEQHSKQSNTITLLFLFPYPTIQKKIGNRIFLKSWKTLNTNVALPTVVRSKELIRPYKNYKIVGYVIGLELRGLQLCLIDKWGKMMTSR >ONIVA04G04020.1 pep chromosome:AWHD00000000:4:6303903:6309328:-1 gene:ONIVA04G04020 transcript:ONIVA04G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQSLGLELVVEEVRTAGAAAVALAAEAAEDSEEGRVVVANCGHSRGGGWQGRREQQRMARPWRSGTGAARSGAASPSRRGPTLLLDVTCPFVVRDSAGANIAHHVARRYALASTTFANFRLAGLIAIQPFFSGEERTPAELRLVGALIISVPRTDWLWYAFLPPGAAPCRIAMALPSSAAPAVSAAAAAPTVLVAFNNGANIGDLMMKWQMGKRADLGAGQRWSARRCRWRRKGPRSAPATAVMKK >ONIVA04G04010.1 pep chromosome:AWHD00000000:4:6299449:6308996:1 gene:ONIVA04G04010 transcript:ONIVA04G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLMLNHEGFSKRTGSYQTAPGSQVVEMDQWVLADKRMHINNKWWRQIRRLVKSSLLQLCHWTAIWGTMTLRLRGFSCGTPPKLTFGGKDSINVRGMGWCRSPRLEFVAAEEMARTAGAATAALAAEDGEEESRWRTTRTVGAAAAALTAGAADDGKAMAMRHGAAPGGRNAYQSQSVRGTEMMSAPTRRSSAGVRSSPLKNGWMAMRPARRKLAKVVEARA >ONIVA04G04010.2 pep chromosome:AWHD00000000:4:6299449:6308996:1 gene:ONIVA04G04010 transcript:ONIVA04G04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHINNKWWRQIRRLVKSSLLQLCHWTAIWGTMTLRLRGFSCGTPPKLTFGGKDSINVRGMGWCRSPRLEFVAAEEMARTAGAATAALAAEDGEEESRWRTTRTVGAAAAALTAGAADDGKAMAMRHGAAPGGRNAYQSQSVRGTEMMSAPTRRSSAGVRSSPLKNGWMAMRPARRKLAKVVEARA >ONIVA04G04000.1 pep chromosome:AWHD00000000:4:6294072:6298761:1 gene:ONIVA04G04000 transcript:ONIVA04G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSFLGKADVVLRGFSGYNTRWALRVLARAMEGAAAVGAADPVVVTVFFGANDTSLPDWKQVHQHVPLDEYQNNLRAICAYFKGHVWRR >ONIVA04G03990.1 pep chromosome:AWHD00000000:4:6280173:6287907:1 gene:ONIVA04G03990 transcript:ONIVA04G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GYA5] MDHSTSLLRLIFLALGAALVVLVVRSAFRLPGDIDTTTTSLFDDGNGGSCTRFSPWACRQGRGDPRSKPSKPRRPSHESDVPRHPLDPLTVREVNRVRELLRAHPLFASAPSSLFVHSLELDEPEKSVVKSWRKGADPLPPRRAVAVVRFRGESHVLGVDLSEGDGAVTPLPVPASGYPMMNMDEQTSLCFAPFKDAAFNASLLRRGVRASDVACLPISLGWYGPAEENRRLIKSQCFSTEGTANFYMRPIEGLTVLVDMDTAEVLHVSDRGAGIPIPAAANTDYRHGHSAPTPAEAQAQGRHGYQTVRVPSMEPPAGGPEFELVDGHTVRWGGWEFHLKPDARAGMVVSRARVQDPATGEHRDVMYKGMASELFVPYMDPTEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARYLDAVFVAADGRPYVRENMICVFERYAGDIAWRHSESPITGMDVSANPYDSSASRFLDFFPCCLAPTMRVMLLHFPVLVTDE >ONIVA04G03980.1 pep chromosome:AWHD00000000:4:6248686:6250839:1 gene:ONIVA04G03980 transcript:ONIVA04G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSAQETGRWSLAGATALVTGGSKGIGRAIVEELASFGATVHTCARNEATQNSCLEEWSAKKLSITSSVCDVSARADREALAGRVAAIFDGKLDILVNNVGFLFLKPAVNVTPEELSRVMAGNLESCFHLSQLMHPLLKTSGKGNVVNISGISTVTGFPSLPICAFCAAKGN >ONIVA04G03970.1 pep chromosome:AWHD00000000:4:6210579:6242252:1 gene:ONIVA04G03970 transcript:ONIVA04G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSFLGKADVVLRGFSGYNTRWALRVLARAMEGAAAVGAADPVVVTVFFGANDTYRSLGTI >ONIVA04G03960.1 pep chromosome:AWHD00000000:4:6207072:6209118:1 gene:ONIVA04G03960 transcript:ONIVA04G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLCSPAYAAHFALRCCHNVDNVAIDASELTTIVYRGAVSDDPSYTLSMHGSLAISSSTVDFCSEELFTSEEEFDSSTHLHLKFDRLGSCIDSDLFPAAGFRTFTNLRRLELTGHVPDCGVAIAMRRILEMTPNLESLTLFLKPEKCNSTNCDSESESDGSSDSGYISHSDDDDDDTDGDDYGSNIGYNSHSEEDGDDSEEDSSTGIASFSAIGSLRRRVKEINLVHYEGDDGQATVARLLLRNALVLQCVCVVLTRGRIGIQMRKKRKIKRWMMSRSAKAVFL >ONIVA04G03950.1 pep chromosome:AWHD00000000:4:6168716:6170173:-1 gene:ONIVA04G03950 transcript:ONIVA04G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTGRHRHDRLSALPDGILVRFLSHLGSVDAASTAALSHRWRHIHAAVPVVDLVDPESDQISSAIVAKNSAAPIRTLRLVDLWPPHDALHQAVATATAAGLQEFDVKLRHGDCSNRKLCPFRRHPDASADFDDSMRGSFTATPPHIFRCDTLRRLRLTNFQLDVPEGGVSMPSLEILSLKRIMATTDEAVQQLVSGCPNLADLTLEQCPSVADLVVASPRLESFAMICCHNAAHVVLHTQRLRTLRYKGGLPAGENFLMIADCTNVLAMTIDICESLVGKSAPAVVPITKLITRCASLTFLHLHLRPAMAYHSGAFTRVLRHHPHLRQLALKGLLKDDQTIRSVSTLLRNTPELDVLSLFPLRPQPAKPYYLGVDSDDDYDSEEEEEEDGGASDDNQGVRVPLSLWESNIECLHKLRKIKLHNYKGKPNERLLAKYLLSKATSLEQFFVTLPAKTTADRQLKLTNELKYWRANKRAIVSCTLL >ONIVA04G03940.1 pep chromosome:AWHD00000000:4:6161948:6168130:1 gene:ONIVA04G03940 transcript:ONIVA04G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATQAPTSPAAASGRGSVLPLLRGRPSTRVRLAVGRRPQTALRAQPPGAAEVVEQLVNTIRFLAVDSVEKAKSGHPGLPMGCAPLGHVLFDEFLRFNPKNPYWFDRDRFILSAGHGCMLQYALLHLAGYDSVTMDDLKAFRQWGSITPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKPDLKIVDHHTYVILGDGCQMEGVSNEASSLAGHWGLGKLIAFYDDNHISIDGSTGISFTEDALARYEALGWHTIWVKNGNTGYDDIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANTYSVHGSALGTKEVEATKNNLSWHHEPFHVPDEVKRHWSHHIDEGASLEAEWNKKIVEYEKKYRQEAAELKSIISGELPSGWDNSLPKYTPENPADATRNLSQQCLNALAKAIPGFLGGSADLATSNMTLLKMFGDFQKDTPEERNIRFGVREHAMGAISNGIALHSPGLIPYCATFFVFTDYMRAAIRLSALCGSRVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILMLRPADGNETSGAYKIAVLNRQRPSVLALSRQKLQQLKGTSVEGVAKGGYIISDNSSGNKPDIILIGTGSELEIVEKAADELRKDGKTVRVVSLVCWELFEEQSEKYKESVFPSEVTSRISVEAGVTFGWEKYIGEKGKAIGVDRFGSSAPAGKIYKELGLTVENVIATAKSL >ONIVA04G03930.1 pep chromosome:AWHD00000000:4:6157246:6157554:-1 gene:ONIVA04G03930 transcript:ONIVA04G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIAEETGGTLSFIENQAVVQDAFSCIGGLLSVTVQEARLAITCPHHGVRVRSVNSGRYDSVIDGDGRAASVDVGELYADEERRFLVFVDVPAAGTVEDAT >ONIVA04G03920.1 pep chromosome:AWHD00000000:4:6144379:6155763:-1 gene:ONIVA04G03920 transcript:ONIVA04G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLSSYQFKPTDRTASSPVQESRESRAPPCRCGGPHHQRPRIETRTDSFLPHTLLSLPVRHPPPPTAERPPRAARPNPPMSTPAGVAPRRSSRLASSAPPLGAGQPSSSPLPRRRRRRASPSGCAREVDNSPPPVVILDDNEMEQHVEAEEMKKQGEESVEANEAEEKDKDAEVLEELPDWLPDGWIMEVRCGDNGNIYRYYTSPVSGYTFSTKMEALHYLFSEMDERVLESQACADDNELHRMHTWLPDGWAIEVRAGGKKMEKMYKFYVHLPTGMRFLSKENVLLYSNEGKISRCDVKGLCDTSSEDNILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGQITKHAYIPRRSVTDMYSFDRCTDLPQSMLKRLKIQGKAKKKSVGASVKGKKLSNGLASNNCMSSGLDPEIGPEERKLGTVKSITKEAVNSDTIKRSRGRPPKILMPTIESTKPEIALVTSEAIKRSRAEGVNSCLIHLSEPNEKMVKSSSAVEPASSNNAKRHGGSPQKKFKHITDITLDCAKSSNKESEHIVTAKKLGIGGGEQGANENTLEHTNMKEHLGVIQDYTSNRKKDKLNLITDPDLHEHKNGKFTEKLACTAVHKFYMRRSSNHTVALKKG >ONIVA04G03920.2 pep chromosome:AWHD00000000:4:6144379:6155763:-1 gene:ONIVA04G03920 transcript:ONIVA04G03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLSSYQFKPTDRTASSPVQESRESRAPPCRCGGPHHQRPRIETRTDSFLPHTLLSLPVRHPPPPTAERPPRAARPNPPMSTPAGVAPRRSSRLASSAPPLGAGQPSSSPLPRRRRRRASPSGCAREVDNSPPPVVILDDNEMEQHVEAEEMKKQGEESVEANEAEEKDKDAEVLEELPDWLPDGWIMEVRCGDNGNIYRYYTSPVSGYTFSTKMEALHYLFSEMDERVLESQACADDNELHRMHTWLPDGWAIEVRAGGKKMEKMYKFYVHLPTGMRFLSKENVLLYSNEGKISRCDVKGLCDTSSEDNILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGQITKHAYIPRRSPQSMLKRLKIQGKAKKKSVGASVKGKKLSNGLASNNCMSSGLDPEIGPEERKLGTVKSITKEAVNSDTIKRSRGRPPKILMPTIESTKPEIALVTSEAIKRSRAEGVNSCLIHLSEPNEKMVKSSSAVEPASSNNAKRHGGSPQKKFKHITDITLDCAKSSNKESEHIVTAKKLGIGGGEQGANENTLEHTNMKEHLGVIQDYTSNRKKDKLNLITDPDLHEHKNGKFTEKLACTAVHKFYMRRSSNHTVALKKG >ONIVA04G03920.3 pep chromosome:AWHD00000000:4:6144379:6155763:-1 gene:ONIVA04G03920 transcript:ONIVA04G03920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLSSYQFKPTDRTASSPVQESRESRAPPCRCGGPHHQRPRIETRTDSFLPHTLLSLPVRHPPPPTAERPPRAARPNPPMSTPAGVAPRRSSRLASSAPPLGAGQPSSSPLPRRRRRRASPSGCAREVDNSPPPVVILDDNEMEQHVEAEEMKKQGEESVEANEAEEKDKDAEVLEELPDWLPDGWIMEVRCGDNGNIYRYYTSPVSGYTFSTKMEALHYLFSEMDERVLESQACADDNELHRMHTWLPDGWAIEVRAGGKKMEKMYKFYVHLPTGMRFLSKENVLLYSNEGKISRCDVKGLCDTSSEDNILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGQITKHAYIPRRSVTDMYSFDRCTDLPQSVNSCLIHLSEPNEKMVKSSSAVEPASSNNAKRHGGSPQKKFKHITDITLDCAKSSNKESEHIVTAKKLGIGGGEQGANENTLEHTNMKEHLGVIQDYTSNRKKDKLNLITDPDLHEHKNGKFTEKLACTAVHKFYMRRSSNHTVALKKG >ONIVA04G03910.1 pep chromosome:AWHD00000000:4:6133076:6136081:-1 gene:ONIVA04G03910 transcript:ONIVA04G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRAGVCRLFIGGGRRFMKVGSPCLNSSALAFDGTLAGPSPAPSAAARGAQPTSPARLPFHLLPWKNLRYLPLAGLRLPLLEKNTNAIYSRGEVEKQKRFLDESRIRDR >ONIVA04G03900.1 pep chromosome:AWHD00000000:4:6129967:6132153:1 gene:ONIVA04G03900 transcript:ONIVA04G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSSFAAAAAGGAPWRGVVGAGRAAEETTTSSTPTPTPSPAAAVPKAKSAASTGLWDVLAFSGPAPEPINGRLAMVGFVSALAVEASRGGELLEEASSGGGLAWFAATAAVCPSRPSRRRLRVPRRRCLCPTDSAPRGRLLVVAAAAASLNAHSFKDERVAQQQPGQQLLRQLVAVVAHQVNRRHATSEALDRREHGLVLGGEQIVAVALTALLVHSGSFGTGTRNSVRHSNFGVCSRILLTALAAPSLGRHPVRSSRVSPANVRDEVKCLLSMDAPMRADSTWRCVVPANRSRNLPSLPISSAERFLLQSWTVQDEILCTPPWRDRSDSGGTAPLYARVVSSVESMATLLTFWQHRRAKLRRRLSRMQSVLRLGAPSIFRSVRRG >ONIVA04G03890.1 pep chromosome:AWHD00000000:4:6129915:6132899:-1 gene:ONIVA04G03890 transcript:ONIVA04G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEESSSSPAMHTDDGRDRLSDLPDELLGHILSFLPNVEAGRTAVLSRRWRDVFGCVDTISLEEYEGQRSNDWETFFYEAEERKSCSGHLLDGLSAALLSRRRCNGRNLPLRRFGFAFDSITGWDVVFVDMCLHHVLRHASKELHLDLRFFICPICERGGCRKRKAKVKSRRKTPEKSDDDEEGHLYSTRCGYILPRKLYSCVALKTLCVSYAWLNVPESINLPLLETMRLTGPGNSGRDIQRLISGYPRLTDLKIEGAPNLRTLCILDKRLRSFALRCCQNVKRVAIDSTELTTLAYSGAVPPESLLSLHGGVQRISSCTVQLCSKNLSAEEIGRLGRFLDLFAGTTHLHVESARMGASMESKHFTSSLTFAGLTRLDLTGCLPSDGAANAVRRILEQTPKLECLTLFLVPVPKEPDYGYYYGLQEEDVDEKRRERDGDDLFTTEDESMFSSIECLRRRVTTIYLVGYNGDELTQKLLARLLLGNALVLERVCVKLVKQKLAWQLKQKHDIEGWSSPPRDASTASADTNPTIASRPLIGSGAGPLKASTSHSPVLAADFALGTAAAGLGVGVGVDDVVVSSWALTTNATARQRGGKPTAARPAPTTPRHGAPPAAAAAKEELSAMVAAAIVANNSNYTADELRRAS >ONIVA04G03880.1 pep chromosome:AWHD00000000:4:6079150:6079889:1 gene:ONIVA04G03880 transcript:ONIVA04G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPVLVIASSVVVEKVLCEAMGRESHHALEHELPEVGNMQEGAREGATMVVWYANAGQRWRWRKVRLAHRWHCLSPERLAATAGGGSGTAHAPGRPEPDGRVPGLFSGIASPSSHCPGSGRGRGL >ONIVA04G03870.1 pep chromosome:AWHD00000000:4:6071999:6073504:1 gene:ONIVA04G03870 transcript:ONIVA04G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMQRRDRLSDLPDATLARVLYHLGSVNATVTSALSRRWRNVHAAVPVVDLVDPKKGERWGNVSRQKTCFDHQVTAAILGKDLPTRKFRLDAFYPPYDLRDQWFAIVSVSGLEEFDVKLRYWDHSRRNLCPFGTHPKASADFDEEMRHSFTATPPHIFRCDTLRRLLLTNWTLDVPAGGVSMPSLETLFLKRIMAEDGAVQRLISGCPNIADLTLEQCPSVKRLVVASPRLDSFAMICCHHASHVVLQAERLRTLRYKGGLPGENFFSIANCGDVLALTIDICESLLGKSASAVVPITKVITRCTNVTFLHLHLRPTMAFHSGAFTRALRHLPHLRQLALKGLLDNDETALSVSTLLRNTPNLDVLSLIPLRPRPPKPDYLYMFDGSDDDSSQNGYEENKKDKSALDGEDTYVHVPKILWETRVECLHRLRKIKLLNYKGTPNERMLAKYLLSKASALEQCSITLPANKTSTEDRRRKLTKELSYWRANKRTRISYKSHV >ONIVA04G03860.1 pep chromosome:AWHD00000000:4:6041292:6042125:1 gene:ONIVA04G03860 transcript:ONIVA04G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMILFFCDGDECDCDEIYQFEVFVMVTTVTAMSKPPWQYITDGSQTNGLFEIIVTCDGFYTWPVTGAGHH >ONIVA04G03850.1 pep chromosome:AWHD00000000:4:6035672:6036220:-1 gene:ONIVA04G03850 transcript:ONIVA04G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDGTLAVDDGGRWRLRQRRCFGPCCRTSGNNLTSPIFTHRAPCGWLQRIGWSPPVMEVVCYFSFTRHIYDMNENVFVFFSCLLDDGCLLLHNIEPISIPCRYTLP >ONIVA04G03830.1 pep chromosome:AWHD00000000:4:5945962:5950013:-1 gene:ONIVA04G03830 transcript:ONIVA04G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAFLIQGLAAHLSAKRSALERLDRYRLRLRKAKEDLHHKEDKRRVVADALEKANAENKSLIGENKSLRTDLEVANKRAAKRECQLTAAEEKIKSLGPPNGNANLLRLRKLLPKLWRQRRSPPSKPATLFGWLSMSSGHGALGDDGMAFDFSEWMQDVAGSVVEVAGAYGDFCARSGGKDGVKTRLREHLERIAEAEAAAAADAGEDPKSSAAAAGREGEGNEAQDHPKV >ONIVA04G03820.1 pep chromosome:AWHD00000000:4:5854237:5858925:1 gene:ONIVA04G03820 transcript:ONIVA04G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLGNGGSTAAPREEETTAAARGGGDGGDGSARGGGDGGGGSVRGRSGGAAALREEEATAVTRWGDSGGSVLRRWLAAAPHEDGSEVAWHGLEMTVTSGPRGDDEFLTSMSVGFDVHVTTYTDRGLGVVLDP >ONIVA04G03810.1 pep chromosome:AWHD00000000:4:5778158:5778477:-1 gene:ONIVA04G03810 transcript:ONIVA04G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYWLEIQLVTANYPAPCNKSISLWY >ONIVA04G03800.1 pep chromosome:AWHD00000000:4:5775608:5776031:1 gene:ONIVA04G03800 transcript:ONIVA04G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDRRGRGCSGGEIAMEQRMGGEIAVECTAAAADGEYLGSVGRWIAAAAAADGDDVGSCCGQSRQMGKTTAPAVVGEDLASVQCAAEAVECTAAAAAAATEWDDDARRSEEEERVAC >ONIVA04G03790.1 pep chromosome:AWHD00000000:4:5769379:5770455:1 gene:ONIVA04G03790 transcript:ONIVA04G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFLYMVDIFDKAGYGPQPYRLRRINSSHLFFPKDALPVPQSSSVATVVEDLPLPPTEITFCGSTEFMRRSDDKIVGVDRTTRRAILYDPAEHSVRVLPSMLAPKFNTKALAIGDDLYLMDMTPWPDKGDDRQGRRSGHSFEALIHRDRRPLNGGRLEDECYWRPLPPPPCVHAAGYRGSSGEIRGYAVVGDAHILVSTQSYGTYSFATANTAWSKAGDWALPFCGRAEYVPEHGLWFGLSAANDDVFGAWDLSSTVQQQPVVAHRGCKGFAVLETPYASYVVHLGDGKLCIAKLFMVARRETCSESWCDFDRDRRFCTMLTGVEVVRCNGDKLHIIKHRSCRYSFGEHYIPTYVL >ONIVA04G03780.1 pep chromosome:AWHD00000000:4:5759967:5760674:1 gene:ONIVA04G03780 transcript:ONIVA04G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTPPPLAASRQEQPAGYCPPPPRPTTAFDDTLYATNKKVLTMHIDSYMEATKLAANGMNIKSPNLDAGSHSWHILVYPNGRLPGTTDSMSLFLQLADAPDDGGYVKFEYQFMLEIHSGDSHGLEFMSGGVVAAANKRWNAHGFERFVSREDLGKRGFVKADRFQIRCDVIVLEKKPSMLPVVETPPPSGQPSPATETAPPGLSGSRQAPVERAPRTATSRSSPSPSWRMEIP >ONIVA04G03770.1 pep chromosome:AWHD00000000:4:5751327:5752839:-1 gene:ONIVA04G03770 transcript:ONIVA04G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVPPGGAPGHGGARGQGFAPCRDWGVDELSRYSMAVVNRQQATDDGGGVEEEIRKEIMLGSLLEGARLGK >ONIVA04G03760.1 pep chromosome:AWHD00000000:4:5746591:5747412:-1 gene:ONIVA04G03760 transcript:ONIVA04G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGGGGGGEAMYSSRRSDGFGGLVVAERRHRWASTAAERRRQRVRAVAERRQLRAAAISWSRGTGGSAGERRLRAAAISERRAPPLPAAGPPPTPAAGAHRRGARPRLHLRAHLMSRVVERDTSSAWFLGSLFRVDEARRYIVDKVLPHPWYRAICVAGADRPVGSISVNPADDLREPDESETGGLRSRCCRASVGYRVAHVHWGRGVVTRAVRATAEVVSAEWPWLERLEAVADVENPAGRRAAAKRYFVSHYRLNGWKSRTLEDWSNGR >ONIVA04G03750.1 pep chromosome:AWHD00000000:4:5741527:5742690:1 gene:ONIVA04G03750 transcript:ONIVA04G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSSPPLSSSSLFFSPFAPGMVEAELTTEVWNWESAAVEAESVEAESTAEMESAAAEAESVEAESAAEAESATAEAESMNAESTAEAESAAAEAESVEAESAAEAESATAEAESMNAESTAEAESAAAEVESPCLYSPQQAPLCRLRLAWEPRSPSPPASSASANGPSSRHLRLLEPLPCIGTLVPFFHRKLEPPNSGASSAARRPSERDLAVPISFHPCPNPAGPEVSYASAVVASPICSSVVAASTSLSPSPWTSHHRRLAPPPAAAGRAHLHPRRPSPAPPRRPTAAPSSSPAGRRPRPAATPSPAPSCSPSPSPWKGMREEERGGEEGADMWDPLGSHAESAATSDKTGVKTTEGSSLHWFYKLGDVLYPVLRFRDDFVIR >ONIVA04G03740.1 pep chromosome:AWHD00000000:4:5739960:5741186:-1 gene:ONIVA04G03740 transcript:ONIVA04G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPALTDDVLAEILVRVPSSCDLARASAACSSFCRIATSPRFLRRFRSLHAPLPLGVLCPDGAAAFHPAMPPHPSAPAARALALAADFAFSFLPPPARAWLLRDHRDGRFLLDRALAGGSTAFTDVAVCDPLFRRYVLLPPIPDDLAASVQNPYLQCGGDGGLQSRSSEIFLASCGSDAGGEEPLFAVIWMACCRGKLVAFFFSSESQQWRALSPPEHYALSTRRVMGVRLGQRNHAHGCFYWMITLTHRWLVLDTRRMEFLVVDISPVLSGRAMMFSNQIITLESMDGWTIVVVADVFRPDKRCVLYFYKFMYFSDRWQLQSKINLPEEWGYRFRGIIGASECYLFIKLDHPKQNLGDPVQQNAMYFMFDIKTMQLGRFSEICSGTVSEAYLYTGFPPSQSLPSV >ONIVA04G03720.1 pep chromosome:AWHD00000000:4:5689287:5691258:1 gene:ONIVA04G03720 transcript:ONIVA04G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHDVKNVFSMKGGQGESSYLKNSKAQLRDLQMMLYALEETLDKITIPPRGPGKLLLTAADLGCSCGRSSLVVADAIVHNMTNKLCRGKHVDAAAADPEFCFYFSDLPRNDFNTLFNLLPPHAASSGDGSGRRYFAAAVPGSFHDRLFPERSIDVFTSTFSLHWLSQVPDEVTDTRSPAYNKGKVFVQGSSEETGAAFRRQFQSDMARFLRCRAAELKPGGAMFLVFVGRPSSASPTDLGRSFNLLGAMFEESWCDLVDEGLIDGGLNIPSYAATLEEFREAVDADGSFAVNRLEHVMGSRLAVDDDPHDRRAVGRRVANNQRSIFGPLVEAHVGRALTDELFARMERRAEELSNELVDEMGVHYIVCSLSLV >ONIVA04G03710.1 pep chromosome:AWHD00000000:4:5660388:5660686:-1 gene:ONIVA04G03710 transcript:ONIVA04G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHLGPDVKPLVVKPSKTQTQSRSAAAGTPRLDAYSGRSFTIPSTSIARRTVSSLALCDPSHSAATHGCRSNTSTPLNLHKSPIPLVVILSVDTG >ONIVA04G03700.1 pep chromosome:AWHD00000000:4:5596233:5596556:-1 gene:ONIVA04G03700 transcript:ONIVA04G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTSPSCKATICRTPGNHHHGTTSELRAASRRASSLWEEMVLPYNFPPLSPSYFLSSSPCQHHLAVMGVEVANVTKVVGGEGRKIKREEEDEEWLNGGSHAILDTT >ONIVA04G03690.1 pep chromosome:AWHD00000000:4:5591718:5594353:-1 gene:ONIVA04G03690 transcript:ONIVA04G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVDALCAPCSDTALIYDTFNASAAASFLFDNAAAFCDADILGATATGEKEATSSAAAAAADAAPPRKKRRRRAKSCKSREETETQRMTHIAVERNRRRQMNEYLAILRSLMPEPYVQRGDQASIVGGAIEFVKELEQQLQSLEAQKRTLLPHHKARCDDATPMHNASGSNVGAGGCMEPTTTTSNCSSSVTEDAPSADAPPFAQFFAYPQYVWCHSPRDSTTTTTAASASASASASSSSPATVAAALQSEHRSGLADIEVSLVETHASVRVMSPRRPGQLLKMIAGLQALRLTVLHLNVTTLDSLVLYTLSVKVEEGCSLTTVDDIAAAVHHVLCIVDAEAAASEHLLAAGQLATTATAVAKRELATYMY >ONIVA04G03680.1 pep chromosome:AWHD00000000:4:5571675:5572067:-1 gene:ONIVA04G03680 transcript:ONIVA04G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKEAASKYRGVRRRPWGKFAAEIRDPERGGSRVWLGTFDTAEEAARAYDRAAFAMKGAMAVLNFPGRTSSTGSSSSSSSSSTPPAPVTTSRHCADTTEKVELVYLDDKVLDELLAEDYSYRNNNNY >ONIVA04G03670.1 pep chromosome:AWHD00000000:4:5520173:5520988:-1 gene:ONIVA04G03670 transcript:ONIVA04G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRSAQSIRGQDEQKLYDELKSAVWVIHVESDESSGTGTGFCIDQRGLIMTCAHCVSGKTCFVARQNDKKFRKAYVLHKIESWDIAILCFVPNGSDAYPAVSLANDGTLVPGQDVYAISNQHSLMYSFCSGKVSYPCSDTVRTFDRTPRSFGKEPTDHIPSETSEYRTQKETSFTLPFNEDLPIIEMRNIHLGHGGSGGPIFLHIGKVVGMMSSGDFSKSYAVHVSALRIAFEEAKKLYSKLVNHLAASEKQSRDKNEGKNESNKSNEK >ONIVA04G03650.1 pep chromosome:AWHD00000000:4:5490476:5490748:-1 gene:ONIVA04G03650 transcript:ONIVA04G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQLLATATCLLALLLAAGWMVAAADARRLLDDVEYTGTAMPPAPAMAPVAEPGMDVHGGRMMLAEGRGLLAGGLRLAGRLLLGLGL >ONIVA04G03640.1 pep chromosome:AWHD00000000:4:5478253:5480718:-1 gene:ONIVA04G03640 transcript:ONIVA04G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNPEDLTANDLNAAVYRYLLESAFNFYHESHLDIHDIEIKKIPQGTLIRVVLKGLEHIEFQANADVADDENFHHFIALDLLTKDVGELKMQITGRANPDFVETAKEKKNDAVKTVEPHGSDYAEDAIPHRMQPNSPKCQVPILMRSAEHIRSSYYRRQRPPKCQIPPQCIGSSLCREGGGRGEGEGEEEEEEKGESENVSLSMGKELQIS >ONIVA04G03630.1 pep chromosome:AWHD00000000:4:5472368:5473090:-1 gene:ONIVA04G03630 transcript:ONIVA04G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDEASVNRGWLELRLGVNGGGGVETVPVTAADSSASSEAGEADTVTPSQQQQQQQQQGSPSSPAASSAPNKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRTPSSSPYHLHHHRMMMAGAGLPLEAHAAFMRAALRVNPAGSAIHKQQQQHQPPPPITQDATAPRFHDGAAVAATAAVTPWAPVAPLAYDEVLSSSASSWPGSFRFRTQPEPPPSSEQEPPSEQSKKIDLSLRL >ONIVA04G03620.1 pep chromosome:AWHD00000000:4:5466932:5469325:1 gene:ONIVA04G03620 transcript:ONIVA04G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKENAAAAQPRLTRAAAKRAAAVTAVAVAAKRKRVALSELPTLSNNNAVVLKPQPAPRGGKRTASHAAEPKKPAPAPAPAVVVVVDDDEEGEGDPQLCAPYASDINSYLRSMEVQPKRRPAADYIETVQVDVTANMRAILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSAKAINRQKLQLLGVSAMLIASKYEEISPPNVEDFCYITDNTYMKQEVVKMERDILNVLKFEMGNPTTKTFLRMFIRSSQEDDKYPSLPLEFMCSYLAELSLLEYGCVRLLPSVVAASVVFVARLTLDPDTNPWSKKLQEVTGYRASELKDCITCIHDLQLNRKGSSLMAIRDKYKQHRFKGVSTLLPPVEIPASYFEDLNE >ONIVA04G03610.1 pep chromosome:AWHD00000000:4:5402326:5407326:-1 gene:ONIVA04G03610 transcript:ONIVA04G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVHAQPWSSSCQPAAAAPSQRQCSTTPPWRRPWRQPRTRSPGTLRCRQAQQQLLLSTGVIQQDAVDDRLNKNPCNFHPSIWGDFFLYHSSTTAASYEQQEWIVQLERLKEEVGNMITSSVTSSLLERLHLIDALERLCVNHLFEEEINILLMQISSSNNVNDCDDVHTVAMWFYLLRKHGYKVSQDVFVKFKDEEGNFIAKNPMDLLALYNAAHYRVHGEKILDDAILFTKRCLHSMFPSLEGSLAREVKCALEIPLPRRVGIYEANYYISTYEKEGKVHDMIVQLAKLNFNLMQLQYQEELDIITRWWKDLQIQSKLPFARDRIVECYLWMLGVYYEPNCSRGRIILTKVISIATIFDDTFDSYGTIEECELFTKCLESWELVADELPDCMKHVLEKVFQSYQIIEQELSEDEKYRMPYLRSFTEDLVRNYNREVKMREESYVPKSVEEHLQISSRTGACHLLACASLVGMDVTATKESFDWVSTMPKMVLALCTILRLVDDLKTYEREQLTPHVASSIDSYMKQHDVSIEMARFKIEELKEEHWKDFNDEWLDPDSAQPRKLLEAIFNLTRTMEFIYNQADNFTYCHNLKDTISSLLVEAFPVN >ONIVA04G03600.1 pep chromosome:AWHD00000000:4:5321740:5322200:-1 gene:ONIVA04G03600 transcript:ONIVA04G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVLLVATHSAVAVYNLVQRARGSVHPSGVAEGQRRGSAPPAHLSRRPRLPLSYSHAAALRRGGGVAVLLRLFFLANLHSHQRWRRRASCGMLYTPAALWVAMRSTRADVDGVQEMRRRGWRDEEEGETDEWSPRYFSPWVATLAYDT >ONIVA04G03590.1 pep chromosome:AWHD00000000:4:5316209:5319874:1 gene:ONIVA04G03590 transcript:ONIVA04G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-tetrahydrodipicolinate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GY66] MASLLIASTGGAHRLAWKDAAALGPAPRLARPWPAAVAAPAPLLRISRGKFALQAITLDDYLPMRSTEVKNRTSTADITSLRVITAVKTPYLPDGRFDLEAYDSLINMQIDGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGAKVKVVGNTGSNSTREAIHATEQGFAVGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMHDLMYEGENKTLNEKLFPLMKWLFCQPNPIALNTALAQLGVVRPVFRLPYVPLPLEKRVEFVRIVESIGRENFVGENEARVLDDDDFVLVSRY >ONIVA04G03570.1 pep chromosome:AWHD00000000:4:5281214:5287541:-1 gene:ONIVA04G03570 transcript:ONIVA04G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLHISSFVKEHISNALNLSDYPTHDKATQAALILLTQDIPYCGDPPCNEATGPVRWRSCCQTILLRGIRATPARSSSYLTITLPSHNRHHHEDDDCCLRVLGRWTLGMVAPLAIGLEGNKQMHQRELKYEELCGKNELAGSESWNHDDHNDNSIPFNPIHTRSYPPPSDISWRNRWAVALAMAYSV >ONIVA04G03570.2 pep chromosome:AWHD00000000:4:5281214:5285717:-1 gene:ONIVA04G03570 transcript:ONIVA04G03570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAAFASSEDARTLGMVAPLAIGLEGNKQMHQRELKYEELCGKNELAGSESWNHDDHNDNSIPFNPIHTRSYPPPSDISWRNRWAVALAMAYSV >ONIVA04G03570.3 pep chromosome:AWHD00000000:4:5285790:5287541:-1 gene:ONIVA04G03570 transcript:ONIVA04G03570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLHISSFVKEHISNALNLSDYPTHDKATQAALILLTQDIPYCGDPPCNEATGPVLVAKPFSFGV >ONIVA04G03570.4 pep chromosome:AWHD00000000:4:5281522:5285717:-1 gene:ONIVA04G03570 transcript:ONIVA04G03570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAAFASSEDARTLGMVAPLAIGLEGNKQMHQRELKYEELCGKNELAGSESWRFFATILCQARLRYSRFKFD >ONIVA04G03560.1 pep chromosome:AWHD00000000:4:5264134:5273744:1 gene:ONIVA04G03560 transcript:ONIVA04G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVAAAAAPLRRSLLPSLNPSCLFSSLASSSHRLSLPRALRPAGPLPSDVEDSDDSNAGDGAGEALRKSRNDLKREARRAVQWGMDLSKFSPLQIKRILRAASLDREVFDALMLVKRFGSDVREGKRRQFNYIGRLLRGAQPELMDTLIQYSKDGDDNRLLALMSENTFLMEDEEIEDLPCNEEEGDKEHIEIADRWFEGLLSKDISVTNEIYAIHNVEFDRQELRKLVRTVHMVQDNIENEHEEESTMKLLGAKKQLLCFLRSIAKEAYFKS >ONIVA04G03550.1 pep chromosome:AWHD00000000:4:5257880:5259832:-1 gene:ONIVA04G03550 transcript:ONIVA04G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANVAAAAATTTERRRTAVAAGRRRSAFVTTIVSVPHLVLKCIRFLAATMSARRCCREA >ONIVA04G03540.1 pep chromosome:AWHD00000000:4:5256083:5256418:-1 gene:ONIVA04G03540 transcript:ONIVA04G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGRVISAASKPALPQTPAAGSRRRTTAVAGSGEGQPRRARRPPLAQAIVVARCWRRPSPSPVVGGSWRDPAACRPAHRGGPSRRPPAADLQSPLNRLSHPQAFIVAADP >ONIVA04G03530.1 pep chromosome:AWHD00000000:4:5256988:5258354:1 gene:ONIVA04G03530 transcript:ONIVA04G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAARPPCRFLVDAESFKILLPRSMFTEDKVTLLKPFFLILITLVLDKQHHERFHSPGITSSFDMFCSLSPVLLSFLHFFHAHGFRSDQNHGCMIRKLGKGTGGYIAYS >ONIVA04G03530.2 pep chromosome:AWHD00000000:4:5256053:5258354:1 gene:ONIVA04G03530 transcript:ONIVA04G03530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGGCRILQDTPSPFHVHRGQGHLAQALFPHFDNACWCCVVACVQVLDKQHHERFHSPGITSSFDMFCSLSPVLLSFLHFFHAHGFRSDQNHGCMIRKLGKGTGGYIAYS >ONIVA04G03530.3 pep chromosome:AWHD00000000:4:5257127:5258354:1 gene:ONIVA04G03530 transcript:ONIVA04G03530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGGCRILQDTPSPFHVHRGQGHLAQALFPHFDNACWCCVVACVQVLDKQHHERFHSPGITSSFDMFCSLSPVLLSFLHFFHAHGFRSDQNHGCMIRSIDSVVFTMQSAPPSLLPSEFSFDSKLGKGTGGYIAYS >ONIVA04G03530.4 pep chromosome:AWHD00000000:4:5256399:5256812:1 gene:ONIVA04G03530 transcript:ONIVA04G03530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPVHIGASLGAATSPVHAAPPPSPPTPVAVHPFFLGSANGGRDPGWLSALEVWRRVVQLTVAAIQPSGATLAPFLLHHPPLLIAGCDEDLGSADAEVCLNRSSGGIDLDGNVDWATSSAADRAHINAAPL >ONIVA04G03520.1 pep chromosome:AWHD00000000:4:5253025:5254062:1 gene:ONIVA04G03520 transcript:ONIVA04G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFLIDACRRTRNPYGLTEAMHVQAMGVDRGGGVSRTMAANQRSINGPDAMRAPWMYEYDYCGRVFSKSATAWQRVSTSTHRRSGDDGGHHHRAFLVARRRFQLLITTVSMPMKTMHYFL >ONIVA04G03510.1 pep chromosome:AWHD00000000:4:5235826:5238590:-1 gene:ONIVA04G03510 transcript:ONIVA04G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERIGSDNIRSVFTALPFFGMMMMVRLPAMFVICILAVPLLPALGSEPPSTPVPTVGVTAASHLVGSGGHSYLDVRTEEEFKKGHVENSLNEKNTKFIEQVALHYDKEDNIIVGCLSGVRSELASADLIAAGFKNVKNMEGGYMAWVENGLAVNKPLVQEEL >ONIVA04G03510.2 pep chromosome:AWHD00000000:4:5235826:5238111:-1 gene:ONIVA04G03510 transcript:ONIVA04G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPAMFVICILAVPLLPALGSEPPSTPVPTVGVTAASHLVGSGGHSYLDVRTEEEFKKGHVENSLNEKNTKFIEQVALHYDKEDNIIVGCLSGVRSELASADLIAAGFKNVKNMEGGYMAWVENGLAVNKPLVQEEL >ONIVA04G03500.1 pep chromosome:AWHD00000000:4:5226535:5231450:-1 gene:ONIVA04G03500 transcript:ONIVA04G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GY50] MASKLSFKRMDSIAETMPDALRQSRYQMKRCFQRYVSKGKRLLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVSKLQTALLLAEVFVSGLPKYTPYLKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLLSNKLCVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMISMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIDELLYSKDDTDEHIGYLADRNKPIIFSMARLDKNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLMDRYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIIDGVSGFHVNPINGREAGIKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQYRNLAKAVARAGDQQARQTTTGVAPSEIVKAADSDAKDLNQVSRAEASNF >ONIVA04G03500.2 pep chromosome:AWHD00000000:4:5226535:5231450:-1 gene:ONIVA04G03500 transcript:ONIVA04G03500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GY50] MASKLSFKRMDSIAETMPDALRQSRYQMKRCFQRYVSKGKRLLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVSKLQTALLLAEVFVSGLPKYTPYLKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLLSNKLCVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMISMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIDELLYSKDDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLMDRYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIIDGVSGFHVNPINGREAGIKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQYRNLAKAVARAGDQQARQTTTGVAPSEIVKAADSDAKDLNQVSRAEASNF >ONIVA04G03500.3 pep chromosome:AWHD00000000:4:5226535:5231450:-1 gene:ONIVA04G03500 transcript:ONIVA04G03500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GY50] MASKLSFKRMDSIAETMPDALRQSRYQMKRCFQRYVSKGKRLLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVSKLQTALLLAEVFVSGLPKYTPYLKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLLSNKLCVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMISMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIDELLYSKDDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLMDRYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIIDGVSGFHVNPINGREAGIKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQYRNLAKAVARAGDQQARQTTTGVAPSEIVVRPKERQKAADSDAKDLNQVSRAEASNF >ONIVA04G03500.4 pep chromosome:AWHD00000000:4:5226535:5231450:-1 gene:ONIVA04G03500 transcript:ONIVA04G03500.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GY50] MASKLSFKRMDSIAETMPDALRQSRYQMKRCFQRYVSKGKRLLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVSKLQTALLLAEVFVSGLPKYTPYLKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLLSNKLCVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMISMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIDELLYSKDDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLMDRYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIIDGVSGFHVNPINGREAGIKIADFFQKCKEDPSYWNKVSTAGLQRIYEWQRLWQEQGINRLGKPQQAWRLKAADSDAKDLNQVSRAEASNF >ONIVA04G03490.1 pep chromosome:AWHD00000000:4:5177856:5178476:-1 gene:ONIVA04G03490 transcript:ONIVA04G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYCSDRSSGSSHPSSRAPIPARVGPYDYQPAAARWISGSVDNPGRWYYRCRNRGAGCDFFDWYEPATSSFLRELLNDLHEDVLSLRREKNELQHCVEELRPKVEEQCLELGVAMNEVAQLRIVAAENEANMAAMRTSNSRLEKQRVWLVLMSLGCMLVLFAMILVQL >ONIVA04G03480.1 pep chromosome:AWHD00000000:4:5119272:5119534:1 gene:ONIVA04G03480 transcript:ONIVA04G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGNGVENELARKAVKPAVEAAQHSSGGSGGGAWLEATRGCCGSEAERWTGCGNAWRGRRRKRRGAGTAAVAS >ONIVA04G03470.1 pep chromosome:AWHD00000000:4:5050148:5052100:-1 gene:ONIVA04G03470 transcript:ONIVA04G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKNKHNEFIEKPKWIEDNISNIKAFTKEDIKGITSNYSKRLGNGKLGKVYKGILDDNHAVVVKKYIHMDSEEEFAKEVIVHSQINHKNIVRLIGYCTEKNDLMMVMEYMSNGDLDYHLHVKNSLDSLDLRLNIAIDCADALGYMHSMCSPVLHGDVKPSNILLDDSFNAKISDFGISRLLSADKTHTENMITCYMDPLYYQEERLTSKSDVYSFGIVLMELITKKRATCLTQALAEGQEMTELLDPMIANESNMKVLLEIEKLVQECLAEDIDRRPDICDVAAYLRMLRKMSQQAPQENFGWHLFAETQNDFKKQSHQGTNIISSIKMVFPRMMGILNVNMAKSENKGTPLYVSGKRIFTALEIKKITGNYSRIIGKDMFTVVYSGILEDNTQVAVKTHNMFERGKWRCANELNSLSELIHKNIINLLGFCYEMDAVILVYELIERGHLCNILHGNDTKRFPLPLDLRLDIAIGLAEGLSYMHSRSKPILHGNIRTVTVLLDDKFVPKISGFGSSKIGEDGKCRIVGSEMGYMDETFVNTRVLTRKSDVYSFGVVLLELITRKRIYYNGKDNNTAINFAKVYEKESSGRAMFDNEISADKNIPTLEDIGILAMKCFNPDIDKRPEMKEVCEQLLMLKRSSKKGKGKI >ONIVA04G03460.1 pep chromosome:AWHD00000000:4:5045300:5047339:-1 gene:ONIVA04G03460 transcript:ONIVA04G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAIEWWEEWQLRILALSSMGIQVFLFFSAMMRKRAIPSWFRSIVWLAYLGSDATVIYAMASLFNRHKNQDYTNSFKVQGSYSLEVFWAPILLIHLGGQDVITAYNIEDNELWRRQVLTTVSQITVSVYVFYKSWWLDIIHSDLRMMQAAMQMFVFGVLKCIEKPWALRSASINMLVSSNSLITKIEKSNEEGDSIDISLESYVEEARKFVLNPSDVDGNRCHFKPYMLFVDLSLPYSLRLSILKTLWIRDDVHLLLQEELAHTFHRLYTKLRTLVPDHHVVWSTDWKNIPKSPRSIRSVLESISRILRILGLFFLFEASGIFLLSHKEVYKSNDIKVTYVLLCCTTMIEFLSLFGWVYTNIFRKNPPWSYKVSQCRLIGNYVGSSIKPCDSSRSIIVLVLQHVKSGWKDYITNVASYRMFNDNRGQWSLQRNNCDNEDLAWSVRAPFDESVLLWHLATDLCLLSEGYTNEGATRSIEISNYMMYLLLNNPDMLMAGTKRSLFTTAIHELKGIIGDETLEDIDLAHKIIAKMESSEGCPSFIHNACVLSKALLCLDNMKMWEVIEGVWVEMLCFSASRCRGYLHAKSLGNGGELLTFVWLLLLQMGMEPLAEKLQRAEIPKRGGNGAASAASLSSDKSLALQRKKTEVPSGDEGNIADVPSTSNDSIVIDIGDNAS >ONIVA04G03450.1 pep chromosome:AWHD00000000:4:5030150:5032222:-1 gene:ONIVA04G03450 transcript:ONIVA04G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGVLEWWQEWQLRTLVLSSTAIQLLLYLFANRRKHATSSQFRTIIWLSYLGSDAIAIYALATLFNRHKNQDSTSSSTAQGSRILEVVWAPILLIHLGGQDSITAYNIEDNELWKRNVVTMVSQVTVSIYVFCKSWPGGDKRLLQAAILLFVPGVLKCIEKPWALRSASINSLVSSDDLVPRTGKGNEQGDSISPESYVEEVRKFVIGNGDPFEVNVNASDTVGDNHEPYKLFVDLSLPYSIRLLDLKSWWKMTQFDAYIQLTKKLTETFYRLYTKSKMLDKQQNRYLLTGFLRVVSVYLPFAAIGLFHNSHRQAYNRYDIKVTYTILCCTAFVEAFAAHGWNTPMMDNVLPWFYKVSQCRLIGSYAGSSTKSCDSVVDIIELVLQHVKSGWKDCITDFPSYRAFSDHRGQWALRRNNCDQEDLAWSLRVPFDESVLLWHLATDFCFISKRCTNEVATRSIEISNYMMYLLLDNPEMLMAGTRRNLFTTAIHELEGILGNEPHEIQGLAQKIIVNVESTNGDPSFIRDACALSKVLLSLGDEKMWKVIKGVWVEMLCFSASRCRGYLHAKSLGTGWELLTFVWLLMLYMGMEPLVEKLQRAEFSSVIGSGTTADAPSSSNETLAEREPKTEVPNGGGISAAAPLSSDETLAEWNQKIKAPSGDEGNIGDVPSTSPDIIVIDIKEDNAS >ONIVA04G03440.1 pep chromosome:AWHD00000000:4:5026812:5026973:1 gene:ONIVA04G03440 transcript:ONIVA04G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVLKATAAVGVAGVFGMPFSDGTSIGLLLNTKGIIELVILNIARNNGVIH >ONIVA04G03430.1 pep chromosome:AWHD00000000:4:5007860:5008710:-1 gene:ONIVA04G03430 transcript:ONIVA04G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGKAMLTHQDKNALMVPSTLPDHYILFLVYPWDHLVVVLDPAHYAEKTFTEFLVLLNLQVLAHKYYKDQSGRVKDASKSKLLVKTRWPNFQHSVASNHQALTYVDTTCARCFKLMGDICRYIRHDVCNHLGEFYDPESDLAKNDAFKRLREWEKEFT >ONIVA04G03420.1 pep chromosome:AWHD00000000:4:4969758:4986054:-1 gene:ONIVA04G03420 transcript:ONIVA04G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GY40] MALLRRLFYRKPPDRLLEIADRVYVFDCCFSTETMEQFEYKNYLDNIVLQLREQFVDSSLMVFNFRDEGKSLVSGLFSLYGITVKDYPCQYLGCPLLPLEMVLHFLRLSERWLMLEGQQNFLLMHCEKGGWPVLAFMLAGLLLYMKQYNGEERTLVMVYKQAPKELLQMLTTLNPQPSHLRYLQYICKMDDELEWPIQPIPFTLDCVILREVPNFDGVGGCRPIVRVYGQDFLTVDKRCNVMLPPSKPRKHARRYKQADNISVKLNVGSCVQGDVVLECLHIDDSLEDERLMFRVMFNTYFIQSHILPLNFENIDVSWDAEQRFTKKFKAEVLFSEFDGESDASTEVASDYDDEVEVGSIDVFFEAVEIFSNLDSQEGQRDAEILSITSTECSPRAELMKTAPFSHFDMEIGLGGSQKNKIDGMVLSLEKSDEKCTSAEGDIIQNNITRVVRSSSAKTTDGDRDTMNSSCYGGKVDGCIVEKNNSNKEILTDSNEDSGIENVLVKEVIISETNSLKDIQMIKEVIISEVTTSKPVIEVDTIGTELSDVVHNSETITHAEANNEEEVLVTLKQNEGDNLVEECIYYGNSIMIKPEKNRKKEKSIIGSTIGVVPDSTEENARVGLLLSVKPHLDSTGTYHDLNSPLQKIDLLNVSNTNCVEEQMKGMEASISNSYGQPSNLSSLNLQPQGSSFQANGDPTCANTSTDANESTQLELKRKSFLSLSTSSIFSPLSPRRNLLRSTSTDLSFLSPLQTKSNQHSIPCSSGRDDFASSYGPPPNIPCTSFGTSKVSSLVHPSLRPLRTVSSLSQSSFEEYLDISPPSPTFHEKHQQHFNLDPPSLIPPWQLWLAKTKENDIYPCTLSFLPLSPSNKYAHHPPFPPPPPPPHVLCTQNNSRTQISEYEQGRVEGPCPSSSYGQSILNSHDVSLSLPQKGINTLEFSKVAFNFTNTREACIPNIQYSKDIRTNSEDTKLSVMVSNSLSSKIPQHGTPPPPPPPPPPRASQCKIKLSILDKDSDSIPLIHSKSSDYPHNELAMSVEQQYPSLRRHEECEVASTQTVIELSSSRYSEYTYQHISMSIEYKTPILSPSVSTSLHADSSCIAITNGPSSSNYVEEVPMETILNQPTLSIPLEACKDELLHCKENGGIPIPPPPPPLCDHAKKYTRIPLPPPPPEGSHGILATTSTELIDAGPQLPPLSHLEWKRCPHHPPKRPHYLPGEVGGAPSPPSPPPPQRENTSVGIQGGIPPLPPPLPPTLGDYGVAPPPPSIGAGAPPPPPPPGGITGVPPPPPIGGLGGHQAPPAPPLPEGIGGVPPPPPVGGLGGPPAPPPPAGFRGGTPPPNAHGGVAPPPPPPRGHGGVGGPPTPPGAPTPPMPPGVPGGPPPPPGGRGLPAPPGGRGVVGHGLTRSLGLNSAATARRSTLKPLHWVKVTRAMQGSLWAEIQKQADANSHSEFDVKELESLFAIAPKTKGGSKSDGASKSLGSKPDKVHLIDLRRANNTEIMLTKIKMPLPDMMSAALALDDSVLDADQLENLIKFCPTKEEMELLKNYTGDKETLGKCEQFFLELMKVPRVESKFRIFAFKIQFQSQIRDVRKNLLTVSSACEELRGSEKLKVIMEKILFLGNKLNQGTPRGQALGFRLDSLLKLTDTRANNSRMTLMHFLCKGLADKSPHLLDFYEEFVNLEAASKTLKEFTDASGADVRSLSALYAEVGKSADALAYYFGEDPAKCPFEQVTSTLLNFVGLFRKAHEENIKQIEADKKKAQKEAEKEANQDRIFGM >ONIVA04G03410.1 pep chromosome:AWHD00000000:4:4964715:4965459:-1 gene:ONIVA04G03410 transcript:ONIVA04G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDVVSEFCSVPRTRRHLKKRKQFQTVEMKVRIDCEGCERKIKKALEDMKGVSSVEVTAKQNKVTVTGYVDAGKVMRRVAYKTGKRVEPWPYVPYDTVAHPYAPGAYDKKAPAGYVRNVVSDPSAAPLARASSTEVRYTAAFSDENPNACSVM >ONIVA04G03400.1 pep chromosome:AWHD00000000:4:4948455:4955828:1 gene:ONIVA04G03400 transcript:ONIVA04G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleic acid-binding, OB-fold-like (InterPro:IPR016027), Protein of unknown function DUF171 (InterPro:IPR003750); Has 3649 Blast hits to 1964 proteins in 291 species: Archae - 113; Bacteria - 121; Metazoa - 1082; Fungi - /.../lants - 227; Viruses - 4; Other Eukaryotes - 1703 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G19300) TAIR;Acc:AT5G19300] MNSGDAEAAAASKKARCKKEKKKKRKDDCGAAEASAADEEIVHDKKRKKQLQQKKNGDDVAVPNRKTTVSIAVAGSIIDNAQSLELATLLAGQIARAATVFRIDEVVVFDSNSSVENSGDDVESGARFLVRILQYLETPQYLRRRLFPMHNNLKFVGLLPPLDAPHHLRKHEWSEFREGVTLDGDRSMGTFVDVGLSKNVLVEQMLEPGKRVTIAMGTNRDITTACKRKIVSPSSPRDEMELYWGYKVRYASNLGGVFSDSPYKEGYDYIIGTSEHGKIISSSELILPSFRHLLIAFGGLAGLEECIEEDRNLKGKGVDDVFNTYLNTCPSQGSRTIRTEEALLISLQYFQDPIRRAG >ONIVA04G03400.2 pep chromosome:AWHD00000000:4:4948540:4955828:1 gene:ONIVA04G03400 transcript:ONIVA04G03400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleic acid-binding, OB-fold-like (InterPro:IPR016027), Protein of unknown function DUF171 (InterPro:IPR003750); Has 3649 Blast hits to 1964 proteins in 291 species: Archae - 113; Bacteria - 121; Metazoa - 1082; Fungi - /.../lants - 227; Viruses - 4; Other Eukaryotes - 1703 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G19300) TAIR;Acc:AT5G19300] MNSGDAEAAAASKKARCKKEKKKKRKDDCGAAEASAADEEIVHDKKRKKQLQQKKNGDDVAVPNRKTTLAGQIARAATVFRIDEVVVFDSNSSVENSGDDVESGARFLVRILQYLETPQYLRRRLFPMHNNLKFVGLLPPLDAPHHLRKHEWSEFREGVTLDGDRSMGTFVDVGLSKNVLVEQMLEPGKRVTIAMGTNRDITTACKRKIVSPSSPRDEMELYWGYKVRYASNLGGVFSDSPYKEGYDYIIGTSEHGKIISSSELILPSFRHLLIAFGGLAGLEECIEEDRNLKGKGVDDVFNTYLNTCPSQGSRTIRTEEALLISLQYFQDPIRRAG >ONIVA04G03400.3 pep chromosome:AWHD00000000:4:4948540:4955828:1 gene:ONIVA04G03400 transcript:ONIVA04G03400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleic acid-binding, OB-fold-like (InterPro:IPR016027), Protein of unknown function DUF171 (InterPro:IPR003750); Has 3649 Blast hits to 1964 proteins in 291 species: Archae - 113; Bacteria - 121; Metazoa - 1082; Fungi - /.../lants - 227; Viruses - 4; Other Eukaryotes - 1703 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G19300) TAIR;Acc:AT5G19300] MNSGDAEAAAASKKARCKKEKKKKRKDDCGAAEASAADEEIVHDKKRKKQLQQKKNGDDVAVPNRKTTLAGQIARAATVFRIDEVVVFDSNSSVENSGDDVESGARFLVRILQYLETPQYLRRRLFPMHNNLKFVGLLPPLDAPHHLRKHEWSEFREGVTLDGDRSMGTFVDVGLSKNVLVEQMLEPGKRVTIAMGTNRDITTACKRKIVSPSSPRDEMELYWGYKVRYASNLGGVFSDSPYKEGYDYIIGTSEHGKIISSSELILPSFRKHFSSLSNTSKTPLGELDSKCGNSCKLNN >ONIVA04G03390.1 pep chromosome:AWHD00000000:4:4937619:4946172:1 gene:ONIVA04G03390 transcript:ONIVA04G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G42150) TAIR;Acc:AT5G42150] MRSIRAAQALASRSLLLSSRALHGDAASTAAAAAGGGRLGVQPSPPSQASSSSSSRAMPAGIAGAVSFSLTFATMAAAEAKERPPMDLLPQNVVLYQYQACPFCNKVRAFLDYHDIPYKVVEVNPLSKKEIKWSEYKKVPILTVDGEQLQRVRPDDKATNEEEEKWRRWVDEHLVHVLSPNIYRTTSEALESFDYISKHGNFSFTERFAVKYAGAAAMYMVSKKLKKKYNITDARASLYDAANTWMEALDGRDFLGGSKPNLADLAVFGVLRPIRYLTAGKDMVEHTQIGDWYQRMEDAIGEPSRIQE >ONIVA04G03380.1 pep chromosome:AWHD00000000:4:4906645:4906860:1 gene:ONIVA04G03380 transcript:ONIVA04G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVDEEEPEWLTMTSADDEVVDVQTAIKTTEAEKLRSEDNTVVAPCRCNGEKSTGDVAMLRRVALSIAKT >ONIVA04G03370.1 pep chromosome:AWHD00000000:4:4901262:4901609:-1 gene:ONIVA04G03370 transcript:ONIVA04G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLSYISKMLCIKIPSEARQASEDGGSGGLTECSVCLSRIRVGEATRRLPCRHAFHRDCVDRWLLSCRRTCPLCRVYVVVDGNKPGVAAKHTGEPPLAEDMVIWFSAMLVPGF >ONIVA04G03360.1 pep chromosome:AWHD00000000:4:4889705:4894864:1 gene:ONIVA04G03360 transcript:ONIVA04G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDGKLDLLLKTMAENEKKREEAEARTRAVFAELKLSLDVRLPAVEKKVETLNTVCKTLSAKVEQLEGSIALQRKDDVFAGKVTTAVYPEAHKEEPQDSRNFQSTPLHHASVPDKLQDGDKTKPKLGRGLRNKKANTRIAGPMWAK >ONIVA04G03350.1 pep chromosome:AWHD00000000:4:4827528:4827767:1 gene:ONIVA04G03350 transcript:ONIVA04G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRGAGGEIFWQRRQCSRARVDSTRVAAATLADALGVTAALAGTSNNGDGSATAAATALTDRSGGHHHKGLIFFER >ONIVA04G03340.1 pep chromosome:AWHD00000000:4:4821638:4823868:-1 gene:ONIVA04G03340 transcript:ONIVA04G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sedoheptulose-bisphosphatase [Source:Projected from Arabidopsis thaliana (AT3G55800) TAIR;Acc:AT3G55800] METVAAASYTRGAATRSPACCAAMSFSQSYRPKAARPPSTFYGESLRVNTARSLPSGRQSKAASRAALSTRCEIGDSLEEFLTKATPDKNLIRLLICMGEAMRTISFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALEYSHVCKYACSEEVPELQDMGGPVDGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYIIALKDCPGTHEFLLLDEGKWQHVKDTTTIGEGKMFSPGNLRATFDNPEYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGFLIEKAGGYSSDGKQSVLDKVINNLDERTQVAYGSKNEIIRFEETLYGSSRLTAGAAVGAAA >ONIVA04G03330.1 pep chromosome:AWHD00000000:4:4818220:4818848:-1 gene:ONIVA04G03330 transcript:ONIVA04G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVCVCSFNPATKHHHAPPSVRCAAAAAIGEANGVGEVGWLDDVALGIFKKLWRDENGQVPPLSGGGAAKVYCDVELWLNLRTIHQSSDDKSEDGEALLVSAGLMVTKAYSFAPALREILLASKNLATAYSA >ONIVA04G03320.1 pep chromosome:AWHD00000000:4:4785852:4786331:1 gene:ONIVA04G03320 transcript:ONIVA04G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLQPAACMLADLSRSQDATAGDGTTTVFVLTGSLLRHTHSLLSAGSPLAVDAALAVVDLAHPDILDLRGGGGEADAALASSDRKKIRGLDSFSSLEVCRGGERGCGCGLDILGCRGGRARQLVGEVRKVEVRRAALDVEHGDVVRARGHLGSGEGGG >ONIVA04G03310.1 pep chromosome:AWHD00000000:4:4651589:4651900:1 gene:ONIVA04G03310 transcript:ONIVA04G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPRRRIMDVLPPPLPGTLPSHPPSSLAAPTRRSRSHPTVAITCLRWIRPGRIRDLRLSSPLMATPAHDRPLLSPLPPLSTALAHRGPRPPTARLSPLTVDQ >ONIVA04G03300.1 pep chromosome:AWHD00000000:4:4648862:4649987:-1 gene:ONIVA04G03300 transcript:ONIVA04G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEPEHVRPKDYTDPPPAPLFDVGELRLWSFYRALIAEFIATLLFLYITVATVIGYKVQSSADQCGGVGTLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLLLARKVSVIRAVMYIVAQCLGGIVGVGIVKGIMKHQYNANGGGANMVASGYSTGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIYNQKKAWDDHWIFWAGPFIGALAAAAYHQYILRAAAIKALGSFRSNPSN >ONIVA04G03290.1 pep chromosome:AWHD00000000:4:4558706:4558900:-1 gene:ONIVA04G03290 transcript:ONIVA04G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGGDLFSGLPDEVLVKIISFLSFTGAARTASLSRRWRPLWLCSPTLNLNLVCSGISYGH >ONIVA04G03280.1 pep chromosome:AWHD00000000:4:4557289:4558675:-1 gene:ONIVA04G03280 transcript:ONIVA04G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHDVTASLRAPGRHPVTKLSVLATGSEERRCQEVLAGGDRYSHHEFCVIDGVLFEQAMRRTEELRVQIDCGNDDRSSLTYVYRLRLISSIPGDTIRILELASCRIELPPPDHAAPFPFPRLAVLRLERCSSPMRDLATLISAAPNLATLHIARHSFCWYPERDFDDGRFVLHCPSLTSLTLDNDITCIEGIRAIDLYAPCIVTFRYTGTLVDLAMKSKATDLTHVYLNLQIWYSPGESDAIASTFWKFLGSLRNTKSLKLNVPAMGSIPLLDNGDNIVFENLKHLEVECDPETDGWHHSKKGSAMSVATLLRCCPVIHELKLALESTSSKFMSFRSRRARGRKSLLFTEFNTSRDLFNNRRTMLDDDDESSEFEEVADLPGLTGCGFDCLQNHLKRVVLQFQMEDVNCFGVRLAKFFAETCKVLDVLQVDDGVHNFRRHINNNVDKWRDNESEKQKR >ONIVA04G03270.1 pep chromosome:AWHD00000000:4:4541326:4546147:1 gene:ONIVA04G03270 transcript:ONIVA04G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPPNSNFARRVVQSGSPLPCHPSQPLFPFPFTFSSEAPAHRLHLPLIQSFCSSHPFPSLSPGKCHYPVVIHLTLLSLDQASASL >ONIVA04G03260.1 pep chromosome:AWHD00000000:4:4493617:4495376:-1 gene:ONIVA04G03260 transcript:ONIVA04G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPNHTQTVSGWAAMDESGKIVPFVFKRRENGVDDVTIKVKYCGMCHTDLHFIHNDWGITMYPVVPGHEITGVVTKVGTNVAGFKVGDRVGVGCIAASCLDCEHCRRSEENYCDKVALTYNGIFWDGSITYGGYSGMLVAHKRFVVRIPDTLPLDAAAPLLCAGITVYSPMKQHGMLQADAAGRRLGVVGLGGLGHVAVKFGKAFGLHVTVISTSPAKEREARENLKADNFVVSTDQKQMQAMTRSLDYIIDTVAATHSLGPILELLKVNGKLVLVGAPEKPVELPSFPLIFGKRTVSGSMTGGMKETQEMMDICGEHNITCDIEIVSTDRINDALARLARNDVRYRFVINVGGDSKL >ONIVA04G03250.1 pep chromosome:AWHD00000000:4:4484620:4492392:1 gene:ONIVA04G03250 transcript:ONIVA04G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRREASGDRFQEESRGRRRSEVIDLLLLRFVHLLVAFLACPGILVHGMAGFAVGLAAMGNKAIAQSTGCLATGFLPSRLLRLERATQALSKQGLRRRRAAEGDGRRQRVVVPRWASAVWETGIG >ONIVA04G03240.1 pep chromosome:AWHD00000000:4:4478502:4480821:-1 gene:ONIVA04G03240 transcript:ONIVA04G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGFKARKKTALAVRPVNGVLTRGRKSLLPSEVPRAGPADESDGLEEQNIHVASRGDGIDQGHGGNQVASGGDVDGIAQGHGDNQVASGGEGDGLAQGHGENQVGSGGDGIAQTHGDNLMACEDDGTAQLEEEEPWIRGNNKGAALQRLSRSRRGKLPVVIKEGDIRPLQPVVAAKFATECNIIVRNHVPVFPKWKDYKNQSAIRRMFRMKLAAKFDIDIRATHVKFACVEMMKKAVRQHRYHLKRIFFNPFPLHLRNSELNKTNRSKVKYHHTTGSRSYKVHLENLGDKYKDQELDAVDMFKECHYSKKKGYTPDVQLAIDEMENKLSEHAEDEEAPSMTEVVAGVLAEKTKKPTFLQTVGIQSRKKGTLKEQLAAEKLAKDDLKSQVQELAKKLQESEQARVAEQQDMARKQAETNAKLDLLLSKIGHH >ONIVA04G03230.1 pep chromosome:AWHD00000000:4:4463038:4464422:1 gene:ONIVA04G03230 transcript:ONIVA04G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAATSCARFLALLATCLLWNEAASFTASGWNKAFATFYGGSDASGTMGGACGYGDLYSTGYGTNTAALSTVLFNDGASCGQCYRIMCDYQADRRFCISGTSVTITATNLCPPNYALPNDAGGWCNPPRQHFDMAEPAWLKIGVYVGGIVPVMYQRVPCAKQGGVRFTINGRDYFELVLVSNVGGVGSIQSVSIKGSRTGWMAMSRNWGVNWQSNAYLDGQSLSFKVTSSDGQTLTFLDVAPAGWTFGQTFSTSQQFS >ONIVA04G03220.1 pep chromosome:AWHD00000000:4:4452998:4454073:-1 gene:ONIVA04G03220 transcript:ONIVA04G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLSGNWTISLGAEEGMEDARHGLAGGDIGYPSARRVLESSLHSAGSSCYMRTGDCVMVVRGAEQYLYIVVAAASHVVAVTAG >ONIVA04G03210.1 pep chromosome:AWHD00000000:4:4420404:4422899:1 gene:ONIVA04G03210 transcript:ONIVA04G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKKGPWSPEEDAKLKEFIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTEHEDRIICSMFASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKLLGSSAAAAAAPPRMQRHQQSHHRSSLLLPNSPSLNPGGGYPGFFSGAGGFHPQEPAMPLTLPTTTQEFMLGTHSGHPMVSASALLNHLHGASHHHQLLVKEEISSNMIVFGSDQQSCSSSDGLAAPHSHHHNGNREFILDGYSYGGGYGNSCIDQVDNDKLFQHHQQDDQAQEQQQQLVYNYDEIKQLLMNTSTTSNNINAGQDHGGSMEAGLIGSQGKVTMM >ONIVA04G03200.1 pep chromosome:AWHD00000000:4:4407115:4409936:-1 gene:ONIVA04G03200 transcript:ONIVA04G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEPGSSGFDGGGDGDGSGERRWHVGGAGMWAAVTASLTVSEPDDSGFDGGSSAVDCTAPRHGAVDNRGSQSLSLSQLVPLACSLYGRRALPAPTLSRQQSTCRLAGTTAPPSMPSPSSPHLNSERRYRRRCLPLLPSPRAVLNAKAATFVRSLPLNAKHCTTILPRSSMAPPPPSSSMVMPLEPKTGAAVLVVVGVEPWRCWLELGNCDVGAENRIRLQYNCAGSETGTYLNGHAKVSPTTAQ >ONIVA04G03190.1 pep chromosome:AWHD00000000:4:4406196:4407062:1 gene:ONIVA04G03190 transcript:ONIVA04G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCPPSNTGDPSSPTPLCRSPFPTFGNGYPYSPAVGLPKRGDDDQIRYGPENYGLAASQQFFKDPSMGLFFLETDLQSSKSIKLHFANMMAGSGIKFLPRGEADTVPFSSKDLQEILARFGVRPGSVDASVVKNTLLECELPANKGEKKACATSLESMVDFAASSLGTRDIKAASTFLVGKDGDTPAQEYTVTGARRMAETGQLIACHPESYPYAVFMCHLTEATRAYKASLVGKDGAAVEAVAVCHTDTAEWNPKHAAFQVLGVKPGTVPVCHFVQPDVVVWTRRG >ONIVA04G03180.1 pep chromosome:AWHD00000000:4:4394868:4403765:-1 gene:ONIVA04G03180 transcript:ONIVA04G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPRNRMGIEIRVSLYSPPMKGGRLHRLERPPAAHTTATTPAPAPADDWGDGSWTVDCSCGITYDDGEEMVSCDECNVWVHTRCARYVRGVHISFSCHNCKAKRAPSSADEAEVAELLAELPTHRPRPLYRRWAEVPLPSRVHVHGLPGGTDTALFRSAAPSPVFSSTLWRCAGYVPKRFGFRYCEFPYWADDKDGANALFALAREKRKEKAETRFPLRLGAVHKDKNYVRTLSICGDKVDGVEHSVDDDAKRTSPPSDAKKRGTSISIVEPDTWDNGCEKKRDFDVPDSDDQHAKTNMVNSDLHVLVEAKKKRASSSERSGDKKCSASKEITGMLKKYEPKESKRLVISSGVATTAAVAEQEVHSRFVKGSVYEGLDGNQNVGLQTGVRSNGVVKRDDVKRSNGDVQSDAAPEKMNEGLDLQKQSNQTSNLLVVVGVPDLQTNQSESLTIKSEASTDNHERTEAIQFVSDERKSGKQDTAKLSTLQRHSSKLASDLVYQHPKSETQNPMHTIPEHPNSSLEPSSEETTVRLVKKDQTRLVSSADSENDFAKKSESSQDRTRSSDKVQLKGFVPSAPKSSQGSRTYVSSAKNRFIVPKEQSQKTSTEGNAPPGSLQGEVAPLHSRNKAMPLSFYQRKDKIHHRSIHITQDTSNSSASAELQSTETTASLSDEQLALLLHQQLNSSPRVPRVPRCHQASTMQMLHPTGASVLKKRNKDDAWRDNDETKKTGKVSSVERRHRDSSTERVLAAKDSCKFTENIESEQRNRGICSTGAISGVGNDAPIDRGVSHDLPGLIEEIISKNTNITYGELCNAIRQHWGDLSKPNMENNAYPNYLHAVNDCLRNRNDWAHLVDQAAMMNPNKRRKVEESDSLSADVLATEKTNKEAKRGPEDTNAESHHEILPRGKRKARKCRRLELKGRRVNDTRKRSSFDSASDDDDDTTLSDSSSDRNDTPMDKSLEDSFVIAKNADSRMFL >ONIVA04G03180.2 pep chromosome:AWHD00000000:4:4395495:4403765:-1 gene:ONIVA04G03180 transcript:ONIVA04G03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPRNRMGIEIRVSLYSPPMKGGRLHRLERPPAAHTTATTPAPAPADDWGDGSWTVDCSCGITYDDGEEMVSCDECNVWVHTRCARYVRGVHISFSCHNCKAKRAPSSADEAEVAELLAELPTHRPRPLYRRWAEVPLPSRVHVHGLPGGTDTALFRSAAPSPVFSSTLWRCAGYVPKRFGFRYCEFPYWADDKDGANALFALAREKRKEKAETRFPLRLGAVHKDKNYVRTLSICGDKVDGVEHSVDDDAKRTSPPSDAKKRGTSISIVEPDTWDNGCEKKRDFDVPDSDDQHAKTNMVNSDLHVLVEAKKKRASSSERSGDKKCSASKEITGMLKKYEPKESKRLVISSGVATTAAVAEQEVHSRFVKGSVYEGLDGNQNVGLQTGVRSNGVVKRDDVKRSNGDVQSDAAPEKMNEGLDLQKQSNQTSNLLVVVGVPDLQTNQSESLTIKSEASTDNHERTEAIQFVSDERKSGKQDTAKLSTLQRHSSKLASDLVYQHPKSETQNPMHTIPEHPNSSLEPSSEETTVRLVKKDQTRLVSSADSENDFAKKSESSQDRTRSSDKVQLKGFVPSAPKSSQGSRTYVSSAKNRFIVPKEQSQKTSTEGNAPPGSLQGEVAPLHSRNKAMPLSFYQRKDKIHHRSIHITQDTSNSSASAELQSTETTASLSDEQLALLLHQQLNSSPRVPRVPRCHQASTMQMLHPTGASVLKKRNKDDAWRDNDETKKTGKVSSVERRHRDSSTERVLAAKDSCKFTENIESEQRNRGICSTGAISGVGNDAPIDRGVSHDLPGLIEEIISKNTNITYGELCNAIRQHWGDLSKPNMENNAYPNYLHAVNDCLRNRNDWAHLVDQAAMMNPNKRRKVEESDSLSADVLATEKTNKEAKRGPEDTNAESHHEILPRGKRKARKCRRLELKGRRVNDTRKRSSFDSASDDDDDTTLSDSSSDRNDTPMDKSLEDSFVIAKNADSSS >ONIVA04G03170.1 pep chromosome:AWHD00000000:4:4270353:4279182:-1 gene:ONIVA04G03170 transcript:ONIVA04G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALSTVLCVLALVVADADHHVVHVQGRRHPILFAAEARRCGVRSYELDCSSDDGKASIPINTGTYYTILNLLVDGHDSCGRRDSILPVLYTNATYADFVKSVRGGFVISYRWRTLTTWYGLIKDCLNESVSLGGSDNNPLPRVGIKDQIIDILSIVLHFWGCMIGISRGYYLNMRLSISDMIRGVDHSLYHKLFILHTLCLVKWIAGNFQFFSLASFTVNILLFVFAPLVVMIFLTHKYWKTRIAIDAGVLLPGDVHVAIKMLDGNSNCNGEDFISEVATIGRIHHINVVRLVGFCSEETRRVLVYEYRPRGSLNKYIFSSEGSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPDNILLDDNFVPKVADFGLAKLYPRDKSFVSDRALRGTVGYIAPEMVSRSFGTISGKSDVYSFGMLLLEMAGGRRNADPDADSSASKAYYPSWVYDQIIADQQVDEISNVSNMHELERKLCLVGLWCIQMKLHDRPTMSEVIEMLEGDVSVMGVPPRPFFCDGDGIGNGIDSYFHSSELTAISEEDDGIAELASG >ONIVA04G03160.1 pep chromosome:AWHD00000000:4:4259403:4261204:1 gene:ONIVA04G03160 transcript:ONIVA04G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGTAKYGERTYALWWSNFSTIGNYLKLVQRDKHQHFYIKYEHPRHLGDNHGSNDELLLHRAHSLFHVCERGIVDSVIINDDDDSDNPDSKVIGDLLMQDKDHKSMWTVMEMELSLMYDILYTKAYVIHTSVGYIIRIMAPIAIVASLLLFHFNGKGGHNRIDVIITYVLLGGALILETRSLLRSLWSTWGLVFLCDTRWSWLRHVALCSGRWHRLRN >ONIVA04G03150.1 pep chromosome:AWHD00000000:4:4249557:4250696:1 gene:ONIVA04G03150 transcript:ONIVA04G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWYERAQELARQNTRLYNEKRNLENRVGNLVYQNISLSNEKDDLKNQLEEKRRAASVYLGKVSTLEYKVQELENQNTKLSGELLKQREDMRKAGLMFMNAADTYQQLAKKQIRTKEEELVNTRKAGLLLINAADTYQELARKQIKAKVEDLEDARKAVLVVMNAADTYQHVAEKKIKDKVEELRVLGVQKVEMDARAASLEFGLKAALVKNQELEADCDKMKIENNKLWLEVERLKMESIAVAHRKEGAANAFDAEKAETMEELEDHKMDVEEIPTTMDLMKGENDNIQLEVLTAAHRHSSSEAGVERLKMELDVLLEVKETCKIMKESRDLNGEVKEIPAAHFMKGEYDKLQLDILTAKQKHSLSEGQVDWLKENI >ONIVA04G03140.1 pep chromosome:AWHD00000000:4:4205144:4206339:1 gene:ONIVA04G03140 transcript:ONIVA04G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQTQGSNLRALLVEDIKVNRMILSQMLRKFQVETTVVQNGKEAVELFLGGETFDIVLTDNLMPIMTGPEAISKIRAMGATDVMIVGVSVDANSMEEFKDAGADLCVPKLKLEILEHILQETRSKKNKSSA >ONIVA04G03130.1 pep chromosome:AWHD00000000:4:4188024:4202009:1 gene:ONIVA04G03130 transcript:ONIVA04G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS54 [Source:Projected from Arabidopsis thaliana (AT4G19490) TAIR;Acc:AT4G19490] MASRPPLRTTSASSSTLSTDSPTSAPPGGVPQSITALLNNPLPSASSSSSYYWLTWPPPTPLPDAPPPPPPHPCDVSRADFAPYLAAVADPFGRFADIRLHASAEELAESQDGAAAGPAASGLAACLREVPALFFKEDFALEDGATFKAACPLGDAALQERLGQHLDVVEAHLVREIARRSESFYEAQGRLRGLDGEIVAAVGRIRELREVVRVLTGDLVGAARQVQELNATRGNLVALQQKLTVILYVSQALAALKLLVAAADCAGALDVIDDLQNLLDTDELTGLYCFRNIRDQLGTSLDSVNSILSAEFVRAAVPDGKAVDALIQANVKRKASVPLNGTEHEVNIDEEESFILRDRLLPLIICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPILVARTIDSDSVTGDRAADSDAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLVQAAEVKRIVEWIMGNLEGSLSSDASNSVQKHSGSVSDFSQENDHGVTSRVSNTLTRSNSKFPFFQGKTNDMSSTNSIKNVRADVLRENTEAVFAACDAAHGRWAKLLGVRAALHPKLRLQEFLIIYNVTEEFVAATEKIGGRLGYNIRGIVQQQSKQFVDYQHTVRNITCGKCISPSNTLSKMVVQTENVRLKQVLPPVHNMAKIKAVLDQETWVAIDVPEEFQAIVLSLSSTYSVANGMEMPSTDDSSKLHENRVTSQEPVNSAENNTDNGNAVSTSPSTENNVGHARSTQQTIVHGGVGYHMMLSEYVDISKCLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIYSLIPDIRRVLFLKIPEARKQLLMSELDRVTQDYKIHRDEIHTKLVQIMRERLLANLRKLPQIVESWNGPEDTDLQPSQFAKAVTKEVSYLHRILSQTLLEADVQLIFRQVVQIFHSHITEAFSKLELSTPQAKNRLCRDVQHILVCIRKLPAENFSAEAIPNYGLLDDFLAEKFGTKVDE >ONIVA04G03120.1 pep chromosome:AWHD00000000:4:4176748:4177980:-1 gene:ONIVA04G03120 transcript:ONIVA04G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSKKQKLAKEDEEDARIRDTESPSSVYLVVGHGVTRPSYSLFKVNPHLPANADDGGDTPLPLLPYLAHLTGKHYMSFVSVRSRRHAPWIVGVGGSSARNYGPDETIVFDTVMRKEISGPKLLSTKLCPILLPFGDKIYALARRPAVTGDVNFVPWFEVLYLSVARVDDDASDRLVGCEWRPLPRPPFFPWDLTPTDYIFPPVVTVKSHVAVASYILLSITGQTGTHMFDVETEEWRKLDDNDLPFHGRAVPLQGTGTLYLGLSNTTNAITAYRIKVVSAASAVASPSITPTGCLSLSIVEIRMVTNFEDEEEIVSTGRFIFLDHLPDRPGFCSFTWSNDDPLQFSSLPEHTKELLTIRAYTTEGPLSQDYLESTRTLVISNQWKQVYSICDTVRGLSFPCLAGAISL >ONIVA04G03110.1 pep chromosome:AWHD00000000:4:4162898:4165405:-1 gene:ONIVA04G03110 transcript:ONIVA04G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFATHRDCFGKRVAVGELAKRIMIRQPSDVVFNVKNLIGKHFNDSCVQEMRKRLPFSIIEGPEGESCVEIHGIKLSPVEITTAIFTKLKDMVLMHQFHHKFKVVICVPSFFNEQQREDIMSAGRRAGLEILQLIDEPIAAALSSTTIKEGVVVVFGMGAGSYSVAVLHVSGMNIEMRAQCGDSCISGDQFDNILLVVDYCVTQMIKLHSVDVRGDKCAMRQLVEVAEQAKVKLSSQPTATISIPYLTSSGQGHGPAHLNITISRQEFEKLVNNLTEQIQEKCQIILKEAKIAAKDVDELVLFGGMTRVPKIQRIIYEVFGKHQSAKVNPEEALVIGSAMQAALIVEDQQEMSKDMIPLSIGIECEEGIFTKVIPRHTRIPTKRMVKIPAWCAQGECLHIRIFLGEHVIVDHNTLLGEVELINNRRSYEGGVDYELTFEVSRNYLVEVSVSNADDGSKTIKAFPIDEKVVCKHNVNRAVRNTLRDWSMYAAEIYADMRNLARHTINTLSDALSARKDELPKDLYEDAVTALDDLLKAMGKDVSVLHDKIRAAMSVEFHGSYNCKRRRRAFPYRGR >ONIVA04G03100.1 pep chromosome:AWHD00000000:4:4124434:4127125:1 gene:ONIVA04G03100 transcript:ONIVA04G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQILHDAQRYSAFRKGLAMIDICQLKNDPEEVLKWLKFSTGWSVLHNSELRIGLKRHMDLLKEHEGNKMNPLSMPEDENLVLEKINAELCSELEKMIGTFDWALMSQYYYKARFSNFARQCAIQARASGVILVKQALPIRETWKEQKQSTSPKVSKLSLEDCLGSLRRPLRRINEQFGRGAARGKPWLLAAFASIATAATVGMSSTR >ONIVA04G03090.1 pep chromosome:AWHD00000000:4:4101808:4114553:-1 gene:ONIVA04G03090 transcript:ONIVA04G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPATSLPWWLSTTACSPPPPSSSSFSLSDRLAFLFLSPCPQRVVLGGAVDLAFLLAVVFVAVRARLSRSRREGIANGNGDHAEEEPLLAKPSVVAAVPPAPPRGGLRHALALAASVCFAAASLVLLVLAVVLLPRTAWLAAECAFLVAQFVAHLAAVGVVVAEKAAAARSHPAHLRLFWAGTAALAALFSGSAAARYAAREPILPDDAVAFAGLVMSLPLLYFSVTGSTGLGGAAIPDGEDRSCVPGHAAAAASYSTASWLSLATFSWINPLISKGSRAALAADDVPPVAPDDTAEATYALFVSNWAAPPAPGTKAGHPVVTALLRSFWPQFLLTAMLGLAHLSVMYIGPSLVDRFVNFVRRGGELTEGLQLVVVLLAGKAAEALASHHYEFQGQKLGMRIHAALLAAVYRKSLRLSTGARRAHGAGAIVNYMEVDAEEVANVTHELHNLWLMPLEIAVALTLLYTHLGPAVLTAVAAIAVVTVVVALANRRNLEYQFKFLGKRDERMKAITELLNYMRVIKLQGWEETFGGKIRELREAELGWLAKSMYFMCANTVVLWSGPLAMTVLVFGTCVLTGVTLDAGKVFTATAFFHMLDGPMQSFPEAIASVTQATVSLGRLDRYLLDVELDDTTVERVDDAGINPDGVVVEVRDGVFAWDVRGKKENEEGDDNEDDEEGEEEEEEKDVEETPVLETVLKGINIEVRRGELAAVVGTVGSGKSSLLSCIMGEMDKVSGKSTTPPSPFTRIPGQQPQDASVRVCGSTAYVAQTAWIQNGTIQENILFGQPMDAERYKEVLRSCSLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAHTGSSIFKECLRGMLKGKTILLVTHQVDFLHNVDNIFSGKYDELLDAGSDFLALVAAHDSSMELVDQSRQVVKTEYSQPKAVARIPSLRSRSIGKGEKVLVAPDIEAATSKIIREEERESGQVSWRVYKLYMTEAWGWWGVVGMLAFAIVWQVTEMASDYWLSYETSGSIPFNPSLFIGVYVAIAAVSIILQVIKSLLETILGLQTAQIFFKKMFDSILHASMSFFDTTPSGRILSRASSDQTTIDIVLSFFVGLTISMYISVLSTIIVTCQVAWPSVIAVIPLVLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFSETVLGATTIRCFKKDKEFFQENLERINSSLRMYFHNYAANEWLGFRLELIGTLVLAITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYFAISISCMLENDMVAVERVNQFSTLPSEAVWKIEDHLPSPNWPTHGDIDIDDLKVRYRPNTPLILKGITVSISGGEKIGVVGRTGSGKSTLIQALFRLVEPVQGKMIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPIGQYSDAEIWRALEGCQLKDVVASKPQKLDALVADSGENWSVGQRQLLCLGRVILKRTRILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRIPTVMDCDRVLVLDAGLVKEFDSPSRLIEQPSLFGAMVEEYANRSSNLRA >ONIVA04G03090.2 pep chromosome:AWHD00000000:4:4101808:4114553:-1 gene:ONIVA04G03090 transcript:ONIVA04G03090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPATSLPWWLSTTACSPPPPSSSSFSLSDRLAFLFLSPCPQRVVLGGAVDLAFLLAVVFVAVRARLSRSRREGIANGNGDHAEEEPLLAKPSVVAAVPPAPPRGGLRHALALAASVCFAAASLVLLVLAVVLLPRTAWLAAECAFLVAQFVAHLAAVGVVVAEKAAAARSHPAHLRLFWAGTAALAALFSGSAAARYAAREPILPDDAVAFAGLVMSLPLLYFSVTGSTGLGGAAIPDGEDRSCVPGHAAAAASYSTASWLSLATFSWINPLISKGSRAALAADDVPPVAPDDTAEATYALFVSNWAAPPAPGTKAGHPVVTALLRSFWPQFLLTAMLGLAHLSVMYIGPSLVDRFVNFVRRGGELTEGLQLVVVLLAGKAAEALASHHYEFQGQKLGMRIHAALLAAVYRKSLRLSTGARRAHGAGAIVNYMEVDAEEVANVTHELHNLWLMPLEIAVALTLLYTHLGPAVLTAVAAIAVVTVVVALANRRNLEYQFKFLGKRDERMKAITELLNYMRVIKLQGWEETFGGKIRELREAELGWLAKSMYFMCANTVVLWSGPLAMTVLVFGTCVLTGVTLDAGKVFTATAFFHMLDGPMQSFPEAIASVTQATVSLGRLDRYLLDVELDDTTVERVDDAGINPDGVVVEVRDGVFAWDVRGKKENEEGDDNEDDEEGEEEEEEKDVEETPVLETVLKGINIEVRRGELAAVVGTVGSGKSSLLSCIMGEMDKVSGKVRVCGSTAYVAQTAWIQNGTIQENILFGQPMDAERYKEVLRSCSLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAHTGSSIFKECLRGMLKGKTILLVTHQVDFLHNVDNIFSGKYDELLDAGSDFLALVAAHDSSMELVDQSRQVVKTEYSQPKAVARIPSLRSRSIGKGEKVLVAPDIEAATSKIIREEERESGQVSWRVYKLYMTEAWGWWGVVGMLAFAIVWQVTEMASDYWLSYETSGSIPFNPSLFIGVYVAIAAVSIILQVIKSLLETILGLQTAQIFFKKMFDSILHASMSFFDTTPSGRILSRASSDQTTIDIVLSFFVGLTISMYISVLSTIIVTCQVAWPSVIAVIPLVLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFSETVLGATTIRCFKKDKEFFQENLERINSSLRMYFHNYAANEWLGFRLELIGTLVLAITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYFAISISCMLENDMVAVERVNQFSTLPSEAVWKIEDHLPSPNWPTHGDIDIDDLKVRYRPNTPLILKGITVSISGGEKIGVVGRTGSGKSTLIQALFRLVEPVQGKMIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPIGQYSDAEIWRALEGCQLKDVVASKPQKLDALVADSGENWSVGQRQLLCLGRVILKRTRILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRIPTVMDCDRVLVLDAGLVKEFDSPSRLIEQPSLFGAMVEEYANRSSNLRA >ONIVA04G03080.1 pep chromosome:AWHD00000000:4:4081593:4082009:-1 gene:ONIVA04G03080 transcript:ONIVA04G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSFRRDEARPHRRTAWRRSEAARQPKRGTASPPTGWSGPRGDEQQVRRRPAARRKMAATPCGAAEDGGGDDAIVDGGGAALELRCRARTPPTPPAREGGRASGAPPGRWRWISGGSPSAPTPQSPTKRRRRRQLP >ONIVA04G03070.1 pep chromosome:AWHD00000000:4:4073012:4080311:1 gene:ONIVA04G03070 transcript:ONIVA04G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLSRLRPLQGNGIINGNNKKNDYDPQCDMSKEEIEWRCAQAEKVRQRMPQVEHQLRIELEKMRHYFLGDPSALKCWDLHMDNVHRAFSEKLPDSLSSPRYTPLMKRKARPKTFACVLSGGWYKLKEVSRNKMVRRSLPLAGVAAVFAAGLAVGYTLGKEQEINET >ONIVA04G03060.1 pep chromosome:AWHD00000000:4:4035457:4043576:-1 gene:ONIVA04G03060 transcript:ONIVA04G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPGWMATTACSPPSGGSFPDLLAFLFLSPCPQRALLGAVDLVFVVASLVVLARPRGGGGGAGDGPEREALLPKPRASGRPFRVAVALGASGVFAAASAILLALALFLLPNTVWRVWESAFLAVHFVAHAVAAWTIASRRGAAGGALPLQLRVFWVVTALVGALFSASAAVRWAEDSLLFPDDPLAFAGLALSLPLVYVAITASSGEVACTCEREPADVTTAAEPSTPYDAASWLSRATFSWINPLVSKGYASDSLAAEDVPPVSPAHRAEASYARFVSNWPAQGSRYPVGVALWLSFWPRVLLTAALGLVRLAAMYVGPSLINHFVDFISHGGTTWEGLRLVAILVAGKAVQTLASHHYNFQGQLLGMRIRGALLTALYRKSLRLSTGARRAHGSGAIVNYMQVDAGTVSYAMHGLHGLWLMPLQIVVALVLLYAYLGPSVLMTLAVITAVTVITAFANKLNLAYQLKFLGVRDSRIKAITEMLNHMRVIKLQAWEEKFGCKVRELRQTEMGWLTKIVLFMCANNVVFSSGPLAMTVLVFGTYLATGGELDAGKVFTATAFFSMLEGPMHNFPQTIVMCMQAFVSLGRLNKFLSDAEIDSTAVERIASSAGDAAAVKVQNGVFAWDVPVEGAEDARQGHGTENGREEGPEMEMVLKGIEVEVRKGELAAVVGTVGSGKSSLLSCIMGEMHKVSGTVSICGSTACVAQTAWIQNGTIQENILFGQPMHSERYREVIHACCLEKDLEMMEFGDKTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSAIFKECLKGILKKKTVLLVTHQVDFLKNVDTVFVMKDGVVIQSGSYNQLLTSCSDFSVLVTAHHSSMEVPGAAEQMSHDQTTEYSQDTTVPAKSPVKSNSSNENGGTSVAPSKEAGSSKLIEEEEKESGRVSWQVYKLYITEAWGWWGVLVILAVSVLSEGSSMASNYWLSYETSGGTIFDTSVFLGVYVSIVAASIVCDAINTLFVTFLGFKSAQVFFNKMFDSILRAPMSFFDTTPSGRILSRASADQMKIDTALVFYVGFATSMCISVVSSIAVTCQVAWPSVIAVLPLVLLNIWYRNRYIATSRELTRLQGVTRAPVIDHFSETFLGAPTVRCFGKEDEFYQINLDRINSNLRMSFHNYGANEWLGFRLELIGTLLLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYYTISMTCMIENDMVAVERVNQYSTLPSEAAWEVADCLPSPNWPRRGDIDVKDLKVRYRSNTPLILKGITISINSGEKIGVVGRTGSGKSTLVQALFRLVEPVEGHIIVDGVDIGTLGLHDLRSRFGVIPQEPVLFEGTIRSNIDPIGRYSEDEIWQALERCQLKDIVAAKPEKLDALVADMGENWSVGQKQLLCFGRVILKRSRILFMDEATASVDSQTDATIQRIIREEFTDCTIISIAHRIPTVMDSDRVLVLDAGLVKEFDEPSKLMGRPSLFRAMVQEYANRSYSTEARD >ONIVA04G03050.1 pep chromosome:AWHD00000000:4:3993195:3995495:-1 gene:ONIVA04G03050 transcript:ONIVA04G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYNVFDRGDGTKKSSKRFLSAIKRAASSRSHHHSYSSSACLSNEDARAKGMLSHMAKCQWMRIKAKLSIPTPSWACKEIRMRPTTSSGIGCSLIREHTTRSCLSK >ONIVA04G03040.1 pep chromosome:AWHD00000000:4:3988198:3990638:-1 gene:ONIVA04G03040 transcript:ONIVA04G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGESKAATRGANRSSSSSSWKSRYIGYGLVLGFVLMLLYLMVNAQFSNSPNAYLGPATTSKTESIPATTYQGNQAWQEDGSRGLEEGHREEVASTQRSTGQRQEKDDESEKQRTEKNSIEEQLGNDRSSNYGEEGRQSEKKDTIEFSEFGGGTDDFNNYLDGLKPVTVRSVPNPEDAPPCTTRLNVPAMVIELGGLTGNYWHDFTDVLVPLFIGARRFGGEVQLLVVNLLPFWVDKYRRIFSQISRHDIVDLEKDDDRGVVRCYPHVVVGYGSRKEFTIDPSLDDTGGGYTMVNFTEFLRQSYSLPRDRPIKLGTNHGARPRMMILERTNSRKLMNLPEVAAAARAAGFEVTVAGGRPTSTYDEFAREVNSYDVMVGVHGAGLTNCVFLPTGAVLLQIVPYGRLESIAQTDFGEPARDMGLRYIEYDIAADESSLMDVFGKDHPMIKDPVAVHLSGWGNVAEWYLGKQDVRVNIERFRPFLTQALEHLQ >ONIVA04G03040.2 pep chromosome:AWHD00000000:4:3989402:3990638:-1 gene:ONIVA04G03040 transcript:ONIVA04G03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGESKAATRGANRSSSSSSWKSRYIGYGLVLGFVLMLLYLMVNAQFSNSPNAYLGPATTSKTESIPATTYQGNQAWQEDGSRGLEEGHREEVASTQRSTGQRQEKDDESEKQRTEKNSIEEQLGNDRSSNYGEEGRQSEKKDTIEFSEFVVKRGRVVDVVIN >ONIVA04G03030.1 pep chromosome:AWHD00000000:4:3986223:3987041:1 gene:ONIVA04G03030 transcript:ONIVA04G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSQSWSPTGSCGSEALQRQLGSAGRRGVRRRWDVAACGGEFSARQPGQQQAAWLAVGPATGTAWLPGAGATVAAGAATCFSFFAISYRSRQGAVIRHGVGKDDVAVTASMAQPAMLLSFCCFFFLFFSPIPSPSLCNRPMRRSRRHRCKRGPARAKPQRSAGPQPGRRGRRPTRRPVVVTPSIGIRRRLPVGSDGIQQKPQKRNRKDASNSFLQFNVQDARGIKRFLYLLLSRSPVERRADNILRNPPAI >ONIVA04G03010.1 pep chromosome:AWHD00000000:4:3963907:3964316:1 gene:ONIVA04G03010 transcript:ONIVA04G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRQRLPSLPPSQIWPESGGGRLVAGQRPGGRRRRRLPAVMANSLPTCLSPRP >ONIVA04G03000.1 pep chromosome:AWHD00000000:4:3954860:3959919:1 gene:ONIVA04G03000 transcript:ONIVA04G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPDNTGNEEDNDYVDPPTLNLTVLRAATRNFSAENKLGEGGFGEVFKGILEDGEEIAVKRLSKTSSQGFHELKNELVLAAKLKHKNLVRLLGVCLQEEKLLVYEYMPNRSLDTILFEPEKRQQLDWRKRFMIICGIARGLLYLHEESSQKIIHRDLKPSNVLLDEDMIPKISDFGLARAFGGEQSKDVTRRPVGTLGYMSPEYAYCGHVSTKSDMFSFGVIVLEMVTGRRSNGMYASTKSDTYESADSTSLLSYVWEKWRTRSLADAVDASLGGRYPENEVFSCVQIGLLCVQENPADRPDISAVVLMLSSNSTSLQTPSKPAFFFGSGSIASLDAAGGHAFFGGRGSEVAAISSNKMSSNPISENEVTISELQPR >ONIVA04G02990.1 pep chromosome:AWHD00000000:4:3937765:3950738:1 gene:ONIVA04G02990 transcript:ONIVA04G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPSCRCNSGSIVFLLVEVVAILFTGATSKDTTNPFTSLDCPSPPPSASPSPPSSAINSTFQSNVLALLDDLPSAAGPTGFASLSRGEGTDRAFVRGMCRGDSTPDDCATYLRSAVLDINGHCNSNRRAAIWYDKCFLSYADTNASTAYENSYHAELYNVNNVTDKVGFERTYYALMSRLRARAANDTARMESKAAHEGAERPGGPSSDGAGRRGGQAATAPAKRPERSSGVAQAALAARSERQLSRPFLSSSAPECAGATLPLPSSAAMDARR >ONIVA04G02980.1 pep chromosome:AWHD00000000:4:3898830:3955023:-1 gene:ONIVA04G02980 transcript:ONIVA04G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRSGCPVTARAAAHPSSARKTKTATGLRSTATFARMTAPPLVVHEEDEGEGCAGAFRRRRGEEGAGELPLAPRRQRRLGHAARPLRPLRRCSRRLTTPPPSTVATRAAWTLGPFVSCLGFPVNWRIEAGSPCPSPIDGFELEADGPGDGGCASTRIHMRDNLPHKDFITAVEEQLMGFIDEEVLNPEEKSGNNIFLKHTTPDPHISDGIAVTARSAGH >ONIVA04G02970.1 pep chromosome:AWHD00000000:4:3881395:3882307:1 gene:ONIVA04G02970 transcript:ONIVA04G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDRIYQMSPSHSSFTGTTTTAKSRWVSPSSVAGLLIATTSGVPLVVSPRRAGYHGRGNETSRAGDIVALLVAEATGVHPNRRSEQPIQAVEKL >ONIVA04G02960.1 pep chromosome:AWHD00000000:4:3863719:3865895:1 gene:ONIVA04G02960 transcript:ONIVA04G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTEQELSTQDMLQGHIDLHHHLYGYHKSMALLCATDLGIPGAIHRRGGAATISDIVADTMIPPAKLPHLRRLMRVLSVSGIFAVEEDVYKLTPASRLLVGDKASCNFSPLVHLVVSPAMLTTFSSLSPWFRDGRNTSPTALFEMAHGMPPWEMMKRDDTMNLALNDACVADSSFLMEIALRERGDVVFRGLRSLVDVGGGHGGAAMAIAKAFPDIKCSVLDLPHVISQAPDDGTHVLHCWDADDCVKILGQCKKAIPARGDGGKVILINPVIGYGVKQDSTLKETQVLADMNMIAIGGAEREEHEFKKIFLDAGFSDYRIMPVLGLMSIIEVYP >ONIVA04G02950.1 pep chromosome:AWHD00000000:4:3842515:3856765:1 gene:ONIVA04G02950 transcript:ONIVA04G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRLFQLHVDDPTSASRTPERKKLAAMLRDNAYNGDQNVRSHDVFAGAMLADELLLKEKERRISSDGGGDGWLLPVIFEVWVEMLLYAGNRCSRESHAKQLNSGGELITLVWLLAEHAGLYRINKTKLHFKCAEYATVMFTEVDSVSFLFFWAYKSATPEHPNGWMIWQMICNQRGDILRVWWWHYHRVLELERQKSQLENQNWQLEQQNSRLSSEKRDLESRVRRLGYENTNLLDEKMRVAHESSRKVSALEYRVRELEHQNTKLSSELVKQRENTRKAGQLFMNATDMYQQVAEKQIRTKKEELANTRKAGLLLINAADTYQEVARKQIKTMVEDLEDARMAVLVVMNAADTYQLEAEKKIKDKMEELRVLGVQKAEMDARAASLESGLKTALAKIQELEADCDKVMIENNKLWLEVERLMMELRVMAHKKEVAANAFGAEKAETMKELENHEMNENIRHPSAGAQQDSEASSTCTFVTTTTDNVVVERIMVCGVRTLSHQVSTLGDRVWELEHKNTRLLGEKGKLEKQLEETKAVVQAISSEKEEVERSLKGENDKLRLEVLTAEEKYSQSAAEVEKLQKELVALAEVKEVAAKAFDDEKAKMMMESVDLKSRLEEIQGNMDMIKSENDKLRSEALVAEQKLNICEAEIERLKMELGALTEANEAAAKAFDTQNEEITKELEDLKTKLEEIKTNKDLAESENGKLRSELLSAEEKYSQSEAEVKYLKQVMGAVVEAKEAAAKAFAAEKEDIMKESDNLKRKVKEIQDSKLLVESENDELRSEILSMKQKHGQFEVEVTSLKKELGALEEAKEITTKAFEVEKTEILKELEDLKRKVVEIQTNKDLVEVENDKLRLDVLSAQQKQSILEVEANNLKMELGALVEAKEVATKAFDAEKAKITKELEDVKRKMEEIQVKKDLVEGEKDKLRLEILIAEQKHSMSELEVKRLKMELGALAEANETAVKSFDAEKEKFIREMGDLKRKIEEIQVSKEAAEEVGRNKNAEADRLRAELVKIQVSLSQLQASYNELDAKHSLLNDEKNSAQKALDVEKVEACKLKSKFKELENYKAEKDEEAGKLKAALEEKKSEIDVLIKDNELLRLAIAEAQEKNKGSILSCLSPCGSK >ONIVA04G02940.1 pep chromosome:AWHD00000000:4:3839563:3841377:-1 gene:ONIVA04G02940 transcript:ONIVA04G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLIGTMEKGVGVAKPLRTQPMTPYLISTMAKGVVCGETSSPAALDAAPSLDLTHFRPKPLSPYLAGIVVKGVGVAKGVPFRPFGLGSPRTAPAVVEWTTMPHRKAWFSQ >ONIVA04G02930.1 pep chromosome:AWHD00000000:4:3835287:3836435:-1 gene:ONIVA04G02930 transcript:ONIVA04G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRARLLSAAAGPVLPDELVWEILACLPARGLLRCHAVCRDWRRLATSADFLLAHHRHQPPRPLVFGCARWRSGAAADADAAVDSVDLIRHPAERRRVLGFSDYRQHQSFKIHSSCDGLLLFVSGRAFYICNPATRQVTPVPALTGGGSQVTLYPHPSSGDGEYRVLKWKYPDAVCILAVGSSEKPRRIGLPEAFLPPVFWIDEIGFLPPVLLHGCLHWHLRKPEDAILVFDTVAESFRWMVSPNVDGYGAHLVEIDGGMLGIGIVTQGMAKLWVLQDYETEVWSLRYHVKLPVARMRSIAREGFFSWKIVCHRGEILVYIQSSVFLFLCDTKGNLREKIHLDNMLPCAMRHCLKESLVNHAFFGRHGGAHVGQPQFFCGL >ONIVA04G02920.1 pep chromosome:AWHD00000000:4:3825974:3833273:1 gene:ONIVA04G02920 transcript:ONIVA04G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 41 [Source:Projected from Arabidopsis thaliana (AT1G08190) TAIR;Acc:AT1G08190] MSTAARRGHPPPHENGEEEEEGEGEVEDDEEEEPRLKYQRLGGSVPAIVSTDAAAAIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINNISFDADGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAIALDPNYYNNYRRFATGGLAGQVLVLTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDTILVIGWGTSVKIAAIRTDSSQGLNGIQRSITASNEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDRENSFSNSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAARLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKKWPPTLYSASPVISAIEPQLNSSSMTDSLKEALAELYVINNQYDKALSLYAELLKPEVFEFIEKHNLHDAIHDKVVNLMILDSKRTVNLLIQHRDTILPNEVVGQLLHASKSCDKRHLLHLYLHALFETDMNAGKDYHDMQVELYAEYEPRMLIPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVMEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKADCVNLLVKYYHEARRGVYMASMDEEVTGTRVAEGSSRANERSSSLRALEIKSRTRCGARCCLCFDPLSIQDISVIVFYCCHAYHTSCLEGGLDLMKSNSSTQDSDEDDEGTPSGESRMRCVLCTTAAA >ONIVA04G02920.2 pep chromosome:AWHD00000000:4:3825758:3833273:1 gene:ONIVA04G02920 transcript:ONIVA04G02920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 41 [Source:Projected from Arabidopsis thaliana (AT1G08190) TAIR;Acc:AT1G08190] MSTAARRGHPPPHENGVGGHADDEPDEDGEEEEEEEGEGEVEDDEEEEPRLKYQRLGGSVPAIVSTDAAAAIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINNISFDADGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAIALDPNYYNNYRRFATGGLAGQVLVLTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDTILVIGWGTSVKIAAIRTDSSQGLNGIQRSITASNEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDRENSFSNSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAARLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKKWPPTLYSASPVISAIEPQLNSSSMTDSLKEALAELYVINNQYDKALSLYAELLKPEVFEFIEKHNLHDAIHDKVVNLMILDSKRTVNLLIQHRDTILPNEVVGQLLHASKSCDKRHLLHLYLHALFETDMNAGKDYHDMQVELYAEYEPRMLIPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVMEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKVNIQEKDILKADCVNLLVKYYHEARRGVYMASMDEEVTGTRVAEGSSRANERSSSLRALEIKSRTRCGARCCLCFDPLSIQDISVIVFYCCHAYHTSCLEGGLDLMKSNSSTQDSDEDDEGTPSGESRMRCVLCTTAAA >ONIVA04G02920.3 pep chromosome:AWHD00000000:4:3825758:3833273:1 gene:ONIVA04G02920 transcript:ONIVA04G02920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 41 [Source:Projected from Arabidopsis thaliana (AT1G08190) TAIR;Acc:AT1G08190] MSTAARRGHPPPHENGVGGHADDEPDEDGEEEEEEEGEGEVEDDEEEEPRLKYQRLGGSVPAIVSTDAAAAIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINNISFDADGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAIALDPNYYNNYRRFATGGLAGQVLVLTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDTILVIGWGTSVKIAAIRTDSSQGLNGIQRSITASNEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDRENSFSNSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAARLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKKWPPTLYSASPVISAIEPQLNSSSMTDSLKEALAELYVINNQYDKALSLYAELLKPEVFEFIEKHNLHDAIHDKVVNLMILDSKRTVNLLIQHRDTILPNEVVGQLLHASKSCDKRHLLHLYLHALFETDMNAGKDYHDMQVELYAEYEPRMLIPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVMEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKADCVNLLVKYYHEARRGVYMASMDEEVTGTRVAEGSSRANERSSSLRALEIKSRTRCGARCCLCFDPLSIQDISVIVFYCCHAYHTSCLEGGLDLMKSNSSTQDSDEDDEGTPSGESRMRCVLCTTAAA >ONIVA04G02910.1 pep chromosome:AWHD00000000:4:3819277:3819753:1 gene:ONIVA04G02910 transcript:ONIVA04G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLDHVLCFVFVVYAKLGLVASSAQYIVMWQLAPSMWIVDYFADYSIVPIILLFWCSSSGCRLSPFLMAPSFLASPSGRLVVLRPGSCLRRRWRLAAAPAIGLVAGDNLTVPLRCACPSLPQFAVVVAAALDAGHDMACTRGEK >ONIVA04G02900.1 pep chromosome:AWHD00000000:4:3809546:3810097:-1 gene:ONIVA04G02900 transcript:ONIVA04G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDLILYNLVPAQPLNPSAIPNPNPDLSIAAAEPPSSDGATPRRVRPRKSPSSSDRHSKVAGRGRRVRIPAMVAARVFQLTRELGHRTDGETIEWLLRQAEPSIIAATGTGVTPEEAPPAAVAIGSSSVAAAAAAAGHGGAFVHVPYYTALLMQPPNADEPPMASAASASGTTAADENNN >ONIVA04G02890.1 pep chromosome:AWHD00000000:4:3789914:3796139:-1 gene:ONIVA04G02890 transcript:ONIVA04G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGEAFRRLPPPLLLLLLLAAGVARGQQTTAPPVVPDALNNLTRDVADYISHRFSFCVADPTEDWNEAFNYTSDLGFVQNCLAETRGDLGGRLCTPNEVKFYFSSLYDRKGEKNLFLKTNINCNRSSWVQGCEPGWACSVGSGPNPQGNIPPRTASCQPCCEGFFCPRGLTCMLPCPLGSYCPRATVNTTTGLCDPYKYQITPNTTGCGGADTWADFGSTEEMFCPAGTIADWVPPLKTGSCKEKTENENIVILGGCLVGMLFVFLLIIYNCSGQFLTIREKRKARSRENAIQLARQQLKAHEGWKAAKRLARKHVNDMQSHLSRTFSRRRSFRQHLDSENSGHRLQEAPLFMNQELSDSAAFSAHQSTGEISEVMPSVVVDVSDDGEIVAGKDRSAPKGKHRSTHTQIFKYAYGEIEKEKVRQQENKNLTFTGVLSMVSEQQKEITRPLLKVEFKDLTLSLGKKKLLRSINGELRPGRVTAVMGPSGAGKTTFLNAVTGKVAGYKVSGSVLVNGRHDNIRSYKKIIGFVPQDDVVHGNLTVEENLWFSAKCRLSATMAHRHKVLTVERVIDSLDLQGVRSSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLIVYNGPVKSVEDYFSTLGITVPERVNPPDHYIDILEGIVKPESGINAKHFPLHWMLYNGYEVPNDMKDDLKAIGEQRPHLGSSPSAGSTPHCLPHVRNAFAEERDRIEHHLSKPKDLSSRRTPGVIRQYKYYLGRVTKQRLREARLLAVDFLILGLAGICLGTIAKLSDPNFGMPGYIYTIIAVSLLCKIAALRSFSLERLQYLRERESGMSSLAYFLARDTIDHFSTIVKPIVYLSMFYYFNNPRSTITDNYIILLALVYCVTGIGYTFAICFNPGSAQLCSALIPVVLTLLSTQNNTPAILNRLCYPKWALEGFIIVNAKRYPGVWLITRCGLLFRSRFDIHHYMLCILVLFMYGLFFRIVAFVALILVKKR >ONIVA04G02880.1 pep chromosome:AWHD00000000:4:3786294:3787619:1 gene:ONIVA04G02880 transcript:ONIVA04G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIVNKSSPELVGPPTTKPVAPTVADVINLSSFDKAIGSYLFTSFHVFDNGIVEPAMTIKGALSQALVYYYPIAGRLVITGAADGGGDQLCVSCTGEGVAFVSATASCALDDVKLFDPPFAALLKELAVAHPAAGEAEADPLLLMQVTEFACGGFVVGMTWNHVVADGKGIAQFLRAVGELARGLPRPSVLPVSCGDDSLPELPPLVAAMEKAMLTQESKQFAYLDVTIPSSVIGRVKAAFDDGGDVARSGGEPCTVFEAVAAVLWRSRTRAVLISGDSDADTPAPLVFAANVHKHVGAKHGYYGNCVTSQVVAATSGEVANGDANDVVKLIRRAKELIPAQFENGGVAMNGAAARVERQLMSALFGYNAFYVASWRNIGFEAVDFGGGRPARVMCHVGPTAVPSCVACLPRDSGGASVLLLCVKEEHVDAFLAELESFK >ONIVA04G02870.1 pep chromosome:AWHD00000000:4:3782956:3784162:1 gene:ONIVA04G02870 transcript:ONIVA04G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDMRSTSRIGVSWSGVTAPLMFLPNEAEDVQVASTSVEKSVAKLMDGGTDNAARRAPGRELAVRARAAVSRVSPSCSCTSPSRPRACRSPAPTWRWRGQAEWMAAQTMQLGGHTLENSL >ONIVA04G02860.1 pep chromosome:AWHD00000000:4:3772384:3773496:-1 gene:ONIVA04G02860 transcript:ONIVA04G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSALPPRRRGLPEELVVWEILVRLPPKPLLRCRLVCRAWRRLTSTRGFLLAHHRHQPSLPLVVGYGCDGGSLLDILTLDRRDAARPRLHPVARLKNAAHFVSASCDGILILNMTNCGEFYYSVCNPTTRQFADLPMLTGFFVMGFYQHRPTGEYRLLLYYQFRPEGSEDRYACYVYTLGSSEMPRCIGWMEEVATCTAVVLLHGSLHWYNYKTDKILIFNTASESFWSMRQADKMNGNDLFEIDGTLGIYFCNDDATIVDIWVLQDYKTEFWSLKHRIELPVPDIKGKLDDGDDWSAMVLSEDGDVLVLVYYRQWLLYIGTDGKLLASFQHDVGCHYNTPLKLKQSLVPHAFFPLLKGYVVNARPFI >ONIVA04G02850.1 pep chromosome:AWHD00000000:4:3766073:3769795:1 gene:ONIVA04G02850 transcript:ONIVA04G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLVSASTGAMNSLLKKLAAMLRYEYKLHKDVYEDIEFMKDELEAMRAFLLKMSEVEDPDVQAKLRIRAVRELSYDIEDYIDKSMVLIDHEPSSRTRGFKEFIDKSKNLLTKLKTQHQIAKDIKVIKKQVIEVSERFTRYKVDEGTSKLQNSTIDPRVCTIYKDASDLVGIHEPVEDIIKCFTYEDELAKDLKVISIVGFGGLGETTLANQVYRKLAAKFECRAFVSISRNPDIRSILKSLLSQLCNQEYVQTDAWDENQIISTIRKLLEKKRIFDSEENCPSHLVEASNAILRKCGGLPLAIITTSSLLANKNKTDQWDRIQRSIEDFRIPRMHLIDRWIAEGFIQGDSRKNLYKLGNSYFYELINRSLVQPLEIRIDGQARSCRVHDTIHDFLLSKSIEENFAATINYPQLTCLSTPDMKIPTIFWGAKQLPTFSNFSTLRVLDLWFCAECGLENHHLETVTRLSQLRYLTIQGKKITELPRKFGDLKCLEVLDVIATSVKELPKSTTQLQRLAVLYVNDGTKLPDQFKNMQMLEEVVGIDVFRHSMEFLEGLCELKNLWRLSINWDIDRLEGNKVRYKEIIASLCKLEACNLHDLSIHVHLRNNDDFSSLLLPLNSIKSFVLRGESISKVNIWLSSLVSIRRLALTIKDIDQDDLQVLGSIPSLTNLYLCLDPDQNERSIAISDIHGFQQLEPFTFQSTHTGLMFEAGSMPRLGQLSFGINVANFKSTYGGFCLGIQHLSCLTMVSVSTNRLGAKLGDVEAVEDAFRCMVEAHPNQPTLEIETDNLRE >ONIVA04G02840.1 pep chromosome:AWHD00000000:4:3750191:3755214:1 gene:ONIVA04G02840 transcript:ONIVA04G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSFGILDDNDCDEVKSSDESTKNLQSDDDDVDDRPGWLPDGWIMEVYLGDDGTIYRYYICPVSGRTFTMKSEVLHYLFSEMDQCFTESKNRAVGSNLTRTHEWLPKGWLVEIRAGGDNMDKMYKFYVYPPNRVRLFSKDDVLLYIKEMKISGFDTDGQCNTSTQENILAILEFNPEGLPQGWVKEVVFRKTHTGRIRRDRHYTDPIKSYVFRTKRSAALYVETGKVTIRAFVQKTSVHEVYSFEKFTHLHESLQKRLNLGRTNQLRTRSSKLQKLSLKEGILSDDQSSSSDGNSDYSDTLQEAGQKKVNHVKATLKGKEVMASTTVKRPRGRPPKRGNARK >ONIVA04G02830.1 pep chromosome:AWHD00000000:4:3705838:3706974:-1 gene:ONIVA04G02830 transcript:ONIVA04G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAARTGLPPRRRGLPEEIVVWEILVRLPPKPLLRCRQVCRAWRRLTSTGDFLLTHHRHQPSLPPVDRYKCNEEFLLGIVSLDRRAAATRLQPVAQLDDTCYMMNADASCDGLLLLSIGGIWWFICNPITRQFGALHLLCGFMVMGFYKHPPTGDYRLLLYRNQELMSEHLIPGDRNTCYVYTLGSSDVPRCIGWPETSASGATVVLHGSLHWYQRSQDMILVFDTTAESFRWMRAPSDRMKCTLDSGNLFDMDGKLGMYCSNDGCTIVDIWVLQDYKREIWSLKYQVELPVPEIRGMLGGAYHWSAMVLSQEGDVLVLVSCDRWLFYIDTEGNLLASFQHYGDGLFTTGLKLKPSLVQHAFFPLLDSYAVNASPFI >ONIVA04G02820.1 pep chromosome:AWHD00000000:4:3703876:3704328:1 gene:ONIVA04G02820 transcript:ONIVA04G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEIAAVPSISEGLAEISKKMVNLAAQLRALAAQSTEPTAFLEEAEPLYRHAVALRPRGHVFKQHRWQQHSAVTLQPRGGGVTRGEALMFPLPAAPSPGVAAQPRPRRWDWRWRCRHCRPQRWRRRRRHNTTWVSGSQARFPGDATRFP >ONIVA04G02810.1 pep chromosome:AWHD00000000:4:3696878:3702155:1 gene:ONIVA04G02810 transcript:ONIVA04G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSFGILDDNDCDEVESSEEATKNLQSGDDDVDDRPGWLPDGWIMEVYRGDDGTIYQYYICPVSGSTFTMKSEVLHYLFSEMDQRFMESKNCDVGCNLMRTHEWLPKGWLVEIRAGGDNMDKMYKFYVYPPHRVRLYSKDDVLLYIKEMKISGFDTDGQCNTRLPQGWVKEVVFRKTNTGGIRRDRHYTDPIKNYVFRIMRSAALYVETGKVTIRAFVQKTSVHEVYSFEKFTHLHESLQKRLNLGRTNQLRTRSSKLEKLALKEEILSDEQGSSSAEDGDSDYSATLKEARQKKANRMKTSLKGKKEVMASTTVVLEGGPQNEEMQESEQVALQKTSITIIPKWPSGRVPKIRTSSN >ONIVA04G02800.1 pep chromosome:AWHD00000000:4:3664010:3665850:-1 gene:ONIVA04G02800 transcript:ONIVA04G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLLVILLQALTGLLVILALWIVSHLAGDRPPPGPVVCAANGTSGCTLTNIYGSFSDRAICRAANVTYPRTEEELVAAVAAAVAAGRKVKVATRYSNSFPRLACPGGEDGTVISTRWLDRAVRVDAARRLMVVGMRIVTPAPASEGFAAVRELAAGDPDLDAAKVSLGVLGAISQVTLELQPLFKRSVAFLTRNDSDVADTVAAWGRLHEFGDVAWLPRRRVAVYREDDRVDVATPGDGRSDYPAFRPTPTLPLVASRLAEEWLEERSGSDAARCAASRVMPATLEHLNYGLTNDGEAFTGYPVVGYQHRIQASSLCTGAMEDDGLIPTSTCLWNGRLRGHLFYNSGFSIALPRAPAFIADVARLRDLNPAAFCQIDSKMGLLMRYVAASSAYLGKAEDSVDFDVTYYRSYARGAPRAHADVFDEVEQMALRKHGGVPHWGKNRNYAFDGAIARYPNAGEFMRVKDRFDPDGVFSSEWSDQVLGVGGASPVIVGDGCAMEGLCVEWSDQVLGVGGASPVIVGDGCAMEGLCVCSDDSHCAPEKGYFCRPGKVFTAARVCSPSPRDAANGGDDDDEISDVGDE >ONIVA04G02790.1 pep chromosome:AWHD00000000:4:3638922:3647736:-1 gene:ONIVA04G02790 transcript:ONIVA04G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVDWVKTGSEKEGRNGLELETTHARALQFDGMSGLGVIVGKRLLKEIAAAAWLRSMPLDVDIKVPAGPVPLLEGEGLQSAEARSRNDVARIARAPGKEEDGDQKTGIQTLQTTLTRLSNARLNHGLASQGNTLKSHRRY >ONIVA04G02780.1 pep chromosome:AWHD00000000:4:3605718:3606920:-1 gene:ONIVA04G02780 transcript:ONIVA04G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATRGLPPVSPGLPLPEELVVWEILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPLIAACDYADIMYLIDVLTLTLGRRRGAAARLHPVARYASTADYLDSSCDGLLLISWNTGPPQYSICNPTTRQFGDLPLISGFMFLGLYQHSPTGDYRILLYRAEKVVLEDLIPGHVERDASYVYTLGSNDMPRRIGWAEPEMSMLAGHSRRCRPAQLHGSLLHWYHSIKHMILVFDTTAESFRWMRAPIDKTENELNRELWADVLEMDSTLGLYCCNHDKTIVNIWALQDYEQEVWSIKYEVELPVTCIRGELDVGDSWSVMVSSEDGDEVVVVLVDCGQSVFCFDTDGKLLARLEHDGNDIMVTRMKLKQSLVPHAFFPLLKSYVVNDFPFI >ONIVA04G02770.1 pep chromosome:AWHD00000000:4:3593163:3596696:1 gene:ONIVA04G02770 transcript:ONIVA04G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAIGGWIASACISKLVDKVCSYAGDQYEYQREDTKDKLRSLKESLLTVQELVCRAERLQGKETNIANQLRGIKDAAYQAEDVLDLFDYRVLEAQAEVMDKVTTKAQVMGKVTTNSISSAIAGSSSSCSSSSSSITTLSSDSTVKRSVRALKRFLFCDEDLTKLAAAVTIFNEIDSRMKTLLELLKLENRAPEHPVQWRTTTSMLDTTKFFGRVSEGKHLKKLLIQKNKKSSQPYDVISIVGIAGVGKTALAQKVYSIFYDKEKHFDFMAWLHVSNKFDVERLIKELVQSVHLCTAAELSSISSLDQAQRILKDKLKGSRILVVFDDVWNEMSSQWENLCKPLQSTSKGSKLIVTTRSQNVANINGATEIVHLDGLEDEDYWEHFLQCAFHDANPSQFPQLESIGQELVKKLAGSPLAAQTVGNLLKFKLDEKHWETVNGRKLWQIEQKEDGIMPALWLSYVRLPDHLKQCIIYFALFPKNYQLRGDALIRMWRAHGFVNKETPDETAYRYINDLLQISFIRKVANIEDHYVVHDLLHDFAESISNGEHFRIEDDFHVSIPTNVRHLYVNASNISTVYASLEENIEIKKNLRSLIICKADVASWSWTRTSNFNYALEETLKELGSLRVLVLHHPAGVLPNNIQHMVHLRYLDIKESREFTFLPTSLFGLYHLQALSLQSRTRKWHQQVDPVKILRCSTRNNFRHKIDWQAHIPPGIQPWQCKEKKKNSIIIEILNQECICVHSPFMDNWVTAKNYIIR >ONIVA04G02760.1 pep chromosome:AWHD00000000:4:3590142:3600916:-1 gene:ONIVA04G02760 transcript:ONIVA04G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATGLPPPLSSGVALPEVLVVWDILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPVFTGCRNCDVLTVDRRRRLLHPVARFDSSVFCVEEASCDGILVLWRKRAIGAHSSVRFSICNPTTRQSGDIPLPLLTGFMLMGLYRHRPTGEYRLLLYRIELPASERLVDVLIPGDRNACYVYTLGSGDLPRCIGWPEAEANGASVLLYGSLHWYKRIEDMILAFDITAESFRWMRSPFGKAVWRAFHGLFEINCMLGMYCCDDGATFVDIWVLEDYEREVWSLKYRVELPVLEIRRELDVKRDLYSVMVSSEDGDVLVLVNCKRAMLYIGTDGKLLVSLPHDNDGLSITPVKLRASLVPHAFFPSLLDYVVNASPFV >ONIVA04G02750.1 pep chromosome:AWHD00000000:4:3574757:3575266:-1 gene:ONIVA04G02750 transcript:ONIVA04G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINIKPLRILNINKCALSSKFADHTKMKVSMVAVLVMVAMLMMSVAVYSDDASSHGVTEKQVAAAANAHGSNGGSVVTEAASTDSTAGASGTSSAGASGTNIDSNYYVTMKGYMEYMRKYGGKQP >ONIVA04G02740.1 pep chromosome:AWHD00000000:4:3568293:3568649:-1 gene:ONIVA04G02740 transcript:ONIVA04G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRCSILALLGLVLLFSNVIAEGAGLNKAIFSRKGLKEERKLAVTGTASSLGGLQGQSSSTGVGGVSSNNAESTNSETGDSSSAYTPMSTATTTDSHHDMSMDQYRKIIHNSQMNKP >ONIVA04G02730.1 pep chromosome:AWHD00000000:4:3527277:3527925:1 gene:ONIVA04G02730 transcript:ONIVA04G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLCHCFAYMPRLFPQKSSAVHDRTYSVTPPVFSVTTAVSGGVRLYLLDGRGGEMVSVRTVLHVVLNFAFLSWTSLRRGACACRIVVPHHKRVAINLTALLGPLTSMVFDELLLRKG >ONIVA04G02720.1 pep chromosome:AWHD00000000:4:3510962:3512596:-1 gene:ONIVA04G02720 transcript:ONIVA04G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMRKFFPAIAILLLLVVATEALAPAQSSVRPCETKSLRFEGFCMVEDNCANVCRTEGFVDGRWTADMALGTT >ONIVA04G02720.2 pep chromosome:AWHD00000000:4:3511760:3512596:-1 gene:ONIVA04G02720 transcript:ONIVA04G02720.2 gene_biotype:protein_coding transcript_biotype:protein_coding METMRKFFPAIAILLLLVVATEALAPAQSSVRPCETKSLRFEGFCMVEDNCANVCRTEGFVDGRCSTFMYDSACMANSARIKHQLA >ONIVA04G02710.1 pep chromosome:AWHD00000000:4:3505073:3505711:-1 gene:ONIVA04G02710 transcript:ONIVA04G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKFFPAIAVLLLLVINTEMAPAQARECETESSKFSGMCMIQANCDHICKTEGFMHGRRLQGIPSPLHVQDPMLS >ONIVA04G02700.1 pep chromosome:AWHD00000000:4:3498101:3498319:-1 gene:ONIVA04G02700 transcript:ONIVA04G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAEPKPEAKVEIVEEQATLEPMLPASSTSSCRAPPLHGPASSSSSSPPPPRVPPAACIGKVELQLPQHR >ONIVA04G02690.1 pep chromosome:AWHD00000000:4:3493437:3495068:1 gene:ONIVA04G02690 transcript:ONIVA04G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSIHAAASSIAVLRRRRLFHRAAPCPNPPRRPAVRDWSADIQFAAAARRRGDGPGRSDGEDGFTVVTTIMRQSTDSGETWHSKPKVENDLRL >ONIVA04G02680.1 pep chromosome:AWHD00000000:4:3492003:3492920:-1 gene:ONIVA04G02680 transcript:ONIVA04G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRKFFPTIVVLLLLVVTTAAQARECETPSNEFKGICMMVANCANVCLTEGFSGGKCSGFRRRCMCTKDC >ONIVA04G02670.1 pep chromosome:AWHD00000000:4:3489790:3491456:1 gene:ONIVA04G02670 transcript:ONIVA04G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNLRLKYDSYNENNFNSLQDTKGSQSMKSALVTVLVVLLLITSSTALARKLAADDGQQKAESQAKSEVNIDGKPSSGYGEHVCPRDMYPNCFQRMKKLTSSNHLG >ONIVA04G02660.1 pep chromosome:AWHD00000000:4:3487147:3487530:-1 gene:ONIVA04G02660 transcript:ONIVA04G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGLASNGSEIVRGWRRWIWRQEGYGGRRPVKELGRRHRSEPGGSRSGGPEQPGDRIWHPPPRGDHRRPPPILSDEEDPFEISRIRQGREGGAVARGWPMTGGEASVALMAGRRREVPAEVEV >ONIVA04G02650.1 pep chromosome:AWHD00000000:4:3484475:3484681:1 gene:ONIVA04G02650 transcript:ONIVA04G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVCAMLMLVIISSCTAATSKEKKGAEMNQLKDAVNQALAKAGQVNYAHSTNTDNNGKRTPCPLPC >ONIVA04G02640.1 pep chromosome:AWHD00000000:4:3479395:3481439:1 gene:ONIVA04G02640 transcript:ONIVA04G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWSGVGGDGDGSERGTGDHGVGSGTEQGATEPGVAGRGELRRCPVLAVVDLGMWRRASLPARATSKDIIVDGARMAAVPRRVGHDDNFLDRVAAIWRWR >ONIVA04G02630.1 pep chromosome:AWHD00000000:4:3457026:3457280:1 gene:ONIVA04G02630 transcript:ONIVA04G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGAFLFVLLVFINLCTGMPRNMAKDGYHKTDLGLKVMRNLMSGTDGRTGPPSNDHQCPLGTYPNCQGVSQSNQRTEQDVGGN >ONIVA04G02620.1 pep chromosome:AWHD00000000:4:3450783:3454209:-1 gene:ONIVA04G02620 transcript:ONIVA04G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSKFQSTFKSSSLTVCSGSAIRSAATAWSDRRLNGGETGDGRRQAASGDCRVLDRSYNIGQRSYLIMEIHSTVFISYKYSMSSDYIFLQTKPGKGLQELMKMKAALLMILVVAVSFNLCACNIPGNMEEEHGMYKDVRAGEDMRKLIDIDGRTAPIGHDYDHVCPRGIYPC >ONIVA04G02610.1 pep chromosome:AWHD00000000:4:3427445:3432048:1 gene:ONIVA04G02610 transcript:ONIVA04G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLKARLFKIVAILLAFAMVAQAMAIRGTGTTAEQDNTGESTSAKHTLPQAVGSGTSVDNHHAIPRDQYSNHGGDDGGGSTGTGDTNN >ONIVA04G02600.1 pep chromosome:AWHD00000000:4:3387276:3394731:-1 gene:ONIVA04G02600 transcript:ONIVA04G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARANLAALSIMALLFLGIVIGDAARETPGTYFSLGRMDGHNPTVAYGANTHSDSGDMNKGENSIAGHSSERKLGTTTDGAPKDSSVTFNAVKSKIMSTRKANLFALAVMAFFFVGIVIADVTQGNSEDLFLGRTDGHNHAAYGANTHSNSGVMNKGENSGADSNERKLMVSTTDGQTDGTMSPRHRSPDWYCQYIGKTEHCP >ONIVA04G02590.1 pep chromosome:AWHD00000000:4:3381930:3382240:1 gene:ONIVA04G02590 transcript:ONIVA04G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRESGKGGGRGLGLSPRGLGLSPRVRINLDRPFDRTAPAAPSSGRRPIGSTNGPHGRFQKDAKWTSTEKGNKLDTYNRYKGGNINFLYSAQT >ONIVA04G02580.1 pep chromosome:AWHD00000000:4:3375088:3375599:-1 gene:ONIVA04G02580 transcript:ONIVA04G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCTFDGEVEVLEGLLVRYIRRCVSPEEREAPDTKYRQETPEDLKLLPSKLLQNISSPPTLARGAGIPNGQEMVNY >ONIVA04G02570.1 pep chromosome:AWHD00000000:4:3364530:3365743:-1 gene:ONIVA04G02570 transcript:ONIVA04G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRFLSILPPGHALLGARIPPVAPVSNRSFLSPVMLRIIRDANIKNDTVLRIVLGTANPSLSQDIAITRKLRGYDVFLVQPTCPVNENLMDLLIMTDACMRASANSITAVSLTFVMPELTGR >ONIVA04G02560.1 pep chromosome:AWHD00000000:4:3340968:3344745:1 gene:ONIVA04G02560 transcript:ONIVA04G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFVVQWDNSDDRDSLGVSDAVHVLDNIVGFDALDAEATGASSKYIPPGGYGHFLRMDGLKGKRIGIPNGFFTKEKYGKKQLMVYQKHVALMREHGAMVIENIGTTENQTEVKNHLFEIDLVAMKAEFKLSLNAYLSDLLYSPVRSLADVIAFNNAHPVEERLKDFGQSFLTDSQKTNGIGPREKTVLKILKEISTYVLEKLMKKHQLDAIVTPNSDASTIFAIGGMPAIAVPAGYDNQGVPFAICFGGLKGYEPRLIEMAYAFEQATKVRRMPRYKH >ONIVA04G02550.1 pep chromosome:AWHD00000000:4:3336739:3337219:1 gene:ONIVA04G02550 transcript:ONIVA04G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASSQSYNYAKNTPDVSITRMRLAKTHANQFKRREVQEALRTISTKSKSPPIHLECDDQSYGAASTAFHAKNPSLA >ONIVA04G02520.1 pep chromosome:AWHD00000000:4:3321313:3324595:1 gene:ONIVA04G02520 transcript:ONIVA04G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAAAAFCCCCSAATGFEFNEATVDAIQLGFSNGSLTSTTLVRFYLDRIARLNPLLHAVIEVNPDALAQAARADAERATGRRCGPLHGVPVLLKDNMATRDRLNTTAGSLALLGSVVRRDAGVAARLRAAGAVILGKASLSEWSNFRPVKSGWSARGGQTVVFVKLPMENPYVLSADPCGSSSGPAVAAAANMAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVIPISPRQDTVGPICRTVSDAVHVLDVIVGFDELDAEATRVASKYIPSGGYGRFLRMDGLKGKRVGIPNGFFTGAYGKTQLRVYQKHLSTMRKHGALVIENINITTNLSAAQDVLYSNENIALQAEFKLSLNAYLSDLLYSPVHSLADVVAFNNAHPVEERLQDFGQPDLIAAQKTNGIGPVEKAAIQRLNELSADGLENLMRMHQLDAIVTPNSDASSFFAIGGMPAITVPAGYDGHGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRRMPSFKT >ONIVA04G02510.1 pep chromosome:AWHD00000000:4:3282291:3290164:-1 gene:ONIVA04G02510 transcript:ONIVA04G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAARARNRALLSPLSSLHIARPPSPKTIAHTTLSLRLPRRGWHWSASVGQGDWAGRRASACTQPARNLTPENKWARNARTLTLTLLPRRTSATAIEHLARVDEPRARPSPTCTPTTVVVLRPSLGVPLPGYRTGYSMSRFGVDLWKGLPPG >ONIVA04G02500.1 pep chromosome:AWHD00000000:4:3271391:3271759:1 gene:ONIVA04G02500 transcript:ONIVA04G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAERDERRSGRQWPDFNLRLTRYTSAVGQDKDSSHVANDGGHATNGGDRNEGGDGRGKRRRASRATAAVRRTQQMAATEPNDGGHAVDVADSGDRAGRLAVWRTRLRRRPRGTNAARRRK >ONIVA04G02490.1 pep chromosome:AWHD00000000:4:3251542:3252117:1 gene:ONIVA04G02490 transcript:ONIVA04G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLPLQLAAAIMALAAAATACRAFQIEEATFDAIQLGFSNGSLTSTALVRFYLDRVARLNPLLHAVIEVNPDALAQAARADAERASGRRGFGPQHGVPVLLKDNIATRDRLNTTAGSLALLGSVVRCDAGVAARLRAAGAVILGKSNPAEWSSFRPLTNGWSARGGETLVSGGSGNPKTLCMSSPQHFIA >ONIVA04G02480.1 pep chromosome:AWHD00000000:4:3248570:3248860:1 gene:ONIVA04G02480 transcript:ONIVA04G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLGGWAAPATLVRSPYHLGTDQLRWLEDDNGTQKGSFLATDSSPRGRSENGNMEEGAKGSFDISHNFFLLFY >ONIVA04G02470.1 pep chromosome:AWHD00000000:4:3237620:3243345:1 gene:ONIVA04G02470 transcript:ONIVA04G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTAAAILGFSNGSLTSTALVRFYLHQITRLNPLLHAVIEVNPDALAQAARADAERATGRRCGPLHSVRVLLKDNIATRDRLNTTAGKHGAMVIENIDIAKNLTEVQNVLFSNEHIAMIAEFKLSLNAYLSDLLYSPVRSLADVIAFNKAHPVEERLKDFGQPYFIEAEKTNGVGPVEKASIQHLNKLSADGLEKLMRMHQLDAIVTPNNNGRVFFAVSGMPAITVPAGYDSQGVPFGTCFGGLKGYEPRLIEMAYAYEQATKVRMMPGFKM >ONIVA04G02460.1 pep chromosome:AWHD00000000:4:3148126:3151309:-1 gene:ONIVA04G02460 transcript:ONIVA04G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHFGCNRLDAALIDCIARLTSRLPHRFLRRRIFFAHRPACSCGAAAALLLLLSRSALPRAPPPACCIAAPAHVLPRRHAAAGLLLAVRAAALMLGCPPACRSVGCRHAAMPLTPRGLALTRRRPL >ONIVA04G02450.1 pep chromosome:AWHD00000000:4:3138489:3141653:-1 gene:ONIVA04G02450 transcript:ONIVA04G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWRWGQLPRNSARRSRGAGREALRQRMEARRGGPAGARTGRRGGRRPCGQRGGGEERRLRQPASQRAASRIRRHNGVNLVHGGNLLQIPCFLSHFHVLYLREICLVNGDFFLLKGIFGISPEDQIGKVSFPPVQAAQSFSSSFPHLFHDNDDHLPCLIPCAIDQDPYFRMTCDVAPKLGFQKPSLIESRFFPALQVNKYAFSGGQDTVELHRELGANLDVDVSIKYLNFFLQDDDELEHIKKEYKAGRMLTGEVKQRLIEVLSELVARHQRARAQVTEEMVDAFMAIRPLPNMFG >ONIVA04G02440.1 pep chromosome:AWHD00000000:4:3110407:3111060:-1 gene:ONIVA04G02440 transcript:ONIVA04G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVLAAAAVAATNAQTCGKQNDGMICPHNLCCSQFGYCGLGRDYCGTGCQSGACCSSQRCGSQGGGATCSNNQCCSQYGYCGFGSEYCGSGCQNGPCRADIKCGSNANGELCPNNMCCSQWGYCGLGSEFCGNGCQSGACCPEKRCGKQAGGDKCPNNFCCSAGGYCGLGGNYCGSGCQSGGCYKGGDGMAAILANNQSVSFEGIIESVAELV >ONIVA04G02430.1 pep chromosome:AWHD00000000:4:3070915:3072192:-1 gene:ONIVA04G02430 transcript:ONIVA04G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVVTKSSPSVLVRPSEPTPAATIRPTSTDMTRLGMSFTSFHVFERGVDEPAETIRRALSRALVHYYPFAGRLAGSGDDVVFSCNGEGVVFVRATANCTLEDVNFLGAPVVMSLADLAVRYGGPCRAASDPLMMMQVTEFACGGFVVAATWNHGVADACGLAQFLRAVGELARGLHSPSVVPVRYDESLPDIPQLATILLKRLAAGVKFEHVDFAYCDVIIPWSFVNRVKAEFGSRHAGDRPCSVFEAVTAAMWQCRTRAINGHGGGALAPLVFAANVRKHVGAKDGYYGNCIMSQVVVATADAVANSDVVDLVKLIKDAKERIPVLLSTKTLGLDDGGGGELVAALCGYGALYASSWAGLGLDGVDFGGGRPARVIPDSEVKMLPSISPGAPCSMRDGHGVNVVASCVTDEHLEGFRAQLARL >ONIVA04G02420.1 pep chromosome:AWHD00000000:4:3060951:3061442:1 gene:ONIVA04G02420 transcript:ONIVA04G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGDTRTVNHSHNQLYGFVLAQPQLASRAAQPQPAASDVYSATRNFLNLTCHQKKNNVSYIFHNRKLGRDSAEPSGPSGDGTEKSGGGA >ONIVA04G02410.1 pep chromosome:AWHD00000000:4:3058658:3059452:1 gene:ONIVA04G02410 transcript:ONIVA04G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDNASTPASGKNTWWPIAEYIDVQCSVDSNDS >ONIVA04G02400.1 pep chromosome:AWHD00000000:4:3039293:3049600:1 gene:ONIVA04G02400 transcript:ONIVA04G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSVNMREQNNIIIISIAMTILLLVVFFCRMLGNMAGKNKRKKQPKLPPGPATMPVLGNIHQILMNKPVFRWIHRLLDEMDTEILCLRLGSVHVIAIASPEMAREALRRNDAVLTSRPVSFAWRAFSFGYKNTVGSTGDQWKKMRRMLASEILSSAMERRMLGQRVEEADHLVNYIYRNCNSGTVDIRHVTRHFCGNIIRKLVFGRRHFAFGAGNIGPGRDEEAHIDALFTALDYLGAFSISDYFPSLVLNGLMSTFRRLHDPIIMERMEEWRAPRRNGDERREVADFLDVLISLDDAQGKPLLSLDEVKAETLEIILNSVDNPSNAVEWALAEMVNNPKVMKKAVDELDMVVGKERLVEESDIHSLTYLKACIREAFRIHPYHPFNPSHVAIANITIAGFMIPKGSHIILSRIGLGRNPRAWDNPLEFRPERHLKNTDNVVLAEPELRFLSFSAGRRGCPAVSLGTSITMMLFARLLQGFSWSISPGANRIELQESVTSLQLTANMREQNNTIIVSIAMTILLLVAFFCRIKKQAAMAAKNKRKKQPKLPPGPATMPVLGNMHQMLMNKPVFRWIHRLLDEMDIEILCLRLGRVHVITVASPEMAREVLRKNDALMTSRPASFAWRAFSFGYKNTIGSTGDQWKKMRRALASEILSPAMECHLVNYVYSHCNNGTVDVRHVTRHFCGNIARKLVFGRRHFSTPPPANSGGPGHDEEAHIDALFTALDYPSAFSVSDYFPALVGLDLDGHEEVVNGLLNTFGRLHDPIIMERMQEWRALRRNGDERREVADFLDVLVSLEDAQGNPLLSLDEVKAETLEIFIATVDNPSNAVEWALAEMVNNPNVMKKAVDELDVVVGKERLVEESDIQNLTYLKACIREAFRIHPYHPFNPPHVAISDTIIAGYLIPKDSHVMLSRIGLGRNPRVWVNPLEFRPERHLNNATSTMVLAEPELRFVSFGASRRGCPAVSLGTSITMMLFARLLQGFTWSIPPGADKIELQESASSLQLSKPLLMQAKPRLLLHLYELDRL >ONIVA04G02390.1 pep chromosome:AWHD00000000:4:2991713:2992198:1 gene:ONIVA04G02390 transcript:ONIVA04G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVTNADNGSKYLVRVPCSGDLLQVWRFVDYDDGDEVEEDEDAEDLPLGTKHLQIFKVDGGEQKLVEASAASLEDHVLFLGHGFSACFPAEHFPALKPGCAYLADDHELVSVSKHCRRDIGRWDMKRGQMERLSGEDDVAAPSQPWLNWPSPVWITPTFY >ONIVA04G02380.1 pep chromosome:AWHD00000000:4:2982880:2983828:-1 gene:ONIVA04G02380 transcript:ONIVA04G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSHVSAETRKLVGKVAVITGGASGIGACTARLFVKHGARVVVADIQDELGASLVAELGPDASSYVHCNVTNEGDVAAAVDHAVATFGKLDVMFNNAGVTGPPCFRITESTKEDFERVLAVNLIGPFLGTKHAARVMAPARRGSIISTASLSSSVSGTASHAYTTSKRALVGFTENAAGELGRHGIRVNCVSPAAVATPLARAAMGMDMDDETIEAIMEKSANLKGVGLKVDDIAAAALFLASDDGRYVSGQNLRVDGGVSVVNSSFGFFRD >ONIVA04G02370.1 pep chromosome:AWHD00000000:4:2975467:2976066:-1 gene:ONIVA04G02370 transcript:ONIVA04G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICMQVKHGFLARRLDQMAHACVDPAVRRPCRTVEECDCGVLHARADAATARLCGSAGWVDFGGEHAGDKRCLDNGGVKGKLWG >ONIVA04G02360.1 pep chromosome:AWHD00000000:4:2971828:2972055:-1 gene:ONIVA04G02360 transcript:ONIVA04G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALVGEGDVEDAGGREDEPQTPTVGDGGGGRPPDPAVGRLGRRQAAPAGGQEAGEVAAKAGGRRLVGGGGGCH >ONIVA04G02350.1 pep chromosome:AWHD00000000:4:2964068:2964472:1 gene:ONIVA04G02350 transcript:ONIVA04G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGFFDARFYDHTSLGHPTQPMFTYVQVKTITLHVFIATPMATSPVYAKNEEPTRESISVASETPSLSICPMGKTLIKKPDDKIHELWCPIQNSNSDAVKGCPIIQQMKAELDTCNLHRIQRYWVWCPVHKT >ONIVA04G02340.1 pep chromosome:AWHD00000000:4:2962622:2970540:-1 gene:ONIVA04G02340 transcript:ONIVA04G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVGSLYRSVTAITVAVLIMVIVVDPMMPVMAIIGRLSMSVIAFFSKYNSKILNTCGPHGRKGQHKALKPIACEKEKLRRKIKIPKIEKYHAVSPPPYSSSSRHRCCPHPPVIAIVAVILQPPLPPSSSSSYSIVATHIVILLSFAGGSGQERSVAGGSGWGRVAADRSGWGRSVASRTGWGRAVAR >ONIVA04G02330.1 pep chromosome:AWHD00000000:4:2950698:2952537:-1 gene:ONIVA04G02330 transcript:ONIVA04G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSEATVTLVSVVTLPILLALLTRKSSSKKRRPPGPWNLPLVGGLLHLLRSQPQVALRDLAGKYGPVMFLRTGQVDTVVVSSPAAAQEVLRDKDVIFASRPSLLVSEIFCYGNLDIGFAPYGAYWRMLRKLCTVELLSTKMVRQLAPIRDGETLALVRNIEAAAGGKKPFTLATLIISCTNTFTAKAAFGQACGGELQEQFLTALDEALKFSNGFCFGDLFPSLRFIDAMTGLRSRLERLRLQLDTVFDKIVAQCESNPGDSLVNVLLRIKDQGELDFPFSSTHTTSSTTEWLMSELMRNPEVMAKVQAEVRGVFDNKSPQDHEGLLENLSYMKLVIKETLRLNPVLPLLLPHFCRETCEIGGYEIVEGTRVLINSWAMARSPEYWDDAEKFIPERFEDGTADFKGSRFEYLPFGTGRRRCPGDIFAMATLELIVARLLYYFDWSLPDGMQPGDIDMELVVGATARRKNHLQLVASPYKPIAMQS >ONIVA04G02320.1 pep chromosome:AWHD00000000:4:2940130:2948129:1 gene:ONIVA04G02320 transcript:ONIVA04G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFTASFQCVTLFGQPASAADAQPLLQGQRPFLHLHARRRRPCGPMLISKSPPYPASEETREWEAEGQHEHTDELRETTTTMIDGIRTALRSIGEGEISISAYDTSLVALLKRLDGGDGPQFPSTIDWIVQNQLPDGSWGDASFFMMGDRIMSTLACVVALKSWNIHTDKCERGLLFIQENMWRLAHEEEDWMLVGFEIALPSLLDMAKDLDLDIPYDEPALKAIYAERERKLAKIPRDVLHAMPTTLLHSLEGMVDLDWEKLLKLRCLDGSFHCSPASTATAFQQTGDQKCFEYLDGIVKKFNGGVPCIYPLDVYERLWAVDRLTRLGISRHFTSEIEDCLDYIFRNWTPDGLAHTKNCPVKDIDDTAMGFRLLRLYGYQVDPCVLKKFEKDGKFFCLHGESNPSSVTPMYNTYRASQLKFPGDDGVLGRAEVFCRSFLQDRRGSNRMKDKWAIAKDIPGEVEYAMDYPWKASLPRIETRLYLDQYGGSGDVWIGKVLHRMTLFCNDLYLKAAKADFSNFQKECRVELNGLRRWYLRSNLERFGGTDPQTTLMTSYFLASANIFEPNRAAERLGWARVALLADAVSSHFRRIGGPKNLTSNLEELISLVPFDDAYSGSLREAWKQWLMAWTAKESSQESIEGDTAILLVRAIEIFGGRHVLTGQRPDLWEYSQLEQLTSSICRKLYRRVLAQENGKSTEKVEEIDQQLDLEMQELTRRVLQGCSAINRLTRETFLHVVKSFCYVAYCSPETIDNHIDKVIFQDVI >ONIVA04G02320.2 pep chromosome:AWHD00000000:4:2940462:2948129:1 gene:ONIVA04G02320 transcript:ONIVA04G02320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISKSPPYPASEETREWEAEGQHEHTDELRETTTTMIDGIRTALRSIGEGEISISAYDTSLVALLKRLDGGDGPQFPSTIDWIVQNQLPDGSWGDASFFMMGDRIMSTLACVVALKSWNIHTDKCERGLLFIQENMWRLAHEEEDWMLVGFEIALPSLLDMAKDLDLDIPYDEPALKAIYAERERKLAKIPRDVLHAMPTTLLHSLEGMVDLDWEKLLKLRCLDGSFHCSPASTATAFQQTGDQKCFEYLDGIVKKFNGGVPCIYPLDVYERLWAVDRLTRLGISRHFTSEIEDCLDYIFRNWTPDGLAHTKNCPVKDIDDTAMGFRLLRLYGYQVDPCVLKKFEKDGKFFCLHGESNPSSVTPMYNTYRASQLKFPGDDGVLGRAEVFCRSFLQDRRGSNRMKDKWAIAKDIPGEVEYAMDYPWKASLPRIETRLYLDQYGGSGDVWIGKVLHRMTLFCNDLYLKAAKADFSNFQKECRVELNGLRRWYLRSNLERFGGTDPQTTLMTSYFLASANIFEPNRAAERLGWARVALLADAVSSHFRRIGGPKNLTSNLEELISLVPFDDAYSGSLREAWKQWLMAWTAKESSQESIEGDTAILLVRAIEIFGGRHVLTGQRPDLWEYSQLEQLTSSICRKLYRRVLAQENGKSTEKVEEIDQQLDLEMQELTRRVLQGCSAINRLTRETFLHVVKSFCYVAYCSPETIDNHIDKVIFQDVI >ONIVA04G02320.3 pep chromosome:AWHD00000000:4:2941069:2948129:1 gene:ONIVA04G02320 transcript:ONIVA04G02320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGIRTALRSIGEGEISISAYDTSLVALLKRLDGGDGPQFPSTIDWIVQNQLPDGSWGDASFFMMGDRIMSTLACVVALKSWNIHTDKCERGLLFIQENMWRLAHEEEDWMLVGFEIALPSLLDMAKDLDLDIPYDEPALKAIYAERERKLAKIPRDVLHAMPTTLLHSLEGMVDLDWEKLLKLRCLDGSFHCSPASTATAFQQTGDQKCFEYLDGIVKKFNGGVPCIYPLDVYERLWAVDRLTRLGISRHFTSEIEDCLDYIFRNWTPDGLAHTKNCPVKDIDDTAMGFRLLRLYGYQVDPCVLKKFEKDGKFFCLHGESNPSSVTPMYNTYRASQLKFPGDDGVLGRAEVFCRSFLQDRRGSNRMKDKWAIAKDIPGEVEYAMDYPWKASLPRIETRLYLDQYGGSGDVWIGKVLHRMTLFCNDLYLKAAKADFSNFQKECRVELNGLRRWYLRSNLERFGGTDPQTTLMTSYFLASANIFEPNRAAERLGWARVALLADAVSSHFRRIGGPKNLTSNLEELISLVPFDDAYSGSLREAWKQWLMAWTAKESSQESIEGDTAILLVRAIEIFGGRHVLTGQRPDLWEYSQLEQLTSSICRKLYRRVLAQENGKSTEKVEEIDQQLDLEMQELTRRVLQGCSAINRLTRETFLHVVKSFCYVAYCSPETIDNHIDKVIFQDVI >ONIVA04G02310.1 pep chromosome:AWHD00000000:4:2933649:2933930:1 gene:ONIVA04G02310 transcript:ONIVA04G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFAPAPVGSFVLLVVLIIRLRLDDRRFGNLAGSDPGTRDSLRHRTLALLRRAGPLVYFGGVRLGRQFTIMHLVCPAVKLALQQPAILRGC >ONIVA04G02300.1 pep chromosome:AWHD00000000:4:2912284:2927975:-1 gene:ONIVA04G02300 transcript:ONIVA04G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) TAIR;Acc:AT5G43500] MAERGANLVVINPGSSNVRIGFASQDVPFNIPHCIARHITQRKDDTPRLSVRDKVMLNCHATPSQNAERERAYDIIASLLKIPFLDEEMPSANQALPPKMGRVDALSSQQNKDDSKFTWTDVMDRKRPVDKDADVDPLQRSTPDDTEPNSEENMYKEIIFGEDALKIPPSESYCLSHPIRRGHFNISQDYSLHQVLEDLRTIWNWILTEKLHINPRDRHLYSAILVLGETFDNREIKEMLSIVLCDLGFSTAVIHQEALAAAFGNGLSTSCVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHRTWPNFQTDPVNRPIDMLMLNKLKESYSQIRSGSFDAVSVVHSYEHEKSVGHQKTKLSALNVPPMGLLYPRVLVPEEYPPPPRSWFQDYDDMLEDTWQTSDSLYSSGNGGFGMWDNYPMFPTRLKKFDNIGLVEAIVGGTASTAGLAPVLEQRVLNTIPSNQPIEKAEVLQSRSYPLFVPWKGGVVIFMKTVMGCRARSQPLRCCSRTLVTVCWTRTVTLTQPLRWRAAMSRGSQEGDLDDDPGMER >ONIVA04G02300.2 pep chromosome:AWHD00000000:4:2915349:2927975:-1 gene:ONIVA04G02300 transcript:ONIVA04G02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) TAIR;Acc:AT5G43500] MAERGANLVVINPGSSNVRIGFASQDVPFNIPHCIARHITQRKDDTPRLSVRDKVMLNCHATPSQNAERERAYDIIASLLKIPFLDEEMPSANQALPPKMGRVDALSSQQNKDDSKFTWTDVMDRKRPVDKDADVDPLQRSTPDDTEPNSEENMYKEIIFGEDALKIPPSESYCLSHPIRRGHFNISQDYSLHQVLEDLRTIWNWILTEKLHINPRDRHLYSAILVLGETFDNREIKEMLSIVLCDLGFSTAVIHQEALAAAFGNGLSTSCVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHRTWPNFQTDPVNRPIDMLMLNKLKESYSQIRSGSFDAVSVVHSYEHEKSVGHQKTKLSALNVPPMGLLYPRVLVPEEYPPPPRSWFQDYDDMLEDTWQTSDSLYSSGNGGFGMWDNYPMFPTRLKKFDNIGLVEAIVGGTASTAGLAPVLEQRVLNTIPSNQPIEKAEVLQSRSYPLFVPWKGGVILGVLDIGRDAWIHREDWAKNGVHIGSGRKYRDSYFLQAQAIP >ONIVA04G02300.3 pep chromosome:AWHD00000000:4:2912284:2913906:-1 gene:ONIVA04G02300 transcript:ONIVA04G02300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) TAIR;Acc:AT5G43500] MGRTYLAEATSLGRAKINVIFMKTVMGCRARSQPLRCCSRTLVTVCWTRTVTLTQPLRWRAAMSRGSQEGDLDDDPGMER >ONIVA04G02290.1 pep chromosome:AWHD00000000:4:2901340:2904060:-1 gene:ONIVA04G02290 transcript:ONIVA04G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVSLSRRHHLTPRPAASASASTSTSLRRSRLPVGCAAAGLRCQAQAGDMDDDGVYIRGCVELARKAAGHTSPNPMVGCVVVRGGRVVGEGFHPEAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALINAKVKDVVVMWGLTYERRPRSSLRQQLYIPASPVRRRSRLRRADEVQGHVLQLPSSIRFAVIPPPIPLSVTVVDGMTDPNPIVASKGIERLQSAGIDVRVCMEEEALCRKLNEAYIHRMLAGKAFATLRTTLSMNGVVVNQIGTGADQPGGYYSQLLKECDGVIIYGISANMTSFPTSHETGAKQPLYIIIAQGEDSQLNIPFLKEECASEAEEKLVQKVVVELLPIWAVSKGPGHLAFGGSQSFPLKDVGHKEVNGCMLLEDYV >ONIVA04G02280.1 pep chromosome:AWHD00000000:4:2892195:2898075:-1 gene:ONIVA04G02280 transcript:ONIVA04G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase protein with RING/U-box domain [Source:Projected from Arabidopsis thaliana (AT5G43530) TAIR;Acc:AT5G43530] MHGDWPESPSQAVLYADEIAAVRAVLGAGLDKSRVVAALSRCGGNTERAINALLDDDATAAGVEEESKKGKGAKPAAPPVKAERDAGGAAPKPPPPPVKVEVLDDEPLGSQESNGCSARVKKEREDELLVKAPPPPTPDRVKKEEDYRCDGVAAKRGAATANAAGISLVPRPKKRSRVDDEAETIDLTATHPVPYLNPRPIRAVPPPEAMEMLESRRVRARPPPPSSDLRMVVAPPDAEFGEFPEERDWFLVGRSYVTGLSTNRGRRRLDAGELVHFSFPSLERTYGGIKVSNKKAAALAEIVRFSTNRAGEIGKLSTEWTKCLVPLVNSSKVKIQGKIVFPTVELRLMEEILLYVSFYIHRSVFTASGNNSSWDLLAPANVDYSTNPLCRLFRLLKLRAFTKADITPEELAAGKRPRNLRGDDNDEDEPMAIVGLENRHTAGQTFPEQGTDEQAISEAALNKIVGTAETYDLEEAEPPSTLVSVLKPYQKEALFWMSQLEKGIDADQAKKTLHPCWSAYKIVDKRAPAVYVNVFTGEATTQFQSVTQSARGGGKFLLEYEYLHLPNLMVLYVPSFALQILADAMGLGKTVMTIALILSNPRGEIEQDKRGTRDRDTMAQTSRSSVRGGTLIICPMALLGQWKDELEAHSAPGALSVFVYYGGDRTTDLRFMAQHSVVLTTYGVLQSAHKNDGSSIFHRIDWYRVVLDEAHTIKSPRTKAARAAYELTSHCRWCLTGTPLQNNLEDLFSLLCFLHVEPWGDASWWNKLIQRPYENGDERGLKLVRAILRPLMLRRTKETKDKMGNPILVLPPANIEIVECEQSEDERDFYEALFRRSKVQFDKFVAQGSVLNNYANILELLLRLRQCCDHPFLVISRADTQKYTDLDELAQRFLDGVQRDSARRSAPPSQAYVEEVVEEIREGATTECPICLESASDDPVLTPCAHRMCRECLLSSWRTPSGGPCPLCRSPITKSELITLPSQCRFQVDPENNWKDSCKVIKLIKILEGLQEKREKSIVFSQFTSFFDLLEVPFNQKGIKFLRFDGKLSQKHREKVLKEFSESKDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRAVQVRRFIVKDTVEERMQKVQACKQRMISGALTDDEVRSARIEQLKMLFT >ONIVA04G02270.1 pep chromosome:AWHD00000000:4:2885350:2886365:-1 gene:ONIVA04G02270 transcript:ONIVA04G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLAVLLAIVVAVVCVARTTPPAFAQTVQVWSECSPQNYTAGSAYGTSLRGVLKDVVDAAVSGGGYAVANDAGGAAHGLAICYADAPPEVCRLCLAMAAGNLSLACPRAVGGAMLYNNCLLRYAGGAPFLARPDMAQEFSFYNPNMTSAGDAAQFGAALSRLMDRLALAAASSSSSSRGRRFAFGQTNITGDGGDSLYAFVQCVDDLSPDDCRRCLQSIAASLPMTRGGRAYSLTCYTRFEVVPFYRPPTATNLVVVASPAPAPESALLPTESRGE >ONIVA04G02260.1 pep chromosome:AWHD00000000:4:2879629:2881826:-1 gene:ONIVA04G02260 transcript:ONIVA04G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALAASLLVISCFIVVKIRKSGKFQLRIIGKNSNPKENIEELLDNYGSLAPKRYKYSQLKDMTGSFSEKLGEGGYGMVYKGTSPDGHFVAVKFLHDLTRNGEEFVNEVISIRRTSHVNVVTLVGFCLEGSKRALIYEYMPNGSLDKFIYAENSKTTLGWDKLYEIAVGIARGLEYLHRGCNTRIIHFDIKPHNILLDHDFVPKIADFGLAKLCNPKESYLSMAGMRGTIGFIAPEVFSRRFGVVSTKSDVYSYGMMLLEMVGGRKNLKASVDNPSETYFPDWIYRCLADVGSLHSFDMEHETEEIARKMASIGLWCIQVSPTSRPTMSKVLEMFEKSADELEIPPKHCFYSAIQEDSSEEES >ONIVA04G02250.1 pep chromosome:AWHD00000000:4:2873666:2879570:-1 gene:ONIVA04G02250 transcript:ONIVA04G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQHRLRLEFWATFKIGGRRGESEEEEEERHSLLLFNSSMSLSLAACCFCSLLFNSDVGSLHSFDMEHETEEIARKMASIGLWCIQVSPSSRPTMSKVLEMFERSADELEIPPKHCFYSAIQ >ONIVA04G02240.1 pep chromosome:AWHD00000000:4:2862391:2864820:-1 gene:ONIVA04G02240 transcript:ONIVA04G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GXR3] MLPVFLFGLLLSLQCNFPACSATNSSIMMAGQVLVGGNKLISSNGKFALGFFQTGSSKSSDNTTLPNWYLGIWFNNISKFTTVWVANRDKPIAGPIFKLSELSVSRDGNLVILNKVANSMIWSSQIENRTKTSRNIIVVLSDNGNLVILDASNPSNVWWQSFDHPTDVLLPGANIGRNKITGQKYSLTSKKNSEDPALGLYCMELDPSGSKQFYDKLCNSSMVYFNTGEWNGRYFNSVPEMGVNAFADPKIVDNDEEEYLTYTPFDKTVITICLLDVSGLTKQLLWVEELQDWETVYIQPKDSCDVFSVCGPFTVCNNNALTLCNYMKGFSVKSPRDWDLDDRREGCTRNIPVDCSGNKTTTGLTDKFFPIPSVRLPYDAHTMETVASGHECMQVCLRNCSCTAYSYGKSSCSVWHDQLINVKQYNGTTNTNEDILYLRLASAEVQSWGHSRSGKIIGVAVGASVSVFNYLAFILLLMIWRSKRRSCDHRMNEIKEGAGIVAFRYADLQRATKNFSTKLGGGSFGSVFKGILNDSTTIAVKMLDGARQGEKQFRAEVSTIGMIQHVNLVKLIGFCCEGDRRMLVYEHMVNRSLDTHLFRSNGTILNWSTRYQIAIGVAKGLSYLHQSCHDCIIHCDIKPENILLDTSFVPKVADFGMAKLLGRDFSRVLTTMRGTIGYLAPKWISGVAITQKVDVYSYGMVLLEIISGRRNTLDECKSTGDQVVYFPMQAARKLIKGDVGSLVDHQLYGDMNMEEVERACKVACWCIQDDDFNRPTMGEVVQVLEGLVEPDMPQVPRLLQTILGGPAST >ONIVA04G02220.1 pep chromosome:AWHD00000000:4:2826235:2857302:-1 gene:ONIVA04G02220 transcript:ONIVA04G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQARGGGRGEILLLLHPVGEEPGGGLCPALADRWAGCSAFSGLIYEIGPLFFYFHGYKGGLPTLLYKADSWTKISSVIFVDSPPGTGFSYATRAQGLNSSDAIVVHQLYTFIQKWFDDHPQFFSNPLYIYFCGIIIPTLTMEIAKGIESSDKRHLNLKVARKNCKGDYNSPSNSQCASSVQAIWDNANYVLSKIWANDEAVRESLGIRKGTVKTWKRCNYDIPYKKEIVNSLEYHLNLITQGYQGLVYRLEQCCLLLLLLLCSCSSAAVAAASPAAMSSSRKAVDRLPGFAGPLPFSLETGYVAVGEARFFYYFIESERSPEEDPVLLWLTGGPGCSAFSGLIYEIGPLFFDFHGYKGGLPTLHYKANSWTKISNVIFVDSPPGTGFTYATTAEGLKSSDTIVVHQLYTFIQKWFDDHPQFSSNPLYVSGDSYSGIIIPTLTMEIAKGKESSDERHLNLKGYIAGNPLTDTTHDDNSKFPFLHSLGIIDDELYEVARKNCKGDYMTPPNSQCANSVQAIRDCIRDVNDLHILEPRCEEDGISLVSDNSASSHDRRTKLLESAVSSICRNATYVLSKIWANDEAVRESLGIHKGTVTTWERCNHDLLYKKQIVSSVEYHLSLITQGYRGLVYSGDHDSVVSLIGTQGWLRSLNLSITHGWRPWYVNSQVVGYFCKMPSLPWGPP >ONIVA04G02220.2 pep chromosome:AWHD00000000:4:2826235:2857302:-1 gene:ONIVA04G02220 transcript:ONIVA04G02220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQARGGGRGEILLLLHPVGEEPGGGLCPALADRWAGCSAFSGLIYEIGPLFFYFHGYKGGLPTLLYKADSWTKISSVIFVDSPPGTGFSYATRAQGLNSSDAIVVHQLYTFIQKWFDDHPQFFSNPLYIYFCGIIIPTLTMEIAKGKESSDERHLNLKGYIAGNPLTDTTHDDNSKFPFLHSLGIIDDELYEVARKNCKGDYMTPPNSQCANSVQAIRDCIRDVNDLHILEPRCEEDGISLVSDNSASSHDRRTKLLESAVSSICRNATYVLSKIWANDEAVRESLGIHKGTVTTWERCNHDLLYKKQIVSSVEYHLSLITQGYRGLVYSGDHDSVVSLIGTQGWLRSLNLSITHGWRPWYVNSQVVGYFCKMPSLPWGPP >ONIVA04G02210.1 pep chromosome:AWHD00000000:4:2808360:2813417:-1 gene:ONIVA04G02210 transcript:ONIVA04G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTPATAVAAGDEEEAACLQAFELMCAFTVPMTLKAAIELGLLDALVTAADDDDGRALTANELAARLPDALDEAEAASSVDRMMRLLASCNVVKCSTEAGPAGEPLRPRYSPAPVCRWFTAGGNSHHGSLAPSVLFGIDEDYLSTWHQLSAAVGGGGAVAFERAHGAPMFEYMETNRRLNTLFNQAMAQQSMIVINKLLDRFHGFDGVGVLVDVGGGTGGTLEMIMSRHKHITGVNFDLPHVISQAPSLPGVKHVAGNMFESIPNGDAIFLKSILHLQNDEDCIKILKNCHQALSDNGKVIAVEIVLPAIPEPVPTAQYPFQMDMIMLNNFRGGKERTELEFTKLAMDSGFSGTLRTTYIFANYWALEFNK >ONIVA04G02200.1 pep chromosome:AWHD00000000:4:2798485:2806223:1 gene:ONIVA04G02200 transcript:ONIVA04G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKAAIQLGLIDALTAAADGRALTAGELVAQLPAVDDAEAANSVDRMLRLLASFNVVRCSTEAGPGGDPLRRYSPAPVCRWFTAGDNHQGSLAPRLMLDVDEDNLSTWHQMAAAVVSGGPSTFERAHGMPLFEYMGTNHRFNMLFNQAMSQQSMMVMNKLLDRFHGFDGISVLVDVGGGTGVTLKMIISRYKHITGVNFDLPHVISQAPSLPGVNHVAGNMFESVPKGDAIFFKSMLLRNDEECIKILKNCHYALSDNGKVIVVDIVLPATPKPIPEAQNPLRMDVMMLNNLRGGKIRTEQEYAKLAMDSGFSGSFRTTYIFANFMAIELCK >ONIVA04G02190.1 pep chromosome:AWHD00000000:4:2782242:2787750:1 gene:ONIVA04G02190 transcript:ONIVA04G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYTSRAPASGGVAAGDDDEEAACLQAFELMCIFTVPMTLKAAIELGLLDALAAAGDGRALTADELAAARLPDAAPDKAEAASSVDRMLRLLASFDVVKCSTEAGPGGEPPRRRYSPAPVCRLFTAGGNSHRGSLAPSVLFGVDEDYLCTWRQLAAAVGGGGPSAFERAHGMRMFEYMGTNRRLNTLFNQAMAQQSMIVIDKLLDRFHGFDGVGVLVDVGGGTGATLEMITSRYKHITGVNFDLPHMILHMQNDEDCIKILKNCHQALPDNGKVIAVEIVLPTIPDLAQTARYPFQMDMIMLRTELEFAKLATDSGFSGALRTTYILANYWVLEFSK >ONIVA04G02180.1 pep chromosome:AWHD00000000:4:2771969:2773264:-1 gene:ONIVA04G02180 transcript:ONIVA04G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVVITKSSPELVGLSTKPAPPPPGDISLSSFDEALAFAAFTSFHIFTNGIVEPAMAIKRALSQALVYYYPIAGRANFAAGERRLRISCTGEGVGFVAATASCALDDVKLFDPPFAAVLKELAVDYPAEGCGEDDPLLLMQVTEFACGGFVVGVTWNHVVADGLGIAQFLQAVGDLARGLPRPSVFPVSCGDGSLPALPPLVAAIEKTMLSLETKRFAYLDITIPSTMIERVKAEYAAAAGDVDSGEPCTVFEAVTAALWRSRTRAVISSDDPDAPAPLVFAANARKHVGAKEGYYGNCVTSQVAVPTSDEVANGDLKHVVRLIRRAKEEIPLQFKNAGGGGMNGKRVKQLAGVLFGYSAFYVASWRNIGFEAPDFGGGRAARVMCHFEPTGVPSCVACLPRDGGGASVLSLCVRDEHVDAFLAELATLG >ONIVA04G02170.1 pep chromosome:AWHD00000000:4:2765274:2766739:-1 gene:ONIVA04G02170 transcript:ONIVA04G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSSSLFLKERRRSVGRCLPSRHRLPPGVYRRCPALTALAIGSRLSPIVGHHPLPPLLAGSPPDRAGQHPSPVPTRLYKSGAVWKGFYRSNIIGKVFCGAVWKGFYQSNSGNCTFIESIMIGKDFIGEILIRKYYKLIDLSHYKLKPTGMFLFLCHSPLKYGMPYSFFLRCTLNCLFQFLRPLIICSLILLYPAALPLEPTKGEMPLAEVLRFNTKVDYLILNIIIMVSCGPLMVILRFGQNWYKSNMSKIPW >ONIVA04G02160.1 pep chromosome:AWHD00000000:4:2760872:2761309:1 gene:ONIVA04G02160 transcript:ONIVA04G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAGVVDAAEDVVKPAAARQPIRRQGSLRLRALAPGSIDVRAGGAGDGSAASEYCHDAVAAAAEVIPLLTPLHAVPAAPAASDQVSGGRTARHLTEVVAGGGRCIAVEKTRLPAWWWHPAMPPFVNDQPASASAVGFVFQNCV >ONIVA04G02150.1 pep chromosome:AWHD00000000:4:2755065:2757746:-1 gene:ONIVA04G02150 transcript:ONIVA04G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQASISSSSSSPAPSTVAAFSPLRPPSAASHTNQGMRASLPLALLLLLRLISARSMSNDQHRSCRCVKSNCVKLYCLCFSAYGYCSQNCRCTNCKNREYYEDFVEERVDMIKMKNPRAFDPKIVRVQDASEIEPQSSNAVLHEVRCTSKCQCIDCGNGPRTKCEYTRLLFLFPCLMTSKCVINCFGIAWKHRILILFFTHVIFFVLNIFI >ONIVA04G02140.1 pep chromosome:AWHD00000000:4:2753289:2754818:1 gene:ONIVA04G02140 transcript:ONIVA04G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWAAAQIIFLPGPARLEGTEGLSCYCLRGKDPEEQDGELTHWLQEELPPCISYNVMEEVGTVFVVFDQAQGQLNPLRLPNGRQDLKYHGVQGLTDHRALRFRYKGYSNLFLHFWKKVAIVFEDGKASRSARDITA >ONIVA04G02130.1 pep chromosome:AWHD00000000:4:2751515:2751919:1 gene:ONIVA04G02130 transcript:ONIVA04G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSALAIGSPAAATYLHHVGTLRPPPAFAASGPPRPPPVFVVPETHGRYLSRRTREQEGPRPPPRRPSTRARASLPRTPETCRRLIRRVGWRWEGVAVAALLDGEVEGDEAAGESSARRAPADGARALAMGE >ONIVA04G02120.1 pep chromosome:AWHD00000000:4:2748871:2753273:-1 gene:ONIVA04G02120 transcript:ONIVA04G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHARLHFLLFLVSASADCLLRPPLRLRRMPPPPRLPQGKEEALLAAMCELSVLLLRVLEQTLES >ONIVA04G02110.1 pep chromosome:AWHD00000000:4:2746270:2751049:1 gene:ONIVA04G02110 transcript:ONIVA04G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGNSSSGGWGSRRVEYGRTYIVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATRPVTAFGGFPCTAWFDVEDISVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAAALHSAACYAHGKFANSMPYPITLSAVISLSGWLPCSRTLRSKTESSHMAARRASSLPILLSHGRVDEVVSYRNAERSVDTLRNSGFLYLNFKSYNGLGHYTIPEEMDDVGKWLSSRLGLDRSR >ONIVA04G02110.2 pep chromosome:AWHD00000000:4:2744013:2751049:1 gene:ONIVA04G02110 transcript:ONIVA04G02110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPASGLSFLPDLVPISMLIWCRHLINISIDVIVMVYRHKLWYIILPALLSPNLSATMHNSSMYSQKTILSAFSSASNFLHWNKFSVFLKVHGFMHDTSSNNLSVPSSSNWKLDVKRKAQWPDNKFVYDYSLHFI >ONIVA04G02100.1 pep chromosome:AWHD00000000:4:2737436:2738855:-1 gene:ONIVA04G02100 transcript:ONIVA04G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15690) TAIR;Acc:AT2G15690] MAAAAAAIRRPLLRSVAFSSSRSRSLLHLLSRPLSYSYPPSPQAPPPPRNDYGPPPPPPQQQQQQREAVVGPGELIGLGREGRVKEAVEKLDKGARADPQAFYELAAACSNPKLLEELRKIHDFFLRSPFRADLQVNNKMLEMYAKCAAMNHARRTFDHMPDRNMDSWHIMIDGYAVNGLGDVALQLFEEMKTKYGIAPTAHTFTLVLNACANSEAIEEAFLYFDAMSRDHGIEPGVEHYVGIIEVLGKSGHLNEAVEYIEKLPFEPTATVWESLLNLARMNGDIDLEDRAEELLVSLDPTKVNPKKLPTPPPKRRLGINMLDGRNKLVEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >ONIVA04G02090.1 pep chromosome:AWHD00000000:4:2720612:2721774:-1 gene:ONIVA04G02090 transcript:ONIVA04G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSILVVVFALFAVAASLPPSAAARDAQVFKPTVAVDVVHREQQQQQQPAVNAIADVSQPSTSLVGLPGLPPLPPLPTIQIPGLPPLPQLPTIQIPGLPPLQPLPAIQIPGLPPLQPLPTIQIPGLPPLPQLPTIQIPGLLPLPPLPSIPITLGSPGAQIPINSQSALATSTTPQVITECLSSLMQLMPCMEYLTKADEPAPPSICCDSFKSLVEKAPICLCHGINGDISKFMPAPIDFARMMSLPATCGIAPPVEALTKCFTGPVPPLMPAPTPAAAPSPGPSA >ONIVA04G02080.1 pep chromosome:AWHD00000000:4:2690507:2692445:-1 gene:ONIVA04G02080 transcript:ONIVA04G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKTDKRSCPAARLRGRDMRAASGEAAGSRHEATGGRQAATAARGFLRKLRPLPQFKHFLSLLQFKHFPRVANQLIC >ONIVA04G02070.1 pep chromosome:AWHD00000000:4:2670553:2673795:-1 gene:ONIVA04G02070 transcript:ONIVA04G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGADQWHQRRAGSCQRARQNNDTIMPSQLIAQNDHAYVLTFLMSIAMAILLLVALFYRIKKQAAAMAAKRKQQPKLPPGLATMPVVRNMHQMLMNKPVFRWIHRLLDEMDTEILCLRFGRVHVIAVASPEMAREVLRKKDAMLASRPSSFASRTFSFGYKNTIMSPAGDQWRKMRRVLTSEILSPAMERRMLGRRVEEADHLVNYVYSHCNDGTVDVRHVTRHFCGNIIRKLVFGRRHFNSGDGNIGPGRDEEAHIDALFTALDYHGAFSVSDYFPTLVVNGLMNTFNRLHDPIIMERIEEWKSLRTKGDKRREVADFLDVLISLEDAQGKPFLSVDEIKAETLEIILATVDNPSNAVEWALAEMVNNPKVMKKAVDELDMVVGRERLVEESDIHNLTYLKACIREAFRLHPYHPFNPPHVAIADTTVAGYMIPKGSHVMLSRIGLGRNPRAWDKPLEFQPERHLKNTGTVVLAEPELRFVSFSAGRRGCPAVSLGTSITMMLFARLLQGFSWSIPPGGDRIELQESATSLQLSKPLFMQAKPRLLLHLYEADVLN >ONIVA04G02070.2 pep chromosome:AWHD00000000:4:2670553:2673795:-1 gene:ONIVA04G02070 transcript:ONIVA04G02070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGADQWHQRRAGSCQRARQNNDTIMPSQLIAQNDHAYVLTFLMSIAMAILLLVALFYRIKKQAAAMAAKRKQQPKLPPGLATMPVVRNMHQMLMNKPVFRWIHRLLDEMDTEILCLRFGRVHVIAVASPEMAREVLRKKDAMLASRPSSFASRTFSFGYKNTIMSPAGDQWRKMRRVLTSEILSPAMERRMLGRRVEEADHLVNYVYSHCNDGTVDVRHVTRHFCGNIIRKLVFGRRHFNSGDGNIGPGRDEEAHIDALFTALDYHGAFSVSDYFPTLVGLDLDGHEEVVNGLMNTFNRLHDPIIMERIEEWKSLRTKGDKRREVADFLDVLISLEDAQGKPFLSVDEIKAETLEIILATVDNPSNAVEWALAEMVNNPKVMKKAVDELDMVVGRERLVEESDIHNLTYLKACIREAFRLHPYHPFNPPHVAIADTTVAGYMIPKGSHVMLSRIGLGRNPRAWDKPLEFQPERHLKNTGTVVLAEPELRFVSFSAGRRGCPAVSLGTSITMMLFARLLQGFSWSIPPGGDRIELQESATSLQLSKPLFMQAKPRLLLHLYEADVLN >ONIVA04G02060.1 pep chromosome:AWHD00000000:4:2658401:2663981:-1 gene:ONIVA04G02060 transcript:ONIVA04G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVDETRLGIQAGMADGYRRRALTATSTLVPAACGSAGGMPAAAAAHADDNFWVVSCVTEYGVTSFGIALRRSIQRIIWFQSALLVHAHVSAPCGLPLQYGRPAGLLLAWKCDLHARHLTGCLGARLRLEAIVSTDNRPTHKHSSKTTFAIPKPWKLIPAAATCESRRMDMGGIPLLPTKCGCAYEHPDHPRPRGGGGGAGVREAVASVGWHSGEAATRRRPCGGSKVGGFWHAQ >ONIVA04G02050.1 pep chromosome:AWHD00000000:4:2622845:2623060:-1 gene:ONIVA04G02050 transcript:ONIVA04G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTQAVTMLRRKRVELRGAGGGDYGGEASGGVDSGVSRRRRRGSQIRSWVLETHTEPRGLGAEEVAGVNP >ONIVA04G02040.1 pep chromosome:AWHD00000000:4:2597343:2599031:-1 gene:ONIVA04G02040 transcript:ONIVA04G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT3G23160) TAIR;Acc:AT3G23160] MVAEPLVHKVLSMATSSSSSSSSRKVKPVTTSSGKGGAAAAAAVEGVVDDGRVGILSFEVANAMSRAANLYRSLSDAEAARLLGPLCLGSQAVRALVPGDDARLLALALAEKLDALNRVAAVAARLGRRCTLPALLGFDHVYADLLAGRSDAAFAAASHSEATALVRKLDRLAAATAALYAELEALADLEQSARKLPTDEARRALEQRTRWRRHDARRLRDSSLWNWTYDKAVLLLARAVCAIYDRIRLVFGDPMRGLDLLAIGRSSRQCDQSRQLSGPASANNSGHVRTNFGDTKSGPIARIDMDTPRSVNFRSNCGASPGKMFMECLSLSSSVSWKDGFEDEFLEDASCISTIRSGMLLPFSGEQGVSTMATKSGKVGRRARFGPKSTVTSLAPPSTIGGSALALHYANIVIIIEKLLRYPHLVGEEARDDLYQMLPSSLRAALRKSLKTYVKSMAIYDAFLAHDWRETLEKTLTWLAPMAHNMIRWQAERNFEQQQIVLKGNVLLLQTLYFADREKTEAVICELLVGLNYICRYEQQQNALLDCSSSLDFDDCMEWQLQ >ONIVA04G02030.1 pep chromosome:AWHD00000000:4:2591130:2592128:1 gene:ONIVA04G02030 transcript:ONIVA04G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMMSPRDGALLQDATDQVERSANQVQNSAVWGPEAVKGLSPLLFAVMAAAKNS >ONIVA04G02020.1 pep chromosome:AWHD00000000:4:2560813:2561564:-1 gene:ONIVA04G02020 transcript:ONIVA04G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGALLAGVLNSGWNDGRANSSKKSMADTTSCLASPTRHVAAERAIPASMRPTEVERVLKQHRQPQSQETSTTTVDDQVNIFTRESGQLKQPSTICHSLRSYLDG >ONIVA04G02010.1 pep chromosome:AWHD00000000:4:2555370:2558274:1 gene:ONIVA04G02010 transcript:ONIVA04G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKKATTVEEVRGVEEETKKEEAAASDVSLKELSKKLDDFAKERDWEMYHAPRNLLLAMIAEVGELSELFMWKGEVAKGLPGWKESEKEHLGEELSDVLLYLIRLSDMCGVDLGDAATRKIVKNAVKYPAPSKST >ONIVA04G02010.2 pep chromosome:AWHD00000000:4:2555086:2558274:1 gene:ONIVA04G02010 transcript:ONIVA04G02010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKKATTVEEVRGVEEETKKEEAAASDVSLKELSKKLDDFAKERDWEMYHAPRNLLLAMIAEVGELSELFMWKGEVAKGLPGWKESEKEHLGEELSDVLLYLIRLSDMCGVDLGDAATRKIVKNAVKYPAPSKST >ONIVA04G02000.1 pep chromosome:AWHD00000000:4:2552991:2554773:-1 gene:ONIVA04G02000 transcript:ONIVA04G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQINFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEAAA >ONIVA04G01990.1 pep chromosome:AWHD00000000:4:2549516:2550145:1 gene:ONIVA04G01990 transcript:ONIVA04G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLLPCTRDDEKLLPRRQNPMAGCLVAGAVTAIFLVLPVVLIIQQLLFADFTPPPRPETSVVVDGFSGLDGAAAHVPRAFNLSLSVDNPRGSTFDVCVGGEAAVLYDGVPLATGLAEDRCVPPGGAWRGAIHAASGGVGLPPELAALMATEKRDEGDVKLEVRLISLNYGWYVRCTPSLVGGAASPIPCTGHILKDQSDGIRRVIRD >ONIVA04G01980.1 pep chromosome:AWHD00000000:4:2545398:2549506:1 gene:ONIVA04G01980 transcript:ONIVA04G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWGRWGALRFGARAVRPLASLVGVMMLASPMCVLFSGNPAYDHYSMKLASIDGFDGKMAAFNLTLLVGSRRWFVKNCFSHGQVTVSYAGVPMGEGRVRGGGFCAEPRSEEEAEAGTVPLPDNLRRRMEAELRWGAAEFDVEARLFRNGGDTRGSVMLLCKVGLLHAPPRSSQCQAFTNFVFEATAESHSLHLINVRHAD >ONIVA04G01970.1 pep chromosome:AWHD00000000:4:2537434:2541127:-1 gene:ONIVA04G01970 transcript:ONIVA04G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIGGKFKLGKKIGSGSFGELYLAVNIQNSEEVAVKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKLSLKSVLMLADQMIARVEYMHTRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKKLFRDLFIREGYQLDYVFDWTMLKYPQIRDNKLRPSGKTSGLVGRSAERTERTTGEALARRTGSGSGRNGEPTKHRTLLDSLMSSKATADTDKTRPTSLSRNGSTSRRAVVSSSKPNCGDPSDTNRTSRLFSSSSSRPSAAQRALQSAGAELRSSSLSKTRKSSRDDPTIRSFEMLSLSADRRK >ONIVA04G01960.1 pep chromosome:AWHD00000000:4:2523484:2524863:-1 gene:ONIVA04G01960 transcript:ONIVA04G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLVAAGHCVGVHDAAIHRVALPEDARAAACRGSFGNWLALVPMSPPPYQPFLLNPFTTARIQLPVWTEGTIIKIVVSSAPDSENCTVAAVVGSEFNNERRLGSVSVCRLRQKKEGSSSPWWCITKTFYLEDIVFFEGKLHAVDGAEQTYVFEDDELEEMRKWPLFHRDRVAPLSIHKRYYLTPCHGKLLMVSRSFGINRVPGGAYHTIGFKVSEVSEHSYGRIIPPPPVAVKKFDGHALFVGDACCRAFAITDEGSKIKEDQIFFSDDESNTSVVLGGGGTFQVVNHEGINCYRPLRLLQSYDLRTDCFRRYRQLRPTGQWQCVTVQRLLHRDALPPPPATDQWGAMLLLWEVMSSLGASRPPCYWSRMPSHVPNIRVIPGNVIMSVTVIVYDQSWCFTQSGRSVQEAKQLAASEAVSFLRSRFRSVLDDSPWSGVPHCHSHVSEDEYEDDDEDENT >ONIVA04G01950.1 pep chromosome:AWHD00000000:4:2507640:2510566:1 gene:ONIVA04G01950 transcript:ONIVA04G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREIESTLPPGFRFYPSDEELVCHYLYKKVSNERASQGTLVEVDLHAREPWELPDVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPATRAVVGMRKTLVFYQGRAPNGVKSGWVMHEFRLDSPHSPPKEDWVLCRVFQKSKGDGEQDNPTSAASPAATFAGSSQAAVPGQAAYSSDDHTGSSMGFAPRQNEILDSSSHQLLNLAMLQCNSVLDHFPQEVNSSPMMGLAGSIGIGDEYGFFYDTGFEETASLGGMRFPQGWS >ONIVA04G01940.1 pep chromosome:AWHD00000000:4:2463877:2493718:-1 gene:ONIVA04G01940 transcript:ONIVA04G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVIGRGQRGGCWIMRLNACACQQPASVRASCRSRAFFPANQMHLEIYEFCNHRPKIGRPPTREGREENCK >ONIVA04G01930.1 pep chromosome:AWHD00000000:4:2463363:2463767:-1 gene:ONIVA04G01930 transcript:ONIVA04G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPNPDSPPSGGGNGGGGGSSSSNSSPSMGAGAPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQQQLQGGDSSGLHGHQHHPPPPPPAGAAC >ONIVA04G01920.1 pep chromosome:AWHD00000000:4:2460505:2460796:1 gene:ONIVA04G01920 transcript:ONIVA04G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPAHPGNVFPPHSKMAIYKENIYDRYQHGPLEEIKHEYSTTVTVHERWMALATKKGQPRKGVIALILLMEWEI >ONIVA04G01910.1 pep chromosome:AWHD00000000:4:2444664:2445143:-1 gene:ONIVA04G01910 transcript:ONIVA04G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSAREHGHGHLHQQHQRPWSCSFGFSWPPLQRSPPPSSSSSSYTCGYCRREFRSAQALGGHMNVHRRERARLRQFPNPRPHPLPNLNLSPPQPPPPPPPQQQQCYCPGDRPAIVYSFFSTAAAAMAATKGLEVDLELGVGGGMEEGLDLELRLGCS >ONIVA04G01900.1 pep chromosome:AWHD00000000:4:2401028:2401660:1 gene:ONIVA04G01900 transcript:ONIVA04G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDATTARLHSVRATAPPASPGRSRLHDVAVAAAARDGGGSRHPASVARSPAAAEDDGDRGRAVAVAVAAAARGRASSRRPASAARLPIAAAAASGRGGHRPGRS >ONIVA04G01890.1 pep chromosome:AWHD00000000:4:2396807:2397361:1 gene:ONIVA04G01890 transcript:ONIVA04G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQGSAVANRGGRANRKEGEDELRGWLMLLATLTASITYAAALNPPGGVWQADDAAKDFVAGYPVLLDKSPWRYYVFYYCNATSFASSVCIIVLLATNFYLSHTSVMVFNVLVALDMASLGAAFVAGSSSSKRFTAFNAGLMVCLVVLFLLWKLKFLMGNDQAGQNPASGGVANLQHGNSAL >ONIVA04G01880.1 pep chromosome:AWHD00000000:4:2353952:2356285:1 gene:ONIVA04G01880 transcript:ONIVA04G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEQLMAPETAAMTVTTKLLAQELTKDLQVNSRRKFEKWDIAITTSEPNINTMLIAIVPGKERDYNAYSKDYMSSIGKQSCTNTRSCFRDGDIPVVPEDHINDSDQSSTLAGCSACCDHATTSENTEDQCCA >ONIVA04G01880.2 pep chromosome:AWHD00000000:4:2353952:2356293:1 gene:ONIVA04G01880 transcript:ONIVA04G01880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEQLMAPETAAMTVTTKLLAQELTKDLQVNSRRKFEKWDIAITTSEPNINTMLIAIVPGKERDYNAYSKDYMSSIGKQSCTNTRSCFRDGDIPSKISNYPMSFLGT >ONIVA04G01870.1 pep chromosome:AWHD00000000:4:2343206:2347789:1 gene:ONIVA04G01870 transcript:ONIVA04G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPSLWIRVFFSWLLLSLPAAAAADFSHCGGCDDGDGGGGIWSTDNILQCQRVSDFLIAMAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITMFTYEPHSFHVVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLRIKARELDREVGMMKRQEEASWHVRMLTHEIRKSLDRHTILYTTMVELSKTLELQNCAVWMPSESGSEMILTHQLRQMETEDSNSLSIAMDNPDVLEIKATKDAKVLAADSALGIASRGKLEAGPVAAIRMPMLKASNFKGGTPEVMETSYAILVLVLPEDGSLGWGEEELEIVEVVADQVAVALSHAALLEESQLMREKLAAQHRDLLRAKHETTMATEARNSFQTAMYDGMRRPMHSILGLVSMMQQENMNPEQRLVMDAIVKTSSVASTLMNDVMQTSTVNREYLSLVRRAFNLHSLVKEAISVVRCLTGCKGIDFEFEVDNSLPERVVGDEKRVFHIVLHMVGTLIQRCNAGCLSLYVNTYNEKEERHNQDWMLRRANFSGSYVCVKFEIRIRESRGNLLSSSSSRRLQGPNSTSSEMGLSFNMCKKIVQMMNGNIWSVSDSKGLGETIMLALQFQLQHVTPVSGASSDLFRSAPIPNFNGLQVILVDSDDTNRAVTHKLLEKLGCLVLSVTSGIQCINSFASAESSFQLVVLDLTMRTMDGFDVALAIRKFRGNCWPPLIVALAASTDDTVRDRCQQAGINGLIQKPVTLAALGDELYRVLQNN >ONIVA04G01860.1 pep chromosome:AWHD00000000:4:2336876:2337361:-1 gene:ONIVA04G01860 transcript:ONIVA04G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGHGFVKLPPLEDQLCFDADASAANGLAYYSAAAIRLLGGANGGVIGSDDDLWSFMQSAPPPPLPSAPSSRPTRRRPHPDPRSQPPPPSISAMASSMARLTSVEWSGGGVIHARENMKWRHEETALTGSGRKTRRSGMDPISQNCSGTQPISRVVMVFF >ONIVA04G01850.1 pep chromosome:AWHD00000000:4:2307080:2322311:-1 gene:ONIVA04G01850 transcript:ONIVA04G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSNRGQVVIASLSITHDSLSNNTIKELALKYKINIQEQIEENINRPKSIISLLLLDPPPPLVLSSSQPAPCSWWRIRPPTSMHQQIQPPLSRVDEGRRGGRDQAMMTRRTGPTPRPGRARPCLGRRVTSSSLAAQDLIESSLSGSRSSAFTSLRHNTLKEPIDPSGEFQKMTDSKHRQPVEVEGNNTSFLANNPISILILELAYQFNLLGMNILLLLKLARYLLELKPLLHLKLIHLLLFLLQTPLVAKVELALSSTISEDNSQSKRVEVEEIRAKFERWKINLP >ONIVA04G01840.1 pep chromosome:AWHD00000000:4:2280649:2281848:-1 gene:ONIVA04G01840 transcript:ONIVA04G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVFEWAKTSFYLFQIESIPVHVRSIIPSLFSDADRYSWEDGPYELERKNSRLESRVRWLVNENTELSNEKSYLESRVRRLVNENTELSNEKRRAANVSSTLEYRVRELEHQNTKLSAMLVKQREDTRKAGLLFMNAADTYQHVADRQIRTKEEELANTRKTGLLLMNAADAYQEVAKKQIKAMVEDLKDARKAVLVVMDAADTYQQVAEKQIKDKVEELRVLGVHKAEMDARAASLESELEAALAKNQELEAYYSKVLIENDRLWSRMELVEAKETSTNAFDSDEAEIMKELEDHKMKVEENHSSKDLRKGENDKIQLEVLTAEQKNSMFEAGVERLKMELDVLVEAKKAKSRSNQETLRGEVKEIQAAMDFVKRDNDKLWLEASEAGVERLKENI >ONIVA04G01830.1 pep chromosome:AWHD00000000:4:2246682:2255127:1 gene:ONIVA04G01830 transcript:ONIVA04G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRTMSCCISQLLRHRRRISGGVYCRGCHGMLSQRVKELESQSTTLSNEKNDLERRVKRLEYENNKLSNEKRWAVDVYSRKISTLEYRVWELDHQNTKLSSELVRQTEDTRTVGLLFMNVADRYQHVAEVQIRTKEEELVNMRKASMQLMNAADTYQEVTRKQIKAKEDDLEDATKAILMIMNAADTYQQVAEKKIKDKVEELRVLGVQKAEMDAWIASLESRLEAALVKNQELESTSVKALIENDMLWSVIERVMMGRLVEVKEAAAKASDSEKVEIMKELEDHNIKVEEIQTNKNMTKGENDKIQSKVLREMQKHSLFEARVERLKMELDALVKA >ONIVA04G01830.2 pep chromosome:AWHD00000000:4:2244692:2246917:1 gene:ONIVA04G01830 transcript:ONIVA04G01830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTPWFAELFTDDRVRTLSHQVMTLEDRVWELEHKNTQLLHEKGKLEKQLETKAAAQAISSQKEEVERSLKGENDKLQLEDLTMEENYSQSEAEVEQFQKELGALVEEKEVAAKTFDDDKEKMKMESEYLKRRLEEIHDNKDFMKTENNKLQSEALIVEKKQIMFEAEIKRLKMELGAVTEAKEVAAKAFNAQNEEITKKLEDLKRKLEEIQTNKDLVEGETNELQPEVFATEEKNSLSEAEIKCLKQILEVAMEVKEAAAESFDAEKEEIMKQSNNLKRKIEENQASKDLVESENDKLRSKMVTVKKKHNQFEADNKSLKIELGALKEAKEATAKAFDVEKAGILKELEDPKRKVEEIQANKDLVERENDKFQLEVLTGEQKQSKSKAKAKSLKVELSALVEAKEATAKAFDVEKAKIMKELEDLKKKVEEIQGKKDLVEGEKDKLWLEILIVEQKHSMYELEVKRLKLELGALAEAKETAMNSFDTEKIKFIMDVEDLKRKIEEIQVGKEATEEVGRDKDAEADRLRAELMKIGVSLSQMQASYNELDGKNSHLNDEKNSI >ONIVA04G01820.1 pep chromosome:AWHD00000000:4:2222823:2223163:1 gene:ONIVA04G01820 transcript:ONIVA04G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRGELPYRTSSTSCQHVDYLRNPTEFLYLSHGDAFFGCARGCTLMALGRFDSFSRARDALCVLCIAIALKAPRESK >ONIVA04G01810.1 pep chromosome:AWHD00000000:4:2222433:2222750:1 gene:ONIVA04G01810 transcript:ONIVA04G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKMFLGRVLMQSVVANANAKPLAFNWFLPSHDRWSIRASQDGVAMDGDKMQLPSRKTEIGTKGPEAEAVKCPGLGWTVWPAVSRDPVRAILHGPHENHSQSC >ONIVA04G01800.1 pep chromosome:AWHD00000000:4:2213451:2220326:1 gene:ONIVA04G01800 transcript:ONIVA04G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVLILFGLLFSLQRNFPACSATNNGSTLMAGQVLTGGNKLISSNSKFALGFFQTGSSKQGGQSFDHPTDVFLPGVKIGQNKITGQKYSFTSKKNSEDPALGLYCMELDPSGSRQYYDMLCNSSIVYFSTGEWNGRYFNSVPEMSSNGFSVKSPKDWELDDRREGCTRNIPLGCSSNESTTGLIDKFFPIPSIRLPYDAHSISMETVASAHECIQVCLRNCSCTAYSHGRSGCSVWHEQLINVKQYNGTSNTNEENFYHRLAAAEVPSWGQNRREKIIAVVVGASFLGLPPAIDDLEQKEVTYDHLQCATKNFSEKLGGGGFGSIFKGILSDSNTIAVKMLDGARQGEKQFRAEVVQLG >ONIVA04G01790.1 pep chromosome:AWHD00000000:4:2199747:2203540:-1 gene:ONIVA04G01790 transcript:ONIVA04G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTTY >ONIVA04G01790.2 pep chromosome:AWHD00000000:4:2199747:2203540:-1 gene:ONIVA04G01790 transcript:ONIVA04G01790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADPASSTSSLKATSCRCSRRPTK >ONIVA04G01780.1 pep chromosome:AWHD00000000:4:2187748:2188785:1 gene:ONIVA04G01780 transcript:ONIVA04G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZOS4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GXL2] MGVQEEAALAAAVVKGKRSKRQRAHAAAAVVVPIAASATAEEEESMESSLSLSGGAAAEGSSTTSPLLTTTTTARGDEAVSGCVTEEEEDMALCLMLLASGGHGERAPDAEAVAAKEAKFRSRRPADGAGAGEFVYECKTCSKCFPSFQALGGHRTSHKKPRLVAPPATTEPAADDKVKPAIPETAAAAAEEKPPKPSPPRPPASRPIATDPTVLAIPVIPKQEVLDANSAAAIASVSKQPRVHECSICGAEFASGQALGGHMRRHRPLIPASASSAVVSVLDAVDAPRQKEKSLLELDLNMPAPCDDAAAETTTSSAATSPAFAFAVSDRSPLLVPAALVGCHY >ONIVA04G01770.1 pep chromosome:AWHD00000000:4:2166067:2182418:1 gene:ONIVA04G01770 transcript:ONIVA04G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPLTDHDAVNTGCEFDCQRSSDQMCCEHSVAQFSSDQQLNPEENLALYCKPLELYNFIRHRAIENPPYLQRCLLYKIRAKQKKRIQITISLPGSNNKELQAQNIFPLYVLFARPTSNVPIEGHSPIYRFSQARLLTSFNDSGNNDRAEATFVIPDLETLIATQAYGLTFILVSRGTKKNKGRTGQNLCENDCSEKHVDYSSLRKLAGKCFWGKIPITLLNSSLETCADLILGHIVESPISICMSPGYLEPTFLEHDNCLSFCSRKADAMVPYQLQVKVSAAEAGAKDILKSPYNSFSYSDVPPSLLLRIVRLRVGNVLFNYKNTQMSEVTEDFTCPFCLVRCGNFKGLECHMTSSHDLFHYEFWISEDYQAVNVTLKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRTEILPVARADAHIMESGSPEETQAESEDDVQEENENALIDDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKAQPMTFEEVLSDNDSEDEVDDDIADLEDRRMLDDFVDVTKDEKRIMHMWNSFIRKQSILADSHVPWACEAFSRHHGEELLENSALLWGWRMFMIKLWNHSLLSARTMDTCNRILDDIKNERSDPKKQ >ONIVA04G01770.2 pep chromosome:AWHD00000000:4:2166067:2182418:1 gene:ONIVA04G01770 transcript:ONIVA04G01770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYQLQVKVSAAEAGAKDILKSPYNSFSYSDVPPSLLLRIVRLRVGNVLFNYKNTQMSEVTEDFTCPFCLVRCGNFKGLECHMTSSHDLFHYEFWISEDYQAVNVTLKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRTEILPVARADAHIMESGSPEETQAESEDDVQEENENALIDDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKAQPMTFEEVLSDNDSEDEVDDDIADLEDRRMLDDFVDVTKDEKRIMHMWNSFIRKQSILADSHVPWACEAFSRHHGEELLENSALLWGWRMFMIKLWNHSLLSARTMDTCNRILDDIKNERSDPKKQ >ONIVA04G01770.3 pep chromosome:AWHD00000000:4:2166068:2182418:1 gene:ONIVA04G01770 transcript:ONIVA04G01770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCCEHSVAQFSSDQQLNPEENLALYCKPLELYNFIRHRAIENPPYLQRCLLYKIRAKQKKRIQITISLPGSNNKELQAQNIFPLYVLFARPTSNVPIEGHSPIYRFSQARLLTSFNDSGNNDRAEATFVIPDLETLIATQAYGLTFILVSRGTKKNKGRTGQNLCENDCSEKHVDYSSLRKLAGKCFWGKIPITLLNSSLETCADLILGHIVESPISICMSPGYLEPTFLEHDNCLSFCSRKADAMVPYQLQVKVSAAEAGAKDILKSPYNSFSYSDVPPSLLLRIVRLRVGNVLFNYKNTQMSEVTEDFTCPFCLVRCGNFKGLECHMTSSHDLFHYEFWISEDYQAVNVTLKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRTEILPVARADAHIMESGSPEETQAESEDDVQEENENALIDDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKAQPMTFEEVLSDNDSEDEVDDDIADLEDRRMLDDFVDVTKDEKRIMHMWNSFIRKQSILADSHVPWACEAFSRHHGEELLENSALLWGWRMFMIKLWNHSLLSARTMDTCNRILDDIKNERSDPKKQ >ONIVA04G01770.4 pep chromosome:AWHD00000000:4:2166067:2182418:1 gene:ONIVA04G01770 transcript:ONIVA04G01770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYQLQVKVSAAEAGAKDILKSPYNSFSYSDVPPSLLLRIVRLRVGNVLFNYKNTQMSEVTEDFTCPFCLVRCGNFKGLECHMTSSHDLFHYEFWISEDYQAVNVTLKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRTEILPVARADAHIMESGSPEETQAESEDDVQEENENALIDDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKAQPMTFEEVLSDNDSEDEVDDDIADLEDRRMLDDFVDVTKDEKRIMHMWNSFIRKQSILADSHVPWACEAFSRHHGEELLENSALLWGWRMFMIKLWNHSLLSARTMDTCNRILDDIKNERSDPKKQ >ONIVA04G01770.5 pep chromosome:AWHD00000000:4:2166067:2177768:1 gene:ONIVA04G01770 transcript:ONIVA04G01770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPLTDHDAVNTGCEFDCQRSSDQMCCEHSVAQFSSDQQLNPEENLALYCKPLELYNFIRHRAIENPPYLQRCLLYKIRAKQKKRIQITISLPGSNNKELQAQNIFPLYVLFARPTSNVPIEGHSPIYRFSQARLLTSFNDSGNNDRAEATFVIPDLETLIATQAYGLTFILVSRGTKKNKGRTGQNLCENDCSEKHVDYSSLRKLAGKCFWGKIPITLLNSSLETCADLILGHIVESPISICMSPGYLEPTFLEHDNCLSFCSRKADAMVPYQLQVKVSAAEAGAKDILKSPYNSFSYSDVPPSLLLRIVRLRVGNVLFNYKNTQMSEVTEDFTCPFCLVRCGNFKISEDYQAVNVTLKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRTEILPVARADAHIMESGSPEETQAESEDDVQEENENALIDDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRK >ONIVA04G01770.6 pep chromosome:AWHD00000000:4:2174330:2182418:1 gene:ONIVA04G01770 transcript:ONIVA04G01770.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVTEDFTCPFCLVRCGNFKGLECHMTSSHDLFHYEFWISEDYQAVNVTLKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRTEILPVARADAHIMESGSPEETQAESEDDVQEENENALIDDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKAQPMTFEEVLSDNDSEDEVDDDIADLEDRRMLDDFVDVTKDEKRIMHMWNSFIRKQSILADSHVPWACEAFSRHHGEELLENSALLWGWRMFMIKLWNHSLLSARTMDTCNRILDDIKNERSDPKKQ >ONIVA04G01760.1 pep chromosome:AWHD00000000:4:2117035:2118277:-1 gene:ONIVA04G01760 transcript:ONIVA04G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCDNEKLEKGIVRGLVLAACAPWSGASRRLLLVRKDGDEATTAERVAPYGGDGFQVHEECLHEPTLGITSGREVGKKVVISLAARSPPPAMPSCASVVGLAMTLTI >ONIVA04G01750.1 pep chromosome:AWHD00000000:4:2115993:2116619:1 gene:ONIVA04G01750 transcript:ONIVA04G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAKLFLGRVLMQSVVANANANANANAKPLAFNWFLPSPDRSSIRARQDGVAMDGDKMQLSSRKTAVKCPGLGWTVWPAISRDPVRAILHGLQENRCQSVKAYGPHVTVIPSPQRPFAMHYVASCLTSDSRRRVDCLHAPTEFLDLSHGDAASHFSTAGMDTRDTLKSFSRAKDTLCVPCIAIALRAPRKSK >ONIVA04G01740.1 pep chromosome:AWHD00000000:4:2112407:2114695:1 gene:ONIVA04G01740 transcript:ONIVA04G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GXK3] MLPVLILFGLLFSLQCNFPACSATNNGSTLMAGQVLTGGNKLISSNGKFALGFFQTGSSKSSDNTTLPNWYLGIWFNNIPKFTTVWVANRDKPITYPIFKQSELRVSRDGILVILNKVAKSMIWSSQIENRPKTSRNNSVVLLDNGNLVIRDASNPSNMWWQSFDHPTDVFLPEAKIGRNKITGQKYSFTSKKNSEDPALGLYCMELDPYGSRQYYDKLCNSSTVYFSTGEWNGRYFNSVPEISSNVLFDSQFIDNDEEEYFTYTPFDKTVITICLIDVSGLTKQLLWVEELQDWETVFIKPKASCDVSSVCGPYTICNDNALTLFNCMKGFSVKSPRDWELDDRWEGCTRNIPLGCSSNKSTTGLTDKFFPVPSVRLPYDAQSISMETVASAHECMQVCLRNCSCTAYSYGRSGCSVWHEQLINVKQYNGTSNTNEEILYLRLADAELPSWGHNRREKIIAAVVGASVSAFSFLAFLLLLMIWIKRRSHDYPINKIKEGAGIVAFRYAHLQCATKNFSEKLGGGGFGSVFKGILSNSTTIAVNMLDGARQGEKQFRAEVSTIGMIQHVNLVKLIGFCCEGDRRMLVYEHMVNRSLDAHLFCSNGTILNWSTRYQIAVGVAKGLSYLHESCHDCIIHCDIKPENILLDASFVPKVADFGMAKLLGRDFSRVLTTMRGTIGYLAPEWISGLAITQKVDVYSYGMVLLEIISGRRNTLNECKSSGDQTVYFPVQAARNLLKGDVRSLLDHQLKGDINMEEVERA >ONIVA04G01720.1 pep chromosome:AWHD00000000:4:2099270:2100137:1 gene:ONIVA04G01720 transcript:ONIVA04G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIGMAWGLVLTQRVKELERINTTLSNEKNDLERRVQRLEYENTELSNEKRWAADVYSRRISTLEYQVWELEHQNTKLSSELVRQREDTRTVGLLFMNAADRYQHVAEVQIRTKEEELVNMRKASMQLMNAADTYQEVARKQIKAKEDDLEDARKAILMIMNAADTYQQVAEKKIKDKVEELRVLGVQKADMDGRIASLESRLEAALVKNQELESTYVKALIENDRLWSVIERLMMGALVEVKCKGI >ONIVA04G01710.1 pep chromosome:AWHD00000000:4:2086136:2087095:1 gene:ONIVA04G01710 transcript:ONIVA04G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLFSNTLSNIRCRDWRSPYHWMLELENQNWQLEQQNSRLSSEKRDLESRVRRLGYENTNLLEEKMRVAHESSRKVSALEYRVRELEHQNTKLSSELVKQRENTRKAGQLFMNATDTYQQVAEKQIRTKKEELANTRKAGLLLINAADTYQEVARKQIKAKVEDLEDARKAVLVVMNAADTYQLEAEKKIKDKVEELRVLGVQKVEMDARAASLESGLKTALAKNQELEADCDKVMIENNKLWLEVERLMMELRVMAHKKEAAANAFGAEKAEAMKELKDHEMNVEEIPTSMDLMKGENDKIQLEILTAGRNIACLN >ONIVA04G01700.1 pep chromosome:AWHD00000000:4:2064443:2071339:1 gene:ONIVA04G01700 transcript:ONIVA04G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPIDLWNQWATQILVLLSLTLQRKMASASVHSSLFPQSNQDCFFKKFKEVVGKDGAYGERTWALKYANLSSIRSSVDVVETPPERRLRYYYPPSSLPRRDGEDADEEELLLVAHSHFHICKRAMADSSVEVHSGDYDSKIFSYGWKEMCRVVEMELSLMYDILYTKAAVMHTWFGFAIRVVSPLAVAAALGLFRLEDDLGSYRQIDVDITYALLVAAFVLETTSLCRAIGSTWIAALLQTT >ONIVA04G01690.1 pep chromosome:AWHD00000000:4:2055026:2055871:-1 gene:ONIVA04G01690 transcript:ONIVA04G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERIVKLRPLYASRHGVLGGTLRPWVWFLPGFTTNGPTLRTVDRANVPMEGGVFFFLSSSFVLFFLCFTVSREKREERVSGAPGFQWPKCDDVGGILCSRSTRTRGGRWLVERKRGMAETAAAKA >ONIVA04G01680.1 pep chromosome:AWHD00000000:4:2054930:2055875:1 gene:ONIVA04G01680 transcript:ONIVA04G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSIRRIHFTPFLLPFHLELSHHSHLPNRPELSFCRCCFGHPSLPLYQPPASPSARRPGTQYAADVVTLWPLEARRPAHPLLSLLSAHCEAEEEENEGRRKKEKYSLTAAGWNFTPSMGTFARSTVLNVGPLVVKPGKNQTQGRSVPPRTPCLDAYSGRSFTILSTSIARRTVSSRALCDPSRSAATHGCRSNTSTLLNLHLPPILLADMLSIDTG >ONIVA04G01670.1 pep chromosome:AWHD00000000:4:2054648:2054956:-1 gene:ONIVA04G01670 transcript:ONIVA04G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDGDHHSGARGRIEKLRGRRSTVGGDGRTWEEREEEELKARMGEKRRGSPAIYRLWMSVHEGRFAGGRRGRHGDDGVRKRDTAPASCSYWTLMAVRPCC >ONIVA04G01660.1 pep chromosome:AWHD00000000:4:2045867:2047584:-1 gene:ONIVA04G01660 transcript:ONIVA04G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWAPDLPEDEGHRCHIPRRPATDGGAEAVSSGEMGGWPRAAAEAEAEGGGETKERRLAEPDIPVRQ >ONIVA04G01650.1 pep chromosome:AWHD00000000:4:2039720:2045472:-1 gene:ONIVA04G01650 transcript:ONIVA04G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSVVLFIVGVAKYVERALAMRQADLGNMRSSSKKSKLERRRFFSDVRELGNEHALLVAHDLLYITKGAFVDHLDDGHPLDREAVRSGIFRHGWKEMLKVVDMELSLMYDILYTKAAVVHTWFGYGIRALSPVISVTMLLLFWLHGKDQQRRADVFITYILMASTVFLDIRWLLRAVVSTWTYVFLIDRPCCWLHHRLPARWRVLRRFVLSLDPCRLLVKEPTWYRMWSGTIGQYNLLHECTHNTTSMFSSLVKKVASDDHWMEYEYHYSRGIHISEVIKEKLFDCIWKYMKIAYPAVPEKEGKMKGASCSASVEGVRELEEALDFLPEFQESILILHIATDVFYLCAESDQNAASSKQLVKAIKTLSDYMVFLVAVRPGMLPGLKLRSLYEATQFALEKIWSDKISSCNSTRTRERCLANILRAMEEEEGETVVKNSNSWRRGYRTRNWKPDFISKLYDSSIILSDGIKLAELMLRWLRTGYRDFRIPHTKSEKRFKQMFPELMKIMQYKMYNYPTDDKMRKLLECFFAEWVRLLINASVKCTRDSHAKQLSRGGELTSVIWILVEHAGIFRVDRGRITRGDFERNNGARALGPEPILWKVMELELSLMYDILYTKAAVIHTSIGYTIRTLSPIAIATSFLLFHFSGSKGNHRGVDIIVTYVLLGGALVMETTSLLSALGSSWALDFLCAMRWSWLRHAALCTGRWHRLRRMAYHYHDGRLLEQIKGEIFIYV >ONIVA04G01640.1 pep chromosome:AWHD00000000:4:2029694:2035899:-1 gene:ONIVA04G01640 transcript:ONIVA04G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDNSDILNSTSKCKMPKIKSLSNACKVSFSPDGPISDEALERVRALLDEIRPIDVGLDNEAQIARNWNNSTRQPNGRRGRNGANQFTSPIKYLHIHESESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGTLHAESYDWIDVTDPTDQLQELSVRPARLVRDREMSAPETTILYPNRGGNIHTFRAITPCALFDVLSPPYSAEKGRDCSYFRKSSVRETPPEVIWLEELEDHQPPEGFVVARERLVMLY >ONIVA04G01640.2 pep chromosome:AWHD00000000:4:2029694:2035899:-1 gene:ONIVA04G01640 transcript:ONIVA04G01640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVDTTLFSSHAGPLGGGSHSLLALGARDATELTRGVRPIHLPRATVASSFARPRSRFAVRMFDNSDILNSTSKCKMPKIKSLSNACKVSFSPDGPISDEALERVRALLDEIRPIDVGLDNEAQIARNWNNSTRQPNGRRGRNGANQFTSPIKYLHIHESESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGTLHAESYDWIDVTDPTDQLQELSVRPARLVRDREMSAPETTILYPNRGGNIHTFRAITPCALFDVLSPPYSAEKGRDCSYFRKSSVRETPPEVIWLEELEDHQPPEGFVVARERLVMLY >ONIVA04G01640.3 pep chromosome:AWHD00000000:4:2029694:2035899:-1 gene:ONIVA04G01640 transcript:ONIVA04G01640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYPMKHLREFVHYEIRPIDVGLDNEAQIARNWNNSTRQPNGRRGRNGANQFTSPIKYLHIHESESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGTLHAESYDWIDVTDPTDQLQELSVRPARLVRDREMSAPETTILYPNRGGNIHTFRAITPCALFDVLSPPYSAEKGRDCSYFRKSSVRETPPEVIWLEELEDHQPPEGFVVARERLVMLY >ONIVA04G01630.1 pep chromosome:AWHD00000000:4:2010476:2016989:-1 gene:ONIVA04G01630 transcript:ONIVA04G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPVTMDAHDGEADELPPPPPVPANVVPIKADDIESEVPANKPAKPKRFPMARPGLGRKGQPIQLLANHYKVSVKSSEEYFFHYNVILKYEDDRPVDGKGVGRKVIDKLQQTYRSELSSKDFAYDGEKSLFTIGALPQVTNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRVRRPYQTKTFKVELCFAAKIPMNAIAQAIKGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWQKAKRALKNLRIRTTPVNSEFKIIGLSDRNCNEQMFSLRQRNGNNGDVDEVEVTVYDYFVKNKGIELRYSGNLPCINVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLHDLKAGNGEDIFPRNGRWNFNNKKLIQTCSVDKWAVVNFSARCDVRNLIRDLIRNASAKGIQMAEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRVNDQYLLNLLLKINAKLGGINSLLQIEASPSIPLVSKTPTIILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIVFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQSGSPDNVPPGTVVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGTFLKFEDMSDASSSQGGHTSVGSVPVPELPRLHEKVRSSMFFC >ONIVA04G01630.2 pep chromosome:AWHD00000000:4:2010474:2015522:-1 gene:ONIVA04G01630 transcript:ONIVA04G01630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHDGEADELPPPPPVPANVVPIKADDIESEVPANKPAKPKRFPMARPGLGRKGQPIQLLANHYKVSVKSSEEYFFHYNVILKYEDDRPVDGKGVGRKVIDKLQQTYRSELSSKDFAYDGEKSLFTIGALPQVTNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRVRRPYQTKTFKVELCFAAKIPMNAIAQAIKGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWQKAKRALKNLRIRTTPVNSEFKIIGLSDRNCNEQMFSLRQRNGNNGDVDEVEVTVYDYFVKNKGIELRYSGNLPCINVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLHDLKAGNGEDIFPRNGRWNFNNKKLIQTCSVDKWAVVNFSARCDVRNLIRDLIRNASAKGIQMAEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRVNDQYLLNLLLKINAKLGGINSLLQIEASPSIPLVSKTPTIILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIVFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQSGSPDNVPPGTVVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGTFLKFEDMSDASSSQGGHTSVGSVPVPELPRLHEKVRSSMFFC >ONIVA04G01620.1 pep chromosome:AWHD00000000:4:2006082:2006405:1 gene:ONIVA04G01620 transcript:ONIVA04G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRELKMQNKALSKQNKVLMEQSRELMELPVLRQKHEELTRRYESLTKKRNEDQAKLKKKKEDLAKLKKEKEDQQVDFKKEKENLEREIRELKEKIKQIECCCVIL >ONIVA04G01610.1 pep chromosome:AWHD00000000:4:1994468:2002988:1 gene:ONIVA04G01610 transcript:ONIVA04G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEVTVSSSSGRSVTNNATDNFIDGVSKQIIGFQQHKTEINDLKRQNKELSKQNKELMDQYQELPALRKKYEDLTKKYENLTKKRNEDLAKLKKEKEDMEKEIRGLRERIKELEEQVISSSSSGKSLTNNAAENIMEGINKQILGAEQYKMENRDLKRQNKGLSKQNKELMEQSKELMELPALRKKHEELTKRYESLTKKRNEDLAKLRKEKEDQQVDFKKEKEDLEREIRQLKEEIKQLECCCVIL >ONIVA04G01610.2 pep chromosome:AWHD00000000:4:1994468:2002988:1 gene:ONIVA04G01610 transcript:ONIVA04G01610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEVTVSSSSGRSVTNNATDNFIDGVSKQIIGFQQHKTEINDLKRQNKELSKQNKELMDQYQELPALRKKYEDLTKKYENLTKKRNEDLAKLKKEKEDMEKEIRGLRERIKELEEQVISSSSSGKSLTNNAAENIMEGINKQILGAEQYKMENRDLKRQNKGLSKQNKELMEQSKELMELPALRKKHEELTKRYESLTKKRNEDLAKLRKEKEDQQVDFKKEKEDLEREIRQLKEEIKQLECCCVIL >ONIVA04G01610.3 pep chromosome:AWHD00000000:4:1994468:2002988:1 gene:ONIVA04G01610 transcript:ONIVA04G01610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEVTVSSSSGRSVTNNATDNFIDGVSKQIIGFQQHKTEINDLKRQNKELSKQNKELMDQYQELPALRKKYEDLTKKYENLTKKRNEDLAKLKKEKEDMEKEIRGLRERIKELEEQVISSSSSGKSLTNNAAENIMEGINKQILGAEQYKMENRDLKRQNKGLSKQNKELMEQSKELMELPALRKKHEELTKRYESLTKKRNEDLAKLRKEKEDQQVDFKKEKEDLEREIRQLKEEIKQLECCCVIL >ONIVA04G01610.4 pep chromosome:AWHD00000000:4:1994468:2002988:1 gene:ONIVA04G01610 transcript:ONIVA04G01610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEVTVSSSSGRSVTNNATDNFIDGVSKQIIGFQQHKTEINDLKRQNKELSKQNKELMDQYQELPALRKKYEDLTKKYENLTKKRNEDLAKLKKEKEDMEKEIRGLRERIKELEEQVISSSSSGKSLTNNAAENIMEGINKQILGAEQYKMENRDLKRQNKGLSKQNKELMEQSKELMELPALRKKHEELTKRYESLTKKRNEDLAKLRKEKEDQQVDFKKEKEDLEREIRQLKEEIKQLECCCVIL >ONIVA04G01610.5 pep chromosome:AWHD00000000:4:1995678:2002988:1 gene:ONIVA04G01610 transcript:ONIVA04G01610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEVTVSSSSGRSVTNNATDNFIDGVSKQIIGFQQHKTEINDLKRQNKELSKQNKELMDQYQELPALRKKYEDLTKKYENLTKKRNEDLAKLKKEKEDMEKEIRGLRERIKELEEQVISSSSSGKSLTNNAAENIMEGINKQILGAEQYKMENRDLKRQNKGLSKQNKELMEQSKELMELPALRKKHEELTKRYESLTKKRNEDLAKLRKEKEDQQVDFKKEKEDLEREIRQLKEEIKQLECCCVIL >ONIVA04G01610.6 pep chromosome:AWHD00000000:4:1996693:2002988:1 gene:ONIVA04G01610 transcript:ONIVA04G01610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEVTVSSSSGRSVTNNATDNFIDGVSKQIIGFQQHKTEINDLKRQNKELSKQNKELMDQYQELPALRKKYEDLTKKYENLTKKRNEDLAKLKKEKEDMEKEIRGLRERIKELEEQVISSSSSGKSLTNNAAENIMEGINKQILGAEQYKMENRDLKRQNKGLSKQNKELMEQSKELMELPALRKKHEELTKRYESLTKKRNEDLAKLRKEKEDQQVDFKKEKEDLEREIRQLKEEIKQLECCCVIL >ONIVA04G01600.1 pep chromosome:AWHD00000000:4:1992340:1992675:1 gene:ONIVA04G01600 transcript:ONIVA04G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQHSNQLQPRCGPTPLASPWPSLMRERGRRKKSVDGPLDNGPDQNVIIAATMAGRGRGVTRVEVEGADEAVGGRARELLGGNVGRRRKGLPSCGKATVAAGGTDEEECG >ONIVA04G01590.1 pep chromosome:AWHD00000000:4:1984739:1987048:-1 gene:ONIVA04G01590 transcript:ONIVA04G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWMSTLMSIRNFMFSIRGVVALSFIAHVVLVLLAGVRRRQATGGATLLLWLANQIARWAPTAALGIITNYSTVAHGRLQATLWAAFMLLHAAMPDNITAYALEDSVLSLRQRVDVIVQVFGPVSPAYILYLNTVAMPGDSMLWVSSFVCLMAIAKYMEGAYYALQRGNLENMRSSRKKEEKKKVMISRSLQNASRGGRKPDDEQILLIAHDMLYITKNAFMDFLDKKSDDDDEQEALSGTWDETLYKVVSMELSLMYDILYTKKVMVQTWGGYAIRFASPFLGATAFLLFWFHSKQGQATADVVITYVLLGGAVILDIKWLLRAVVSTWTYSYLNDRPRSWLHHALLCSGKWRMLRRFILSLNLFRFLVNSNNPTRYRMWSGTIGQYNLLRQCTRQEDEKTSNFWSSQWKKNAPEDTWMEYEYHNSRGIQISRDFRNKLFDRVWKNMELAFPERIPVEYPLPPHPYPMALMEFDLSLPAPPPKPITGFDQELNDALDFTPDLQETILVLHIATDIFLSHTESGPNQDQSEWGKSIKALSDYMMFLVAVRPTMLPGLALSSRYEALLDALGEQWNEIKSSSSFNNSMTREKCLAKSLLDKEMKKNGRTPMRTFKWYQGNKTEILSPGAYLSVLYDSSYILSDGARLADLLLKWKPGSKIEIGDKVLEDKLKRQFPDLMKSGEVTETELEYQMPKEVTDIIFREWVRLLINVSIRCTRNSHAKQLARGGELTTVVWILVEHARILRVKKTTKRKPADSYDGLGIHVSRY >ONIVA04G01580.1 pep chromosome:AWHD00000000:4:1953854:1965616:-1 gene:ONIVA04G01580 transcript:ONIVA04G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWIITFLLIVQFIWSIRGLVIISFITHLALVFLAGVRRSEATGVGTSILWGANQWARWAPVTALGMLSVGSTPQQEQLVTLWVAFVLLYAAMPDNIAAYSLEDTVLSFRQIAEMHFQLIGQASPLYILGKNFISNGDALLWVSSVVCVMAICKYWEGAYFALSRGDLNNMRSSRKKNTSPKPRRNSLHIARRGGREPNDEQILLVAHDMLYITKDAFIDFLDQDNDGADEQAEALSDTWDEKLYKVVNMELSLMYDLIYTKAAMVHTWMGYAIRFASPIAGVTAFVLFWLHSKKGQARADVMITYVLLAGMVILDIKWLLRAVASTWFYWFLDERPRSWLHHALLCSGKWRLIRRLIVSDLNLFRLLHNNKKPTRYRMWSQTIGQFNLLHECTRYENEPSTNDWKSSMFKRCAPEDIWMEYEYQHVRGTGILFSAHDIEKLFLDRFWENMKSAFQEGEPPVEKEEHPYPQPALAMNKLNIALDFTPDLQETILILHIATDIFLLLAKSDTIEASAKSRGQVKAIKVLSDYMMFLVAVRPSMLPGLVLTSRYEAVRDYLDKLWKKKNTLCSSSTTREKCLADILRSDLEEKKINYEWDAKRLDKLKSTPGGFLSVLHDTSNIAVEATMLGQFLLSWNNKPDTSAIHPILHQKFARQFPDLMGSDKESDGTDHKPKGATDAIFNEWVRQLINVSIRCTRDSHAKQLGRGGAMPGWMGTMMFIRDFLFSIRGVVALSFIAHVVLVLFAGVRRRQATGGATFLLWVANEGARWAPTAALGIITIGSTVQERQQATLWAAFMLLHAARPDNIAAYALEDSVLSLRQKVDVIAQVFGPVSPAYILYLNMFAMPGDSMLWISSFVCLMAIAKYFEGAYYALQRGNLENMRSSRKEEEKKKENMRRRSSSSSLQNASRGGWKPDDEQILLIAHDMLYITKNAFMDFLDKRSDDEQEALSGTWDETLYKVVSMELSLMYDILYTKKVMVQTWGGYAIRFASPFLGATAFLLFWFHSKQGQATADVVITYVLLGGAVILDIKWLLRAVVSTWTYSYLNDRPRSWLHHALLCSGKWRMLRRFILSLNLFRFLANNKKPTRYRMWLGTIGQYNLLRECTREEDEKTSNFWSSWWKKNAPEEAWMEYEYHNSRGIHISRDFRNKLFDRVWKNMELAFPERIPPVQLSDPKWIHVEDPFPSATVAAEAAAKATIGIDQELNDALDFTPDLQETILVLHVATDIFLFHTESGQNQDQSEWGKAIKALSDYMMFLVAVRPTMLPGLALSSRYDALLDALGEQWKEIKNSSSFNNSMAREKCLAKSLLDKEMKKNGKTPMRTFKWHQGNKTEILSPGAYLSVLYDSSYILSDGARLANLLLNWKPGSKIEIGDNKVLEDKLKRQFPDLMKSGEATETELEYQMPKEVRDIIFREWVRLLINVSIRCTRNSHAKQLARGGELTTVVWILAEHARILRVKKTTKRKPADSYDGLGIHVSRY >ONIVA04G01570.1 pep chromosome:AWHD00000000:4:1946870:1949033:1 gene:ONIVA04G01570 transcript:ONIVA04G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWMVRTVFLLNSWVIRALVVSSFAAHVTIIFLAGVRRRRAIGLPITILWAANQLGRWAATYALSKLALGSTTQELELELVTLWGAFLLLHAAGPDNITAYSLEDNVLSTRQNVEMILQVSGAVFAMYKNIVIRSGLGTMIWVSSFMFIMGIFKYWERAKAMLLANLENLRSSIKKKKEEEETRRRRSLRNIWRPSSSKHDNDEEALLVAHGLLDITKGAFVDSSVDEHQIPVYAARRREIFPKSGWGMMYKVVDMELSLMYDILYTKAAMVHTWHGYAMRAASPFATSVAFMLFWFDSKQGQRMTDVLITYFLLGGTVLLDIIWLLRAVASTRTYSFLNDRPHLWVHHAFLCSGKWRLLRRLIVSLDPSLILAKEPSSYRKWSGKIGQYNLLHKCTHDKDERTRDYLSYVVEKVASEDISMEYEYHNLRGIHISQDFKKNLLDCIWDYMYLAYPVEDVEEKKKEKEEKKKKKKKKKGTAEKKPDPPMKPAEHHNIEKIRKLEEALDFLPEFQESILIMHITTNVVFMYTESEQNAESSKTKDNVEALSDYMIFLVAVRPTILPGLKLRSLYEATEDALAKIWSKKESSRCSSRSRQKCLADILRCMENKRREKRPDKSDNWRLGYRTRNWQPDYTTDLYSISIVLSDGIKLADHLLQWLHRNYWVKFPKSEYSYEAKFAQMFPKLRKILNGRSMYDYPDKWSRLLEHIFLEWVLC >ONIVA04G01560.1 pep chromosome:AWHD00000000:4:1940159:1941492:-1 gene:ONIVA04G01560 transcript:ONIVA04G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKQVKLKVRGASETEVKNVLRQEFKESIEYRNYSKNPESSSLKVEVRGTVDVGKLYERLKKMASSVKIESVIPDDVKEEIERYKKELERMKRQKEDLEIKLREKREEKKVLQADKTAAEEEQKRLKRDKENLNLKVDTKRKENRRLEEENKKLQRKIKDLEQKHKGGTSIEYHGVEVHQKMNHMHQEVHMHEVVRKLKISDNDHGNANGRGHGQLLQQLGHGRN >ONIVA04G01550.1 pep chromosome:AWHD00000000:4:1935381:1938327:-1 gene:ONIVA04G01550 transcript:ONIVA04G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMPNCSRGLLLLLLALLGCFSIPGHVYGNNLDYTRSATMNEGRNNVNINGLVPVPCNIFCPSKVCCRNVCYPSLQACEANCKPIV >ONIVA04G01540.1 pep chromosome:AWHD00000000:4:1914665:1915163:-1 gene:ONIVA04G01540 transcript:ONIVA04G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMPNCSRGLLLLLLALLGCFSIPGHVYGKNLDYTRSASMNEGRNNVNINGLVPVPGNIFSPSKVCCRNVCYPSLQACEANCKPIA >ONIVA04G01530.1 pep chromosome:AWHD00000000:4:1862298:1864372:1 gene:ONIVA04G01530 transcript:ONIVA04G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFKYWERAKAMQLANLENLRSSIKKKKETRRRRSLRNIRRPSSSKHDNDEEALLVAHGLLDITKGAFVDSSINEHQIPVYAGRRREIFPKSGWGMMYKVVDSELSLMYDILYTKAAMVHTWHGYAMRAASPFATSMAFMLFWFDSKQGQRMTDVLITYVLLGGTVLLDIIWLLGAVASTWTYSFLNDRPHLWVHHALLCSGKWRQLRRSIVSLDPSLILAKEPSSYRKWSGKIGQYNLLHECTRDKDQRTREYLSSVVKKVASEGMWMEYEYHNLRGIHISQDFKKKLLDCIWDYMYLAYPVEDMEEMEEEEKKKKEAEKKPKLLMMLPEHHNVENIRKLEEALDFLPEFQESILIMHIATDIVFIKCNHMLLIDNFEFRY >ONIVA04G01520.1 pep chromosome:AWHD00000000:4:1831205:1839849:-1 gene:ONIVA04G01520 transcript:ONIVA04G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GXH4] MDDVTASLAPELLSEILLRLPPDEPGHLFRAAIVCKEWLRAICDPGFLRRYRAFHGSPPLLGLLHRRQVLQGDPVRHLARTTAVPLFPDPTFRRALDCHHGRALLHASDDGWYLIVWDPVTGEQHRVLEPGIPWLMYTAAVFCAVSGCAHLDCHGGPFRVVFVATDDEDELVKASVYSSETGAWSKPAILDYGYQTWQERLQAITRVLVEKILRVQPDVKKLYLLVRANDVESATRRVQDEVTGKEIFQVLKEKHGDGFESFVEEKVCTLAGDIIYENLGLDSAKLTELSNEIDIIVNGAATTNFYERYDVAFDSNVLGAKNICEFAKKCTKLKMLLHVSTAYVAGEQEGLILEKPFLMGQALREGRHLDIASELNLIKETRREMKASNRCSEKTEKRTMKELGLKRAKHFGWPNTYVFTKAMGEMLLGHLRGDLPVVIIRPSIITSILKEPLPGWMEGIRTIDSVIIGYAKQTLSFFLVDLNLIMDVIPGDMVVNAMMVAMAAHSGEQAQTIYHVTSSLRNPAPYAVLSDAGHRYFFANPPPRAGKNGRLRRMRFFSTVASFRAHMAINYKLPLEILRLVNIALCGMFSRRYDELSRKYKFVMHLVELYAPYTLFKGCFDDINTEKLRITMRKQEDKNGGGYCFDFDPKSIDWDEYFYKVHIPGVVKYLCD >ONIVA04G01510.1 pep chromosome:AWHD00000000:4:1820150:1823009:1 gene:ONIVA04G01510 transcript:ONIVA04G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSETGKGPTEILPNIDMPGQVGNSKITYQQIDLITNICQSEHKKPKKEDVIQITPQDGSMQFLHPIMKVTLWTLDMLRGVLKPSKVSHRIESESLCIVAPGTTLTNRKELLGALCKYVMSIDCAESLQKEWIRSMKPYPISLSLRNLQDILDGPHPTLHYVHKIANIAVNVKLAMEGTNPTWKDGIYLWNRKIPRDVPKTENWEVTGFHVLNFIWDGSDEDP >ONIVA04G01500.1 pep chromosome:AWHD00000000:4:1816980:1825840:-1 gene:ONIVA04G01500 transcript:ONIVA04G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRSSCGLWMLNYEDITKFRSKLASILWCSKYNTRKVCMQLEPKNEDYESPSDVQIVETPNDVLKPSEVSHRIEPDTSLCIVAPGTTLTNRKELLGALCKYVMSIDCAESLQKEWIRSMKPYPISLSLRNLQDILDARIFFILNPLHIPNTIRGPHPTLHYVHKIANIAVNAKLAIEETNPTWNDDIYLWNRKIPRDVPKTKNRGHRMKLYIGIGCKARSINQRDHMGILVINKI >ONIVA04G01500.2 pep chromosome:AWHD00000000:4:1816980:1825840:-1 gene:ONIVA04G01500 transcript:ONIVA04G01500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGREEKHLINRDGRNLFLENTIIFSILKCDGASNLGHKNYKDATVVTRVANYLANDMEDITKFRSKLASILWCSKYNTRKVCMQLEPKNEDYESPSDVQIVETPNDVLKPSEVSHRIEPDTSLCIVAPGTTLTNRKELLGALCKYVMSIDCAESLQKEWIRSMKPYPISLSLRNLQDILDARIFFILNPLHIPNTIRGPHPTLHYVHKIANIAVNAKLAIEETNPTWNDDIYLWNRKIPRDVPKTKNRGHRMKLYIGIGCKARSINQRDHMGILVINKI >ONIVA04G01500.3 pep chromosome:AWHD00000000:4:1816980:1825288:-1 gene:ONIVA04G01500 transcript:ONIVA04G01500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSETRKGPTEILPNTEMPGKVDNSKITNQQIDLIANICQSEQKNQRRKMEEKHLINRDGRNLFLENTIIFSILKCDGASNLGHKNYKDATVVTRVANYLANDMEDITKFRSKLASILWCSKYNTRKVCMQLEPKNEDYESPSDVQIVETPNDVLKPSEVSHRIEPDTSLCIVAPGTTLTNRKELLGALCKYVMSIDCAESLQKEWIRSMKPYPISLSLRNLQDILDARIFFILNPLHIPNTIRGPHPTLHYVHKIANIAVNAKLAIEETNPTWNDDIYLWNRKIPRDVPKTKNRGHRMKLYIGIGCKARSINQRDHMGILVINKI >ONIVA04G01500.4 pep chromosome:AWHD00000000:4:1816978:1828703:-1 gene:ONIVA04G01500 transcript:ONIVA04G01500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEPKNEDYESPSDVQIVETPNDVLKPSEVSHRIEPDTSLCIVAPGTTLTNRKELLGALCKYVMSIDCAESLQKEWIRSMKPYPISLSLRNLQDILDARIFFILNPLHIPNTIRGPHPTLHYVHKIANIAVNAKLAIEETNPTWNDDIYLWNRKIPRDVPKTKNRGHRMKLYIGIGCKARSINQRDHMGILVINKI >ONIVA04G01500.5 pep chromosome:AWHD00000000:4:1816980:1825288:-1 gene:ONIVA04G01500 transcript:ONIVA04G01500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSETRKGPTEILPNTEMPGKEDITKFRSKLASILWCSKYNTRKVCMQLEPKNEDYESPSDVQIVETPNDVLKPSEVSHRIEPDTSLCIVAPGTTLTNRKELLGALCKYVMSIDCAESLQKEWIRSMKPYPISLSLRNLQDILDARIFFILNPLHIPNTIRGPHPTLHYVHKIANIAVNAKLAIEETNPTWNDDIYLWNRKIPRDVPKTKNRGHRMKLYIGIGCKARSINQRDHMGILVINKI >ONIVA04G01490.1 pep chromosome:AWHD00000000:4:1810683:1811022:-1 gene:ONIVA04G01490 transcript:ONIVA04G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGSILPKSAPFPIDTIIVFLCSFSLCCFVFFRVCRQSIPDAGDGGGNGGFSAGCPGAGTGYHYPPATGDGPVAIPPVTTQLNSVPSFG >ONIVA04G01480.1 pep chromosome:AWHD00000000:4:1804582:1810601:-1 gene:ONIVA04G01480 transcript:ONIVA04G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVDEAEHSMEMHLPYLSKVFQGHNVKVVPILVGALNSQNEAMYGQLLSKYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDRMGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCSTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKD >ONIVA04G01470.1 pep chromosome:AWHD00000000:4:1797606:1798244:-1 gene:ONIVA04G01470 transcript:ONIVA04G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenylate cyclases [Source:Projected from Arabidopsis thaliana (AT2G11890) TAIR;Acc:AT2G11890] MEVEIKLRLPDAGAHRRLSSFLAPRLRRTDAQRNLFFDAAARPLAAATAALRVRLYGLDDRAPSRAVLALKRRPRIDAGVSRVEEVEEPLDPAIALACVDDPASLGGVESPIIRLVSEEYGVGGDAAPFVCLGGFRNTRAVYQLEEGDTLGLVVELDETRFDFGTNYELECETAEPEQAKQVLERLLTVAGVPYEYSRSNKFACFMAGKLLP >ONIVA04G01460.1 pep chromosome:AWHD00000000:4:1787165:1790001:1 gene:ONIVA04G01460 transcript:ONIVA04G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYECTGRKAHGKFAMADGAIDSSEVQLSTNAHPSHTYTVRPSQIEVELRQELANFKHQRQEDCQSIQNALSEFNNQTKEYMINGSASTPPPQINLAALFPSHSSPTTQQNTTDNSSRNVFNQIDGNNSGNCSQQDAGLSNNEQGDMGNNSENVVLQRMDGSTFGYSSQQTAPATNQGNSKRGRDGDYVDSEDDYADDGNYDDADETPEPFVAAVIVDYPCTK >ONIVA04G01450.1 pep chromosome:AWHD00000000:4:1777526:1781028:-1 gene:ONIVA04G01450 transcript:ONIVA04G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVATPRRSIRDAVLGGVLGAGGRQLYQPLRCAFYDGAAGGGLTAALSEDGAEGGVPLPCGRKTAAAKNVLILMSDTGGGHRASAEALRDAFRLEFGDAYQVFVRDLGKEYGGWPLNDMERSYKFMIRHVRLWKVAFHGTSPRWVHGMYLAALAYFYAKYARTQPSQLDRPIQITFCFRLCSSDRFAKTDMVDFSEVVAGIMRYNPDIIISVHPLMQHIPLWVLKWQSLHPKVPFVTVITDLNTCHPTWFHHGVTRCYCPSAEVAKRALLRGLEPSQIRVYGLPIRPSFCRAVLDKDELRKELDMDPDLPAVLLMGGGEGMGPVEETATALSDELYDRRRRRPVGQIVVICGRNQVLRSTLQSSRWNVPVKIRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKDPREAARQVARWFTTHTNELRRYSLNALKLAQPEAVFDIVKDIHKLQQQPATVTRIPYSLTSSFSYSI >ONIVA04G01440.1 pep chromosome:AWHD00000000:4:1772708:1774242:-1 gene:ONIVA04G01440 transcript:ONIVA04G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPTPERWRDAQSRGEFYRVPYVMPRRVALVGDEIYFTLREDNAIIKYNWGMHCLSEIDSPSPDVYGIALMEMENGSLGFACIEDSSLYVWSRKVNSEGAAEWVQCRVIKLDKMIPVANLSDEAFVVGSGEGMGAIFVSTGVGLFTIELKSRRVKKVAEPEVYFSILPYMSFYTPASIYLISHNITATFVIWIDKGCFVSWHIVIASKDSLIFDVMLKSNLLQNGQIHCLDS >ONIVA04G01430.1 pep chromosome:AWHD00000000:4:1769628:1770182:-1 gene:ONIVA04G01430 transcript:ONIVA04G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKVKIKPIANRKARDVCFSKRRQVVIKKANELSILCGVNVAVAVLSPAGKPFFFGCPTVQAVTRRLLGVGPSNPTMGDGGNGDETDIVHELNLKYQKLQQENEVEKKKNQRGQDVRLASDVNALGLHELEAFDSNFNVIDDIVDSNDVVKNAKQTAEPQTQMSVALTLQFMLDGQSIAPSL >ONIVA04G01420.1 pep chromosome:AWHD00000000:4:1689197:1690222:-1 gene:ONIVA04G01420 transcript:ONIVA04G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLPDLAVAVGRHLASVRRVMEVVATAPPYPSESDLAAAEVAPCAWGRSGGRMRHLLASRPQSPVAAVRAALPCWIR >ONIVA04G01410.1 pep chromosome:AWHD00000000:4:1689038:1689436:1 gene:ONIVA04G01410 transcript:ONIVA04G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERQWQRVSVGAPSVGGRPIRHDTIAGAAMTSDCGREARWFHLHCAASADPAWQGCAHCGNRRLRAGGEEVPHPAAAPPPRAWRDLCGGEIGLRWIWRSSGDHLHDTPLSMSGASANKNLTYDYRL >ONIVA04G01400.1 pep chromosome:AWHD00000000:4:1681326:1683734:-1 gene:ONIVA04G01400 transcript:ONIVA04G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPAPVRQSVSGRKHTLTPLCQKPSWQLLREVLMHLRWGYAKHPYQRSTQLTAAPPSVMTLPWWCNGRSPHWASGGWGATCPPLDRLVDGHRRGFPEADVGVVAPKLELHGVVDGGHEASDDVVGAAGAADNGDLLVAEGGVRGFGSRRSEEAPAV >ONIVA04G01390.1 pep chromosome:AWHD00000000:4:1681010:1683792:1 gene:ONIVA04G01390 transcript:ONIVA04G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGASNPTTTSSTRPAPPRSGGCRTRALPLPQRGGRHCPLLRQHRLHHRWPRAHHQPPHEAQASALRLRRRPLEIHGGVRRRAGREGGRLLPIPQTPSEVIFHCTTKVRSSHSVAPPSAGWISGEGSCCATTCSTNTPCSATCCCPSR >ONIVA04G01380.1 pep chromosome:AWHD00000000:4:1668183:1670000:-1 gene:ONIVA04G01380 transcript:ONIVA04G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASLIVQIFTSAAYFWRKWTIEFVVLSSFGLQLVLLLFAGIRRRRAGGVRVFFVWLSYQLANSTAIYGLGHLSINGTLVSRERQQLLAFWAPLLLVHLGGPDNISAYAIQDNQLWLRLLVTTFFAKILAAGYAIFVASSSGSGSSSLAMLPAASWLMFVVGVVKYGERIWALYNGHLSTIRSTIEKQKQEEAKREKKRGDSEQGGARDPPTPPAVSNKDPDYALLQAHANFGACKAALVDISWDEKATVEQWRWDETWVVLQMELSLLYDIMYTKAGVIHTWHGYCIRVFSPLATAGALVMFHLSLHGALGHGAMLVDVAITYTLLVGAVLVDTWWLLMAAGSTWAYAFLIRMPRRGWLYHTVICGGRWRQVRRVLAWIRWLVNAEDSRRWSGTIWQHNMLQFCTRDDSKDFWYDLAKKIHVEWRKKDNTYSGTTVIPDCVMEQVFNYLINILRIDDKYKDDEAQKDKNEDEPPTGQSGIPLDSTGLLKAERGWRILKKLAKKEGHKDGHKKVNDLFGRLLRDEIQQQIIIWHIATDIYLRTSEKAETTEYVKAINLISNYMMFLVVERPYMVPGLALRTIYSKTIEDIIQSRIGSSVQSLA >ONIVA04G01370.1 pep chromosome:AWHD00000000:4:1664596:1666568:1 gene:ONIVA04G01370 transcript:ONIVA04G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGRTPQEEELVTLWAAFMLLSAGMPDKMTAYALEDGALPIGKPDNDQILLVAHGNLHVTMGAFIDNLQYEHDAEEQEILPKTWDENRTLYKVVEMELSLMYDILYTKAAMVHTWGGYAIRVVFPFTGATAFLLFWFHSEDAYPPTVPIDKAKATLPPAPFPLQHTGRADPAEMMPPTKQIVRQRELEDADLNFSPACQESILIWHIAMDVFLLCSHQYSSLSKEVQAIKLLSDYMVFLVAVRPNMVPGLKLRSLYVAVGYALTNDDEILPKEGYHCNLTEKKEKLANRLKWNRSQAQRMRRAANGDPVSADTYWFRPEKASILYDKNIILSDGTSFAHVLLSRIGPNPYTPHHIDLNYTRYQRLIDMIPDLEDESNRAWVRFLVYTSVRCTRDSHAKQLACGGELTTIILISSPGG >ONIVA04G01360.1 pep chromosome:AWHD00000000:4:1648504:1649009:1 gene:ONIVA04G01360 transcript:ONIVA04G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADSTREIIRELMRLKEKQHACMWKVMEMELSLMYDILYTKAAVIHTSIGYCIRAMSPMTIATSFMLFHFSNSRDGQNTVDIAVTYVLLGGGLLMETMVKLDARVPMHHPVELATTCCSMRWKMTPAPPGGFDFSRDLRGHHERLLGQIKEATGHHRAV >ONIVA04G01350.1 pep chromosome:AWHD00000000:4:1641418:1641978:1 gene:ONIVA04G01350 transcript:ONIVA04G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVFDELERVILRKKEMEDIGSGVRSGQDVKPSGETMQSGSKPGPSKLSSFPINSIGLIKAEKGQHAVAELNLEDEDRTYLQRYIRDEIQESILIWHIATDVYFRTREGSKKLQDTTFVRAIRLLSNYLMLLMVEHPTMIPDIDLRKYYTQTYKKLSTDHAGDGNGDPDRLAKILAQDESVNPVL >ONIVA04G01340.1 pep chromosome:AWHD00000000:4:1627894:1628992:1 gene:ONIVA04G01340 transcript:ONIVA04G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAAWLMFAVGVAKYGERVWALYQGNLSTIRKAVDGDVGGQNQEQEQPEVEMDGDGSPQDILLCAHSQFKVCKGALVDSSSEFVDSSEFGDTLTSASPTRCWLVLGAILLDIASLVSAAGSGWAYAYLVSKPRRHGWLYHEAVCNGRWRRLNAGLEYLRRFVNAHDRRKWSGAIGQHNLLQFCTSSARQQSKKKHTGPTVVIPPDVMELVFDELERVILRTEDMEKIKSRVPRSGDDAEPSGETMQSGSKTRPSKQGTSATNSIGLIKAEKGLHAVAELNLNVEDRKYLE >ONIVA04G01330.1 pep chromosome:AWHD00000000:4:1604442:1611325:1 gene:ONIVA04G01330 transcript:ONIVA04G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAGLTPGWSTSAALSMRTTEGNGPHNLLQFCTSSPRQQSKKKHTGPTVVIPPDIMKLVFDELEKVILRTVDVEKIKSGVLRSGDDAEPSDQKYLQRYICDEIQESILIWHIVTDIYLHTTFVRAIKLLSNYLLFLMVEHPTMVPGIDLRKYYTQTYKKLSTNHAGNTNGDPDRLAKILARKRSVNPVLKENDENQALRGNALLLATKLTLKLVQLKDKLLKESAPITKKEEKKKVDMETFLFYMWVELLLYVSHRCSRESHANKLSEGSELTTIVWLMAEQAGKFYIDKKLSEEDNVDRPTALERRPSSQSGGKPHLNRAQRPSQSRSH >ONIVA04G01320.1 pep chromosome:AWHD00000000:4:1599893:1604410:1 gene:ONIVA04G01320 transcript:ONIVA04G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELSLMYGILYTKAAVVHTWIGYCIRALSPFAIATSFLLFYFCGSEVKDGQNGVDTAVTYVLLGGALLMETTSLLSALGSSWTLSFLCARRWSWLQHVALCVGRWYQLRRAVLAVRKRVAALTGGLLVSLLWLSPPSVIVIHSLGHRHSRAISTVVRPSCCTAAWLMFAVGVAKYGERVWVLYQGKLSTIRKAVDGDVGGREQEQQQQPEVEMNGDGSLQDNLLCAHSQFKVCKGALVDSSSEFVDSSEFGNTWDKRWAVFQMEVSLLYDILYTKAGVIHTWHGYFLRLFSPLATAAALLLFHLSASASAALDSHSHAVRVDVSITYALLAGAILLDIASLVSAAGSGWAYALLVSKPR >ONIVA04G01310.1 pep chromosome:AWHD00000000:4:1559966:1563748:-1 gene:ONIVA04G01310 transcript:ONIVA04G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAHYRRMAAVGVGSPVKYNDNVAVQFVNAWEIEFIVVSSFLLQFEVCKSALVDSSSAKAKNISYLRRTIFSDVWEWEKRWTVFQMEVSLLYDIMYTKAGVIHTWYGYCLRVFSPLATAAALLLFHLSRSSTSSVGATSIAAMNSPPVLVDVAITYALLVGAILLDMVSLLSAAGSGWAYAYLVLGMPRRRHGWLYRAAVHSGMWRRLHLLLEYLRELVNVHGRRRWSGAIGQYNVLQFCTATSEKRNYTTTTEEIPEGVMKLVFEELTRVILRTNMEGNSGTGNKDMSKEGIGLAKKDLTNNPSDHMEGIGSDLGDHSSHHVKWIGTSNKDLTNKSSDHVERNESDLNDNSSGHGEGIGSGNKHLSNNSSNHVEGIGSDLSDNCSDHEEGIGSGEKDMMNKSLDRVEEIGSDLRDNFSSDETFGSGRKDTSHKSLDNVDGIGYDLSDNSSDHEEEIRSGNKSSDQMKNEYVTVEFTPKLRRGVRRQTPEVSKPSAELTKQEGAATDSVGLIKAERGQLALRNLMAKKEGLGDLKRYLRDEIQEGILIWHIATDVFLRTSEARRQH >ONIVA04G01300.1 pep chromosome:AWHD00000000:4:1551734:1553246:-1 gene:ONIVA04G01300 transcript:ONIVA04G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKLTKLKVRGANDVEVKSVLRHEFKESVDQDNFKVKVDGSSLKVDVPGTVDVGKLYESLKKMSSSVKIESVVPDDLMAKMDRYKKDLQNMKKQKEAVESKQIKQEEGYKLLQQEQRKWKRDKENLNSKLEKKTKETKDAKEELKITKREKEYLNTKLETKREENKRLDEENKKLQREIKDLQEMQKSA >ONIVA04G01290.1 pep chromosome:AWHD00000000:4:1485792:1506928:1 gene:ONIVA04G01290 transcript:ONIVA04G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQVLLLLVFLLACLLLVASHLSSAAETDPTSPISFSFDFSKKYRREDLGFEGSANPNDAYGFVDLTCSSSEANFPETPCHPGRMSYNRSSVPLWDRTTNELASFATEFTFNINLSDYKNKSKGDGMAFFLAKYPSELPKNSGGYALGLIDGAHRIAYDTDRFIAVEFDTYNNPSYLEDSKQDGDHIGIDISSVAYSINTTGFNFSRNGTMKASITFDTITKMLVASLQFLDSSSAPVQVSAKLPDPRTLLPPEVAVGFSASTGAAFELHRILSWSFNSTLAAPRVQKDHKKAIAVGVSIGGGLILVLLVWSIVSWWKWRKTNREFDKGTRGACRFNYHHLAAATNHFSKDNKIGAGAFGEVHKGFLTQLGREVAVKKILRESRAGNKDFFDEVQTISRAKQKNLVELLGWGMKGSSIIDFMCCRRQKNTDLFLVYEFVDNGNLHMHLYEKEAVLSWRIRYKIVKGIISALIYLHHDRHPYILHRDIKPSNILLDKNFNARLADFGLSRTADNGTIQSSMVVGTANYLDPECMKTGKFNRSSDVYSFGLVLLEIACKKDKNSYAQVWERYIDKTLMQVADDRLQGAFDKRQMERVIVLGLWCCQPNIEMRPTMEQAMDFLETDGPLPKLAKPETSSTCVVTNSTFTRPLQQNMDISHLLLRFFLLLLLLLAFSLDDAPHLHYCTEAAPTTLPPPPPPPPPPFSFKFDFSNTYTYRLEDLRFEGTAAVHGATVDLTCNVAQCTTGRMSYGRAVPLWDRATNEVASFATDFVFKIVTPDNVARGDGMAFFLSSYPSRVPPNPSGQNFGLIAGDADDAGDGPDRFIAVEFDTYDDTFERPRPAGDHIGIDVSSVADSINTTSLNFSRNGAMRASITFDNVTRMLVATVQFTDQTTASRAAPVQVSAKLGDPRALLPSEVAVGFSTANGATFQLDQILSWSFNSTLASPDPVTKGHHKKKGAAGKFAIVGAPIFLLLVWSILSWWKWRSSSRDIDKRTGGVRQFKYNELAAATNQFSSENRLIGAGPFGEGYKGFFKEMGRHVAIKKISKESRSEGSNKDFYDEVKSISSAKHKNLVELVGWCVKRRWNMFDFMCWCREKAHTIFLVYEFVDNSNLRVHLHEKEAVLPWTTRYKIVKDICAALVHLHHERRPFVLHRNIKPNNILLNKEFNAKLADFGLSRTADKVGKARYLDPECKKTGKFKRSSDVYSFGIVLLEIACKKDENSFAKVWSRYLEKSLMQVADDRLRGEFDERQMERVIILGLWCCQPNIDMRPTVQQAMDFLESDGPLPELAEPETSSSKIGN >ONIVA04G01280.1 pep chromosome:AWHD00000000:4:1475564:1475945:1 gene:ONIVA04G01280 transcript:ONIVA04G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRRSAGAHDGWGGQHLIQKRPRDERSCSVVGSSTDGIASHRAGHRRTASSTRQQDSNSIANSHRIFASHNTSHHSAAWRQPASRLPLRALDAIMQDGNTSRVQNQPPLIRFL >ONIVA04G01270.1 pep chromosome:AWHD00000000:4:1424293:1428600:1 gene:ONIVA04G01270 transcript:ONIVA04G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit F [Source:UniProtKB/TrEMBL;Acc:A0A0E0GXE5] MAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >ONIVA04G01260.1 pep chromosome:AWHD00000000:4:1415229:1415972:-1 gene:ONIVA04G01260 transcript:ONIVA04G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHPLLHHLQQPTLAYTWDPQLAPFDHVAGAGDQVAFSTALELRQALLRALAELDAARAAHQAELRRMESEAARLAALVASAAAERDELRRHCHSLLLLLHHQSQPAAPPTPTPQVSSLPAAHVVAVPAVADELAALDAADEAELEMALARRLPEKGRLVEAVVSAGPLLQTLLLAGPLPRWRHPPPPAPADIPPFNPTKAADADNNSFSSASATSSSPESNCSGGGGHAPLVSPALPYHMIPFCM >ONIVA04G01250.1 pep chromosome:AWHD00000000:4:1406674:1410563:1 gene:ONIVA04G01250 transcript:ONIVA04G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARAGTARPRWGGGGGASPGLTADGDDTWRHPGARRTTWRCTVAPSSRGAWQLRNGDWSGRDMAAMGAVSFSEAQDLVVGCFFDPIEVKKWLNVGTECCLRKAIIDIHAFAMDIVCARRQSSSVQDRDDVLSRFVASDEHNDEVLRDIVLSFLIAGRETTSSGLSWFFWLLSSQPDVMACITNEVRAVRKATDTCPDEPFGFDALRETYG >ONIVA04G01240.1 pep chromosome:AWHD00000000:4:1393209:1398882:1 gene:ONIVA04G01240 transcript:ONIVA04G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GXE2] MAEAAARRWAAALVLLVLLTGTVELIGGGGGSGGRRLLAGLRAGSTAAASGTRRWLRDSSWPATAAAAAAASRGDDGDGDEASSAAMTVPGAVDDPEEVVSQVHMSIRNSTARRKLGYLSCGTGNPIDDCWRCDPDWHKNRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDAVNPKKGTLRYAVIRDEPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGASHIWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTIWKGWNWRSEGDLLLNGAFFTPSGAGASASYSRASSLGAKSSSMVGTITSGAGALSCRGGSAC >ONIVA04G01230.1 pep chromosome:AWHD00000000:4:1360527:1363487:1 gene:ONIVA04G01230 transcript:ONIVA04G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASDKQLASTVKKVTSRELPKKGADIVNMSQGTSPLPKDKGTATEPGKTVGTKRSDAPSSPGYHNVYVRRKVENDHSKVSSSQEVKGNGRDKTKEQETQQNVQHDQTNKPEVSSSVAVQHDQSNKPDLSSSVAVQHDQTNKPELPSSVAVQHDQSNKPDLSSSVATNKPELPSSVAESGAIVSPKSPEKTNEQIVNKKNEPPVAPGTTVQDDTHKSSNQYWNVRFNRLQTYLESCDRSTQEGYMRMLRSLSAADRSMHAIDLEKRAIHLLVEEGKELQRMKALNVLGKVSPNGPSKQAPL >ONIVA04G01220.1 pep chromosome:AWHD00000000:4:1348722:1351483:-1 gene:ONIVA04G01220 transcript:ONIVA04G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPFAAAAAAVQGSLALRPVAPPRLSSSDVARNGGVAAWRAPRRRMVAAGAAVGDLRPAIDEYPEGILSGEWPENFSLLSYADLRAYLESQIVTTDQMSPTAKLGEVMSRPVQVAMADQRLADIDAFFGAQSGLPVLDEEGRCIGVVSKKDKAKASNGLDSTVGEVMSSPAITLTPEKTVLEAAALMLKEKVHRIPVVNEQQQVIGIVTRTDVFKALEASKV >ONIVA04G01210.1 pep chromosome:AWHD00000000:4:1343932:1344908:1 gene:ONIVA04G01210 transcript:ONIVA04G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLHHCAGYLLLLLLLSVSLDASHLTAAAAAPAMSFSFNFSDPSTDHHLDELNLEGDATPQDGLVNLTCSYELCYSGRMTYAHPVQLYHQHQAANGRDELEVASFFTSFTFAIRPVDNGTTRGDGMAFFLAGYPSKVPPKSAGGNLGLVSEETKIAVGSQRFIAVEFDTVNNSFDPAGVRDHIGIDINSVRDPGHTKPCQALNGTMTASIAFNSSTQMLVASLVFHDHPSQQPVEVSAQLPDLVTALLPPQVAVGFSAANAASVRELNQILTWSFNSTLALVDKDFSL >ONIVA04G01200.1 pep chromosome:AWHD00000000:4:1335161:1336237:1 gene:ONIVA04G01200 transcript:ONIVA04G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRPLESVLVAPGVKGKKVLAFKRDGLKKNEAVTGLIHDIVASSSARSAFHVLDLAKVVDLYAGWRRALPGVRPFYAVKCNPDTALLGALAALGAGFDCASRAEIEAVLALGVPPAAIVYANPCKPGAHVAFAAEAGVNVTTYDSEEEVAKVKRCRPSCELLLRIKAPDCGGVKVDLGLKYGANPDEVLPLLRAARRAGLGVAGVSFHVGSGASRAAVYRGAIEAARAAFDAAAGLGMPPMRVLDIGGGFVAGAAFGDAAAVINRALGRYFGDLLPTVEVIGEPGRYFAETAFTLAARVIGKRRRGDVREYWIDDGVYGSLNCILLDSYVPRPRPLAGARPGEETHGRRATPSTRW >ONIVA04G01190.1 pep chromosome:AWHD00000000:4:1309189:1316921:-1 gene:ONIVA04G01190 transcript:ONIVA04G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GXD7] MGVETISGIEIFARTQRSHPLDPLSAAEIAVAVTTVKAAASTPEVRDGMRFVEVALLEPEKNVVALADAYFFRPFQPSLLPGNRNAPIIATKLPARRAKLVVYNRQTNETSIWIVEFSEVHADSDTRGGHHRGGKLVSSEVVPDVQPAMDAMEFVECEATVKSHPPFIEAMRKRGIDDMDLVTVDPWCAGYYSDADAPSRRIAKPLVFCRTESDNPIENGYARPVEGIHIIVDMQKNTVIEFEDRKLVPLPPSDHLRNYTSGETRGGVDRTDVRPLVINQPQGPSFHVNGYLVEWQKWNFRIGFTPKEGLVLHSVAYVDGNRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNANSLKKGCDCLGVIKYFDAHFTNFTGGVETIENAVCLHEEDHGILWKHRDWRTGLAEVRRSRRLTVSFICTIANYEYGFYWHFYQDGKIEAEVKLTGILSVGALMPGEQRKYGTTIAPSLYAPVHQHFFVTRMDMAVDCKPNEAYNQVVEVNVNAECAGPNNMHNNAFYAEEKLLKSELQAMRDCHPSSARHWIVRNTRTVNRTGQPTGYKLIPGSNCLPLALPEAKFLRRAGFLKHNLWVTSYKNDEMYPGGEFPNQNPRINEGLSTWVKQDRSLEETNIVLWYVFGVAHVPRLEDWPVMPVEHIGFMLKIVFLSYIAPDNVTALNIGTHIVFVLLQPDGFFDCSPAIDVPLGSEVHTKKAERPRRFK >ONIVA04G01180.1 pep chromosome:AWHD00000000:4:1293401:1301389:1 gene:ONIVA04G01180 transcript:ONIVA04G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYISFNGRTGMLVASLWFHDHPSADPVVRVSANLLDPIPITSLLPPQVAVGFSSSTGLCRELHQIMSWSFNSTLALVKKDKHNRGWLLGGLIVGGVLALVLAVWFSLSCWMREKIRKTMEKGTGGARRFEYRDLAAATDHFAEGCKLGKGAFGVVYRGNLKLLDCQVAVKKILKESSEDHKDFFAEVSTISEAKHKNLVKFFGWCCREHSRNILRFMCSCWWKKRNMELFLVYELVDNRNLRNHLHNSDAAAVLPWPTRYKIVKDIGSALLYLHHECKPYILHRDIKPENILLDTNFNVKLADFGLSRIANMDSATLKTTAVGSLGYIDPQCMKDGKVRFNRSSDLYSFGIVLLEIACTGNSREHIWDLYEGGGNFVVESADKRLLATEGGFDNIEMERVIVLGLWCSSSEKDRRPTMWDVMDILNHGAPLPDRDSIVNSTLASTNDVQDTGSNHDEEPLFSLGMQ >ONIVA04G01170.1 pep chromosome:AWHD00000000:4:1278604:1281419:1 gene:ONIVA04G01170 transcript:ONIVA04G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNVFEKGTNGFRRFEYSDLAAATGHFSNSRKLGQGAFGVVYRGFLKRLGREVAVKKIVSKSSEGSSQKNKESSEGHKDFFAEVSTISEARHKNLVRFYGWCCRGHSWNILHLMCCCFRTKKNKELFLVYELVKNGNLYDYLYKSEAEEVLSWQTRYEIAKDIGSGLLYLHHECNPYILHRDIKPGNVLLDENFSAKLADFGLSRVANPDNGTVQTTAIGTQGYLDPLCMRDGKVRINRSSDVYSFGIVLLEIVCARRHRVQIWDLYRSGGDVIAAADSRLVIDDNGADERRQMERVIILGLWCSASEAQHRPTMLQAMDVLERDAQLPDLNLVVNSTLASAETKMPPVRRPGKDMTEETALVAGSSSSQLAGYKPTR >ONIVA04G01160.1 pep chromosome:AWHD00000000:4:1273326:1274911:-1 gene:ONIVA04G01160 transcript:ONIVA04G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDSSTTHGGDPQLTRSAMHDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYVGFGSLMYVVTESVT >ONIVA04G01150.1 pep chromosome:AWHD00000000:4:1239222:1241031:-1 gene:ONIVA04G01150 transcript:ONIVA04G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASFFSSRRLSTAGPTAAGGSGGLAAAVGGSGMRVAAGSRRADVGRRRRIIPDGRNNRTQKFAIVVVVVAIENGGRVGGTWHAHTHMDGSAASCTSNSNTSPARTRAICINAAAHFVFVPLMAQGHLIPALDTTLLRATHGPLCTIVTTPATAARAGPTHNVERFGAYDSVADDNAPVVVPGLARTIKVTRAQAPGFFRVARWDKFADDVERR >ONIVA04G01140.1 pep chromosome:AWHD00000000:4:1239150:1239770:1 gene:ONIVA04G01140 transcript:ONIVA04G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKVRPAASSDAHGWQKSATRHVGRAEADRRAESTVGPALAAVAGVVTMVQSGPWVARSSVVSNAGMRWPCAMSGTNTKCAAALMQIAPSC >ONIVA04G01130.1 pep chromosome:AWHD00000000:4:1232065:1236120:-1 gene:ONIVA04G01130 transcript:ONIVA04G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDVKGGIAGSAMAASYNDQIRPLLDAVDRLRQLNVSQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDAGDDDEPALRLEYSGGRVVATSEAEVADAINAATAEIAGCGKGISNAPITLVVRKKGVPDLTLVDLPGITRVPVKGQPEDIYDQIAGIIKAYIAPRESIILNVLSATVDFPTCESIRMSQQVDRTGNRTLAVVTKSDKAPEGLLEKVTEDDVHVGLGYVCVRNRIGDETYKEAREAEAWLFAEHPLLSRIDKSMVGVPTLARRLTQIQASIIARSLPDIVKQINDKLSRSSDELGQMPPELCTVADAVREFFRIVKQVRASLEKVLVRGEFDEYPNDPNHHGTARLAEMLEGFARRLPAAAATNGEPFLVEEMRVLKETKGINLPNFMPRSALHVLLNRKVESIAHVPHDLVREVWDYVEDLVLKELPRHSRSYPQVQPSCRPAVQSLMDKARERSARYVNELIDMEKAFDLKARLAAYWNSVVLRVIDGLALHVLYSIKRLAEKDLEDELAAQVMGNNMDGVERMLVPTPAAAAKRDCLRKSIKLLQESREVVTNIMDRLTRQAPTMSEEMRVLEETKGINLPNVMLRSVLHNLLNQKIESIAHVPHDLVRQVWDYVEDLVVKVLQHHSWSYPQVQLSCRRAMQSLNVMDKACTGTIGAARVRAIGLACSLRGIGLVF >ONIVA04G01120.1 pep chromosome:AWHD00000000:4:1225630:1231745:1 gene:ONIVA04G01120 transcript:ONIVA04G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAARRFSAATPSSPLLLRRRLLSSQPQPTAAAAEADAGAGEWVRRAGALSLLGLTGAVAASAVSDLSVFLSCSSQAIEKASQNQQIVNAIGAPIVRGPWYSASIAVNHARHSVSCTFPVSAPQGNGLLKFKAVRSGDESWFSFLQPNDWEILLMDAILDVPTDDGKHQTIRVTIPDNTAPPPAIDCKACKSQPTLTPPPPPSPSPPQK >ONIVA04G01110.1 pep chromosome:AWHD00000000:4:1217328:1224746:1 gene:ONIVA04G01110 transcript:ONIVA04G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT3G07100) TAIR;Acc:AT3G07100] MQPPMGNDRPPQGVPGRPVSAFVPGAATAASPPSSFGAASAPRAPFVPLPQAAASPAAPFAAAPPAAMAGYRGPPPPQRPFGAGPPQQGPFAAAAPPPQGPFTSAPSSQGPFAAAPQPPSQGPFGTAPPPSQGPFGTAPPPSQGPFGTAPPPSQGPFAASVPPSQGPFASAPPPFRPPPSLVQSPTASGMAPPSAYVRPPPPVQSQPPPMQGFYGGPPPANQQFPMSRPTFQQPVQTMPPPPMAGFGNQAAYATGGPPTGGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPTKVLEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCGIDGSGRRYDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLPGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVDSFLDSLPNMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGVGRLRLRGDDVRAYGTDKEHSLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILSICKSLALRGGYADVSLDERCAAGFSMMILPAKKLLNFIYPSLYRVDEVLSMEPDRIGGSLKRLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKVQLRECDNEYSRNFMKILGTLRERDPSYHQLCRVVRQGEQPREGFLLLSNLVEDQMSGTSSYMDWILQIHRQTQS >ONIVA04G01110.2 pep chromosome:AWHD00000000:4:1217328:1224746:1 gene:ONIVA04G01110 transcript:ONIVA04G01110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT3G07100) TAIR;Acc:AT3G07100] MQPPMGNDRPPQGVPGRPVSAFVPGAATAASPPSSFGAASAPRAPFVPLPQAAASPAAPFAAAPPAAMAGYRGPPPPQRPFGAGPPQQGPFAAAAPPPQGPFTSAPSSQGPFAAAPQPPSQGPFGTAPPPSQGPFGTAPPPSQGPFGTAPPPSQGPFAASVPPSQGPFASAPPPFRPPPSLVQSPTASGMAPPSAYVRPPPPVQSQPPPMQGFYGGPPPANQQFPMSRPTFQQPVQTMPPPPMAGFGNQAAYATGGPPTGGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPTKVLEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCGIDGSGRRYDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLPGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVDSFLDSLPNMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGVGRLRLRGDDVRAYGTDKEHSLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTLFLTTTRYTSSSGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILSICKSLALRGGYADVSLDERCAAGFSMMILPAKKLLNFIYPSLYRVDEVLSMEPDRIGGSLKRLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKVQLRECDNEYSRNFMKILGTLRERDPSYHQLCRVVRQGEQPREGFLLLSNLVEDQMSGTSSYMDWILQIHRQTQS >ONIVA04G01110.3 pep chromosome:AWHD00000000:4:1217378:1224746:1 gene:ONIVA04G01110 transcript:ONIVA04G01110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT3G07100) TAIR;Acc:AT3G07100] MQPPMGNDRPPQGVPGRPVSAFVPGAATAASPPSSFGAASAPRAPFVPLPQAAASPAAPFAAAPPAAMAGYRGPPPPQRPFGAGPPQQGPFAAAAPPPQGPFTSAPSSQGPFAAAPQPPSQGPFGTAPPPSQGPFGTAPPPSQGPFGTAPPPSQGPFAASVPPSQGPFASAPPPFRPPPSLVQSPTASGMAPPSAYVRPPPPVQSQPPPMQGFYGGPPPANQQFPMSRPTFQQPVQTMPPPPMAGFGNQAAYATGGPPTGGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPTKVLEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCGIDGSGRRYDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLPGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVDSFLDSLPNMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGVGRLRLRGDDVRAYGTDKEHSLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILSICKSLALRGGYADVSLDERCAAGFSMMILPAKKLLNFIYPSLYRVDEVLSMEPDRIGGSLKRLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKVQLRECDNEYSRNFMKILGTLRERDPSYHQLCRVVRQGEQPREGFLLLSNLVEDQMSGTSSYMDWILQIHRQTQS >ONIVA04G01110.4 pep chromosome:AWHD00000000:4:1217378:1224746:1 gene:ONIVA04G01110 transcript:ONIVA04G01110.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT3G07100) TAIR;Acc:AT3G07100] MQPPMGNDRPPQGVPGRPVSAFVPGAATAASPPSSFGAASAPRAPFVPLPQAAASPAAPFAAAPPAAMAGYRGPPPPQRPFGAGPPQQGPFAAAAPPPQGPFTSAPSSQGPFAAAPQPPSQGPFGTAPPPSQGPFGTAPPPSQGPFGTAPPPSQGPFAASVPPSQGPFASAPPPFRPPPSLVQSPTASGMAPPSAYVRPPPPVQSQPPPMQGFYGGPPPANQQFPMSRPTFQQPVQTMPPPPMAGFGNQAAYATGGPPTGGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPTKVLEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCGIDGSGRRYDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLPGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVDSFLDSLPNMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGVGRLRLRGDDVRAYGTDKEHSLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTLFLTTTRYTSSSGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILSICKSLALRGGYADVSLDERCAAGFSMMILPAKKLLNFIYPSLYRVDEVLSMEPDRIGGSLKRLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKVQLRECDNEYSRNFMKILGTLRERDPSYHQLCRVVRQGEQPREGFLLLSNLVEDQMSGTSSYMDWILQIHRQTQS >ONIVA04G01100.1 pep chromosome:AWHD00000000:4:1213170:1213658:-1 gene:ONIVA04G01100 transcript:ONIVA04G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKQTSQQKGGASRKVRRFASPEEELKDEARLTETSESSMFRRDRGGGISTQNRQASREHLLQLRRSLPTLPPTQRRVGASRATEQLDNLEGGGNRGGGVEEGADATEEEPELPTRPADDSPTGPPRGGRAPPRMRQPPARACQPPPPTRAGLAADTPQPE >ONIVA04G01090.1 pep chromosome:AWHD00000000:4:1207923:1210694:-1 gene:ONIVA04G01090 transcript:ONIVA04G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWLEEIPGLRSLASRGSSNKLNCIAGFSLLKMPNAESSLFKMPTADANIAALHKEWDDALCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKVDHNDGSSQQSSSLPRDISSQNVPQRSRFDPTGEIQTGISESHEIFNHRDAIQSSAGLSGQQGENSYNQDLDLTLEAQQRESSSTVESSELTRLNQLACPLCRGTVKGWKIIKEAREYLDEKSRSCSRETCAFSGNYRELRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVFGDYVVEGGDMFSPDQEGGMPNEPSGSLLTTFFLFHMISSSPMRSGDEIRGSSRGLRRQRRRYLWGENLLGLQYEDEDEDDEEENLDEDVQRPRSRRRFKKEHESSDV >ONIVA04G01090.2 pep chromosome:AWHD00000000:4:1208680:1210694:-1 gene:ONIVA04G01090 transcript:ONIVA04G01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWLEEIPGLRSLASRGSSNKLNCIAGFSLLKMPNAESSLFKMPTADANIAALHKEWDDALCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKVDHNDGSSQQSSSLPRDISSQNVPQRSRFDPTGEIQTGISESHEIFNHRDAIQSSAGLSGQQGENSYNQDLDLTLEAQQRESSSTVESSELTRLNQLACPLCRGTVKGWKIIKEAREYLDEKSRSCSRETCAFSGNYRELRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVFGDYVVEGGDMFSPDQEGGMPNEPSGSLLTTFFLFHMISSSPMRSGDEIRGSSRGLRRQRRRYLWGENLLGLQYEDEDEDDEEENLDEDVQRPRSRRRFVRSRSEERS >ONIVA04G01080.1 pep chromosome:AWHD00000000:4:1202359:1207793:1 gene:ONIVA04G01080 transcript:ONIVA04G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPSDPPMSALSHTEGDSSGFTRNNDRNDDAVGGEDSTSSYQPCNSMKCKDGTQIMSLANEDVSNLASDCQEPPSESNHAISHGSNTDSKEEMSSGSGYRRQQSCFSSSTCSWRSSSEVESVSSTPDGSGDVVGNMSVRSKTFERRPDHIASYPSASPDIRRLYAAEGKAGFTLDYHSEERWSRRSNQSTAFRTSNGQSIEHHSEIVDIPRQANSMDETTSSSSQWSFDNWGPSLPRGMQYGDEIPSLSSQNYGARIPSLSSRQSYGDEIPSLSRNCNYASRQSYGDEIPSLSSRHCNSFSSRQSYGDEIPSLSRHCNTRSSRQNYGDEIPSLSNSDYQCYQDRIPLHHRQWCHDAEAHPQLNYGRGASHGNRYSRDSFVSSIATNQRFKMGTGKHTVTRSDHHRTIKNDNVCKHSDDTLEQVRGPRANKLENATRSKTQEDIRSPLVRRDQFNRPDFIVEYEQAKFFMIKSYSEDDIHKGIKYNVWASTPHGNNKLDAAFREAQILIKEKGKKCPVFLFFSVNSSGQFVGLAEILGPVDFKKTMDFWKLDRWNGFFPVTWHIIKDIPNRLFKHITLENNDNRIVTFSRDTQEIGLLQGLKMLKIFKDYDQETSLLDDFNFYEEKESARCAKKGINAESTHEARLLFFGTGARHSDDFKSMENLEASMENTILY >ONIVA04G01070.1 pep chromosome:AWHD00000000:4:1196632:1198832:-1 gene:ONIVA04G01070 transcript:ONIVA04G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGEPPASSSLGNAGEEEEEDDFYWDAEAEAELQAIEAAYAAAKRRRLPDWPSPNPVTASASASASGGCSPAPPWAPSPPAFRGNVKARYQPVMFNGSIVYCRTPSEVEKATRDILCKIETMKASGQVSLGFDLEWRPFPRRGDPPCKVAVMQLCMERTRCYVMHIIHSGVPPVLKSLLEDSSSVKVGICIDNDARKMFNDYDVHVQPLMDLSNLANAKLGFPPKRWSLASLTEMVTCRELPKPSNIRMGNWEAYVLSKQQLQYAATDAYISWHLYEVYECTTYL >ONIVA04G01060.1 pep chromosome:AWHD00000000:4:1173730:1175084:-1 gene:ONIVA04G01060 transcript:ONIVA04G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GXC0] MVLQHSDRMDSLFSPQTSWVSGPIIVGAGPSGLAVAASLREQGVPFTMLERADCIASLWQKRTYDRLKLHLPKQFCELPRMAFPAHYPEYPTRRQFIDYLEDYAAAFDINPLFGHTVLSARYDETSGLWRVRASSSAGAEMEYIGSWLVVATGENAESVVPDIPGIDGFGGEVVHVADYKSGEAYRGKRVLVVGCGNSGMEVSLDLCDHGARPAMVVRDAVHVLPREVLGKSTFELAVLLMAWLPLWLVDKILVLLAWLVLGNLAKLGIRRPATGPLELKNTTGRTPVLDYGALARIRSGEITVVPGVARFGKGFAELADGRVIALDAVVLATGYRSNVPQWLQGNDFFNKDGYPKTAFPNGWKGESGLYAVGFTRRGLSGASADAMRAAKDLARVWKEATKPTKKSTACHRRCISVIF >ONIVA04G01050.1 pep chromosome:AWHD00000000:4:1152329:1153538:-1 gene:ONIVA04G01050 transcript:ONIVA04G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQRQSNGQANRVYNGELTSWANPARGDDDVGTSKKRKRVIAKGGKVRTRRATKDVVETGADDDDDGEREEVSDDDVGSRAILRAPFGRRLGLALALRSHALAAHCSAKQSAQVRLGGHRLPLRKTEEDLRHKEDERRVVTDALKKANAEIDHGCSSSTTSAPCGATCRRRRSACAASTHWSSSTRETTAWCSGSL >ONIVA04G01040.1 pep chromosome:AWHD00000000:4:1150580:1151995:-1 gene:ONIVA04G01040 transcript:ONIVA04G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDEHLRLPPRSGPGALHHRAAAAAPANAISLHVDAEAHDGPAAAERSSSTTREKRLERFMEYSKRALVYEFTLATSLLQHRTASFTGGSAWAKAGVALLCAALFVDLMGSVYLALVTRLLDAEATDASCRWHVVRVYASAVLLMSMPFCLLMSLNALYAFLAVALVPPIYLVLLLFAKEHRHRGGVLHEFPPPRGERTTVIISYEDYDGKLKSQFDASATVNTIATGAGLTGTFFGYSTSTDFSPNHAVTVSESLLFLTIVGAQFVMLVTAARPMFRKESSPARLAGFLSLLVGSLPVLLSLSAFAGAIDFLGGLALLAFSIDFLELVFFFKATFYKELEEEPDAPSPPPASSTTTTTMDGLQLLWLCVMYIYFTALEALYQEQAGRKTKLELLEKARVLVYFWAFCCCSLDGGGRGKLPLLPPLEELRKQQHHLSLGRARYAVMGLAALDVLWRVARMFLVVAPVKP >ONIVA04G01030.1 pep chromosome:AWHD00000000:4:1134342:1135805:-1 gene:ONIVA04G01030 transcript:ONIVA04G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSVLLLMLFVFTLLCCLALLRRAARRARAPAAVQPPTIEISDAAVARRALVDYADVFANRPFLPFPVALVTGRRRRRSDNLTSVPYGPHWRVLRRNLTAGIFHPSRLVLLAPLQRDAVDDLVAGISESAAGGAVPVVVRDAAYAAVFRLAARMCFGDGVGERQVRALRRVIREFVLDVGVANNVFPVSTSTALARLRRWRRVRRLLSSRRRQAELYLPLIDERRRRMARRRDRDADGGMFRPYVDALIDLRVPGDGGSTPLTDDEMVSLLMEFLAASTESVVSCIEWTLAHLVIDAEAQSKLRREVGDVGDGEHVHGGLGGRTPYMRAVILESLRLHPPVPFVIREIVGGAAPPVLDELAAMPMPGGGARVHFVIGDIGRDGKAWKDPEEFRPERFMAGGEAEGVGPVPGPKEVRMMPFGAGRRSCPGMGVAMAHVGLFVAALVREFEWTPAAGGGVDLTQQDDLFNVMRTPLRARATPRPRAPA >ONIVA04G01010.1 pep chromosome:AWHD00000000:4:1124594:1128037:-1 gene:ONIVA04G01010 transcript:ONIVA04G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGADLANDDGSLRFGELGIKSSKNYSLYARILIRDEIPQGFRAAGNHQIALRDDKS >ONIVA04G01000.1 pep chromosome:AWHD00000000:4:1121521:1122963:-1 gene:ONIVA04G01000 transcript:ONIVA04G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLTLLLVLTLFLCLAVFRRTTSRARRAPVSLRQPTVEIHDGDVARRALLDHADAFVNRPAIGAEPRGRRSDNLTTVRYGPQWRVLWRNLTAGFLRPSRVGLLAPLQQKAVDALVADIAARGADGGEVGVRDVVHDALFPLAVRFCFGDDIDERHVRDLRRVMQELKLDVVVKGFSGSMLANLVHWWRLRRFIASGRRRAEIFLPLIAQRRRTQHRDADGGVFRPYVDSLLDLRVPVGHDADADAAGCEDNEGRNSGRALTDDEMVGLVAEFLSGGTETVVSCVEWTLAHLVIEPEIQDKLCRQVVAAADHHGGERGTTPAYLRAVILESLRMHPPVPLTMRDVRSPQAVEHLSLPDGGARVHFILGDIGRDGKAWTDPDEFRPERFMAGGEAEGVGPLPGPREVRMMPFGAGRRYCPGMGLGVAHACLLVAALVREFEWAPTAVAATGGVDLTEVNGFFKMMRTPLRARATPRGTSA >ONIVA04G00990.1 pep chromosome:AWHD00000000:4:1114791:1122285:1 gene:ONIVA04G00990 transcript:ONIVA04G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQQQEEDLEEKQKMGDQQQEEDQNPREAEMIAEAPVQLFSITQMCHADVPRGVARARSGVRIILKKPFTSVKSTPPVAATAVGAHSNSRTSAATKRQACATPSPMPGQYLRPAPNGIILTSLGPGSGPTPSASPPAMNLSGRNSSGSVHALPSRPMSPRMKCTRAPPSGRERCSTACGERTSRMVSGTGGCMRRLSRITARRYAGVVPRSPPWWSAAATTWRHSLSWISGSMTRCARVHSTHDTTVSVPPLRNSATRPTISSSVSARPELRPSLSSQPAASASASCPTGTRRSSSEST >ONIVA04G00980.1 pep chromosome:AWHD00000000:4:1109019:1114557:1 gene:ONIVA04G00980 transcript:ONIVA04G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATAAHPASSSVSAAHWMATEDLGLRRNIINNMVKKFMTITNSQQDHHYREIQNYAIRCEQDALNKTTNKEDYLRCIAQRIMNMEMKVRRSQSLQAGTTPSAQRPSSQQQNVCTTPQNPGQVPDQHRASAPNSQIEASQEQTVMVAAPDCYLNFNTTAISPVAMCVHPSQQPQSQQHQQQAKQLHPTNVVGYNPTSLNQIQGQSVSGQNFQQNHVLGQNASGSGTQQRQLVETPEQHQLLRMKQQHMRGNQQQNFTQRNQILPAQQAHLGKMQIGHPAVKNNQQNVGMSCQPMTPPQCQVATAQQSSLGCDSPQTLEPIVIAGEVDWREEIFQKIKSFKDAYLSEVLEYDQIVHVPKLTEEQLRSLPVENAEKLRRIRHVKKIIAIMLDLLNTQKSNVHKGMQNIFPIFQQYLGQLRLSISKSKARKTVAKIGCQSQNCSENSHIVNLGSNTAPFTCDASRQQKQQEQVISAKTSRMEQAIMTRTPTPQQESHGCHLPGVPSSCFSPKALQPSSTNTIEECFTPSPVTQTVQPIQVASPHVTSPGAYGKSSVPKPSVARVVSHSASIKSRLASSPSRPEGAHAASPNITSVESTLPTPIAKPGTVRAASPCTPVKSTSQSQLSKPAVTEVDSCRACVTSKLKSPVGKPETAGAASPCASVKSTVSLDVDSVTEFLQHRVVAPTVANGGSSNQAIHTLVSAVPPKAAHQADDQVQNGAEEMEAKKPFSRLIETLLSSSPEALRHSSNSMRLAIWEADRIPAPSPLPYRPRNGKMKRDFDHVTSRPISSPLRSMDESCMTYECVAFEDESSGEYNAKRQKTQVNANDALVDEIKTINNKLVDTVMNIADENGTDEIIYQNGGGMLIKLSYNSMSLSPSLKSLFAASEMTIVMPVKLLVPADYPKSSPILVDNDDEQRRLSDISYAVAVAFGRAVDELLEPRSIEAMAMAWDGCVRRAVTEVAHRHGGGTFSSRHNQWRAG >ONIVA04G00970.1 pep chromosome:AWHD00000000:4:1094751:1099057:1 gene:ONIVA04G00970 transcript:ONIVA04G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLLATLLPMETSSVVSQQNASKKVGIWSESASFSDDGLGPVPSKWKSTCQAGQEFKSNLCNRKIIGARWYDGHLKPKDTNGHGTHVASTAAGALVPNVSFHGLATGYARGVAPHARLAIYKACWGPGGSCNEAAVLQAIDHAIHDGVDILSLSLGGPSFEYYTSLHAVNQGITVVFSAGNDGSAPRTVTNVWLYINDPSCHAAFQASQNASMTLPSRLINATLASGKIVFCYNPAPMTTISPIFYTSKVVKYAKEAGAKGIILATYAFDMLDAFEICGSMPCVLVDFDVATGLYYALVQNTELVVKVTPALTWLGNGVLAPKISTFSSRGPSPLFPKFLKPDVAAPGSNILAAVKDPYTFKSGTSMACPHVSGVAALLKALHPDWSPAIIKSAIVTTASNDRFGLPILADGLPQKPVDPFDYGGGFIDLNRAVDPGLAYDVDPKDYIPFHDCFLAGNSSCESESRNLNIPSIAILNLKEPTTVLRTVTNVGQADAIYKAVVQSPPGVQILVEPSILKFSAGMNKQSFKVTFTTTHKVQGNYLFGSLAWHDGGAHYVKIPIAVRSVLSNNYYSDV >ONIVA04G00960.1 pep chromosome:AWHD00000000:4:1077507:1082522:1 gene:ONIVA04G00960 transcript:ONIVA04G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVLLCLAIAAATAVCAASSGAPPSPDAAAVAVGSCPTYSGSGYSSASDGGNQEEYDPNKENPCKWSCGSMSIPFPFALLSACSGSKRFLLNCTSNKTLIGIPPAQYQVINISLDDGLLFVNKPSNLGDIITTPTVANELHDFDFSGSQGIWRWAVANQTCHTARTDQLSYACVSINSSCVDRSTGYHCKCSFGYRGNAYIEDGCQDIDECSLPNFCNGNCQNFIGSYRCSHCPRGSIFDPAKRVCIYGHGLHPAGLLIGLSCGIGVLFLVVGLILFVRRWRRHMQRKIRREYFQKNKGLLLEQLMSSDENVAHDPKIFSLEELEKATDNFHSTRILGCGGHGTVYKGILLDQRVVAIKKSRIVEQNEIDQFINEVAILSQIVHRNVSTTFSLTWEDSIRISLEVASALSYLHSAASIPIFHRDVKSANILLNDNYTSKVSDFGASRSISIDETRVVTIVQGTFGYLDPEYFHTCQLTEKSDVYSFGVILVEILTRKKPIIVNCFGENQNLGHCFLQTLQHGTIMEIVDPQIAKEANESEINEMASLAEICLRIRGEERPKMKEVELRLQLLRAMITERSRQELLRNNGIGPSVQSNSSTTSVTRSVVLRAGIGISTDQDATRCYTMEQELVSWTDLPR >ONIVA04G00960.2 pep chromosome:AWHD00000000:4:1077507:1080867:1 gene:ONIVA04G00960 transcript:ONIVA04G00960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVLLCLAIAAATAVCAASSGAPPSPDAAAVAVGSCPTYSGSGYSSASDGGNQEEYDPNKENPCKWSCGSMSIPFPFALLSACSGSKRFLLNCTSNKTLIGIPPAQYQVINISLDDGLLFVNKPSNLGDIITTPTVANELHDFDFSGSQGIWRWAVANQTCHTARTDQLSYACVSINSSCVDRSTGYHCKCSFGYRGNAYIEDGCQDIDECSLPNFCNGNCQNFIGSYRCSHCPRGSIFDPAKRVCIYGHGLHPAGGGDICKEKLEGNTSKKTKASYLNN >ONIVA04G00950.1 pep chromosome:AWHD00000000:4:1066661:1070029:1 gene:ONIVA04G00950 transcript:ONIVA04G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIFVDFDAVGQINSSGDENTTPLVKIAPARTWVGGEVLAPKISTFSSRGPSPLLPQFLKPDVAAPGSNILAAVKDSYKFQSGTSMACPHVSGVAALLKALHPDWSPAIIKSALVTTASNDRYGLPILANGLPQKIADPFDYGGGFIDPNKATDPGLAYDVDPKDYDLVVNCESANSSCESIFQNLNLPSIAIPNLTMPTTVLRTVTNVGQANAVYKAVVQCPPGVQISLEPSVLQFKQGKKKQSFKVTFSMIHKVQGSYLFGSLAWCDGAAHYVRIPIAIRPVVSENYADL >ONIVA04G00940.1 pep chromosome:AWHD00000000:4:1051936:1052360:1 gene:ONIVA04G00940 transcript:ONIVA04G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVFLLLSALLLLLPLQTSYFVVAQHNNNASKKLYIAYLGEKQHEDPQKTTASHQDMLTRILGRQALCILHHNTYKIVIMAWLQFSL >ONIVA04G00930.1 pep chromosome:AWHD00000000:4:1032526:1045095:1 gene:ONIVA04G00930 transcript:ONIVA04G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINCNAGNIDNTVKGKIAFCFGTKFDPQLDDYNITKATGEKGGKGVILPQYNTDLVLGDILLTLPIPFVPVDYEITYRIYQYKENDGTPKVKISFTRTTIGTEVSAPKVAVFLSRGPSPIYPGVLKPDIAAPGVSILAASPKTTFFEQAPYHFNSGTSMSCPHVSGIIAVLKSLHPQWSPAALKSAIMTTASNERYGFPTLADGLPQKTADPFDYSGGFIDPNRAVDPGLADDVDPEDYTTFLDCYSAGNSSCESESRNLNLPSIAIPNLTAPTTVLRTVTNVGQADAVYKAVVQSPPGVQISVEPTVLKFSQGKNTQSFKITFTMTHKLHGGYLFGSLAWSDGGAHYVRIPIAVRPVENANNSTDRSVSVSPQKAL >ONIVA04G00920.1 pep chromosome:AWHD00000000:4:1022708:1025552:1 gene:ONIVA04G00920 transcript:ONIVA04G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVHDGVDILSLSIGGPFENQGTLHVVAKGIPVVYAAGNDGPIAQTVENSSPWLLTVAAATIDRV >ONIVA04G00910.1 pep chromosome:AWHD00000000:4:1018472:1019719:-1 gene:ONIVA04G00910 transcript:ONIVA04G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQANERVQNIADPFEYVAGFLDLVMVPGPGFIYDITASDYLKLFNCMGVLGSGDDCPTAFFQVPTSVEMVVEPPVFVFNKDRRVQSFRVTFKATWKVQGDYRFGSLAWHDGGSYWVQIPIAVRIVIQEIYSKIS >ONIVA04G00900.1 pep chromosome:AWHD00000000:4:1004339:1005575:-1 gene:ONIVA04G00900 transcript:ONIVA04G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNSITSLEKMALGYHMHRLYTSYHWRGAHHYLGQAADHALGRKRALMGRGIPAPMPPDTGRDVGALPPVPSACDRSQPGQSRLTHFNRLGVARLSMPH >ONIVA04G00890.1 pep chromosome:AWHD00000000:4:1002538:1011899:1 gene:ONIVA04G00890 transcript:ONIVA04G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASNGGRGHFRLVIVVLLALLLPLQRSYVVCQQTATKKLYVVYLGEKQHKDPEQTTASHHDMLTAILGRQEHHIKPSIVHPLHTTRSQDFLGLDYTQSAGLLHRANYGDGVIIGIIDSGIWPESASFRDDSLGPLPSKENVFAGQAFGSNLCNRKIIGARWYDKHLNPEDFKGEYKSARDATGHGTHVSSTAAGALVPNISFHGLAAGYARGVAPRARLAMYKVCWEQVGCDEAAILQAIDDAIHDSVDVLSLSLGNPSSEPYGSIHAVKNGITVVFAAGNNGPAPRTVENSLPWVISVASATIDRAFPTVITLANNTSNFVGHSLFYEQDAKDNWYEIYQSGCSFQSVATANVTLAAGKIVLCYNPTTVSIITPAYTMPTAIKVLKEAEAKGIIFATYALDILDSLEDCGSMPCVLVDFDAAQQIKQSADQNTALVVKVTAARTWIGGEVLAPKISTFSSRGPSTLLSDFLKVTEKGKLRKGINQCQEPDIAAPGSNILAAVQDSYKFMSGTSMACPHVSGVVALLKALHPDWSPAMIKSALVTTASNEKYGVPILADGLPQKIADPFDYGGGFIDPNRAVDPGLAYDVDPKDYTSILDCFSATNSSCEFEPINMNLPSIAIPNLKGPTAVLRTVTNVGQANAVYKAVVQSPPSVRILVEPSVLQFNQGKKKQSFKVTISMTHKFQGGYLFGSLAWCDGGSHYVRIPIAVRPVISDEAYNCIMFRLQRCTFLSAATANVTLAAGKIVLCYGPATVSIIAPSYTIPIAIKALKEAGAKGICS >ONIVA04G00890.2 pep chromosome:AWHD00000000:4:1002538:1014936:1 gene:ONIVA04G00890 transcript:ONIVA04G00890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVAAARTWIGGEVLLPKISTFSSRGPSSYSPDFLKPDVAAPGSIILAAIKDSYKFLLGTSMAYPHVSGVAALLKALHPDWSPSIIKSALVTTAINDKYGLPILADGLPQKTDPFDYGGGFIDPNRAVDPGLAYDIDPEYFDCILGSNSSCEFEPKNINLPSIAIPNLKEPTTVLRIVTNLGKAEAVYRAVVQSPPGVQILVEPSVLQFIKVKKKQTFNVTFSMAHKVQGSYLFGSLAWCDGGSHYVRIPIAVDANVSVGLPPLFLQAGLTSARSRPWRAPPSALSPRSSSLPAGLRMEYLLREAGHELNHAKRLVTDVEV >ONIVA04G00890.3 pep chromosome:AWHD00000000:4:1002538:1015449:1 gene:ONIVA04G00890 transcript:ONIVA04G00890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADASNGGRGHFRLVIVVLLALLLPLQRSYVVCQQTATKKIPEVLSIKPSIVHPLHTTRSQDFLGLDYTQSAGLLHRANYGDGVIIGIIDSGIWPESASFRDDSLGPLPSKENVFAGQAFGSNLCNRKIIGARWYDKHLNPEDFKGEYKSARDATGHGTHVSSTAAGALVPNISFHGLAAGYARGVAPRARLAMYKVCWEQVGCDEAAILQAIDDAIHDSVDVLSLSLGNPSSEPYGSIHAVKNGITVVFAAGNNGPAPRTVENSLPWVISVASATIDRAFPTVITLANNTSNFVGHSLFYEQDAKDNWYEIYQSGCSFQSVATANVTLAAGKIVLCYNPTTVSIITPAYTMPTAIKVLKEAEAKGIIFATYALDILDSLEDCGSMPFKVAAARTWIGGEVLLPKISTFSSRGPSSYSPDFLKPDVAAPGSIILAAIKDSYKFLLGTSMAYPHVSGVAALLKALHPDWSPSIIKSALVTTAINDKYGLPILADGLPQKTDPFDYGGGFIDPNRAVDPGLAYDIDPEYFDCILGSNSSCEFEPKNINLPSIAIPNLKEPTTVLRIVTNLGKAEAVYRAVVQSPPGVQILVEPSVLQFIKVKKKQTFNVTFSMAHKVQGSYLFGSLAWCDGGSHYVRIPIAVDANVSVGLPPLFLQAGLTSARSRPWRAPPSALSPRSSSLPAGLRMEYLLREAGHELNHAKRLGWLPLQTMCVHGDSATRAIGLTSRSWCLESGALDVSLPSLEHATRIAAAFGNARHAIHHCTFPASQPG >ONIVA04G00890.4 pep chromosome:AWHD00000000:4:1002538:1011899:1 gene:ONIVA04G00890 transcript:ONIVA04G00890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADASNGGRGHFRLVIVVLLALLLPLQRSYVVCQQTATKKIPEVLSIKPSIVHPLHTTRSQDFLGLDYTQSAGLLHRANYGDGVIIGIIDSGIWPESASFRDDSLGPLPSKENVFAGQAFGSNLCNRKIIGARWYDKHLNPEDFKGEYKSARDATGHGTHVSSTAAGALVPNISFHGLAAGYARGVAPRARLAMYKVCWEQVGCDEAAILQAIDDAIHDSVDVLSLSLGNPSSEPYGSIHAVKNGITVVFAAGNNGPAPRTVENSLPWVISVASATIDRAFPTVITLANNTSNFVGHSLFYEQDAKDNWYEIYQSGCSFQSVATANVTLAAGKIVLCYNPTTVSIITPAYTMPTAIKVLKEAEAKGIIFATYALDILDSLEDCGSMPCVLVDFDAAQQIKQSADQNTALVVKVTAARTWIGGEVLAPKISTFSSRGPSTLLSDFLKPDIAAPGSNILAAVQDSYKFMSGTSMACPHVSGVVALLKALHPDWSPAMIKSALVTTASNEKYGVPILADGLPQKIADPFDYGGGFIDPNRAVDPGLAYDVDPKDYTSILDCFSATNSSCEFEPINMNLPSIAIPNLKGPTAVLRTVTNVGQANAVYKAVVQSPPSVRILVEPSVLQFNQGKKKQSFKVTISMTHKFQGGYLFGSLAWCDGGSHYVRIPIAVRPVISDEAYNCIMFRLQRCTFLSAATANVTLAAGKIVLCYGPATVSIIAPSYTIPIAIKALKEAGAKGICS >ONIVA04G00880.1 pep chromosome:AWHD00000000:4:1001416:1001715:1 gene:ONIVA04G00880 transcript:ONIVA04G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPQKGPREKKDIQDEASKEGNNDRSRCRHLPINWTRFSPKGGESPQSNTSKEETGPASVDVADLGRPDRAFARDSLQRWIYNEAEQKCCVIVARLIG >ONIVA04G00870.1 pep chromosome:AWHD00000000:4:977798:986028:1 gene:ONIVA04G00870 transcript:ONIVA04G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSAAKVGLWGGSGGRPFDIRPSATVPRRLNSITLYHPDGAIHSLYYDYYMKSQRRGGGGDELKLVKDGPWGQRYSYNSIAVHDTIKLSADEQVTWVEGTIGRFRDVDEPVGAWGGCGGRPFDMIPSTIPRRLNSIALFHSSGAIHSLYFDYHIQQQKHGGRDRHGGGQLKLMNYGPWGQASSYNSIAVRDEIKLSAREQVTAVEGTVGNFRDVDEPVITSLTFYTNAGRKYGPYGGNGKQGTPFSIPVGKGCIVVGFWGRCGWLLDAIGVYESTLLLFPALSGSKIRSKPTKTRNAMEMVERRFSWLAVVTIVLVSISVYTCSFLAGVALGRALERRNNLHPSSINGAVDDDTELAVLPGRRWSSLVGKKVGPWGGSGGWHDFGIGGRSSRSSPVLPRQLNSIVLYHSRGAIHSLYYDYYIQIHPQKLGRGHDELKLVKNGPWGQKYSFDSIAVREMIKLSDDEQVTAVEGTFGHFRDVVEPVITSVTFHTNAGRTYGPYGGGGEPGSGTPFSVPAEEGRIIVGFWGRAGWLLDSIGIPREPSAGPTETKDLGEESSQGKAAKAEEASARRKHGRKPHDESPSMKYTSFAEDGPCRRDIRPKTERRTPCREGPSIKPHREKAQRAVAPSGGFAKDWAELLGPLGAHASKRHCMPIIISLS >ONIVA04G00860.1 pep chromosome:AWHD00000000:4:957659:969793:-1 gene:ONIVA04G00860 transcript:ONIVA04G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLHYLSGTLLVVCTALFLLIDTTKSQSATHSNYTDHDALLIFKSLITDDPMAALSSWNQGSSVCSWAGVRCNRQGRVSVLDVQSLNLAGQISPDIGNLSALQSIYLQKNRFIGNIPDQLGRLSLLETLNGSSNHFSGSIPSGLTNCTHLVTLDLSANSITGMIPISFHSLQNLKMLKLGQNQLTGAIPPSLGNMSLLTTLDASTNTIAGEIPKELGHLRHLQYFDLSINNLTGTVPRQLYNISNLAFFAVAMNKLHGEIPNDISLGLPKLHIFIVCYNKLTGHIPPSLHNITKIHSIRISHNFLTGKVPPGLQRLSKLVWYNIGFNQIVHTTSILDDLTNSTKLEYLGIYENQIVGKIPDSIGNLSSSLENLYIGGNRITGHIPPMIGRLTRLTLLNMTDNLLDGEIPLEISYLKDLNALGLSGNNLSGPIPTQFGNLTALTMLDISKNRLAGSIPKELGHLSHILSLDLSCNNLNGSIPDTVFSLTSLSSILNMSYNALTGVIPEGIGRLGNIVAIDLSYNLLDGSIPTSIGKCQSIQSLSMCGNAISGVIPREIKNLKGLQILDLSNNRLVGGIPEGLEKLQALQKLNLSFNDLKGLVPSGGIFKNSSAVDIHGNVELYNMESTGFRSYSKHHRNLVVVLAVPIASTITLLIFVGVMFMLWKSKCLRIDVTKVGTVIDDSILKRKLYPLVSYEELFHATENFNERNLVGIGSFSSVYKAVLHDTSPFAVKVLDLNKIGATNSWVAECEILSTIRHRNLVKLVTLCSSIDFTGNEFRALVYEFMTNGSLEDWIHGPRRHEDSERGLSAVEVLSIAIDIASALEYMHDGSCRAGQVVHCDIKPSNVLLDGDMTAKIGDFGLARLHTQTCVRDEESVSTTHNMKGTIGYIPPEYGYGTKTSTSGDVYSYGIMLLEMITGKSPVDQMFEGEMNLEKWVRVSIPHQADEVVDKRFLITGSEESSADGQQQQQVDTVDSKLLLETLLVPMVDVALCCVRESPGSRISMHDALSRLKRINEKFLKSLAVCRSCSDGERHALLRRIQPLIGPEFSSNGRLDWHEAVDCCRWEGVTCSVAGRRREAAGGRRVVSLSLPGVGIAGAVDAAVLAPFTALEKLDLSGNQITSFSAANRSDMVVGAVLNNLTALTELHLAGNEITTTGWISNLTSLQVIDMSSNKLHELNAPGILGHGIKFSHSIVLSNNYKLEIETELVRWTPLFQLEYLNLSNSIVNKRSNGIIPTFLSAQVSLSGIDLSICSLQGRIPSSMLLYNVSLGFLLLRGNSMDFLDTGNLGANVTSSMEVLDLSNNMISMPMPYNLGSLFPYLKYLDMSSNMLHGGVPSLAEAVSSLQVLDLSFNRLDGEISPEFIGNASILTSLLLSHNDLTGPMPPFHWIPGQLIHLSIENNQLSGGLPPLLMNCTNLENLNVRNNRLSGVIPVGLLNFEKLGALLLGGNQFHGVIPWDICLNNNLHFIDLSNNRFSGEIPGCLYSVFWSELPMYYEDDPFGNITQRRQTYVEFTTKGESLTYMGMPLELMTGIDLSMNRLSGTIPSPIGFLRQLKSLNLSHNKLVGSIPDTFMYLLEMESMDLSHNHLNGSVPVELANLSFLSFFSVAYNNLSGEIPFESQLCTLNGTAFEGNENLCGKIVDKICLMNSNHSHDSDDEMHQLLSTDTMDTPLIYWSFVAGSFAIGFWGIIALLIWNTTFRSRLCSFMDGCMSKMGWILVP >ONIVA04G00860.2 pep chromosome:AWHD00000000:4:957659:969793:-1 gene:ONIVA04G00860 transcript:ONIVA04G00860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLHYLSGTLLVVCTALFLLIDTTKSQSATHSNYTDHDALLIFKSLITDDPMAALSSWNQGSSVCSWAGVRCNRQGRVSVLDVQSLNLAGQISPDIGNLSALQSIYLQKNRFIGNIPDQLGRLSLLETLNGSSNHFSGSIPSGLTNCTHLVTLDLSANSITGMIPISFHSLQNLKMLKLGQNQLTGAIPPSLGNMSLLTTLDASTNTIAGEIPKELGHLRHLQYFDLSINNLTGTVPRQLYNISNLAFFAVAMNKLHGEIPNDISLGLPKLHIFIVCYNKLTGHIPPSLHNITKIHSIRISHNFLTGKVPPGLQRLSKLVWYNIGFNQIVHTTSILDDLTNSTKLEYLGIYENQIVGKIPDSIGNLSSSLENLYIGGNRITGHIPPMIGRLTRLTLLNMTDNLLDGEIPLEISYLKDLNALGLSGNNLSGPIPTQFGNLTALTMLDISKNRLAGSIPKELGHLSHILSLDLSCNNLNGSIPDTVFSLTSLSSILNMSYNALTGVIPEGIGRLGNIVAIDLSYNLLDGSIPTSIGKCQSIQSLSMCGNAISGVIPREIKNLKGLQILDLSNNRLVGGIPEGLEKLQALQKLNLSFNDLKGLVPSGGIFKNSSAVDIHGNVELYNMESTGFRSYSKHHRNLVVVLAVPIASTITLLIFVGVMFMLWKSKCLRIDVTKVGTVIDDSILKRKLYPLVSYEELFHATENFNERNLVGIGSFSSVYKAVLHDTSPFAVKVLDLNKIGATNSWVAECEILSTIRHRNLVKLVTLCSSIDFTGNEFRALVYEFMTNGSLEDWIHGPRRHEDSERGLSAVEVLSIAIDIASALEYMHDGSCRAGQVVHCDIKPSNVLLDGDMTAKIGDFGLARLHTQTCVRDEESVSTTHNMKGTIGYIPPEYGYGTKTSTSGDVYSYGIMLLEMITGKSPVDQMFEGEMNLEKWVRVSIPHQADEVVDKRFLITGSEESSADGQQQQQVDTVDSKLLLETLLVPMVDVALCCVRESPGSRISMHDALSRLKRINEKFLKSLAVDGERHALLRRIQPLIGPEFSSNGRLDWHEAVDCCRWEGVTCSVAGRRREAAGGRRVVSLSLPGVGIAGAVDAAVLAPFTALEKLDLSGNQITSFSAANRSDMVVGAVLNNLTALTELHLAGNEITTTGWISNLTSLQVIDMSSNKLHELNAPGILGHGIKFSHSIVLSNNYKLEIETELVRWTPLFQLEYLNLSNSIVNKRSNGIIPTFLSAQVSLSGIDLSICSLQGRIPSSMLLYNVSLGFLLLRGNSMDFLDTGNLGANVTSSMEVLDLSNNMISMPMPYNLGSLFPYLKYLDMSSNMLHGGVPSLAEAVSSLQVLDLSFNRLDGEISPEFIGNASILTSLLLSHNDLTGPMPPFHWIPGQLIHLSIENNQLSGGLPPLLMNCTNLENLNVRNNRLSGVIPVGLLNFEKLGALLLGGNQFHGVIPWDICLNNNLHFIDLSNNRFSGEIPGCLYSVFWSELPMYYEDDPFGNITQRRQTYVEFTTKGESLTYMGMPLELMTGIDLSMNRLSGTIPSPIGFLRQLKSLNLSHNKLVGSIPDTFMYLLEMESMDLSHNHLNGSVPVELANLSFLSFFSVAYNNLSGEIPFESQLCTLNGTAFEGNENLCGKIVDKICLMNSNHSHDSDDEMHQLLSTDTMDTPLIYWSFVAGSFAIGFWGIIALLIWNTTFRSRLCSFMDGCMSKMGWILVP >ONIVA04G00860.3 pep chromosome:AWHD00000000:4:957659:969793:-1 gene:ONIVA04G00860 transcript:ONIVA04G00860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLHYLSGTLLVVCTALFLLIDTTKSQSATHSNYTDHDALLIFKSLITDDPMAALSSWNQGSSVCSWAGVRCNRQGRVSVLDVQSLNLAGQISPDIGNLSALQSIYLQKNRFIGNIPDQLGRLSLLETLNGSSNHFSGSIPSGLTNCTHLVTLDLSANSITGMIPISFHSLQNLKMLKLGQNQLTGAIPPSLGNMSLLTTLDASTNTIAGEIPKELGHLRHLQYFDLSINNLTGTVPRQLYNISNLAFFAVAMNKLHGEIPNDISLGLPKLHIFIVCYNKLTGHIPPSLHNITKIHSIRISHNFLTGKVPPGLQRLSKLVWYNIGFNQIVHTTSILDDLTNSTKLEYLGIYENQIVGKIPDSIGNLSSSLENLYIGGNRITGHIPPMIGRLTRLTLLNMTDNLLDGEIPLEISYLKDLNALGLSGNNLSGPIPTQFGNLTALTMLDISKNRLAGSIPKELGHLSHILSLDLSCNNLNGSIPDTVFSLTSLSSILNMSYNALTGVIPEGIGRLGNIVAIDLSYNLLDGSIPTSIGKCQSIQSLSMCGNAISGVIPREIKNLKGLQILDLSNNRLVGGIPEGLEKLQALQKLNLSFNDLKGLVPSGGIFKNSSAVDIHGNVELYNMESTGFRSYSKHHRNLVVVLAVPIASTITLLIFVGVMFMLWKSKCLRIDVTKVGTVIDDSILKRKLYPLVSYEELFHATENFNERNLVGIGSFSSVYKAVLHDTSPFAVKVLDLNKIGATNSWVAECEILSTIRHRNLVKLVTLCSSIDFTGNEFRALVYEFMTNGSLEDWIHGPRRHEDSERGLSAVEVLSIAIDIASALEYMHDGSCRAGQVVHCDIKPSNVLLDGDMTAKIGDFGLARLHTQTCVRDEESVSTTHNMKGTIGYIPPEYGYGTKTSTSGDVYSYGIMLLEMITGKSPVDQMFEGEMNLEKWVRVSIPHQADEVVDKRFLITGSEESSADGQQQQQVDTVDSKLLLETLLVPMVDVALCCVRESPGSRISMHDALSRLKRINEKFLKSLAVCRSCSDGERHALLRRIQPLIGPEFSSNGRLDWHEAVDCCRWEGVTCSVAGRRREAAGGRRVVSLSLPGVGIAGAVDAAVLAPFTALEKLDLSGNQITSFSAANRSDMVVGAVLNNLTALTELHLAGNEITTTGWISNLTSLQVIDMSSNKLHELNAPIYTGICGLHQLKYLSVGFNMIQGVINPCLGKLQHLVYLDMGSNFLTGEIGQNLLSNLTRVEQVHLGDNNLTGTFDFSSLANNSELHSIVLSNNYKLEIETELVRWTPLFQLEYLNLSNSIVNKRSNGIIPTFLSAQVSLSGIDLSICSLQGRIPSSMLLYNVSLGFLLLRGNSMDFLDTGNLGANVTSSMEVLDLSNNMISMPMPYNLGSLFPYLKYLDMSSNMLHGGVPSLAEAVSSLQVLDLSFNRLDGEISPEFIGNASILTSLLLSHNDLTGPMPPFHWIPGQLIHLSIENNQLSGGLPPLLMNCTNLENLNVRNNRLSGVIPVGLLNFEKLGALLLGGNQFHGVIPWDICLNNNLHFIDLSNNRFSGEIPGCLYSVFWSELPMYYEDDPFGNITQRRQTYVEFTTKGESLTYMGMPLELMTGIDLSMNRLSGTIPSPIGFLRQLKSLNLSHNKLVGSIPDTFMYLLEMESMDLSHNHLNGSVPVELANLSFLSFFSVAYNNLSGEIPFESQLCTLNGTAFEGNENLCGKIVDKICLMNSNHSHDSDDEMHQLLSTDTMDTPLIYWSFVAGSFAIGFWGIIALLIWNTTFRSRLCSFMDGCMSKMGWILVP >ONIVA04G00860.4 pep chromosome:AWHD00000000:4:957659:969793:-1 gene:ONIVA04G00860 transcript:ONIVA04G00860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLHYLSGTLLVVCTALFLLIDTTKSQSATHSNYTDHDALLIFKSLITDDPMAALSSWNQGSSVCSWAGVRCNRQGRVSVLDVQSLNLAGQISPDIGNLSALQSIYLQKNRFIGNIPDQLGRLSLLETLNGSSNHFSGSIPSGLTNCTHLVTLDLSANSITGMIPISFHSLQNLKMLKLGQNQLTGAIPPSLGNMSLLTTLDASTNTIAGEIPKELGHLRHLQYFDLSINNLTGTVPRQLYNISNLAFFAVAMNKLHGEIPNDISLGLPKLHIFIVCYNKLTGHIPPSLHNITKIHSIRISHNFLTGKVPPGLQRLSKLVWYNIGFNQIVHTTSILDDLTNSTKLEYLGIYENQIVGKIPDSIGNLSSSLENLYIGGNRITGHIPPMIGRLTRLTLLNMTDNLLDGEIPLEISYLKDLNALGLSGNNLSGPIPTQFGNLTALTMLDISKNRLAGSIPKELGHLSHILSLDLSCNNLNGSIPDTVFSLTSLSSILNMSYNALTGVIPEGIGRLGNIVAIDLSYNLLDGSIPTSIGKCQSIQSLSMCGNAISGVIPREIKNLKGLQILDLSNNRLVGGIPEGLEKLQALQKLNLSFNDLKGLVPSGGIFKNSSAVDIHGNVELYNMESTGFRSYSKHHRNLVVVLAVPIASTITLLIFVGVMFMLWKSKCLRIDVTKVGTVIDDSILKRKLYPLVSYEELFHATENFNERNLVGIGSFSSVYKAVLHDTSPFAVKVLDLNKIGATNSWVAECEILSTIRHRNLVKLVTLCSSIDFTGNEFRALVYEFMTNGSLEDWIHGPRRHEDSERGLSAVEVLSIAIDIASALEYMHDGSCRAGQVVHCDIKPSNVLLDGDMTAKIGDFGLARLHTQTCVRDEESVSTTHNMKGTIGYIPPEYGYGTKTSTSGDVYSYGIMLLEMITGKSPVDQMFEGEMNLEKWVRVSIPHQADEVVDKRFLITGSEESSADGQQQQQVDTVDSKLLLETLLVPMVDVALCCVRESPGSRISMHDALSRLKRINEKFLKSLAVDGERHALLRRIQPLIGPEFSSNGRLDWHEAVDCCRWEGVTCSVAGRRREAAGGRRVVSLSLPGVGIAGAVDAAVLAPFTALEKLDLSGNQITSFSAANRSDMVVGAVLNNLTALTELHLAGNEITTTGWISNLTSLQVIDMSSNKLHELNAPIYTGICGLHQLKYLSVGFNMIQGVINPCLGKLQHLVYLDMGSNFLTGEIGQNLLSNLTRVEQVHLGDNNLTGTFDFSSLANNSELHSIVLSNNYKLEIETELVRWTPLFQLEYLNLSNSIVNKRSNGIIPTFLSAQVSLSGIDLSICSLQGRIPSSMLLYNVSLGFLLLRGNSMDFLDTGNLGANVTSSMEVLDLSNNMISMPMPYNLGSLFPYLKYLDMSSNMLHGGVPSLAEAVSSLQVLDLSFNRLDGEISPEFIGNASILTSLLLSHNDLTGPMPPFHWIPGQLIHLSIENNQLSGGLPPLLMNCTNLENLNVRNNRLSGVIPVGLLNFEKLGALLLGGNQFHGVIPWDICLNNNLHFIDLSNNRFSGEIPGCLYSVFWSELPMYYEDDPFGNITQRRQTYVEFTTKGESLTYMGMPLELMTGIDLSMNRLSGTIPSPIGFLRQLKSLNLSHNKLVGSIPDTFMYLLEMESMDLSHNHLNGSVPVELANLSFLSFFSVAYNNLSGEIPFESQLCTLNGTAFEGNENLCGKIVDKICLMNSNHSHDSDDEMHQLLSTDTMDTPLIYWSFVAGSFAIGFWGIIALLIWNTTFRSRLCSFMDGCMSKMGWILVP >ONIVA04G00850.1 pep chromosome:AWHD00000000:4:939129:942962:-1 gene:ONIVA04G00850 transcript:ONIVA04G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLPRRLRNLNLAAESFVENCQQGEDSLQSASAEDLRNDQTHQESFHGQATEDDQLEEENPQGPPELDIAFADIGTGVKRSIKIIWANGAVQEVFKCLRTDDLWKLNGGRVLVETDENGVPNQWSESILAKYLAELAKNASFAPLHFKRWDDKLFKGPKKRIIIDVEISDGDRIGANLDEHATSTRFNITIPSPTEYVEIHDDSNMNGERNSVDDYESNESNVARKARAKKFIKATKDCSRAPSKSDKKAMKTRNGVTKQDKAQQDDSRFQEARHPTSMPG >ONIVA04G00840.1 pep chromosome:AWHD00000000:4:932096:932603:-1 gene:ONIVA04G00840 transcript:ONIVA04G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCHGEGRWNALARCAWLKRTGKSCRLRWLNYLRPDVSRGNMTAQEQLLILELHGWWGNRRSKIAQHLPGRTDNEIKNYWRTRVQKHAKHLNCDVNSQQFNDLMRYLWMPRLLDRIHRSQSQSHDTDDADLSAASCITVTA >ONIVA04G00830.1 pep chromosome:AWHD00000000:4:920054:927624:1 gene:ONIVA04G00830 transcript:ONIVA04G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGLFLSFSRPSQDQQKSCLSAAGGFNYDAPLHGASRPKSVAKLTAGDTGASDKALVERGFFVNRSRVLVGSGTTTFNHAKSALLSWKHLALGWANVEPDTPVKAGTRFCICYKELIPWVMLPLQIAYVTDGNGGNSSGHGKGCVFAYGSGTLQGHLLPDIAAPGVAILAASPNTLEFKGVPYHFASGTSMACPHISGIIAVLKSLHPEWSPAALKSAIMTTANTFDNNGMPIQANGRVPKIADPFDYGAGFVNPIMAADPGLIYDINPSDYLKFFNCMGGLGSHDNCTTIKGPVIDLNLPSIAIPNLRTSQTAVRTVTNVGDQHDAVYKAFLEPPAGIEMAVEPPELVFSKDKKDQSFKVTFKATRKVHGDYTFGSLAWHDGGSHWVRIPIAVRNVIEEIYSNIS >ONIVA04G00820.1 pep chromosome:AWHD00000000:4:909421:918665:1 gene:ONIVA04G00820 transcript:ONIVA04G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRANHPRSYTLSTLGREDTMMLISSLLPTMTCLPLFWEGLPDVVSVRENHIHQLHTSRSWDFLGMDYRQPNGLLAKAKYGEDIIIGVLDTGITPESPSFTDDGYGPPPSKWKGICQVGPSFEAKSCNRKLIGARWYIDDDTLSSMSKNEILSPRDVEGHGTHTASTAGGNIVHNASILGLATGTVRGGAPRARVAMYKICWSGSGCSAAVQLKALDDAVYDGVDAQSFVLSRQTTSQLSEIQVFEGDDCNADNINSTVKGKTVFCFGTKLDPEPDINSIIKVTGEKGGTGVIMPKYNTDTLLQDSPLTLPIPFVVVDYEIAYRIYQYYTNENDGTAKVKISLTQTTIGKVTAPKVAAFSSRGPSSIYPGVIKPDIAAVGVTILAAAPKDFIDLGIPYHFESGTSMACPHVSGIVAVLKSLHPEWSPAALKSAIMTTALTYDNNGMPIKANGRVEKIADPFDYGAGFINPNMAADPGLIYDISASDYLKFFNCMGGLGSGDNCTTVKGSLADLNLPSIAIPNLKTFQVATRTVTNVGQGNAVYKAFLQPPVGIEMAVEPPVLVFSKDRKVQSFKVTFKVTRRPIQGDYRFGSLAWHDGGNHWVRIPIAVRIVIEEIYSKIS >ONIVA04G00810.1 pep chromosome:AWHD00000000:4:902096:905647:-1 gene:ONIVA04G00810 transcript:ONIVA04G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPSHELQSPVPALPFHLSSACGQMGRFNEAIDQLIKFPAGTNSKLNEPEVSHNSCSINLQFEEDLSGGGLGSGRDRQQLDRGATPAGERDGDELGLAATGLGAALVGGSGHKRLQQA >ONIVA04G00800.1 pep chromosome:AWHD00000000:4:895407:895923:1 gene:ONIVA04G00800 transcript:ONIVA04G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITATTYDNNRMPVRNIPKVADPFDYGAGFINPNMAADLGLIYDIAASNYLKFFNCIGGLATGDNCTTAKRSLADLNLPSIAIPNLKTF >ONIVA04G00790.1 pep chromosome:AWHD00000000:4:883348:893791:1 gene:ONIVA04G00790 transcript:ONIVA04G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGVHRGGCCRLLFLLVTALLLRRSCVVGQQSQSKKIYIVYLGERRHDDADVVTGSHHDMLASVLGSKEVALESIVYSYRHSFSGFAARLTEAQASTIRGLPDVISVRENQIHRLHTSRSWDFLGMDYTQPNGLLAKAKYGEDIIIGVIDTGITPESPSFADDGYGPPPSKWKGVCQVGPSFKAKSCNRKLIGARWYIDDDTLRSMSKDEILSPRDVVGHGTHTASTAGGNIIHNASILGLAAGTVRGGAPRARVAMYKTCWNGVGCSAAGQLKAIDDAIHDGVDILSLSLGGPFEDPGTLHVVAKGIPVVYSAGNDGPIAQTVENSSPWLLTVAAATMDRSFPVVITLGNNDKFVAQSFAISGKTSSQFGEIQFYEREDCSAENIHNTVKGKIVFCFFGTKFDSEPDYYNITKATSEKGGIGVILPKYNTDTVLGDTLLTLPIPLVAVDYEITYRIYQYIKENDGTPKVKISLTQTTIGKVSAPKVAAFSLRGPSYIYPGVLKPDIAAPGVTVLAAAPKAFMDAGIRYRFDSGTSMSCPHVSGIIAVLKSLHPQWSPAALKSAIMTTAALTYDNNGMPIQANGKVPKIADPFDYGAGVVNPNMAADPGLIYDIEPSDYFKFFNCMGGLGSADNCTTVKGSLADLNLPSIAIPNLRTFQATTRTVTNVGQANARYKAFLYPPAGVEMTVDPPVLVFSKEKKVQSFKVTIKVTGRPIQGDYSFGSLVWHDGGIHWVRIPIAVRIVIEEIYSKIS >ONIVA04G00780.1 pep chromosome:AWHD00000000:4:864678:877480:1 gene:ONIVA04G00780 transcript:ONIVA04G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLSRPSLLLTFLLHLLLQTAWSLHALKQSEPPRKIYIVYLGERKHDDADLITDSHHAMLTSVLGSKEEALESIVYSYRYSFSGFAARLTKAQASKLRRLSDVVSVKENQIHQMHTSRSWDFLGMDYRQPNGLLAKAKYGDGTIIGVIDTGITPESASFTDIGYGPPPTKWKGICQVGPSFEAISCNRKLIGARWYIDDEILSSISKNEVLSPRDVEGHGKHTASTAGGNIVHNVSFLGLAAGTVRGGAPRARLAIYKACWSGYGCSGATVLKAMDDAVYDGVDVLSLSIGGTKENVGTLHVVANGISVVYAGGNDGPIAQTVENQSPWLVTVAATTIDRSFPVVITLGNGEKLVAQSFVLLETASQFSEIQKYTDEECNANNIMNSTVKGKIAFCFMGEMLNDKQQTSYPDVTTAVAAKGGRAVILPLFYTETILQDDPIITDLDIPFVPIDYEMAQRIDEYISNGINGNYIPRAKISLTQTRIGDEISAPKVAVFSSRGPSSIYPGVLKPDIAAPGVSILAAAQIPYYKGVSYHFDSGTSMACPHVAGIIAVLKSIHPKWSPAALKSAIMTTALTYDNNGMPIQANGRVQKIADPFDYGAGFVNPVMAADPGLIYDITASDYLKFFNCMGGLGSGDNCTTAKGSLTDLNLPSIAIPNLRTFQAMTRTVTNVGQVNAVYKAFFQAPAGVKMAVEPPVLVFNKDRRVQSFRVTFKATRKVQGDYRFGSLAWHDGGSHWVRIPIAVRIVIEEIYSKIS >ONIVA04G00770.1 pep chromosome:AWHD00000000:4:848733:854816:1 gene:ONIVA04G00770 transcript:ONIVA04G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRRPRTPLLTALLLVSLAAAAAATLSQGCDGGERRALLRSIKPLFVGGEFGYGDAWNESTDCCGWEGVVCGGGGGGHRVVSLSLVQAGIAGAVDGAAFTALQELDLSWNRISAFSLPSAGGERAFQKLSKLSLSHNSLTDEGVAALLLTTSWISNLTSLRVVDLSQNFLHGYNGICNLHQLEYLHLGVNMLHGTINSCLGKLQQLKYLNMERNFLMGEIAPNLLINLTKLETIHLGVNNLIGTFMLSWLANSSNLVDVVLSHNYNLKIETELVRWTPLFQLVYLNLSNCVINRRSNGVVPTFLSTQLSLSGIDLSHCSLQGRIPPWLFYNLSDFVLLNGNRMDVIDMDGLGGNMTSPVQVLDLSENKISMSIPTNFGSIFQFLDYCDMSSNRLYGGIPSLAEATSLEHLSLENNRFSGWLSPLLSNSSNLKTLNVRNNHLSGIIPDGLLSFQQLGVILLGGNDFHGPIPLDLCFNNYLHFVDLSNNQFSGEIPNCFYNDFWTDLPMYFNDDPFSGNITERMSVDFTTKGENLTYMGEPLVLMTGIDLSMNQLSGAIPPPLGFLRQLKSLNLSHNQLVGPIPETFMYMQDMESLDLSYNHLNGSLPMQLANLSFLCSFNVAYNNLSGEIPFQQQLGTFDESAFEGNDNLCGEIINKNCSSVLHQNQGVFDAIDTSLVFWSYVFGCFALGFWGTVALLIWDEVCRRRLCDLMDALMFKLGWEFVP >ONIVA04G00770.2 pep chromosome:AWHD00000000:4:848733:855240:1 gene:ONIVA04G00770 transcript:ONIVA04G00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRRPRTPLLTALLLVSLAAAAAATLSQGCDGGERRALLRSIKPLFVGGEFGYGDAWNESTDCCGWEGVVCGGGGGGHRVVSLSLVQAGIAGAVDGAAFTALQELDLSWNRISAFSLPSAGGERAFQKLSKLSLSHNSLTDEGVAALLLTTSWISNLTSLRVVDLSQNFLHGYNGICNLHQLEYLHLGVNMLHGTINSCLGKLQQLKYLNMERNFLMGEIAPNLLINLTKLETIHLGVNNLIGTFMLSWLANSSNLVDVVLSHNYNLKIETELVRWTPLFQLVYLNLSNCVINRRSNGVVPTFLSTQLSLSGIDLSHCSLQGRIPPWLFYNLSDFVLLNGNRMDVIDMDGLGGNMTSPVQVLDLSENKISMSIPTNFGSIFQFLDYCDMSSNRLYGGIPSLAEATSLEVLDLSSNNLNEEILPTLIGNLSILTSLLLSNNDLTGPMPPFHWNLGSLKHLSLENNRFSGWLSPLLSNSSNLKTLNVRNNHLSGIIPDGLLSFQQLGVILLGGNDFHGPIPLDLCFNNYLHFVDLSNNQFSGEIPNCFYNDFWTDLPMYFNDDPFSGNITERMSVDFTTKGENLTYMGEPLVLMTGIDLSMNQLSGAIPPPLGFLRQLKSLNLSHNQLVGPIPETFMYMQDMESLDLSYNHLNGSLPMQLANLSFLCSFNVAYNNLSGEIPFQQQLGTFDESAFEGNDNLCGEIINKNCSSVLHQNQGVFDAIDTSLVFWSYVFGCFALGFWGTVALLIWDEVCRRRLCDLMDALMFKLGWEFVP >ONIVA04G00760.1 pep chromosome:AWHD00000000:4:837170:839594:-1 gene:ONIVA04G00760 transcript:ONIVA04G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDLLMGVFRQPTLGGVALELASLAAPLWLAALVGLLIGWAWRPRWALVVVGEAPPPPRAAAEDSCRKNELVAVVPRTAMAAAAPEEDEGLAVDTGDLMHLRRLVEEKDGGPAWIHMMDKTLPTMRYQAWRRDPEGGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNTWDDMLLQHDTLEECTKTGTMVLRWVRKFPFFCSDREYIIGRRIWASGKTYYCVTKGVPRPSVPRCNKPRRVDVYYSSWCIRPVESRNGDGSMTACEVLLFHHEEMGIPREIAKLGVRQGMWGCVKRIEPGLRAYQIARTAGEPLSKCAAMAHVNTKVDPDELITAEDKTEASSTNNAEAEKPKHWTGNIPKVILVGGAVALACTFDHGLLTKALIFGTARRFAGPGRR >ONIVA04G00760.2 pep chromosome:AWHD00000000:4:837168:839594:-1 gene:ONIVA04G00760 transcript:ONIVA04G00760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDLLMGVFRQPTLGGVALELASLAAPLWLAALVGLLIGWAWRPRWALVVVGEAPPPPRAAAEDSCRKNELVAVVPRTAMAAAAPEEDEGLAVDTGDLMHLRRLVEEKDGGPAWIHMMDKTLPTMRYQAWRRDPEGGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNTWDDMLLQHDTLEECTKTGTMVLRWVRKFPFFCSDREYIIGRRIWASGKTYYCVTKGVPRPSVPRCNKPRRVDVYYSSWCIRPVESRNGDGSMTACEVLLFHHEEMGIPREIAKLGVRQGMWGCVKRIEPGLRAYQIARTAGEPLSKCAAMAHVNTKVDPDELITAEDKTEASSTNNAEAEKPKHWTGNIPKVILVGGAVALACTFDHGLLTKALIFGTARRFAGPGRR >ONIVA04G00750.1 pep chromosome:AWHD00000000:4:763499:767358:1 gene:ONIVA04G00750 transcript:ONIVA04G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyruvate dehydrogenase E1 alpha [Source:Projected from Arabidopsis thaliana (AT1G01090) TAIR;Acc:AT1G01090] MAAASSFTAAAKFLAPVSARSAGDYKPPLPLPASASLRPGRKPAPRLRTALAVSSDVLPGNKAAPAAAAHSAVTREEALELYEDMVLGRIFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSEPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSSPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIYKKGPAFGMPGVHVDGMDVLKVREVAKEAIDRARRGEGPTLVECETYRFRGHSLADPDELRRPDEKSHYAARDPITALKKYIIEQNLATESELKSIEKKIDDVVEEAVEFADASPLPPRSQLLENVFSDPKGFGIGPDGKYRCEDPLFTQGTAQV >ONIVA04G00740.1 pep chromosome:AWHD00000000:4:759427:760472:1 gene:ONIVA04G00740 transcript:ONIVA04G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADAILSSQVAGECLKINKLAAASPVKVVQVQKPSKETKNISGAPVAAAAVKVVVSKQVMKPRFAVELDGLNCFETLVPR >ONIVA04G00730.1 pep chromosome:AWHD00000000:4:758623:758811:-1 gene:ONIVA04G00730 transcript:ONIVA04G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAQPNEPKQKPTNTRHRPTPTKKPSSSKSPKSPSRLPLRPPLHETTTQVTLLLLLGARL >ONIVA04G00720.1 pep chromosome:AWHD00000000:4:754606:758476:-1 gene:ONIVA04G00720 transcript:ONIVA04G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLDYDTRHSELDRLFYRYGRIDRIDMKSGFAFVYFEDERDGDEAIRALDGYPFGPGRRRLSVEWSRGDRGSRRDGYSKPPVNTKPTKTLFVINFDPINTRVTDIERHFEPFGKLSNVRIRRNFAFVQFETQEEATKALEATHSTYESDSPLLNLVEIPYAKLPDFLICHVNKLLDRVISVEYAFRDDTERGDRYDGARGGYGRRDDSPYRRSVSPVYRSRPSPDYGRQRSPVYGSYDRSPVNDRYRSRSPVRRSRSPLANRRAYD >ONIVA04G00710.1 pep chromosome:AWHD00000000:4:749525:752347:1 gene:ONIVA04G00710 transcript:ONIVA04G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVFGILCKIGSILSSHLTQAFVAHLGKEVSVFIEIESSIKQIRSEFRLMQAFLQDGQEKESHSRLAETFLHEVQQVSFEVEDILDEFVYLFGQKQTASLKSLRNCFPKSKSMMHWQRLAAELKEAQNRLQNLRNLKVQYNIDLSEESPSSIRYEDSQVHTIQHIKHNNKIVGFANERDCLQELLMTNEKSCSIISIWGMGGSGKTTLVKAVFERKAIKNRFDCLIWVTVSQTYDITEIMRKIIQCALKETCPADLESMCSEGVALKLQGTLQGRTYMMILDDVWDTNVWFNLEPFLDLNSRGSKVVITTRINDVASLADDKNRLQLRGLNEAESWDLFCMWAFRHTEDQTCPLRLERVARQIVGRCEGLPLAITAVGNLLSFKRLDSFEWDKFYNQLNWELHNRLDNQGLNMVTRLLGLSYRHLPAHLKNCFLLSSIFPEDYMIHGKWLSRLLIAEGLVEPRKNMTLEEIATEYIEKLVDRCLLQVVRRDKLGRIWQLQMHDIVRELAISISEKEGFCMIYTSKEAHTSVVGCEPRRLSVHENYDRVQQIINAQRIRSFYPYQLDSDYSVMSNVQWVSTSARYLKVLELSNIPITTLPRDIGSLFNLHYLGLRRTKVKQLPESIDRLQNLRTLDIYLTEIGKLPSGVTRLRLLRHLIAGKAEATYFGLADVYSGVQMPNGTWQSLDINVFTGISASNKLVEQLAKLTQLRSLKLSDVKSTHYAKLFVSISKMRLLQSLLIETANRDECVSLEALNPAPHHLELLFMKGKLHESVIGCHLFEVNRLSLRELNLQNSRLSIDPLPSLSNFCNLTLLGLFNTYSGESLLFQAGWFPKLQTLTLAELQNVNSIVIQEYSMPNLYNLALICLKNLEYLPQGMEFLKSVEEFNLVGMHHKFMEDVQAGSSYEKVKHIPVVDYFDQSKGRWDRLSRVYGKGNP >ONIVA04G00700.1 pep chromosome:AWHD00000000:4:744473:747037:1 gene:ONIVA04G00700 transcript:ONIVA04G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57430) TAIR;Acc:AT3G57430] MAAAAATSPPPPPPPPPTHPPPTSATVRSLTAAGNHAAALRALSSITMASPQQQLDHSALPPAIKSAAALRDARSARAIHAAALRRGLLHRPSPAVANALLTAYARCGRLAAALEVFGSISDSAHDAVSFNSLISALCLFRRWDHALAALRAMLAGGHPLTSFTLVSVLRAVSHLPAAAAAVRLGREAHAFALKNGLLHGHQRFAFNALLSMYARLGLVADAQRLFAGATPGRGDVVTWNTMVSVLVQSGMFDEAVQTLYDMVALGVRPDGVTFASALPACSRLELLDVGREMHAYVIKDDELAANSFVASALVDMYATHEQVGKARQVFDMVPDSGKQLGMWNAMICGYAQAGMDEEALRLFARMEAEAGFVPCETTMASVLPACARSEAFAGKEAVHGYVVKRGMAGNRFVQNALMDMYARLGKTDVARRIFAMVDLPDVVSWNTLITGCVVQGHVADAFQLAREMQQLEEGGVVPNAITLMTLLPGCAILAAPARGKEIHGYAVRHALDTDVAVGSALVDMYAKCGCLALSRAVFDRLPRRNTITWNVLIMAYGMHGLGGEATVLFDRMTASGEARPNEVTFMAALAACSHSGMVDRGLQLFHAMERDHGVEPTPDILACVVDILGRAGRLDEAYAMVTSMEAGEQQVSAWSTMLGACRLHRNVHLGEIAGERLLELEPEEASHYVLLCNIYSAAGQWTRAAEVRSRMRRRGVAKEPGCSWIEVDGAIHRFMAGESAHPASEEVHAHMEALWGEMVARGYTPDTSCVLHDMDDGDKAAVLRCHSEKLAIAFGLLRAAPGATIRVAKNLRVCNDCHEAAKFLSKMVGREIVLRDVRRFHHFRNGQCSCGDYW >ONIVA04G00690.1 pep chromosome:AWHD00000000:4:732388:734114:-1 gene:ONIVA04G00690 transcript:ONIVA04G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GX75] MASSATETETVRASHILIKHEGSRRKASWKDPDGRVISATTRADAAARLADLRDQILSGRANFADLAARHSDCSSARRGGDLGTFGRRQMQKPFEDATFALKVGEMSDTVDTDSGVHIILRTA >ONIVA04G00680.1 pep chromosome:AWHD00000000:4:725345:730689:1 gene:ONIVA04G00680 transcript:ONIVA04G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDESKMMERLWGENFFDPATKKWTNKSTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKDLMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEADACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEVSSQANQLVLDIRKRKGLKEQMTPLSDFEDKL >ONIVA04G00680.2 pep chromosome:AWHD00000000:4:726191:730689:1 gene:ONIVA04G00680 transcript:ONIVA04G00680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDESKMMERLWGENFFDPATKKWTNKSTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKDLMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEADACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEVSSQANQLVLDIRKRKGLKEQMTPLSDFEDKL >ONIVA04G00670.1 pep chromosome:AWHD00000000:4:718816:722661:1 gene:ONIVA04G00670 transcript:ONIVA04G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDAALTDYERLREENIRRNDAILASLRRKASELSAAIQSSSSSKRPKKQPPPPRATPIPVVLRRSLRTRGLPPSTSTSSSSAASPPAPESPPEAPCSTRLSSSLASAILAAASASPAAPPPVRDDGFDAGAELVLRPSHVRRVVPDRILSVRVLPLVDRTVVAAGNKLGNVGFWDVDGGAVAGADGVFEYLPHRGPVGAIVSHPATPQKIYSCCYEGEICLMDLEKENFNMIYLTDYPIFSLCQAPNSPSSLYLAEGNDLKLFDERMGKVSATWNLHDNRINSIDFHPENTYMLATSSTDGTACMWDLRNMKEKEPESLKVLEHGRSVQSAYFSPSGCMVATTSLDDTVRIFSVDDFGNSSIMKHNNKTGRWLSTFKAIWGWNDTDLFIGNMARAIDIILVDLNGSSLLAMNNARLESEHMTAIPGRFSAHPYKVGHLACASSGGKVFLWTRA >ONIVA04G00660.1 pep chromosome:AWHD00000000:4:694248:696463:1 gene:ONIVA04G00660 transcript:ONIVA04G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKSADPLISIDGILLDEHGSMAQISVPKKLEKQFRPLLNEGSVYLITNTTAVDARRKTYIYQHQSYMIHRIGPYDFASPTSDKKLRRIQIQNQEYKLPVTITDKSGSLDAVAFSFVAEDLVELDAAQASQNMKIDSVEHPFPISYVLKRSFSIDDTMPNPLLTSEKLSKNKDQLQFPPPMTYTSSPRFTVLSIFSIHHIHIKLYLLTANGKFISAQLLIIQDYPKIVHLQNHLQLSTYPQKRTCQNYIF >ONIVA04G00650.1 pep chromosome:AWHD00000000:4:681705:686833:-1 gene:ONIVA04G00650 transcript:ONIVA04G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDFEKKAEKKLSGWGIFGSKYEDAADLFDKGANSFKLAKNWSRAAQVYIKIANCHLKGDSKHEAASAYVEAANCYKKFSPQEAAQALNQAVDLFLEIGRLNMAARYCKDIGEIYHQEQDLEKASDYLEKSADLFDSEGQSSQSNSIKQKVAEIAAQLEQYQKANEIFEAIARQQINNNLLKYSVRGILLNAGICQLCRGDVVAITNSMERYQDIDPTFSGTREYKLLSDLAAAMEEGDVAKFTDAIKEFDSMTRLDPWKTTLLLRAKNELKKKEDDEDDLT >ONIVA04G00640.1 pep chromosome:AWHD00000000:4:649895:667019:1 gene:ONIVA04G00640 transcript:ONIVA04G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-dihydrouridine(47) synthase [NAD(P)(+)] [Source:UniProtKB/TrEMBL;Acc:A0A0E0GX68] MAAAAAAAAAAPPADPPDSSPAASSPPRPSPEELVARAVAPVKPAFLRPPPVRDAPKDEGKANDGGAVVAEKKSKRQLKRERKQEQKSSSHLCIEVGKSGNVSSCKYGDSCRFSHDIDAYLAQKPADLEGTCPFTNLDQLCPYGLTCRFLGTHKDIHAASGNLSEKHEINALNKDIQKLLWKNKYKFPKASAQIKLLGLKEVIKSKPDAANDDKKVNHDNLDGNDDENKEPLCNPPVNAECDSTLCEELDRSEGEPLIDNSIPCVEPRPTKKSKVESDEIDKHGAGTLNTNTESEDPNLSNGLEPSNNASSCRTDLITTPHLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTVARTVELVDNECSIDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKPLTVKVRTAFFEGRNRADSIVSDIYDWGASAITVHGRSRQQRYSKLADWDYIYQCAQKAPDQLHVVGNGDVFSFTDWNKHVSGCSKISTCMIARGALIKPWIFTEVKEQRHWDITSGERFNILKDFVSFGLEHWGSDSKGVETTRYFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYCGRDDLETLMISDSAADWIRISEMLLGKVPEGHTVPIFCCFANWASICRRRAAQAQPLCRLNKLSRGGGVEDQRFVLPPPPPPSSQQLPLHGLTFAIKDIFDIAGRVTGFGNPDWARTHAPAAATSPVVLAALAAGATSLGTTIMDEMAYSINGENTHYGTPTNPCAPGRVPGGSSSGSAVAVAANLVDFSLGTDTGGSVRVPAAYCGIFGLRPSHGLVSAENVIPMAQMFDTVGWFSRDLSTLSRVTKVLLPLPDDIVKQPTQVTIPMDCFQILGSLNDRTYQIINASVAKRFDSQIIDNRNLGDFISDNVPSIGKFITDFSESELPSVPALSVISHVMRGLQRSQFKANHAEWVNTVKPNLGPGLRERILEAIASGDNESLEDFQAIRAEFKSALAALLKDHGILAIPTVPGPPPKVGMEAAPLENFRARAFSLLSIAGLSGFCQVSIPLGMRNGLPVSVSLVARHGADHFLLNVVEELYQTLIDEATKTWSS >ONIVA04G00640.2 pep chromosome:AWHD00000000:4:649895:657226:1 gene:ONIVA04G00640 transcript:ONIVA04G00640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-dihydrouridine(47) synthase [NAD(P)(+)] [Source:UniProtKB/TrEMBL;Acc:A0A0E0GX68] MAAAAAAAAAAPPADPPDSSPAASSPPRPSPEELVARAVAPVKPAFLRPPPVRDAPKDEGKANDGGAVVAEKKSKRQLKRERKQEQKSSSHLCIEVGKSGNVSSCKYGDSCRFSHDIDAYLAQKPADLEGTCPFTNLDQLCPYGLTCRFLGTHKDIHAASGNLSEKHEINALNKDIQKLLWKNKYKFPKASAQIKLLGLKEVIKSKPDAANDDKKVNHDNLDGNDDENKEPLCNPPVNAECDSTLCEELDRSEGEPLIDNSIPCVEPRPTKKSKVESDEIDKHGAGTLNTNTESEDPNLSNGLEPSNNASSCRTDLITTPHLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTVARTVELVDNECSIDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKPLTVKVRTAFFEGRNRADSIVSDIYDWGASAITVHGRSRQQRYSKLADWDYIYQCAQKAPDQLHVVGNGDVFSFTDWNKHVSGCSKISTCMIARGALIKPWIFTEVKEQRHWDITSGERFNILKDFVSFGLEHWGSDSKGVETTRYFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYCGRDDLETLMISDSAADWIRISEMLLGKVPEGFTFTPKHKSNAYDRAENG >ONIVA04G00630.1 pep chromosome:AWHD00000000:4:645997:648347:1 gene:ONIVA04G00630 transcript:ONIVA04G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFHSGCGLDDSFTNNLPACHWQKTRTMEAPQSSPSLATTVCQQALCKLLIWNSESCRVEKDVRFSYLWTRLIKLLCVTLFALHFAACIYLWMVFNYKIKELTWIGSQIHSFEDRSMWFCYTCAVYWSITALATVGYGDLHATNIGEMLFSIAFMLFNMGLTSYIIGNITNLVVRETSNTFKMRDMVQRMSEFGSMNWLPEVMREQMLANGQLRFRTKEQLQHEVTEMKAEFFPPRQMLSWRMKPRRTVISSSPAKCMKRIGPRGMVGEIGVMFSIPQPFTIRSRRLTQVVRISHIHLLQAVRPNTADGCIVFSNFILVSDFVENGNSTVLGRATEVAVDESKEAAHKILPCKEPKRVVIHEQLPNETGTTLHPSPGKLVLLTDSMQELMKLSEKKFGKAARGILTVEGAEVEDIDVIRDGDHLFFSL >ONIVA04G00620.1 pep chromosome:AWHD00000000:4:632902:637398:-1 gene:ONIVA04G00620 transcript:ONIVA04G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNOM-like 2 [Source:Projected from Arabidopsis thaliana (AT5G19610) TAIR;Acc:AT5G19610] MARTAAAAVSDDDDDGPPAYTVPRGPRRDPRLKDLGISCMLNTEVAALLAVIRRRPDPYSYLPPAVAAAEEATFAGLIQSLKNLRGLLFQPRHGAWRCSDPAMYLKPFLDVVQSEESPPAATGVALSSVLKILRIDVFDECSPGARDAIQAVLTAVSSCRVERIADPGAEEAVLLRVLQVLAALLRARAAPLLSDHAVCNAVNTCFQVVQHAASGRGSELLQRTARHCMHEILQAVFARLPDIPAADAADADDLSVAGFGARCMADIFNFLCTLLLNAPDMVATGDGHGAFITEEDVQLFSLVLINSAIELGGEAIGKHPKLLRLIQDDLFYHLIHYATETSPLVLSMICSTALNLYHFLRRFLKLQLEAFFMYVLLRLCGGGGGAQLQEVAIEGLISFCRQPTFVIEMYVNYDCDPMLRNVYEEVGKLLCKAAFPASSPMTTVQLQAFEGLVNMLTTIADNVEVDKAPDHAAYAVDISDYRLFWVERWDAAAAGGSGNNETWVDFVRKRKLRKKKVAIAANHYNRDEKKGMEYLRLSQLVPTPPEPRSMAFFLRYSPGLDKNKIGELLGDPEEQSLRVLKEFTETFDFTGVILDTALRTYLETFRLPGESQKIQRILEAFSERFYEQQTAEVFATKDAAFILCYSLIMLNTDLHNPQVKKKMSEDDFIRNNRAINAGKDLPREYLSELFHSISGNAITVFSQASAAAEMTPTRWADLVKRSRAIDPFTPCDFKHKLTREVFVTVSGPAVATLAAIFDYTDDEDILNQCVEGLISVARIARYGLEDVLDELLCCLCKFTTLLNPYATTEETLFTFSNELKPRMATLALFTITNRFGESVRGAWKNVVDCLLKLKRLKLLPPSLVDKDGGGAAAVSTERLGHRAKSESGVIFPSSHRGAGTSRHVSGMIGRFSQFLSLDAGGESLLSVGSEFENNLKIIQQCRIGSIFTESGKLPDESVQNLGRALIFAGGGKGQKFSTPVEEEETVGFCWDLIAVVSSANVHRFTTFWPQLHDCFAAVSQLPLFSPCPFAEKAIVALFRVAVRLLSGGGGDRMAEELVFKSINLMWKLDKEILDTCCEGISECIVKLLMEYASNVQTPIGWKTVLHLLSVTGRHPETFDQSVAAMIKLMNDGAQVVTRFNYAACIEAAFGFAALKISPLDISTRILQLMADSVNWLILWHKSGYSDPGNAWSSSSSSSSSSAAAMMMMEDASRMGNLATSMFIKLAEALRKTSLVRREEIRNQAVAELGRAFALAAAGELELGPTGSLACFNLVIFAMVDDLHEKTLEYSRREGAERETRSMEGTLAAATELLADVFVLFLGTLAQGAGFRTFWLGVLRRLDTCIKSDLAAGGGAGVMQELVPRMLKRMIVEMKDKGVLVAMEGDELWEITHIQIQWIAPAVMEELFPD >ONIVA04G00610.1 pep chromosome:AWHD00000000:4:625834:630695:-1 gene:ONIVA04G00610 transcript:ONIVA04G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGRGRGARVAAPAGDSGKGAQAEWLRIYDGIVAMLRKTQAQVEELVAERDHLAAFVKIQHDFMVSRVGRLQSSLQQARKADAIRKRYEAANMEILIGDKEREARSYQKIAELTENDLEDFRTSIAALAAENYELKEKLKEVERHAELAENTVDHHIHSPRDLRAELKKLKHAYKTLSSEKEKEVSALRAEKDFVWNQLRTMENDYTDLLKKKKIEAAQATEAAQKLQKNLEELQDQNKGNEIGRLQAEAVDAKMNISILEDKLQEMLSLVKEKDLEIEQLKHGQPMTSQINKKDINQKNRKCRSQDPPSRDKSTNLQATPPGRKVKISRQHASSSKQKQVQSRNNSRRQKLEGDKSEVGEKRKRALPSSRSATMFRKAAGEILCISSGPAITLLPNIPGSKGEDPDSSIATSSQFPPGPGHQEPATHAKV >ONIVA04G00600.1 pep chromosome:AWHD00000000:4:623637:625347:-1 gene:ONIVA04G00600 transcript:ONIVA04G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTPGPYSGVSTLALVARASALGVGVVYGAVKLSILKATKPNKKEAANAHH >ONIVA04G00590.1 pep chromosome:AWHD00000000:4:615177:617663:-1 gene:ONIVA04G00590 transcript:ONIVA04G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGVTVVGRAEIDTRAPFRSVKEAVVLFGEKVLAGELHAGAGRRLLATSDQLQQNRATTTPPARWPTVSQVKQVAGAVAAAAGATRHAPAMATAELEEAKQELEKERSEKKKMAGCILSLQEELSNAMSELNKLKARDNEDDDGGGEAAAKVIDLQVEDLKFVEIDDDKPQPRRQSPSTTVTAAAAAAGSASPGEFQKRRYVTFADPPTVASAAYRAPPLPDVVVMEPHHHRPAAPPLYREVRFQRQMSAGHEAVKMAAAAEQEARKKKKKPLIPLVGALFMRKKKSSSRSCHDDSALNSRTAF >ONIVA04G00580.1 pep chromosome:AWHD00000000:4:612752:614098:1 gene:ONIVA04G00580 transcript:ONIVA04G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GX62] MVVTTTMILVVVGVLLLLWRARRRRGGVYLVEYGCFLGEPRHRVPFAMALEHGRLMTNLLDEESTNFMVRLHQKSGIGEETSVPDSFRYLPPERGIEASREEAELVIFSAVDKAFAAATGLVPADDIGTLIVACSFTTPTPALADVVVRRYGLRADVRSVNLSGMGCSGALIAIGLAENLLRVAPPGSRVLIVATEILSSMLYTGRKREMLVPNVLFRMGAAAIIMSNSPEKARFRLGPIVRTLTAARDGDYRCAFQEEDDEGITGINLSKELPVVAANALKGHLVAFGLAVLPTSELLRVAISFINHKIINKFFTTTKDSNNNYYRPGFHRLFQHFCIHPGGRRVLDEVQRGLGLTDDDMEASHMTLHRFGNMASSSLLYELAYIEAKGRMRKGDRVCMISFSPGIDCSSVVWECIKPTDHHLHHGPWAACIDRYPVQLPKIVKRTA >ONIVA04G00570.1 pep chromosome:AWHD00000000:4:587252:591381:-1 gene:ONIVA04G00570 transcript:ONIVA04G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVVYCLQTNKRKHNKRVILEKVLSVNILSSDYFKELYWLKTYHEVINMIYNQVIHVEPWMTGNCRGPSSDFCLLYKCFTMKLTVGFVYLRYVTEPKTLWSWATNEDPKLVFCFGGALSISHISLLLEPLNFML >ONIVA04G00570.2 pep chromosome:AWHD00000000:4:588377:592022:-1 gene:ONIVA04G00570 transcript:ONIVA04G00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREPHNSGLPPPRHQFLDLSFPILTVAILLSPSAVSSRNGLRCLCRSLVPTPGEQHRPATAAALARPCIRSGEGHRPRFPPPLPAAPPMPCAIDERRRLLHRRCQPPRIVCKPTRGSTINVVGFVYLRYVTEPKTLWSWYEPYIN >ONIVA04G00560.1 pep chromosome:AWHD00000000:4:573832:575190:1 gene:ONIVA04G00560 transcript:ONIVA04G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGHPRRVMVPRSGETDEINQRKSRWILGLNMLQLPEKQRREHAVAEAFGLGEVLRGEDGNIKLDAWPCLALKCGWVGEVALKDLGRGCGGVAGRPRHRARVGWPVAAACGETRRATGFHQNYLPQVLKPV >ONIVA04G00550.1 pep chromosome:AWHD00000000:4:562195:566246:-1 gene:ONIVA04G00550 transcript:ONIVA04G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase-like family protein [Source:Projected from Arabidopsis thaliana (AT1G19920) TAIR;Acc:AT1G19920] MATTTHLHLPNPIPPRLHASPPARLRASASLAHPRLLSGLRLAAARPRPRHGRRAMSVTVRSSLIDPDGGALVDLVAAPERRAALRGEAEALPRVRLAAVDVEWAHVLAEGWASPLRGFMREHEYLQSLHFNCIRLPDGAGVVNMSLPIVLAIGDREKEEIGASPDVALQGPDGAVLAILRRVEIYPHNKEERIARTWGTTAPGLPYVDEAIAQAGNWLIGGDLEVIEPIKYNDGLDHYRLSPQQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKKMAFFDPSRSKDFLFISGTKMRAFAKSGENPPDGFMCPGGWKVLVDYYNSLQTEEAAVATIEQ >ONIVA04G00540.1 pep chromosome:AWHD00000000:4:556195:560665:-1 gene:ONIVA04G00540 transcript:ONIVA04G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAVGWAISILGWLASPITTRLFNHGFSLLAFHKSDKLRDLESRILPRLALLLEYAQRIPPEQEQIRADMEQWACRLRSAFYDLEDMLDVADYNRLENKGISPSGVMTTLDHAKNIISGKTSKLKKILTSLEKITEEGSQFLLLLERTIGSGTNGNGISNPANTSIRSTTSSPTQIIIGRDKERDEIVRMLRDTMGEPKSWIIWAMGLKDYGLRLVFTMLSLIWAKIGWAITGLGPRLDPARNEATGDCEQSCWNSKCYSVISIYGIAGSGKTTLAQHVCSYEKMDSYFFPVMWIYVSPGFSVDKIYQKMLEAATGKPSSEFSNLDTLQMKLEAELTGKRFLLVLDDIWHEKDATAQDKLNQVLSPLKVGKKGSKVLVTTRFKDVAMSLGSQRIIPVPNFKEEDFFNLFMHYALDDAVSLDGQERETFHTIGREIARKLKGSPLAARIVGARLGKHLDATVWTRVGDQHLLTDTMGALWWSYQHLNVQVRRCFAYCSMFPQGYDFKRDELVDLWMAEGFIKTTDSAEQMEYVCQSYFDELVSCSFLQPNDIFGSKNKWFTMHDLLHELATMVAGPDCFRVVSGDMKEIPLDVRHLFIRSNDQTKFVEKISKLKKLRTLILITTFGGLGITIEELEAVLKKLKKLRVVHVDVQGQMVSIPGCICELKHLRFLRIHSPWSEKVHLPKKLDTAYHLQILELCGAGVLDFSNVQNMSHLISLRDIRNSGFVFPNSDVPGFPSIGELKSLRELSDFRVKKDKGYELKQLKSINHLRGRLRISGLESVESKEDALEAKLTDKKFLTSLSLEWSQSSSVQHSCPPDLQVEILEGLCPPSQLTELEIQQYNGLRCPSWMSSENQNGLFMNLQDLQLCRCYNLQHLPEIGELFVSLRRLKLVGFPKLKRMPRLPGTLENLHIQQCKALVMTSSEDVNMIRSLFVETATQIEPSLNITATEVVEIDRFEKILCDIFGICCSLPGELIRGHIREQDYSKLALPATVVDRLIISYCFVTNTVLHRCLIGSANLVSLNLRCLPFLTEIPSEVMESMAKLSDLSIEDCIQFTHLEGLNNLSRLQHLTVAKCPNLRALGEDEKVRSLNGLAIDDITLVPQLLSREGCSSLWSLRIDESEQLRGGDILEQLTSLTSLDFSCCSWDRLPENLVNLTSLENLRLDCCRKIQSLPELPASLQSFEVEDCDALFMKSCQKAGDQNCQKIAHVPRFLVCKNVSRTCGPYLDAVSVKWESPMYSLLWLSI >ONIVA04G00530.1 pep chromosome:AWHD00000000:4:532264:547424:1 gene:ONIVA04G00530 transcript:ONIVA04G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVISQSGVMPMLDRAKDIISGKTSELKKILNKLEKIIEEGSQFLPPPVGTTGNGTNGSNISNTANKMTGTVTTSSALTEVIIGRDKERDEILRMLHETADDCELSSWNSKCYSVIGIYGIGGSGKTTLAQHVCNYERRDNHFCLIMWVHVSQSFSVNKIYREMLEAASGEPSHEFCNLDSLQMKLEAALTSKRFLLVLDDIWPEKDVNSQYKLDQLLSPLKVGKRGSKVLVTTRFADATMSLGARDPLKVPDLIEKDFLLLFMHYALDGVRLDSRQVEQFQTIGEEIMKKLKGSPLAARLVGARLRKQLNLKFWRRVENQDLLTHTMGALWWSYRHLDGQVKRSFAYCSIFPPGHMFARNELVELWMAEGFIKTTSGDEQMEDIGQNYFDELVSCSFLQTTKTADGSENERFTMHDLLHELATMVSGNDCFRVEEGEKKEFPPDARHLFINLYDPVKATEQICKLKKLRTLIFTSAFGGSAMTIEALEGMLKKLRKLRVVQVCLDGDVMMIPASICGLKHLRCLTVHSFGWTKVNLPRDFDKLYHLQILEIPNRGVLSCSNVKSMGSLISLRHVRNPSRFLLSESSVLVFPWIGELKSLRELSHFAVMNKKGHELQQLKSLNNLHGTLSICGLQNVGSKERALEAKLTDKKHLTGLSLTWSGEQSCNNTDIDVEIIEGLCPPSQITELLIYGYHGWKYPTWLSQNQNCPVTNLQFLHLWNCFNMEALPNIAELFGNLRELRVFNLPRLNILPRLPDGLKRLYIRQCEALVVTCVEDVEMIRSMLIERISRTDLSVRITHPEEISVFASEQPEMFKAILCNIIGLSAPVPEKSENMLSSIMPFICCEIEQENYPQLLLHASLECLYLEECIITDTVLRNCLRSCTCLTVLELEGVPFCKAIPYDVLKPLVELRITDCVHFTHLQGLADLNNLRRLSIGNCPNLETLQESDKVQALDWLSVGDITLSFKLSFCDPPFMKSCQQVGHPNWQKIAHRARKAEARHRSIMAEVAAVGWAISTLGWIASPITTRLLNHGFDLLGFDESDKLRDLEARILPRMALLMEQADRIPLGQRAHLEQWSSSLRSAFYDAEDILDLADYHRLEKQVISRPSPRPTLDRLKHIISGETGKLKKILKKLENIIEEGSQFLPPLTGTISNVTNGIDISNPANKITGIITTSSALTQVIIGRDKERDEIVRMLHETSSDYEPNSSNNKCYSVIGIYGIGGSGKTTLAQHVYCYERTQTNNYFCPIMWVHVSQSFNVGKIYQEMLEAASGKPSHEFSNLDTLQMKLGAELTGKRFLLVLDDIWAEEDVSTRYKLDQLLSPLNVGERGSKVLVTTRSADAAISLGAQSPMQITDLNDNDFFKVFMNYALDGVSLDSQELEELQMIGGEIAKKLKGSPLAARLVGARLRKELVAAWPRRASYTMFWRIVEEQDLLRDTMGALWWSYQQLDGHVKRCFAYCSMFPPGHEFERDNLVKLWMAEDFIEITRSVEQMENVGQNYFDELVSCSFLQARTNTDGSENEKFIMHDLLHDLAVRTSGSDCFRVEHGDQTKEFPPDVRHLYVSSYDPRKLTEICKLKRLRTLIISYGSAVTIEALECMMKKLKMLRVVQSYLYWLHPEEGFPGVGELKSLRELSDFTVRKEKGYELHQLVNLNKLRGSLRISGLQNVESKERALEAKLTEKKHLTALSLVWSNPTEQVCSPDLQLEIIESLCPPSLLKELEIFRYSGLKYPSWLTQSFRCLQRLEIKECFNLKALPDIGELFIHLRTLALLQLPKLEILPRLPDSLKRLDIEQCHSLVLTCVEDVEMIRSLLTEQASHIDRSLNIMIHPEEIDRFANEQPVKFHRIVLDIFGRCDKLPLRLIRGWIRVEDHSQFLFPASMDRLTISDCAITDTVLHNCLRGSTSLTWLFLSELPFIISIPSEVMNSLAMLQHLCITRCAQLTYLQGLNHLSCLRSLEINQCPNLRALQEDEKVQVVDAIYINDIPLLPQLLSREGFSSLGTLYFGQKELREEEEEEEILRQQFASLTSLRRIVFCKWNRLPDTLVNLTCLQSLGLKYCNIRSLPTLPASLRVLTFNTCDKSFVRTCQMVGHPNYQKIAHVPMSRRTELKLGKEGH >ONIVA04G00530.2 pep chromosome:AWHD00000000:4:532264:548303:1 gene:ONIVA04G00530 transcript:ONIVA04G00530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVISQSGVMPMLDRAKDIISGKTSELKKILNKLEKIIEEGSQFLPPPVGTTGNGTNGSNISNTANKMTGTVTTSSALTEVIIGRDKERDEILRMLHETADDCELSSWNSKCYSVIGIYGIGGSGKTTLAQHVCNYERRDNHFCLIMWVHVSQSFSVNKIYREMLEAASGEPSHEFCNLDSLQMKLEAALTSKRFLLVLDDIWPEKDVNSQYKLDQLLSPLKVGKRGSKVLVTTRFADATMSLGARDPLKVPDLIEKDFLLLFMHYALDGVRLDSRQVEQFQTIGEEIMKKLKGSPLAARLVGARLRKQLNLKFWRRVENQDLLTHTMGALWWSYRHLDGQVKRSFAYCSIFPPGHMFARNELVELWMAEGFIKTTSGDEQMEDIGQNYFDELVSCSFLQTTKTADGSENERFTMHDLLHELATMVSGNDCFRVEEGEKKEFPPDARHLFINLYDPVKATEQICKLKKLRTLIFTSAFGGSAMTIEALEGMLKKLRKLRVVQVCLDGDVMMIPASICGLKHLRCLTVHSFGWTKVNLPRDFDKLYHLQILEIPNRGVLSCSNVKSMGSLISLRHVRNPSRFLLSESSVLVFPWIGELKSLRELSHFAVMNKKGHELQQLKSLNNLHGTLSICGLQNVGSKERALEAKLTDKKHLTGLSLTWSGEQSCNNTDIDVEIIEGLCPPSQITELLIYGYHGWKYPTWLSQNQNCPVTNLQFLHLWNCFNMEALPNIAELFGNLRELRVFNLPRLNILPRLPDGLKRLYIRQCEALVVTCVEDVEMIRSMLIERISRTDLSVRITHPEEISVFASEQPEMFKAILCNIIGLSAPVPEKSENMLSSIMPFICCEIEQENYPQLLLHASLECLYLEECIITDTVLRNCLRSCTCLTVLELEGVPFCKAIPYDVLKPLVELRITDCVHFTHLQGLADLNNLRRLSIGNCPNLETLQESDKVQALDWLSVGDITLSFKLSFCDPPFMKSCQQVGHPNWQKIAHRARKAEARHRSIMAEVAAVGWAISTLGWIASPITTRLLNHGFDLLGFDESDKLRDLEARILPRMALLMEQADRIPLGQRAHLEQWSSSLRSAFYDAEDILDLADYHRLEKQVISRPSPRPTLDRLKHIISGETGKLKKILKKLENIIEEGSQFLPPLTGTISNVTNGIDISNPANKITGIITTSSALTQVIIGRDKERDEIVRMLHETSSDYEPNSSNNKCYSVIGIYGIGGSGKTTLAQHVYCYERTQTNNYFCPIMWVHVSQSFNVGKIYQEMLEAASGKPSHEFSNLDTLQMKLGAELTGKRFLLVLDDIWAEEDVSTRYKLDQLLSPLNVGERGSKVLVTTRSADAAISLGAQSPMQITDLNDNDFFKVFMNYALDGVSLDSQELEELQMIGGEIAKKLKGSPLAARLVGARLRKELVAAWPRRASYTMFWRIVEEQDLLRDTMGALWWSYQQLDGHVKRCFAYCSMFPPGHEFERDNLVKLWMAEDFIEITRSVEQMENVGQNYFDELVSCSFLQARTNTDGSENEKFIMHDLLHDLAVRTSGSDCFRVEHGDQTKEFPPDVRHLYVSSYDPRKLTEICKLKRLRTLIISYGSAVTIEALECMMKKLKMLRVVQSYLYWLHPEEGFPGVGELKSLRELSDFTVRKEKGYELHQLVNLNKLRGSLRISGLQNVESKERALEAKLTEKKHLTALSLVWSNPTEQVCSPDLQLEIIESLCPPSLLKELEIFRYSGLKYPSWLTQSFRCLQRLEIKECFNLKALPDIGELFIHLRTLALLQLPKLEILPRLPDSLKRLDIEQCHSLVLTCVEDVEMIRSLLTEQASHIDRSLNIMIHPEEIDRFANEQPVKFHRIVLDIFGRCDKLPLRLIRGWIRVEDHSQFLFPASMDRLTISDCAITDTVLHNCLRGSTSLTWLFLSELPFIISIPSEVMNSLAMLQHLCITRCAQLTYLQGLNHLSCLRSLEINQCPNLRALQEDEKVQVVDAIYINDIPLLPQLLSREGFSSLGTLYFGQKELREEEEEEEILRQQFASLTSLRRIVFCKWNRLPDTLVNLTCLQSLGLKYCNIRSLPTLPASLRVLTFNTCDKSFVRTCQMVGHPNYQKIAHVPVKEFFSYE >ONIVA04G00530.3 pep chromosome:AWHD00000000:4:548052:554897:1 gene:ONIVA04G00530 transcript:ONIVA04G00530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGLMWHKLCDQQLLATLAVAADQIGQPVTPQPPNNPCVILHKHKKSHSIDPPKAMGALLQMRQPHEILEGLCPPSQLTELEIYGYGGVKLADEQPDKLDTILLDIFGRCDELPTRLVRGQLRQEDYSLFPFPTSVERLVIADCAITDTVLQNYLRNSASLTWLFLSGLPFITSIPSEVMKSLTMLQELFIISCAQITCLQGLNHLSSFRSLDISQCPNLMMDLKEDEKLRVLRALTTDDIHLVPQLLSREGFTSLQSLTFRFVGSEEQMEEEMLAQFSSLTSLSLCSCMWNRLPENLANLTCLQELRLHNCKNIMSLPTLPVSLRGLILNACDQSFVKSSQKVGHPNYQKIAHVPSTPSVLKCLTPLTF >ONIVA04G00520.1 pep chromosome:AWHD00000000:4:525002:531580:-1 gene:ONIVA04G00520 transcript:ONIVA04G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQITSSEITTCAKDENALSLASSSTHVPQAPPSLEINAPKIPFRFSFTREEIHCPGETTANKSTISVKKSTRNPLFQFYRPKSTRMEGDLIRIKMHHGGSFSKEGELCYVGGQVSIFRNCDSERLPYFCLVDMAKDVGFNAGDELFYAIPGYNLENGIDKLHDDHSVHKMLNYTKKSKSAELYIKHLEQGVSATPRFGQDVADNHGEESREGAGPLFMKPMLHFDKLCEIYASDLAKGGNAKGPGDQQRVEGFVAVDDDDDPVNHVVDKANAQKHGTENPTAPKGRLLAPRLFFPSNPARSGFGVAKLAVRGVHVVLVQVDELSCVDGFMWLLVAPTVKAEAKGMMQLMLAAGRTDIPKEVRKVGNMKR >ONIVA04G00520.2 pep chromosome:AWHD00000000:4:525362:531580:-1 gene:ONIVA04G00520 transcript:ONIVA04G00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQITSSEITTCAKDENALSLASSSTHVPQAPPSLEINAPKIPFRFSFTREEIHCPGETTANKSTISVKKSTRNPLFQFYRPKSTRMEGDLIRIKMHHGGSFSKEGELCYVGGQVSIFRNCDSERLPYFCLVDMAKDVGFNAGDELFYAIPGYNLENGIDKLHDDHSVHKMLNYTKKSKSAELYIKHLEQGVSATPRFGQDVADNHGEESREGAGPLFMKPMLHFDKLCEIYASDLAKGGNAKGPGDQQRVEGFVAVDDDDDPVNHVVDKANAQKHGTENPTAPKGRKRVFADVDSLETSFCNVSNSFAKFLEAEKDNGIQLAMMNRVDVQEDTKKNKLFDAIKKLPNFSIEEVVMAVRIIGRDAGNIDLFLAMSPDYQVGCWRLASSSHPIQPDQASEWPNWRCVREEGEGRTGEAKGMMQLMLAAGRTDIPKEVRKCGNMP >ONIVA04G00520.3 pep chromosome:AWHD00000000:4:525002:531580:-1 gene:ONIVA04G00520 transcript:ONIVA04G00520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQITSSEITTCAKDENALSLASSSTHVPQAPPSLEINAPKIPFRFSFTREEIHCPGETTANKSTISVKKSTRNPLFQFYRPKSTRMEGDLIRIKMHHGGSFSKEGELCYVGGQVSIFRNCDSERLPYFCLVDMAKDVGFNAGDELFYAIPGYNLENGIDKLHDDHSVHKMLNYTKKSKSAELYIKHLEQGVSATPRFGQDVADNHGEESREGAGPLFMKPMLHFDKLCEIYASDLAKGGNAKGPGDQQRVEGFVAVDDDDDPVNHVVDKANAQKHGTENPTAPKGRKRVFADVDSLETSFCNVSNSFAKFLEAEKDNGIQLAMMNRVDVQEDTKKNKLFDAIKKLPNFSIEEVVMAVRIIGRDAGNIDLFLAMSPDYQVGCWRLASSSHPIQPDQASEWPNWRCVREEGEGRTGEAKGMMQLMLAAGRTDIPKEVRKVGNMKR >ONIVA04G00520.4 pep chromosome:AWHD00000000:4:525362:531580:-1 gene:ONIVA04G00520 transcript:ONIVA04G00520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQITSSEITTCAKDENALSLASSSTHVPQAPPSLEINAPKIPFRFSFTREEIHCPVLQTKKHKGVSATPRFGQDVADNHGEESREGAGPLFMKPMLHFDKLCEIYASDLAKGGNAKGPGDQQRVEGFVAVDDDDDPVNHVVDKANAQKHGTENPTAPKGRKRVFADVDSLETSFCNVSNSFAKFLEAEKDNGIQLAMMNRVDVQEDTKKNKLFDAIKKLPNFSIEEVVMAVRIIGRDAGNIDLFLAMSPDYQVGCWRLASSSHPIQPDQASEWPNWRCVREEGEGRTGEAKGMMQLMLAAGRTDIPKEVRKCGNMP >ONIVA04G00520.5 pep chromosome:AWHD00000000:4:525002:531580:-1 gene:ONIVA04G00520 transcript:ONIVA04G00520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQITSSEITTCAKDENALSLASSSTHVPQAPPSLEINAPKIPFRFSFTREEIHCPGETTANKSTISVKKSTRNPLFQFYRPKSTRMEGDLIRIKMHHGGSFSKEGELCYVGGQVSIFRNCDSERLPYFCLVDMAKDVGFNAGDELFYAIPGYNLENGIDKLHDDHSVHKMLNYTKKSKSAELYIKHLEQGVSATPRFGQDVADNHGEESREGAGPLFMKPMLHFDKLCEIYASDLAKGGNAKGPGDQQRVEGFVAVDDDDDPVNHVVDKANAQKHGTENPTAPKGRKRGCWRLASSSHPIQPDQASEWPNWRCVREEGEGRTGEAKGMMQLMLAAGRTDIPKEVRKVGNMKR >ONIVA04G00520.6 pep chromosome:AWHD00000000:4:525362:531580:-1 gene:ONIVA04G00520 transcript:ONIVA04G00520.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQITSSEITTCAKDENALSLASSSTHVPQAPPSLEINAPKIPFRFSFTREEIHCPGETTANKSTISVKKSTRNPLFQFYRPKSTRMEGDLIRIKMHHGGSFSKEGELCYVGGQVSIFRNCDSERLPYFCLVDMAKDVGFNAGDELFYAIPGYNLENGIDKLHDDHSVHKMLNYTKKSKSAELYIKHLEQGVSATPRFGQDVADNHGEESREGAGPLFMKPMLHFDKLCEIYASDLAKGGNAKGPGDQQRVEGFVAVDDDDDPVNHVVDKANAQKHGTENPTAPKGRKRGCWRLASSSHPIQPDQASEWPNWRCVREEGEGRTGEAKGMMQLMLAAGRTDIPKEVRKCGNMP >ONIVA04G00510.1 pep chromosome:AWHD00000000:4:515915:520673:-1 gene:ONIVA04G00510 transcript:ONIVA04G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (Ran-binding) family protein [Source:Projected from Arabidopsis thaliana (AT2G17975) TAIR;Acc:AT2G17975] MAGGGGAGSSTGGSGGGGGGGGGGREGDWDCGGCGNRNYAFRSLCNRCKQPRLLVDPNTPPDSKWLPRAGDWICTGCSNNNYASRKNCKKCGLPKEEAAMPALSMAGMAMPAYANYIARMQGLAGFKMNMNFGMAGNSALQQQLLASANWPYALAGRYGMQAAGWPFGGNNVNQFSAAPKDWRSGDWLCSCGFHNYSSRTQCSAPVPSGIPSTTMKTTVPDTSSTLGTKRLASEELANEWDNKRLNPGNASYPLSTAGTDNLFGGIEQGAGSSNGQTPYSKFDNGNSIALSSGQVSAMPGLIGKGAKWREGDWMCSNCNNHNYASRAFCNRCKTQKEASVHPGVL >ONIVA04G00500.1 pep chromosome:AWHD00000000:4:513032:514624:-1 gene:ONIVA04G00500 transcript:ONIVA04G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLTLSSTALRLIKSLSPAVHGAHLSASSIHCLLLKAGLLHVGAHLPTALLSAYAALGSPDHARRLFDEMPEQGLVPRTAMARAHVASGQAAQAIAVFGDMVADGVFPDNVAVAVALGACHGAGSWTARRNPGKMIHALVVTSGIVPDVFVSTELIRVYGESGELPVSRRVFDDMPSRSTITWNAMLHQYARHGKVDTAYELFLAMPRRDVVSWNTVLAGYCVAGRCREALGLFRQMVSPSSCAVHPNVPTMSTILGACAGAGCLETGIWVHAYIERNRMNDDGYLDRCLIDMYCKCGSIDNALQVFEKAPRKRDLFSWTTVICGLAMHGRTTDALRMFDMMQDNGICPDDVTLVGVLNACAHGGLVDEGLGYFYSMEAKFRITPKIEHYGCMIDLLGRIGRLQEAYSMIRTMPMDPNTVIWGAFLSACKVHGNMELGKIAAEELTRLDPDDPWGRVMLSSMYAKAQDWIGLARERREMNSMQVKKTPGCSSIELKGEVHEFVAGGSQHPQYAEICSVLQDVEAQTNVG >ONIVA04G00490.1 pep chromosome:AWHD00000000:4:508838:511721:1 gene:ONIVA04G00490 transcript:ONIVA04G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMARQPLRLLLAAVAAVAMLSFLLLLAPPPDALLSAFLSPSSPYAHRPKLLFLLAGQSNMAGRGALARPLPPPYLPHPRLLRLAASRRWVPAAPPLHADIDTHKTCGLGPAMPFAHRLLLLLHSDEVLGLVPCAVGGTRIWMWARGQPLYEAAVDRARAAVADGGGAIGAVLWFQGESDTIELDDARSYGAKMERLVADLRADLHLPNLLVIQVGLASGEGNYTDIVREAQKNINLPNVLLVDAMGLPLRDDQLHLSTEAQLQLGNMLAEAYLKFNSSRGSML >ONIVA04G00480.1 pep chromosome:AWHD00000000:4:501003:507838:1 gene:ONIVA04G00480 transcript:ONIVA04G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPPPPPAAATATYRRRGKPKPKPKRKAAKPPSPSPPPKRQARDDPPHTGPLTRHSPLNPAPEDAPPQLHAGERDPPPRAWIEPAKLPPVSEEVQAVLSRGAGVHVVPSFAGWFSWKEIHQIEKQALPSFFDGISLRRTPEIYLGIRNFIMKKFHSNPQMHLKLKDLSELSDGEMDAQLKVLEFLSHWGLINFHPFPPAVQGSYLIPAPNQAEVTAPIHTPSLLSEPTLTEDSITQAESSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYDKGNLDAGMSQTDFIIMESAEIPGFGGTSWTDQETLLLLEALEIFQAKWGDIAEHVATKTKAQCILHFLKMPIMDPFLHDGDVNEISQETAEQVSAEQGTSRVTEKMEVEDKTKEIKTNDRKTAAKPKLNLTETEVNLDDNVVANNDTKSSGDINVDVCSNTGVSNRSSDTEPKKKETSGENTSNIVNDVLKYAFEAVGHIPKIEDLGSFTEAGNPVMALVAFLSGLVDHDDVTTLCCSSLKAISDMSPALQLATMHCFILQDPPNDLKDPPVSISFANTDCGQQKDKDATSNPSVTDNDDNLKEESALSVEEPNTTSTSSKNTRKLSNAKESKDESPQVEPKPSSANDCDNPISQVAKRASDNIRGHSSTVLPFSLNNTNEPCSISSQEASAGNTKDTSHTEHIEGDKPIYEDPPLEGKVELNKIEHEVTDLSAVQQHESIQTSLKKGYIQDHNSIKKTVADDVSVQRLQRAAASAISAAAVKSKLLAKHEEYQIQRLAALVIDKQLHKMQAKMSVFTEADNLVLRAREHTERTRKKLLMERSAIIASRMAALPPRPNHQPGMPGSRLPVGYGVNQHLRRS >ONIVA04G00480.2 pep chromosome:AWHD00000000:4:501003:507838:1 gene:ONIVA04G00480 transcript:ONIVA04G00480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPPPPPAAATATYRRRGKPKPKPKRKAAKPPSPSPPPKRQARDDPPHTGPLTRHSPLNPAPEDAPPQLHAGERDPPPRAWIEPAKLPPVSEEVQAVLSRGAGVHVVPSFAGWFSWKEIHQIEKQALPSFFDGISLRRTPEIYLGIRNFIMKKFHSNPQMHLKLKDLSELSDGEMDAQLKVLEFLSHWGLINFHPFPPAVQGVSELVESITNADTEEKISVVDKLFQFETLQSYLIPAPNQAEVTAPIHTPSLLSEPTLTEDSITQAESSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYDKGNLDAGMSQTDFIIMESAEIPGFGGTSWTDQETLLLLEALEIFQAKWGDIAEHVATKTKAQCILHFLKMPIMDPFLHDGDVNEISQETAEQVSAEQGTSRVTEKMEVEDKTKEIKTNDRKTAAKPKLNLTETEVNLDDNVVANNDTKSSGDINVDVCSNTGVSNRSSDTEPKKKETSGENTSNIVNDVLKYAFEAVGHIPKIEDLGSFTEAGNPVMALVAFLSGLVDHDDVTTLCCSSLKAISDMSPALQLATMHCFILQDPPNDLKDPPVSISFANTDCGQQKDKDATSNPSVTDNDDNLKEESALSVEEPNTTSTSSKNTRKLSNAKESKDESPQVEPKPSSANDCDNPISQVAKRASDNIRGHSSTVLPFSLNNTNEPCSISSQEASAGNTKDTSHTEHIEGDKPIYEDPPLEGKVELNKIEHEVTDLSAVQQHESIQTSLKKGYIQDHNSIKKTVADDVSVQRLQRAAASAISAAAVKSKLLAKHEEYQIQRLAALVIDKQLHKMQAKMSVFTEADNLVLRAREHTERTRKKLLMERSAIIASRMAALPPRPNHQPGMPGSRLPVGYGVNQHLRRS >ONIVA04G00470.1 pep chromosome:AWHD00000000:4:498748:499344:1 gene:ONIVA04G00470 transcript:ONIVA04G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRRRHPDVHVKALEGIVSANTFFTVAVFIGITGTITPSSSIPPNCVAGDDIARNFFLFEILSFGFYLLSSLVAQGMKLAVTLLATDDFYGDGEQKPPPSDDCEEMPAWRAAAPRERRRAVLRFARPMMLLAAGCSIMGTFFLLLSMVDAIQLKFGLVSCNIPLAVGTTFALAVLVVAGLVFYGATVAYALTHYLP >ONIVA04G00460.1 pep chromosome:AWHD00000000:4:489886:490797:-1 gene:ONIVA04G00460 transcript:ONIVA04G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVVLALLGVATAAAAAAGDVVSYSYPAFNATTTRDDSLVAATNASILTTARLLFDPDFPHGFNVSEGFLLLSGDIDVWRDGVGSAGAPAREASFNTTFTVVAAASPVAFVVLLDRYPPLLDQSGLRGSNVSSAADGDDGNATNSLVAVEVGTVKSYGRESPDVGLNVTVTPNRTTAPSGSTVWIQYDAVEHRLSVHVAAAGEPRPSNALLDVPLYLAGGRTTQTALVGFFGGTIGDIIVGVRGWELTVERLRGDDGGGGKKRTSWVVILLAVVGSVAGVAAMVSVLVCRFVRKRRHTEPKH >ONIVA04G00450.1 pep chromosome:AWHD00000000:4:485278:486351:-1 gene:ONIVA04G00450 transcript:ONIVA04G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIKCIAKEAELMLELLKHGATDTDDIIQQSSVIRLCAMSLFHLQGCQSLVAAGAMLGVAKEAKMLCDWMKKENKLVIFSKSLSLPCCELEESRLIRIRALDVMMTILQQSSFPSSKDKVRSPRSEDTPKTKRRCSEKSFAGPA >ONIVA04G00440.1 pep chromosome:AWHD00000000:4:482324:485137:1 gene:ONIVA04G00440 transcript:ONIVA04G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGAPARSFVVVVLAVIALSAIVAVAGTKKALSTVDVEEELDAARAAIRRAARQHRRGGGGDVGSANWLRFYGGEADYDLLSRVYRNPAAFYRSYVEMERRFKVYVYEEGEPPILHEGPCKNIYTIEGSFIEQLELMSPSDAGGGVRTWDPTRAHAFFLPFSVSQMVKFVYRPPSQDRAPLRAIVADYVRVVASRHPFWNRSAGADHFMLSCHDWGPYASRGQPELYTNAIRALCNANTSEGFRPGKDVSVPEINLYDGDMPRELLAPAPGLESRPLLAFFAGGRHGHVRDLLLRHWKGRDAATFPVYEYDLPAAGDYYSFMRRARFCLCPSGHEVASPRVVEAIQAECVPVVIADGYALPFADVLRWEAFSVAVAVGDIPRLRERLERIPAAEVERLRRGVRLVKRHLMLQQPPRRLDMFNMILHSVWLRGLNLRLHQARCGNLVWHTRAPTKVKFFMWLAEKGRCLMADNLSKRGWPHHQTCSLCTSNDEDCEHLFVSYPYTIRVWRMIKGKGWVGIGIQLPAEAGLELGEWWLLARQGVQTSCRSAFYTLVLLVYWMVWKERNARVFQSQSRTAGSLFALIKKEVVVWKEARVFKVLGE >ONIVA04G00430.1 pep chromosome:AWHD00000000:4:470426:472972:1 gene:ONIVA04G00430 transcript:ONIVA04G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLWKDIHIQTEDVDAFIKDRSSMGVIQFKTLINLCGANMQFTLNVTLEESIKERRDKLVTKVHCMIQGYTSSKIHLSHGMKIVDAIERLGVGYHFHEEIGMFMRVLNDTPARENDMAEAALRFRLLRQHHYNAPSDVFGCFLDKNGDFKETLRHDVDALLSLYEAAHLGKCDEDLLKSAVVFTTGCLSAMAENDQLPQPLLEKVEHALTSPTQRRMKRLEAKLYISIYENDEDSNHDILELAKLDFHILQQMHRDEARRFSLWYKELNVRSTLGPYIRERPVECYFWSLGVFYEPQYAKARMMFARLIKIFSLFDDTFDSYGTLEEVHLFNNAVQSWDEGGAKQIGDYFGYVMSLLSKTLNEFVVDGASPLGIDCTKKTV >ONIVA04G00420.1 pep chromosome:AWHD00000000:4:461803:462616:-1 gene:ONIVA04G00420 transcript:ONIVA04G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEKNLTGLGEPIRLAFNGKTLPVHYEANQPNTICTARLASCFIKPHYQQNGCIDEIAVPCMRQTWELHPRWDNHDATSIS >ONIVA04G00410.1 pep chromosome:AWHD00000000:4:437873:441506:1 gene:ONIVA04G00410 transcript:ONIVA04G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGEHNHMHPSPRVDDLLAAAEIDREKSSEKKKKSPDHPAHPSGSPPAGDRPAPRAPARRPSRAPARRCGIAHLRITQAISASAHRAVAPPAGDLARGGDSPPARAPRPPATRHGAAVDGRPSPVAPPRRLHPPAHGLTRHKPATPPPGATASTSTLQKLHKMMMLGVGGVAGAGAGLPLVLRRRTRSRTPPPPMVMTPLHRSCFFFRPQPSSLSHYPSPSPCAADDLFTVDYDPEEEEEEEDEEGSPWEGAVVYRRDASVHHLEYATTLERLGLGDLSSPHSRARAATMGILILSSPNLTGTKDETPVLVSLDVARRRGRLRLDGIIRTVITLGCYGCAEPAPQGIFANFSLLLTEGRVEEPDVVDLGTIFEEEQTKAPVLTGSQEDGDDEDIDWDDRLHFPAGEKEIDISKHIRDIIHLEITLDALCSPTCKGLCVGCGENLNTSSCSCNTEKQQAKAKNVQRRGPLKDLLKPLQR >ONIVA04G00410.2 pep chromosome:AWHD00000000:4:438280:441506:1 gene:ONIVA04G00410 transcript:ONIVA04G00410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLGVGGVAGAGAGLPLVLRRRTRSRTPPPPMVMTPLHRSCFFFRPQPSSLSHYPSPSPCAADDLFTVDYDPEEEEEEEDEEGSPWEGAVVYRRDASVHHLEYATTLERLGLGDLSSPHSRARAATMGILILSSPNLTGTKDETPVLVSLDVARRRGRLRLDGIIRTVITLGCYGCAEPAPQGIFANFSLLLTEGRVEEPDVVDLGTIFEEEQTKAPVLTGSQEDGDDEDIDWDDRLHFPAGEKEIDISKHIRDIIHLEITLDALCSPTCKGLCVGCGENLNTSSCSCNTEKQQAKAKNVQRRGPLKDLLKPLQR >ONIVA04G00410.3 pep chromosome:AWHD00000000:4:437873:441506:1 gene:ONIVA04G00410 transcript:ONIVA04G00410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGEHNHMHPSPRVDDLLAAAEIDREKSSEKKKKSPDHPAHPSGSPPAGDRPAPRAPARRPSRAPARRCGIAHLRITQAISASAHRAVAPPAGDLARGGDSPPARAPRPPATRHGAAVDGRPSPVAPPRRLHPPAHGLTRHKPATPPPGATASTSTCAEPAPQGIFANFSLLLTEGRVEEPDVVDLGTIFEEEQTKAPVLTGSQEDGDDEDIDWDDRLHFPAGEKEIDISKHIRDIIHLEITLDALCSPTCKGLCVGCGENLNTSSCSCNTEKQQAKAKNVQRRGPLKDLLKPLQR >ONIVA04G00400.1 pep chromosome:AWHD00000000:4:434502:435482:-1 gene:ONIVA04G00400 transcript:ONIVA04G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLVLLLAVTCSPAMADVVSYSFAAVGGGRAASNGLVVATNSSILSPATFLFDAQLFPVFNESDGFLLLDDTLQLWRAAAAGMPPALEASFNTTFTFLSSAVAFVVLLDSFPPLASHRGVSAPTDGGAMTTTNPNATSSLATVEVGTVNSYGRRSPNVGLNVTVSANRTAAPHGLTVWIEYSAVEHHLWVYVAAAGKARPAKSVIDLPLNLPGRRITQRAFVGFFAGTVRDAVLGIRDWNLTVDRFPGDGRRRGEDVDEQVKKGTPPSRWLVALLAVLGAVAAVVTVASVVVCYMVSRRRALETERIRQYTKYYFPAGGRPGSN >ONIVA04G00390.1 pep chromosome:AWHD00000000:4:426263:427912:1 gene:ONIVA04G00390 transcript:ONIVA04G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNEASFTTTLRFMIISSRTAAVGSLAFVVVPTLNAADGALPRALNTANYTITTTSNNHSLSLDLASIMSDYNNNSNKSTSTAVNYTVWIDYDGIGHKISAYMANDGQLKPSKAIFAGHLTMSNRVPNKAYIGFFASGSDGETYGLLSWNITVDRVPDSGIAASKSKNKPFETGFTTVIVVFSFFSVSLIVILVFQSKKNSDAKQLLDEVLSQLARKLKYSEIRNATGNFTDARRLGRGSFGVVYMGTLTTQRNGRTQEQRQQQVAVKKFDRDENQQRRFTDFLVEIQVIIRLKHNNIVQLIGWCLEKRALLLVYEYKHNGSLDNHLFGNHSRQQQVLPWPTRYSIVRDVAAGLHYIHHELEDIKSSNILLDQEFRACLGDFGLARVISGGRSSASMELAGTRGFIAPEYAQNRVATRRTDVYAFGALVLEIVTGRKALDHSRPSDSVLIANWVRDEFHNNGKLLEAVDGSLTTEEGLQYDADDAERLLLLGLSCTSHSASDRPSMEMVVQIVAKPVPRPVVVVPRVEPAFVGSSSAEIDDASSLGRR >ONIVA04G00380.1 pep chromosome:AWHD00000000:4:421871:426124:-1 gene:ONIVA04G00380 transcript:ONIVA04G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKVGMLMLSEPLPSFSKLVQASRPVHALDEEIEHNITKKNETGRGGEDVSCSVVTKHFWCKPSDGRRAAVEWAFSQTSIHPRTRRGRRRAAGGGERPYFLLRLCLCVVVLLVVTIAAAAARTATMAPYVKQGGGGGAPTDISEVYRPDFGHAGAEVFDSGMFDTVCSIGQFPALDSFAAQEFDSCSPRRRRLQTYSFARRYSLLSRRRERIDEDLVETAALVPRQSKPETILLRDLV >ONIVA04G00370.1 pep chromosome:AWHD00000000:4:405083:418571:-1 gene:ONIVA04G00370 transcript:ONIVA04G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPNMLLRLLFLVCCSSSLAASAAAASSSSGSACSCSSSSDETSYSFPSTRIEDTLALLDDAEISSNNGSTILHLTPAASSNKSGTALLPTPVTLWRRLDYQTTAAQPGSYSKQDASLNTSFTMRVQYANAKYSPADDAAAAAAGLNNGLAFVIVPTINGPPPPGSAAAFAVEFETGDNRSITVSITTGGNIIAAATATTTTTNQTNSYYAVWIDYNGEKHRLLIYIDLQDRPKPQKPCLDVPLNLSSVVPDRAFIGFSATTTTTTTGGSSSAMDELLLHRYSILSWSLTVKLPPSPHGLDFEWKVILPAVVGTVAITAIMNVIVAALYLNSKYNKLKMELVLTEALRRLPGTPREFKHAAIRKATNNFDEGRKLGNGGFGAVYRGTIRSSSSSAGKNKATTAAAAAVSSSSVEVAVKRFTRDENRCYDDFLAEVDIINRLRHRNVVPLVGWSYEKGELLLIYEYMPNGSLDRQLFPKEKPGRILGWTTRYGIVTDIAAGLHYVHHEHEHMVLHRDIKASNILLDAAFRGRLGDFGLARIVVGLDKNSYTDVGVAGTWGFIAPEYSVSHKATRKTDVYAFGVLLLEIVTGRRVLCKFQGTFQLLVDWVWRLHREGSLLDAVDNCIASSTEEFDADDAIRLLLLGLACSNPNPSDRPSMTEVVQVVARSAAPPDVPPVKPAFVWPPEGGVEVDSDDVDSSGSDVYASLCEWEEEETSSSDALAHEHMVLHRDIKASNILLDAAFRGRLGDFGLARIVVGLDKNSYTDVGVAGTWGFIAPEYSVSHKATRKTDVYAFGVLLLEIVTGRRVLCKFQGTFQLLVDWVWRLHREGSLLDAH >ONIVA04G00360.1 pep chromosome:AWHD00000000:4:391654:394129:1 gene:ONIVA04G00360 transcript:ONIVA04G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 90.1 [Source:Projected from Arabidopsis thaliana (AT5G52640) TAIR;Acc:AT5G52640] MAAAGDVQMAEKETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKPSKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTLDTAGERLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWSEKTTEKEISDDEDDDIDDSKGKEKEGDIEEVKDKKKKKKVKEVSHEWVQINKQKPIWLRKPEEISREEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFFEIADNKEDYAKFYEAFSKNLKLGIHEDSQNRGKLADLLRYHSTKSGNELTSLKDYVTRMKEGQKEVYYITGESRKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDDDDAKERKRSFEPLCKVIKDILGDRVEKVVVSERIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGAYMSSKKTMEINPENGIMEELRKRADADANDKSVRDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLNIDDDDAAAADDDADMPALDDAAAEESKMEEVD >ONIVA04G00350.1 pep chromosome:AWHD00000000:4:381865:387076:-1 gene:ONIVA04G00350 transcript:ONIVA04G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRNNMVSEPTIRGIPGQETRWMTHGGSSDVQRLDPSIGGGCTGGTCQMFGEMPSWLGAGAGTTLRVQVSQVLYSVTGEVLHQVYNGYGAVAVQVLATSCWGVEALVWFRSSCDAERARSDTNERNIYDGCCLLDVQHTQSFPGNGANVMPTKCSTLGPSYATTTSGAKSIPAATERVFPATKASLAPSTSSTTMATPAPSTETKELGAGMDNEVLKSEETTQDLYTKMMAMINKMLETCRNTREDYTMSVDCNGDATALSVNIDPVPILSEVINEANSTHLVNTNKLSMVTVKPTKGLTKSKKEKVDGDAGGMITDDCVEFTKVNTKLISVFRPFTDVSLTLCRSNYIGVTNLPIVSSECEVYYDDFVSGADFTARPQVVPPWRLAVPLDFRFLPWPDIFNQGSGGVVVKLLQPWPPPIQAEVKAKMEKLNLHGQKLEIQIIVTGYSVPKATIEGLQLLGERMLQEEQLKCEVVKSNWYSFSNLLVGDMMDTALPMQSLGQLVPSYNLAQSENENLLIQQVRSWCRFKFSANYFLSKPYQWRKYMVDAPTYQGFHFQGMIKQQIDGVDKMLLYYHQISIVYCSVSEDVVYDVTWTPVMPSKWIHVVAIGRTWLLSSCALINFLEAGTVQLAVKLVYVKIAEMTRIRSWDPGIVNLVTIIACQISTEVKKGGSDTLDLSSVCKYKNAHDSIQVGTVSSISLSLTMFAVLPYRKLVTSIKIPNYNSRQMDVQCIHQSASFVTSIGMESSLVVAFYGSTGAYGLALDDHLQLPWDLGGTDLELQLHQLGDKLIFKAGRMSCNWAGSGWDRHPQQALRQGRGGVGARYANVTSPMAAIGISRAWGPGRDATSLRWELS >ONIVA04G00340.1 pep chromosome:AWHD00000000:4:379077:380571:-1 gene:ONIVA04G00340 transcript:ONIVA04G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPFRLPLAVVLLLAIICVLLASPSCHADDLTATMTNEHEKEHQLMMMMMDRFHRWMATHNRSYASADEKLRRFEVYRSNMEFIEATNRNGSLTFKLGETPFTDLTHEEFLATYTGDVRLPPERRGMQDDSDEEDAVITTSAGYVAGAGAGRRTAAVPESVDWRKEGAVTPAKHQGQCAACWAFAAVAAIESLHKIKGGDLISLSEQELVDCDDTGNGTCSKGYSDDAFLWVSKNKGIASDLIYPYVGHKESCKKQLLGVHNATVRGVVTLPENREDLIMAAVARQPVAVVFDAGDPLFQNYRGNGVYKGGTGCSTNVNHALTIVGYGTNHPDTGENYWIAKNSYGNLWGDNGFVYLAKDTADRTGVCGLAIWPTFPTIR >ONIVA04G00330.1 pep chromosome:AWHD00000000:4:371222:374583:1 gene:ONIVA04G00330 transcript:ONIVA04G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GX26] MAKKNYGQVYNILGWGDPYFTVNSHGHLAVKPHGRDTMSGQDIDVHSVIHRALATTITTNDGDKKPQFPMILRFPDVLKNRLDSLHAAFHGAVDSTGYASRYQGVFPIKVNQNKAVVQDLVTFGHGYSYGLEAGSKPELLIAMSCLAKAKPGAYLVCNGYKDADYVALALSARAMGLNAIIVLEMEEELDIVVEQSARLGVEPVIGVRAKLLTKIPGHFGSTAGKHGKFGMLADKIYEVAGKLKKMGKLHWLKLLHYHVGSMIPTTDIVYNAAAEAAGIYCALVKEHGAMGMTTLDCGGGLGVDYDGTRSGSSDMSVAYGLEQYASSIVQAVRLTCDDNGVPHPVLCTESGRAMASHHSMIILEALSAIPEPQDEEDTHHRLLSKIQDLSSKQPRTAHTVNGGGGVDAMHSHAVELKKHGIEMYKLAKKLSKRVTGDANGIYNYHMNLSVFSLVPDFWGIGQLFPMMPVSRLNEKPTINGTLVDITCDSDGKVEKFIRDAVTLPLHPLDDAAAEHGGYYVAALLSGAYQEALACKHNLFSGPTLVRVESAGGSGAFKIVSVELGPTAEEVIGTMRYDVKNDISDVIEKVATENGVWPMVEPLMKKGLTTMPYLNDYKPPKTTF >ONIVA04G00320.1 pep chromosome:AWHD00000000:4:360513:364184:1 gene:ONIVA04G00320 transcript:ONIVA04G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATQDGSSSKPIVLLADPLIPEFEQELAPSYRLLPAADADEAAAASARALLTVDLPAVTAAQIDALPALELVVASSAGVDHINLGACRRRGIAVTNAQNAFSADAADYAVGLLVAVLRRVAAADAYVRRGAWAAAAGDYPLASKVSGKRVGIVGLGSIGGLVARRLAAFGCVIAYNSRSPKASAPYKFYPSVRELAAESDVLVLSCALTEETRRMVGREVMEALGKGGVLVNVGRGGLVDEAELVRCLREGVLGGAGLDVYENEPEVPPELWGMDNVVLSDHRAVITPESIQGVVDVVKANLDAFFSGKPLVSQVQL >ONIVA04G00320.2 pep chromosome:AWHD00000000:4:362575:364184:1 gene:ONIVA04G00320 transcript:ONIVA04G00320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATQDGSSSKPIVLLADPLIPEFEQELAPSYRLLPAADADEAAAASARALLTVDLPAVTAAQIDALPALELVVASSAGVDHINLGACRRRGIAVTNAQNAFSADAADYAVGLLVAVLRRVAAADAYVRRGAWAAAAGDYPLASKVSGKRVGIVGLGSIGGLVARRLAAFGCVIAYNSRSPKASAPYKFYPSVRELAAESDVLVLSCALTEETRRMVGREVMEALGKGGVLVNVGRGGLVDEAELVRCLREGVLGGAGLDVYENEPEVPPELWGMDNVVLSDHRAVITPESIQGVVDVVKANLDAFFSGKPLVSQVQL >ONIVA04G00320.3 pep chromosome:AWHD00000000:4:358361:360998:1 gene:ONIVA04G00320 transcript:ONIVA04G00320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPVLLLCRLFPGTFTDVAHRFRLLDFYASALPIHAFLAAVAAHADPPRVVLVFGGGPIPVGAELLDAVPSLRCIITVSAGTNHIDLRECARRGVQVANAGGIYSTDVADYAVGLLLDVLRHVSAGDRFVRRGLCPEQRGGDFLPLGSKIGGRRVGIIGLGSIGSAIARRLEAFGCVVSYHNRRQREDVAYAYFPTATDLAASSDVLVVACALTAETRRIVDRGVLDALGERGVVVNVARGASVDEAELVRALAEGRVAGAGLEVFDDEPNVPPELWAMDNVVLTPHQAIFTPESMADLSRVVLANLDAFFAGEPLLTRVKHRGGIPNPLPDCVPAVYDAIESVFQKTIDHSLTWCW >ONIVA04G00320.4 pep chromosome:AWHD00000000:4:362807:364184:1 gene:ONIVA04G00320 transcript:ONIVA04G00320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATQDGSSSKPIVLLADPLIPEFEQELAPSYRLLPAADADEAAAASARALLTVDLPAVTAAQIDALPALELVVASSAGVDHINLGACRRRGIAVTNAQNAFSADAADYAVGLLVAVLRRVAAADAYVRRGAWAAAAGDYPLASKVLADLGGKKIEVSGKRVGIVGLGSIGGLVARRLAAFGCVIAYNSRSPKASAPYKFYPSVRELAAESDVLVLSCALTEETRRMVGREVMEALGKGGVLVNVGRGGLVDEAELVRCLREGVLGGAGLDVYENEPEVPPELWGMDNVVLSDHRAVITPESIQGVVDVVKANLDAFFSGKPLVSQVQL >ONIVA04G00310.1 pep chromosome:AWHD00000000:4:355608:357141:-1 gene:ONIVA04G00310 transcript:ONIVA04G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVAAPPATGGKRPVLLLRRINDRLAAELRSRFRVLNFYESGAPLLAFLAAAAAGPDPPLAAVVVAGGAIQVNAAFLDAVPSLGCVVTTGAGVDHIDLAECARRGVAVAGAGTVFSTDVADHAVGLLVDVLRRISASDRYVRRGLWAARGDYPLGSKLSGKHVGIIGLGSIGSLIAKRLQAFGCTISYNSRRPKDSVSYNYFPDVTDLAAASDVLIVACALNDETRHIVDSSVLEALGKDGVVVNIARGGIVDEAELIRALKEGRIAGAGLDVFEKEPDVPAELLSMDNVVLTAHEAVFTTESNWDLADLMIANLEAFFSGGPLLTPVLPK >ONIVA04G00300.1 pep chromosome:AWHD00000000:4:335876:337591:-1 gene:ONIVA04G00300 transcript:ONIVA04G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMSYLIFSPGAGCVGRPPNSSSSHVAVVTAASPPSSSLATPGEAGLQAPRNSLDLLDADLLRRDIQIGVQIEPAFDALARPRPSAPTSEAETPRTPSLVARLMGIDGLPDSPSPATSSNSKPREKKKRVIPESISLRQPLRDLSRSLPDTPRASTSSLRPAAAAAPTWDVVDHPRLSLQVLKDNVLDRARQYMSMPTSPTSLSKKKKTRSRRDATAAADGRSSKENAVREIVRQARETVTNRKSKKNAAAIGKENASPVHHHHHCGKENAPPAAKQAAAAPPMRAPLAEQQPHAPRLPLQPRPAPPPPPPQQQRAKPSRPPPPPPPLDPPPRAAAPPAKCKRPDGCERFATRIKKPAPPPILPAQPSPTSSTDVRDIVVSGERKITSSTPAVTAPPATVEEDPEYVYLQAVLERGGFMRARAAALKGHSVETPVDPLVFHLLELELPADEARLGPLRHRWNRKLLFQLTQEMLAEQLLGLDPTSPSTSSGAALVARLWRRARSFPAADCRVVEDILALVAADVEAAARARRVVERRLVAEEGEDVAEEVAERVLDALLDAEIAAVAGGE >ONIVA04G00290.1 pep chromosome:AWHD00000000:4:321775:326522:1 gene:ONIVA04G00290 transcript:ONIVA04G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginase [Source:Projected from Arabidopsis thaliana (AT4G08900) TAIR;Acc:AT4G08900] MGGVAAGTRWIHHVRRLSAAKVSTDALERGQSRVIDASLTLIRERAKLKAELLRALGGVKASACLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMNVVSESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNIYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMRTFSKDREKLESLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAGDVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >ONIVA04G00290.2 pep chromosome:AWHD00000000:4:326268:330748:1 gene:ONIVA04G00290 transcript:ONIVA04G00290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginase [Source:Projected from Arabidopsis thaliana (AT4G08900) TAIR;Acc:AT4G08900] MASPSGAGKPPAVLLLRPVDQPFAVALRERYRVLDLLSSGQPLPAFLAAAAAAPDPPRAAVVMGGGSIRADAALFDAVPSLRCVVSTAAGVDHIDLAECARRGVVVANSGTVYSGDVADHAVGMVIDVMRRVSAAERYVRRGLWPVQGDYPLGSKVSGKRVGIIGLGNIGSLIAKRLEAFGCVISYNSRNPKRSLPYTYYADVRALAADSDVLVVSCALNSETRHIVGGEVLDALGEGGVVVNVGRGANVDEAALVRALREGRIAGAGLDVFEGEPKVSPELREMENVVLTPHVAVWTAESRSDLRDHTVANLDAFFSGDPLLTPK >ONIVA04G00280.1 pep chromosome:AWHD00000000:4:314832:315691:-1 gene:ONIVA04G00280 transcript:ONIVA04G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding M >ONIVA04G00270.1 pep chromosome:AWHD00000000:4:310819:312108:1 gene:ONIVA04G00270 transcript:ONIVA04G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETVKSAIVGEAIRGIFSGVISKYEDNSNEGDNIERLEMAQIKMEAAIKTSNKWQITDMPLLQCDDTLRKCKQRALEEKEIEVQVKQSSFPRRVAHATKSFIVSFIGHKNDGCSSSGVVRRFERIADSADSFLRFVQLGGRPRQYLFFDPLIAHLFTGKSLRYQTLHDGSQYDFKICPMSLEERGLEAMLFFVYEDSKVPKNSFRLGLMLRLSESTDVMGITVKCLQLVTPHFNSTAEIVIREFTQLPTQDFSWLPPYDDYGSMEMEYWDNVQTTMAQWFRPDPLCCSEGYVPAYFQNQSCHISLSEYNKLQGSSSSLDNFPPLKLGILFMPHDSVEDLKPANAVESYAKQEKGHVNVHPHQLDEILLPKAIDYLYHNAEEIQTWQCTPKCGEDKASTSSQNHAAARHEE >ONIVA04G00260.1 pep chromosome:AWHD00000000:4:306888:308888:-1 gene:ONIVA04G00260 transcript:ONIVA04G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSSLGLGAIFQSGCPLLPPRPAVRRAPTRRRAVATKISCIGWDPEGVLGPPQGGHIARLEFRRRLERDSDAREAFERQVREEHERRRQEREARVIPDTDAGLVEFFLDTEAREIEVEIGRLRPRLNQPFFDYIQREIAQIKFSITRTAEMEDRLIELEAMQKVLLEGVEAYDKLQNDLVSAKERLTKILQSSDKKSTLLEMVERNELNMSILTLLDENIASAKTNNQEEAVAFMENVRSSILKYITVCLNI >ONIVA04G00250.1 pep chromosome:AWHD00000000:4:299282:304020:-1 gene:ONIVA04G00250 transcript:ONIVA04G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRQVGALLLRHRSTPSSTLRHHLPLPVPDQSPPLASNLLLRLFTSQSGEGGDGATKPFIAFVLGGPGSGKGTQCVRIASDFGFAHLSAGDLLRSEISTGSEKGELILNIIKEGKIVPSEITVELIRKAMESSDAKRVLIDGFPRCEENRIAFERITGTEPDLVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFESLNIPVVDYYTSRGKVHKINATGTEEEIFGAVHKLFSSLSS >ONIVA04G00240.1 pep chromosome:AWHD00000000:4:295386:297979:-1 gene:ONIVA04G00240 transcript:ONIVA04G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAEVESSPAATGKLRYNSPLVQVSLIGLVCFCCPGMFNALTGLGGGGQLDHSTADNANTALYSCFAVFGVLGGAAHNLLGPRVTLLAGALTYPLYAASFLYYNHHPSRQAFPVTAGALLGVGAGLLWAAQGAIMTSYPPPSRRGSYISLFWCLFNLGGVLGGLLPFSLNYHRAADAASVNDATYIAFMAFMLLGAGLALLLLPASRIVRDDGSRATRMSYSSVSTEGWEILKLFANWRMLLVLPAAWASNFFYTYQFNNVNGRLFTLRTKGLNNVFYWGAQMLGSAAIGYFLDFGFGSSSRRRRGLCGVAAVAVLGTAIWAGGLANQLRYADGNWGERLIDFKDGRRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDTQILSRYVGFYKGVQSAGAAVAWQIDTHKTSLISQLIVNWALTTVSYPLLALLVFLAVKEEDSSVSSVEDGKEKDSKLSAPTSFH >ONIVA04G00230.1 pep chromosome:AWHD00000000:4:291524:293168:1 gene:ONIVA04G00230 transcript:ONIVA04G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1295) [Source:Projected from Arabidopsis thaliana (AT2G46890) TAIR;Acc:AT2G46890] MAAGVKNMVIAILVPLPSLLFFFSFVRPTTTPSPVSSWCAAHPLLVANLLFLFNVDLLFWLIGNLLSNHWLIDLYWTVIPVMLLHYYRAHPAAVADTARSAVAVALTWVWSARLTHNYLRREGWQWGKREDWRFAEMRGQYGRAWWWMSFFAVYLSQQVFLIGICLPMYAIHSTTQPWGAWDVVATMACLAGIVIAHFADTQLHRFVTTNKKLKKVGEPTVPTMEAGLWRYSRHPNYFGEQLWWWGLYLFAWNIGQPWMVVGPLVNSLCLGYVTVLVERRMVKQEHRAEAYKLYQKRTSVWIPWFRKPVPQPYNHKDSSNQNSLKISHS >ONIVA04G00220.1 pep chromosome:AWHD00000000:4:285325:285717:1 gene:ONIVA04G00220 transcript:ONIVA04G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSNDTLNVASEVSSILSKLNDHLAGADEAKEPAGTSIITLAGENNGATMEVAGDVEDLVVVEAGGDEDDDEEEESVVSAYTNSNYQALNNSVLVAGSCAVKDPGVHVVIVEHVDEIRDYDDDVRDE >ONIVA04G00210.1 pep chromosome:AWHD00000000:4:271630:275232:1 gene:ONIVA04G00210 transcript:ONIVA04G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLHLQGTSTATTVPLQGDPASDHHPHLSIDIPPAAASMSPAPTQAAADITPTPTTSILSTKASTPAGSCSSRSTSVAPKPQRSSSFMLRQTVKSLLPVGSFKSSVKFFNARISRTSSLPVTDVSQEQADKTSTTHAVDKAGHMYRSQSLPMNMKKLNNGKSFKRMNSLGGVYRVVPSTPSVPVTSSNVIPDIVPSEPGDEDGEDIAEEEAVCRICMVELSEGSDTLKLECSCKGELALAHKHCAMKWFTMKGTRTCEVCKEDVQNLPVTLVRVQSMQQPELQTNPANASRYDRLRMWQGAPILVIVSILAYFCFLEQLLVARDGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYATIQFLFVVFFTHLFYRYLHLQAVISIILATFAGFGVGMTGNSIIVEIIRWRAARAAAAPPAQTRHRRRRHGRRQQQPPPAQPAASSAAVADVENPPV >ONIVA04G00200.1 pep chromosome:AWHD00000000:4:259346:267731:1 gene:ONIVA04G00200 transcript:ONIVA04G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type) family protein [Source:Projected from Arabidopsis thaliana (AT2G28450) TAIR;Acc:AT2G28450] MEMEMEDNKAPSPPSPMESDVAGEKRKREDDASSSAVLAAANNTGGAQHPMWKTSLCSFFRRRAASSADGCSHGDSCRYAHSEEELRPRPDGTWDPTSDRAKKLRKVAADEVEEEVVTIDDKALDKCLVGLPRGWANDRLKTFLQDKARTNYSSILPPALLLGISYATAKKKKGMTVGFVTFENIEQLKNAIEVLTENQSGGKEIKIADANRRSHQKLHTEKPVSDNGVTTENGTSVDVPPGETSAPEAAISNKKSVRDAVTPLAHMSYNDQLEHKKNSVAQILKRLTRNARKACPTGIPLPDWVFKSKEIGGLPCKLEGILESPVINGYRNKCEFSVGFSLEGKKTVGFMLGNFREGVTAVEEPVDCPNVSEISCKYALMFQDFLQSSSLPVWNRVDNCGFWRQFTVREGRCRAQAVAQNAETQISEVMLIVQVCSTGVDDAVMKDEFDKLTVALQQGAATCSPPLPLTTIVVQDHKGISNAAPADCPLIPLLVPKVDQSEGTVDKTRIHDHIGNLWFSISPTAFFQVNTLAAERLYTLAGDWANLNSGTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNESAVSDAERNALINGVSNCRFVCGKAEDVMGSLLTEYLGSPQQDIPVSEGAVSGTVKDEEVIDSSKNSGENLDSSMQKNDNGKSQQLGDAPADSSSSAIDEIKGNSNDRVGNGLEGSHDEYNEVAGEDIHGEASLINESVDLKVSDCLEDRKTSDDGSSISNNDVTAATACQFEDIVAIVDPPRVGLHPTVIKALRTHPRIRRLVYISCNPDSLVANAIELCTPSSEKQEKNKGNRGWRTMSSAGLARQRTKSMPNSEPFVPKRAMAVDLFPHTSHCEMVMLFER >ONIVA04G00200.2 pep chromosome:AWHD00000000:4:259346:267731:1 gene:ONIVA04G00200 transcript:ONIVA04G00200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type) family protein [Source:Projected from Arabidopsis thaliana (AT2G28450) TAIR;Acc:AT2G28450] MEMEMEDNKAPSPPSPMESDVAGEKRKREDDASSSAVLAAANNTGGAQHPMWKTSLCSFFRRRAASSADGCSHGDSCRYAHSEEELRPRPDGTWDPTSDRAKKLRKVAADEVEEEVVTIDDKALDKCLGISYATAKKKKGMTVGFVTFENIEQLKNAIEVLTENQSGGKEIKIADANRRSHQKLHTEKPVSDNGVTTENGTSVDVPPGETSAPEAAISNKKSVRDAVTPLAHMSYNDQLEHKKNSVAQILKRLTRNARKACPTGIPLPDWVFKSKEIGGLPCKLEGILESPVINGYRNKCEFSVGFSLEGKKTVGFMLGNFREGVTAVEEPVDCPNVSEISCKYALMFQDFLQSSSLPVWNRVDNCGFWRQFTVREGRCRAQAVAQNAETQISEVMLIVQVCSTGVDDAVMKDEFDKLTVALQQGAATCSPPLPLTTIVVQDHKGISNAAPADCPLIPLLVPKVDQSEGTVDKTRIHDHIGNLWFSISPTAFFQVNTLAAERLYTLAGDWANLNSGTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNESAVSDAERNALINGVSNCRFVCGKAEDVMGSLLTEYLGSPQQDIPVSEGAVSGTVKDEEVIDSSKNSGENLDSSMQKNDNGKSQQLGDAPADSSSSAIDEIKGNSNDRVGNGLEGSHDEYNEVAGEDIHGEASLINESVDLKVSDCLEDRKTSDDGSSISNNDVTAATACQFEDIVAIVDPPRVGLHPTVIKALRTHPRIRRLVYISCNPDSLVANAIELCTPSSEKQEKNKGNRGWRTMSSAGLARQRTKSMPNSEPFVPKRAMAVDLFPHTSHCEMVMLFER >ONIVA04G00190.1 pep chromosome:AWHD00000000:4:254148:256885:1 gene:ONIVA04G00190 transcript:ONIVA04G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMTPAADGDDDETTCIRALELIFTFVVPMTLKATIKLGLLDALTGGGHALTADELAAAAQLPAEAASSVDRMLRLLASLDVVKCAPTDTGGEAAVRRYTPAPVCRWFAGERSLAPLAMFLLDDDYLSTWNQLPAAVAGGDGQVAFEKARGMPMFEYMGTNRRLNTLFNQAMVQQSTVVIGKLLERFQGFDGVSVLVDVGGGTGATLEMITSRYKNITGVNFDLPHVIAQAPSLPGVKHIAGNMFESVPNGDAIFLKSMLHLHNDEDCIKILKKCHQALTHNGKVIAVEILLPAIPEPVPTAQNPFRMDMIMLNNHWGGKERTEPEFAKLAVECGYTGVFQATYIFANYWALEFNK >ONIVA04G00180.1 pep chromosome:AWHD00000000:4:253040:253657:-1 gene:ONIVA04G00180 transcript:ONIVA04G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSENKKNQWGPVVSTSSFPLLPSGSTLVSNDVEVRSSPGSAGNADIGHVGLSHYCTPSSKSTAGGDADSDKAGPQPPLPLFPRIDLGRRSGGSGVGGDDSSAGRKRWRGLASSTDGEDDGRNPPSLSPTKPDPPLFRHRHLSRSGHYDVGSEIGGKKVCDISSALICRHESPPLAPPPRVAPKSFACLN >ONIVA04G00170.1 pep chromosome:AWHD00000000:4:217903:218351:-1 gene:ONIVA04G00170 transcript:ONIVA04G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGDKHCSFCYAQQTFQHLFFECHVAQFVWRRVFFVFNIPPAHPITPKTFSVIFISSYWLHFWSTMLPQEEQDTMRNGAILLESVAKGLLFHYGWRSSIRIAS >ONIVA04G00160.1 pep chromosome:AWHD00000000:4:209879:213071:-1 gene:ONIVA04G00160 transcript:ONIVA04G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGGQQLVAAILGIGTAVPPYVLPQSSFPDYYFDISNSNHLLDLKAKSNPSVAAYNSPSINVRQNLTDVTVPQLGAAAARLAIADWGRPACEITHLVMCTTVSGCMPGADFEVVKLLGLPLTTKRCMMYHIGCHGGGTALRLAKDLAENNPGGRVLVVCSEVVSMVFRGPCESHMGNLVGQALFGDAAGAVVVGADPVEANGERTLFEMVSAWQDIIPETEEMVVAKLREEGLVYNLHRDVAARVAASMESLVKKAMVEKDWNEEVFWLVHPGGRDILDRVVLTLGLRDDKVAVCREVMRQHGNTLSSCVIVAMEEMRRRSADRGLSTAGEGLEWGLLFGFGPGLTVETILLRAPPCNQAQAV >ONIVA04G00150.1 pep chromosome:AWHD00000000:4:196718:199844:-1 gene:ONIVA04G00150 transcript:ONIVA04G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GX03] MPSLYIFLGLLLFSLQAPPCPAATDTLKTGQVLSAGDKLVSRNGKFALGFFNPSANISKSSDNISSSWYIGIWFNKIPVFTVVWVANRERSIAEPDLKLTQLKISQDGNLAIVNHANESIIWSTRIVNRTEASMNTSVLLHDSGNLVIQSTSNAVLWQSFDYPTDVALPNAKIGWNKVTGLNRVGVSKKSLIDMGTGSYSVQLYTNGTRRVTLEHRNPSIEYWYWSPDESGMKIPALKQLLYMNPQTRGLVTPAYVNSSEEEYYSYNSSDESSSTFLLLDINGQIKFNVWSQDKHSWQSLYTQPVDPCRSYDTCGPFTICNGNSQPFCDCMENFTRKSPRDWDLGDRTGGCSRNSPLDCTRNTSSTDIFHPLIHVTLPRNPQTIQEATTQSECAQACLSSCSCTAYSYQNTSTCSIWHDELFSVNQDDGIEIHSQDVLYLRLAAKDLQSLRNNKRKPNVAVVIAASVIGFVLLMVGVFLLIWRNRFEWCGAPLHDGEDSSGIKAFRYNDLVHATKNFSEKLGAGGFGSVFKGMLIDLTTIAVKRLDGDRQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGRKRLLVYEHMLNGSLDAHLFQSNAGTLNWSIRYHIALGVARGLAYLHQSCHECIIHCDIKPQNILLDASFAPKIADFGMAAFVGRDFSRILTTFRGTVGYLAPEWISGVAVTPKVDVYSFGMVLLEIISGRRNSPNEYTSDNYHVSYFPVQAINKLHEGDVRNLVDPQLCDDFSLEEAERVCKVACWCIQDDEHDRPTMSEVVRVLEGMQELEMPPMPRLLAALTKCSDVAGADEIVNVHADDQPRSSAHV >ONIVA04G00150.2 pep chromosome:AWHD00000000:4:196718:199844:-1 gene:ONIVA04G00150 transcript:ONIVA04G00150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GX03] MPSLYIFLGLLLFSLQAPPCPAATDTLKTGQVLSAGDKLVSRNGKFALGFFNPSANITGADEIVNVHADDQPRSSAHV >ONIVA04G00140.1 pep chromosome:AWHD00000000:4:192808:195294:-1 gene:ONIVA04G00140 transcript:ONIVA04G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GX02] MRPQSYAFLGLVLLLFSLLPLAPCSAANLNNDTLLAGQALAVGDKLISNNGKFTLGFFQPDAGTSKSSDTSTNSPGWYLGIWFNKIPVFTTVWVANRERPLTIPELNLTQLKFSSDGNLVIFNHATESIIWSTRVIIDSHRTQETSSTNTSVVLLNTGNLVIESTANVVLWESFDSPTDVVLPGAKFGWNKITGLNRQCISKKSLIDPGLGSYSVELDTNGTKGVILMLRNPPKVYWYGLTSPTLIPELRSLLAMDPRTRGLIIPTYVDNSQEEYYMYTLSNESSSSFLSLDMSGQIMLNVWSEANQSWQIIYAQPADPCNPFATCGPFTICNGNSNPVCECMESFTRKSSQDWDLGDRTGGCSRNTPLDCTISGNRTSSADMFHPIAHVKLPYDSESIQDATTQSKCAQACLSSCSCTAYSYQNNICSVWHGDLFSVNQNDGIENHFDDVLYLRLAAKDLQSLSKNKRKPIVGVVTTISIISLVLLIMLMVLVMVWRNRFKWCGVPLHRSQGGSGIIAFRYSDLDHATKNFSEKLGEGGFGSVFKGVLRDLTVVAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCQGDKRLLVYEHMLNGSLDTHLFQSNATILTWSTRYQIAIGVARGLSYLHQSCHECIIHCDIKPQNILLGESFTPKIADFGMAVFVGRDFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSYGMVLLEIISGMRSLPNVHSSNSHHAAYFPVQAISKLHEGDVQSLVDPRLSGDFNLEEAERVCKVACWCIQDNEFDRPTMGEVVLVLEGLQEFDMPPMPRLLAAITRSSNVAEM >ONIVA04G00130.1 pep chromosome:AWHD00000000:4:179172:181715:-1 gene:ONIVA04G00130 transcript:ONIVA04G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQALQDPVAEPHGAEPAAAGAPPAVVPGKEFTRTCKGLVVVLVGGYVLLQLLPSSLDYLAIIPAKTIPFVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILVNSICGVLAFCFAVALYYVTGKESFLVTPLSGFHGALAGFLVGLKQLLPNLELPMCFFWKIKAKWMPFFVLCFSTIMAFIVPDSINFLPTLLSGMYVSWIYLRYFQRNPLTGLKGDSSDDFSFPSLFPDAMRPVTDPVANLFDRMLCARSRPSELALPVSDPAKALRRRERGERVLEERLAADHAADTEAPALGHSTAED >ONIVA04G00120.1 pep chromosome:AWHD00000000:4:174913:180637:1 gene:ONIVA04G00120 transcript:ONIVA04G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPHQSPSPPRSSSQPRKSPSPVGLNSGGCTRRRIASTTTAVQAVGISHASFCFILLCSLSCSATPLRSNPGHASIVGPPHSLPCLLATPRLTPPHRAPADAVVVPPSAGALPGAALAPLDQRRRSHATKKHMGSSRFGKSCFRPTRKPARAPWKPESGVTLHNNQDQISATAKQNARTPQMELTKMINFKNSLRPHTGSISFPQKRRPRELPIA >ONIVA04G00110.1 pep chromosome:AWHD00000000:4:172042:174655:-1 gene:ONIVA04G00110 transcript:ONIVA04G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit M [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWZ9] MATIVNTTEEEPMLAVVRFTAELAWADAGADVAEPEVTRLCVEAQQHILAARWLDMASLMLASADLLLQSTRLPDKDKDLECILAIICNLVTKARSQDEALQIAELICAKLTHQPQDKPALRLKVIGFVTMLCFHCMRPTQGSLFSLYNLLGSPYAKAFVYKKALDLAAAGKAADCIIPTFKNVDSFISDWGIGKVEQRDLFLAAARILKDQKCMNKEYFNFLNKYLATFDGSADDADAIGDAKEEAVAAIIEFVKSSDLYQCDLLNMPAVAQLEKDEKYQLVYELLKIFLTQRLDSYLEFQSANSALLKGYGLVHEDCITKMRLMSLLDLSSRCAGEIPYHAIIDALKINDDEVEYWIVKAISCKILDCKVDQLNQVIIVSRHTERIFGMPQWQSLRAKLGVWRGNIASAINTIQANKVTDDGSQGIQGLMIR >ONIVA04G00100.1 pep chromosome:AWHD00000000:4:168308:169942:-1 gene:ONIVA04G00100 transcript:ONIVA04G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHASSLFESSQQINLALHSNENLQAPTYLSWWTDKNGETTYLETFFHHFWMPDRIPCQMGPELGIPEHGAVECETGHGKMKSAQLVPVVGCVPSHNLALFTYRALENHLVCVLRDFWNRPCGKPPDDVYMSKTCKLLVRMGMKIEIGYEIRDNIRLQGVPDLAEVVEEVEGVDALLVGEVEDAVEAVVVDDVEGGVDLVGAEVRGGGIDVVAASDIAVHDGGAAEGGGGFRGGVAGLDDDPEEGRVVEEAEGVGHPGEVVGLGGAGARGGDDDEQLVVAVGGGWRVRVLEDGEWIAVAVVGIRIGDGAQGSGEMGEEVEEAEEGAEEEEGGEARERAAEGGVPSSSKQMVVVMSR >ONIVA04G00090.1 pep chromosome:AWHD00000000:4:167553:171211:1 gene:ONIVA04G00090 transcript:ONIVA04G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWZ7] MASIRRPHSPAKQQHLLRHGHLGPFASSSPPSSPLRHSSSSSSPRSAAHHHHHLLAAAGHTSFRRPLPRFAAFFLLGSFLGLLHFLSHLPRPLGPIPNPNSHHRHRDPFPILQHPHPPSTPHSHHKLLIVVTPTRARPSQAYYLTRMAHTLRLLHDSPLLWIVVQAGNPTPEAAAALRRTAVMHRYVGCCHNINASAPDFRPHQINAALDIVDNHRLDGVLYFADEEGVYSLHLFHHLRQIRRFATWPVPEISQHTNEVVLQGPVCKQGQVVGWHTTHDGNKLRRFHLAMSGFAFNSTMLWDPKLRSHLAWNSIRHPEMVKESLQGSAFVEQLVEDESQMEGIPADCSQIMNWHVPFGSESVVYPKGWRVATDLDLSKSKG >ONIVA04G00080.1 pep chromosome:AWHD00000000:4:138399:147213:-1 gene:ONIVA04G00080 transcript:ONIVA04G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) TAIR;Acc:AT5G64440] MGKPPRAMTPVEEVDLSAVRYQSPSLQAPHLTGFSLRAFVWLMESPLFGRLLTSVLKSQNNITRMLQDTVIPERPMYLPEYPPQEPEQGVLLLGDDRDPVDRVEEALHCLPPYDPSLRWPAGDKPPFLYWKIRDFAHAYRSGITTPSVVAEHIIAGVEEWSNKKPPMPMLVYFNADDLRKQAEASTKRFQQGNPISILDGIFIAIKDDIDCFPYPSKGATTFFDKIRSVEKDAVCVARLRKCGVLFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSSLCGIIGLKTTYGRTDMTGALCDCGTVEVASPLAASVEDALLVYSAIAGSRPMDKLTLRPSPLCVPNLVSPDNNNILGSVKIGKYTEWFHDVSDRDISNTCEDALNLLCSSFGCQIEEIILPELEEMRTAHVVSIGTESFCDLNPHYRAGKRTEFTLDTRTSLALFGSFTSTDYVASQRIRRRIMYYHNEAFKKVDVIATPTTGITAPEIPQSSLKLGESNYVVSAYLMRFVIAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAIEELCLKKRKRPSAFHDILNA >ONIVA04G00080.2 pep chromosome:AWHD00000000:4:138780:147213:-1 gene:ONIVA04G00080 transcript:ONIVA04G00080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) TAIR;Acc:AT5G64440] MGKPPRAMTPVEEVDLSAVRYQSPSLQAPHLTGFSLRAFVWLMESPLFGRLLTSVLKSQNNITRMLQDTVIPERPMYLPEYPPQEPEQGVLLLGDDRDPVDRVEEALHCLPPYDPSLRWPAGDKPPFLYWKIRDFAHAYRSGITTPSVVAEHIIAGVEEWSNKKPPMPMLVYFNADDLRKQAEASTKRFQQGNPISILDGIFIAIKDDIDCFPYPSKGATTFFDKIRSVEKDAVCVARLRKCGVLFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSSLCGIIGLKTTYGRTDMTGALCDCGTVEVASPLAASVEDALLVYSAIAGSRPMDKLTLRPSPLCVPNLVSPDNNNILGSVKIGKYTEWFHDVSDRDISNTCEDALNLLCSSFGCQIEEIILPELEEMRTAHVVSIGTESFCDLNPHYRAGKRTEFTLDTRTSLALFGSFTSTDYVASQRIRRRIMYYHNEAFKKVDVIATPTTGITAPEIPQSSLKLGESNYVVSAYLMRFVIAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAIEVLCNYIINIKYRVWVSPAASLDWTVLSMNPDEYLNIFKTVHYIWPFHCKFTSNSCENLYIFL >ONIVA04G00080.3 pep chromosome:AWHD00000000:4:138399:144875:-1 gene:ONIVA04G00080 transcript:ONIVA04G00080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) TAIR;Acc:AT5G64440] MLLQNHTLNPLPLSIFLAIHLFSYPYFLYTTAVVLTFGTGAALPEPEQGVLLLGDDRDPVDRVEEALHCLPPYDPSLRWPAGDKPPFLYWKIRDFAHAYRSGITTPSVVAEHIIAGVEEWSNKKPPMPMLVYFNADDLRKQAEASTKRFQQGNPISILDGIFIAIKDDIDCFPYPSKGATTFFDKIRSVEKDAVCVARLRKCGVLFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSSLCGIIGLKTTYGRTDMTGALCDCGTVEVASPLAASVEDALLVYSAIAGSRPMDKLTLRPSPLCVPNLVSPDNNNILGSVKIGKYTEWFHDVSDRDISNTCEDALNLLCSSFGCQIEEIILPELEEMRTAHVVSIGTESFCDLNPHYRAGKRTEFTLDTRTSLALFGSFTSTDYVASQRIRRRIMYYHNEAFKKVDVIATPTTGITAPEIPQSSLKLGESNYVVSAYLMRFVIAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAIEELCLKKRKRPSAFHDILNA >ONIVA04G00080.4 pep chromosome:AWHD00000000:4:146287:147213:-1 gene:ONIVA04G00080 transcript:ONIVA04G00080.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) TAIR;Acc:AT5G64440] MGKPPRAMTPVEEVDLSAVRYQSPSLQAPHLTGFSLRAFVWLMESPLFGRLLTSVLKSQNNITRMLQDTVIPERPMYLPEYPPQDFVVCD >ONIVA04G00070.1 pep chromosome:AWHD00000000:4:133049:136513:-1 gene:ONIVA04G00070 transcript:ONIVA04G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYAAHKFEYSISLSWKKYNVGQINSTQLTDAVWKNFFQGKLTYMHWNKGGEAMAPIESTTGGTLLVRKLVNLSPTQVFVGDVVLLKDPEKSDDLIVRRLAALEGYEMVSNDEKDEPFVLDKDQCWVLADNQSLKPKEARDSRLFGPVPMTDILGRVIYSLRTAVDHGPVENSRMAMNQDSPVLAVELDVEEMAKNNKV >ONIVA04G00060.1 pep chromosome:AWHD00000000:4:127589:136192:1 gene:ONIVA04G00060 transcript:ONIVA04G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLLQHSHLHTVFAAATTTIGVGQFPRRRAKFPTSLVLLPRCTSSSSSSSGAPMDANPPTTAMYPLHRCKTIYLVRHAQGVHNVEGEKDHSAYMSPQLFDAHLTPLGWNQVDCLREHVKKSGLAQKIELVITSPLLRTMQTAVGVFGGENSVDGIENDEDVLWEPNVREANSSVAARGMKFIDWLWTREEKEIAIVSHSGFLYHTLSMYSRECHPTIREEVGKHFANCELRSMVLVDTSMLGSDSPSYNYPGSIPAGLDLPSDAAP >ONIVA04G00060.2 pep chromosome:AWHD00000000:4:127743:136192:1 gene:ONIVA04G00060 transcript:ONIVA04G00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSIFCLLGAPMDANPPTTAMYPLHRCKTIYLVRHAQGVHNVEGEKDHSAYMSPQLFDAHLTPLGWNQVDCLREHVKKSGLAQKIELVITSPLLRTMQTAVGVFGGENSVDGIENDEDVLWEPNVREANSSVAARGMKFIDWLWTREEKEIAIVSHSGFLYHTLSMYSRECHPTIREEVGKHFANCELRSMVLVDTSMLGSDSPSYNYPGSIPAGLDLPSDAAP >ONIVA04G00050.1 pep chromosome:AWHD00000000:4:118284:119925:-1 gene:ONIVA04G00050 transcript:ONIVA04G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMEVQVPLLGMGTTMGALALALVVVVVVHVAVNAFGRRRLPPSPASLPVIGHLHLLRPPVHRTFHELAARLGPLMHVRLGSTHCVVASSAEVAAELIRSHEAKISERPLTAVARQFAYESAGFAFAPYSPHWRFMKRLCMSELLGPRTVEQLRPVRRAGLVSLLRHVLSQPEAEAVDLTRELIRMSNTSIIRMAASTVPGSVTEEAQELVKVVAELVGAFNADDYIALCRGWDLQGLGRRAADVHKRFDALLEEMIRHKEEARMRKKTDTDVGSKDLLDILLDKAEDGAAEVKLTRDNIKAFIIDVVTAGSDTSAAMVEWMVAELMNHPEALRKVREEIEAVVGRDRIAGEGDLPRLPYLQAAYKETLRLRPAAPIAHRQSTEEIQIRGFRVPAQTAVFINVWAIGRDPAYWEEPLEFRPERFLAGGGGEGVEPRGQHFQFMPFGSGRRGCPGMGLALQSVPAVVAALLQCFDWQCMDNKLIDMEEADGLVCARKHRLLLHAHPRLHPFPPLL >ONIVA04G00040.1 pep chromosome:AWHD00000000:4:105657:116177:1 gene:ONIVA04G00040 transcript:ONIVA04G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNTNANNSSGGGKDKDDKTSPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRYHVHYLGLVSIIALMLFSCLSALLIQYSWDEWVTNDRLLKLTDENIRKQQELEKSQAVDKSVKSGRSAQHKPKGSNADAKTDKEDTKIIVKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYTEEVKGDVSPSIIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKEGFLKYGTLIAFASVSSRMCPPPRPPPQATFRTVVITNHVNFIFKKLNSKFSRGYCAVTAL >ONIVA04G00040.2 pep chromosome:AWHD00000000:4:107193:116177:1 gene:ONIVA04G00040 transcript:ONIVA04G00040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSNTNTNTRSGGGKDKHDETSPSFKKGERVLAYHGPLLYEAKVQKSENKEDEWRYHVHYLGLVSIIALMLFSCLSALLIQYSWDEWVTNDRLLKLTDENIRKQQELEKSQAVDKSVKSGRSAQHKPKGSNADAKTDKEDTKIIVKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYTEEVKGDVSPSIIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKEGFLKYGTLIAFASVSSRMCPPPRPPPQATFRTVVITNHVNFIFKKLNSKFSRGYCAVTAL >ONIVA04G00040.3 pep chromosome:AWHD00000000:4:107193:116177:1 gene:ONIVA04G00040 transcript:ONIVA04G00040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSNTNTNTRSGGGKDKHDETSPSFKKGERVLAYHGPLLYEAKVQKSENKEDEWRYHVHYLGLVSIIALMLFSCLSALLIQVNGLLIMFIFNEYSVNPVEGLVSIIALMLFSCLSALLIQVNSLLIVFIFNEYSWDEWVTNDRLLKLTDENIRKQQELEKSQAVDKSVKSGRSAQHKPKGSNADAKTDKEDTKIIVKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYTEEVKGDVSPSIIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKEGFLKYGTLIAFASVSSRMCPPPRPPPQATFRTVVITNHVNFIFKKLNSKFSRGYCAVTAL >ONIVA04G00040.4 pep chromosome:AWHD00000000:4:107193:116330:1 gene:ONIVA04G00040 transcript:ONIVA04G00040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSNTNTNTRSGGGKDKHDETSPSFKKGERVLAYHGPLLYEAKVQKSENKEDEWRYHVHYLGLVSIIALMLFSCLSALLIQVNGLLIMFIFNEYSVNPVEGLVSIIALMLFSCLSALLIQVNSLLIVFIFNEYSWDEWVTNDRLLKLTDENIRKQQELEKSQAVDKSVKSGRSAQHKPKGSNADAKTDKEDTKIIVKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYTEEVKGDVSPSIIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKGTDGIKTK >ONIVA04G00040.5 pep chromosome:AWHD00000000:4:105657:107197:1 gene:ONIVA04G00040 transcript:ONIVA04G00040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNTNANNSSGGGKDKDDKTSPSFKEGERVLAYHGPLLYEAKFRSTKSPNCLASNVQNRPGIVSLSAPPRRH >ONIVA04G00030.1 pep chromosome:AWHD00000000:4:63281:66452:-1 gene:ONIVA04G00030 transcript:ONIVA04G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWRRALCTSVRRDPEQQEVKRRGEAPSPRCGGGSRLGGFFSAISSSHSSSNPATTTPTLRCRTKPKPQSSSSDHQQQLPSSAPAPKKRMPLLQALSVPSSPRSPSRFALLKASILPNKSRCGVCSHGVKTGAAAVFTAECSHSFHFPCIAAHAHALAAATALSCPVCAAPWRQAPFINKRTTTTDDHKRKSYDDDEPLLAPKAAAGAFNPIPEDDEDDATEFRGFFPARPRSGLAVTLAPDAALVSAGRRHGKYVVAVRVKAPALRSSPSTRAPIDLVTVLDVSQGMMGDKLHMLKRGMRLVIASLGPADRLAIVAFSGAAKRLLPLRRMTRQGQRSARQIVDRLVVCAAAQGQEQPQAVCVGDALRKATKVLEDRRDRNPVATVMLLSDTQQQQQQQQDAIRRPPAAPPATRFTHVEIPIGPGEEPTRSALVAEEDDDQFSEHAFAKCLGGLVSVVMQEVQLELAFPTGEITAVYSCGHGQQAVALGGGGGGAAVSVSLGEMYAEEERELLVELRAPLSQSHPHSLSVRCSYREPASQETVRGAEQQLVVPALHGGSSSRRLHDLFVATRAVAESRRLAELNDYATAIHLLSSARAVVVQSAEQGLVGSLDTELSDMRWRRGQSAGRRRGGESEETPVGTPRARGGEPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF >ONIVA04G00020.1 pep chromosome:AWHD00000000:4:56929:61379:1 gene:ONIVA04G00020 transcript:ONIVA04G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 family protein [Source:Projected from Arabidopsis thaliana (AT1G03620) TAIR;Acc:AT1G03620] MVGNRIWFGGLFTSSGRRRQINAEKTFELSPVQEQRLQKLKERLNIPYDQTRRDHQEALRALWSASFPDAELSSLISEQWKDMGWQGPNPSTDFRGCGFVGLENLLFFATTYPASYQRLLLKKQGMRATWEYPFAVAGVNVSYMLIQLLELNAERPKSLPGINFIKDVLEATKQQLERELSLEDVNGIRDIPAYNLLYK >ONIVA04G00020.2 pep chromosome:AWHD00000000:4:57277:61379:1 gene:ONIVA04G00020 transcript:ONIVA04G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 family protein [Source:Projected from Arabidopsis thaliana (AT1G03620) TAIR;Acc:AT1G03620] MHIFDAMVGNRIWFGGLFTSSGRRRQINAEKTFELSPVQEQRLQKLKERLNIPYDQTRRDHQEALRALWSASFPDAELSSLISEQWKDMGWQGPNPSTDFRGCGFVGLENLLFFATTYPASYQRLLLKKQGMRATWEYPFAVAGVNVSYMLIQLLELNAERPKSLPGINFIKDVLEATKQQLERELSLEDVNGIRDIPAYNLLYK >ONIVA04G00010.1 pep chromosome:AWHD00000000:4:48648:63168:-1 gene:ONIVA04G00010 transcript:ONIVA04G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRRKKKGRIKKREESLPERGGHIETASTYRTGYVVAKKRRFSSPTNPQPLKIKSTGYLQQLRYIHTYKRGDGDGGGRERGTGGEGREEDREDGELETAVGRSAGRGRRGGGRRGSREAEKRGGGEEDHES >ONIVA03G44090.1 pep chromosome:AWHD00000000:3:36126196:36128880:1 gene:ONIVA03G44090 transcript:ONIVA03G44090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDILMLQEQEVVFDAAVLSGQTEIPSQFIWPAEESPGSVAAEELEVALIDVGAGAERSSVVRQVGEACERHGFFLVVNHGIEAALLEEAHRCMDAFFTLPLGEKQRAQRRAGESCGYASSFTGRFASKLPWKETLSFRYSSAGDEEGEEGVGEYLVRKLGAEHGRRLGEVYSRYCHEMSRLSLELMEVLGESLGIVGDRRHYFRRFFQRNDSIMRLNYYPACQRPLDTLGTGPHCDPTSLTILHQDHVGGLEVWAEGRWRAIRPRPGALVVNVGDTFMALSNARYRSCLHRAVVNSTAPRRSLAFFLCPEMDTVVRPPEELVDDHHPRVYPDFTWRALLDFTQRHYRADMRTLQAFSDWLNHHRHLQPTIYS >ONIVA03G44080.1 pep chromosome:AWHD00000000:3:36098903:36109498:1 gene:ONIVA03G44080 transcript:ONIVA03G44080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast sucrose nonfermenting 4 [Source:Projected from Arabidopsis thaliana (AT1G09020) TAIR;Acc:AT1G09020] MFSHGADSAHDAGAVSTGASGVPTRFVWPYGGKRVYLTGSFTRWTEHLPMSPVEGCPTVFQAICSLPPGIHQYKFCVDGEWRHDERQPTITGDYGVVNTLCLTRDFDQINTILSPSTPGSRMNMDVDNDNFQRTVSLSDGIIQEGPQRISEAAIQISRCRVADFLNGQTGYDLLPDSGKVIALDVNLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQTYARNEGSWRANHHLVHATPYESLREIAMKILQNGVSTVPIMFSSSPDGSYPQLLHLASLSGILKCICRYFKNSQGNLPILSQPVCTIPLGTWVPKIGDPNGRPLAMLRPNTSLSAALNLLVQAGVSSIPIVDDNDSLLDTYSRSDITALAKDKVYTHIRLDEMTIHQALQLGQDANSPFGFFNGQRCQMCLRSDTLLKVMERLANPGVRRVFIVEAGSKRVEGIISLSDIFKFLLS >ONIVA03G44080.2 pep chromosome:AWHD00000000:3:36107179:36109498:1 gene:ONIVA03G44080 transcript:ONIVA03G44080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast sucrose nonfermenting 4 [Source:Projected from Arabidopsis thaliana (AT1G09020) TAIR;Acc:AT1G09020] MALAPPPSHHHVKQLQLPPSVSVSVPLRSGFLGRALPAAAHPQPLLAAESRRSSAVSVRMSWDGPLSSLNEKVKEHIEEKAGRAVAKHSQLVKEVDVRLSARGGELSRGPKICRCEITLFTKRHGVIRAEEDAESTYASIDLASSIIKRKLRKIKEKETDVRHLKGTKPPVSDWPPSSLDNNDDDAQAQLKDLEEAVGAEDEDTVLTKVVRTKVFEMPPLSVEEAMEQLVNVDHNFYAFRDEKTGEMNVLYKRKEGGFGLIVPKGDGHLHKETIPNSDHHHPSLAA >ONIVA03G44070.1 pep chromosome:AWHD00000000:3:36094511:36096541:-1 gene:ONIVA03G44070 transcript:ONIVA03G44070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWX6] MAAPITAATSPLSPASRVQVMCSMLNPTSASFSRQTASFPSIRLRPVPSHFQALSCSAKQDTIDKVCEIVKNQLAVDEGTAVSGETKFVDLGADSLDTVEIVMGLEEAFQITVDESSAQVIQTVEDAAALIDKLVAEKDA >ONIVA03G44060.1 pep chromosome:AWHD00000000:3:36081828:36095271:1 gene:ONIVA03G44060 transcript:ONIVA03G44060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G46580) TAIR;Acc:AT5G46580] MAPLPAAAAAPAFLFLSPPGKLPGGKPRLKPSCAASTSSSSSSSSSSPSLAEQLEPLSRRLLHGKPTPTQHAPEPTWVNPSKPKPTVLSLRRHRRRSPSSHPSSAPLQPLLRAIRALPDAADLAPTLHDFFPPASPPSTSDALLLLNYLHPSWRKSLSLLCWLRALPDGAFPLDTIVFNVALKSLRAARQWPQAERLALDMLASGVPLDNITYSTLITAARRCRQFDKAVEWFERMYASDGVLPDEVTYSAILDVYAQLGMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYDGIQFVFKEMREVGIKPNIFVYNALLEALGKTGKPGLARNLFEEMTAEGVEPNARTLTALAKIYGRARWGRDALQLWEQMREKKLPADNILCNTLLSMCADVGLVGEAEQLFSEMKDPDLGDVPKPDKWSYTAMINIYGSSGDADRALQLFAEMVESGIEPNIMSYTIVIQCMGKAGRIQEAVDVLEAGMAKGLKPDDRLCGCLLSVVALSSGDETEVILACLEKVRSNLVKLIRMLGDARVGVEDLRVELKGILNSAAPEVRRPYCNCLIDICRNHGYPSERAVELFRLARHYGLYSKIHTRKEEEWSLDLRSLSVGAAKTAFDDWMKTIREHEEEEEALPQTFSVYTGSSTHKFAQGLATAVASHLEQVGAPFRASDSQLGSFISSRDDLLSWLHTTMSSPDVAMHDGPCSPNHSSSSEGFFFRNPNRSIEQGTGQGRTVAGEEEREREREQRGRRRDKMILAVLFSNSDGNILIERFHGVPAEERLHWRSFLVKLGADNLKGAKNEELLVASHKSVSIVYTMIGDVCLYIVGKDEYDELALAEVIFAITSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPVEP >ONIVA03G44060.2 pep chromosome:AWHD00000000:3:36082378:36093792:1 gene:ONIVA03G44060 transcript:ONIVA03G44060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G46580) TAIR;Acc:AT5G46580] MARNKLVYNRSDEIGVVLFGTKETSNELAKELGGYKHVVVARDIKVVDEETTNALQNLPRGTSPGDCIHKESGVRHNAVMDENDQLLYHFRERSVTKVVQVDSPTSLLGALRTRNVLPVTVFRGDLEVWVYKKTSEEKFPTLKKYSDKAPASDKFASHEVKVDYEYKSVLEPDTVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKVLRPDVSNGDTVHLFYFNRHYFMKDVFSFVPEPGNTKAVAAVSALARAMSEMNKVAILRCVWRQGQGNVALGVLTPNISSAKNVLDSFYFNILPFAEDIREFQFRSFSSLPSSSQPTKEQQEAADNLVKMLDLAPPGREEILKPDFTPNPMLERFYRYLDLKSKQPDANVPPLDKCLKKITEPDPDVIDYQAPLIKKLGNVFELKENPKKKKARTQDRLTYTGADDQAKLLEEPSAEKVGVLEALYPPKKKAGEIGDHNPVQDFEAMLTQRSSSTWVQTAIEEMQKYITALIQDSCDRDNQQKALECLVALRKACIIEQEPNEYNGFVTKLCQKFRPAGDKNFLQLLSSKNASLISKEEAPDR >ONIVA03G44060.3 pep chromosome:AWHD00000000:3:36081828:36086692:1 gene:ONIVA03G44060 transcript:ONIVA03G44060.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G46580) TAIR;Acc:AT5G46580] MAPLPAAAAAPAFLFLSPPGKLPGGKPRLKPSCAASTSSSSSSSSSSPSLAEQLEPLSRRLLHGKPTPTQHAPEPTWVNPSKPKPTVLSLRRHRRRSPSSHPSSAPLQPLLRAIRALPDAADLAPTLHDFFPPASPPSTSDALLLLNYLHPSWRKSLSLLCWLRALPDGAFPLDTIVFNVALKSLRAARQWPQAERLALDMLASGVPLDNITYSTLITAARRCRQFDKAVEWFERMYASDGVLPDEVTYSAILDVYAQLGMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYDGIQFVFKEMREVGIKPNIFVYNALLEALGKTGKPGLARNLFEEMTAEGVEPNARTLTALAKIYGRARWGRDALQLWEQMREKKLPADNILCNTLLSMCADVGLVGEAEQLFSEMKDPDLGDVPKPDKWSYTAMINIYGSSGDADRALQLFAEMVESGIEPNIMSYTIVIQCMGKAGRIQEAVDVLEAGMAKGLKPDDRLCGCLLSVVALSSGDETEVILACLEKVRSNLVKLIRMLGDARVGVEDLRVELKGILNSAAPEVRRPYCNCLIDICRNHGYPSERAVELFRLARHYGLYSKIHTRKEEEWSLDLRSLSVGAAKTAFDDWMKTIREHEEEEEALPQTFSVYTGSSTHKFAQGLATAVASHLEQVGAPFRASDSQLGSFISSRDDLLSWLHTTMSSPDVAMHDGPCSPNHSSSSEGFFFRNPNRSIEQGTGQGRTVAGEEEREREREQRGRRRDKMILAVLFSNSDGNILIERFHGVPAEERLHWRSFLVKLGADNLKGAKNEELLVASHKSVSIVYTMIGDVCLYIVGKDEYDELALAEVIFAITSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPVEP >ONIVA03G44050.1 pep chromosome:AWHD00000000:3:36078188:36079276:1 gene:ONIVA03G44050 transcript:ONIVA03G44050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECWEKKPRNLGGSLPVPNVQDLAARPDHLTPTLLRRYLRPHHLSLPADDHHAMAMIPVVDFARLIDHHEEAAKLRHACEEWGFFQVINHGIADETVEEMKRDVMAFFNLPLADKAAFAQQPEWIEGYGQAFVTSEDQTLDWSDLYFLTTQPPSYRDLRFWPPETSSTFRRSMDRYSLETQRVATELLRAMARNLGLRDADKMTRLAAAQSMRMNYYPPCPAKERDRVLGVSPHSDAVGLTLLLQVSPVKGLQIRRGDDWIPVDPIPGALVANVGDVVEMVTNGRYKSIEHRVVVDAAQERVSVAAFHNATFGSTYGPLEEMVGGGEARYRSISVEDYVRLVVSSKLQGKNILDAVKIITTT >ONIVA03G44040.1 pep chromosome:AWHD00000000:3:36075008:36075437:1 gene:ONIVA03G44040 transcript:ONIVA03G44040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWSESENARFEQALATYDSDNPNRWELIATAVGGGKTADDVRRHYDHLQHDVTTIDDDHSHAAGEALPNGNNNNNTNKGNRNQA >ONIVA03G44030.1 pep chromosome:AWHD00000000:3:36071732:36073047:-1 gene:ONIVA03G44030 transcript:ONIVA03G44030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGFRMQGLFQLRMDVLGQRVLAVFLTLNRCGGGAADLSDLAKGHLASMDEPVQEQRHLVHIRSKMLLSEILRSIGANEARYNCHAVTDGYVGSAEASVYGVRGGEEPFLVHAHGIPAIRPCDAEESAAHALIAVIKKECRVEIEDTNWLDMNRYHAKVFRLKRALGRVRKERNSLAKKACLLEIGWDRALDSLAFVNQICNDTCSFALGGPGADDLNHREVGVLYDVHRLGEYAESKMDEGLANLSSATDRCL >ONIVA03G44010.1 pep chromosome:AWHD00000000:3:36052158:36057335:1 gene:ONIVA03G44010 transcript:ONIVA03G44010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVKGRYEGDKATAAATLAFTPSAADLRFKASATDAAFARGPSLEGLTLTLEKPGSFLLDLKPHSKDVRFQFMNSALLLDRRVSLTYTHSTTLSPGPAKPPARTALDGSLTFDPANKLSLSHTLGSSGCRVKYSYAHGQDRLTTIEPCFDTANNAWDFAVTRKFQGGDAIKATYQASTKLLALDWTRDSKIGASFKVAASFDLSDQSKAPKLIAESTWNYEI >ONIVA03G44000.1 pep chromosome:AWHD00000000:3:36050484:36051602:1 gene:ONIVA03G44000 transcript:ONIVA03G44000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRRADLSVGWADLPPELLEGIMKLMSPLERVTVRLVCSSWRTCARASFPSDLAFEAPRLLLRRPGPPRGPLAFFSLRRAEILPFALPARLSAARCCGHMGGWLAMALDDDREIALCSVTSGESVGIPRPPVFPVAKVVLSAPPTTRGWVVAVLGRSGTIALLQPDAEGEGGRWMAMEDGAKHGGFEDMAIWRGRLCALGGDGAVVAYRVSLGARVAAARVLRAAQHPVGYAAGAGGQQRVRGRVCMYLVVDMSGSLVVVQREYSVRRDAVEVEVEVSRFAAEERKWEAVEELAAGEALFVGSVVSVAARATEGSGIRGNCVYMARREVELIAPHAIGVYSLADGEADGLAISGGHSLAAEPVWIAPSLA >ONIVA03G43990.1 pep chromosome:AWHD00000000:3:36044490:36045448:1 gene:ONIVA03G43990 transcript:ONIVA03G43990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMHERKQLRPGVILSVIGSSSSSPIPAMNKGRGGRGVKKEGKGVFPRLRGAVVATVRAVVGSGQRAMEDSGGTVGAMGLEVVAGRVGIERRQQMRLVMIGATGDEEGPTG >ONIVA03G43980.1 pep chromosome:AWHD00000000:3:36021965:36026781:1 gene:ONIVA03G43980 transcript:ONIVA03G43980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMEEEAANAATAQAAAAGDLADVVARANARAFLVSTPHHHPSPLHPLPPPPPMPQAPHQYYPAPQITIPYHHHHHGELRRPTTIAYTDAPVPFETAGPPSTVVDSYHHLTPGDAGYGMPRPLALQISQHALCGGGDVVMGGGGAGAADDGEEAIRISPLTPSAHHQMMKRKNEVKKVVCIPAPPATSSRGGGGEVIPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCMARKQVERSRSDPNMLVITYAAEHNHPWPMQRNVLAGYARSHHSTHATASSSRHKQQQQQQTNQLQPALITSSSSSSSSPFNLYADVVLGGQQASMMMTTEGAGAGLGIQPSAADEVFAELEELEPDNPTMINANMQVYSTTSRPGVSSYDHQWHKF >ONIVA03G43970.1 pep chromosome:AWHD00000000:3:36007695:36012344:1 gene:ONIVA03G43970 transcript:ONIVA03G43970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease V family protein [Source:Projected from Arabidopsis thaliana (AT4G31150) TAIR;Acc:AT4G31150] MQQKQEWAKTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNVLILLPLQLLLWKFSHLVVFRPFQVLDGFLMF >ONIVA03G43970.10 pep chromosome:AWHD00000000:3:36007695:36012344:1 gene:ONIVA03G43970 transcript:ONIVA03G43970.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease V family protein [Source:Projected from Arabidopsis thaliana (AT4G31150) TAIR;Acc:AT4G31150] MGQVYPQNHSPIKRSQFTSHAGFFLCCRTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNVLILLPLQLLLWKFSHLVVFRPFQVLDGFLMF >ONIVA03G43970.11 pep chromosome:AWHD00000000:3:36007695:36012344:1 gene:ONIVA03G43970 transcript:ONIVA03G43970.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease V family protein [Source:Projected from Arabidopsis thaliana (AT4G31150) TAIR;Acc:AT4G31150] MGQVYPQNHSPIKRSQFTSHAGFFLCCRTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNVLILLPLQLLLWKFSHLVVFRPFQVLDGFLMF >ONIVA03G43970.12 pep chromosome:AWHD00000000:3:36007695:36012344:1 gene:ONIVA03G43970 transcript:ONIVA03G43970.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease V family protein [Source:Projected from Arabidopsis thaliana (AT4G31150) TAIR;Acc:AT4G31150] MGQVYPQNHSPIKRSQFTSHAGFFLCCRTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQVLV >ONIVA03G43970.13 pep chromosome:AWHD00000000:3:36007695:36012344:1 gene:ONIVA03G43970 transcript:ONIVA03G43970.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease V family protein [Source:Projected from Arabidopsis thaliana (AT4G31150) TAIR;Acc:AT4G31150] MGQVYPQNHSPIKRSQFTSHAGFFLCCRTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNVLILLPLQLLLWKFSHLVVFRPFQVLDGFLMF >ONIVA03G43970.2 pep chromosome:AWHD00000000:3:36007695:36012344:1 gene:ONIVA03G43970 transcript:ONIVA03G43970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease V family protein [Source:Projected from Arabidopsis thaliana (AT4G31150) TAIR;Acc:AT4G31150] MQQKQEWAKTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNVLILLPLQLLLWKFSHLVVFRPFQVLDGFLMF >ONIVA03G43970.3 pep chromosome:AWHD00000000:3:36007695:36012344:1 gene:ONIVA03G43970 transcript:ONIVA03G43970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease V family protein [Source:Projected from Arabidopsis thaliana (AT4G31150) TAIR;Acc:AT4G31150] MDNTPRFSGGGDDCELMQQKQEWAKTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNVLILLPLQLLLWKFSHLVVFRPFQVLDGFLMF >ONIVA03G43970.4 pep chromosome:AWHD00000000:3:36007695:36012344:1 gene:ONIVA03G43970 transcript:ONIVA03G43970.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease V family protein [Source:Projected from Arabidopsis thaliana (AT4G31150) TAIR;Acc:AT4G31150] MGQVYPQNHSPIKRSQFTSHAGFFLCCRTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNLHHVDGLNQSELRRQLEAKENCNKELILLTGQSGTTWGAAMCSCPGLSKPIYISVGHRISLDSAIGIVKFCSNYRIPEPIRQVEFCK >ONIVA03G43970.5 pep chromosome:AWHD00000000:3:36007695:36012344:1 gene:ONIVA03G43970 transcript:ONIVA03G43970.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease V family protein [Source:Projected from Arabidopsis thaliana (AT4G31150) TAIR;Acc:AT4G31150] MQQKQEWAKTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQVLV >ONIVA03G43970.6 pep chromosome:AWHD00000000:3:36007697:36012344:1 gene:ONIVA03G43970 transcript:ONIVA03G43970.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease V family protein [Source:Projected from Arabidopsis thaliana (AT4G31150) TAIR;Acc:AT4G31150] MQQKQEWAKTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQTCRPLELEKICTMWMALTNLN >ONIVA03G43970.7 pep chromosome:AWHD00000000:3:36007695:36012344:1 gene:ONIVA03G43970 transcript:ONIVA03G43970.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease V family protein [Source:Projected from Arabidopsis thaliana (AT4G31150) TAIR;Acc:AT4G31150] MGQVYPQNHSPIKRSQFTSHAGFFLCCRTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNVLILLPLQLLLWKFSHLVVFRPFQVLDGFLMF >ONIVA03G43970.8 pep chromosome:AWHD00000000:3:36007695:36012344:1 gene:ONIVA03G43970 transcript:ONIVA03G43970.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease V family protein [Source:Projected from Arabidopsis thaliana (AT4G31150) TAIR;Acc:AT4G31150] MDNTPRFSGGGDDCELMQQKQEWAKTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQVLV >ONIVA03G43970.9 pep chromosome:AWHD00000000:3:36007697:36012344:1 gene:ONIVA03G43970 transcript:ONIVA03G43970.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease V family protein [Source:Projected from Arabidopsis thaliana (AT4G31150) TAIR;Acc:AT4G31150] MQQKQEWAKTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQVLV >ONIVA03G43960.1 pep chromosome:AWHD00000000:3:36004665:36006282:1 gene:ONIVA03G43960 transcript:ONIVA03G43960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGHSGRRRDPADARRWKEVAEAAAGAGLRRERSRVQRLGAHLLRHPAQLHSPLRRRVRRQSSRMISPCRFGFLC >ONIVA03G43950.1 pep chromosome:AWHD00000000:3:35991213:35995158:-1 gene:ONIVA03G43950 transcript:ONIVA03G43950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKEYLVIESAEVGNEDGRQEDLLVKEGPGEHQENTIRDSDEAKNEDCSNSGSVTEAVVSSEEPSDRSSTEEPSDRSSTEEPSDSSSGGDSSSQSADSDGGSKEAPKMDSKAGNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPVESNHNTQWRNLISGLILRRRKSMARAGTFPQRTKTTGLKRYLERMRSGKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGHLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKGALKWKARFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMSPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLVIWAKPLLDSNNMKELVDPSLDVGYDPEEMAHILAVASMCIHHSSSSRPSMKSVVRFLKGDRESLEMMQMQRPKLMKPLMFDSGDSEDYTRSSYLNDLDRHKKLALEQ >ONIVA03G43940.1 pep chromosome:AWHD00000000:3:35990397:35994331:1 gene:ONIVA03G43940 transcript:ONIVA03G43940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCRVNERTLTCCASPARPPERLPGDGGASACCAGVRGAAGGSDGGDKKKSKSTQVSLLQGKLLVPVEIVEVPPLRIVIRLHWLLNGGALIGVDTTGYRDV >ONIVA03G43930.1 pep chromosome:AWHD00000000:3:35980666:35989287:1 gene:ONIVA03G43930 transcript:ONIVA03G43930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSAAAGRDALLDELRALMAAHSPPLHALVVPSEDAHQSEYVSERDKRRQFVSGFTGSAGLALITMKEALLWTDGRYFLQAEQQLTNRWKLMRMGEDPPVEVWIADNLSDEAVIGINPWCISVDTAQRYEHAFSKKHQTLVTEKLKELREKLQHEKARGIIIAALDEVAWLYNIRGNDVHYSPVVHSYSIVTLHSAFFYVDKRKVSVEVQNYMTENGIDIKDYNMVQSDTSLLASGQLKGSAVNGSSHGENDMNENSKVWIDSNSCCLALYSKLDQYQVLMLQSPIALPKAVKNPVELDGLRKAHIRDGAAVVQYLAWLDKQMQENYGASGYFTEAKGSQKKEHMNVKLTEVSVSDKLEGFRASKEHFKGLSFPTISSVGPNAAVIHYKPEASSCAELDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDTAVFPNGTTGHAIDILARTPLWRSGLDYQHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLIVKEANTKFNFGDKGYLAFEHITWTPYQTKLIDTTLLTPAEIEWVNAYHSDCRKILQPYLNEQEKEWLRKATEPIAASC >ONIVA03G43920.1 pep chromosome:AWHD00000000:3:35977392:35979744:1 gene:ONIVA03G43920 transcript:ONIVA03G43920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT5G64380) TAIR;Acc:AT5G64380] MPLSSLSLLPLHRAPFPPTSNYPPPPPPSRALLLPLLRHSPLARRSPVSRARAVAADGMAAATAAAETPPTLLEYMGQAGAADDLVVLVAHVQSACKRIAALVASPGNAELSRGKAGGGVAVAAGRDAPKPLDELSNEIILSSLRRSGKVAVMASEENDLPIWVSNDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRLAELDHLPEEERAQLNSLQSGTHLVASGYVLYSSATIFCISFGAGTHGFTLDHLTGEFVLTHPSIQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKSRILSIQPVKLHQRLPLFLGGMEDMLELESYGDVQQKVNPGYEV >ONIVA03G43910.1 pep chromosome:AWHD00000000:3:35967968:35974909:-1 gene:ONIVA03G43910 transcript:ONIVA03G43910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAPWLAGIGAVPFLIVVVVAVVGAAVPAMGRFVVEKSSVRVLSPEHIRGHHDAAIGNFGVPDYGGTLTGVVVYPDKKATGCAEFDAKFKSKSRRPVILLLDRGGVSQFLQAKGSTEQPAWNAQQAGAAAVLIADNVDEQLLTMDTPEESPETEYIDRISIPSALVNRAFGESLKRMSSPSPSSEAAVEVVVKLDWRESMPHPDERVEYELWTNSNDECGARCDEQMEFVRGFRGHAQIMERGGYALFTPHYITWYCPEAFKLTQQCKSHILFFVSGGDTIMRHGSMEWLIELRYCAPDPEQDFGEGYEGKDVVVENLRQLCVHRVANETGRPWAWWDYVMDYKIRCSMKEKKYSKGCAEDVVKALGLSLDKVLECMGDPEADTDNAVLAKEQEDQIGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSNDIETNECLHRNGGCWRDEKTNVTACKDTFRGRVCECPVVNGVQYEGDGYIGCKAVGPGRCTVDNGGCWSETRGHQTFSACSDTALTGCRCPPGFQGDGHKCEDLDECKEKLACTCPNCHCKNTWGNYECNMSRFGWFITILVVSCVAGVGIAGYVFYKYRLRSYMDSEIMAIITCRLTARTTRTNPFDSMIQRLKGPGHLMENLVSYIPCEEIDTKMGYEFKGTSHGDPRPYSADT >ONIVA03G43910.2 pep chromosome:AWHD00000000:3:35967968:35974909:-1 gene:ONIVA03G43910 transcript:ONIVA03G43910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAPWLAGIGAVPFLIVVVVAVVGAAVPAMGRFVVEKSSVRVLSPEHIRGHHDAAIGNFGVPDYGGTLTGVVVYPDKKATGCAEFDAKFKSKSRRPVILLLDRGGVSQFLQAKGSTEQPAWNAQQAGAAAVLIADNVDEQLLTMDTPEESPETEYIDRISIPSALVNRAFGESLKRMSSPSPSSEAAVEVVVKLDWRESMPHPDERVEYELWTNSNDECGARCDEQMEFVRGFRGHAQIMERGGYALFTPHYITWYCPEAFKLTQQCKSQYCAPDPEQDFGEGYEGKDVVVENLRQLCVHRVANETGRPWAWWDYVMDYKIRCSMKEKKYSKGCAEDVVKALGLSLDKVLECMGDPEADTDNAVLAKEQEDQIGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSNDIETNECLHRNGGCWRDEKTNVTACKDTFRGRVCECPVVNGVQYEGDGYIGCKAVGPGRCTVDNGGCWSETRGHQTFSACSDTALTGCRCPPGFQGDGHKCEDLDECKEKLACTCPNCHCKNTWGNYECNMSRFGWFITILVVSCVAGVGIAGYVFYKYRLRSYMDSEIMAIITCRLTARTTRTNPFDSMIQRLKGPGHLMENLVSYIPCEEIDTKMGYEFKGTSHGDPRPYSADT >ONIVA03G43910.3 pep chromosome:AWHD00000000:3:35967968:35974909:-1 gene:ONIVA03G43910 transcript:ONIVA03G43910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAPWLAGIGAVPFLIVVVVAVVGAAVPAMGRFVVEKSSVRVLSPEHIRGHHDAAIGNFGVPDYGGTLTGVVVYPDKKATGCAEFDAKFKSKSRRPAWNAQQAGAAAVLIADNVDEQLLTMDTPEESPETEYIDRISIPSALVNRAFGESLKRMSSPSPSSEAAVEVVVKLDWRESMPHPDERVEYELWTNSNDECGARCDEQMEFVRGFRGHAQIMERGGYALFTPHYITWYCPEAFKLTQQCKSHILFFVSGGDTIMRHGSMEWLIELRYCAPDPEQDFGEGYEGKDVVVENLRQLCVHRVANETGRPWAWWDYVMDYKIRCSMKEKKYSKGCAEDVVKALGLSLDKVLECMGDPEADTDNAVLAKEQEDQIGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSNDIETNECLHRNGGCWRDEKTNVTACKDTFRGRVCECPVVNGVQYEGDGYIGCKAVGPGRCTVDNGGCWSETRGHQTFSACSDTALTGCRCPPGFQGDGHKCEDLDECKEKLACTCPNCHCKNTWGNYECNMSRFGWFITILVVSCVAGVGIAGYVFYKYRLRSYMDSEIMAIITCRLTARTTRTNPFDSMIQRLKGPGHLMENLVSYIPCEEIDTKMGYEFKGTSHGDPRPYSADT >ONIVA03G43910.4 pep chromosome:AWHD00000000:3:35967968:35974909:-1 gene:ONIVA03G43910 transcript:ONIVA03G43910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAPWLAGIGAVPFLIVVVVAVVGAAVPAMGRFVVEKSSVRVLSPEHIRGHHDAAIGNFGVPDYGGTLTGVVVYPDKKATGCAEFDAKFKSKSRRPAWNAQQAGAAAVLIADNVDEQLLTMDTPEESPETEYIDRISIPSALVNRAFGESLKRMSSPSPSSEAAVEVVVKLDWRESMPHPDERVEYELWTNSNDECGARCDEQMEFVRGFRGHAQIMERGGYALFTPHYITWYCPEAFKLTQQCKSQYCAPDPEQDFGEGYEGKDVVVENLRQLCVHRVANETGRPWAWWDYVMDYKIRCSMKEKKYSKGCAEDVVKALGLSLDKVLECMGDPEADTDNAVLAKEQEDQIGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSNDIETNECLHRNGGCWRDEKTNVTACKDTFRGRVCECPVVNGVQYEGDGYIGCKAVGPGRCTVDNGGCWSETRGHQTFSACSDTALTGCRCPPGFQGDGHKCEDLDECKEKLACTCPNCHCKNTWGNYECNMSRFGWFITILVVSCVAGVGIAGYVFYKYRLRSYMDSEIMAIITCRLTARTTRTNPFDSMIQRLKGPGHLMENLVSYIPCEEIDTKMGYEFKGTSHGDPRPYSADT >ONIVA03G43900.1 pep chromosome:AWHD00000000:3:35963632:35970271:1 gene:ONIVA03G43900 transcript:ONIVA03G43900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G53645) TAIR;Acc:AT1G53645] MRAIGAAAAAAARRHAHLPTSYSAAFSSFSGIGGGAGRGRGRGLPPSATPPRAPGSPVPDDDDGGGADPFSSPAPIGRGRGEAVIPSVSSPPLPGAGRGRGSPPPLGEVAPKQPVPAKLFDAPAAEASSSEPPPPPPPRTLPSAGAGRGVPRMQQPPVEMPQEENRFIRRREEKKKAASAARPAPSGQPKLSPEDAVKRAMELLGGGGDDDGGRGGRGRGARGRERGRGRGRDGGRGRRSADMEEKHGIYLGDNADGDRLQKRLGEDKMKIFNEAFDEAADNALPDPKQDAYLEACHTNNMIEFEPEYHVNFNNPDIEEKPPMSLEDMLQKVKPFIVAYEGIQNQEEWEEAVKDVMARAPHMKELIDMYSGPDVVTAKQQEEELQRVANTLPGNIPSSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFAREVSELYK >ONIVA03G43890.1 pep chromosome:AWHD00000000:3:35946493:35950729:-1 gene:ONIVA03G43890 transcript:ONIVA03G43890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSSPSPSPSSSKKQQQLSGGVGVGGAAAAASSNDRPPLCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKDFNDHSVKDAMDMQRNAASSSGIMGRSMNDVHVNEALRMKMEVQRRFHEQLEVQKHLQMRVEAQGKYMQTILEKAYQAISSSGDCATWHAGYKSLGSQAVLDIGSSMSFPSLQDDLQLYGGSHLDHLHQQHEQMEIRPSIDTFLAFNYSSSTGKSPMVWPGADDGGGEPAKISGDHQLQTAAPATTTMMMEAITMSGGDSMGSKGFEGQMSSKLDMRSPPPQQTALPVGSERMSSPIVGAKARNISYGRVKSKEEERSELAFLVREIRNLMAGNRDIVILKIHPGPTCQSLTLSFTPHLSTSLQNPHSSRFLTLRTAAGRSDEGGGGARDDVGRSGRQQRAPATTGAWDGGERSLGLRGRRSSGQQRTEFGAAGGGGGGAQSGGWRGLALVAGALSSSHR >ONIVA03G43890.2 pep chromosome:AWHD00000000:3:35947374:35950729:-1 gene:ONIVA03G43890 transcript:ONIVA03G43890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSSPSPSPSSSKKQQQLSGGVGVGGAAAAASSNDRPPLCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKDFNDHSVKDAMDMQRNAASSSGIMGRSMNDVHVNEALRMKMEVQRRFHEQLEVQKHLQMRVEAQGKYMQTILEKAYQAISSSGDCATWHAGYKSLGSQAVLDIGSSMSFPSLQDDLQLYGGSHLDHLHQQHEQMEIRPSIDTFLAFNYSSSTGKSPMVWPGADDGGGEPAKISGDHQLQTAAPATTTMMMEAITMSGGDSMGSKGFEGQMSSKLDMRSPPPQQTALPVGSERMSSPIVGAKARNISYGRVKSKEEERSELAFLVREIRNLMAGNRDIVILKIHRN >ONIVA03G43880.1 pep chromosome:AWHD00000000:3:35942617:35945257:-1 gene:ONIVA03G43880 transcript:ONIVA03G43880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQWNAAPSSGTNSDRNVHVNGAEKKAQSQEQQEAEKHLQMRVEAQEKYMRSMTEKAHQALASGATVSNRHCAIINL >ONIVA03G43870.1 pep chromosome:AWHD00000000:3:35937980:35940841:-1 gene:ONIVA03G43870 transcript:ONIVA03G43870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHAAGGSTSNGGSGVDGDGGGGGGAARRNTKMPKYSKFTQQELPACKPILTPKWVVSVFFLVGVIFVPVGVVSLLAAQNVVEIVDRYDDACVPANMTDNKLAYIQNPNISKECTRTLTITEDMNQPIFVYYQLDNFYQNHRRYVKSRNDGQLRDAAKANQTSACEPEKTTADGKPIVPCGLIAWSLFNDTYSFTRGNENLTVDKKDISWKSDREHKFGKNVYPSNFQNGLLKGGGTLDPAIPLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKKNDTITVKLSNNYNTYNFGGKKKLVLSTATWLGGKNDFLGFAYVIVGGVCFFLAFAFTLLYLIKPRKLGDHNYLSWNRHPGGR >ONIVA03G43860.1 pep chromosome:AWHD00000000:3:35935849:35936082:-1 gene:ONIVA03G43860 transcript:ONIVA03G43860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHQTVTTTSIKVEGRSLEQKLEANANLEKKDRKCVGREVCRVRNGCGQKEQNERRMGIGAGGLRWREDVLTHQDG >ONIVA03G43850.1 pep chromosome:AWHD00000000:3:35935648:35935839:-1 gene:ONIVA03G43850 transcript:ONIVA03G43850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKRRSRDGWGSPEAEAAPRVMDGDRRRWRRRRSRRRRLAGWMETAAVAGGRDRSVKMARAV >ONIVA03G43840.1 pep chromosome:AWHD00000000:3:35935600:35939370:1 gene:ONIVA03G43840 transcript:ONIVA03G43840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44730) TAIR;Acc:AT5G44730] MSLISKLRLITVDVTGTLLAYKGQLGDYYCMAAKAAGKPCPDYQRMHEGFKLAYTEMARQYPCFGFAAKMPNIDWWRMCVKDSFVKAGYEYDEETFEKIFKRIYSSFGSSAPYSVFPDAQPFMRWLRGKGLTVGIVSNAEYRYKDVILPALGLNQGSEWDFGVFSGIVGVEKPDPSIYRIALEMAGKVAPEEALHIGDSMRKDYTPARSIGMHALLLDRFKTADAESWRKSGATVLPDLVAAQEWLSKNLKDEPVAAEQNV >ONIVA03G43830.1 pep chromosome:AWHD00000000:3:35933014:35933856:1 gene:ONIVA03G43830 transcript:ONIVA03G43830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIIKRYILLALILSIQCGGANGTSREYSPLPPFKDVVGPSTPVAADDVPPPPYCVYPPPPTKPALPAPLPPTPASPGDSPPSIAPAGNPPTPAQAGAPPPSIAPGTGSPPPATTTPPAPGAREAGVWCVANPTVASAVAQTAMDYACASGADCDMVAAPGAPCFLPDTLMAHASYAFNSYWQRTKVAGGTCDFAGAAMLITKDPSKYAAMSIVCIIGPRPSSGH >ONIVA03G43820.1 pep chromosome:AWHD00000000:3:35925144:35926452:1 gene:ONIVA03G43820 transcript:ONIVA03G43820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G30950) TAIR;Acc:AT1G30950] MDPRVWRRLPQPLVDRVLACLPTPSFLRLRAACRRFYHLLFSSPFLHSHLLLSPHLPFFAFVVPAAGHLLLLDPTATASWSRLPLPLPPVAGGPAAFSPAAASAGLLAFLSDASGHKTLLLANPITRLLAALPISPTPRLSPTVGLAAGPTSIIAVVAGDDLVSPFAVKNISADTFVADAASVPPSGFWAPSSLLPRLSSLDPRAGMAFASGRFYCMSSSPFAVLVFDVAENVWSKVQPPMRRFLRSPALVELGGGREGAAARVALVSAVEKSRLSVPRSVRLWTLRGGGGGGGGGAWTEVARMPPEVHAQFAAAEGGFECAAHGDYVVLAPRGPVAQAPTSALVFDSRRDEWRWAPPCPYVVVAHHGGAGAGAAGFRVFAYEPRLATPAIGLLDATAPVALHGMHDG >ONIVA03G43810.1 pep chromosome:AWHD00000000:3:35889587:35893971:1 gene:ONIVA03G43810 transcript:ONIVA03G43810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:allantoate amidohydrolase [Source:Projected from Arabidopsis thaliana (AT4G20070) TAIR;Acc:AT4G20070] MALLLSCPRRHPSIHLLILSAYALFLLPILDGLELGGDGLYREILRDETVLRLKELGKISDGEGYLERTFLSPASIRASAVIISWMKDTGLTTWIDQMGNIHGRFEPTNSTKEALLIGSHMDTVIDAGMYDGALGIISAISALKVLKVTGRLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKLNSLEGTANALGDVRYSPVSVGSYVEVHIEQGPVLEALRYPLGVVKGIAGQTRLKVIINGSQGHAGTVPMKLRRDPMVAAAELVLTLETLCKEPNKFLTYDEECGCFTEESVAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDKVRETIVASFSRLVLQRCDDRLVDCAVEHKHAAAATPCDAELTSQLERATRSTISSMATAAALPGVRRAGGETPVLMSGAGHDAMAMARLTKVGMLFVRCRGGVSHSPEESVLDDDVWAAGLALVNFIDQNAVDAAAATAAES >ONIVA03G43800.1 pep chromosome:AWHD00000000:3:35875028:35881784:1 gene:ONIVA03G43800 transcript:ONIVA03G43800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLSAVAGGGRPAAAGGGGDEMEDVRLLDSYDEEMGGGAAAAAAGEEEEAHVRVTGMTCSACTSAVEGAVSARRGVRRVAVSLLQNRAHVVFDPALLKVEDIIEAIEDAGFDAEIIPDTAISQPKAQKTLSAQFRIGGMTCANCVNSVEGILKKLSGVKGAVVALATSLGEVEYDPSVINKDEIVEAIEDAGFEAAFLQSSEQDKILLGLTGLHTERDVNVLHDILKKMIGLRQFDVNATVSEVEIIFDPEAVGLRSIVDAIETGSNGRLKAHVQNPYARGASNDAHEAAKMLHLLRSSLFLSFVVGKRFYIAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFHPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYTEEREIDALLVQPGDILKVLPGSKVPADGVVVWGTSHVNESMITGESASIPKEVSSAVIGGTMNLHGVLHIQANKVGSETVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSIITFLVWFLCGWVGAYPNSWISGTSNCFVFSLMFAIAVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGYFLKLVASAEASSEHPLAKAIVEYAFHFHFFGKLPKSKNGIEQRKEEILSRWLLQVEDFSALPGKGVQCLINGKRVLVGNRTLITENGVNVPPEAENFLVDLELNAKTGILVSYDDDFVGLMGITDPLKREAAVVVEGLKKMGVHPVMLTGDNWRTAKAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTRLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >ONIVA03G43790.1 pep chromosome:AWHD00000000:3:35866717:35868952:-1 gene:ONIVA03G43790 transcript:ONIVA03G43790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRRGLGVSELLRTKKAKSFTATWHSMALAHRLCRLPRLLPLAAAAATSKPYLPGKPSPAPPPPLSSPPPFPSLSRLFSTTPSSSGDSSMVVVGSAESFTSIMSKVEAEKLPAVFYYTAVWCGPCRAMAPVISKLSSRYPKIPIYKVDIDMDGVGSKLSDLKIFSVPTFHFYYQGRKTGEVVGANATKLESTMESLHKQL >ONIVA03G43780.1 pep chromosome:AWHD00000000:3:35849171:35852995:-1 gene:ONIVA03G43780 transcript:ONIVA03G43780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVGGLVEESFFVGCPAHESRKKNEKNIFCLGCCASICPHCAPSHRHHPLLQVRRYVYNDVVRLDDLDKLIDCSFVQPYTINSAKVIFLKPRPQSRPFKGSGNICLTCDRILQEPFHFCCLSCKVDHVMMQGGDLSNILYMSGGSSGEPDLAAGFPRFENLRVDGGGGGGGGGLSDDDDDHQVTTPNSILEDPLHHHHHHHQQYYGGGGSSNNGRSTSPAPTTADVPRKKKSGGGGGFFPQIVLSLNNRRKGAPHRSPLA >ONIVA03G43770.1 pep chromosome:AWHD00000000:3:35815329:35815970:-1 gene:ONIVA03G43770 transcript:ONIVA03G43770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSDTGSSLAQWAELYHDASAAHGGVVANGAAAAATSPASPAGSTGGSPTRAPGVEGPRVGKPARRRSRASRRAPVTLLNTDTTNFRAMVQQFTGIPAPPAGAFAGPGGVPVINFGSDYGFTGAVLPFSDHLQQRRPTFQDHQQLLRPQQQYTGAPFGYGNLQQAGGAGTGAGDMFSHALSSAEDRLLLQSLQSAQMPTSAANHSANGYFA >ONIVA03G43760.1 pep chromosome:AWHD00000000:3:35811326:35811871:-1 gene:ONIVA03G43760 transcript:ONIVA03G43760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSHMANCSNVSSPEQQPMPPSSAAASSPSPPQLDYDVVVILAAMLCALVCALGLNSMLQCVVRCTRRAVADPVGWVEHRRAGAGLKREDVVALPVATYVASPAPSAAGCAICLSDFADGERVRLLPACGHRFHVVCIDRWLLAHCSCPTCRRRPSPEADGHGAVVGEDHHHRLQVLTAA >ONIVA03G43750.1 pep chromosome:AWHD00000000:3:35805244:35809868:1 gene:ONIVA03G43750 transcript:ONIVA03G43750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWS7] MASLAVPLRASATPAIAGTGSGGGSRAADPVKVSCVRSKVTCGFPSVGASSSLASSVEPVRATATQAPLATHQSSSTEKTKVGINGFGRIGRLVLRIATNRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGTIKVVDESTLEINGKKISVTSKRDPSDIPWGNFGAEYVVESSGVFTTTEKASAHLKGGARKVVISAPSADAPMFVVGVNEKNYNPSMNVVSNASCTTNCLAPLAKIVHEEFGIAEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVKAAIKEASEGSLKGILGYTDEDVVSNDFIGDTRSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIGHMALVNAKP >ONIVA03G43740.1 pep chromosome:AWHD00000000:3:35801567:35802364:-1 gene:ONIVA03G43740 transcript:ONIVA03G43740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALFSTIATSVESLRVTGANADVDGVAAEKKPPRNDRKRKRKRNKGASGRTYEETRARYPLLVEAVEALAAAGELGLPPPPHVRGHRLLLERVGEDDARRLERKLKVEELARGKFELRRRRLTAALKEALVKAGGRAPKLETIQEEEDDDDDDSHGDSKRRRKAAAAAEEECERGRRQYEEMRDRYPLLVAEVEALAAAGELALPPHVPGLRRLVELVGGCDARRLEDMLKNDALMKVVTNLQRRRLTVNLMSALIKTEEKHK >ONIVA03G43730.1 pep chromosome:AWHD00000000:3:35796306:35796614:-1 gene:ONIVA03G43730 transcript:ONIVA03G43730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGNASSSSTATGRGCGLALGRLVRKLRRQSRMMLSTATSSRPPAAARCQYDPLSYARNFDRSGLGDDGGDVSAQLYHRYTFASRFVLSSSSTAARRQPQ >ONIVA03G43720.1 pep chromosome:AWHD00000000:3:35789112:35791826:1 gene:ONIVA03G43720 transcript:ONIVA03G43720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSHHHLVAPPWLGTGSFACYHGEEGKRPHPDESAKIITSIKRLYDEAARRLPIDEITELVGCIFEGGHCLGLADPVGNIILNAIAHHASGRAAAAPHLALPREEGKSLWGILAARSYAGLVAFMSSYFRLFLHDRRRRCQRPRLLPDGGKIKAALRVAALKAAHPAPDELARLMTAQFPAGSELLTAGDVMDIKNLLGHQWPQVNIDFLRRPYGLGGGQDGTVTLRTTIGEDGRVALITIAADASRIDSPQLGYISDLTFDCETMEAKLSRRLAGVTRAADDGDEAAGAALNYDLSPCEHILSLKMCLLDAIHGFYIRALAVLPAGDGWTTTRRRGRFIRSLLAAGHCYGPLDPASNIILNTVWYDAAAPPPPDDEADLPGDIFDTDAMLRVECRSLDGLVAAVRAVAAAAGKPISEHEAIEHLWSRQCDLTEILQNSSREKKRNPYAAAGEASDHPQSAMIGSFLVSLSGENLDCLRQWLKPARDFGSSGCVISDVDWEKLNTMIHGHQPIRGLKRKRSSSENPLNTQALSEISTERALFWSSSWYLQPFRQINFMLLFFFAGNFLLLNLFLYVSFLLKNCSTKSTIVVASNDPWSDAVVAEDNYRSAATAVADDPWSTAVTADNSWNAAVVAADNNSWSTVVASQDWWSAP >ONIVA03G43710.1 pep chromosome:AWHD00000000:3:35786695:35788065:1 gene:ONIVA03G43710 transcript:ONIVA03G43710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTGPASSFCSSSSSRRFLSLPIASGISPEKRLDWRLNVCSPTRLSISGGITPDMRLPARFSTSSCGERRTTERGITPANLLPSRSISAKALQLVRLSTNSQPPPASSVAVSWLFDSSSDSGQSVPISPGTCPAKLLFARLRATSAVAFDSDAGIGPARRLPPRKRYWRFGVRAPASPGSSPRNAFSASARPRSDVMLKNPTGISPARLFCDKANMPSAGRRDKPSGMEPSRRFWSSSSRKIFVRFASDGGMRPESELWLSRSTARLGSAPSHRGTPPTRLLLLRYATARAVQLRSASGISPANALSQRLRYRIRRSRPRPPGMLPEKALEVRLSTTSRVRLATAGESSPARRPMDTSSDVTRPAVQFTPGHRQKCTVSFHDRSTPVGSDVTPALNAISASLSSLSAAAVAAANGKSNSNNTGATPTGIAMLAICKFVV >ONIVA03G43700.1 pep chromosome:AWHD00000000:3:35785423:35786610:1 gene:ONIVA03G43700 transcript:ONIVA03G43700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATSSLWITPRPPAPPHHFPVSLGMKSNTRALNSLPSMSMLEQQVTMLIRFRCLTLRSASHSAMNVLEAPTCRRSSTFTATTASSRANSPFVFSDRVPLYTDPYFPAPTRLASAKSSVAFASSAYHAASGGVSGSRTPDLAAPPERGEHHGEAKGRRDDRQSDLEEEMSSSELMVSRLAGMPPDRSFRERYSSCSPGIPPSSGGMLPDNLLPVRFSLLSPFSPLRDGGMLPTNTLRVKSRNSKLWQLSSSVGTSPEKRFPDNAMNASFVSWPTSGGIGPSSWLSDKSISSDSDERVNSSLGTWPVSSLPERLSSVS >ONIVA03G43690.1 pep chromosome:AWHD00000000:3:35784807:35787992:-1 gene:ONIVA03G43690 transcript:ONIVA03G43690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVGVAPVLLLLLLPLAAATAAAESDDRDALMAFKAGVTSDPTGVLRSWNETVHFCRWPGVNCTAGRVTSLDVSMGRLAGELSPAVANLTRLVVLNLTSNAFSGSIPGGLGRLRRMRYLSLCDNAFAGEIPDALRNCTALAVAYLNNNNLVGGVPRWLGALPNLAVLRLSHNSLSGRIPPSLANLTKIFRLELDQNLLEGSIPDGLSRLPALGMLALSQNSLAGEIPVGFFNMTSLRGLALAENAFRGELPGDAGARTPNLQYLFLGGNLLAGPIPASLSNATALVALSLANNSFAGQVPGEIGTLCPLSLELSNNQLTATDDAGGGWEFVDNLTNCSALAEILLDGNKFAGVMPRSVVRLSPQLEVLNLAGNRISGVIPPEIESLVGLQTLSLQSNLFSGEIPEAIGKLKNLRELLLEQNELAGPVPSAIGDLTQLLKLDLSGNSLNGSIPPSLGNLHQLTLLNLSGNELTGHVPSELFTLSSLSLLMDLSDNQLDGPIPPDVGQLTKLAFMALSGNRFSGEVPTELESCQSLEFLDLTRNVFVGSIPPSLSGLKGLRRLNLTGNRLSGSIPPELGGMPGLQELYLSRNDLSGGIPASLETMSSLMELDVSYNRLAGQVPVHGVFANTTGLRIAGNTALCGGAARLRLPPCPAPGNSTRRAHLFLKIALPVVAAALCFAVMFAALRWRRKIRSSRTGNAAARSVLNGNYYPRVTYAELAKATDDFADANLVGAGKYGSVYRGTLSLKTKGEFAREDAVVAVKVLDLRQVGASKTFMAECEALRSVKHRNLINIVTCCSSIDMEGNEFRALVFDFMPNYSLDRWLHRAKHTETGKWCGGAGGLGVIQRLDVAVDIADALNYLHNSCNPPIIHCDLKPSNVLLGEDMTACIGDFGLAKLLLDPASHGAAAANTESTIGIRGTIGYVAPEYGTTGMVTASGDVYSFGITLLEIFSGKAPTDGELRDGLTLPEFVAGAFPDNIEEILDVALLLQAEELDGAASSTTEEEESEARVTVRDCLASAIRVGLSCSRRAPYERMAMSVAADEMRLIRDACLRACGK >ONIVA03G43680.1 pep chromosome:AWHD00000000:3:35778167:35783162:-1 gene:ONIVA03G43680 transcript:ONIVA03G43680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPAIGVVAGGSQVYPAYPPAATVPTAPAVIPAGSQPAPSFPANPDQLSAQHQLVYQQAQQFHQQLQQQQQRQLQQFWAERLADIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDMYDFLVDIVPRDDLKEEGVGLPRAGLPPLGVPADSYPYGYYVPQQQVPGAGIAYGGQQGHPGYLWQDPQEQQEEPPAEQQSD >ONIVA03G43670.1 pep chromosome:AWHD00000000:3:35770839:35773000:-1 gene:ONIVA03G43670 transcript:ONIVA03G43670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRGEVAMGRGKIEMKRIEDATRRQVTFSKRRAGFLKKANELAVLCDAQVGVVVFSDKGKLFDFCSPPVICFTVMRSPPENTRLQETNRDDEQMVMEITRLRNEIDQLEASLRRQTGEDLSSVSTVDALSQLQLQLESSLSKVHARKDELMSQQLEDMRRMHQTVHEQNNFLCRMMSENWHSENWQSPGSGEASAMEALTMLPPPAAATTAAEAFNCFFPEEEKGVAASSTLLQLWPQPHDGDQPDLRLW >ONIVA03G43660.1 pep chromosome:AWHD00000000:3:35754567:35756290:-1 gene:ONIVA03G43660 transcript:ONIVA03G43660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HISTIDINE TRIAD NUCLEOTIDE-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT1G31160) TAIR;Acc:AT1G31160] MAAAAKLTAAASSLLLRRSPLLRPHGLRLSRRFAPQRFVRHIASSTNEEAAAKAAAATADTGGPTIFDKIIAKEIPSNVVYEDEKVLAFRDINPQAPVHVLVIPKIRDGLTGLDKAEPRHVEILGYLLYAAKIVAEKEGIAEGYRVVINNGPKGCQSVYHLHLHVLGGRQMKWPAG >ONIVA03G43650.1 pep chromosome:AWHD00000000:3:35751995:35755381:1 gene:ONIVA03G43650 transcript:ONIVA03G43650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWR7] MAAAAAASRALWACRTASYLRISSFPRAFSTVLKDLKYADTHEWVKVEGDSATIGVTDHAQDHLGDVVYVELPEVGSTVSQGTNFGAVESVKATSDINAPVSGEIIQVNDELSEKPGFINGSPYEKGWIIKVKISDPSELNSLMDDEKYKKFCEEEDGKH >ONIVA03G43640.1 pep chromosome:AWHD00000000:3:35751117:35751929:1 gene:ONIVA03G43640 transcript:ONIVA03G43640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARASGTAGTRPASASGAGGVGERRGLLPTRCTPCQERRERGGGRERRGKEKADVDKANW >ONIVA03G43630.1 pep chromosome:AWHD00000000:3:35750865:35751044:1 gene:ONIVA03G43630 transcript:ONIVA03G43630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVDRRAQQAVWRCAGAMVVVELPVAWSPTAPAADGRAAELVDGRGSGGVVLECIRR >ONIVA03G43620.1 pep chromosome:AWHD00000000:3:35748477:35750280:1 gene:ONIVA03G43620 transcript:ONIVA03G43620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAVAGERGGGGRARRRLLLAPRLSPTPAAGRAAKYGGSPSGSRAGASGGWDRDDGRDPESSSWRRAPCR >ONIVA03G43610.1 pep chromosome:AWHD00000000:3:35741577:35745452:-1 gene:ONIVA03G43610 transcript:ONIVA03G43610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAAAGVVGGFLQVVFDKYYGSKLEQWAARSGLHGDFLSLKNQLHMVRAMLEAGGGGNAPHNDSLRSLIVELKSAAYAADNVLDEMEYYRLKELVEDTSGRDGGAPSSSARQVVGRILVPAPLLSNPFKRARTGADEALQGQGADTDTPNFDQDAMSSKIKSISCCLEQIAGMVRRIIELDKLVSMASLGHVQPEVVVSLRQTSSFPTETKLFGRDESTNNIINLMLRTDMESRYNNFNVLPIVGIGGVGKTALAQSVYNHQRVVDSFQVRAWACVSDTLDVRRVIADLIDSIDGGQETPKFHRVPSLDATQRTLLRKIEGKRFLIVLDDVWVSSHWEKLCGPFSAGMSGSMVLVTTRQRKIAKAMGTFDSLTLHGLHDNEFWAFFLQCTNITEDHSLARIGRKIALKLYGNPLAAKTMGRFLSENHEEEHWCKFLNRNIWELKQEPDDVMPVLLLSYQHLPLSLQRCFTYCAIFPRGYKFTEQELIFAWMAQGLVPTPGEDQTLEDVGKEYLNELLSCSFFHIIESGHYMIPGLLHDLAQLVAEGEFQATNGKFPISVEACHLYISHSDHARDMGLCHPLDCSGIQMKRRIQKNSWAGLLHLKNLRTIMFSASSSIWSPGSEVVFVQSNWPSTIRLLSLPCTFRKEQLAAVSNFIHLRYLDLRWSRLEELPEAVCKLYLLQVLNIKHCPCLLHLPPRIANLLNFEHLIADEGKHLLTGVPCVGNMTSLLLLDKFCVRKTRGFDIGQLKRLRNLRGLLKVQNLENVDGNEEAAKARLSDKRHLTELWLSWSAGSCVQEPSEQYHVLEGLAPHSNVSCLHITGYRGSTTPSWLASNLSLSSLEYLYLDYCSELEILPPLGLLPHLRKLHIVNMHALRRIGSEFYSSGQVVGFPCLEGLFIETMPELEDWNVDDSNVFPSLTSLTVEDCPKLSRIPSFLWSRENKCWFPKLGKINIKYCPELVLSEALLIPRLPWLLDIDIQIWGQTVINLRGGCLEVSEINANTSSGPINAVLQLHWLKHVSSFHIWAQDSLSVHPCKQKTEPSACNSEHMVNSLQTSAEKVEVTGYGITDELLSAILENEICPSSLSISDCPQITSLDLSPLRSLKSLVIHNCVSLRKLFDRQYFTALRDLEVTNASSFAEAWSELLGSRYAEWGQVTTSLESLTVDSTLFLNSPLCAVLTSLKKLTIHSDFRVTSLSRQQVQALLLLTSLQDLGFIQCCNLHSLPSELHKIYTLKQLEIDSCPCVESLPNNGLPEKLEKLIIRGCNRRLYTGASMMGSTSTKVHLVDR >ONIVA03G43600.1 pep chromosome:AWHD00000000:3:35732320:35735814:1 gene:ONIVA03G43600 transcript:ONIVA03G43600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWR2] MASKRSVGTLTESDLKGKKVFLRADLNVPLDDSQKITDDTRIRASVPTIKFLMGKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVQKLAATLPDGGVLLLENVRFYKEEEKNDPEFANKLASVADLYVNDAFGTAHRAHASTEGVTKYLRPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIYTFYKAQGYAVGKSLVEEDKLELATSLIEKAKSKGVSLLLPTDIVVADKFAADAESKTVPASAIPDGWMGLDIGPDSIKTFSETLDTTKTVIWNGPMGVFEFEKFAAGTDAIAKKLADITAKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALNDA >ONIVA03G43590.1 pep chromosome:AWHD00000000:3:35729588:35730691:1 gene:ONIVA03G43590 transcript:ONIVA03G43590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAAINLTQAARRVQNLVTSCTIAVGGYDWLIEVFPAAYYHGTSSRNSGPYIKLRFTLSSDGERTVSATFRCRLVDDHQINQTAASASSSFKEVIVTSIFSNGQPKDMFLVSRSYASEYRYVQPDDSLLIECAITVLLEAPVNAAAAAAAPPPTSVPAPLSDLQKHLGEMLTSKNGADITFLVSGEPVAAHRCVLAARSPVFMAELFGDMKEKDSQGIEIKDMEAEVFRTLLHFIYTDTLPEQDDDDVEAETMAYGPLEAADRYGVERLMLICAEKVHAGISVDTAAMALALAERHGCTKLKARCIEFVLASQENFHAVAATEGYKLLMDSCPSALNDLLVAVFLRYKLTVL >ONIVA03G43580.1 pep chromosome:AWHD00000000:3:35718873:35728838:1 gene:ONIVA03G43580 transcript:ONIVA03G43580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSFSPLGVPLYYTTEEKQFHSLPHLALSPRYVQSSHTIRSIAMGKLTDVTRSNDIQLKIVGHSLTMAMDDGEFFSSRRYCVGGHDWEIRLRPKDPWVGRRDRPLTLKLVLRGAPRTGSGSVKAQLSCCLVDPTQKLRPSEMKTVSHKFHKPGDYSPRAVFMARDELEASGYLTDDSYVVQCAITVLREQPEIAAAAAAAGDSANAAVAPSSELHAYLGALLESKTGADVTFVVSGESFAAHKAILASRSPVFMAELFGAMKVKASERVEVKDMEAPVFKAILHFVYTDTVPELDHRDGEETEAASTATAMAQHLLAGADRYGLERLKLICASKLAERIDVDTVSTTLALAEQHDCSHLKAKCVEFIAAGTAENLDAVLATDGFKHLEASCPSVLTDLVKVARGRKN >ONIVA03G43570.1 pep chromosome:AWHD00000000:3:35713097:35716199:-1 gene:ONIVA03G43570 transcript:ONIVA03G43570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNAIALDEHVLDSTIRKRKRVGATQCAEANDAPNLSQNGPKQNLALFPDSTGHKKSKMMCSADDILERYNNFKISGMPVRVMSHQHGGWRDFPEDVVNSVQQSFQLKRPITSAVFQNRHILLDFMQMVCLDSVMAISKPIAWIDDHGKCFSPDSCAGVIPSEPLQHGKNEFLKSSHDLSSSYEAHEHDGMSAAESSISGENKKGHLSRMNETADGAMQAPRNNQSGQRADSAVRNLLFQGSGHLFTEKDIIGIYRTPMLDQLGRSRYSLFQKEVQVTKNQRGNANERYAWLACTKGTMEEMMMNGALEIAKPLQGPIVGLSDIDENGIIRMMLCRVIMGNVEVVFPGSNQCQPTSESFDSGVDDLQRPKHYIIWDANVHKHIYAEYAVIIKVPYMNNGDTASNISEIRNSGALDNPTKDDSLQTIASSGDEQQACMLGRAPSPRSPSSPWMPFSMLFAAISAKVPRSDMDLVHKYYEEFKRRKISRPDLVKQLRQIVGDKLLVSTVVRLQQKLPPMAATEQAPRAPGRGGGASP >ONIVA03G43570.2 pep chromosome:AWHD00000000:3:35712013:35716199:-1 gene:ONIVA03G43570 transcript:ONIVA03G43570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNAIALDEHVLDSTIRKRKRVGATQCAEANDAPNLSQNGPKQNLALFPDSTGHKKSKMMCSADDILERYNNFKISGMPVRVMSHQHGGWRDFPEDVVNSVQQSFQLKRPITSAVFQNRHILLDFMQMVCLDSVMAISKPIAWIDDHGKCFSPDSCAGVIPSEPLQHGKNEFLKSSHDLSSSYEAHEHDGMSAAESSSSASFDAVLSDVQEVNNVVEDKQKVLNESGEVSGENKKGHLSRMNETADGAMQAPRNNQSGQRADSAVRNLLFQGSGHLFTEKDIIGIYRTPMLDQLGRSRYSLFQKEVQVTKNQRGNANERYAWLACTKGTMEEMMMNGALEIAKPLQGPMYGVGAHLAPANSSNICVGLSDIDENGIIRMMLCRVIMGNVEVVFPGSNQCQPTSESFDSGVDDLQRPKHYIIWDANVHKHIYAEYAVIIKVPYMNNGDTASNISEIRNSGALDNPTKDDSLQTIASSGDEQQACMLGRAPSPRSPSSPWMPFSMLFAAISAKVPRSDMDLVHKYYEEFKRRKISRPDLVKQLRQIVGDKLLVSTVVRLQQKLPPMAATEQAPRAPGRGGAVKQAFQKTEN >ONIVA03G43570.3 pep chromosome:AWHD00000000:3:35713097:35716199:-1 gene:ONIVA03G43570 transcript:ONIVA03G43570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNAIALDEHVLDSTIRKRKRVGATQCAEANDAPNLSQNGPKQNLALFPDSTGHKKSKMMCSADDILERYNNFKISGMPVRVMSHQHGGWRDFPEDVVNSVQQSFQLKRPITSAVFQNRHILLDFMQMVCLDSVMAISKPIAWIDDHVLSDVQEVNNVVEDKQKVLNESGEVSGENKKGHLSRMNETADGAMQAPRNNQSGQRADSAVRNLLFQGSGHLFTEKDIIGIYRTPMLDQLGRSRYSLFQKEVQVTKNQRGNANERYAWLACTKGTMEEMMMNGALEIAKPLQGPIVGLSDIDENGIIRMMLCRVIMGNVEVVFPGSNQCQPTSESFDSGVDDLQRPKHYIIWDANVHKHIYAEYAVIIKVPYMNNGDTASNISEIRNSGALDNPTKDDSLQTIASSGDEQQACMLGRAPSPRSPSSPWMPFSMLFAAISAKVPRSDMDLVHKYYEEFKRRKISRPDLVKQLRQIVGDKLLVSTVVRLQQKLPPMAATEQAPRAPGRGGGASP >ONIVA03G43560.1 pep chromosome:AWHD00000000:3:35706607:35708374:-1 gene:ONIVA03G43560 transcript:ONIVA03G43560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGVWFVRQIPTSISISEKEARNPLFDSDSPTPVVAFLSVTSKVAASASATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIVKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNPILAIAQDTLF >ONIVA03G43550.1 pep chromosome:AWHD00000000:3:35700468:35701648:-1 gene:ONIVA03G43550 transcript:ONIVA03G43550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWQ5] MAAAVVAVLWACMMMMSLAPASLAASGFEEVPTIAFDEGFSPLFGEDNMVKSADGRTVSITLNRYTGSGFISSDYYHHGFFSASIKLPKDHTAGVVVAFYLSNGDVFEKTHDELDFEFLGNRYRHEWKMQTNVYGNGSTDRGREERYLMPFDPTADAHRFSILWHSRLIVFYVDGVPIREVPRTAAMGADYPSKPMALYVTIWDGSTWATDNGKYKVNYKRGPFTAVFSDLVLRGCTARSDIRLATTADDQDRCAAAEEDLMESDEYSSTMAMTARKRMAMRRFRQRQMLYTVCYDTNRYPEPFPECDVNMAERQMYWQWGESKVVRPRVRPRPGRRSKRRPSPEATAIPPPVLVSLQQAD >ONIVA03G43540.1 pep chromosome:AWHD00000000:3:35694551:35701358:1 gene:ONIVA03G43540 transcript:ONIVA03G43540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVAAAAAAAGAVTTAVAPPPGAAVSNGVATAPPPFLMKTYEMVDDPATDAVVSWGPGNNSFVVWNTPEFARDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPTHGNNQVQQPQLPAAPVPACVEVGKFGMEEEIEMLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMEQRQQQMMSFLAKAMHSPGFLAQFVQQNENSRRRIVASNKKRRLPKQDGSLDSESASLDGQIVKYQPMINEAAKAMLRKILKLDSSHRFESMGNSDNFLLENYMPNGQGFDSSSSTRNSGVTLAEVPANSGLPYVATSSGLSAICSTSTPQIQCPVVLDNGIPKEVPNMSAVPSVPKAVAPGPTDINIPEFPDLQDIVAEENVDIPGGGFEMPGPEGVFSLPEEGDDSVPIETDEILYNDDTQKLPAIIDSFWEQFLVASPLSVDNDEVDSGVLDQKETQQGNGWTKAENMANLTEQMGLLSSHHTG >ONIVA03G43530.1 pep chromosome:AWHD00000000:3:35692112:35692642:-1 gene:ONIVA03G43530 transcript:ONIVA03G43530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III family protein [Source:Projected from Arabidopsis thaliana (AT1G24450) TAIR;Acc:AT1G24450] MAHLLSLLVVILVAIPSSRSHALPSSSSPFDAALATLQNQIAYRFHAPDLLRRAMTHASYSRENGRALAVLGLAASQSAAALRALAADHDASASAVSRRARDASGEAACVAAAARVGIPSIVRVAAGTKPTAPPVVCGALRALIGAVAVDANSTHAAEEVFWKLHVLTAASAKAAM >ONIVA03G43520.1 pep chromosome:AWHD00000000:3:35688374:35691825:1 gene:ONIVA03G43520 transcript:ONIVA03G43520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G46840) TAIR;Acc:AT5G46840] MAKKNKEPASDDAAAIRTLFSADNPFRRKPSDDQPAPPAPAAAAPRGKHPEPEVAEPSAKSRRDEPRRKRKRDEVEAGHERRRLGGAPAPPLPVVGEKRKVPEEVAAAAGAGQEEEEAFDDESKLLRTVFVGNLPLKTKRKALTKEFAAFGEIESVRIRSVPLTDTKIPRKGAVIKGKINDSVDNVHAYIVFKDEQGARAALSHNMALFDGNHVRVDMACPPRKKLKGEGPLYDRKRTVFVGNLPFDIKDEEVYQKFCGSSGSEGDVEAIRVVRDPDTSLGKGIAYVLFKTREAANTVVRKQDFKIRDRLLRLAHAKSADATPKKTTDAGKTKGGSKHKTALTPSSKSHEGSDKTKRKASTLSYQGLRASKSGVVKKAKVSQRPSYQGKQQGRTSETGHDASSQKAKRPAVAARKAKQLAKKRKLDAQTPENTHRSKKAKK >ONIVA03G43510.1 pep chromosome:AWHD00000000:3:35686024:35688157:-1 gene:ONIVA03G43510 transcript:ONIVA03G43510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46210) TAIR;Acc:AT3G46210] MEESRADGRNPNQLRPFSCTRNPLDRAHGSARWAQGDTIVLAAVYGPKPGTRKGENPEKASIEVVWKPMTGQIGKQEKEYEMTLKRTLQSICLLTVHPNTTTSVILQVVGNDGSLLPCAINACCAALVFAGIPLKHLAVAIGCGVLEDGEVILDTNKAEEQQLKSFAHLVFPNSRKSASSKEPNQKEEDSERGLITSITHGVMSEEDYFSCIERGLAASSRISDFMRTTLQKQAPGDV >ONIVA03G43500.1 pep chromosome:AWHD00000000:3:35681647:35684586:-1 gene:ONIVA03G43500 transcript:ONIVA03G43500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAFTDKNAVFRRLKAKPENKMCFDCSAKNPTWASVTYGIFLCLDCSAVHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHAFFKQHGWTDGGKVDAKYTSRAAELYRQILQKEVAKSSADNVLPSSPVAASQPQNPSDDFPEFKLPEAPAENTNGKQEPDVTNSQKAPTQTPKAPTHPTFATSVKKSIGAKKIGGKTGGLGVKKLTTKPSESLYDQKPEEPKPAAPVMTTSTTKSGPSLHSRFEYVENEPAVDSRNGGTQMTGHVAPPKSSNFFQEYGMDNGFQKKTSTAATKTQIQETDEARKKFSNAKAISSSQFFGNQSREEKEAQMSLQKFAGSSSISSADLFGRRDMDDSNLDLSAADLINRISFQASQDLSSLKNMAGETGKKLTSIASNFISDLDRIL >ONIVA03G43490.1 pep chromosome:AWHD00000000:3:35679480:35680046:1 gene:ONIVA03G43490 transcript:ONIVA03G43490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like (avirulence induced gene) family protein [Source:Projected from Arabidopsis thaliana (AT3G02910) TAIR;Acc:AT3G02910] MGTAAGDAAEQPPAAPEAKRAAAPRTLVFTYGTLKGGFSNHGLLQDLARDGDASFVGAATTAPRLPLVCGPYRVPFLLNLPGAGHRVSGELYAVTPRGLDRLDELEGVSRAHYERLPISVLLAEGAQVDAVAYYAHRGYADDLWARSGKKGYPEYSPAVADGYIRRKDRPQQLTFLEQIRVFVSSQSS >ONIVA03G43480.1 pep chromosome:AWHD00000000:3:35676916:35678916:-1 gene:ONIVA03G43480 transcript:ONIVA03G43480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BCCIP homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWP8] MPLPKRAKRAEPSSDEERYSSDDESYSSDSDDSDDASEELDTVQADFAFYDPKPGDFHGVKLLLKTYLDSKPWDLTGFVDLILEQTTVGTVVKIADDEEDGEGNGADGSSTGGNDDLFGLISVLNLGRHSEHRCMKDLKDYLLAVCGDKDTKKKLKQMLGDKAPDVGLLVCRRFVNFPYELVPKMYESLFDEVSWATEDEPTQELRDSFRFKQYLMVARVLERKTPAKQKAKNSTEEDEPIIYPKLEDEIFRELSSWSFTFPIRSEQSAQQEMKNYKEMGLVMAVKAEAIPKFRKKLEDLLSE >ONIVA03G43470.1 pep chromosome:AWHD00000000:3:35674351:35677412:1 gene:ONIVA03G43470 transcript:ONIVA03G43470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLRSASSLRGVLLRHCSVGTTSPPQVFSRVSDLQAPGCIAWKHFSTFKPNSLPKLDGLGSVTCLYSQARWASQAAAVKETENSGSKISIGPKSKQIKEDDKDDRLVYQGPISSTIRKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASTVIFLSATTTAALHWFVSPYIHKLRWRPGSDSFEAEIMSWLATPLTRTIKFADVRPPETNRPFVTFRAEGNFYFVDAEHFPNKALLARLTPKHPNESAFKNL >ONIVA03G43460.1 pep chromosome:AWHD00000000:3:35671202:35674369:-1 gene:ONIVA03G43460 transcript:ONIVA03G43460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYGPGLSWEAVSYWIRIQKPLRLPPIFLSLCTRISTLRTAGTLAAARRRRFFLPLVAAPSWRAGGMSSHRKNFRRRTDDAEDAYGDDSSNSKPTATKTQTPPVPKPRSPRRQGASRLSFVEDEDDDDAEEGPLSQRRRPAATVRQARTASPAAATLHRLTPARDRLKSSPAVAAAVPAPKPSNFQSHAGEYTPERLRELQKNARPLPGSLMRAPPPPPPPTAEAPRQRLPGAAASPAPAANTTAAAVEPVVILKGLVKPMSQASIGPRNPSQNEDKDEDESEEEEEEEEGPVIPDRATIEAIRAKRQQLQQPRHAAPDYISLDGGGVLSSREAAGGSSDEDDDETRGRIAMYAEKSDSQRSTKGVFGVINNRGPAASLGVINDGFREVEDEKDDDEDEEERKWEEEQFRKGLGRRVDDASAQRAANGGPAPVQVQPQPSGYSIDPRYQPSFSGVLPGTSIFASGSAEFLSIAQQADVASKALQENIRKLKETHKTTVDALVKTDTHLTEALSEISSLESGLQDAERKFVYMQELRNYISVMCDFLNDKAFYIEELEEHMQKLHENRVTAVSERRAADLADESSVIEAAVNAAVSVLSKGSSSAYLSAASNAAQAAAAAARESSNLPPELDEFGRDINMQKRMDLKRREEDRRRRKIRSESKRLSSEGRSANNEHIEGELSTDESDSESSAYLSSRDELLKTADLVFSDAAEEYSSLRIVKDKFEGWKTQYPLAYRDAHVALSAPSVFTPYVRLELLKWDPLHETTDFFGMEWHKILFDYGEQNSESGTDPNNVDKDLIPVLVEKVALPILHHRIMHCWDILSTQRTKNAVDAINMVISYLPTSSKALHQLLAAVNSRLTEAIADISVPAWGSMVTRTVPGASQYAAHRFGVAIRLLKNVCLWKDIFAKPVLEKLALEELLKGKILPHMKSIILDAHDAIARAERISALLKGVWSSPSQKLQPFIDLVVELGNKLERRHMSGISEEETRGLARRLKDILVELNEYDKARAILKTFQIREAL >ONIVA03G43450.1 pep chromosome:AWHD00000000:3:35662473:35666820:-1 gene:ONIVA03G43450 transcript:ONIVA03G43450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P protein subunit P38-related [Source:Projected from Arabidopsis thaliana (AT3G45900) TAIR;Acc:AT3G45900] MAAAAPPPPTPPPASSPAPPQDPATGGDALASYLGLSFAFFLASLPGGTPAARHLASLQSRGRVLATRLLAAEDQLRQLRARRRDDARANARAAEIFAGHRASWMDNERRLLARATAATDEAASLRARLAEAEADAAALRARVDRLEREAAERDDLINALLAATRAADADLRATDDDPEPRDAEQHHHHQPAAAALDLDPAEPRGADADAEALAAAAALYAQQRQQQEGFGSDDFYTAAAAAAASGMQQPWMERSKGWQDLKYETAEPMYNTKHAVPRRESPWKVDVESSGVPAKLQLLEQELINLEKIGNGDLSKIPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLSSEFRTQRQTEYLLEAFHLQHRATETRQKLSALQAETAKGSFGDELTAEAKMSTRRALSSIRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIREYFLSPYASAVR >ONIVA03G43440.1 pep chromosome:AWHD00000000:3:35659865:35663480:1 gene:ONIVA03G43440 transcript:ONIVA03G43440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKLSGTSRHHGQQRRGGSPPPRGRTTSVYRSGYYRPGMVQDDMAVPPATYLGGGGTSMSSASSTPAWDFARPAEGEAREWVAQVEPGVQITFVSLAGGGGNDLKRIRFSREMYDKWQAQKWWGENNERIMELYNVRRFSRQVLPTPPRSDDGERESFYSQVGSTRGSPAATPSPAPLTPDRVTSWSAFVRPPSASRQQQQHSFRPLSPPPPSSSNPSERAWQQQQQPQRAGKSPAAASDAMDAARTTSCSSRDEVSISNASELEVTEWVIQDEPGVYITVRELADGTRELRRVRFSRERFAELNAKLWWEENKERIQAQYL >ONIVA03G43440.2 pep chromosome:AWHD00000000:3:35659865:35663027:1 gene:ONIVA03G43440 transcript:ONIVA03G43440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKLSGTSRHHGQQRRGGSPPPRGRTTSVYRSGYYRPGMVQDDMAVPPATYLGGGGTSMSSASSTPAWDFARPAEGEAREWVAQVEPGVQITFVSLAGGGGNDLKRIRFSREMYDKWQAQKWWGENNERIMELYNVRRFSRQVLPTPPRSDDGERESFYSQVGSTRGSPAATPSPAPLTPDRVTSWSAFVRPPSASRQQQQHSFRPLSPPPPSSSNPSERAWQQQQQPQRAGKSPAAASDAMDAARTTSCSSRDEVSISNASELEVTEWVIQDEPGVYITVRELADGTRELRRVRFSRERFAELNAKLWWEENKERIQAQYL >ONIVA03G43430.1 pep chromosome:AWHD00000000:3:35657373:35659665:-1 gene:ONIVA03G43430 transcript:ONIVA03G43430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLALDGCLCIQQIATLQLRLHNAILHCYLIKTEEESKYDLFHTSHNWESWESIGEMAMDHATTVVKRPGQKRRETCFEVKGRYWPDD >ONIVA03G43420.1 pep chromosome:AWHD00000000:3:35654547:35654838:-1 gene:ONIVA03G43420 transcript:ONIVA03G43420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKMQCKQGSPAGCNKNNNKKKKQQQQTKRREKNEGGRVVPGAGAGARRVLAAAAIFLLDAGDAGQHRLERAS >ONIVA03G43410.1 pep chromosome:AWHD00000000:3:35645740:35646260:-1 gene:ONIVA03G43410 transcript:ONIVA03G43410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPAMSSDVAWTVEDAAAGDELRRGAWMTEDADTGDELRHGVDGGGCGRWRRAPTWTVGSPATMLWCPAPDTSQVSPNTWYHMIPHKYHMIPVRYQDMIPQRYQNLIPNRYHLVTTGFRCIAALFSFG >ONIVA03G43400.1 pep chromosome:AWHD00000000:3:35640332:35645021:1 gene:ONIVA03G43400 transcript:ONIVA03G43400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRGSSVCWEREREREEMSNIGMKMGVIEAGRFMRMSPEQQARHLVGMGVVLTLTTSILILCTATRAPTHCTRGVFLWPCIAIGLFFMVVFILGLCGAKNNNEGLFFCHLLGVFIAILALIGFIIFGYVAIGPGIDLSDVKAREYNLDDYKSGWLRARVDDAAYWATTSACLRGDRGAGCKAMTKLVRDPHSGLFVPDGGRRHVDMSPIQSGCCKPPSSCGFTYVNGTTWTPTPAAATNNVDCSRWSNDQQKLCFQCDSCKAGFLDHTRKAWSSAAFFPIFCLIAAILSCCSGLKYGGVLHE >ONIVA03G43400.2 pep chromosome:AWHD00000000:3:35638681:35640211:1 gene:ONIVA03G43400 transcript:ONIVA03G43400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEDRAWLIKGKSVVLTCLASVLLLSVSSIKAPKTCTGGMFLGPIIAIGLFLIVMFVVGSCGLKKNDGDLYDCYLLGVFLAILLLLAFIIFGYVAVGGIDAGHAANAREYNLSECKRGWLRGRVTHSSHFWASTSACLRRSHVCNGMTNLVRNPDTGIFVPRPSSFERWAKRHGVDADPRVMSPIECDSCKAGFLRHTSQAWSVAAIYIVLAFIGLILSSLALCADQATGNNNTGRSR >ONIVA03G43400.3 pep chromosome:AWHD00000000:3:35638681:35645021:1 gene:ONIVA03G43400 transcript:ONIVA03G43400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEDRAWLIKGKSVVLTCLASVLLLSVSSIKAPKTCTGGMFLGPIIAIGLFLIVMFVVGSCGLKKNDGDLYDCYLLGVFLAILLLLAFIIFGYVAVGGIDAGHAANAREYNLSECKRGWLRGRVTHSSHFWASTSACLRRSHVCNGMTNLVRNPDTGIFVPRPSSFERWAKRHGVDADPRVMSPIESGCCKPPSSCGFTYVNGTTWTPTPAAATNNVDCSRWSNDQQKLCFQCDSCKAGFLDHTRKAWSSAAFFPIFCLIAAILSCCSGLKYGGVLHE >ONIVA03G43390.1 pep chromosome:AWHD00000000:3:35631286:35633993:-1 gene:ONIVA03G43390 transcript:ONIVA03G43390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 73-I [Source:Projected from Arabidopsis thaliana (AT1G61010) TAIR;Acc:AT1G61010] MASSVAAAAAPTAGGPPGKRQASGGREGDQLIITPLGAGNEVGRSCVYMSFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYRLLLSDYVKVSKVSVEDMLFDEQDILRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLKAAELPQFSPDICIIESTYGVQQHQPRHVREKRFTDVIHTTVSQGGRVLIPAFALGRAQELLLILDEYWANHPELHKIPIYYASPLAKKCMAVYQTYINSMNERIRNQFAQSNPFHFKHIESLNSIDNFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNSCVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQVHYISFSAHADFPQTSTFLDELQPPNIVLVHGEANEMSRLKQKLISQFDGTNIKVVNPKNCQSVEMYFSSEKMAKTIGRLAEKVPEAGESVNGLLVKKGFTYQIMAPEDLRVYTQLSTANITQRIAVPYSGSFEVIKYRLKQIYESVESSTEESDVPTLIVHERVTIRLESESYVTLQWSSDPISDMVSDSVVAMVLNIGREGPKVVPVEEAVKTQEETERVAQKVVYALMVSLFGDVKVAEEGKLVISVDGQVAHLDGRSGDVECENATLRERIKTAFRRIQGAVRPIPLISS >ONIVA03G43380.1 pep chromosome:AWHD00000000:3:35629130:35631505:1 gene:ONIVA03G43380 transcript:ONIVA03G43380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGKIKTVVVLVMENRSFDHMLGWMKSLNPEIDGVTGDEINHLDAADPTSRAIRFGDGAEYVDPDPGHSMQAIYEQVYGTPFVDARATPITPPGVPSPPMAGFAQQAEKEKPGMADTVMNGFRPEAVPVYRELVRQFAVCDRWFASNPASTQPNRLFVHSATSHGLVSNDTKLLVAGLPQRTIFDSLHDAGFSFGIYYQYPPSTLFYRSLRQLKYAGNFHPFDLAFRRHCAEGKLPNYVVVEQRYFDLKMLPGNDDHPSHDVSEGQRFVKEVYEALRGGPQWEEALLVVTYDEHGGFYDHVPTPVDVPSPDGIVSAAPFFFEFNRLGVRVPALFISPWIEPGTVVHRPSGPYPTSEFEHSSIPATVKKLFNLKSFLTNRDAWAGTFDVVLTRDAPRTDCPATLPEPVKMRPATEAAEQAALTEFQEELVQLGAVLNGDHADEDVYPRKLVEGMTVAEAASYCNAAFKAWMDECDRCRKCGEDGSHIPTVVKPPPPPSTSEEYSTKAGFYRVIMGAGVYFNGTKMAQSSDLQHIMLY >ONIVA03G43370.1 pep chromosome:AWHD00000000:3:35623758:35625305:-1 gene:ONIVA03G43370 transcript:ONIVA03G43370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25270) TAIR;Acc:AT4G25270] MALPATVSHTTCAIQRRRRSTAPARRRGPPSRRHPHSGAALTLRAAPPPATQPTLDRVLSDLGSHPRLLTPDLLDSLLAALPLHPSPRRNLARLRRLLSVSLLRRYPALARRLLHLHASLGLVSYAHHLFDHLLPARAREDAFPWNCLIAGYAHLGRHEDALALYLQMEEETVPRDRFTFTSALQACAGVGSVELGHAIHRDVVRAGLASDVPVCDALVDLYATFGDVRRALQVFDAMPDRDGVSWNIMLAGCLRHGLSQQALELWRRMLREEHEPDSITLSTMLSILPSVCDNGKWGLEIHAWAIRHGLETELSVANALIRMYSDKNEQSHALLVFESMTMRDLQSWNAIISAHLQDYRILMIFRRMVDSEMRPDETTFALVFSACDNLGLVEGGMRLFSEMENEYRIPPTMEHYTCMVNMLGKAGMIHEAYEFMSKRKPLDNEQTVLRALLQACLMHRNARVGEIIAKRLIELEPDNEHNFVKLMEIYQNVGRLVEAEKVKKTMRDRGLSCQS >ONIVA03G43360.1 pep chromosome:AWHD00000000:3:35621894:35624615:1 gene:ONIVA03G43360 transcript:ONIVA03G43360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF565) [Source:Projected from Arabidopsis thaliana (AT3G56830) TAIR;Acc:AT3G56830] MATAAAGALRLCHGAIKSPCARKLAAAAAPCARRGIPAPHQKKQATFCLMRASPSFRTNSRQMQWSIEAMTDDSADQSGDNNTRLFSAIQSFLSKLYGKLKKLRKGLPLKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEGIGALMYRASFAFLGRIRKMITIFNYWKAGLTLGLFLDSFKYEVDEFLESCNPFNIDINIFSLW >ONIVA03G43360.2 pep chromosome:AWHD00000000:3:35621894:35624615:1 gene:ONIVA03G43360 transcript:ONIVA03G43360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF565) [Source:Projected from Arabidopsis thaliana (AT3G56830) TAIR;Acc:AT3G56830] MQWSIEAMTDDSADQSGDNNTRLFSAIQSFLSKLYGKLKKLRKGLPLKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEGIGALMYRASFAFLGRIRKMITIFNYWKAGLTLGLFLDSFKYEVDEFLESCNPFNIDINIFSLW >ONIVA03G43360.3 pep chromosome:AWHD00000000:3:35622377:35624615:1 gene:ONIVA03G43360 transcript:ONIVA03G43360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF565) [Source:Projected from Arabidopsis thaliana (AT3G56830) TAIR;Acc:AT3G56830] MARFLMQMQWSIEAMTDDSADQSGDNNTRLFSAIQSFLSKLYGKLKKLRKGLPLKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEGIGALMYRASFAFLGRIRKMITIFNYWKAGLTLGLFLDSFKYEVDEFLESCNPFNIDINIFSLW >ONIVA03G43350.1 pep chromosome:AWHD00000000:3:35619902:35621606:-1 gene:ONIVA03G43350 transcript:ONIVA03G43350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRGGGGGSGGGGAGGMAWEVLKRHFSRKRAVDVRRINPKVPKEEAVAISGRLLQIFTDHGPLTVGNTWNHAKDAGISGLNSKTHMKILLKWMTGRRIVKLNCVGTGNSKKFLYSPYSADADKSEEAAAEELSKAAASQGGKATKGQQKKRATALH >ONIVA03G43340.1 pep chromosome:AWHD00000000:3:35618382:35619041:-1 gene:ONIVA03G43340 transcript:ONIVA03G43340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALPCAAALFLVLLLAPLLASAESPISLPPASAPTASTPAADERLHPADAALAPSQPPSEASSSAAALSPPAPPETSPLPAPSHSPPVPHSAAPEPSPMEHSAASAPAPSAGKAKQGGDDEEDDDDKEKDKEEKPSTPSPAPAAEEIKAATAGDKAGEEDGETERHELNGGKKAGVVVGAFSAAAVVGLAAVVWKKRQANIRRSRYADYSARLELV >ONIVA03G43330.1 pep chromosome:AWHD00000000:3:35612466:35616960:1 gene:ONIVA03G43330 transcript:ONIVA03G43330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKKALTNPASPSASASASTPKKSTATSKDRSTPKPRKNPNPKEEAPPPPPANNKRLNPQGGSNRKKKADAGTPSKKPKRQPPEPKPRKHKGAKSEKPHRVSGEGEKPTPTKKKKKESSKEPKREKQQASAPMSTPSKKNKEAKRDTGGAGKPTPTKRKLGDVDPPQERPSGEGQASSPTPAKKRKDKAAAAEAVADHGAGSFPMARVRQIMRAEDATIRPSNEAVFLINKATEIFLKRFADDAYRNALKDRKKSIVYDNLYFVPQKVTAEDALKAPVSSQVNQPQ >ONIVA03G43320.1 pep chromosome:AWHD00000000:3:35606196:35610348:-1 gene:ONIVA03G43320 transcript:ONIVA03G43320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWM7] MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLEIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYISETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVARPPNAGLGAGARFGAMGADPQAQ >ONIVA03G43310.1 pep chromosome:AWHD00000000:3:35591090:35600495:-1 gene:ONIVA03G43310 transcript:ONIVA03G43310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AFG1-like ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G25530) TAIR;Acc:AT2G25530] MSRSQLMHMYKLGDLTPAPSMTIPMAHSSRPTLGFPLGTALLIFVIFSLSGIFSCCYHWDKLRAFLWSRHPDVILQEGQHTVISIASPSKTTSDHKNEKAEKECGLPVIMPGDRIPKFFARPCPHEKCLPAAEEEAEAQTHLRASGEERCRVTSPPAPVTGDIITTPVEANGGGATVPLPLAPPSNPPPPTDDTPSTPCHCPPITANLHRPPPRRRPPPRCAAALRPRPPPSAPPRAGGVRSSPEIRDLVSQGKLQHDIYQENVATQLDNLLRRLEQYEMEMEDYHARLSMWENTREKQRRRLLVQEAEDKQRDGVWIDEKRGFLDKLVSRKRRGNIEPGVGKWVSYLNREKKLDTLVGQKPVAPIAPKGIYLYGNVGSGKTMLMDMFYGATEGLIKHRRRFHFHEAMLEIHDHMHDVWKRRDEDKSIESSAFSWISSLPFDGKTKEWLIGEEKYKQNTQQKHILLAVADKFLVDRQANKSGASILCFDEIQDGMQREIFLDLLSKLDENCNKILVGTETDYRRLIPTDGLTQIHYFWPLTSDIRSMYEAMWHDITRQTGGNIISVTIPVMFGRYLEIPKSCNGVARFDFEYLCGRPVGAADYIAIARNYHTIFISDIPAMSMKIRDKARRFITLIDELYNHHCRLVCLAASSIDDLFQGTDEGPLFDLESFQFEGEAEGAKLRRDVLAEGNVGAAPSPTGLVAILSGQEEMFAFRRAISRLIEMQTSLYMERVERVHSSLQQQSSVLTKSSTVSQSAPSV >ONIVA03G43310.2 pep chromosome:AWHD00000000:3:35591090:35600495:-1 gene:ONIVA03G43310 transcript:ONIVA03G43310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AFG1-like ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G25530) TAIR;Acc:AT2G25530] MSRSQLMHMYKLGDLTPAPSMTIPMAHSSRPTLGFPLGTALLIFVIFSLSGIFSCCYHWDKLRAFLWSRHPDVILQEGQHTVISIASPSKTTSDHKNEKAEKECGLPVIMPGDRIPKFFARPCPHEKCLPAAEEEAEPIPGVALMHTSYWWHLAILKDLVSQGKLQHDIYQENVATQLDNLLRRLEQYEMEMEDYHARLSMWENTREKQRRRLLVQEAEDKQRDGVWIDEKRGFLDKLVSRKRRGNIEPGVGKWVSYLNREKKLDTLVGQKPVAPIAPKGIYLYGNVGSGKTMLMDMFYGATEGLIKHRRRFHFHEAMLEIHDHMHDVWKRRDEDKSIESSAFSWISSLPFDGKTKEWLIGEEKYKQNTQQKHILLAVADKFLVDRQANKSGASILCFDEIQDGMQREIFLDLLSKLDENCNKILVGTETDYRRLIPTDGLTQIHYFWPLTSDIRSMYEAMWHDITRQTGGNIISVTIPVMFGRYLEIPKSCNGVARFDFEYLCGRPVGAADYIAIARNYHTIFISDIPAMSMKIRDKARRFITLIDELYNHHCRLVCLAASSIDDLFQGTDEGPLFDLESFQFEGEAEGAKLRRDVLAEGNVGAAPSPTGLVAILSGQEEMFAFRRAISRLIEMQTSLYMERVERVHSSLQQQSSVLTKSSTVSQSAPSV >ONIVA03G43310.3 pep chromosome:AWHD00000000:3:35591092:35597583:-1 gene:ONIVA03G43310 transcript:ONIVA03G43310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AFG1-like ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G25530) TAIR;Acc:AT2G25530] MIPRLHRAIARRSLLTSTALLPAVARHRDAPLPSAPGLPRLLHHAPGASDQARKSGPLTLYRDLVSQGKLQHDIYQENVATQLDNLLRRLEQYEMEMEDYHARLSMWENTREKQRRRLLVQEAEDKQRDGVWIDEKRGFLDKLVSRKRRGNIEPGVGKWVSYLNREKKLDTLVGQKPVAPIAPKGIYLYGNVGSGKTMLMDMFYGATEGLIKHRRRFHFHEAMLEIHDHMHDVWKRRDEDKSIESSAFSWISSLPFDGKTKEWLIGEEKYKQNTQQKHILLAVADKFLVDRQANKSGASILCFDEIQDGMQREIFLDLLSKLDENCNKILVGTETDYRRLIPTDGLTQIHYFWPLTSDIRSMYEAMWHDITRQTGGNIISVTIPVMFGRYLEIPKSCNGVARFDFEYLCGRPVGAADYIAIARNYHTIFISDIPAMSMKIRDKARRFITLIDELYNHHCRLVCLAASSIDDLFQGTDEGPLFDLESFQFEGEAEGAKLRRDVLAEGNVGAAPSPTGLVAILSGQEEMFAFRRAISRLIEMQTSLYMERVERVHSSLQQQSSVLTKSSTVSQSAPSV >ONIVA03G43310.4 pep chromosome:AWHD00000000:3:35600500:35605037:-1 gene:ONIVA03G43310 transcript:ONIVA03G43310.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:AFG1-like ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G25530) TAIR;Acc:AT2G25530] MHSRHQRLASLTKLLTSHVNAGRHRDALAFFSRMVSDPSLPPLSDPSFAYAFPLALKSSSALRLPSAAAAADSLHALAAKCGFLSSPFFASALVASYGACASPALARRLFDELPHRNAIVCSAMISVHIRSGDLAGALRELDLMDVAPTASCFNSVIAAVAESGEHPARAIDLYRRMQRMGVLPSLITLLALVPSCTALGALSSIKEVHGFATRHGMFASCHLGSSLIEAYGRCGSLAGARNVFDQVQERDVVVWSSIVSAYAFHGHADVAMSLFRHMELDNVRPDGIMFLGVLKACGHAGHADDALKYFDVLTKIFGVEACGDHYSCLVDVLGRAGRLRQAYDVIQTMPVKVTAKAWGALLAACRKYGEVGLAEVAGRALFEIEPENAGNFVSLANIYSGMGMHDKAEQVRREMEQRGVRRLPGSSWMIHCKSRQAVRSASSTTSGHQQMHVGHSTSQYHGWFRLPGRASQSTSHHPLSVQGALAASINTQSPYLASLGS >ONIVA03G43300.1 pep chromosome:AWHD00000000:3:35586955:35587154:-1 gene:ONIVA03G43300 transcript:ONIVA03G43300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAASLPTITPCTAGSVKRRAPPKQLGDHREQRLHAPGASRATPTAIAMEAGDGIGGGVSTASR >ONIVA03G43290.1 pep chromosome:AWHD00000000:3:35586886:35590059:1 gene:ONIVA03G43290 transcript:ONIVA03G43290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of bacterial PANC [Source:Projected from Arabidopsis thaliana (AT5G48840) TAIR;Acc:AT5G48840] MHAVSPCPLLSDSSSPLIDWTTMAAPREPEVIRDKAAMRAWSRRRRAEGKTVAVVPTMGYLHQGHLSLISAAAAAASADPVAIVVTIYVNPSQFAPSEDLATYPSDFAGDLRKLASTGVVDAVFNPPDLYVRGAGRRGAASGGAISCLEEAAGDGHETWVRVERLEKGMCGASRPVFFRGVATIVSKLFNIIEPDVAVFGKKDYQQWRVICRMVRDLDFAIEIIGSEIVREADGLAMSSRNVHLSREEREKALSISRSLVDARTGALKGNTDCKQIKNKIVQTLTETGGQVDYVEIVEQESLVPVEQIDGPVVICVAAWFGKVRLIDNIEIDTRS >ONIVA03G43290.2 pep chromosome:AWHD00000000:3:35587398:35590059:1 gene:ONIVA03G43290 transcript:ONIVA03G43290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of bacterial PANC [Source:Projected from Arabidopsis thaliana (AT5G48840) TAIR;Acc:AT5G48840] MAAPREPEVIRDKAAMRAWSRRRRAEGKTVAVVPTMGYLHQGHLSLISAAAAAASADPVAIVVTIYVNPSQFAPSEDLATYPSDFAGDLRKLASTGVVDAVFNPPDLYVRGAGRRGAASGGAISCLEEAAGDGHETWVRVERLEKGMCGASRPVFFRGVATIVSKLFNIIEPDVAVFGKKDYQQWRVICRMVRDLDFAIEIIGSEIVREADGLAMSSRNVHLSREEREKALSISRSLVDARTGALKGNTDCKQIKNKIVQTLTETGGQVDYVEIVEQESLVPVEQIDGPVVICVAAWFGKVRLIDNIEIDTRS >ONIVA03G43280.1 pep chromosome:AWHD00000000:3:35583724:35586491:1 gene:ONIVA03G43280 transcript:ONIVA03G43280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEEKTSVKSEEASSAAEEQPPQAAAPPPRRGVPPANPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTEMAEQLQKTVQSPPARGAAQEAAAAAAPALDPSKYVSTMQQLMQNPQFVAMAERLGSALMQDPAMSSMLGGLTNPAHKEQLEARIARMKDDPSLKPILDEIENGGPAAMMKYWNDPEALQKFGRAMGVGPSGEGAAAAGGEHEEAEEEGGEEGEYEDESGLKKALEEGVDKDEEDSEGRRGLHFACGYGELKCAQVLLEAGAAVDAVDKNKNTALHYAAGYGRKDCVALLLDHGAAVTVQNLDGKTAIDVAKLNNQEEVLKLLEKHAFV >ONIVA03G43270.1 pep chromosome:AWHD00000000:3:35577744:35583000:1 gene:ONIVA03G43270 transcript:ONIVA03G43270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPPALKRPKLEKDDYESAYWPRPAASNASSASKPPQSSSSATATAATQEDEEDDIAEEAVLALIAHRERDVERCKLKLSHYQSLLDTAEKKLAEAQDRLARYRDRKPPPPPTHRDPKPSLPPTTTQRDPKPSPPQHKAPERPQLVIPGANNRPPPRPEPMPGLKKTAAPSSSSASVPPERPRALEKKPKLKIEQKEHQNLIQSVKKSSATVLRFYGGTVICSQHKRKLRCLELCPVNDQLVATSALDGIVTLWQVQPKGNLSNIRKTLWFDGKPVIATQPVISLLSTTDCFSPKHRWPEDVAWHPHGELIFAVYSADNGDSQVSVMNRNLSGQKKVSFLPVKPHTKGIINNINFMPWSDVCFVTGGSDHAVILWQEKDDSWNHKKVHKDLHSSAVMGVAGLQQKSTILSVGSDKRIISFDLAAGRTESKNLIDYKCMSVLPNPCDFNLYMVQTAAPGRQLRLFDVRLRQTEVHTLGWKQESSESQSALINQSWSPDGWYLSSGSADPMIHIFDIRHNGQNPCQSVQAHQKRVFKALWHRTAPVLTSISSDLNIGIHKYS >ONIVA03G43260.1 pep chromosome:AWHD00000000:3:35568134:35576689:1 gene:ONIVA03G43260 transcript:ONIVA03G43260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWL4] MAPPPPPPPAAAASSTPMLLALTGLSTFSDRLVEFFNHWNSFIRYATAISDDLPPLPAADQPPNLADVAEPESTTPHSPVAVDEPESSTAPNPVAVAVAEPKPAPVPQPEEPRPNRGGDPSAEHMGRICERMGSGELLRFVISRMGDLSWLLRAVPPALRRAPNPAELVLRAIGRYYIRPGGRHTEAACELLLLSYVRAGCPLRPGQEAGDDHLRAEAREAALSWRSRLVRSKGRVAAAAANDARGLLLLMAAFGVPVEFPSQEIFELLHAAGGLACAEVLKCSKHFLDKLRDVVAHMLNRGIYHQTVATIIAFELQDAFPLSAIATCVIERVGRTKDQDSQEQHHLPGSKENDEEKLALLRLLSKYVEDPKQCSTENFSIADRIAMLEQSLAKPHQAFTGTKRKRTAQEDSVECTRGPKCSYTPAASSASRNKNLEG >ONIVA03G43260.2 pep chromosome:AWHD00000000:3:35568134:35574108:1 gene:ONIVA03G43260 transcript:ONIVA03G43260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWL4] MAPPPPPPPAAAASSTPMLLALTGLSTFSDRLVEFFNHWNSFIRYATAISDDLPPLPAADQPPNLADVAEPESTTPHSPVAVDEPESSTAPNPVAVAVAEPKPAPVPQPEEPRPNRGGDPSAEHMGRICERMGSGELLRFVISRMGDLSWLLRAVPPALRRAPNPAELVLRAIGRYYIRPGGRHTEAACELLLLSYVRAGCPLRPGQEAGDDHLRAEAREAALSWRSRLVRSKGRVAAAAANDARGLLLLMAAFGVPVEFPSQEIFELLHAAGGLACAEVLKCSKHFLDKLRDVVAHMLNRGIYHQTVATIIAFELQDAFPLSAIATCVIERVGRTKDQDSQEQHHLPGSKENDEEKLALLRLLSKYVEDPKQCSTENFSIADRIAMLEQSLAKPHQAFTGTKRKRTAQEDSVECTRGPKCSYTPAASSASRNKNLEG >ONIVA03G43260.3 pep chromosome:AWHD00000000:3:35572967:35576689:1 gene:ONIVA03G43260 transcript:ONIVA03G43260.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWL4] MEATTSSLLVRPVDTRLSAASLPIVVRARRRVAVVTAAAPERKPAAAASSSNYVVVPLDAAPSGITRPLVEILRDLNKRVPDTVVRSSRRRASPSDPVIPWYHANRMLSFYAPGWCGEVRDVIYTDNGKVTVIYRVTVRGTDGEVHREAAGTTSLNDARFDDPVAAAEEAAFCKACARFGFGLYLYHEDETS >ONIVA03G43260.4 pep chromosome:AWHD00000000:3:35568134:35571289:1 gene:ONIVA03G43260 transcript:ONIVA03G43260.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWL4] MAPPPPPPPAAAASSTPMLLALTGLSTFSDRLVEFFNHWNSFIRYATAISDDLPPLPAADQPPNLADVAEPESTTPHSPVAVDEPESSTAPNPVAVAVAEPKPAPVPQPEEPRPNRGGDPSAEHMGRICERMGSGELLRFVISRMGDLSWLLRAVPPALRRAPNPAELVLRAIGRYYIRPGGRHTEAACELLLLSYVRAGCPLRPGQEAGDDHLRAEAREAALSWRSRLVRSKGRVAAAAANDARGLLLLMAAFGVPVEFPSQEIFELLHAAGGLACAEVLKCSKHFLDKLRDVVAHMLNRGIYHQTVATIIAFELQDAFPLSAIATCVIERVGRTKDQDSQEQHHLPGSKENDEEKLALLRLLSKYVEDPKQCSTENFSIADRIAMLEQSLAKPHQAFTGTKRKRTAQEDSVECTRGPKCSYTPAASSASRNKNLEG >ONIVA03G43250.1 pep chromosome:AWHD00000000:3:35561365:35565400:-1 gene:ONIVA03G43250 transcript:ONIVA03G43250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSTNLDAAIESLLNVEKQMRLAGDVAGTRKAAIDIVELCYKAGAWKTLNDQIVVLSKRRGQLKQAITAMVQKAMEYIDLTPDMDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGQIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADPSKEKKKPKEGDNIVQEAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKSIYEIPSIKEDPSKWIPVLRKICWYLVLAPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVIQWTSLWEFFKDEYANEKNFLGGALGDKAAEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQVAEKHLSDMVNSKSLIAKIDRPMGVVCFRTAQDSNGILNSWAANLEKLLDLVEKSCHQIHKETMIHKAVLCLAFYGQILQSQDVKLFSAQASPVCFGNSLLN >ONIVA03G43240.1 pep chromosome:AWHD00000000:3:35558061:35561065:1 gene:ONIVA03G43240 transcript:ONIVA03G43240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAASVAAISPLPGASLPRPVSARVPLLPRASPPTWRLSVGSARARSARCLAAAGGGGLAPEMRATLDKVVGSHKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVAGFERFGACCLLRIFSVNKTTTRAWPPTRASDLVKTILVDSAAVNAHLRELLHLHGVGAVVLLREVVHDAARRHVVHRRNLSIHGADGHFVFMRDGGVLQLDIHDAGARRAALSRGEATQWPEASN >ONIVA03G43230.1 pep chromosome:AWHD00000000:3:35554475:35557861:-1 gene:ONIVA03G43230 transcript:ONIVA03G43230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding Elongation factor Tu family protein [Source:Projected from Arabidopsis thaliana (AT4G02930) TAIR;Acc:AT4G02930] MAAAAVLRSHGARRILSYPTLRAAVISGPTALPDASAAAAAAPQQPPPLAGTLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAVAFDEIDKAPEEKARGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNDEIGKNAILKLMDAVDEYIPDPVRQLDKSFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLTPSGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVQRGQVVCKPGTVKTYQKFEAEIYVLTKDEGGRHTAFLSNYSPQFYFRTADVTGKVVLPDGVEMVMPGDNVTAGFELISPVPLEPGQRFALREGGRTVGAGVVSKVYS >ONIVA03G43220.1 pep chromosome:AWHD00000000:3:35552831:35553478:-1 gene:ONIVA03G43220 transcript:ONIVA03G43220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQFPNSRVKSTAAANKPSSISSHSPANKIMSRTTSAPNLPALAAPRVISPAAATAAQAKKIARATSSSNLAVAKPRPPPPPPSISKKPDAPSAARPWPPSSNPKTVVARKPARPASKFSEPPVAAVTRSVHPARRLTCGTAVYVRTRYVKITTRCCLVIWLPARVVSSSDAYHYTVKYAADLHAMFAGRVVRVPVGHVRPAPHRAAAGERSIW >ONIVA03G43210.1 pep chromosome:AWHD00000000:3:35549857:35552914:1 gene:ONIVA03G43210 transcript:ONIVA03G43210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWK9] MNKERLMKMAGAVRTGGKGTVRRKKKAVHKTGTTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFVNPKVQASIAANTWVVSGSPQTKSPDNMEHLKRIAEEMQKQVAAAGATAQAKEENDDDVPELVPGENFEEVAQETKA >ONIVA03G43210.2 pep chromosome:AWHD00000000:3:35550191:35552914:1 gene:ONIVA03G43210 transcript:ONIVA03G43210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWK9] MNKERLMKMAGAVRTGGKGTVRRKKKAVHKTGTTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFVNPKVQASIAANTWVVSGSPQTKSPDNMEHLKRIAEEMQKQVAAAGATAQAKEENDDDVPELVPGENFEEVAQETKA >ONIVA03G43200.1 pep chromosome:AWHD00000000:3:35547462:35547839:1 gene:ONIVA03G43200 transcript:ONIVA03G43200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSAMAAVGVLVLLCVLLHGEMAESAVFTVGDRGGWGMGAGSWANGKRFKAGDVLVFKYDSSAHNVVAVNAAGYKGCTAAPRGAKVYKSGNDRVTLARGTNYFICNFPGHCQAGMKIAVTAA >ONIVA03G43190.1 pep chromosome:AWHD00000000:3:35544189:35546163:-1 gene:ONIVA03G43190 transcript:ONIVA03G43190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTAAPLLLAAALFLVVAGDGSEPTPTPTPWPAQFHAKLLMEFHGNLSLADLWYDWPGGRNLHVIRYQLAADEPFYDNEWNNGTSFFYTPARRACRSAAVGVGILPPNWLVPGSVYLGRHPADGFDCHVWAKADFITYYEDTLTKRPVKWVFYTGRTSHVMSFEEGAVLEDAEWQAPEYCFGKGDETETSNDNALISEPVAGHDKGFFPRREF >ONIVA03G43180.1 pep chromosome:AWHD00000000:3:35537791:35541105:-1 gene:ONIVA03G43180 transcript:ONIVA03G43180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCKQQERDGHRDDGDVTEWKKVAELRAVVEAQDPACKFKRYVVYVLDRTCARLGGNGGQEKFAAVADLQGWGYYGNCDIRAYVAALEIMQNYYPERLGRVFLIHVPYVFMAAWKIIYPFIDDNTKKKFVFVADKDLHATLRDAIDDSNLAEDYGGKLKLVSPLINGATESNRRR >ONIVA03G43170.1 pep chromosome:AWHD00000000:3:35533826:35536358:-1 gene:ONIVA03G43170 transcript:ONIVA03G43170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVLLLSPRLAVAPLRPHPRLGLTPTAVGRPLPLAYLGRRAAAARQLAAVADRNVYNGVYGPWTVEDSDVREVLLYRSGLVTAAASFVAAASAAFLPEGNAAGDAIRQGADLLYATGAAGLGLSLVLIHIYVTPIKRFLQALWVVGVVGSIGTYVAGAQPLDESLVGYVLDHPAALWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPGLLLGHLSGLMDNGTKAGLLGVWMVLFTVFAARKFQQPIKDDIGDKSVFMFNALPEEEKKALIQKLEMQTETDG >ONIVA03G43160.1 pep chromosome:AWHD00000000:3:35526639:35528013:1 gene:ONIVA03G43160 transcript:ONIVA03G43160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLNTLIRTFLRGFFREVKEEVKNNPDIVREVFERLVKEISDEGKLPLPSADEALQLPEEVIQLPPEVLERISNVLKETIQTTPMSENIELITPSEITTLLESTLLTTPLQRTSSTPNDCNTIMPISLSVYSSQHILGLVKEIMSDVKLRPYIVGAVCVVGVIVAYILYKKRTAALNNRNPNGGDDIADDFWGNWHDGNLEMFDDSNDHGSSDDEGAIHDIVAIPGEGFQFNIIAITGGGFRFLVEVFGSDSSNDEIQNVAIPGRGFQFLVQVAIPVAPTFKVFMALTKFEGFTAPPYSPNNSKNLPLTAALLKFIDAVNQGSLLPSVVFLKFIDAVNQGSLKPSVVFPSSQISGFNRSRLLTTSTSHPAVHESNGSRLLSLSA >ONIVA03G43150.1 pep chromosome:AWHD00000000:3:35518069:35523517:1 gene:ONIVA03G43150 transcript:ONIVA03G43150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRFAAVARDSPAAAAPPRSGAAAVVLNKDDAASVAAAAASSATGFTVAMKFGGSSVASAERMREVADLILSFPEETPVVVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIKELHVRTIDELGLDRSIVSGLLEELEQLLKGVAMMKELTPRTRDYLVSFGECMSTRIFAAYLNKLGKKARQYDAFDIGFITTDDFTNADILEATYPAVAKRLQGDWIDDPAIPIVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNICANAIPVPYLTFEEAAELAYFGAQVLHPQSMRPAKEGDIPVRVKNSYNRRAPGTVITKTRDLSKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWCRELIQQELDHVVEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKQCIQALHSAFFENGFLSEVEEEDLQHNGSPVSPNGVIYGN >ONIVA03G43150.2 pep chromosome:AWHD00000000:3:35518015:35523517:1 gene:ONIVA03G43150 transcript:ONIVA03G43150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRFAAVARDSPAAAAPPRVGREQQYLACAAVARPGGRCSRRRGLVVRCQSGAAAVVLNKDDAASVAAAAASSATGFTVAMKFGGSSVASAERMREVADLILSFPEETPVVVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIKELHVRTIDELGLDRSIVSGLLEELEQLLKGVAMMKELTPRTRDYLVSFGECMSTRIFAAYLNKLGKKARQYDAFDIGFITTDDFTNADILEATYPAVAKRLQGDWIDDPAIPIVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNICANAIPVPYLTFEEAAELAYFGAQVLHPQSMRPAKEGDIPVRVKNSYNRRAPGTVITKTRDLSKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWCRELIQQELDHVVEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKQCIQALHSAFFENGFLSEVEEEDLQHNGSPVSPNGVIYGN >ONIVA03G43140.1 pep chromosome:AWHD00000000:3:35511164:35514333:-1 gene:ONIVA03G43140 transcript:ONIVA03G43140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHPPEPDTNGGSAAAAAAAAAAAAPLGDPAAEASGAQAAAGPPYSKRRRRPSVRLGDIGVHSNHSSAAAHRRGQKPAQRRPRTAWIPAAPGTDGYEEEAEHYYDDADQSDSAAAAAARARVSGSRDASGDESDGVADWGLPNGRLPGSMGYGGVKAWLDGLGLSRYAPVFEIHEVDDEVLPLLTLEDLKDMGIGAVGSRRKLYAAIQKLQRSDSVILNTSWFKTFWMAA >ONIVA03G43130.1 pep chromosome:AWHD00000000:3:35499479:35509759:1 gene:ONIVA03G43130 transcript:ONIVA03G43130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSKLLALPQQWQLLLLLLLLPIASLLLVIGRNTGGRRRRRHLRLPPGPARLPVLGNLLQLGALPHRSLRDLARRHGPVMMLRLGAVPAVVVSSPEAAQEVLRTHDADCCSRPSSPGPMRLSYGYKDVAFAPYDAYSRAARRLFVAELFSAPRVQAAWRARQDQVEKLIGKLTRPEPEPVELNDHIFALTDGIIGAVAFGSIYGTERFAGGGRKRFHHLLDDVMDMLASFSAEDFFPNAAAARLFDHLTGLVARRERVFQQLDAFFEMVIEQHLDSDSSNAGGGGGNLVGALIGLWKQGKQYGDRRFTRENVKAIIFDAFIGGIGTSSVTILWAMAELMRSPRVMRKVQAEIRATVGDRDGGGMVQPDDLPRLAYLKMVVKETLRLHPPATLLMPRETMRDVRIGGYEVAARTRVMEAEVFDPDRFEAKRVEFNGGHFELLPFGSGRRICPGIAMAAANVEFTLANLLHCFDWALPVGMAPEELSMEESGGLVFHRKAPLVLMEDGTRIVGD >ONIVA03G43130.2 pep chromosome:AWHD00000000:3:35499479:35509759:1 gene:ONIVA03G43130 transcript:ONIVA03G43130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSKLLALPQQWQLLLLLLLLPIASLLLVIGRNTGGRRRRRHLRLPPGPARLPVLGNLLQLGALPHRSLRDLARRHGPVMMLRLGAVPAVVVSSPEAAQEVLRTHDADCCSRPSSPGPMRLSYGYKDVAFAPYDAYSRAARRLFVAELFSAPRVQAAWRARQDQVEKLIGKLTRPEPEPVELNDHIFALTDGIIGAVAFGSIYGTERFAGGGRKRFHHLLDDVMDMLASFSAEDFFPNAAAARLFDHLTGLVARRERVFQQLDAFFEMVIEQHLDSDSSNAGGGGGNLVGALIGLWKQGKQYGDRRFTRENVKAIIFDAFIGGIGTSSVTILWAMAELMRSPRVMRKVQAEIRATVGDRDGGGMVQPDDLPRLAYLKMVVKETLRLHPPATLLMPRETMRDVRIGGYEVAARTRVMVNAWAIGRDAARWEEAEVFDPDRFEAKRVEFNGGHFELLPFGSGRRICPGIAMAAANVEFTLANLLHCFDWALPVGMAPEELSMEESGGLVFHRKAPLVLMEDGTRIVGD >ONIVA03G43120.1 pep chromosome:AWHD00000000:3:35494432:35498587:-1 gene:ONIVA03G43120 transcript:ONIVA03G43120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRKKGLARNATTEHINPPNSRKSSRSTQAAAAEHKVNDLITSSSKKQIGGGLLKKNRALRGGKKLNSIYDSAGTGNDVAGVPSSSFFNHKQSHENDEDRSCDSIFSPSFHNQKEDVTDCLSEGLVHEEVIHEPVQNAEYVTNSISCNTFDGLSKHSYDIHMQSACGSTLEGDEFSELGSLSPEVSAIYLAMQHSKLECIDEQSQDSTSTDGCADPDETVELDYFDPYAFIKDLPDLSLMVPKFRPVLLPKQTRSCPRTTLVLDLDETLVHSTLEPCEDSDFTFPVHFNLREHTIYVRCRPYLKEFLETVASMFEIIIFTASQSIYAEQLLNILDPKRRLFRHRVYRESCLFVEGNYLKDLSAFGFQLDNGVPIESWFDDRNDRELLTLLPFLQSLVGVEDVRPCIARKFNLREKPFS >ONIVA03G43120.2 pep chromosome:AWHD00000000:3:35494918:35498587:-1 gene:ONIVA03G43120 transcript:ONIVA03G43120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRKKGLARNATTEHINPPNSRKSSRSTQAAAAEHKVNDLITSSSKKQIGGGLLKKNRALRGGKKLNSIYDSAGTGNDVAGVPSSSFFNHKQSHENDEDRSCDSIFSPSFHNQKEDVTDCLSEGLVHEEVIHEPVQNAEYVTNSISCNTFDGLSKHSYDIHMQSACGSTLEGDEFSELGSLSPEVSAIYLAMQHSKLECIDEQSQDSTSTDGCADPDETVELDYFDPYAFIKDLPDLSLMVPKFRPVLLPKQTRSCPRTTLVLDLDETLVHSTLEPCEDSDFTFPVHFNLREHTIYVRCRPYLKEFLETVASMFEIIIFTASQSIYAEQLLNILDPKRRLFRHRVYRESCLFVEGNYLKDLSAFGFQLDNGVPIESWFDDRNDRELLTLLPFLQSLVGVEDVRPCIARKFNLREKVATAPSLSMHF >ONIVA03G43110.1 pep chromosome:AWHD00000000:3:35492028:35494107:-1 gene:ONIVA03G43110 transcript:ONIVA03G43110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01980) TAIR;Acc:AT3G01980] MLNESMGEGDAAYAKRVLLTAAGDDVSRGIASTLATHGCRLVLVGDEGALAGTAEEARRGGGGGDAVAVVGLDLHGCDEAAVDAAVGTAWRCFDGLDAMVNCYSYEGEVQDCLNISEDEFKKTMKANVMTPWFLVKAIAKRLRDSESSCGGSVVFLTQIIGAERGLYPGAAAYGTSLGAIHQLVRLSAMELGKHKMRVNAVCRGLHLGDRFPVWVGKEKAEKATGEVMPLRRWLDPEKDVASTVLYLVGDESRYMTGSTIFVDGAQSIVRPRMRSFM >ONIVA03G43100.1 pep chromosome:AWHD00000000:3:35491424:35499318:1 gene:ONIVA03G43100 transcript:ONIVA03G43100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLLIITYGDVMIYMKERMRGRTMDWAPSTNMVLPVMYRDSSPTRYSTVDATSFSGSSHLRSGITSPVAFSAFSFPTHTGNLSPRQTDPPIAQGTFSREFTKSLSKLKCAQHSHLTIQIKDKGVTPQLAAATKSRIRKSEEAVAGHQRRRNPAALPENPSMKVSGVKEAYRNKESRGGGGRDGTSRRRRRKPNPSGGGRRGSGQEKRDF >ONIVA03G43090.1 pep chromosome:AWHD00000000:3:35488822:35491069:1 gene:ONIVA03G43090 transcript:ONIVA03G43090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWJ3] MAPKVAVTEATGRQAASFVLGCVATLTVMLLFQYQAPPDYGRAARSPVQFSTSRDQLLLHCGGNGTAPPPPVIARGGEEANITGKPPTTATAVAEEQPPTKPPATSTASSPTHHIPATSTDLEEEGGEFRGLAAAVARAATDDRTVIITCVNHAFAAPDSLLDIFLEGFRVGDGTPELLRHVLVVAMDPTALTRCRAVHPHCYLYTMPGLDVDFTSEKFFASKDYLELVWSKLKLQRRILQLGYNFLFTDVDIVWLRNPFKHVAVYADMAISSDVFFGDPDNIDNFPNTGFFYVKPSARTIAMTKEWHEARSSHPGLNEQPVFNHIKKKLVKKLKLKVQYLDTAYIGGFCSYGKDLSKICTMHANCCIGLQSKISDLKGVLADWKNYTRLPPWAKPNARWTVPGKCIH >ONIVA03G43080.1 pep chromosome:AWHD00000000:3:35473047:35477749:1 gene:ONIVA03G43080 transcript:ONIVA03G43080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGKMKGGETMGGGGGSGSSSISPLVSFVLGAAMATVCILFVMSASPGRRLADISAWSNADDAPPLPLPLQDAAADSNDSLAAANVTVVAASAPAPVQAPAPASPYGDLEEVLRRAATKDRTVIMTQINLAWTKPGSLLDLFFESFRLGEGGVSRLLDHLVIVTMDPAAYEGCQAVHRHCYFLRTTGVDYRSEKMFMSKDYLEMMWGRNKFQQTILELGYNFLFTDVDVMWFRDPFRHISMGADIAISSDVFIGDPYSLGNFPNGGFLFVRSNDKTLDFYRSWQQGRWRFFGKHEQDVFNLIKHEQQAKLGIAIQFLDTTYISGFCQLSKDLNKICTLHANCCVGLGAKMHDLRGVLDVWRNYTAAPPDERRSGKFQWKLPGICIH >ONIVA03G43070.1 pep chromosome:AWHD00000000:3:35461588:35470206:1 gene:ONIVA03G43070 transcript:ONIVA03G43070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77010) TAIR;Acc:AT1G77010] MSVIIDVGNCIQLLRSCSAVAGQQLHQLLLKSGHVPSSLPPSNSLLLMYARCSPLHQHDARRLFDEMPVKNCFSYNSVITSHLNSRDHHAALNIFRSMPERNTFSWNTIITGIVSTGNLDMARSLLIEMPVKDPVACNAVLHRYVRRGRADEAFALLRTVGQCSGADASSPWNDPFVLATIVGACADWMKYDFGRQAHARMVVSKIEQDLVLSCALVNMYCKCGDLDSARYVLNGLTQVDEFSLSALIYGYASCGHLHEAIRLFDRKEEPSIAMWNSLISGCAFACCGNDAFALFARMMRSDVLPDSSTYASILNVCGFSVMVNPGQQIHGCGLKCGAVNDIIVASALIDFYSKCGLWEDACRAFRELRFHDTIVLNSMITVYSNCGQIEEARRIFDMITGKSVISWNSMVVGLSQNGHARDALGLFCEMHRLGLRLDKVAIASALSASSSICSISFGEQIFSLATVLGLQSDHVVASSLIDLYCKCGSLANGCRIFEEIDKPDEVLWNSMLIGHASNGYGHEALELLELMKTKGIKPSERTFIAVLSACCHSGLVKEGLTWFHRMQADFSVSPSAEHYACVTDLLVRAGRLEESVEFIENMPFEADAVSWTTVIGGCKAQGNEAMMRKVAKKLMEMESSHPSLYVQLSSGLASLGDWTKSAEIRSMMYERRITKNPGYSWIDS >ONIVA03G43070.2 pep chromosome:AWHD00000000:3:35461588:35468205:1 gene:ONIVA03G43070 transcript:ONIVA03G43070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77010) TAIR;Acc:AT1G77010] MSVIIDVGNCIQLLRSCSAVAGQQLHQLLLKSGHVPSSLPPSNSLLLMYARCSPLHQHDARRLFDEMPVKNCFSYNSVITSHLNSRDHHAALNIFRSMPERNTFSWNTIITGIVSTGNLDMARSLLIEMPVKDPVACNAVLHRYVRRGRADEAFALLRTVGQCSGADASSPWNDPFVLATIVGACADWMKYDFGRQAHARMVVSKIEQDLVLSCALVNMYCKCGDLDSARYVLNGLTQVDEFSLSALIYGYASCGHLHEAIRLFDRKEEPSIAMWNSLISGCAFACCGNDAFALFARMMRSDVLPDSSTYASILNVCGFSVMVNPGQQIHGCGLKCGAVNDIIVASALIDFYSKCGLWEDACRAFRELRFHDTIVLNSMITVYSNCGQIEEARRIFDMITGKSVISWNSMVVGLSQNGHARDALGLFCEMHRLGLRLDKVAIASALSASSSICSISFGEQIFSLATVLGLQSDHVVASSLIDLYCKCGSLANGCRIFEEIDKPDEVLWNSMLIGHASNGYGHEALELLELMKTKGIKPSERTFIAVLSACCHSGLVKEGLTWFHRMQADFSVSPSAEHYACVTDLLVRAGRLEESVEFIENMPFEADAVSWTTVIGGCKAQGNEAMMRKVAKKLMEMESSHPSLYVQLSSGLASLGDWTKSAEIRSMMYERRITKNPGYSWIDS >ONIVA03G43070.3 pep chromosome:AWHD00000000:3:35461663:35469761:1 gene:ONIVA03G43070 transcript:ONIVA03G43070.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77010) TAIR;Acc:AT1G77010] MSVIIDVGNCIQLLRSCSAVAGQQLHQLLLKSGHVPSSLPPSNSLLLMYARCSPLHQHDARRLFDEMPVKNCFSYNSVITSHLNSRDHHAALNIFRSMPERNTFSWNTIITGIVSTGNLDMARSLLIEMPVKDPVACNAVLHRYVRRGRADEAFALLRTVGQCSGADASSPWNDPFVLATIVGACADWMKYDFGRQAHARMVVSKIEQDLVLSCALVNMYCKCGDLDSARYVLNGLTQVDEFSLSALIYGYASCGHLHEAIRLFDRKEEPSIAMWNSLISGCAFACCGNDAFALFARMMRSDVLPDSSTYASILNVCGFSVMVNPGQQIHGCGLKCGAVNDIIVASALIDFYSKCGLWEDACRAFRELRFHDTIVLNSMITVYSNCGQIEEARRIFDMITGKSVISWNSMVVGLSQNGHARDALGLFCEMHRLGLRLDKVAIASALSASSSICSISFGEQIFSLATVLGLQSDHVVASSLIDLYCKCGSLANGCRIFEEIDKPDEVLWNSMLIGHASNGYGHEALELLELMKTKGIKPSERTFIAVLSACCHSGLVKEGLTWFHRMQADFSVSPSAEHYACVTDLLVRAGRLEESVEFIENMPFEADAVSWTTVIGGCKAQGNEAMMRKVAKKLMEMESSHPSLYVQLSSGLASLGDWTKSAEIRSMMYERRITKNPGYSWIDS >ONIVA03G43070.4 pep chromosome:AWHD00000000:3:35464616:35470206:1 gene:ONIVA03G43070 transcript:ONIVA03G43070.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77010) TAIR;Acc:AT1G77010] MSVIIDVGNCIQLLRSCSAVAGQQLHQLLLKSGHVPSSLPPSNSLLLMYARCSPLHQHDARRLFDEMPVKNCFSYNSVITSHLNSRDHHAALNIFRSMPERNTFSWNTIITGIVSTGNLDMARSLLIEMPVKDPVACNAVLHRYVRRGRADEAFALLRTVGQCSGADASSPWNDPFVLATIVGACADWMKYDFGRQAHARMVVSKIEQDLVLSCALVNMYCKCGDLDSARYVLNGLTQVDEFSLSALIYGYASCGHLHEAIRLFDRKEEPSIAMWNSLISGCAFACCGNDAFALFARMMRSDVLPDSSTYASILNVCGFSVMVNPGQQIHGCGLKCGAVNDIIVASALIDFYSKCGLWEDACRAFRELRFHDTIVLNSMITVYSNCGQIEEARRIFDMITGKSVISWNSMVVGLSQNGHARDALGLFCEMHRLGLRLDKVAIASALSASSSICSISFGEQIFSLATVLGLQSDHVVASSLIDLYCKCGSLANGCRIFEEIDKPDEVLWNSMLIGHASNGYGHEALELLELMKTKGIKPSERTFIAVLSACCHSGLVKEGLTWFHRMQADFSVSPSAEHYACVTDLLVRAGRLEESVEFIENMPFEADAVSWTTVIGGCKAQGNEAMMRKVAKKLMEMESSHPSLYVQLSSGLASLGDWTKSAEIRSMITLSYFHVLCCQPIPGPHVGGSAAAKDVNKWLDEIIGGYDSSIREFHGGDDQKLLISLLKILCRHYGSSGIAGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNPLVSGRRKEALQ >ONIVA03G43070.5 pep chromosome:AWHD00000000:3:35464616:35470206:1 gene:ONIVA03G43070 transcript:ONIVA03G43070.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77010) TAIR;Acc:AT1G77010] MSVIIDVGNCIQLLRSCSAVAGQQLHQLLLKSGHVPSSLPPSNSLLLMYARCSPLHQHDARRLFDEMPVKNCFSYNSVITSHLNSRDHHAALNIFRSMPERNTFSWNTIITGIVSTGNLDMARSLLIEMPVKDPVACNAVLHRYVRRGRADEAFALLRTVGQCSGADASSPWNDPFVLATIVGACADWMKYDFGRQAHARMVVSKIEQDLVLSCALVNMYCKCGDLDSARYVLNGLTQVDEFSLSALIYGYASCGHLHEAIRLFDRKEEPSIAMWNSLISGCAFACCGNDAFALFARMMRSDVLPDSSTYASILNVCGFSVMVNPGQQIHGCGLKCGAVNDIIVASALIDFYSKCGLWEDACRAFRELRFHDTIVLNSMITVYSNCGQIEEARRIFDMITGKSVISWNSMVVGLSQNGHARDALGLFCEMHRLGLRLDKVAIASALSASSSICSISFGEQIFSLATVLGLQSDHVVASSLIDLYCKCGSLANGCRIFEEIDKPDEVLWNSMLIGHASNGYGHEALELLELMKTKGIKPSERTFIAVLSACCHSGLVKEGLTWFHRMQADFSVSPSAEHYACVTDLLVRAGRLEESVEFIENMPFEADAVSWTTVIGGCKAQGNEAMMRKVAKKLMEMESSHPSLYVQLSSGLASLGDWTKSAEIRSMITLSYFHVLCCQPIPGPHVGGSAAAKDVNKWLDEIIGGYDSSIREFHGGDDQKLLISLLKILCRHYGSSGIAGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNPLVSGRRKEALQ >ONIVA03G43070.6 pep chromosome:AWHD00000000:3:35464616:35468205:1 gene:ONIVA03G43070 transcript:ONIVA03G43070.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77010) TAIR;Acc:AT1G77010] MSVIIDVGNCIQLLRSCSAVAGQQLHQLLLKSGHVPSSLPPSNSLLLMYARCSPLHQHDARRLFDEMPVKNCFSYNSVITSHLNSRDHHAALNIFRSMPERNTFSWNTIITGIVSTGNLDMARSLLIEMPVKDPVACNAVLHRYVRRGRADEAFALLRTVGQCSGADASSPWNDPFVLATIVGACADWMKYDFGRQAHARMVVSKIEQDLVLSCALVNMYCKCGDLDSARYVLNGLTQVDEFSLSALIYGYASCGHLHEAIRLFDRKEEPSIAMWNSLISGCAFACCGNDAFALFARMMRSDVLPDSSTYASILNVCGFSVMVNPGQQIHGCGLKCGAVNDIIVASALIDFYSKCGLWEDACRAFRELRFHDTIVLNSMITVYSNCGQIEEARRIFDMITGKSVISWNSMVVGLSQNGHARDALGLFCEMHRLGLRLDKVAIASALSASSSICSISFGEQIFSLATVLGLQSDHVVASSLIDLYCKCGSLANGCRIFEEIDKPDEVLWNSMLIGHASNGYGHEALELLELMKTKGIKPSERTFIAVLSACCHSGLVKEGLTWFHRMQADFSVSPSAEHYACVTDLLVRAGRLEESVEFIENMPFEADAVSWTTVIGGCKAQGNEAMMRKVAKKLMEMESSHPSLYVQLSSGLASLGDWTKSAEIRSMITLSYFHVLCCQPIPGPHVGGSAAAKDVNKWLDEIIGGYDSSIREFHGGDDQKLLISLLKILCRHYGSSGIAGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNPLVSGRRKEALQ >ONIVA03G43060.1 pep chromosome:AWHD00000000:3:35456184:35461383:-1 gene:ONIVA03G43060 transcript:ONIVA03G43060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: protein transport, Golgi organization; LOCATED IN: vacuole; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: COG complex component, COG2 (InterP /.../009316); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G24840) TAIR;Acc:AT4G24840] MADLAVAAPPPTDLFGEPIEAHPPWFKPDSFLRPDFDPDAYVADLRSYVPLDSLAAELRSHLASLRAELVGLINRDYADFVGLSARLKGVDAAAARMRPPLAELRDKVASFRAAAAAGLAALRAGLEQRAAATAARELLELLLDTSHVVSKVEKLIKELPSAPSDSSNVEAVSGDKGYSGNVATPPNMDDGTDVRETQSILLERIASEMNRLKFYISHAQNLPFIENMEKRAQGATKLLDGSLERCFVDGLEHRDDKVIYNCLRAYAAIDNTSSAEEIFRTTVVSPLIQKIVPQNYAKVVAGVSSDDLEDDYEQIMQCVEKDCKFILEISSLANSGLHVFDFLANSILKEVHLAIQKGKPGATSPGKPKQFLKNYKASLRFLDFLEGYCSSKPAVTKFRSEPAYADFMRQWHVAVYFTLKFQDIAGGLDSVLTATITPAGMHDNQAKPQTLLLKQSVKLLESLQACWSDDVLVFSHSDKFLRLSLQLISRYTTWLSSGLAARKASDGGSSSPADAEWALSVPVEDFIYVMHDVNAVIGELLESGQFVEHVNQLLASCPTEVLALVKHSILQAVEPLKELLPAIMNVMIMVIVKRSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGDRIHYLSEDDKAKLRRGSTDKITSIYYDMVSEVVTVARKTESSLQRLRQGAQRRVGANTDTSDNIISDTDKICMQLFLDIQEYARNLRAIGIDAREIETFRALWQCVAPRDKQDNIQF >ONIVA03G43050.1 pep chromosome:AWHD00000000:3:35450062:35453739:-1 gene:ONIVA03G43050 transcript:ONIVA03G43050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMATMVVGPLVSMVKEKASSYLMEQYKVMEGMEEQHKILKRKLPAILDVIADAEEQAAKHREGAKAWLEELRKVAYQANDVFDEFKYEALRRKAKANGQYKMLGMDVIKLFPTHNRIVFRYRMGNKLRMILNAHEVLITEMNAFRFKFRPEPPMSSMKWRKTDSKISEHSMDIANRSREEDRQKIVKSLLSQASNGDLTVIPIVGMGGMGKTTLAQLIYNDPQIQKHFQLLLWVCVSDNFDVDSLAKSIVEAARKQKNCNERAEFKEVVNGQRFLLVLDDVWNREASKWEALKSYVQHGGSGSSVLTTTRDKTVAEIMAPPKEVHHLKDLNENFIKEIIERSAFNSEEEKRQSELLEMVGDIAKKCSGSPLAATALGSTLRTKTTKKEWEAILRRSTICDEENGILPILKLSYNCLPSYMRQRFAFCAIFPKDHVIDVEMLIQLWMANCFIPEQQGECPEISGKRIFSELVSRSFFQDVKGIPFEFHDIKDSKITAKIHDLMHDVAQSSMGKECAAIDSESIGSEDFPYSARHLFLSGDRPEVILNSSLEKGYPGIQTLIYYSKNEDLQNLSKYRSLRALEIWGGIILKPKYHHHLRYLDLSWSEIKALPEDISILYHLQTLNLSHCSNLHRLPKGTKYMTALRHLYTHGCERLKSMPPNLGHLTCLQTLTCFVAGACSGCSDLGELRQSDLGGRLELTQLENVTKADAKAANLGKKKKLTELSLGWADQEYKEAQSNNHKEVLEGLMPHEGLKVLSIYSCGSSTCPTWMNKLRDMVKLKLYGCKNLKKLPPLWQLTALEVLWLEGLDSVNCLFNSGTHTPFKFCRLKKLNVCDMKNFETWWDTNEVKGEELIFPEVEKLLIKRCRRLTALPKASNAISGEVSTMCRSAFPALKVMKLYGLDIFLKWEAVDGTQREEVTFPQLDKLVIGRCPELTTLPKAPKLRDLNICEVNQQISLQAASRYITSLSSLHLFLSTDDTETTSVAKQQDLSELVIEDEKWNHKSPLELMDLTGCNLLFSYPSALALWTCFVQLLDLKISQVDALVDWPERVFQGLVSLRKLHIVQCKSLTGLTQARGQSTPAPSELLPRLESLEINHCDSFVEVPNLPTSLKLLQIWNCHGLKSIFSQHQETMMLVSAESFAQPDKSLISGSTSETSDHVLPRLESLEIGCCDGLEVLHLPPSIKKLDIYRCEKLQSLSGKLDAVRALNISYCGSLKSRNLA >ONIVA03G43040.1 pep chromosome:AWHD00000000:3:35444708:35447790:1 gene:ONIVA03G43040 transcript:ONIVA03G43040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRDSSSSSSSSYLFFFLSIPPALPSLFLLLLLLLSRERIRARSSVLRREGPTFAAHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRSVNPNNHHGDTEALSKASPLHAGSDPAMRKPKDDRRSNREGDLRQHETTVRKPYAESPNHRYGDHTNYDNAVRKTGIEKSPVHPRHQARAANKGGVSSPSRDRRGSLEGNRGSAPTTPGRSKFRSTGRGDETPDRGSAVPKFGEWDEKDPSTGEGFTDIFEKVREEKQSGTGNAPVMTSEADYIKRYQQRKYESTGCSCFSWFKN >ONIVA03G43040.2 pep chromosome:AWHD00000000:3:35444693:35447790:1 gene:ONIVA03G43040 transcript:ONIVA03G43040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRSVNPNNHHGDTEALSKASPLHAGSDPAMRKPKDDRRSNREAANKGGVSSPSRDRRGSLEGNRGSAPTTPGRSKFRSTGRGDETPDRGSAVPKFGEWDEKDPSTGEGFTDIFEKVREEKQSGTGNAPVMTSEADYIKRYQQRKYESTGCSCFSWFKN >ONIVA03G43040.3 pep chromosome:AWHD00000000:3:35444691:35447790:1 gene:ONIVA03G43040 transcript:ONIVA03G43040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRSVNPNNHHGDTEALSKASPLHAGSDPAMRKPKDDRRSNREGDLRQHETTVRKPYAESPNHRYGDHTNYDNAVRKTGIEKSPVHPRHQARAANKGGVSSPSRDRRGSLEGNRGSAPTTPGRSKFRSTGRGDETPDRGSAVPKFGEWDEKDPSTGEGFTDIFEKVREEKQSGTGNAPVMTSEADYIKRYQQRKYESTGCSCFSWFKN >ONIVA03G43030.1 pep chromosome:AWHD00000000:3:35439704:35441805:-1 gene:ONIVA03G43030 transcript:ONIVA03G43030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVVLAEAAVALLLMVKVGPLREAAMRGVEQAKTGKGPATVKTLACTLSVILMSSVASILKIQNRGLKLGTVSPMDQVLWRTHLLEASLIGFTLFLAFVIDRLHHYLRKLITLRKAANTSREEVEKLQMENRLFREKEEKSSSEIKKLHQEIAKLNESMKKLKSESEDHERKALEAEAHVNALQKQSEELLLEYDRLLEDNQILQSQLHYKG >ONIVA03G43020.1 pep chromosome:AWHD00000000:3:35435194:35438455:1 gene:ONIVA03G43020 transcript:ONIVA03G43020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein binding [Source:Projected from Arabidopsis thaliana (AT4G14147) TAIR;Acc:AT4G14147] MANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >ONIVA03G43020.2 pep chromosome:AWHD00000000:3:35435412:35438457:1 gene:ONIVA03G43020 transcript:ONIVA03G43020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein binding [Source:Projected from Arabidopsis thaliana (AT4G14147) TAIR;Acc:AT4G14147] MCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >ONIVA03G43010.1 pep chromosome:AWHD00000000:3:35422708:35432591:-1 gene:ONIVA03G43010 transcript:ONIVA03G43010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWH3] MRMRVSLLLLAAAAVAAAAEAAPRSTLAGPTRPVTVPPRDRGHAVDLPDTDPRVQRRVKGWVPEQIAVALSAAPSSAWVSWVTGDFQMGAAVEPLDPTAVASVVRYGLAADSLVRRATGDALVYSQLYPFDGLLNYTSAIIHHVRLQGLEPGTEYFYQCGDPAIPAAMSDIHAFRTMPAVGPRSYPGKIAIVGDLGLTYNTTSTVEHMVSNQPDLVLLLGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEEQIDNKTFASYSSRFSFPSTESGSFSPFYYSFDAGGIHFIMLAAYADYSKSGKQYKWLEKDLAKVDRSVTPWVIAGWHAPWYSTFKAHYREAECMRVAMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSYADEPGRCPDPLSTPDPFMGGGFCGFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDLYGSVGDEIYIVREPDNLQPVQLGGGGGGRRRGGGGAGMVSLAAYSPCSTVAGVPKNKGNGAASSTHKVSSILLLLPAIESKPTHGRGSAACYCSHPDAVRASYPPSHPCPRRRASASRRRRHHRQPRVAASLPLSIGTPPVAGCFISSTGRNVFRNHRPRGPTPLESIMRHVVVQCATSWDTPRTSTTNGSHAEPSAVVKAGTAPLIQALKSTANQDVSCFHFPGHNRGKASPPSLSELIGSRTFLHDLPELPELDDLFSPKGVILDAQKRAAELFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKVVKELEEDRKKVGAVLVTSPTYHGICSNIQGIVNVCHLRGIPVIVDEAHGAHFRFHRNFPSSATEQGANLVVQSTHKVLCSLTQSSMLHMAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDATRAQLSENAESFDEPVSMALETKHQLRIIPGISVLDLSSFLSDFPAIDPLRITLSASDLQLSGYEADDFLAEEHQIVSELVGTQAVTFAVNLGTRRHDVQRLVHSVKHLSEKYFSENGSSSRKENPASSPLDKFSIKLTPREAFFLKKRRVSIEDSLGEICGELICPYPPGIPVLIPGEIVTQDSLSYLMDVRDNGIAISGAADGELKSIMLSSPERKRKPQSEHSISRCTPVATMPEDATAAAAAAVAPFTFSPPPSSSAPFDQLQYYSVIKNSLCAAAAMAAAEAEEEEGSFCVVVVDFLSCGSATSTADCDMQPMEKGKTPALPRRSFMAAAANENLEFLITSTTDDDKVEEKKKKQLAATMVSKKYIQKLCVYVCIYGRIYGKNDQCLLSWVFGFVAKDA >ONIVA03G43010.2 pep chromosome:AWHD00000000:3:35424828:35432513:-1 gene:ONIVA03G43010 transcript:ONIVA03G43010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWH3] MGAAVEPLDPTAVASVVRYGLAADSLVRRATGDALVYSQLYPFDGLLNYTSAIIHHVRLQGLEPGTEYFYQCGDPAIPAAMSDIHAFRTMPAVGPRSYPGKIAIVGDLGLTYNTTSTVEHMVSNQPDLVLLLGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEEQIDNKTFASYSSRFSFPSTESGSFSPFYYSFDAGGIHFIMLAAYADYSKSGKQYKWLEKDLAKVDRSVTPWVIAGWHAPWYSTFKAHYREAECMRVAMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSYADEPGRCPDPLSTPDPFMGGGFCGFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDLYGSVGDEIYIVREPDNLQPVQLGGGGGGRRRGGGGAGMVSLAAYSPCSTVAGVPKNKGNGAASSTHKVSSILLLLPAIESKPTHGRGSAACYCSHPDAVRASYPPSHPCPRRRASASRRRRHHRQPRVAASLPLSIGTPPVAGCFISSTGRNVFRNHRPRGPTPLESIMRHVVVQCATSWDTPRTSTTNGSHAEPSAVVKAGTAPLIQALKSTANQDVSCFHFPGHNRGKASPPSLSELIGSRTFLHDLPELPELDDLFSPKGVILDAQKRAAELFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKVVKELEEDRKKVGAVLVTSPTYHGICSNIQGIVNVCHLRGIPVIVDEAHGAHFRFHRNFPSSATEQGANLVVQSTHKVLCSLTQSSMLHMAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDATRAQLSENAESFDEPVSMALETKHQLRIIPGISVLDLSSFLSDFPAIDPLRITLSASDLQLSGYEADDFLAEEHQIVSELVGTQAVTFAVNLGTRRHDVQRLVHSVKHLSEKYFSENGSSSRKENPASSPLDKFSIKLTPREAFFLKKRRVSIEDSLGEICGELICPYPPGIPVLIPGEIVTQDSLSYLMDVRDNGIAISGAADGELKSIMLSSPERKRKPQSEHSIRLSLTFH >ONIVA03G43010.3 pep chromosome:AWHD00000000:3:35424830:35432591:-1 gene:ONIVA03G43010 transcript:ONIVA03G43010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWH3] MRMRVSLLLLAAAAVAAAAEAAPRSTLAGPTRPVTVPPRDRGHAVDLPDTDPRVQRRVKGWVPEQIAVALSAAPSSAWVSWVTGDFQMGAAVEPLDPTAVASVVRYGLAADSLVRRATGDALVYSQLYPFDGLLNYTSAIIHHVRLQGLEPGTEYFYQCGDPAIPAAMSDIHAFRTMPAVGPRSYPGKIAIVGDLGLTYNTTSTVEHMVSNQPDLVLLLGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEEQIDNKTFASYSSRFSFPSTESGSFSPFYYSFDAGGIHFIMLAAYADYSKSGKQYKWLEKDLAKVDRSVTPWVIAGWHAPWYSTFKAHYREAECMRVAMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSYADEPGRCPDPLSTPDPFMGGGFCGFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDLYGSVGDEIYIVREPDNLQPVQLGGGGGGRRRGGGGAGMVSLAAYSPCSTVAGVPKNKGNGAASSTHKVSSILLLLPAIESKPTHGRGSAACYCSHPDAVRASYPPSHPCPRRRASASRRRRHHRQPRVAASLPLSIGTPPVAGCFISSTGRNVFRNHRPRGPTPLESIMRHVVVQCATSWDTPRTSTTNGSHAEPSAVVKAGTAPLIQALKSTANQDVSCFHFPGHNRGKASPPSLSELIGSRTFLHDLPELPELDDLFSPKGVILDAQKRAAELFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKVVKELEEDRKKVGAVLVTSPTYHGICSNIQGIVNVCHLRGIPVIVDEAHGAHFRFHRNFPSSATEQGANLVVQSTHKVLCSLTQSSMLHMAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDATRAQLSENAESFDEPVSMALETKHQLRIIPGISVLDLSSFLSDFPAIDPLRITLSASDLQLSGYEADDFLAEEHQIVSELVGTQAVTFAVNLGTRRHDVQRLVHSVKHLSEKYFSENGSSSRKENPASSPLDKFSIKLTPREAFFLKKRRVSIEDSLGEICGELICPYPPGIPVLIPGEIVTQDSLSYLMDVRDNGIAISGAADGELKSIMLSSPERKRKPQSEHSIRLSLTFH >ONIVA03G43010.4 pep chromosome:AWHD00000000:3:35424830:35432591:-1 gene:ONIVA03G43010 transcript:ONIVA03G43010.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWH3] MRMRVSLLLLAAAAVAAAAEAAPRSTLAGPTRPVTVPPRDRGHAVDLPDTDPRVQRRVKGWVPEQIAVALSAAPSSAWVSWVTGDFQMGAAVEPLDPTAVASVVRYGLAADSLVRRATGDALVYSQLYPFDGLLNYTSAIIHHVRLQGLEPGTEYFYQCGDPAIPAAMSDIHAFRTMPAVGPRSYPGKIAIVGDLGLTYNTTSTVEHMVSNQPDLVLLLGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEEQIDNKTFASYSSRFSFPSTESGSFSPFYYSFDAGGIHFIMLAAYADYSKSGKQYKWLEKDLAKVDRSVTPWVIAGWHAPWYSTFKAHYREAECMRVAMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSYADEPGRCPDPLSTPDPFMGGGFCGFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDLYGSVGDEIYIVREPDNLQPVQLGGGGGGRRRGGGGAGMVSLAAYSPCSTVAGVPKNKGNGAASSTHKESIMRHVVVQCATSWDTPRTSTTNGSHAEPSAVVKAGTAPLIQALKSTANQDVSCFHFPGHNRGKASPPSLSELIGSRTFLHDLPELPELDDLFSPKGVILDAQKRAAELFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKVVKELEEDRKKVGAVLVTSPTYHGICSNIQGIVNVCHLRGIPVIVDEAHGAHFRFHRNFPSSATEQGANLVVQSTHKVLCSLTQSSMLHMAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDATRAQLSENAESFDEPVSMALETKHQLRIIPGISVLDLSSFLSDFPAIDPLRITLSASDLQLSGYEADDFLAEEHQIVSELVGTQAVTFAVNLGTRRHDVQRLVHSVKHLSEKYFSENGSSSRKENPASSPLDKFSIKLTPREAFFLKKRRVSIEDSLGEICGELICPYPPGIPVLIPGEIVTQDSLSYLMDVRDNGIAISGAADGELKSIMLSSPERKRKPQSEHSIRLSLTFH >ONIVA03G43010.5 pep chromosome:AWHD00000000:3:35424577:35432591:-1 gene:ONIVA03G43010 transcript:ONIVA03G43010.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWH3] MRMRVSLLLLAAAAVAAAAEAAPRSTLAGPTRPVTVPPRDRGHAVDLPDTDPRVQRRVKGWVPEQIAVALSAAPSSAWVSWVTGDFQMGAAVEPLDPTAVASVVRYGLAADSLVRRATGDALVYSQLYPFDGLLNYTSAIIHHVRLQGLEPGTEYFYQCGDPAIPAAMSDIHAFRTMPAVGPRSYPGKIAIVGDLGLTYNTTSTVEHMVSNQPDLVLLLGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEEQIDNKTFASYSSRFSFPSTESGSFSPFYYSFDAGGIHFIMLAAYADYSKSGKQYKWLEKDLAKVDRSVTPWVIAGWHAPWYSTFKAHYREAECMRVAMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSYADEPGRCPDPLSTPDPFMGGGFCGFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDLYGSVGDEIYIVREPDNLQPVQLGGGGGGRRRGGGGAGMVSLAAYSPCSTVAGVPKNKGNGAASSTHKVSSILLLLPAIESKPTHGRGSAACYCSHPDAVRASYPPSHPCPRRRASASRRRRHHRQPRVAASLPLSIGTPPVAGCFISSTGRNVFRNHRPRGPTPLESIMRHVVVQCATSWDTPRTSTTNGSHAEPSAVVKAGTAPLIQALKSTANQDVSCFHFPGHNRGKASPPSLSELIGSRTFLHDLPELPELDDLFSPKGVILDAQKRAAELFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKVVKELEEDRKKVGAVLVTSPTYHGICSNIQGIVNVCHLRGIPVIVDEAHGAHFRFHRNFPSSATEQGANLVVQSTHKVLCSLTQSSMLHMAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDATRAQLSENAESFDEPVSMALETKHQLRIIPGISVLDLSSFLSDFPAIDPLRITLSASDLQLSGYEADDFLAEEHQIVSELVGTQAVTFAVNLGTRRHDVQRLVHSVKHLSEKYFSENGSSSRKENPASSPLDKFSIKLTPREAFFLKKRRVSIEDSLGEICGELICPYPPGIPVLIPGEIVTQDSLSYLMDVRDNGIAISGAADGELKSIMEP >ONIVA03G43000.1 pep chromosome:AWHD00000000:3:35422600:35424113:1 gene:ONIVA03G43000 transcript:ONIVA03G43000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRGSAGVFPFSIGCMSQSAVDVADPHDKKSTTTTQNDPSSSSASAAAMAAAAQSAEEEGGGEKVKGATAAAAAAVASSGIVATGVQRLIKGIKSLSQIFAMYDDEEEDEEEREMVIGYPTDVQHVGHIGWDGMNKVGGMVNAFSLPSSLSLRQLEMAMEAAHA >ONIVA03G42990.1 pep chromosome:AWHD00000000:3:35419250:35419922:1 gene:ONIVA03G42990 transcript:ONIVA03G42990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLDGTGNKRREPHKAVSAVHDSMSMTRLYMSSIAYAALEKWSGKWRLSVSKNIRTNLVISFLPNKNCLLPDFPGRSRAEAEAGALGKAIVRRVLGKADSAYAIAQTHITQCNGNATARQPKREEETEEK >ONIVA03G42980.1 pep chromosome:AWHD00000000:3:35413224:35418946:1 gene:ONIVA03G42980 transcript:ONIVA03G42980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAARVRRPRLLRHQEEGRRTARARARGGGGGGVCGGGGGGDLRRRREEVVGDPGPEAAVPCLAASRYEKTARPDGQLASHLLEKEKHKEKKHKKDKKDKERKEGKDKKDKERSKDKHRDKKDRKEKHKDKKKDKSKDKSRELEEGTERHSEALHGQKVGESSRKSEEIKDPKSREDLVTRTQNEKGATNQSVQNFSVSNQRGREGFSAAPALENERTAANKMHSHSINASRKTEVLGQKSISINQQKNGTAIRRGDNITSSSQRTSDVFIAAPTAEKERVKVARPLSNSTDSAPKKDGMGQRINNISILVQKRTDSPNKETAKKEAGTNSPLLPSPANTMHKGNGKVGRPMEIPTQRFDSPSTSSATTGTDRGMPRSSIPSPSITIRRPNGLVRPPESISISSKKPDAGGVSPAMGKEKEQGGRILQNNIIDPKQINSKPPTMEKITDGRTERMEKVRDGAPDVAKEDKKSDRHEKKKRKEKDKHKEKKRDKEAKKEKDEQNNNKEHDKLRENSINYQVDNSLHMKSSTPPLAPPADDAKAAQADENLKKRKNHEMNGYLQNHHDTMRPTKLPRPAHSNTPVENGTASHVAAPLSSVKPEAINIEKAIRQHKKEEKINGNQEGQRSSVEPRLHDPLVASENGAPTKKLPHPDSKYLSQIYSIPEAPQMMEWHGHDDQDWLFDHDGTQPKKTESETEADGASQVWAQPLKIDQADVIALPYVIPY >ONIVA03G42970.1 pep chromosome:AWHD00000000:3:35403047:35408536:1 gene:ONIVA03G42970 transcript:ONIVA03G42970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13020) TAIR;Acc:AT4G13020] MERYKVIREIGDGTCGNVFRAYNTETNEIVAVKKMKRKFFQWEECISLREVKECNLYDVIRERQAAFSEEEIRNFMVQILQGLAYMHNNGYFHRDLKPENLLVTDGTVKIADFGLAREVSSSPPYTDYVSTRCETDQLYKICAVLGTPDHTVWPEGMNLPRSSSFNFFQIPPRNLWELIPNATLEAIDLIQVGNWVPRPLHASHTKTIETRPNPRLELNLWDFGTEPEDNYLDLTLSLKPSFPGTDFSNNVPEHTKEEILLYPGFENPPVQSGFWPLVASDRPMGDVPAMSSWPQAYVVDGQATLPAVGFSGSPFGLSPLQPNLFENRSFATPIRQVNFF >ONIVA03G42960.1 pep chromosome:AWHD00000000:3:35394935:35400379:1 gene:ONIVA03G42960 transcript:ONIVA03G42960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GWG8] MVAVASASPLTAAAAPSMCCSPPAFLPLRAHRRRIFRAEAMKTQQEKKQTEVAVEESFPFRETAPPDEPLVTAEESWVVKLEQSVNIFLTESVITILDGLYRDRNYARFFVLETIARVPYFAFISMLHMYETFGWWRRADYIKVHFAESWNEFHHLLIMEGTTENCHSLTQEISQLQDLGSTLIELWNLMDTPTAEQKCFDHVTSLISVSPNTKMPQGCLARELIEKFTIDRPFYVLLLYLDCH >ONIVA03G42950.1 pep chromosome:AWHD00000000:3:35393172:35393511:-1 gene:ONIVA03G42950 transcript:ONIVA03G42950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRPSPEWWRGDPSVRGGVVMHPGEGDWWRRAASLLEVPDLKAGAGGHSSEPVRVAAAGYVVHGVPHGRFREHTSRRPVAVVALKQRSSWNGGEGCFPPCAAATVFRPGD >ONIVA03G42940.1 pep chromosome:AWHD00000000:3:35382031:35382705:-1 gene:ONIVA03G42940 transcript:ONIVA03G42940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAAPPLLEGEELMDIPVEKRARKSMVVVRDANGEYCSGCVLKSKGKYTYLLAQSSFASGREDTLKICFFDQIEREASAFASGDTFCLLRTKRHTDCRPIKKMRGQVMPEPMVVFAPSSATSAYHIPGFVISESTAALDLRRNHINGSECYFLGTCHYAEKGFNGHF >ONIVA03G42930.1 pep chromosome:AWHD00000000:3:35375532:35375973:1 gene:ONIVA03G42930 transcript:ONIVA03G42930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEDVDGDGDDGGEAAGAEEGSGPFFPILLFPRRHGKNREAINPIQYPFSNPNSQLLIETNKQRRTDGYRD >ONIVA03G42920.1 pep chromosome:AWHD00000000:3:35364902:35365108:-1 gene:ONIVA03G42920 transcript:ONIVA03G42920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSALGLPICWPRPGKARRLHQEGDGSPATNQTTSSKASPSFPGSPSGWVRWRTSDRGDEAEAAMGDC >ONIVA03G42910.1 pep chromosome:AWHD00000000:3:35366081:35370942:1 gene:ONIVA03G42910 transcript:ONIVA03G42910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLRNWQLHRTINLDINPPSIQPLGTCGKLGGGLVIFSQEAPRIRLLTVRGDRQPSDLHSGSIQDVWATLSAEVASKLSKSVVSLALSDGNIPIYACSGTAISCQEGSGTIFLTSASLVRAFYDTEEIYDNLKIEVRHEGNEVYKGYLAKYDLDKNFAVVYTMEPLDVHVVLSEHLKDRYAKKKLVAVSRNKYGGLITKSVMVGSHHNSNRSEVCHDISVMAEDWEGGPLFDFDGKFVGMNKFLAMDTTFILSWMSILIIFKHYLPTLQNRILKRLQNLKRVRDGEGPGELSDCHPEAPVHRGGLDKEHVEYLNSLGYPKPPVNVLDDGLILAYSFEENFGFVYGEVIKKFPCDIHQSVVALASFKEWKGCTIILTSASLVAESGDRNKIDENLRIEVLLPNEQRRQGTLQHYSLHYNVALVSVNDMDFHARPANMKLDNECPPQVAALGRCFESGKIMAVCGDLVDWTGTLDCNFLIRSSCKTTKAGIGGPLIGLDGKVIGMNFYDKKIGTPYMPWDVILKILACFENENSAAEVGNGSDPSDAPGWKIPGDGSVKLNRWPVPLPYWRPHDDVEEQEPPEGCEYQCTYRNGERWCYR >ONIVA03G42910.2 pep chromosome:AWHD00000000:3:35364689:35370942:1 gene:ONIVA03G42910 transcript:ONIVA03G42910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLPFSPKESWSSPVNSAIGHVRKIRRRAGHFLSRSSKNKAAHSKGGQTTKSLISGDLHSGSIQDVWATLSAEVASKLSKSVETYRYMHAQAQLSVARRGLIEVRHEGNEVYKGYLAKYDLDKNFAVVYTMEPLDVHVVLSEHLKDRYAKKKLVAVSRNKYGGLITKSVMVGSHHNSNRSEVCHDISVMAEDWEGGPLFDFDGKFVGMNKFLAMDTTFILSWMSILIIFKHYLPTLQNRILKRLQNLKRVRDGEGPGELSDCHPEAPVHRGGLDKEHVEYLNSLGYPKPPVNVLDDGLILAYSFEENFGFVYGEVIKKFPCDIHQSVVALASFKEWKGCTIILTSASLVAESGDRNKIDENLRIEVLLPNEQRRQGTLQHYSLHYNVALVSVNDMDFHARPANMKLDNECPPQVAALGRCFESGKIMAVCGDLVDWTGTLDCNFLIRSSCKTTKAGIGGPLIGLDGKVIGMNFYDKKIGTPYMPWDVILKILACFENENSAAEVGNGSDPSDAPGWKIPGDGSVKLNRWPVPLPYWRPHDDVEEQEPPEGCEYQCTYRNGERWCYR >ONIVA03G42910.3 pep chromosome:AWHD00000000:3:35364689:35370783:1 gene:ONIVA03G42910 transcript:ONIVA03G42910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLPFSPKESWSSPVNSAIGHVRKIRRRAGHFLSRSSKNKAAHSKGGQTTKSLISGDLHSGSIQDVWATLSAEVASKLSKSVETYRYMHAQAQLSVARRGLIEVRHEGNEVYKGYLAKYDLDKNFAVVYTMEPLDVHVVLSEHLKDRYAKKKLVAVSRNKYGGLITKSVMVGSHHNSNRSEVCHDISVMAEDWEGGPLFDFDGKFVGMNKFLAMDTTFILSWMSILIIFKHYLPTLQNRILKRLQNLKRVRDGEGPGELSDCHPEVHRGGLDKEHVEYLNSLGYPKPPVNVLDDGLILAYSFEENFGFVYGEVIKKFPCDIHQSVVALASFKEWKGCTIILTSASLVAESGDRNKIDENLRIEVLLPNEQRRQGTLQHYSLHYNVALVSVNDMDFHARPANMKLDNECPPQVAALGRCFESGKIMAVCGDLVDWTGTLDCNFLIRSSCKTTKAGIGGPLIGLDGKVIGMNFYDKKIGTPYMPWDVILKILACFENENSAAEVGNGSDPSDAPGWKIPGDGSGLCPCHIGVLMTMWKSKNPQRAVNTSAHTEMVKDGATGREVPKLPT >ONIVA03G42910.4 pep chromosome:AWHD00000000:3:35364689:35371042:1 gene:ONIVA03G42910 transcript:ONIVA03G42910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLPFSPKESWSSPVNSAIGHVRKIRRRAGHFLSRSSKNKAAHSKGGQTTKSLISGDLHSGSIQDVWATLSAEVASKLSKSVETYRYMHAQAQLSVARRGLIEVRHEGNEVYKGYLAKYDLDKNFAVVYTMEPLDVHVVLSEHLKDRYAKKKLVAVSRNKYGGLITKSVMVGSHHNSNRSEVCHDISVMAEDWEGGPLFDFDGKFVGMNKFLAMDTTFILSWMSILIIFKHYLPTLQNRILKRLQNLKRVRDGEGPGELSDCHPEAPVHRGGLDKEHVEYLNSLGYPKPPVNVLDDGLILAYSFEENFGFVYGEVIKKFPCDIHQSVVALASFKEWKGCTIILTSASLVAESGDRNKIDENLRIEVLLPNEQRRQGTLQHYSLHYNVALVSVNDMDFHARPANMKLDNECPPQVAALGRCFESGKIMAVCGDLVDWTGTLDCNFLIRSSCKTTKAGIGGPLIGLDGKVIGMNFYDKKIGTPYMPWDVILKILACFENENSAAEVGNGSDPSDAPGWKIPGDGSVKLNRWPVPLPYWRPHDDVEEQEPPEGCEYQCTYRNGERWCYR >ONIVA03G42900.1 pep chromosome:AWHD00000000:3:35361125:35362535:-1 gene:ONIVA03G42900 transcript:ONIVA03G42900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWRCSEHAPEQRGRGPRSRSTAAWNGTKLDGTETALRIEEHKKREGNRGSSALVAVVVFTAGDEVEMEEGAEVLPLNGAHKGNDPRTKVTAKWVQGVRRMGRKRGREIEIERRDVRHGHDRQDMHDALLMVLPN >ONIVA03G42890.1 pep chromosome:AWHD00000000:3:35359052:35359964:-1 gene:ONIVA03G42890 transcript:ONIVA03G42890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFMKHLARLLCLRTRGRRGADRQRHRRRVGTPVFLLGNCFLEQLPGCNIQTAIMPTIPTVSPGGISAPCKVEYGPHITRREIQFTCPNKAGYSGSPLLHEEKVIGILGRGAYQASLAVCTEFDHLFGNEAWWTKVRSLLKT >ONIVA03G42880.1 pep chromosome:AWHD00000000:3:35349159:35350446:-1 gene:ONIVA03G42880 transcript:ONIVA03G42880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVSIPEGFDITDVEEIPLCETLPSLHENIHLFSPEYCRVTSGNIIGTTSDIAFQCNPCISEDSEFGAPLLNNSSELIGMSTGYGRLYLTAISSISIARAIERTQGRQFQGVQHALQHLRSNRL >ONIVA03G42880.2 pep chromosome:AWHD00000000:3:35349104:35350446:-1 gene:ONIVA03G42880 transcript:ONIVA03G42880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVSIPEGFDITDVEEIPLCETLPSLHENIHLFSPEYCRVTSGNIIGTTSDIAFQCNPCISEDSEFGAPLLNNSSELIGMSTGYGRLYLTAISSISIARAIERTQGRQFQVMHCLMSSIQYFAVSHKVPHFILSDDRESSMPCNIYEATGSRVAYAFTARWMTREYLAD >ONIVA03G42880.3 pep chromosome:AWHD00000000:3:35349104:35350446:-1 gene:ONIVA03G42880 transcript:ONIVA03G42880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVSIPEGFDITDVEEIPLCETLPSLHENIHLFSPEYCRVTSGNIIGTTSDIAFQCNPCISEDSEFGAPLLNNSSELIGMSTGYGRLYLTAISSISIARAIERTQGRQFQVMHCLMSSIQYFAVSHKVPHFILSDDRESSMPCNIYEATGSRVAYAFTARWMTREYLAD >ONIVA03G42870.1 pep chromosome:AWHD00000000:3:35338656:35343554:-1 gene:ONIVA03G42870 transcript:ONIVA03G42870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHQKVLIMTADGWNCCGIIRGLDTTYPRLIAQFTESSSKKGRQGGMAEFLFEDIFTVTRLDPDGKKFDRVSRIEARSDQFDMYMQLDVATDVYPMHPGDRFTMVLVPTLNLDGTPDSAFFTQAGRKTLADKYEYVMHGKLYKISEDKDTSDQNAKKVEMYASFGGLLVMLKGDPSSAANFELDQRLFLLMRKV >ONIVA03G42860.1 pep chromosome:AWHD00000000:3:35334096:35336366:-1 gene:ONIVA03G42860 transcript:ONIVA03G42860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASFRLPLQLLLVVGAAASETAHFLGVNYGRLGDDLPPPHRALELARSAGAAAVRFYDSNATFLSPAAASGLVFVPGVPNELIPSLAASRRAADEWVAATLLPFRRNRRLRYLFVGNEVLSDPTTKSRWFQLVSAMANLERALRRHGMRRVKVSTTLGMDALDGQNVFPPSAGVFRPDIADAVVRPLLAFLERTDSYLFVDAYTYFTWSANHTIVPLHYALLEPSPSPSPAYQYHDPGTGLSYTNLLDHMLDAVVAAMCRAGHCGVRLALAETGWPNAGDLDQFGANARNAATYNRNMARHLASGAGTPRRPGMRMPAFVFALFNEDLKTGPATERHWGLFHPNGSAVYEVDLTGRRPPASYPPLPPPATNDAPYPGKLWCVVGAAAANETAVREQMEAACADEAALCAPVRAGGECYLPNTVAAHASYVFSAHWNKFSKVYGGWCYFAGLAMETTTDPSHGSCKFPSVTPS >ONIVA03G42850.1 pep chromosome:AWHD00000000:3:35324634:35333282:-1 gene:ONIVA03G42850 transcript:ONIVA03G42850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSASGQMAALCSPSPTLPTASLLLLRRRRLRLLHPPPSAAALLLLLPARRRGRHHHRRGGVLRCDAAAASPSAGSTLENPVRRKCSPLLESALLPGGNGLTTHDWMAVPDIWRTAAEKYADRVALVDPYHEPPSELTYKQLEQEILDFSQGLRAIGVAPDEKIALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFISRINARFIVLLWGEKSCLNSEVVNGIPLYDFKDITQLGRESRNTLRHSHEQGQQVVFETITPDDVATLIYTSGTSGTPKGVMLTHRNLLHQIKNLWDFVPAVPGDRFLSMLPPWHAYERASEYFIFTYGIQQVYTTVKYLKEDLQRYQPQYIVSVPLVYEILYSSIQRQISSSSTARKFVALALIKISLLYMEAKRIYEGTVLSNNPVKPSFIVYMVNWLSARIVAALLWPLHNLAKTLVYKKIHSAIGISKAGISGGGSLPMHVDKFFEAIGVKVQNGYGLTETSPVVAARRPFCNVLGTVGHPVKHTEIKVVDMETGEVLPDGSKGVVKVRGPQVMKGYYKNLSATNKVLDQEGWFDTGDIGWIAPHCPTGPSRKCGGMLVLEGRAKDTIVLTTGENVEPAEIEEAASRSDLINQIVVVGQDKRRIGALIVPNYDEVLATAKRKSILDGSNELAKDKVLNLLYDELRTWMVDCSFQIGPILIVDEPFTVDNGLLTPTLKLRRDKVTAKYHREIDALYK >ONIVA03G42840.1 pep chromosome:AWHD00000000:3:35322750:35323571:1 gene:ONIVA03G42840 transcript:ONIVA03G42840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGVTAAVNMWDLLTVDDPGHVPGTVGAAESKSKRKKNKKKNAAADDGDGEVVVASTAADEPCANAEAARGSEPAGKAAQMSSGNQQAAAASNDDVDGGAPPAAAAAGGGRRSPCLTVVGEMVKAVVAAGLVAFFYAVVTAPTTV >ONIVA03G42830.1 pep chromosome:AWHD00000000:3:35319134:35322043:-1 gene:ONIVA03G42830 transcript:ONIVA03G42830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPFDLLGEADGESGEAAVAILVGKKKAEADAFAAANPQPTKKGAKDVKGKNNGQENGSGDRNYDYDYYNRGYSNNNGGYQNYNYNGNNSHGYQNYNYNGNGQGHYNGGYQPIHQGNGQYQNNGNYHGDYGYDGQYAENYNNGQRQVYNGGQYRKKNLQYRPKEKQLSETASACSAENKSEDKLDSASETGKKESVAGDAVAKPVSGPEESTGDVAQDNSKKDGGDPEKKKVRNTLSGSAKRKLKKKHDSKVSGKTEKEAEKAEVLKEEERKDMTLEEYEKVLEEKRKALEDSKSEGRKVTAEVFEGMQLLEKKKLDDENASKKAENEQRKEPAKQVKAPKAINLNDLLKPADGQAQGGYRGDGGYQQGRGYSGNGNGGYQQGQGYSGNGGYQQGRGYSNNGGYRRGGNQGNGRNESDSILSPAHFPALTGTIPATPEKVQSQSQASSSPAPAQAQPQAQTLSSSSSSGAAPAPAQAKSQAPPPAPAQAKSQAPPAQAKSQAPAQVKSQAKAPAPAARTKAPSMAQA >ONIVA03G42820.1 pep chromosome:AWHD00000000:3:35314670:35315340:1 gene:ONIVA03G42820 transcript:ONIVA03G42820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEILIVILIELGDDDADNTAGDSQQRARASPHSSERASGRVCNHRHHLVQPLNHTEVRIPIHHSDGSDAAAATEGDDRRAQSDDNDGCADDRDLREVMVYGGGRWRDGEGGGGARDSTIRREDNRRRRRGLGGMGRQRVGGVRRRRAEEEGWGAGECDAELQIGERGSAMRIGEMRRGATGGSWEKISSTDACERILR >ONIVA03G42810.1 pep chromosome:AWHD00000000:3:35310711:35312996:1 gene:ONIVA03G42810 transcript:ONIVA03G42810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYGERLMKISKSLSKEATSVIRKLQFEPSLIISLNNLDACRGLIFWIASNCKVAKFNNEDVIVLNVNPETPRLITPAVLGVIHGYPRGVLLNNTPDPDSPPLKNILEHPHAKLEDLQEAIFAAKQKRLKQNLVHDKKTNPYNLLSIEDIEEACSAARSNNDTMLEVMLFLAALIHYSSFTKSGHYISATSLNSVLEIEKIASNDWCKLLLDHMKNGFIALEKSGTVWSPVSFLIFFLDNLDWAATTSNDPMKTPRLQYYTKSVLDKFVDLLEDNTRIKLKPWDRTVYAHKGIPEVQPDQIEYTVVCKDNAKKRSRLHEDDSVVIVCNDNAKKRSRLHEDDSVVIVPSMKRLLGSSLKEIPIDMVDRANNIIEKFQSSWVESVQRITQTEVMNCNRQLEELFGLGSKQAEESELDRFYEEHVLSKINLTEKDKSDIVLNIGSFLIPDYMFVEVFQPGGQMSNWVAQGLANVWNEKWSGVHVMLDVFPVVKKRSRSGKSGIGKTVEEEDCKKKGKSEKNKRPFQEEDDSFP >ONIVA03G42800.1 pep chromosome:AWHD00000000:3:35308044:35310056:-1 gene:ONIVA03G42800 transcript:ONIVA03G42800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFILKLSEGKDICTINQKEVTINRKRMAMSVFTYKEELTKLDLDDATYKFFEESNRKGNKKSDDGPVEPDDDEPVDHETQREGTNTQQEKMKSVASVDSGAITYQRMRRKMKSMEGSPRSSSASGKNAEAAGDVQENTKTGGTETASGENAEAAGISLKDAGKEERASQKGGKNKGKKKGQNSKPELVSPVTIPSACGRAQGPDLSEMLSMGRMWLKDEILEKVYQLVNSKSCKDQVILASGEISMTGSQLEQSLQGGEDARNLMIFFMKCLDDKSVNGLKRIFVSPLDKDDQDLEKKIQDAVPSRPGRNSRPCALSDTIIYCPVFFSGEWIVVCFVLRKVLNQIHVFCHKDSFRKVTDFCRSLGEKLNKAFERCGYKVVDFGAKLASTSSAIELNGSAFASMFFIEKFKGSQDSNFQKFTWKEDEYRDEFIKVYKLGLLPYLMNHKKNAATVPDAIAELISKSRKNQRKAEHSEANDKPIKKPKNN >ONIVA03G42790.1 pep chromosome:AWHD00000000:3:35303775:35306236:1 gene:ONIVA03G42790 transcript:ONIVA03G42790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLTTSGIKNVVLIGDDKQLQSLVKSPTAKEEKFGRSFFERLYELGFPKHTLNIQYRMHPSISKFPNERFYDRILIDGPNVKDYNNTYLDGHMYGPYSFIHIEDGFEENINQGSRNIVEAVVAANIVGRLSKACTKQKKKTSVGIISPYAAQVNLLEQKVQRYENHCFLSVKVCTVDSCQGGEKDIIILSTVRHNHDGNVGFLYCDKRTNVALTRAKNCLWILGHEPTLRNNNSTWSYLVKDAAGRDCLFNARDDNFLARTMDEFRGMQNSQVTDNVLRQNNECHCAPNAQLPGDNCAIRPDEAQVVEPAHAEQVEGAAEKTWQVNSRKRDQPAGQFARGEQLTDEEVVESAHVEQVHLSQFSPSPSRKRACLSQFASGAQLTDEVAALDEDPPVIVRLEGLSQLAEAVARTPVLDEGVNLYEQARSARDERFWSCVHMDLYNNIFNNKKCTDHKWINWTRTRRHPAMQHIEEACRDVGLHGLMAIKQDWHVETIKQFYSTFYVDPSRTSLTWMTGTNKKITVSKKFCQKVLHVPSEHTDKILDSLTETQKEWLTSRTNNEYLKLVNLIIRMTIDPKIGDGGKIYGLSAVLAYHILSRKRFDIIDMMFKQMEKNFRHSKKTMIYAPYIMLLINHAFEDKFVPESGGKEYSKHKKHNMELKLPKEPKGPRASKSKVIRVPLMNP >ONIVA03G42780.1 pep chromosome:AWHD00000000:3:35294103:35297013:1 gene:ONIVA03G42780 transcript:ONIVA03G42780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRQTKRNAELAARLNTRFPEAAVKFTPGTVKNKLSTFKQRWSGGRKLATCEGTLITCSATVLTILFTETVTASESTNSGAADSGAAVSTPVGPMSGKSYVNYGIIGASSIKKCIDLVESIEDLSDQEKADGARLMKSEVNREIFLNFTSPRVRLLWIKGEIAKQSHLANMGGKIHIWSNVEENKLMEFCGEPHMIDMYNDRRSDFFTRLANQMNEREGRPEGYVTLTPDKVREKFRRLEAAWKEHCTSAAAAAEVGRRRHNGLVPRYKSIDPSAIH >ONIVA03G42770.1 pep chromosome:AWHD00000000:3:35264678:35271092:1 gene:ONIVA03G42770 transcript:ONIVA03G42770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARARASSSSSSSFLLLQRTNATDKNAQFVVTFNLVLKLWREEGRNSMAMEIPRRYPRGGSDGKVIFSGNGARVVCVGVCWLCTLSWCKGREYPQND >ONIVA03G42760.1 pep chromosome:AWHD00000000:3:35263949:35264638:1 gene:ONIVA03G42760 transcript:ONIVA03G42760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMERSVSCAERPPAAFGGGVAADLRCYSASYATSYKPGAGAAAAAGAGTNTTTKVMKRATSANAWSRPGGGGGVQRSGSTKTVASSAAGWSRGGGPTPGFNLRSYSASYAASYSPFEDPSPAEKTGGGGGGAATWASSAGRRSVNLRGYTPSFAALDDTAVAPPIPAKKQVSPTSSFSGAVVDDAELQRRKRLVAYKAYDVEGKVKDSVRRSVKWIKGKCSRAVDGKW >ONIVA03G42750.1 pep chromosome:AWHD00000000:3:35254684:35256118:1 gene:ONIVA03G42750 transcript:ONIVA03G42750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGSKPRATSDKGDRPLKEPRNNVAPNHIVKILVRSSRSAQPLHDGHEKREKYVWLEKAPPPKPFRKLLYQGWIQQLLRGKQAPPVIYNKIPSSSSTGSSKAVQVDDLSDFSPETKEAKTSAYITKFVVCSPNLQLSSVHPIKDEPSALKLPKSTPADGRDARMNCLRRKKFDKDTIKLIKTANAARTKTYNPPLLTDLEILMSHLEALVLLLKGEPPETFEEKTLATHEGEAHSSLAGLDQRLDQWLFDLDVIKSGHVTIVAGLQVAKQLGASWKIEAKEHEQKVKEPREEEQKCAKYQFVQDRKNV >ONIVA03G42740.1 pep chromosome:AWHD00000000:3:35194221:35199722:1 gene:ONIVA03G42740 transcript:ONIVA03G42740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRYVNFFLFFVISFSLLHKSYPKSTNQSNEEHQILLELKNHWGSSPALGRWNSTTAAHCNWEGITCTNGAVIGISLPNQTFIKPIPPSICLLKNLTRLDLSYNNFSTSFPTMLYNCSNLKFLDLSNNAFDGQLPSDLNHLSALLEHLNLSSNHFTGRIPPSIGLFPRLKSLLLDTNQFDGRYPAEDISNLADLERLTLAVNPFVPAPFPVEFGRLTRLTYLWLSNMNITGEIPKNLSSLRELNLLDFSSNKLQGKIPTWIWQHKKLQNLYLYANGFTDEIEPNVSALNLVEIDVSSNELIGTIPNGFGKLTNLTLLFLYFNKLSGSIPPSVGLLPKLTDIRLFGNMLSGSLPPELGKHSPLANLEVSNNNLSGKLPEGLCFNRKLYDIVVFNNSFSGKLPSSLDGCYLLNNLMMYNNNFSGEFPKSLWSVVTNQLSTVMIQNNRFSGTFPKQLPWNFTRLDISNNKFSGPIPTLAGKMKVFIAANNLLSGEIPWDLTGISQVTEVDLSRNQISGSLPMTIGVLVRLNTLNLSGNQISGNIPAAFGFMTVLTILDLSSNKLSGEIPKDFNKLRLNFLNLSMNQLIGEIPISLQNEAYEQSFLFNPGLCVSSNNSVHNFPICRARTNGNDLFRRLIALFSAVASIMLLGSAVLGIMLLRRKKLQDHLSWKLTPFHILHFTTTDILSGLYEQNWIGSGRSGKVYRVYAGDRASGGRMVAVKKIWNTPNLDDKLEKDFLAEAQILGEIRHTNIVKLLCCISSSDAKLLVYEYMENGSLHQWLHQRERIGAPGPLDWPTRLQIAIDSARGLCYMHHHCSPPIVHRDVKCANILLDHNFRAKMADFGLAKILLKAGDDESFSAIAGTFGYMAPEYGHRLKVNEKIDVYSFGVVLLEIITGRVANDGGEYYCLAQWAWRQYQEYGLSVDLLDEGIRDPTHVEDALEVFTLAVICTGEHPSMRPSMKDVLHVLLRFDRKSNGGILQDDICDETALLES >ONIVA03G42730.1 pep chromosome:AWHD00000000:3:35185593:35186450:1 gene:ONIVA03G42730 transcript:ONIVA03G42730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKKIWNMQNLDNKLEKDFLTEVQILGEIRHINIVKLLCCISSSEAKLLIYEHMENGSLHQWLHQRERIGAPGPLDWPTRLQIAIDSARGLCYMHHHCSPPIVHRDVKCANILLDHNFRAKMADFGLAKILLRAGDDESFSAIARTFGYMAPEYGHRLKVNEKIDVYSFGVVLLELITGRLANDGGEYYCLAQWAWRQNQENGLSVDLLDEGIRDPTHVKDALEVFTLAVICTGEHPSMRPSMKDILNVLIQFDRKL >ONIVA03G42720.1 pep chromosome:AWHD00000000:3:35176810:35177384:-1 gene:ONIVA03G42720 transcript:ONIVA03G42720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVTRAHLEQRLALAKRCSREANLAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >ONIVA03G42710.1 pep chromosome:AWHD00000000:3:35173825:35174352:-1 gene:ONIVA03G42710 transcript:ONIVA03G42710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQKLALAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARKHSFEGAPEHLKNTSFQGTGRPHPAFFRP >ONIVA03G42700.1 pep chromosome:AWHD00000000:3:35167816:35168609:-1 gene:ONIVA03G42700 transcript:ONIVA03G42700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRAHLEQRLALAKRCSREANIAGVKAAAVATIASAVPTSSFISRAHQLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >ONIVA03G42690.1 pep chromosome:AWHD00000000:3:35167707:35177035:1 gene:ONIVA03G42690 transcript:ONIVA03G42690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQGRPEEGRVRAAGALEGGVLEECLLASERIFLSAATKYAIPAAVQMISACPVGLMLAFAHGSILTLAS >ONIVA03G42680.1 pep chromosome:AWHD00000000:3:35163427:35166787:1 gene:ONIVA03G42680 transcript:ONIVA03G42680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLNLMRLMSVKRRRRQVQVPHDGLIALRAKRKCSPCQQDGDSQGAADIEIPDLPEDIWRLIHSLMPMRAAARAACVSRSFLSSWRCHPNLNFSSEAFGLNRNACGKEELAGLFYSKVDHILKRHSGIGVKKLTIKVYSDYSGKGSSYLNNWLQIGVKPGIEELIISLTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLGGLRSLTRLYLCCVRITENELSCFLSHSLALEQLEIRYCDRIVCLKVPCLLQRLISLKVFGCDNLKLIENEAPNVSIFAFQGDKTQLKLGETLQMKSLCMVRSGYVYHARAELPSIKPNLESLAIKSFKETAFAPKLCSKFLCLRHLSIALIGFFPAYDYLSLASYIHAAPSLETFYLNVMQRYVQNVSIFAHPADLRSIREEQHHSLKSVRVTSFISVKSLVELTCHILESTTSLECLTLDASQTGFRCDTPGSKIGKCPPLDRDIIMEGHRGVLAIRRYIQPRVPSTVKLNVLEPCSCHSTEL >ONIVA03G42670.1 pep chromosome:AWHD00000000:3:35159190:35162872:1 gene:ONIVA03G42670 transcript:ONIVA03G42670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIHSELRPIPFEDPFGDIYGEGVWRKFSRRASILNRNVVALASFNGFFIEWSGSKMILTSASLVRDSGDENKIDENLRIKVFLNNQCKEGKLEHCNLHYNIALVSVKYRALRPLNTSFDCKSSRVVAVGRCFNSGTLMATSGRLVPWTGTLDCQFLACSTCKITKLKYGHGKVLWNMNLTAGIGGPLVNLDGNVIGMNFYDTRIGTPFLLWEEICRDKKPRWCRPEDAESDDDDKLAFDDTGQLQYSYILGRKVKLLRLTIPISVPIVEAKSTDEPGVDPFAQRKQKKKRVEKQGKNRLENLKKAAKVGALPSHIQLAATSLPITGTKADLPKKSRKEDLENVAGMGSATASGGKFDEKLPGEKPPKHPGKHKKFIPVAEGEGMGNLGKQQNDKILMSLLARNSEQLDANTMYKVKKEKRRRKDREMSSRSDKLKPQKKPFKKLSKKKA >ONIVA03G42660.1 pep chromosome:AWHD00000000:3:35148944:35150651:-1 gene:ONIVA03G42660 transcript:ONIVA03G42660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSMMKFFSPSSSSAIYTTLSSRLARINATRHTPPPPPKSSRAARSLTSFLLIRATMSSSSSSSDAVAAAAAATFEKPRTVVKKLLAESQPEGDGATVRRSIGRYELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIGTGDVQWMTAGRGIVHSEMPAADGVQKGLQLWINLSSKDKMIEPRYQELMSKDISCAEKDGVEVKIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEAWNAFVYIIDGEGVFGREKASPATAHHCLVLGPGDGLSVWNKSGEPLRFALVGGQPLNEPVVQHGPFVMNTHAEIQQAMEDYYYGRNGFEKARHWSSTA >ONIVA03G42660.2 pep chromosome:AWHD00000000:3:35148944:35150651:-1 gene:ONIVA03G42660 transcript:ONIVA03G42660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSMMKFFSPSSSSAIYTTLSSRLARINATRHTPPPPPKSSRAARSLTCTTASNPKSKSKSKAKHLLLLLLIVSLILLAAFLLIRATMSSSSSSSDAVAAAAAATFEKPRTVVKKLLAESQPEGDGATVRRSIGRYELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIGTGDVQWMTAGRGIVHSEMPAADGVQKGLQLWINLSSKDKMIEPRYQELMSKDISCAEKDGVEVKIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEAWNAFVYIIDGEGVFGREKASPATAHHCLVLGPGDGLSVWNKSGEPLRFALVGGQPLNEPVVQHGPFVMNTHAEIQQAMEDYYYGRNGFEKARHWSSTA >ONIVA03G42650.1 pep chromosome:AWHD00000000:3:35145427:35148329:-1 gene:ONIVA03G42650 transcript:ONIVA03G42650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G23700) TAIR;Acc:AT3G23700] MLAAAAAALRPAPTTAAFSPSTARSPPPTLLSFAPPRSHQRFHLSATAEGAGTTAAQEGASASASAPPVDEARLAQFAADWQAARAERDQGKILKLQVIRANSGGLIVRFNSLQGFVPNPLLSPAHWCKDPKRPIQDVTKDLVGSSISVKVVEVNEEERKLVFSEKDASWFTHSSLVKIGAIYDGIVGSVFHYGAFVHLRFPDGNYHLTGLVHISEVSWDLVQDVRDFLNEGDTVKVIVVNIDMEKSRIALSIRQLEEDPLLETLDKVIPLEADQSPSAGIISSDSSPSEADLLPGLDGICNELLQEDGITDVQFGRRALEKRVVSQDLELWLSSVPAKDNQFKLLARAGRQVQELYLTTSLDQEGIKKAVQRVLGRVP >ONIVA03G42640.1 pep chromosome:AWHD00000000:3:35143581:35144468:-1 gene:ONIVA03G42640 transcript:ONIVA03G42640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGGGGGQPSCAAVSLSKYLQRKLWKRINGGKPRRKRRPEVRSASGGGEVPVSVELMTTSSWSSSTVRSPEAVVRVVMQGGVVEAYGGVVLACTVIRKHPPGLCLAYPDVFRNPHGARVRPLQPLFPGEKFYLLPERTIERLQRQIPESSVGAFDNADEEEEEEEDTQDYSSGAASSSEEEEAACDDDDGDECAARRWCCAREYFEAKERWEECQFKKMVARGLAVEQNTEKETAMKKKKKNGRRRKKKKRNSAAVPSTGCRTSRAPATTRRTWEPSLPSVEEERESSPPSERG >ONIVA03G42630.1 pep chromosome:AWHD00000000:3:35142050:35142397:-1 gene:ONIVA03G42630 transcript:ONIVA03G42630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHRTLLLLVAVLFAAAAVALADDAKPTILTPVANTPLGSFDGDSPADDAMDDEDAAPVGAPIGTTMTEPKPELTTTPGAAGEAAGGASAGYSLGVASHVGAAAAFVAGVFAF >ONIVA03G42620.1 pep chromosome:AWHD00000000:3:35135838:35142499:1 gene:ONIVA03G42620 transcript:ONIVA03G42620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OxaA/YidC-like membrane insertion protein [Source:Projected from Arabidopsis thaliana (AT1G24490) TAIR;Acc:AT1G24490] MDAHLLLLARPRALALAAARAPGGVAGFRRPASARRVAPRRVVLRPVAALGGGGGFAEVGELFGRVEAFLYTVADAAVSASPEVVQGGGGGTKEAAGDWLSGITNSMETVLKVLKDGLSALHVPYPYGFAIILLTVLVKAATFPLTKKQVESAIAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYVSSQVMQPPQNNDPSQQGAQAVVKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIDKLAKEESTNLGKPEPAIKSDPLPKVGKPPASQEPEPSGPQRGERFRKLKEEESRRKVFLEKAEQTEQAGTQAGIVDGKQNSDASGDNIDEQESHENEPIIANGNGGLSHSTNEMIPNGSMKEDIIQESTDSHSSVIDPTSHDAHKSRDEENEQDAV >ONIVA03G42610.1 pep chromosome:AWHD00000000:3:35129070:35132073:-1 gene:ONIVA03G42610 transcript:ONIVA03G42610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48460) TAIR;Acc:AT3G48460] MAARRSDVILLLILLIACVAVPAAAAFETVYAFGDSFTDTGNTHSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLADRLALPGFLPPYLSPAAANATHGVNFAVAGATAIEHEFFARNNLSVDITPQSIMTELAWFEAHLRRSPAAARAVGDALFWVGEIGANDYAYSFMAATTIPQDQIRNMAVDRLTTFIEALLKKGAKYIIVQGLPLTGCLPLTMTLARPEDRDNISCAATVNQQSHAHNRRLQASLRRLRRQHPAAVIAYADYYAAHLAVMAAPARYGFTEPFKTCCGAGGGAYNFEIFSTCGSPEVTTACAQPAKYVNWDGVHMTEAMYRVVAGMFFQDGRYCHPPFSTLLARRNKGN >ONIVA03G42600.1 pep chromosome:AWHD00000000:3:35119308:35128803:1 gene:ONIVA03G42600 transcript:ONIVA03G42600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATAGTYSPASAAGEKRRERKEELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCNVSYTTFATPIRGQGTDNKEYSAMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSLMVAYMPARKEITQLTLTGEWSDGKITNAVELCMDACSKLCDILRERLKDASSLADSKPLSSLLFSSRATASFTGRRRRHQPPPPREPAQRITAQGFLGGSCATVRSPPPILLWRIWGEVDFALSAAGGSEVSVYSSSCHPPPPHEEVPVKCTAPVAELPGLRGAPPPASRLEAPESYCWLVLTKLGDSMIKQILGRFPKKPSKSGDKDPIGSLLNLITMEQYPREDAQN >ONIVA03G42600.2 pep chromosome:AWHD00000000:3:35119308:35128807:1 gene:ONIVA03G42600 transcript:ONIVA03G42600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATAGTYSPASAAGEKRRERKEELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCNVSYTTFATPIRGQGTDNKEYSAMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSLMVAYMPARKEITQLTLTGEWSDGKITNAVELCMDACSKLCDILRERLKDASSLADSKPLSSLLFSSRATASFTGRRRRHQPPPPREPAQRITAQGFLGGSCATVRSPPPILLWRIWGEVDFALSAAGGSEVSVYSSSCHPPPPHEEVPVKCTAPVAELPGLRGAPPPASRLEAPESYCWLVLTKLGDSMIKQILGRFPKKPSKSGDKDPIGSIQERMLKTD >ONIVA03G42600.3 pep chromosome:AWHD00000000:3:35119308:35123871:1 gene:ONIVA03G42600 transcript:ONIVA03G42600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATAGTYSPASAAGEKRRERKEELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCNVSYTTFATPIRGQGTDNKEYSAMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSLMVAYMPARKEITQLTLTGEWSDGKITNAVELCMDACSKLCDILRERLKDASSLAE >ONIVA03G42600.4 pep chromosome:AWHD00000000:3:35125024:35128450:1 gene:ONIVA03G42600 transcript:ONIVA03G42600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRFPKKPSKSGDKDPIGRSSPSVPNPPLGPRDKVYQGGHIDGRSEKPVGLRRVPAITSQS >ONIVA03G42590.1 pep chromosome:AWHD00000000:3:35107894:35113219:-1 gene:ONIVA03G42590 transcript:ONIVA03G42590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWFCCNCHFDEEDDHGKERSNAQGNKMDAKQKSSKPPAGQPEPEIAPLTIDVPELSLEDLKQKTDNFGSNALIGEGSYGRVYHATLDDGRQAAVKKLDASENEPNDEFLKQVSQASRLKHENLVEMLGYCVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWTQRVKIAIEAAKGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKSEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQKPAVPAASEPAPATEN >ONIVA03G42580.1 pep chromosome:AWHD00000000:3:35100995:35107005:1 gene:ONIVA03G42580 transcript:ONIVA03G42580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPTPRPRVLAAAPCHVPSRRRRRVCDGLCSAAAADNGCAESPDVVLECKRLNRLVKSGRLADALDLFDRMPRKNVVAWTSVMSGCTRNGRPEAALAMFADMVESGVAPNDFACNAALVACADLGALRAGVQVHSLAVRAGFAGDAWIGSCLIEMYSRCGSLPAAKEVFDRMDSPDVVGYTSLISAFCRNGEFELAAEALIQMLKQGLKPNEHTMTTILTACPRVLGQQIHGYLIKKIGLRSQSVYSSTALIDFYSRNGEFKLAKAVFDSLHCKNVVSWCSMMQLYIRDGRLEEALQVFGDMISEGVDPNEFALSIVLGACGSIGLGRQLHCSAIKHDLITDIRVSNALLSMYGRTGLVEELEAMLNKIENPDLVSWTTAISANFQNGFGEKAIALLCQMHSEGFTPNGYAFSSVLSSCADVASLDQGMQFHCLALKLGCDSEICTGNALINMYSKCGQMGSARLAFDVMHTHDVTSWNSLIHGHAQHGDANKALEVFSKMRSNGVKPDDSTFLGVLMGCNHSGMVEEGELFFRLMIDQYSFTPAPSHYACMIDMLGRNGRFDEALRMINDMPFEPDALIWKTLLASCKLHRNLDIGKLAADRLMELSDRDSASYVLMSNIYAMHGEWEDARKVRRRMDETGVKKDAGCSWIEINNEVHTFASRDMSHPNSDSIYQMLGELVAVMQDFDELEPFDGRAYDQIALVYISKPKGGTTPPHLPPLIAAAAGGRTLPRCRLHFFPNTNRSRVMVFQCCFTAMGKMRKSKRTADHNLTRSSKNEGEISSTKSLISGDLNSGSNQDVWAILSVEVASNLSKSVVSLTLSDGNTLIYACSGIAIECQEGSGTIFLTSASLVTAFYDTEEVYDNLKVIEVRHEGNEVLKGYLAKYDLDKNFAVVYTMESLDVHIVLAENSRDRYINKKLVAVGRDKHGVLMAKSVMVAGCRDSNRSEDSKEIRLISEDWEGGPLFDFDGKFVGMNRFLVMDRTSVLSWVSILIILKHYLPSLQNRILKRLQNVKRVRDGERPTGELPDYHPEAPVHRGGLNTEQFGYLNSMGYPKPPINVLDDGMILANSFEETFGDLCGEDLWSEINKKVPCDIHQNVVALASFKGKRRSFACTGCTTILTSASLVRESDDGNKIDENLRIEVLLPNKQLREGTLQHYSLHYNVALVSVKDKDFHARPANIQLDHNHGPGVAAVGRCFESGKLMAARTDVVDWSGTLDCEMFLIRSSCKITKAGIGGPHVDLEGKVIGMNFYDKKIGTPCLPWNVILMVLACFEKESSEVGSGSDPCGAPGWKIPRDESVRLNRWPVPLPYWRPHDDVDEQEPPEGCEHIYTYINGERYCYR >ONIVA03G42570.1 pep chromosome:AWHD00000000:3:35097186:35100857:-1 gene:ONIVA03G42570 transcript:ONIVA03G42570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGDLRSILPYLPVVLRGGALFWPPAAQEALKALALGPDVSRVSSGDVLADALTDLRLALNLDPLPRRAAEGFALFFDDLLSRAQARDWFDHVAPSLARLLLRLPTLLEGHYRAAGDEARGLRILSSQDAGLVLLSQELACALLACALFCLFPTADRAEACLPAINFDSLFAALCYNSRQSQEQKVRCLVHYFDRVTASTPTGSVSFERKVLPRRPESDGITYPDMDTWMKSGVPLCTFRVFSSGLIEDEEQEALEVDFANRYLGGGALSRGCVQEEIRFMINPELIVGMLFMVSMEDNEAIEIVGAERFSQYMGYGSSFRFTGDYLDSKPFDAMGRRKTRIVAIDALDCPTRLQFESSGLLREVNKAFCGFLDQSNHQLCAKLVQDLNTKDNCPSVIPDECIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRSFINYYTFESESLKRLEEVTQWILRHRWTVGELWDMLVEYSSQRLRGDTNEGFLTWLLPKDIPNGDVDYMCE >ONIVA03G42560.1 pep chromosome:AWHD00000000:3:35094537:35095988:1 gene:ONIVA03G42560 transcript:ONIVA03G42560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIVDVAGGGRQQALDGSNPAVARLRQLIGGGQESSDGWSRCWEEGVTPWDLGQPTPAVVELVHSGTLPAGDATTVLVPGCGAGYDVVALSGPGRFVVGLDICDTAIQKAKQLSAAAAAAADGGDGSSSFFAFVADDFFTWEPPEPFHLIFDYTFFCALHPSMRPAWAKRMADLLRPDGELITLMYLAEGQEAGPPFNTTVLDYKEVLNPLGLVITSIEDNEVAVEPRKVIS >ONIVA03G42540.1 pep chromosome:AWHD00000000:3:35080340:35087388:1 gene:ONIVA03G42540 transcript:ONIVA03G42540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPDSSPAPPHRDWFFPPAPPFLLSSRARTPRAPFPSTSRSSNPYSFPDRRPPPTPRSRSRSPLPPPEQQKQQQPPPTTPPPAPRRRDPRYAGVRRGDVRTLTAEKAAAAAAVPTAAQVHGSKSAASATTLRWSGMVSVAAIVLCFSSLVRSNSSLHDQVHHLKAQLAEATTKLQSCITESSMDMSSILSYQSNNSTSQNRGLKNFSLLLSLSTLYAPLLILKYMDLFLKLRSSQDSEEEVPINKRLAYRVDIFLSLQPYAKPLVLLVATLLLIGLGGLALYGVNDDSLLDCLWLSWTFVADSGNHANAEGFGPKLVSVSVSIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLVLGWSDKLGSLLNQIAIANESLGGGTIVVMAEKDKEEMEADIAKMEFDLKGTAIICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLNGMQFEDVLISFPDAIPCGIKVASYGGKIILNPDDFYVLQEGDEVLVIAEDDDTYAPAPLPKVKEAVYIDIVHPERNPQKILLCGWRRDIDDMIVVMRGYLPKDFVVPKSPERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNDVPEMDRERKLIDGGLDFSRLENITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPFREAMVSHVTRGSFCEGSWIGEMQQASDKSVIISEILDPRTKNLLSVSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQGNEMQIRPADLYLREDEELNFFEVMLRGRQRKEIVIGYRLVDAERAIINPPDKVSRRRWSAKDVFVVITEKE >ONIVA03G42540.2 pep chromosome:AWHD00000000:3:35080340:35087388:1 gene:ONIVA03G42540 transcript:ONIVA03G42540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPDSSPAPPHRDWFFPPAPPFLLSSRARTPRAPFPSTSRSSNPYSFPDRRPPPTPRSRSRSPLPPPEQQKQQQPPPTTPPPAPRRRDPRYAGVRRGDVRTLTAEKAAAAAAVPTAAQVHGSKSAASATTLRWSGMVSVAAIVLCFSSLVRSNSSLHDQVHHLKVKFQLIPMHILHNCALIRGFYQAQLAEATTKLQSCITESSMDMSSILSYQSNNSTSQNRGLKNFSLLLSLSTLYAPLLILKYMDLFLKLRSSQDSEEEVPINKRLAYRVDIFLSLQPYAKPLVLLVATLLLIGLGGLALYGVNDDSLLDCLWLSWTFVADSGNHANAEGFGPKLVSVSVSIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLVLGWSDKLGSLLNQIAIANESLGGGTIVVMAEKDKEEMEADIAKMEFDLKGTAIICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLNGMQFEDVLISFPDAIPCGIKVASYGGKIILNPDDFYVLQEGDEVLVIAEDDDTYAPAPLPKVKEAVYIDIVHPERNPQKILLCGWRRDIDDMIVVMRGYLPKDFVVPKSPERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNDVPEMDRERKLIDGGLDFSRLENITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPFREAMVSHVTRGSFCEGSWIGEMQQASDKSVIISEILDPRTKNLLSVSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQGNEMQIRPADLYLREDEELNFFEVMLRGRQRKEIVIGYRLVDAERAIINPPDKVSRRRWSAKDVFVVITEKE >ONIVA03G42540.3 pep chromosome:AWHD00000000:3:35080340:35087388:1 gene:ONIVA03G42540 transcript:ONIVA03G42540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPDSSPAPPHRDWFFPPAPPFLLSSRARTPRAPFPSTSRSSNPYSFPDRRPPPTPRSRSRSPLPPPEQQKQQQPPPTTPPPAPRRRDPRYAGVRRGDVRTLTAEKAAAAAAVPTAAQVHGSKSAASATTLRWSGMVSVAAIVLCFSSLVRSNSSLHDQVHHLKAQLAEATTKLQSCITESSMDMSSILSYQSNNSTSQNRGLKNFSLLLSLSTLYAPLLILKYMDLFLKLRSSQDSEEEVPINKRLAYRVDIFLSLQPYAKPLVLLVATLLLIGLGGLALYGVNDDSLLDCLWLSWTFVADSGNHANAEGFGPKLVSVSVSIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLVLGWSDKLGSLLNQIAIANESLGGGTIVVMAEKDKEEMEADIAKMEFDLKGTAIICRSGSPLILADLKKSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLNGMQFEDVLISFPDAIPCGIKVASYGGKIILNPDDFYVLQEGDEVLVIAEDDDTYAPAPLPKVKEAVYIDIVHPERNPQKILLCGWRRDIDDMIVVMRGYLPKDFVVPKSPERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNDVPEMDRERKLIDGGLDFSRLENITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPFREAMVSHVTRGSFCEGSWIGEMQQASDKSVIISEILDPRTKNLLSVSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQGNEMQIRPADLYLREDEELNFFEVMLRGRQRKEIVIGYRLVDAERAIINPPDKVSRRRWSAKDVFVVITEKE >ONIVA03G42540.4 pep chromosome:AWHD00000000:3:35080340:35087388:1 gene:ONIVA03G42540 transcript:ONIVA03G42540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPDSSPAPPHRDWFFPPAPPFLLSSRARTPRAPFPSTSRSSNPYSFPDRRPPPTPRSRSRSPLPPPEQQKQQQPPPTTPPPAPRRRDPRYAGVRRGDVRTLTAEKAAAAAAVPTAAQVHGSKSAASATTLRWSGMVSVAAIVLCFSSLVRSNSSLHDQVHHLKAQLAEATTKLQSCITESSMDMSSILSYQSNNSTSQNRGLKNFSLLLSLSTLYAPLLILKYMDLFLKLRSSQDSEEEVPINKRLAYRVDIFLSLQPYAKPLVLLVATLLLIGLGGLALYGVNDDSLLDCLWLSWTFVADSGNHANAEGFGPKLVSVSVSIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLVLGWSDKLGSLLNQIAIANESLGGGTIVVMAEKDKEEMEADIAKMEFDLKGTAIICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLNGMQFEDVLISFPDAIPCGIKVASYGGKIILNPDDFYVLQEGDEVLVIAEDDDTYAPAPLPKVMRGYLPKDFVVPKSPERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNDVPEMDRERKLIDGGLDFSRLENITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPFREAMVSHVTRGSFCEGSWIGEMQQASDKSVIISEILDPRTKNLLSVSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQGNEMQIRPADLYLREDEELNFFEVMLRGRQRKEIVIGYRLVDAERAIINPPDKVSRRRWSAKDVFVVITEKE >ONIVA03G42530.1 pep chromosome:AWHD00000000:3:35073634:35079228:-1 gene:ONIVA03G42530 transcript:ONIVA03G42530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQLDVLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCPKVHSLQLRKDYEEVKAKGTENYDRELEEMIDRLIVECERKIQRALKRLADEDAKAAIAISVSEVTQTDEVLQLSKEIKEKMKEVDTYGEQGMVDEAQKVMEEAEALKKLAARREPTSDPTKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQVLNVMRREVKEGRHLKMTGDQENTARTAMVRHPGIEIQKERTELNLEKAEETMIVIGIGATIGTAAMIAIGIEIMTVRVAMIQGEGNVLDPGSAGATIDTESGAP >ONIVA03G42530.2 pep chromosome:AWHD00000000:3:35073634:35079228:-1 gene:ONIVA03G42530 transcript:ONIVA03G42530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQLDVLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCPKVHSLQLRKDYEEVKAKGTENYDRELEEMIDRLIVECERKIQRALKRLADEDAKAAIAISVSEVTQTDEVLQLSKEIKEKMKEVDTYGEQGMVDEAQKVMEEAEALKKLAARREPTSDPTKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQVHSCIPDLPQVKRREVKEGRHLKMTGDQENTARTAMVRHPGIEIQKERTELNLEKAEETMIVIGIGATIGTAAMIAIGIEIMTVRVAMIQGEGNVLDPGSAGATIDTESGAP >ONIVA03G42520.1 pep chromosome:AWHD00000000:3:35071369:35075243:1 gene:ONIVA03G42520 transcript:ONIVA03G42520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein [Source:Projected from Arabidopsis thaliana (AT1G64510) TAIR;Acc:AT1G64510] MPPPMALSISSTAAATALLPTLPPSRSRLRVAPRRAAARVARVVSAGYAAGFYGGAAAAAGGEDDEVGDEEGSSSGFGAGLGIGGGGLGMSAAEAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLALIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLVTYFTKPESLDALQMRLNADDDVIRSTSFKVRKRKAF >ONIVA03G42510.1 pep chromosome:AWHD00000000:3:35067603:35070464:-1 gene:ONIVA03G42510 transcript:ONIVA03G42510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSENPTVTERGGGKDRRDDDGGEKKEGGGGFMEKVKDFIHDIGEKIEGAVGFGKPTADVSGVHIPHISLHRADLVVDVLIKNPNPVPIPLVDIDYLIESDGRKLVSGLIPDAGTIHAHGEETVKIPISLIYDDIKSTYNDIKPGSIIPYLVRVVLLIDVPIIGRIKLPLEKSGEIPIPYKPDVDVEKIKFHRFSFEETTATLHLKLENKNDFDLGLNMLEYEMWLGDDSVASAELTESATIEKQGITTMQVPFSFRPKDFGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKEGGTTRIKKDDDDDDELEENTFSAMLK >ONIVA03G42500.1 pep chromosome:AWHD00000000:3:35064064:35068300:1 gene:ONIVA03G42500 transcript:ONIVA03G42500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAAYSAASAIVVVMAKGKGRGGRGGPSGANKVSERRPPRITSNVKQSLRILKFWKGLEIASPVILVDGYNVCGYWGKLKKDFMNGRQEIARQMLIDELVSFSAVREIKVVVVFDAAASGLSTHKETYKGVDVVYSGDLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLVKEIKESEKELDEELKETRSTSLQGKLFQHKLKPKVVHALKDLRNKLEEEERRKRNATVCHETLYDYT >ONIVA03G42500.2 pep chromosome:AWHD00000000:3:35064064:35068411:1 gene:ONIVA03G42500 transcript:ONIVA03G42500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAAYSAASAIVVVMAKGKGRGGRGGPSGANKVSERRPPRITSNVKQSLRILKFWKGLEIASPVILVDGYNVCGYWGKLKKDFMNGRQEIARQMLIDELVSFSAVREIKVVVVFDAAASGLSTHKETYKGVDVVYSGDLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLVKEIKESEKELDEELKETRSTSLQGKLFQHKLKPKVVHALKDLRNKLEEEERRKR >ONIVA03G42490.1 pep chromosome:AWHD00000000:3:35060331:35061818:-1 gene:ONIVA03G42490 transcript:ONIVA03G42490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPAPWEQGGDEYDYLFKIVLIGDSGVGKSNLLSRFTRNSFSLDSKSTIGVEFATRTIQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKATTFENVKRWLKELRDHADSNIVVMLIGNKIDLKHLRSVSLEDATSFAEREGLSFVETSALDATNVDKAFQTVLTEIYRIISKKALAADEAGAGAGAVREGQSIQVSATDSSSFTSRCCSF >ONIVA03G42480.1 pep chromosome:AWHD00000000:3:35056777:35058268:-1 gene:ONIVA03G42480 transcript:ONIVA03G42480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxysteroid dehydrogenase 5 [Source:Projected from Arabidopsis thaliana (AT4G10020) TAIR;Acc:AT4G10020] MEQVVNAVLDLVVPPASMVMLAFAWPTLSFLRGVEWVVKTLTVENMQNKVVLITGASSAIGEQIAYEYARRNANLVLVARREHRLFAVRENARALGAGQVLVIAADVVKEDDCRRLVGDTISFFGQLNHLVNTVSLGHDFCFEEAGDTVAFPHLMDVNFWGNVYPTYAALPYLRRSHGRVVVNAAVESWLPMPRMTLYSAAKAAVIDFYESLRYEVGDEVGISVATHGWIGGEASGGKFMLEEGAEMQWKGEEREVPLAGGQVEAYARTVVAGACRGDAHVKHPSWYDVFLVFRAFAPDVLAWTFRLLLSTPSPSPPASARRHQLAALPAPPLHPLLEYPSARSPGRAAQQHKLE >ONIVA03G42480.2 pep chromosome:AWHD00000000:3:35056777:35058268:-1 gene:ONIVA03G42480 transcript:ONIVA03G42480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxysteroid dehydrogenase 5 [Source:Projected from Arabidopsis thaliana (AT4G10020) TAIR;Acc:AT4G10020] MEQVVNAVLDLVVPPASMVMLAFAWPTLSFLRGVEWVVKTLTVENMQNKVVLITGASSAIGEQIAYEYARRNANLVLVARREHRLFAVRENARALGAGQVLVIAADVVKEDDCRRLVGDTISFFGQLNHLVNTVSLGHDFCFEEAGDTVAFPHLMDVNFWGNVYPTYAALPYLRRSHGRVVVNAAVESWLPMPRMTLYSVITHLSSTQNSSLHQQAAKAAVIDFYESLRYEVGDEVGISVATHGWIGGEASGGKFMLEEGAEMQWKGEEREVPLAGGQVEAYARTVVAGACRGDAHVKHPSWYDVFLVFRAFAPDVLAWTFRLLLSTPSPSPPASARRHQLAALPAPPLHPLLEYPSARSPGRAAQQHKLE >ONIVA03G42470.1 pep chromosome:AWHD00000000:3:35051845:35055831:1 gene:ONIVA03G42470 transcript:ONIVA03G42470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSPASRLDFYDFIGRMRRPAAADLFHSIRSFLASLSQAGEPNAEVDGGRVQTFFAEMETAIRDHPLWANATNQEIDNALEGLEKYIMTKLFDRAFASSAEDAKSDIEISEKIGLLQHFVRPHHLDIPKLLHNEAAWLLAVKELQKINSFKSPREKLSCIMSCCQVINNLLLNVSMSNDRTLSGADDFLPILIYITIKESVFQTHMESAKLGNHISVASTSSSQGLGTSTTGLNEESGDTEGLKFPFMDSETESLTPAEVKQLHELYRQVVTRYTLLSKALRKLSVDEDQLLNSVDD >ONIVA03G42470.2 pep chromosome:AWHD00000000:3:35051845:35055903:1 gene:ONIVA03G42470 transcript:ONIVA03G42470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSPASRLDFYDFIGRMRRPAAADLFHSIRSFLASLSQAGEPNAEVDGGRVQTFFAEMETAIRDHPLWANATNQEIDNALEGLEKYIMTKLFDRAFASSAEDAKSDIEISEKIGLLQHFVRPHHLDIPKLLHNEAAWLLAVKELQKINSFKSPREKLSCIMSCCQVINNLLLNVSMSNDRTLSGADDFLPILIYITIKESVFQTHMESAKLGNHISVASTSSSQGLGTSTTGLNEESGDTEGLKFPFMDSETESLTPAEVKQLHELYRQVVTRYTLLSKALRKLSVDEDQLLNSVDD >ONIVA03G42460.1 pep chromosome:AWHD00000000:3:35050104:35051050:1 gene:ONIVA03G42460 transcript:ONIVA03G42460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVSRAAAAMLGDASDEAELRRWMEEEDARRQAIEEEEEDYLCTRPLPSEEVCDGYSIWKARAALHHYNANHPGAEYDLVKPLMAACVIFRGNMWHHVSFLAHRRDQLVAPPVEYFFAELRDGCSDDDSIVEACTMIENPQSCSGNKCSFCPEQYQIAHPSEQELLCGKEEHVKDFIRLMNLSPMPFTCTTEPR >ONIVA03G42450.1 pep chromosome:AWHD00000000:3:35042269:35045884:1 gene:ONIVA03G42450 transcript:ONIVA03G42450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVHGKDGAEEAPAGASHHSSCYCSFSSSSPPSSDDRPGVRAIPCSSHHDEREIAEADCAGPGAGVHLSGCSSSPENALAHGYISWKLDLPPPILVASCPSDHVGFSGEQPIQGARIQVAPRDTASCAISSGEDHPSFIMTVISESAEFQSGHPRREVKAKQGTPQLKLGFNDCDENLAAPNLLHLLMVNILWDVLEFSISWVTLRRYQPKLPSEWSWWMAMGSPFIQIDGICSIAASVMCVEAQHRLAFETLHGIGSFSLKAKRPKGVKKKCINKKVWSPADGAFVEDVLKVVAKGRGVETTQGIFLPINGYHMYKNVQKDVSHEAAVRLLLAHGPLLATLWVNDEYMICTTKNDLVYRGSSNREKDPNHMVVCFAYRFVGEELHLRVLDNHTEDGPQSIGEYEDKGFRQSHHR >ONIVA03G42440.1 pep chromosome:AWHD00000000:3:35039411:35040688:1 gene:ONIVA03G42440 transcript:ONIVA03G42440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVSRLMATCVQEEKELEEKEEEEVEEEDENEEEEEEEEEDPQPYVPSRPLPSEEVRDGYSIREAHAALDYYNANHTGAEYELVKPLMAACVFFKRRMWYHVSLLARRKGQTTAPPIEYFFAELREGASDSFIVEACTMIENPQSCSGNKCSLCPTRYEIVHPSEEELLCGKEGDVKDFLRLRNLSPLPFTCPVTVPEIEIAVEK >ONIVA03G42430.1 pep chromosome:AWHD00000000:3:35037966:35041300:-1 gene:ONIVA03G42430 transcript:ONIVA03G42430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGIPLSGICAAARHTSYREKGLAARFRARADVACTDLAQVVAVGRSDPAWGVRRDSGDSEGGRNHSNFKHYTNRNTLSQFAGCDVVKICSPWAVGVIVGSSRIERVANGQTLNPSMLTSLKISYKELKTVPNYLDW >ONIVA03G42420.1 pep chromosome:AWHD00000000:3:35034858:35037257:1 gene:ONIVA03G42420 transcript:ONIVA03G42420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTGNEAHKAQPNGLLWAYWEAHFKAFFFFSPRALPFVSTRKPRNQERKKSRTCDMDQEFHFDPFTGGDFDYPDGESFSGGASSGFSSSGSVQLRVRGHPGRVSESFSGGAASGELDYLGSSGCSSSGGDDLHGGCPSSCGEQLRVPGYPDRDGELFSGGAASGGFDYPGSCGRSSSAGEELHGHPYRDGYSFSGGAASDECHFLGRSGFPSSGGERLLVHRHPDRYRCGEPFSGGYARGHGDLLGWSSCHSSCSQLLQDPATIVIVINDGAHAHGGGLAQAGQASSMSVPLVKSKQGTSLVPQLKLGPSAAPNLLHWLMEHILWDALEFSISWTTLRRHQPKLPSEWSWWMAMGSPFIQIDGICSIAASVMCVEAQHRLAFETLHGIGSFSLKAKRPKGVKKKCINKKVWSPADGAFVEDVLKVVAKGRGVETTQGIFLPINGYHMYKNVQKDVSHEAAVRLLLAHGPLLATLWVNDEYMICTTKNDLVYRGSSNREKDPNHMVVCFAYRFVGEELHLRVLDNHTEDGPCIDEIHLLTLKEPLTKELIDRYRKKGQTESFLSNSANKVKAMLIRRLMTKYSELESSQGSSSCGRQSWEK >ONIVA03G42410.1 pep chromosome:AWHD00000000:3:35028620:35029721:1 gene:ONIVA03G42410 transcript:ONIVA03G42410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALSTTGRVSSGVGLPAAVTSDRETGERRQSGGLVAGAIVLADRCAVCIDEFDKMNDQDRSFRMNQCISVLNLELYAQLNIKAESRKSFLLTLPCGHEDFLSRSYKKL >ONIVA03G42400.1 pep chromosome:AWHD00000000:3:35027616:35028545:1 gene:ONIVA03G42400 transcript:ONIVA03G42400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYNSREKRKREEKKKNEEKRRKWHKIKKFAVSLGRRWGAGEQEIVSVEELAELDSGDHSGELGGGAGFDREFAALPHLTQIEGRGAPPPPPRAPPPSPRPSPPGRLPPPPRCAALPPPPSRAVAVPPRAASHLLSVVLRRRPLPVRMKAEKEEFPVMVMVAGLPVRMGPRGSTRRGTSPTTRRRWADLGGGPPRGGVKEVSGAEELSALESARGRCRLCWRRRRRARSAELPPCGRHSVARGPLPPVTATGVPRRIRRHTTGLRQRRIRTCAPYAYVSVRGVAPRLYF >ONIVA03G42390.1 pep chromosome:AWHD00000000:3:35018470:35024048:1 gene:ONIVA03G42390 transcript:ONIVA03G42390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKPSLSRVASGTRLLFSSWEPESPLDATSGSGKDAPADQQEGDGKRGRQRSPGDEARPKRQRKTKKKNKKQLDLLEREDDPLIQLRSLKDRFKGRLKEGVASARLKCLDTLLNESRDLSQGNESKGNLLKSLIQKAELDLWQVKELETDPTSGASQSKEEASAAASDGQGDDKERGDLQAVEAYGSPPGKEKVDLQAAKDSSPTAGRKGKGKRIIGYEAQQKEINIQDVKTSSQQETRKPKRKKQKKRQRRKMEESTENLSCRKQESAEDILALLDMMDKDAIQEKFNYYLKQLGFESNEYDFWSETYEPEQLTALHERLAIYRIVGYELSKGRKLGKQDIAKLKEQYNPSILRKEGYFRHYEESLEWYFDLEWCKYSGFQDYQRLVLHDNRRYSGKAVAEVKAFTAPYVSSALEAKRVEKGKEQEKPVEKGKEEEVEFLEWEHYHLNYNTYEDDLAYVRYRARLANETKWIEDYLARDITQAEWRRVKDIASVQALKIARVSGGVKAQAALAGFRDHIWSIQFDFNHYKDFDGVYFEIWKRVAKRKMNFREALLEVYREDMFPVRKNGIKYELDNTQLRFKSMKEKYDAHVACLDESVPEDEVRQLIKEAVIKMKPKPHTYLDYARKKLQISMNIDLITKREEERTLMAEVVDQC >ONIVA03G42390.2 pep chromosome:AWHD00000000:3:35018239:35024048:1 gene:ONIVA03G42390 transcript:ONIVA03G42390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKPSLSRVASGTRLLFSSWEPESPLDATSGSGKDAPADQQEGDGKRGRQRSPGDEARPKRQRKTKKKNKKQLDLLEREDDPLIQLRSLKDRFKGRLKEGVASARLKCLDTLLNESRDLSQGNESKGNLLKSLIQKAELDLWQVKELETDPTSGASQSKEEASAAASDGQGDDKERGDLQAVEAYGSPPGKEKVDLQAAKDSSPTAGRKGKGKRIIGYEAQQKEINIQDVKTSSQQETRKPKRKKQKKRQRRKMEESTENLSCRSGSSPSSSHDATSTSTIEGEPEQPSFGDLASIAQDHDDKIASDVVDIKQEQESAEDILALLDMMDKDAIQEKFNYYLKQLGFESNEYDFWSETYEPEQLTALHERLAIYRIVGYELSKGRKLGKQDIAKLKEQYNPSILRKEGYFRHYEESLEWYFDLEWCKYSGFQDYQRLVLHDNRRYSGKAVAEVKAFTAPYVSSALEAKRVEKGKEQEKPVEKGKEEEVEFLEWEHYHLNYNTYEDDLAYVRYRARLANETKWIEDYLARDITQAEWRRVKDIASVQALKIARVSGGVKAQAALAGFRDHIWSIQFDFNHYKDFDGVYFEIWKRVAKRKMNFREALLEVYREDMFPVRKNGIKYELDNTQLRFKSMKEKYDAHVACLDESVPEDEVRQLIKEAVIKMKPKPHTYLDYARKKLQISMNIDLITKREEERTLMAEVVDQC >ONIVA03G42390.3 pep chromosome:AWHD00000000:3:35018576:35023697:1 gene:ONIVA03G42390 transcript:ONIVA03G42390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPINRKATGSGADNARLDRFKGRLKEGVASARLKCLDTLLNESRDLSQGNESKGNLLKSLIQKAELDLWQVKELETDPTSGASQSKEEASAAASDGQGDDKERGDLQAVEAYGSPPGKEKVDLQAAKDSSPTAGRKGKGKRIIGYEAQQKEINIQDVKTSSQQETRKPKRKKQKKRQRRKMEESTENLSCRSGSSPSSSHDATSTSTIEGEPEQPSFGDLASIAQDHDDKIASDVVDIKQEQESAEDILALLDMMDKDAIQEKFNYYLKQLGFESNEYDFWSETYEPEQLTALHERLAIYRIVGYELSKGRKLGKQDIAKLKEQYNPSILRKEGYFRHYEESLEWYFDLEWCKYSGFQDYQRLVLHDNVEFLEWEHYHLNYNTYEDDLAYVRYRARLANETKWIEDYLARDITQAEWRRVKDIASVQALKIARVSGGVKAQAALAGFRDHIWSIQFDFNHYKDFDGVYFEIWKRVAKRKMNFREALLEVYREDMFPVRKNGIKYELDNTQLRFKSMKEKYDAHVACLDESVPEDEVRQLIKEAVIKMKPKPHTYLDYARKKLQISMNIDLITKSTCTLLNYQT >ONIVA03G42390.4 pep chromosome:AWHD00000000:3:35018239:35024048:1 gene:ONIVA03G42390 transcript:ONIVA03G42390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKPSLSRVASGTRLLFSSWEPESPLDATSGSGKDAPADQQEGDGKRGRQRSPGDEARPKRQRKTKKKNKKQLDLLEREDDPLIQLRSLKDRFKGRLKEGVASARLKCLDTLLNESRDLSQGNESKGNLLKSLIQKAELDLWQVKELETDPTSGASQSKEEASAAASDGQGDDKERGDLQAVEAYGSPPGKEKVDLQAAKDSSPTAGRKGKGKRIIGYEAQQKEINIQDVKTSSQQETRKPKRKKQKKRQRRKMEESTENLSCRSGSSPSSSHDATSTSTIEGEPEQPSFGDLASIAQDHDDKIASDVVDIKQEQESAEDILALLDMMDKDAIQEKFNYYLKQLGFESNEYDFWSETYEPEQLTALHERLAIYRIVGYELSKGRKLGKQDIAKLKEQYNPSILRKEGYFRHYEESLEWYFDLEWCKYSGFQDYQRLVLHDNVEFLEWEHYHLNYNTYEDDLAYVRYRARLANETKWIEDYLARDITQAEWRRVKDIASVQALKIARVSGGVKAQAALAGFRDHIWSIQFDFNHYKDFDGVYFEIWKRVAKRKMNFREALLEVYREDMFPVRKNGIKYELDNTQLRFKSMKEKYDAHVACLDESVPEDEVRQLIKEAVIKMKPKPHTYLDYARKKLQISMNIDLITKREEERTLMAEVVDQC >ONIVA03G42390.5 pep chromosome:AWHD00000000:3:35018239:35024712:1 gene:ONIVA03G42390 transcript:ONIVA03G42390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKPSLSRVASGTRLLFSSWEPESPLDATSGSGKDAPADQQEGDGKRGRQRSPGDEARPKRQRKTKKKNKKQLDLLEREDDPLIQLRSLKDRFKGRLKEGVASARLKCLDTLLNESRDLSQGNESKGNLLKSLIQKAELDLWQVKELETDPTSGASQSKEEASAAASDGQGDDKERGDLQAVEAYGSPPGKEKVDLQAAKDSSPTAGRKGKGKRIIGYEAQQKEINIQDVKTSSQQETRKPKRKKQKKRQRRKMEESTENLSCRSGSSPSSSHDATSTSTIEGEPEQPSFGDLASIAQDHDDKIASDVVDIKQEQESAEDILALLDMMDKDAIQEKFNYYLKQLGFESNEYDFWSETYEPEQLTALHERLAIYRIVGYELSKGRKLGKQDIAKLKEQYNPSILRKEGYFRHYEESLEWYFDLEWCKYSGFQDYQRLVLHDNVEFLEWEHYHLNYNTYEDDLAYVRYRARLANETKWIEDYLARDITQAEWRRVKDIASVQALKIARVSGGVKAQAALAGFRDHIWSIQFDFNHYKDFDGVYFEIWKRVAKRKMNFREALLEVYREDMFPVRKNGIKYELDNTQLRFKSMKEKYDAHVACLDESVPEDEVRQLIKEAVIKMKPKPHTYLDYARKKLQISMNIDLITKTLLWIKKGLPGSIFPGCE >ONIVA03G42380.1 pep chromosome:AWHD00000000:3:34971818:34976169:-1 gene:ONIVA03G42380 transcript:ONIVA03G42380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G57770) TAIR;Acc:AT1G57770] MASAALASRALPPPPRHCARRPRAPPTTAPRPRRGCAAAARPARCRAVAADERPADPSIPEGEDGALSGVAERPEADVVVIGSGIGGLCCAGLLARYNQDVLVLESHDRPGGAAHSFDIKGFNFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVYVPEGQFLSRIGPTDFLKDLDEFVGADAVQEWKKLLDAVIPISAAAMALPPLSIRGDLGVLSTSAGRYAPSLLKSILQMGPQGALGATKLLRPFSEIVDSLGLKNPFVRNWIDLLCFLLAGVKSDGALSAEMVYMFAEWYKPGCSLEYPLEGSGAIIDALVRGIKKFGGRLALRSHVEKILIENGRAVGVKLQSGQIVRARKAVVSNASMWDTLDLLPPDAVPRSYQDKVKATPQCESFMHLHLGFDVENAREDLGIHHIVVNDWNKGVDADQNVVLISVPTVLGNGLAPPGKHVLHAYTPGTEPFSLWEGLDRKSAEYRRLKEERSEVMWKAVELALGPRFSREKCDVKLVGSPLTHKRFLRRNRGTYGPAIKAGEATFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGI >ONIVA03G42370.1 pep chromosome:AWHD00000000:3:34964365:34969230:-1 gene:ONIVA03G42370 transcript:ONIVA03G42370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGLRHGGVGSSSRPGHGFKGPASSVECLGREMLEMQLRDSKPDVGDEKNTERDVVDGSSAEAGHIIATTIRGRNGLPKQSVTYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIMHMLDHPNIVGLKHYFFSTTERDELYLNLVLEYVPETVNRIARQYSRMNQRVPLIYVKLYTYQICRALAYIHNCVGICHRDIKPQNVLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKRLPPEAVDLVSRFLQYSPNLRCTAMEACMHPFFDELRDPNTRLPNGRPLPPLFNFRTQELNGIPPEAIERLVPEHARRQSLFMALRT >ONIVA03G42360.1 pep chromosome:AWHD00000000:3:34961434:34963381:-1 gene:ONIVA03G42360 transcript:ONIVA03G42360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKGAKMPSPPPAAAAGRLVKVGLLGGAAIYAAFNSLYNVEGGHRAIVFNRLEGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPLPEKLPTIYRSLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAQLIGEAINNNPAFLALRQIEAAREISHTMASSNNKVYLDSKDLLLGLQQLNVDNKNKK >ONIVA03G42350.1 pep chromosome:AWHD00000000:3:34958785:34960215:-1 gene:ONIVA03G42350 transcript:ONIVA03G42350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GW88] MRTKTFVLFPSLGVGHLNPMVEMAKHLRRRGLAVVVAVIDPPDNDATSADATARLAAANPSITFRLLPAPPSPDAGAHPARRALDALRLANPVLREFLRSLPDAADALLLDAFCVDALDVAAELAIPAYFFFPSGASALAALLHLPYYYPDVPSFREMGMALVRLPGMPPLRAVDMVTTVQDKESDATKVRLYQFKRMAEAKGVLVNSFDRLEPKALNALAAGVCVPDKPTPRVYCIGPLVDAAAGKNGERHPCLAWLDAQPRQSVVFLCFGSKGAFPAAQLKDIARGLESSGHRFLWAVRSPPEEQSTSPEPDLERLLPAGFLERTKHRGMVVKNWAPQAEVVRHEAAGAFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNRVLMVEEMKVAVALDGGEVGGALVAVAAEEVEAKVRLVMETEEGRKLRERVVETRDMALDAINRGGSSEIAFDEFMRDLEKMNSLENGGGRSC >ONIVA03G42340.1 pep chromosome:AWHD00000000:3:34955557:34956507:-1 gene:ONIVA03G42340 transcript:ONIVA03G42340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEIALEPGYAFRPSDDGLVTLFLRPKIAKIPFEHRLINHADVYSTDPAELVGEHRPAPGTHGSSRVWYFFCSPRYTSKRKASGRRQRAVGGGGGGCENVWKSEGGKKAVIGADGRRVGYLQKFSYGVYESSSSGSARSFTRLGWCMTEYGLDDDAIDGADKQVLCKVYRSPRAVCAEARTAAAKCSGSKRKADDGADHPEAPPSARPRQEEAGSEHDEQPELPPELDLDALLSAPMDENLESTFSTAATEQYMRYLMNDEPLPWAPTMDPVDGGAGGGDEFIQTANGPCMDGEVFARLAAGETLDDILGSTSS >ONIVA03G42330.1 pep chromosome:AWHD00000000:3:34950695:34955128:-1 gene:ONIVA03G42330 transcript:ONIVA03G42330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPYIGPCRPTWSVSALFRRYGFMMGQFRNVSWAGPTHTRFTESLVRLWRCESRSSPSWGSRDRGGGGRRGGAGAEASATARRGMARDDGELLPVAVGALPWQQQQQQQQPTLVLLWIIASTVMLVASGAPPPTAASLAPCPKTCGEVNIWYPYGIGPGCFRQGFELTCDTTSKPLKLFLRNTKTQTYNYLAFLGCGIGVYLFHPDTGNLVGHCTIKCASMEEMHMATEGGICNGMGCCTVTFPVLFRGFRVTIVKSNETIPQPFDNITIKAFLTFRPYIFSIADLLSNKINASTVGASMAYLSTVIADEPNCPTARLDNKTQFACGSNNCIDVANGGYSCACPGNSDDGNPYLLDDCKQEFNPTPKKNCSRSCGSTNIPFPFGLEPGCFAKRRFQLSCASNRTLIGRPPAKYEVTNISLDEGLLYVNKLSEFEDANTKYLSVYYGGSGYFGQQLIYGLEKSDLSEEYGVWKWSVTNLTCEDAKSKSAYACVSTNSECLDVTHGKLYIGYRCKCSLGFEGNPYVQNGCTDIDECSIPNYCNGTCYNFKGSYICCPHGMSYDRVRRQCTSNKRQNIVLGLAIGISSGFGVLALTLIAAILFKRWKRSTRKKIRRAYFRKNKGLLLEQLISSSNNVTPNTRIFSLEDLEKATNNFDSTRILGYGGHGTVYKGILSDQRVVAIKRSKIVEKSEIDQFVNEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHGLLHGDLSTNCLLTWDDRMRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDGTFTAKVSDFGASRSISIDQTRVVTIVQGTFGYLDPEYFYTSQLTEKSDVYSFGVILVELLTRKKPIFLNCLGEQKNLCHCFLQSLRDKTTMDILDSQVVEEASQTEIDGIASVAEMCLKTKGAKRPKMKEVELRLQLLRAARSRAYKEQECTPKYKCTSLNSNKNVEMGLVANPEYQVVSRCYTMEREMMYSSQFPR >ONIVA03G42330.2 pep chromosome:AWHD00000000:3:34950695:34955128:-1 gene:ONIVA03G42330 transcript:ONIVA03G42330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPYIGPCRPTWSVSALFRRYGFMMGQFRNVSWAGPTHTRFTESLVRLWRCESRSSPSWGSRDRGGGGRRGGAGAEASATARRGMARDDGELLPVAVGALPWQQQQQQQQPTLVLLWIIASTVMLVASGAPPPTAASLAPCPKTCGEVNIWYPYGIGPGCFRQGFELTCDTTSKPLKLFLRNTKTQVISLYPSGTVLASIMYTIPMIHGVDTYNLSWDSPGRNLNVETYNYLAFLGCGIGVYLFHPDTGNLVGHCTIKCASMEEMHMATEGGICNGMGCCTVTFPVLFRGFRVTIVKSNETIPQPFDNITIKAFLTFRPYIFSIADLLSNKINASTVGASMAYLSTVIADEPNCPTARLDNKTQFACGSNNCIDVANGGYSCACPGNSDDGNPYLLDDCKQEFNPTPKKNCSRSCGSTNIPFPFGLEPGCFAKRRFQLSCASNRTLIGRPPAKYEVTNISLDEGLLYVNKLSEFEDANTKYLSVYYGGSGYFGQQLIYGLEKSDLSEEYGVWKWSVTNLTCEDAKSKSAYACVSTNSECLDVTHGKLYIGYRCKCSLGFEGNPYVQNGCTDIDECSIPNYCNGTCYNFKGSYICCPHGMSYDRVRRQCTSNKRQNIVLGLAIGISSGFGVLALTLIAAILFKRWKRSTRKKIRRAYFRKNKGLLLEQLISSSNNVTPNTRIFSLEDLEKATNNFDSTRILGYGGHGTVYKGILSDQRVVAIKRSKIVEKSEIDQFVNEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHGLLHGDLSTNCLLTWDDRMRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDGTFTAKVSDFGASRSISIDQTRVVTIVQGTFGYLDPEYFYTSQLTEKSDVYSFGVILVELLTRKKPIFLNCLGEQKNLCHCFLQSLRDKTTMDILDSQVVEEASQTEIDGIASVAEMCLKTKGAKRPKMKEVELRLQLLRAARSRAYKEQECTPKYKCTSLNSNKNVEMGLVANPEYQVVSRCYTMEREMMYSSQFPR >ONIVA03G42320.1 pep chromosome:AWHD00000000:3:34946782:34949435:-1 gene:ONIVA03G42320 transcript:ONIVA03G42320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPENAAPAAAPAPAPAPTPAPPPPPSSPPTKSGIPPRYDLDAKWDACLDLSIRRVAYSTLGGTFAGLLLFRSPTTRWASVALGAGVGIGAAYTECSYLFNGAPPKWSPKVSTVPSAHSEGEDK >ONIVA03G42310.1 pep chromosome:AWHD00000000:3:34941194:34945581:-1 gene:ONIVA03G42310 transcript:ONIVA03G42310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0E0GW83] MGKSSADLSASSESAVLLHGDLDIWITEAKCLPNMDIMSERMRRFFTGYGACGSSCAGDNARRGGVGVRPKKIITSDPYVSVCLAGATVAQTRVIPNSENPRWEERFRVEVAHAVSRLEFHVKDNDVFGAQLIGVASLPVDRILSGAPAEGWFPIDGHCSSNPMRPPPELRLSVQYRPIDDNPLYRGGAGAVPNAYFPLRRGGGVTLYQDAHVADGGLPAIQIAGGRAYEHGRCWEDICHSIVEAHHLVYMVGWSIYHPVKLVREPTRALPGETPSTLGELLKKKAREGVRIVILLWDDKTSHDKFLLKTDGVMHTHDEETKKFFRHSGVHCVLVPRYASTKLSIFKQQVVGTLFTHHQKCVLVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTAFNKDFHNPTFPIEGPAAFDILTNFEQRWRKATKWKVNLKKVASWHHDTLIKINRMSWIVTPAADEANAHVCEEKDPENWHVQVFRSIDSGSVKGFPKIVQEAESQNLVCAKNLKIDKSIHSAYVKAIRSAQHFIYIENQYFIGSSFLWSSHKSAGADNLIPVELALKIASKIKANEQFAVYIVLPMWPEGIPTAAPMQQILFWQGQTMSMMYKIIADALRMQGLVEAHPQDYLNFYCLGKREVAAGDSMSQTSLCNDNSTLRSAQKLRRFMIYVHSKGMVVDDEYVIIGSANINQRSMEGCRDTEIAMGAYQPHYKWSADHDQGPPRGQVYGYRMSLWAEHLGAVEECFGRPETGECVRRVREMAEENWRAYVSPEMEETKGHLMCYPLKVDKDGRVRALPGHDCFPDVGGKVLGTQTSLPNALTT >ONIVA03G42310.2 pep chromosome:AWHD00000000:3:34941194:34945581:-1 gene:ONIVA03G42310 transcript:ONIVA03G42310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0E0GW83] MGKSSADLSASSESAVLLHGDLDIWITEAKCLPNMDIMSERMRRFFTGYGACGSSCAGDNARRGGVGVRPKKIITSDPYVSVCLAGATVAQTRVIPNSENPRWEERFRVEVAHAVSRLEFHVKDNDVFGAQLIGVASLPVDRILSGAPAEGWFPIDGHCSSNPMRPPPELRLSVQYRPIDDNPLYRGGAGAVPNAYFPLRRGGGVTLYQDAHVADGGLPAIQIAGGRAYEHGRCWEDICHSIVEAHHLVYMVGWSIYHPVKLVREPTRALPGETPSTLGELLKKKAREGVRIVILLWDDKTSHDKFLLKTDGVMHTHDEETKKFFRHSGVHCVLVPRYASTKLSIFKQQVVGTLFTHHQKCVLVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTAFNKDFHNPTFPIEGPAAFDILTNFEQRWRKATKWKVNLKKVASWHHDTLIKINRMSWIVTPAADEANAHVCEEKDPENWHVQVFRSIDSGSVKGFPKIVQEAESQNLVCAKNLKIDKSIHSAYVKAIRSAQHFIYIENQYFIGSSFLWSSHKSAVPVRLFVTHILHSYYDWNALVRSGADNLIPVELALKIASKIKANEQFAVYIVLPMWPEGIPTAAPMQQILFWQGQTMSMMYKIIADALRMQGLVEAHPQDYLNFYCLGKREVAAGDSMSQTSLCNDNSTLRSAQKLRRFMIYVHSKGMVVDDEYVIIGSANINQRSMEGCRDTEIAMGAYQPHYKWSADHDQGPPRGQVYGYRMSLWAEHLGAVEECFGRPETGECVRRVREMAEENWRAYVSPEMEETKGHLMCYPLKVDKDGRVRALPGHDCFPDVGGKVLGTQTSLPNALTT >ONIVA03G42300.1 pep chromosome:AWHD00000000:3:34928188:34931315:-1 gene:ONIVA03G42300 transcript:ONIVA03G42300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAYRPGLFLRNNLLALYCRCGDMRHARLLFDGMPRRDAVSWNTLIAGYSGLGSPRLALDAFRSARASGDGVDRFTFAAALASCAREGNWRNGRVVHGLAVVSGLARTAFLTNSVIDMYAKCGMIDEVRLLFDRAEERDEASWNLLLSAYVRMGWPEVAVNVLVWMHRSGVKLDSFALGGILKACSELGDSEDVRRMLHGCVVKVGLDLDVFVGSAMVDMYAKNGGLEEAIKVFDCIPNRNVVVYNAMIAGFARLGNDPCPEIRIEAVMLFSNMLKKRIKPSKFTFKSVLEVCNLTNAVRCGRQIHAHVILSGLQADEFIASVLINLYSKARSVNDSLRCFDMTLKEDVFIWTSMITAFVQNEHFEKALYLFRELLYTRKETDQFTISSVMSACAALSVPTTCKQIHCHAVKTGLDQFTVSGNSQIAMYRNIGDLKASKLTFEQISCLDTFSWSAMILSYAVHGYESKALELFEKMKNLGVMMNEIASLAVLIACSHQGLADEGLRYYENTIPDDGFSLDVKLKACMVDLLGRVGKLADAEDFIMSSGSENDPILWHALLRACRVHGDKERCTKIGEKLMELEPFSASSYVMLYNLYMDAGKISLAMRTRGLMRERGITNEAGISWTDFGGSIHNFIDGDNSCSHNTIHTTLEELLVRVKQKTAHGGTNIWELEFQSRKLSESSISRHGELLAVAFGLTTLPSVAPVRVMKNQRISWESHETLKLLSEGENREITVRDPTHFHHFTRGSCSCRGYW >ONIVA03G42290.1 pep chromosome:AWHD00000000:3:34910783:34926599:-1 gene:ONIVA03G42290 transcript:ONIVA03G42290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDEADFGNITDATEGQAAAKFRPKARAKPRKTSLPSRSLAPHPTVESTDENVETLNKDSTSHEQSVDKKAASLGCHGNEADGNACASGGILDTPSEDVVTVSLGLVNNPDVALDSSTVFASVHKVSQNEENNDDLSHVATHKESMVVSDTQAPPTCCSAKTIDDLADFEGLCDDTHVEEERVAKFQPKFRVKTSKATSKSQRTNQKAGVSTVDVVSQNKEDGKDQAGCNDKQLHSPTGHQESVQISYSQAHLGTHNSTIDDVANSDSIMEEPAQEEMAAKFQPRLRPKAGGASPGVAETIDAACVATPEFGVSSADVVSQDTEEDSHREGLSDGSCQKYIDEEAITTSGTGPPQDLDATVDLDSHAEMVNPHPDGSPLIIGEPSAETTVKFQPYVRRKKGKGKSVSFVPPNVSHAHTPTDTNSETSNSSHFCKDIATGESLSNLPQQASEKICISGEHHPDDQECNDPENQYHEGEPYDHVIEQEPERDVRETGTPMILRNRGKLQKDGIPEHTADDIMDEDFGEPPSDEQDNDSGDEYTARGKQKGRRKSREKNINKEPSRGTKRTSGDSTIEESQKQKLQKNKSKTSSRGQKKTSKDSSVEQPEKKLTHRIRRKRMEEVKTLLETPDHEIDRMKLSGKEIPSGPSSSNHSTSQFGDMDDEYNEQDNWDNDRTENHVVENTTKLNYHSYMNRQTRAKWSKSETDKFYEGLQQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKKHPMQVHDAIMHRSREVIKKLNIEDVQPDINNTHEQEGTSNEEDPGNKNIPGGLINEEEENGLDWSDKELDMHRSEVEEKEHVSTNDDDDDDLGDAKMEVVQCEDKVRGGGARDDIVRLSTGRPSQSHHSLDPLALLSMAASRLLPHTVSGLQLKVRCLFQGSSAIEHAIKKVQMSILILEHCYQNMNSTYLLLVPYL >ONIVA03G42290.2 pep chromosome:AWHD00000000:3:34910783:34926174:-1 gene:ONIVA03G42290 transcript:ONIVA03G42290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPVPVQEEAYEAGYGEVASRAGEGRGSSSGHIAMIDDEADFGNITDATEGQAAAKFRPKARAKPRKTSLPSRSLAPHPTVESTDENVETLNKDSTSHEQSVDKKAASLGCHGNEADGNACASGGILDTPSEDVVTVSLGLVNNPDVALDSSTVFASVHKVSQNEENNDDLSHVATHKESMVVSDTQAPPTCCSAKTIDDLADFEGLCDDTHVEEERVAKFQPKFRVKTSKATSKSQRTNQKAGVSTVDVVSQNKEDGKDQAGCNDKQLHSPTGHQESVQISYSQAHLGTHNSTIDDVANSDSIMEEPAQEEMAAKFQPRLRPKAGGASPGVAETIDAACVATPEFGVSSADVVSQDTEEDSHREGLSDGSCQKYIDEEAITTSGTGPPQDLDATVDLDSHAEMVNPHPDGSPLIIGEPSAETTVKFQPYVRRKKGKGKSVSFVPPNVSHAHTPTDTNSETSNSSHFCKDIATGESLSNLPQQASEKICISGEHHPDDQECNDPENQYHEGEPYDHVIEQEPERDVRETGTPMILRNRGKLQKDGIPEHTADDIMDEDFGEPPSDEQDNDSGDEYTARGKQKGRRKSREKNINKEPSRGTKRTSGDSTIEESQKQKLQKNKSKTSSRGQKKTSKDSSVEQPEKKLTHRIRRKRMEEVKTLLETPDHEIDRMKLSGKEIPSGPSSSNHSTSQFGDMDDEYNEQDNWDNDRTENHVVENTTKLNYHSYMNRQTRAKWSKSETDKFYEGLQQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKKHPMQVHDAIMHRSREVIKKLNIEDVQPDINNTHEQEGTSNEEDPGNKNIPGGLINEEEENGLDWSDKELDMHRSEVEEKEHVSTNDDDDDDLGDAKMEVVQCEDKVRGGGARDDIVRLSTGRPSQSHHSLDPLALLSMAASRLLPHTVSGLQLKVRCLFQGSSAIEHAIKKVQMSILILEHCYQNMNSTYLLLVPYL >ONIVA03G42290.3 pep chromosome:AWHD00000000:3:34910783:34926174:-1 gene:ONIVA03G42290 transcript:ONIVA03G42290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPVPVQEEAYEAGYGEVASRAGEGRGSSSGHIAMIDDEADFGNITDATEGQAAAKFRPKARAKPRKTSLPSRSLAPHPTVESTDENVETLNKDSTSHEQSVDKKAASLGCHGNEADGNACASGGILDTPSEDVVTVSLGLVNNPDVALDSSTVFASVHKVSQNEENNDDLSHVATHKESMVVSDTQAPPTCCSAKTIDDLADFEGLCDDTHVEEERVAKFQPKFRVKTSKATSKSQRTNQKAGVSTVDVVSQNKEDGKDQAGCNDKQLHSPTGHQESVQISYSQAHLGTHNSTIDDVANSDSIMEEPAQEEMAAKFQPRLRPKAGGASPGVAETIDAACVATPEFGVSSADVVSQDTEEDSHREGLSDGSCQKYIDEEAITTSGTGPPQDLDATVDLDSHAEMVNPHPDGSPLIIGEPSAETTVKFQPYVRRKKGKGKSVSFVPPNVSHAHTPTDTNSETSNSSHFCKDIATGESLSNLPQQASEKICISGEHHPDDQECNDPENQYHEGEPYDHVIEQEPERDVRETGTPMILRNRGKLQKDGIPEHTADDIMDEDFGEPPSDEQDNDSGDEYTARGKQKGRRKSREKNINKEPSRGTKRTSGDSTIEESQKQKLQKNKSKTSSRGQKKTSKDSSVEQPEKKLTHRIRRKRMEEVKTLLETPDHEIDRMKLSVTHLRLLQEAKERIKASFVGKEIPSGPSSSNHSTSQFGDMDDEYNEQDNWDNDRTENHVVENTTKLNYHSYMNRQTRAKWSKSETDKFYEGLQQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKKHPMQVHDAIMHRSREVIKKLNIEDVQPDINNTHEQEGTSNEEDPGNKNIPGGLINEEEENGLDWSDKELDMHRSEVEEKEHVSTNDDDDDDLGDAKMEVVQCEDKVRGGGARDDIVRLSTGRPSQSHHSLDPLALLSMAASRLLPHTVSGLQLKVRCLFQGSSAIEHAIKKVQMSILILEHCYQNMNSTYLLLVPYL >ONIVA03G42290.4 pep chromosome:AWHD00000000:3:34910783:34926599:-1 gene:ONIVA03G42290 transcript:ONIVA03G42290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDEADFGNITDATEGQAAAKFRPKARAKPRKTSLPSRSLAPHPTVESTDENVETLNKDSTSHEQSVDKKAASLGCHGNEADGNACASGGILDTPSEDVVTVSLGLVNNPDVALDSSTVFASVHKVSQNEENNDDLSHVATHKESMVVSDTQAPPTCCSAKTIDDLADFEGLCDDTHVEEERVAKFQPKFRVKTSKATSKSQRTNQKAGVSTVDVVSQNKEDGKDQAGCNDKQLHSPTGHQESVQISYSQAHLGTHNSTIDDVANSDSIMEEPAQEEMAAKFQPRLRPKAGGASPGVAETIDAACVATPEFGVSSADVVSQDTEEDSHREGLSDGSCQKYIDEEAITTSGTGPPQDLDATVDLDSHAEMVNPHPDGSPLIIGEPSAETTVKFQPYVRRKKGKGKSVSFVPPNVSHAHTPTDTNSETSNSSHFCKDIATGESLSNLPQQASEKICISGEHHPDDQECNDPENQYHEGEPYDHVIEQEPERDVRETGTPMILRNRGKLQKDGIPEHTADDIMDEDFGEPPSDEQDNDSGDEYTARGKQKGRRKSREKNINKEPSRGTKRTSGDSTIEESQKQKLQKNKSKTSSRGQKKTSKDSSVEQPEKKLTHRIRRKRMEEVKTLLETPDHEIDRMKLSVTHLRLLQEAKERIKASFVGKEIPSGPSSSNHSTSQFGDMDDEYNEQDNWDNDRTENHVVENTTKLNYHSYMNRQTRAKWSKSETDKFYEGLQQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKKHPMQVHDAIMHRSREVIKKLNIEDVQPDINNTHEQEGTSNEEDPGNKNIPGGLINEEEENGLDWSDKELDMHRSEVEEKEHVSTNDDDDDDLGDAKMEVVQCEDKVRGGGARDDIVRLSTGRPSQSHHSLDPLALLSMAASRLLPHTVSGLQLKVRCLFQGSSAIEHAIKKVQMSILILEHCYQNMNSTYLLLVPYL >ONIVA03G42280.1 pep chromosome:AWHD00000000:3:34907497:34909545:1 gene:ONIVA03G42280 transcript:ONIVA03G42280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLAALLAAALLASPAAPAVSASGGTIVFTTLGRSRYEFDIFSLPLAPSPHNPAAEELRLTDGVSVNYNGNFAPASDSILFVSERNGSLNLYLSPVPSSRREALESASSSSATLSPLLPWEPIALKDRPSLTPDGSRLVYVSTAVPAAEPRSSWAAVYSTELSTGRTRRLTPLGVADFSPAVSPSGEWTAAASPGAAGWSGEVEDLRTDIYVFRTADGSRRSLAIRDGGWPSWADETTVFFHRRDSDGWYGVYRAEISVTGDGVEAASVERITPPGFHTFTPAASPGAPGLVAVATRRAGSDYRHIEVIDVSDDGKNAYFEVTRPVAPRVHHFNPFISPDGARVGYHRCRGRGNGDSPLLLENIKSPGSPDTFSLFRIDGSFPSFSHDGKKIAFVGLPGMYVVNPDGSGGRRKIFSGNAFSTSWDWKRNGVIYTSIGPDFASESTEVDVVAISLGDDDDETISMKKLTVGGENNAFPSPSPDGKWVVFRSGRSGNKNLYIIDAEDGEAGGIRRLTEGPWSDTMCNWSPDGEWIAFASDRHAPGSGSFAIYMVHPNGTGLRRVVHSGDGGRTNHPWFGPDSKSLVFTSDYAAVSAEPVSNPHHYQPYGEIFTVHIDGSNIRRLTHNSFEDGTPSWTPYLLEPRDVGETLQASGRCAFQDCHWLNIQDAAQPEELIYGKSC >ONIVA03G42270.1 pep chromosome:AWHD00000000:3:34892094:34896196:-1 gene:ONIVA03G42270 transcript:ONIVA03G42270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSKKHHQQGGGTTTMEEGAMDGAGGGVAEEGCSGEEREGVADVAVGGGGGEGEREGRTLVVGVRADAASRTLLTWTFINVAAPGDRIVAVHVVLASAPVAAATTAVDFDTMLAVYEGFCNLKQINLKLKICKDSSVRKALVREANLFGASKVIVGIAKKKRGISSLHSVAKYCSKKLPAKCAVLAVDSGKIVFRRESNVHSGKVSAEIPGCGDNELYCEVPFLARQCKEEPLPLHEPPRDGGGSAGEEEEEHDVGTKETEPVNAVSGEQQPSGVDPAELSPDQVQGDVDPSDKGEESTADQKDEISELPGEGASVLYCVLPERNGHSAASTSSRQSNDSTEPPTEGNGELYCILPPRNDHSGRSSGDSSRSTASRKHDDSASLSAEGDGELYCRLPRTGHSGRSSGGSKHSFGAKGLIRRSSSFSSDIQKDVSVCMTTTEQTSSMVSTEAEDSPKNAARDVDTPSSSPMSLRRMIEGRPDRCRLRRRIFNHQRSSSFEWAKISMVQWAMRLPSRYTSVADNKSFKSDASPRLNCDSECESTSAVDTESMFSFSLYDISWPPNEVELLQEKYSSTCRLFTYEELKLATSNFSPDMLIGKGGTSQVYKAQLFDGTLSAVKILKPSVDAIQEFVTEVEIATSLQHDNIVSLRGFSSDNYSLVLVYDYMLQGSLDKALHGKHDSKDSLSWEKRNKIAIGIAKALEYLHHGSVTQSVIHGDVKSSNILLSEDFQAQLCDFGLAKQVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVILEIISGRRPIRTGCSKGQESLVGWAKPLLSSGEIKQLVDPFLGNDYDCDEMERMTLAASLCTRTSSHSRPEMSQMLKLLEGDDETIHWARTQVTASFDGSDEEAVAAPDSNMQSHLNLALLGVEDDTLSHCSTEQTMDTSADGYWSRSSSFD >ONIVA03G42260.1 pep chromosome:AWHD00000000:3:34889366:34889788:-1 gene:ONIVA03G42260 transcript:ONIVA03G42260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDESFKRPGTIPFKWELQPGVPKQQPHHGGGGAAVGSSSASSSPAPAPAAASTLLLPPRLLAPPPAAAASHGGCDTGANILASTTTPSPSSSSHRRSMSARFTASLVPPFTRPRRGRSANSKDEDDIAFTVLYGDKIV >ONIVA03G42250.1 pep chromosome:AWHD00000000:3:34883273:34884139:-1 gene:ONIVA03G42250 transcript:ONIVA03G42250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLSLRISSSQRIFRHRYLAPEYFSHGKVNEKIDVYAFGVVILVLEIISGRRPIRTGCAKGQESLVGWAKPLLSGGEIKQLVDPFLGNDYDCDEMERMMLD >ONIVA03G42240.1 pep chromosome:AWHD00000000:3:34882856:34883077:-1 gene:ONIVA03G42240 transcript:ONIVA03G42240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRSTDHWARSHVTASFDGSDEEAVAAPDSNMQSHLNLALLGVEDDTLSHCSTEQTMDTSADGYWSRSSSFD >ONIVA03G42230.1 pep chromosome:AWHD00000000:3:34871513:34875638:1 gene:ONIVA03G42230 transcript:ONIVA03G42230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRLCLLDSGFDKAVGRPPRDGNKSILGSTWGIGDQINGSRRNSGAAAVGGELPLGHSRTKGRGRAARTTEPRRTVVAQPHGGSRQQEDEGSSSGGWWRALTGLQSNKGRRSASRTVATQPHEGRADEFLRSGREVKANDFVEAVVKS >ONIVA03G42220.1 pep chromosome:AWHD00000000:3:34867633:34867794:1 gene:ONIVA03G42220 transcript:ONIVA03G42220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMDGSEHAGERRKAKEYGEKARRAMEKGGSSYESLTQLIHSFTLQGAKKAL >ONIVA03G42210.1 pep chromosome:AWHD00000000:3:34865706:34867616:1 gene:ONIVA03G42210 transcript:ONIVA03G42210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTTEQKRPSENQAREYIINPLEVLMLESIAHGVLVVAWPHFSDQFLNERLVVDVLGVGVTTPVLLLGDEAMAVTCHPG >ONIVA03G42200.1 pep chromosome:AWHD00000000:3:34861139:34863670:1 gene:ONIVA03G42200 transcript:ONIVA03G42200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVFLEKRHKPSFLTKHKSSNRMLGLPAIQAKRLQSRKTEKRTNAAGTAQGTASIQVQKGEAMSARGLIRTAT >ONIVA03G42190.1 pep chromosome:AWHD00000000:3:34842225:34846643:1 gene:ONIVA03G42190 transcript:ONIVA03G42190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G71870) TAIR;Acc:AT1G71870] MAIPLQGKAQLQQGEGGKGDGAVDDDGDDQPSVASELRELWGMAAPITALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPLCAQAFGSKNYDLLTLSLQRAVLLLTLAALPIALLWLHVGPILVALGQDPTISASAAAYAAYALPDLAASAVLQPLRVYLRSQGITKPMAACSAIAVALHVPLNVLLVFGLGFGVRGVAAAQALTNTNMVLFLLAYIRWSRACDATWKGWARPAAVASGLAGLVRLAVPSCVGVCLEWWWYEVVTVLAGYLPDPAAAVGAAGVLIQTTSLMYTVPMALAACVSTRVGNELGGGKPRRARMAAMVALGCAVVIGVVHVAWTAAFSREWVELFTREAAVVRLAAAAMPILGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVTLAFGARVGFGGLWYGLLSAQAACVALVLLAVVWRTDWHLEALRAKKLTGLEMIAAAAEGDDDECKRLIAPLPPPDGHDVAVDVV >ONIVA03G42180.1 pep chromosome:AWHD00000000:3:34835334:34840749:-1 gene:ONIVA03G42180 transcript:ONIVA03G42180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G24200) TAIR;Acc:AT3G24200] MLSRGRCFPAASRIRPLVRAFCDVPPSLQDAAAGVPSSQDHTEKVDDVKARPDELDIAIVGGGMVGLAVASNMPLTKHLRVGIIDSNPALKSRNYLKKDGVPDSRVSTITPATISFFRDIGAWDHVQQQRHAFFGKMQVWDYTGLGYTRYSARDVGKEYLGCVVENKVLCNSLLLRLQEENGDIEKMIYPTRLISLALPSKSRQAPTREAISSGYPPEELNRSNLVKLELSDGQTLYSKLVVGADGSKSNVRQTAGIKTTGWNYPQSAIICTVEHITENDCAWQRFLPSGPIALLPIGDNYSNIVWTMSPEESLRHKSMSPEDFVKSVNNALDFGYGPHPHSGSLDYYMEKLFSDIGSTAASTKECFEVPPKAIGVVCERMAFPLSLKHSHDYISKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGADFGDISLLKRYENDRKAANVAMAALLDGFQKMYSVDFGPLNVLRAAAFHGAQYISPLKKNIISYAMGDAKWPLYHGNHIIYQFERNGAIGIIAKPV >ONIVA03G42180.2 pep chromosome:AWHD00000000:3:34835334:34840573:-1 gene:ONIVA03G42180 transcript:ONIVA03G42180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G24200) TAIR;Acc:AT3G24200] MVGLAVASNMPLTKHLRVGIIDSNPALKSRNYLKKDGVPDSRVSTITPATISFFRDIGAWDHVQQQRHAFFGKMQVWDYTGLGYTRYSARDVGKEYLGCVVENKVLCNSLLLRLQEENGDIEKMIYPTRLISLALPSKSRQAPTREAISSGYPPEELNRSNLVKLELSDGQTLYSKLVVGADGSKSNVRQTAGIKTTGWNYPQSAIICTVEHITENDCAWQRFLPSGPIALLPIGDNYSNIVWTMSPEESLRHKSMSPEDFVKSVNNALDFGYGPHPHSGSLDYYMEKLFSDIGSTAASTKECFEVPPKAIGVVCERMAFPLSLKHSHDYISKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGADFGDISLLKRYENDRKAANVAMAALLDGFQKMYSVDFGPLNVLRAAAFHGAQYISPLKKNIISYAMGDAKWPLYHGNHIIYQFERNGAIGIIAKPV >ONIVA03G42170.1 pep chromosome:AWHD00000000:3:34832419:34834913:-1 gene:ONIVA03G42170 transcript:ONIVA03G42170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSASAVPPEDDVCSVCHDRFRIPCQANCSHWFCGECIIRVWNHGPAVQPCKCPICRRLINLLVPANVSIDNDDDPQIQHVLGEVQHYNRIFGGTPRNLTQRLQDLPFFIRRLFRELLDPQRTLPLVFRARMVMMVALSAIYVLSPIDILPENVLGLFGFFDDFLVLLIVFLHLAAVYRSLLLYRHGGH >ONIVA03G42160.1 pep chromosome:AWHD00000000:3:34829446:34830514:-1 gene:ONIVA03G42160 transcript:ONIVA03G42160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G09620) TAIR;Acc:AT4G09620] MASQMRLMKQMCVGFFSHPVCDLFSSPNARFSEKKYGGRLTIQPNIEFGKTQNSRTQRKWRTFSADQAQATVVDAGDNKTWEEAKQILTSLDYSIEDADKMLKKAFGWIHSPYWSEERKKEVPNAEVVSGVLNYIRTLGLSDDDLRKLLKKFPEVLGCDLDSEVKLNVGKLDSDWGINGKTLRSLLLRNPKVLGYNVDCRGDCMAQCTRCWVRF >ONIVA03G42150.1 pep chromosome:AWHD00000000:3:34827596:34828753:1 gene:ONIVA03G42150 transcript:ONIVA03G42150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSADHFSNNNNNQAMYLEQDEDFSQMIMELCDFDASSTTQARHGGEAAAAAAGEARAVLTYLTFLEQKIGHLRGIISSTPNPPPQIVAAELSCIVVQLVSISKNLAAARRGGDDADADAKHDGSSDADEGADGDGERAPPRGSYEVVQIEKEEILAPHVHFCGVCGKGFKRDANLRMHMRGHGEEYKSAAALAKPGGSPSRSPAAADAAARRRFYSCPYVGCKRNREHKSFQPLKTPTCVKNHYRRSHCDKSFTCRRCNVKRFSVVADLRTHEKHCGRDRWVCSCGTSFSRKDKLFAHVAIFDGHSPALPPEDYDDDAASGQLPHAAGEAVGRTVDTNRFFSDGLMIKGSMDDERGSLSPMGLDYCEFDGIDLFAAAAFDF >ONIVA03G42140.1 pep chromosome:AWHD00000000:3:34824635:34825268:-1 gene:ONIVA03G42140 transcript:ONIVA03G42140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSAPYSDMHVTASRLSDSVPPLHRVVGALWHGGIRRRQRFGSICEWRRRHEEMEADSWRGIELRNDGKAALDDGRHLPKLRKREREAAVRKEKASASEPELTGGGSGTGMTWQ >ONIVA03G42130.1 pep chromosome:AWHD00000000:3:34813588:34818439:1 gene:ONIVA03G42130 transcript:ONIVA03G42130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0GW60] MSSSATVVPLAYQGNTSASVADWLNKGDNAWQLVAATVVGLQSVPGLVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGEKLLPIWGKARPALDQGLLVGRAALPATVHYRADGSVETAAVEPLYPMATVVYFQCVFAAITLILVAGSLLGRMSFLAWMIFVPLWLTFSYTVGAFSLWGGGFLFHWGVIDYCGGYVIHVSAGIAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANSVASMAVLNTNICTAMSLIVWTCLDVIFFKKPSVVGAVQGMITGLVCITPAAGVVQGWAALVMGVLAGSIPWYTMMILHKRSKILQRVDDTLGVFHTHGVAGLLGGLLTGLFAEPTLCNLFLPVADSRGAFYGGAGGAQFGKQIAGGLFVVAWNVAVTSLICLAINLLVPLRMPDDKLEVGDDAVHGEEAYALWGDGEMYDVTKHGSDAAVAPVVV >ONIVA03G42120.1 pep chromosome:AWHD00000000:3:34802741:34805317:-1 gene:ONIVA03G42120 transcript:ONIVA03G42120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lectin protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G34300) TAIR;Acc:AT1G34300] MRRKAAGDAALVGGCFLLLLLPLLSHGADMPLGSSLSPANQALWSSPNNTFSLSFTASPTSPSLFVAAITYAGGVPVWTAGNGATVDSGGALRLSSSGDLQLVNGSGAVVWSSNTGGQGVTTAALQESGNLLLRNSSATLWQSFEHPTDTVVMGQNFTSGMNLTSASYQFSLDRNTGNLTLKWTGGGTVTYFNKGYNTTFTANKTLSSPTLAMQTNGIVSLTDGSLTSPVVVAYSSNYGESGDMLRFVRLDTDGNFRAYSAARGSNAPTEQWSAVADQCQVFGYCGNMGVCGYNGTSPVCRCPSENFQLSNPADPRGGCQRKIELQNCPGNSTMLQLDNTQFLTYPPEITTEQFFVGITACRLNCLSGSSCVASTALSDGSGLCFLKVSNFVSGYQSAALPSTSFVKVCFPGIPNPPLGGGGSPSGKASGVRGWVVAVVVLGAVSGLVLCEWALWWVFCRHSPKYGAASAQYALLEYASGAPVQFSYRELQRSTKGFKEKLGAGGFGAVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDAFLFADAPGGRMPWPTRFAVAVGTARGITYLHEECRDCIVHCDIKPENILLDEHHNAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWLANLPITAKSDVYSYGMVLLELVSGHRNFDVSEETGRKKYSVWAYEEYEKGNIAAIVDKKLPGEDIDMVQVERALQVSFWCIQEQPAQRPSMGKVVQMLEGIMDLERPPPPKSSDSFLSLTSATTATGVSGSGSTSMVSTFTSSAAPPAPTPSPNVDEVQQEMAVGRSASARIRDRASRSLLGQEPYMTM >ONIVA03G42110.1 pep chromosome:AWHD00000000:3:34795392:34805886:1 gene:ONIVA03G42110 transcript:ONIVA03G42110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MTSRVLVSSSSSSSSAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPNEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPEFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAEAESGNSTSSSIVASNADTIAIDYAEGRQSEQASTSCSSSPVKQRPKVPGLQKDIEVLKSELEKFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIEY >ONIVA03G42110.2 pep chromosome:AWHD00000000:3:34795392:34805886:1 gene:ONIVA03G42110 transcript:ONIVA03G42110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MTSRVLVSSSSSSSSAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFKETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPDSDILLYKYELTKLSVRFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEIFHQTYVQSVIILKRQKLRADGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIEY >ONIVA03G42110.3 pep chromosome:AWHD00000000:3:34795392:34805886:1 gene:ONIVA03G42110 transcript:ONIVA03G42110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MTSRVLVSSSSSSSSAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFKETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPDSDILLYKYELTKLSVRFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAEAESGNSTSSSIVASNADTIAIDYAEGRQSEQASTSCSSSPVKQRPKVPGLQKDIEVLKSELEKFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIEY >ONIVA03G42110.4 pep chromosome:AWHD00000000:3:34795392:34805886:1 gene:ONIVA03G42110 transcript:ONIVA03G42110.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MTSRVLVSSSSSSSSAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFKETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPEFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAEAESGNSTSSSIVASNADTIAIDYAEGRQSEQASTSCSSSPVKQRPKVPGLQKDIEVLKSELEKFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIEY >ONIVA03G42100.1 pep chromosome:AWHD00000000:3:34791591:34794003:-1 gene:ONIVA03G42100 transcript:ONIVA03G42100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKAKASGGGRRGGAKDPTDALRSDKKRRDMDDSDDAELDSDMKEIVLLLRQIKDKAHKDGQKKTEQTLSSVATEIQTIVQDAKGKFEKERQNYLKVLSKTSKECEGLLKNEYTKFQATHDKFCKEKAAHMQTLKDLFSKFEDAKEKLLIQYELQRKKEKATLSELEKTFSEKITNAEESLKKMKQDDKSIVNLRKSIGSFLDPDDEFGADDD >ONIVA03G42090.1 pep chromosome:AWHD00000000:3:34783091:34793268:1 gene:ONIVA03G42090 transcript:ONIVA03G42090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRDAEEAYELAENRFLANDIAGALRVAREAQRLIYPAALPAGLANAVAAYEVHHAASRSDGGRWYAVLAVGDPSAPTTSSGINGAVITHKSLKQQYRRLCLVLHPDKNSSAAADGAFKLLQEAWGELSLLHPPGSGATPVSWSSPPPPPAAAEAPEWKAPRQAKPRRRAMRCPHCGCSFVAVVSDAVSGVNCLDCNRWVSTSSQSGPAPPPPPQPPPPPPPHQRETPSPSPPPQPQFPCPGNCSRCGAKFTATVSIGTLRASIDHSLRCNPSPMATGRNDEEEAEKAYELAENRFRANDIAGALHAAREARRLFPPLPGVASAVAAYEVHHAAASRADAGDKWYAILAVGDDSSATTSSGTNGAAAVITHEDLKHQYHRLCLLLHPDKNAAAAAEGAFKSCSGRRGTTSRFSTRRAPPRLLRCPPPPPPPPPGPRRISCRKCRGSFFTVVGDGVSGVNCVHCNRWVSLFPCPARCARCGVRFTETVSTGTRLLRCAACERSSYVFPKLQLILFASIDHASPMATPGRVEELAAENAYKLAENRFLADDITGALRAARAAQRVFPALPGLANAIAAYEVHAAATTSRANGGGKWYAILAVSDDSTTTSTGISGAAVITHESLKQQYRRLCLVLHPDKNSSAAAEGAFKLLREAWDKLSLLHPPGSAAAPVSCPPPPATAQPPDWMPRQPGPHRRTMFCPNCRCSFATVVDDGVSGVNCVNCNHWVSTLWQTGRAPPPPQQQQQSSSRFPCPTPCPGCDAKFTGTVSIGKHLLPCRACNKCFLVFPTPGALDTHAKRENAISNQEQAEEACRRAEEFFLAGNIASAHRLARRAQRLCPSLPGVANALAAYDVHAAAAANPGRPNWYAVLGIDQPSSAAAAAVTRDAIKRQFRRRSLLVHPDKNRSAAADGAFKLLRQACDALSDHHHPNAAAASAPRRRPGGASTGRATRRWRPRRPGAAAPPPMRRGPRGVKGRHPWSSTASAATASSEPDEFGVTCRWCRRPVRPPWVRRKPSSPTTKAPPPPRPKPEMFPCPGQCPRCGAQFASMVCAGKWHLRCKTCSKYTMVDVQGPDMATCSRVAFSFFPVRQDQNKCLSHMET >ONIVA03G42080.1 pep chromosome:AWHD00000000:3:34774938:34782806:1 gene:ONIVA03G42080 transcript:ONIVA03G42080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAANGAGGGGGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKNPFGGEFTIFGGLEECIRFIANFKLTDTEITFLRSAMPTCEDGFFEYLSSIDCSDVEVYAIPEGSVVFPKVPLMIIEGPVAVIQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGPDGGISASRYCYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFMGLNDIIDRTLASSDGSNKCEDFVSLVQNWLARIKDAGSLRGTFRETNLSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAAGIRLDSGDLAYLSVETRKFFRAIEEEFGFIGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKRCYRLFGKEGYPLVDIMTGEDEPAPKVGERLLCRHPFNESKRAYVVPQHVEELLKCYWPGTTSEPREELPSINEIRSRCMGYLDRMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPLWEILADDHAVVEEAGHRRPSSTASASASATASVITAAASSDGEGYASASATASAIAAAASSEGEGYTSGFAAAAGGAADWVVGEVEVLVARQVRNVRREVHGVDVAQQPGRTAGGGALSARPAAHHGRGVRTATEEVRWPVVEPWRSLGSSGAGEDFVPAPDGSRGGWGR >ONIVA03G42080.2 pep chromosome:AWHD00000000:3:34774938:34782312:1 gene:ONIVA03G42080 transcript:ONIVA03G42080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAANGAGGGGGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKNPFGGEFTIFGGLEECIRFIANFKLTDTEITFLRSAMPTCEDGFFEYLSSIDCSDVEVYAIPEGSVVFPKVPLMIIEGPVAVIQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGPDGGISASRYCYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFMGLNDIIDRTLASSDGSNKCEDFVSLVQNWLARIKDAGSLRGTFRETNLSELAAFTSYALAFPNSFLALVDTYDAAGIRLDSGDLAYLSVETRKFFRAIEEEFGFIGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVSIPCKKRCYRLFGKEGYPLVDIMTGEDEPAPKVGERLLCRHPFNESKRAYVVPQHVEELLKCYWPGTTSEPREELPSINEIRSRCMGYLDRMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPLWEILADDHAVVEEAGHRRPSSTASASASATASVITAAASSDGEGYASASATASAIAAAASSEGEGYTSGFAAAAGGAADWVVGEVEVLVARQVRNVRREVHGVDVAQQVRRMPCLPLDSRRENN >ONIVA03G42070.1 pep chromosome:AWHD00000000:3:34773699:34774808:-1 gene:ONIVA03G42070 transcript:ONIVA03G42070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVGDGAAPAAAEPAPAAAACRWTRQKDKLLETLVARCAMNRQCVGGWDAIAAAFGDDRTAAQVEQRSSRRRAGGAVKHAAAGPGSDGGGEEGKVVVEKKSGIWSEEEHRQCLRGIEEIGHGRWTQISIEYVPSRTPIQIASHSQKYFLRMAKPKEDRKRKSIHDTPYHLHLPNAADAHAHQQQQ >ONIVA03G42060.1 pep chromosome:AWHD00000000:3:34760583:34766953:1 gene:ONIVA03G42060 transcript:ONIVA03G42060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GW49] MEASAGLVAGSHNRNELVVIRRDGEPGPKPVKHTNGQVCQICGDDVGLTPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLKGCARVPGDEEEEDVDDLENEFNWRDKTDSQYVAESMLHGHMSYGRGGDLDGVPQHFQPIPNVPLLTNGEMADDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDADLPLMDEARQPLSRKIPISSSLINPYRMIIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQQSQLAPVDFFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSLEPRAPEWYFQQKIDYLKDKVAPNFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKSKKPPSRTCNCWPKWCICCCCFGNRTNKKKTAKPKTEKKKRLFFKRAENQSPAYALGEIDEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNIASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >ONIVA03G42050.1 pep chromosome:AWHD00000000:3:34751819:34757009:-1 gene:ONIVA03G42050 transcript:ONIVA03G42050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSGGGRWRRLNVRPDLASDQEGTRTLNLTVLRRLDPAVADILIIAAHVVLYSFDDNIHQWSRRPVEGSLFVVKRNTQPRFQFIVMNRKNTDEITGIWFYDPQECEQVGYLFSRIQKAFSRVSPKAKVSVTESEYEKPEVVPAVPSNEDTLKQPTSSIMVLDNAKYDFLSALLKGAACVGATMDEASAVQSNKSVGMVHSSTHASPHAIPPQSPAIQSNKSFGMVHSSTQAPLAIPPQSPAIRSNKSVGMIHSSTHASPFDIPPQSPAVQSNKSVGVIHSLTHASHLAIPPQSPALHGLHPSQISSVPVMPHDAHRSSSTSTIQPTSLANPLFFPPMPSLQTASHAASSLCSAAPLHPPITVQQLQSAPLHQPFSLPTASSIPPPYGMPLLQPFPPPNPSPFLTSGVSYGRPVITRDQLKDVLLSLCQYSRSRKSLAPNTRKAETLHFA >ONIVA03G42050.2 pep chromosome:AWHD00000000:3:34751819:34757009:-1 gene:ONIVA03G42050 transcript:ONIVA03G42050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSGGGRWRRLNVRPDLASDQEGTRTLNLTVLRRLDPAVADILIIAAHVVLYSFDDNIHQWSRRPVEGSLFVVKRNTQPRFQFIVMNRKNTENLTEDLLGGFEYQVQVPYIMYHNAADEITGIWFYDPQECEQVGYLFSRIQKAFSRVSPKAKVSVTESEYEKPEVVPAVPSNEDTLKQPTSSIMVLDNAKYDFLSALLKGAACVGATMDEASAVQSNKSVGMVHSSTHASPHAIPPQSPAIQSNKSFGMVHSSTQAPLAIPPQSPAIRSNKSVGMIHSSTHASPFDIPPQSPAVQSNKSVGVIHSLTHASHLAIPPQSPALHGLHPSQISSVPVMPHDAHRSSSTSTIQPTSLANPLFFPPMPSLQTASHAASSLCSAAPLHPPITVQQLQSAPLHQPFSLPTASSIPPPYGMPLLQPFPPPNPSPFLTSGVSYGRPVITRDQLKDVLLSLCQYSRSRKSLAPNTRKAETLHFA >ONIVA03G42050.3 pep chromosome:AWHD00000000:3:34751819:34757009:-1 gene:ONIVA03G42050 transcript:ONIVA03G42050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSGGGRWRRLNVRPDLASDQEGTRTLNLTVLRRLDPAVADILIIAAHVVLYSFDDNIHQWFIVMNRKNTENLTEDLLGGFEYQVQVPYIMYHNAADEITGIWFYDPQECEQVGYLFSRIQKAFSRVSPKAKVSVTESEYEKPEVVPAVPSNEDTLKQPTSSIMVLDNAKYDFLSALLKGAACVGATMDEASAVQSNKSVGMVHSSTHASPHAIPPQSPAIQSNKSFGMVHSSTQAPLAIPPQSPAIRSNKSVGMIHSSTHASPFDIPPQSPAVQSNKSVGVIHSLTHASHLAIPPQSPALHGLHPSQISSVPVMPHDAHRSSSTSTIQPTSLANPLFFPPMPSLQTASHAASSLCSAAPLHPPITVQQLQSAPLHQPFSLPTASSIPPPYGMPLLQPFPPPNPSPFLTSGVSYGRPVITRDQLKDVLLSLCQYSRSRKSLAPNTRKAETLHFA >ONIVA03G42040.1 pep chromosome:AWHD00000000:3:34742088:34751969:1 gene:ONIVA03G42040 transcript:ONIVA03G42040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTAATTLGRELLEAARAPEFAGWLRGLRRRIHQHPELAFQEHRTSALVRAELDALGVAYVWPVAQTGVVATVVGAAGPGPGKVKLVFQPAEEGYAGGYYVLEEGAVDDVQGIFGMHVDAGLPAGVVASRPGPFLAGSARFTATINGKGGHAAAPHHAVDPIVAVSSAVLSLQQIVARETDPLQGAVVSVTTIKGGEAFNVIEGQAAVNRCTAAVDFMEDKLPPYPATVNDEEMYAHAKAVAESMLGEANVKLSPQGMGAEDFGFYAQRIPAAFFGIGVGNDGGGMAETTTKNQLHSPHFVVDEEALPVGAAFHAAVAIEYLNKNASGLPARAAMSTTLRRELLEAARAPEFAGWLRGLRRRIHQHPELAFQEHRTSALVRAELDALGVAYVWPVAQTGVVATVVGAAGPGPGKVKLVFQPAEEGYAGGYYVLEEGAVDDVQGIFGMHVDAGLPAGVVASRPGPFLAGSARFTATINGKGGHAAAPHHAVDPIVAVSSAVLSLQQIVARETDPLQGAEMVEWEFKSLEDGKMHACGHDAHVAILLVAAKLLQSRRDHFNGKVKLVFQPAEGGAGGYHVLKEGVLDDTQTIFAVHVATDLPAGVVGSRPGPFLAGSARFTATITGKGGHAAEPHLAVDPIVAASSAVLSLQQIVARETNPLQGAVVSVTTIKGGEAFNVIPESVTLGGTLRSMTTDGLSYLMNRIREVIEGQAAVNRCTAAVDFMEDKLRPYPATVNDEGMYAHAKAVAESMLGEANVTVSPMCMGAEDFGFYAQRIPAAFFGIGVGSNGNDGGGMAETTKNQLHSPHFVVDEEALPVGAAFHAAVAIEL >ONIVA03G42040.2 pep chromosome:AWHD00000000:3:34742088:34751969:1 gene:ONIVA03G42040 transcript:ONIVA03G42040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTAATTLGRELLEAARAPEFAGWLRGLRRRIHQHPELAFQEHRTSALVRAELDALGVAYVWPVAQTGVVATVVGAAGPGPGKVKLVFQPAEEGYAGGYYVLEEGAVDDVQGIFGMHVDAGLPAGVVASRPGPFLAGSARFTATINGKGGHAAAPHHAVDPIVAVSSAVLSLQQIVARETDPLQGAVVSVTTIKGGEAFNVIEGQAAVNRCTAAVDFMEDKLPPYPATVNDEEMYAHAKAVAESMLGEANVKLSPQGMGAEDFGFYAQRIPAAFFGIGVGNDGGGMAETTTKNQLHSPHFVVDEEALPVGAAFHAAVAIEYLNKNASGLPARAAMSTTLRRELLEAARAPEFAGWLRGLRRRIHQHPELAFQEHRTSALVRAELDALGVAYVWPVAQTGVVATVVGAAGPGPGKVKLVFQPAEEGYAGGYYVLEEGAVDDVQGIFGMHVDAGLPAGVVASRPGPFLAGSARFTATINGKGGHAAAPHHAVDPIVAVSSAVLSLQQIVARETDPLQGAVVSVTTIKGGEAFNVIPESVTLGGTLRSMTTDGLSYLMNRIREVIEGQAAVNRCTAAVDFMEDKLRPYPATVNDEGMYAHAKAVAESMLGEANVTVSPMCMGAEDFGFYAQRIPAAFFGIGVGSNGNDGGGMAETTKNQLHSPHFVVDEEALPVGAAFHAAVAIEL >ONIVA03G42030.1 pep chromosome:AWHD00000000:3:34740275:34742038:1 gene:ONIVA03G42030 transcript:ONIVA03G42030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAATGCPPLHHARRRPLATARLPAAGHYPPTDGVLERERKRGKRERGGSMTDQFTWLASACGGRH >ONIVA03G42020.1 pep chromosome:AWHD00000000:3:34731782:34740088:1 gene:ONIVA03G42020 transcript:ONIVA03G42020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSWASVMSSDDGEALSLLGSSLQYGCNQGCQVFTELVALEFLYKEDRCNLAGVAGMVGGWEAGSGRWPAAGVAGRQASSGGNGHGMPLADYTTAAAGGGGVASFLSSYRNCSFGHIHSSPSAL >ONIVA03G42010.1 pep chromosome:AWHD00000000:3:34729144:34731088:-1 gene:ONIVA03G42010 transcript:ONIVA03G42010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPSLPVVLIFLLLVLIITSQFEWKQQVGEAEANPAATRRRQQVILREDAVKEKIILAQEKNIQQLNGLIQNLQLQLLHCRLSNSTAHTTSNKSTNNSAVEGHKMIDD >ONIVA03G42010.2 pep chromosome:AWHD00000000:3:34729142:34730433:-1 gene:ONIVA03G42010 transcript:ONIVA03G42010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRPVVLIFLLLVLIITSQFEWKQQVGEAEANPAATRRRQQVILREDAVKEKIILAQEKNIQQLNGLIQNLQLQLLHCRLSNSTAHTTSNKSTNNSAVEGHKMIDD >ONIVA03G42000.1 pep chromosome:AWHD00000000:3:34720777:34730788:1 gene:ONIVA03G42000 transcript:ONIVA03G42000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPPTKCPEARNYGQKLMRPTRQLPVTLQSNWPPRSTSSLSIQSPLTTIREARCLVSQPRLESTPAMAFWEDWGKGDCDCGWKKCLIWTAAIAGVGGLIVLLVFAFALVFPPKATADDAVLLRFALSPGSPPSNSTVSYNATVTLSLRNPNLYRGISYDPVAVAFSFNGTRFDESATVPAFYHRPRKTATFHVTVGGAGKPVPKLTAAGVAAFRAENATGRFEVEVRLDTVMQYKARKARCPLAVICPLQLQLVDPDVAATAFQRTKCTVLRAKKQGKQRNENDAPTYVIIGGGGMCYESECCGGRGSYRNRRFALGFWFGLAILAAIAIIVVLAVGYGRVSSLRVAVDDASLTRFAVTATSVAYNLTVSLVVRNPNWAMGVTYRSLEASYLFHGKRFDGAAAVVSSGYEQAARKTEVFRLSSGSDAAPAALGSGGEREYRKESDNGGVFDVEVDLSGEVKYALHSAWCRVEARCPLKLQLPAAGSVAFQETTCDMLRSNLPFYKRDQSGRTVTLYTENPGV >ONIVA03G41990.1 pep chromosome:AWHD00000000:3:34717023:34718181:-1 gene:ONIVA03G41990 transcript:ONIVA03G41990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein with retrovirus zinc finger-like domain [Source:Projected from Arabidopsis thaliana (AT3G26420) TAIR;Acc:AT3G26420] MADVEEYRCFIGNLSWSTTDESLKDAFGKFGNLTEAKVVFDKYSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRAITVDKAQPQGPGRDRNGDRDYDRDRGSRYDRGRDFGGGGRAPRGSGGGGDCYKCGKPGHFARECPSGDGGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSGRSRDGGGYGGGGGDRYSRDRSGPY >ONIVA03G41980.1 pep chromosome:AWHD00000000:3:34713322:34716316:1 gene:ONIVA03G41980 transcript:ONIVA03G41980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGAAVLVALAVTCGLIWSRSRRLSKEMRDIPGTMGWPVVGETFSFISGFSSPAGILSFMRDRQKRFGKVFKTYVLGRMTVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGDEHKKLRRLIGEPLSIDALKKHFDFINDLAVQTLDTWLDRRFTLKVIANMLISLEPEGEEQEKFRANFKIISSSFASLPLKIPGTAFHRGLKARNRMYAMLDSVIARRRDGGEVRNDFLQTLLRKHAKDGAAADEDDGGGGGGDRDADKLTDAQLKDNILTLLVAGHDTTTAGLTWLIKFLGENPEALQKLREEHMEIKERLDGSSHLRWSDVMNETLRRATILPWFSRKAAQDFSIDGYEIKKGTSVNLDVVSIHHDPSVFADPYKFDPNRFDGTLKPYSFLGFGSGPRMCPGMSLARLEICVFIHHLVCRYSWTPLEDDDSVQPTLVRMLRNKYPIVAAAI >ONIVA03G41970.1 pep chromosome:AWHD00000000:3:34710837:34713087:1 gene:ONIVA03G41970 transcript:ONIVA03G41970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRMGCSPGCDAAEAEGRMNGGARARAPALLAGWMGIGGGGGVGGRSQEESTPRRGGNISSTPHDFPRSLALCICNKKSNIMNNMTSNDETVGYFKSAVKFFRNKTKRKPRRFQ >ONIVA03G41960.1 pep chromosome:AWHD00000000:3:34707647:34709372:-1 gene:ONIVA03G41960 transcript:ONIVA03G41960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRIVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >ONIVA03G41950.1 pep chromosome:AWHD00000000:3:34704050:34706823:1 gene:ONIVA03G41950 transcript:ONIVA03G41950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0GW34] MATCTLATSRVSLSNARTQASKVAAVKSPASLSFFSQGMQFPSLKASSKKLDVSAMATYKVKLITPEGQEHEFEAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIEAGSVDQSDGSFLDDAQQEEGYVLTCVSYPKSDCVIHTHKEGDLY >ONIVA03G41940.1 pep chromosome:AWHD00000000:3:34696989:34703032:1 gene:ONIVA03G41940 transcript:ONIVA03G41940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36360) TAIR;Acc:AT2G36360] MTPQLQPKQMHWARADSSDFGGQIPAPRSGHTAVSIGKSKVVVFGGFADKRFLSDIAVYDVENRIWYTPECNGSGSDGQAGPSPRAFHVAIVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELSVTGSVPPPRCGHSATMIEKRLLVFGGRGGAGPIMGDLWALKGVTEEDNETPGWTQLKLPGQSPSPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKLLATSNEPPPPRAYHSMTCIGSRFLLFGGFDGKNTFGDLWWLVPEGDPIAKRDLVPNVDSDSKPSNVTGGAQHSASQESQAGESPMIDLAKRLGISLSLEASASFVDEINDKELIELSSMLFGESPPTGDQHACIQALRDHWTSIPANSIQLQELGPLLRDYQRLILRRYLENSFTSFYEKEVHRFFHLKNASELRMDDIPILLMERLDTAHIR >ONIVA03G41940.2 pep chromosome:AWHD00000000:3:34696989:34702811:1 gene:ONIVA03G41940 transcript:ONIVA03G41940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36360) TAIR;Acc:AT2G36360] MTPQLQPKQMHWARADSSDFGGQIPAPRSGHTAVSIGKSKVVVFGGFADKRFLSDIAVYDVENRIWYTPECNGSGSDGQAGPSPRAFHVAIVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELSVTGSVPPPRCGHSATMIEKRLLVFGGRGGAGPIMGDLWALKGVTEEDNETPGWTQLKLPGQSPSPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKLLATSNEPPPPRAYHSMTCIGSRFLLFGGFDGKNTFGDLWWLVPEGDPIAKRDLVPNVDSDSKPSNVTGGAQHSASQESQAGESPMIDLAKRLGISLSLEASASFVDEINDKELIELSSMLFGESPPTGDQHACIQALRDHWTSIPANSIQLQELGPLLRDYQRLILRRYLENSFTSFYEKEVHRFFHLKNASELRMDDIPILLMETYMLHYLKEIESDNTWRLGAEKLAGRLFTFVHLD >ONIVA03G41930.1 pep chromosome:AWHD00000000:3:34687116:34693650:-1 gene:ONIVA03G41930 transcript:ONIVA03G41930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAEAMRLFVKILEEEDPGWYSRVPEFNPEPVVDIEMHKPKEDPKVILASTNGTSVPEPKTISENGSSVETQDKVVILEGLSAVSVHEEWTPLSVNGQRPKPRYEHGATVVQDKMYIFGGNHNGRYLSDLQALDLKSLTWSKIDAKFQAGSTDSSKSAQVSSCAGHSLISWGNKFFSVAGHTKDPSENITVKEFDPHTCTWSIVKTYGKPPVSRGGQSVTLVGTTLVLFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGLAPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLTWSVVSSVEGRVPLASEGMTLVHSNYNGDDYLISFGGYNGRYSNEVFALKLTLKSDLQSKTKEHASDGTSSVLEPEVELSHDGKIREIAMDSADSDLKKDDANELLVALKAEKEELEAALNREQVQTIQLKEEIAEAEARNAELTKELQTVRGQLAAEQSRCFKLEVDVAELRQKLQSMDALEREVELLRRQKAASEQAALEAKQRQSSSGMWGWLVGTPPDKSES >ONIVA03G41920.1 pep chromosome:AWHD00000000:3:34683256:34687454:1 gene:ONIVA03G41920 transcript:ONIVA03G41920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein alpha [Source:Projected from Arabidopsis thaliana (AT1G50940) TAIR;Acc:AT1G50940] MAAMVVGALRRGTATAGGSSRSFARSLPRPVSTLVVAEHEGGFVKPSSLSALAAAEAIGKDDNRVSLLLGGSGPGLHKAAEHAASSHPLVSEVLVADSDVFAHPLAEPWAELLRSVQHKGGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVTSISEPRVFVRPIYAGNALCTVRYTGEDPCMMSIRSTSFSPTEAMSEAKVAPITQVDLSFLSEGSSGKSAWVNLKSQDTERPDLANAPVVVTGGRGLKSAENFKVLEQLAEKLGAAVGATRAAVDAGFVPNELQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGIVADLFEVLDELLKKLPDKK >ONIVA03G41910.1 pep chromosome:AWHD00000000:3:34679210:34679437:-1 gene:ONIVA03G41910 transcript:ONIVA03G41910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPHFTGEGSGSWAHEVKQALRDKLRRAYGTAGAARPAASAVSRGPSHGGDDCRGSAAEDPIRRVMFLAPWGHT >ONIVA03G41900.1 pep chromosome:AWHD00000000:3:34672673:34676293:1 gene:ONIVA03G41900 transcript:ONIVA03G41900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPIRARLSALDDDDDDAATVSSSRSSSAATSPSRSPSPLVPRAAVLGAPRVAAQLSSTEDDNGGGEDEVFDESTDYGDDEAAGEVLDELSNGFFRIARVPPPSEDSSPISGGEPVVSVSSPTESGYFGAAEGSLKEEGFVGARNFADVFDAGSRVGFEDANGVTAGAKNTGVESSLEGSFQSSGSVVGVFDDTDVTTIGDLVSASDGSPLNVDKQGDQDSGAEVVNDEPVDAEVVNGIEPEPLVGESVDAEVVNGVVPEPLVGESGGSDGSGMHVEDELEMEGGKSDNGIAELPPVVSALEKQDTDLELRNDDSGAKDGADNHEDATNFVDSSTGYVATGDGASELLEVPANVDDLHFVTDDGHNDDAEETDGGYEASDGYVSMPTFGNNNAVEIPVNESEHNVPTSKGRRFGLGDSDDEFHDDGVEEEEGEVNGKEIEFLDYAALAELLRAATPGQGMAKVFPIESSVPLQVPPTTVSVPRKNVASSPVLEVAPNPENEMTEEERKLYRKVDVARIKYLRLIHRLGYDTEHHIAIQVLYRLSLVEGFRRIRVANHSSELESAWKKALQLEAEGTEDLEFSCNVLVLGKTGVGKSATINSIFGEDKSKTSAFLPATTAVKEISGVVGGVKFRVVDTPGLGTTHMDEKSNRKVLNSVKKYIKRCPPDVVLYVDRIDTQRQDANNLSLLQCITSVLGSSIWSKTIITLTHSAAAPPEGPSGIPLNYEMFVTQRTHAIQQSIRQATNDPRFENTSALVENHHLCRRNTEGEKVLPNGLIWRRLLLLLCYSVKTVETNSLSARVASPANLFSLRFRMPPLPHFLSSLLQSREHPRCAADQDVGDIDPDELINEDEEDDYDQLPPFKPLSKSQVAKLSKEQQKLYFDEYDYRTKLLEKKQLKEQLRRLKEMKIEGNNHDVLGDNDNPDDEYETERSVMPDWALPSSFDSDDPAYRYRCLDPKPNFLVRAITNPDGWDHDCGFDGVSLQYSLDAANAFPASLWVQVNKDKRESTIHLVSSISAKHRENVSSLAGFDIQTIMDQLAYTLRGESKFKNSKKNTTTGGLSMTFLGDSMVTGAKFEDKLSVGDRLTLLANTGAVSIRGDTAYGVNMEATLREKDYLMGQDLAILGASLVRWHKEWSMAAKLDSQFSMGRASNVAVHVDLTNKLTGRVSIKANTSEQLKIALLGVCSMTMYLWNRMHPFIDRNY >ONIVA03G41890.1 pep chromosome:AWHD00000000:3:34655755:34657575:-1 gene:ONIVA03G41890 transcript:ONIVA03G41890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTNMLSPSQLSKIKTMLQSRPDICKRNSHLKFCYSLRNRNVFVPKTHKPRLGPLQYKKGKEPVYDGSWQTPTCAKVALEHYNRSNEDEYEMVKALDSVSSFFNGVWVHVNFLAKLKGATQCPDLVPKFFFAEVKSDFDGRSCVSCVKIDTGNPEATPIRGCGICQNNEIYHPAVGGHRGDRKSAS >ONIVA03G41880.1 pep chromosome:AWHD00000000:3:34645471:34654541:1 gene:ONIVA03G41880 transcript:ONIVA03G41880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSGRWRPIYSIARKRPKLGTIAHSEVYRYPLRPRVAWPEARERRLLAAPRRRAWSSPPPPAVAMGIKGLTKLLAEHAPGAAVRRRVEDYRGRVVAIDASLSIYQFLIVVGRKGTEVLTNEAGEVTRSLKRDGSSEDLNRAIEVGDEDLIEKFSKRTVKVTKKHNEDCKRLLSLMGVPVVQAPGEAEAQCAALCENHKVFAIASEDMDSLTFGARRFLRHLTDLSFKRSPVTEFEVSKVLEELGLTMDQFIDLCILSGCDYCENIRGIGGQRALKLIRQHGYIEEVVQNLSQTRYSVPEDWPYQEVRALFKEPNVCTDIPDFLWTPPDEESVEKIKAANDKFSLGRGKLLAPVANLTGSTSTAGKLGISSVRGALGSWNAISRVLASTPFIRSLQAFIVLRHVAESLPIFALEIILSFYKFLIMEAIVEGSKYECLLFDLDDTLYPFSSGINLACRKNIQDYMRHHLRIEESQIADMCLELYKEYGTTMAGLKALGYEFDNDEFHANVHGTLPYDNLHFDPVLRTLLLSIPQRKIIFTNSDKAHAEEVLCRVGIQDCFEGIICFETLNPPTLTCHGLHKPLSSISDELSSDLDDLDESDGFRPKSPILCKPSIEAMEAAIRIANVDPEKTIFFDDSVRNIASGKAAGFHTVIVGRPTLVPGADHALESIHNIKEALPEIWDGWSESDVVLASTASETTVIA >ONIVA03G41870.1 pep chromosome:AWHD00000000:3:34641108:34643689:-1 gene:ONIVA03G41870 transcript:ONIVA03G41870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPTRQCPLPGPISTASTGDKATQAHPSLQATNTSHHTRHLSSPPNLALRSAPLRSCSCSCHGGGSGIRNYGGFCDMMQFGDYRYVALVIIDRSYMGAWDYGHGIVESKDGTISVASAFAGHQEAVQDRDHKFLSKAVEEAYQGVDCGHGGPFGAVVVRNDEIVVSCHNMVLDYTDPTAHAEVTAIREACKKLGKIELSDCEMYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTAYYQKANLEIRRADGNGALIAEQVFENTKEKFRMY >ONIVA03G41870.2 pep chromosome:AWHD00000000:3:34641106:34643778:-1 gene:ONIVA03G41870 transcript:ONIVA03G41870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQVVESKDGTISVASAFAGHQEAVQDRDHKFLSKAVEEAYQGVDCGHGGPFGAVVVRNDEIVVSCHNMVLDYTDPTAHAEVTAIREACKKLGKIELSDCEMYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTAYYQKANLEIRRADGNGALIAEQVFENTKEKFRMY >ONIVA03G41860.1 pep chromosome:AWHD00000000:3:34636304:34643720:1 gene:ONIVA03G41860 transcript:ONIVA03G41860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSASLLRVLLVFITMVGTQWSNVSSTYCKDMASSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAEIIGSLDSSDWPVIDPLPSYGRGANGTIDGQGAIWWDWFHSNTLNYTRPHLVELMYSTDVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCIDHCYVRNGDDVIVIKSGWDEYGISFARPSTNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRIVNSMHGIRIKTAPGRGGYVKNVYISDVSMDNVSMAIRITGNFGEHPDDKYDRNALPMISNITIENVVGVNVGVAGILEGIEGDNFSSICLSNVSLSVQSMHPWNCSLIEGYSNSVIPESCEQLRTDCGQTPICYDGGSSSAIHAQAARHRLSSASRLLNPLLKLAML >ONIVA03G41860.2 pep chromosome:AWHD00000000:3:34636304:34643720:1 gene:ONIVA03G41860 transcript:ONIVA03G41860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSASLLRVLLVFITMVGTQWSNVSSTYCKDMASSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAEIIGSLDSSDWPVIDPLPSYGRGANGTIDGQGAIWWDWFHSNTLNYTRPHLVELMYSTDVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCIDHCYVRNGDDVIVIKSGWDEYGISFARPSTNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRIVNSMHGIRIKTAPGRGGYVKNVYISDVSMDNVSMAIRITGNFGEHPDDKYDRNALPMISNITIENVVGVNVGVAGILEGIEGDNFSSICLSNVSLSVQSMHPWNCSLIEGYSNSVIPESCEQLRTDCGQTPICYDGGSSSAIHAQAARHRLSSASRLLNPLLKLAML >ONIVA03G41860.3 pep chromosome:AWHD00000000:3:34637696:34643720:1 gene:ONIVA03G41860 transcript:ONIVA03G41860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTQWSNVSSTYCKDMASSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAEIIGSLDSSDWPVIDPLPSYGRGANGTIDGQGAIWWDWFHSNTLNYTRPHLVELMYSTDVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCIDHCYVRNGDDVIVIKSGWDEYGISFARPSTNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRIVNSMHGIRIKTAPGRGGYVKNVYISDVSMDNVSMAIRITGNFGEHPDDKYDRNALPMISNITIENVVGVNVGVAGILEGIEGDNFSSICLSNVSLSVQSMHPWNCSLIEGYSNSVIPESCEQLRTDCGQTPICYDGGSSSAIHAQAARHRLSSASRLLNPLLKLAML >ONIVA03G41850.1 pep chromosome:AWHD00000000:3:34628355:34630673:-1 gene:ONIVA03G41850 transcript:ONIVA03G41850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cyclase family protein [Source:Projected from Arabidopsis thaliana (AT5G22100) TAIR;Acc:AT5G22100] MGRDKARRLSGSRHFRQRVVLSTLTSTAITIDDIRSGGAAPGLRPHEVSLLHLLHKISDHHSLDLNETGKDARFLPRAYLWTKLRYKPGVIVGGRDLEHDCGVHRGIGYFLEPLILLGLFARAPISIRLKGITNDTKDPSVDTFRMVTLHMLKQFGVPLEGLELKIESRGAPPLGGGEVFLRVPNIKSTLKAANWADEGMVKRIRGVSFSTRVSPQIENRIIYAARGIFNRFIPDVHIFTDHRSGSAGGRSAGYGVSVVAETTTGCLLSADATVSYPNVDEMNEESENLELTSPEDLGVQVASMLLEEVAQGGVVDSAHQGLLFILCALSPPDVSKVRVGQLTPYAIETLRNIRDFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKTS >ONIVA03G41840.1 pep chromosome:AWHD00000000:3:34624654:34628018:1 gene:ONIVA03G41840 transcript:ONIVA03G41840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPLCSRQPLFPSSLSSIALLSPTLPSTLPNFHLHFLHQRLFCSVRGILCQKHLSASMATSWSVAFTIFFSCILLLETISSSVASLDCSYGSAQVKILSSSVVSEQQNVYLFLKPFQSTRSCSARRLAGEFVNGVVVPNLRLNVTGVVVTANERQLGALRCTLESVQAELAVAGLGRSVKVSPELSLPSLRAMAKCRRRGEKHWRRVMEFVRRSGSFVVVEMGAEEKADLAVADVASAFEEGVGVAFRISGRAARSAAEMARLIGDADKGRRWTGVLAEVASPSPRRELAAAARTTARDVFAPVTNPTTTPATNPVTVPATNPAMNPVTPGIVTVPSTNPATGYSNNPNLPPLYPEPTPVTMPDPTTTTTPTPFMNPVTAPTMPSPVTNPATTPAVTNPTTMPYPYPPQQGGVMPTTPTYQPPATMPAAGGQTWCVAKAGLMDAALQSGLDYAYGAGYSPGCRWAAARAPAPGSASANPTSLTGAAAAALSSGWVLCLVWIFTFAYVKEKLYLCISSMMTLPHIWVSWCKHKHIQCAY >ONIVA03G41840.2 pep chromosome:AWHD00000000:3:34624654:34628018:1 gene:ONIVA03G41840 transcript:ONIVA03G41840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPLCSRQPLFPSSLSSIALLSPTLPSTLPNFHLHFLHQRLFCSVRGILCQKHLSASMATSWSVAFTIFFSCILLLETISSSVASLDCSYGSAQVKILSSSVVSEQQNVYLFLKPFQSTRSCSARRLAGEFVNGVVVPNLRLNVTGVVVTANERQLGALRCTLESVQAELAVAGLGRSVKVSPELSLPSLRAMAKCRRRGEKHWRRVMEFVRRSGSFVVVEMGAEEKADLAVADVASAFEEGVGVAFRISGRAARSAAEMARLIGDADKGRRWTGVLAEVASPSPRRELAAAARTTARDVFAPVTNPTTTPATNPVTVPATNPAMNPVTPGIVTVPSTNPATGYSNNPNLPPLYPEPTPVTMPDPTTTTTPTPFMNPVTAPTMPSPVTNPATTPAVTNPTTMPYPYPPQQGGVMPTTPTYQPPATMPAAGGQTWCVAKAGLMDAALQSGLDYAYGAGYSPGVTGTVPVGGGAGAGAGVGVGVTPMGPAVGGTGGAGVTPMGPAVGGGSGSTVLNANSPGGNSMYGSDSNPTSLTGAAAAALSSGWVLCLVWIFTFAYVKEKLYLCISSMMTLPHIWVSWCKHKHIQCAY >ONIVA03G41830.1 pep chromosome:AWHD00000000:3:34618157:34619199:1 gene:ONIVA03G41830 transcript:ONIVA03G41830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCRPIARLFLWEKLAVAYAYARLVWIGPHVTHMHDLRSRNAQGDRSIALASAPTHILYSKMHDVFVRVHMSVTKSRVFDRKRDVSGVFVIL >ONIVA03G41820.1 pep chromosome:AWHD00000000:3:34606827:34613531:-1 gene:ONIVA03G41820 transcript:ONIVA03G41820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARVGAQSRHLYGGGLGEPDMDRRDKRLFGWDLNDWRWDSDRFVATPVPAAEASGLALNSSPSSSEEAGAASVRNVNARGDSDKRKRVVVIDDDDVEDDELVENGGGSLSLRIGGDAVAHGAGVGGGADEEDRNGKKIRVQGGSPSGPACQVEGCTADLTGVRDYHRRHKVCEMHAKATTAVVGNTVQRFCQQCSRFHPLQEFDEGKRSCRRRLAGHNRRRRKTRPEVAVGGSAFTEDKVSSYLLLGLLGVCANLNADNAEHLRGQELISGLLRNLGAVAKSLDPKELCKLLEACQSMQDGSNAGTSETANALVNTAVAEAAGPSNSKMPFVNGDQCGLASSSVVPVQSKSPTVATPDPPACKFKDFDLNDTYGGMEGFEDGYEGSPTPAFKTTDSPNCPSWMHQDSTQSPPQTSGNSDSTSAQSLSSSNGDAQCRTDKIVFKLFEKVPSDLPPVLRSQILGWLSSSPTDIESYIRPGCIILTVYLRLVESAWKELSDNMSSYLDKLLNSSTGNFWASGLVFVMVRHQIAFMHNGQLMLDRPLANSAHHYCKILCVRPIAAPFSTKVNFRVEGLNLVSDSSRLICSFEGSCIFQEDTDNIVDDVEHDDIEYLNFCCPLPSSRGRGFVEVEDGGFSNGFFPFIIAEQDICSEVCELESIFESSSHEQADDDNARNQALEFLNELGWLLHRANIISKQDKVPLASFNIWRFRNLGIFAMEREWCAVTKLLLDFLFIGLVDIGSQSPEEVVLSENLLHAAVRMKSAQMVRFLLGYKPNESLKGTAETFLFRPDAQGPSKFTPLHIAAATDDAEDVLDALTNDPGLVGINTWRNARDGAGFTPEDYARQRGNDAYLDMVEKKINKHLGKGHVVLGVPSSIHPVITDGVKPGEVSLEIGMTVPPPAPRCNACSRQALMYPNSTARTFLYRPAMLTVMGIAVICVCVGLLLHTCPKVYAAPTFRWELLERGPM >ONIVA03G41810.1 pep chromosome:AWHD00000000:3:34602885:34606163:1 gene:ONIVA03G41810 transcript:ONIVA03G41810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT4G01880) TAIR;Acc:AT4G01880] MGRAPAKRKPSSPPPPPPPGRCHFWLPNKRRHCANTPLPTSQYCGNHLPDSASDAGAPFRRLVPCPVDPSHTVLEENLEAHVGKCPLKKQAAALAAQPFYSKGINSGGGEGGGGVTSAAKRALVHKLTKDELRALIEKIKLAHASAAMAMRDSFLVTDACDNWMRNQVDRKVPYQEKHVTQQASIIGNMEAFGLLQKGGEVAEENGVKNAPAVVEFGAGRGYLTQMLADCYGIRNVFLVERRSYKLKADRSLRQNEGVTLKRLRIDIEDLNLQGIEALSGLHYLAIGKHLCGPATDMTMMCCLHERYNQAHEKEYGKSNLCGLALATCCHHLCQWNHYANKTFLSGLGITEEDFHTMTWFSSWAVDGDHSSPDSSLEVEDSSVEDRCGKAEKSDVEVSGIDRLIRSIPAGERAALGFMCKDIIDTGRLLWLREKGLDADLVSYVPSNISPENHLLIAKCTSLSG >ONIVA03G41810.2 pep chromosome:AWHD00000000:3:34602885:34606163:1 gene:ONIVA03G41810 transcript:ONIVA03G41810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT4G01880) TAIR;Acc:AT4G01880] MGRAPAKRKPSSPPPPPPPGRCHFWLPNKRRHCANTPLPTSQYCGNHLPDSASDAGAPFRRLVPCPVDPSHTVLEENLEAHVGKCPLKKQAAALAAQPFYSKGINSGGGEGGGGVTSAAKRALVHKLTKDELRALIEKIKLAHASAAMAMRDSFLVTDACDNWMRNQVDRKVPYQEKHVTQQASIIGNMEAFGLLQKGGEVAEENGVKNAPAVVEFGAGRGYLTQMLADCYGIRNVFLVERRSYKLKALSGLHYLAIGKHLCGPATDMTMMCCLHERYNQAHEKEYGKSNLCGLALATCCHHLCQWNHYANKTFLSGLGITEEDFHTMTWFSSWAVDGDHSSPDSSLEVEDSSVEDRCGKAEKSDVEVSGIDRLIRSIPAGERAALGFMCKDIIDTGRLLWLREKGLDADLVSYVPSNISPENHLLIAKCTSLSG >ONIVA03G41800.1 pep chromosome:AWHD00000000:3:34600934:34602616:1 gene:ONIVA03G41800 transcript:ONIVA03G41800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKADTKQLLHCIMSKPRMDGKVAIVTGGASGIGEAAARLFASCGATVVIADVQDELGEAVAASVAGGGCRYVRCDVTDEAQVEAAVAAAVAEHGRLDVMVSNAGVLLPTGPVVDMDLAALDRVMSVNFRGAAACVKHAARAMVSRGTRGAIVCTASVASCQGGFGPAAYTASKHAVLGLVRAAAGELGRHGVRVNCVSPGGVATPLSCGLTGMSPEEMEAAAEPHNVLRGKVLKAADVAEAMLFLASDQAAFVSGHNLVVDGATTAVNYAVLQSVGL >ONIVA03G41790.1 pep chromosome:AWHD00000000:3:34590600:34594937:-1 gene:ONIVA03G41790 transcript:ONIVA03G41790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G49580) TAIR;Acc:AT5G49580] MARKGSQSKSVLNHASPNRQNAANCEVLNTPESDVMDGENRSSHVQGGSNVSGVNYGQKTKGIKKNNRSNGISSSGKSDDRASKKQSVDTNYDIGNSGENDNELSSSTSKARRDSKRSSRRGCGKNSSIEQTPMPVFAEKVLEKTRCIACMAASIFRASVMYIIEESKLLLERNRPAITTFMAIVHKGHDYVRSKIKYTYPICRAWMFSAGKLILLLLAVWFNCNIRGFDSLLRLGTNSLLTVLWCSTLSVFAMIGLKKMLILMVIAAAVVAFVGLGFAVLVIAVAAVVILWLYGSFCTTSGIIILGGASFFLKHERFALLVTCLYSMYCAKSYVGWLGLLLSLNLSFISSDVLVQLLKNNVDNNKSAGSSRNSEQNSGKSGFFGEFRQSSADNTSQSEYAQPSDRGPGDPSTSGAEKELTSEDEVSRLLNCTDHYSALGFHRYENIDVSLLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQSASQKKGGSGIFRQGFSPSEGVDEGPYGLSRRIACKKCGDFHLWIYTGRAKSQARWCQDCNDFHQAKDGDGWVEQSFQPVLFGLLHKPELPHAYVCAESIIFDVTEWFTCQGMRCPANTHKPSFHVNASLLKQNSGKGSTSAQRGGGIPNGVNMDGGIDEEEFFEWLQNAVQSGMFESFGAQNEPPSPGSGSNAKGSNSSSKKKKKGKKQW >ONIVA03G41780.1 pep chromosome:AWHD00000000:3:34584570:34590232:1 gene:ONIVA03G41780 transcript:ONIVA03G41780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKAFTKSLLFHSNKIVRRLKSFVLHAHPPPPPPHVVRRPPSSDELPAAADVTVCKVEGGLLMSPSTFPYFMLVALEAGGLFRGLLLLLLYPVLLLLGHDRATKLMVMVSFAGVRKEKDGSPSFRVGRAVMPKLFLEDVSAEVFDAAARRRRLVCVSSMPREMVEPFLKEYLAVDAVVAPELRAFRGYYLGLAESDGEVMQRLDMEEVIGMKERGGAGDGDGDGQEVYVASEWARRRWRPLHPRRYAKPLIFHDGRVAFRPTTSATLAMFVWLPLAVPLALLRVALIVVVLPFSLAAPIAAALGIHCRCIAASTLRAAAVLDLFVCNHRSLLDPLYVSAVAGRADLAAATYSISRLSEILAPIRTFRLTRDRAADRAAMQAHLSRSRRGGGGGGLVVCPEGTTCREPFLLRFSPLFTELGADVQPVALHSEVAMFHGTTAGGWKMLDPFFLLMNPSPAYVVHFLDPVAGGGGGPEVANEVQRRIAETLGYTCTALTRRDKYLVLAGNDGVVANNNKSN >ONIVA03G41770.1 pep chromosome:AWHD00000000:3:34573859:34579714:1 gene:ONIVA03G41770 transcript:ONIVA03G41770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate/galactokinase family protein [Source:Projected from Arabidopsis thaliana (AT3G06580) TAIR;Acc:AT3G06580] MAARVPGGGGAAAAAEAEVVPTFSSLEPVYGDGSPLDEARLRLARLADKFHAVYAARPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRRAEGKEVRVANVDDKYPICVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCRSKGIDMGGPVGLDVVVDGTVPTGSGLSSSAAFVCSATIAIMGVLEKNFPKKEVAQFTCQSERHIGTQSGGMDQAISIMAKPGFAELIDFNPIHATDVQLPPGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMETKKAVSSVTTLSDVEGLCVSFAGKEGSSDPGVAVKKLLHEESYTTEEIEKITGQSLTSVFQSSQTSLDVLRAAKHFKLFQRAFHVYSEARRVYAFRDTVLSKLSAEDMLQKLGDLMNESHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVKEGIVPQFILNLKETYYKSRIDRGVINQKDLGLYVFASKPSSGAAIFKL >ONIVA03G41760.1 pep chromosome:AWHD00000000:3:34564693:34572120:1 gene:ONIVA03G41760 transcript:ONIVA03G41760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G17030) TAIR;Acc:AT3G17030] MDSAAASADGGAFLEFVDYAISMLSSSSSGGNGDESPGAGPAPARPPWGWAVAQVLKSCCAYSSGVTAAILLSDLFQSWTEQRKSLTAKRKVELTNLLKTRNRRRRLPNTITIDSIHEKNFLSPKSVLEAVVIDVFVIPGTNIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHDVLKKGREVMLTGCCLRTAMEGSGHARILPTEYMVMLLDEEEDEDAMLLAAQFCTYSFSSMMLDENRNNISYSFYARIEKIESLEPFGSTERKQIVLVDNDDAKIKFVLWGEQVLLANLFSVGSMLALDRPFIANYVHNNHEESQELCLEYGSATQVYLVPIAQQEEQVLLTPTQIRSQGSRLSCVPSDHMASQVTLPRDLHGSVDFSKYPFRVARQGRCWSYGVHVWFDLYLEFNKQICHVRLDHIDVNSLKLHLTIADDSEKVFAWCIGQTAVEFLQISPDEYMELPEDERAMYLYTLQNENFTVAIANTSQRIEAYIEGEKFLPVWEITRAQKCE >ONIVA03G41750.1 pep chromosome:AWHD00000000:3:34559992:34563741:1 gene:ONIVA03G41750 transcript:ONIVA03G41750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G17090) TAIR;Acc:AT3G17090] MLGALLRLLSACGGVWPTSPAPPARSSSSSSAAAAADQAAAEGRDGLLWWRDLARCHAGELSVAVVQGNHVLEDQCRVESGPPPLAATCIGVFDGHAGPDAARFACDHLLPNLREAASGPEGVTADAIRDAFLATEEGFLAVVSRMWEAQPDMATVGTCCLVGVVHQRTLFVANLGDSRAVLGKKVGRAGQITAEQLSSEHNANEEDVRQELMAQHPDDPQIVALKHGVWRVKGIIQVSRSLGDAYLKHSQYNTEQIKPKFRLPEPFSRPILSANPSIIARCLQPSDCFIIFASDGLWEHLSNQQAVEIVHNHQRAGSARRLIKAALHEAARKREMRYSDLMKIDKKVRRHFHDDITVIVLFINYDQLAKGHSQGQSLSIRCALDH >ONIVA03G41740.1 pep chromosome:AWHD00000000:3:34556445:34558509:-1 gene:ONIVA03G41740 transcript:ONIVA03G41740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding enhancer protein-related [Source:Projected from Arabidopsis thaliana (AT3G06610) TAIR;Acc:AT3G06610] MAAAGDESAAAAAAAAAAAGGAAAGEAAVDSKDLQQQSKALDKLTDRVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPADVEIIANELELDKKIAERTLREHKGDAVAAVRFLLR >ONIVA03G41730.1 pep chromosome:AWHD00000000:3:34551740:34554992:-1 gene:ONIVA03G41730 transcript:ONIVA03G41730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRRSAAAVAAVVALASVAAVAGEVFFQEKFDDGWEDRWVKSEWKKDDNRAGEWNHTSGKWYGDSDDKGIQTSEDYRFYAISAKYPEFSSKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGETPYSIMFGPDICGYATKKVHAILTKNGKNHLIKKDVPCETDQLTHVYTLIIRPDAKYSILIDNTKKQTGSIYDDWNIIPPKNKRDPEAKKPEDWDDNEYIPDPEDKKPEGYDDIPKEITDPEATKPEDWDDEEDGEWTAPTIPNPEYKGPWNQKKLKNPNYKGKWKAPLIPNPDYKDDPYIYAFDSLNHIGIELWQVKSGTLFDNILITDDPEYAKKFAEETWAKHKDAEKAAFDEAEKKRLEEESANSKIDDSDDDASDDEDEADDDKADVVAEQTKDKGDEKPQDIKVSADEKPKSSKDDSSAAKKDEL >ONIVA03G41720.1 pep chromosome:AWHD00000000:3:34524249:34525520:1 gene:ONIVA03G41720 transcript:ONIVA03G41720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHVEVTTAAAEAATSSWSELPADLIGLVLLRLPSLADRVRLRAVCRPWRTDAKRQAALPPPLPWFALRDGGLVDHHGAPVRCAAPILREGGVTDYLAFLAHNRAACCSLVNPLSASEETLLLPQLANAVLRAMNDSMLYTVGNTKMPYVKVILSSPPLDSSPDPLVAALILEGYYAAISACKRQDVTGFGSVSDWTRKEIHRIPGEDYRMRLADIAFLNGRLYALTVKEGLYVFEPNSGDLDDLMNAPSGFRHCIIDNPEQQEVYTKTDLRYVVARYLAECDGRLFMVRRWMRVPLNVQLGDMDETFSFEVFEADLTTTPCQWRKVDRLGGHAIFLGSECTKVVRASKCVGGVQEDCIYFMHRTFDNPSREYFGPCVDPLGDSGVYNMTNRRITPLLPEAVMEKLCLKRQFLTWFFPADV >ONIVA03G41710.1 pep chromosome:AWHD00000000:3:34520608:34522367:1 gene:ONIVA03G41710 transcript:ONIVA03G41710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGDDSNHGVDDDARIARIAAAITVFPGFPKPGISFQDVTGIFHKPEVFRDAIGLFVERYKGKGVTLVAGIEARAFFFAPTIALELGAKFVPLRKPRKLPGEVISEEYSLEYRTDKIEMQIGAVEPNDRAIIVDDLIATGGTLSAAIKLLERAGAEVVECACVIELQELKGRAKLGKTPVFVLVETN >ONIVA03G41700.1 pep chromosome:AWHD00000000:3:34517507:34519495:-1 gene:ONIVA03G41700 transcript:ONIVA03G41700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGCESCREWQEHCYREHMDVSRIRFFRLMTGDFAHGISIPEKVADRFSGQITKGFNLKAPSGETWRVSVEKVADELILMSGWEDFAKAHELQENDLLFFTCNGRCNGSFSFDVLIFDASGCEKVSCFFIGKKNSIGGQTQNAEQYHLSDSEDTSTPSTFLVGSPHKASTSKKLNGKTKTNPNKDDPFSTGKEPEDPNSSRSHVKHEMIEEEKSDDDDEHADYEHADYYYSRFANYLTGEEREEIFSLVSLQPGNPVFVTVLQAPQIHRKGLLIVPSGFAADHLDSRSQEILLMRPNKKEKWYVKYYHASTTRGFNCQRWIKFIRENRLREGYICIFELMKGARRVTMTVHVIGKVDDRFVLLG >ONIVA03G41690.1 pep chromosome:AWHD00000000:3:34514711:34517115:1 gene:ONIVA03G41690 transcript:ONIVA03G41690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPGPSPSAAARALRAPPPVAAPRPRRVPSPFASSSPTAARPLAAMMGSPLTTAALLARLTAHPAASARACCELSQGESGEDG >ONIVA03G41690.2 pep chromosome:AWHD00000000:3:34514711:34517115:1 gene:ONIVA03G41690 transcript:ONIVA03G41690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPGPSPSAAARALRAPPPVAAPRPRRVPSPFASSSPTAARPLAAMMGSPLTTAALLARLTAHPAASARACCELSQGISFRRTCQDR >ONIVA03G41690.3 pep chromosome:AWHD00000000:3:34514711:34516403:1 gene:ONIVA03G41690 transcript:ONIVA03G41690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPGPSPSAAARALRAPPPVAAPRPRRVPSPFASSSPTAARPLAAMMGSPLTTAALLARLTAHPAASARACCELSQGISFRRTCQDR >ONIVA03G41680.1 pep chromosome:AWHD00000000:3:34488694:34494980:-1 gene:ONIVA03G41680 transcript:ONIVA03G41680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLSLHISPPSPAPATEPRLELGLADDTAVAAAKTTTDDERRRQPHQPIQTAHGFKKSSGSGGGGKRSARAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHMYRTVKGTDRSCVAGHGQASRKMGFLMRRGLVAEVDSFDGFNNNNMVNTTSNNTTSRKSQSPGEQQLQDLQSGWPPHYLASSESDHLLMEQRLMQEIWKGAAAAAAHDHHDQEAATAAALHHDQHVRRLDHIVAGRPPPPPASSSSGGGGDTMASSLDWLLASRRQEQHKYGDRHYDDDDGGYYTAAHRGQAAAAMSAAAAAASGVVKDRRPSLEMSLGRQGWEISMEQRSSVESSSKELTLLKCL >ONIVA03G41670.1 pep chromosome:AWHD00000000:3:34483529:34485453:1 gene:ONIVA03G41670 transcript:ONIVA03G41670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGCESCRKWQEHYYREHMDVSRIRFFRLMTGDFAHGISIPEKVAEIFSGQITKGFNLKSPSGETWRVGVAKVADELILKSGWEDFAKAHELQENDLLFFTCNGHGNGSCSFDVLIFDASGCEKVSCFFTGKKNSYMCKNFNSIGGQVAGQYLSSDSEDTSTPSVLIGSPHKASTSKKLSGKTKTNPRKEPEDPNCSHWHVIEEKNTDDDEHADYHYTRFANYLTGEERDEIFSLVSLQPGNPVFVVVLQTAHVRRRNILIVPTRFAADHLERKSHDILLIRPNRKQKWSVKYYYLSNTTRGFNCHRWIKFIRENRLREGNVCIFELMKGARRPTMTVHVIGKADNRFVLLG >ONIVA03G41660.1 pep chromosome:AWHD00000000:3:34453292:34478739:1 gene:ONIVA03G41660 transcript:ONIVA03G41660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGKGLVFSPSDDQLTDGYLRSYLVRTSLDDLPSAATSYFHVADVYSAPPDQLVAGLAPAPGTGDGDGRVWYVFTPVRVLGSRGARKARTVGGGGGECWHAEGGPKDVKGSAAGGKLQKFSYKIKTALGAVVKPGWLMVEFSLPGSDHLALCKVYRSPRTSRYGAPSPPSSAASSPSRAAPPPVSSTSGRKRKAEEESDHPEAPASSAPRRTLPASEQHVDVDAAAASEPDQGGYLNTDQLDSVAAFVQEHEAFVQEHEGDEEFYKNLGFDERSDPQCWTNFFLSALEEFGPAPETDAAAVAVAAVEPGPCPDPTPSFTMGYNAPKDIFSFKFYVYIYCRCLDMVALWLASSALLKHGKLALRADRQSGHRMEKSHRVCKNCVANHYWLHMDNHGKSFIKVMITDFKNGVTIPAKFARNFGGQMSGTVKLETRNGKTYEVQVAKELNNLVLRSGWERFASAYELEKGDILVFIYSGNSHFKVWIYDPSACEKELPCIITEQLPRVQQRSISHNNHTQLKRNAKSAKLYVDSSGHTERVPSSEELDEPVDLANVQKATKSFYSLPRMCNMTSAQKAEVDALEKRIKPQIPFYITVMDKASATDGLLAISKDYAVSYLLDKNETIKLCHSGRSMTWDISLDIDTDDQYALSTGWLDFIRNNHLQEGDICVFEASKNKRGVALIFHPLKQSHHPKPPGCVPSTKFPRHGVSKPNYIVSRFTTLSGQLKIKVEAKVQAIQSEIPIFVAVMRESFIRGRSRYMCFSAKYAAKYLPREKNKIMSLRLPNKSYKYKAVFKINNKVHKLGGGWGKFVDDNKIKLGDICLFQLMKNKKKLMMMVHIIRKSEFC >ONIVA03G41650.1 pep chromosome:AWHD00000000:3:34446619:34452191:1 gene:ONIVA03G41650 transcript:ONIVA03G41650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKIELDHKDMVHDSAIDYYGKRLATASSDSTVQISSIGGASAPSQLLATLSGHYGPVWRVAWAHPKFGSILASCGYDGRVVVWKEGAAGQWSQAHVFDNHKSSLNSIAWAPYELGLCLACGSSDGSISVMTMRPDGGWDSTTIEQAHPVGVMAVSWAPATALGSIVGSGELVQKLVSGGFDCVVKVWAFVNGSWKLDSVLPSDMHTDCVRDVSWAPVLGLAKFTIASASEDGKVVIWTKGKEGDKWEGKVMHDFEAPAWRVSWSLTGNILSVAAGSGDITLWKEASDGQWEKVTKVEP >ONIVA03G41640.1 pep chromosome:AWHD00000000:3:34439648:34444963:1 gene:ONIVA03G41640 transcript:ONIVA03G41640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKPTSKGHGFFSLFDWGKKSKKRLVSGNGSDSPAPRVSENFRESDGGTPSTRPNSFLEDAPSLKESSEHSCSSSVVDDEALARRGPTVVARLMGLDSMPAASSSGSYTMPLTVQQSPQNSTIHDEFIGRSYVGSPHKMPGSPHKMPGSPIDRFRMEALPPRFAKRTLSVAQNKLSPMKNPNHISSRNAADIMEAASRIIGTGVEVISPYRVRDVGYANTVRVYSQREIAIVQQRPPRMNEALKKRDGLTSYRLPTGKPLDGSLKSSGNTSASVVSQSNGGAPVGPKVKASSRSSPDSRATNVQGREDISKISRKLATRDPERRMVERNGINQGKNNNQVGMASSSNVLVQNNRKQNAMVKHKVNSKPPTPNRQRSNTHSINGTMRKVGTAGTPSENNIQGNRNVELRSTGHANRRQNSTAKSIPKPGRLPDGRIHSVKTRPSDKDIADRSQRRVRHNIVIDEQSPFSMNKKKISTDIVSFTFTAPVDKPLSGYRLPNHLVEKQFMKNASSVPNSSETSSAKFDSIDGDYLGLLLEQKLRELTSGVRSPYCKPAKDVRIYAPSSVLEDSQSACETSSIASTDYDRESVQSYKDGKGSFTQTDLASKSGQSSQSVKYDNDAMDQMEIERLHLSPLSTWDASVSTETGSSTESWRSANGTKVFSSTEGATTSDSACFSKFLEADAFSEYSDTASSITVTTTDIPPSDSSSSSRMDCRQEIDFIREILNTSPLNGQICSGLERFINSDILDLQLLEDLNGDIRLAVGVAEGKTLRMNRRLLFECVNEILSVRCAYYFNAGYGSWFLGMAILKKLTAEEIYAEMTDLKVAEEWMVDELVYKEMSSPLGSWVDFKLESYESGIDITTELLGSLIDEMVADLLLVSDTSL >ONIVA03G41640.2 pep chromosome:AWHD00000000:3:34439924:34444960:1 gene:ONIVA03G41640 transcript:ONIVA03G41640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKPTSKGHGFFSLFDWGKKSKKRLVSGNGSDSPAPRVSENFRESDGGTPSTRPNSFLEDAPSLKESSEHSCSSSVVDDEALARRGPTVVARLMGLDSMPAASSSGSYTMPLTVQQSPQNSTIHDEFIGRSYVGSPHKMPGSPHKMPGSPIDRFRMEALPPRFAKRTLSVAQNKLSPMKNPNHISSRNAADIMEAASRIIGTGVEVISPYRVRDVGYANTVRVYSQREIAIVQQRPPRMNEALKKRDGLTSYRLPTGKPLDGSLKSSGNTSASVVSQSNGGAPVGPKVKASSRSSPDSRATNVQGREDISKISRKLATRDPERRMVERNGINQGKNNNQVGMASSSNVLVQNNRKQNAMVKHKVNSKPPTPNRQRSNTHSINGTMRKVGTAGTPSENNIQGNRNVELRSTGHANRRQNSTAKSIPKPGRLPDGRIHSVKTRPSDKDIADRSQRRVRHNIVIDEQSPFSMNKKKISTDIVSFTFTAPVDKPLSGYRLPNHLVEKQFMKNASSVPNSSETSSAKFDSIDGDYLGLLLEQKLRELTSGVRSPYCKPAKDVRIYAPSSVLEDSQSACETSSIASTDYDRESVQSYKDGKGSFTQTDLASKSGQSSQSVKYDNDAMDQMEIERLHLSPLSTWDASVSTETGSSTESWRSANGTKVFSSTEGATTSDSACFSKFLEADAFSEYSDTASSITVTTTDIPPSDSSSSSRMDCRQEIDFIREILNTSPLNGQICSGLERFINSDILDLQLLEDLNGDIRLAVGVAEGKTLRMNRRLLFECVNEILSVRCAYYFNAGYGSWFLGMAILKKLTAEEIYAEMTDLKVAEEWMVDELVYKEMSSPLGSWVDFKLESYESGIDITTELLGSLIDEMVADLLLVSDTSL >ONIVA03G41630.1 pep chromosome:AWHD00000000:3:34431731:34435790:-1 gene:ONIVA03G41630 transcript:ONIVA03G41630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoribosylformylglycinamidine cyclo-ligase, chloroplast / phosphoribosyl-aminoimidazole synthetase / AIR synthase (PUR5) [Source:Projected from Arabidopsis thaliana (AT3G55010) TAIR;Acc:AT3G55010] MWKELDYFKEYAARLQSFRGDDDAAAAATLSEALYIVSMGTNDFLENYYAMARAQAAEYSTAAAYGDYLLGIGASPSRTRSSASCTRSVDLNGLPPMGCLPLERATGSGGACTDEKNTVVERFNAGLQDMIARLNDELGDDEMIVYGDVYRPVAAGGVRGGERRQQHRAHAGDQFLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYYATSKLDVDLAEKVIKGIVDGCQQSDCALLGGETAEMPDFYKEGEYDLSGFAVGAVKKDKVIDGKNIMEGDIIIGLPSSGVHSNGFSLARRVLEKSGLSLNDQLPRNDGMTTTVGEALMAPTVIYVKQVLEIISKGGVKGIAHITGGGFTDNIPRVFPSGLGAKIFTAAWEVPPVFRWIQEVGKIEDAEMRRTFNMGIGMVLVVSKEAADGILEGTHGPNHAYRIGEVISGEGVHYV >ONIVA03G41620.1 pep chromosome:AWHD00000000:3:34430890:34431114:1 gene:ONIVA03G41620 transcript:ONIVA03G41620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLEEFRGGSAPPRDGDERTVASSSATPWWSGDPEAKRRRRVAAYKAYAVEARVKASLRRGFRWIKDRFVRRW >ONIVA03G41610.1 pep chromosome:AWHD00000000:3:34426032:34429929:1 gene:ONIVA03G41610 transcript:ONIVA03G41610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGGATSSSSRNSVERFYLPPHSRRQQQQQQQRLRSPTSPSLSPSPSPRSGRHKAAAAAPPAVVAAAAVGAGVLTDGDSRVDSDDSSSTSSKPSVASTATATTTAADVNVTAVEESGNLERFLTSTTPSVPFQYLPKTSLKMWRTGDCTNTSPYFCLEDLWESFREWSAYGAGVPLLLNGSDSVTQYYVPYLSAIQLYADPSRSVSRTRRLGDESDGEYLDASSESSSETDVDRLRVSSVEATHGMANGSLRTDDADGYASASSPIFQYMERDPPFCREPLTDKVSILASRFPALKAFKSCDLLPSSWMSVAWYPIYRIPTGPTLEDLDACFLTFHCLATPSKDSDSTTPACPGFGGISPCANATGKLSLPAFGLASYKLRSSIWASDGTQGQRVTSLMEEAGNWLSCVQVEHPDFRFFVSRSAALSTSAYGT >ONIVA03G41600.1 pep chromosome:AWHD00000000:3:34420545:34422501:1 gene:ONIVA03G41600 transcript:ONIVA03G41600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSVEKGSGSIDPDERTASGEPKACTDCHTTKTPLWRGGPSGPKSLCNACGIRYRKKRREALGLDAGEGGAERQEKKKSKRERGEEVTMELRMVGFGKEVVLKQRRRMRRRRRLGEEEKAAILLMALSSGVIYA >ONIVA03G41590.1 pep chromosome:AWHD00000000:3:34413245:34419266:-1 gene:ONIVA03G41590 transcript:ONIVA03G41590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) TAIR;Acc:AT3G16810] MGSKNISGPLVPPNSSGSEQKPSSSYSDRDRVAVSEIAMAGGGDLQSPKKRKRDAAGKPKTLAKGGDDGKKRKRPSDANMAQGGGGGEVKVKKEPVTAKEKRLAAKEMAESRKKKRKRNYSLEKELTVLWEKMRCHNVSSTERSKLVSEALRKMDGKYSEIAGSHVTARVLQTCVKLCSQSERDAIFEALQPDLLTLSLKKYAVFLVKKLIKRATKKQFEWFISSLHGRVAKLLRHTIGASVVDFAYQLATPPQKRRLLLELYSTELQLFTDLTGQKTHSLLETISNLGLQKSSVLQHMTTVIYPILEKGIVEYPIVHTAVLEYFTIADKTSATDVIRQFIPLLTQGSSAIDGDEPSVAPELQKKLKAKKKRLTEPLLVRIMNTREGLKIGVSCLKHGSAKDRKKIIKSLKGHIMKLALSDYGCILLVAILSVVDDTKLVTKIVIQELAKHLKQLIFDKDEASESAAEDIPENKVDVATNKEQDGSEGMQTENKVDAATNKEQDGSESMQSASDSKKDPFQRRHELLIKSELAEVLIQTLIENVGELLRTNFGKDVLHEVAVGGEDNILEGITDRIHSLHNAIASDAARPKAEDTEHAFDNYHSSRLIRRLILESPAFAAILWKKALEGKCKTWADGHSSKVVAAFLESPDSKVRDLAKAELQPLEFVRHQ >ONIVA03G41590.2 pep chromosome:AWHD00000000:3:34414003:34419266:-1 gene:ONIVA03G41590 transcript:ONIVA03G41590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) TAIR;Acc:AT3G16810] MGSKNISGPLVPPNSSGSEQKPSSSYSDRDRVAVSEIAMAGGGDLQSPKKRKRDAAGKPKTLAKGGDDGKKRKRPSDANMAQGGGGGEVKVKKEPVTAKEKRLAAKEMAESRKKKRKRNYSLEKELTVLWEKMRCHNVSSTERSKLVSEALRKMDGKYSEIAGSHVTARVLQTCVKLCSQSERDAIFEALQPDLLTLSLKKYAVFLVKKLIKRATKKQFEWFISSLHGRVAKLLRHTIGASVVDFAYQLATPPQKRRLLLELYSTELQLFTDLTGQKTHSLLETISNLGLQKSSVLQHMTTVIYPILEKGIVEYPIVHTAVLEYFTIADKTSATDVIRQFIPLLTQGSSAIDGDEPSVAPELQKKLKAKKKRLTEPLLVRIMNTREGLKIGVSCLKHGSAKDRKKIIKSLKGHIMKLALSDYGCILLVAILSVVDDTKLVTKIVIQELAKHLKQLIFDKDEASESAAEDIPENKVLIQTLIENVGELLRTNFGKDVLHEVAVGGEDNILEGITDRIHSLHNAIASDAARPKAEDTEHAFDNYHSSRLIRRLILESPAFAAILWKKALEGKCKTWADGHSSKVVAAFLESPDSKVRDLAKAELQPLVDRGILKISDHKAVEK >ONIVA03G41590.3 pep chromosome:AWHD00000000:3:34414003:34419266:-1 gene:ONIVA03G41590 transcript:ONIVA03G41590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) TAIR;Acc:AT3G16810] MGSKNISGPLVPPNSSGSEQKPSSSYSDRDRVAVSEIAMAGGGDLQSPKKRKRDAAGKPKTLAKGGDDGKKRKRPSDANMAQGGGGGEVKVKKEPVTAKEKRLAAKEMAESRKKKRKRNYSLEKELTVLWEKMRCHNVSSTERSKLVSEALRKMDGKYSEIAGSHVTARVLQTCVKLCSQSERDAIFEALQPDLLTLSLKKYAVFLVKKLIKRATKKQFEWFISSLHGRVAKLLRHTIGASVVDFAYQLATPPQKRRLLLELYSTELQLFTDLTGQKTHSLLETISNLGLQKSSVLQHMTTVIYPILEKGIVEYPIVHTAVLEYFTIADKTSATDVIRQFIPLLTQGSSAIDGDEPSVAPELQKKLKAKKKRLTEPLLVRIMNTREGLKIGVSCLKHGSAKDRKKIIKSLKGHIMKLALSDYGCILLVAILSVVDDTKLVTKIVIQELAKHLKQLIFDKDEASESAAEDIPENKVDVATNKEQDGSEGMQTENKVDAATNKEQDGSESMQSASDSKKDPFQRRHELLIKSELAEVLIQTLIENVGELLRTNFGKDVLHEVAVGGEDNILEGITDRIHSLHNAIASDAARPKAEDTEHAFDNYHSSRLIRRLILESPAFAAILWKKALEGKCKTWADGHSSKVVAAFLESPDSKVRDLAKAELQPLVDRGILKISDHKAVEK >ONIVA03G41580.1 pep chromosome:AWHD00000000:3:34411818:34413254:1 gene:ONIVA03G41580 transcript:ONIVA03G41580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGSKAQAVREVCAASAAFSACTHRRRQRSPPFVDWYLVLAVADAATEDAVRRRYRQLALQLHPDKNTHAKAEVAFKIVSEAHACLTDGARRRAFDDERAASYCAACHDRFRHRAERRTPAAATATGGAQHGKHRGGGGGGRRMPVAAQALREVQNRLRDECRVIDSCLKANGGGGARRRQSFPLFDPSDRLRFPGYPHTRPPPPPPFAAEFCRFEENLAADRNQRWCGSGAGESPVYQIRTAPERAARTKRHW >ONIVA03G41570.1 pep chromosome:AWHD00000000:3:34403451:34407899:-1 gene:ONIVA03G41570 transcript:ONIVA03G41570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVAAAGAAAASGTALLAYILLACCRPQPAPEAGEEEEEESRLLSSGAEARGREAGDGGEEEEEWPYRPPSTCCEAAAVAARTARRTWDLTVGRWGLHGIAFGIKRHMKRQGDLQHEYSGNDCLQLKGHDAHTEVAYLLEHLKICMFYSKKTFSAFLQFGGYNQEDILIHKARARLMQPSFALVRDKKSKCFLLFIRGAISTKERLTAATAAEVPFHHIVLSEGQISNVVLGYAHCGMLAAARWIANLAKPHLHKAVQEFPDYQIKVIGHSMGAGIGAILTYILHEHHEFSSCTCLAFAPPACMSWELAESGKEFVTSLINRNDVVPAFSKVSAENLRAEVMVSSKLDDEQDQAHFSLFTAISKRVAFIKSHMLSVSHPTEKNTDPDSSISEPLLKHVPEITQPVTNGLSTDCNQHQTDLVANTEQDFSAVSVVTSEEKIVLSSNDNVISTKSVAGSGFAAQGDVNINGSLDTEQEQSSLTGQEEPESLKQNCDIKDKLKEPLPTCSSRQFFPPGRIIHMVAMASPDPNPGEGSSSNEIISIYETPRDLYGKIRLAPNMIKEHYMPSYISTMESLLEQLLKDDNVDTITNDL >ONIVA03G41560.1 pep chromosome:AWHD00000000:3:34402606:34403151:-1 gene:ONIVA03G41560 transcript:ONIVA03G41560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLRLVVVAAAVAISLRGAAATAVTVEDACRHTRHEAYCVKALSARPESRAAALDMPALAEAALSMAAESGAAATSFVRNLAKMPGGMPPECLEGCGAKFQEAVAELRRSEAAMEVRHDAAGAKAWVTEARADGETCMDECRMTEGGAAPEIADRIDELAKLCSIALALTNASMSKHP >ONIVA03G41550.1 pep chromosome:AWHD00000000:3:34398494:34399099:-1 gene:ONIVA03G41550 transcript:ONIVA03G41550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGSVRLLLLILLLRPAAAGSPLMMTCAKTPHPDVCITVLGAIPECRNTGDPRVLAENAVRSAATIGAAAGTFARAELDIVKDTDMWQCLDECAQDIEEAVSHLDDTEGGVVDLDAKFKDVRLFMDVAERDTWSCEESCRDAPDSTVKATLLDKNEAFEKFMRVTGALIEMVIGTAGEPAPEPSADEHSDELIPDVQL >ONIVA03G41540.1 pep chromosome:AWHD00000000:3:34390586:34391245:-1 gene:ONIVA03G41540 transcript:ONIVA03G41540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAAAWSTGLLDCFDDCGLCCMTCWCPCITFGRVAEMVDRGSTSCGTSGALYALLATVTGCQFVYSCVYRGKMRAQYGLGDDAACADCCVHFWCNKCALCQEYRELVARGYDPKLGWDLNVQRGAAAAAAPAVQHMGR >ONIVA03G41530.1 pep chromosome:AWHD00000000:3:34366670:34386006:-1 gene:ONIVA03G41530 transcript:ONIVA03G41530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIASTNQIEADTLSYQINSPLAHYIKRPPIPSTSSNSIDHILAAAAGNCVHRRRRRRRRRSMAKPSAAPVTGVPVGSAAWSSGLFDCFDDCGLCCMTCWCPCITFGRVAEIVDRGSTSCGASGALYALLAMVTGCQCIYSCTYRGKMRAQYGLADAACGDCCVHCWCESCALCQEYRELVARGYDPKLGWHLNEMAKPSAGAVTGVPIGSAAWSTGLCDCFDDCGLSRLAPQRRARRRRRARRAAHGPLNSVISPRTSSIVLGRVKWRGLEEMAKPSAGAVTGVPIGSAAWSTGLCDCFDDCGLCCTTCWCPCITFGRVAEIVDRGTTSCGTGGALYALLCAFTGCQWIYSCVYRGKMRAQHGLGDAACGDCCVHCCCESCALCQEYRELVARGYDPKLGWDLNVERGAAAAAAAAAAPAVQHMGPTLITSPGKKSRRRSMAKPSAAPVTGVPVGSAAWSTGLCDCFDDCGLCCLTCWCPCITFGRVAEMVDRGSTSCGTGGALYGLLCAFTGCQWIYSCTYRGKMRTQYGLAEAGCADCCVHFCCEPCALCQEYRELVARGYDPKLGWHLNADRAAAAGAAPAEMARPQHNDWSSGLFACFNDCEVCCLTTVCPCITFGRSAEIVSRGERTCCAAGVLCVLLGFFAHCHCLYSCCYRGKMRDSFHLPEDPCCDCCVHALCLQCALCQEYRHLKSLGYKPSLGWLGNNQHPDKPTAGPVSGVPVGSAPWSSGLFDCFDDYGLCCMTWWCPCITFGRLAEIVDMGSTSCGHSGALYVFLAVVTGFQWIYTCTYRGKMRAQYGLSGEPCGDCCIHCWCEPCALIQEYRELAARGYDPKLGWHLNMERRAAAAAAAPAVQHMGR >ONIVA03G41530.2 pep chromosome:AWHD00000000:3:34366670:34386006:-1 gene:ONIVA03G41530 transcript:ONIVA03G41530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIASTNQIEADTLSYQINSPLAHYIKRPPIPSTSSNSIDHILAAAAGNCVHRRRRRRRRRSMAKPSAAPVTGVPVGSAAWSSGLFDCFDDCGLCCMTCWCPCITFGRVAEIVDRGSTSCGASGALYALLAMVTGCQCIYSCTYRGKMRAQYGLADAACGDCCVHCWCESCALCQEYRELVARGYDPKLGWHLNEMAKPSAGAVTGVPIGSAAWSTGLCDCFDDCGLCCTTCWCPCITFGRVAEIVDRGTTSCGTGGALYALLCAFTGCQWIYSCVYRGKMRAQHGLGDAACGDCCVHCCCESCALCQEYRELVARGYDPKLGWDLNVERGAAAAAAAAAAPAVQHMGPTLITSPGKKSRRRSMAKPSAAPVTGVPVGSAAWSTGLCDCFDDCGLCCLTCWCPCITFGRVAEMVDRGSTSCGTGGALYGLLCAFTGCQWIYSCTYRGKMRTQYGLAEAGCADCCVHFCCEPCALCQEYRELVARGYDPKLGWHLNADRAAAAGAAPAEMARPQHNDWSSGLFACFNDCEVCCLTTVCPCITFGRSAEIVSRGERTCCAAGVLCVLLGFFAHCHCLYSCCYRGKMRDSFHLPEDPCCDCCVHALCLQCALCQEYRHLKSLGYKPSLGWLGNNQHPDKPTAGPVSGVPVGSAPWSSGLFDCFDDYGLCCMTWWCPCITFGRLAEIVDMGSTSCGHSGALYVFLAVVTGFQWIYTCTYRGKMRAQYGLSGEPCGDCCIHCWCEPCALIQEYRELAARGYDPKLGWHLNMERRAAAAAAAPAVQHMGR >ONIVA03G41530.3 pep chromosome:AWHD00000000:3:34366670:34386006:-1 gene:ONIVA03G41530 transcript:ONIVA03G41530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIIASTNQIEADTLSYQINSPLAHYIKRPPIPSTSSNSIDHILAAAAGNCVHRRRRRRRRRSMAKPSAAPVTGVPVGSAAWSSGLFDCFDDCGLCCLTCWCPCITFGRVAEMVDRGSTSCGTGGALYGLLCAFTGCQWIYSCTYRGKMRTQYGLAEAGCADCCVHFCCEPCALCQEYRELVARGYDPKLGWHLNADRAAAAGAAPAEMARPQHNDWSSGLFACFNDCEVCCLTTVCPCITFGRSAEIVSRGERTCCAAGVLCVLLGFFAHCHCLYSCCYRGKMRDSFHLPEDPCCDCCVHALCLQCALCQEYRHLKSLGYKPSLGWLGNNQHPDKPTAGPVSGVPVGSAPWSSGLFDCFDDYGLCCMTWWCPCITFGRLAEIVDMGSTSCGHSGALYVFLAVVTGFQWIYTCTYRGKMRAQYGLSGEPCGDCCIHCWCEPCALIQEYRELAARGYDPKLGWHLNMERRAAAAAAAPAVQHMGR >ONIVA03G41520.1 pep chromosome:AWHD00000000:3:34362316:34362984:-1 gene:ONIVA03G41520 transcript:ONIVA03G41520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSMAMFVVVLMCASAMAAQARLPPGSSPLVAACTAGPFSKLCVKDLGHRLLDIQTVLTSVSNHGAAIAGAPGQVDFRSLVAVAMEAATESGAVAATVFEGKLPGFNKSVPDFKACLDNCSVTVSSAMKEIHGAAAALKAGDDDVAKTLVLRAINDVTMCTYSCRELNGDMAVILEHSLVQFQKMMRIAVNFISKMKKSPLPPPPRSTPPAPPTPHRLH >ONIVA03G41510.1 pep chromosome:AWHD00000000:3:34357067:34360246:-1 gene:ONIVA03G41510 transcript:ONIVA03G41510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVAAAAAVIRHRTVEANGISIHVAEAGGEGGAGAAAVLFLHGFPELWYSWRHQMEHLAGRGFRCLAPDLRGYGDTDAPPEIESYSAFHVVGDLVALLDALGLAKVFVVGHDWGAIIAWYMCLFRPDRVTALVNTSVAFMRHVFIRSGADAVKTTDHFHKAYGPTYYICRFQEPGVAEEEFAPAHARHTIRRTLCNRFTVHKAGKPESEESPPPPPLPLPAWLTEEDIDYFAAAFERTGFTGGINYYRNMDRNWEMAAPWADAKVQVPTKFIVGDGDLTYHYAGIQDYLHKGGLKAEVPLLEDVVVIPGAGHFIQQERAEEVSDLIYNFITKFIPQPN >ONIVA03G41500.1 pep chromosome:AWHD00000000:3:34352129:34354716:-1 gene:ONIVA03G41500 transcript:ONIVA03G41500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVRHRTVEANGISMHVAEAGPGSGTAPAVLFVHGFPELWYSWRHQMGHLAARGYRCVAPDLRGYGGTTAPPEHTSYTIFHLVGDLVALLDALELPQVFVVGHDWGAIVSWNLCLLRPDRVRALVNLSVAFMPRRPAEKPLDYFRGAYGDDYYVCRFQEPGVEKELASLDLKRFFKLALIVQTTGSSAMSIKKMRANNREVTLPPWLSEEDISYVASVYAKTGFAGGINYYRCFDLNWELMAPWTGAKVLVPTKFIVGDGDLAYHLPGVKSYIHKGRLKKDVPMLEEVVVIKGAGHFIQQERAQEISDHIYNYIKKFNTGVSSPKSSRL >ONIVA03G41490.1 pep chromosome:AWHD00000000:3:34349046:34351549:-1 gene:ONIVA03G41490 transcript:ONIVA03G41490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRLLAASTKIVGVGRNFVAHAKELGNPVPKEPVLFLKPTSSFLHAGVAGAAIEVPEPVESLHHEVELAVVISQRARDVPEASAMDFVGGYALALDMTARELQSAAKSAGLPWTLGKAQDTFTPISAVIPKSDVANPDDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLIDVEFDVQKRKRSFST >ONIVA03G41490.2 pep chromosome:AWHD00000000:3:34349048:34351549:-1 gene:ONIVA03G41490 transcript:ONIVA03G41490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRLLAASTKIVGVGRNFVAHAKELGNPVPKEPVLFLKPTSSFLHAGVAGAAIEVPEPVESLHHEVELAVVISQRARDVPEASAMDFVGGYALALDMTARELQSAAKSAGLPWTLGKAQDTFTPISAVIPKSDVANPDDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLIDVEFDVQKRKRSFST >ONIVA03G41480.1 pep chromosome:AWHD00000000:3:34345326:34346285:-1 gene:ONIVA03G41480 transcript:ONIVA03G41480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDEIALAPGYVFHPSDDGLITLFLRPSIAKIPFEDRLINHADVYSADPAELVGEHRPAPGTHGSSSVWYFFCSPPFTSKRKTSGRRQRAVGGGGGGESVWKSEGGKKAVIGADGRRVGYLQKFSYGVYESSSSGSARTFTRLGWCMTEYGLDDDAIDGADKQVLCKVYRSPRAVCAEARTAAAAKSADSPCSGSKRKADDGADHPEAPPSARPRQEEAGSEHGEQPAILPELDLDALLSAPMDDSLGVEFDTATTEQYMRYLMNDEPLPWAPTMEVAGGGDEFIETTNGPCMGEEEIIQRLAAGETLDDILGSNPN >ONIVA03G41470.1 pep chromosome:AWHD00000000:3:34340121:34344604:1 gene:ONIVA03G41470 transcript:ONIVA03G41470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVX2] MTMTAPRQEDSRIDGNIVGLRSYSFQELDLATNGFGEELGKGAYGTVFKGVVADTNQDIAVKRLEKMAEDGQREFNREVRVIARTHHRNLLRLLGFCNEGIHRLLVYEYMPNGSLANLLFHSDASPAWSKRVAIALDVARGLQYLHSEIEGPIIHCDIKPENILIDSLGIAKIADFGLAKLLIGNQTKTFTGIRGTRGYLAPEWSKNRAITVKADVYSYGIMLLEVISCKKSMDLKRAGEEYNISEWAYECVMFGDAGKVADGVDEAELVRMVNVGIWCTQSEPVMRPAMKSVALMIEGAIEKNDEVCLKRCKVQQGSSVYHQFKYMSLHHGTP >ONIVA03G41470.2 pep chromosome:AWHD00000000:3:34340121:34344604:1 gene:ONIVA03G41470 transcript:ONIVA03G41470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVX2] MFPHILFLSLLLLVPEDPNFAAGASANESFITLGSSINTSSTQYWSSSSGRFAFGFYPNGEGFSIGVWLVIGVSRTIVWTANRDEPPIAGGSIIFGHGGALQWSRTPSTPGSQLNPISDSSTPAASAAMLNTGNFVLYDMNRQVIWSTFSFPTDTLLAGQNLRPGRFLLSGVSQSNHASGKYRLENQQDGNLVMYPTGTIDSGSAYWSTWTFNMGLLLTLSLDPNGTIWMFDRKNSYTKILFHANQPSNASPDMEIYYRLTFDPDGILRLYSHVFFKLGRAPTTEVEWLEPGSDRCLVKGVCGPNSFCHLTVTGETSCSCLPGFEFLSTNQSTLGCWRALPTGGCVRNSSNDETRVTTTMVEVKNTTWLENPYAVLPATTSIEACKLLCLSDCACDIAMFSDSYCSKQMLPIRYGRMPGNTTLFVKIYTYQTISGTRQRAMSIHANSALISGVSLAIFSLFVILVASLLLICRHRRSLAHMTMTAPRQEDSRIDGNIVGLRSYSFQELDLATNGFGEELGKGAYGTVFKGVVADTNQDIAVKRLEKMAEDGQREFNREVRVIARTHHRNLLRLLGFCNEGIHRLLVYEYMPNGSLANLLFHSDASPAWSKRVAIALDVARGLQYLHSEIEGPIIHCDIKPENILIDSLGIAKIADFGLAKLLIGNQTKTFTGIRGTRGYLAPEWSKNRAITVKADVYSYGIMLLEVISCKKSMDLKRAGEEYNISEWAYECVMFGDAGKVADGVDEAELVRMVNVGIWCTQSEPVMRPAMKSVALMIEGAIEKNDEVCLKRCKVQQGSSVYHQFKYMSLHHGTP >ONIVA03G41460.1 pep chromosome:AWHD00000000:3:34332626:34337652:1 gene:ONIVA03G41460 transcript:ONIVA03G41460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSEGAWQGDNPLRFSLPLLIVQICLVVVFTRGLAYALRPLRQPRVIAEIIGGILLGPSALGRNKRFLDNVFPKDSLTVLDTLANVGLLFFLFLVGLELDPASLRRTGRTALAVAAAGISLPFALGVGASLVLRAAIAPDAPRGPLIVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDITAWVLLALAIALSGSGSPLVSIYVLLCGVAFVGFATVAVRPVLVFMARRSPEGEPVKESFVCAILVIVLAAGFATDAIGIHALFGAFVIGVLVPKEGACAGALTEKVEDLVSSLFLPLYFVSSGLKTDVTTISGAKSWGLLVLVMTTACAGKIGGTVAASLLMRVPLREALALGMLMNTKGLVELIVLNIGRDRKVLNEEAFAILVLMALVTTFMTTPAVTAVYKPARRQASYKHRTVERADADSELRVLACFHASRGIPTLINLVEASRGTRRSKLTMYAMHLVELSERSSAISMVQRARRNGLPFASRRGHEGGGGGGEVVVAFEAFQRLTAVTVKPMTAISDLDTIHDDIVASALDKRAAIILLPFHKMLCHDGTLEPVDRAFHQVNVRVLRDAPCSVAVLVDRALGGAAQVSAPDVSYSVLLLFFGGADDREALAYASRMGEHPGIALTVARFTAAADDAAEDDDAIQKHISNVRKAGNDGAFKYDEVSAHGRQEVAFAIKTLGRGKNLVVAGRSAAVATPLVDKTDCPELGHVGSYLATPEFSTTSSVLVVQKYDSRGDTGTSSSSHAGGEATVEESGVPIRRP >ONIVA03G41450.1 pep chromosome:AWHD00000000:3:34321798:34330899:1 gene:ONIVA03G41450 transcript:ONIVA03G41450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASCRRRSPPLSTYYFHLINVHLLGSAHAPIYIKNAHEFDRVAYLHEQVTSVVEVQGASCATHMAAMTVRPRPAAAAIIIAAVFGAAAAAAGGGMVGVDGTQFVVEGGRTIYFSGFNAYWLMMMASDPARRAAVVAAFAQASSRGLNLARTWAFSDGGDQPLQSSPGVYDEAMFQGLDFVIAEARRHGIYLLLCLTNNFDDFGGKRQYVRWAADAGHNLTAGDDFFTSSVVKSYYKNHVKAVLTRVNTVTGVAYKDDPTIFAWELMNEPRCDADPTGGMVQAWVEEMAPYVKRVDGGRHLVTAGLEGFYGDGEHESKELNPWGIYYGTNYVATHRAAGVDFATIHLYPDVWLWGSTADEQAAFFRNWTRSHVHATAAFLGKPLLVTEYGKFLWKGGGANKTQRNYFLDVVLDAIYASASRGGPLVGGAFWQLLLDDDVVAGMDDLRDGYEIILAEDSRAASIIGEHSEQLASLNGQDAEALRRRRRRPASSHRKTRLGSGGDSDALRLPRTLLIRFISLSRSISSFIQDNFLASFSLENPTRATDTRDDHLSTSPHPSIPSSFTGAQRSPASTQLNLTCAAAAAGKPPNKLAAAGPLRRCSGLPREDTRGGFRGRHGGRRLLRSESDAAEPSTDLNPRPPPWRAAVAPGDGMVAVDGTQFVVDCGRTIFFSGFNAYWLMMMAADPALRGAVATAFQQASAHGLNLARTWAFSDGGDQPLQSSPGVYNETMFQGLDFVIAEARRHGIYLLLCLTNNFDNFGGKRQYVRWAGDAGHNLTADDDFFTSTIVKSYFKNHVKTVLTRVNTLTGVAYKDDPTIFAWELMNEPRCYADPTGAMVQAWVEEMAPYVKSVDGRHLVTPGLEGFYGAGEHESKELNPWGIYYGTNYVATHRTAAVDFATIHLYPDVWLWGSTADEQATFFRNWTRSHIDATAAYLGMPLLVTEYGKFLWKEVGANKAQRNYFLDLVLDAIYASASRGGPLVGGAFWQLLLDGDIVAGMDSLRDGYEIILAEDSRAASIIGEHSEQLAALNGQDADVLCRRASSHRRTRLGNSLSCDGGDTLELLLRMVLACFVSLSRSISSFIVQNFILL >ONIVA03G41440.1 pep chromosome:AWHD00000000:3:34315435:34317647:1 gene:ONIVA03G41440 transcript:ONIVA03G41440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT1G48350) TAIR;Acc:AT1G48350] MLASPALAGARAFAATVSGSLGIPIPAISAPSPSQARRRASLVVVAKVKVSTPQADRIARHVRLRKKVSGTTERPRLSVFRSNKHLYAQVIDDTKSCTLVSASTMHKSLSKDLEYSAGPTVEVAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAARENGLDF >ONIVA03G41430.1 pep chromosome:AWHD00000000:3:34313873:34314832:1 gene:ONIVA03G41430 transcript:ONIVA03G41430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDEIALAPGYVFHPSDDGLITLFLRPSIAKIPFEDRLINHADVYSADPAELVGEHRPAPGTHGSSSVWYFFCSPPFTSKRKTSGRRQRAVGGGGGGESVWKSEGGKKAVIGADGRRVGYLQKFSYGVYESSSSGSARTFTRLGWCMTEYGLDDDAIDGADKQVLCKVYRSPRAVCAEARTAAAAKSADSPCSGSKRKADDGADHPEAPPSARPRQEEAGSEHGEQPAILPELDLDALLSAPMDDSLGVEFDTATTEQYMRYLMNDEPLPWAPTMEVAGGGDEFIETTNGPCMGEEEIIQRLAAGETLDDILGSNPN >ONIVA03G41420.1 pep chromosome:AWHD00000000:3:34308870:34309247:1 gene:ONIVA03G41420 transcript:ONIVA03G41420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRVAAKAPAAAAAWPYVEYMARWERQVERRQLFLRSYHFSRDVELSPRARARRVVWVGLRRLRRAAATGLRRLRARLRLCFAWVSRRRNIHRRGARFGRYGRLSGGAAHAPAPAASSSVCFW >ONIVA03G41410.1 pep chromosome:AWHD00000000:3:34305966:34306976:-1 gene:ONIVA03G41410 transcript:ONIVA03G41410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQCILESFSSCRSDRDYTKVLACHRWIQDEFLRKATHKWAFLSDMSPRKFVIFLAGRCSNQLKMKLQGWHAQMMASCHICSADASNFSCLN >ONIVA03G41400.1 pep chromosome:AWHD00000000:3:34296248:34303286:1 gene:ONIVA03G41400 transcript:ONIVA03G41400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase (RH3) [Source:Projected from Arabidopsis thaliana (AT5G26742) TAIR;Acc:AT5G26742] MASLLTLPSLSLSNPSASAAAAGAGAAPSLRLRAAFRCWALRRAGGGRWAAAGAIASPNSVLSEHAFKRLQLSDDEEEEEGAYGSDEEGTYGSDEEGVEAVGGGEGDEDELAIARLGLPEQLVSTLEKRGITHLFPIQRAVLIPALDGRDLIARAKTGTGKTLAFGIPMIKQLMEEDDGRSVRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVKYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPGWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTSTSKRTVLSDLITVYAKGGKTIVFTKTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGTAILMFTNSQRRTVRSLERDVGCRFDFISPPAIEDVLESSAEHVIATLRGVHTESIQYFIPAAERLQEELGPNALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTRDPGYGRGFFSPRSVTGFLSDVSSAAADEVGKIFLTADEKVQGAVFDLPEEIARDLLSMELPPGNTITKVTKLPALQDDGPATDSYGRFSNSDRGFRNRRSRGGGSRGGRGGWDSDGEDRFRRGGRSFRSDNDSWSDDDFGGGRRSNRSSSFGGRGSSYGSRSSSSFGGRSSSFGSRDSSRSFSGACFNCGENGHRASDCPNK >ONIVA03G41390.1 pep chromosome:AWHD00000000:3:34289802:34293089:-1 gene:ONIVA03G41390 transcript:ONIVA03G41390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAAAGYTAAALVCAAAATVIALVHIYRHLLHYAEPIYQRFIVRLIFMVPVYAVMSFLSLILPDNSIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLNGRTLKPSWFLMTCCFPAIPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYADGNFSVKQSYLYITIIYTVSYSMALYALAVFYAACRDLLRPYNPVPKFIMIKSVVFLTYWQGVLVFLAAKSRFIKNAEKAADLQNFVLCVEMLIAAIGHLFAFPYNEYAGPNGRPSGDFKGSLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDSTQRKYPSASTVPSGRDVELSGISVMPANSAVTSSVSSNQADQEETMSTPIKDRVDNVGLYDLTDLLDVDLSNYPAKVPAISDVRKQ >ONIVA03G41380.1 pep chromosome:AWHD00000000:3:34286121:34289444:1 gene:ONIVA03G41380 transcript:ONIVA03G41380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 4 [Source:Projected from Arabidopsis thaliana (AT5G15330) TAIR;Acc:AT5G15330] MKFGKDFRSHLEETLPAWRDKYLAYKSLKKLIKNLPPDGDPPPVAAAAEVPAGDGDGDGGIALGNWFARVLDMELQKLNDFYIEREEWYVIRLQVLKERIERVKAKKNGAFTSKSEFTEEMLEIRKAFVIIHGEMILLQTYSSLNFAGLVKILKKYDKRTGGLLSLPFTQRARHQPFFTTEPLTRLVRECEANLELLFPIEAEVLESASSSAKLQPQNDDAASHDPASSVDVETSDVYRSTLAAMKAIQGLRKASSTYNPLSLARFFHGEDGEACSGAITSESDSYSDSQIEDAEDDDKEVQSREQNTAQNAAEGQPRDE >ONIVA03G41370.1 pep chromosome:AWHD00000000:3:34270115:34271094:-1 gene:ONIVA03G41370 transcript:ONIVA03G41370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWNSPVLGDEKKVRMMRNRSLTREEVDAFWRRQQRKQPPSSSTSSEPNATTSPLASPRAAANVVSPLASPRAAGDISPFAASPGRAQQEMSSSRCTLALRRLERMNSMPSPLARTVMTRADDHPYQSYSHSEPPSPAAPHAGDHRHQRSSFAADHDDDDDDVASTSSECWWTRSSWAFLNETPSPEQQMFGKSQTYACVQFHVYRVVTGNA >ONIVA03G41360.1 pep chromosome:AWHD00000000:3:34264044:34264729:-1 gene:ONIVA03G41360 transcript:ONIVA03G41360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVLGDENRVLARRNKSLTREEVEAFWKQHGGEMMSGSPLGSPAAAGMARSAPVSRSKAHASSPRGGRIDPATRVEGFFPHDDAAAAAAAESPSKSHDWWTRSNWAFLNEPPQEEIAGKAQSYAPQFHVARIATGNA >ONIVA03G41350.1 pep chromosome:AWHD00000000:3:34258844:34261776:-1 gene:ONIVA03G41350 transcript:ONIVA03G41350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01470) TAIR;Acc:AT5G01470] MSWSPATARKRALQSQDEQRDPKLHKPTPTPTPMETALFSAASLFRDADDDQGTLPSPLRVLPRAPPPPDRRLALVLVFFFVCLVLCCDASEDEMQVDADEQVQSVQYEERAHKFPGMAGYQSLCSPFGNGMQELKIREFSSHQLNANMLWPGTFLFADWLVKNKSILHGRRILELGSGTGALAIFLRKAFQVDITTTDYDDGEIQENIAYNCKANDLGVLPHIRHTWGDQFPVLIPDWDIVIASDILLYVKQYPNLTRTLSFLLKEYKGCSQNAGSSASTAITNKSGTQVPVKFPIFLMSCRRRIGKDQSLFFEECEKAGLEVQHLGALVYLIYTKQ >ONIVA03G41340.1 pep chromosome:AWHD00000000:3:34254524:34257630:1 gene:ONIVA03G41340 transcript:ONIVA03G41340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-specific phospholipase C1 [Source:Projected from Arabidopsis thaliana (AT1G07230) TAIR;Acc:AT1G07230] MAGGGGRERRGGGRLLVGVLLLTLVVSGHCLESTHHRGLKRRRRKHEIHSPIKTVVVVVMENRSFDHILGWLSRTRPDIDGLNGTQSNRLNASDPSSPEIFVTDEAGYVDSDPGHGFEDIREQIFGSADTSAVPAPMSGFAQNARGMGLGMPQNVMSGFKPESVPVYAALADEFAVFDRWFASVPTSTQPNRLYVHSATSHGLTFNARKDLIHGFPQKTIFDSLEENGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKWGKLPNYAVIEQRYFDCEMFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVVGVPQPDGIVGPDPYYFKFDRLGVRVPSFLISPWIEKRTVIHEPNGPQDSSQYEHSSIPATVKKLFNLHSNFLTKRDAWAGTFENYFKIRKTPRTDCPEKLPEVTKSLRPFGPKEDSSLSEFQVELIQLASQLNGDHVLNTYPDIGRTMTVGEANRYAEDAVARFLEAGRIALRAGANESALVTMRPALTSRASPSSDLSSEL >ONIVA03G41330.1 pep chromosome:AWHD00000000:3:34245779:34250882:-1 gene:ONIVA03G41330 transcript:ONIVA03G41330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLSLRIAPSTPPLGLGGGRFRGRRGAVACRAATFQQLDAVAVREEESKFKAGAAEGCNILPLKRCIFSDHLTPVLAYRCLVREDDREAPSFLFESVEQGSEGTNVGRYSVVGAQPAMEIVAKANHVTVMDHKMKSRREQFAPDPMKIPRSIMEQWNPQIVEGLPDAFCGGWVGFFSYDTVRYVETKKLPFSNAPEDDRNLPDIHLGLYNDIVVFDHVEKKTHVIHWVRVDCHESVDEAYEDGKNQLEALLSRLHSVNVPTLTAGSVKLNVGQFGSALQKSSMSREDYKKAVVQAKEHILAGDIFQVVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVEKRTIVNRPLAGTIRRGKSKAEDKVLEQLLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNVERYSHVMHISSTVTGELRDDLTCWDALRAALPVGTVSGAPKVRAMELIDQMEGKMRGPYSGGFGGVSFRGDMDIALALRTIVFPTGSRFDTMYSYTDKNARQEWVAHLQAGAGIVADSKPDDEHQECLNKAAGLARAIDLAESTFVDE >ONIVA03G41320.1 pep chromosome:AWHD00000000:3:34242871:34245200:1 gene:ONIVA03G41320 transcript:ONIVA03G41320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G47120) TAIR;Acc:AT3G47120] MNPLTQVKRTQVINQKEALLGIGEDGSWHAKFKDSAYVFVGGIPYDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFAFLAYEDQRSTILAVDNLNGAKVLGRIVRVDHVSKYKKKEEEDEEELQKKREARGVCYAFQKGECNRGASCRYSHDEQRNANTGWGSKEESKARWEHDRHHEPPMSHKKFPSSAGEQRFPDRAKEENKSTGREGQSSRSEAYKDRASRLRHSDRGSKDHDRYRHDRSPERSRGDRQRNNDRYAQGRDEKSERYRSEVKHDEGDQKRSRRDTDSSGHYERRGNEDSERYRKSRR >ONIVA03G41310.1 pep chromosome:AWHD00000000:3:34238489:34241130:-1 gene:ONIVA03G41310 transcript:ONIVA03G41310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G07290) TAIR;Acc:AT1G07290] MAARLLFDLRSDMVSAASMSLDYRPEYDDIEEAKSPNTSVAAVAVKNADASVYKIIHGFLKQKNNSIIKVAANVARKAASNKLSRKTSDVFDSLIQKQQSKWGNKTGPLLSGICYCIASCSMILLNKVVLSNYNFNAGISLMLYQNLISVIILLVLELFGVISTEKLTWKLIKVWIPVNLIFVGMLVTGMYSLKYINVAMVTILKNMTNILTAVGEIYIFRKGQNKKVWAALCLMVISAVCGGITDLSFHPVGYMWQLFNCFLTAGYSLTLRRVMDVAKQSTKSGSLNEVSMVLLNNALSIPLALILIVIFDEWQYVYEVEVTRDPMFWAFATASGLLGLAISFSSVWFLHRTGPTTYSLVGSLNKIPISVAGILLFNVPVFLLGYSLQRQKCPNVYL >ONIVA03G41300.1 pep chromosome:AWHD00000000:3:34237182:34237541:1 gene:ONIVA03G41300 transcript:ONIVA03G41300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGSRVAAAGVAPSASSSSAGRRRPSRVAMAVGATRGKPAPAEEEKSLADFIFGFIFKKDQLVETDPLLNKVDGAPPSGSTVSRKAPAKKPAASAADEEGGGGGFNLGALFAKKG >ONIVA03G41290.1 pep chromosome:AWHD00000000:3:34231176:34235532:-1 gene:ONIVA03G41290 transcript:ONIVA03G41290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGTANNTHSLLPSRLDEDPPVPTSAGDFDSPAAACADLPPTSGGGIFFRRCIEHPRLEHRYKECRPRRNNSCPTKTLVGKDQLKELEHRRPSPSVIAKLMGLDVLPPAYVAHNQHQEFKDVFEVSEEPQEAVTKERSHNFPKGLPSLKRSALKLRKLMPSKSPYGDETFDNNVVNQDGFDRLNSLEINNPLFEKHPYDVNCSPNYRYEKDSTSSTFRKYPVGLGNSSLKEIVVLELGLGEVQHSGNAFSTPEPSDVNKNFRRKMKQAEFSTTNRGSQNLLGTKDINVPRIKGERHLTSNAVDSLLKRQDSSLDQYNTVDTDNTGSSQKCVSSEVNSRKSNRSSSNSSPWKIRRKYEEGAIGSKTLAEMFALSDSERLKRDSDSHVQIQDNKLNRGNNNDKEGCFIVLPKHAPRLPPHSLLDKNSSCERSPHDIFFSNTSISHNSGQFHFDSFWDKPTRQQISSPTQDDLRNASCARYHTLEQHRSSFPSYDNTRNNSWHLTDDFSTFACINEKVLFTTDEDLLRKPTETVHSSFGSRLSGEQKVSASPFHCGVYEAITISDHTCAAKSRRSLKEVDRPSPVSILEPPTDEDSCCSGYLKNDSQVMPSIDKQIYGCELRYEQEVSLSSDNDNDSSDQFLEAFEVEEEKEFSYLLDILISSGVIVADSQLLFKSWQSSGYLVGPHVFDKLERKYSKVATWPRPQRRLLFDLANSVLSEILTPCIDTHPWAKLSRNRCPVWGPEGPVEVVWQTMVRQQEELAVAHPDDKILDPEWLEFGEGINMVGWHIARMLHGDLLDDVILEFLSGFVAS >ONIVA03G41280.1 pep chromosome:AWHD00000000:3:34228553:34229306:1 gene:ONIVA03G41280 transcript:ONIVA03G41280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLDAASPFLLYGVAAAGGSSRLLAAATTGHRAVSSLHVGALKRRRGWEAGAWGRGKAAAAQGCDIAGRRGSGDYSAGATGGDAAVRRGPPVALVAPRALRRCNEDPQDVIERL >ONIVA03G41270.1 pep chromosome:AWHD00000000:3:34221007:34224229:-1 gene:ONIVA03G41270 transcript:ONIVA03G41270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSDLPPGGSGQKPPGGPPGNRKGKRGAEAPPATSSSTPTTARRSKRLAGAPPDHPAEAGPSSTNARRSSRLAGAPPATPGAAAAAPTSSSPTAARRSNRLAGAPPDPPAAAAAPPTSSSPTTAMRSNRLAGAPSDPPAAATAGPTSPSPTTARRSNRLAGAPPDPPAAATAGPTSKTARRSKRLAGEAPETPVEAGQTSPSSTTARQSKRSAGKSPAIPKGSGQPSSAEKSKRTADASSADPAEARPSSLSPTTAPVRTTAVSVSVRKAAEGQRRTTTSGRGDAAEQEAMREAVLYVRRERSVVAPDDLTSPHNEPRVAAAMALSWARSAGAGGLWNTRGRARAHVQPMREVILGARRERTVLAADDPASPYNEPRVAAATTLSWGRCGTPRSAKTMREAVLQAPAHDPASPYLEVQEVEVSKEFGWWVIFCSRGSRKFREEFDV >ONIVA03G41270.2 pep chromosome:AWHD00000000:3:34221007:34224229:-1 gene:ONIVA03G41270 transcript:ONIVA03G41270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSDLPPGGSGQKPPGGPPGNRKGKRGAEAPPATSSSTPTTARRSKRLAGAPPDHPAEAGPSSTNARRSSRLAGAPPATPGAAAAAPTSSSPTAARRSNRLAGAPPDPPAAAAAPPTSSSPTTAMRSNRLAGAPSDPPAAATAGPTSPSPTTARRSNRLAGAPPDPPAAATAGPTSKTARRSKRLAGEAPETPVEAGQTSPSSTTARQSKRSAGKSPAIPKGSGQPSSAEKSKRTADASSADPAEARPSSLSPTTAPVRTTAVSVSVRKAAEGQRRTTTSGRGDAAEQEAMREAVLYVRRERSVVAPDDLTSPHNEPRVAAAMALSWARSAGAGGLWNTRGRARAHVQPMREVILGARRERTVLAADDPASPYNEPRVAAATTLSWVRSAGAGALWYTPEREDDAGSEALEEEELGIFEKVQEVEVSKEFGWWVIFCSRGSRKFREEFDV >ONIVA03G41260.1 pep chromosome:AWHD00000000:3:34216188:34218571:-1 gene:ONIVA03G41260 transcript:ONIVA03G41260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAAKRQFSTTSQGKEVSGISSCKPTYQRYQSCPPEVYRRQASSYSVPSSEISRSSVRSSGSFRAAAQSLAGVFSCFVPRKSRNEDELEISRTTISQGSRSTGYQVSIDPALDKHEYPPPCENQNGEMTNLSAAGTGYPQESTELTVAEIFKATSNFSDKNIIKQGSYSSIYRGKLRDGSEIAIKCARKVWKQREYAYSAIFHELNSQYASAELRRELEILQKIDHKNLVRFLGFFEREDESLTIVEYVSNGSLREHLDESCGNGLELAQRLNIAIDVAHAITYLHEFKEQRIIHRNVRSSNVLLTDTLTAKLAGVGLARMAGGESSESEDTQGKSAAGYVDPEYLSTYELTDKSDVYSFGVLLVELVTGRPPIERRRDLDPRPTTKWALQRFRGGEVVVAMDPRIRRSPASVATVEKVMELAEQCIAPARKERPSMRRCTEALWSVRREYHRRQDAPAAAAAVAAAPTQDRSSDWVKVV >ONIVA03G41250.1 pep chromosome:AWHD00000000:3:34207614:34219517:1 gene:ONIVA03G41250 transcript:ONIVA03G41250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:defective in exine formation protein (DEX1) [Source:Projected from Arabidopsis thaliana (AT3G09090) TAIR;Acc:AT3G09090] MRPLLAFAAVCALLVAAAAPAAAEEEKANKFRQREATDDMLGYPHLDEDALLKTKCPKHVELRWQTEVSSSIYATPLIADINSDGKLEVVVPSFVHYLEVLEGSDGDKLPGWPAFHQSNVHSSPLLYDIDKDGTREIVLATYNGVVNFFRVSGYMMMDKLEVPRRKVHKDWYVGLNPDPVDRSHPDVHDSSIAKKAASEESHPNIQDKPVVNESSKESQSRSTNDSTTQGVDSMKHASKEEPVESKPNSTRGQENMDVLNNLNSTDAGNNSSLSTTTENASHVQRRLLQTDEKSNQAGSSETDASDTGTAKAATVENSEPLEADADASFNLFRDVEDLPDEYNYDYDDYIDETMWGDEDWKEQQHEKAEDYVSIDAHILSTPVIADIDRDGIQEMVISVSYFFDHEYYDKPEHLKELGGIDIGKYIASSIVVFNLDTRQVKWTAELDLSTDSGNFTAHAYSSPTVVDLDGDGNLDILVGTSFGLFYVIDHRGKVRNKFPLEMAEIHAPVIAADINDDGKIEMVTADVHGNVAAWTAGGEEIWERPTVGDVNGDGRTEVVVPTVSGNIYVLSGKDGSKIQPFPYRTHGRIMSPVLLLDMSKHDEKSKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSNQGRNNAAYRYNREGIYVKHGSRTFRDEEGKHFWVEFEIVDKYRVPYGNQAPYNVTVTLLVPGNYQGERRIVVNAAYNEPGKQRMKLPTVPVRTTGTVLVEMVDKNGFYFSDEFSLTFHMHYYKLLKWLVLLPMLGMFSVLVILRPQEGAPLPSFSRNID >ONIVA03G41240.1 pep chromosome:AWHD00000000:3:34203189:34204670:-1 gene:ONIVA03G41240 transcript:ONIVA03G41240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MANKAVTIGDLIHRVATSCLSNRLPGSYAVSDSGDTDFDDDDDDDPFADAISGAGGERRRTPSSSEEAEAEAEADDEGEDGGEGGEEEDEENLKIWEEKRKVKAAAAVAASGAERAREAETLMAEVFDAVSGVRRAYSDLQGAHCPWDPDKMRSADAAVVAKLRHLARLRDRFRRSVATGGHIPGPITTAPPLREAVAPYEAALDDLRRQLQAKQAEVDGLKEKLAVASNRRNSRHHPSKHNASGGGGGAPTAELFAACAEQARAAIRAFAGHLLQLMRAAGLDLAAATRSLTKIPVSSPQLAKHALEAHVTRVLLVGFEHESFYLDGSLSSLLDPAAFRRERYTQFRDMRGMEPAELLGLLPTCPFGRYAASKFAALLPPRVEQAVLGDGEHRRAVEGGAHPRTPFYGEFLRAAKAVWMLHLLAFALETPPSHFEAGRGAEFHPDYMESVAGGRGGGAAGMVVGFAVAPGFRLGNGAVVRARVYLVPRGGRP >ONIVA03G41230.1 pep chromosome:AWHD00000000:3:34199093:34206035:1 gene:ONIVA03G41230 transcript:ONIVA03G41230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 13 [Source:Projected from Arabidopsis thaliana (AT3G09210) TAIR;Acc:AT3G09210] MSLAYPLLRLPCRCSLAAAAVPAPPRASPGPTISVSMSVDGGEGELTGRERRKQRGERRELRARDWKEEVQERLIHEPARRRKKPPKRTWRENLNLDFLAEHGPQWWLVRVSMAPGTDYVDLLTKAISRRYPELSFKIYNPSIQVKKRLKNGSISTKSKPLHPGLVFLYCTLNKEVHDFIRDTEGCYGFIGATVGSIKRQIKKPKPIPVEEVESIIREEKEEQERVDREFEEMENGGIVESFNKPVEDSELMLMNKIKRQFKKPISKGGSNHNAFTPGASVHVLSGPFEGFTGSLLEVNRKNKKVTLQLTLFGKESFVDLDFDQIEAVDT >ONIVA03G41220.1 pep chromosome:AWHD00000000:3:34197547:34198988:-1 gene:ONIVA03G41220 transcript:ONIVA03G41220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRPIKTWVFFSSGGALLFAASQFPPPHREISGLFSFREQRAAIMAAKPEPTQLEKEQMFGMMEKEMEYRVDLFNRLTQTCFDKCIEKRYKEAELNMGENSCIDRCVSKYWQAS >ONIVA03G41220.2 pep chromosome:AWHD00000000:3:34197547:34198988:-1 gene:ONIVA03G41220 transcript:ONIVA03G41220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRPIKTWVFFSSGGALLFAASQFPPPHREISGLFSFREQRAAIMAAKPEPTQLEKEQMFGMMEKEMEYRVDLFNRLTQTCFDKCIEKRYKEAELNMGENSCIDRCVSKYWQAS >ONIVA03G41210.1 pep chromosome:AWHD00000000:3:34191345:34196104:1 gene:ONIVA03G41210 transcript:ONIVA03G41210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLSVCWWPPHFKSPLLENGAAADDGSGVPVFAEYSLDELRVATDGFSPERIVSEHGEKAPNVVYRGTLFSTGRTVAIKRFGRSAWPDSRQFLLCSICISACLAFAASIEWLRSCEEARAVGQLRSVRLANLIGCCCENGERLLVAEFMPHETLAKHLFHWETKPLSWAMRVRAAFYVAQALEYCSSKGRALYHDLHAYRVLFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVIPESVVYSFGTILLDLLSGKHIPPSHALDLIRGKNYLVLMDSCLEGHVSSSDGTELIRLASRCLQYEGRDRPNLKSVVSALGNLQKDASAPSHALLGIQHDKENTERISLSAIAKVYARADLDEVHEMLENDGYCEDERATFEVSFHSWTGQQVSDSILVKKHGDSAFQSKDFATAVECYSRFIDTGVMVSPTMLARRSFVYMVLGKLQEGLADAKKAADISPEWPTAHYLQGMAYLAMGMEPEGHEELKQGAALEAERNAR >ONIVA03G41210.2 pep chromosome:AWHD00000000:3:34191345:34196104:1 gene:ONIVA03G41210 transcript:ONIVA03G41210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLSVCWWPPHFKSPLLENGAAADDGSGVPVFAEYSLDELRVATDGFSPERIVSEHGEKAPNVVYRGTLFSTGRTVAIKRFGRSAWPDSRQFLEEARAVGQLRSVRLANLIGCCCENGERLLVAEFMPHETLAKHLFHWETKPLSWAMRVRAAFYVAQALEYCSSKGRALYHDLHAYRVLFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVIPESVVYSFGTILLDLLSGKHIPPSHALDLIRGKNYLVLMDSCLEGHVSSSDGTELIRLASRCLQYEGRDRPNLKSVVSALGNLQKDASAPSHALLGIQHDKENTERISLSAIAKVYARADLDEVHEMLENDGYCEDERATFEVSFHSWTGQQVSDSILVKKHGDSAFQSKDFATAVECYSRFIDTGVMVSPTMLARRSFVYMVLGKLQEGLADAKKAADISPEWPTAHYLQGMAYLAMGMEPEGHEELKQGAALEAERNAR >ONIVA03G41200.1 pep chromosome:AWHD00000000:3:34177039:34181235:-1 gene:ONIVA03G41200 transcript:ONIVA03G41200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLLVVVGSSTAAWVDVPAGSRVQVVQVACGARRPATTSMAVRCVGGGGGETGPVLSKASDDDDDNVRACMDLLLSPPPSLPAQSAFLGGSFLFLLVHDAFLSQIEPSMCFLGSGVEQVRFLGFFLVSACTRCVPEPDRTKYMCFLGSGVKRVRVAFLHLQGSCMNNVFPAWTTRSVLLALLLFQRKLIKIVIRLGEMTARLLERVHARPGCTDSDKLEMSLQLTSLREQCARLLEAVEAGEIAGHAAARLLGSYHSKLRVLLAVHGGGHLDAAAAPPLAVPPPGGQIDPRLLANFGIYAFELPTNWVDRSLIASMMLTLDCAMDEAKDFMNVTDEQDDGKA >ONIVA03G41200.2 pep chromosome:AWHD00000000:3:34180077:34181235:-1 gene:ONIVA03G41200 transcript:ONIVA03G41200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLLVVVGSSTAAWVDVPAGSRVQVVQVACGARRPATTSMAVRCVGGGGGETGPVLSKASDDDDDNVRACMDLLLSPPPSLPAQSAFLGGSFLFLLVHDAFLSQIEPSMCFLGSGVEQVRFLGFFLVSACTRCVPEPDRTKYMCFLGSGVKRVRVAFLHLQGSCM >ONIVA03G41200.3 pep chromosome:AWHD00000000:3:34177039:34177589:-1 gene:ONIVA03G41200 transcript:ONIVA03G41200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTARLLERVHARPGCTDSDKLEMSLQLTSLREQCARLLEAVEAGEIAGHAAARLLGSYHSKLRVLLAVHGGGHLDAAAAPPLAVPPPGGQIDPRLLANFGIYAFELPTNWVDRSLIASMMLTLDCAMDEAKDFMNVTDEQDDGKA >ONIVA03G41190.1 pep chromosome:AWHD00000000:3:34172444:34176837:-1 gene:ONIVA03G41190 transcript:ONIVA03G41190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLVITPIGRAGDLSGDLSSSAAAAWYQSSTAMRACCYYQPLRPPCPSIPLGHKPQQHHLFLSSSSRSKDVKLRAILDEDRLTGARPWYSLFGMSFSDLYDHFPESVWDICEDSPADAIEKKEHKGWMQLRNAMNNRYIRALDTNFDESFVDSIVFDKTLYKYAILGCKVNADGIMNEGIISSSERNKIIHALERIEDDIEIGKFKWRDGADVHTSIVEALADMIGDQAKGLAVESKCDSCLMILETWSKNSIDHIMTQLKQLQAALVLLAIKNDGFVLPGEKEIEGTSLLMRIVKALDSDASKLRNCLGGICSTDGVIFMRLSSPEDYTLSKLSYIAWFANSINYRIPNLLRQLLEKVLSLRNAETAIYETTLGKLSSIEQMRRHGDVAISKCLNLRFGKACGSLQTCEIEDAKHHLFSSTKSVVEILDLSIQLVKSISFDMEKAQNCLPRGYDDVMRFAHFLTTKGIDSVTAYALVHLCLDKQLQPSELTLDKHELKQIDFHCERAHYLLEYKGSIFDDSTDLDACKQMLKWCSKLRIDPAATICS >ONIVA03G41180.1 pep chromosome:AWHD00000000:3:34169698:34171205:1 gene:ONIVA03G41180 transcript:ONIVA03G41180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPSPAVEGGAAAAAAGHGGRERHVLLFPLPYQGHINPMLRLAGVLRARGFAVTVFHTHFNAPDAARHPEHRFVAVPDGMSGRPPPVSVGDVVKHIRALNAACEAAFRDRLAGVLAEYSRDAVACLVADAHLLRMVEVARRLAVPTLVLRTGSAACFASFLAYPLLCERGYLPSSSQLDMPVSELPPYRVRDLMHIGMDGHELMCELLACAVAAVKLSSGLILNTFDALEAHELAKLRRDLAVPVFDIGPIHRFSPAADGSLLHQDRSCLAWLDAQAAESVLYVSFGSLASMGARELVETAWGIAGSGVPFLWVVRPGLVRGCAAPGEPTRLLLPEGFEAATRRRGVVVAWAPQEEVLRHRAVGGFWTHSGWNSTTESLAEGVPMLCRPSFGDQMGNARYVEHVWKAGFEVGGELERGAVEAAIRRLMAESDGGEMRARARELKKAAAECTGKPGSSEMAIVKMVTHMLSL >ONIVA03G41170.1 pep chromosome:AWHD00000000:3:34151642:34166705:1 gene:ONIVA03G41170 transcript:ONIVA03G41170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRGGGGAATEGDAAAGGGHSPSTVFVSNLPCTFKSADLEAVFSEVGPVRRCFMVAPKDAERSIQQKDGFSVAGRKIRVKLATHRAPLKERLQKKENAMQAKDADVTNEAKDADATNEAQDADATNEEDDTSTAKHKETSHKKDAEPLQLLKKDITVSKEVSIFNTDKVKSSEKQRIAKTVIFGGLRDFAMASEVFRLAGEIGTVVSVNYPLPKEEMELHGLERDGCTTDAAAVLFASVTSAWDSVVHLHRKEVKGAVVWARQLGGEGSKIRKWRVIVRNLPFKITVKEIMDMFSLAGFVWDVSIPQKSDNGTSKGFAFVSFTRKQDAENVWSVPCSAIKNVNGKVVAKRTVAVDWAVPKKVYTVAAAKSSSKDDELVNVSDKGSDEESEDNLVGEDDSYELDQEASNRPADDDFETEIDISRKVLENLIKSSEKAEPSGNEGSDVDTDTETEQDTSEKKQKQTHLPASVPAADKLENSKRVAEEENTLPASKFKKQDAGLDRTIFISNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTAEAADAAVSAANAAPGLGIFIKSRALKIMKALDKESAHKKELEKSKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPIIRKVNILKNEKKSNSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALENIEKVRLQKIWKERRDKLREGAQDKARPLGDHSATDGPQANNTRAFNKGHKRKTHDRSSKLSNSGKGSAEDLSTAGDGGSGIMESMVEDKRKAQRPAKRARKPNKVSDGDRQDATPPTADGNQTLSSKHNQAGTPTKRKNRKDSHSEQRRGKAPKTKKEPAGEGGVDKSLVEQYRSRFLQHDFPIRDVNFEIIIVDDGSPDGTQDIVKQLQQVYGENRVLLRARPRKLGLGTAYLHGLKHASGDFVVIMDADLSHHLLEISETLEQSPQQLDSYQRYYTDKKKQKETGADVVTGTRYVQNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRNVLEDLISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGTSKLGGSEIVEYLKGLVYLLLTT >ONIVA03G41170.2 pep chromosome:AWHD00000000:3:34151642:34168672:1 gene:ONIVA03G41170 transcript:ONIVA03G41170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRGGGGAATEGDAAAGGGHSPSTVFVSNLPCTFKSADLEAVFSEVGPVRRCFMVAPKDAERSIQQKDGFSVAGRKIRVKLATHRAPLKERLQKKENAMQAKDADVTNEAKDADATNEAQDADATNEEDDTSTAKHKETSHKKDAEPLQLLKKDITVSKEVSIFNTDKVKSSEKQRIAKTVIFGGLRDFAMASEVFRLAGEIGTVVSVNYPLPKEEMELHGLERDGCTTDAAAVLFASVTSAWDSVVHLHRKEVKGAVVWARQLGGEGSKIRKWRVIVRNLPFKITVKEIMDMFSLAGFVWDVSIPQKSDNGTSKGFAFVSFTRKQDAENVWSVPCSAIKNVNGKVVAKRTVAVDWAVPKKVYTVAAAKSSSKDDELVNVSDKGSDEESEDNLVGEDDSYELDQEASNRPADDDFETEIDISRKVLENLIKSSEKAEPSGNEGSDVDTDTETEQDTSEKKQKQTHLPASVPAADKLENSKRVAEEENTLPASKFKKQDAGLDRTIFISNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTAEAADAAVSAANAAPGLGIFIKSRALKIMKALDKESAHKKELEKSKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPIIRKVNILKNEKKSNSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALENIEKVRLQKIWKERRDKLREGAQDKARPLGDHSATDGPQANNTRAFNKGHKRKTHDRSSKLSNSGKGSAEDLSTAGDGGSGIMESMVEDKRKAQRPAKRARKPNKVSDGDRQDATPPTADGNQTLSSKHNQAGTPTKRKNRKDSHSEQRRGKAPKTKKEPAGEGGVDKSLVEQYRSRFLQHDFPIRDVNFEIIIVDDGSPDGTQDIVKQLQQVYGENRVLLRARPRKLGLGTAYLHGLKHASGDFVVIMDADLSHHLLEISETLEQSPQQLDSYQRYYTDKKKQKETGADVVTGTRYVQNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRNVLEDLISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGTSKLGGSEIVEYLKGLVYLLLTT >ONIVA03G41160.1 pep chromosome:AWHD00000000:3:34148093:34150635:1 gene:ONIVA03G41160 transcript:ONIVA03G41160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G13440) TAIR;Acc:AT3G13440] MAGGDSAAVSAVEGRLSELSTNSDEKGLPRRGKSLSGRTLNTAQIQLVASHPEVYEPCDDSFALVDALLSDKAQLLTLKPRLCMEIGCGSGYVITSLAIMLRQLGSGTQYLATDINQHAVETTQATLEAHGVHADVIATDIVSGLEKRLHGLVDVVVVNPPYVPTPEEEIESNGIASSWAGGINGRQVIDRILPAVRELLSERGWLYMIALEDNDPLDICHLMNEKGYASRVLLKRCTEEESLYVLKFWQDASTGTNASHAARSPRSESSWLSQLPFRSLWHKNA >ONIVA03G41150.1 pep chromosome:AWHD00000000:3:34144115:34148004:-1 gene:ONIVA03G41150 transcript:ONIVA03G41150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 2 [Source:Projected from Arabidopsis thaliana (AT1G74850) TAIR;Acc:AT1G74850] MALVSTTATVASPSYHYCDLLFPPARRWCCRARRRGGGGGRVAVVAARAPREAAAAAVVVENGGHSYEVESLIDRLSNLPPRGSIARCLETARHRLTLQDFAAVYREFSRRGDWQRSLRLFKYMQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFHDLPSESRTALSYTSLIAAYARNALHEEARELLDQMKASGVAPTAATYNTVLAACARATDPPVPFDMLLGLFAEMRHDPSPSVRPDLTTYNTLLAAAAVRALSDQSEMLLRTMLEAGVLPDTASYRHIVDAFAGAGNLSRVAELFAEMAATGHTPDPSAYLGLMEAHTQVGATAEAVAVLRQMQADGCPPTAATYRVLLDLYGRQGRFDGVRELFREMRTTVPPDTATYNVLFRVFGDGGFFKEVVELFQDMLHSEVEPDIDTCENVMVACGRGGLHEDAREVLDYITTEGMVPTAKAYTGLVEALGHAAMYEEAYVAFNMMTEIGSLPTIETYNALANAFAKGGLFQEAEAIFSRMTNNAAIQKDKDSFDALIEAYCQGAQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEELQSMVTVPSIIAYCMMLSLYARNDRWTDAYDLLEEMKTNCASSTHQVIASMIKGEYDDDSNWQMVEYVLDNSNLEGCDYSLRFFNALLDVLWWFGQKGRAARVLDQALKYGLFPELFRDTKLVWSADVHRMSVGGSLVAVSVWLNKLYDRLKGDEDLPQLASVVVFSLIARRGQMEKSTVTRGLPIAKVVYSFLNDTLSSSFHYPKWNKGRIICLKSQLKKLQAAIDSSNGAAISGFVPMTNSHLPSPGSKLYTRESQADNGSAHLTDETLVEEKESELLALCDVIWPNKGR >ONIVA03G41140.1 pep chromosome:AWHD00000000:3:34136099:34141814:-1 gene:ONIVA03G41140 transcript:ONIVA03G41140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEARCTAVAGDVAEAAAGGEQRWQSGGMGAPGPAESGAGSSSPPHRYGEPKHQMVPALREMITEVIKKSSIEKLFMAIEPLIRRMVKEEIESAFANHAIMMARTVMDVVPPTSKNFQLQFMTKLSLPIFTSSKIEGESSLSITIALVDTVTREVVASGDESLMKVEIVVLEGDFEGGEGDDWTAQEFNNNIIRAREGK >ONIVA03G41130.1 pep chromosome:AWHD00000000:3:34131386:34134704:-1 gene:ONIVA03G41130 transcript:ONIVA03G41130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKQEELQPHAVKDQLPAISYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVIQTLLFVAGINTLIQSFLGTRLPAVIGGSYTFVTPTISIILAGRYNGIADPHEKFVRIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQIILLVALSQYIPKLVPLLGTAFERFAIIMSVALVWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLGGLFGTANGSSVSVENAGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGVGFLQFCNLNSFRTKFIVGFSVFMGLSVPQYFNEYTSVAGYGPVHTHARWFNDMINVVFSSKAFVGGAVAYLLDNTLQRHDSTARKDRGHHFWDRFRSFRTDPRSEEFYSLPFNLNKFFPSF >ONIVA03G41120.1 pep chromosome:AWHD00000000:3:34127257:34129920:-1 gene:ONIVA03G41120 transcript:ONIVA03G41120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLSFLETSALEALNVEKAFQTILKDIHQIISKKALAAQEAAGSGPPTQGTTINVTDSAVNTKRGCCSS >ONIVA03G41120.2 pep chromosome:AWHD00000000:3:34127255:34129920:-1 gene:ONIVA03G41120 transcript:ONIVA03G41120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLSFLETSALEALNVEKAFQTILKDIHQIISKKALAAQEAAGSGPPTQGTTINVTDSAVNTKRGCCSS >ONIVA03G41100.1 pep chromosome:AWHD00000000:3:34108879:34111152:-1 gene:ONIVA03G41100 transcript:ONIVA03G41100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRGGGHGEAAVDGGDERWVEDSSVDYQGRPPLRAATGSWKAAIERLSYFGLATSLMIYLTKVLQEEMKFAAKNVNYWTSVTTLMPLVGGFLADGYLGRFSTVLFSTLIYLSGLILLAISQLSPRLKPERNLHLHETLFFVAIYLVSVGTGGHKPALESFGADQFDDGHAAERVQKMSYFNWWNCALCAGVLLGVTVIVYLQEKVGWGAAAVVLATVMAASLAVFLAGWRHYRYRVPEGSPLTPLVRVLVAAARKRHLHLPADANELYEVKPQNIKRRLLCHTDQLRFLDKAAVVEHDGGEERRGAWRLATVTQVEETKLVLAMVPIWVATLPFGITAAQVSTFFIKQGSVMDRRMGPHFTLPPASTFAMAAIGMIVAVAVYDKVLEPYLRRLTGGERGLSILKRIGVGIAFTIVAMAVAATVERRRLRSASPASMSVFWLVPQFLLMGIGDGFALVGLQEYFYDQVPDSMRSLGIGLYLSVIGAGSFLSSQLITAVDRVTSHGGAAAGWFGKDLNSSRLDLFYWLLACIGVANLVFYIVVATRYSYKTVMAGGKVVDDKAGDIECAAAAAAAAY >ONIVA03G41100.2 pep chromosome:AWHD00000000:3:34108879:34111152:-1 gene:ONIVA03G41100 transcript:ONIVA03G41100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRGGGHGEAAVDGGDERWVEDSSVDYQGRPPLRAATGSWKAAIDVFVVVFLLDLGVNLIDWLNEVIEFSERLSYFGLATSLMIYLTKVLQEEMKFAAKNVNYWTSVTTLMPLVGGFLADGYLGRFSTVLFSTLIYLSGLILLAISQLSPRLKPERNLHLHETLFFVAIYLVSVGTGGHKPALESFGADQFDDGHAAERVQKMSYFNWWNCALCAGVLLGVTVIVYLQEKVGWGAAAVVLATVMAASLAVFLAGWRHYRYRVPEGSPLTPLVRVLVAAARKRHLHLPADANELYEVKPQNIKRRLLCHTDQLRFLDKAAVVEHDGGEERRGAWRLATVTQVEETKLVLAMVPIWVATLPFGITAAQVSTFFIKQGSVMDRRMGPHFTLPPASTFAMAAIGMIVAVAVYDKVLEPYLRRLTGGERGLSILKRIGVGIAFTIVAMAVAATVERRRLRSASPASMSVFWLVPQFLLMGIGDGFALVGLQEYFYDQVPDSMRSLGIGLYLSVIGAGSFLSSQLITAVDRVTSHGGAAAGWFGKDLNSSRLDLFYWLLACIGVANLVFYIVVATRYSYKTVMAGGKVVDDKAGDIECAAAAAAAAY >ONIVA03G41090.1 pep chromosome:AWHD00000000:3:34101293:34101634:-1 gene:ONIVA03G41090 transcript:ONIVA03G41090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRSLDPPPPPQSGRAPLQAIEAILPQGGAGAVNSATAVRFSCCGSRGLENGEEPPDRRATPLHRQILESLATGWNQLVDAEAVKMGDVCVFRFNDSDDELTLEVHVLS >ONIVA03G41080.1 pep chromosome:AWHD00000000:3:34096564:34097058:-1 gene:ONIVA03G41080 transcript:ONIVA03G41080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKKEVADAAAGSSSDTILLISSDGEHFNVPSAAASLSQLVSNMIEDDCTTNGVPLPNVASKVLAKVIEYCIKHAAAGEEEEKDLKSFDAEFIDVDKNMLYDLLLASNFMNIKSLLDLCCQHTANLIKGKSPEQIRKEFGIKNDFTPEEEEEIRKENTWAFE >ONIVA03G41070.1 pep chromosome:AWHD00000000:3:34089289:34095863:1 gene:ONIVA03G41070 transcript:ONIVA03G41070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G20940) TAIR;Acc:AT4G20940] MGILGSFLVLLLLAAPAFGQLPSQDILALLAFKKGITHDPAGFITDSWNDESIDFNGCPASWNGIVCNGANVAGVVLDGHGISGVADLSVFVNLTMLVKLSMANNNLSGSLPSNVGSLKSLKFMDISNNRFSGPIPDNIGNLRSLQNLSLARNNFSGPLPDSIDGLASLQSLDVSGNSLSGPLPSSLKGLRSMVALNLSYNAFTKGIPSGLGLLVNLQSLDLSWNQLEGGVDWKFLIESTVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSHNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLQVINLSSNALFGDLPMLAGSCTVLDLSNNKFKGNLSVIAKWSNDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSHNSLADTIPEAVVQYPKLTVLDLSSNQFGGPIPANLLTSSMLQELYIHDNMLSGGLSFPGSSSKNLSLQVLDISGNHFNGSLPDEIASLSSLQALDISTNNFSGPLPASITKLAALTALDISINQFTGSLPDALPDTLQSFNASYNDLSGVVPVNLRKFPESSFHPGNSRLDYPASSSGSGSSSGSAGGRSLSAAAKIALIAASIVALVILILVAIVCHYKQISRQFPSSEKVSDKNLHKTSKDMASTKGKDDKGGLVVSADELGAPRKGSTSEALSQEEKLSGVGGFSPSKGSRFSWSPDSGEAYTQEGLARLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFSKEAKKFANIRHPNVVGLRGYYWGPTAHEKLILSDYVSPGSLASFLYADRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGGVDLTDWVRLRVAEGRGSDCFDPAMASDSENQVSVKGMKDVLGIALRCIRPVSERPGIKSVYEDLSSI >ONIVA03G41060.1 pep chromosome:AWHD00000000:3:34069319:34069717:1 gene:ONIVA03G41060 transcript:ONIVA03G41060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRSISYCISLPQMGSLGMSAEYAAARLHLGEFRMPPPRQQQQQQGQTVLDFSQDTHGAGAGGGSGVFGACSAGLQESAVGMLLFPFEDLKPVVSAVAGDTNSGGDHQHDDGKNQGGDGGVISGHETTGF >ONIVA03G41050.1 pep chromosome:AWHD00000000:3:34065278:34069199:1 gene:ONIVA03G41050 transcript:ONIVA03G41050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEPAINDGIEAPGNNGQACEPNELARADGDNRQTGMPRRFGWWWRRGDGHEDDSDDEEGGAYDGNSTGEQALVVLVVSSVAASASTSAAVSGSVPVGLAAKNLKLMHEGAQDLNLAFPQHHGHAL >ONIVA03G41040.1 pep chromosome:AWHD00000000:3:34053832:34055305:-1 gene:ONIVA03G41040 transcript:ONIVA03G41040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTLVSKLRIPAAASRRTPPTFRSFSSASQELGSTAARATAKVVYPYVGHKAIFEPAIARQNRYRWWLTFLRLIRNYVALNAAFRASSHVQKPE >ONIVA03G41030.1 pep chromosome:AWHD00000000:3:34041684:34043350:1 gene:ONIVA03G41030 transcript:ONIVA03G41030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLAAQELDKGFAGVASWRSEKTMVAVVFVAVAVPVSLLIWTAAGLLSTSRVCAVSDAIPAASLKMDGSGAFGVLSMATRACGGGSGAAGLGSLLGQSDGGGCGVSGTDGVGCKSCCCSCSLLVESPHASAEALGGKLVGWLLRLLLIEALCGNIILSISGVAYLCQIVTRQGFSRRHPNSCMFKASWTMETFFRSPCSSIQVDDSIRVEYRQSSRLLSIGLQSVLLIFDGELLDNILLSPVKLKKNLRLNNKPRIAPFPWRQPKGSPVYQAVCTSSVEARGRGRHGIAAVPCRSRSLLLFGLSWRMFFLVQLALPSKWMVLFFMSYLLWRCSGDIISFKLCL >ONIVA03G41020.1 pep chromosome:AWHD00000000:3:34032647:34036190:-1 gene:ONIVA03G41020 transcript:ONIVA03G41020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMASLALKPSASPLLERSQLRGKGTMARPSLIIVAKKAKKIQTSQPYGPAGGVVFKEGVDASGRVAKGKGLYQFSNKYGANVDGYSPIYTPEEWSSTGDVYVGGKAGLLLWAITLAGILVGGAILVYNTTSVMASCALKPSPSPFLEQTRIRAIQPSSRPSLFRVMAKKAKKIQTSQPFGPGGGLNLKDGVEGVYQFASKYGANVDGYSPIYNPEEWSPSGDVYTGGKTGLLLWAVTLSGILLAGALLVYNTSALAS >ONIVA03G41020.2 pep chromosome:AWHD00000000:3:34032647:34033561:-1 gene:ONIVA03G41020 transcript:ONIVA03G41020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCALKPSPSPFLEQTRIRAIQPSSRPSLFRVMAKKAKKIQTSQPFGPGGGLNLKDGVEGVYQFASKYGANVDGYSPIYNPEEWSPSGDVYTGGKTGLLLWAVTLSGILLAGALLVYNTSALAS >ONIVA03G41020.3 pep chromosome:AWHD00000000:3:34035098:34036190:-1 gene:ONIVA03G41020 transcript:ONIVA03G41020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMASLALKPSASPLLERSQLRGKGTMARPSLIIVAKKAKKIQTSQPYGPAGGVVFKEGVDASGRVAKGKGLYQFSNKYGANVDGYSPIYTPEEWSSTGDVYVGGKAGLLLWAITLAGILVGGAILVYNTSALA >ONIVA03G41010.1 pep chromosome:AWHD00000000:3:34029517:34032066:1 gene:ONIVA03G41010 transcript:ONIVA03G41010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESFAWLLLILVLFSILEDVRGSKSKPILINCGSDSTTDVDGRRWIGDSSPKNFTLSLPGTVATAPDSDGKETYGDLYKNTRIFNASSSYKFIVAAAGSYFLRLHFSQLPTNFSTKESLFDVSANGLKLVSKFNVPAEIYLRNSKINSTSRAIVKEYLLNVTSSNLEIEFSPDAESFAFINAMEIVPVSGNSVFDSVNKVGGYGLKGPFSLGDSAVETMYRICVGCGKIESKEDPGLWRKWDSDENFIFSMSAARAISNSSNISYVSSDDSTSAPLRLYETARVTTESSVMDKKFNVSWSFNVDPDFDYLVRLHFCELEYDKAEQRKFKIYINNKTAAENYDVFAKAGGKNKAFHEDFLDAASPQMDTLWVQLGSESSAGPAATDALLNGMEIFKVSRNGNLAHPTVRIGGFNSAMGKPKRSPKWVLIGAAAGLVIFVSIVGVIFVCFYLRRKKKTSANKTKDNPPGWRPLVLHGATTPAANSRSPTLRAAGTFGSNRMGRQFTVAEIREATMNFDDSLVIGVGGFGKVYKGEMEDGKLVAIKRGHPESQQGVKEFETEIEILSRLRHRHLVSLIGYCDEQNEMILVYEHMANGTLRSHLYGTDLPALTWKQRLEICIGAARGLHYLHTGLDRGIIHRDVKTTNILLDDNFVAKMADFGISKDGPPLDHTHVSTAVKGSFGYLDPEYYRRQQLTQSSDVYSFGVVLFEVLCARPVINPALPRDQINLAEWALKWQKQKLLETIIDPRLEGNYTLESIRKFSEIAEKCLADEGRSRPSIGEVLWHLESALQLHQGLLQSANTDDLSQPELKLSDASCNLGCIEEVEESCRAGSQDVNEEYVDVKIEVP >ONIVA03G41000.1 pep chromosome:AWHD00000000:3:34022677:34024857:-1 gene:ONIVA03G41000 transcript:ONIVA03G41000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTKTGGEIWDMEKSQSPRMGSVILGVDGGAGNTVCVCIPAAMPFADPLPVLSRAVAGCSNHNSVGEDKARETLERVMAQALLKARRRRSNVCAVCLAVAGVNHPIDQQRMLDWLREIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTRDGREARAAGAGPVLGDWGSAYGISAQALTAVVRAYDGRGPETALTNSILDFLGLASPDELIGWTYEDQSWARIADLLPVVVESAEAGDEVANKILHNSVGELASSVKAVVQRLELSGEDGKDHFPLVMVGKVLMANKRWDIGKEVIDCVTKTYPGAYPIHPKVEPAVGAALLAWNAVASELDGSPRTVA >ONIVA03G40990.1 pep chromosome:AWHD00000000:3:34016634:34026704:1 gene:ONIVA03G40990 transcript:ONIVA03G40990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQPVLDERMDPFTGRMIIPASTCQSRCTAHNDLSLFSGPLIDRRSSSSISEFNSEAEKFNDLEVPPPWLLSYHRSCDIGSNFEAFATVMSRLRQHLLDANVEINYTEYLDLMKLEVEQHLNKLKEDIRFLKSHSLVHDGDANGSCPMVCHHGKLVEIYEGFNGFKLLLVVVFRQIKEMLSLFSASIRDLQWEHEMQLEVTSIMIGDCIKSLQDELERKLYEQSSIVNTLKKNWKETVVQCGAIREELIDIADMLLPSEEESNILNSKHEHFGNWSSGWKHKFFGKKSGEERTPSSNEENISSATQKSVCPREVISEKSDFRHLKGMNREEMIKYFRFEISKLKRLHELSLQEKTEELFKFKREKGSLALKYDPEFEPLRKKVPEIISRVDQIILNTINAPTAFSTNQVLEERGRLTGRIDSLYYANQNLRGLLAEKMKDIKDLSRQVSDASRKMSFQLSLEEKLSRQLHKIKGDYEDLHIQSTIRDEVYQTVTKRMFDDYRNSLQDPALTYQEKVTSLEAALSEKETALRLANEENQRLKEKLSKQEKEHGIQNNQDYPELIKQDNEEMILRDIEMEPHVSPRRSYAISEQNAEYEELIKLKQTLEIASTALKEVESNELDYNGILGKNEQEKQLEFILVSIMDLSKEFVQIENKMSGDMKGSEKGPEILGYQCKHMVQQALVLTKKGLWYKQMLDTRRSQLRKAEAEVDVLGNKVSTLLSLVQKIYVTLEHYSPVFQQYPGLLDAFLKTCKLVAGLRSKQKEDLQDTS >ONIVA03G40980.1 pep chromosome:AWHD00000000:3:34010229:34014060:1 gene:ONIVA03G40980 transcript:ONIVA03G40980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVR3] MASALGAQASVAAPIGAGGYGRRSSSSKGSNTVNFCNKSWIGTTLAWESKALKSRHMNKIFSMSVQQASKSKVAVKPLELDNAKEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPTKNGICSNFLCDSKPGDKVQITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMEDVPSFKFGGLAWLFLGVANTDSLLYDEEFTNYLQQYPDNFRYDKALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWEQKLSQLKKNKQWHVEVY >ONIVA03G40970.1 pep chromosome:AWHD00000000:3:34001876:34002367:-1 gene:ONIVA03G40970 transcript:ONIVA03G40970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSALFLAFVLLAVFLAALPFAESSGRHHHHHHSHLHGRGTSKSRGIERYLLSWSDFLLGSGAKLKEKYLGFFSGEGERGGGEARSLAAKGAAAAWPCCDNCGGCTKSIPPQCQCMDARPAGCHPACKSCVKSSLSVSPPVYQCMDRIPNLCQRRCTAAAR >ONIVA03G40960.1 pep chromosome:AWHD00000000:3:33992237:33997766:-1 gene:ONIVA03G40960 transcript:ONIVA03G40960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59250) TAIR;Acc:AT5G59250] MAAKVGLFPSSSLTTSPHAIAAAPTNAPRPRGARGLASPGRSAAAMDAFHVSSQAAEPLLRTTGAAAAAAAAATATSNPRLRVRNPDPNPPRPNYSRRRFFYPGARCGHMRRAARRPPAVAPEMARPGRSSRGRPSSFRLLFGYDIGATSGACISLQSAELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIMGRLLYGIGIGLTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >ONIVA03G40960.2 pep chromosome:AWHD00000000:3:33992237:33997766:-1 gene:ONIVA03G40960 transcript:ONIVA03G40960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59250) TAIR;Acc:AT5G59250] MAAKVGLFPSSSLTTSPHAIAAAPTNAPRPRGARGLASPGRSAAAMDAFHVSSQAAEPLLRTTGAAAAAAAAATATSNPRLRVRNPDPNPPRPNYSRRRFFYPGARCGHMRRAARRPPAVAPEMARPGRSSRGRPSSFRKIASLSISLSAELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIMGRLLYGIGIGLTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >ONIVA03G40950.1 pep chromosome:AWHD00000000:3:33984273:33986378:-1 gene:ONIVA03G40950 transcript:ONIVA03G40950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVLAVLRWRQRRWLVELVALLLLLARGGAAAVDEFLFPGFSGDGVATSGAAAVTSTGLLQLTNETKEVFGHGFYPKPVSLRNASTGAAASFSTTFVFAIVPKYPDAHGHGLAFALAPSVAVPGAVAGKYLGLFNTSDSTGQIKNKIVAVELDTARDDEFADIDDNHVGIDDNSLKSVNSSPAGYHDAATGGKLASVNLIGGEPLQVWIEYDGDSAWLEVTVSPAGMLRPAAPLVSCTVNLSSAVAGDTYVGFSAANGAAASSHYVLGWSFRLGGGGRAQDLDLAKLPRLPSPSKPKKTLPPLIILTILLLSVVILLLAAAAVAALVVRSRRYAEEEEEWEIEYGPHRISYKDLHGATKGFRDVIGAGGFGSVYHGVLPRSGVEVAVKKVSHDSRQGLREFVSEIASMSRLRHRNLVQLLGYCRRRGELVLVYDYMANGSLDKHLFAGGERPALSWEQRGKIVRDVAAGLLYLHEGWEQVVVHRDIKASNVLLDADMNGKLSDFGLARLYDHGANPQTTRIVGTLGYLAPELSKTGKATTSTDVFAFGAFLLEVACGRRPMEFTVDDDSPGLVELVLEHWKAGEITAARDPRIGDCDEDDLEVVLKLGLLCSHPDPRRRPSMRQVVQILEGAAPAPETLPEDLECGVGQFYDESFDEFVTGFPSTSEITTSTTQSTDEQQRLVGCVQLSTADFLKTT >ONIVA03G40930.1 pep chromosome:AWHD00000000:3:33974479:33977917:1 gene:ONIVA03G40930 transcript:ONIVA03G40930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGGGPDWNGLLKWSLAHGDGTAKPRALSEEDKKWFMEAMQANTMDVVKRMKEITQVMKTPDDVLQSQGVTPENIEDMLDELQEHVESIDMANDLHSIGGLDPLLGYLKNSHAGIRAKAAEVVSTIVQNNPKSQQLVMESNGLEPLLTNFSSDASTNSRTKALGAISSLIRHNQPGVAAFRLGNGYSALRDALGSDDARLQRKALHLLQYLLHDNKADRSVATELGLPKLMMHLASSDDSGVREAALGGLLELARDNTSGAGNALPDQDKLKDVLKSRIEGISTMDADDLSAHREERQLVDSLWKECYNEPSSLREKGLVVLPGEDAPQQPPPDVVGSMFEPPLRAWAASRPPPKEDSESESAKKDPPLLLGPGPSS >ONIVA03G40920.1 pep chromosome:AWHD00000000:3:33964043:33964312:1 gene:ONIVA03G40920 transcript:ONIVA03G40920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMGHGEEVAAAMEEEELQGWETPRREECRIPVVPPQCPAPPRKRPVALPELGKERREPPKGGYFQPPDLESLFVLAPPRRQASSCA >ONIVA03G40910.1 pep chromosome:AWHD00000000:3:33962149:33963178:-1 gene:ONIVA03G40910 transcript:ONIVA03G40910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWNGSGAYLFGKWAPSLLSPEYDQAVAGGVTRGARPVRRRAMRKWMPAWRDREAAACTGGAHAMHDRMMIKLGHKPTNYRKSIHCLPDTKA >ONIVA03G40900.1 pep chromosome:AWHD00000000:3:33959982:33961855:1 gene:ONIVA03G40900 transcript:ONIVA03G40900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G13830) TAIR;Acc:AT5G13830] MSGAGGTADFFYREAQRLGYVARSAFKLIQIQKQHKLIAPGAAVLDLGCAPGAWLQVACQNLGPLEKGGVIVGVDVKERGFSVILSDMCPVVSGITTKDAAISCELGMRALSLAVGKMKAKDSDCIAILEKFQSSTEPDPDEDGILRRGGSLVIKFLENEDIPGYDQQ >ONIVA03G40890.1 pep chromosome:AWHD00000000:3:33957518:33959801:-1 gene:ONIVA03G40890 transcript:ONIVA03G40890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAARPTVLVTGAGGRTGNIVYNKLKERSDQFVVRGLVRTEESKQKIGGGNDVYIADIRDRDHLVPAVQGVDALIILTSAVPKMKPGFDPSKGGRPEFYYEDGMYPEQVDWIGQKNQIDTAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKSEQYLADSGVPYTIIRPGGLQDKDGGVRELIVGNDDELLQTDTKSIPRADVAEVCVQALQYEETKFKAFDLASKPEGTGTPTKDFKSLFSQVTARF >ONIVA03G40880.1 pep chromosome:AWHD00000000:3:33955922:33956937:1 gene:ONIVA03G40880 transcript:ONIVA03G40880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQDGGSLIWTWVIQRTVQARECQMMPTLILEGCILFDLNLMNWIFGLFKSIFVNDMSTCMYLVLIELGASTQ >ONIVA03G40870.1 pep chromosome:AWHD00000000:3:33939419:33941571:-1 gene:ONIVA03G40870 transcript:ONIVA03G40870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPRVLVLVVATVVALQVSPAAGRIPGAYGGGEWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNSGQSCGACFEIKCVNQPGWEWCHPGSPSILITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLHIAEYRAGIVPVSYRRVPCRKKGGVRFTINGFRYFNLVLITNVAGAGDIVRASVKGTSTGWMPMSRNWGQNWQSNSVLVGQALSFRVTGSDRRTSTSWNAAPAGWHFGQTFEGKNFRV >ONIVA03G40860.1 pep chromosome:AWHD00000000:3:33933386:33938666:1 gene:ONIVA03G40860 transcript:ONIVA03G40860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARNREPLGCSQGVVLKMGVGLVAAVVEPLQLPCLQLRKLNSANVKIFSFSDLRIATRNFRPDSVLGEGGFGSVYKGWIDENTLSACKPGTGIAVAVKRLNQESLQGHREWLAEVNYLGQFCHPNLVKLFGYCLEDEHRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAYLHSSEAKVIYRDFKTSNILLDTDYSAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDVYSFGVVLLEMMSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDTRLEGQYSHVGAQTVATLALECLSYEAKMRPSMEAVVTILEELQESSHVDRKPAAERRQESTTGTGKKAPTANASKNSGKPRRKSLGETREKIGPNPTALVRSH >ONIVA03G40850.1 pep chromosome:AWHD00000000:3:33928240:33931700:-1 gene:ONIVA03G40850 transcript:ONIVA03G40850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39630) TAIR;Acc:AT2G39630] MAAAGWPLSSSVADLLPASLSLTLLLASLVHPLPPSAPFLLRLLALLIPSPRPSRAQVVVVVLGAAAFFFEHIRKIGCTHSLERTEVSAAFFEDPNSLNKVRCPSIYDPAEKYISLIIPAYNEEHRLPEALTETLNYLKQRSAVEKSFTYEVLIVDDGSTDHTSKVAFEFVRKHKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVRALAKKAESSLAPSNSPSQRLSDAEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLRIPMAEVSVNWTEIPGSKVRMTSILHMVHVMEKVGSWI >ONIVA03G40840.1 pep chromosome:AWHD00000000:3:33925527:33927335:-1 gene:ONIVA03G40840 transcript:ONIVA03G40840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVSSPSAPRLSPSAARAAEQHCLRLLAASSTPTSLLQSVAFLLKSGLHANSLVLTRLFAASASAAPALLDPLVAALLRPSVPLDAFLANTLIRAHATSPIHSLRLRAAAFFPLMLRGAVVPNKFTFPFLLKACAALPGSPDVGLQAHAAALKFGFATDQYVSNTLIHMYSCFGGGFLGDARNVFDRMPKESAVTWSAMIGGYVRGGLSSDAVELFREMQANGVQADEVTVIGVLAAATDLGALELARWVRRFVEREGIGKSVTLCNALIDTLAKCGDVDGAVAVFEGMQQRSVVSWTSVIDALAMEGRGKEAVRVFEEMKVAGVPPDDVAFIGVLTACSHAGMVDEGCGYFDAMKVEYGIEPKIEHYGCMVDMFGRAGMVERAMEFVRTMPIQPNPVIWRSLVSACRAHGRLELGESITRSLLHEYPAHEANYIMLSNVFALTQRWKEKSEIRREMSKRGIKKVPGCSIVELDGEVHEFIAGDESHPQYKDIYRMVEEMARELRRVGHIAATSEVLLDLDEEDKEGALQWHSEKLAIAFALLRTPPGTQVRVVKNLRVCSDCHAAIKCISQVYRREIVVRDRSRFHRFKDGSCSCKDFW >ONIVA03G40830.1 pep chromosome:AWHD00000000:3:33923754:33924140:1 gene:ONIVA03G40830 transcript:ONIVA03G40830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSFDNYNSVAQVDGVSLPEGADSWKLHELEERLQQALVDIGESVEIVPVLDIRRMEWLARWADVLKEAERQGYGVLDAVRAIADKEIMECDLEIDQLRSFVHSMESLAEDMEYFDSLVNLCPQKI >ONIVA03G40820.1 pep chromosome:AWHD00000000:3:33919688:33920215:1 gene:ONIVA03G40820 transcript:ONIVA03G40820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAVTSAVVGQITNRTISTVGRRLQELSTDIQEFILEVSPKLEKRPGKRMKRSQHIEFDRRTKCSTLTLPIRKLQVSTEKTEESSEPIVMSLHRLLSTQTLPMQNLKISTKKTEETITSEELENTEVLVEDQKELAEMLEQVLICMGRAVKKADERNLDDFKWRTVGSCSAGG >ONIVA03G40810.1 pep chromosome:AWHD00000000:3:33906733:33909949:-1 gene:ONIVA03G40810 transcript:ONIVA03G40810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLACFCCGGGAGGRGGRHVAPAALPSDPAYDEGLGHSFCYVRPDKFVVPFSADDLVADAKAAAAAEGEATTFRAISGAALSANVSTPLSTSVLLLMPEESSASATASSGFESSESFAAVPLQPVPRFSSGPISAPFSGGFMSGPLERGFQSGPLDAALLSGPLPGAATSGRMGGAVPALRRSLSHGGRRLRNFTRALLTRTEKFQDSADLGSPDAAAAAVAACGGDPCGLQWAQGKAGEDRVHVVVSEERGWVFVGIYDGFNGPDATDFLVSNLYAAVHRELRGLLWDQREQNVQHDQRPDQPGSAPSTTASDNQDQWGRRRRTRRSRPPRGADDDQRRWKCEWEQERDCSNLKPPTQQRLRCNSENDHVAVLKALTRALHRTEEAYLDIADKMVGEFPELALMGSCVLAMLMKGEDMYIMNVGDSRAVLATMGSVDLEQISQGSFDGSVGDCPPCLSAVQLTSDHSTSVEEEVIRIRNEHPDDPSAISKDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYVGSSPYISCNPSLFHHKLSTRDRFLILSSDGLYQYFTNEEAVAQVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIVISLEGRIWRSCV >ONIVA03G40800.1 pep chromosome:AWHD00000000:3:33903573:33905005:-1 gene:ONIVA03G40800 transcript:ONIVA03G40800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSVPPGRHSYLAATPLRRLNPSAAAAAVAVAVLTLRRRKLTGTVRWRRRRRRAALFVGLRSIGYGLMAMTIGICK >ONIVA03G40800.2 pep chromosome:AWHD00000000:3:33901568:33905005:-1 gene:ONIVA03G40800 transcript:ONIVA03G40800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSVPPGRHSYLAATPLRRLNPSAAAAAVAVAVLTLRRRKLTGTVRWRRRRRRAALFVGLRSIGYGLMAMTIGIYLLELLTRKMKQCNFV >ONIVA03G40800.3 pep chromosome:AWHD00000000:3:33903904:33905005:-1 gene:ONIVA03G40800 transcript:ONIVA03G40800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSVPPGRHSYLAATPLRRLNPSAAAAAVAVAVLTLRRRKLTGTVRWRRRRRRAALFVGLRSIGYGLMAMTIGIFLSFSV >ONIVA03G40790.1 pep chromosome:AWHD00000000:3:33896093:33897002:1 gene:ONIVA03G40790 transcript:ONIVA03G40790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQARAGSIGEQRRLVQLQFFADAIFQVSSFPLLLQKQARIKWFRFAFKNVCCILFYYRLDLSDDRISSSAHLKCPSYVCERNIFSSIVVESM >ONIVA03G40780.1 pep chromosome:AWHD00000000:3:33885600:33888385:1 gene:ONIVA03G40780 transcript:ONIVA03G40780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPAVYLDPPNWNQQQQQAHHGQLPSGGNGGGGGGGGGGVDAHHHHHHQLPPMPPHHGGLMAPRPDMVAAAVAASGGGGGGGGPTGGTAVRPGSMTERARLAKIPQPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSSKSSSSTSAAGSASATGGTSSSTSSTATGGSSSAAAAAAMMPPQAQLPFLASLHHPLGGGDHYSSGASRLGFPGLSSLDPVDYQLGGGAAAAAAIGLEQWRLPQIQQLPFLSRNDAMPPPMSGIYPFDAEAAADAAGFAGQLLAGTKVPGSSGLITQLASVKMEDSNAQSAAMNSSPREFLGLPGNLQFWGGGNGAGPGGNGDGATGGSGAGVAPGGGGSGGGWADLSGFNSSSSGNIL >ONIVA03G40770.1 pep chromosome:AWHD00000000:3:33878129:33882824:1 gene:ONIVA03G40770 transcript:ONIVA03G40770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKMWPYKVIPGPGDKPMIVVQYKGEEKQFSAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVDAKNSLENYAYNMRNTIQDEKIASKLPADDKKKIEDAVEQAIQWLDNNQLAEVEEFEDKMKELEGLCNPIIAKMYQGAGADMGGGMDDDAPAAGGSGAGPKIEEVD >ONIVA03G40760.1 pep chromosome:AWHD00000000:3:33875273:33876243:-1 gene:ONIVA03G40760 transcript:ONIVA03G40760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLCNC >ONIVA03G40750.1 pep chromosome:AWHD00000000:3:33871731:33875202:-1 gene:ONIVA03G40750 transcript:ONIVA03G40750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G46450) TAIR;Acc:AT3G46450] MASSSKNFQSSSKPGSDRKYQGALVASPAKAISPKTVKQIVPGKHLILSGESTSHLASFLVKVIALEVVRRFSKARCPFIWNSIQALQVLGYPPFRWIQQWAPLKFIVQGIQKLSTPLLFLSVTTTLCDRSSKRNDELGSNTEAPDVPSESDETASTSGIRDVADGTKDTEPDNWLISLFKELEKQGITLPERFNEDELRRFYIAANGDFSSLLSSVKKTIRWRETFHILTLHELEKWSHLVFWHGFDTMLRPCLIVRLGLACSSLAPSDRPRFGQAVVSQIDNGIVYLTNKEDPRITVLLDCHGISPFRFPMQMMRSFITIVQENYPNRLGVLFIVRLPPVVRVIAQTLIQVLKPSTKQKLRFEGESYKKTLAEFLQIVPTFLGGKCSCPQCEKPRNISVIQAGEGSKSQPRQITIDDGSPVASMNFDEAELPSPYSCENAIRAAIIGVLMLWVFIAFLAGMNDPESISSHAP >ONIVA03G40740.1 pep chromosome:AWHD00000000:3:33867282:33869892:-1 gene:ONIVA03G40740 transcript:ONIVA03G40740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVCRCYNESIKEQEQQQEQKQKQKQKQEQEQEQESQQEQEPKPKPSARKAAYRSRSRSRSRSPIRRREHRGHRDLICKNCRRPGHFARDCQSTATCNRCNLPGHFAAECTSETVCWNCKQSGHIATECKNDALCHTCSKTGHLARDCPSSGSSKLCNKCFKPGHIAVDCTNERACNNCRQPGHIARECTNEPVCNLCNVSGHLARNCRKTTISSEIQGGPFRDITCRLCGKPGHISRNCMTTMICGTCGGRGHMSYECPSARMFDRGLRRF >ONIVA03G40740.2 pep chromosome:AWHD00000000:3:33867282:33869892:-1 gene:ONIVA03G40740 transcript:ONIVA03G40740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVCRCYNESIKEQEQQQEQKQKQKQKQEQEQEQESQQEQEPKPKPSARKAAYRSRSRSRSRSPIRRREHRGHRHFAAECTSETVCWNCKQSGHIATECKNDALCHTCSKTGHLARDCPSSGSSKLCNKCFKPGHIAVDCTNERACNNCRQPGHIARECTNEPVCNLCNVSGHLARNCRKTTISSEIQGGPFRDITCRLCGKPGHISRNCMTTMICGTCGGRGHMSYECPSARMFDRGLRRF >ONIVA03G40730.1 pep chromosome:AWHD00000000:3:33861934:33870624:1 gene:ONIVA03G40730 transcript:ONIVA03G40730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVYSDPTDSIDLMGISLANSSSGVAIHDDCKLKFNELQSKRMHRFITFMMDNKGKEIIVDKIGDRTTSYEDFTSSLPEGDCRFAIYDFDFLTAEDVPKSRIFYILWSPDNAKVRSKMLYASSNERFKKELNGIQLEVQATDAGEISLDALKDRVK >ONIVA03G40730.2 pep chromosome:AWHD00000000:3:33861934:33870624:1 gene:ONIVA03G40730 transcript:ONIVA03G40730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANATSGVAVSEECKARFQELRAGRAHRFVVFKIDDAMRQVVVDRVGPRDAGFDELTASLPADGCRYAVYDHDFTVSDATATAAAGEGGEAPRSKIFFVSWSPAAADVRSKMVYASSNEGFKKELDGVQIDLQATDPSELTLDVLKDHTS >ONIVA03G40720.1 pep chromosome:AWHD00000000:3:33859673:33861124:1 gene:ONIVA03G40720 transcript:ONIVA03G40720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHPRDGEVISLSLSLTLGAAADSGERKKPRRGSSPAASGSGDFVCKTCSRAFPSFQALGGHRTSHLRGRHGLALGLAAATAKETTKKVQEKPAAAATHECHICGQGFEMGQALGGHMRRHREEAAAAAAAGRPLATEHRLRQGGCQGKIAIEVIESRSSGGHRAGPNLEVFELGHVRSGIGATTLAVTEESDTRGLGINGASSCQALEGGVRGRPLVAGRGADGEGEKRAGAGEAEDKGIRRT >ONIVA03G40710.1 pep chromosome:AWHD00000000:3:33856161:33856675:1 gene:ONIVA03G40710 transcript:ONIVA03G40710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPRQEEEVSLALALSTDCSSTASDSSAAAAGGAARRKRARRRSVVATSGEGEFVCKTCSRAFPTFQALGGHRTSHLRGRSNGLDLGAIGDKAIRLHRAADKEHRDKHECHICGLGFEMGQALGGHMRRHREEMAAAGGGSSADDWVWRCDARPEGIAAEPPVLLELFA >ONIVA03G40700.1 pep chromosome:AWHD00000000:3:33844792:33851529:1 gene:ONIVA03G40700 transcript:ONIVA03G40700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 regulatory subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVN2] MAAATAAAFAEPKTKYDRQLRIWGDQGQAALEKASICLLTCGPTGTEAMKNLVLGGVGSVTVVDVDAECLGQSRAKSVCSFLQELNDAVNAKFVEESPLALIDTNPSFFSQFTVVIATQLPERSLLKLDDICRKANIVLVAARSYGLTGLVRISVKEHNVIESKPDHFLDDLRLHNPWVELKQFAKSIDINDKDPVVHKHTPYIVILVRLAEKWADAHDGRLPSTRQEKNEFKALIREHMLNLDEENYKEAVESSYKVSVTPGISDEIRQIIDDSSAEVNSSSSDFWVLVAALKEFIANEGNGELPLEGTIPDMTSLTEYYVSLQKIYQAKAESDCLALEHHVKDILKRIDRDPDSISRAYIKTFCKNARKLRVCRYRSMEEEFSSPVLSEVQKYFTDEDYCFAMNFYVLLRAVDRLAANYNRCPGIFESEIDEDVPRLKTAAVSVMSEMGMNGAPLSEDLITEMCRFGGAEIHPVAAFIGGVASQEVIKLVTKQFVPLLGTFIFNGIDHKSQVLAL >ONIVA03G40690.1 pep chromosome:AWHD00000000:3:33842840:33843400:-1 gene:ONIVA03G40690 transcript:ONIVA03G40690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLTFGAEPEMVDSASIAQEVMLLLARSGGGGAGYVVGHGGEAPRVFECKTCRRRFPSFQALGGHRASHKRPRGGGGGGAAAAVAAAAGEGEAGVALSLAAGTPAVKASRAHGCAVCGVEFALGQALGGHMRRHRVAGAEADEAVSARGGEPAPERNPREARGVVGLDLNAAPADDTGLLLVDCL >ONIVA03G40680.1 pep chromosome:AWHD00000000:3:33839044:33842918:1 gene:ONIVA03G40680 transcript:ONIVA03G40680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNSIDHFTSDALFDIAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDRTIKIKSEGEAEAAAAQKSACCGS >ONIVA03G40670.1 pep chromosome:AWHD00000000:3:33833398:33836259:-1 gene:ONIVA03G40670 transcript:ONIVA03G40670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGAGGGGGRRWTQRPCRTGGGGVVRRTVAALAKEQGATAAAAVPRRAEGTGLSLVGKQRCIRGVSNFRSNTGSTTENDYICSDSRVFNYRNSSGTSTRCSAGEQLKNGMGSCHSQPSVLAELMHLDTAKAETSFSSSRRSKFSYNWKSLHGSSTTLSYGSPCHPMFNLSKHSTNPKPPPPLKNSARMSNFSYQLVRSAESPKNAKYSLSEKMSHLLKPPNSSSHQNGNFTVGALKRRHNIAHFGGAINKLLKNEVHKKATPSEGRHWQTLLDNSLIRQNKLYCSEPRNEESTEQSWSSTDSESEKAVCFSSSGSIADLHASVSTDTSDSSDHSMSSSCLSVNDRWKMTFKKVHCALAANLDSMYVTNHKELEQPSPVSVLEIPDEDFSVTKSIKLDLHPESELVRCPSVESTAEVGEIGISDYALGVDGLDASLNGEAIQLVEDIFEEFGDEEEREFSYVLDILIVSGIHGTAEDQLYKVCQSLDCPAGYDVFEKLEKKYMKVAEWSRSDRKLIFDMVNTILSEILAPCLDMHPWVKSARKMAPVWGSEGLLEKILQMLVQRREELGLSKTKPEKKALDRKWPDLSDCIDRVGRDVENMIKDDLLEEMLLDLFS >ONIVA03G40660.1 pep chromosome:AWHD00000000:3:33830600:33831555:-1 gene:ONIVA03G40660 transcript:ONIVA03G40660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVM8] MAAVSEVEVDGVVFPPVARPPGSGHTHFLAGAGVRGVEIAGNFIKFTAIGVYLEEGAAVPALAKKWAGKSADELAADAAFFRDVVTGDFEKFTRVTMILPLTGEQYSDKVTENCVAAWKAAGVYTDAEGAAADKFKEAFKPHSFPPGASILFTHSPPGVLTVAFSKDSSVPEGAVAAAAIENRALCEAVLDSIIGEHGVSPAAKRSIAARVSQLLKAESTGDVAAAEPAPVSA >ONIVA03G40650.1 pep chromosome:AWHD00000000:3:33822040:33823967:-1 gene:ONIVA03G40650 transcript:ONIVA03G40650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFKDMKSLSCSSPASTAICPSLERQPMVRPHKGGAIAASPLCQVPGEPRTVHRQDCRRGQQHQHKAAAANGGELVSPAGSSRYLLSSRAAAAEEIQEVEASAAPAVDAKVVREEQAGSDVKNALTQEQVVVLKVSLHCKACAGKVKKHLAKMEGVTSFNIDFAAKKVTVVGDVTPLGVLNSVSKTDESVCDYISALSVVIA >ONIVA03G40640.1 pep chromosome:AWHD00000000:3:33818490:33820703:1 gene:ONIVA03G40640 transcript:ONIVA03G40640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKNNHDSSNADKGFHGAYPSGYPGAYPLMQGYPNSPGQYPTPGGYPSAPPGQYPPAGGYPGAQYPPDGYPPSQGGYPPGAYPPSGYPQQPGYPPAGYPGHGHGPPMQGASGYGALLAGGAAVAAAAVGAHMVRPGGGGGHGMFGHHGGKFKKGKFKHGKYGKHKKFGRKWK >ONIVA03G40640.2 pep chromosome:AWHD00000000:3:33818490:33820392:1 gene:ONIVA03G40640 transcript:ONIVA03G40640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKNNHDSSNADKGFHGAYPSGYPGAYPLMQGYPNSPGQYPTPGGYPSAPPGQYPPAGGYPGAQYPPDGYPPSQGGYPPGAYPPSGYPQQPGYPPAGYPGHGHGPPMQGGGMLGGGHGAGASGYGALLAGGAAVAAAAVGAHMVRPGGGGGHGMFGHHGGKFKKGKFKHGKYGKHKKFGRKWK >ONIVA03G40630.1 pep chromosome:AWHD00000000:3:33813735:33818260:1 gene:ONIVA03G40630 transcript:ONIVA03G40630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT5G13520) TAIR;Acc:AT5G13520] MPPVDPHSYTDGDHPVTAKAALAFYLDFAASTIHASALLTLSAPHSGDLLLDTRALAVHSASTASDPPSPIPFSLADAADPVLGSALTLTLPPDTTSFLLTFSTSPSASALQWLSPPQTASSLPFVFSQCQSIHARSVFPCHDTPAARITFDLLLNVPTQLSAVAAARHVSRRDPLPSDHRGACDDALWCAPGRIVEEFQMEQSVPPYLFAFAAGGIGFRDLGPRTRVYAEGGDKVLDEAAREFAGVEEMVKVGESLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNMGIGWRGLNRMMERFKDNMEFTKLKPKMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFLKNYISTFKFKSIDTETFLEFLKTNVPGIENQIDLQLWIEGTGIPPDAMEPESAIYKKICSLAAEFKSGKLPSEDEVADWSGQEWELYLENLPTDVEASQVTALDERYKLSESRDYEVKVAFLQLAIPTGCRCYFNEVEKCLKQVGRMKYLRPLYSSLARCSGEEKMLAHRIFSEAHEFYHPIARSVAESILSKHG >ONIVA03G40620.1 pep chromosome:AWHD00000000:3:33802323:33802727:1 gene:ONIVA03G40620 transcript:ONIVA03G40620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAFPSCCPVAANVVAGAHRIPPPELLHRPPLERMVVLAGDEHYPTLPLPSVVGEKVKNSGAQSSPFAAARRLHYQCRPEHGHRACHTTPLTNVAGGARIRPSTTAAPPSAAAVAAPAPADDAAAACVAWRR >ONIVA03G40610.1 pep chromosome:AWHD00000000:3:33793651:33798017:1 gene:ONIVA03G40610 transcript:ONIVA03G40610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLNVESPERSGTSSSSVLNSGDAGGGGGGGGGGGLFRFDLLASSPDDDECSGEQHQLPAASGIVTRQLLPPPPPAAPSPAPAWQPPRRAAEDAALAQRPVVAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFNLSDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKFRGVTLHKCGRWEARMGQLLGKKYIYLGLFDTEVEAARAYDRAAIRFNGREAVTNFEPASYNVDALPDAGNEAIVDGDLDLDLRISQPNARDSKSDVATTGLQLTCDSPESSNITVHQPMGSSPQWTVHHQSTPLPPQHQRLYPSHCLGFLPNLQERPMDRRPELGPMPFPTQAWQMQAPSHLPLLHAAASSGFSAGAGAGVAAATRRQPPFPADHPFYFPPTA >ONIVA03G40610.2 pep chromosome:AWHD00000000:3:33793651:33798017:1 gene:ONIVA03G40610 transcript:ONIVA03G40610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLNVESPERSGTSSSSVLNSGDAGGGGGGGGGGGLFRFDLLASSPDDDECSGEQHQLPAASGIVTRQLLPPPPPAAPSPAPAWQPPRRAAEDAALAQRPVVAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGLEADINFNLSDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKFRGVTLHKCGRWEARMGQLLGKKYIYLGLFDTEVEAARAYDRAAIRFNGREAVTNFEPASYNVDALPDAGNEAIVDGDLDLDLRISQPNARDSKSDVATTGLQLTCDSPESSNITVHQPMGSSPQWTVHHQSTPLPPQHQRLYPSHCLGFLPNLQERPMDRRPELGPMPFPTQAWQMQAPSHLPLLHAAASSGFSAGAGAGVAAATRRQPPFPADHPFYFPPTA >ONIVA03G40600.1 pep chromosome:AWHD00000000:3:33787315:33787927:-1 gene:ONIVA03G40600 transcript:ONIVA03G40600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATGYMDSANHGFLDASKYTIIQAEVPAEEVDDVEATRRERRQRRRRAAAVAARRERRSGARRRGAGRRGGGGCALAFLKLRHPALAHDARARPLHAHVVPASLRLPGPIFATADAPPGRCRCLRPRPRPHDVALSFSHVAAAADADALPSTPSPPPSLPPPRRRPPLSPRAHRPA >ONIVA03G40590.1 pep chromosome:AWHD00000000:3:33786148:33786504:-1 gene:ONIVA03G40590 transcript:ONIVA03G40590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATVQSRWALGPGAHVLARLVDLAAVDAGADLHGGGGGELPLEGGVLVILDGVVGAAGEEPRDGGPPVAEAVTVQFLVVQSFAPCRSTSRHSASSSSGLHGPFILSHSASTPISK >ONIVA03G40580.1 pep chromosome:AWHD00000000:3:33783233:33784928:-1 gene:ONIVA03G40580 transcript:ONIVA03G40580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >ONIVA03G40570.1 pep chromosome:AWHD00000000:3:33779182:33782307:-1 gene:ONIVA03G40570 transcript:ONIVA03G40570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQVASNPRTVEDIFKDYSARRGALVRALTSDVDEFFGLCDPDKENLCLYGLANGSWEVALPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLVSVAFFFAARLNGNERKRLFNMINDLPTVYEALVDRKHVRDRSGVDSSGKSKHSTKRTGEGQVKRSRVVAEEYEDDDEEHNETFCGTCGGLYNANEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSSSSKKTRL >ONIVA03G40560.1 pep chromosome:AWHD00000000:3:33770430:33780735:1 gene:ONIVA03G40560 transcript:ONIVA03G40560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine acid phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT1G09870) TAIR;Acc:AT1G09870] MAAPRTPLPLVLLLVSAALLAAAPLSPAAETGAAAFDVRRHLSTVTRYDVARGSNSVSSAPSMSDECRVIHLNLVARHGTRAPTKKRIKELDRLAVRLKALIDEAKQGPESDSLKKIPSWMKGWESPWKGRVKGGELVSEGEEELYNLAIRVKERFQGLFDEEYHPDVYSIRATQVPRASASAVAFGLGLLSGKGKLGPVKNRAFSVLSESRASDICLRFFDSCETYKDYRKRKEPDVEKQKEPILEHVTSALVNRYHLNFTPKDVSSLWFLCKQEASLMNITNQACQLFNEAEVYFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAIVAKEENHPDGTYEKARLRFAHAETVVPFSCLLGLFLEGSDFAKIQREESLDIPPVPPQGRNWKGSVVAPFAGNNMLALYQCPGKTDGGKISRDQKSSYFVQVIHNEAPVSMPGCGNKDFCPFEEFKEKIVEPHLKHDYDALCKIRPVAREEPSSFSSRMSNFFLGLFSQKGYRVSAQDVKSEL >ONIVA03G40550.1 pep chromosome:AWHD00000000:3:33763062:33768919:1 gene:ONIVA03G40550 transcript:ONIVA03G40550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGPHVIKLHDSAPALLGRAPMPPPPGPRDELPPPSAVLVHHPRGGLAPHPAVAALEDRLAVRDRDIQELLVDNQRFAATHVALQQQLIAAQHELRAVSIAATRARAEREDEVRALAEQAARIEAEARAAVAARAEVDQVHADVQVLAGARTELVDRLQDLRGQLARFQAEAGKTESVRAQVETMRREIQKGRAAVEFEKKAHADNLEQSKAMEKNMIAVASEIEKLRGDLANAEKRATAVTATAPVANPGFPTTYGNSEATYPAPAAYGNSETTYAPTYGNTEAAYASTYGSSEAAYAAAYGNSDAYSTNQAHTRTDGNPHYMAPPVHYAQYDSQHTNVQR >ONIVA03G40540.1 pep chromosome:AWHD00000000:3:33756749:33761187:-1 gene:ONIVA03G40540 transcript:ONIVA03G40540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPQHGGMAGHGGGRTRSPFLTSYALTLAFITFVSVLYFKDFSSTLHQPFLTRPPPHRRQIARPRAPSHHHGGGSSSGGGDVVPPFAVGAAAAAGCDVGVGEWVYDEAARPWYEEEECPYIQPQLTCQAHGRPDTAYQHWRWQPRGCSLPSFNATLMLEMLRGKRMMFVGDSLNRGQYVSLVCLLHRSIPESSKSMETFDSLTVFRAKNYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTALEKHARFWKGADILVFNSYLWWMTGQKMKILQGSFEDKSKDIVEMETEEAYGMVLNAVVKWVENNMNPRNSRVFFVTMSPTHTRSKDWGDDSDGNCYNQTTPIRDLSYRGPGTSKGLMRVIGEVFSTSKVPVGIVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLFFP >ONIVA03G40530.1 pep chromosome:AWHD00000000:3:33747399:33750581:-1 gene:ONIVA03G40530 transcript:ONIVA03G40530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRALAVVALLSAAAAIAAAQGESPELLPFAVGAAPEGCDVGEGEWVFDEAARPWYAEEECPYIQPDLTCQAHGRPDAAYQRWRWQPRDCSLPSFNATGMLEMLRGKRMLFVGDSLLRGQYTSLLCLLHRGAPGGGGGSRSFETVDSLSIFRAKDYDATIEFYWAPMLAESNSDGAAVPDDRLIRGAPMNKHSSFWKGADVLDMSKDIVEMEAAEAYRLVLHQVTRWLEGNVDPKSARVFFVTASPSHAGAGGECYDQTTPVGAADAASYRGSTSRRMVQVAGEVLGASRVPVGVVNVTRMSELRRDAHTQVYREQRLAKPTAEQLAADPRSYADCTHWCLPGVPDAWNELLYWKLFFPARDEAI >ONIVA03G40520.1 pep chromosome:AWHD00000000:3:33740458:33741400:1 gene:ONIVA03G40520 transcript:ONIVA03G40520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERFPPFRRQRVQATGGLRAPGIGGAERRGRRQRRRESWRRTGDGDRWRASRRRWQIGEAEDGATADREGSADGGAVSGVARAGAEFVRGAGARERETGRAARGRGRTGTAIEINSVKSERLRSIPPPTSLNRGAPLPSLSLSRSPTRTCASAASPIPLPHALTPETAPPSALPSPTASPICPARRQGCRLAAVYIAAHSVVIESVLGQESRRRRCPLRRSVPHRHRSPLPARPPPTPRRKPFCRQVSANAFHTELLLAATDPCCQVAADAFRTELLLAAPPRRRR >ONIVA03G40510.1 pep chromosome:AWHD00000000:3:33737364:33740075:-1 gene:ONIVA03G40510 transcript:ONIVA03G40510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSPDHGEKRSLFRSQHSTSDLPCVTPPIRDILFPYLLFPIDTQCSTQEIVVRDLPIGDLLCPYPAVSHRHPQFIITLIEGWYVGMPKIYHHLIVQPVNKYAGDGVIRMNNNVIHQIAFGFEQAL >ONIVA03G40510.2 pep chromosome:AWHD00000000:3:33737364:33740346:-1 gene:ONIVA03G40510 transcript:ONIVA03G40510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSIPHHRMVVDHCASSRCPPAVAAARIRGRRSVSPVPSPAAKISIGLAPPVHGRPPVQAPASSLIPCLQNPAEIVVRDLPIGDLLCPYPAVSHRHPQFIITLIEGWYVGMPKIYHHLIVQPVNKYAGDGVIRMNNNVIHQIAFGFEQAL >ONIVA03G40500.1 pep chromosome:AWHD00000000:3:33734432:33736014:1 gene:ONIVA03G40500 transcript:ONIVA03G40500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGASEQQRKKSKGRRRNRIFFVVDEKRLDTGGNGIYFVFTLNLKPMFADAGDDDDWATMRALPPPIARFDSLERCAERLDFALVGSNVVAVSTQKRTLLYDTAAAVVSNGPELRHATIGGTALILLGTRLYAMDNRPCEPDPCFQVLLPPATPVAGSGGRRRRHWSWRALPDPPADFSMVRPAPAMIFCNTTAFVAAGARIWVSAPDRGTYSFDTTAHGNAMAWRKVGDWELPWVRRAVFVPELNLCFAMCRTRYCLCAFDVPSAEPAAAAPVTRYAWVEETYPRECLERGYFPHGPASLAYLGDGRLCIGWTIIVEFGEQYGYSNMPTRFALLLMAVQVVAVAGEEGQLRLVKHKARCYLMSNRAQEIFLLQPSLTRRWRRSPCPEDAEQVLTPEQRVYCPRPPRPQEEVGSPATVIIASPALLAAARVAARWRGGEEEVGGGALAWSPPWG >ONIVA03G40490.1 pep chromosome:AWHD00000000:3:33725972:33728673:-1 gene:ONIVA03G40490 transcript:ONIVA03G40490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 34 [Source:Projected from Arabidopsis thaliana (AT2G38320) UniProtKB/Swiss-Prot;Acc:O80919] MTTTGSTPPRKNRSNVTGGEGGSLEEYAWRAAGEAAAAKKATRAWGVSVSLRSHFSSLVLLLLLLLVALAVSATTKNGDPAETPHAPPLPPPASIKLPSSSSSGGGECDLFSGRWVYDEAAYPLYRESACRVMSEQSACEKYGRTNLRYQHWRWQPHGCDLPRFDAEKFLGKLRNKRLVFVGDSLNRNQWASMLCLIDTGAPELHTSINSSRSLTTFKIHEYNASVDFYWSPLLVESNSDHPLRHRVADRTVRAASINKHAAHWTNADVLVFNSYLWWQRPAMKVLWGSFDNPAAVVAAAAEEGDEYAVSKVIDSLRAYELAVRTWADWMEFHVDRARTQLFFMTMSPTHLRSDEWEDAAAAAAGGNHGCYGETEPIAAEEYRGTSGTDMAFARAVEAEARRLGERGVAVRLINVTRLSERRKDAHPSVHRRYWDPVTDEQRRNPSSYADCIHWCLPGVPDVWNQLLYAHIVS >ONIVA03G40480.1 pep chromosome:AWHD00000000:3:33720098:33724570:1 gene:ONIVA03G40480 transcript:ONIVA03G40480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNSTTICNTCSCVHGSTGSLVKQPDIGSPKGRTRQPPRVDDDDDDGRPGVHKLRVAAAIAGCDDVFHVACPVHTLAAAVTGTTNVRKACSEARLGLGRVVVVSYVSAAMMKIATRADRCGGAAGVFVGGGAEVVQLWPPLLPLPSCARRPTLPAQWLAPSALLPTGALLPLRVLAGQRGSSRKDEEWLGGRRERTTAR >ONIVA03G40470.1 pep chromosome:AWHD00000000:3:33706978:33708941:1 gene:ONIVA03G40470 transcript:ONIVA03G40470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAKAPLLEGRRGATPAQTLGNIVVSIVGTGVLGLPYAFRTSGWLAGALGVAGAGAATFYCMLLLLDCRDKLREQEEEVDHDGNYTYGDLGEKCFGAIGRYFTEVTIILSQTGGSVAYLVFIGQNICSVFPTTAAGGEEPPRRVSPASVVLAVLLPAEAALSFIRSLSSLAPFSILADACTVLAVATVVREDVQLLAGRGGSPFQGRSALAGLWGVPFACGVAVFCFEGFCLTLALEASMSDRRRFRPVLLHAIAGVTAVYVCFGVCGYLAYGDVTRDIVTLNLPNNWSTAAVKIVLCVALALTFPVMMHPIHEIVEARLFPSAGGWARKRAAVQACRVAVVGAVTAVACFVPAFGEFAAFVGSTVCALLSFVLPALFHLRLVGAAASAWRRAVDGGFLLLGLAFAAHGLYTVVSGL >ONIVA03G40460.1 pep chromosome:AWHD00000000:3:33703630:33704412:-1 gene:ONIVA03G40460 transcript:ONIVA03G40460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVK5] MSSSGPPAGDGRDDASGPGPAGAAAAADGSVPVSRSIVERWKMEPAAARARLLLRAVAWLFSLLALVVMASNKHGHGGAQDFDNYPEYTYCLGISIIAVLYTTAQVTRDVHRLSWGRDVIAGRKAAAVVDFAGDQVVAYLLMSALSAAAPVTDYMRQAADNLFTDSAAAAISMAFLAFLAAGLSALVSGYNLAMEVLV >ONIVA03G40450.1 pep chromosome:AWHD00000000:3:33693406:33702788:1 gene:ONIVA03G40450 transcript:ONIVA03G40450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGLSDPELYAPGEKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLRQLEAEFPAVEKAIISQSDHSNYPHDDGVEWHTNLQIDQNMITQGDMPRFILDSYEECRGPPRLFTLDKFDVAGAGASLKRYSDPSFFKTEHSSDMIETDAVIEKKPRKIKKKALRWRKGETLESLLIANSESHTTSKDRSSRKVPPRTTKLKYRYPRESDHKNISRICREHLQEIISSQQKIFSNYSSRYYHPKFRLTESSETASSFGEIDNFSARAQSSAKLELTKVVPINEFDTKGIAPTHINGSDCLEALEADDRQLQATQHEPDKVEDVCKRSLVEQNAMLSNSDRMQSVQEENLLSAMVPADQNDDRCRPDDTGSDQENFVDALNNMESEGEAHAEMKIKKDPGAKMELDELNFHRDEGENERHTEFSELGHVIDSSPWLNDSYNGGEPNHAISSNTNFSGVDCTNDEEPSNDVDLMEMDVSSSSSVFSDDNDVFRTNGNMNGFQQYQEASLSNDHHAVIAHSSDKQSSQKSSGLDGVAMHASISSGKVASFPDMDPGMCTKDLELDNVVVPKETVANTPPTGLGTDHIHEHVDELDSGVAPINSSIQSDSTYESDDDDMAEDLNSLPEDDLYKHDVEDLYKHVLEDDGIIALGKGPCSTRANMHQEDPMEVSDVRGDFSNGGEEDLADEVVVISSRDLNDEKKPSLAEVPLACGDASLLDSSASCLEHDESTETGEIAKSDEVLVNVEVAEESITGRFTDDMTPFQEDLPDGAKYSEDAEFLANPRVDNSRHDVQLQSSSPCREELETVKAPCENLCALDESREHIFEKSVLQINNLPQHIETKNTGEACSDIDDIQHLSALHCPKNPVCQEELPDETNLSADVQYHCDVEKGGAVILNSKMVEEQPENIDLVREPRAQDSFGTNPFMDPGYKANHALADPCPSYQPCFSEEEQDFISELLIPHGNMGIEDLNPVPVADSLWEPATPPDEVPLPSEVMTEEDFRSFCHEYHEMDLTATPESIDDKPASDSNVVSSSLVTSESEFLYCVSAVRTGVDQEESRDAPDDTLMHFSAKADPDDKAANSDLKSDEPFIDEKIHELDVPSVPMELEVEQHALHEVDSHGDSQLLDNDMIDETCSSPSGNSIAVKDKQETCANLVSRAFINERTDELEVPVSNSVLLEPSEEVHDSDEYNYQDVPWSSTDEGRDEVDAHPLSKRIQTQGSEALVLGELDSRAVPSCSVNEMADHVDAPPLSTVLEAEQEPEDCISGEHNSQVTKSSLVDEKIGELDDASPLSNTLLAEMEREVCVPGKSASQIASCSPTPSNEKIDELNAPPLSSSGLIELESEDSVSGDLDSQIIPCSSPNDKTNEPDGATSTHVLPVELEQEVCSFPELDSLVAPCSLNDDKVCELDEPPCKQLESENGSYCLPQVDCQIEPCYSESVVLSEASTMSSANAMPSTEETYRLSSPVPPPNEPFSNVSYEDPQKPPPLPPLLWRLGKPRLGIASTKGHMLEPERGKGPVLHTSDAGMDNMPGCLSGMTESIEPVSSQEIKERHLDPILDNNERGVEFRRLATPPTANDVAVTEHVQLFSDACENIKHQERVSSSETEAEEHQNGTGITDVMDSHPPKPLFLVPSISQQGLQGSVFPSDTSDNGEHSSYTSRAVSEDEKTVDDHNAACAMDLHITSSSASSHVSENGCNQQSHGESLPVTSVDKVHTSDASCEDNKLKNHFITSEVCSDATNLSASGLLTEEENIHNVEDQYEGPLPSEESSGCLDYPHDDHNSEKEDIHQPDGYAASPGNNNHFDSSHEGGYLHAEQPPVMGWTVRPQMLHPNYGISMEENQFEPKVEDHLLIKKPVSIRNIPRNPLVDAVAAHDRSTMRKVSELVAPTDKSKPNERNLLLEQIRNKTFNLKPVSSAKQPTIRTPPRASTRNLKVAAIIEKANAIRQAVGSDDEDGDNWSESSDT >ONIVA03G40450.2 pep chromosome:AWHD00000000:3:33693406:33702788:1 gene:ONIVA03G40450 transcript:ONIVA03G40450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNEYGLSDPELYAPGEKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLRQLEAEFPAVEKAIISQSDHSNYPHDDGVEWHTNLQIDQNMITQGDMPRFILDSYEECRGPPRLFTLDKFDVAGAGASLKRYSDPSFFKTEHSSDMIETDAVIEKKPRKIKKKALRWRKGETLESLLIANSESHTTSKDRSSRKVPPRTTKLKYRYPRESDHKNISRICREHLQEIISSQQKIFSNYSSRYYHPKFRLTESSETASSFGEIDNFSARAQSSAKLELTKVVPINEFDTKGIAPTHINGSDCLEALEADDRQLQATQHEPDKVEDVCKRSLVEQNAMLSNSDRMQSVQEENLLSAMVPADQNDDRCRPDDTGSDQENFVDALNNMESEGEAHAEMKIKKDPGAKMELDELNFHRDEGENERHTEFSELGHVIDSSPWLNDSYNGGEPNHAISSNTNFSGVDCTNDEEPSNDVDLMEMDVSSSSSVFSDDNDVFRTNGNMNGFQQYQEASLSNDHHAVIAHSSDKQSSQKSSGLDGVAMHASISSGKVASFPDMDPGMCTKDLELDNVVVPKETVANTPPTGLGTDHIHEHVDELDSGVAPINSSIQSDSTYESDDDDMAEDLNSLPEDDLYKHDVEDLYKHVLEDDGIIALGKGPCSTRANMHQEDPMEVSDVRGDFSNGQELPVLTETASPQGELVGGGELPLLTETASPQGGEEDLADEVVVISSRDLNDEKKPSLAEVPLACGDASLLDSSASCLEHDESTETGEIAKSDEVLVNVEVAEESITGRFTDDMTPFQEDLPDGAKYSEDAEFLANPRVDNSRHDVQLQSSSPCREELETVKAPCENLCALDESREHIFEKSVLQINNLPQHIETKNTGEACSDIDDIQHLSALHCPKNPVCQEELPDETNLSADVQYHCDVEKGGAVILNSKMVEEQPENIDLVREPRAQDSFGTNPFMDPGYKANHALADPCPSYQPCFSEEEQDFISELLIPHGNMGIEDLNPVPVADSLWEPATPPDEVPLPSEVMTEEDFRSFCHEYHEMDLTATPESIDDKPASDSNVVSSSLVTSESEFLYCVSAVRTGVDQEESRDAPDDTLMHFSAKADPDDKAANSDLKSDEPFIDEKIHELDVPSVPMELEVEQHALHEVDSHGDSQLLDNDMIDETCSSPSGNSIAVKDKQETCANLVSRAFINERTDELEVPVSNSVLLEPSEEVHDSDEYNYQDVPWSSTDEGRDEVDAHPLSKRIQTQGSEALVLGELDSRAVPSCSVNEMADHVDAPPLSTVLEAEQEPEDCISGEHNSQVTKSSLVDEKIGELDDASPLSNTLLAEMEREVCVPGKSASQIASCSPTPSNEKIDELNAPPLSSSGLIELESEDSVSGDLDSQIIPCSSPNDKTNEPDGATSTHVLPVELEQEVCSFPELDSLVAPCSLNDDKVCELDEPPCKQLESENGSYCLPQVDCQIEPCYSESVVLSEASTMSSANAMPSTEETYRLSSPVPPPNEPFSNVSYEDPQKPPPLPPLLWRLGKPRLGIASTKGHMLEPERGKGPVLHTSDAGMDNMPGCLSGMTESIEPVSSQEIKERHLDPILDNNERGVEFRRLATPPTANDVAVTEHVQLFSDACENIKHQERVSSSETEAEEHQNGTGITDVMDSHPPKPLFLVPSISQQGLQGSVFPSDTSDNGEHSSYTSRAVSEDEKTVDDHNAACAMDLHITSSSASSHVSENGCNQQSHGESLPVTSVDKVHTSDASCEDNKLKNHFITSEVCSDATNLSASGLLTEEENIHNVEDQYEGPLPSEESSGCLDYPHDDHNSEKEDIHQPDGYAASPGNNNHFDSSHEGGYLHAEQPPVMGWTVRPQMLHPNYGISMEENQFEPKVEDHLLIKKPVSIRNIPRNPLVDAVAAHDRSTMRKVSELVAPTDKSKPNERNLLLEQIRNKTFNLKPVSSAKQPTIRTPPRASTRNLKVAAIIEKANAIRQAVGSDDEDGDNWSESSDT >ONIVA03G40440.1 pep chromosome:AWHD00000000:3:33687550:33688460:-1 gene:ONIVA03G40440 transcript:ONIVA03G40440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAVPALAVVDARFVAGDAAALSVAKTLSLSGSDFTVTDAATGAVVLRVDGVLFSLRRRCLLADADRRPVLTVQESAMVMNRRWKVFRGESTSRRDLLFTVVKPSAIQLWGSTKVSVFLASNDAEQASDFRVTGSYHDGACAVSLGDSDTVIAKASKATPLSPPCSNHFRHFIEHLVGVLLDLTQKLFRAQIDRRFSVASALLGKNAYSVTVNAGIDYAFIVALVVVLDEMHFQP >ONIVA03G40430.1 pep chromosome:AWHD00000000:3:33685111:33685680:-1 gene:ONIVA03G40430 transcript:ONIVA03G40430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAHFIIEVYFNTRSPQRRRAERKPTTRMAAAAAPTVVVVDARHCAAEATAFAVARVIGTTERDFAVTDAAGAVVMRLEGAVFSLRKRTLLLDAARRPVLTMTDSTYLMSSMWHAFRGDSTSRRSVLFSVVKESVVQVRTKIFVYLGGYRSADQVPDFVIGGNYYGGACTVFAGNSDSDADAAIAQVS >ONIVA03G40420.1 pep chromosome:AWHD00000000:3:33676689:33680790:1 gene:ONIVA03G40420 transcript:ONIVA03G40420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGGHGVRTPANFPPRQKARLPRGPVHEKSLEQQKKGPSSSSPSVSSNKSPLQLAAAIVQPQKPLESPQHMVTPVRLQESPGPRTIPCSSGSVGSGSGAAPFDICIKRDDKCSIKLSRSLLEINREKRREREQLSKEAAPLQYLRPGMVLMKKFLKHDDQVDIIRRCQKLGIGSGGFYTPGYRDGGKLSFQMMCLGKNWDPNSRSYGDTRPFDGAQPPSIPEVFSKIVKDAIQASNEFLRQKARPANDVEELPPLSPDICLVNFYTSSGKLGLHQDKDETKPSLHKGLPVVSFSLGDTAEFLYGDVNDVDKASKVDLESGDVLIFGGKSRLIFHGVSRIKPKTAPNWLTDEAKLRPGRLNLTFRQH >ONIVA03G40410.1 pep chromosome:AWHD00000000:3:33670073:33673787:-1 gene:ONIVA03G40410 transcript:ONIVA03G40410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58370) TAIR;Acc:AT5G58370] MPLHVRIREKTSIASLLSSRRNWSGGGVDGGKKSGKREGAKTKGTRTTGRNKSEKREEHKEARGNAGQRRRWSDTNGQSDAKKNASQAVRRKRKGDHDSWNGDHSDTPYSKSKLTRNGPSTMTRGKASARKGDRFRSETLDEDDLHSRKRSNSMVSSVSRGGRSNSMVSGITREGKSQSVFSRNAEASSKGKKFDTPTRVSRQKEAATDANLDDHGAESKKSDDSGQIADEKPRPRRTRVLDKTGKKIRVANKDPVSDIEETLPPKKRKRMKLDPYDTSNKRLEDSTAKQDVCSPEKIPEKSPPEETETSINAKFRAIQPSSSIISYVEDNLLGRRRLNEIKNAGYNVKLSAPLDNVPFSTSPERERIEENVFRNKLEFFAAAKISSSFPPPTLPEIAFAGVSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFRLASKLCLVDLPGYGFAYAKDEVKDSWQELVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVDLMERSKTPYQIVLTKTDLVFPIDVARRAVEIQESLKKNKSVVRPVMMVSSKTGAGVRNLRGVLGKIARFIKP >ONIVA03G40400.1 pep chromosome:AWHD00000000:3:33660903:33667393:-1 gene:ONIVA03G40400 transcript:ONIVA03G40400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDADADELRLVLYLPMDMAKGHGARRPAHLLPPLAGAPPPPPPFRLPPLCAAASNAEARVSFRGWLGGPRHWDLWVAKLRPLHDRLWRHLGILDAIVASTYRFKRDAALVLHLASFWSPATSSFAFPWGEATVSLLDVALLGGLPANGGPVLAPLPSHWRADEAALNAVRLGFNRSACKKAHHSAWISHFLTGPAADPVVEHAAFLSLWLTRFVLPGHPESTMRQSLFPLAVRMARGDRVALAPAVLASIYRDLRELKAFLSSSSAAATGELLSPLSVYAPFYLLQLWAWERFPAIRPAKANPIKAGEPRAARWNDVSNKIDPAVLRKALNSGSSFVWQPYTISVQPCGWVRGCHVSGNDELTSLAHCLRACELVGMDCIEQYLPHRVAMQFGLDQDVPGDVQRANDDCRVAWETYHLEGKNVAFFIPQSEPGVTARYAEWWRQPLPHSHLDVGAASTVVESKVSKRKVKKTLVAIEAEEEKERKLKKARVLPSNNDKKRKLQELYDAKLSDCLAAARDEGAGSCDRGSLPLSDMESEKALLSHVETINDDIVLLVPRKQTAAPDVNLIKDNMNLATGDRGSLEATPPVGMEEKDEMPKAQQTCNVEHPTHQPYCQETKAAPSTEITKGESSGIVLANVNELDRGRTPDVPNWHEEAVPSEAMEKEESRYHLSDVVCNDESIKEVVTVDKPLDVSSEPEGGATAMPEEKMLNVSVDMSLDATDRPEEGTTIMLELENEANLSVDESCRVSNSPEEVSATVGGDKEEKVAIDEADEGNGTSEDVGTAALGSICSIEVAPGSKQEVDTGVINISHDAVTLPDEVLPVQQPNDGETTRRDFVTEEQRKACCIEEIGGENSQMVEKASKQKPHEAHQVNMVECGEDINPMKNDNEDEHDKIPQPLENAISDSNMTSVFSGVPEAENADTDKGLFLAKKDSEDMPKEVVGAEGSQQDQFTTSTHEVVDEHNEVAEVEPALAEPNMHGQCDGEKPDEGKVLREKDTEENAKNALGVEQIERQDKALTESCIHEVEQVDGQSERLTRTGVEEKHAEITQEQENEFDNGVMETSKVSVNGAMPYSTASIQSEGEQKEASDKDMAEKQSNQDIESIDERDSLSDAAATVFEGADDHITLDTNEEATRKHTHDCGSICENKGTQMFQEGCKLDSGVKSDIDIMEIETQATEGIQNQETMELDKQEMEEEQNPGTTIENNKMKIPEEDASTFSCGEIQTDPHSTDVNEVEFTTGTQNDEHLDIKEELIMDRRLDCEIKYGNERPLEEANTFGGCDGGVDNIGVALDVNEENSIKEMQNQEIRSTEEASEFQAGETNLNNGAENVDEKRILEDASTLDIRDSTNAVVNGAESTEGTQILCAFNTEKELEVQEKQDQGTENENRNQNLVNTDSFECGVEPHGTLKTTHETLPTVQVVSTSGDTFSSKNEQNDVPWEDQNKSDAEVSESNQTAPKESERTIPPEHEDREEEKEENMENKIEISIGRENDEVSEQETSTEECIVAPSGMDDRDENNKGWAEESVQTYGRYASDPVNTSWQPSKFGKPGMEESRRTHSGRSIYLRDIKESQGRTRSETSNKLHINSAGYYSRHAVPEPVSVTREIKVPLYDSTRASGRDRGPELVVTGPPEETSRWRQEQYALQILEDVQNARVAEKTRMEMEIRILKAQVSSMQRQAMNLDRVGDFAVQRRFQKRVHLKKFRAIQPSSSTISYVEDNLLGLRRLNTLPQMEDSIPDCIEQD >ONIVA03G40390.1 pep chromosome:AWHD00000000:3:33656347:33660085:1 gene:ONIVA03G40390 transcript:ONIVA03G40390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVJ3] MHDGSVKDILDLWDDQGSKFNVVTWKRRNGGRNKHGRGRGHDKAINRFLVRNIVEQAAVLRYARGLCHVLPKLYAKVHHCVSWNAIHAHIVSVRSCENRRNCELPQHFRPSLLLDDHLPEVVLRLLHQLLPLIASKPLGSKKSQICLAR >ONIVA03G40390.2 pep chromosome:AWHD00000000:3:33656347:33659766:1 gene:ONIVA03G40390 transcript:ONIVA03G40390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVJ3] MHDGSVKDILDLWDDQGSKFNVVTWKRRNGGRNKHGRGRGHDKAINRFLVRNIVEQAAVLRYARGLCHVLPKLYAKVHHCVSWNAIHAHIVSVRSCENRRNCELPQHFRPSLLLDDHLPEVVLRLLHQLLPVPEFQLCAP >ONIVA03G40390.3 pep chromosome:AWHD00000000:3:33656347:33660008:1 gene:ONIVA03G40390 transcript:ONIVA03G40390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVJ3] MHDGSVKDILDLWDDQGSKFNVVTWKRRNGGRNKHGRGRGHDKAINRFLVRNIVEQAAVLRYARGLCHVLPKLYAKVHHCVSWNAIHAHIVSVRSCENRRNCELPQHFRCRTFTVIGRPPTV >ONIVA03G40390.4 pep chromosome:AWHD00000000:3:33657287:33660970:1 gene:ONIVA03G40390 transcript:ONIVA03G40390.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVJ3] MAGATSTAAAAATSSRSAAPRCSPRIHSSATNLMDKAINRFLVRNIVEQAAVLRYARGLCHVLPKLYAKVHHCVSWNAIHAHIVSVRSCENRRNCELPQHFRCRTFTVIGRPPTV >ONIVA03G40390.5 pep chromosome:AWHD00000000:3:33657367:33659766:1 gene:ONIVA03G40390 transcript:ONIVA03G40390.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVJ3] MAGATSTAAAAATSSRSAAPRCSPRIHSSATNLMDKAINRFLVRNIVEQAAVLRYARGLCHVLPKLYAKVHHCVSWNAIHAHIVSVRSCENRRNCELPQHFRPSLLLDDHLPEVVLRLLHQLLPVPEFQLCAP >ONIVA03G40380.1 pep chromosome:AWHD00000000:3:33645319:33648493:-1 gene:ONIVA03G40380 transcript:ONIVA03G40380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01850) TAIR;Acc:AT5G01850] MSCGSDGGCRDGGGSEEFRRPRPSKVAAGDLVEPARCSDAAASPASWIDKKLLVDPKNLFIGSKIGEGAHGKVYKGKYGEQIVAIKVLNNGTTPEEKATLEARFIREVNMMCKVKHDNLVKFIGACKEPLMVIVSELLPGMSLKNYLNSLRPSQLDIHTAIGYALDIAHAMECLHANGIIHRDLKPDNLLLTANRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPEVLYSTVTLQRGEKKHYTNKVDVYSFGIVLWELLTNKMPFEGMSNLQAAYAAAFKQARPPLPEETPQELVFIVQSCWVEDPAMRPSFSQIIRMLDAFLMTIPPPPPSESNEDVESEETASSLNGKNSAVSSIVSRATSKLSVVRHLFASKKAGNGRT >ONIVA03G40370.1 pep chromosome:AWHD00000000:3:33638037:33644433:-1 gene:ONIVA03G40370 transcript:ONIVA03G40370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPGAHRAASSSGALAPAAFPAAAHFASRAAAPFLRQQPHPGGGGDSDGDNAVEEVDEGDDDEDDEEEEAELADGAPCSSQQRCASTPGIGRAGMNRGNGMRQIEEEQQWQHSHIYNCGNEQYGHASSREDEPSTIPREMRVENGYGVIGRREGGPASSYWDLLRAHLSDPLTGILMDDAMILSCGHSYGSNGMQHIYRMKACGKCGQPITEDSIRPNLALRLAVQAFKREEESAKSLKRRRERLEQDKCGNDEPNPTEISRGKGVQFPFAVFDRVIIKGNKRTPERFVGRVAVVTAQCLNGWYVVKTLDNAESVKLQYRSLAKFTDGGQSSAMVGKRLRYLTKHPHSDIQSMATDLLGYWKKVVIEEGKKNGTTENVGSTNSAARAEKAQPMKVDKSSASGSVKPEKREVNVRGQKPESIKVEKITNNDSKNQQVKVERAPKEATRTPDTKKPSSVPNGPPKLTSLVKCNDPTRDKIRELLADAFSRVHGETSKDDREEVRNILDEVDARDPFRVAVTVESALFERLGRSTGAHKAKYRSIMFNLRADNNTDFRRRVLLGQVRPERLVDISPEEMASDARKLENKQIKEKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >ONIVA03G40370.2 pep chromosome:AWHD00000000:3:33640522:33644433:-1 gene:ONIVA03G40370 transcript:ONIVA03G40370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPGAHRAASSSGALAPAAFPAAAHFASRAAAPFLRQQPHPGGGGDSDGDNAVEEVDEGDDDEDDEEEEAELADGAPCSSQQRCASTPGIGRAGMNRGNGMRQIEEEQQWQHSHIYNCGNEQYGHASSREDEPSTIPREMRVENGYGVIGRREGGPASSYWDLLRAHLSDPLTGILMDDAMILSCGHSYGSNGMQHIYRMKACGKCGQPITEDSIRPNLALRLAVQAFKREEESAKSLKRRRERLEQDKCGNDEPNPTEISRGKGVQFPFAVFDRVIIKGNKRTPERFVGRVAVVTAQCLNGWYVVKTLDNAESVKLQYRSLAKFTDGGQSSAMVANNAQNANWLRRRGARGG >ONIVA03G40370.3 pep chromosome:AWHD00000000:3:33638037:33640482:-1 gene:ONIVA03G40370 transcript:ONIVA03G40370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLETFEAAKKAADAAAGADDSPEADRCLDAMRRLRGLRVTTDVLVSTQVGKRLRYLTKHPHSDIQSMATDLLGYWKKVVIEEGKKNGTTENVGSTNSAARAEKAQPMKVDKSSASGSVKPEKREVNVRGQKPESIKVEKITNNDSKNQQVKVERAPKEATRTPDTKKPSSVPNGPPKLTSLVKCNDPTRDKIRELLADAFSRVHGETSKDDREEVRNILDEVDARDPFRVAVTVESALFERLGRSTGAHKAKYRSIMFNLRADNNTDFRRRVLLGQVRPERLVDISPEEMASDARKLENKQIKEKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >ONIVA03G40360.1 pep chromosome:AWHD00000000:3:33635339:33636064:-1 gene:ONIVA03G40360 transcript:ONIVA03G40360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNPSLHELAAGAAPRGRVVRILVRDADATDSSSSEDEAVAVAQPRPRRRGKVGGGGGGGGGVKRRVMEAGAGEARPTARFRGVRQRPWGRFAAEIRDPHLRRRLWLGTFDTAEEAAAAYDAASVRLRGSSAATNFASVRCYSLPPELPKPTISPPEAAVRPITLPIGTAKPTLLPRVKEEGESCGGRVKEEASSCEVQVLAPEPMWTMISGKRKKRSGCGTRVRAFHAVSARVEEVGGA >ONIVA03G40350.1 pep chromosome:AWHD00000000:3:33628992:33633453:1 gene:ONIVA03G40350 transcript:ONIVA03G40350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSPGFSPARNLSPQIRSNPTDVDSQYLAELLAEHQKLGPFMQVLPICSKLLSQEIMRVSSIVHNHGFGDFDRHRFRSPSPMSSPNPRSNRSGNGFSPWNGLHQERLGFPQGTSMDWQGAPPSPSSHVVKKILRLDVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDKLRGKPGYEHLSDPLHILIEAEFPASIIDARLRHAQEVIEELLKPVDESQDFYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ >ONIVA03G40340.1 pep chromosome:AWHD00000000:3:33617436:33619460:1 gene:ONIVA03G40340 transcript:ONIVA03G40340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L17 family protein [Source:Projected from Arabidopsis thaliana (AT3G54210) TAIR;Acc:AT3G54210] MAAFATATPSPAISVSPWSMSSLRAALPSPTRASPAGKLRSSFSPAAAATAASVGCLSSFSGLTPISPLLSLGEETSSFEHRLFGIDARGRIVAMRHGRRVPKLSRPPDQRKALLRGLTTQLLKYGRIKTTRPRAKAMRKYVEKMITLAKDGSLHKRRQALAFIYEKHIVHALFAEVADRYGEREGGYTRIIPTFPRRGDNAPMAYIELV >ONIVA03G40330.1 pep chromosome:AWHD00000000:3:33610794:33615919:-1 gene:ONIVA03G40330 transcript:ONIVA03G40330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVI5] MKVIEKIQEAAADGRTVFSFEYFPPKTEEGLDNLFERMDRMVAHGPNFCDITWGAGGSTADLTLEIANRMQNMVCVETMMHLTCTNMPVEKIDDALTTIKSNGIQNVLALRGDPPHGQDKFVQVAGGFACALDLVQHIRAKYGDYFGITVAGYPEAHPDAIQSTEGATPEAYSNDLAYLKQKVDAGADLIITQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPAEITAALEPIKDNEDAVKAYGIHLGTEMCKKILATGIKTLHLYTLNMEKSALGILMNLGLIEESKISRSLPWRPPTNVFRVKEDVRPIFWANRPKSYISRTLGWDQYPHGRWGDSRNPSYGALTDYQFTRPRGRGKKLQEEWAVPVKSVEDINERFMNFCQGKLTSSPWSELDGLQPETKIIDDQLVKINQKGFLTINSQPAVNGERSDSTSVGWGGPGGYVYQKAYLEFFCSKEKLDQLIEKSKAFPSLTYIAVNKDGESFSNIPTNAVNAVTWGVFPGKEIVQPTVVDSASFMVWKDEAFEIWSKGWACLFPEGDSSREILDKVQKSYFLVSLVDNDYINGDLFAAFKEI >ONIVA03G40320.1 pep chromosome:AWHD00000000:3:33608760:33610175:1 gene:ONIVA03G40320 transcript:ONIVA03G40320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress-induced transcription factor NAC1 [Source:UniProtKB/TrEMBL;Acc:A0A0A7DTE2] MGMRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIAEVDLYKFDPWDLPERALFGAREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPRGRTLGIKKALVFYAGKAPRGVKTDWIMHEYRLADAGRAAAGAKKGSLRLDDWVLCRLYNKKNEWEKMQQGKEVKEEASDMVTSQSHSHTHSWGETRTPESEIVDNDPFPELDSFPAFQPAPPPATAMMVPKKESMDDATAAAAAAATIPRNNSSLFVDLSYDDIQGMYSGLDMLPPGDDFYSSLFASPRVKGTTPRAGAGMGMVPF >ONIVA03G40310.1 pep chromosome:AWHD00000000:3:33596109:33600953:-1 gene:ONIVA03G40310 transcript:ONIVA03G40310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFLRAGTYSEALWHAYASKMGGGNAYWDDRLTKIFPDICIAEKEKLNYNKKGLTKVGWQNVYRNFREQTCKNYDSKQLQNKSNTLKRQHSLWKKLKNKSGAGWDNNTGTIRCDDDWWEDRIEEDREAKQFRHKPLAHEDELTILFGSMDDVEDGIGDRTPCGGSEDNCTPIPTGHVGLSEDNAGRSSVGREAQRAGKEQVVDSPPPKKTKNMEYYVERISESMLEKSRNESSVIRGEQEEVTELLLQVEQDGVAQGSELYYIATDLFRSPARRAAFRCIRAPEHRIGWLRWTWDNARKNSSPLRKRWSKPSKEIKTRMSSSETSSSTSSSGTHFRDEWSTWWDMGAIVGVLAALASSSSVHMTLLDLGTKDTLRVNLFLPLVSVEPPPTRRQLARPHCHLGRLVILPAHMHSILARTGPGAQAKPKFHEPTSWATPVPPSQLPTS >ONIVA03G40310.2 pep chromosome:AWHD00000000:3:33596109:33600953:-1 gene:ONIVA03G40310 transcript:ONIVA03G40310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFLRAGTYSEALWHAYASKMGGGNAYWDDRLTKIFPDICIAEKEKLNYNKKGLTKVGWQNVYRNFREQTCKNYDSKQLQNKSNTLKRQHSLWKKLKNKSGAGWDNNTGTIRCDDDWWEDRIEEDREAKQFRHKPLAHEDELTILFGSMDDVEDGIGDRTPCGGSEDNCTPIPTGHVGLSEDNAGRSSVGREAQRAGKEQVVDSPPPKKTKNMEYYVERISESMLEKSRNESSVIRGEQEEVTELLLQVEQDGVAQGSELYYIATDLFRSPARRAAFRCIRAPEHRIGWLRWTWDNARKNSSPLRKRWSKPSKEIKTRMSSSETSSSTSSSGTHFRDEWSTWWDMGAIVGVLAALASSSSGGCDLGTKDTLRVNLFLPLVSVEPPPTRRQLARPHCHLGRLVILPAHMHSILARTGPGAQAKPKFHEPTSWATPVPPSQLPTS >ONIVA03G40300.1 pep chromosome:AWHD00000000:3:33591973:33594451:-1 gene:ONIVA03G40300 transcript:ONIVA03G40300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVRTAKIFEGKDPVRWLSNLYDFYNKAAKPEQGESGGGADEHQRRVAEVLEALRLPPVEAVKLREVLEGHRLPDDPAPPSWMEFIEVPSPPPNLEYGVDLTNYLGAVGDEPEQTWWAALAAHRWVFLFGGLAILGLFGYGVYWMIRRRNRRGEAAPPGATGADPPDNPPPATAAASVQGGADSPARGGRGSPPPASEPPGGATSLDEEDVPPQEVYPEGTQFMILLFERPIIYDVRARPNLVESTSGSHDLQMVKIGLRVLTRPLPEKLPTIYRSLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKAEQDKRSAIIRAQGEAKSAQLIGEAINNNPAFLALRQIEAAREISHTMARSNNKVYLDSKELLLGLQQLNVDSKNKK >ONIVA03G40300.2 pep chromosome:AWHD00000000:3:33591973:33594451:-1 gene:ONIVA03G40300 transcript:ONIVA03G40300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVRTAKIFEGKDPVRWLSNLYDFYNKAAKPEQGESGGGADEHQRRVAEVLEALRLPPVEAVKLREVLEGHRLPDDPAPPSWMEFIEVPSPPPNLEYGVDLTNYLGAVGDEPEQTWWAALAAHRWVFLFGGLAILGLFGYGVYWMIRRRNRRGEAAPPGATGADPPDNPPPATAAASVQGGADSPARGGRGSPPPASEPPGGATSLDEEDVPPQEVYPEGTQFMILLFERPIIYDVRARPNLVESTSGSHDLQMVKIGLRVLTRPLPEKLPTIYRSLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITSLSFGKEFTHAIEAKQGEAKSAQLIGEAINNNPAFLALRQIEAAREISHTMARSNNKVYLDSKELLLGLQQLNVDSKNKK >ONIVA03G40290.1 pep chromosome:AWHD00000000:3:33589831:33590496:-1 gene:ONIVA03G40290 transcript:ONIVA03G40290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMAKHLRRRGLAVVVVAVIDPPDNDATSADATARLAAANPSITFRLLPAPPSPDAGAHPARRALDTLRAWANPVLREFLRSLPDAVDALLLDVAAYFFFPSRASALAALLHLPYYYPEACGNFGSKTRNTPHGACSPATRPRLATTAPTSWRESRAAATSSSPPAMTQLLDCFMSQKGRVSRRCRVCVLCGDWRGATWTRISVRPVLRRPLRSRPPPAL >ONIVA03G40280.1 pep chromosome:AWHD00000000:3:33578615:33578920:1 gene:ONIVA03G40280 transcript:ONIVA03G40280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRNPRTGTEWSLTSWRAPDDPMMGDCRRVMDTRRLLDNISWCSADKKYRTGQWNGMWFSGVPEMASYSSMFANQVVVKPDGDRLRLLRRHPLLPPRAD >ONIVA03G40270.1 pep chromosome:AWHD00000000:3:33557775:33559166:1 gene:ONIVA03G40270 transcript:ONIVA03G40270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAALVAWLARDVSAARAAAHLAAAAASRAARSSAVASRPCARSAAVAARRAARQAAVAASRAAHAVCYLDDYEPSDDGPDPGAFLTDFDESGDDFTDKDFESDEAIWALCERWCKAYDKKRDLAEMTHRFKIFKQNAEALHRSNEGASKYEKIYCGPYCDGFDEQERAEALLKFRHFPRVCEYIESLEIVFPKSREVDSPNQSP >ONIVA03G40260.1 pep chromosome:AWHD00000000:3:33546331:33551272:1 gene:ONIVA03G40260 transcript:ONIVA03G40260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDEKGMNKHGVPPPGGHFVPEDHELLQILQSNRYLRYAIIKATCRYSVYGSFASTSAEPCGACEEVAELAESKMAGEGELRPAGGVPRVFDRDGDGYVSAAELRSVLRRLGMEEGARHGDCVRMIAAHDGDGDGRISFQEFRAMMENAA >ONIVA03G40250.1 pep chromosome:AWHD00000000:3:33546226:33548140:-1 gene:ONIVA03G40250 transcript:ONIVA03G40250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRFSLLLHLRRMISTAVTLSTPVEGSPLKFHPDLNKKVEFRATIGEEFVEFLENELKIDDSSTAEVDANDPYTESGGKNKQDGNTNTNTSTSSFDDSVSEIAVALENLKELMVLGHEVAARRRDAVLVHPLLVFPHLPVHAPATLVRSARLGRREAERVSRHGGGGGDPS >ONIVA03G40240.1 pep chromosome:AWHD00000000:3:33543374:33544466:1 gene:ONIVA03G40240 transcript:ONIVA03G40240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEDGEEKMAGKNKHGFPLGFRFVPEDQELLDILDDKLRGAPLDRAHDAVFHEARILDFHTAKLYGATMGLAAMPFAAGMRHAWGRLFRWRGREALRAWQLPANRRLWGDPGSSASGWASSPRLTLCLLSSKPHAVVGVGHVAAAAAAEEGAGGWQEKGHLDALALALAPSTSPSASPGSPPAATPSSAPRHDAHPAHGGFAHRQYPAFLTGEQERWTRGGHDTKAVSDVVDIVVAARRVGVGEDSCGAGGAAPFDDDRYVEGHVGVFKLGLFSAQSETIRVLEFYVKGVRTNWGMHEFIRIIGPDNEVFTMLYLLVMIELFGLIWMQLVRS >ONIVA03G40230.1 pep chromosome:AWHD00000000:3:33539423:33542668:-1 gene:ONIVA03G40230 transcript:ONIVA03G40230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTSKIFEQDSELRKALLNSVDHFYNKVIKPLLESDGGGGGGGGSGGGCGGGGGGGGGSSGGGGSEVLERRVTEVLQVYGLPGKLPELRLPGVLPKPEPRLPDEPKPPSWMVFVEAPLPPPSLSYEDGGNGDSNHTITMVVADVHSEPPLSGWWLARILLRWRRKIEELPRHVIYVIGAAAIVGTGYIIYLLVKRRRRPRDARPPLPGNGGQPPPGGDHPQAPKLKHLPDDRAASGGDDAEYDEDQGPGDGDETGGEGSAAYGLHDIAAFAVAFSNSPTGPTLAVENNPAFLALQQIKVAREICNNKAVRLLQLLNPEKSHFSIPWFERLTIFDVCPRPNLVESTSGSRDLQMVRPGLGVLTRPLPTKYRSLGDNFCERFKSFRKEFIHAIETKQVDEQEAQRAKFIVEKAEQHKRKAVITEQACLNRVKLRVHWSSLQLEEEELGIFEKVQEVEVSKEFGCHGSPVILDVGFTTTEENNTGRDEFSLLK >ONIVA03G40220.1 pep chromosome:AWHD00000000:3:33526573:33535463:1 gene:ONIVA03G40220 transcript:ONIVA03G40220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFVATIEYCSLAVSVSSLLIRFVLHPLVRDAIVLVMSRAGASSLSCALLGLLAHDDTALFAADDRRCAAVVEPPPPLRRECELCARRGGAGLSRRDVAAVVASLGMVAAGEDDDDDDGDDDEACRACEAVAAVEEMTEGKVAGDSELREAFYVFDRDEDGYVSAAELWNVLRRLGMEEGARYGDCVRMIAAYDGDGDGRISFQEFRAMMENTQLVMASIDRGLVVSVSSLLIVCRGVRAVSRALVRLALLLLVRVVDEDDDFRYCAAAAAGDDAVVQPPRPRCCERCAAAPWLSRHDVAAVVESLGLVAAAAADEDDEACGACEAVAAVEELAESKVVGEGELRGAFRVFDRDGDGYVSAAELRSVLRRLGMEEGARHGDCVRMIAAHDGDGDGRISFQEGGEDDDDAAAARLSRHDVAVVVASLGLVGAADEDDEACGACEAVAAVEELAESKVAGEGELREAFRVFDRDGDGYVSAAELRRLGMEEGARHGDCVRMIAAHDGDGDGDGRISFQEFTRTE >ONIVA03G40210.1 pep chromosome:AWHD00000000:3:33521569:33525183:1 gene:ONIVA03G40210 transcript:ONIVA03G40210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQGDRYWCHHCEEVIEPVEPDMKCPSCDSGFVEEMGSAGFEPSTNLRSDRISLWAPLLLGMMGGSSQRARRLRRQIMEDDDDDDDNEDDEDDSDHELEDLFRRQRRGSSLVRLLQTLRDDLRGLDDIGRDSDRDRERERRERERLRERERERERMRERERERRRERTESLILINSNNEAIILQGTFGPDDNQDDSSNTSSGVSLGDYFLGPGLDILLQRLAESDLNRSGTPPAKKEAVEALPTVNIQEVLGCSVCLEDFEMGTEAKEMPCQHKFHSQCILPWLELHSSCPICRFQLPTEELKNPCESAGGIVSVNDDGDDAGTSSDVDSANQPGSPIFSALSALFSNPSSSSSSSSDDNAPHSSES >ONIVA03G40200.1 pep chromosome:AWHD00000000:3:33516408:33516806:-1 gene:ONIVA03G40200 transcript:ONIVA03G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSVTGDGAPHRRWRPRSVCFSSPRGALGGDDDKGKPHSARNYPTSHHRLLRSNSFLLHLVADEYLDTQCSDGDGEVENGHDITFLLPPPPLPTERERKNVLGRGGASASVGRRAERLQMPAFPPPAVHR >ONIVA03G40190.1 pep chromosome:AWHD00000000:3:33500642:33514867:-1 gene:ONIVA03G40190 transcript:ONIVA03G40190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA GYRASE A [Source:Projected from Arabidopsis thaliana (AT3G10690) TAIR;Acc:AT3G10690] MALSAALRLPLPRLLWGPTGSLLAAAAAASRRRAAVVAVPAVRFLSSSSSSSDGSRSVQPLRAGRDERAAAGEGGAAVKERVVPVELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLASRRPFRKCARVVGEVLGKFHPHGDSAVYETLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDSLTEAMFLTDLELNTVDFVPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDVLSVMIENPEATLQELLECMPGPDFPTGGTIVGNQGILEAYKTGRGRVVMRGKTDIETIDVKSKRSAIIIKEVPYQTNKSTLVERIAELVEEKVLEGISDIRDESDRSGMRVVIELKRGADPAIVLNNLYRHTALQSSFSCNMVAILDGQPKLMGLKEILQAFIDFRCSVIERRARFKLSQALERKHIVEGIVIGLDNLDSVIQIIRGTSNHAMARESLIKEFGLSDKQAEALLDITLRKLTSLERKKFVDEAKSLSEEISKLNELLSNKKLIFQLILQEATDLKNKFATPRRSFIEDSASTEVDDLDIIPNEEMLLILSEKGYVKRMKPNTFNLQNRGTIGKSVGKMRMNDNTSDFIVCQTHDHVLYFSDKGIVYSARAYKIPECTRAATGTPLLLSLSDGERITSIVPVNEFGEDQYLVMLTVNGYIKKVPLNAFSAIRTSGIISIQLAPGDELKWVRRCGDDDLVALASQNGMVIVNTCNKLRALGRKTRGVLAMKLKEGDKMASMDIIPATSHNMPETYSRVRDLSPPWLLFIADNGIGKRVPLNAFRQGNFNRVGLQGYKMTVKVTNRGVILMRLEHAGKIQSASLISAAEEEEEQDPESASLISEAEEPEKQDPESLLLNR >ONIVA03G40180.1 pep chromosome:AWHD00000000:3:33497527:33500587:1 gene:ONIVA03G40180 transcript:ONIVA03G40180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLGGGSGARRVDLSCVNGRRLTSRLLVVCRGEAGEEMGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >ONIVA03G40170.1 pep chromosome:AWHD00000000:3:33493756:33496385:-1 gene:ONIVA03G40170 transcript:ONIVA03G40170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINPVAGEVAAATAKAPPPAMATVRAPLPANHYLPYHSASAAGSYAANTQSTSSPVSPASPAMISSSSSSLPPQQQRTWQPQPTTFSQANPGHAYQQDHLPAVAGRRFFPPPAMQMQYYHQQPAGVAMVGSGHPMAAPVHSSPLATTSGSNHAVVPDAPPQEPAKRRRRNTAAAATARWGRGRPRGATASSAHSAPPPPPQQQPTTSAPAITAQRNDDVNQEDDNQSSKNSAEEAVVVAGGEPPAATSTLAIVPRHGDVGDADRPVSPYSDIPGVRFTPTDQELIIHFLKPKYNLRDAMPTNIIVIKQLDVCKLNLDELHGDLGLGKSLDGAWYVFSPRSRYKERGVRPARGIKTTAVGYWKSNSAEADVVDDDGEVIGRVNSLTLALGHQPRGKATHWRMKEYRIPHLLRMKVVKLKLNSRGGTYKRTAYSLFPLDEWVLCKLYHSFAYKQKGKCKVHEEGSKSDRGVQDLSIDDDRKTCDIEANKPNGV >ONIVA03G40160.1 pep chromosome:AWHD00000000:3:33491941:33493005:-1 gene:ONIVA03G40160 transcript:ONIVA03G40160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L36 [Source:Projected from Arabidopsis thaliana (AT5G20180) TAIR;Acc:AT5G20180] MKVRASVKRLCAYCKVVKRRGIVFIQCKANAKHKQRQGFSTLAEAAAACHPPPPPLLTNTSPAVAAAVAEASKVAKQEPSMKFNWPLGLAALLKNSDK >ONIVA03G40150.1 pep chromosome:AWHD00000000:3:33489615:33490007:1 gene:ONIVA03G40150 transcript:ONIVA03G40150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSLRKSNARLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRLGNAKDTRGTAFVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQPAKMSKKSDVKKKEEEITRLQEKYGLGSKTPSSAPDA >ONIVA03G40140.1 pep chromosome:AWHD00000000:3:33486716:33489301:-1 gene:ONIVA03G40140 transcript:ONIVA03G40140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT2G38730) TAIR;Acc:AT2G38730] MASSGGAAISAGPTPPSAAAASSVDWHLRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIVPKTAENFRQFCTGEHRKSGLPQGYKGCQFHRVIKDFMIQGGDYMKGDGTGCTSIYGTKFDDENFIAKHTGPGLLSMANSGVNSNGSQFFITCAKCEWLDNKHVVFGRVLGDGMLAVRKIENVATGPNNRPKLACVISECGEM >ONIVA03G40130.1 pep chromosome:AWHD00000000:3:33485050:33486000:-1 gene:ONIVA03G40130 transcript:ONIVA03G40130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTPSSPLSRATPPRSPTAGGTPSRLAVAPPSPTTPQCAIPASPHTPGRGRAPPPATPRTPRPEITLRQPSSQAQQKQKRAPSAAARRPSRALRAIRALLRSLPFVAPAACRPSSALPRRHNRPHDGHAGGGARVTGTFYGHRRARITLAVQERPGSLPSLVLELGVPTAKLMQEISTGGGHVRVALECEKRPKKLPSAPPEQASVSLLEETMWTAYVNGRRVGYAVRREASESDLAVMQLLSTVSVGAGVLPGDVLAEPAGAEGDGEVTYMRAGFDRVAGSKDSESFYMVNPDGDAGAGAGGGTELSIFFVRV >ONIVA03G40120.1 pep chromosome:AWHD00000000:3:33481793:33482521:-1 gene:ONIVA03G40120 transcript:ONIVA03G40120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETTSPFHHETPPTPTPPPRSPSPPNPPHLIVATGPAQYPTPPPNPNPSSPSSFPPLAAAAAGAGGSCWIEVPRVAKSVGA >ONIVA03G40110.1 pep chromosome:AWHD00000000:3:33479064:33481768:-1 gene:ONIVA03G40110 transcript:ONIVA03G40110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGNPPLNAAEAVLKRPRSVASRKPRSKEQLISEFKDLSCTPSRSISPEDDAGVEGSGHRRKELYLNGPEARGSVPHRSDASRKIKRDDNRAAGDHDEQNKSSKSKDGKHTSEGVLALACTRNSGSPDGQHLPPKDTTSMPGLRKVKLKVSGITRPLHTKNIQEASDGGTLGTSDGSSQRHKQKDSGGHKHHQDKHDVSPSSDLVRKSKRIPKKRTLDGDSGDEDGGSGYLVKFKIAKVVPEQSIATDHAGEYDESLEDDIKKKRLSKVSKNKSLPYEVDEDFTMYRSGRDGRKKLKLVDSDDFIEEEPEMDEPKKRLSEADSHSDVKNETTGLITRQRALQGRGGNGQKDKASEVEAQAKKAEAAQRRKLQVEKAEREQQAEAVRKILGIDIEKKKEERKQKERDEKEKQEKTEEYRRNCIQCVMGPEGTVVTFPDKMGLPSIFDSKPISYPPPREKCAGPSCTNPYKYRDSKTKLPLCSLQCYKAVQGSSEAAQGSSETLTC >ONIVA03G40100.1 pep chromosome:AWHD00000000:3:33463926:33465262:1 gene:ONIVA03G40100 transcript:ONIVA03G40100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVEVAAAAAAAGRMQGEAVVPMMLPPFFMDSGIWPAAAGVVDVAASAEEEAAAAAAAQDRALAASRNHREAEKRRRERIKSHLDRLRAIDKASLLAKAVERVRDLKQRMAGIGEAAPAHLFPTEHDEIVVLASGGGGVGGAGGAAAVFEASVCCDDRSDLLPELIETLRALRLRTLRAEMATLGGRVRNVLVLARDAGGAGEGGDGDDDRAGYSAVSNDGGDFLKEALRALVERPGAAAGDRPKRRRVVSDMNMQAAA >ONIVA03G40090.1 pep chromosome:AWHD00000000:3:33459163:33461618:1 gene:ONIVA03G40090 transcript:ONIVA03G40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLISRQGKVRLTKWYNPYPQKERSKLFRTVCRYASLYFCMCIDAADNELETLQIIHHFVEILDRYFGNVCELDLIFNFHKAYFILDEVLIAGELQESNKKAVLRLITTQDNLVEAAKEEASSLRNIIAQATK >ONIVA03G40080.1 pep chromosome:AWHD00000000:3:33452481:33458176:1 gene:ONIVA03G40080 transcript:ONIVA03G40080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGYHSPRFSEDIAFLPQWLQPHRPLAVGEHGKDSAAVSSPSCVNCAFIGGPAQEQHSCLNTMVNAASCSGFSLHLSGDEGTPTGTTPSNGNVVPFSLHLSSESTSKLSSTQANGLNSVTCKDVLGGFCIDDQAQEIKTVPQNQSEAKDLREICKMSREEINKTCDSKGHRRQQLSGRKVDLRSADVNDAVELSIAASEAMVIAEMILLDSQSDKLATASLEAALHVKEARKQCFLEELEHSCGSSESDLDETDGLSELDETEMLDAFQDVGLSLVQTACASQGQNISGLKQEISHASSHPCDAEAHVLESSPEKQNIRWNSHDADTNDHVSDSLASHNKEGGVVAVQTNVGTRKHVKGLFNKETSFISESMDGMDEFPSPSRIASMEMAASSRASFLHKIKGSCEENQGAEAAQLCSQVVCSNLSLVDPLCSIVPCSISFNEGPPSQAPECIQSKGDKELISTKEFPSKQDLEGEAGPSCTPVSNILLRRRKYSSLRPFSTIAPRPYVSKSTEPHNDVDEAVCQQGSFAAVTLNKKIRRVQASKVCVENNFEAGNLHEFSKVLKNPSYAQGVSEHQNSMKSLKRKKAQFSEAKISTRKTKNIRRTQTKSRFSWSDSRLIDTIEPREYIDNKEALFHGLDFLLTGFQSHKEKEIEPLIRKFGGYVLSRVPSCPLDKRSKLAELARCKPLIVLSPKKVSTAKFLYGCAINSWILNPSWLFDSIQAGVMLPPGKYFIRQVHAMQGISMFDQSLHLRKNTLLFDGVGFLILGKISFCSKFSNVIKHGGGQVFASLQGLVQSLKDRSSSHGIILVANEASASRHLSYCGLEHDIKTAPASWVIGSLYSGKLIPLKKDRCASFRKIKMPSFQQPQAFDMSQEI >ONIVA03G40070.1 pep chromosome:AWHD00000000:3:33445308:33452255:1 gene:ONIVA03G40070 transcript:ONIVA03G40070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALBINA 1 [Source:Projected from Arabidopsis thaliana (AT1G08520) TAIR;Acc:AT1G08520] MAMATTALSASLPRLLPPRRRRFPTPSSSSPSAASTSTSRVVRLRAAAASAPSEVLDSTNGAIPSGKGGGGQQYGREYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSIANADPNYPEEWEEGLANQVQYDADGNLKTEIIKTPFVQIPLGITEDRLIGSVDVEASVKSGTTVFQPGLLAEAHRGVLYVDEINLLDEGVSNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVDIATQFQESSKEVFKMVEEETEVAKTQIILAREYLKDVAISTEQLKYLVMEAIRGGCQGHRAELYAARVAKCLAAMEGREKVELVILPRSILSDNPQEQQDQQPPPPPPPPPPQDQDSQEDQDEDEEEDQELISCTLGQDDDEENEQQDQQIPEEFIFDAEGGIVDEKLLFFAQQAQRRRGKAGRAKNLIFSSDRGRYIGSMLPKGPIRRLAVDATLRAAAPYQKLRREKDRDKTRKVFVEKTDMRAKRMARKAGALIIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDFAEVLLPPSRSIAMARNRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKKSTDPEATSDAPRPSSQELKDEILEVAGKIYKAGISLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALSDLKSS >ONIVA03G40060.1 pep chromosome:AWHD00000000:3:33437398:33440807:-1 gene:ONIVA03G40060 transcript:ONIVA03G40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGEASLGGFKIGPSTLVGRGVAIRVLLLSSLWRLRERAYAAASRVRGAALPVVAPWLHLRNTHGILLVVVLFALFLRRLSGARSRAALARRRLQCKKAMRYAASYEEWARAAKVLDKMSEQVSESDFYDEELIRNRLEELRRRREEGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPKLIKDYIDEVSAQLKMVCESDTDDLLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIVAGSSVGSIICSIVATRTWPEIQSFFVDSLQTLQFFDRIGGIFAVTKRVMTYGALHDISQMQRLLRDLTGNLTFQEAYDMTGRVLGVTVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKNRFGEIVPFHAPFSTDPEQGPGASKRRWRDGSLEMDLPMMQLKELFNVNHFIVSQTNPHISPLLRLKEIVTTYGGRFAGKLARLAEMEVKYRCNQILEIGLPLGGLAKLFAQDWEGDVTMVMPATAAQYLKIIQNPTYAELQMAANQGRRCTWEKISAIRTNCAIELALDESIAVLNHKRRLKRSMERVASASQGYTCSSVIRTPRRVPSWSCISRENSTGSLSEDCFATTSSSTHQGIQVVATPNVIHNDGSESESETIDLNSWTRSGGPLMRTSSADMFINFIQNLEIESEFNTGNSSGSTVSKDSCPNNNSGVTAQGTDRSTDTSETGSCNTGNNIASQPSTSTSIAVSEGELLQPERSTNGILINVVKRKCVFGEHESEAETESYVDTTNLDTSDCPGDNKDAADSNDLSAAHTDSVTSQHSSADE >ONIVA03G40050.1 pep chromosome:AWHD00000000:3:33434395:33434619:-1 gene:ONIVA03G40050 transcript:ONIVA03G40050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRRTSSRQVSWSPPRSELRPVRTGEVAGDGEVELKLELLALVCVLGCYTSLHYYGGARVLEWIAIKMTK >ONIVA03G40040.1 pep chromosome:AWHD00000000:3:33434323:33439802:1 gene:ONIVA03G40040 transcript:ONIVA03G40040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G52340) TAIR;Acc:AT1G52340] MSAAAAAAASSPAPRLESKVALVTGGASGIGEAIVRLFREHGAKVCIADIQDEAGQKLRDSLGGDQDVLFVHCDVSVEEDVARAVDATAEKFGTLDIMVNNAGFTGQKITDIRNIDFSEVRKVIDINLVGVFHGMKHAARIMIPNKKGSIISLGSVSSVIGGLGPHSYTATKHAVVGLTKNVAGELGKHGIRVNCVSPYAVPTALSMPYLPQGERKDDALKDFFAFVGGEANLKGVDLLPKDVAQAVLYLASDEARYISALNLMVDGGFTSVNHNLRAFED >ONIVA03G40030.1 pep chromosome:AWHD00000000:3:33431421:33433321:-1 gene:ONIVA03G40030 transcript:ONIVA03G40030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAWSSRAAAKRDVRVAVIGDHGTGKSSLVATITTGRFPDQDDGVARVLPPARLPVTIVDTSSRPNTLERITTFWLPKIRRLLQLKVPVILAGCKVDLSDKQQQAGLENVLDFIMCTFREVEIYLECSALHRIKVDEVFYCAQMAVLHPTTPLFDKATRSIKPRCMMAFQQIFSLYDRDKDGAVSDAEMNAFLVRCFKVSLQPAEIADMKRVVQQHMIGGVNDNGLITFIVFLYLHVVFIAKGREETTWAVLRKFGYDNELVHGFSWWPRALALQRRPIS >ONIVA03G40020.1 pep chromosome:AWHD00000000:3:33423373:33429113:-1 gene:ONIVA03G40020 transcript:ONIVA03G40020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVF2] MAAAATAANLAGKQGVRVVVIGDPGTGKSSLVVSVATEAFPENVPRVMPPTRLPADYFPDRVPITIVDTSSSPEHRAKLIAECQAADAVVLTYACDRPATLERLSTFWLPELRRLQLKAPVIVVGCKLDLRDEQQVSLEQVMAPIMQTFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFILCDHDRDGALSDVELNDFQVKCFNAPLQPTEIAGVKRVVQEKMPEGVNDNGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNEIKLRDDLIPTIKRAPDQTLELTGQAIDFLRGIFNMFDTDNDDALLPAELDDLFSTAPENPWSNNPYVDCAERNVLGGLSLEGFLSKWALMTLLDPANSFANLIYVGYSGDFGSAFTTMRKRRVDRKKQQTQRNVFQCYVFGPRGAGKTALLQSFLGRQPSDALPMNGERFAANTVELSGSRKTLVFREIPEDDVRPLLADRESLAPCDVAVFVYDSCDEFSWQRTRDLLVEVATHGENTGYEVPCLIVAAKDDLDQSPLALQESTRVSQDMGIEMPIPISVRLRDLNNIFCRIVHAAQQPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAVAVVGIAAYRVYAARKNTSS >ONIVA03G40010.1 pep chromosome:AWHD00000000:3:33412574:33416340:-1 gene:ONIVA03G40010 transcript:ONIVA03G40010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRTSNDETSDDEPKKIVEVLMINSQSGPGLLFPKGGWENDETVEQAAAREAVEEAGVRGDIVQFLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELDSWPEQSTRRRTWLTVPEATSQCRYQWMQEALLTGFSDWHDNWSKGGGGDTNYDSL >ONIVA03G40000.1 pep chromosome:AWHD00000000:3:33401378:33402451:1 gene:ONIVA03G40000 transcript:ONIVA03G40000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYMAVAAAPAPPASLTLLPRTTTVIRDRERFDAAVPVAPLVLRHGAGVKHKAVVVMGATGTGKSRLAVDLALRFGGEVINSDKMQIHSGLDVVTNKVTEEECAGVPHHLIGVARPDDEFTAADFRREAARAAAGAVERGRLPIIAGGSNSYVEELVEGDGRAFRERYECCFLWVDVDLEVLRGFVARRVDEMCRRGLVREVAAAFDPRRTDYSRGIWRAIGVPELDAYLRSRGDGADEEERARMLAAAVAEIKSNTFRLACRQHRKIERLDRMWRARRVDATEVFRRRGHAADDAWQRLVAAPCIDAVRSFLFEDQERSSIAAGKPPLFAAGKATSGNISVFASAAAAMAAAAAI >ONIVA03G39990.1 pep chromosome:AWHD00000000:3:33394693:33395576:-1 gene:ONIVA03G39990 transcript:ONIVA03G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEMEMDGMVVAGAIMGCGGNESESDREQPPGLQDETRRDAGLGLWTACALRPCLPKYTVGVAQPLRENDAGMTSRAVSRGNTASPRGFAD >ONIVA03G39980.1 pep chromosome:AWHD00000000:3:33388701:33393806:1 gene:ONIVA03G39980 transcript:ONIVA03G39980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEDVEFVDYDRDEEEEEDAMDEDDRGGGRGGRTLPVPHIVSQGVMRSRGRLLGRSTSVLASNRDRFDSLADAGNPGHGPQRSIEGWILLVSGVKEDAEEDDLYNTFSDFGHVKDLHLNLERRTGYAKGYALVEYESFEEAQTAIKAMNGTQLLTRTVYVDWAFSRETHQYKAITSAISDSTSQACCLDMLTGSYYVRNPECSRSVFFDRWLQL >ONIVA03G39970.1 pep chromosome:AWHD00000000:3:33386131:33388437:-1 gene:ONIVA03G39970 transcript:ONIVA03G39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05250) TAIR;Acc:AT3G05250] MAAAATSPSAASSSGGSGGNTAEGEMAAASAACACPICLDSFLDEAYLDTCFHSFCYKCICQWVKIVSTKHAEPLSSVQCPLCKTVNVSIIHGFNGESFERHYINQDPRKRHLSDAHDLITQFYSIRDIIGNTSSVQQFWKQRKYLRKNIWLQTWLRQEIQALTRDENVDAIIYHIHGVIESFMKRQEKGHASKMAPPEKRREEFKSLLMEAARPFLLGQTERFVAEVELFLVSHLNIDAYSRLRVQRLKESTSHVSREQDVLPQDRSLEDHYLYFLGDETDCNDEI >ONIVA03G39960.1 pep chromosome:AWHD00000000:3:33380606:33387829:1 gene:ONIVA03G39960 transcript:ONIVA03G39960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPLAVSGVAVATLAVLGLAVFACRRWRRGASPAPPPPASSQDDDINMPLISDNLGDYSVSSNSSTVDESGIRIDRIITSPKTHGIVGKGATYPTESHVIEGETHVIDVTNSKTEELYLGNTLKRPVVANGPTPDVKHIRRDSGESNHNGTIPDIIVGSNLSLEVIAGPSHGINHYMQSGNKSMLPVTLGRVPPSHLVLKDSEVSGKHAQIDWNANKLKWEIVDMGSLNGTFLNSRSVNHPDVGSRRWGEPAELADGDIITLGSSSKVSVQIELQNQQPVGVGIASDPMTARRTGKKLHMEDVSCCQYPLIGVEKFGLFGIFDGHGGDGAAIAASRILPQNIANILSQQETKERVLSCHSASDVLRHAFALTEAALHHQYEGCTATILLIWFDQNEDCFAQCANLGDSACIMSVNGEIITMTEDHRVVSTTERARMANSGQPLKDGESRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQAVRMTKACLASALIASDGLWDVISANRAAQLVLEGKQKYSEQKTSADKVAHHVLSEARKLRTKDNTSVIFVDLDTLRSDP >ONIVA03G39950.1 pep chromosome:AWHD00000000:3:33361747:33366129:-1 gene:ONIVA03G39950 transcript:ONIVA03G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPFDEAELLALPASPVASPPRRLKRLKKSSQIATAAHPVVGSPPPPSPPPPPPLDEETLAQFPSPPTNPSPPRPPPLDADADAAAEAAPSPALTSPPPNPSSSPLPPTDTTEEEEEEEEEDDGLDPLFSETFGAAGWDPLGMPRMEGEGDEEEMLGGGLIEELRREKSAKKRLDMDEGEEGGGEIAMDAEVKGKRSKRRKKDEAPKDSARGKKRSEKERRVQLDSIHAESQRLLRETRSVSFKPSVQPVYKPISSVLEKIRLRKLEILKKSVSLLFLSATPNDDDEEEEDDVSSDPVSGTAGDLGAPQVKEVDAEGKDPKIDDIENEGGMNSGDVNQCDSVPENKDALNCDKDLDNCGSKDLDKELLENSQDNLEDKAQSSDNPYNAADEIQSPPSSSPTESTDDISSEDEEYNDKENIAPSTPKDDVNVHEPLQRALAGDSCPDDAILKDFLDVEAEEEDDSDDDMMRFKDNEEDDGSDENEVFNDLIEAGYEEGEIDHEKRNALHQKWLQQQDAAETNKFMQKLKFGHQEQKKVMDQDEDDAEDCEDESENEMSYDLTPTNVVRQNSEKAKQMIARMFTDDNDTYEHSDDEEIEEHLARQRISKREVHNSSFISPLENDSSREVFSLIKKLNIAPQPKRRGKQVTSNHELLTVGRDNSASSKSSFLGRTASGSLASSHRSAYRTYVFGRDDSNSSNKSCLSTSESNADTDQTNSSQPKKAKFSSSQSKQAATKTNSKGDNSSGVSLIEVLRRSSSTSDKQEYTRQESCAVITESQAAHQFSAFKLSRRFSRVGARN >ONIVA03G39940.1 pep chromosome:AWHD00000000:3:33357608:33360782:-1 gene:ONIVA03G39940 transcript:ONIVA03G39940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGGSRVSGGGGGGGFNLASYKYKDPLLGRGGRSFLFGNTWFLLSTYPARLLHTADRRAPAAFFAAINRTPCVRTHCTGQSLLQRGIVMAACGYAFRRAEVGAAKRQPEKDSSVGTRISCVVAMGSAGSTPRPEVSFRHRGVEYCKKVGVSLKCREPWGPSRAFWTNAIGPSYKLSFSVEPWLRDFSTSCVAPYSAGATEHQLSLDEAVQDKQMDNSTVGPDGKPRAPGPLKLVSGSCYLPHPAKEATGGEDGHFICVDEQAIGVADGVGGWADHGVDAGLYAKELMSNSMSAIKDEPQGTIDPSRVLEKAYTCTKARGSSTACIVALKEQGIHAVNLGDSGFIIVRDGRTVLRSPVQQHDFNFTYQLESGGGSDLPSSAQTFHFPVAPGDVIIAGTDGLFDNLYSNEISAIVVEALRTGLEPEATAKKIAALAQQKAMDRNRQSPFAAAAQEAGYRYFGGKLDDITVIVSYVTSASAT >ONIVA03G39930.1 pep chromosome:AWHD00000000:3:33354214:33356987:1 gene:ONIVA03G39930 transcript:ONIVA03G39930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASPSSSKSDDERRQDGDRDTRDPAASSAAAAAAAQTHAEWAASMQAYYAAAAAAAGGHPYAWPPPQSVPPMAGCAVPSAAAEGKSKRKTSGGPSGEDSSGSGDGGSEDSSERRDDADEKGLSPAKWRKLGHPDIEGETSQAAAMSEQNPVKAAPNLNIGMDIWSNSTMAAMPSGQVEVNAGTHLRRDKALSQMDERELKRERRKQSNRESARRSRLRKQERPTSFCHPPNYPVTFYGLTNQECEELSQKVTELTAVNSTLRTELDKLKKDCEDMEAENSQLMDEMVQSEGSSVIATLSIKIDTSKDRHGSSSQLNKHTNDDSKG >ONIVA03G39920.1 pep chromosome:AWHD00000000:3:33349680:33352866:-1 gene:ONIVA03G39920 transcript:ONIVA03G39920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKKMFFGFSVSLILINLASIMERADENLLPAVYKEVSAAFNAGPTDLGYLTFLMNFLKSIASPLAGVLALQYDRPAVLAIGTVFWAVSTGAVGVSQYFQQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGSIGGIGGSILATIMAGRDYWGLPGWRFAFLMVAFLSLLIGLLVYFYTVDPRKVSPSHFGDDEDHHERSHLIGNGIFPPESIWKDSWIAARSVMKVRTFQIIVLQGIVGSLPWTAVVFFTMWFELIGFDNSSSAALNSMFAIGCASGSFLGGVIADRLSKYYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVDYWSAFAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGMVTEKIYGYNAKTVNLENGSVAGAYALSRGLLTMMIVPFGLCFLFYSPLYFVFKRDRENVRRLPSVKEQELI >ONIVA03G39910.1 pep chromosome:AWHD00000000:3:33346264:33346824:-1 gene:ONIVA03G39910 transcript:ONIVA03G39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVE1] MRAPSFFFLSLLLLLLLIHISIHCNAADSQLGSEKVTNLLFYLHDTLSGKDPTAVPVARAENAVPKPDNPVPFSTIYVVDDLLTEGPQRESKVVGNAQGMYISTAKKGLTLVLGIDFELTDGPYKGSSFVVYSRNPVMQGNGRELAIVGGRGLFRMARGFALLQTVYLDNVNGDAIIEYNVTLLHH >ONIVA03G39900.1 pep chromosome:AWHD00000000:3:33341167:33346892:1 gene:ONIVA03G39900 transcript:ONIVA03G39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPRITVAEGRLVAHGRTILTGVADNIALTHASGAGLVDGAFVGATADEPKSLHVFTFGTLRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRDGGGGGEAVYVVMLPLLEGQFRAALQGNDRDELEICIESGDKAVQTAQGTYMVVVERHLQTFHHREKKKLPSFLDWFGWCTWDAFYTDVTADGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEDAGNAVVQEGAQFASRLIGIKENTKFQKTTTTAMADGGETAASAAGLKALVEEAKKEHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESAVAFPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRMALAFYGELHAYLASCGVDGVKVDAQNIIETLGAGHGGRVSLTRAFHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPLDPASHTIHISSVAYNTLFLGEFMQPDWDMFHVYASSPLLLNLLLYDDDGGGGDVMNLQSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRARLPGRPTRDCLFVDPARDGASLLKIWNVNKCTGVVGVFNCQGAGWCRITKKTRVHDAAPGTLTGSVRADDVDAIADVAGTGWTGDAVVYAHRSGELIRLPKGATLPVTLKVLEFELFHVCPVMTVAPGGGGGGGVTFAPIGLLDMFNSGGAVEECDVVRALDAAGEAEAAVVRLRARGCGRFGAYSSRRPARCALDAAEVEFSYDADTGLVALDFPVPAHELYKWTVEIQV >ONIVA03G39900.2 pep chromosome:AWHD00000000:3:33341167:33346892:1 gene:ONIVA03G39900 transcript:ONIVA03G39900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPRITVAEGRLVAHGRTILTGVADNIALTHASGAGLVDGAFVGATADEPKSLHVFTFGTLRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRDGGGGGEAVYVVMLPLLEGQFRAALQGNDRDELEICIESGDKAVQTAQGTYMVVVERHLQTFHHREKKKLPSFLDWFGWCTWDAFYTDVTADGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEDAGNAVVQEGAQFASRLIGIKENTKFQKTTTTAMADGGETAASAAGLKALVEEAKKEHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESAVAFPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRMALAFYGELHAYLASCGVDGVKVDAQNIIETLGAGHGGRVSLTRAFHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPLDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRARLPGRPTRDCLFVDPARDGASLLKIWNVNKCTGVVGVFNCQGAGWCRITKKTRVHDAAPGTLTGSVRADDVDAIADVAGTGWTGDAVVYAHRSGELIRLPKGATLPVTLKVLEFELFHVCPVMTVAPGGGGGGGVTFAPIGLLDMFNSGGAVEECDVVRALDAAGEAEAAVVRLRARGCGRFGAYSSRRPARCALDAAEVEFSYDADTGLVALDFPVPAHELYKWTVEIQV >ONIVA03G39900.3 pep chromosome:AWHD00000000:3:33341167:33346892:1 gene:ONIVA03G39900 transcript:ONIVA03G39900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPRITVAEGRLVAHGRTILTGVADNIALTHASGAGLVDGAFVGATADEPKSLHVFTFGTLRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRDGGGGGEAVYVVMLPLLEGQFRAALQGNDRDELEICIESGDKAVQTAQGTYMVVVERHLQTFHHREKKKLPSFLDWFGWCTWDAFYTDVTADGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEDAGNAVVQEGAQFASRLIGIKENTKFQKTTTTAMADGGETAASAAGLKALVEEAKKEHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESAVAFPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRMALAFYGELHAYLASCGVDGVKVDAQNIIETLGAGHGGRVSLTRAFHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPLDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRARLPGRPTRDCLFIWNVNKCTGVVGVFNCQGAGWCRITKKTRVHDAAPGTLTGSVRADDVDAIADVAGTGWTGDAVVYAHRSGELIRLPKGATLPVTLKVLEFELFHVCPVMTVAPGGGGGGGVTFAPIGLLDMFNSGGAVEECDVVRALDAAGEAEAAVVRLRARGCGRFGAYSSRRPARCALDAAEVEFSYDADTGLVALDFPVPAHELYKWTVEIQV >ONIVA03G39890.1 pep chromosome:AWHD00000000:3:33329968:33334757:1 gene:ONIVA03G39890 transcript:ONIVA03G39890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTPATAEEGGKRRRRGKQKKANPFTVAYNRAPSSAGAAAGRPGLMVLRDPTGRDLGARYELGGELGRGEFGITYLCTEAETGDRYACKSISKRKLRTPVDVEDVRREVEIMRHMPSHPNIVSLRAAYEDEDNVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRHGVMHRDLKPENFLYANKKDSSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRHYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKREPWPRVSEPAKDLVKRMLDPNPMTRLTAEQVLEHPWLHDSKKMPDIPLGDAVRARLQQFAAMNKLKKKALKVIAEHLSAEEAADIKDMFDKMDVSKNGQLTFEDFKAGIRKLGNQMPDSDLKILMDAADIDKNGILDYQEFVAVSIHVRKIGNDEHIQKAFSYFDQNKSGYIEIEELREALVDEIDGNDEDIINSIIRDVDTDKDGKISYDEFAVMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSISDDTQ >ONIVA03G39880.1 pep chromosome:AWHD00000000:3:33323099:33324055:1 gene:ONIVA03G39880 transcript:ONIVA03G39880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIANLELSTHTYTHTQRVCSIDRPSPRAHDGERSSQPRRGGGGDRGVCGCRGGGGGRRGRGGGVVRRRGERAGAVRAVPAGRRGAAGRSVLRRREGAARDGGHGGGAARAVQVPGAVRAVVRRAPRPRAAPPGALQARTRHPRRRRHRLQQSYSYIHNIH >ONIVA03G39870.1 pep chromosome:AWHD00000000:3:33321083:33322160:-1 gene:ONIVA03G39870 transcript:ONIVA03G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGDRVALDVDGATTTVAQVKGMVMARERIAVAMQRLFFAGRCLDDDHRTLADYGVRHDSVVFLSLRLATDAYQYVQISQRRRRAFLRFCFDEPIDRSIYGRTEMHNVRLMQPETATAKQEMHQQQQQQLHVHVAADDEEKAIKRKPVSRRALRKILSRLQVDAWTSQHDAKFLDLLLRHTGGGGGARNVGELTGEDWSSIRAELNAATGSGFPVEELQRRLGEFRREFEAASRIKNHPRFSYDPRRRVVVAKQADWKNYILENPEAAAYEGRSPRHLGRLRAIFSGDGGGGGGGGAKCRETKARSCLRKLLRNFRLRFKL >ONIVA03G39860.1 pep chromosome:AWHD00000000:3:33314966:33316945:-1 gene:ONIVA03G39860 transcript:ONIVA03G39860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEQHAPPPQPEPEVSLQLSAPATAADDVAAGDDEEVTVVTTYRDIHPLTPPSPTTTTTPPTRLGSAAYSWDTASSHRSVSSEEQFMTMSREFTAMVAAGTTMQTGPNDGNNGGDQLTSIGEDELEETNPLAIVPDSHPIATPARSRASQLEVVPAAGPSPAPPVEARQVKKEEVETKVSAWQTAEVAKINNRFKREEVVINGWETEQVEKASAWLKKIERKLDEQRAKALERTQNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRGMRRRHERDWWDLTGDN >ONIVA03G39860.2 pep chromosome:AWHD00000000:3:33315599:33316945:-1 gene:ONIVA03G39860 transcript:ONIVA03G39860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEQHAPPPQPEPEVSLQLSAPATAADDVAAGDDEEVTVVTTYRDIHPLTPPSPTTTTTPPTRLGSAAYSWDTASSHRSVSSEEQFMTMSREFTAMVAAGTTMQTGPNDGNNGGDQLTSIGEDELEETNPLAIVPDSHPIATPARSRASQLEVVPAAGPSPAPPVEARQVKKEEVETKVSAWQTAEVAKINNRFKREEVVINGWETEQVEKASAWLKKIERKLDEQRAKALERTQNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >ONIVA03G39850.1 pep chromosome:AWHD00000000:3:33311888:33313366:1 gene:ONIVA03G39850 transcript:ONIVA03G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVD2] MAAATADGHGGRRRLRVFFLPFFARGHLIPMTDLACLMAAASTDAVEVEATMAVTPANAAAIAATVAGNAAVRVVCYPFPDVGLARGVECLGAAAAHDTWRVYRAVDLSRPAHESLLRHHRPDAIVADVPFWWATGVAAELGVPRLTFNPVGVFPQLAMNNLVAVRPDIVRGGADGPPVTVPGMPGGREITIPVSELPDFLVQDDHLSMSWDRIKASQLAGFGVVVNTFAALEAPYCDEFSRVDARRAYFVGPVSQPSRAAAAVRRGGDGDVDCLRWLSTKPSQSVVYVCFGSWAHFSVTQTRELALGLEASNQPFLWVIRSDSGDGGGERWAPEGWERRMEGRGMVVRGWAPQLAVLAHPSVGAFVTHCGWNSVLEAAAAGVPALTWPLVFEQFINERLVTEVAAFGARVWEDGGGKRGVRAREAETVPAGVIARAVAGFMAGGGGRRERAAAMATALAESARVAVGENGSSWRDIRRLIQDLTDATASQP >ONIVA03G39840.1 pep chromosome:AWHD00000000:3:33304115:33309982:-1 gene:ONIVA03G39840 transcript:ONIVA03G39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GVD1] MDGAKSGKQCHVCQICGDGVGTAADGELFTACDVCGFPVCRPCYEYERKDGSQACPQCKTKYKRHKGSPPILGDESDDVDADDASDVNYPTSGNQDHKHKIAERMLTWRMNSGRNDDIVHSKYDSGEIGHPKYDSGEIPRVYIPSLTHSQISGEIPGASPDHMMSPVGNIGRRGHPFPYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMANGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPISSSRINPYRMVIVLRLIVLCIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFCKKYSIEPRAPEWYFAQKIDYLKDKVQASFVKDRRAMKREYEEFKVRVNALVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRRVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGLQGPVYVGTGCVFNRTALYGYEPPIKQKKPGYFSSLCGGRKKTKKSKEKSTEKKKSHKHVDSSVPVFNLEDIEEGIEGSGFDDEKSLLMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKSDWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLLYCILPAICLLTGKFIIPEISNFASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKASDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQKCVQSDGTLGNCCPCDVLEDHIANLHC >ONIVA03G39830.1 pep chromosome:AWHD00000000:3:33301276:33306701:1 gene:ONIVA03G39830 transcript:ONIVA03G39830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARKLVRSSFMASVRALLALTFLLSGGAATAAAAMVRNGGSPSIYGGGGGEGAAVIGRGGRSLLQAAAATTQSAVFSLDSYGAHGDGERDDTAALARAWSAACASAAPAVVLVPASRSYLLRQVTLSGPCESTIKLMVKGTLVASPDMSNWNESNRRYWIVVRGVDGLAVGGGGTIDGNGEGWWENSCKINRALPCKGAPTALSFHTCDNLSVNGLKMVNSQQIHMSVEDCTGVELAHLSISAPGTSPNTDGIHITRSKNVQVSDCTIKTGDDCVSIEDGTHGLHVTKLVCGPGHGISIGSLGDDNSRAEVSDIFIDTVHLYGTTNGARIKTWQGGSGYAKDIVFQNMVMNSVKNPIIIDQNYCDSAKKCETQEGSAVEISNVVFKNIAGTTISKSAITLNCSKNYPCYDISLQDINLEMVDDNGATGSTCQNAKWRKSGTVVPQPCTSTN >ONIVA03G39820.1 pep chromosome:AWHD00000000:3:33295373:33297423:1 gene:ONIVA03G39820 transcript:ONIVA03G39820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RbcX protein [Source:Projected from Arabidopsis thaliana (AT5G19855) TAIR;Acc:AT5G19855] MAGVQVMPAVGAVATAEGSCRAAGQRRGRGVSSLFAGDWRRRPRRAACTARVRGRRQQQQQQGLAVVCNLGGTYDEGFEDIHVQLINVFTYKAVKTVLTQLYEMNPPSYRWLYNFVAVNKPTDGKVFLRALGKEKQELAERVMITRLHLYSKWIKKCDHAMMYERISDENLALMRERLMETVIWPTDDTNTEKIG >ONIVA03G39810.1 pep chromosome:AWHD00000000:3:33292619:33294801:1 gene:ONIVA03G39810 transcript:ONIVA03G39810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGGERGGFGRGFGRGGRGDRGGRRGGRRGPRQEEEKWVPVTKLGRLVKEGRFSKIEEIYLHSLPVKEHQIVETLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRDTKFVKSPFQEYTDLLAKPTKALMIDAPVENVEA >ONIVA03G39800.1 pep chromosome:AWHD00000000:3:33289324:33291407:1 gene:ONIVA03G39800 transcript:ONIVA03G39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRGALLLVLLAVAAAASSAGATLAVKAPVPVPVPAPAPAHAPPQPKDAEGLLINGNFETAPRKVNKTLIVGRHSLPGWTLRGHVEYVSGGPQPGGMFFAVPHGVHALRLGGRASASQNVSVRPGALYALTFAATRTCAQDEALRVAVAPSLSPPADVAVRTLYSADTADTWAWGFRASSAAAQVTFSNPGVQEDASCGPLLDAVAIKELPTPYPTKDNLIKNEGFEIGPQVFKNSTVGVLLPPKQKDATSPLPGWIIESLKAVRFIDAAHFSVPAGQYAVELVAGRESAIAQVIRTVANRAYNLSFVVGDAKNGCHGSMLVEAFAGNVTQKVPFESAGNGGFKPASFRFVAAGVRTRVTFYSSYYHTKVSDGVSLCGPVLDQVKVQPLKA >ONIVA03G39790.1 pep chromosome:AWHD00000000:3:33284725:33289176:1 gene:ONIVA03G39790 transcript:ONIVA03G39790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRLSTDIVHEVISYEPMTRKRGSSVASAKHILAVRPSVHPSIPGTTAIADSLRCKMQWRVELGRAKLGDSASVTLRGNYTTKRGNHDRGLPPPYIHSHQKGHAVIKPAKSPN >ONIVA03G39770.1 pep chromosome:AWHD00000000:3:33275622:33278582:1 gene:ONIVA03G39770 transcript:ONIVA03G39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMNSVLVLMLGLAMAATSSAAVYKVGDTSGWTILGNVNYTDWAVKKTFHGIHNVVEVKKVDYDSCTNSSPIATHTSGDDKIAIKAAGHRFFICGVPGHCAAGQKVNIRVLKPQRSSSSDAPSPAPAASKRGAAAAPSPAASSSPPESSSPTTDSSSSSTTTAPAPNASAAAGGGGAKAAFAAVALALVAATAMLQ >ONIVA03G39760.1 pep chromosome:AWHD00000000:3:33266698:33272781:-1 gene:ONIVA03G39760 transcript:ONIVA03G39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19720) TAIR;Acc:AT1G19720] MELLLLHPPPFPSLLLLHKPYHFPSKLRHGRLQEPRVMASPQAPPLPLSSLQESRSARIRIPRDEPRPTPPARSSSREEPRFVAETKLITVHSCAGRLGDAREVFDGMGRRDLLAWSAMIGAYAIRGMYSDVLALAVTMVEEGVLPDRFLITRILQACAYAEDLELGRALHSMAIRRGFMGRVKDVPVGNSVLVMYAKCGDLGWARKVFDKMVCRDLGTWNSMIFGYCRSAEWEEARHLLDSMRQEGTQPGVVTWNTLISSYARFGDLDVAMELLGQMEESGVAPDVVTWTSLVSGFVHMDRSDEALRCFIRMRLAGVEPNGMSIACAISACASLKLLNQAKELHSHAIKVGSVNNVLSGNSLVDMYAKCGEIVAAWRIFSGIPDKDIFSWNSMISGYAQAGYCGKAYELFCKMENYGVRRNVITWNTMISGYIRNGDDERAFELFQMMESHGVKRDTATWNILIAGSVHNGYYDRAIRIFRQMQALLRRPDYITILSIIPAFTNLVASWKVREIHACIFHHNLELDGKIANALINAYSKSGNLASACAVFDMHSSRNIISWNCIILAHVLHGSPNEALDLFCQMKQEGVVPDHTTLVTVIKAYGLTGKVSEGSQTFFNMANDYNITPELDHYAAMVDLLGRSGRLKEAYELIDEMPLIPNSTVWDTFLTAAVMHGNVRLAHLAARELSVIDPGDPRVQRLGSSLQDLTGKTVDVPEEMTPNKGRNLDEVESCSIEIRNKVYVFSNGDNVGLEDTVAELKSMMFKMGYSMLDIGIGTLDVEEEKEEVVGVHFYVYWVKYLAKCPFAGLHAANLLNRISLAIKLIHQVDLRVGSHREYYHIEDGQTTLSKGTEVQKHEHGNVTLRVIDLNGKNEILQVVPIYTLLEQIRIILVDFNSTINIRDNGWEDRWVKSEWKKEDNTVGEWNHTAGKWNGDTNNKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHELKLDCGGGYVKLLGGDVDQKKFGGETPYSIYDDCDNLPPKKKKDPEAKKVDVMGKD >ONIVA03G39750.1 pep chromosome:AWHD00000000:3:33260518:33261824:-1 gene:ONIVA03G39750 transcript:ONIVA03G39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVKDSRPSRSPSEPNLFLQWGSRKRLRCVKTRDDGSPSPARTDVLRRTIPRVNRPLGGDVAPFRSPRRPSTLNRRKTEPFVSDNRHSMSLSPEKDRYYSTRGSPFPFEGNGFDFGGGMEEKGTTALPRFFISLSNKEKEEDFMAMKGCKLPQRPKKRPKLMQKCLLMVSPGAWLSDLSHERYEVREKKCARKRARGLKALCNESDSE >ONIVA03G39740.1 pep chromosome:AWHD00000000:3:33253551:33258035:1 gene:ONIVA03G39740 transcript:ONIVA03G39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARGGGGVGWLVVVVAVVCLSCAAAAARSPAGRAHRHLKRLNKPAVKSIESPDGDIIDCVHISHQPAFDHPFLKNHTIQMRPNYHPDGLYDESKSGGGGEKPMVQLWHQGGRCPEDTVPIRRTKRNDLLRASSMRRYGKKRHPAPNPMSVDPNLLNEGGHQHAIAYVQGDKYYGAKATINVWAPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNVLCAGFVQINSEIAMGASIFPISSYSGSQYDISIMIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLGDSASMIEWGGEVVNSQLDGVHTSTQMGSGHFPEEGFSKSSYFKNIQVVDSTNNLKAPKGVGTFTEQSNCYDVQNGNNADWGTYFYYGGPGRSSNCP >ONIVA03G39730.1 pep chromosome:AWHD00000000:3:33244622:33252192:1 gene:ONIVA03G39730 transcript:ONIVA03G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPGWAVAVARASAAAWQRVACNPETLPADQVLGLLCCAPLHLLARLAAFLCIPFVPVQAMPRLLSPRLQGHPRRLLLLPPQEFVEVEPMYSPFPSSSSSSSDDDDDSDIEDGEIPVHGGTRAAPAGIQCCDRRSRRACEREREAKSSRVRSHHVRWDPLVSGWGGGTSAGCSHVPGRGWGVGPCWGKRNGGPAAAQWAGWWGPT >ONIVA03G39720.1 pep chromosome:AWHD00000000:3:33240820:33243141:1 gene:ONIVA03G39720 transcript:ONIVA03G39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNIERENLTIWALLLRRPIWAITIHKSGPFFRILPTTWARDWSPLSFPHKSEDAKEAAAAAEKEERERSPDRRPPPAAATMSSMLSAFSQWFVNPRRNPLARLHMQAISSRLRKYGLRYDDLYDPKHDLDIKEALERLPREVVDARHQRLKRAMDLSMKHQYLSENDQAQQTPFRGYLSDMMDLVKKERLEREELGALPLHQRTLP >ONIVA03G39710.1 pep chromosome:AWHD00000000:3:33239307:33240421:1 gene:ONIVA03G39710 transcript:ONIVA03G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRKLWVRAHSARRNRRGMHILYKDVKSCQDEDVHVLWSILVDSHRHPALMKLKL >ONIVA03G39700.1 pep chromosome:AWHD00000000:3:33234780:33235655:1 gene:ONIVA03G39700 transcript:ONIVA03G39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQIADASSSSSSAGKGAAQNLVTCLYQAQFSGRPCVISVTWSKSLMGQGLSIGVDDLSNQCLCKADIKPWLFSKKKGSKRLDVEDGKIEIFWDLSGAKFGAGPEPMEGFYVAVVFDLELILLLGDMKKDAYRKTGANRPMLNAAFVARREHIYGKKIYTAKAQFCENGQFHDVVIECDTVGLKDPCLEIRVDKKPVMQVKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSTTSNAVFMFQTCQAPEKSMPWSYSQVFRESQLQGLGFSLILYAWKLE >ONIVA03G39690.1 pep chromosome:AWHD00000000:3:33220620:33226519:1 gene:ONIVA03G39690 transcript:ONIVA03G39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCAVVAAVAAVLLIAGAAAAGGGEEEEAPSTCARRGPGFVDALASRCPCIRIEPSPPVEVRGEAIDKELNLRRRGVTYSVLFYAAWCPFSSKFRPIFEALSTMFPQIYHFTVEESSAMPSLFSRYGVRGFPAILLVNETTMVRYRGPKDLSSLVDFYKETTGFDPIAYFDVDHQDSTGDFRPVTPGDRSLRKIAKDEPFVLLAVLFIILKVAAHFVPIVVSHLKTFLVVRVRNLNLGICRGSSQLLERALNVLDVKRLCSKLRLSNKTRDLRKGANNARAWASSFTSVSLVY >ONIVA03G39690.2 pep chromosome:AWHD00000000:3:33224285:33226519:1 gene:ONIVA03G39690 transcript:ONIVA03G39690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTASMDAISLDEWELLPDNKSSYFMEEFTSDHGTVGDDETKNIFLPIHVSEEVYVGDPVIKFKDIDVVKIESYREEFVPKVTEIFDAEEEAEMIKSPVSAEEVDVDDDDEVMAMVAPDQCVEEEEGAQKDKEHNGFSVGKLRVNGVGALCSFGVAAATLCIFLLGGRQQQLHKTQNQKTPFQMYADNERIQQVVQQASRLNQAVSTVMGGASTRASISFGGYYDGF >ONIVA03G39690.3 pep chromosome:AWHD00000000:3:33224285:33226519:1 gene:ONIVA03G39690 transcript:ONIVA03G39690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTASMDAISLDEWELLPDNKSSYFMEEFTSDHGTVGEEVYVGDPVIKFKDIDVVKIESYREEFVPKVTEIFDAEEEAEMIKSPVSAEEVDVDDDDEVMAMVAPDQCVEEEEGAQKDKEHNGFSVGKLRVNGVGALCSFGVAAATLCIFLLGGRQQQLHKTQNQKTPFQMYADNERIQQVVQQASRLNQAVSTVMGGASTRASISFGGYYDGF >ONIVA03G39680.1 pep chromosome:AWHD00000000:3:33215937:33219976:-1 gene:ONIVA03G39680 transcript:ONIVA03G39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongation factor P (EF-P) family protein [Source:Projected from Arabidopsis thaliana (AT4G26310) TAIR;Acc:AT4G26310] MQILRRKLLEASRRFPFYFPSAPTAHYRGHAHAVATLAAVLRDQTAGSLAAAPWAAIQRRGAKMLGSEVKLGNVIQRRVLWITTYPIPLVNLQGRIYQVIKAQHSHQGRGGATIQVELRDVDTGNKITERFRTDEALERVFVEEKSFTYLYQEGDNVTLMEPETFEQLEVSKELFGKTAAYLKDEMKVTLQYFDGRPMSASVPPRVTCTVVEAQPHSKGITAQPQYKRVLLDNGLTVLGLRAIPGRWRYYSKFGSQLAFSWVNFQPPCCRKTSPSFINNSVFNKFCSHRFFFSISHFEFCFPP >ONIVA03G39670.1 pep chromosome:AWHD00000000:3:33181979:33183066:1 gene:ONIVA03G39670 transcript:ONIVA03G39670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVASTLGQRITVADKDAASSVHARSLAVKYQCTGVSCCAFDFTQGAERVRVAVTITCTQVVAFSTEQSQSYGTHRTARSGRVPDEPAKYGEHARCRKTHLRIFSALDRDPRSSLCSESHHNQIVRTELTPRSQHNQQRVVSLFGIWFYTATATVSETRFSGFIDGWVPGTEAHSILSCSALL >ONIVA03G39660.1 pep chromosome:AWHD00000000:3:33168559:33170283:-1 gene:ONIVA03G39660 transcript:ONIVA03G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAREAQVQGSLEWRVTVPEGSPVTVEHEAGVAERAWAWVVRMLVAVRAAVAGFARKVWKIGADDPRRAVHSLKVGLALTLVSIVYYTRPVYDGVGGNAMWAVMTVVVVFEYTVGGCMYKGFNRAVATASAGLLALGVNWVADKSGDKLEPFILSGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTFSLVAVSGYRVDQLLDLAQQRMSTIGIGIVICLAVCVVIWPVWAGHELHLLTVRNMEKLAGAVEGCVEDYFAAKPAAAKSEGYKCVLNSKASEDSQANLARWEPPHGRFGFRHPYAQYTKVGAAMRHCAYCVEALNSCVRAEVQAPEHVKRLLGDVCTRLASQCARVLREASTSVAAMTSPKTLDFAVADMNTAVHELQGDLRALPPVLALEPAAEMSLMDAMPLFTVASLLIEISARIEGVVDAVETLASLASFKQVEDDDDKKGQTEMKVHPLNVPDDHDASTHENQTTTKHPEQV >ONIVA03G39650.1 pep chromosome:AWHD00000000:3:33161188:33163544:1 gene:ONIVA03G39650 transcript:ONIVA03G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVLVFEYTVGGSMHKGLKRFAGTMSAAALALGMHWVASKSGVTLEPFVASGSVILMATAATFSRFIPTVNARFDYGVSIFVMTYSFVAVSGYRVDDLAALVLDRIATIAIGVIICLAVCTLICPVWAGQELGLLTARNMEKLASAVEACVEDYFADPTAAAARPRDGRPPHGRFGFRHPYDQYAKVGAAMRQCACCVEALISCAGASSRQRAPPPRLLGDACTRVGAWCARVLKEASACVATMTTSRGLGFAVAEMDAAVRELQSDLRALPPILAEEASETSLAEVISTSPLLCC >ONIVA03G39640.1 pep chromosome:AWHD00000000:3:33152155:33153144:1 gene:ONIVA03G39640 transcript:ONIVA03G39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGCGRLDLAEQVFAAASDRDTRCYNAMLHGLAVHGHGRAALSLFDRMHGEGVPVDGVTVLSVMCACAHAGLVDEGLDYFDRMEIEFGIEPSIEHYGCMVDMLSRAGRLNDAEKLIHGMPIVPNAAIYRSLIRACGIHGKLELGKKMIAELMRLEPDDSGNHVLISNFYATTNRWDDAKKARKEMKSMGIDKSPGSSFVDINGVLHEFLVGDKTHPASKEIYAMVEDIETRLSECGHRLSTSSALFDVEEEDKADALSYHSERLAIAFALIASNPGAPIRIIKNLRVCADCHESAKLVSRVYGREIVMRDRTRFHHFRDGVCSCGDFW >ONIVA03G39630.1 pep chromosome:AWHD00000000:3:33145088:33148000:-1 gene:ONIVA03G39630 transcript:ONIVA03G39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLLVHRSTPPTPRPTAPPLLHSRRLTLPSRLASLPATIAVVHPRKGVRLSKLHAASCCDSASAAGVTTGGGAGGGGAKGAMDWRLLLAWYLLALDKHPITTKAVTSAVLTLTGDLICQLAIDKVPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVTINGASGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVTV >ONIVA03G39630.2 pep chromosome:AWHD00000000:3:33145818:33148000:-1 gene:ONIVA03G39630 transcript:ONIVA03G39630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLLVHRSTPPTPRPTAPPLLHSRRLTLPSRLASLPATIAVVHPRKGVRLSKLHAASCCDSASAAGVTTGGGAGGGGAKGAMDWRLLLAWYLLALDKHPITTKAVTSAVLTLTGDLICQLAIDKVPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVTINGASGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVTVK >ONIVA03G39620.1 pep chromosome:AWHD00000000:3:33132083:33135817:-1 gene:ONIVA03G39620 transcript:ONIVA03G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTGAIGSLLPKLVEVLKEEYDLHKGVRKKIKHLSQELESMNAVLLKVGEVPPDQLDELVKLWAGDVRELSYDMEDVVDAFLVHIDGPEPLDTHMLRRFRKKMANFFNKCKHHHKIAGAIQDVNKKVEEVAARRDRYMVDNIIAKVTGPVTIDPRLQALYKKTTELVGIEKQSEKLVKILSLGDDVHASDEKMKIVSIVGFGGLGKTTLSKAVYDKHKLAFDCGAFVPVGRDPDMKKVLRDILIDFDYMNPNVMILDERQLINELRKLIQNKSILFMLSMRMVMNNCLRKSFGYDILGYKAKCIQRFLFVIDDIWDKKSWELIRCALQHSNCGGRVVVTTHIFEVATHIGDIYKMQPLSRDDSEILLYSRINDGEDRFLDSLSTEACDKILKKCGGVPLAIITIASLLASKSGEDWSNVYNSIGFGERGNDIVENTRRILSFSYYDLPSHLKACMLYLSLFREEYGIEKNLLIWKWIAEGFIQNEHATGIGLFELGEGYFNELINRSMIQPMELEDNGYVYGCRVHDMVLDLVCSLSSEEKFATILDSDDQQKQLMVGSNARRLAVHGRSVEEHNHPQLVNVGLEKVRSFSATQCGDINVVTSYFRVLRVLTLEDCSVTGEACGKHRLEHVGNLRHLRYLGIWNTRIDEFPKEVGDLKFLQTLNLSGTGIQQLPEAVGLLKQLLCLRINDSIAVPAGLIGNLTSLQELKIWPVDDVSTRQFVKELGKLRELRILRCTIHISDEGMERDLLESLANLHKIRTLCILGSALPSGITREACFVTPQRLGQLCLECFKFSGLPVWINSSLLLNLTHLDVSVHVVQEQDMETLGRLPELCYLKLCSDYTRLVSIRNGDLQRYLFRKLRFFVSPFLFARFDDSHGRENDGGICIAVAPSIMMPSLESLVFCVYVRFLKDMVEMQPGFDNLHMQLGFEKVASSSLQRVTATIQCEDATAAEVEEAKTALAHAADLHPNRPTLTTQMVNKHKMLSSDRELRV >ONIVA03G39610.1 pep chromosome:AWHD00000000:3:33125571:33130769:-1 gene:ONIVA03G39610 transcript:ONIVA03G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTESQYGEVKDKFFEKPTYKLLLSSTRDFHPSAGCFLAYCKVPATEDFGFSVLSLQANVLSEVFLLTALLLGALLSYGEPAVLVLGENQQTLSFKSKISITDCSIDKVETVAVEEHLDKTTTSNEVYVRERNFEGNIHDTHWEEKNGTYMTVDTALNDEIHTKDGTSDYDLQDTHREGKGITSVETDTVPCVAPSSFAYSGVTVETEDVGENSKKNDELQELGSINPESDNNKVQYQYQLGEFMSSCWEPVMRQEPQDACSDSESDLTESSPDASMTDIIPMLEELHPLIDLQTGHPSLVSRDNLNTSSDDDEDDLEEEDASTDENQLEGKIDDFANWKDVIDLNYLDMDNNSKLEGMMDLQRAKNILKFELDRRLMDLQAADAVQKMEEASRFRVQVPSISTGRQNPFDSSNGSDEIIELPHHRLVSFHGKIYLIFAVNQNMAHDSPLQETWTPLSYFSARRHRKHGNLYVRHSTSLHHNSFKLEKDEISENDAHNSQSDCDAKQEGNNSKLFGSLEAHIGEEIKILGAAISDVGVLEVNSGMDSGNQNADFSDDISLSPIQKSRQSTFEAKEAVHAGIEQLTSCSPYKVNNFEAHIVEADSIDEFNSLFKCRMEEVLVQSISESSISQPLTVKLEDELSEPLSSDSGTGTHFIDGSSVEDSDPQFAQLKDEALVSATSNATCRNESIEEKSSEALLAGNEDYSELPNELLKSGDPQFADSSEIQMQVIEATEPSYR >ONIVA03G39610.2 pep chromosome:AWHD00000000:3:33125571:33130769:-1 gene:ONIVA03G39610 transcript:ONIVA03G39610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTESQYGEVKDKFFEKPTYKLLLSSTRDFHPSAGCFLAYCKVPATEDFGFSVLSLQANVLSEVFLLTALLLGALLSYGEPAVLVLGENQQTLSFKSKISITDCSIDKVETVAVEEHLDKTTTSNEVYVRERNFEGNIHDTHWEEKNGTYMTVDTALNDEIHTKDGTSDYDLQDTHREGKGITSVETDTVPCVAPSSFAYSGVTVETEDVGENSKKNDELQELGSINPESDNNKVQYQYQLGEFMSSCWEPVMRQEPQDACSDSESDLTESSPDASMTDIIPMLEELHPLIDLQTGHPSLVSRDNLNTSSDDDEDDLEEEDASTDENQLEGKIDDFANWKDVIDLNYLDMDNNSKLEGMMDLQRAKNILKFELDRRLMDLQAADAVQKMEEASRFRVQHRLVSFHGKIYLIFAVNQNMAHDSPLQETWTPLSYFSARRHRKHGNLYVRHSTSLHHNSFKLEKDEISENDAHNSQSDCDAKQEGNNSKLFGSLEAHIGEEIKILGAAISDVGVLEVNSGMDSGNQNADFSDDISLSPIQKSRQSTFEAKEAVHAGIEQLTSCSPYKVNNFEAHIVEADSIDEFNSLFKCRMEEVLVQSISESSISQPLTVKLEDELSEPLSSDSGTGTHFIDGSSVEDSDPQFAQLKDEALVSATSNATCRNESIEEKSSEALLAGNEDYSELPNELLKSGDPQFADSSEIQMQVIEATEPSYR >ONIVA03G39610.3 pep chromosome:AWHD00000000:3:33125571:33130769:-1 gene:ONIVA03G39610 transcript:ONIVA03G39610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTESQYGEVKDKFFEKPTYKLLLSSTRDFHPSAGCFLAYCKVPANVLSEVFLLTALLLGALLSYGEPAVLVLGENQQTLSFKSKISITDCSIDKVETVAVEEHLDKTTTSNEVYVRERNFEGNIHDTHWEEKNGTYMTVDTALNDEIHTKDGTSDYDLQDTHREGKGITSVETDTVPCVAPSSFAYSGVTVETEDVGENSKKNDELQELGSINPESDNNKVQYQYQLGEFMSSCWEPVMRQEPQDACSDSESDLTESSPDASMTDIIPMLEELHPLIDLQTGHPSLVSRDNLNTSSDDDEDDLEEEDASTDENQLEGKIDDFANWKDVIDLNYLDMDNNSKLEGMMDLQRAKNILKFELDRRLMDLQAADAVQKMEEASRFRVQVPSISTGRQNPFDSSNGSDEIIELPHHRLVSFHGKIYLIFAVNQNMAHDSPLQETWTPLSYFSARRHRKHGNLYVRHSTSLHHNSFKLEKDEISENDAHNSQSDCDAKQEGNNSKLFGSLEAHIGEEIKILGAAISDVGVLEVNSGMDSGNQNADFSDDISLSPIQKSRQSTFEAKEAVHAGIEQLTSCSPYKVNNFEAHIVEADSIDEFNSLFKCRMEEVLVQSISESSISQPLTVKLEDELSEPLSSDSGTGTHFIDGSSVEDSDPQFAQLKDEALVSATSNATCRNESIEEKSSEALLAGNEDYSELPNELLKSGDPQFADSSEIQMQVIEATEPSYR >ONIVA03G39600.1 pep chromosome:AWHD00000000:3:33120700:33128850:1 gene:ONIVA03G39600 transcript:ONIVA03G39600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRAESELEQVEAEAEAEAEAEKNGRRAAGSQDGVNRALILDCSKHSDGSIYSGDDFLAQVLQGRRYPRDSLWEFGSMAAPTLKEAMMLSNPTNCRPHMWACNIHEEQFMMQIFSLKLSNITATVDGPVHLYGYFAVRDHLDPLRNYIFNRTRDDPFIMGQDNGVDSDNSLIPMPGPKRGIGNQARVLIEFDIKIKNGETRDDDFQLIDGAIICSEFVLPNRVFTQRIEGDCGAVDISLALLHSAVEATVQVSISQVHGNGFSLSLYSYTSRIPEKIQLFDGFISKPCDLNRFVVAVVVNTPLILIFKIDKRDGSDHVPGCCAFKARTHGYEYDMQELKLGCTNILSKNVTVGFHGEAAAESELVLVEAEAEAEAEAEKNGRRAAGSQDGVNRAFILECSKHSDGSIYSGDDFWHMFYKVADTRETRMEAMMLSNPTNCRPHMWACKAHSVQFMMQIFSLKLSNITAAVDGPVHLYGYFAVRDHLDPLRNYIFNRTRDDPFIMG >ONIVA03G39600.2 pep chromosome:AWHD00000000:3:33120827:33128850:1 gene:ONIVA03G39600 transcript:ONIVA03G39600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRAESELEQVEAEAEAEAEAEKNGRRAAGSQDGVNRALILDCSKHSDGSIYSGDDFLAQVLQALKEAMMLSNPTNCRPHMWACNIHEEQFMMQIFSLKLSNITATVDGPVHLYGYFAVRDHLDPLRNYIFNRTRDDPFIMGQDNGVDSDNSLIPMPGPKRGIGNQARVLIEFDIKIKNGETRDDDFQLIDGAIICSEFVLPNRVFTQRIEGDCGAVDISLALLHSAVEATVQVSISQVHGNGFSLSLYSYTSRIPEKIQLFDGFISKPCDLNRFVVAVVVNTPLILIFKIDKRDGSDHVPGCCAFKARTHGYEYDMQELKLESELVLVEAEAEAEAEAEKNGRRAAGSQDGVNRAFILECSKHSDGSIYSGDDFWHMFYKVADTRETRMEAMMLSNPTNCRPHMWACKAHSVQFMMQIFSLKLSNITAAVDGPVHLYGYFAVRDHLDPLRNYIFNRTRDDPFIMG >ONIVA03G39600.3 pep chromosome:AWHD00000000:3:33124340:33128631:1 gene:ONIVA03G39600 transcript:ONIVA03G39600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKRGIGNQVRVLIEFDMKIKNGETQDDDFQLIDGAIICSEFVLPDRVFTQRIEGDCDAVDISRALFHEAVEATIQVSISQVHDNGLSLSLYSYIGQIPEKIRLFDGVISKPCDLDRFVVAVVENTPLFLIFKAVHRDGSDYDIPKYCPLVFKVDQGDGSYRVSEYCPFKARRHGYDMKELKLGGARVLLKVSWSTLKIDASKFLQLIILLAVLPNVFSFHSYTTNKNSRFTITQKCSKQKCS >ONIVA03G39600.4 pep chromosome:AWHD00000000:3:33120827:33128850:1 gene:ONIVA03G39600 transcript:ONIVA03G39600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKRGIGNQVRVLIEFDMKIKNGETQDDDFQLIDGAIICSEFVLPDRVFTQRIEGDCDAVDISRALFHEAVEATIQVSISQVHDNGLSLSLYSYIGQIPEKIRLFDGVISKPCDLDRFVVAVVENTPLFLIFKAVHRDGSDYDIPKYCPLVFKVDQGDGSYRVSEYCPFKARRHGYDMKELKLGGARVLLKVSWSTLK >ONIVA03G39600.5 pep chromosome:AWHD00000000:3:33124340:33128850:1 gene:ONIVA03G39600 transcript:ONIVA03G39600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKRGIGNQVRVLIEFDMKIKNGETQDDDFQLIDGAIICSEFVLPDRVFTQRIEGDCDAVDISRALFHEAVEATIQVSISQVHDNGLSLSLYSYIGQIPEKIRLFDGVISKPCDLDRFVVAVVENTPLFLIFKAVHRDGSDYDIPKYCPLVFKVDQGDGSYRVSEYCPFKARRHGYDMKELKLGGARVLLKIHHTLQLAIIVHIQLIILLAVLPNVFSFHSYTTVGKT >ONIVA03G39600.6 pep chromosome:AWHD00000000:3:33124340:33128850:1 gene:ONIVA03G39600 transcript:ONIVA03G39600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKRGIGNQVRVLIEFDMKIKNGETQDDDFQLIDGAIICSEFVLPDRVFTQRIEGDCDAVDISRALFHEAVEATIQVSISQVHDNGLSLSLYSYIGQIPEKIRLFDGVISKPCDLDRFVVAVVENTPLFLIFKAVHRDGSDYDIPKYCPLVFKVDQGDGSYRVSEYCPFKARRHGYDMKELKLGGARVLLKVSWSTLKIDASKFLQLIILLAVLPNVFSFHSYTTVGKT >ONIVA03G39590.1 pep chromosome:AWHD00000000:3:33118704:33120328:-1 gene:ONIVA03G39590 transcript:ONIVA03G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVLVSSKIHGRSKSTTAKRNCIICGQRISKRRRTQHNFQKISRGQLNLQRTRPCLLNFQSLPEDIVLRVMSKLTLKEVAQLSVVSTNWRQAWTFHPNLYFGIKTVLGNNAKRKGTSSDVNCRISSANKFIKRVDAILEKHCGTMVNKFAVKFGLSNEHANHVNGWVAFAIASKARVIILDFSPDWKSHENNYDFPCHIFDKHNGSYLEALRLDSVTLNPPLDFCGFANLKLLALDNVRLQHLEQLISKCHVLEWLSIQSCNQLHNLHVSEPLCRLQCLSIQGCHLQRMELHAPNLTTFEYDGSLALVTLNECSNIKASTIRLFDEKTLQNILTGIPSVLPHVE >ONIVA03G39580.1 pep chromosome:AWHD00000000:3:33112162:33113789:-1 gene:ONIVA03G39580 transcript:ONIVA03G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPMPAPPPPSSSPPPEHAAAATETTPLHPSFRGARPPSPGTYIIQIPKDQQATTPTRTPRHSVTLHFVEHLASQPPPMADRVHPMPAPPPPSSSPPPGHDAAAATETTPLHPSFRGAPPPSPGTYIIQIPKDQVLRVPPPDRARRYKKLAARPARRRRLRHACCGAFCGVLLLLLLAAAFVGVVYLVFRPRAPSFSVASLSIRGLDALAVSSLTPQIDAAVRADNGANKKTGIDYRGGGEVTVSYSGERLAAGPWPAFHQAPRNVTVFSTALAGGGVSFPEEQRKRLAAEQAAGAVPLTVEAIVPVRLRFGKVLRTWTVDVKTRCEVTVNKLAAAAAPANRGCRVKVRPLWWWW >ONIVA03G39570.1 pep chromosome:AWHD00000000:3:33107458:33109740:1 gene:ONIVA03G39570 transcript:ONIVA03G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPLSERDFHALFSAISGGKNPATHRQLFNDTLLKINKELTYLQFELRAGINQYDGTVYYGVVNNIADEESKLGSKFSVPQIAFYKGLLEAIVHEAGNDGSITNIDALNTRIENQVVIADASQGSQSRLPTSITNFSLSQKEKTLNELIQDRWLSYTPTGKIGLGIRSFLDLRSWLRSNDIPSCEVCNEACIKASCCPNEECNVRIHGYCLKKKFSQRKASRACGCGTEWPRLEGEDDGAEDEDVNEPEEDQVPSANQHSRTRRRGVKSELVEENERAGPSARMTRRALRSSKAEAVEAAQEVPSAAGPSQSTRASKRRKN >ONIVA03G39570.2 pep chromosome:AWHD00000000:3:33107463:33109740:1 gene:ONIVA03G39570 transcript:ONIVA03G39570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPLSERDFHALFSAISGGKNPATHRQLFNDTLLKINKELTYLQFELRAGINQYDGTVYYGVVNNIADEESKLGSKFSVPQIAFYKGLLEAIVHEAGNDGSITNIDALNTRIENQVVIADASQGSQSRLPTSITNFSLSQKEKTLNELIQDRWLSYTPTGKIGLGIRSFLDLRSWLRSNDIPSCEVCNEACIKASCCPNEECNVRIHGYCLKKKFSQRKASRACGCGTEWPRLEGEDDGAEDEDVNEPEEDQVPSANQHSRTRRRGVKSELVEENERAGPSARMTRRALRSSKAEAVEAAQEVPSAAGPSQSTRASKRRKN >ONIVA03G39560.1 pep chromosome:AWHD00000000:3:33097033:33106444:-1 gene:ONIVA03G39560 transcript:ONIVA03G39560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHSAASLHAARLLPQQRTPTAPRTLLPAGGGLLLRRPHPPLHQQRRSRSSSRPDLRCRRRLLTARGDYDFYENYADEEGDEEEESEVIGGSFDAAVALFNGGEFHACHDVVEELWYTAEEPTRTLLHAILQCAVAFHHLFNQRARKVVDTWEKQYNSANKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKDDILGGSTHTMGNNGNSSNPSSHPSSVSKAVRKDSGTVTKKLTIGGMPEKIVTAYQSVLDQHFDEDTALNKCNNAVSVLERMDKDVDDACTQGIQQGSSLISDLQGQETVLKQCIDQLESVNMARITLINKLREALSEQEAKSELLRNQLHNHMLDLSISYAMSVTQLQWNVKVARAKAEHAMQLKQRLGSALNNGAGSSSSPLMVTLPPGQTAAMMQNSAAMPIFPHYQPLHPATSLPATSSAVSDEPKKTAAAMADKLASLSAPEKVLSSIFSSLAAEQARNSGSTSGDLSAGPPGFESNKKPRLDNPIHVSDMGAPPFFGQVPQVQPQIGATAALGGTQPPTQANQATGSFPPPPPPLPLMPQFVQNTGGMFGMGPFGMVSGSAPPPPLLPNIMSAGFPRLSAPPPLPLPTQSQNQSQPQQQQSPQAPQQSPTSTGFFQPPGAGFFPPVQVQQSPSAQRQ >ONIVA03G39550.1 pep chromosome:AWHD00000000:3:33095455:33095778:1 gene:ONIVA03G39550 transcript:ONIVA03G39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKALHRVFAAIFLLHLLLSVTAAASPTIGTDLLRDGNNNAVAAAAARSSRRLLLQQQQPTAAAPAAMATNTFRVNGVHQANGEPKVEFDASMKHNPGTNFNPRHN >ONIVA03G39540.1 pep chromosome:AWHD00000000:3:33086327:33090379:-1 gene:ONIVA03G39540 transcript:ONIVA03G39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRTLHPAADDRPPARPRKAPPPCPRRSRSASVEPRARGIGEYAAGNTRTNPLFDDSASPPPPQPQVDTEAAGCRGGEARRERGREVARNGSCAGGSGRARSVSLAPRGRGADSSPSWGNGDGGGGRRASRAPSVAVDLQPYRGDEVIWQSNHSNVPVQQVIEIPPEFDPDSSEFVSDISDYATEFKKEEILHIPFEFDLDRADLAPDIEHHSIELQREQMEIPLDFDPDSAELSPDITEYTTKLKQSHERARKLRADLAVEEQREQELSRVLKGIVTTPNFTEAHKRRPRRKSSVERLKVSKHLAEEAMNYFEECVSISTLDSTDFSSLEDPQINSVVNIPQKSRNTSFNKGGSSIAEIHYPTDRHWHNEESDNQTQCSVSLTGSDVSGGRTFSHTMMTPVSRTTNNSSDDLDGFDTPKSRSSCFSFTHEPTKTVEGDDVQQYLRSFGRGINKDLRDIRSSYCDDDYVFQKMNADLLMDIVTFKNRS >ONIVA03G39530.1 pep chromosome:AWHD00000000:3:33079481:33084426:-1 gene:ONIVA03G39530 transcript:ONIVA03G39530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSSPDPASSSPSASSSPSSPSSSSSEDSSSPMSMPCKRRARPRTDKSTGKAKRPKKESKEVVDPSSNGGGGGGKRSSIYRGVTRHRWTGRFEAHLWDKNCSTSLQNKKKGRQGAYDSEEAAARAYDLAALKYWGPETVLNFPLEEYEKERSEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTFDTQEEAAKAYDLAAIEYRGANAVTNFDISCYLDQPQLLAQLQQEPQLLAQLQQEPQVVPALHEEPQDDDRSENAVQELSSSEANTSSDNNEPLAADDSAECTNEPLPIVDGIEESLWSPCLDYELDTMPGAYFSNSMNFSEWFNDEAFEGGMEYLFEGCSSITEGGNSMDNSGVAEHNLFEECNMLEDISDFLDKDISDFLDKDISISDRERISPQANNISCPQKMISVCN >ONIVA03G39520.1 pep chromosome:AWHD00000000:3:33074880:33081209:1 gene:ONIVA03G39520 transcript:ONIVA03G39520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPYTADIDRSEKRMETIIPDSVREPLLGNRTHESKSERHEPNMQPSLWDGKGQERLGWMHIISTFIAQSVRKIGNAFSQFGSLLEKFFSRSCASHGSHDEQAVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRIPFTVYYTRRMLCAELQLISFTLFVGKMSTKASSQFVQLLTEDEMAFDNLFCVAFQMLDAQWLARQASYMEFNEVMKSTRIQLEQELTIGSISSVQEMPSFGLLKR >ONIVA03G39520.2 pep chromosome:AWHD00000000:3:33075495:33081209:1 gene:ONIVA03G39520 transcript:ONIVA03G39520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPYTADIDRSEKRMETIIPDSVREPLLGNRTHESKSERHEPNMQPSLWDGKGQERLGWMHIISTFIAQSVRKIGNAFSQFGSLLEKFFSRSCASHGSHDEQAVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRIPFTVYYTRRMLCAELQLISFTLFVGKMSTKASSQFVQLLTEDEMAFDNLFCVAFQMLDAQWLARQASYMEFNEVMKSTRIQLEQELTIGSISSVQEMPSFGLLKR >ONIVA03G39510.1 pep chromosome:AWHD00000000:3:33074090:33075325:-1 gene:ONIVA03G39510 transcript:ONIVA03G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLLLLLLLRIVASAPASPPATALFVLGDSTASCAATTLPLNLSLTSSGNCLFPSAHRLLPDLLAAKMGLPPPPLISTLNGTAAEVARGVNFAGEDGGRGAIFRLGAVGQQLRLATETLQLLRLEAPTPHDADAAAARAVFVLSFGTDAYARVLSRGAGADASAPKHGRRGLARLLADRVARTVEELYEAGARRTAVMGVAPLGCAPRVMWEGLHVVDGRSCVEEANELVQGYNARVAARLAALRPRLAGADVVFCDIYKGMMDIITHPARYGFDETRKACCGLGPFGGTVGCLTKEMVCPTPQRHVWWDLYSPTEVVTSLLANWSWSAPSNSNTTLCRPITLEMLTGHSL >ONIVA03G39500.1 pep chromosome:AWHD00000000:3:33051596:33067656:-1 gene:ONIVA03G39500 transcript:ONIVA03G39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GV86] MERPGDEHDDCRTVPLLEPKHAHGEGSNKKQEDDEEEEVGSLGRRVMVESKKLWVVAGPSICARFSTFGVTVISQAFIGHIGATELAGYALVSTVLMRFSGGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLLCCAILLLPIYLFTTPLLIVLGQDPKIAAMAGTISLWYIPVMISNVGNFTLQMYLQAQSKNMIVTYLAMLNLGLHLFLSWLLTVQFHLGLAGVMGSMVIAYWIPVFGQLAFVFFGGCPLTWTGFSSAAFTELGAIVKLSLSSGVMLCVELWYNTILVLLTGYMKNAEIALDALSICLNINGWEMMISIGFLSATGVRVANELGAGSARRAKFAIFNVVTTSFSIGFMLFVLFLIFHGRLAYIFTESKVVADAVAELSPLLAFSILLNSIQPVLSGVAVGSGWQSVVAYVNVTSYYLFGIPIGVILGYVLGFQVKGIWIGMLLGTLVQTIVLLFITLRTDWEKQVEIARQRLNRWSMDENGRQQNPAPLLLNLKHHHSISIAANYKPPLIN >ONIVA03G39490.1 pep chromosome:AWHD00000000:3:33048139:33051591:-1 gene:ONIVA03G39490 transcript:ONIVA03G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GV85] MEKPGDDEKLTVPLLEPKPATYKHQEDDDAEEDEVGSVRRRVVEENKKLWVVAGPSICARFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWLVLFCCAVILLPVYIFTTPLLIALGQDPEISAVAGTISLWYIPVMFSYIWAFTLQMYLQAQSKNMIVTYLAFLNLGIHLFLSWLLTVKFQLGLAGVMGSMVISFWIPVFGQLAFVFFGGCPLTWTGFSSSAFTDLGAIMKLSLSSGVMLCLELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMISIGFLSAIGVRVANELGAGSARRAKFAIFNVVTTSFLIGFVLFVLFLFFRGSLAYIFTESKAVADEVADLAPLLAFSILLNSVQPVLSGVAIGSGWQSVVAYVNVTSYYLIGIPLGAILGYVLGFQAKVEITRERLNRWYMDENGRSQNSIGNA >ONIVA03G39480.1 pep chromosome:AWHD00000000:3:33039623:33043092:-1 gene:ONIVA03G39480 transcript:ONIVA03G39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTEDDERPTVPLLEPKPAINGGGGGSNEEEEEVGSLGRRLVEENKKLWVVAGPSICARATSFGVTIVSQAFIGHIGATELAAYALVSTVLMRLSVGILIGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLFCCAVILLPIYLFTTPLLIALGQDPDISVVAGTISLWYIPIMFSYVWGLTIQMYLQSQSKNMIVTYLSLLNFGLHLFLSWLMVVKFHLGLAGVMGSTVIACWIPIFGQLAYVFFGGCPQTWTGFSSSAFTDLGAIIKLSISSGVMLCVELWYNTILVLLTGYMKNAEVALDALSIW >ONIVA03G39470.1 pep chromosome:AWHD00000000:3:33025009:33038089:1 gene:ONIVA03G39470 transcript:ONIVA03G39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCVTDSETFDLLSTVEFDQEGGLPIKMNIEELNIAGFHSNPEENWGYFKFIAPVTLIGSPFRAKPVVDQDNNNTNNKEQPEVTTVFFCIPVSPGRCRVIWANGYNLDGWFDKMIPRWWLHIKTNQVLDSDSSVLHIEERNYAAFGLDNWHKACYVPTSSDNLIIAFRNWFKKYCNNQVGWLTPMVNQLPPASTRVEVYERYWSHVHKNSKACVASYPSVVQNNIFVVLPEADEVKKKKNKKPEVRVVLFTVPVAPGRSRFIWASRYKVGGWLDKILPRWFYHMTSNTILDSDTYLHVEDRNITTVGLDNWHKACYVPTSSDNLVIAYRNWFRKYCNHQIGCANPNPTVKQQLPQTPTRDQLLERYWSHVMQCTSCRAALKGMRALEIILQVAAVAVVGFLAAGKETAVMSGVQRAAVVAAAVLCFAASRWLANFIEKTFYFQDYVHADK >ONIVA03G39470.2 pep chromosome:AWHD00000000:3:33025009:33038089:1 gene:ONIVA03G39470 transcript:ONIVA03G39470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCVTDSETFDLLSTVEFDQEGGLPIKMNIEELNIAGFHSNPEENWGYFKFIAPVTLIGSPFRAKPVVDQDNNNTNNKEQPEVTTVFFCIPVSPGRCRVIWANGYNLDGWFDKMIPRWWLHIKTNQVLDSDSSVLHIEERNYAAFGLDNWHKACYYCNHQIGCANPNPTVKQQLPQTPTRDQLLERYWSHVMQCTSCRAALKGMRALEIILQVAAVAVVGFLAAGKETAVMSGVQRAAVVAAAVLCFAASRWLANFIEKTFYFQDYVHADK >ONIVA03G39460.1 pep chromosome:AWHD00000000:3:32999480:33024962:1 gene:ONIVA03G39460 transcript:ONIVA03G39460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSLLLLPAARPARPALHLRDAAAAAAAARVPPPRLPWSRAVARRLLSTSVAAVAAETPRAEDAPSASGKEERFDWLDQWYPVAPVCDLDPGAPHGKTVLGLRIVAWFDRTTAAADGGGGEWRVFDDSCPHRLAPLSEGRVDDKGRLQCVYHGWCFDGRGACQFIPQAPALGPAVHKNSKACVASYPCVVQNNILWFYPRSEPEYKEILQRKRPPYIPQIDDPSFVTVYGVRDLPYGYDVLVENLMDPAHVPYAHKGRRAAQDGDRGNQRRGVPVNARQGLLQVRRAVHDDQGKEKKKKQPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFATVGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWATPITNQLPPTPSKDQLLDRYWSHVMQCTSCSGALKKMKALEVALQVASVAVVGFLAVAKGTVVTSVVQRSAVVAAAVLCFAASRWLANFIEKNFYFQDYVHAYNAMAPVSLPLLSRARPPLLLRDAGVKLSTVRLPPPWRQQWKHTSGERRRRLSMPASAVAAETPPPHARAEEEEEAAPAVGGGEEGRFEWLDQWYPVAPVCDLDPRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRTEPEYRDVLQRKRPPYFPDLDDPSFNTVFGVRDFPYGYRNAQAILLRNGHIGMQLDILCRGSIAMQNLMDPAHVPYAHKGLVPQFQDKEDPGRYNIHLLSTVEFDQERGGPVKMKIEEANIDGFLSIQGENWGHFRFIAPCTINRSELPFETLAHFDQEKQQQQPQGMLVFLCIPVAPGRSRVIWAFPQSVSAWPDKFIPRWLHHMVSNTVLDSDLYLLHIEERNFAAVGVDKWQKACYVPTSSDNMIITFRNWFRKYCKHQVGWATPMVNQLPTTPTKDQLMERYWSHVMQCTSCSAALKWMRAMEVALQVASVAVVGFLAAGKGTTVVTSAVQRAAVVAAAALCFAASRWLANFIEKSFYFQDYVHAYNAMAPVSLPLLSRARPPLLLRDAGVKLSTVRLPPPWRQQWKHTSGERRRRLSMPASAVAAETPPPHARAEEEEEAAPAVGGGEEGRFEWLDQWYPVAPVCDLDPRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPCSTVHLLSTVESDQEGGYPVKIRTEQAKIDGFLSVQEDDVCYMKFDAPCTLYGKPFRTKEPQIDQGKEKKKKKQPVAMTVFLCVPVAPGRSRLIWAFPRNVDAWLDNIIPRWLYHIVTNIVLDSDSYLLHIEERNFGTVGLDNWHKACYVPTSSDNMVITFRNWFRKYCKHQIGWATPMANQLPPTPTKDQVLERYRSHVMQCTSCSAALKKMKALEVALQVASVAIVGFLAVAKGSLAPSVVRRAAAVSTAVLCFAASRWLASFIEKSFYFQDYVHAYNTPRIPPWRRQWISPTDARRRRLSMPVSAVAAEAPLPRAVDEKETPAAGEERFDWLDQWYPVAPVRDLDKRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRSEPEYKEILQRKRPPYIRELDDPSSVINSGVRDLLYGYRNYLQAIHKQLDASIYELLVENFMDPAHVPYAHRGQFPHVPREEDIGRYVPHHLR >ONIVA03G39460.2 pep chromosome:AWHD00000000:3:32999480:33024962:1 gene:ONIVA03G39460 transcript:ONIVA03G39460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSLLLLPAARPARPALHLRDAAAAAAAARVPPPRLPWSRAVARRLLSTSVAAVAAETPRAEDAPSASGKEERFDWLDQWYPVAPVCDLDPGAPHGKTVLGLRIVAWFDRTTAAADGGGGEWRVFDDSCPHRLAPLSEGRVDDKGRLQCVYHGWCFDGRGACQFIPQAPALGPAVHKNSKACVASYPCVVQNNILWFYPRSEPEYKEILQRKRPPYIPQIDDPSFVTVYGVRDLPYGYDVLVENLMDPAHFDKEGGGPLKMEIEETNVEGFLSMLDRGFFKFVAPCTFYGSPLQTPSQALFKLIEPSYKISIDDQGKEKKKKQPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFATVGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWATPITNQLPPTPSKDQLLDRYWSHVMQCTSCSGALKKMKALEVALQVASVAVVGFLAVAKGTVVTSVVQRSAVVAAAVLCFAASRWLANFIEKNFYFQDYVHAYNAMAPVSLPLLSRARPPLLLRDAGVKLSTVRLPPPWRQQWKHTSGERRRRLSMPASAVAAETPPPHARAEEEEEAAPAVGGGEEGRFEWLDQWYPVAPVCDLDPRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRTEPEYRDVLQRKRPPYFPDLDDPSFNTVFGVRDFPYGYRNAQAILLRNGHIGMQLDILCRGSIAMQNLMDPAHVPYAHKGLVPQFQDKEDPGRYNIHLLSTVEFDQERGGPVKMKIEEANIDGFLSIQGENWGHFRFIAPCTINRSELPFETLAHFDQEKQQQQPQGMLVFLCIPVAPGRSRVIWAFPQSVSAWPDKFIPRWLHHMVSNTVLDSDLYLLHIEERNFAAVGVDKWQKACYVPTSSDNMIITFRNWFRKYCKHQVGWATPMVNQLPTTPTKDQLMERYWSHVMQCTSCSAALKWMRAMEVALQVASVAVVGFLAAGKGTTVVTSAVQRAAVVAAAALCFAASRWLANFIEKSFYFQDYVHAYNAMAPVSLPLLSRARPPLLLRDAGVKLSTVRLPPPWRQQWKHTSGERRRRLSMPASAVAAETPPPHARAEEEEEAAPAVGGGEEGRFEWLDQWYPVAPVCDLDPRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPCSTVHLLSTVESDQEGGYPVKIRTEQAKIDGFLSVQEDDVCYMKFDAPCTLYGKPFRTKEPQIDQGKEKKKKKQPVAMTVFLCVPVAPGRSRLIWAFPRNVDAWLDNIIPRWLYHIVTNIVLDSDSYLLHIEERNFGTVGLDNWHKACYVPTSSDNMVITFRNWFRKYCKHQIGWATPMANQLPPTPTKDQVLERYRSHVMQCTSCSAALKKMKALEVALQVASVAIVGFLAVAKGSLAPSVVRRAAAVSTAVLCFAASRWLASFIEKSFYFQDYVHAYNTPRIPPWRRQWISPTDARRRRLSMPVSAVAAEAPLPRAVDEKETPAAGEERFDWLDQWYPVAPVRDLDKRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRSEPEYKEILQRKRPPYIRELDDPSSVINSGVRDLLYGYRNYLQAIHKQLDASIYELLVENFMDPAHVPYAHRGQFPHVPREEDIGRYVPHHLR >ONIVA03G39460.3 pep chromosome:AWHD00000000:3:32999480:33024962:1 gene:ONIVA03G39460 transcript:ONIVA03G39460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSLLLLPAARPARPALHLRDAAAAAAAARVPPPRLPWSRAVARRLLSTSVAAVAAETPRAEDAPSASGKEERFDWLDQWYPVAPVCDLDPGAPHGKTVLGLRIVAWFDRTTAAADGGGGEWRVFDDSCPHRLAPLSEGRVDDKGRLQCVYHGWCFDGRGACQFIPQAPALGPAVHKNSKACVASYPCVVQNNILWFYPRSEPEYKEILQRKRPPYIPQIDDPSFVTVYGVRDLPYGYDVLVENLMDPAHVPYAHKGLMRTRKKEDPGRYNIHLLYTVEFDKEGGGPLKMEIEETNVEGFLSMLDRGFFKFVAPCTFYGSPLQTPSQALFKLIEPSYKISIDDQGKEKKKKQPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFATVGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWATPITNQLPPTPSKDQLLDRYWSHVMQCTSCSGALKKMKALEVALQVASVAVVGFLAVAKGTVVTSVVQRSAVVAAAVLCFAASRWLANFIEKNFYFQDYVHAYNAMAPVSLPLLSRARPPLLLRDAGVKLSTVRLPPPWRQQWKHTSGERRRRLSMPASAVAAETPPPHARAEEEEEAAPAVGGGEEGRFEWLDQWYPVAPVCDLDPRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRTEPEYRDVLQRKRPPYFPDLDDPSFNTVFGVRDFPYGYRNAQAILLRNGHIGMQLDILCRGSIAMQNLMDPAHVPYAHKGLVPQFQDKEDPGRYNIHLLSTVEFDQERGGPVKMKIEEANIDGFLSIQGENWGHFRFIAPCTINRSELPFETLAHFDQEKQQQQPQGMLVFLCIPVAPGRSRVIWAFPQSVSAWPDKFIPRWLHHMVSNTVLDSDLYLLHIEERNFAAVGVDKWQKACYVPTSSDNMIITFRNWFRKYCKHQVGWATPMVNQLPTTPTKDQLMERYWSHVMQCTSCSAALKWMRAMEVALQVASVAVVGFLAAGKGTTVVTSAVQRAAVVAAAALCFAASRWLANFIEKSFYFQDYVHAYNAMAPVSLPLLSRARPPLLLRDAGVKLSTVRLPPPWRQQWKHTSGERRRRLSMPASAVAAETPPPHARAEEEEEAAPAVGGGEEGRFEWLDQWYPVAPVCDLDPRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPCSTVHLLSTVESDQEGGYPVKIRTEQAKIDGFLSVQEDDVCYMKFDAPCTLYGKPFRTKEPQIDQGKEKKKKKQPVAMTVFLCVPVAPGRSRLIWAFPRNVDAWLDNIIPRWLYHIVTNIVLDSDSYLLHIEERNFGTVGLDNWHKACYVPTSSDNMVITFRNWFRKYCKHQIGWATPMANQLPPTPTKDQVLERYRSHVMQCTSCSAALKKMKALEVALQVASVAIVGFLAVAKGSLAPSVVRRAAAVSTAVLCFAASRWLASFIEKSFYFQDYVHAYNTPRIPPWRRQWISPTDARRRRLSMPVSAVAAEAPLPRAVDEKETPAAGEERFDWLDQWYPVAPVRDLDKRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRSEPEYKEILQRKRPPYIRELDDPSSVINSGVRDLLYGYRNYLQAIHKQLDASIYELLVENFMDPAHVPYAHRGQFPHVPREEDIGRYVPHHLR >ONIVA03G39460.4 pep chromosome:AWHD00000000:3:32999480:33024962:1 gene:ONIVA03G39460 transcript:ONIVA03G39460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSLLLLPAARPARPALHLRDAAAAAAAARVPPPRLPWSRAVARRLLSTSVAAVAAETPRAEDAPSASGKEERFDWLDQWYPVAPVCDLDPGAPHGKTVLGLRIVAWFDRTTAAADGGGGEWRVFDDSCPHRLAPLSEGRVDDKGRLQCVYHGWCFDGRGACQFIPQAPALGPAVHKNSKACVASYPCVVQNNILWFYPRSEPEYKEILQRKRPPYIPQIDDPSFVTVYGVRDLPYGYDVLVENLMDPAHVPYAHKGLMRTRKKEDPGRYNIHLLYTVEFDKEGGGPLKMEIEETNVEGFLSMLDRGFFKFVAPCTFYGSPLQTPSQALFKLIEPSYKISIDDQGKEKKKKQPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFATVGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWATPITNQLPPTPSKDQLLDRYWSHVMQCTSCSGALKKMKALEVALQVASVAVVGFLAVAKGTVVTSVVQRSAVVAAAVLCFAASRWLANFIEKNFYFQDYVHAYNAMAPVSLPLLSRARPPLLLRDAGVKLSTVRLPPPWRQQWKHTSGERRRRLSMPASAVAAETPPPHARAEEEEEAAPAVGGGEEGRFEWLDQWYPVAPVCDLDPRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRTEPEYRDVLQRKRPPYFPDLDDPSFNTVFGVRDFPYGYDMLVENLMDPAHVPYAHKGLERGGPVKMKIEEANIDGFLSIQGENWGHFRFIAPCTINRCPSRHWHIDQGKEKKKKKQPVAMTVFLCVPVAPGRSRLIWAFPRNVDAWLDNIIPRWLYHIVTNIVLDSDSYLLHIEERNFGTVGLDNWHKACYVPTSSDNMVITFRNWFRKYCKHQIGWATPMANQLPPTPTKDQVLERYRSHVMQCTSCSAALKKMKALEVALQVASVAIVGFLAVAKGSLAPSVVRRAAAVSTAVLCFAASRWLASFIEKSFYFQDYVHAYNTPRIPPWRRQWISPTDARRRRLSMPVSAVAAEAPLPRAVDEKETPAAGEERFDWLDQWYPVAPVRDLDKRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRSEPEYKEILQRKRPPYIRELDDPSSVINSGVRDLLYGYRNYLQAIHKQLDASIYELLVENFMDPAHVPYAHRGQFPHVPREEDIGRYVPHHLR >ONIVA03G39460.5 pep chromosome:AWHD00000000:3:32999480:33024962:1 gene:ONIVA03G39460 transcript:ONIVA03G39460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSLLLLPAARPARPALHLRDAAAAAAAARVPPPRLPWSRAVARRLLSTSVAAVAAETPRAEDAPSASGKEERFDWLDQWYPVAPVCDLDPGAPHGKTVLGLRIVAWFDRTTAAADGGGGEWRVFDDSCPHRLAPLSEGRVDDKGRLQCVYHGWCFDGRGACQFIPQAPALGPAVHKNSKACVASYPCVVQNNILWFYPRSEPEYKEILQRKRPPYIPQIDDPSFVTVYGVRDLPYGYDVLVENLMDPAHVPYAHKGLMRTRKKEDPGRYNIHLLYTVEFDKEGGGPLKMEIEETNVEGFLSMLDRGFFKFVAPCTFYGSPLQTPSQALFKLIEPSYKISIDDQGKEKKKKQPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFATVGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWATPITNQLPPTPSKDQLLDRYWSHVMQCTSCSGALKKMKALEVALQVASVAVVGFLAVAKGTVVTSVVQRSAVVAAAVLCFAASRWLANFIEKNFYFQDYVHAYNAMAPVSLPLLSRARPPLLLRDAGVKLSTVRLPPPWRQQWKHTSGERRRRLSMPASAVAAETPPPHARAEEEEEAAPAVGGGEEGRFEWLDQWYPVAPVCDLDPRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRTEPEYRDVLQRKRPPYFPDLDDPSFNTVFGVRDFPYGYRNAQAILLRNGHIGMQLDILCRGSIAMQNLMDPAHVPYAHKGLVPQFQDKEDPGRYEGGYPVKIRTEQAKIDGFLSVQEDDVCYMKFDAPCTLYGKPFRTKEPQIDQGKEKKKKKQPVAMTVFLCVPVAPGRSRLIWAFPRNVDAWLDNIIPRWLYHIVTNIVLDSDSYLLHIEERNFGTVGLDNWHKACYVPTSSDNMVITFRNWFRKYCKHQIGWATPMANQLPPTPTKDQVLERYRSHVMQCTSCSAALKKMKALEVALQVASVAIVGFLAVAKGSLAPSVVRRAAAVSTAVLCFAASRWLASFIEKSFYFQDYVHAYNTPRIPPWRRQWISPTDARRRRLSMPVSAVAAEAPLPRAVDEKETPAAGEERFDWLDQWYPVAPVRDLDKRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRSEPEYKEILQRKRPPYIRELDDPSSVINSGVRDLLYGYRNYLQAIHKQLDASIYELLVENFMDPAHVPYAHRGQFPHVPREEDIGRYVPHHLR >ONIVA03G39460.6 pep chromosome:AWHD00000000:3:32999465:33024962:1 gene:ONIVA03G39460 transcript:ONIVA03G39460.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSLLLLPAARPARPALHLRDAAAAAAAARVPPPRLPWSRAVARRLLSTSVAAVAAETPRAEDAPSASGKEERFDWLDQWYPVAPVCDLDPGAPHGKTVLGLRIVAWFDRTTAAADGGGGEWRVFDDSCPHRLAPLSEGRVASVAVVGFLAVAKGTVVTSVVQRSAVVAAAVLCFAASRWLANFIEKNFYFQDYVHAYNAMAPVSLPLLSRARPPLLLRDAGVKLSTVRLPPPWRQQWKHTSGERRRRLSMPASAVAAETPPPHARAEEEEEAAPAVGGGEEGRFEWLDQWYPVAPVCDLDPRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRTEPEYRDVLQRKRPPYFPDLDDPSFNTVFGVRDFPYGYRNAQAILLRNGHIGMQLDILCRGSIAMQNLMDPAHVPYAHKGLVPQFQDKEDPGRYNIHLLSTVEFDQERGGPVKMKIEEANIDGFLSIQGENWGHFRFIAPCTINRSELPFETLAHFDQEKQQQQPQGMLVFLCIPVAPGRSRVIWAFPQSVSAWPDKFIPRWLHHMVSNTVLDSDLYLLHIEERNFAAVGVDKWQKACYVPTSSDNMIITFRNWFRKYCKHQVGWATPMVNQLPTTPTKDQLMERYWSHVMQCTSCSAALKWMRAMEVALQVASVAVVGFLAAGKGTTVVTSAVQRAAVVAAAALCFAASRWLANFIEKSFYFQDYVHAYNAMAPVSLPLLSRARPPLLLRDAGVKLSTVRLPPPWRQQWKHTSGERRRRLSMPASAVAAETPPPHARAEEEEEAAPAVGGGEEGRFEWLDQWYPVAPVCDLDPRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPCSTVHLLSTVESDQEGGYPVKIRTEQAKIDGFLSVQEDDVCYMKFDAPCTLYGKPFRTKEPQIDQGKEKKKKKQPVAMTVFLCVPVAPGRSRLIWAFPRNVDAWLDNIIPRWLYHIVTNIVLDSDSYLLHIEERNFGTVGLDNWHKACYVPTSSDNMVITFRNWFRKYCKHQIGWATPMANQLPPTPTKDQVLERYRSHVMQCTSCSAALKKMKALEVALQVASVAIVGFLAVAKGSLAPSVVRRAAAVSTAVLCFAASRWLASFIEKSFYFQDYVHAYNTPRIPPWRRQWISPTDARRRRLSMPVSAVAAEAPLPRAVDEKETPAAGEERFDWLDQWYPVAPVRDLDKRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRSEPEYKEILQRKRPPYIRELDDPSSVINSGVRDLLYGYRNYLQAIHKQLDASIYELLVENFMDPAHVPYAHRGQFPHVPREEDIGRYVPHHLR >ONIVA03G39450.1 pep chromosome:AWHD00000000:3:32993146:32997543:-1 gene:ONIVA03G39450 transcript:ONIVA03G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-activating enzyme 18 [Source:Projected from Arabidopsis thaliana (AT1G55320) TAIR;Acc:AT1G55320] MAAAAAARGSVWEIQQRDVEAAGLADADAGAFVAALRSAVAVATGGPDAAWEAVAAGVLRPEHPHALHQLVYYSVYAGWDRAARGPPPYWFPSQIDTKQTNLGRLMEAHGPRLLGSSYKDPVSSFHLFHKFSVEHQEVYWSMVLKEISIKFQQEPKSILDTSDKSRKGGTWLQGAVLNIAECCLLPWPSQNRTDDSTAIVWRDEGLDNHPVNRMSLKELRNQVITVATALDTMFQKGDRIAIDMPMTCNAVIIYLAIVLGGFVVVSIADSFAPQEIGTRMSVSKAKAIFTQDFIIRGGKKVPLYSRVVQGTSSKAVVIPATGGFLGVSLRNGDMSWKDFLSCAAGRSSIYPTVYQPSDALTNILFSSGTTGEPKAIPWSQLSPIRCTCDTWAHLDIQPQDIFCWPTNLGWVMGPILLYSCFLSGATLALYHGSPLGRGFCKFVQDAGVTILGSVPSLVKSWKAGNFTEGLHWTKIRVLSTTGEASDIDDDLWLSSRASYKPIIECCGGTELASSYIQGSLLRSQAFGAFSGASMSTGFVILDEQGTPYPDDVPCAGEVGLFPLYFGATDRLLNADNNKVYFDGMPIYNGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKVDPPISNVFNSLLSPLNFMKLNKYCSSYKQTSSVEIERICNRADEALLETAAVSIKPAGGGPEQLAILAVLKDRSPPCDANVLKSKFQRAIQKNLNPLFKVSYVKIVPEFPRTASNKLLRRVLRDQLSKELSNRSKL >ONIVA03G39440.1 pep chromosome:AWHD00000000:3:32988497:32990818:-1 gene:ONIVA03G39440 transcript:ONIVA03G39440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein [Source:Projected from Arabidopsis thaliana (AT3G58490) TAIR;Acc:AT3G58490] MEAVAVAGGGAGLTGWQAAALSAVAGWVWAASSFDLTRRSRALVQPWVTRRVLAETPSIVRFQKVHHKLLDSFFSVLSCVVSVPFYTGFLPLLFWSGHSKLARQMTLLMAFCDYLGNSVKDAVSAPRPSSPPVRRVTATEDEKENAMEYGLPSSHALNTVCLMGYLLHYVLTYGSHDNVMVVTGLSLAFLLVMLVGIGRIYLGMHSLIDVIAGICFGVVILAFWLAVHNHVDAFVVSGQNVTTFWASLSLLLCFAYPKPEFPTPSFEYHTAFNGVAFGIVYGIQQTYFHFHNPDVPLIFSPQLPLIVFVGRVLVGIPTILVVKFCSKALSKWLLPVMCNTLGIPIVSTCYVPALKASEKCKDKSDAKQGGYLQKVFSLFPQKAYDVDTGIRFVQYASLAWSVVDLVPAIFTHLSL >ONIVA03G39430.1 pep chromosome:AWHD00000000:3:32979067:32983595:1 gene:ONIVA03G39430 transcript:ONIVA03G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GV72] MATSLQISVIYPPSLAPFQTFCPPPLLRLLYTRARPPTPRRDLGLGARDAPPAARRMSSPHGGLDDQIERLMQCKPLPEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTQMNNVVVWLPVEPVGLSEYILICRT >ONIVA03G39430.2 pep chromosome:AWHD00000000:3:32979067:32983774:1 gene:ONIVA03G39430 transcript:ONIVA03G39430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GV72] MATSLQISVIYPPSLAPFQTFCPPPLLRLLYTRARPPTPRRDLGLGARDAPPAARRMSSPHGGLDDQIERLMQCKPLPEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >ONIVA03G39420.1 pep chromosome:AWHD00000000:3:32969978:32974825:-1 gene:ONIVA03G39420 transcript:ONIVA03G39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAQTGSGKTAAFCFPIISGIMSSRPPQRPRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPIHQQLRELERGVEILVATPGRLMDLLERARVSLQMVKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLLHAQKANGTHGKQALTLVFVETKRGADALENWLYTNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSLARPLCELMQEANQEVPQWLERYSARSSFGGGGGYGGGGGGYGGGGGYGGGYGGGQGSTSSWD >ONIVA03G39420.2 pep chromosome:AWHD00000000:3:32969978:32974825:-1 gene:ONIVA03G39420 transcript:ONIVA03G39420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAQTGSGKTAAFCFPIISGIMSSRPPQRPRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPIHQQLRELERGVEILVATPGRLMDLLERARVSLQMVKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLLHAQKANGTHGKQALTLVFVETKRGADALENWLYTNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSLARPLCELMQEANQEVPQWLERYSARSSFGGGGGRNRRSGGARFGGRDFRRDNRGGGGGGYGGGGGGYGGGGGYGGGYGGGQGSTSSWD >ONIVA03G39410.1 pep chromosome:AWHD00000000:3:32962765:32974177:1 gene:ONIVA03G39410 transcript:ONIVA03G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSRPEEVLPLVKLRVAAGRIKRQIPPEEHWAFAYTMLQRVSRSFALVIQQLGPDLRNAVSIHHLFLLLPLLPSAVPEFSCVFTVSRARGDSDGRCADSAEDDTSIPAAVKVPILKEFHRHIYNRDWHYSCGTKDYKLLMDKFRLVSTAFLELGQGYQEAIEEITRLMGAGMAKFICKEFHVQFLAVLSVETVDDYNEYCHYVAGLVGYGLSRLFHAGGTEDLASDSLSNSMGLFLQDLKYEENSEKAVQCLNDMVTNALSHAEDCLQYMSALKDHAIFRFCAIPQIMAIGTCAICYNNVNVFRGVVKMRRGLTARVIDETNTMSDVYTAFYEFSSLIESKIDNNDPNASLTRKRVDAIKRTCKSSCSLKRRGYDLEKSKYNSMLIMVVLLLVAIVLGMIYAK >ONIVA03G39400.1 pep chromosome:AWHD00000000:3:32955089:32957018:-1 gene:ONIVA03G39400 transcript:ONIVA03G39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GV68] MTRDKAESSLKLWTPTTHTDTRCYLAIGEPVCSSCSGRDAAVAPAGTMGDGGGGGLDVVVFPWLAFGHKIPYLELSKRLAARGHDVTFVSTPRNVSRLPPVPAGLSARLRFVSLPMPPVDGLPEGAESTADVPPGNDELIKKACDGLAAPFAAFMADLVAAGGRKPDWIIIDFAYHWLPPIAAEHNVPCAVFLIVQAAAIAFLGPRWANAAHPRAPLDFTAPPRWFPPPSAMAYRRNEARWVVGAFRPNASGVSDIERMWRTIESCRFTIYRSCDEVEPGVLALLTDLFRRPAVPAGILLTPPPDLAAAADDDVDGGSSADRAETLRWLDEQPTNHASAADADELLPDGFEERTRGRGVVWTGWVPQVEVLAHAAVGAFLTHCGWGSTIESLVFGHPLVMLPFVVDQGLVARAMAERGVGVEVAREDDDEGSFGRHDVAAAVRRVMVEDERKVFGENARKMKEAVGDQRRQEQYFDELVERLHTGGGEINDEKYC >ONIVA03G39390.1 pep chromosome:AWHD00000000:3:32948747:32954232:1 gene:ONIVA03G39390 transcript:ONIVA03G39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT3G03960) TAIR;Acc:AT3G03960] MVGLGAMPGYGIQSMLKEGHKHLSGLDEAVLKNIDACRELSAITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGRAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYTKAINKTVEILEDLVEKGSENMDVRNKEEVVLRMRSAVASKQFGQEDILCPLVADACMQVCPKNPANFNVDNVRVAKLLGGGLHNSSVVRGMVLKNDAVGSIKRVEKAKIAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGDMALHFCERYKLMVLRISSKFELRRFCRTTGSIALLKLSRPNADELGYADSVSVEEIGGARVCFMPLFLSNRHDFILLTTFNSLQVTVVKNEEGGNSVATVVLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELARRLKEFSLKETGLDQYAIAKFAESFEMVPRTLAENAGLSAMEIISSLYAEHAGGNTKVGIDLEEGACKDVSIMKIWDLYVTKLFALKYSADAACTVLRVDQIIMAKPAGGPRRDAQPGMDED >ONIVA03G39380.1 pep chromosome:AWHD00000000:3:32942554:32947906:1 gene:ONIVA03G39380 transcript:ONIVA03G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTGNMSAAPLLVLTVAVLAVLASTCAADPEPIQDFCVAVPRAGGEASPAYPGFPCKPVSAVVSDDFFFAGLAAAGSTDNPFGASLKPGNVEAFPALNTLGVAINRVDLAPGGVNPLHSHPRAAELVHVITGRMLVGFVSTAGKYYSKVVGEGETFAIPRGLMHFQYNPGNASARAMTVFNSQLPGVVPAATALFGADPEIPDAVLAKSFQVDAEIIKLLKSKFKNPGWPEPAAASPAQGTTATQKSCTGSGSATQVETSTASTAHTGAMSRTSSAPLLVLSAALAVLASTCIADPEPVQDFCVAVVPRAGDAAAAACPAYPGFPCKPASTVVSDDFFFAGLAVASDTDNRFGFNVTAANAETFPGLNTLGVSIGRVDLAPGGVNPLHSHPRATELIHVVAGRVLAGFVSTAGEFYSKVLGEGETFVVPRGMIHFQYNVGGVAAQVITAFNSQMPGVVAAGPTLFGSDPEIPDAVLAKSFQVDAKIIKLLKSKF >ONIVA03G39370.1 pep chromosome:AWHD00000000:3:32935551:32941471:1 gene:ONIVA03G39370 transcript:ONIVA03G39370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKSSRSRPAGHSGVLPVNSTAGGGDGGVPLADKMKIFKTDNFDPDAYVQSKCHAMNEKEIRHLCSYLQDLKKASSEEMRRSVYANYAAFIRTSKEISDLERELLSVRNLLSTQSALIRGLSEGVQIDSLTTGSEGSAEEGTDEDQEPSEIQNWCTDFPEMLDVLLAERRVDEALDALDEAERVVADEKQKQTLTTADILAVKRAISDNRLKLANQLAEAACQSSTRGVELRASASALKRLGDGPRAHSLLLSAHNQRLQCSMQTIHPSSTSHSGAYTASLARQVFSVIAQALSDSLELFGDEPSYLSELITWATEQAMSFALLVKRHALAACAAAGGLRAAAECIQISLGHSSLLETRGLSLSSVLMKQFKPSVEQALESSLRRIEESTAALAAADDWVLTYPPSGIRTFARSSASSLLLQPKLSNSGHRFNSMVQDFFEDVGPLHSLQLGGSAMDGLLKIFNSYVNLLISALPHSLDDETILEGLGNKIVRVAETEEQQLALFANASLLAEELLPRAAMKLSSVNQTGVNDIRKKSVDRQNRVAEQREWKKKLQRIVDKLKDSFCRQHALDLIFTEDDDTRLSAEMYINMDNTVEEPEWVPSLIFQELYAKLNRMASIAADLFVGRERFATFLLMRLTETVILWLSEDQSFWEEIEEGPRALGPLGLQQFYLDMQFVILSGRGRFLSRHVHQVILKIIDRAMAAFSATGMNPDSVLPSDDWFIDVANDTISRISGNPRTANGDREVNSPTASVSAQSISSVRSHGSS >ONIVA03G39360.1 pep chromosome:AWHD00000000:3:32927187:32932462:-1 gene:ONIVA03G39360 transcript:ONIVA03G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 14 [Source:Projected from Arabidopsis thaliana (AT3G60800) UniProtKB/Swiss-Prot;Acc:Q8VYP5] MHRSAGATMAWNVFRFCTALRGLGSIMILLVLSIVGVTYYAVVVYNYGPALFAGGASTLLALVVLLLFHFLLVMLLWSYFSVVFTDPGSVPPNWNLDFDEERGETAPLSGLDFNSQVNSQQSIAHNDTGHPRARYCRKCNQMKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDIDIPGSPAALATTFLTFVLNLAFSLSVLGFMIMHAYEKKTTPRWMYDIGRKRNFIQVFGNDKRYWFIPAYSEEDLRRMPVLQGLDYPVRTDLDGQEL >ONIVA03G39350.1 pep chromosome:AWHD00000000:3:32919857:32922939:1 gene:ONIVA03G39350 transcript:ONIVA03G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAARWWVAAVVVAVAAMAGAAKGDFAADKAECADKLMALATCLTYVEEKATARAPTRDCCAGLGQVVAGSKKCLCVLVKDRDEPALGFRINVTRAMDLPSGCSIAATFSDCPKMLNMSPDSKEAEIFKQYAREHESNNATKPAPAAAAAATGSAGKATAATGDAGVGRRQRSSLAARAVAAAVLAAVFGLTVA >ONIVA03G39340.1 pep chromosome:AWHD00000000:3:32914208:32916859:1 gene:ONIVA03G39340 transcript:ONIVA03G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GV62] MLRDYCPGYLAVDKVFRGLLAAERDPLAAGANNCRQEGVAISGLLDGTGEYILLYEDYEGDRVLVGDVPWGFIDYQTGKKEFYHQTGPCLLFDRSNFTVLKELRD >ONIVA03G39330.1 pep chromosome:AWHD00000000:3:32905325:32908146:1 gene:ONIVA03G39330 transcript:ONIVA03G39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPHPLPSPCRRRRCLLLLLLSRLLLSSASSLEEGRVLTVGEELMGETMPLRHGSRLYRLDGTRPSAWYEVKISYPASIPSSFSIRLVDDPHSVEDLGSMNRRLLNTEKIIFKAQSSRPVYVLVTVEPEGVVAKPNMPERELAMFNIVCDELMLGIPHFAWWVGIGSLFCIALASVAPYFLPLHKLLNYEATELSDDFAAKLS >ONIVA03G39330.2 pep chromosome:AWHD00000000:3:32905325:32908101:1 gene:ONIVA03G39330 transcript:ONIVA03G39330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPHPLPSPCRRRRCLLLLLLSRLLLSSASSLEEGRVLTVGEELMGETMPLRHGSRLYRLDGTRPSAWYEVKISYPASIPSSFSIRLVDDPHSVEDLGSMNRRLLNTEKIIFKAQSSRPVYVLVTVEPEGVVAKPNMPERELAMFNIVCDELMLGIPHFAWWVGIGSLFCIALASVAPYFLPLHKLLNYEATELSDDFAAKLS >ONIVA03G39330.3 pep chromosome:AWHD00000000:3:32905325:32908229:1 gene:ONIVA03G39330 transcript:ONIVA03G39330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPHPLPSPCRRRRCLLLLLLSRLLLSSASSLEEGRVLTVGEELMGETMPLRHGSRLYRLDGTRPSAWYEVKISYPASIPSSFSIRLVDDPHSVEDLGSMNRRLLNTEKIIFKAQSSRPVYVLVTVEPEGVVAKPNMPERELAMFNIVCDELMLGIPHFAWWVGIGSLFCIALASVAPYFLPLHKLLNYEATELSDDFAAKLS >ONIVA03G39320.1 pep chromosome:AWHD00000000:3:32898267:32902620:-1 gene:ONIVA03G39320 transcript:ONIVA03G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPAAGATCRRFAIRGFVAVFLVYVLAALALESPLLVVPTPVPGAGAATAASRPLHLDGSGERGRGARPLKRPHRETLSAAGRSSRRLPGIVSGLDLRRLNATRSGSLRKVAAEAAAAGARVFSELQTLAGTVTELDATGEEERSRCPHSIVLTGDEFRVKGRTVELPCGLTLGSYITVAATPRAAHADRDPKITLVREGDEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTSLRCEGWRSRADEETVDGMVKCEKWIRDDEERSEQSKTSWWLNRLIGRTKKVSVDWPYPFVEDRMFVLTLTAGLEGYHVNVDGRHVTSFPYRTGFVLDDATGLSLNGDLDVQSVFAGTLPTAHPSFSPQKHLEMLPIWQAPPLPDEPIEIFIGILSAGNHFAERMAVRKTWMSAAQKSSNVVARFFVALNGRKEVNAELKKEAEFFGDIVIVPFMDSYDLVVLKTVAICEYGVRVVSARYIMKCDDDNFVRLESVKDELKKIPRGKSLYVGNMNYHHKPLRTGKWAVTYEEWPEEDYPTYANGPGYVISSDIAASIVSEFTAHKLRLFKMEDVSMGMWVERFNNTRHVQYVHSIKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQSGKAQFCIAAARVFIRINLKCTYI >ONIVA03G39320.2 pep chromosome:AWHD00000000:3:32898826:32902620:-1 gene:ONIVA03G39320 transcript:ONIVA03G39320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPAAGATCRRFAIRGFVAVFLVYVLAALALESPLLVVPTPVPGAGAATAASRPLHLDGSGERGRGARPLKRPHRETLSAAGRSSRRLPGIVSGLDLRRLNATRSGSLRKVAAEAAAAGARVFSELQTLAGTVTELDATGEEERSRCPHSIVLTGDEFRVKGRTVELPCGLTLGSYITVAATPRAAHADRDPKITLVREGDEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTSLRCEGWRSRADEETVDGMVKCEKWIRDDEERSEQSKTSWWLNRLIGRTKKVSVDWPYPFVEDRMFVLTLTAGLEGYHVNVDGRHVTSFPYRTGFVLDDATGLSLNGDLDVQSVFAGTLPTAHPSFSPQKHLEMLPIWQAPPLPDEPIEIFIGILSAGNHFAERMAVRKTWMSAAQKSSNVVARFFVALNGRKEVNAELKKEAEFFGDIVIVPFMDSYDLVVLKTVAICEYGVRVVSARYIMKCDDDNFVRLESVKDELKKIPRGKSLYVGNMNYHHKPLRTGKWAVTYEEWPEEDYPTYANGPGYVISSDIAASIVSEFTAHKLRLFKMEDVSMGMWVERFNNTRHVQYVHSIKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQSGKAQCCNMR >ONIVA03G39310.1 pep chromosome:AWHD00000000:3:32882219:32896496:-1 gene:ONIVA03G39310 transcript:ONIVA03G39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDNHQWPPPHRGYDPRDPAAAWQGDASTSAAAAAAAAGVNPYIYVPNPQYAPNPLNLVLNHVLHNPAALAAYHHQQQQQYHHHQQLLHSSAYHQNPTHNLQHRAAVPAPAAPAAAPSIQHRPVAPATAAAAPGQPQPKKKKKQKEPAPQAHPPPPLPPPQQQQQQPKPQQQPGALERAQAAARKVRDEIIKAGEGVTGWKIAQAVLVALKVDSWGSLGVQLHEVPLLRDLFLVEGKVNTFIHCYVAARKIVSVYDLESEICKNESIGQFEELGLGPFLQHPLVAHYFSVPADLSLVPKLSSDEIINWLQKFMDNSKKKITVENFLDYLAEQKSVSGKENLGVRVQSLRLHISFLRQARRTEVSAVKVQGNTSGSGDGSCEKDLVKNRKFHLSKQALDERFSAITSRIKKLPGINKHIHFDSTDDETDGDSSSEGDAVDNSESKTGSAAIDNKDVDKRVSSCPYPSKTEEMERLGLKSEMSKKPPLDSSKVKESSKKGYTREKRKSEENGSPTSSCKRPKKKQKVQMQKHELSPNCFLSKLEKFITTWKEACREHPVQQVLELLANYYAETPKEKKKIIKFFSEYPGIGFLNVAVRAMGCGLLDSLYDAINVFNENKSSSNIPDTTTELMEVEPPPSVTADDVIRRITEFFESNRGVSRTDASQVRKSTFLRTLLDCETCITAKFSANQFSALGHGTFLEFLGKHEQHLPPKLSSFLKVGKLTHSSVEVSVLQQQIEVLLCQAGGNWLEDGEFSEDSFSKLLKRQFPTISFDIVQDKSGEGLLDSIERQRKNIEINNIMFSMSLLEKRWSGIVPGPVQDLACIVTTDGRFIRVDPSATVDQFLEGIIQCSPFQVAVKLLSLLHVYNGSTNTPISLLKCYAQRAIGIIMDNGNDLLNTKSEGKSFSARNIWSDMSKDIDDIVHLVAKFVLDCLGHLPSEFWSLAADVLLAGLRTITKNCYSAILLEATETGQLCMLHDIGLSLGVAEWAEDCRRLCLTDEIHANIEMHASSRHPSTASGVAICENSNLLNATDVDIMKRSKSLPGKDNQIVAVSKNQNVLNIVTAKLDTAEFITNKSPTLGEVNPEEATLVIETIRREEFGLDQSLSCTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYVEDVEPTLAFILQDNGIVVLNNESGFSAENIRALCDIGNSTKKGSNQGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVAPYNTDSVSRMLSVEDVKDSSSFWNTCIVLPFRSKFKEGTGMHSIASMFSDLHPSLLLFLHRLKCIKFKNMMNDTLLVMRRKALGNGIVRISNGNDTMSWLVVSKKLQGTIVRNDVCSTEIAVAFTLQETQMGEYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADNAWNQWLLSEFPSLFVSAQESFCALPCFQGCPGKAVTTFMSFVPLVGEVHGFFCQLPHLILSKLRLTRCMVLEGSSSRWVYPCNTLRGWDEQTRILISDSLLLEHLGLGYLSKDIIISDTLSRALGIHEYGPKVLIDIISSICRVDGCIESLGLEWLCAWFISLHLSLMHHSSKNLPLTTSPEDLLCALRKIPCIPLSDGSFSSIADGPIWLPYDVLNSKPDSRSSMLNFPVLYSNLRTINPCLLSVSCQNKYLTEEMRANDLMDILLKMGVRKLSGHDIIKNHILVSLSNSTEANVANTMMIEYVSFIMLHLQSPCASCNFEKEEIMSELRRRPILLTNHGYKCPYDEPIHFSKEYGNSVDLCKLLLNVEIKWIELDSCYLMNRGSDSLPPFELKKWRQFFEEMGVTDFVQVVKVEKNISQADSSLAGRLSQGHHSGTPCIVYDWESPELVSILSTFSSKKCRENCVYLLEVLDKFWDAHYSAKARIHADATHSGENIAVESSFMNSIRTFKWIASAMDEDLHYATDLFYNTEDVRSILGSVAPYAVPQVCSRSLGKDIGFKIKVSHSDALMILKSWIASQTSFSASMDQMCKFYTFVSEGFATATIDIKREFLSCSSIFTPLNRARSNELVPGKFLSPKDLYWHDPTGCSEIITEKVISMKNKISMFPRKMLSSAYPSLCEFFTEACGVPKVPKTSDYVDILLGLSNAALPSEVANQVFRVFARWANDLHSANDNMNDILFLEGSLQKLETTILPTLGDKWVSLHPSFGLVCWVDDNELMQHFEDYNGVNFIQFGELSYEDKQLLYGRIAALLKSLGIPALSKVIYREAIFYGTVDNREKVTVISWLLPYMQRYIYKMHRDTYVNFQQNEITKLSNLQVIVVEKLFHKYKLKERESSCKRRFKCNCLLQGNNLYATQEADSHSLFLELSRLFFDGSPDLHFANFLHMVKTMADSGTTAEQIESFIVNNQNVPDLPEHEAVWSFSSLIIADQDVDCQRTEFQSICDSQKTEIRSTCELNISKHQRTSGVASSWPPNDWKTAPDFITSHNSQFTPNQETNLNNVVPSLDLTKTQCENSEDIVGPVDLEGNWITEDDFGSENTVLAERIGATGDEPHMVMSINSANLPAYLDLETGSSANSVVDIELTEFNDKLANVSEKRDRLCIKAPDRDKLLRIGKQGEAAAHQHFVDHFGSNNVRWVNQENETGLPYDIVVTHKSGFTEYVEVKATTNSYKNWFYITLREWQFALEKGNAFTIARVVLKDSKKANDKSNVLILKNPYKLCLNKSVYLALIIPQQYQTKRRYFEGNSDLQSEVNH >ONIVA03G39310.2 pep chromosome:AWHD00000000:3:32882219:32896496:-1 gene:ONIVA03G39310 transcript:ONIVA03G39310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDNHQWPPPHRGYDPRDPAAAWQGDASTSAAAAAAAAGVNPYIYVPNPQYAPNPLNLVLNHVLHNPAALAAYHHQQQQQYHHHQQLLHSSAYHQNPTHNLQHRAAVPAPAAPAAAPSIQHRPVAPATAAAAPGQPQPKKKKKQKEPAPQAHPPPPLPPPQQQQQQPKPQQQPGALERAQAAARKVRDEIIKAGEGVTGWKIAQAVLVALKVDSWGSLGVQLHEVPLLRDLFLVEGKVNTFIHCYVAARKIVSVYDLESEICKNESIGQFEELGLGPFLQHPLVAHYFSVPADLSLVPKLSSDEIINWLQKFMDNSKKKITVENFLDYLAEQKSVSGKENLGVRVQSLRLHISFLRQARRTEVSAVKVQGNTSGSGDGSCEKDLVKNRKFHLSKQALDERFSAITSRIKKLPGINKHIHFDSTDDETDGDSSSEGDAVDNSESKTGSAAIDNKDVDKRVSSCPYPSKTEEMERLGLKSEMSKKPPLDSSKVKESSKKGYTREKRKSEENGSPTSSCKRPKKKQKVQMQKHELSPNCFLSKLEKFITTWKEACREHPVQQVLELLANYYAETPKEKKKIIKFFSEYPGIGFLNVAVRAMGCGLLDSLYDAINVFNENKSSSNIPDTTTELMEVEPPPSKRKSKCVAKGDNDTNVGSKDPGCSVTADDVIRRITEFFESNRGVSRTDASQVRKSTFLRTLLDCETCITAKFSANQFSALGHGTFLEFLGKHEQHLPPKLSSFLKVGKLTHSSVEVSVLQQQIEVLLCQAGGNWLEDGEFSEDSFSKLLKRQFPTISFDIVQDKSGEGLLDSIERQRKNIEINNIMFSMSLLEKRWSGIVPGPVQDLACIVTTDGRFIRVDPSATVDQFLEGIIQCSPFQVAVKLLSLLHVYNGSTNTPISLLKCYAQRAIGIIMDNGNDLLNTKSEGKSFSARNIWSDMSKDIDDIVHLVAKFVLDCLGHLPSEFWSLAADVLLAGLRTITKNCYSAILLEATETGQLCMLHDIGLSLGVAEWAEDCRRLCLTDEIHANIEMHASSRHPSTASGVAICENSNLLNATDVDIMKRSKSLPGKDNQIVAVSKNQNVLNIVTAKLDTAEFITNKSPTLGEVNPEEATLVIETIRREEFGLDQSLSCTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYVEDVEPTLAFILQDNGIVVLNNESGFSAENIRALCDIGNSTKKGSNQGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVAPYNTDSVSRMLSVEDVKDSSSFWNTCIVLPFRSKFKEGTGMHSIASMFSDLHPSLLLFLHRLKCIKFKNMMNDTLLVMRRKALGNGIVRISNGNDTMSWLVVSKKLQGTIVRNDVCSTEIAVAFTLQETQMGEYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADNAWNQWLLSEFPSLFVSAQESFCALPCFQGCPGKAVTTFMSFVPLVGEVHGFFCQLPHLILSKLRLTRCMVLEGSSSRWVYPCNTLRGWDEQTRILISDSLLLEHLGLGYLSKDIIISDTLSRALGIHEYGPKVLIDIISSICRVDGCIESLGLEWLCAWFISLHLSLMHHSSKNLPLTTSPEDLLCALRKIPCIPLSDGSFSSIADGPIWLPYDVLNSKPDSRSSMLNFPVLYSNLRTINPCLLSVSCQNKYLTEEMRANDLMDILLKMGVRKLSGHDIIKNHILVSLSNSTEANVANTMMIEYVSFIMLHLQSPCASCNFEKEEIMSELRRRPILLTNHGYKCPYDEPIHFSKEYGNSVDLCKLLLNVEIKWIELDSCYLMNRGSDSLPPFELKKWRQFFEEMGVTDFVQVVKVEKNISQADSSLAGRLSQGHHSGTPCIVYDWESPELVSILSTFSSKKCRENCVYLLEVLDKFWDAHYSAKARIHADATHSGENIAVESSFMNSIRTFKWIASAMDEDLHYATDLFYNTEDVRSILGSVAPYAVPQVCSRSLGKDIGFKIKVSHSDALMILKSWIASQTSFSASMDQMCKFYTFVSEGFATATIDIKREFLSCSSIFTPLNRARSNELVPGKFLSPKDLYWHDPTGCSEIITEKVISMKNKISMFPRKMLSSAYPSLCEFFTEACGVPKVPKTSDYVDILLGLSNAALPSEVANQVFRVFARWANDLHSANDNMNDILFLEGSLQKLETTILPTLGDKWVSLHPSFGLVCWVDDNELMQHFEDYNGVNFIQFGELSYEDKQLLYGRIAALLKSLGIPALSKVIYREAIFYGTVDNREKVTVISWLLPYMQRYIYKMHRDTYVNFQQNEITKLSNLQVIVVEKLFHKYKLKERESSCKRRFKCNCLLQGNNLYATQEADSHSLFLELSRLFFDGSPDLHFANFLHMVKTMADSGTTAEQIESFIVNNQNVPDLPEHEAVWSFSSLIIADQDVDCQRTEFQSICDSQKTEIRSTCELNISKHQRTSGVASSWPPNDWKTAPDFITSHNSQFTPNQETNLNNVVPSLDLTKTQCENSEDIVGPVDLEGNWITEDDFGSENTVLAERIGATGDEPHMVMSINSANLPAYLDLETGSSANSVVDIELTEFNDKLANVSEKRDRLCIKAPDRDKLLRIGKQGEAAAHQHFVDHFGSNNVRWVNQENETGLPYDIVVTHKSGFTEYVEVKATTNSYKNWFYITLREWQFALEKGNAFTIARVVLKDSKKANDKSNVLILKNPYKLCLNKSVYLALIIPQQYQTKRRYFEGNSDLQSEVNH >ONIVA03G39310.3 pep chromosome:AWHD00000000:3:32882219:32896496:-1 gene:ONIVA03G39310 transcript:ONIVA03G39310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDNHQWPPPHRGYDPRDPAAAWQGDASTSAAAAAAAAGVNPYIYVPNPQYAPNPLNLVLNHVLHNPAALAAYHHQQQQQYHHHQQLLHSSAYHQNPTHNLQHRAAVPAPAAPAAAPSIQHRPVAPATAAAAPGQPQPKKKKKQKEPAPQAHPPPPLPPPQQQQQQPKPQQQPGALERAQAAARKVRDEIIKAGEGVTGWKIAQAVLVALKVDSWGSLGVQLHEVPLLRDLFLVEGKVNTFIHCYVAARKIVSVYDLESEICKNESIGQFEELGLGPFLQHPLVAHYFSVPADLSLVPKLSSDEIINWLQKFMDNSKKKITVENFLDYLAEQKSVSGKENLGVRVQSLRLHISFLRQARRTEVSAVKVQGNTSGSGDGSCEKDLVKNRKFHLSKQALDERFSAITSRIKKLPGINKHIHFDSTDDETDGDSSSEGDAVDNSESKTGSAAIDNKDVDKRVSSCPYPSKTEEMERLGLKSEMSKKPPLDSSKVKESSKKGYTREKRKSEENGSPTSSCKRPKKKQKVQMQKHELSPNCFLSKLEKFITTWKEACREHPVQQVLELLANYYAETPKEKKKIIKFFSEYPGIGFLNVAVRAMGCGLLDSLYDAINVFNENKSSSNIPDTTTELMEVEPPPSKRKSKCVAKGDNDTNVGSKDPGCSVTADDVIRRITEFFESNRGVSRTDASQVRKSTFLRTLLDCETCITAKFSANQFSALGHGTFLEFLGKHEQHLPPKLSSFLKVGKLTHSSVEVSVLQQQIEVLLCQAGGNWLEDGEFSEDSFSKLLKRQFPTISFDIVQDKSGEGLLDSIERQRKNIEINNIMFSMSLLEKRWSGIVPGNYDTVDGLMNDIQQSCSVTVSSQEAIKCLLKAPMLSDLLTWSHWDLLFAPSLGSFMHWLLNTGPVQDLACIVTTDGRFIRVDPSATVDQFLEGIIQCSPFQVAVKLLSLLHVYNGSTNTPISLLKCYAQRAIGIIMDNGNDLLNTKSEGKSFSARNIWSDMSKDIDDIVHLVAKFVLDCLGHLPSEFWSLAADVLLAGLRTITKNCYSAILLEATETGQLCMLHDIGLSLGVAEWAEDCRRLCLTDEIHANIEMHASSRHPSTASGVAICENSNLLNATDVDIMKRSKSLPGKDNQIVAVSKNQNVLNIVTAKLDTAEFITNKSPTLGEVNPEEATLVIETIRREEFGLDQSLSCTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYVEDVEPTLAFILQDNGIVVLNNESGFSAENIRALCDIGNSTKKGSNQGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVAPYNTDSVSRMLSVEDVKDSSSFWNTCIVLPFRSKFKEGTGMHSIASMFSDLHPSLLLFLHRLKCIKFKNMMNDTLLVMRRKALGNGIVRISNGNDTMSWLVVSKKLQGTIVRNDVCSTEIAVAFTLQETQMGEYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADNAWNQWLLSEFPSLFVSAQESFCALPCFQGCPGKAVTTFMSFVPLVGEVHGFFCQLPHLILSKLRLTRCMVLEGSSSRWVYPCNTLRGWDEQTRILISDSLLLEHLGLGYLSKDIIISDTLSRALGIHEYGPKVLIDIISSICRVDGCIESLGLEWLCAWFISLHLSLMHHSSKNLPLTTSPEDLLCALRKIPCIPLSDGSFSSIADGPIWLPYDVLNSKPDSRSSMLNFPVLYSNLRTINPCLLSVSCQNKYLTEEMRANDLMDILLKMGVRKLSGHDIIKNHILVSLSNSTEANVANTMMIEYVSFIMLHLQSPCASCNFEKEEIMSELRRRPILLTNHGYKCPYDEPIHFSKEYGNSVDLCKLLLNVEIKWIELDSCYLMNRGSDSLPPFELKKWRQFFEEMGVTDFVQVVKVEKNISQADSSLAGRLSQGHHSGTPCIVYDWESPELVSILSTFSSKKCRENCVYLLEVLDKFWDAHYSAKARIHADATHSGENIAVESSFMNSIRTFKWIASAMDEDLHYATDLFYNTEDVRSILGSVAPYAVPQVCSRSLGKDIGFKIKVSHSDALMILKSWIASQTSFSASMDQMCKFYTFVSEGFATATIDIKREFLSCSSIFTPLNRARSNELVPGKFLSPKDLYWHDPTGCSEIITEKVISMKNKISMFPRKMLSSAYPSLCEFFTEACGVPKVPKTSDYVDILLGLSNAALPSEVANQVFRVFARWANDLHSANDNMNDILFLEGSLQKLETTILPTLGDKWVSLHPSFGLVCWVDDNELMQHFEDYNGVNFIQFGELSYEDKQLLYGRIAALLKSLGIPALSKVIYREAIFYGTVDNREKVTVISWLLPYMQRYIYKMHRDTYVNFQQNEITKLSNLQVIVVEKLFHKYKLKERESSCKRRFKCNCLLQGNNLYATQEADSHSLFLELSRLFFDGSPDLHFANFLHMVKTMADSGTTAEQIESFIVNNQNVPDLPEHEAVWSFSSLIIADQDVDCQRTEFQSICDSQKTEIRSTCELNISKHQRTSGVASSWPPNDWKTAPDFITSHNSQFTPNQETNLNNVVPSLDLTKTQCENSEDIVGPVDLEGNWITEDDFGSENTVLAERIGATGDEPHMVMSINSANLPAYLDLETGSSANSVVDIELTEFNDKLANVSEKRDRLCIKAPDRDKLLRIGKQGEAAAHQHFVDHFGSNNVRWVNQENETGLPYDIVVTHKSGFTEYVEVKATTNSYKNWFYITLREWQFALEKGNAFTIARVVLKDSKKANDKSNVLILKNPYKLCLNKSVYLALIIPQQYQTKRRYFEGNSDLQSEVNH >ONIVA03G39300.1 pep chromosome:AWHD00000000:3:32877649:32880469:-1 gene:ONIVA03G39300 transcript:ONIVA03G39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPKRACRLALLAAGGAYLLFLLLFELPSVSISVSTASPAAAAAAITHRPRRRELEAASSSSSSSSSPLRPLKTAFPSRRSPLAVSSIRFRRRNSSSIDASAASAFAAARPLMHHLLSSFSSPSPSSSPSPSPSTSDSCPSTISVPTHRLTSGGGGGNGGGVTVELPCGMGVGSHVTVVARPRPARPESEPRIAERREGEASVMVSQFMVELLGTKAVQGEEPPRILHFNPRIRGDFSGRPVIELNTCYRMQWAQPQRCEGWASQPHEETVDGQLKCERWIRDDNSKSEESNAQLWLNRLIGRGNEVAADRPYPFEEGKLFALTVTAGVDGYHVNVDGRHVASFPYRTGYSLEDATGLSLKGDLDIESILAGHLPNSHPSFAPQRYLEMSEQWKAPPLPTEPVELFIGILSAANHFAERMAVRKSWMIDTRKSSNVVARFFVALNGEKEINEELKKEAEFFSDIVIVPFMDSYDLVVLKTIAIAEYGVRIVPAKYIMKCDDDTFVRIDSVLDQVKKVEREGSMYIGNINYYHRPLRSGKWSVSYEEWQEEVYPPYANGPGYVISSDIAQYIVSEFDNQTLRLFKMEDVSMGMWVEKFNSTRQPVKYSHDVKFFQSGCFDGYYTAHYQSPQQMICLWRKLQFGSAQCCNMR >ONIVA03G39290.1 pep chromosome:AWHD00000000:3:32871939:32876283:-1 gene:ONIVA03G39290 transcript:ONIVA03G39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRTLVIFLLLLLALVPALSRPDGGGGGFYDPARVTQLSWRPRAFLYSGFLSHDECDHLVNLAKGRMEKSMVADNDSGKSIMSQVRTSSGTFLSKHEDDIVSGIEKRVAAWTFLPEENAESIQILHYELGQKYDAHFDYFHDKNNLKRGGHRVATVLMYLTDVKKGGETVFPNAAGRHLQLKDETWSDCARSGLAGTNETNLSSSPLSVKPKKGDALLFFSLHVNATTDPASLHGSCPVIEGEKWSATKWIHVRSFDNPPDVSLDLPCSDENERCTRWAAVGECYRNPKYMVGTKDSLGFCRKSCGPERRFVRRQFAGAPPRSYHPSFRRIHLLRPEISTSHLPTMEMRRLLVLFALLSVTAVVPVFLWPDKKGGASDVAVVVAAPPFNASSVTIISWKPRIFFYKGFLSDDECDHLVKLGKEKLKRSMVADNESGKSVMSEVRTSSGMFLDKQQDPVVSGIEERIAAWTLLPQENAENIQILRYENGQKYDPHFDYFQDKVNQLQGGHRYATVLTYLSTVEKGGETVFPNAEGWESQPKDDSFSDCAKKGLAVKAVKGDSVLFFNLQPDGTPDPLSLHGSCPVIEGEKWSAPKWIHVRSYDNASSMKQSEECSDLSENCAAWAASGECNNNAVYMIGTEDAPGQCQKSCNACSL >ONIVA03G39290.2 pep chromosome:AWHD00000000:3:32871939:32876283:-1 gene:ONIVA03G39290 transcript:ONIVA03G39290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRTLVIFLLLLLALVPALSRPDGGGGGFYDPARVTQLSWRPRAFLYSGFLSHDECDHLVNLAKGRMEKSMVADNDSGKSIMSQVRTSSGTFLSKHEDDIVSGIEKRVAAWTFLPEENAESIQILHYELGQKYDAHFDYFHDKNNLKRGGHRVATVLMYLTDVKKGGETVFPNAAGRHLQLKDETWSDCARSGLAVKPKKGDALLFFSLHVNATTDPASLHGSCPVIEGEKWSATKWIHVRSFDNPPDVSLDLPCSDENERCTRWAAVGECYRNPKYMVGTKDSLGFCRKSCGPERRFVRRQFAGAPPRSYHPSFRRIHLLRPEISTSHLPTMEMRRLLVLFALLSVTAVVPVFLWPDKKGGASDVAVVVAAPPFNASSVTIISWKPRIFFYKGFLSDDECDHLVKLGKEKLKRSMVADNESGKSVMSEVRTSSGMFLDKQQDPVVSGIEERIAAWTLLPQENAENIQILRYENGQKYDPHFDYFQDKVNQLQGGHRYATVLTYLSTVEKGGETVFPNAEGWESQPKDDSFSDCAKKGLAVKAVKGDSVLFFNLQPDGTPDPLSLHGSCPVIEGEKWSAPKWIHVRSYDNASSMKQSEECSDLSENCAAWAASGECNNNAVYMIGTEDAPGQCQKSCNACSL >ONIVA03G39280.1 pep chromosome:AWHD00000000:3:32869289:32870510:-1 gene:ONIVA03G39280 transcript:ONIVA03G39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAGSSSAAALAMAILLGVLVLMALVMDGGEKTGAPAIAAGRRMLVGAADAGQMRTLEDFKADDPFQDSKRRVPNGPDPIHNRGTGKSGRSPGRA >ONIVA03G39270.1 pep chromosome:AWHD00000000:3:32869239:32872280:1 gene:ONIVA03G39270 transcript:ONIVA03G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFLESEPSMPRDACRYARPGDLPDLPVPLQNARRSGPLGTLLLLSWKGSSALKSSRVLICPASAAPTSILLPAAIAGAPVFSPPSMTSAINTRTPNKMAIANAAADDDPAGRLIAATADSAVLFKDLNGGEERRVESKASEEEEERGRMTHERFALAKTETGISLKEQA >ONIVA03G39260.1 pep chromosome:AWHD00000000:3:32865005:32865415:-1 gene:ONIVA03G39260 transcript:ONIVA03G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWCSFGVASSLLLCLAMAHAAAAARAVPGGDGSTTAASLPAAAAAGTGAGVEDKKNLFVGVGGMGDLPGFPAVGGGYGGGFGNNGGAVFSGVTGPLGGVGGGMGSVGPVGGFGGAGGGTPFGGFGGGGAGGVTP >ONIVA03G39250.1 pep chromosome:AWHD00000000:3:32858867:32862325:1 gene:ONIVA03G39250 transcript:ONIVA03G39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVILAEYTEFTGNFTTIASQCLMKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKDDFTKRYAGGKAATAAANSLNRDFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQAGTQVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >ONIVA03G39240.1 pep chromosome:AWHD00000000:3:32852958:32856635:-1 gene:ONIVA03G39240 transcript:ONIVA03G39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPTLAAELWRTPYLGGGGGGGGGGRGLEAAASGVTEQSNGSRGGGGGGGAGRRRQREAPALEDDSSRIVSTSGGGGGGQDLTDSEAKRFKASKSDNSSLRTEAETDSRNASKSGDQNPPPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKFGAQVYNTAPGLTFDPQTPREYAQGSTPSEWLHMQIGGTYERVT >ONIVA03G39230.1 pep chromosome:AWHD00000000:3:32846177:32852092:-1 gene:ONIVA03G39230 transcript:ONIVA03G39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPPRGRQQRRGEEGEGEGEDTVVELTPRRTRLPRACNSRPKVPPPPPPPPRQERARPPAGAAAGEEEETTPKCRVVTPLVAEPEAPAELPRWRLRGMWELASVINFLHVFRPLLNITVEFTAEELEEAILSPNNTLDDVHMPLLKSIPPVTRMAMGRGTWITVLCRKLKYWWNWVAEGDLPIVASHGAEIEMYKALEPATRLVILKAICDIRVEQEDIRNFIDSSLKRGYELPVFRKERIGGDSYGISYWYDEDPVLGHRLYREIRQVEYVKDPTKKAKGKGISNAPVVSYQWETVACNFIEFELAAEKLFSSRNRTEVSLGKKLKNNYLPEMEKIHKKKERLLKKQQREALLLDNRLTVNGFTSVRSRRERKRVTYTFDDYERSINEAIKPTKKSENSSEFITTSNRRVHPKREAATNGRLAGPSPLCNGFYGEYPLRSHGYQGSEWEKKPETLDRRQRKRSRRYTQDFVEAISDVDPNFDSDDDIIGEAVYDEEYLRSRKQYKARLLELDKEFQLEQVADDGNDEVEYPSSTSEDEKEPQRYKRLATCNPRGTNLRTIDGFQTCITRSKRSTRPHMKYHQYDLSGTDTELGKPGKIKVPDPDAGSDALNDMELSTTSQDQEEEGAEVNKERPPLLSPSRNNGSDGRRFLDLNEVAPVGGFDETQSRNGERRPPG >ONIVA03G39230.2 pep chromosome:AWHD00000000:3:32846177:32852092:-1 gene:ONIVA03G39230 transcript:ONIVA03G39230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPPRGRQQRRGEEGEGEGEDTVVELTPRRTRLPRACNSRPKVPPPPPPPPRQERARPPAGAAAGEEEETTPKCRVVTPLVAEPEAPAELPRWRLRGMWELASVINFLHVFRPLLNITVEFTAEELEEAILSPNNTLDDVHMPLLKSIPPVTRMAMGRGTWITVLCRKLKYWWNWVAEGDLPIVASHGAEIEMYKALEPATRLVILKAICDIRVEQEDIRNFIDSSLKRGYELPVFRKERIGGDSYGISYWYDEDPVLGHRLYREIRQVEYVKDPTKKAKGKGISNAPVVSYQWETVACNFIEFELAAEKLFSSRNRTEVSLGKKLKNNYLPEMEKIHKKKERLLKKQQREALLLDNRLTVNGFTSVRSRRERKRVTYTFGKLEPDAFEGKTDIGRILDHICSVPWFFCLERQKSENSSEFITTSNRRVHPKREAATNGRLAGPSPLCNGFYGEYPLRSHGYQGSEWEKKPETLDRRQRKRSRRYTQDFVEAISDVDPNFDSDDDIIGEAVYDEEYLRSRKQYKARLLELDKEFQLEQVADDGNDEVEYPSSTSEDEKEPQRYKRLATCNPRGTNLRTIDGFQTCITRSKRSTRPHMKYHQYDLSGTDTELGKPGKIKVPDPDAGSDALNDMELSTTSQDQEEEGAEVNKERPPLLSPSRNNGSDGRRFLDLNEVAPVGGFDETQSRNGERRPPG >ONIVA03G39220.1 pep chromosome:AWHD00000000:3:32841408:32845088:-1 gene:ONIVA03G39220 transcript:ONIVA03G39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GV43] MAPAPATTSSSKRSKKRKQPVAPPPESDSESEELSYDTAAADEEEGEEEAPNQMEELEEEEQEEEKNEKKQKKEMSKEKKRKKEKGNEGGSGILTNMLFSELGVSEPTARAIREMNYTYLTQIQARSIPHLLNGKDVMGAAKTGSGKTLAFLIPAIEMLHHAHFMPRNGTGVVVVCPTRELAIQTHNVAKELMKYHSQTLGYIIGGNGRRGEADQLAKGVNLLVATPGRLLDHLQNTKGFIYRRLKCLIIDEADRLLEQNFEEDMKQIFKRLPLNRQTVLFSATQTEQVKEFAKLSFEKNEESTSKPVYVGVDDAETNATVEGLQQGYCVIDSARRFLVLYAFLKKKQNKKVMVFFSSCNSVKFHAELLNFLQIECSDIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDFIVQYDPPDEPKDYIHRVGRTARGEKGKGEALLFLLPQELKFLIYLKAAKISLTELVFNENKVPNLQSHLENIVGENYFLNQSAKEAYRSYILAYDSHSMKDIFDVHNLNLKDVAASFCFKNPPKVNIDLESSASKHRRKMRKVDGGRRHGISAANPYGRKGGDDKRQFARF >ONIVA03G39210.1 pep chromosome:AWHD00000000:3:32836334:32839344:1 gene:ONIVA03G39210 transcript:ONIVA03G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMWSTSSYAAPIASLPRLEDVWDIPSPKHAVPPRIWRMYHPTSCNMEYLKTAQQQGLQTTPLRQFSGAVLATLIYGPTAATISWANSSFVIGSKTSVEL >ONIVA03G39210.2 pep chromosome:AWHD00000000:3:32839021:32842225:1 gene:ONIVA03G39210 transcript:ONIVA03G39210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAWFGPVNSGLVLLILTMLLRNLQNFQLMQTFVARQLNRRARRLAALIDPYLSITIHEYDAGRMTRSDVFAETKAYLDGAVGTRDDVRHLNAEDARGGGGGGGAGEGGGGGAGSSSSKGLVLSMADGEEVEDHFRGATLWWSAHCEQDDDKGRRGGGGRASQRRSYRLVFHECHRDLVRSAYLPHVRDQGRAFMAMSRQRKLYTNIPSSRWGDDGSYMCSLWTEVVFKHPKTFETLAMDPEKKREIIDDLDMFKNGKEQHRRVGKAWKRGYLLHGPPGTGKSTMVAAMANYLGYDVYDMELTSVHTNTDLRKLLIQTTSKSIIVIEDVDCSSNLTGRRKATGDGEDDDDDAKTTTKKVIDRGGGGGGVGGDSKVTLSGLLNFIDGLWSAFGEERLIVLTTNHVEDLDPALIRTGRMDKKIEMSYCDFETFKSMAKIHLDVDDHEMFAAVERLLPEVDLVPADVGEHLTAKNPRDDAGACLARLVNALQEAKAKKDAAERQDEDNGVVV >ONIVA03G39200.1 pep chromosome:AWHD00000000:3:32834166:32835728:1 gene:ONIVA03G39200 transcript:ONIVA03G39200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSSSSLLNGLNSGVVLSLIAVLWTVVWQNLQRLQLQTLVGRHMNRHARRLAALVDPYLSVTIHEYEGGRMKRSAAYEEVKAYLSASSARDVRHLRAEGAKDADKLVLSMVDGEEVSDVVAADDSTDVTVWWCAYSTPPPRTDGGGYYGWGGGGRAQENRRYYRLFFLDRHRELVINTYLPSIRRQGRAVMVQNRQRKLFTNISTHNWSDVDGLVRSAWSHVVFEHPKTFDTLAMDPAKKKEIMDDLDMFKNGKEYYARVGKAWKRGYLLHGPPGTGKSAMIAAMANYLDYDIYDIELTSVHSNTDLRKLFIETTSKSIIVIEDIDCSLDLTGARKKKKEAADDDDGGSKDGGAPPKPDMKKDASSKVTLSGLLNFIDGLWSACGGERLIVFTTNHVKKLDPALIRRGRMDKHIEMSYCCFEAFKFLAKTYLDVDSHRLFAAVDELLSEVDMTPADVAENLTPKSLDDNADTCLAALVKELEKAKENKSKGKNAHGEDKDEDEDEEDDDVEVVEKDK >ONIVA03G39190.1 pep chromosome:AWHD00000000:3:32826394:32835739:-1 gene:ONIVA03G39190 transcript:ONIVA03G39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYLSFSTTSTSSFTNAARHVSALSSKLLGVRFSATSAGVMSTSDRSSSTAANRRWESTSSTKFLHRRKSTSGLMSGPWAETFVMLGQARAPPPPRRLSHLCAMSTNLSVSYSRFRFALFRLGGVRNSGGETDEIPPAAAAAALAPRRTTRGASGVRPRPLARMAAAQLLLGEDGRGYDLARRLEACGAWRAWLGDAAHAALAQHLQTPSTWDAFLFPSSGGGSAAPPPRPLLLLQLRVRALLFDKASAALLPRGAPPAGLNSVNANYLQLHADDIYFSLEDEQEDINQHHMQSRTSFSPSRENTMLSQRHNRYEELPDTWYKQYAEKFRTWHGKFRSGDKDIPKRTSEGMSNYLKVCSVHKRKRAVFMDDQGHNISVPMSENGPSSKNAGDYSNLTDDTFIPEIRFPADCVPESAIPRTSETSRIYKIEVHGVLDNLPAPVSRNTAMLERFGMMPEYYKKGNKYRGKDGSRVEGKSLSQEQAMLMTRKLVARYLANAGFESGTAVCIDVLSEIIIKHISKLGRNLKLLTDSYRKQFSSIELLKMFLQTVGYSNIGPLMEITKTTNRGANYPMQQDAQVQNQNALLHAQQLSRQFAPQMGINTQNLTPQQQQQLLQQQWLRRNQLASPRGPLTMADKNQAMHQQQQQPQQLQQQHQQQLAMSGGQNAQLAQQLAMSGGQNAQLAQQLAMSGGQNAQLAQQLAMSGGQNPQLAQQYKQMPSMSAYGMRMPPVKVEAFHELVSGDSSLKPDSDSNKLMSPK >ONIVA03G39190.2 pep chromosome:AWHD00000000:3:32826394:32833920:-1 gene:ONIVA03G39190 transcript:ONIVA03G39190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFHASTKFLHRRKSTSGLMSGPWAETFVMLGQARAPPPPRRLSHLCAMSTNLSVSYSRFRFALFRLGGVRNSGGETDEIPPAAAAAALAPRRTTRGASGVRPRPLARMAAAQLLLGEDGRGYDLARRLEACGAWRAWLGDAAHAALAQHLQTPSTWDAFLFPSSGGGSAAPPPRPLLLLQLRVRALLFDKASAALLPRGAPPAGLNSVNANYLQLHADDIYFSLEDEQEDINQHHMQSRTSFSPSRENTMLSQRHNRYEELPDTWYKQYAEKFRTWHGKFRSGDKDIPKRTSEGMSNYLKVCSVHKRKRAVFMDDQGHNISVPMSENGPSSKNAGDYSNLTDDTFIPEIRFPADCVPESAIPRTSETSRIYKIEVHGVLDNLPAPVSRNTAMLERFGMMPEYYKKGNKYRGKDGSRVEGKSLSQEQAMLMTRKLVARYLANAGFESGTAVCIDVLSEIIIKHISKLGRNLKLLTDSYRKQFSSIELLKMFLQTVGYSNIGPLMEITKTTNRGANYPMQQDAQVQNQNALLHAQQLSRQFAPQMGINTQNLTPQQQQQLLQQQWLRRNQLASPRGPLTMADKNQAMHQQQQQPQQLQQQHQQQLAMSGGQNAQLAQQLAMSGGQNAQLAQQLAMSGGQNAQLAQQLAMSGGQNPQLAQQYKQMPSMSAYGMRMPPVKVEAFHELVSGDSSLKPDSDSNKLMSPK >ONIVA03G39180.1 pep chromosome:AWHD00000000:3:32817070:32824259:1 gene:ONIVA03G39180 transcript:ONIVA03G39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKREREERKGKKREREEKKSSLWKKGCTLLSLDAARCLVVAQTQWQRAAKKPKMVKVSSQASGGASAVAESGQETGVGFFSGEWWQRAAKKPEMAVVSSSPASGGASAAKKPRTLLGVRVCKERLCNGMGKNGRRTEEGRNRMHLIILESDNWWWLLRRAVAQWRWQSESATTPPRSASPATILGRHIKQANAQAGLSPSMYWARSPTRVGDDDKPRPAAGGGGRIGVGGAAATEREEMRAGWRPWPTQPSQVAARPNQLRRRCALVGWLLLASGMAQFCYYCCFRRHRERGTQHSSDSDSLRQQVSGPSPTQLFFFHLASISSDLMATCIVASIMSIGRLATALCSAILGALHKLSALPHIEEEHVGVLPSMVEAELPHLPEDLLVKILSRLEIPDLLRASSVCSSWHSAYTTLHSLGQYKRHQTPCLFYTSESAGKNVGCIYSLAEQRTYKITLPDPPIRDRYLIGSSDGWLVTIDDKCEMHLLNPVTREQMALPPVITMEQVNPTYDESGAIVKYENRSQFWHDGVMFSSRSMGSIISPRWQQLFLTGRAFVFSETSTGKLLVVLIRNPFGQLSFARVGDDEWDYLPEYGRYEDCTYKDGLLYAVTTLGEIHAIDLSGPIAMVKVVMGKVMDIGDGNRNTYILHAPWGDVLQIWKTEEDDYIHPSEDDYDAILKNTASIEVYKSDLVEEKLVKINRLQDHVLFVGHNQTLCLRAEEFPSLKANHAYFTDDSQNWITEFKNNRRDIGVFNLEDNSRDELVSPQLWSNWPSPSNANLRTREWQHGGNPPSCGVADQGSVSGSHQKKGLGVYVMRLRTKKGFLSSHWQPNRQQLTEFRFFHSSLQQSMETGIRLRNSPKFLANGDCHNRCLPPSAPRRVGSPTHRRATRLSYIPPIITSRAVTPLFFKRRKLKMSDPKYAYPYPAQGYYQGPYQGPPVMAPPQYAAPPPRRQPSFLEGCLAALCCCCLIDECCCDPSIIFVS >ONIVA03G39170.1 pep chromosome:AWHD00000000:3:32812577:32816918:-1 gene:ONIVA03G39170 transcript:ONIVA03G39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVGRAVRLSFAVARIFSKAAAFFFFYAITESSNKEVTVGERKFPKEVIVAYVDYCKRASVCEENQRVCHMCVFIEQRCQNYIVKQSKKPGKLYATNYFGSSIITLAFDIVHLLPILDEATG >ONIVA03G39160.1 pep chromosome:AWHD00000000:3:32811203:32812510:1 gene:ONIVA03G39160 transcript:ONIVA03G39160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLLAAAVAAAALPPPAAARRHASPPPPQPQHPDKAGGGNGVLTTALVAAAVLLVVLLLYLCVAIAVRRYRGRGPAPAAGPTNAAARAAAFLRRHGLHQHRPSFTYEQLRAATAGFDPSRKLGDGGFGTVFLAYLPPGGRPAAVKRLHVPPSPSPSFPSASATITKSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDFVPNGTLSHHLHRRCGVTAAAPPPPPLPWRTRLAMAVQIASALEYLHFGVKPAVVHRDVTSSNIFVEADMRARLGDFGLSRLLSPPDACATGAGRELVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVGRERRDVTLADWVVAKIQVGELREVVDQPVLGEGAGVMASVEAVAELAFRCVAPDKDDRPDAREALAELRRIQGMLPEVSGLKGS >ONIVA03G39150.1 pep chromosome:AWHD00000000:3:32805076:32808788:1 gene:ONIVA03G39150 transcript:ONIVA03G39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFARAQVVRLKSHHDKFLYADEDEVHVTQDRNGAATNARWTVERVPHSPGVVRLRSRYGRYLSASNEPFLLGMTGRKVLQAPPPGGRATDSSLEWEPVKDGFQAKLKTRYGHYLRANGGLPPWRNSVTHDVPHRTATQDWVLWDVEIVQVLTPGHDRAPTSAAPPSPAHAPELKKPPPPPEAHHRPTKSYTGHPPPPPLEKDAPPQPPRPQEVHHRPTKSYTGNPPQPLEKDASPQPPPPKPAPSRLESSLSFSAPLHKVEGRAIYYHIADDKGDVDEDDETRSFTFNGSNLEELTHKLQEETGLHDIIICTRSPITGKLAPLRLQLPPNNAAMHIVLVQESSKVDNELLIK >ONIVA03G39140.1 pep chromosome:AWHD00000000:3:32795508:32803157:1 gene:ONIVA03G39140 transcript:ONIVA03G39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRGGGGGGGGAATEGGAATGGGAGGHSPSTVFVSNLPYTFKSSDLEAVFSEVGPVRRCFMVAPKGSETSRGFGFVQFATVQDAERSIQQKDGFSVAGRKIRVKLATHRAPLKERLQKKENAVQAKDADATNEAKDADATNEAKDANATNEADATSTAKHKETSHKTDTEPLQLLKKETTLSKEVSISNTAKVKSSEKQRVAKTVIFGGLRDFAMASEVFRLAGEIGTVVSVSYPLPKEEMELHGLERDGCTTDAAAVLFASVKSAWDSVVHLHRKEVKGAVVWARQLGGEGSKIRKWRVIVRNLPFKITVKEIMDIFSLAGFIWDVSIPQKSYDGASKGFAFVSFTRKQDAENAIKNVNGKVVAKRTVAVDWAVPKKVYTVAAKSSTKDDELANVSDRGSDEESEDNLVGEDDSYELEQETSNCPADDDFKTEMDISRKVLENLIKSSERAEPSGNEGSDIDTDTETEQDTSEKKQKQTHLPASVPAADKLENSKRVAQEENTLPATKFEKQDAGLDRTLFISNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTPEAADAAVSAASAAPGLGIFIKSRALKIMKALDKESAHKKELEKAKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSKTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPVIRKVNILKNEKKSSSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALENVEKVRLQKIWKDRRDKLREAAQDKARPLGDQSATDGPDANNRRAFNKGNKRKSHDRSSKLPYAGEGPAEDLSAAGDGGTVESMVEDKRKDQRPAKRARKSNKGTTALDGDRQDATPTADRNRTLSSKHNPADALAKRKNRNDSHSEQKRGRAQRKTKKELAGEGSVDKSLVEQYRSKFLQHGLNKTKG >ONIVA03G39130.1 pep chromosome:AWHD00000000:3:32791742:32794509:-1 gene:ONIVA03G39130 transcript:ONIVA03G39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPLPFPFPDVPGRAPLAASPRLPLPLPLAWPGLGPARGGPRGTAVIPAATMPALQILQSKQASRHEQRAKQMLSGISLNNEDLVKDKLLIDCGEDQDCVIDGIVALGKFDALHIGHRELAMYASKAGTPFLLSFVGIAEVLGWEYRPPIVAQCDRKRVLTSWAPYCKNVVPIEYQVEFSKVRYLTPRQFVERLSRDLKIQGVVAGENYRFGYRASGDAAELVKLCEEFGLSAFIVRSVMDTARSYNGVTTSVNSSDKGQVSSSRVRHALAMGDMEYVSELLGRKHRLVLTVKENHLQERKRIMLPKSCMLNMPPADGLYENCDLVNGGHLGLCRVIINSETIEIEMKDENSLLPNTIQENQQLGIEFG >ONIVA03G39130.2 pep chromosome:AWHD00000000:3:32791742:32794409:-1 gene:ONIVA03G39130 transcript:ONIVA03G39130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAAAAGALSCTFRSPSPSPTSPAAPHWRPLLGFRSRSRSRGRGWGQRAVVPGAPRLFLPPPCRRFRYCSQSKLLGMNKGQNRCSLATFSSFGQSGISLNNEDLVKDKLLIDCGEDQDCVIDGIVALGKFDALHIGHRELAMYASKAGTPFLLSFVGIAEVLGWEYRPPIVAQCDRKRVLTSWAPYCKNVVPIEYQVEFSKVRYLTPRQFVERLSRDLKIQGVVAGENYRFGYRASGDAAELVKLCEEFGLSAFIVRSVMDTARSYNGVTTSVNSSDKGQVSSSRVRHALAMGDMEYVSELLGRKHRLVLTVKENHLQERKRIMLPKSCMLNMPPADGLYENCDLVNGGHLGLCRVIINSETIEIEMKDENSLLPNTIQENQQLGIEFG >ONIVA03G39120.1 pep chromosome:AWHD00000000:3:32782576:32792767:1 gene:ONIVA03G39120 transcript:ONIVA03G39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GV30] MLPDGGADDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYDLYMRAFDEMRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDADSINDAVEFVLQNFIEMNKLWVRMQHQGPVREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPNVDIKTVLSQLMDRLSSYAAASPEVLPEFLQVEAFAKFSNAIGKVIEAQVDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGNAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNSTTKVMALVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGAQNDELDDEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHILQGGPKRLPFTVPSLVFSALKLVRRLQGQDGDVIGEEVPATPKKIFQILHQTIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANVTRGSSGSVALFIEILNKYLYFFEKGIPEITNTVIQDLIELIRTEKQSENTVADPSTEAFFASTLRYIEFQKQKGGSIGEKYEQIKTT >ONIVA03G39110.1 pep chromosome:AWHD00000000:3:32778221:32780972:1 gene:ONIVA03G39110 transcript:ONIVA03G39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTQMSFAYCGAVSLLSLSPSLRSLLPQVAARARAAWATGDGGERWSPSLSFSRGVRRGPERRGQAKTAASGGKGQSGGGQAETAASGRAPPPSLSLSCGVRRGPTLWFWLALLDCAGFQVEGSMNQVATTRCASTICPLLCCVLNVELKAEQSKIPHPSRRRRSGAHPPAVTTDCLLQKAEGERKREGEGYESDSVTGSQSELIFLCTVR >ONIVA03G39100.1 pep chromosome:AWHD00000000:3:32775283:32775741:-1 gene:ONIVA03G39100 transcript:ONIVA03G39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAIDCPPAPRPTPASTPSTGLSSCPRDALKLRVCANVLGLVKVKVGAVAPYEPCCSLLDGLVDLDAVVCLCTRPCLLLRAQHRGARPRWAASPPAATQCRRRHSRPAAALAFSRVTAAAAAASSSPASPRQPLPSRRPALPREDKRREE >ONIVA03G39090.1 pep chromosome:AWHD00000000:3:32768842:32771898:1 gene:ONIVA03G39090 transcript:ONIVA03G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAAAAAAAKKVLKMAAGSEVIATAGKRRGSEVHAWRRISRCMSRSVFPISLFCPSPPLNFAMFHRFLLPGSTRYYHSLMKENTICINPHKLLLMTMVEDTITKKVAGNICSSLSNARVIGAGICSFSMSAPRGYRRAFFTNSFEDMGILKDMALVLHAAFKGWKTKFQDIPPMKKYVMLLGLACLTLHLALHYKMKKMETNLKQDMIKFRAEVKLEIEAAANAMQKEIASGLAKSAQFRADSVVAFQKCKARPDVWSEYYCLTLLEALMRSRIDGK >ONIVA03G39090.2 pep chromosome:AWHD00000000:3:32768842:32771898:1 gene:ONIVA03G39090 transcript:ONIVA03G39090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAAAAAAAKKVLKMAAGSEVIATAGKRRGSELFCPSPPLNFAMFHRFLLPGSTRYYHSLMKENTICINPHKLLLMTMVEDTITKKVAGNICSSLSNARVIGAGICSFSMSAPRGYRRAFFTNSFEDMGILKDMALVLHAAFKGWKTKFQDIPPMKKYVMLLGLACLTLHLALHYKMKKMETNLKQDMIKFRAEVKLEIEAAANAMQKEIASGLAKSAQFRADSVVAFQKCKARPDVWSEYYCLTLLEALMRSRIDGK >ONIVA03G39080.1 pep chromosome:AWHD00000000:3:32760863:32768484:-1 gene:ONIVA03G39080 transcript:ONIVA03G39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAAATSSAIWLVEDDILLKNAVETGASLEALAKGAVCFSRKFTLKEIQDRWNSLLYDPEISTQASARMVEYENDLSTSNPLKAKVINAKQKDLSFQKRKIDSVKNQYYAMRKRVRNEPCSTVDLGFLIDPCSCTMNGGQCVCGGLDKHSQGHHVVHNTEPGVSTMNCFGQQDGSYNGGQTMFVGMNGHSFPAKHAETDSMVKGGDIANSVPYGYSDVSQIYEQDAYTRKDPDTNEGNNVSLKGITDFQGSMQFQNLGSSNQCGSKVTESKTIVIADHCGVEHVHFPVNSSSRMQEPGSLQVIGQPEGSQTPVGSIWTEVDERGTFTLDDDKKIKTDNSDPLALQPNLDGGICAAGLDHAAITEGDFMDFPYFSNSEDLDLLNGENFLNIPHETNQEDLDDPDHVKNLLHPDEANICYDQTDPDHVKHNVDVSGIISVPTSLEVPYPGRFVECVLNTEDPEIPCNDDVIFPGESPLQCSATDFGQNSEHNTCLVSPATSPASNVEHSIVSDKALIKREDTTNTEPSSQPMNLSPPTSEQKEGSTAPSKGCVPLGAEPSEGPSTAGTLVHCHVDTNDANSCASNLPSISAAVFAEGSPCHLEQQNNFDDSLSFPLPNSVEVPDHMNYNSHDNQPELGDGAPLQNCIPPHELPDLGLQDPITTVPVSNQVEECSDNENDVPNYYDLEALILDQDLIPWVQDSEQHPEGVSRFQHPESRKSLIRLEQSARSYMNRAIVSKGAFAVIYGLHLRYYMKDSEVTLGRETEDIKVDVDLGKEGRANKISRRQLETGGFNVLAVIKMDEAGSFHIKNIGKCSIFVNSKEVPSCKRIILSSDSLIEIKDMRFIFHVNQDAVTQFVTRTPKPEH >ONIVA03G39080.2 pep chromosome:AWHD00000000:3:32760863:32768484:-1 gene:ONIVA03G39080 transcript:ONIVA03G39080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAAATSSAIWLVEDDILLKNAVETGASLEALAKGAVCFSRKFTLKEIQDRWNSLLYDPEISTQASARMVEYENDLSTSNPLKAKVINAKQKDLSFQKRKIDSVKNQYYAMRKRVRNEPCSTVDLGFLIDPCSCTMNGGQCVCGGLDKHSQGHHVVHNTEPGVSTMNCFGQQDGSYNGGQTMFVGMNGHSFPAKHAETDSMVKGGDIANSVPYGYSDVSQIYEQDAYTRKDPDTNEGNNVSLKGITDFQGSMQFQNLGSSNQCGSKVTESKTIVIADHCGVEHVHFPVNSSSRMQEPGSLQVIGQPEGSQTPVGSIWTEVDERGTFTLDDDKKIKTDNSDPLALQPNLDGGICAAGLDHAAITEGDFMDFPYFSNSEDLDLLNGENFLNIPHETNQEDLDDPDHVKNLLHPDEANICYDQTDPDHVKHNVDVSGIISVPTSLEVPYPGRFVECVLNTEDPEIPCNDDVIFPGESPLQCSATDFGQNSEHNTCLVSPATSPASNVEHSIVSDKALIKREDTTNTEPSSQPMNLSPPTSEQKEGSTAPSKGCVPLGAEPSEGPSTAGTLVHCHVDTNDANSCASNLPSISAAVFAEGSPCHLEQQNNFDDSLSFPLPNSVEVPDHMNYNSHDNQPELGDGAPLQNCIPPHELPDLGLQDPITTVPVSNQVEECSDNENDVPNYYDLEALILDQDLIPWVQDSEQHPEGVSRFQHPESRKSLIRLEQSARSYMNRAIVSKGAFAVIYGLHLRYYMKDSEVTLGRETEDIKVDVDLGKEGRANKISRRQAVIKMDEAGSFHIKNIGKCSIFVNSKEVPSCKRIILSSDSLIEIKDMRFIFHVNQDAVTQFVTRTPKPEH >ONIVA03G39070.1 pep chromosome:AWHD00000000:3:32757938:32759768:-1 gene:ONIVA03G39070 transcript:ONIVA03G39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0GV23] MGSFFSTMFTPPPAADDGGDSRVVAVHSTATWDEQWGAHKSNPNKLIVIDFSATWCGPCRFIEPAFKDMAGRFADAVFFKIDVDELSEVARQWKVEAMPTFVLIKGGKEVSRVVGAKKDELERKVNMFISSSSS >ONIVA03G39060.1 pep chromosome:AWHD00000000:3:32754479:32757207:-1 gene:ONIVA03G39060 transcript:ONIVA03G39060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Putative small multi-drug export (InterPro /.../9577); Has 405 Blast hits to 405 proteins in 185 species: Archae - 65; Bacteria - 295; Metazoa - 0; Fungi - 0; Plants - 23; Viruses - 0; Other Eukaryotes - 22 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G02590) TAIR;Acc:AT2G02590] MAATSVLAASSSSFPPPLALASWRWRPPPPPPPPLLAVAGAARGATNPRLALRLSAAASPPVTGESRAVAGTGRCLVAPMGGDETERDATAATAPDWGALARRLALGALGCAVLCCGGAAVAAEDSIKASGFGLRVAASLRRLGWADEAVVFTLATLPVIELRGAIPVGYWMRLDPIRLTVLSVLGNMVPVPFIILYLKKLAAFLSQRSASATRIMDLLFERARQKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAIISSVLGMPFWSGFSANFVGVVLAGLLVNLLMNLGLKYAIITGLVLFFLSTVMWGVLRSLKKSLNAK >ONIVA03G39050.1 pep chromosome:AWHD00000000:3:32752324:32753379:-1 gene:ONIVA03G39050 transcript:ONIVA03G39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPSYVVLDRVVHLDKEAVKEESEWAIMECRDRKTYLRNDHVGDEVVYGLSLLVQIAEPPDLSKLSIRLSEPPVQVAARPEEILDDGSSVLQLLDLPKRALNLHTSVQSVADDLIVFTSCLRNRTHRYLVYDAIGKSLSMIPCLPNRCDPSATFQSLPLRAGAGGDYTIALLGRDMRSDRETTRRFFQDVLCLCPPPPSSRPPPPSSFSAVTTPWQFKNPLFPPETPNRFKAHMVFSSGGQAFWANLAQGVLYCSCHDVLTGGYDVPFRYIPLPPECHLDPMKNQLRLCRTMNCVKDSIKFASIDMVPPDEAMMTTWTLTLATTQWHKDGELRVASLWGAGGLQEGRVA >ONIVA03G39040.1 pep chromosome:AWHD00000000:3:32751758:32752295:-1 gene:ONIVA03G39040 transcript:ONIVA03G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGVIYFMLNAAEEGVYMVSLNMHTKNIMSSTRLSSCPTQPLGVEFPKHIQNPVPPKRDRVLDCNQEEVVKNLTSSSALEWKDWRQTSCGAGADLI >ONIVA03G39030.1 pep chromosome:AWHD00000000:3:32737840:32746576:-1 gene:ONIVA03G39030 transcript:ONIVA03G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGGRGGRGGEQRPPYSGRGDVPGRGGGGGGGGAPPYRPASGFVWPPPGMTPRPGPPQPQYPRPGPPAVVYGAPMPAAHHQGAYQPGGVYRAPSPGVPVIGGYARSTPVTIRAPPPSHSSAPAPYQPAAAAPVPSSSSTAPSATALAKEFEQKLFVSETALAPPAAAASAAAAPAGEASVESDKDLAPVSKKGLAHPARPGFGAAGKKVMIRANHFLVNVADNNLFHYDVSINPESKSRATNREVLNELIKLHGKTSLGGKLPAYDGRKSLYTAGSLPFESEEFVVKLIDPEKKDKERAEREYKITIRIAGRTDLYHLQQFLLGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTQFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETNHQEDQIRRYKITGITPIPMSQLIFPVDDNGTRKTVVQYFWDRYNYRLKYASWPCLQSGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIHEMVLHNKYTEDRFAQEFGIKVCNDLVSVPARVLPPPMLKYHDSGREKTCAPSVGQWNMINKKMINGGTVDNWTCLSFSRMRPEEVQRFCGDLIQMCNATGMSFNPRPVVDVRSTNPNNIENALRDVHRRTSELLAREGKGGLQLLIVILPEVSGSYGKIKRVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKVGGRNTVLERAFIRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVGKDPVKVVNGGMIRELLIAFRKKTGRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDRQICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKENVKDVMFYC >ONIVA03G39020.1 pep chromosome:AWHD00000000:3:32730278:32737171:1 gene:ONIVA03G39020 transcript:ONIVA03G39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKERDSESSRRRRRRRRRSRSPSDSEEASDSSGSPRRSRSRNRRKSRRRDTPSSSDASDSQASDSGSDSGGRVRRRSGSRKKGEVTEEQIVEYMAKKAQKKAEKVAKKMKANAVSGYSNDSNPFGDPNLTEKFVWRKKIERDVSQGQKVDISVKGEKKKQRERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDVLLKNLNFSEEFDVELNEPYLVFKGLMVKEMEELRDDIKMHLDLDRESQINVKYWEALMVVCDWELGEARKRYALDRARVRGEEPPPDVLAEERGLHASIEGDVKDLLEGKTSTELEEMQSQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASILRKHLHRLEHPDSIEQDKESEDEIDAKEKDATHIDDDDDDDEDDKRYSPEPIAEQTESHLDEEDGSFSPELMHGNEDEDAIDPEEDKAELDRKREAVVMEHQKKVQQAIAVKTRVPDEMEMKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYTIEKDGSTGETCLIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >ONIVA03G39010.1 pep chromosome:AWHD00000000:3:32726755:32728641:1 gene:ONIVA03G39010 transcript:ONIVA03G39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAESGVAGGGRGAAALLREVATARFARQVVLGRWFMVFACLLILSASGATYIFGIYSKVLKSSLGYDQRTLNTLSFFKDLGANVGVISGLINEVTPPWVVLAMGAAMNLAGYLMIYLAIDGRTARPPVWLMCIYICVGANSQSFANTGALVTCVKNFPESRGIVLGLLKGFVGLSGAIFTQLYVAIYGDDAKSLVLLIAWLPAAISILFVHTVRIMPYLPSRRRRADGELEASAATSNDAFFCFLYISIALATYLLTMIVVQNQTNFSHTAYVVSATALLLVLFLPLVVVIKQEYQIKKELDDSLREPPTVTIEKPAAAAMQMSAITTKPKTETPSSSSPAPAPPSCCLGSCLKHMFNPPAQGEDYTILQALVSVDMLVLFLATICGVGGTLTAIDNMGQIGQSLGYPAKSIKTFISLISIWNYAGRVTSGFASEMFLARYRFPRPLMLTAVLLLACVGHLLIAFGVAQSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGSVASPVGAYVLNVRVAGYLYDVEAARQHGGSLAGGDKTCLGVQCFRKAFLIITAATVAGALISLVLVWRTRNFYKGDIYAKFRENTATDEATTNGNSADTAAEKRSTLVNDEDSKKG >ONIVA03G39000.1 pep chromosome:AWHD00000000:3:32712325:32718246:1 gene:ONIVA03G39000 transcript:ONIVA03G39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERTAARKSSSAEEVVSCDIPANDNVCTLNLVSRSELESRRCVCARGFSQVSFVMGHEEDDAPRAIVVHPSGDEFVCATAKGCRLFKLVTEESSVHLISKDAPTLQSIGPQKCLSFSTDGAKFAVGGEDGHLRIFHWPDLNLLLGEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWNINEGAPLVNLSRSSDEKIECCCFSRDGNKPFLFCTLVKGHNVVTLVLDISNWKRIGYKRLLEKHISTLSVSLDGKYLALGSHDGDFCVVDVKKMEVLHLSKKVHLGSPISSIEFCPTERFLKYCWIVTVALVCLYCRVVISTSHKWGAECGKCG >ONIVA03G38990.1 pep chromosome:AWHD00000000:3:32707706:32713368:-1 gene:ONIVA03G38990 transcript:ONIVA03G38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETTSSAELDFRAAVLSGMSSGRSCRESSVSSEESVDSGTLPVSRSPEVVVDSQPSPVVSPPARLQPRDWAAAVRPAAATAAVARSPQPVAGGGGLRSVVVRPSAADSAARSPSPPSRAAPLGKGMGLLSVVVAPASRFRNLEQGQSSRQAHPPPPRSPPRRAANADADGWEVVRRRKPKKSPSPPRRPVPRDLNGVCFNCLERGHVRADCRAPPSCFGCGRAGHRLEECRERRVRPRPRGGRPPAANDHGRDDGRLRQPQRRRSPVASASPPAQRRRVLVPTSPPTPAGYRGPTPPRSPSTDPPIPYSSPSRSSSPSQAGDASRSPVRSPSQTGDIVYPATNHPFTSGSVEAG >ONIVA03G38980.1 pep chromosome:AWHD00000000:3:32707117:32707404:1 gene:ONIVA03G38980 transcript:ONIVA03G38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVGIGRATCAAWARRRGGGGPARLLVVYGRAATASSPPRIDLHAFDAGASAVAADPLVSAPRPSSRTRALRRRCLLFAYVLFGNTQGAHFFH >ONIVA03G38970.1 pep chromosome:AWHD00000000:3:32705497:32707059:1 gene:ONIVA03G38970 transcript:ONIVA03G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLVRMEPCRRAVRSVSRPRVTNLDRVCTVRAEDLVAEDTVPRAACATKPPWPSSDTGRVEAIGVRSYKCRPENIPTINSVALSARTRGVARRGAAASPTNGERPTEKRAEAYRVRGF >ONIVA03G38960.1 pep chromosome:AWHD00000000:3:32704847:32705353:-1 gene:ONIVA03G38960 transcript:ONIVA03G38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAAARIPVLSSPALVNDMARQPFLSPVHADDVVAGDCGADEKQKTHIAQNFERMTMIMHDEVAQQCVTLMISYMLFENDWPPDLTFKIQITQFSSFHF >ONIVA03G38950.1 pep chromosome:AWHD00000000:3:32699979:32702250:1 gene:ONIVA03G38950 transcript:ONIVA03G38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVRSLASRRSAPGALTQLQPKPAATVISPRLLSHGGLLRREALPPPLSPYRFFSSGGFESPRTGPSPSSTEPATVSH >ONIVA03G38940.1 pep chromosome:AWHD00000000:3:32695403:32699563:1 gene:ONIVA03G38940 transcript:ONIVA03G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLHPRFPSSHAAACAHRAAAAHRDARPALRLPELHATRRRRNNVACRATRAREAPPQQQNTAAALSKEAHKYFDHAVVTVRAGDGGHGAVLAMPASPSTDAPKSPRRRSDKGKRSGVKKVSYKRNYDGSVALPMGGHGGDVVVYADEAEETLLRFHEKARYCAKRGGNVGATGTLSSRMHNGFAGETLRIPVPVGTVVKRKKGAVLADLAHPGDEVIVARGGQGGISLIDVPEYRRRKAMALSPNIMRDVSDRVLIHGQPGGEVSLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAAADDPVDDYKIVREELRMYNPQYLERPYVVVLNKIDLPKAQDRLSSLAFEISSIGCEECDGNNTSEDSLNGNTGEHNTSSETKVEGGEKELRDYPRPQAVVGASVLKHIGIDEMLKEIRAALRKCFDHRLPEP >ONIVA03G38930.1 pep chromosome:AWHD00000000:3:32689011:32694393:1 gene:ONIVA03G38930 transcript:ONIVA03G38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRQPQKRVLESFTIKGPDGVIKPGDTVLMMAPDSSKKPYVARVEEIEATGPQASQVKFKSADTIEGKCYVHTFRDYTKLRSVSAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDNLMIQCEDCSDWFHPSCVEITIKEAKKLEHFYCKSCIAENGKDLQKSNGATAQSEEKVQSKRRRR >ONIVA03G38930.2 pep chromosome:AWHD00000000:3:32689011:32694396:1 gene:ONIVA03G38930 transcript:ONIVA03G38930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRQPQKRVLESFTIKGPDGVIKPGDTVLMMAPDSSKKPYVARVEEIEATGPQASQVKFKVRWYYRPEESIGGRRPFHGSKEVFLSDHYDSQSADTIEGKCYVHTFRDYTKLRSVSAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDNLMIQCEDCSDWFHPSCVEITIKEAKKLEHFYCKSCIAENGKDLQKSNGATAQSEEKVQSKRRRR >ONIVA03G38920.1 pep chromosome:AWHD00000000:3:32684977:32687334:1 gene:ONIVA03G38920 transcript:ONIVA03G38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RTE1-homolog [Source:Projected from Arabidopsis thaliana (AT3G51040) TAIR;Acc:AT3G51040] METDRSQPAPIDPRRARFPCCIVWTPLPLISWLIPFIGHIGICREDGVILDFAGPNFVSVDNFAFGAVARYIQVNSDECYKLLEPEGASTWDDALRKGVQEFQHRGYSLFTCNCHSFVVNNLNRLFYSGHDKWNVVSLAAVMFLRGRWVSTASVVKTFFPFALVITIGTLLGGATFLIGLLAFAAVMTGWFLVGTYCIKSLVEL >ONIVA03G38910.1 pep chromosome:AWHD00000000:3:32684156:32684494:-1 gene:ONIVA03G38910 transcript:ONIVA03G38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYAAYGDLLGMTARVAVRAYSHCPQTARMYYKPPPTATATTAASGDKRSASAATASSSRSSSFGADNAGSSTGAAASPCASTKQQAAAAARVAFDGAGFILYGVERAA >ONIVA03G38900.1 pep chromosome:AWHD00000000:3:32681079:32681324:1 gene:ONIVA03G38900 transcript:ONIVA03G38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVTFFTAVLVAIFLTSGGGRMSSTAARPTAVGGAGAPPAAVAVELAGTGTGTNASSQPSNCTYGNNVGGQCPPTPGAGH >ONIVA03G38890.1 pep chromosome:AWHD00000000:3:32675832:32679903:1 gene:ONIVA03G38890 transcript:ONIVA03G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSTVITWRYQQLFVVLSSVILEWVLMLLLLFEGLLSYLVTTFASLCKLHPPCPMCTRLDHVFGTAQPGFYRDLMCNSHKAEASSWAFCHIHQKLVDVHSMCESCLLSFATNKKSNLATYRSLAGKLGVGISNEGFRPSFSLDNSSEASVIKEDITNTLCSCCSSPLKVKSYPSMVLQNIASAIDTEVNTRHVSRDQLVEEISLVRYSELKTSDSESEPWQHGGVASLLDDAVDNLKEDFTLSHPKTKFAGVIPTDDIGQDQVAKNSDLIQLQNGGSDSKNSQVSAELYHSRADGNANLQSTDFSSKTVQHPTEDSDTTDKSEDDVWHNALDSISELSVTDKLAETSTAENEPKAEFTDRTAMKDSFKAHEDLQLLLSQVSPNDAINIPGVQEQAILNNITRALSLDRNYSGSISESMAIDEAEEHCTVDQLKKQIELDRKSISLLWKELEEERNASAIATNQTMSMITRLQEEKAAMQMETLQYQRMMEEQSQYDREDLQKMAAMVQELEAEIEGYKTKLRDQSLVNEIRDAMRISRSEECETSMSRTARSLSLFEDEKAYISKHLKKLRQKLHQFSNNGKFIDPKKIDDKEDTFDVTNSEDVYQDADEDSEMTNSENSEMTNVIRNGRNFRYLSNGTEGLTNGKDDPEGQYYAMVSENDFVNFEDEISELTAKLKALEADHSFLEHSINSLRNGQEGKELIHGIACSLRELRKMGITWKDCD >ONIVA03G38880.1 pep chromosome:AWHD00000000:3:32670299:32675130:1 gene:ONIVA03G38880 transcript:ONIVA03G38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGEGGGDPAARRRWDLTNKGAESIPMVKEAVEMSTDEESDGVVICPPDGNNDDREEAISSNNHDNCQEGEVTCVKDPVIDSETQEDKCVNQDSVKLIDQEKSGPPKSPSKPGISGSDRSKRTVPQPFALSSQRKSHGGNSKVAHPSGNGENSGDKSNSSPASLTKKTAPITPKKIAQPDHMLHHQEEDSCSVTSSTTTSTRAGKTKATVGVAPSFVCADRADKRKEFYTKLEEKHKALEAEKNEAEARKKEEQETALKQLRKSLVIRAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTRRRSCSDAPPTPEAANTTAASSRSHRHSIANPKDANRVQCSPKNGVAAKTRAVKPVS >ONIVA03G38870.1 pep chromosome:AWHD00000000:3:32664625:32666477:1 gene:ONIVA03G38870 transcript:ONIVA03G38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAWGGDPWGGRLGQSERGVGVSPSSRGIPLYNHRFLRHDLLTHPPASSCSDKRSLSLSLSTPARLTRAPKLRIAAVVSALMATDVAATEPEVAAEEAAAAAPETTATAGDSKPAKEAKAKKAAAPRKARSTATHPPYAEMISEAIATLKERTGSSQYAIGKFLEDKHKDHLPSNFRKQLLVQIKKLVAAGKLTKVKNSYKLPPTRAPAAAKPKAKPAAAAKPKPKPKAAAKPKAAAKPKAKAPAKSKAAAKPKAAAKPAAKPKAAAKPKSPAKPAAKPKAAPKAKAKPAAKPKAKAAPKPKAAVVTKTKATSAPARRPAKAAKTSAKDTPSKKAAPAAKKPAAAAKKAPAKKAAPAKKAAAPARKVPARKAKK >ONIVA03G38860.1 pep chromosome:AWHD00000000:3:32660328:32661366:-1 gene:ONIVA03G38860 transcript:ONIVA03G38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSLAGGDMKPLATSDDLDTIILFSYRWPLPSAMTSTRATTGCLVLGEEEAEVDPISGGNPKGGGGMGFLVEEVILSDSGGGLRFRQKYVDSSRGGGPRGGGGLGVWEAEEDPYDSDGPMGSGDLAI >ONIVA03G38850.1 pep chromosome:AWHD00000000:3:32651261:32653439:-1 gene:ONIVA03G38850 transcript:ONIVA03G38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVEAEVAAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAGSNFEGTVFRLGFYEAGSYFVKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >ONIVA03G38840.1 pep chromosome:AWHD00000000:3:32646442:32648955:1 gene:ONIVA03G38840 transcript:ONIVA03G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEEANMAAVESSKKLVAILSKSGDPFRLMAAVAETDEAVSRFGKVVTILSNRVGHARARLGKRRSSPPVDPGCLMDHPLAAAASFPAPSNGRLHFSSSAATASPSPATAAAASSAANVTPAVVDRSLFLETTLLDLNSRGAPAPAASMAAAAKNSSKLAPAPMVNSSSSANHIQFQQPMKSFQFEQTPISDKFHIEMPRGVGGGGGKEVISFSFDNSVCTSSAATSFFTSISSQLISMSDAATNSAAAAAAPTTKKPSSCARKATADDDAGGKCHCPKKKKPREKKVVTVPAISDKVADIPSDNYSWRKYGQKPIKGSPHPRGYYRCSSKKDCPARKHVERCRSDPAMLLVTYENEHNHAQPLDLSVVQQATANPQT >ONIVA03G38840.2 pep chromosome:AWHD00000000:3:32647160:32648955:1 gene:ONIVA03G38840 transcript:ONIVA03G38840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEEANMAAVESSKKLVAILSKSGDPFRLMAAVAETDEAVSRFGKVVTILSNRVGHARARLGKRRSSPPVDPGCLMDHPLAAAASFPAPSNGRLHFSSSAATASPSPATAAAASSAANVTPAVVDRSLFLETTLLDLNSRGAPAPAASMAAAAKNSSKLAPAPMVNSSSSANHIQFQQPMKSFQFEQTPISDKFHIEMPRGVGGGGGKEVISFSFDNSVCTSSAATSFFTSISSQLISMSDAATNSAAAAAAPTTKKPSSCARKATADDDAGGKCHCPKKKSVSISPPKPREKKVVTVPAISDKVADIPSDNYSWRKYGQKPIKGSPHPRGYYRCSSKKDCPARKHVERCRSDPAMLLVTYENEHNHAQPLDLSVVQQATANPQT >ONIVA03G38830.1 pep chromosome:AWHD00000000:3:32642085:32642765:-1 gene:ONIVA03G38830 transcript:ONIVA03G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein E [Source:Projected from Arabidopsis thaliana (AT1G08770) UniProtKB/Swiss-Prot;Acc:Q9FRR1] MAASTASWSRYGTVPPAAPPLKREEVVVGGDGEAAAAAPSPSPATAAEAGVAFFSRARAVAGAAAGRPRAWREVLDATAFSRPESCGEARARARRNLAYFRANYALAALVLVFLGLVYRPVSMLAFLALFAAWLGLYFGRGDGEPPLACMGREVDDRVVLAALSVATVLAVALSRAGLNLLVSLVLAAAAIGAHAAFRMNVYLDERDAYDGSSFMGSSYGGYALPR >ONIVA03G38820.1 pep chromosome:AWHD00000000:3:32634846:32641880:1 gene:ONIVA03G38820 transcript:ONIVA03G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GUZ4] MAKSPRSVVTTGTKRRRAKVHKEDEPVENENLESEFDVSKKESNGATELGNEPVASKRPKRAAACSNFKEKSLDLSEKDSIITIKESRVEEKEIEAVNLTRTGPEDGQPCRKIIDFILHDGDGNLQPFEMSEVDDIFITALIMPLDDDLEKDRGKGIRCSGFGRIENWAISGYDEGAAVIWVSTETSDYKCVKPASSYRSYFEHFSEKARVCVEVYKKLARSVGGNPQVDLEELIAGVVRSINSNRSFNGTVTKDFVISSGEFIYKQLIGLDHTAGNDDEMLATLPVLVALKDECKSRAGFTHLPAMPSNGTLRIKDGQDKGLTEDEDAKLARLLQEEEEWKMMKQRGKRGTSQKNIYIKICETEIANDYPLPAYYKPYNQEMDEYIFDSDIGMYSDDVPVRILDNWALYNSDSRLISLELIPMKAGAENDIVVFGSGFMREDDGSCCSTAELAQLHSSSSKSGREDPGVPIYLSPIKEYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFAEVIKKVAEFDSRHPAFISSKAPTVERYVVVHGQIILQQFADFPDESVKRCAFITGLLAKMEESRHTKLAIKKKSQQMRGENLNPSAKMGPILRKKLMRATTTMLISKIWGEYYATYFPGDTKEEDQNEPKEIDDDQEENEDNDAEEEVNVQDEKATRTPPSTRSRKSSADTRKEIKWEGETAGKTVSGEVLYKCVIVQDLSISVGATVTIEDDSGETIMCFVEYMYEKLDGKNMIHGIILQEGSQTVLGNAANDREVFLTNDCLEFEASDIKELVTVNIQSLPWGHKYRKENSEAKRIEKAKAEERKRKGLPVEYICKSLYWPEKGGFFSLPYDKIGNGTGICSSCERKPVGNEFKLLSESSFVFENITYNIHDFLYIRPEFFSQGEGHETYKAGRNVGLKPYAVCHLLSVHGPAGSRKANPESTKVKVRRFYRPDDISSTKAYSSDIREVYYSEDIISVPVVMIEGKCEVRLKDDLPNSDLPAVVEHVFCCEYLYDPANGALKQLPPNVRLVTLTRKVPASKKNKGKQICDIELGGSDKPKDGQAENCLATLDIFAGCGGLSEGLQRSGLSLTKWAIEYEEPAGDAFGENHPEAAVFVENCNVILKAIMDKCGDSDDCISTSEAAERAAKLSEDKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPEWPEPMHVFASPELKITLPDGKFYAAVKSTAAGAPFRSITVRDTIGDLPAVENGAGKPTIQYGSGPVSWFQKKIRSDMASLNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYRFAGNIQNKHRQIGNAVPPPLAYALGRKLKQAIDAKRKDGWLTVRQADKIFLQSNLKSS >ONIVA03G38820.2 pep chromosome:AWHD00000000:3:32634846:32642970:1 gene:ONIVA03G38820 transcript:ONIVA03G38820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GUZ4] MAKSPRSVVTTGTKRRRAKVHKEDEPVENENLESEFDVSKKESNGATELGNEPVASKRPKRAAACSNFKEKSLDLSEKDSIITIKESRVEEKEIEAVNLTRTGPEDGQPCRKIIDFILHDGDGNLQPFEMSEVDDIFITALIMPLDDDLEKDRGKGIRCSGFGRIENWAISGYDEGAAVIWVSTETSDYKCVKPASSYRSYFEHFSEKARVCVEVYKKLARSVGGNPQVDLEELIAGVVRSINSNRSFNGTVTKDFVISSGEFIYKQLIGLDHTAGNDDEMLATLPVLVALKDECKSRAGFTHLPAMPSNGTLRIKDGQDKGLTEDEDAKLARLLQEEEEWKMMKQRGKRGTSQKNIYIKICETEIANDYPLPAYYKPYNQEMDEYIFDSDIGMYSDDVPVRILDNWALYNSDSRLISLELIPMKAGAENDIVVFGSGFMREDDGSCCSTAELAQLHSSSSKSGREDPGVPIYLSPIKEYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFAEVIKKVAEFDSRHPAFISSKAPTVERYVVVHGQIILQQFADFPDESVKRCAFITGLLAKMEESRHTKLAIKKKSQQMRGENLNPSAKMGPILRKKLMRATTTMLISKIWGEYYATYFPGDTKEEDQNEPKEIDDDQEENEDNDAEEEVNVQDEKATRTPPSTRSRKSSADTRKEIKWEGETAGKTVSGEVLYKCVIVQDLSISVGATVTIEDDSGETIMCFVEYMYEKLDGKNMIHGIILQEGSQTVLGNAANDREVFLTNDCLEFEASDIKELVTVNIQSLPWGHKYRKENSEAKRIEKAKAEERKRKGLPVEYICKSLYWPEKGGFFSLPYDKIGNGTGICSSCERKPVGNEFKLLSESSFVFENITYNIHDFLYIRPEFFSQGEGHETYKAGRNVGLKPYAVCHLLSVHGPAGSRKANPESTKVKVRRFYRPDDISSTKAYSSDIREVYYSEDIISVPVVMIEGKCEVRLKDDLPNSDLPAVVEHVFCCEYLYDPANGALKQLPPNVRLVTLTRKVPASKKNKGKQICDIELGGSDKPKDGQAENCLATLDIFAGCGGLSEGLQRSGLSLTKWAIEYEEPAGDAFGENHPEAAVFVENCNVILKAIMDKCGDSDDCISTSEAAERAAKLSEDKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPEWPEPMHVFASPELKITLPDGKFYAAVKSTAAGAPFRSITVRDTIGDLPAVENGAGKPTIQYGSGPVSWFQKKIRSDMASLNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYRFAGNIQNKHRQIGNAVPPPLAYALGRKLKQAIDAKR >ONIVA03G38820.3 pep chromosome:AWHD00000000:3:32634846:32642970:1 gene:ONIVA03G38820 transcript:ONIVA03G38820.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GUZ4] MAKSPRSVVTTGTKRRRAKVHKEDEPVENENLESEFDVSKKESNGATELGNEPVASKRPKRAAACSNFKEKSLDLSEKDSIITIKESRVEEKEIEAVNLTRTGPEDGQPCRKIIDFILHDGDGNLQPFEMSEVDDIFITALIMPLDDDLEKDRGKGIRCSGFGRIENWAISGYDEGAAVIWVSTETSDYKCVKPASSYRSYFEHFSEKARVCVEVYKKLARSVGGNPQVDLEELIAGVVRSINSNRSFNGTVTKDFVISSGEFIYKQLIGLDHTAGNDDEMLATLPVLVALKDECKSRAGFTHLPAMPSNGTLRIKDGQDKGLTEDEDAKLARLLQEEEEWKMMKQRGKRGTSQKNIYIKICETEIANDYPLPAYYKPYNQEMDEYIFDSDIGMYSDDVPVRILDNWALYNSDSRLISLELIPMKAGAENDIVVFGSGFMREDDGSCCSTAELAQLHSSSSKSGREDPGVPIYLSPIKEYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFAEVIKKVAEFDSRHPAFISSKAPTVERYVVVHGQIILQQFADFPDESVKRCAFITGLLAKMEESRHTKLAIKKKSQQMRGENLNPSAKMGPILRKKLMRATTTMLISKIWGEYYATYFPGDTKEEDQNEPKEIDDDQEENEDNDAEEEVNVQDEKATRTPPSTRSRKSSADTRKEIKWEGETAGKTVSGEVLYKCVIVQDLSISVGATVTIEDDSGETIMCFVEYMYEKLDGKNMIHGIILQEGSQTVLGNAANDREVFLTNDCLEFEASDIKELVTVNIQSLPWGHKYRKENSEAKRIEKAKAEERKRKGLPVEYICKSLYWPEKGGFFSLPYDKIGNGTGICSSCERKPVGNEFKLLSESSFVFENITYNIHDFLYIRPEFFSQGEGHETYKAGRNVGLKPYAVCHLLSVHGPAGSRKANPESTKVKVRRFYRPDDISSTKAYSSDIREVYYSEDIISVPVVMIEGKCEVRLKDDLPNSDLPAVVEHVFCCEYLYDPANGALKQLPPNVRLVTLTRKVPASKKNKGKQICDIELGGSDKPKDGQAENCLATLDIFAGCGGLSEGLQRSGLSLTKWAIEYEEPAGDAFGENHPEAAVFVENCNVILKAIMDKCGDSDDCISTSEAAERAAKLSEDKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPEWPEPMHVFASPELKITLPDGKFYAAVKSTAAGAPFRSITVRDTIGDLPAVENGAGKPTIQYGSGPVSWFQKKIRSDMASLNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYRFAGNIQNKHRQIGNAVPPPLAYALGRKLKQAIDAKR >ONIVA03G38810.1 pep chromosome:AWHD00000000:3:32626907:32632617:1 gene:ONIVA03G38810 transcript:ONIVA03G38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAAPGDAAGEEVGGGGDVVMVRRASVAACLTCPLCGRLLRDAATISECLHTFCRKCIHEEFVDKESCCCPTCNIDLGCAPLEKLRVDHSMQFVRSRIFPFKRRKVENPEIICPVASPVKRKERSLSSLTIPAPQVSIQKCLTKRRTKASCLRNFPLHSTSRGSKDTSKKLGGWRPLGCQLKLGKDKKSLKSSVKDTNRTKSKSGDTDDGAPASKAKAREPFTRYGRAAKRTGSKKLLMLKNKKKRFKAKQPSKKRRFRALWFYLLAAFDQRGVPTLPQLPAKYLRIKDVDLPASIIQKYLAQKLNLSSETEVEVLCGGKVVNQGMTLHDLADCWLEKGPKSRMRSSVGSPATGFMVTLFYRRPDVDVSSSPAPPQPDTESCHS >ONIVA03G38810.2 pep chromosome:AWHD00000000:3:32626907:32632617:1 gene:ONIVA03G38810 transcript:ONIVA03G38810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAAPGDAAGEEVGGGGDVVMVRRASVAACLTCPLCGRLLRDAATISECLHTFCRKCIHEEFVDKESCCCPTCNIDLGCAPLEKLRVDHSMQFVRSRIFPFKRRKHSTSRGSKDTSKKLGGWRPLGCQLKLGKDKKSLKSSVKDTNRTKSKSGDTDDGAPASKAKAREPFTRYGRAAKRTGSKKLLMLKNKKKRFKAKQPSKKRRFRALWFYLLAAFDQRGVPTLPQLPAKYLRIKDVDLPASIIQKYLAQKLNLSSETEVEVLCGGKVVNQGMTLHDLADCWLEKGPKSRMRSSVGSPATGFMVTLFYRRPDVDVSSSPAPPQPDTESCHS >ONIVA03G38800.1 pep chromosome:AWHD00000000:3:32617620:32619246:-1 gene:ONIVA03G38800 transcript:ONIVA03G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSLTDQAVAAVKKRGDALPYAQPLEFVIEVSDNGAVLASWRCQPLGRSARGISCVPITYDVRLRTTLGKVSIMNDGSIAGGFEIGQGLWTKVKQMTAFALGQLCDDLDKVHVILIQADTLSMIHQGEGWYPTMEILDCPGGSGYADRSNHHPKERPHLKDLMQAHLKDLMQGPRSCILLGDVAP >ONIVA03G38790.1 pep chromosome:AWHD00000000:3:32609234:32611601:-1 gene:ONIVA03G38790 transcript:ONIVA03G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHAAGTILVSAVSAWRQRTRTPAPPAAWRSPFRPRDHLLLPPWLGLIHPKTLYRRVMAYAVQTPRPLGLSANALGADTLHTLNRPMCGNELTVSLVVQRLYKGSAPNTLALRPCHVASRPPRWRDVSARTAWDAAKQVRLDPCPNAAFAGTWPGWIAGFDVQCVYSMVCWMMTVCLNFEEPSPSLVL >ONIVA03G38780.1 pep chromosome:AWHD00000000:3:32603445:32604217:-1 gene:ONIVA03G38780 transcript:ONIVA03G38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GUY9] MAAESIDAELRLGLPGSGGGDGVAAKKRRSASSTVKSEASGTACCGGAGARDVEDGASPASKVQVVGWPPVGSYRRSTFQSSSSSTAAAAKGKGGGETDQGRKNKGGGLYVKVSMDGAPYLRKVDLRMYGGYRELRDALDALFGCFSADASASAAHFAVAYEDKDGDLMLAGDVPWDMFISSCKKLRIMRGSEAR >ONIVA03G38770.1 pep chromosome:AWHD00000000:3:32597067:32601568:1 gene:ONIVA03G38770 transcript:ONIVA03G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRAAAVRRPKSSSASSAGAAAERKRKRAAAAKTVSLKNQIRSTERLLRKDLPNDIRVAQEKKLEELKRQQELQNQLAIQRTVQLRDRKIKFFERRKIERMIRRLEKQQRSNADDASNKLSKLKEDLEYVRFFPKNEKYVSLFSGGNTPDMLEKRNKWRKQIKENLMAAAENGKDLEETASDDDTVDVSDDDFFMSGSSSDEEADDEWTDKSAKEPASSASGKAASGMSSDEKNQRQRDARVLMPPPRSLAPNRTRPGVKHVLSSSSNTSNSTSGGTFKNRRAANQPGDHNSNLSSNSDAHKPRRKRRHRKKKKLVWDYMTCKA >ONIVA03G38760.1 pep chromosome:AWHD00000000:3:32589979:32595305:1 gene:ONIVA03G38760 transcript:ONIVA03G38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQPQQQGPPEDDFFDQFFSLTSSFPGAAPGGRAAGDQPFSLALSLDAAAAAEASGSGKRLGVGDDAEGGGSKADRETVQLTGLFPPVFGGGGVQPPNLRPTPPTQVLCCSSGLCLSSKSAELALIFVVFHPQQSKQGGAAVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGGNQQIWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQQTQDGQPVKHEPNTPS >ONIVA03G38760.2 pep chromosome:AWHD00000000:3:32589979:32595305:1 gene:ONIVA03G38760 transcript:ONIVA03G38760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQPQQQGPPEDDFFDQFFSLTSSFPGAAPGGRAAGDQPFSLALSLDAAAAAEASGSGKRLGVGDDAEGGGSKADRETVQLTGLFPPVFGGGGVQPPNLRPTPPTQVFHPQQSKQGGAAVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGGNQQIWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQQTQDGQPVKHEPNTPS >ONIVA03G38760.3 pep chromosome:AWHD00000000:3:32589979:32594699:1 gene:ONIVA03G38760 transcript:ONIVA03G38760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQPQQQGPPEDDFFDQFFSLTSSFPGAAPGGRAAGDQPFSLALSLDAAAAAEASGSGKRLGVGDDAEGGGSKADRETVQLTGLFPPVFGGGGVQPPNLRPTPPTQVFHPQQSKQGGAAVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGGNQQIWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQQTQDGQPVKHEPNTPS >ONIVA03G38750.1 pep chromosome:AWHD00000000:3:32567177:32586985:-1 gene:ONIVA03G38750 transcript:ONIVA03G38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVKASSPSSPATTRLTGALHGGAARVAARKLPAAAVAASLTLDRAPAPAGAERGMSSSVSRTMSRLREKGKAAFIPYITAGDPDMGTTAEALRVLDACGADVIELGVPFSDPYTDGPVIQASAARALAAGATMDGVMSMLAEVTPELSCPVVLFSYFGPIVRRGLIVPDLPYVETSTFRSEAIKNNLELVLLTTPATPADRMKAITAASGGFVYLVSVNGVTGSRQNVNPRVEHLLQEIKQVTDKAVCVGFGISTPDHVRQIADWGADGVIIGSAMVRQLGEAASPKQGLKRLEKEAIPREETAEAHDGSGGGARCGVGARRGGWGGARRRSRHTAQRMATETSLVLSTRRLGWCYGFRRHYSSQQRGDAARRRRRNQSTARFQICASSPSSPAASSSSSSAPAKLGAAPGRVAVRKLTAAATSLRLDRAPAAPATERGLSSVSRTMSRLMEKGKTAFIPYITAGDPDMGTTAEALRLLDACGADVIELGVPFSDPYNDGPVIQASAARALAAGATMDGIMSMLAEVTPELSCPVVLFSYLGPIVRRGPANFTAAAKEAGVQGLIVPDLPYLEACSFRSEVIKNNLELVLLTTPTTPPDRMKAITAASGGFVYLVSVNGVTGSRQDVNPRVEHLLQEIKQVTDKAVCVGYGISTPDHVRQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEKYARSLKNALP >ONIVA03G38750.2 pep chromosome:AWHD00000000:3:32567177:32586985:-1 gene:ONIVA03G38750 transcript:ONIVA03G38750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVKASSPSSPATTRLTGALHGGAARVAARKLPAAAVAASLTLDRAPAPAGAERGMSSSVSRTMSRLREKGKAAFIPYITAGDPDMGTTAEALRVLDACGADVIELGVPFSDPYTDGPVIQASAARALAAGATMDGVMSMLAEVTPELSCPVVLFSYFGPIVRRGLIVPDLPYLEACSFRSEVIKNNLELVLLTTPTTPPDRMKAITAASGGFVYLVSVNGVTGSRQDVNPRVEHLLQEIKQVTDKAVCVGYGISTPDHVRQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEKYARSLKNALP >ONIVA03G38740.1 pep chromosome:AWHD00000000:3:32560313:32562596:-1 gene:ONIVA03G38740 transcript:ONIVA03G38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTMKASPMSASSSSAPVLRRCVAPPARVAAARRLAAAAASVALEASPVPAAAVERRMSVSQTMSKLKEKGKTAFIPYITAGDPDMGTTAEALRLLDACGADVIELGVPFSDPYADGPVIQASASRALAAGATPEAVLSMLKEVTPELSCPVVLLSYLGPILRRGAANFTAAAKEAGVQGLIVPDLPYVDTCTFRSEAIKSNLELVLLTTPATPGERMKIITEASGGFVYLVSVNGVTGPRPKVNTRVEHLLQDIKLVTDKAVCVGFGISTPDHVRQIAGWGADGVIIGSAMVRQLGEAASPKQGLKRLEEHARRMKDALP >ONIVA03G38730.1 pep chromosome:AWHD00000000:3:32556570:32559410:1 gene:ONIVA03G38730 transcript:ONIVA03G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKNIIGAPRQHMAYFNYMEMLAAEGTYDKIEALLNQDIHPAAGGRGCGAASCSRRRFASCARRRVAGCVASCSRCRVAGRPGDLLPTRPGLPERREVRRKALHDEATEIIEAKVRLQSEKIKTTVPKWLPPEEGKQKGEAQTIGQCPMDVYTLGLFATCHCETYSLDERKTHRVVECVCNVGTRVTVRQKKQGTQKPHSIYSISQKKNPFLAS >ONIVA03G38720.1 pep chromosome:AWHD00000000:3:32546923:32550300:-1 gene:ONIVA03G38720 transcript:ONIVA03G38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDSGRGVVGAGKRGVAETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIQAAATRALKKGATFDSVIAMLKGVIPELSCPIVIFTYYNPILKRGVSNFMAIIKQAGVHGLVVPDLPLEETALLRNEAVMHGIELVLLTTPTTPTERMKEIAKASEGFIYLVSSVGVTGARSNVNLRVEYLLQEIKKVTDKPVAVGFGISTPEHVKQIAGWGADGVIIGSAIVRQLGEAASPEEGLKRVEEYAKNMKAAMP >ONIVA03G38710.1 pep chromosome:AWHD00000000:3:32539832:32543739:-1 gene:ONIVA03G38710 transcript:ONIVA03G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSVEEISDPFWVPPPPPQSAAAAQQQGGGGVASGGGGGVAGGGGGGNAMNRCPSEWYFQKFLEEAVLDSPVPNPSPRAEAGGIRGAGGVVPVDVKQPQLSAAAAATTSAVVDPVEYNAMLKQKLEKDLAAVAMWRASGTVPPERPGAGSSLLNADVSHIGAPNSIGGNATPVQNMLSGPSGGSGSQLVQNVDVLVKQPTSSSSREQSDDDDMEGEAETTGTARPADQRLQRRKQSNRESARRSRSRKAAHLNELEAQVSQLRVENSSLLRRLADVNQKYNDAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMNALFPAASDMSSLSMPFNSSPSEATSDAAVPIQDDPNNYFATNNDIGGNNNYMPDIPSSAQEDEDFVNGALAAGKIGRPASLQRVASLEHLQKRMCGGPASSGSMS >ONIVA03G38700.1 pep chromosome:AWHD00000000:3:32532341:32538895:1 gene:ONIVA03G38700 transcript:ONIVA03G38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKITRYKGEKKTNARLNTEARRREHHYSSFPPNLPPTLRPRRRRLQSRVPCRGSKLRIHSPKTLAPGSRRASRVAVRLLDPSPGGWRLTRRRRRWAIGARPRRIGGAWGVVLARGGGDLGGGGGGGGGEAAEVLGSSAEMGRWAAGICAVALVWLAAAAAGDLEPDELERAFPIVEPDYGHTKLRLSQQGLDAIRRIETPIAVVGVIGPYRSGKSFLLNQLLSLSCEKGIWIWGTPVEMDIDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRFVCLFFAPFLSLTIFLKILMCIMVSRVKGQDVAFEPAKLLWLIQRDFLQGKSVQQMVNEALQRVNQIRDSLAVMGNNSTAFSLPQPHLQRTKLCDMDDRELDPLYVKRRDELKQVVASITRPKIVQGKTLNGKEFVSFLQQILEALNKGEIPSTGSLVEIFNKAILDRCLKVYRDKMDGLRLPVPVDRLQQVHETAIDQARMLFDKQHFGKHHAAQSILKLDDEIKKVYRNFLLANEYQSSKLCEARFSECEDKMDHLQVLKLPSMAKFNAGFFHCNRSFVRECVGPAKESYERRMSKMLVKSRALFIKEYNNKLFNWLVTFSLVMVVIGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIAKKTGQPIVTPATPQQDLPQELNAPEIRLI >ONIVA03G38700.2 pep chromosome:AWHD00000000:3:32532341:32538895:1 gene:ONIVA03G38700 transcript:ONIVA03G38700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKITRYKGEKKTNARLNTEARRREHHYSSFPPNLPPTLRPRRRRLQSRVPCRGSKLRIHSPKTLAPGSRRASRVAVRLLDPSPGGWRLTRRRRRWAIGARPRRIGGAWGVVLARGGGDLGGGGGGGGGEAAEVLGSSAEMGRWAAGICAVALVWLAAAAAGDLEPDELERAFPIVEPDYGHTKLRLSQQGLDAIRRIETPIAVVGVIGPYRSGKSFLLNQLLSLSCEKGIWIWGTPVEMDIDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRGKMLLLNQQSFFGLSRGISCKENLFSKWSMKPSNGYLTKVVNQIRDSLAVMGNNSTAFSLPQPHLQRTKLCDMDDRELDPLYVKRRDELKQVVASITRPKIVQGKTLNGKEFVSFLQQILEALNKGEIPSTGSLVEIFNKAILDRCLKVYRDKMDGLRLPVPVDRLQQVHETAIDQARMLFDKQHFGKHHAAQSILKLDDEIKKVYRNFLLANEYQSSKLCEARFSECEDKMDHLQVLKLPSMAKFNAGFFHCNRSFVRECVGPAKESYERRMSKMLVKSRALFIKEYNNKLFNWLVTFSLVMVVIGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIAKKTGQPIVTPATPQQDLPQELNAPEIRLI >ONIVA03G38690.1 pep chromosome:AWHD00000000:3:32522166:32525208:1 gene:ONIVA03G38690 transcript:ONIVA03G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMPFQLKNGHHHHGAMEGKPPVTPMQQQQQHAPPPRVSRFRRLLVRVSASERLAGDGKERGEKEAEKVSASAAGGEVEAGSVGLDRMVLSFMEDSAAVERPQRGRCNCFNGSNYEESDDEEGFFLPSDHSSASAPAAAGDALESLKGLVQSASVAERNLLADASRIAERCCKGSKGKAECRRAVADGLRALGYDAAVCRSRWEKTSSYPAGEHEYIDAVVGEEVRLIVEVDFRSEFEVARSTKAYRAALQALPPLFVGTPDRLGQIVAVVAEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRSGDKAAAAAKTVTSTTSATATPVSAASFTGAFELLFDRKQSGEIPAAEKITVVVSPSPWRPTEEASKKKPPPSPSPPQLWQQHQQPPKAKVVTGLAAVL >ONIVA03G38680.1 pep chromosome:AWHD00000000:3:32502319:32509769:-1 gene:ONIVA03G38680 transcript:ONIVA03G38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDESDGNAVAFTFSASIGLEIGISHRMMHTPQACSSFISRAKILAVDSWSSLCRGKIVQASGIVFLLFIQRPNIQPDAVAAEQNFLCISSPVYLLRRKKITTATAATAAPTAAAPSITGHETPLLAEGPRCRTSHRLVLCSGSGGLGPGPLLHGSEEKDQEREYIRRQYIQVWAKNTKKEQSLNKLLKALVYRQGDNLQ >ONIVA03G38670.1 pep chromosome:AWHD00000000:3:32495866:32498035:-1 gene:ONIVA03G38670 transcript:ONIVA03G38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLVSVKALEGDMATDSAGIQMPQVLRAPIRPDVVTFTHKLLSCNRRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRVNIRLRRIAVASALAATAVPSLVLARGHRIEGVPEFPLVVSDSIESIEKTAQSIKVLKQIGAYADAEKAKDSVAIRAGKGKMRNRRYINRKGPLIVYGTEGSKVVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTECAFKKLDEVYGGFDTPALKKKGFVLPRPKMANADLSRLINSDEVQSVVKPINKEVKLREARRNPLKNVAAVLKLNPYFGTARKMAALAEAARVKARTEKLDSKRTKLSPEESSKIKAAGKAWYKTMISDSDYTEFENFSKWLGVTQ >ONIVA03G38660.1 pep chromosome:AWHD00000000:3:32490863:32492155:-1 gene:ONIVA03G38660 transcript:ONIVA03G38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNRAEEIFRDGDLIFTSERNLGARNVQMDYDIVRANQPAVVQTGCRWIIGDVTEVLDRNTWKLGKIAKMLKNNYFVIRLADCIQLKEFHISSLRVPASPHSNNQYSVVDKKSEAKLAQRGQIPSDALPGRTNKKRKSTADTSFNPRRRTSRPENASRACVLDGSTTQNRFQFIREEAECSVASCSINDPDTTFTNAKKLQSLGFPDDAMSACPCTSGMEDDDAAAAAAADEDDDDEPAAIAVHELELEAYQSTMRALYASGPLTWEQESLLTNLRLSLNISNEEHLLQLRRLLSSR >ONIVA03G38650.1 pep chromosome:AWHD00000000:3:32489585:32490028:-1 gene:ONIVA03G38650 transcript:ONIVA03G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTTPLPLLRGAAAAAAARSCLHRPQVGAAAARRAAVGVRCGGTPPAEVPGTDRSLEELPSIDTPPEFEAPPGLDVPMPPPGAPTPGPEQPGPSIPSPPMPEVPDVPRNPDVPPPKPPELDPPRPPPEVVPEPTPPDVEPPTFIV >ONIVA03G38640.1 pep chromosome:AWHD00000000:3:32462715:32470338:1 gene:ONIVA03G38640 transcript:ONIVA03G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRAVAPSPEGLRHPGAAGDGAAGLAERFRDARPGAVTVALGPGGANSLAYSSHGQSPLLPRLFAATDEIFCLFQGTIENIAVLKQQYGLHNKGSTEINIIIEAYRTLRDRGPYPADQVVRDINGKFAFVLYDCSNNSVFMATDADGSVPFYWGVDPDSRLVVSDDDEIVNKACGKSSAPFPKGFFFTTSGGLQSYEHPMNEVKPVPRLDSKGEVCGTTYTVDAKAKKDSSIPRVGSAADWSSQY >ONIVA03G38640.2 pep chromosome:AWHD00000000:3:32462715:32470338:1 gene:ONIVA03G38640 transcript:ONIVA03G38640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRAVAPSPEGLRHPGAAGDGAAGLAERFRDARPGAVTVALGPGGANSLAYSSHGQSPLLPRLFAATDEIFCLFQGTIENIAVLKQQYGLHNKGSTEINIIIEAYRTLRDRGPYPADQVVRDINGKFAFVLYDCSNNSVFMATDADGSVPFYWGVDPDSRLVVSDDDEIVNKACGKSSAPFPKGFFFTTSGGLQSYEHPMNEVKPVPRLDSKGEVCGTTYTVDAKAKKDSSIPRVGSAADWSSQY >ONIVA03G38640.3 pep chromosome:AWHD00000000:3:32466726:32470338:1 gene:ONIVA03G38640 transcript:ONIVA03G38640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRAVAPSPEGLRHPGAAGDGAAGLAERFRDARPGAVTVALGPGGANSLAYSSHGQSPLLPRLFAATDEIFCLFQGTIENIAVLKQQYGLHNKGSTEINIIIEAYRTLRDRGPYPADQVVRDINGKFAFVLYDCSNNSVFMATDADGSVPFYWGVDPDSRLVVSDDDEIVNKACGKSSAPFPKGFFFTTSGGLQSYEHPMNEVKPVPRLDSKGEVCGTTYTVDAKAKKDSSIPRVGSAADWSSQY >ONIVA03G38640.4 pep chromosome:AWHD00000000:3:32462715:32470338:1 gene:ONIVA03G38640 transcript:ONIVA03G38640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPRRRLFAATDEIFCLFQGTIENIAVLKQQYGLHNKGSTEINIIIEAYRTLRDRGPYPADQVVRDINGKFAFVLYDCSNNSVFMATDADGSVPFYWGVDPDSRLVVSDDDEIVNKACGKSSAPFPKGFFFTTSGGLQSYEHPMNEVKPVPRLDSKGEVCGTTYTVDAKAKKDSSIPRVGSAADWSSQY >ONIVA03G38630.1 pep chromosome:AWHD00000000:3:32457895:32461441:1 gene:ONIVA03G38630 transcript:ONIVA03G38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRVVNPVKVESGPSTGVANGQPPRPMDGLADGGPPPFLTKTYDMVDDPTTDAVVSWSATNNSFVVWDPHLFGNVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDKWEFANEGFLRGQKHLLKSIKRRKPPNSSPSQQSLGSFLEVGHFGYEGEIDQLKRDKHLLMAEVVKLRQEQQNTKSDLQAMEQKLQGTEQKQQHMMAFLARVMHNPEFIRQLFSQSEMRKELEEFVSKKRRRRIDQGPELDSMGTGSSPEQVSQVMFEPHDPVDSLFNGVPSDLESSSVEANGGKAQQDVASSSSEHGKIKPSNGELNEDFWEDLLHEGGLDEDTRNPAIDDMNLLSQKMGYLNSSSTKSPQ >ONIVA03G38620.1 pep chromosome:AWHD00000000:3:32455299:32457263:-1 gene:ONIVA03G38620 transcript:ONIVA03G38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKGHDLEAGGSSEPLYPGMVESPDLRWALIHKIYVILSVQLAMTAAVAAFVVKVRGVSEFFVSSNAGFALYIFLLFLPLIVLCPLRYYHQKHPVNLLLLGLFTVAISFAVGMTCAYTSGKVIFEAAALTAVVVISLTAYTFWAAKRGHDFSFLGPFLFSAVMVLILFSLIQIFFPLGKISEMIYGGLASLVFSGYIIYDTDNIIKRYTYDEYVWAAVSLYLDVINLFLALLRVLRAADN >ONIVA03G38610.1 pep chromosome:AWHD00000000:3:32450114:32453373:-1 gene:ONIVA03G38610 transcript:ONIVA03G38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGKCDVEACYPGGAPGGGMYPYMIENPQLRWAFIRKVYVIVSMQLLVTVAVAGAVNLVEPIKTFFQARTPEVLVAYVIIIISPLIMMLPMIYFRNKHPINLFFLLLFTVCISFSVGLGCLSKNGTVIFQAAGMTAAIVIGLTCYTFWAAKRGYDFEFLGPFLFAATLVLFLYAIITIFLPMGRTGKLVYGCVAALIFSGFIIYDTDNLIKRYTYDEYVAAAITLYLDIINLFMALVTALQAADG >ONIVA03G38600.1 pep chromosome:AWHD00000000:3:32446719:32450822:1 gene:ONIVA03G38600 transcript:ONIVA03G38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1000) [Source:Projected from Arabidopsis thaliana (AT3G04780) TAIR;Acc:AT3G04780] MAAATNAAAAAAAAAAPAPAPATPVPRGQVDLVDFIDWSGVECLNQDPAHSIVNALKQGYRDDEGLYLASDSDEQLLIHIPFMQVVKLHSALFKGPEEDGPKTIKLFCNKEHMGFSNVNDYPPSDSLDLSSNHLSESKPMQLKYVKFQNVRSLTIFIEDNQSGSDVSKILKIALYGTTVDTTNMKDLKKIEEH >ONIVA03G38590.1 pep chromosome:AWHD00000000:3:32442003:32444189:1 gene:ONIVA03G38590 transcript:ONIVA03G38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVQSRMWFARPHLLWSRLMCCSFSDAPAARASAAAGGGGGGARGCSSEFDSAIRSLRINPQPERLAHILDSASDFNLALRIFRWASYQRMPIHTVDTYARMIAKLGDAGNHDEIGGFLKEMVRLDVPGLEKVMNDLVQFLSGKNRFDEVLLVIQHASSGNFKISVSSCNGVLCGLVKEGRGLRPFMRAYMEVVKAGVLPDVETLNWLIEVLCEAGHLELALVQFDKMSKKRCIPNSRTFKILITALCSHGRADESADAFDKMLQLGCIPDSSFCVQVLPLFCKFNKLKEARKLHQMMKEYKLQSDQHLYSALIRCLCENQLLDDAVTTVNDMIASGHALMRSTFVNIVDCYCTLGQFHKAVNFLEENDVAEIEAYNVLLRSLCKTGRVQDSVNYLTELHSRGLVNCQSWNIVIAQFCNNGNIRRASELICRMIVSSFTADESTYSSVVSCYCKLGLYKNALDMFRRLDVSNLSLNSESFSQLVESLCHMKKIQEAAEVFKYHCKRGCNLTSESLEMLIQESCMVGMIREAIKMRSLAVCTGTSCTFTTYNTIFRALLHLKKEKDVLLLFAHMLMEGCLLNEYTYNCILRYFLTKETIFEAAILFNRMVKDGFVPDQETFELLVPEMALSSLLNMISESLLTVVNMDGMMSPRISNIIIYGLIKEGFKSEACKFLDQMIEKGWVPDSRTHSILLSSSGREEPRESDEVNHTVDDDNVSNILLEGLD >ONIVA03G38580.1 pep chromosome:AWHD00000000:3:32431165:32435939:-1 gene:ONIVA03G38580 transcript:ONIVA03G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMRVSAAAAAALFVAVVVASPLLASSQQPAMAPVAAAAPTNNSRLEKAYVALQALKRAITDDPKNLTHSWCGPEVCGYFGVYCAAAPDDPCARTVAGVDLNHGDLAGTLPEELGLLTDLAVFHLNSNRFCGSLPDSLRNLHRLHEIDVSNNHLSGSFPSQLLCLPDLKYVDLRFNNLCGEVPAAIFEKKIDALFINNNNFDFKLTESFSNSTASVIVLANLPKLGGCLPSSIGDMAETLNELVLLNSGISSCIPPEIGKLDKLTVLDLSFNGFAGALPETIGHMRALEQLNVAHNGLAGEIPDSICALPHLKNFTYSHNFFCGEPHRCLEVPHVDDRQNCIAGRPDQRSGEECIAFLHRPPVHCDAHGCIAPLSPPPPPPPVHYAPPPPLCS >ONIVA03G38570.1 pep chromosome:AWHD00000000:3:32429326:32431161:1 gene:ONIVA03G38570 transcript:ONIVA03G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02980) TAIR;Acc:AT2G02980] MSSSPLTATPSPLLPAKSKNPPPHHHHHNPLLAYLPHCTSLRALAQLHAVAVKAGGGLQAHPAFVTRLLTLCTEQGAEAPAHLAYARQVFDRIPHPGDVVWYNTLLRGYARGGGGGGGAEEAARVFVRMMEEGVAPDTYTFVSLLKACASARAGEEGQQAHGVAVKAGAAEHEYVAPTLINMYAECGDVRAARVMFDRMDGECVVSYNAMITASVRSSLPGEALVLFREMQAKGLKPTSVTLISVLSACALLGALELGRWIHDYIRKMRLDSLVKVNTALIDMYAKCGSLEDAIGVFQDMESRDKQAWSVMMVAYANHGYGREAISMFEEMKKQGMKPDDVTFLGVLYACSHSGMVSEGLQYFDSMREYGIVSGIKHYGCVTDLLARSGQLERAYKFIDELPIKPTAILWRTLLSACAGHGDVDMGKRVFERILELDDSHGGDYVIFSNLCANTGRWEEMNMVRKLMSEKGVVKVPGCSSIEIDNMVHEFFAGDGSHPHSQEARRMVDEVIEQLKLVGYVPNTSHVFHVEMGEEEKATSLRYHSEKLAISFGLLNTAPGTTLRIVKNLRVCPDCHSMAKLVSMVFNRRIILRDLNRFHHFEDGVCSCGDYW >ONIVA03G38560.1 pep chromosome:AWHD00000000:3:32423028:32424979:-1 gene:ONIVA03G38560 transcript:ONIVA03G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHCESYCGPPLCYIPCLPKSKDAAGDAAHKSKDAAAADAVPAAAAEDKPPPVQKIEAAVAEKDGDDSKEVDGDEEEEDDDDVKTAVAVAAPPPAPLKSNLKKADCADSKCAEKGNVKWLDLLGKDLTEVKEFEPSESGDSMDEDDIAECVCAIQ >ONIVA03G38550.1 pep chromosome:AWHD00000000:3:32416625:32421387:-1 gene:ONIVA03G38550 transcript:ONIVA03G38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGGGSSGGLGSEGESAAASAIGSLDLDGAAASSDNRPGETSSNGDSERWQTRQHSTDDISRSKSKPRYIKAFGVDLSADNVAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAELYCFDFHCSDSIPLFGYRRRSYLILSGLLGALSWSLMATIVDDKYSAALSIILGSLAVAIADVVVDSMVVERARGEPQSTSGSLQSLCWGSSAIGGVMSAYFSGSLVDTYGVRFVFGVTAFLPLTTSTVAVLVNEKRLPLGENATSSSDSGLIESSKEHIMQIWSSVKQPNIFLPTLFIFLWQATPQSDSAMFFFITNKLGFTPEFLGRVTLVTSIASLVGIGVYNSFLKEVPLRKIFFVTTIFGSALGMTQVLLVTGLNRVLGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGVEATLFATLMSISNAGGVTGGLLGAGLTQFLGVTKDNFQNLALLIVVCNLSSLLPLPLLGLLPDESPGVDNEQTKVD >ONIVA03G38540.1 pep chromosome:AWHD00000000:3:32412133:32417240:1 gene:ONIVA03G38540 transcript:ONIVA03G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GUV8] MAAPAAESAVVGVGVDSATSAAVRDGFAELERQQQLLATCTRLYQQLTEHFGSLERRLAARSETLRTKRRFLDVRTSRRLEALRRREASIDGSVSLALSRLDSLAKGDAGTTGSASADAAGIAEGLRSLCASMDSAGFFTFVVARRKEVDALRAELPDALKRCVDPARFAMDAVSEVFPIDKRAVRSPTDLAWACVLILEAVVPSLADPDPEIGAARPMVPQAARERARGMAREWKDAAEKKGGVEGAKPPDAHAFLQHVATFAVAEKEDKELYRRIVVSFSWRRQMPRLAITLGLEDEMDDIIEELITKGQQLDAVNFAYEAGLQEKFPPAPLLKAYLEDSKKIPSNSDNLSTSTGQSGSNANKKEQSALRAVIKCVEDHKLEAEFPLEDLRERLEELEKAKTEKKKAASSSSSGGSSGPANKRIRASTGGPMPPAKAGRLTDYTAAHPLYCGQNTLAMREPYAYHHPSEVSSVGLGMSYPSPPMTYPAYAGYSNGIGYSNAMAPAFHHQAYYR >ONIVA03G38530.1 pep chromosome:AWHD00000000:3:32400486:32411428:1 gene:ONIVA03G38530 transcript:ONIVA03G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:exoribonuclease 4 [Source:Projected from Arabidopsis thaliana (AT1G54490) TAIR;Acc:AT1G54490] MGVPAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFAYIDHLFGLVRPRKLIYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRKEFEAEGRTLVAKEKSEAIDSNVITPGTPFMFVLSSALQYYIQLRLNHTPGWQSVKVMLSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLSLATHEVHFSILREVITMPGQHEKCFLCGQVGHLAAECRGSGQADKTVELPPIHKKKYQFLNIWVLREYLEKDLEIVDPPFKINFERVVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMNIYRREFTSMGGYLTDGGEVLLDRVEHFIQSVAVHEEQIFQKRARIQKAIQNNEERNRVQTENSEDNQYVDKVKLGEPGYRVRYYAEKFKEEAELKPIDQVQRDVVQKYVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKCLAELEITFFLGQPFKPFDQLMGTLPAASSNALPKYYGDLMNDPNSPLKSFYPKDFEIDMNGKRFAWQGIAKLPFIDERRLLAETQKLEETLTDEERFRNRTMFDILYVRETHPLAAQIAFLYQMCSQSPNASYIIPIDPAASGGMNGFLCLSERNCYSIAVTSPVKGFNGIAQNRVLNATYLNPQYHKHIPEPPEGVIIPAKILKPSDFKPFPILWHEDNSRRQPRERPQVSGALSGSVLGEAAHRLVKNSLQIKSGYSAGLLDMPYRGAPYGPGNRPRPAGPLGYERGFVENSYNGHMSRSVPNSHPQFFGDAQANRQNVRILERPNYRNNDSAIHSGMSQLTIQDGPRMHQNNRMQNSGFSPNQPHPNQYAGFPPQRPMQNSGFTPQRPAQYSGFPHQRPVQIGLQHQPAVNGIQPPLPPSAWIGRPISGVPAGVPAKQDPRMAMDRQPKQDNSRSQHDKRQQATKVVYRVKGQGPNGLSE >ONIVA03G38530.2 pep chromosome:AWHD00000000:3:32400184:32411428:1 gene:ONIVA03G38530 transcript:ONIVA03G38530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:exoribonuclease 4 [Source:Projected from Arabidopsis thaliana (AT1G54490) TAIR;Acc:AT1G54490] MGVPAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFAYIDHLFGLVRPRKLIYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRKEFEAEGRTLVAKEKSEAIDSNVITPGTPFMFVLSSALQYYIQLRLNHTPGWQSVKVMLSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLSLATHEVHFSILREVITMPGQHEKCFLCGQVGHLAAECRGSGQADKTVELPPIHKKKYQFLNIWVLREYLEKDLEIVDPPFKINFERVVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMNIYRREFTSMGGYLTDGGEVLLDRVEHFIQSVAVHEEQIFQKRARIQKAIQNNEERNRVQTENSEDNQYVDKVKLGEPGYRVRYYAEKFKEEAELKPIDQVQRDVVQKYVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKCLAELEITFFLGQPFKPFDQLMGTLPAASSNALPKYYGDLMNDPNSPLKSFYPKDFEIDMNGKRFAWQGIAKLPFIDERRLLAETQKLEETLTDEERFRNRTMFDILYVRETHPLAAQIAFLYQMCSQSPNASYIIPIDPAASGGMNGFLCLSERNCYSIAVTSPVKGFNGIAQNRVLNATYLNPQYHKHIPEPPEGVIIPAKILKPSDFKPFPILWHEDNSRRQPRERPQVSGALSGSVLGEAAHRLVKNSLQIKSGYSAGLLDMPYRGAPYGPGNRPRPAGPLGYERGFVENSYNGHMSRSVPNSHPQFFGDAQANRQNVRILERPNYRNNDSAIHSGMSQLTIQDGPRMHQNNRMQNSGFSPNQPHPNQYAGFPPQRPMQNSGFTPQRPAQYSGFPHQRPVQIGLQHQPAVNGIQPPLPPSAWIGRPISGVPAGVPAKQDPRMAMDRQPKQDNSRSQHDKRQQATKVVYRVKGQGPNGLSE >ONIVA03G38520.1 pep chromosome:AWHD00000000:3:32396929:32398259:-1 gene:ONIVA03G38520 transcript:ONIVA03G38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIACKKADIDMNKRQEPPPPPSRRQISVMVGGAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >ONIVA03G38510.1 pep chromosome:AWHD00000000:3:32389320:32392172:-1 gene:ONIVA03G38510 transcript:ONIVA03G38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GUV4] MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLISEAGGKVIAISDVTGAVKNSNGLDIAKLMKHSSENRGIKGFDGGDAIDPRSLLTEECDVLIPAALGGVINKDNANEIKAKYIIEAANHPTDPEADEASTSDSIWQTNTPTATKCTICLKCFLSEFLLQILSKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKTYMTRGFRDVKEMCRSHHCDLRMGAFTLGVNRVARATVLRGWEA >ONIVA03G38500.1 pep chromosome:AWHD00000000:3:32388414:32388938:1 gene:ONIVA03G38500 transcript:ONIVA03G38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVTLRRFELADADAMMAWASDPEVTAFMTWEPYESVDSLRAFIRDTVLPHPWFRAICLAGDGDGGATPVGAVSVTPTADRCRAEVAVAVARAHWGKGVATAALRRALAAAFADLDGVERVEALVDVGNAASRRALEKAGFQQEAVLRSYCVVKGQLRDMVIYSFISTDPLVE >ONIVA03G38490.1 pep chromosome:AWHD00000000:3:32385660:32388356:1 gene:ONIVA03G38490 transcript:ONIVA03G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSEEPPHAAAARGAVEVSLRRFDLADVDAMMVWASDPQVAAVCRWEPYESTEPLLAYLRDTVLPHPWFRAICVAAAFDGDGGGDDRPVGAVSVSPTADACRAELGYVVARAHWGKGVATAAVKRVVAAVFGEVEGLERVEALVDVRNAASQRVLEKAGFRREAVLRSYCVLKGEVRDMVIYSFISTDPLQPIAPSPAAVRRRAPMEVTLRRFELSDVDAMMAWASDPAVAAFCRWEPYQSTEPLLAYLRDTVLPHPWFRAICLATGAGAGDGDGRPVGAVSLAPTADACRGELGYVVARAHWGKGVATAAVRRAVAAVLGGEVSGLARVEALVDVDNRASQRVVEKAGFRREGVLRRHYWHKGRVRDLVMYSFVSSDQLAEGRAAHY >ONIVA03G38480.1 pep chromosome:AWHD00000000:3:32382072:32384312:-1 gene:ONIVA03G38480 transcript:ONIVA03G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYPPLSSAAFAGTDRSSPWRWRWLCRSGSCWIDASTRSKREGEESEWEEVECTAWRASGCGDGDGDAAAEALARGLTLLVRLADPPAVSALAIRPADGVFLNAASVDVADGNLVILSAAFYGFPRRYYLIYDVAEASLAITPHLPRFCKPSFTLKPLPVRRRRRPRVGEAVVVDDGDDHRNYVLVLMAINFNKDDIICLWPPDPSWSSSSSLPWQRKETRFPVEMNRPWEQYGFSADSVFTLNGIAYWVDLALGVLYCKTSDLLLSDRDVVVEFSFIDLPPGYRADRNLFRPKMFRTLGCVGGSIKFVSVDGYHKREETYFNTEDEEEEEDGDDCIIEPVAAAERKITMWSLIPGGNLGWKKDAEFSVGDLWMWEEFQSIGLPRQQPINPILDPQEDGMLLLLIGDYYNDENDVLRCRDQHMITVDMKNQSIVCSTLLPCWLHLMVPDLVSSDLPQYLKSLR >ONIVA03G38470.1 pep chromosome:AWHD00000000:3:32378979:32380588:1 gene:ONIVA03G38470 transcript:ONIVA03G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRGSNGAVLGIGVAVVTALLAWRCAAAAAQAPPVASTDGGGSGCMPELVSLSPCMGYMSGNATAPAAACCSALSGVLRSSPRCLCMVLGGTAASLGVAVDTARAALLPGACSVGEGAPGGRVEAEGGGAPGFIGHDGKGGENVPNFFINALKWYKYFFKKKYK >ONIVA03G38460.1 pep chromosome:AWHD00000000:3:32374213:32378293:-1 gene:ONIVA03G38460 transcript:ONIVA03G38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAALVVVVLAVVVSPASGQVATSCTASLITTFTPCLNFVTGSTNGGGSPTQQCCGSLAEMVRSSADCACLILTGNVPFSLPINRNLAISLTKLCNSMSVPLQCRDTASQIPPPAPSPPETSVQPNSAVDPTAMSPSPPIIQGQRPLLLPSSAWRRAHVSMASDSCSEMAAAGVSGLAVGCLVAATAALLVAGASAQTGCTAALINLYPCLNYISGNETSPTRTCCSQLATVVQSQPQCLCAAISGDSSSSIGGVTIDKTRALELPKACNVVTPPASRCNSAGGNTPGAATTTSPATQTPGATGAGTGVGSKTTPTAPYLINGGASLRGATGLVLALAAVAVYAV >ONIVA03G38460.2 pep chromosome:AWHD00000000:3:32374213:32378293:-1 gene:ONIVA03G38460 transcript:ONIVA03G38460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAALVVVVLAVVVSPASGQVATSCTASLITTFTPCLNFVTGSTNGGGSPTQQCCGSLAEMVRSSADCACLILTGNVPFSLPINRNLAISLTKLCNSMSVPLQCRDTASQIPPPAPSPPETSVQPNSAVDPTAMSPSPPIIQGQRPLLLPSSAWRRAHVSMASDSCKKILRALKMAAAGVSGLAVGCLVAATAALLVAGASAQTGCTAALINLYPCLNYISGNETSPTRTCCSQLATVVQSQPQCLCAAISGDSSSSIGGVTIDKTRALELPKACNVVTPPASRCNSAGGNTPGAATTTSPATQTPGATGAGTGVGSKTTPTAPYLINGGASLRGATGLVLALAAVAVYAV >ONIVA03G38460.3 pep chromosome:AWHD00000000:3:32374965:32378293:-1 gene:ONIVA03G38460 transcript:ONIVA03G38460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAALVVVVLAVVVSPASGQVATSCTASLITTFTPCLNFVTGSTNGGGSPTQQCCGSLAEMVRSSADCACLILTGNVPFSLPINRNLAISLTKLCNSMSVPLQCRDTASQIPPPAPSPPETSVQPNSAVDPTAMSPSPPIIQGQRPLLLPSSAWRRAHVSMASEPAAHQHNQLIINLSLQISPI >ONIVA03G38460.4 pep chromosome:AWHD00000000:3:32374213:32374848:-1 gene:ONIVA03G38460 transcript:ONIVA03G38460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVSGLAVGCLVAATAALLVAGASAQTGCTAALINLYPCLNYISGNETSPTRTCCSQLATVVQSQPQCLCAAISGDSSSSIGGVTIDKTRALELPKACNVVTPPASRCNSAGGNTPGAATTTSPATQTPGATGAGTGVGSKTTPTAPYLINGGASLRGATGLVLALAAVAVYAV >ONIVA03G38450.1 pep chromosome:AWHD00000000:3:32371022:32374719:1 gene:ONIVA03G38450 transcript:ONIVA03G38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKTSSSMARSQLAALLISLCFLSLASNAVGWSRRGEREEEDERRRHGGEGGRPYHFGEESFRHWTRTRHGRFSVLERFPDEQVVGAAVGGYRVAVLEAAPRAFLQPSHYDADEVFYVKEGEGVIVLLREGRRESFCVREGDAMVIPAGAIVYSANTHSSKWFRVVMLLNPVSTPGHFEEYFPVGGDRPESFFSAFSDDVLQAAFNTRREELEKVFERQREGGEITTAPEEQIRELSKSCSRGGGGGSGSEWEIKPSSLTGKSPYFSNNHGKLFELTGDECRHLKKLDLQIGLANITRGSMIAPNYNTRATKLAVVLQGSGYFEMACPHVSGGGSSERREREREHGRRREEEQGEEEHGERGEKARRYHKVRAQVREGSVIVIPASHPATIVASEGESLAVVCFFVGANHDEKVFLAGRNSPLRQLDDPAKKLVFGGSAAREADRVLAAQPEQILLRGPHGRGSVSDIVADRRSHHTAYTATAASASTKPVAPRSDAPPLIRYGAVGVVFDPTPVPAPVAPGVCVAGEVVVAAPGVFPPAAASRKRRVLFSLSIARSINGDYNCSAMRGFVEHLQLHRLAGGVTTLQALGSSRARVLSMVTPPIDDDESPLIAAHRHCGWDCTTVASCEQQVLVGDVSLPLM >ONIVA03G38450.2 pep chromosome:AWHD00000000:3:32371022:32375139:1 gene:ONIVA03G38450 transcript:ONIVA03G38450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKTSSSMARSQLAALLISLCFLSLASNAVGWSRRGEREEEDERRRHGGEGGRPYHFGEESFRHWTRTRHGRFSVLERFPDEQVVGAAVGGYRVAVLEAAPRAFLQPSHYDADEVFYVKEGEGVIVLLREGRRESFCVREGDAMVIPAGAIVYSANTHSSKWFRVVMLLNPVSTPGHFEEYFPVGGDRPESFFSAFSDDVLQAAFNTRREELEKVFERQREGGEITTAPEEQIRELSKSCSRGGGGGSGSEWEIKPSSLTGKSPYFSNNHGKLFELTGDECRHLKKLDLQIGLANITRGSMIAPNYNTRATKLAVVLQGSGYFEMACPHVSGGGSSERREREREHGRRREEEQGEEEHGERGEKARRYHKVRAQVREGSVIVIPASHPATIVASEGESLAVVCFFVGANHDEKVFLAGRNSPLRQLDDPAKKLVFGGSAAREADRVLAAQPEQILLRGPHGRGSVSDM >ONIVA03G38440.1 pep chromosome:AWHD00000000:3:32361954:32365397:1 gene:ONIVA03G38440 transcript:ONIVA03G38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESNKKSKLSWSKSLVRKWFNIKSKANDFHADYDASQDREMMKRSQTMTILFSFCCLAQFGLNLLGDPGRNGHGDRMPKKNADCIRRGRTESDVSRLTEVQDYRIFASTWNVGGKSPSKGLDLDEWLHSSPPADIYILGFQEIVPLNAGNVLGTEDNVPAKKWVSLIRRTLNRNPGASSYGGYHTPSPVPDPVVELDADFEGSSRRHDNLSFFHRRSFQNLSQSLRVEGNYMSSQPRLDRRFSVCDPVSLGGRPSDFDGNFPCAGSPDDEYIEEDGSNGTYFSPFPYGYGTSIAMEEHDEQPNTSRYCLVASKQMVGIFLTVWVRSELRNDVKNLKVSCVGRGLMGYLGNKGSISISMSLHHTSFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGAGDIKSPETILEHDRIIWLGDLNYRISLSYCSAKALVEMHNWKQLLEKDQLSYVRGESRFSDHRPVYSIFMAEVEIIRQRRRNMGCFNSRVEVEELLPYSYSFGDIKFN >ONIVA03G38430.1 pep chromosome:AWHD00000000:3:32350800:32357937:1 gene:ONIVA03G38430 transcript:ONIVA03G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRGGVWRARLRSKKVYDVQDADPAASPVSPAPRGRTGRRGGAAAGRGNKTVAEGGGRKALKPRGKGCRAVDLCEDQPCKDLPEGIARKAVTGKAQEDLGLNKVADRAANLMMDGESGDKFAAAEDESTTTPVPERVQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGGSRTGPDAQEVALKFEHRSSKGCNYGPPYEWQVYHTLNGCYGIPSVHYKGRLGDYYILVMDMLGPSLWDVWNSVGQAMSAHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGHPGSVDEKKLFLIDLGLASRWKEASSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPELLCCFCPAPFKHFLEMVTNMKFDEEPNYPKLISLFDGLIEGPASRPIRIDGALKVGQKRGRMVVNLDDDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISCVSSSANFWALIMDAGTGFCSQVYELSQVFLHKDWIMEQWEKNYYITAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNAGYSHQVVELDFLYPSEGIHRRWETGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSSFPSNHVKEKWSKNLYIASICYGRTVC >ONIVA03G38430.2 pep chromosome:AWHD00000000:3:32351128:32357937:1 gene:ONIVA03G38430 transcript:ONIVA03G38430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKAELHGAIMPELRGGVWRARLRSKKVYDVQDADPAASPVSPAPRGRTGRRGGAAAGRGNKTVAEGGGRKALKPRGKGCRAVDLCEDQPCKDLPEGIARKAVTGKAQEDLGLNKVADRAANLMMDGESGDKFAAAEDESTTTPVPERVQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGGSRTGPDAQEVALKFEHRSSKGCNYGPPYEWQVYHTLNGCYGIPSVHYKGRLGDYYILVMDMLGPSLWDVWNSVGQAMSAHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGHPGSVDEKKLFLIDLGLASRWKEASSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPELLCCFCPAPFKHFLEMVTNMKFDEEPNYPKLISLFDGLIEGPASRPIRIDGALKVGQKRGRMVVNLDDDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISCVSSSANFWALIMDAGTGFCSQVYELSQVFLHKDWIMEQWEKNYYITAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNAGYSHQVVELDFLYPSEGIHRRWETGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSSFPSNHVKEKWSKNLYIASICYGRTVC >ONIVA03G38430.3 pep chromosome:AWHD00000000:3:32351189:32357937:1 gene:ONIVA03G38430 transcript:ONIVA03G38430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRGGVWRARLRSKKVYDVQDADPAASPVSPAPRGRTGRRGGAAAGRGNKTVAEGGGRKALKPRGKGCRAVDLCEDQPCKDLPEGIARKAVTGKAQEDLGLNKVADRAANLMMDGESGDKFAAAEDESTTTPVPERVQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGGSRTGPDAQEVALKFEHRSSKGCNYGPPYEWQVYHTLNGCYGIPSVHYKGRLGDYYILVMDMLGPSLWDVWNSVGQAMSAHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGHPGSVDEKKLFLIDLGLASRWKEASSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPELLCCFCPAPFKHFLEMVTNMKFDEEPNYPKLISLFDGLIEGPASRPIRIDGALKVGQKRGRMVVNLDDDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISCVSSSANFWALIMDAGTGFCSQVYELSQVFLHKDWIMEQWEKNYYITAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNAGYSHQVVELDFLYPSEGIHRRWETGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSSFPSNHVKEKWSKNLYIASICYGRTVC >ONIVA03G38420.1 pep chromosome:AWHD00000000:3:32345370:32349945:1 gene:ONIVA03G38420 transcript:ONIVA03G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTSSPRHHLFSSLVAALIGSMVRQMRLVHLKTIPANVDGIIIVRGRDVVGPKDRKRASGLN >ONIVA03G38410.1 pep chromosome:AWHD00000000:3:32339647:32340515:-1 gene:ONIVA03G38410 transcript:ONIVA03G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETIAWKIDLDLELRLASPSRVPPPPPISSEASRATVGTNGAASRTVSDLAFSPLHSDGVVDTAIGQDAVLPGGDAASKKRAKTDPDEETDGETSCRRRPPPPTAVAAAVVSEPAWVRAELFPLHGLPMDMPPLRFIVAKLLQRSDFYPLQARFLLPSSAADNLRAFLSAQEGEACGLNETSRRRRRREKLAEATRGGGEKRREEPPRYEGVPVTVYLRGGLVCELKLSKFNGTKATVINGGGYAKFMADGGLVRGDRVEVLAFRRPPNYRLCFVIAKNDG >ONIVA03G38400.1 pep chromosome:AWHD00000000:3:32338625:32342484:1 gene:ONIVA03G38400 transcript:ONIVA03G38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGESDSEQASDSDSDSACSRRSPTGAAAAVVPALSSSPFPHLHAARCRGLARCRQADQKINSRSHGGHLAISFLHRDGEAARSELKHVDDAPPLNTDGNHWHYRRQSREDEAAPFSPSSIRGSPIRRNISIQIPSPGTRFSHQGLGSRNQTQPRPSIYSLTVL >ONIVA03G38390.1 pep chromosome:AWHD00000000:3:32337780:32338496:-1 gene:ONIVA03G38390 transcript:ONIVA03G38390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRKCPANGDDGGVADLEPVAGGSFASRPPEKKAKLTVAVAVAVAPSSSSSATTAAAGEATAKREHGGFFAFARPENNTRLSVAVASSFSSASAAAEKAMAKLTVADVAPSSSSASAAAAGKATAKLEYGGFCAFARPDDKTRWRVAVASSAAAAADASYSSSSPATGEQPEANRCATCRRKVGLTGFKCRCGGTFCGGHRYADEHGCGFDYKSSGRELIAKQNPVVVADKLAFRI >ONIVA03G38380.1 pep chromosome:AWHD00000000:3:32335880:32336412:-1 gene:ONIVA03G38380 transcript:ONIVA03G38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0GUT5] MKFFAAPAGRAGLGAVVLVAASRCPLFSFFALLVLLLFQPHHQLAASHVAVNQQVSLVPDAAAAKAAGVGNGAVVDVGDEEEEGSVSRWMLIVVTTMRSGGRERRRRNAALAHVEKHYFSGVVHFADAAGVYDAHFFDKIRQTELQIGMC >ONIVA03G38380.2 pep chromosome:AWHD00000000:3:32334793:32336412:-1 gene:ONIVA03G38380 transcript:ONIVA03G38380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0GUT5] MKFFAAPAGRAGLGAVVLVAASRCPLFSFFALLVLLLFQPHHQLAASHVAVNQQVSLVPDAAAAKAAGVGNGAVVDVGDEEEEGSVSRWMLIVVTTMRSGGRERRRRNAALAHVEKHYFSGVVHFADAAGVYDAHFFDKIRQTESEALAGAWSLQHKCTAGERERAGAAARAHLPLLFLHDRDQRRLQ >ONIVA03G38370.1 pep chromosome:AWHD00000000:3:32332861:32333370:-1 gene:ONIVA03G38370 transcript:ONIVA03G38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKRKCPDDETACGSGAGAAMCVTGCGFFGSEATNNMCSRCYREHSADNDAVEEAAAANSDLELVGVAETTTKKARMSAVVPVAVASSSSAAAEQPAAKAATAPNRCAACRKKVGLTGFKCRCGGNFCGGHRHADAHGCGFDYKSAGKEQIAKQNPLVVADKLATRI >ONIVA03G38360.1 pep chromosome:AWHD00000000:3:32325242:32326929:-1 gene:ONIVA03G38360 transcript:ONIVA03G38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLVFLTMAQESWKKEAEETGVHTPEAPILCVNNCGFFGSRMTENMCSKCYRDTVKAKTVATVVEKKPLASLSSTPLVAEVTDGGSGSVADGKQVMEEDTPKPPSNRCLSCRKKVGLTGFKCRCGGTFCSMHRYADSHKCTFDYKQAGREQIAKQNPLVKADKITKI >ONIVA03G38350.1 pep chromosome:AWHD00000000:3:32321793:32323658:1 gene:ONIVA03G38350 transcript:ONIVA03G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVLQWWLGAMVAMAAAASWSGGVLPAAEALGMNWGTQASHPLPPKIVAQLLQDNGIKKVKLFDADQDTLSALAGTGIEVMVAIPNVMLDSITDYDTAKEWVRRNVSRYNFDGGVTIKYVAVGNEPFLAAYNGTFDKVTLPALMNIQNALNDAGLGDSIKATVPLNADVYDSPQDQQVPSAGRFRADIADLMTQMVQFLANNSAPFTVNIYPFISLYLNDDFPVDFAFFDGGATPVVDNGISYTNVFDANFDTLVAALKGVGHGDMPIVVGEVGWPTDGDKHATATYAQRFYNGLLKRLAANAGTPARPGQYIEVYLFGLLDEDAKSVAPGDFERHWGILRFDGQPKYPVDLTGQGQNTMLVPAKGVTYLPRTWCVINTNAKDTSKLADNINFACTFADCTALGYGSTCAGMDANGNASYAFNAYFQVQNQKDDACDFQGLAMPTQTDPSTPACNFTIQIAATSAGHRRRAGAAVLALLALFRLFLLH >ONIVA03G38340.1 pep chromosome:AWHD00000000:3:32308758:32318119:-1 gene:ONIVA03G38340 transcript:ONIVA03G38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT5G27740) TAIR;Acc:AT5G27740] MLWVDKYRPKTLDKVTVHDQVAQNLKKLVAEQDCPHLLFYGPSGSGKKTLVMALIKQMFGAGADKVKMENKTWKIDTGSRNIEIELAMLSSAHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRVNAPSEDQIVQVLEFIGKKENLQLPFGFAARIAAQSNRNLRRAILFFETCKVQQYPFTSNQVAPPLDWEQYVSEIAADIMKEQSPKRLFAVRQKFYELLVNCIPPESILKKLLAELLKKLDSDLKHEICHWAAHYEHKMRLGSKAIFHLEAFVAKFMSIYKEFLGPDSRERSKWECTLCLALPLWSRRVSSKRARTSVPSEASAMKTET >ONIVA03G38340.2 pep chromosome:AWHD00000000:3:32315290:32318119:-1 gene:ONIVA03G38340 transcript:ONIVA03G38340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT5G27740) TAIR;Acc:AT5G27740] MLWVDKYRPKTLDKVTVHDQVAQNLKKLVAEQDCPHLLFYGPSGSGKKTLVMALIKQMFGAGADKVKMENKTWKIDTGSRNIEIELAMLSSAHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRVNAPSEDQIVQVLEFIGKKENLQLPFGFAARIAAQSNRNLRRAILFFETCKVQQYPFTSNQVAPPLDWEQYVSEIAADIMKEQSPKRLFAVRQKFYELLVNCIPPESILKKLLAELLKKLDSDLKHEICHWAAHYEHKMRLGSKAIFHLEAFVAKFMSIYKEFLVSTFG >ONIVA03G38330.1 pep chromosome:AWHD00000000:3:32308291:32315788:1 gene:ONIVA03G38330 transcript:ONIVA03G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDAGGGGGGGEASPPHAGSAAAMAGAGRDIAASPTSSRSVTQTVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKAKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSKPHSIHVPESDIGYHFGTLLDNQEGVDVICNVAGEKFHAHQLVLAARSSFFRSELFEHESDEEKNEVDTSNEIKEIVIDDMEPKVFKAVLHFMYRDNLVGDDELSASSSDCSIFDTLAGKLLAAADRYELPRLRLLCESYLCKHISVNSVATTLALADRHHAMELKSVCLKFAAENLSAVIRTDGFDYLKDNCPALQSEILRTVAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRV >ONIVA03G38330.2 pep chromosome:AWHD00000000:3:32310237:32315788:1 gene:ONIVA03G38330 transcript:ONIVA03G38330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGAGGGGIGGDASPVHVGSATEAGVGRDIVPSPTSSRSVMQTVNGSHMFVIQGYSLAKGMGIGKYIASETFTVGGCQWAIYFYPDGKNPEDNSAYISVFIALISDGIDVRVLFELKLLDQSGKAKHKGHSQFDRSLESSPYTLKNRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSKPHSIHVPESDIGYHFGTLLDNQEGVDVICNVAGEKFHAHQLVLAARSSFFRSELFEHESDEEKNEVDTSNEIKEIVIDDMEPKVFKAVLHFMYRDNLVGDDELSASSSDCSIFDTLAGKLLAAADRYELPRLRLLCESYLCKHISVNSVATTLALADRHHAMELKSVCLKFAAENLSAVIRTDGFDYLKDNCPALQSEILRTVAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRV >ONIVA03G38330.3 pep chromosome:AWHD00000000:3:32308291:32310024:1 gene:ONIVA03G38330 transcript:ONIVA03G38330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDAGGGGGGGEASPPHAGSAAAMAGAGRDIAASPTSSRSVTQTVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKAKHKVHSHFDRSLESGPYTLKYRGSMCNST >ONIVA03G38320.1 pep chromosome:AWHD00000000:3:32302027:32307379:1 gene:ONIVA03G38320 transcript:ONIVA03G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 family protein [Source:Projected from Arabidopsis thaliana (AT5G35220) TAIR;Acc:AT5G35220] MAAAAAALASSPMVHLTASRLRLPRPSRSPAAATPSPSPASAASERTRSGSFSSSSSSSSGTPGISNEPPFLSFSVDNIDTVKLLELLGPEKVDSADVKAIKEKLFGYTTFWLTREEPFGDLGEGVLFIGNLRGKREEIFAKLQQQLRELTGDKYNLFMVEEPNSEGEDPRGGPRVSFGLLRREVSEPGPTTLWQYVISLLLFLLTVFSCVELGIASKISSLPPEIVTYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQLFHEVGHFLAAFPKKVKLSIPFFIPNFTLGTFGAITQFKSILPDKKTMFDISMAGPLAGAALSFSMFSVGLLLSSNPAGASDLVEVPSKLFQGSLLLGLVSRATLGYRAMHAATVAIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRAALIVSVFLVVLTLIPLWDELAEDLGVGLVTSF >ONIVA03G38320.2 pep chromosome:AWHD00000000:3:32301947:32307379:1 gene:ONIVA03G38320 transcript:ONIVA03G38320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 family protein [Source:Projected from Arabidopsis thaliana (AT5G35220) TAIR;Acc:AT5G35220] MAAAAAALASSPMVHLTASRLRLPRPSRSPAAATPSPSPASAACCSRGAACGLEWRPKSGLRALRRCEDRLRCFSIDGGGGGGGGGGGGTGGEDGEKRGEEEAAAAAEAKVGGAVEEMRSERTRSGSFSSSSSSSSGTPGISNEPPFLSFSVDNIDTVKLLELLGPEKVDSADVKAIKEKLFGYTTFWLTREEPFGDLGEGVLFIGNLRGKREEIFAKLQQQLRELTGDKYNLFMVEEPNSEGEDPRGGPRVSFGLLRREVSEPGPTTLWQYVISLLLFLLTVFSCVELGIASKISSLPPEIVTYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQLFHEVGHFLAAFPKKVKLSIPFFIPNFTLGTFGAITQFKSILPDKKTMFDISMAGPLAGAALSFSMFSVGLLLSSNPAGASDLVEVPSKLFQGSLLLGLVSRATLGYRAMHAATVAIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRAALIVSVFLVVLTLIPLWDELAEDLGVGLVTSF >ONIVA03G38310.1 pep chromosome:AWHD00000000:3:32299079:32301416:-1 gene:ONIVA03G38310 transcript:ONIVA03G38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWAAAQDGTSGDERRAVGTHGGGRGRSCVGSKVTELHDDLATMNTLLHMQSESDDGIVDHFVMEWRKQLRELAYYSEDCIDLCLLHIRRCDTSGVASPRRVPNPMAKQAQSSSSSGSQLIPYRRRTKRPTRERDWYNLTDGPAGLIAERVLAGDVADYVRFRAVCTAWRQCSADPREHNSLDSRFHPRRWFMLREWPERAAPHRRRFINAATGQCVAVDLPEIEGHRSFGPTAEGLLVLVDDRTLFVRVLNPFTRRLTELPSLATLLPRDRYLVGGDLSVCGAGLAGGDGCLIALYFSNTRKLAVAKPGDERWALVDHRVPRLASSLSFAGRFYCVSDDADAVMTVKTSENQPPRLVAAAELAIQYSPMMDTLHLVDNIWRRADAGAPKAPLYIRELYHRRR >ONIVA03G38300.1 pep chromosome:AWHD00000000:3:32292733:32293430:1 gene:ONIVA03G38300 transcript:ONIVA03G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAGSTQSYREPCSYGGGSSTAQHEIGPSQIDEPPPITQLTQDYRHVDFNGLFASDIHLKDYFCQDADLQLALDE >ONIVA03G38290.1 pep chromosome:AWHD00000000:3:32291077:32295901:-1 gene:ONIVA03G38290 transcript:ONIVA03G38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPVVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >ONIVA03G38280.1 pep chromosome:AWHD00000000:3:32286239:32290755:1 gene:ONIVA03G38280 transcript:ONIVA03G38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASPMAGASHHLVVFLAALLALLPGSSQLQYSQTWTLFKIQQMLNHPPVLSHWRRTTDFCGGGGTAAPSAAVVCYGDTVTQLHIAGVRGAPPLPMNFSIGALVMALSRLPDLKVLTLSGLGLWGPLPDKIGRLAALEIVNMSGNYLYGGVPGGLSQLTGLQTLILDDNLLAGELPAWIGELPQLAVLSLRNNSLGGAVPASVGRMESLRSLVLASNNLTGNLPDMSGLTNLQVIDVGDNWLGPAFPALGRKVVTVVLSRNRFTGGLPGEITSFYLLERLDVSWNRFVGPFMPALLSLPAIRYLNVAGNRFTGVLSDKVACGDNLQFVDLSSNLLTGSEPACLRPDKKPATVVLVNANCLEATGGDASQHPSPFCQNQALAVGITHGGKVRKKLTHHTGFLAGIAMAALAAASAIAVVAVVAVRRKNKKGVMVRPPAMLGEDNSSSTSGYPSKMFADARYISQTVKLGALGIPPYRTFSLVELEAATDNFENSLLLGQDSFGEMYRGRLGNGTLVAIRSLKVKRNQSSLSFSRHIETISRLRHRNLVSALGHCFEYDLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLTWAQRISAAIGIANGIQFLHAGMMPGLFGNNLKINNILLDQNHVAKISSYNIPILGEAMKSEKCYDVCQSKKGGPGGKHHTESPLLNDKTDIFDFGVILLEIVSGKPITSLYEVEIMKELMLWAVADEDLVRRRSFADQEVSKGCSDESLRTIMQICLRCLAKEAVQRPSIEDVLWNLQFAAQVQDDWEGDNRSSDGSMVSSSSRITKSSRFQNEQTRSGREKECEDSSARGSVWLQAATEDGNFETGRRQAEDERY >ONIVA03G38270.1 pep chromosome:AWHD00000000:3:32280338:32280763:1 gene:ONIVA03G38270 transcript:ONIVA03G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSGPSHLVKDRGAVVASEEHITGNSKTEGKTMEAAATSSIKCRDPGILVKPFFVLSSSSSWICPSSSTRLLASWIWRCGADGRQSDPLKKRGIDRDDGDRATTNGVGERTNETREARGCFDPSASPASTHPTLAPARV >ONIVA03G38260.1 pep chromosome:AWHD00000000:3:32272142:32275382:-1 gene:ONIVA03G38260 transcript:ONIVA03G38260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLAFAVVSGLLDKMVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQFTIYGQLRLIYGMHKPFGFQALIRKYAPVAIVIGVVLMLFWLKNKIW >ONIVA03G38260.2 pep chromosome:AWHD00000000:3:32272142:32275382:-1 gene:ONIVA03G38260 transcript:ONIVA03G38260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLAFAVVSGLLDKMVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >ONIVA03G38260.3 pep chromosome:AWHD00000000:3:32272142:32275382:-1 gene:ONIVA03G38260 transcript:ONIVA03G38260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLAFAVVSGLLDKMVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >ONIVA03G38260.4 pep chromosome:AWHD00000000:3:32272142:32275736:-1 gene:ONIVA03G38260 transcript:ONIVA03G38260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >ONIVA03G38260.5 pep chromosome:AWHD00000000:3:32272142:32275382:-1 gene:ONIVA03G38260 transcript:ONIVA03G38260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLAFAVVSGLLDKMVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFQYPYVLCDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >ONIVA03G38250.1 pep chromosome:AWHD00000000:3:32270476:32271567:1 gene:ONIVA03G38250 transcript:ONIVA03G38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGRRLADARRPGSAAAELRRREAAADAQLAAARARLAEALAELERARARAAELQRRLEETYGKRRGLKRVAAAARERIQETRARLQDRQQQQQQQEDDEMSPAESDPTAAAASSSAS >ONIVA03G38240.1 pep chromosome:AWHD00000000:3:32267663:32268308:-1 gene:ONIVA03G38240 transcript:ONIVA03G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMLAVAAAAVALAVLLPARGAAATEHMVGDGNGWILGFDYAAWAATKQFRVGDTLVFRYKGTNHTVVEVGGADFKACNKTASANEWSSGEDRVALDKEGRRWFFCGVGDHCAKNMKLKITVLAAGAPAPGAPEAPPPPSSPAGKARARVAHAAAAAAVTAAAAAMLAL >ONIVA03G38230.1 pep chromosome:AWHD00000000:3:32263447:32263949:1 gene:ONIVA03G38230 transcript:ONIVA03G38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRITLELAAVVVVVAAAVAGSLPATTASATAYRVGDDSGWDNGVDYDAWAHGKRFKVGDTLDVEFLYAEGAHNVVVVEDEGSFEACVAPANAPTLSSGDDTVALNQAGRWLFICSFDGHCQSGMKLAVAVTH >ONIVA03G38220.1 pep chromosome:AWHD00000000:3:32256871:32259080:-1 gene:ONIVA03G38220 transcript:ONIVA03G38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFFPILHIIAKVNVNTKYFFVGQVEGAFVQGIGFFTNEEYATNSDGLVIHDSTWTYKIPTVDTIPKQFNVELINSARDHKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFAGAGGSPLTFQMDVPATMPAVKELCGLDVVESFLKW >ONIVA03G38210.1 pep chromosome:AWHD00000000:3:32240145:32246829:-1 gene:ONIVA03G38210 transcript:ONIVA03G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAAARPVVVTVNGERYEAVGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVVVSKYDAVADEVTEFSASSCLTLLGSLHHCAVTTSEGIGNSRDGFHAVQRRLSGFHASQCGFCTPGMCMSIYSALAKADKASGRPAPPTGFSKITAAEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKGVDDEHADINKLPAYSGGAAVCTFPEFLKSEIRSSMGQANGDTSAVVVTGDGWFHPKSVEEFHRLFDSNLFDERSVKIVASNTGSGVYKDQDLHDKYINISQIPELSAINRSSKGVEIGAVVSISKAIEILSDGGAVFRKIADHLSKVASSFVQNTATIGGNIIMAQRLSFPSDIATVLLAAGSTVTIQVAAKRMCITLEEFLKQPPCDSRTLLVSISIPDWGSDDGITFESFRAAPRPLGNAVSYVNSAFLARSSVDGSSGSHLIEDVCLAFGAFGAEHAIRAREVEEFLKGKLVSAPVILEAVRLLKGVVSPAEGTTHPEYRVSLAVSYLFRFLTSLANGLDEPENANVPNGSCTNGTANGSANSSPEKHSNVDSSYLPIKSRQEMVFSDEYKPVGKPIEKTGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKDINFRSSLASQKVITVITAKDIPTGGENIGSCFPMLGDEALFVDPVSEFAGQNIGVVIAETQKYAYMAAKQAVIEYSTENLQPPILTIEDAVQHNSYFPVPPFLAPTPIGDFNQAMSEADHKIIDGEVKLESQYYFYMETQTALAIPDEDNCITLYVSAQLPELTQNIVARCLGIPYHNVRIITRRVGGGFGGKAMKTMHVAAACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSDGKITGLHFDLGMNGGISPDCSPVLPVAIVGALKKYNWGALSFDIKVCKTNVSSKSAMRAPGDAQGSFIAEAIVEHIASTLSVDTNAIRRKNLHDFESLKVFYGNSAGDPSTYSLVTIFDKLASSPEYQQRAAMVEHFNAGNRWKKRGISCVPITYDVRLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAFALGQLCDDGGEGLIDKVRVIQADTLSMIQGGFTGGSTTSETSCEAVRKSCAALVERLKPIKEKAGTLPWKSLIAQASMASVKLTEHAYWTPDPTFTSYLNYGAAISEVEVDVLTGETTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGIGFFTNEEYTTNSDGLVINDGTWTYKIPTVDTIPKQFNVELINSARDHKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFAGAGGSPLTFQMDVPATMPIVKELCGLDVVERYLESFAAKA >ONIVA03G38200.1 pep chromosome:AWHD00000000:3:32238302:32241302:1 gene:ONIVA03G38200 transcript:ONIVA03G38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPNFFLSPFLSPSLSSPLLFPPLPSPSPLLSPNSPHRQKGRTAGKTAWGGGSPPSLPPLQIWPEGVGGRPAAGGRARGGGSIH >ONIVA03G38190.1 pep chromosome:AWHD00000000:3:32230061:32237798:-1 gene:ONIVA03G38190 transcript:ONIVA03G38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAAAARAVVVAVNGERYEAVGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVVVSKYDAVADEVTEFSASSCLTLLGSLHHCAITTSEGIGNSRDGFHAVQRRLSGFHASQCGFCTPGMCMSIYSALAKADKASGRPAPPTGFSKITAAEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKGVDDEHADINKLPAYSGGAAVCTFPEFLKSEIRSSMGQANGDTSAVVVTGDGWFHPKSVEEFHRLFDSNLFDERSVKIVASNTGSGVYKDQDLHEKYINISQIPELSAINRSSKGVEIGAVVSISQAIDILSDGGAVFRKIADHLSKVASPFVRNTATIGGNIIMAQRLSFSSDIATVLLAAGSTVTIQVAAKRMCITLEEFLKQPPCDSRTLLVSISIPDWGSDDGITFQTFRAAPRPLGNAVSYVNSAFLARSSVDGSSGSHLIEDVCLAFGPFGAKHAIRAREVEKFLKGKLVSAPVILEAVRLLKGVVSPAEGTTHPEYRVSLAVSYLFKFLSSLTNGLDEPENANVPNGSFTNGTANGIVDSSPEKHSNVDSSYLPIKSRQEMVFSDEYRPIGKPIEKTGAELQASGEAVYVDDISAPKDCLYGAFIYSTHPHAHIKGVNFRSLASQKVITVITLKDIPTNGKNIGSCSPMLGDEALFVDPVSEFAGQNIGVVIAETQKYAYMAAKQSVIEYSTENLQPPILTVEDAVQHNSYFQVPPFLAPTPIGEFNQAMSEADHKIIDGEVKLESQYYFYMETQTALAIPDEDNCITLYVSAQLPEITQNTVARCLGIPYHNVRIITRRVGGGFGGKAMKAIHVATACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSDGKITGLHVDLRINCGISPDCSPALPVAIVGALKKYNWGALSFDIKLCKTNVSSKSAMRAPGDAQGSFIAEAIVEHIASTLSVDTNAIRRKNLHDFESLKVFYGNSAGDPSTYSLVTIFDKLASSPEYQQRAAVVEHFNAGSRWKKRGISCVPITYDVRLRPSPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAFALGQLCDDGGEGLIDKFNVELINSARDHKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFAGAGGSSLTFQMDVPATMPIVKELCGLDVVERYLESFAAKA >ONIVA03G38180.1 pep chromosome:AWHD00000000:3:32219590:32229584:1 gene:ONIVA03G38180 transcript:ONIVA03G38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M28 family protein [Source:Projected from Arabidopsis thaliana (AT3G54720) TAIR;Acc:AT3G54720] MLSQPAAALARLPPASVRVLVGFGAALLVSLVVLHRRPAARGAAGGGGPAEYDPAARFLALSEGANATIAADLRALTAGPHLAGTGAAAGAAARVLSGFRAAGLRTLTREYTPLLSYPGHASLALLRADRTLLAHLSLDEPADVGRRLVRPYHAYAPSGGAVAEAVFVNLGREEDYLTLERLGVSVRGRVAVAIRGGGYRGGVVRRAAERSAAAVLIAGHADGGVERGTVILGGPGDPLTPGWAATAGAERLDFDHEDVKRRFPAIPSMPVSGKTASAIIRTLGGPALPADWQTGVGLPVDVGGVGPGPTLVNFTYQEDRKMGMIQDIFAIIKGYEEPDRYVILGNHRDAWTYGAVDPNSGTSALLDIARRLGIMLQSGWTPRRTIILCSWDAEEFGMFSKISFICVPFISFLHFEGSFFQIGSTEWVEENLEDLQSKAVAYLNVDCAVQGIGLFAGSTPQLDNLLVDVTRQVKDPDVEGKTVHDTWNKMTGGINIERLARTDSDFAPFLHHAGIPCMDLYYGKEFPGYHTALDSYHWMEKHGDPLFLRHVAIVEIWGLLALRLADDPVLPFDYQTYASQLQEHANAFSSMMENSKWVHLLNRSIEDLSDAGLEFLKEAKKLQDQNISDGYSLMRRRLLNDRLLLAERSFLQADGLQGRGWFKHLMYSPPEDYESKLSFFPGVADAISRSSNRSAKEQQAAVRHEQLPVQERGRAADSMAAEAYRRVQDPVYGCAGVINRLQDQIRAAQCELAWTHAQIAMHSAAAAHARTTLPPGQRDGGGGGAPSTQQATTSAAWQLEDFASEFSFP >ONIVA03G38170.1 pep chromosome:AWHD00000000:3:32211955:32212983:1 gene:ONIVA03G38170 transcript:ONIVA03G38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTPAPYVVEDCGPNLQLFSDGTVIRFEDYNILPPPVLPPALSTVQWKDVVYDAGRGLKLRVYRPPAATVAGEKLPVLVYFHGGGYVIGSFEMDNFHACCLRLAHELPAVVLSADYRLAPEHRLPAAHDDAATAMSWVRDQAVASGDAADPWLEESADFGRVFVSGDSAGAGIVHHVALRLGSGQIAVDPARVAGCALLFPYFGGEERTRSEAEYPPGPFLTLPFSDQGWRLALPRGATRDHPLANPFGPESPAMDAVALPPLLVVVAQLDLLRDRDVDYAARLRAMGKQVEMVEFEGQHHGFFAVEPLGDAGSELVRVVRRFVYGNGGDAAAAAAAASK >ONIVA03G38160.1 pep chromosome:AWHD00000000:3:32204837:32205518:-1 gene:ONIVA03G38160 transcript:ONIVA03G38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSTPAPYVVEDCGPNLQLLSDGTVVVATGDPWLSESSADFGRVFISGDQAWRLALPQLGLIRVQLLKKMPALGGDDGETAATGSTDGAYERGGGGACGSKVLTARREWDDSTRTGCNRFMASIVSN >ONIVA03G38150.1 pep chromosome:AWHD00000000:3:32201451:32202863:1 gene:ONIVA03G38150 transcript:ONIVA03G38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSTPPSWCLLLQKVHCSDYIDGDYAKKQGLLSLDLLCESKRAWGFDDSDLVDGIDTESMMEYVKVQVRVTDAPNLSFLTMTLGGDEEEKAVFPILHAMDRNLLVFDLSFPDKIDGVYLIYDTIGKTLSMIPALSSLSSPDGMAHTTQVLIARRHAAVDDGSYALALLGKMGVVDKPGEMPVISWPDVIYQWRPSSSISPWKLIKNANLPQQWMADKSAFSADMAFSFEGHAFWVDLIHGVLFCSCADLLSDDVKDVDFDSIDLPLDCLKFTPHSWTMAECQAYRTVGCTGNSIKLISMHFSGCVKRGAPKVTVWRLEVYAKIWVKEHVLNLKTLWTQPAFLAANLPMDMAAMYPVLSMHEEHVICFMLGEYDLSASGVVLPSNVRHFLRVDMLSATLVSSAPIPSAYSYAPVVVPSDLTSYIPPTAAAAFPTVAPTVSTAAIATVVSPPNVPPSPASNAFALPDKAP >ONIVA03G38140.1 pep chromosome:AWHD00000000:3:32188429:32190190:-1 gene:ONIVA03G38140 transcript:ONIVA03G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFPSWSVLDGDASRDAAAYADQRPPLRCLRLATKSRHAWGFDALGDNVQAGFDAESLVWCLDLEARIADAPKLSSFSLRGGESSSGERLFPFVHAVDKNIVVFTSDLPQAPCSAYIIYDTIGKVLSMIPCEPSCCEVSRTTRLLIARRHPGYNDQSYALVLMAKMPKFAGEDDAKFAGGDDAKGKGKMPEFAEEDVLTGDEEDVLTGEDVFLGKAKKVEFAGGEDDGDNDINWQDVLLLWPSSSSSPWELTKTANLPNQWLDDESSFVADLTFSFEGHGFWADLLCGVLFCSCDDLLSDKVDRVDFSFINLPMGYQADVRYTGQVAAPEVYRTMGYAGGSIRFISIDGFLEYINPGDRYVTLWRLLLKSNTWVKEYEISLKELWNQQEFCNANLPMSMTPMYPILSSLEEHIIYFMLGEFNQDRDGIAFPVGAYYLLQVDMSCGRIVSSAPLPSACSLAPVVGGSDFISHLPHDTLYDRDVLSSVVSQSMSGCYQFGPATGMKGLQSGGGLGLLGNCSNLEGRLLVTILKGKF >ONIVA03G38130.1 pep chromosome:AWHD00000000:3:32184653:32187880:-1 gene:ONIVA03G38130 transcript:ONIVA03G38130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVCRSEVASKKIGSSALAFPSFGNDLHAPNYSGRCHVPIELSRDQCHSVYIPVQLVCPSATFKLLALHALLYACIAYLMPFLDDIMCSMLRYIQNFILLAHNKDKITHAMNGPLIYFMTQLIISIHFIRSAMLRHCNHCTGIVFQLPAAMREVLSS >ONIVA03G38120.1 pep chromosome:AWHD00000000:3:32177428:32182453:-1 gene:ONIVA03G38120 transcript:ONIVA03G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPAAKRNLGKAPIVDDSDESDCKGHIKKIDMESEKKKAKKKREEEEPKSKIKSEEKIKTSRSKQGMKFTEPVRIGPLEKKGIRIMPYAEPNENSKSITQGSKEGNNKTIQSGTNIHQLQDGERSLKESERNKIATKHTEETSSSITQITGIGTTQSPQSPALLHDELTMLKSHTTPVAQLSYTSLMHQVINSPRINIEPNMNSMILTTSRVCEQDMLLEDRNNDLLIPSKHYSYQEFDEIYNSARITPEGIPTSWATQNRDQEDFESDQVCKK >ONIVA03G38110.1 pep chromosome:AWHD00000000:3:32169065:32174262:1 gene:ONIVA03G38110 transcript:ONIVA03G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPAAKRNLGKAPIVDDSDESDCDGELSRRELDDIIYEIFRKEVVKDVKKEILNRKSCSHAFRYAKDNKLKEAAKIDSSFTRFSTKYLCRVISALSPHQKTIIQGAGFQNLLEFNSNYVPNKFATWIAKHVDFKTSQIILRDKVISVTKQTVCDIFGLPSGGLEFGKDFEAGKEYILSMYGLSCLPSVRFFGDQFIKKEPLTNEKVITSFLIVALACFLCPNSSILPSTKYLTIFQDVNNLRNYDWSKFIYDWSMNYMKKFVKTNSLGGCLYMWAVVYLDNVEFGDNNVSNEIPRICVWKSDMIHAYSEFDKIDDDTFGLRPLRDFKSTCYFQPQPCDERRISFQQKLDCALQNMLPIYMKEKICSMFDSHCTSLHTIDDSSCGDLLISVLAMIGEASCNESDQNVVIEENVIENVGTSKADDDIGISSAGISALYFVNAFVLYAEVHVPNDNVLPHSPIHNAFHGNEDAFVSKSAVELNDSRQCDDDLNFVTAQVGNANHSKQSVDDLLDGSGIAAAAAAIHRVAKKFRSRFNDYGNVKNIFNQSRPLFSLLDSEDDVSDYEHVFSVQNEDEGSENVSPSSTQPFISFQSLPETPDNDTCNTVINENPGTSAAHNSQNSNKRLFKDVTNSPDVVCLGENKICDSSKRMCVKAEQLYNSTNQLNKYIRGMSSSGGKLPVHGPRRVLVPARHASDPFVFSPRRRFTVSDQENRYYIAICRLSDSSKWQSYYAVDIDNVKAKFYSFGHSLKKNCIVSPYVISVFCRVLFQDSHPSKSKRNYFFPSIGAELINDISDKGLEKVKKSFEGATNARKLHLCDMLYFPILHLQHWFLFIVDLKDRMLVILDCVYHEGDDFYEPIMTQLINNLQTFWDKFECSPMNFSNFKLKFPSVPAHISSADSGIFVMKSMELWSPRYANRIFFHPSNKLLSTEVEDVVLNWFDPAKFPRVDTPGAA >ONIVA03G38100.1 pep chromosome:AWHD00000000:3:32152094:32163509:1 gene:ONIVA03G38100 transcript:ONIVA03G38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRDGGGGRGDPSGGGGGGGAGAPPYRPAAGSVWSLPGMTPRPPGPPPKYQQPGHQPAVVYRAPSAKEVEQKLFVSETALAPPAAAASASAGEAPVSKKGLAHPARRPGSERPGTSVSAVQVNVSINPESKSRATNREVLNELIKLHGKTSLGGKLPAYDGRKSLYTAGSLPFESEEFVVKLIDPEKKDKERAEREYKITIRIAGRTDFYHLQQFLLGRQRDMPQETIQVIDVVLSYVTVSRSFFSTQFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETNHQEDQIRRYKITGITPIPMSQLMYLSFLCFCYNYLFVYMLFPVDDNGTRKTVVQYFWDRYNYRLKYASWPCLQSGSDSRPVYLPMEVCKIVEGQRYSKKLNNKQVTNILRATCQRPQQREQRIHEMVLHNKYTDDRFAQEFGIKLKYHDSGREKTCAPSVGQWNMINKKMINGGTVDNWTCLSFSRMRPEEVQRFCGDLIQMCNATGMSFNPRPVVDVRSSNPNNIENALRDVHSRTSELLAREGKGGLQLLIVILLEVSGSYGKIKRVCENDLGIVSQCCLPRHASRPNKQYLENVALKINVKVGGRNTVLERAFIRNGIPFVSEVPTIIFGADVTHSPPGEDSASSIAAVVASMDWPEITKYRGLVSAQSHRQEIIEDLFSVGKDPVKVVNGGMIREFLIAFRKKTGRRPERIIFYRDGVSEGQFSRVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVKDCQICHRTEFYFYLCSHAGIQGTSRPTHYHVLYDENHFTADELQTLTNNLCYIYARCTHAVSVVPPAYYSHLAASHAHCCIKGHSSGSGSTPGNEHDIVKNSAPTLQILVKVLDFQIVPLTMKLKSSAEDIVALALSKHRVSLHDVYVYHGRRVIAKSLTLESLKADRDSTFLIMPRMRGGCNDTIGGFKCIPLEQHIRSLGDSLFEIIWIPPDLRVSGFCSYLIILGKPARKIICQLLKLLEIIHAANRFASRFTIADLVFLPDLGCIAFKKGVKIRWNLRREEYKLNMGDVASIISCWFRFNRRKLEALEAGIHELRPGQGDSPMFVDILVKDLRSPTHETGLSANYRGFYKNCSALRSCSAHMNLFTSLDIHKDFMVGSADWGNFVKALGDIKLPGWYRTAMRSPEMRKILFFEFNDPHTGELRGKRYRALSVFSWLEFARIFIKHMKKGLCTDKQATALLCVIFSNIVPVVEKKLTYSYRPPAKEKSNESFTVEEILDPS >ONIVA03G38090.1 pep chromosome:AWHD00000000:3:32142796:32149711:1 gene:ONIVA03G38090 transcript:ONIVA03G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLSSSSRKPRNVVDRPKRPNRSLVLGAPFALTRKETTRRLPKYKKEEKPCTWASRFVSSPHPTSVFVPPALSLLWLTTLRFKAAGELDHDSIALSELEPWMVAGSGKLEAAALDLSQQTTDPWQGGAGRYSGEPATSARVVLRGPMDELKSSMSERAQGCRQ >ONIVA03G38080.1 pep chromosome:AWHD00000000:3:32142769:32144700:-1 gene:ONIVA03G38080 transcript:ONIVA03G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIGDPSPSSRRSVKRRPPARSPELSPKAWGGEAPELIRRLEELEEAAARLRGEKEAAEEAARELQAELDAERASAETATSEAMLMIERLQREKAAAQMEARQFRRYAEGREDREREVQEELASLSDLAASYHSRLQSHGIDPDSFSDDGEEEQHDEEDGEEVEQIDTAALQTDGSSGGDSIGGMQVKAMVDDDEEEQFTPVEKEFEYTVDVRCASSTTKVSGAVVVEEFMGEGNAAAGGLYARVEALEADRAAMRREIAALRAERAQLVMARAMARRLCREVVAEQKAKKVAASPRSFSVLGVCKVYLRSVNYVPWLPTAARQIHHVESLASSA >ONIVA03G38070.1 pep chromosome:AWHD00000000:3:32140628:32141131:1 gene:ONIVA03G38070 transcript:ONIVA03G38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTVAMPSSSSSSRRGGLRGPRPLPLKVSSSSRGSSPSSSASKWASKKAAAAPVIVYEHTPKVVHARPQEFMTVVQRLTGKPPAAPPVMMPAYDAPAMVEQGAAAACGAGGDPLLLTLGQRQAAVSAPAQPPAVPSPMMAAGMLLSPGFVFSPNTMQSIQELSPLF >ONIVA03G38060.1 pep chromosome:AWHD00000000:3:32134086:32137962:-1 gene:ONIVA03G38060 transcript:ONIVA03G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVGPSAAGQNGFFANVALWRPRPADAAPPALPPPSSAPSDQAPEPVTIPPSEHSSHHSSRSTDPSTPTSAAEQPANKAAPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGREFACKSIAKRKLLTQEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMQLCAGGELFDRIIQRGHYSEKAAAQLARVIVGVIEACHSLGVMHRDLKPENFLFIHQKEDSPLKAIDFGLSIFFKPGETFTDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPNISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDTDNSGHITLEELKTGLQRVGANLMDSEIDALMEAADIDNSGTIDYGEFIAATLHINKVEKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRIEDIIGDIDQDNDGRIDYNEFVEMMQKGNNAMGKMGQHSTGNFGLGEALKLRCC >ONIVA03G38040.1 pep chromosome:AWHD00000000:3:32126924:32129694:-1 gene:ONIVA03G38040 transcript:ONIVA03G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKVKMESKLSSAAAFVEGGVQDACDDACSICLEAFCDNDPSTVTSCKHEYHLQCILEWCQRSSQCPMCWQPISMKDPMSQELLEAVEQERNIRANRLNTAAVFHHPVLGDFEVPVGADDAELEERIIQHLAAAAAMRRSHRHGRRDGHRSRSGSHSRPQIVVFSRNEAIPGGSLHASSGQDEDHEQSSDLGSAHPFAALAAVDQGHMSGGSQLYVGHSDQGASNPSLHDERAMSRYKDSITKSTRGWKERWFSRSNTISGLGTEVRREVNAGIAAVSRMMERLETKDDTGPSAVPAASACSPSDANNQRTVSPNHAAVVNETSSTTCASGSGSQ >ONIVA03G38030.1 pep chromosome:AWHD00000000:3:32122339:32125538:-1 gene:ONIVA03G38030 transcript:ONIVA03G38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVCGALVCFFVLLVARAAAETAPVERPTLPSADVAIDGNGNGARAGAGKAAGAGAVGGGIVSNSVLVALLDSRYTEMAELVEKALLLQALEDAVAEHNVTILAPRNEALERDIDPEFKRFLLEPRNLNSLQSLLLFHVLPARLPSGSWPAAATHPTLAGEDVELAAADGGGGMRVAHAAVSRPDAVVRTDGVIHGIDRLLVPRSVQDEFNRRRSLADISAMPPTAAPEVDPRTDRLKKPAPPGDSPVLPISDATVPDPSVALASEPSDHYDGDSQVKDFIQTLLKYGGYNELADIFVNLTSLATELAQLVSEGYALTVLAPNDEAMARLTADQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGHGEGSAYLFDPDIFADGRISVQGIDAVLFPPAETKTKTKPATARIRTAPAITGVSKIKHRRGKLLEAACQMVGILGQRSQFTRCHKSGGQYKQVPVGADDAELGERIIQQLAACALSLLPC >ONIVA03G38020.1 pep chromosome:AWHD00000000:3:32113264:32116256:-1 gene:ONIVA03G38020 transcript:ONIVA03G38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVRGALVFLLLLAGAAAETAPEEPTLPSAAADGAASSGTTSGAGAGTGINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQSLLLFHVLPARLPSGSWSAVSHPTLSGEEVELAAAANDGAMRVAHAAVTRPDAVLRPDGVIHGIERLLVPRSVQEDFNRRRSLADISAVLPTGAPEVDPRTHRLKKPAPPVPPGAPPVLPIWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGHGEGSAYLFDPDIYTDGRIAVQGIDAVLFPPDDTKTAPDTAPVRRAPAVTGTRKKNKLRRGKLLEATCQMAGILGQRLRFASCQ >ONIVA03G38010.1 pep chromosome:AWHD00000000:3:32107690:32111597:1 gene:ONIVA03G38010 transcript:ONIVA03G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGFFQSVSTVLWKARQDGDDALPGANGAPDGGGQGRLPAPPPPTSDAPLAVQNKPPEHVKIVSTTDTASAEQDASKSSAGSDSGEAARPRPRVPPVKRVSSAGLLVGSVLKRKTESLKDKYSLGRKLGQGQFGTTYLCVERATGKEFACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIRGAYEDAVAVHLVMELCAGGELFDRIVQKGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFADQTEEAALKTIDFGLSIFFRPGQVFTDVVGSPYYVAPEVLKKKYGQEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGRLDFQSEPWPSISEGAKDLVRRMLVRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDTDNSGQITFEELKVGLKKVGANLQESEIYALMQAADVDNSGTIDYGEFIAATLHMNKIEREDHLFAAFQYFDKDGSGYITADELQLACEEFGLGDVQLEEMIREVDEDNDGRIDYNEFVAMMQKPTMGLPAKKSGGLQNSFSIGFREALRMS >ONIVA03G38000.1 pep chromosome:AWHD00000000:3:32099447:32106466:1 gene:ONIVA03G38000 transcript:ONIVA03G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPYGGVGGKIRRRPASRAAATPYERPPAAAAARRLAAAAAAAAAEAEDAYPPQGGGGGGGWVSRLVDPASRLIAGGAARLFSTVFRKRLDPAPAPAPPKSTPPGSDNEPNQGLPESTQTGSKPILEKGKNPTGASDNKALSEVEHLVEFDRLTDLLRARTVESDLSAPADNLDNKNEARNTMDGIGGSTSHGMAADHSIAADDPVCGASSPAELAKQYMNSRYSKENRPNSLRSQVLLKNKAEASNIAYDRRRPGGPFVQELSQFSNENSELPVNGYVTPGLHGRSAIYRMSCSPFFKGPSSSNDINMSPFSSSQTRANSLVSGCRQVLKRRGAELENELGSIGPIRRIRQKSNMMSTFRDARSSPRGNFLPSRTIGSDLTDGGSPIRDSPSSKRLLLGTGQSVEPAEARRNDEDGKISSDNVLAASPQSNKMAEKIFEQLNIIVPSPKEKLSLPQFAAGNASCSMSKQPVRQGNEPNGTSDPSSSQKFQPMDSVKHSLDPELNGSPSSKDKLRKDGSSKLLSHSFKDLGNKDIRSDNVALSSVAATTSSKPGFKMAVFEDLPEFDDDQEAPIPSKNSMGKTEVKTTDKKTDLKKEQKVEPILFKQKVESNSVQKAVSSPVSEKPIASALKDARPLGLFSPNDPENRATHDVPSDNNNGFKFPHVPSGTLLESSVSQVPLASNKDDKLISASSSIFGLKQSSTPDSEQTNTADVKTEARLGESVTKPTTLDITNLEGGNERERTEDVHKSSDKVLPSAAPFHFASAASTTASLSNGFSLPSSSKLSNVTPIDKPEVSLAPSTVSTTFAPSSTSPPVSSPIPAIPTFNFGSSTSMVAATKSDSTNTVAKPASSLLFGTGDAIAEAKSTAQDTANKASSNLTSNDGIASTTSASTAPFTFSSSGNNTFGFNSPAQPAGFSTSVDGSTTQPSATSTIFGSKLPQSEGTMPQPSKSSPVQFSSPFQTVTTTTGASSSGSGSVAFGVGTASTGSGITSFGTGASSSWPSTVSFGLGASSSGTGAGTSSSGPGTVSFGAGTSSGPGTVSFGVTTSSSGSLFGNSPFGSGTTFSGPGSGFAFSSPSSSAGSSLTMASTSMFSTSSTASSSPAFSNPFGSSSSPPSMFTFGQSASSGGGFSFGAQSSPAFSSQAPVFSFTSASMNSSTPQPAFGMTNANTSFGMGSPGNDQMNVEDSMADDTNQAAPAPAPIFGSSPFGQPASSPAAPVFGAPAVPSAGVFQFGGQQGSMQQNAAFPPAGGSLEFQGGNFSLGSGGGGGDKSSRRVIKVKRNPKKR >ONIVA03G38000.2 pep chromosome:AWHD00000000:3:32099447:32107156:1 gene:ONIVA03G38000 transcript:ONIVA03G38000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPYGGVGGKIRRRPASRAAATPYERPPAAAAARRLAAAAAAAAAEAEDAYPPQGGGGGGGWVSRLVDPASRLIAGGAARLFSTVFRKRLDPAPAPAPPKSTPPGSDNEPNQGLPESTQTGSKPILEKGKNPTGASDNKALSEVEHLVEFDRLTDLLRARTVESDLSAPADNLDNKNEARNTMDGIGGSTSHGMAADHSIAADDPVCGASSPAELAKQYMNSRYSKENRPNSLRSQVLLKNKAEASNIAYDRRRPGGPFVQELSQFSNENSELPVNGYVTPGLHGRSAIYRMSCSPFFKGPSSSNDINMSPFSSSQTRANSLVSGCRQVLKRRGAELENELGSIGPIRRIRQKSNMMSTFRDARSSPRGNFLPSRTIGSDLTDGGSPIRDSPSSKRLLLGTGQSVEPAEARRNDEDGKISSDNVLAASPQSNKMAEKIFEQLNIIVPSPKEKLSLPQFAAGNASCSMSKQPVRQGNEPNGTSDPSSSQKFQPMDSVKHSLDPELNGSPSSKDKLRKDGSSKLLSHSFKDLGNKDIRSDNVALSSVAATTSSKPGFKMAVFEDLPEFDDDQEAPIPSKNSMGKTEVKTTDKKTDLKKEQKVEPILFKQKVESNSVQKAVSSPVSEKPIASALKDARPLGLFSPNDPENRATHDVPSDNNNGFKFPHVPSGTLLESSVSQVPLASNKDDKLISASSSIFGLKQSSTPDSEQTNTADVKTEARLGESVTKPTTLDITNLEGGNERERTEDVHKSSDKVLPSAAPFHFASAASTTASLSNGFSLPSSSKLSNVTPIDKPEVSLAPSTVSTTFAPSSTSPPVSSPIPAIPTFNFGSSTSMVAATKSDSTNTVAKPASSLLFGTGDAIAEAKSTAQDTANKASSNLTSNDGIASTTSASTAPFTFSSSGNNTFGFNSPAQPAGFSTSVDGSTTQPSATSTIFGSKLPQSEGTMPQPSKSSPVQFSSPFQTVTTTTGASSSGSGSVAFGVGTASTGSGITSFGTGASSSWPSTVSFGLGASSSGTGALLFGAGASSSGTGALPFRAGASSSGTGALSFGAGAGTSSSGPGTVSFGAGTSSGPGTVSFGVTTSSSGSLFGNSPFGSGTTFSGPGSGFAFSSPSSSAGSSLTMASTSMFSTSSTASSSPAFSNPFGSSSSPPSMFTFGQSASSGGGFSFGAQSSPAFSSQAPVFSFTSASMNSSTPQPAFGMTNANTSFGMGSPGNDQMNVEDSMADDTNQAAPAPAPIFGSSPFGQPASSPAAPVFGAPAVPSAGVFQFGGQQGSMQQNAAFPPAGGSLEFQGGNFSLGSGGGGGDKSSRRVIKVKRNPKKR >ONIVA03G38000.3 pep chromosome:AWHD00000000:3:32099447:32107156:1 gene:ONIVA03G38000 transcript:ONIVA03G38000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPYGGVGGKIRRRPASRAAATPYERPPAAAAARRLAAAAAAAAAEAEDAYPPQGGGGGGGWVSRLVDPASRLIAGGAARLFSTVFRKRLDPAPAPAPPKSTPPGSDNEPNQGLPESTQTGSKPILEKGKNPTGASDNKALSEVEHLVEFDRLTDLLRARTVESDLSAPADNLDNKNEARNTMDGIGGSTSHGMAADHSIAADDPVCGASSPAELAKQYMNSRYSKENRPNSLRSQVLLKNKAEASNIAYDRRRPGGPFVQELSQFSNENSELPVNGYVTPGLHGRSAIYRMSCSPFFKGPSSSNDINMSPFSSSQTRANSLVSGCRQVLKRRGAELENELGSIGPIRRIRQKSNMMSTFRDARSSPRGNFLPSRTIGSDLTDGGSPIRDSPSSKRLLLGTGQSVEPAEARRNDEDGKISSDNVLAASPQSNKMAEKIFEQLNIIVPSPKEKLSLPQFAAGNASCSMSKQPVRQGNEPNGTSDPSSSQKFQPMDSVKHSLDPELNGSPSSKDKLRKDGSSKLLSHSFKDLGNKDIRSDNVALSSVAATTSSKPGFKMAVFEDLPEFDDDQEAPIPSKNSMGKTEVKTTDKKTDLKKEQKVEPILFKQKVESNSVQKAVSSPVSEKPIASALKDARPLGLFSPNDPENRATHDVPSDNNNGFKFPHVPSGTLLESSVSQVPLASNKDDKLISASSSIFGLKQSSTPDSEQTNTADVKTEARLGESVTKPTTLDITNLEGGNERERTEDVHKSSDKVLPSAAPFHFASAASTTASLSNGFSLPSSSKLSNVTPIDKPEVSLAPSTVSTTFAPSSTSPPVSSPIPAIPTFNFGSSTSMVAATKSDSTNTVAKPASSLLFGTGDAIAEAKSTAQDTANKASSNLSTTPISSNISSSPVTSSSAFSSIATFSSSTVAASNDGIASTTSASTAPFTFSSSGNNTFGFNSPAQPAGFSTSVDGSTTQPSATSTIFGSKLPQSEGTMPQPSKSSPVQFSSPFQTVTTTTGASSSGSGSVAFGVGTASTGSGITSFGTGASSSWPSTVSFGLGASSSGTGALLFGAGASSSGTGALPFRAGASSSGTGALSFGAGAGTSSSGPGTVSFGAGTSSGPGTVSFGVTTSSSGSLFGNSPFGSGTTFSGPGSGFAFSSPSSSAGSSLTMASTSMFSTSSTASSSPAFSNPFGSSSSPPSMFTFGQSASSGGGFSFGAQSSPAFSSQAPVFSFTSASMNSSTPQPAFGMTNANTSFGMGSPGNDQMNVEDSMADDTNQAAPAPAPIFGSSPFGQPASSPAAPVFGAPAVPSAGVFQFGGQQGSMQQNAAFPPAGGSLEFQGGNFSLGSGGGGGDKSSRRVIKVKRNPKKR >ONIVA03G37990.1 pep chromosome:AWHD00000000:3:32095478:32098654:1 gene:ONIVA03G37990 transcript:ONIVA03G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHRRFPVFLAAALLTLLLLPASAAATDVEYCRQGRDYPVKVSGVEIVPDPVVSGQPATFKISASTDKSITKGKLVIDVKYFFFHVHSESHNLCEETSCPVTGEFVLAHEQTLPSITPPGSYTLTMRLLDDGNKELTCISFGFSIGFISPLALI >ONIVA03G37980.1 pep chromosome:AWHD00000000:3:32092173:32092799:1 gene:ONIVA03G37980 transcript:ONIVA03G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAPPGAPGMMTPGDGNSVGMFSSDRIGGFGYGVGVSVGILLLITTITLASYFCTRAPVTAADAAAAAGSSRRHRGGGGGGGGGGDGAGHEHDDVELGIDEATLKGYPEVVYGEARKEAAKAASAAATKKGGATTCSCCSICLDNYGDGDVLRMLPECGHLFHRECVDPWLRQHPTCPVCRTSPLPSPLPTPLAEVTPLAMARPS >ONIVA03G37970.1 pep chromosome:AWHD00000000:3:32087985:32088786:-1 gene:ONIVA03G37970 transcript:ONIVA03G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAALVARLARDVSAARDAARLAAAAASRAARSSAVASSNGPEPADDETDKDFETDLVDSVTDKDFESDEAIWAFYERWCKAYDKERDHAEMAHRFKIFKETAELVHRSNKDAPEEEKLCFGPYCDGFDEQQRAEFLLKFGHFHGIHEFVEQWKIDFPNPRKIDSPNQSP >ONIVA03G37960.1 pep chromosome:AWHD00000000:3:32087242:32087511:1 gene:ONIVA03G37960 transcript:ONIVA03G37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTADPRASARWCGSALGCAGANSGRGVAPARTAVSGGVEAGIGHEGNRCWRWKRSRLPQLNPSCLWAVRASLMEWLFLTFQIPILPA >ONIVA03G37950.1 pep chromosome:AWHD00000000:3:32079981:32086549:-1 gene:ONIVA03G37950 transcript:ONIVA03G37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAALVASLARDAARAAARLAAAAAPHDGHEPGAFLTNFDESADDFTHKDFESDEAIWAMYERWCKAYDKKRDLAEMTHRFKIFKKNAEALHRSNEGASKYEKIYCGPYCDGFDEQEKAEALLKFRHFPRPGAFLTNFDESADDFTHKDFESDEAIWAMYERWCKAYDKKRDLAEMTHRFKIFKKNAEALHRSNEGASKYEKIYCGPYCDGFDEQEKAEALLKFRHFPRVCEYVESFKIDFPKSREIDSPNQS >ONIVA03G37940.1 pep chromosome:AWHD00000000:3:32077615:32077977:-1 gene:ONIVA03G37940 transcript:ONIVA03G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPAPLLLLSSISLRSPFLMRARRLEATAAATRSSRLVPPPATASTGDDGRPSRRIHRRGGLGPSDLAASDLHGDGLTATAGCRCDKCPSSPLLSLGGLAGGSIGVEVGDRRIWEMRW >ONIVA03G37930.1 pep chromosome:AWHD00000000:3:32076573:32078245:1 gene:ONIVA03G37930 transcript:ONIVA03G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHQQSTRVIHYHHCSFFWCGRKNGTRWRHHRISQIRLSPTSTPMDPPAKPPRESRGEEGHLSHLQPAVAVSPSPWSRGAGDVAMPAAGSRRGGARLLQPARHRTASEQEEESPGSGATKHGPSGIGRRMPEPGGTGAGVEGGGSATVGEGWSRWWICAGDTKRDEESQAQRE >ONIVA03G37920.1 pep chromosome:AWHD00000000:3:32070318:32074483:1 gene:ONIVA03G37920 transcript:ONIVA03G37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEVLGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGPGGGMHDPFDIFSSFFGGGFGGGSSRGRRQRRGEDVVHPLKVSLEELYNGTSKKLSLSRNVLCSKCNGKGSKSGASMKCSGCQGSGMKVQIRQLGPGMIQQMQHPCNECKGTGETISDKDRCPGCKGEKVAQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLNLTEALCGFQFVLTHLDNRQLLIKSKPGEVVKPDSFKAVNDEGMPMYQRPFMKGKLYIHFSVEFPDSLNPDQCKALETVLPPRPVSQYTDMELDECEETMPYDVNIEEEMRRRQQQQQQEAYDEDEDMHGGGAQRVQCAQQ >ONIVA03G37920.2 pep chromosome:AWHD00000000:3:32070318:32074483:1 gene:ONIVA03G37920 transcript:ONIVA03G37920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEVLGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGPGGGMHDPFDIFSSFFGGGFGGGSSRGRRQRRGEDVVHPLKVSLEELYNGTSKKLSLSRNVLCSKCNGKGSKSGASMKCSGCQGSGMKVQIRQLGPGMIQQMQHPCNECKGTGETISDKDRCPGCKGEKVAQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLNLTEALCGFQFVLTHLDNRQLLIKSKPGEVVKPDSFKAVNDEGMPMYQRPFMKGKLYIHFSVEFPDSLNPDQCKALETVLPPRPVSQYTDMELDECEETMPYDVNIEEEMRRRQQQQQQEAYDEDEDMHGGGAQRVQCAQQ >ONIVA03G37910.1 pep chromosome:AWHD00000000:3:32061532:32066810:-1 gene:ONIVA03G37910 transcript:ONIVA03G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLSRQRGARKVKRKKSAVFVSVEGRGAMGISARWLKSLVGMRKVEKQQQQSKEDGDGGRVAQKRDGANHFHCQNQHGQDHDNLGAPEEFPDENGPSEGDSNALSCSEPAFSSPNVPVPQTEEELKEIWAATVIQTVFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRIALESQTDQQAILQEKINETHVREIEDGWCDSIGSVEDIQAKLLKRQEAAAKRERAMAYALTHQWQARQHAAITAFQPDKNSWGWNWLERWMAVRPWESRFLGSYAADGIPVSSGAMQDEENAVYTPHKKHVRRQTSTLHSNILNQKTCLPNSEGGGSSSNRSGGSASAKSKLKLSSREGCDEISSRPSGLGTRSSSNPKERTGHLDPQGNKRFSLPASCVEAGKRMTNKSAVNRSLKSLCLAIRGPTTLPPTPISLSPSHPQEGSDGNRTTTTARRSEAPEPTAPAAAGRARRRRPRRLGTARRRRHGGARRRSQPRRPPPSPASSPAAPAAAVCAYRRAPALSPAAPAAAIPSRDCRRCPRRPPPLSPAVSGVCRGREREEEEEGEKDEEEWIEVHKAHEDYGA >ONIVA03G37910.2 pep chromosome:AWHD00000000:3:32062341:32066810:-1 gene:ONIVA03G37910 transcript:ONIVA03G37910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLSRQRGARKVKRKKSAVFVSVEGRGAMGISARWLKSLVGMRKVEKQQQQSKEDGDGGRVAQKRDGANHFHCQNQHGQDHDNLGAPEEFPDENGPSEGDSNALSCSEPAFSSPNVPVPQTEEELKEIWAATVIQTVFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRIALESQTDQQAILQEKINETHVREIEDGWCDSIGSVEDIQAKLLKRQEAAAKRERAMAYALTHQWQARQHAAITAFQPDKNSWGWNWLERWMAVRPWESRFLGSYAADGIPVSSGAMQDEENAVYTPHKKHVRRQTSTLHSNILNQKTCLPNSEGGGSSSNRSGGSASAKSKLKLSSREGCDEISSRPSGLGTRSSSNPKERTGHLDPQGNKRFSLPASCVEAGKRMTNKSAVNRSLKRREPHDDDGTEERGAGANRAGRRRPRPPPSSATARNRTTATAWRSEAPEPTTPAAAVACVVPSRARRRRLRLPPRARAVPSRARRRHP >ONIVA03G37910.3 pep chromosome:AWHD00000000:3:32062341:32066212:-1 gene:ONIVA03G37910 transcript:ONIVA03G37910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGISARWLKSLVGMRKVEKQQQQSKEDGDGGRVAQKRDGANHFHCQNQHGQDHDNLGAPEEFPDENGPSEGDSNALSCSEPAFSSPNVPVPQTEEELKEIWAATVIQTVFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRIALESQTDQQAILQEKINETHVREIEDGWCDSIGSVEDIQAKLLKRQEAAAKRERAMAYALTHQWQARQHAAITAFQPDKNSWGWNWLERWMAVRPWESRFLGSYAADGIPVSSGAMQDEENAVYTPHKKHVRRQTSTLHSNILNQKTCLPNSEGGGSSSNRSGGSASAKSKLKLSSREGCDEISSRPSGLGTRSSSNPKERTGHLDPQGNKRFSLPASCVEAGKRMTNKSAVNRSLKRREPHDDDGTEERGAGANRAGRRRPRPPPSSATARNRTTATAWRSEAPEPTTPAAAVACVVPSRARRRRLRLPPRARAVPSRARRRHP >ONIVA03G37900.1 pep chromosome:AWHD00000000:3:32059432:32061370:-1 gene:ONIVA03G37900 transcript:ONIVA03G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATLSSRSRRACAEAASVLLVLLVIAPSVAAGGDTNGVYEPCADAAVQRGDGFTFGVAFAARGDFFSGGVQLSPCDGRLSLASKGAKLAVFRPEVDEISLLTVNTSAAGGFDPATSGGYMVAFAGRKYAARSPPVFVSNSSYTVTSFTLVFEFNKGTLQNLYWKANGCSACSGQPSFTCVDQSCAISTANCTGKGGSVDCSPGIQLAFSGTDKHEAVLNSWYEVSKLRQYSLVGLFSNLKDSLTSQFSIFF >ONIVA03G37890.1 pep chromosome:AWHD00000000:3:32057509:32058501:1 gene:ONIVA03G37890 transcript:ONIVA03G37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFSSSWKRTGGGGGGDGDIESGGGVEMAPPPGAAAGASLDRFFEDVESIKDELRDLERIQRSLHDANEGGKSLHDAAAVRALRARMDADVAAAIKKAKVVKLRLESLDRANAANRSVPGCGPGSSTDRTRTSVVAGLRKKLRDSMESFSSLRARISSEYRETVARRYYTVTGEQPDEATLDNLAETGEGERFLQRAIAEQGRGEVLGVVAEIQERHGAVAELERSLLELHQVFNDMAVLVAAQGEQLDDIETHVGRARSFVDRGREQLVVARKHQKSTRKWTCIAIIILLVLILVVVLPIVLKFVNNNKSSSSSPAPATPSPPPPTA >ONIVA03G37880.1 pep chromosome:AWHD00000000:3:32055944:32057371:1 gene:ONIVA03G37880 transcript:ONIVA03G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKQAVLDQSLGAQSGRPRRATTRHRVIERRAGSAHEGGLDWAALASRDATRPRRGHLISLLPPTFPIPPPRATSRRHFNFILA >ONIVA03G37870.1 pep chromosome:AWHD00000000:3:32040858:32052339:1 gene:ONIVA03G37870 transcript:ONIVA03G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16280) TAIR;Acc:AT5G16280] MDPLRSYLGRLLLEEITPVVMVLTTPLAEAACRKSGLSVVDMLSPFSLFKKIDGDSPPRCSPLPIIVCVTLVAFHSIGFCDLMGNPLYAVPVRTASDQPYRLQMFKIRMVYASDVRKQDYEVADQRIKPVVSEANESALPDLLSDPPQLEDVLSKPEAELCPLWIKKFNRALMRTLSFSEHETFDHPVACLLVVSSKDNEPISKFVDLFNTNQLPSLLNEGIMDPQILKHYLILHDQQDGPQEIAMNILAEMKSTLGLNDCKLLCINSSTEADGADAENSWLPYIKDFMQDLASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAANGPMQVFAVTLFFFFNRYTFTSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMDSAFSTYLRIGSSGKRNATRCGIWWAEMLKTRGQYREASSVYYRVSNEEEFLVYYTTLMEFSNVQEPSLHSAVLLEQAACCYVLSKPPMLRKYGFHLVLAGNSYYISDQKQHAVRAYRNALFVYKQHPWSYINDHVHFNVGRWYGVLGIFDVAIKHLLEVIACSHQSLTTQSMFLNDFFHFVQSMGKKFDVYKLQLPVINMPSLRVIYEDHRTYASDADVNVSESIWQELEEEMIPSSSIVRTNWLEKSPDLRKYKDSCVCVVGEAVKVRIELRNPLQIPVAVSCISLICQLSTSLDASSAVNSVLTTGAGEDIANTKPAISTFEDDGNNFTVSKLDIVLGGSETKSVQLEVTPKVEGILKLHGIRWTLSDLLVGYQYFEFDTKRKTKKGKKGPRRTLSNTLIVIKGLPKLTGCIDHLPTNAFAGDLRLLKLNLRNQSEYAVKNIKMKLSHPRFVIPGDLSEVDFEFPQCLRKHVQSEISTVSTKRTQGDAKGLLFTFSQVFPSLNVSFAIRMCSSRLKEYIVRMDILNRTPSESFILHQLSCNDSKWAISSLPLCDSIRSIETVSANQSVSCFFKIKDLGTNSCKEAENSSCRSDMLFLSREGNSNTEGFDVSQSPITNFHYQERYQQGRLAKGPRDLLDFILISKAVGGNYSKSDPDVQLLSHHVCHCSAIDQSPIWWFMEGPRTVTHDFSKSYCEANIQLVIHNSVQHNISARVVTYDSVPDKSQTVNLQDSNSNQGGWYDVSLENDIKAISTAKGTHYQKQPSESISPFVWCSLSSAQVDLKPDTSTKVPLKVCIFMPGTYNLSNYQLQWKVHSSEVGQVDENQRSGGGQGHPFYVTVLQDA >ONIVA03G37860.1 pep chromosome:AWHD00000000:3:32032937:32040255:1 gene:ONIVA03G37860 transcript:ONIVA03G37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cullin4 [Source:Projected from Arabidopsis thaliana (AT5G46210) TAIR;Acc:AT5G46210] MSHPHATAPKRPGHFSSSSAAASSPTSPAQPHMKKAKFPGSSSSSSSAAAPGVTEKNGLHVDPTAAAARTGGRTNGEEDAEMVLADQEELAAPSASAPAGVAANLFRKKATLPQPSAARKPLRIKIGQPKLPTNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEVHISAKISALVGQSPDLVVFLSLVQRTWQDFCDQMLIIRGIALLLDVKYVKNVANICSVWDMGLKLFRKHLSLSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSESFEKPFLECTSEFYATEGVKYLQQSDIPDYLKHVETRLQEEHERCILYLEANTRKPLITATEKQLLQRHTSAILEKGFTMLMEANRVKDLSRMYTLFQRVDAIELLKQALSSYIRGTGQGIIMDEEKDKELVPFLLEFKASLDRILEESFAKNEAFSNTIKESFEHLINLRQISSSPFFQQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQSVVLMLFNDAQKLSFLDIKESTGIEDKELRRTLQSLACGKVRVLQKMPKGRDVEDKDEFVFNEEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKTLSHTLLITELFQQLKFPIKPSDIKKRIESLIDREYLERDRSNPQIYNYLA >ONIVA03G37850.1 pep chromosome:AWHD00000000:3:32027005:32032377:1 gene:ONIVA03G37850 transcript:ONIVA03G37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GUL9] MEDVENKLKDYEIVREGEAEIIINKKIAANEVFYNPVQVHNRDMSVALLRTFIAKREEEHKAMMDKRDKAHNKVSQSKSSGPNGENGSTGQHDEMDVDAEKETNKVADETEDLSTEATKTPSRKVAKELKAPVVLEALAASGLRSIRYAREIDVLEKVVALDIDKACIEACKRNIKFNGASAMSKIEPHLTDARVYMLTHPKEFDVVDIDPYGAPSIFLDSAVQAVADGGLLMCTATDMAVLCGPNGEVCHSKYGHYYRSANEVKKTPQKLSYVYQCVGCDSFHLQCLGRTITKNNSVKNAPAIGPVVPQECSACGKKFTIGGPIWSAPIHDQEWVVSTLTEVKSMKDRYPAYDKITSVLTTISEELHDIPLFFSLHNICANVKCTSPSAVLFRSAVLNAGYRISSTHVNPLGLKTDAPWDVIWDIMRCWVKNHPVKEQPHDSVGTAILSKSPKLEANFSRAAAALSRAQAKKVKRFLPNPERHWGPKIRAGRKITSKHASLLGPDVVNRVINGAASTEDEKVAEPNNPTTETGGDATNEEDEPSTKRQKNGDVGLATEP >ONIVA03G37840.1 pep chromosome:AWHD00000000:3:32022808:32024022:-1 gene:ONIVA03G37840 transcript:ONIVA03G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G39120) TAIR;Acc:AT2G39120] MRPLAIRRREARPLPPLAVLVRSMAYVDVKMRWKKDASFDAVPVLSQARDLRPLVSLAGLLSPSPTPVSAVSKLRIPLEVPDRRVISFLRRFPAAFVESVGPEHNHPWFRLSGSAAGLLQEEREVFAARRADITSRLGRLLLMAPRRRLPLRAAQGMLWHLGLPEDYFRCRDYDIAQDGFRILTIGDSVCREEEDDGRELVLIDNGEHQEMPKSVLQMDAIRRFGSMETVPIPLFQSKGLRLKQKIEAWLEGFQKLPYVSPYEDFSGIDRDSDVSEKRVVGVLHELLSLFVTCSAERRRLLCLRQHLGLPQKFHRVFERHPHVFYLLLKEKTCFVVLKEAYLARGDTAIEEHPMLVVRRKYAGLMEESREIIRCRRSGKPFPSKHKDHEQIEDSEGANSAPILS >ONIVA03G37830.1 pep chromosome:AWHD00000000:3:32016641:32020932:-1 gene:ONIVA03G37830 transcript:ONIVA03G37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GUL7] MSFPDEEEDEAFLLAVAATEEAALASSDSSKRRRLSMTSSTSSSPTSATPPPAAVPEGPYLAALKGSHSSAWKQQQETLSQARKRPGGSQTLATPGSGSGSGGAQVARGGACFKCGDSSHWARECPQSVPATGGGGGGGAFGGSGGGGGGYGDAGGAVEEKACPCGAGSCLVLTSNTPRNPGRKFYRCPMRDNGGCNYFEWCDNPSPGPANVSSNTVFQSDTSVAHMLCPCGAGACLILTTKTGKNVGRQFYRCPANQGISYLFLNVRSGHISREVALAAISSGVMNNSLGQLLHYKLQHNIILMLLQVAKFPARGAPPPASNAGKRTIGQRTAQINLQILILTKVGEHLLLQALPMRVSSVVRAGTGPVIAPPQIVVLVPSPAMSSPLLLWAHGTATDTDSRYL >ONIVA03G37820.1 pep chromosome:AWHD00000000:3:32013947:32015233:1 gene:ONIVA03G37820 transcript:ONIVA03G37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPCYSKGLTEEGLSEIHHRGPGRPRPKGHLREGRGRRGPMGFVSLRFTAVAPAASCSCQSIQFHFSRVVVLLGMMQAAVAARTGRPSVLGCLSCFAGCHEGTPKCFPSCND >ONIVA03G37810.1 pep chromosome:AWHD00000000:3:32007095:32008021:-1 gene:ONIVA03G37810 transcript:ONIVA03G37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSPMAAQAADLSLTLAPSGGGGGGGGGGGGGGSSSACIDGKDVRLFPCLFCNKKFLKSQALGGHQNAHKKERSIGWNPYFYMPPTPHPAGNAAAAAAAATPGGMSSVTTPSGSYGVVGGAAAAAAAVVGATAGVGGGGGVGGGLLPAHAYAGHGYAAVPTSFPIASHSSSVVGSGGLQYYAGTDCGAAAAGAAKTTTTAAAAATTAVAGSESGVQVPRFATHQHHLLAVVSSGRAMLAAPDQPGAGRDDMIDMLNWRRGSHGPTASAAATTPSPASTTTTLTTFASADGSNNGEENEELDLNLSL >ONIVA03G37800.1 pep chromosome:AWHD00000000:3:31984583:31993826:1 gene:ONIVA03G37800 transcript:ONIVA03G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNPPPKPWERAGTSSGPAPFKPPSGGSTSDIVEASGTAKPGEVVSAAESNVASNVNSTISRPVPPRPWQQQGYGNSYGGYGSSMYSSLGGFGGPYSSGGLYGNNMYSSYGGGYGGMYGSSGMYGGSMYNSGMGGPYGGYGMGMGAYNQGPNSFGPPAPPPGFWMSFLRVMHGVVNFCGRVAFLFSQNTQAFHMFISALLQLCDRTGMLYGELARFVLRLLGIKTKAKKGGVQGAETSSFEGPGHHFAEAPKANNSWDSVWTDDGSGNSNTGFAMVSGAGFTRQEKMGEITNVMEYQAIAKQKLPKMIYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDMSATVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPYLTLKNFEGLDLAEMDKSNDSGLASYVAGQIDRTLSWKDVKWLQSITSLPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAAGRIPVYLDGGVRRGTDVFKALALGAAGVFIGRPVVFALAAEGEAGVRNVLRMMREEFELTMALSGCTSLADITRAHIYTDADRLARPFPRL >ONIVA03G37800.2 pep chromosome:AWHD00000000:3:31988664:31993826:1 gene:ONIVA03G37800 transcript:ONIVA03G37800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVMEYQAIAKQKLPKMIYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDMSATVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPYLTLKNFEGLDLAEMDKSNDSGLASYVAGQIDRTLSWKDVKWLQSITSLPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAAGRIPVYLDGGVRRGTDVFKALALGAAGVFIGRPVVFALAAEGEAGVRNVLRMMREEFELTMALSGCTSLADITRAHIYTDADRLARPFPRL >ONIVA03G37800.3 pep chromosome:AWHD00000000:3:31988839:31993826:1 gene:ONIVA03G37800 transcript:ONIVA03G37800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVMEYQAIAKQKLPKMIYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDMSATVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPYLTLKNFEGLDLAEMDKSNDSGLASYVAGQIDRTLSWKDVKWLQSITSLPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAAGRIPVYLDGGVRRGTDVFKALALGAAGVFIGRPVVFALAAEGEAGVRNVLRMMREEFELTMALSGCTSLADITRAHIYTDADRLARPFPRL >ONIVA03G37800.4 pep chromosome:AWHD00000000:3:31984583:31988726:1 gene:ONIVA03G37800 transcript:ONIVA03G37800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNPPPKPWERAGTSSGPAPFKPPSGGSTSDIVEASGTAKPGEVVSAAESNVASNVNSTISRPVPPRPWQQQGYGNSYGGYGSSMYSSLGGFGGPYSSGGLYGNNMYSSYGGGYGGMYGSSGMYGGSMYNSGMGGPYGGYGMGMGAYNQGPNSFGPPAPPPGFWMSFLRVMHGVVNFCGRVAFLFSQNTQAFHMFISALLQLCDRTGMLYGELARFVLRLLGIKTKAKKGGVQGAETSSFEGPGHHFAEAPKANNSWDSVWTDDGSGK >ONIVA03G37790.1 pep chromosome:AWHD00000000:3:31979886:31980579:-1 gene:ONIVA03G37790 transcript:ONIVA03G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGLEFEYREEDLGNKSDLLLRSNPVHRKIPVLLHAGRPVSESLVILQYLDDAFPGTPHLLPPANSGDADAAYARATARFWADYVDRKLYDCGSRLWRLKGEPQAAAGREMAEILRTLEAELGDREFFGGGGGGRLGFVDVALVPFTAWFYSYERFGGFSVEEVAPRLAAWARRCGRIDSVAKHLPSPEKVYDFVGVLKKKYGVE >ONIVA03G37780.1 pep chromosome:AWHD00000000:3:31974779:31978735:1 gene:ONIVA03G37780 transcript:ONIVA03G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGDGEGGGGGGGRGKRGRGGGGGEMVEAVWGQTGSTASRIYRVRATGGKDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGFDQPSKAIEWLINAASPAIDTLPSLDPAAFAAIPHAAAADAAPTRRRSQQQQQQLSNKSGCSSTSETSKGSDKEVTVASAPAQAASFTELLIAGVAASSAGGGAIGNGADCVGIAHPGKGGAEGASTYGFSAASSFGDAPPIGMVPAPPFNFSAPGADMAAHYPLAQDQLAAPPPPAGGDYNLNFSMSSGFLGANRGTLQSNSPSNMSGHHHHHHQQQLQRLDGSTISFLLGHAAAAAHPAASEGQITSTAALQLNASSKGTEDIIIRLIVVTFPARAHRGELLQVYCDDCPCSFSWVIVLLCCFLAIMNTLPLLLPAIAITQTIL >ONIVA03G37770.1 pep chromosome:AWHD00000000:3:31963754:31964284:1 gene:ONIVA03G37770 transcript:ONIVA03G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNLIVFYLNFTKRIVGVNLIYDTIDESLSMIPMLSSHKATIHTTQMLVTRRRTTTAIDDDEGDYALTYLGKMAVEEKPADKPGDMPVISWPDVVYQWRPLSSLPSWKMIKRANLPGEWMVDKWFYVDTAFMSDDGHAFWVDLMWGILFEDFYGTFNLKYILVLYERVDLVLLII >ONIVA03G37760.1 pep chromosome:AWHD00000000:3:31959548:31962737:1 gene:ONIVA03G37760 transcript:ONIVA03G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (ligand-effect modulator 3) family protein / CDC50 family protein [Source:Projected from Arabidopsis thaliana (AT5G46150) TAIR;Acc:AT5G46150] MMEEASTSASGGGGAAANGGQRGFPPARSGVFYRFTQQNLPAWKPAMTPGCVITIFLMIGVTFVPVGLVCLHASNHVAEIAHRYDIDCVPNTYKRNRQAYIKDSSISKNCTQEVKVKYLMRAPIYVYYELDNFYQNHRRYVKSRSDKQLRYGQKYTHSSCDPIERNDGLPIVPCGLIAWSLFNDTYGFTRGSTEIKVNRKNISWKSDREHKFGKDVYPFNFQNGSLIGGGKLDPALPLSQQEDLIVWMRTAALPQFRKLYGVIEEDLQADEIITMHIANNYNTYSFGGKKSLILTTSTWLGGKNDFLGYAYLITGSLSLFLTILFALIHVKNPRCISINGRHQRLDWFIH >ONIVA03G37750.1 pep chromosome:AWHD00000000:3:31952508:31959297:-1 gene:ONIVA03G37750 transcript:ONIVA03G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSGAAAEPPPTPVASSAGPSSPAMQANVASIDWSGSRQASRVDSSSHVAPHAHQPSHSFDATGTALDSAPSCRPWERGDLLRRLATYKPTTWASRPKAASSLACARRGWVNVDMDKIECESCGAHLIFSTLTSWSPAEVSNAGEAFAEQLDASHHNSCPWRGNSCADSLVQLHLTQSALIGGFKDRCDGLLQFTSLPVIASSAIEHMRLTRSSQIDRLLSQSITFLSGELSYKAESTTGIDIQQDSSCSYSKARKLISLCGWEPRWLPNVQDCEENSTHSAKNADSVEPFFPRFAEHQKNSFSGSAKKDKGKGKRPLKDSGCSMRSPLLDCSFCGSTVKIWDFRSVSRPCRFSPNNIDAPETGKKLALTRGISAASGINEWVTDGMERDPAEGRDEEATNEGKSLSNAGVDLNLTMAGGLPSIQSSIPIASERFNGGLGRDLMIGQPTGSEVGDHATSYESRGPSSRKRNHEEGGSTVDKPQDRLQHADSIEGSVIDRDGEEVDDAAQDSDIPNKRSRGFDLFGSYLPSSSGAGPSRNFCFDPDADAGKFSHARAAGLAAVDRDSMRESSVAAMDTVHSADEDSMESVEYYPGDGNDIDMPSSSAHRNIEMDDVLDLNYSNQAQQSACVQPASGSDGREIGGSSTNEGEEVLDAVTAPAFARDQLSVGISGGSVGMGASHEAEIHGIDVSLQRAESVVGDAEPNTELTETMGHTGESVPGPGLMDEFVPDEVDRQEPHGDSQDMVSQSVGQADSGSKIYGSTKADSVESGEKIGGHAVGHASRMHPSLSCNAGMQTGLDVSKEEVTQAGKLLIAGDVPMGLDYDPQNGLGATNGENDFESGLPEFDPVKHHNSYCPWVNGTVAAACCSNTESSSSSSPLSGWQLTVDALDTFQSLGQAQNHAMRSDSAASLYMDDHVTPNHKLARRASVSRSHGKC >ONIVA03G37750.2 pep chromosome:AWHD00000000:3:31952508:31959297:-1 gene:ONIVA03G37750 transcript:ONIVA03G37750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSGAAAEPPPTPVARYDRGGALIFGGRFAGVAVGAVGESGSRCLDRLCRGWVLEVFVGFVTGAAIARRDFGLVYGERSEAVSVDVWLNPRAGASYWPASITVLLSGEIYIPLGGNRAIFGPSSPAMQANVASIDWSGSRQASRVDSSSHVAPHAHQPSHSFDATGTALDSAPSCRPWERGDLLRRLATYKPTTWASRPKAASSLACARRGWVNVDMDKIECESCGAHLIFSTLTSWSPAEVSNAGEAFAEQLDASHHNSCPWRGNSCADSLVQLHLTQSALIGGFKDRCDGLLQFTSLPVIASSAIEHMRLTRSSQIDRLLSQSITFLSGELSYKAESTTGIDIQQDSSCSYSKARKLISLCGWEPRWLPNVQDCEENSTHSAKNADSVEPFFPRFAEHQKNSFSGSAKKDKGKGKRPLKDSGCSMRSPLLDCSFCGSTVKIWDFRSVSRPCRFSPNNIDAPETGKKLALTRGISAASGINEWVTDGMERDPAEGRDEEATNEGKSLSNAGVDLNLTMAGGLPSIQSSIPIASERFNGGLGRDLMIGQPTGSEVGDHATSYESRGPSSRKRNHEEGGSTVDKPQDRLQHADSIEGSVIDRDGEEVDDAAQDSDIPNKRSRGFDLFGSYLPSSSGAGPSRNFCFDPDADAGKFSHARAAGLAAVDRDSMRESSVAAMDTVHSADEDSMESVEYYPGDGNDIDMPSSSAHRNIEMDDVLDLNYSNQAQQSACVQPASGSDGREIGGSSTNEGEEVLDAVTAPAFARDQLSVGISGGSVGMGASHEAEIHGIDVSLQRAESVVGDAEPNTELTETMGHTGESVPGPGLMDEFVPDEVDRQEPHGDSQDMVSQSVGQADSGSKIYGSTKADSVESGEKIGGHAVGHASRMHPSLSCNAGMQTGLDVSKEEVTQAGKLLIAGDVPMGLDYDPQNGLGATNGENDFESGLPEFDPVKHHNSYCPWVNGTVAAACCSNTESSSSSSPLSGWQLTVDALDTFQSLGQAQNHAMRSDSAASLYMDDHVTPNHKLARRASVSRSHGKC >ONIVA03G37740.1 pep chromosome:AWHD00000000:3:31950812:31951741:-1 gene:ONIVA03G37740 transcript:ONIVA03G37740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT2G34620) TAIR;Acc:AT2G34620] MPLCSFYASTSLPVAKPHSLPSSSSKPYTATPAPTPTAASASASAAALSLHLPELPSRVKDKILSLELMGVDYGRALALNPALRDAAPESIHAVVTFLQSRGLHFKDLGRVFGMCPSLLTASVRADLRPVFAFLTDDLGIPDTAYRRVVVKCPRVLACSVRDQLRPALLYLRRLGFRDARALAFQDPILLVSSVERTMIPKLDFLAGLGMHRDDAVAMVLRCPALFTFSIERNYKPKFEYLVAEMGGGVHDIKAFPQYFTFSLDKRIAPRHRAAADAGVSLPLPDMLKATDEEFMEMLDKEIELQKQAA >ONIVA03G37730.1 pep chromosome:AWHD00000000:3:31947739:31948332:-1 gene:ONIVA03G37730 transcript:ONIVA03G37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELTAAVPVGSGSAARSTGRRPCRRGVGGGGRSASSTTPLPPCLFLGRGTVAPVPSRGLLLLAAVSNRSPLLTPTSAAFARSAQPTRSSSRRRRLLEVSLGEVAAVEASPGRASATAPTAAAGDASSPRLPVGVAVREEKEARQGIEREGKEARRRRRHHDNEAATASAVASRRERRRVVLEERRSLTRERKGWKG >ONIVA03G37720.1 pep chromosome:AWHD00000000:3:31942474:31944628:-1 gene:ONIVA03G37720 transcript:ONIVA03G37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein (GLTP) family protein [Source:Projected from Arabidopsis thaliana (AT2G34690) TAIR;Acc:AT2G34690] MGSSDGDKPLRRIAASFEELEAVAKQQAPGPAMEVGSFARACSNVSVLFGCLGIAFKFAEMDYVDDLLEASKSISKLPEMVELDIQKGTVRQAGSHTRNMLRVKRGIDMVKILFEQILVTEGNSLRDAASKAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDENSAKVQMQTFIRASGPVILYVEDLFTSRNLGMDW >ONIVA03G37710.1 pep chromosome:AWHD00000000:3:31938291:31939188:1 gene:ONIVA03G37710 transcript:ONIVA03G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICGPADQPASAPLNPRRRHRRRGGGGAELCSPHRRIPIRSQITARSKPEIFFSSLAAEDIPAPVQVAAPVLGDNGEPSL >ONIVA03G37700.1 pep chromosome:AWHD00000000:3:31930706:31936292:-1 gene:ONIVA03G37700 transcript:ONIVA03G37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLSIGAASFAAPSPPPPSPGASSSSAAAAAAPGAAKDRKMASAEQLVLDLCDPELRENALLDLSKKREIFQDLAPLLWHSYGTIAALLQEIVSIYPSLSPPTLSPGASNRVCNALALLQCVASHSDTRIPFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDSEVIGFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVASVLSQMVQALADQPSPRLLKHIIRCYLRLSENSRACTALNSCLPNALKDGTLNNFLQDDHVTRRWLQQLLHNMTMAGMGGAPHGGLDHIMGM >ONIVA03G37690.1 pep chromosome:AWHD00000000:3:31927063:31929565:-1 gene:ONIVA03G37690 transcript:ONIVA03G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GUJ9] MATAVASQVAVSAPAGSDRGLRSSGIQGSNNISFSNKSWVGTTLAWESKAARPRHANKVLCMSVQQASESKVAVKPLDLESANEPPLNTYKPKEPYTATIVSVERIVGPKAPGETCHIVIDHGGNVPYWEGQSYGIIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGRTTSLCVRRAVYYDSETGKEDPSKNGVCSNFLCNSKPGDKVKVTGPSGKIMLLPEEDPNATHIMIATGTGVAPFRGYLRRMFMEDVPKYRFGGLAWLFLGVANTDSLLYDEEFTSYLKQYPDNFRYDKALSREQKNKNAGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKKVAEQRGESWEQKLSQLKKNKQWHVEVY >ONIVA03G37680.1 pep chromosome:AWHD00000000:3:31921414:31925061:-1 gene:ONIVA03G37680 transcript:ONIVA03G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQPPVLSDRLNPLIHHRSAFPASVVQPQDHGDSVPGLCSGSFIDTRGRLSSGSMTSEDSPALTPRWLSIKSNSSSDNCFEGSKRAVSWSDRHVFNPNGQVNYAEFMYLMKQELDTQLDRLKGDVTGLENFALPDNGYIIGTHLGMSLDVMLIEIDERFNALKLLLATVFRKAREMDSSSVSDLQWEHELQLEVINITIGEFISGLQEEMERKLYEQISMTNSMSKNWQDAIAQFASMRDDLGALSKLLLPSLQESHISHSKHETSSNRSNRWKYNIFGKKNKEDHSSRAEENKSFRKQKSMVVSEKSDFRHLNASKEMSIQLSSEDDLLRQIAKIKEEYEDLQIEAGVRDGVYQTVTRKLLDDSMNSMHDAATNFSTELSSLEAMISEKEKALCLSNEENRMLKEKIAELEQCLIQDKQEDPEVIKQESTEIILRDIEVAPHISPRRSHETPKQDMQYDELVKLNSSLEIASAALKEVENKNIDYNGIFTKNEQEKQLECILISIMKLSKEFVEIEQKLSVERSASRSEDLSDHCNHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAKVVILGDKVNSHLNLLQKIYVTLDRYSPTLQQYPGLLDAFLKTCKLVAGLRSNQSKDDTTA >ONIVA03G37680.2 pep chromosome:AWHD00000000:3:31921416:31925061:-1 gene:ONIVA03G37680 transcript:ONIVA03G37680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQPPVLSDRLNPLIHHRSAFPASVVQPQDHGDSVPGLCSGSFIDTRGRLSSGSMTSEDSPALTPRWLSIKSNSSSDNCFEGSKRAVSWSDRHVFNPNGQVNYAEFMYLMKQELDTQLDRLKGDVTGLENFALPDNGYIIGTHLGMSLDVMLIEIDERFNALKLLLATVFRKAREMDSSSVSDLQWEHELQLEVINITIGEFISGLQEEMERKLYEQISMTNSMSKNWQDAIAQFASMRDDLGALSKLLLPSLQESHISHSKHETSSNRSNRWKYNIFGKKNKEDHSSRAEENKSFRKQKSMVVSEKSDFRHLNASKEMSIQLSSEDDLLRQIAKIKEEYEDLQIEAGVRDGVYQTVTRKLLDDSMNSMHDAATNFSTELSSLEAMISEKEKALCLSNEENRMLKEKIAELEQCLIQDKQEDPEVIKQESTEIILRDIEVAPHISPRRSHETPKQDMQYDELVKLNSSLEIASAALKEVENKNIDYNGIFTKNEQEKQLECILISIMKLSKEFVEIEQKLSVERSASRSEDLSDHCNHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAKVVILGDKVNSHLNLLQKIYVTLDRYSPTLQQYPGLLDAFLKTCKLVAGLRSNQSKDDTTA >ONIVA03G37670.1 pep chromosome:AWHD00000000:3:31914660:31922297:1 gene:ONIVA03G37670 transcript:ONIVA03G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein [Source:Projected from Arabidopsis thaliana (AT3G22290) TAIR;Acc:AT3G22290] MGRIPSLKNFNAFPHAEDHLLKKTYSGAIVTIFGLIIMVTLFAHELKFYLTTYTVHQLRLDKYGHIIGTEYLNDLVEKEHGTHNHDHDHEHEDEQKKQEHTFNEDAEKMVKSVKQAMENGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAEKIFDGSSHVNVSHIIHDLSFGPKYPGIHNPLDETTRILHDTSGTFKYYIKIVPTEYRYLSKQVLPTNQFSVTEYFVPKRATDRSAWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYRLIESVTKSKTRSVLR >ONIVA03G37670.2 pep chromosome:AWHD00000000:3:31914660:31922297:1 gene:ONIVA03G37670 transcript:ONIVA03G37670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein [Source:Projected from Arabidopsis thaliana (AT3G22290) TAIR;Acc:AT3G22290] MGRIPSLKNFNAFPHAEDHLLKKTYSGAIVTIFGLIIMVTLFAHELKFYLTTYTVHQLRLDKYGHIIGTEYLNDLVEKEHGTHNHDHDHEHEDEQKKQEHTFNEDAEKMVKSVKQAMENGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAEKIFDGSSHVNVSHIIHDLSFGPKYPGIHNPLDETTRILHDTSGTFKYYIKIVPTEYRYLSKQVLPTNQFSVTEYFVPKRATDRSAWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYRLIESVTKSKTRSVLR >ONIVA03G37670.3 pep chromosome:AWHD00000000:3:31914660:31922297:1 gene:ONIVA03G37670 transcript:ONIVA03G37670.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein [Source:Projected from Arabidopsis thaliana (AT3G22290) TAIR;Acc:AT3G22290] MGRIPSLKNFNAFPHAEDHLLKKTYSGAIVTIFGLIIMVTLFAHELKFYLTTYTVHQLRLDKYGHIIGTEYLNDLVEKEHGTHNHDHDHEHEDEQKKQEHTFNEDAEKMVKSVKQAMENGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAEKIFDGSSHVNVSHIIHDLSFGPKYPGIHNPLDETTRILHDTSGTFKYYIKIVPTEYRYLSKQVLPTNQFSVTEYFVPKRATDRSAWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYRLIESVTKSKTRSVLR >ONIVA03G37670.4 pep chromosome:AWHD00000000:3:31914660:31924563:1 gene:ONIVA03G37670 transcript:ONIVA03G37670.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein [Source:Projected from Arabidopsis thaliana (AT3G22290) TAIR;Acc:AT3G22290] MGRIPSLKNFNAFPHAEDHLLKKTYSGAIVTIFGLIIMVTLFAHELKFYLTTYTVHQLRLDKYGHIIGTEYLNDLVEKEHGTHNHDHDHEHEDEQKKQEHTFNEDAEKMVKSVKQAMENGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAEKIFDGSSHVNVSHIIHDLSFGPKYPGIHNPLDETTRILHDTSGTFKYYIKIVPTEYRYLSKQVLPTNQFSVTEYFVPKRATDRSAWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYRLIESVTKSKTRSVLR >ONIVA03G37660.1 pep chromosome:AWHD00000000:3:31911591:31914677:-1 gene:ONIVA03G37660 transcript:ONIVA03G37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLGPLGLQLGPFVSHQKRAVSSSRLVSSPAKLTDDATPPQPPPQPQPGDRRRRPRLPDPPRPHGGKAVPLSGLSPQEGEEERPRCVGCGRRVKTLYMQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLRIYSSLDKGVLVLSILTHLVLGDALLGNIVFMTMLFLEVQFILKLSFDIRRYREVLLAVIISSYFKLFLMAMMVWEFPSSVIFFVEISVLSSNTVALRVVTEFSKAHCFGVCFGAHAARYLTERWLLGAP >ONIVA03G37660.2 pep chromosome:AWHD00000000:3:31911591:31914214:-1 gene:ONIVA03G37660 transcript:ONIVA03G37660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKEGEEERPRCVGCGRRVKTLYMQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLRIYSSLDKGVLVLSILTHLVLDACNIADGDSSINIFSAILNCSKVLGDALLGNIVFMTMLFLEVQFILKLSFDIRRYREVLLAVIISSYFKLFLMAMMVWEFPSSVIFFVEISVLSSNTVALRVVTEFSKAHCFGVCFGAHAARYLTERWLLGAP >ONIVA03G37660.3 pep chromosome:AWHD00000000:3:31911591:31914214:-1 gene:ONIVA03G37660 transcript:ONIVA03G37660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKEGEEERPRCVGCGRRVKTLYMQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLRIYSSLDKGVLVLSILTHLVLGDALLGNIVFMTMLFLEVQFILKLSFDIRRYREVLLAVIISSYFKLFLMAMMVWEFPSSVIFFVEISVLSSNTVALRVVTEFSKAHCFGVCFGAHAARYLTERWLLGAP >ONIVA03G37660.4 pep chromosome:AWHD00000000:3:31914260:31914677:-1 gene:ONIVA03G37660 transcript:ONIVA03G37660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLGPLGLQLGPFVSHQKRAVSSSRLVSSPAKLTDDATPPQPPPQPQPGDRRRRPRLPDPPRPHGGKAVPLSGLSPQLFWVVICLSPD >ONIVA03G37650.1 pep chromosome:AWHD00000000:3:31901229:31902850:-1 gene:ONIVA03G37650 transcript:ONIVA03G37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAAAAGQLDVDKLTYEIFSILESKFLFGYDDPKLVFSGGDEPQGAVKGTLARGPAVVERGKVCVLSIDGGGRAADGLLAGAALVSLEASLRRRTGDETARLADFFDVAAGSGAGGVLAAMLVARGGDGRPMFSAEDALAFLMRSLRRGWSGGGGGGAAGGIRALFRRPGAAFRKVFGDLTLRDTVRPVLVPCYDLASAGPFLFSRADAVETAAYDFRLRDVCAATCAGSDGSASAVEVRSSDGATRIAAVGGGLALGNPTAAAITHVLNNKREFPLAAGVEDLLVISIGSGECDNRPTGGAAASTSEIVRIAAEGVADMVDQAVAMAFGHNRTNNYIRIQAMGSPRASRGGMRCGGGGGGGGGDGAGWGVAEEMLSQKNVESVLFRGKKLAEQTNAEKLEWFAHELVKERDRRRTAGALAPAVVKQQPSESAPATAAAADGHTPPPTSYSNLVSQMFTTIL >ONIVA03G37640.1 pep chromosome:AWHD00000000:3:31892438:31901001:1 gene:ONIVA03G37640 transcript:ONIVA03G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVAAASAANVAVIGGGISGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFNNSDYLSWAFCDSSKPGRAHVPLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILRKFSCANWSPFMSICEKGCSYHY >ONIVA03G37640.2 pep chromosome:AWHD00000000:3:31892438:31901001:1 gene:ONIVA03G37640 transcript:ONIVA03G37640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVAAASAANVAVIGGGISGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFNNSDYLSWAFCDSSKPGRAHVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILRKFSCANWSPFMSICEKGCSYHY >ONIVA03G37640.3 pep chromosome:AWHD00000000:3:31892438:31901568:1 gene:ONIVA03G37640 transcript:ONIVA03G37640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVAAASAANVAVIGGGISGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFNNSDYLSWAFCDSSKPGRAHVPLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILRLVNSHYYLELEMLKAIVSLHIMVPHLQQKQESIYMRQITFEDKFAGFGASQAITDAQLGSSPGLHVA >ONIVA03G37640.4 pep chromosome:AWHD00000000:3:31892438:31901568:1 gene:ONIVA03G37640 transcript:ONIVA03G37640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVAAASAANVAVIGGGISGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFNNSDYLSWAFCDSSKPGRAHVPLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILRPYLPDKSIPGPESIYMRQITFEDKFAGFGASQAITDAQLGSSPGLHVA >ONIVA03G37640.5 pep chromosome:AWHD00000000:3:31892507:31901568:1 gene:ONIVA03G37640 transcript:ONIVA03G37640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFNNSDYLSWAFCDSSKPGRAHVPLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILRPYLPDKSIPGPESIYMRQITFEDKFAGFGASQAITDAQLGSSPGLHVA >ONIVA03G37640.6 pep chromosome:AWHD00000000:3:31892438:31901568:1 gene:ONIVA03G37640 transcript:ONIVA03G37640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVAAASAANVAVIGGGISGAVCASLLAARGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLGFSFNNSDYLSWAFCDSSKPGRAHVPLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILRPYLPDKSIPGPESIYMRQITFEDKFAGFGASQAITDAQLGSSPGLHVA >ONIVA03G37640.7 pep chromosome:AWHD00000000:3:31892437:31901568:1 gene:ONIVA03G37640 transcript:ONIVA03G37640.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFNNSDYLSWAFCDSSKPGRAHVPLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILRCLNFPSGL >ONIVA03G37630.1 pep chromosome:AWHD00000000:3:31886279:31886596:-1 gene:ONIVA03G37630 transcript:ONIVA03G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKVFVAILAVAAAAAAAAVSTTTAHGVAGGRRALDEYRSVLRVIVPLEVAGAPSSGSLDDDAAAALGPDLPEFGAAPAAGPAAAACGGDEVDCDNKVPVYGP >ONIVA03G37620.1 pep chromosome:AWHD00000000:3:31883777:31884938:1 gene:ONIVA03G37620 transcript:ONIVA03G37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRAVCRCWRSATDDPRGASARDPRFRPRHWAMLDEVFQTDARLFANAATGRFLRMDLPLLGRGRRYRLVASAPGGDLVLAEASPPARDGQKDRADLQDEHGRGEGDRSLEEVKSIGGGRAIFVGATRCISINADKFNAIDGNRIYYQERDDLTSADIYMYELESEETTKIGGAIDSLNPVFLVSTEPPFSPIQLFCSYADEALRFRLEWEKIVQSLPERLPDDIMASMGADLMGDFEDEFEDFEYEFND >ONIVA03G37610.1 pep chromosome:AWHD00000000:3:31869298:31871939:1 gene:ONIVA03G37610 transcript:ONIVA03G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLRTASPCISRTKKEATPLVAGLSVAAAAMGSRYMLQAWQAFRTRAAMPRVRRFYPGGFEREMTRREAALILGVRERAAFDKIKEAHKRVMVANHPDAGGSHYIASKINEAKDMLMGKGKSGSMF >ONIVA03G37610.2 pep chromosome:AWHD00000000:3:31869298:31871939:1 gene:ONIVA03G37610 transcript:ONIVA03G37610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVAGLSVAAAAMGSRYMLQAWQAFRTRAAMPRVRRFYPGGFEREMTRREAALILGVRERAAFDKIKEAHKRVMVANHPDAGGSHYIASKINEAKDMLMGKGKSGSMF >ONIVA03G37600.1 pep chromosome:AWHD00000000:3:31861447:31868066:1 gene:ONIVA03G37600 transcript:ONIVA03G37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDGLLVLKQRVANNAGDGGEHRSNGFFFKGRSYSFNVCVCSIFTGGGDVTTFLPPMDPAMHVNMESHKNIYPPALLAVGAAGGGGGRSSFELLVMDCNLRTQTFSSEKGGWNAVRAAHLAPGHHQRRPRMPVPNSLPAISMSELMLVMSRGGAVLSLFMAEREVISVWTLEEEAAAAERWSRQVVIARVAIDRSVEARRLYQTVFFEGFGVKKRHRADEDRQRRARAARRSNEEGDRCAGQRRR >ONIVA03G37590.1 pep chromosome:AWHD00000000:3:31861180:31861871:-1 gene:ONIVA03G37590 transcript:ONIVA03G37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSELGTGIRGRRWWWPGARIHGREERGDVAAAGEDAADAHIETVAPPLEKEAVAAVLAAVAGIVGDALLEDEEAVARGHGLERLQEAGIEAERRGRRRNAHEGIKIGAEPLGDAEEEAGVAVAGAVPVAELLVKDAAAERLAGGGGADYGCSVGAHEDLEQHIVRER >ONIVA03G37580.1 pep chromosome:AWHD00000000:3:31856895:31858016:1 gene:ONIVA03G37580 transcript:ONIVA03G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTILPDDLMPEIAVRSDIVSIVRCAATCKSLRGRILEEEFCRRHNNAATSLLRGVSYRFRCDLNTFVGVTQAASSPSSSPLPRFDAGILNTFEPMVSRDGLVVVLEDYVYAGPDRFNMCVCNTITGDVTSLPAMDPAMKVKRRLIYPPALLDVGDAGRSFELLVADNELHTQTFTFSSKDGGGGWGAARTIRMDAGHRKPSIPMPFHHVPGRRRARRALARRHGAVLGAPSPRRGHLLPARRRGVRRDDGATAGLRRQDAGLQEGQPSAHARRVAGRHGVEPGRGGARADLGVDVGGRIIGGGDDNGGEVEPAGGDHEAGDRPERGSRENVQQRLLRGVRGEERCRALALASFWARAAQPGDERGARCAPR >ONIVA03G37570.1 pep chromosome:AWHD00000000:3:31856799:31857184:-1 gene:ONIVA03G37570 transcript:ONIVA03G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVLRIPASKRGRGEDDGDDAACVTPTKETPRRRLVAALLCRRQNSSSRMRPRSDLQVAAQRTMDTMSERTAISGMRSSGRIVDAIRVIERGTRLLIDCRLTGYLFVLVVIIRKRV >ONIVA03G37560.1 pep chromosome:AWHD00000000:3:31854269:31855546:-1 gene:ONIVA03G37560 transcript:ONIVA03G37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEAESREASRQRRRRERAAAVERFVGVPLADVVRENALVHLPPAAAARLRVVHPSWASHMSSPLFAVAHAAAPRRISGVFVPSAGFLPFDGDDDAVPSPSLSFVPASSELVVLSSSRGVACCFSPADDAYAVCNPATASWTAVPSPPWRSWPRPAIVVLFDTSAYNFRGDFTLVCPFESEPGSSGAYCFQVFTSGTGAWWVTDAMSPAEGLVAASGVAAGATAWWRTSIGTAVGYTPVTGRVDLVTCPGDSDQWEIGLAAGMLHCAVIDGGDVVVFRLHEHGIWEVTTRVAVAEILQPSQPPPPARATTSTEIVASQQHDESGAEEEEGSRAVVAAANAPLRLDDGVRLLGFQGAEVEVVVLAGRRLVAFDARTRRRREVAVPDEVDAAWDGAEHAAHINTLALIAPAALAAEPPLAKPPADP >ONIVA03G37550.1 pep chromosome:AWHD00000000:3:31848961:31852667:-1 gene:ONIVA03G37550 transcript:ONIVA03G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVVLSDSDGDSVELHDGSDVGGSDSESGFAVVRGRAAAAVGADTPAALPHTTIAVEAVPLQPSPSPPGFFKTVSYRQAFSGIASELVAASSHAPVLDAAEEDKEDITEVSPAIAEGEHENAEISDVAESNNDHVDSNIAAAEDTTFSGEEDLDDETDGGH >ONIVA03G37540.1 pep chromosome:AWHD00000000:3:31847538:31848944:-1 gene:ONIVA03G37540 transcript:ONIVA03G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASGLFGDDDTESSHDEEDLDDDDDESLDDDGSECFDEEDIICAENPDDEIFDDESVDTGSSDEEESDDEEDSYSDEEIDDEEESDCDEEIDEEEEEEHGGNKYDAIDNESFGEEESVCMEQSYAEEEWPEFTGVPVSYDDIDTDSDMEIDGGKYDDIDSESLYEEESVSDEQSDDEEEPEEFAGGGYDDIDYESLNGDDFEEYLQVLADGGIDNENFGEEESVLDDEVMDFFHGLSDEFLDFFYGDTLYDDETESSCDEECEHVCVCGRCMELIDGEEFYQLTGDEFDATQLGEEIGGDASGVDGDEPSDAGESDHDTAPDAGDGEAHGNSADMAGENSAAATAEPASTPSQFRQAMQQAAARDQAAEAMVRAADVIDSYMRAAAGGLAAHDVEALSQGATSLRAMAAAPSFAVGVDVSASNAAAATAAAFLPDTLARQDGVVSLAVFYLLFGVVYLLLRICALN >ONIVA03G37530.1 pep chromosome:AWHD00000000:3:31844939:31846837:-1 gene:ONIVA03G37530 transcript:ONIVA03G37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVILSDGESNTAAVVLDGSYDSDGTASSDDIDTAASDVYNYMARSRPLVSHFGGGGGVDGGAPPPPLFYDGPLRAVVAAAAAAAPPAPPKFVKEVRYSYGEALSIGGGDEIKQRPAASEIEATTERSIDAASQEDTSCEIGNAGVVICDGDVVEHTADVDGGGATTDDISVVDVTDVAEQSADVDDGGATTDDNSVVEVTDVVDNTDMAHVDDGGATTDDDSVVDVTDVGEHTADVDGGGATTDDISVVEVTDVVDNADMAHVDDGGAATDDNSVVEVTDVAEHTADVDDGSVSTDDGITVVEVTDVVDHTATAHVDDGSTSTDDGIAVVDVTDVVDHTAKSHVDDGGAAADVISVVEVPPLTTVSAAPPPPPTTSEVDGEHESSIRSPPTPAVVAASRATNPPPPRRRTSRAPRLPWRYRPVIDEHKSKSGDAPPTPPAVMVSPAATSPQPLDARTSGEVDKSVVVINDEPVHVLTAAAAAAAAAAMKATASDSGGKKDTAHGGGSRCMVIREVGEEEEASHHAAYEARRRAEAFHATIKAATAAEAPSQPPPADRRHGSGLSAALEARTQPPPATTNAAAEARTKVATERRRGSEPSAADMADFAIAYLFSSSCMILYSFLLASYFY >ONIVA03G37520.1 pep chromosome:AWHD00000000:3:31840669:31842716:-1 gene:ONIVA03G37520 transcript:ONIVA03G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPPPKSSPPLMAASPSPTNSSSASSPAPSVSPPPAPSNPHGGGGGAPPPSPARVPSPPSRSSGGGSGSEDVARSALASARRGGYNAMVEIVFAAVGAAALLVLLVAACLCCSRKTAPRRKRKKKPHNPVTHFDADTSGSKGGGGRDTSGPKPPPPPPWLAEPRAAPSTSDAAGMSKGTFTYEQLAAATGGFAEENLVGQGGFGYVHKGVLAGGKAVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGARRVLVYEFVPNKTLEFHLHGKGLPVMPWPTRLRIALGSAKGLAYLHEDCHPRIIHRDIKSANILLDNNFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELVTGRRPIDAGAADHPWPASFMEDDSLVEWARPAMARALADGDYGGVADPRLEGSYDAVEMARVVASAAASVRHSAKKRPKMSQIVRALEGDMSLEDLNEGMRPGQSMVFGTAETGGSISEASGSYTFDMDRIIQEATAARLESGRRDDVSFSGGMSAEWKQPPHRVSR >ONIVA03G37510.1 pep chromosome:AWHD00000000:3:31832753:31839750:1 gene:ONIVA03G37510 transcript:ONIVA03G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GUG7] MASSALICDTEQWKGLQIGKAHYDFVFWCGEQAHVGEIQKTHLRHLMHDVERCKAMTACLLIDSEYEGIYLDYSRQRATGETMEKLFKLAEAAKLKEKIEKMFRGDKINSTENRSVLHVALRAPRDEVINSNGVNVVPEVWGVKDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHAALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSSFEKNIPAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLPFESGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKQFTWYFQTPEQLHSEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRVEGKPVLGFNSSTTSLLTRYLAVEPSTPYNTTTLPKV >ONIVA03G37500.1 pep chromosome:AWHD00000000:3:31827949:31829367:1 gene:ONIVA03G37500 transcript:ONIVA03G37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLRDGSCFDETAMQPAAKRLRARAAATAEARTTTTLPIDLLLEIVARLDAATTVRCAAAGKSIRRAILDPSFRRIRLALRAAANGAGFDPTLLFAVSYKLARLDDPPVLIVEDPQSVAGGAAAPFAISGKFLGHIVEPPPPSSYRPVLPIYKSYDSELKHSETVASRDVLVVLRERPVGVRAFCTVPRQQLCICNSLTGDTTRLPMSDVVDDYPPAFLATGGAGRSYELLVMDKRLQTQTFSSEDGKWGAIRAMEELPHPISSPLYAHRPLVVSRRNAVYWLCPQRLGGVTADLHILAVDVGAGRRRASRIELPPDCLSRMKPFGWQSDGIILAPSPSPDGELSLIVAEVLVISQWTLLPSSSSSSSEGGSPAARWSRQVVISRLAIDRQAGHDMFMGVVCFHGLGLVSGAVLMQVRVLDTVLIALLHLASKECLILRRWDKMSRPSELCLHEIDLASVLQSMKHFLI >ONIVA03G37490.1 pep chromosome:AWHD00000000:3:31826230:31827633:-1 gene:ONIVA03G37490 transcript:ONIVA03G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRRRACVCPNEVTTSTGSTGDLHACHETGAAAGDRSSSPSLLDLPLDVLLEIVARCDAATVLSLAATCKALRRIILGDDFRRRLALQAAANGGFDPALLLGVSYRLHEHGGAEDDRRLVQAYGRRARFDESLLGSFTPVASRDGILVLRREHRDASSGGGGAGQLCAIKTRPLELLVCNTLTGNTSSPPTLSFCDDDFTYPPALLTVAGAGAGCSFELLVADSHLTTQTYQSQNGKWGARRAIAMPPDHPRLGARVDGCSAAVTGRTVHWLCHKSRPNSGEHAFVVLSVDADTARGTVTELPRECIGGKTGAFDVHGLHLAGSAADGRLRLVAAGMQAISVWTLSPSPEAEEEADRWSQQAVAIHVQRGIGKTLFGESWKPIRLDESGRLRSTTRFMGFAERSGVGILWMEGGGGLARFSLATGELAVLRRAPAAGGVRDAGHVSCVFLHEVDLASLLRTMTCL >ONIVA03G37480.1 pep chromosome:AWHD00000000:3:31817541:31826060:1 gene:ONIVA03G37480 transcript:ONIVA03G37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPDLGSDFAQKLLLDLRRRRERLGFDSPAPPQRTSSSNAAVLPRDSHSSSQRPHRSQKPQQAAPRAGRAEATANRSHPQSGNAIAGAGKPRHRATPVANSHQIVPFQGGGSAKQKPANSSIDVQMALAIALSNRGKLQNIELVSRNGSIFFRDTDHAMPESHLVSPSAHVGKVAIGVKKLNDILMAYSSGGGARDTGRRSSVDIGRELFGGAMDLEESLSMLVMLQEASDYMEGSGNGKVLLLEGKENLKNSARSPSSARLVEIVDEDSEAEQAKNSKSLSMQIVPHKNSQGFSTPNSSSVLQVSTVTGNNSRSNASKADKDDAKVRMPNVIAKLMGLDNLPSTKVANKGMEKFVKPEALSRKDRRTNLVGGRLPIQIIGSERVSSKGHNMNLLPGEWKIGLKNYEVYKSANLQVSNSSSIPAADKQTRQTMRQMLSKMENAERRGSLGQQLHEEKKLTEETNQQKVANVGCRTDAGKKMDFLKRIRKISGSRQVTEEKHIIQEESTTGKKQTTSMKQLLGTDSEDKSKITRVKFNKENLATTEIKNAARGKNGKTDQRKRQSYNKQTDVHSMPKKSQKYREMKSKEGIQNLEHKRSTKSEATQFKILPYTAEIQQENGKHEEDNDIVKPSDSHGDGGISEQFAGMVEDSRTTGMASPDQFMKQITEVTSIDVHASDRSNVVDQSVTQTNDDTSSNTASETTQTPETFTEGEHHQNLQLVEFKEKPLDDLGDAKETSNPTDLQDQKMHVVSCDSFTENQLLLMRMLLKDQYLLETAKALVRNPVPVHFVNASADRWSEKGSSLFSDIAREVIRKKGKRTEAIVEVSMANTTNMKLQYLDDLIMELDGDIESLNISKKSQHLGEDCTAENLRMILHRDMQNNHPDANSMWDFGWNRISDLPIERNEVVRDLEKNILGGMITEVARELIELSVRHGCCACEA >ONIVA03G37480.2 pep chromosome:AWHD00000000:3:31822231:31826060:1 gene:ONIVA03G37480 transcript:ONIVA03G37480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPDLGSDFAQKLLLDLRRRRERLGFDSPAPPQRTSSSNAAVLPRDSHSSSQRPHRSQKPQQAAPRAGRAEATANRSHPQSGNAIAGAGKPRHRATPVANSHQIVPFQGGGSAKQKPANSSIDVQMALAIALSNRGKLQNIELVSRNGSIFFRDTDHAMPESHLVSPSAHVGKVAIGVKKLNDILMAYSSGGGARDTGRRSSVDIGRELFGGAMDLEESLSMLVMLQEASDYMEGSGNGKVLLLEGKENLKNSARSPSSARLVEIVDEDSEAEQAKNSKSLSMQIVPHKNSQGFSTPNSSSVLQVSTVTGNNSRSNASKADKDDAKVRMPNVIAKLMGLDNLPSTKVANKGMEKFVKPEALSRKDRRTNLVGGRLPIQIIGSERVSSKGHNMNLLPGEWKIGLKNYEVYKSANLQVSNSSSIPAADKQTRQTMRQMLSKMENAERRGSLGQQLHEEKKLTEETNQQKVANVGCRTDAGKKMDFLKRIRKISGSRQVTEEKHIIQEESTTGKKQTTSMKQLLGTDSEDKSKITRVKFNKENLATTEIKNAARGKNGKTDQRKRQSYNKQTDVHSMPKKSQKYREMKSKEGIQNLEHKRSTKSEATQFKILPYTAEIQQENGKHEEDNDIVKPSDSHGDGGISEQFAGMVEDSRTTGMASPDQFMKQITEVTSIDVHASDRSNVVDQSVTQTNDDTSSNTASETTQTPETFTEGEHHQNLQLVEFKEKPLDDLGDAKETSNPTDLQDQKMHVVSCDSFTENQLLLMRMLLKDQYLLETAKALVRNPVPVHFVNASADRWSEKGSSLFSDIAREVIRKKGKRTEAIVEVSMANTTNMKLQYLDDLIMELDGDIESLNISKKSQHLGEDCTAENLRMILHRDMQNNHPDANSMWDFGWNRISDLPIERNEVVRDLEKNILGGMITEVARELIELSVRHGCCACEA >ONIVA03G37480.3 pep chromosome:AWHD00000000:3:31817541:31820963:1 gene:ONIVA03G37480 transcript:ONIVA03G37480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVAFETARKIIMHPLYTPRSSPWLDLKVFYVRVSNCEVDESAPDRLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDKMTEEATFVSTDSIRMTGSVRFQVFDKNDLLLTGDLELCSANGVVGESKNSSKRWNMKCQPASSCNGFLKGKPSTASESVHPVIEVYLAGTFCGTPIILTKTVQHISRRKSQMKLKLDSIPENEATEQQKEELNEDSLQIQRIMITDMSKYCKVLISQIFDSLYPFLPYRSFQVSESQNPKSEQDVDVDYNSLYSRQDFIEGEDGELSWFNAGVRVGVGIGLGICVGVGLGVGLLVRTYQSTSRNFRRRLP >ONIVA03G37470.1 pep chromosome:AWHD00000000:3:31811278:31815441:1 gene:ONIVA03G37470 transcript:ONIVA03G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF59) [Source:Projected from Arabidopsis thaliana (AT3G50845) TAIR;Acc:AT3G50845] MTVGMINANPVVHERPERAAHPHPADAIDALDVFDTVRDIKDPEHPYSLEQLSVLSEESVSVDEKLGRIQITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKIDIKVAPGSLANEESVNKQLNDKERVAAALENPNLRQLVDECLCSNDSHSH >ONIVA03G37460.1 pep chromosome:AWHD00000000:3:31808362:31810776:-1 gene:ONIVA03G37460 transcript:ONIVA03G37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT2G22070) TAIR;Acc:AT2G22070] MRDAAALELHAADAAAAARPAMVAASDHYARLLQLCQTAANPGAGRAIHARAVKAGLLASAYLCNNLLSYYGETAGGAGGLRDARRLFDEIPLARRNVFTWNSLLSMFAKSGRLADARGVFAEMPERDAVSWTVMVVGLNRAGRFGEAIKTLLDMTADGFTPTQFTLTNVLSSCAVTQAGAVGRKVHSFVVKLGLGSCVPVANSVLNMYGKCGDAETASTVFERMPVRSVSSWNAMVSLNTHLGRMDLAESLFESMPDRSIVSWNAMIAGYNQNGLDAKALKLFSRMLHESSMAPDEFTITSVLSACANLGNVRIGKQVHAYILRTEMAYNSQVTNALISTYAKSGSVENARRIMDQSMETDLNVISFTALLEGYVKIGDMESAREMFGVMNNRDVVAWTAMIVGYEQNGRNDEAIDLFRSMITCGPEPNSYTLAAVLSVCASLACLDYGKQIHCRAIRSLLERSSSVSNAIITMYARSGSFPWARRMFDQVCWRKETITWTSMIVALAQHGQGEEAVGLFEEMLRAGVEPDRITYVGVLSACSHAGFVNEGKRYYDQIKNEHQIAPEMSHYACMVDLLARAGLFSEAQEFIRRMPVEPDAIAWGSLLSACRVHKNAELAELAAEKLLSIDPNNSGAYSAIANVYSACGRWSDAARIWKARKEKAVRKETGFSWTHIRSKIHVFGADDVVHPQRDAVYAMAARMWEEIKGAGFVPDLQSVLHDVDDELKEELLSRHSEKLAIAFGLISTPEKTTLRVMKNLRVCNDCHAAIKAISKVTDREIIVRDATRFHHFRDGLCSCKDYW >ONIVA03G37450.1 pep chromosome:AWHD00000000:3:31804664:31810523:1 gene:ONIVA03G37450 transcript:ONIVA03G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:flavodoxin family protein / radical SAM domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G75200) TAIR;Acc:AT1G75200] MPPPFPTATAAASTTSHLALLLLLSSSSVFFLYKSLRLRRNNPPSPPPGQGPAPTPTLLYASATGTSKALAAGLSRRLAEAGVTAHPADAAAFDPDDLPSLPLLLLVLPTHDGGAPPPAAAFLARWLEESAADFRAGAALLSGLRFAVFGVGSRAYGETFNAAARSFSRWLRALGAAEVVAVGEGDVDGGDLEVVFEEWCGRVVRVVKGEEIGEGHNGESDGFDELEEEESDDDDDEEEVDGGEVDMEDIAGKAPAARRRNGKVEGALSNGGENGVRDMVTPIIRTSLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDDPLDIVNAAIDQHTKMVKQMKGVPGVKPERLAEGLSPRHCALSLVGEPIMYPEINVLIDELHRRHISTFLVTNAQFPDKIKTLKPITQLYVSVDAATKESLKAVDRPLFSDFWERFLDSLKSLHDKDQRTVYRLTLVKGWNAEEIDGYAKLLSLGQPDFIEIKGVTYCGSSATSKLTMENVPWHSDVKDFSEALALKSGGVYEVACEHAHSCCVLLAKVDKFKINGKWHTWIDYDRFHELVTSGKPFRSQDYMALTPSWAVYGAEEGGFDPDQSRYKKERRHGAAALKD >ONIVA03G37440.1 pep chromosome:AWHD00000000:3:31798928:31804184:1 gene:ONIVA03G37440 transcript:ONIVA03G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19430) TAIR;Acc:AT1G19430] MTVSRGPPGRPAASSGCLGCWRRRPPLGFGAKVTIAIALGLSFAIVWTSLSPTSSSQQISTERSSFAADIVDPPPASHNHTATTTTTAAAAGGHRKPRPTTSRSHKKRHPPAGSHPHRPNATASPDSTPANADHAVQSKPAEPEPEPGTELEEEEVEQDQEEKEAELPMPEESGDGKAPEEESEKAPELELDELSEGDGYGEEAEEGQKKAPKKTKKQPPLFSSSAHYHWKHCGAKSGHHYIPCVDFDGDGSQRHRERSCPRLPATCLVSMPKEYKPPAPWPERKEKVWYGNIGHPRLSSYVKGHGWLNRTGDYLMFPPDEWEFKGGSRHYVEAIDEMAPDIDWGKNIRVVLDIGCKSAGFGVALLEKDVITLSLGLTNDQTDLAQVALERGIPATVGSLGSKRLPFPSGAFDAIHCGDCNIPWHSNGGKLLLEINRILRPGGYFIISSKHGDLESEEGISASMTAICWNVIAYNSDDVSEAGVKIFQRPPSNDEYDLRAKKDPPFCKEDQNKAPAWYTLIRHCLHKAPVGIEERGSEWPEEWPKRIETFPEWLGDLQTRVEADHKHWKAVVEKSYLDGLGIDWSNIRNVLDMRAVFGGFAAALASKKVWVMNVVPVHAPDTLPIIYERGLIGVYHDWCEPFSTYPRCKQPVSIVVEMDRILRPGGWAIIREKLEILDPLEKILKSLHWEIVMAFRKDKAGIMSVKKTTWRP >ONIVA03G37430.1 pep chromosome:AWHD00000000:3:31793731:31796279:-1 gene:ONIVA03G37430 transcript:ONIVA03G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G42760) TAIR;Acc:AT5G42760] MSKEEEEREKVTAAAAAASEVVVVNGGGGEEEGEGVRALHARVEAEWGPVMQSACQTAAARALWGRAVRDPAAGVLAGGRFLAALRERMRRDEEDGAREVHGVMIAVRTLWFDARVEAAVASLGGAAQVVLLGAGMDARAYRLSCLKECTVFELDFPELLEMKTDLLHEAMSSANNQKLTMMAKSLTRVPADIRDGDWITKLQSYGYVPERNTIWVLEGILYYLHHVHAMQVLETIVACRTSVHTVLLADFMNKNAVSLSRAMYHFYHDSPDLLLPSIGFSQVTLSQIGDPQAHFGLLSHPQNLFDKLRRLPRSVETNPEDGTPCCRLYLVEASAFPDDQITKQGI >ONIVA03G37420.1 pep chromosome:AWHD00000000:3:31781713:31788881:1 gene:ONIVA03G37420 transcript:ONIVA03G37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSRLKAVSELLLRRIELGNGTETSRRQCGGSCSCKCHVELGSGNAQKDLDETGAERGGRGSAASELGKGAARRLRRRAKLTVCVCVYYRPGLDLRRTSDLAGSRL >ONIVA03G37410.1 pep chromosome:AWHD00000000:3:31754672:31787979:-1 gene:ONIVA03G37410 transcript:ONIVA03G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELMTSEPPVFHPLPVNFLTPCRFPTRCGGEGARRQPSGATATVPFPNSGDEQSFGDGRVPQVEVLAHVSYVLKEFGFCHNEICIDVINLRPYDCKISDRELHFKGCEKGSTYRMGSNIHKEKTGDLH >ONIVA03G37400.1 pep chromosome:AWHD00000000:3:31749152:31749700:1 gene:ONIVA03G37400 transcript:ONIVA03G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNPNPRGAMQRRYAAGSEDFGMSQGCSFPARLPVGSSDLPLIRCPRCGAAVVECRSMRHGGKVFFKCEENEQDVPNCCKFFKWIESYRKMVEGMSEHVVDEGPSDVAVVDGSIEMKRSSVDDGKIDKLINLIKVLVMINIGMLFLGFIGVFVMILK >ONIVA03G37390.1 pep chromosome:AWHD00000000:3:31744405:31745518:-1 gene:ONIVA03G37390 transcript:ONIVA03G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYQWECLLAHILKKRIIIADDWENSYGKIKILFHEFIMEDYDGGKPIFMGVCDGQFIQLFKADGTPEEFGLATLAYILWKRNILIAARVLKGVLCPNNNVAESLACSALMQDCLLREDVDHLSILTDSGAVHRAISGEPVSEHGPNSDEYALLKFLASKFKTCTSTQQPREVIFPVDQLIREMEESTNVRDSLEKVLAKFAPHFGEQPLFRLSQNSSAKDIINSLGGRILNYAHKAQEKSYIHVDENFKLQAYIHITNDLFPLKVVLVFDSFEKKPLSFQNEVNKLLPRGTVKLVEGECTTFTICRVSFLGVCANAMFFSDGIIACTLLGCETV >ONIVA03G37380.1 pep chromosome:AWHD00000000:3:31743563:31744961:1 gene:ONIVA03G37380 transcript:ONIVA03G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLFAAGRVCGLRRRNLMEREICRGKVNYMWKTSLQKRDKEVGMQGGAPGTRMPPGHRICTPPSSVSAFRFCTVMMLLADLTANRSRFDCPID >ONIVA03G37370.1 pep chromosome:AWHD00000000:3:31731568:31734897:1 gene:ONIVA03G37370 transcript:ONIVA03G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: biological_process unknown; LOCATED IN: thylakoid, chloroplast thylakoid membrane, chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Twin-arginine translocation pathway, sign /.../uence (InterPro:IPR006311); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G42765) TAIR;Acc:AT5G42765] MKAHTKTKQIPELPMSGPMRAIHSLAAAPAAPAPATETATRPLRRRTSAARASRESSRQPQALPDLPSRRAALLALVLAGSTPRPPTAAAAFSLGIRTNTSLDSALVAARRRPCSHLFSSPVVLAVVVCWDAAAGPKELLREQKKKSARFLLAPIAASREILLKAQSLLASANVGADDVEEVGRMLAAAGRDCVPRQRNSLVSLQSRSGVEVFDGITLQVCTFSLILKNAASLLTDKDPLKVEADARLAELIQSFSDLGTVVDNSNIELTADREKLKDGLLSTVSAIDKFEQSVKDCLGI >ONIVA03G37370.2 pep chromosome:AWHD00000000:3:31731568:31733700:1 gene:ONIVA03G37370 transcript:ONIVA03G37370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: biological_process unknown; LOCATED IN: thylakoid, chloroplast thylakoid membrane, chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Twin-arginine translocation pathway, sign /.../uence (InterPro:IPR006311); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G42765) TAIR;Acc:AT5G42765] MKAHTKTKQIPELPMSGPMRAIHSLAAAPAAPAPATETATRPLRRRTSAARASRESSRQPQALPDLPSRRAALLALVLAGSTPRPPTAAAAFSLGIRTNTSLDSALVAARRRPCSHLFSSPVVLAVVVCWDAAAGPKELLREQKKKSARFLLAPIAASREILLKAQSLLASANVGADDVEEVGRMLAAAGRDCVPRQRNSLVSLQSRSGVEVFDGITLQVCTFSLILKNAASLLTDKDPLKVEADARLAELIQSFSDLGTVVDNSNIELTADREKLKDGLLSTVSAIDKFEQSVKDCLGI >ONIVA03G37360.1 pep chromosome:AWHD00000000:3:31721472:31727983:-1 gene:ONIVA03G37360 transcript:ONIVA03G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFARRPLATAASHLAPFSPPLLLFFASASSSCSSAASPSSASGPRGCSAVRMETGAVEPASTGAIWSTPSVESRTISVGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYHGTIEKLVKDLGYPEELLTWQFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVSAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDNNPGKVPSGTDYPLMYRDVRSAVDLCHRDGTLKRMVAKDPSRYINEDLAIVPMLEMIRKSGRSTFLVTNSLWDYTDVVMNYLCRPYTSDVSSSHNHKWLGYFDVVITGSAKPGFFHDGNRAGLFEVEPDSGKLLNADLHIGSPRSGQQPSRPIHKIYQGGNVGHLHRLLSVASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEQEVKLLSESKSTRKELRHLRMERDSIEDKIHRLEWSLKFENLTEDEKEKLFSEHDILLQKKEHVRRLHQEAQRQHHHKFHKVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNFALYSPNKYYRPSEDYMPHEFDVLGL >ONIVA03G37350.1 pep chromosome:AWHD00000000:3:31716613:31719691:-1 gene:ONIVA03G37350 transcript:ONIVA03G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLARQAAQALRARQMAQLGPSATAMQGHIRTYMGAGKPTRFKGQDEEKEKLAKEIAKDWNAVFERSINTLFLTEMVRGLMLTLKYFFEKNINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAANLESESLYR >ONIVA03G37340.1 pep chromosome:AWHD00000000:3:31702165:31707088:1 gene:ONIVA03G37340 transcript:ONIVA03G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLLLLLVGVAAAAADADADADALLAAKAAMSDPTGALASWGGNGTRTNTTAAAAAHCAWAGVTCSSRGAVVGLDVSGLNLSGALPAELTGLRGLMRLSVGANAFSGPIPASLGRLQFLTYLNLSNNAFNGSFPAALARLRGLRVLDLYNNNLTSPLPMEVVQMPLLRHLHLGGNFFSGEIPPEYGRWGRMQYLAVSGNELSGKIPPELGNLTSLRELYIGYYNSYSGGLPPELGNLTELVRLDAANCGLSGEIPPELGKLQNLDTLFLQVNSLAGGIPSELGYLKSLSSLDLSNNVLTGEIPASFSELKNLTLLNLFRNKLRGDIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLLDLSSNRLTGTLPPELCAGGKMHTLIALGNFLFGAIPDSLGECKSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGNFPAVSGAAAPNLGEISLSNNQLTGALPASIGNFSGVQKLLLDRNSFSGVVPPEIGRLQKLSKADLSSNALEGGVPPEIGKCRLLTYLDLSRNNISGKIPPAISGMRILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPGLCGPYLGPCRPGVAGTDHGGHGHGGLSNGVKLLIVLGLLACSIAFAVGAILKARSLKKASEARVWKLTAFQRLDFTCDDVLDCLKEENIIGKGGAGIVYKGAMPNGDHVAVKRLPAMGRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLQDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRMMTDSNKEQVMKVLDPRLSTVPLHEVMHVFYVALLCIEEQSVQRPTMREVVQILSELPKLAPRQGEVLSHAVDGFASNPPAPVPSGSAEALTGDAKDQQQQQTNSESTTPPDLISI >ONIVA03G37330.1 pep chromosome:AWHD00000000:3:31703190:31713192:-1 gene:ONIVA03G37330 transcript:ONIVA03G37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDSASHRIARVAAHLSPSPRPQMEEGVRPAPCRAKGGAPGFKVAVLGAAGGIGQPLSLLMKLNPLVSVLHLYDVVNTPGVTADVSHMDTTAVVRGFLGPNQLEAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRSLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVARANTFVAEVLGIDPKDVNVPVVGGHAGVTILPLLSQVHPPCSFTPDEISYLTKRIQNGGTEVVEAKAGAGSATLSMAFAAAKFGDACLRAMRGDAGVVECSYVASAVTELPFFATKVRLGRAGAEEVLPLGPLNDFERAGLEMAKKELMESIQKGIDFMNKSGPHGLYPTVIAAARLFSPLPSSRFAGGERSGAGAGGGGRELGRSLHENSRESGSDGMPPARLFTCKNSVSRFCSFPSSGGISPESPQLAASRRTSSVRFPNSGGSPPE >ONIVA03G37330.2 pep chromosome:AWHD00000000:3:31700020:31713192:-1 gene:ONIVA03G37330 transcript:ONIVA03G37330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDSASHRIARVAAHLSPSPRPQMEEGVRPAPCRAKGGAPGFKVAVLGAAGGIGQPLSLLMKLNPLVSVLHLYDVVNTPGVTADVSHMDTTAVVRGFLGPNQLEAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRSLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVARANTFVAEVLGIDPKDVNVPVVGGHAGVTILPLLSQVHPPCSFTPDEISYLTKRIQNGGTEVVEAKAGAGSATLSMAFAAAKFGDACLRAMRGDAGVVECSYVASAVTELPFFATKVRLGRAGAEEVLPLGPLNDFERAGLEMAKKELMESIQKGIDFMNKSGPHGLYPTVIAAARLFSPLPSSRFAGGERSGAGAGGGGRELGRSLHENSRESGCSKPTVRDNGTDAEQLIGLQART >ONIVA03G37330.3 pep chromosome:AWHD00000000:3:31700020:31703174:-1 gene:ONIVA03G37330 transcript:ONIVA03G37330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLRLVRFPNSGGILPESSFPETARYCIRPHRPYSGGISPEKKLPPRCRWRSSGICTTSMGSGLVEHPEPPEARERRREGAIERVVGEVEVRNWSRPSDAGMGPEKALAPTDSRMSPRSPVSSAGSAPERLSPDTSRPTTAPRELHVTPAHAQCAAAAAVVFVLVPLPPHDASAPVGSDMAAFAASSACSKPTVRDNGTDAEQLIGLQART >ONIVA03G37320.1 pep chromosome:AWHD00000000:3:31687521:31695207:1 gene:ONIVA03G37320 transcript:ONIVA03G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49650) TAIR;Acc:AT3G49650] MPSIRAPASKQTATLQVAVKCRPLTDSEQRRSRHIIQVIDDKNVVVLDPDLSKDYLELIQNRTKERRYSFDHVYAPGCSNADVYKNISSTIAGVVQGLNATVFAYGSTGSGKTYTMVGTHSDPGLMVLSFRTIFDLVKKDDSKDTFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPVHGIMVAGLRSIKVHSADKILELLNIGNSRRKTESTEANSTSSRSHAVLEITVKRKQKGQYGSQVLRGKLALVDLAGSERASETNNFGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTVMVATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGHLDTHVEDYKRMIDNLQVEVSQLKKELAEKEHQLSVKPTEKAADNELSWLNILSQETGENVQERINLQKALFELEETNKRNRMELQHLDDAIARQQVKDKDSAVLQALTSRRQVILDNIRDNDEAGAGYRKDIELNESRKRQLQDMIEEATSNNGNRTYLHILSQYRLLGMTNAELQIEMAMRDQVIYNQRESLRSLWNIIYGTGLNQKQISKLAAKQGLTIEGCPLPVSSPDVTTPPSFSPHGRLSPFMSFPSPQSQPYSPSACFVQHGFSTMSYLRNQHETPTVCRQEHLSSYYMMSECSPFDGDGKQKTNGRSMPYFSTPGKPKEMYNFSPGTESERTPCSKEYPTSYSRNGDSRFLVYRRKAVRKKGHHQHSSIAHYQAGRLPLEPVPTSSANRPNTNVTLSRISISSGSFNNLLGVTHLTYSGDHHSCQLR >ONIVA03G37310.1 pep chromosome:AWHD00000000:3:31673230:31677266:-1 gene:ONIVA03G37310 transcript:ONIVA03G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCLVAVAVLLLVASHAARGEERRRLLLARQDVLALHGLRGSIGVRAEYWPVKRYPCSWTGVVCRAGRVAELRLTGLRRTRAGARSQGFTVDPLRELTALEVFNASGFPLPGRIPAWFGTGLPASLEVLDLRSTSVNGTLPADLGMSGNLTTLDLAGNSISGSIPATLFLIKGLKFLDLSDNNLTGELPNVSISAGDGTGVFNASGNSLYGAIGDVLGPLRKRFRQVDLSRNYFTEVIGTGFGNSSDGAVDINMNCLSGSSQRSQGDCEAFYKRIGVSLPEPPNALPSPSKKGVKWKYVLAGVLAAAAFLVVLFLVALVFCLMKSGGQRLRGRGLEQNEEGIRSGRRSSSVNPVMLSSMAESLAANGAVKGLPTIVDEFTYEQLHNVTGGFGDDNLVKHGHSGAIYLGELESGFNVVVKKVDLKSSNKNLGELGFLAKNSHGRIVPLLGHLATDEEELLVYKYMAKGDLTSALHRKSVDAEEGLRSLDWITRLKIAIGVAEALCFLHDECSPPLVHRDIQASSVLLDDKFEVCLGSLSDVCTQQSEGSRSFFSRMLRSSKSLDKNASGPPASCSYDVYCFGKVLLELITGNFGVSGSNDTGSEEWLARTLDFIDAHDKEGLSNIVDPSLVVDEDHLEEVWAVSIVAKTCLNPKPSRRPLARYILKALENPLRVVREELQSNSSLTSTSSRSSWHFAFHGDSCRSSELRPTLGQSARKQSVKSQGSDEDEDEEEDSFSFKRASREILPDPVELEKDAVL >ONIVA03G37300.1 pep chromosome:AWHD00000000:3:31663886:31672373:-1 gene:ONIVA03G37300 transcript:ONIVA03G37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKADNLAGYNRQRPFGQRRAELQASHSIARAELEPNNPQELQSPDSALSLFRLARSSSARSPPFGPKFPSAAHRHPLHLTSSGGEHALASSPSQSQPPRAGLDPEATPPASIASRFESKDQEKLGVQLSSMPQVRPASAEKPTRQWAAWTRQEEQSFFNALRLEGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTVAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDECLSSSSPILNRTAGNESSSVKLLPVDVSNGSKVASSKGAVFKRGAEPNSNNKSGSTKWDLSATITVKQKRRAGGGIASTAYKKWERDAMAGVSLVADAAEELERNTVNVDARTLSPSSNNVCTVDDPGTNRMNEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTVSGRKKISSVLEHLNRKWGSSNIASGELLLFPYCAHREDLATYQRWTTRDTVVVADVFLSVNSPPVFRLRYGWFSLAELEGGSGISSTHFKNCMMPEDIHAKSPSDACVQKDGTFLNSCAPEEHLCNSKDRPALFLAMTFSTGKSAKGQEQHSDFPASWFSRQKQEKDSTNQVLEANLGMDCAIISEGEWADTLTDISVGYLLTEASIGTNTDCPGTAIAKNTLFLENPCSYDSFDAAVALHASRHKTVEQPALASHSTIWGAEETCDEFSFNLAASRKQESSNTSASSSPGSDSVVLPSTSQGFQGFLQDLAGAKVADNPCMDDAKDMEALCANSPPRSKSDSGLKDQSLADLYWADSLGPLDLEIPSVRYQAEDLLLGDSQNSWNRMMVNSLDAFRNLSFFMADKNDSIPSVMRRQNFLMGLVRLET >ONIVA03G37300.2 pep chromosome:AWHD00000000:3:31663886:31672373:-1 gene:ONIVA03G37300 transcript:ONIVA03G37300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKADNLAGYNRQRPFGQRLQSPDSALSLFRLARSSSARSPPFGPKFPSAAHRHPLHLTSSGGEHALASSPSQSQPPRAGLDPEATPPASIASRFESKDQEKLGVQLSSMPQVRPASAEKPTRQWAAWTRQEEQSFFNALRLEGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTVAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDECLSSSSPILNRTAGNESSSVKLLPVDVSNGSKVASSKGAVFKRGAEPNSNNKSGSTKWDLSATITVKQKRRAGGGIASTAYKKWERDAMAGVSLVADAAEELERNTVNVDARTLSPSSNNVCTVDDPGTNRMNEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTVSGRKKISSVLEHLNRKWGSSNIASGELLLFPYCAHREDLATYQRWTTRDTVVVADVFLSVNSPPVFRLRYGWFSLAELEGGSGISSTHFKNCMMPEDIHAKSPSDACVQKDGTFLNSCAPEEHLCNSKDRPALFLAMTFSTGKSAKGQEQHSDFPASWFSRQKQEKDSTNQVLEANLGMDCAIISEGEWADTLTDISVGYLLTEASIGTNTDCPGTAIAKNTLFLENPCSYDSFDAAVALHASRHKTVEQPALASHSTIWGAEETCDEFSFNLAASRKQESSNTSASSSPGSDSVVLPSTSQGFQGFLQDLAGAKVADNPCMDDAKDMEALCANSPPRSKSDSGLKDQSLADLYWADSLGPLDLEIPSVRYQAEDLLLGDSQNSWNRMMVNSLDAFRNLSFFMADKNDSIPSVMRRQNFLMGLVRLET >ONIVA03G37300.3 pep chromosome:AWHD00000000:3:31663886:31672373:-1 gene:ONIVA03G37300 transcript:ONIVA03G37300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKADNLAGYNRQRPFGQRLQSPDSALSLFRLARSSSARSPPFGPKFPSAAHRHPLHLTSSGGEHALASSPSQSQPPRAGLDPEATPPASIASRFESKDQEKLGVQLSSMPQVRPASAEKPTRQWAAWTRQEEQSFFNALRLEGKNFEKITLRVQSKNKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTVAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDECLSSSSPILNRTAGNESSSVKLLPVDVSNGSKVASSKGAVFKRGAEPNSNNKSGSTKWDLSATITVKQKRRAGGGIASTAYKKWERDAMAGVSLVADAAEELERNTVNVDARTLSPSSNNLFPINEATRKALEKDEHNPHLELTVSGRKKISSVLEHLNRKWGSSNIASGELLLFPYCAHREDLATYQRWTTRDTVVVADVFLSVNSPPVFRLRYGWFSLAELEGGSGISSTHFKNCMMPEDIHAKSPSDACVQKDGTFLNSCAPEEHLCNSKDRPALFLAMTFSTGKSAKGQEQHSDFPASWFSRQKQEKDSTNQVLEANLGMDCAIISEGEWADTLTDISVGYLLTEASIGTNTDCPGTAIAKNTLFLENPCSYDSFDAAVALHASRHKTVEQPALASHSTIWGAEETCDEFSFNLAASRKQESSNTSASSSPGSDSVVLPSTSQGFQGFLQDLAGAKVADNPCMDDAKDMEALCANSPPRSKSDSGLKDQSLADLYWADSLGPLDLEIPSVRYQAEDLLLGDSQNSWNRMMVNSLDAFRNLSFFMADKNDSIPSVMRRQNFLMGLVRLET >ONIVA03G37290.1 pep chromosome:AWHD00000000:3:31657321:31658655:-1 gene:ONIVA03G37290 transcript:ONIVA03G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALRMPVAPPAAGAGAGVHPSTAPCYCKIRLGRMPWQVAAAPLVVADGGEQAPSGALAAAFHLSKADLEWFARKPSLLFSSSSSSRGPATLKVAVYAGRKGTTCGVSSGRLIGKATIPVDLKGAEAKAAVVHSGWICVGKKSGGKGGSAAAELSLTVRAEPDPRFVFEFDGEPECSPQVLQVRGSMKQPMFTCKFGCRSNSDLRRSVVQTERDAAAAAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGAWLILRPAGDGSWEPWGRLECWRERGGAGASDSLGYRFDLLLPGVDHAVPLAESSIAASKGGKFAIDLTSMQPQSRGGTPGCSPRGSGDFSQWPLSSYSYRGFVMSSSVQGEGRCSKPTVEVGVPHVGCAEDAAAFVALAAAVDLSMDACRLFSHKLRKELSHLRSDVLR >ONIVA03G37280.1 pep chromosome:AWHD00000000:3:31655066:31656019:1 gene:ONIVA03G37280 transcript:ONIVA03G37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQKNISKRKNTRLKHSIVVAAISARESPAALSAARIVFSAINRSNRTQQHHAGLDETPYPSIWP >ONIVA03G37270.1 pep chromosome:AWHD00000000:3:31648872:31649594:-1 gene:ONIVA03G37270 transcript:ONIVA03G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQPSSRCHRSKKLLRPKHILQVVLLVAVSVWLVYQLTRNRRRAVAVEGGGAAMDGEVTRRRLGRKGFIVFAGDASDGDGVRRSIGGRSNVATEAEMERGVTSDQVGDGDRGGEGDVETGEEKEEEDDDGDGYIADDGLPGDEDDDGGDLRHLQADEMDVISFGPHTNSSDSIAAGPLVNGVADDMNRTAVINTSVNDSGVSLNPPVTGSLRYNHRKATGNIEALGGLEPTITNDMEED >ONIVA03G37260.1 pep chromosome:AWHD00000000:3:31646644:31650235:1 gene:ONIVA03G37260 transcript:ONIVA03G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTPSPSDASPAKTMKPFLPSRRRVTSPSMAAPPPSTATARRRLRVSWYTSQTLTATSRTTWRMCFGLRSFFDLWHLLDGCLSIVNQSQFVCVVVDLLVFSSRFLLEQSEKF >ONIVA03G37260.2 pep chromosome:AWHD00000000:3:31646493:31649516:1 gene:ONIVA03G37260 transcript:ONIVA03G37260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVHIICNAIHQWTSSYGVRRVRVRTKADDIHFICLKVTEISAVVVFVAGKAIVGNVTVAVVVFFFFFFTGLDVSLTASITIAHLIRRDAPFHFGLGGNIAPATDAPTNAITIRRVAGEDNEALPAQPAPRHLTVHGSAAALHGHCTSPVACELVHQPDTDGD >ONIVA03G37260.3 pep chromosome:AWHD00000000:3:31646493:31650235:1 gene:ONIVA03G37260 transcript:ONIVA03G37260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTPSPSDASPAKTMKPFLPSRRRVTSPSMAAPPPSTATARRRLRVSWYTSQTLTATSRTTWRMCFGLRSFFDLWHLLDGCLSIVNQSQFVCVVVDLLVFSSRFLLEQSEKF >ONIVA03G37260.4 pep chromosome:AWHD00000000:3:31646493:31649516:1 gene:ONIVA03G37260 transcript:ONIVA03G37260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAARSGLRSLAARAKATAAPAARRRMSSSAHDDAHETAKWEKITYAGIVTCTLLAAYNLSKGHPHFDEPPAYPYLHIRNKEFPWGNSVHIICNAIHQWTSSYGVRRVRVRTKADDIHFICLKVTEISAVVVFVAGKAIVGNVTVAVVVFFFFFFTGLDVSLTASITIAHLIRRDAPFHFGLGGNIAPATDAPTNAITIRRVAGEDNEALPAQPAPRHLTVHGSAAALHGHCTSPVACELVHQPDTDGD >ONIVA03G37250.1 pep chromosome:AWHD00000000:3:31642185:31644219:-1 gene:ONIVA03G37250 transcript:ONIVA03G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVATLLLLLPLDLAVANGAVDEFAYNGFAGAGDGELVLDGAASVTPDGLLRLTGGSGEKGHAFYARSLGFRNGSGGGGGVRSFTSTFVFGIMSSFTDLAGHGIAFAVSSTRDFSGAAAAEYLGLFNRATNGDPASGRVLAVELDTMYTPEFRDIDDNHVGVDVWVEYDAGDARLDVTLHQLTKPKPARPLLSVKPANLSAAFSDQMYVGFSSSTGSDDTSHYVLGWSFSLSGIAQDLDYAKLPSLPPVTATAASTKHMPVKIWLPVSLSVTVVAAIVMFLLFRRQRRAIYVELVEDWEVEFGPHRFAYKDLHKATKGFHDDMVLGVGGFGKVYKGVMPGSGIDVAIKKICHDSKQGMREFIAEIVSLGRLRHRNIVQLLGYCRRKGELLLVYDYMINGSLDKYLYGEGKPILNWAQRINIIKGASSGLLYLHEEWEQVVIHRDIKASNVLLDSNMNRRLGDFGVARLYDHGAEPSTTTIVGTMGYLDPELTRTGQATTSSDVFAFGAFVLEVVCGRRPVQPRAAAGGERLVLVDWVLRSWRSGEIAGAVDARLGGGFAAGEAEAMLKLALLCTHRLPAARPGMRRVVQWLDGGGGDVLDQLSPGHMDVAAPAFLCHDDDDDDDFVAMSFPSASTATSPTTRFTG >ONIVA03G37230.1 pep chromosome:AWHD00000000:3:31637412:31639445:1 gene:ONIVA03G37230 transcript:ONIVA03G37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKGVGSLLPGLAVVLVGFVVGGAGSDDRFVYAGFTGAPLALDGTAAITASGLLELTNGTAQLKAHAVHPAALRFHGGGGGAGAVRSFSTSFVFGIIPPYSDLSGHGIVFFVGKNNFTAALPSQYLGLLNSTNNGNTTNHIFGVELDTIVSSEFQDPNDNHVGIDINSLKSVAVNTAGYYDDKTGAFHDLSLISGKAMQVWVDYDGATTQISVFMAPLKMSKPTRPLVSAVYNLSQVLVDPVYVGFSSATGTVRSRHYVLGWSFAMDGPAPAIDIAMLPKLPFYGTKARSKVLDIVLPIATAVFVLGVVVVVVLLVRRRLKYAELREDWEVEFGPHRFTYKDLFRATEGFKAKMLLGIGGFGRVYKGVLPKSNMEVAVKKVSHESRQGIKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELILVYDYMPNGSLDKYLYDDKNKPTLDWTQRFRIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARSGKASPLTDVFAFGAFLLEVTCGRRPVEQAMQDNQVMLVDWVLEHWQKGSLTKVIDARLHGNYNIDEAILVLKLGLLCSHPLPGARPSMRQVVQYLEGDMPFPELTPTHLSFSMLALMQNEGFDSFVMSTSLPSETMMTIGTMSGLSGGR >ONIVA03G37210.1 pep chromosome:AWHD00000000:3:31615974:31620389:1 gene:ONIVA03G37210 transcript:ONIVA03G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFASLAGGGSSSTTARLPELIPPENPDRISPPPLLYQLLAGSASSARHGHGHHHGGGGGAAAAAVQGLQVSPAGAEAAMKAEIMSHPQYSALLAAYLGCKKVGAPPDVLTKLTAVPAAQQQLDAADGHPRRRHEPRQSNCEGTGSSEEEQDQDTSCPEAEEIDPSDKQLKHQLLRKYGGSLGDLRQEFSKRTKKGKLPKEARLKLLHWWELHYDKWPYPSVRTHIYASHLINSTTFFCTKLDLMKMRELLGCTCVYEQEMEKMTLAQTTGLDQKQISNWFINQRKRHWKPTPVAGMTFPTVEAAGGGFRHSGHDGGLAAAAAAAALPLYMGSWPFVVDGMYRLGS >ONIVA03G37210.2 pep chromosome:AWHD00000000:3:31616406:31620389:1 gene:ONIVA03G37210 transcript:ONIVA03G37210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFASLAGGGSSSTTARLPELIPPENPDRISPPPLLYQLLAGSASSARHGHGHHHGGGGGAAAAAVQGLQVSPAGAEAAMKAEIMSHPQYSALLAAYLGCKKVGAPPDVLTKLTAVPAAQQQLDAADGHPRRRHEPRQSNCEGTGSSEEEQDQDTSCPEAEEIDPSDKQLKHQLLRKYGGSLGDLRQEFSKRTKKGKLPKEARLKLLHWWELHYDKWPYPSVRTHIYASHLINSTTFFCTKLDLMKMRELLGCTCVYEQEMEKMTLAQTTGLDQKQISNWFINQRKRHWKPTPVAGMTFPTVEAAGGGFRHSGHDGGLAAAAAAAALPLYMGSWPFVVDGMYRLGS >ONIVA03G37200.1 pep chromosome:AWHD00000000:3:31606387:31610830:1 gene:ONIVA03G37200 transcript:ONIVA03G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGQIDGFSNNGNTTNHIFGVELDTIVSSEFLDPNDNHVSIDINSLKSIAVHTTGYYDDKTGAFHDLSLISGKAMQLHLTAVKTKGKHLLHFEKVEVCSEVIWRSGHEKVTSEQKDLIRRGVWTGRRPTDGEAGGARRERRRSGCRRRLALWRLLRPRAQRREAVPFRDQARNTAALPRPLDVDAGVEAVPELLRAHRRGPRRQRGERGYAGWWGPSTSSRPSGLRAAGVVEFLRRLSTKEVRVRSSLAALGGTGIRVVGGAPNYDFPALAHGGTAATAAWIQAYPMMLFRFVIVGNEVAGADTQLLVPAMENVHAALAVAGLGHIKVTTSISQATIGIHIPPSASEFTDEAKSSFLSYVIPFLEWTHAPLLANLYPYFIYSYNPGGMDISFALFTASERAAAGGGGVRARGGRRSGVERRRARSGWPQAGERAAG >ONIVA03G37190.1 pep chromosome:AWHD00000000:3:31604001:31604491:-1 gene:ONIVA03G37190 transcript:ONIVA03G37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPLLGDAESLRWGLGALVDTATAPAISPSTLDLIAGRRPTAVGRNPRRLRERERGDGDGDDGSTAATTAPPSPPLQAPVLATEDHEDDVVVVVAAVATSSALASSARRRERAEKAAVAVAAGEGDEGDGAGARGREREGKDGDGAERRK >ONIVA03G37180.1 pep chromosome:AWHD00000000:3:31594553:31600280:1 gene:ONIVA03G37180 transcript:ONIVA03G37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFASLAGGGSSSTTARLPELISPENPDHISPPPLLYQLLAGPESSARQHGHDGHHHGGGGGEAAAAAVQGQVSPAGAEAAVKAEIMSHPQYSALLAAYLGCKKVGAPPDLLTKLTAVPAAQQLDEADGHPRRRHEPQRDDDPDQLDQFMDAYCSMLTRYREELERPIQEAAEFFSRVETQLDSLAESNCEGTGSSEEEQDQDTSCPEAEEIDPSDKQLKHQLLRKYGGSLGDLRQEFSKRTKKGKLPKEARQKLLHWWELHYKWPYPSEMEKMTLAQTTGLDQKQINNWFINQRKRHWKPTPVAGTAFPTMEAAGGGFRHSGHGGGLAAAAALPLYMGRPFVVDGMYRLGS >ONIVA03G37180.2 pep chromosome:AWHD00000000:3:31594553:31600280:1 gene:ONIVA03G37180 transcript:ONIVA03G37180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFASLAGGGSSSTTARLPELISPENPDHISPPPLLYQLLAGPESSARQHGHDGHHHGGGGGEAAAAAVQGQVSPAGAEAAVKAEIMSHPQYSALLAAYLGCKKVGAPPDLLTKLTAVPAAQQLDEADGHPRRRHEPQRDDDPDQLDQFMDAYCSMLTRYREELERPIQEAAEFFSRLKHQLLRKYGGSLGDLRQEFSKRTKKGKLPKEARQKLLHWWELHYKWPYPSEMEKMTLAQTTGLDQKQINNWFINQRKRHWKPTPVAGTAFPTMEAAGGGFRHSGHGGGLAAAAALPLYMGRPFVVDGMYRLGS >ONIVA03G37170.1 pep chromosome:AWHD00000000:3:31592469:31592975:1 gene:ONIVA03G37170 transcript:ONIVA03G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGGASRQDNEYVHGESTTRRHDDSFMVAVIACSSLPSVTAPMRTLDDDVGMWTVEDAASATSSGIRHGWWRMRPPAMSPAWGVAEEDAATGDELRRGTWMAEDVAAPATISGVDRGGCRCRRQALARDVDGGITGDDLWRGSWRMPPPATSSGTRRGRWDHRRRRF >ONIVA03G37160.1 pep chromosome:AWHD00000000:3:31584461:31592399:1 gene:ONIVA03G37160 transcript:ONIVA03G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEFGKHSRFVSEVIWRSGHEKVTSEQKIYQTGSRMAGCMRKLLLLPLHTTTQAPGRWRLHNVLATFLHVARGRSKLLACIHGASLRHVDDTLDEDRSTPPRGRHRCGNAIPRDTVLSAWDGIASSCTISLSHVFSPCVTAADGVPPIVVTLLQ >ONIVA03G37150.1 pep chromosome:AWHD00000000:3:31583092:31584350:-1 gene:ONIVA03G37150 transcript:ONIVA03G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDRIILSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQQQHLARQAAAAASDLQIKQEASRGANEADGLAAGANYTWHHHHAMAVPVHPMSAPMVVEGGRVGDDVDESIRKLLFKLGGNPFAASPAPPCIPPPPMYEEAPSFVPPLAHGVPLNEGGMQCSSVLPALELDESFHFNHVKLDGLECLFGMGDHQNMRWNEVSPLVCPNNAVASSSQGMQQYCLVEEPADLGMQ >ONIVA03G37140.1 pep chromosome:AWHD00000000:3:31572683:31575018:-1 gene:ONIVA03G37140 transcript:ONIVA03G37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIPSSKRDMARGAEDDGEEEEEEEYDRVFYEDIEAPKFVDLTAPDAARPSDDPSWFCLRIGCDQNHEHVDPEALHRSFFMRVMAARSPNVRLQKAIRRRNQSSMLKCPHSAPPKPPRSRIARLSTATEAAAKPRLKTHRICTLRASPTRTKAAKVEACGARKKALTTPRSKPVRPRQDPFLSVKHQKQEHVAAAARKGTVAKALFMATPKKDASKTPAKAQAAPPLSEVCSKMRKLNLACREVPSRYLCQSSDPKSSKKCDQAAVKSVKAAQNSRPDERKKKKILGCSLQHASSEAGKENRNGRENTAAEENACPEAASSSEEPKEVMQESRIEVETSQADNCDDDKENLSYVDQPTEQMVIISHSDGENLQQLENNENVPRKVAKMQSKLNAEQGGKLKKTTNPKPFRLRTDERGVLKEANPERHQTLTENNSTAAVQQIGRCRDGKGRDKPTCGEKQKKQIRNVATGQVDEAKRVLNSIRCNNVKPAMTNGKTVGKSQGASRVASSTRSTKTTSGSMAPSKVGKEKSTSVKLSRLQAAAA >ONIVA03G37130.1 pep chromosome:AWHD00000000:3:31570066:31584043:1 gene:ONIVA03G37130 transcript:ONIVA03G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRQGGVKTVNVTSIHGHKRRGMSAHTGQTHTDMCTNEWYGCVRACVHLLPVTYHVSTCVPDQVKDAPRIAGGRQDGVRKGRLHLDPAMQHVGPAPLRMPQKAVAAIRTEPPQPATNGYNYFSLLAVTNHRSYVGFLNQTAIADQVVWRFCKARRRRARQAVCCGCGVDVTLSRAATIPLGLTEIRPDGPIKAQDSRLPVSSASSLLVQRVRPHPAPLCRQNFPTQVWGSEACEGAEAEAEEAVETRDPDPRRLRMILSSSSENPPCLMFGRR >ONIVA03G37120.1 pep chromosome:AWHD00000000:3:31560776:31563950:-1 gene:ONIVA03G37120 transcript:ONIVA03G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGLWGGKLAAGGGGGGGDHYRGTPVVVKMENPNWSISEISSPDDDDDEEFLVGGRRKGGRGKNAKQITWVLLLKAHRAAGCLASLASAAVALGAAARRRVAAGRTDADASAAAAAGESPVLRSRFYAFIRAFVVLSVLLLIVELGAYINGWDDLAASALALPVIGVESLYASWLRFRATYVAPFIQFLTDACVVLFLIQSADRLIQCLGCFYIHLKRIKPNPKSPALPDAEDPDAAYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNFLVQVLDDSDDPTTQTLIREEVLKWQQNGARIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALDDSGGWMERTTVEDMDIAVRAHLRGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIVFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGNAYEWVVTKKSGRSSEGDLISLAPKELKHQKTESAPNLDAIAKEQSAPRKDVKKKHNRIYKKELALSLLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQIE >ONIVA03G37110.1 pep chromosome:AWHD00000000:3:31551749:31555388:1 gene:ONIVA03G37110 transcript:ONIVA03G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNSSSSSGSMAATAGGVGGWLGFSLSPHMATYCAGGVDDVGHHHHHHVHQHQQQHGGGLFYNPAAVASSFYYGGGHDAVVTSAAGGGSYYGAGFSSMPLKSDGSLCIMEALRGGDQEQQGVVVSASPKLEDFLGAGPAMALSLDNSAFYYGGHGHHQGHAQDGGAVGGDPHHGGGGFLQCAVIPGAGAGHDAALVHDQSAAAVAAGWAAMHGGGYDIANAAADDVCAAGPIIPTGGHLHPLTLSMSSAGSQSSCVTVQAAAAGEPYMAMDAVSKKRGGADRAGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKILESSTLLPGELARRKGKVGDGGGAAAVADAAAALVQAGNVAEWKMAAALPAAARTEQQQQHGHGGHQHHDLLPSDAFSVLQDIVSTVDAAGAPPRAPHMSMAATSLGNSREQSPDRGVGGGVLATLFAKPAAASKLYSPVPLNTWASPSPAVSSVPARAGVSIAHLPMFAAWTDA >ONIVA03G37100.1 pep chromosome:AWHD00000000:3:31528501:31529811:-1 gene:ONIVA03G37100 transcript:ONIVA03G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIEFRQRVQARGDSRYNRRVGREGASGRGMHLRDDTVGREEPHRPGMSTGQGRCRRTKTTSGGSRAFPTVDGGCAVEPLKLGSRKGAIFSVPTGDALTRAACRDVCQNMHTPVHDESMKPMEIASETAACNAHRRRFYVCIVCPGLASCGEVVW >ONIVA03G37090.1 pep chromosome:AWHD00000000:3:31516686:31526481:1 gene:ONIVA03G37090 transcript:ONIVA03G37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERKLFVAGLPQQTREGDLRGHFARYGEVVHTRVVLDMASGNSRGFGFVEFADEAATLRALADDEMPNHVFRGRKVDVKRAERRHAHKQTSPSIKNQNDSVQKNQFIFQKKVFVGGLHETVTVKDLISYFEKFGTITDAVVMRNRITNRARGFGFISFDSHEAVCKILLNRFHNLNGRDVEVKIAVPKSPTYSEAKYYHMRMDMSLSPITYYDRMVHVHPYTPYTFGCVTPLAHLTHSGYGYGGPIDYSCYAYGGPIGHQHDLQNYSYRPRYHRYHKEMTCWSKQADLNENCASDSPALLTMQTNPVCRIPKEEKSKMHPCPPDQTLLMCGRCLTGDEAC >ONIVA03G37090.2 pep chromosome:AWHD00000000:3:31516686:31525665:1 gene:ONIVA03G37090 transcript:ONIVA03G37090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERKLFVAGLPQQTREGDLRGHFARYGEVVHTRVVLDMASGNSRGFGFVEFADEAATLRALADDEMPNHVFRGRKVDVKRAERRHAHKQTSPSIKNQNDSVQKNQFIFQKKVFVGGLHETVTVKDLISYFEKFGTITDAVVMRNRITNRARGFGFISFDSHEAVCKILLNRFHNLNGRDVEVKIAVPKSPTYSEAKYYHMRMDMSLSPITYYDRMVHVHPYTPYTFGCVTPLAHLTHSGYGYGGPIDYSCYAYGGPIGHQHDLVGWCSEKTVRLVPTPTRNGVVSAKPAAEWGGGCEAVSVQQERGGAEECEVAHPRDVLEAPVPYFLCHQHNPLRRRLGDRAEASQDDDASCSFLPWLRLGRYETVTDEDVGSGGGDPAGRRPLRPLAEEATTQRADSGGSESIQGKRDRGRRHGPH >ONIVA03G37090.3 pep chromosome:AWHD00000000:3:31516686:31525665:1 gene:ONIVA03G37090 transcript:ONIVA03G37090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERKLFVAGLPQQTREGDLRGHFARYGEVVHTRVVLDMASGNSRGFGFVEFADEAATLRALADDEMPNHVFRGRKVMVMEDLLIIHVMLMEDQLDISMILWVLTIMLKIIAKLLLSTSIPQIPQRNDLLTVRLVPTPTRNGVVSAKPAAEWGGGCEAVSVQQERGGAEECEVAHPRDVLEAPVPYFLCHQHNPLRRRLGDRAEASQDDDASCSFLPWLRLGRYETVTDEDVGSGGGDPAGRRPLRPLAEEATTQRADSGGSESIQGKRDRGRRHGPH >ONIVA03G37090.4 pep chromosome:AWHD00000000:3:31525723:31526481:1 gene:ONIVA03G37090 transcript:ONIVA03G37090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPSRLSKQADLNENCASDSPALLTMQTNPVCRIPKEEKSKMHPCPPDQTLLMCGRCLTGDEAC >ONIVA03G37080.1 pep chromosome:AWHD00000000:3:31514299:31514835:1 gene:ONIVA03G37080 transcript:ONIVA03G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVQEALDFDLDAMTSGFGFTPWAADTCPTLEQLMASSASPSPSSSLDDNAAAAEEENGEVEEEEERRRQRRKVSNRLSARRSRARKQQRLEELRGESARLRAENRELAARLHAVARHGLAARCQNARLRAEATALARRLLALQRLARGRHMMITASPPPPPPTAPGAAAVLASLMA >ONIVA03G37070.1 pep chromosome:AWHD00000000:3:31504972:31506075:1 gene:ONIVA03G37070 transcript:ONIVA03G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLVAFSHRRRRWILLAAGGAAAAFGAYKIYHHPAVAARRRRLARLAGAVAAFLDAAAASADAAALVASDLSDFVRSGSDELPRSVTQLAKLAASPEVSATVAAISEAITAGILRGVGSDSGPGSGGGVALSDRLVDRLFSESGERLAAAIAGSFARHLVAAIYSAPSTPGETSSPMKWVNLIATGKGQKAISTWVEVFVSTAVGVFVDKTIHINTYDQLFQGLTNASHDAKVKELLVSVCNGAVETMVKTTHHVMSNANYKSVSSGSNGAGEGWVETVSSTLAVPSNREFVLDVTGRVTFETVRSFLEFALWKMHAGAKKGGNTVMDSGLRAMQYMTDKSMVIATICITLCLHVLNGTRLLVTA >ONIVA03G37060.1 pep chromosome:AWHD00000000:3:31499121:31500244:1 gene:ONIVA03G37060 transcript:ONIVA03G37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLPSSTMMASSTLEEAAPARAAAGAPLLLLHPLVVTAAAGGGTPAESATGSPSLLVLPPLRPAAAVVAGGGQIRRPTPADPPSCPLPSLVAAVARSRLRVCRRCPSLMAASDRPPAQDCRTSSPVAALPLRPLLRSFPTVEALERVYAECPKPRFSRRQQLLCELSILANIEPKQKIKVWFPKQKVSKSMQGYTIKLVCLLCVWL >ONIVA03G37050.1 pep chromosome:AWHD00000000:3:31497087:31497554:1 gene:ONIVA03G37050 transcript:ONIVA03G37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSRLSWLWRAPARALGRARDMYVRGMTGCARCVPADAAFGYPVFVPSSAAASMRSNSFGSDSRFGGGADDDLRELIRAASQRRAAEQEREARAVARSQSMASGISMARIDEDAPCEEFGGAGVMHYPRSQSCVGGVGGRIAHCHRKVAALA >ONIVA03G37040.1 pep chromosome:AWHD00000000:3:31490103:31493013:-1 gene:ONIVA03G37040 transcript:ONIVA03G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT1G49380) TAIR;Acc:AT1G49380] MPSPTCYLLLNPAASRSHHRPRLPLPAAAPPRRRVHVSCDARRTGSGGGGGGVKREAIPAGTGKAKKQVVFFDAAPPVSQRGGGGGGEGEGEGEGKVARRKKENAALGLVRRLTKRTLSLLSNLPLAISEMFAIAALMALGTVIDQGEAPSYYFEKFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTYTTQIPIVKVARRWSFMHSAGSIRKQEFAESLPRASIQDLGVILMGYGYEVFTKGPSLYAFKGLAGRFAPIGVHIAMIFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPKGVLSFAPDVFNTEVHVNQFYMEYYDSGEVSQFYSDLSLFDLDGKEVMRKTIKVNDPLRYGGVTIYQTDWGFSALQVKKNGEGPFNLAMAPLKLNGDKKLFGTLLPLENSDSSNVKGISMLARDLQSIVLYDQEGKFVGVRRPSSKLPIEIDGNEIVIEDAIGSTGLDLKTDPGIPIVYAGFGALMLTTCISYLSHSQIWALQDGSTVVIGGKTNRAKLEFSEEMNRLLDKYCIEIGMEGVLSTRIIIAVVGVVTLEHQWSTLFC >ONIVA03G37030.1 pep chromosome:AWHD00000000:3:31488520:31489077:-1 gene:ONIVA03G37030 transcript:ONIVA03G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASVPKPAKRLSRKRSFRLGLPLLCGQSDVASPRGGGGAAAAARSSSSGRRQGELHRIFQHFDRDNDGKISGAELSAFFASMGDEMPAPSPGGGGAAAGYMLDFAGFVALMERGDSQEEDLRSAFEVFNAVESAGRITARGLQRVLAQLGDERSVADCEAMIRAYDVDGDGGLDFHEFQRMMS >ONIVA03G37020.1 pep chromosome:AWHD00000000:3:31483737:31489631:1 gene:ONIVA03G37020 transcript:ONIVA03G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKVGSLDARATKIRNVPIAVTPEGFWCCPSQAVLQKTMKNQNQQAKPKGGASPLASKASSVQRAPTISSERRAHSTPTRSRINSDEQKCAPAENSTPNPPKVANDRPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHRNILAENSTWFADKLSRQSPMSSMEVPDCEDVEIYVETVGLMYCNDAKQRLIKQSVPRVLRILKVAELLGFQACVLSCLEYLEAVPWVGEEEENVVSSVQHLQSGNYGVSPILKRVCSDLTSPPNDTFVHIIELVLKSGEDRGRREMKSLVLKLLKENSSCTSTSVDIYAETLYSSCQNCLESLLTLFRQATADDFAEQSLDLKEPVFRQIALEADNLLWLTEILADRNAAGEFAVMWSNQGELAELHSKLPTKSRHLVSCVTARLFVAIGKGEMLPSKDTRKLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWKRTFVRPYVEQGNQSQPGRS >ONIVA03G37020.2 pep chromosome:AWHD00000000:3:31483673:31489631:1 gene:ONIVA03G37020 transcript:ONIVA03G37020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKVGSLDARATKIRNVPIAVTPEGFWCCPSQAVLQKTMKNQNQQAKPKGGASPLASKASSVQRAPTISSERRAHSTPTRSRINSDEQKCAPAENSTPNPPKVANDRPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHRNILAENSTWFADKLSRQSPMSSMEVPDCEDVEIYVETVGLMYCNDAKQRLIKQSVPRVLRILKVAELLGFQACVLSCLEYLEAVPWVGEEEENVVSSVQHLQSGNYGVSPILKRVCSDLTSPPNDTFVHIIELVLKSGEDRGRREMKSLVLKLLKENSSCTSTSVDIYAETLYSSCQNCLESLLTLFRQATADDFAEQSLDLKEPVFRQIALEADNLLWLTEILADRNAAGEFAVMWSNQGELAELHSKLPTKSRHLVSCVTARLFVAIGKGEMLPSKDTRKLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWKRTFVRPYVEQGNQSQPGRS >ONIVA03G37010.1 pep chromosome:AWHD00000000:3:31479084:31479656:1 gene:ONIVA03G37010 transcript:ONIVA03G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAWQRRSEGRYTTSTPPTTTPCPPTKKLTMVTAMTTAGMPNPHPHQRANVDGRDARRGGGEVVEVEDSAALVDEAAAAVAASSRSGGWRLPLPAATRRHHRGGGEVAEVASWQRRLALQLASSCCRLSTTSLSASFAGKARQQLLLPLHRIPLHLRHWRGHGAAPSLPSPARPWGRFEILAAIVDRG >ONIVA03G37000.1 pep chromosome:AWHD00000000:3:31475480:31477011:-1 gene:ONIVA03G37000 transcript:ONIVA03G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein S9 [Source:Projected from Arabidopsis thaliana (AT1G74970) TAIR;Acc:AT1G74970] MALSLTTAFSHLSLPSTSKFHPLPLLHLRFPSSSSSRRAARLALAASAAEAAEPVEVEEAPAEDGADEVVAVEDELSGPALRKYVKQRLPGGFAAQRITATGRRKTAIARVVLQEGTGRVFINFRDAKEYLQGNPMWMEYCKVPLVTLGFENSYDVFVKVHGGGLSGQAQAICLGVARALVKISTANKVTLRGEGLLTRDTRIVERKKAGLKKARKRPQFSKR >ONIVA03G36990.1 pep chromosome:AWHD00000000:3:31470597:31474232:-1 gene:ONIVA03G36990 transcript:ONIVA03G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSSLIHGISISVSDDEEASGRVRVRVRRKRHRHPASAARRCLLRRAARLCAPLLLATLAVSLLLYESYRLSPSSPTPPPAANLTRVDGARKPCLKILGPEKLQNLELPEVPEQNLSLQKVVYKSSLLHLGDDDSSRTEISRFNSFTGYQTLNEREESFKMKELTTLHCGFYNENGGFKVSDVDKDYMRSCSVVVATCAFGGGDDLHQPIGMTEVSIKKVCYVAFWDEVTRAAQEEEGNKIGENLMIGLWRIILVRDLPFSDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKIQLDQYRQDGIPDEKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRMPGVHLFPVCARKDLVNSFGHRRKAKPLARERR >ONIVA03G36980.1 pep chromosome:AWHD00000000:3:31463055:31463749:-1 gene:ONIVA03G36980 transcript:ONIVA03G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLIPRKYRLLCGKNRMISNRYHMIPHKYHLKHGRIA >ONIVA03G36970.1 pep chromosome:AWHD00000000:3:31456538:31460115:-1 gene:ONIVA03G36970 transcript:ONIVA03G36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAMEKKGHRAFAKAIKSFGSSERHKRSKSDLEDMCAKDALYASDKTCVQPKPDAVKVKVKSDINAEVQPGRGAQSFLRKEILQLEKHLKDQQVMRGALEKALGPNATAAPVNVSNENPMPKDAKELIREIATLELEVKNMEQYLLTLYRKAFEQQVPTFSPPDHRGAPKPPVPVMAAVSSQPVQLQKSPSVKASRKNNRADAMLRSSYPPPSRRTLNDPVMTDCSTSGCSSRLGESDVLRCQSALSYRGICSSRISPSEDSLARALRSCHSQPFSFLEEGESTAAGVISLAEYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHGSSSSPTSSFSSTSAISPQYLGDIWSPNYKRESTLDSRLINPFHVEGLKEFSGPYNTMVEVPLICRDSRRLKEVEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNAQLMHAYLKYGVPQNNLKKTSLLVKAACKIAGRSINVAVIQSMVLGCNTHCPGQWLRTLLHPRIKSKVGKVGHVWQAFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATVGIWKEQKILLPKLVEAYAKDVKLSSQGLVDMVQRYLPESLRMAMQKCQQSRSSKIIEWVPHNLNFRYLLSRDLAFPHLN >ONIVA03G36960.1 pep chromosome:AWHD00000000:3:31455001:31455531:1 gene:ONIVA03G36960 transcript:ONIVA03G36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICVSCDAVAEGAATARVVMPGGELREYSQPATAAMALEEAGEGWFLCDADGVGFEGRVVAVPGDEELRPGQIYFVLHPEARRRSLTREEVAALAVKASAALVKAAAAAAASSPAGGRRRRGAVAPLVFPLPEEDDTAVAAAAPKPAVARKRRVASRGVRASKFSPDLTAIPESE >ONIVA03G36950.1 pep chromosome:AWHD00000000:3:31445828:31448116:1 gene:ONIVA03G36950 transcript:ONIVA03G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GU97] MENRERAGAGAVGSAGSLGLRVGQAVFSSASLLFMSVGVEFFSYTAFCFLVTIMGLVIPWSCTLAMIDVYSILVGCPLRVPGVMVIVVIGDWVLAILSLAAASSSAAVIDLLLQFHGSHCSPRFCGRYQLSAMMAFLSWFLTAASSLFNLWFIASRQSHRGMKHVVGISSSKLRAQNAPARDSSAKPGKALEALITDHLVSSMAPVAIAATFIAVADGDRDGVATMGASPRPLLGDVVLPA >ONIVA03G36940.1 pep chromosome:AWHD00000000:3:31433415:31436809:1 gene:ONIVA03G36940 transcript:ONIVA03G36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFMSYLAMKTDAAGGEAAQAALIDADLQELGVAARKLANHALVLGGGLGFGTTFLKWLAFFAAVYLLILDRTNWKTNMLTALLVPYIFFTLPGGLFSLLRGEIGKWIAIIAVILRLFFPRHFPDWLELPGAVILLIAVAPNLFASTFRGDLVGIFICLIIGCYLLQEHIRASGGFRNAFRKGNGVSNSIGILLLFIYPVWALVLNFL >ONIVA03G36930.1 pep chromosome:AWHD00000000:3:31431415:31432305:-1 gene:ONIVA03G36930 transcript:ONIVA03G36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISPMLAAPPPPAAAASPRCRGFLPAAAATGSRRHRGGCRLLRRSAPPRVGAVTSTVRTRREEGRLDRDELRRLCQEPNPEAAVNLLDEMLVRGGAGALADLAPEEQAAVLQACGEARSLASLRRAHRLLSKSLPGIATPILHMIATLYCKLGARGDARRALEGASRPQGKDHEQEQEHGDEAKRREAYEKVRELHEEIRAAGYVPDTRYVLHDIDEDAKARALMYHSERLAIAFGLVSTPPGTPLRVIKNLRICGDCHNAVKLIAKVTGREIVVRDNKRFHHFKDGACSCGDYW >ONIVA03G36920.1 pep chromosome:AWHD00000000:3:31427644:31431096:1 gene:ONIVA03G36920 transcript:ONIVA03G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSYGRWFCTTGLPSDVVIEVGDMTFHLHKFPLMSRSKKLHDLITNRESRAAGGGEQDEEEEEDAGEIREEEVVLEVDEDSDVHRIRLPDFPGGAEAFEQAAKFCYGVKLDLTPATAAPLRCAAERLGMSDDHSDDNLISRADRFMSHTVLRNPRDAIRALRSCEGLLPLADDLGLVSRCVDAIAAKAAASTPTALFGWPINDAAAAAAGGGDRPRRKNNAGAGATWFDDLAGLSLATFTRVIAAMKERNVGPEIIEGALIAYAKRSIPGLSRSGRHVGGATAAAAAAPSSDGEQKALLETVIANLPEETIKSNAHTGTAVGATTARVLFGLLRTTSILQASESARDMLERRIAARLPDAAVDDLLIPSYSYLVETLYDVECVERIVRYFLEGRDADDGNDDGSEPETPGREASRRAMLAVGRLMDAYLGEIAADANLKPDKFCDLAWALPDGARVYDDGLYRAVDIYLKAHPGLREEEKEKVSGVVDGRKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRRAIARTIMANEGGAAGQGEEEGDSDAGGTWRVATRGNQMLRLDMDSMRNRVQELERECTSMRKAIEKMDRRGGGSTPGERGATPAMEGRWGSMVTKRFGCKFPAQVCQSQQRTVVARPRRPRIEQSP >ONIVA03G36910.1 pep chromosome:AWHD00000000:3:31422063:31424512:-1 gene:ONIVA03G36910 transcript:ONIVA03G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37200) TAIR;Acc:AT4G37200] MAVVASRCTGLLLPDLGASLAGFRRRRSTPASSLSLRPRRARRRLGSLSCIAPPDSAEPQTDEPAAKDDSTEDKAEASSASQDAGNPTFPNKDLSRRIALASTIGAVGLFAYQRLDFGGVSLKDLAANATPYEEALSNGKPTVVEFYADWCEVCRELAPDVYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLDNVVALASVVMLRPIDKSATRLLYSLKSLGDRNFEPL >ONIVA03G36900.1 pep chromosome:AWHD00000000:3:31408019:31409557:-1 gene:ONIVA03G36900 transcript:ONIVA03G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:allene oxide synthase [Source:Projected from Arabidopsis thaliana (AT5G42650) TAIR;Acc:AT5G42650] MATAAACISFASPSPARVVIRRQTRASASASATDRQEVVSPKRRLPLRKVPGDYGPPVVGAIRDRYEYFYGPGGRDGFFAARVRAHRSTVVRLNMPPGPFVARDPRVVALLDAASFPVLFDTSLVDKTDLFTGTFMPSTDLTGGYRVLSYLDPSEPNHAPLKTLLFYLLSHRRQQVIPKFREVYGDLFGLMENDLARVGKADFGVHNDAAAFGFLCQGLLGRDPAKSALGRDGPKLITKWVLFQLSPLLSLGLPTLVEDTLLHSLRLPPALVKKDYDRLADFFRDAAKAVVDEGERLGIAREEAVHNILFALCFNSFGGMKILFPTLVKWLGRAGARVHGRLATEVRGAVRDNGGEVTMKALAEMPLVKSAVYEALRIEPPVAMQYGRAKRDMVVESHDYGYEVREGEMLFGYQPMATKDPRVFARPEEYVPDRFLGEDGARLLRHVVWSNGPETAAPTLHDKQCAGKDFVVLVARLLLVELFLRYDSFDVEVGTSTLGSSVTVTSLKKATF >ONIVA03G36890.1 pep chromosome:AWHD00000000:3:31400482:31410218:1 gene:ONIVA03G36890 transcript:ONIVA03G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:clast3-related [Source:Projected from Arabidopsis thaliana (AT3G18940) TAIR;Acc:AT3G18940] MEHAVVEGESFSPDCSTLLMPALSIGNVGQLAVDLLVSSSSARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEAYESPSHRLAFIQQRSPIITGMVVSFAKNVANFISSIEKDHVVILSSLDSGKRRIIDASSDMQVYYLSSCNEDGSDLKCENLGWKKLEEYDPSQQRWKCLASLVEGGHLSEDMTGDPEEMTINDYYSSLPFAALFSACKAKGLKVTCVLCYCSEGDNMPESFQLAEAACKLVAQGPEQFHGNGSNGWTIPLSWKSLRELGRVQFCGCADRQAAEPDESLRTPCPQEICKSIKIPSKNYCGVKKKRTENKMTGRRRL >ONIVA03G36880.1 pep chromosome:AWHD00000000:3:31398795:31399511:1 gene:ONIVA03G36880 transcript:ONIVA03G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVDIWTVERERIRVSGAQAFRTTVASKRGCTARSDDGQNKPDGAAVETAAKKQQAAAVGGAPASERYLPPLLLLTNTNTYHNSETQIQKLIDN >ONIVA03G36870.1 pep chromosome:AWHD00000000:3:31392299:31398215:1 gene:ONIVA03G36870 transcript:ONIVA03G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNLPNLSLRISPPAVSSAAAPVSSGTPTTAARTTLPTGVITDAEGGGEVAAFFGNPSSGSEPPGLSLGLGPTTPAHADAGGGRHGDHHLQPQGCAPFKRAAARASQLPAGSKRSVRAPRMRWTTALHARFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRSSHIASGEAQLQQQAGMEVAMEAAAGGGNGGGGGGGVVLPMMPACDDMVGICSSPAPPAAATSSAAAYFLCATTTSTATAPLAVVPSPPAPTIPTRRTDQTPVLEKGVAIVDSLHRCQKHNYSPVLQDALHQGAEEDHLITGNLPMGGASAQASIEAMATTNSSSPASSSPSLASLEQLLPEDSFAPNLEISLGRQNWNMDHPEELSLKYL >ONIVA03G36860.1 pep chromosome:AWHD00000000:3:31378591:31378995:-1 gene:ONIVA03G36860 transcript:ONIVA03G36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYKILVVFALLALSASAATAITTTIPYFPSTLAMGTMNPCKLYMMQTLGMGSYATMFMSQPIALLQQQCCMQLQGMIPQCHCGASCQMMQNMQNAICGGLGQQQMMMKMVMQLPYVCNMAPANFQLFPYGCC >ONIVA03G36850.1 pep chromosome:AWHD00000000:3:31375207:31375413:-1 gene:ONIVA03G36850 transcript:ONIVA03G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKILALFALLALSASAATTITTMPYLQPTIAMGNMDPCRQYMMQTTGTDSYATMFMPQPIALLQQ >ONIVA03G36840.1 pep chromosome:AWHD00000000:3:31372684:31374671:-1 gene:ONIVA03G36840 transcript:ONIVA03G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQVVAHLGRSDLAVACSDAGDDDDGGGGGGDEMTVFHFLNCAALTFGPHVVYYSATPLLCGLH >ONIVA03G36830.1 pep chromosome:AWHD00000000:3:31368585:31372534:-1 gene:ONIVA03G36830 transcript:ONIVA03G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYTSKIFALFALIALSASATTAITTMQYFPPTLAMGTMDPCRQYMMQKLGMGSSTAMFMSQPMALLQQQCCMQLQGMMPQCHCGTSCQMMQSMQQVICAGLGQQQMMKMAMQMPYMCNMAPTCKYWVFGFLSHVPLESHAVHVGVPPWTQPTPCSHVDLKFPVPPEHCTSGIMDNKWRRSEYDTIGTCVKAAAVYLGTALVKLVCLATLLKVPENDSFDPYQELMKIFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLLLEEIIRVADSKGGWLRAVLLTGHGFHQLAAVLSLSETNVRNEFANCRRFTLLRWLLLYFHRIRMRLFSLDTIFSGGSIIELII >ONIVA03G36820.1 pep chromosome:AWHD00000000:3:31367295:31369077:1 gene:ONIVA03G36820 transcript:ONIVA03G36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMATTLPSLAGAAPAARKRSGVTYVEGMNAYSGLKALNKVTLLGVRKTADYSFAKVVAKLSPAGGKSRGGAFGAQCNAAGEIFRIAVIMNGLVLVGVAVGFVLLRVEAAVEESE >ONIVA03G36810.1 pep chromosome:AWHD00000000:3:31361035:31363842:1 gene:ONIVA03G36810 transcript:ONIVA03G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLANCATLLKNRPPRPPAAGGAAGGSGRRLQESKLREALEEASEDGSLAKSRDAALLEDGGGGGDGGGEEGSGVGRSRSLARLNAQREFLRATAVAAERAFLSPDALPVLEEALATFLSMYPKYSSAADVDRLRADEYPHLDKVCLDYCGFGLFSYLQSCNPSDSTASFTLSEITANLSNHALYGAAEKGTCEHDVKARIMEYLNIPESEYCLVFTVSRGSAFRLLAECYPFGTNKRLLTMFDHESQSVNWMAQSARDKGAKAYSAWFKWPTLKICSTELRKLISTKKRRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMSCLQSPNGGTGTGMVRIMPVFPQYLSDSVDGFDGVLDGLEDDTIIPIEEGSASNSLHATHLPAFSGAYSSAQVREVIEDEMDQDSSDRDGASTIYEENESVSVGEVMKSPVFSEDESSENSFWVDLGQSPLGSDHSEQSSKGKLGSPLPASWFSGRKNVKKTSPKVPSKLRRSPIPDNHVVSFDAAVRSVSQELEHGKDFTEEDCSQNGIKNVVPIKVSEIEEDQDGKQNKRFVKFSCANGPAEGSSTSVFGGCTARGNGSTSEICSEAKDSAIRRENEGDFRLLGRREAHNSRFNGGRFVGVEEAERVSSMGRKVSFSMEDSRLCRNSETAETSGYAMGDEDDDEEYSDYDDIQDGRREPEIICKHLDHVNQLGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGEGVPLVYIYGPKIKYERGAAVAFNIKDCSTGTSLINPETVQKLAEKEGLSLGIGFLSHIRIMDNQKQGVVDVGLSSSLCRPTSNGRREKKSSKNDIIGIEVVTASLGFLTNFEDVYRLWAFVAKFLDSSFLEQQRLSSIPEDSER >ONIVA03G36800.1 pep chromosome:AWHD00000000:3:31349872:31351001:-1 gene:ONIVA03G36800 transcript:ONIVA03G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTATLLPLPLARAAPARLFFPAVSSRARGRVVRVGRHGAPEREAALSWRGAADESVNKEKHLVEHTKDRMSGTSSGELFLMELPRAELETVVKDAGGDMGHLISAIHRRAQARKTAAESSSSPGDDHSTKTKPYFPAPDELPKTAEELEGETETAMPESTHTRLLRRMADHD >ONIVA03G36800.2 pep chromosome:AWHD00000000:3:31349872:31351001:-1 gene:ONIVA03G36800 transcript:ONIVA03G36800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTATLLPLPLARAAPARLFFPAVSSRARGRVVRVGRHGAPEREAALSWRGAADESVNKEKHLVEHTKDRMSAELETVVKDAGGDMGHLISAIHRRAQARKTAAESSSSPGDDHSTKTKPYFPAPDELPKTAEELEGETETAMPESTHTRLLRRMADHD >ONIVA03G36790.1 pep chromosome:AWHD00000000:3:31341605:31348852:-1 gene:ONIVA03G36790 transcript:ONIVA03G36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTALRPLAQPATATATATAFSASRTAAAAGRRGSAAGVVVRAVRNYDSIPKREPFSSSRSVLDEFLRQEKPLVQRTKDQITDYCTTLEGDECCSCWDAYFELNKLEQELPKEEIARMVKDSEGDPRPFPVPDGLPKTQEELAEEQEALMPESSYTRLLRRMGRFPDWSSPQDSDSSFPQQVAVPVSAALREFERRRAAAAEAALRQLQLQVWEAARQQSQQLRLYTVEGRAAGYGTKWVELHPQSQELLLHIENKMREYKHESDLLDQCSRLYDPSVSSRSFELYATQISQEIGSTSTIMDREMVSIRSLMAVVKEMMRNTDSAIRSYQKLRPNFIRRYSGTANTGFAHHAGPSGAPTYFNQPSAIVPTFDFYSGVAMRPSPFMQHTVSKFENRLEECSRMVGELEQLIQIKNDKNYSNAFESLSTVVPNVYDYLIHVATQVENLHQYAEIMRSHYRNAWRLMGDCSDPFLEADRREAAKQEATARIVHPTGVDVSVLASQPLQSSSPTGVTSSSTRAILRTPLSALPWFSIQTSPAPSPSPFSSSGSMLQPTPFGSASTLALGSTPARFASSALGGTSLFRTPPGDALVFQTYSTQSVNCDYCTILSLTMPEKCQPTLTKSVTRQ >ONIVA03G36790.2 pep chromosome:AWHD00000000:3:31341605:31348852:-1 gene:ONIVA03G36790 transcript:ONIVA03G36790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTALRPLAQPATATATATAFSASRTAAAAGRRGSAAGVVVRAVRNYDSIPKREPFSSSRSVLDEFLRQEKPLVQRTKDQITDYCTTLEGDECCSCWDAYFELNKLEQELPKEEIARMVKDSEGDPRYLINSIHHRSDLRKKMAEKSHNSLSSNSLGQAAKPRPFPVPDGLPKTQEELAEEQEALMPESSYTRLLRRMGRFPDWSSPQDSDSSFPQQVAVPVSAALREFERRRAAAAEAALRQLQLQVWEAARQQSQQLRLYTVEGRAAGYGTKWVELHPQSQELLLHIENKMREYKHESDLLDQCSRLYDPSVSSRSFELYATQISQEIGSTSTIMDREMVSIRSLMAVVKEMMRNTDSAIRSYQKLRPNFIRRYSGTANTGFAHHAGPSGAPTYFNQPSAIVPTFDFYSGVAMRPSPFMQHTVSKFENRLEECSRMVGELEQLIQIKNDKNYSNAFESLSTVVPNVYDYLIHVATQVENLHQYAEIMRSHYRNAWRLMGDCSDPFLEADRREAAKQEATARIVHPTGVDVSVLASQPLQSSSPTGVTSSSTRAILRTPLSALPWFSIQTSPAPSPSPFSSSGSMLQPTPFGSASTLALGSTPARFASSALGGTSLFRTPPGDALVFQTYSTQSVNCDYCTILSLTMPEKCQPTLTKSVTRQ >ONIVA03G36790.3 pep chromosome:AWHD00000000:3:31341605:31348852:-1 gene:ONIVA03G36790 transcript:ONIVA03G36790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTALRPLAQPATATATATAFSASRTAAAAGRRGSAAGVVVRAVRNYDSIPKREPFSSSRSVLDEFLRQEKPLVQRTKDQITDYCTTLEGDECCSCWDAYFELNKLEQELPKEEIARMVKDSEGDPRYLINSIHHRSDLRKKMAEKSHNSLSSNSLGQAAKPRPFPVPDGLPKTQEELAEEQEALMPESSYTRLLRRMGRFPDWNKMREYKHESDLLDQCSRLYDPSVSSRSFELYATQISQEIGSTSTIMDREMVSIRSLMAVVKEMMRNTDSAIRSYQKLRPNFIRRYSGTANTGFAHHAGPSGAPTYFNQPSAIVPTFDFYSGVAMRPSPFMQHTVSKFENRLEECSRMVGELEQLIQIKNDKNYSNAFESLSTVVPNVYDYLIHVATQVENLHQYAEIMRSHYRNAWRLMGDCSDPFLEADRREAAKQEATARIVHPTGVDVSVLASQPLQSSSPTGVTSSSTRAILRTPLSALPWFSIQTSPAPSPSPFSSSGSMLQPTPFGSASTLALGSTPARFASSALGGTSLFRTPPGDALVFQTYSTQSVNCDYCTILSLTMPEKCQPTLTKSVTRQ >ONIVA03G36780.1 pep chromosome:AWHD00000000:3:31331243:31336846:-1 gene:ONIVA03G36780 transcript:ONIVA03G36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITMSYSGYVAQSLASSFGLRCTAAAAASSGAAPGAGARFLQDALSRPFCLFASSRHSEYHHDADDHNHPKPKPKPKAKALPAASAIAANGGGHSLLLSRSCATKAPVNDPPSLLAVGLLTVFTSGMGSATGRVGASSLSASPSISSAFNPAALLPFLQATKWLPCSDLITSAAPSRKSARPVDVAKAPTAAPAATPVSRTKPAPAPSPRPAHVPSPAVAAPSKVGVKALVGSGVINSGVINSSGASSNVGVGVKPLVGSGAINSGAAGMVRKSSPALGAAAEVSRRNWLSRWVSSCSDDAKTVFAAVTVPLLYRSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYVFREPNILDIVIFRAPPVLQALGYSSGDVFIKRIVAKGGDTVEVRDGKLLVNGVVQDEEFVLEPLNYEMDQVTVPQGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSKITDTSSCSKKKRPLLDKPAFFFNLSYNVKCDALDHRYCFPLGNIFPDAILFSDFDGATIPAACNPHLHRPDEVNVPHARAKPQRAIAGDDVEPVGPIHLLHGRRGGAPPLHGFVGEPHQRRRRSRPCAELVALHGADPGGVGVERPDPDLRVGVPVVLLRHGIDDLLEESRLPRELRLRVAVAEGGEGGVGGSGGEGERGGGGGGGEQHEEEEAEKGQRRGGGSHG >ONIVA03G36780.2 pep chromosome:AWHD00000000:3:31334723:31336846:-1 gene:ONIVA03G36780 transcript:ONIVA03G36780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITMSYSGYVAQSLASSFGLRCTAAAAASSGAAPGAGARFLQDALSRPFCLFASSRHSEYHHDADDHNHPKPKPKPKAKALPAASAIAANGGGHSLLLSRSCATKAPVNDPPSLLAVGLLTVFTSGMGSATGRVGASSLSASPSISSAFNPAALLPFLQATKWLPCSDLITSAAPSRKSARPVDVAKAPTAAPAATPVSRTKPAPAPSPRPAHVPSPAVAAPSKVGVKALVGSGVINSGVINSSGASSNVGVGVKPLVGSGAINSGAAGMVRKSSPALGAAAEVSRRNWLSRWVSSCSDDAKTVFAAVTVPLLYRSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYVFREPNILDIVIFRAPPVLQALGYSSGDVFIKRIVAKGGDTVEVRDGKLLVNGVVQDEEFVLEPLNYEMDQVTVPQGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSKITDTVFEHDAVHCTAGIS >ONIVA03G36770.1 pep chromosome:AWHD00000000:3:31331222:31335290:1 gene:ONIVA03G36770 transcript:ONIVA03G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPLPLLCLLLLMLLPSPSSSASFSFATASSNASLSTFSDSDPEPELAREPTFLEEVIDAVSEKYDWDPDAEVRVWPLDADAARVGAVQRYEFRARAGSASALVRLADESVEWRRPAAPAVEEVDGPDGLDVVPGDGALGFRPGVRDVDLVGPVEVRVASGGDGGSIELQLPSRNATYAGLKRVIVAAGIALKVIGAQKVIFTHPHSIGLLTNGSLLASNNDPSRIWPLSYATCAPILQVSVVGSVMIVVNESNVLGRRRSHDTVELLSEKCEVDVANRLISVCVFCSISSRLPRLDKILKTWFSNKTQDSKSIQFIQAKVTSIPLIKFRLELERDITEEDGIWENISEWKTVPMVQRVALDVVAKVEEEGRLKAMSVKKVKKPYPVVDASSWSSLTSNISFTKFMSFVLPPEPLTLDVKW >ONIVA03G36760.1 pep chromosome:AWHD00000000:3:31326636:31330785:1 gene:ONIVA03G36760 transcript:ONIVA03G36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataurora3 [Source:Projected from Arabidopsis thaliana (AT2G45490) TAIR;Acc:AT2G45490] MEKPEWSMDDFEIGKYIGEGKFGKVYLAREKQSGYVVALKVTFKAKLDKYRFHAHLRREIEIQHGLDHPNVLRLFAWFHDAERVVLVLEYAARGELYKLLRTVRRFSERTAATYVASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAVRSNAKRHTLCGTIDYLAPEMIEKKAHDHAVDNWTLGILCYEFLYGSPPFEAAEQDDTLRRIVKVDLSFPSTPYVSADAKDLICKVTPCH >ONIVA03G36750.1 pep chromosome:AWHD00000000:3:31314400:31315449:-1 gene:ONIVA03G36750 transcript:ONIVA03G36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKGASALNHASQPVVLQPIVSNPSPTSSSSTSSRSSAQATQQRSSSATSSPHGQGQGGGAAEQAPLRCPRCNSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKPRPMPAPVAKPPMSCKAAPPLGLGGGPVSWASGQQAATAHLMALLNSARGVQGHGGSNVHRLLGLDTMGHLQILPGAPNGAGAGTAASLWPQSAPRPVTPPPPHMDSQLGMGTLGHHDVLSSLGLKLPSSASSSPAASYYSDQLHAVVSNAGRPQAPYDVATASLPCTTAVTSLPSALSSVSAAAPTSNTVGMDLPPVSLAAPEMQYWNGPAAMSVPWPDLPTPNGAFP >ONIVA03G36740.1 pep chromosome:AWHD00000000:3:31304154:31310014:1 gene:ONIVA03G36740 transcript:ONIVA03G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGAGAPDRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTMELVAVKYIERGEKIDDNVQREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFERICKNVRFSEDEARYFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKTADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRITIPEIRSHGWFLKNLPADLMDDDSMSSQYEEPDQPMQTMDQIMQILTEATIPPACSRINHILTDGLDLDDDMDDLDSDSDIDVDSSGEIVYAM >ONIVA03G36730.1 pep chromosome:AWHD00000000:3:31295723:31298989:1 gene:ONIVA03G36730 transcript:ONIVA03G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGMGLDLSLDLKMFAARSAVRMAAAAAKEATGVEACIRSLEEERRKIEMFRRELPLCARLLADVIELMKEEAGKRRKDGDDAEAKAEDGDKTKWMSTAQLWVDSRGSDADSENDRRSGSTSPASRLLGGAEESSSRAVAPPPYFRREERVVLRPAMPLLPPASHRSPPPAAAAATAAGDDHRHVVASSFATAVPSPVPAALSLQAQAQQQQQQARKSRRCWSPELHRQFVAALQQLGGPQVATPKQIREVMKVDGLTNDEVKSHLQKYRLHNRKSPGTASASHSIVLVGDLWASQEVSCSQSGSPQGPLQLSGSGVAVSAATAGDSCCEDDDKSEGYVRK >ONIVA03G36720.1 pep chromosome:AWHD00000000:3:31279011:31286586:1 gene:ONIVA03G36720 transcript:ONIVA03G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLREVLRSSDLNTTTTAILRRRLEEDFGVDLSDKKLFIREQVDLLLSEVAGKAEQEEAEVPKEEEPETGAEAAGGEPGGAEGEGEEEEEEEEEEEEEEEEEDSSGSRKKRRSDGANTDGKRKGGGFTKLCSISPTLQEFVGASELARTEVVKKLWAYIRENNLQDPSNKRKILCDERLKKIFNVNSIDMFQMNKALTKHIWPLNSDGPVTSASPERSTPKEKPQKRERNEGKKQKGGSSGSGSGFLVPLQLSDDLVKFIGTGESMLSRSDVVKRMWDYIKENKLQDPSDRRKIICDEKLKDLLQVESFNGFTVSKLLAPHFTKTK >ONIVA03G36710.1 pep chromosome:AWHD00000000:3:31268556:31275303:-1 gene:ONIVA03G36710 transcript:ONIVA03G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWKRSVSPSPSPSSSSASASTPASPARASTSRVGGGVPSRRRDVVGFGWGGGSDPQPRLTRQRRLRHVDYIEVGVSALGLDSSPSPAAPSSCPSSRDSVGFGLLTASSTPISRTASNMEVAPPRSSSSPVLLPHPLPLPDEGDSPCRGSGRPLPSPKLFEGDCNGSAVESNLLGVSEIGSDRASLFPRVMAKTVQKNPEHGDLRSNGTNGITCGQRRKAFKEKLQDKSSAETLTFRLNIPAKSAPSSGFSSPVQSPRRLSSVDFLSTATSTQSANLSSAQSVWSPDLYGSSPRCASPEKIMGSQERSPRSSPLRSPVLRSKNPSAPPSPMHPKLFPENHVSRPEGNGSVNFHPLPLPPASISPKQTNFSHQPVPKVDAPSMAGQWQKGKLIGSGTFGCVYEAANRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSEYIEDRFYIYLEYVHPGSINKYVNQHCGAMTESVIRSFTRHILKGLSFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLHKDPSIPDSLSPEGKEFLRCCFRRNPAERPTASKLLEHPFVHNSNNFNQHSALHSPTGLKSTLIPYADEFEVQSWLCGPYTYTGHAKDISTVKLMALIVANR >ONIVA03G36710.2 pep chromosome:AWHD00000000:3:31268830:31275441:-1 gene:ONIVA03G36710 transcript:ONIVA03G36710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVVVFRRLRHVDYIEVGVSALGLDSSPSPAAPSSCPSSRDSVGFGLLTASSTPISRTASNMEVAPPRSSSSPVLLPHPLPLPDEGDSPCRGSGRPLPSPKLFEGDCNGSAVESNLLGVSEIGSDRASLFPRVMAKTVQKNPEHGDLRSNGTNGITCGQRRKAFKEKLQDKSSAETLTFRLNIPAKSAPSSGFSSPVQSPRRLSSVDFLSTATSTQSANLSSAQSVWSPDLYGSSPRCASPEKIMGSQERSPRSSPLRSPVLRSKNPSAPPSPMHPKLFPENHVSRPEGNGSVNFHPLPLPPASISPKQTNFSHQPVPKVDAPSMAGQWQKGKLIGSGTFGCVYEAANRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSEYIEDRFYIYLEYVHPGSINKYVNQHCGAMTESVIRSFTRHILKGLSFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLHKDPSIPDSLSPEGKEFLRCCFRRNPAERPTASKLLEHPFVHNSNNFNQHSALHSPTGLKSTDTGHNARDKKSCKIVSCMRGKNMITTGPSSLNPAISFLFPASETSSARSPGSLSNRVAVGLTALPNLETRSLSPTPMSLRSSPGSAAHTPSMHFSIAYHQPSPLPRPNGKEAINLFTLKHDELPT >ONIVA03G36710.3 pep chromosome:AWHD00000000:3:31268830:31275303:-1 gene:ONIVA03G36710 transcript:ONIVA03G36710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWKRSVSPSPSPSSSSASASTPASPARASTSRVGGGVPSRRRDVVGFGWGGGSDPQPRLTRQRRLRHVDYIEVGVSALGLDSSPSPAAPSSCPSSRDSVGFGLLTASSTPISRTASNMEVAPPRSSSSPVLLPHPLPLPDEGDSPCRGSGRPLPSPKLFEGDCNGSAVESNLLGVSEIGSDRASLFPRVMAKTVQKNPEHGDLRSNGTNGITCGQRRKAFKEKLQDKSSAETLTFRLNIPAKSAPSSGFSSPVQSPRRLSSVDFLSTATSTQSANLSSAQSVWSPDLYGSSPRCASPEKIMGSQERSPRSSPLRSPVLRSKNPSAPPSPMHPKLFPENHVSRPEGNGSVNFHPLPLPPASISPKQTNFSHQPVPKVDAPSMAGQWQKGKLIGSGTFGCVYEAANRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSEYIEDRFYIYLEYVHPGSINKYVNQHCGAMTESVIRSFTRHILKGLSFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLHKDPSIPDSLSPEGKEFLRCCFRRNPAERPTASKLLEHPFVHNSNNFNQHSALHSPTGLKSTDTGHNARDKKSCKIVSCMRGKNMITTGPSSLNPAISFLFPASETSSARSPGSLSNRVAVGLTALPNLETRSLSPTPMSLRSSPGSAAHTPSMHFSIAYHQPSPLPRPNGKEAINLFTLKHDELPT >ONIVA03G36700.1 pep chromosome:AWHD00000000:3:31266452:31267143:-1 gene:ONIVA03G36700 transcript:ONIVA03G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNSEPILPTCKIESPARLQKCWAYPCIEALGNGRRPPASRNLPVSAAGDTIHLAAVHLGAIGLVVGAAAALHTLLIPMHNHVQITTISSMAGLSPPLRLRRRVTEYYSGAVIVADEEVEADGHVGLADVVAALADVAAFAGAGAAAAAAAAAVPTERRRPVARATVRLPMHGAARSNAT >ONIVA03G36690.1 pep chromosome:AWHD00000000:3:31266313:31267505:1 gene:ONIVA03G36690 transcript:ONIVA03G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDELQQPGQVQWTPAPEEKSEIAVQFFTAPYPCQNGQLDHGEHHALGGIGACSSVHWQPDRGTCYWPPPLSGDGGGGSGSGSSGTGEGGYIGERCYYVGEPDVPIGLNLLVGDNDGAGVVLRDAAPQAKRRTQAGHGGDLGRQKKKARVSDKRKNSWPIEKIYRCNLHVIMHRNQESMQSGSCSDNESNCSQVNRRKVDRVAGGGNGKVPARRRSATIAQSLYARRRRERINGRLRILQKLVPNGTKVDISTMLEEAVRYVKFLQLQIKVEVQIVCHDQMLSSDELWMYAPIVYNGMDLGIDLNISPPR >ONIVA03G36680.1 pep chromosome:AWHD00000000:3:31261265:31262076:-1 gene:ONIVA03G36680 transcript:ONIVA03G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSMEALHAAVLKEEQQQHEVEEATVVTSSSATSGEEGGHLPQGWAKRKRSRRQRSEEENLALCLLMLARGGHHRVQAPPPLSASAPPPAGAEFKCSVCGKSFSSYQALGGHKTSHRVKLPTPPAAPVLAPAPVAALLPSAEDREPATSSTAASSDGMTNRVHRCSICQKEFPTGQALGGHKRKHYDGGVGAGAGASSTELLATVAAESEVGSSGNGQSATRAFDLNLPAVPEFVWRPCSKGKKMWDEEEEVQSPLAFKKPRLLTA >ONIVA03G36670.1 pep chromosome:AWHD00000000:3:31252916:31255830:1 gene:ONIVA03G36670 transcript:ONIVA03G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVPTTFEGAREMEVVEVREYREDRDRAAVEEVERECEVGSSGGGEAKMCLFTDLLGDPLCRIRNSPAYLMLVAETANGGGGGYGREIIGLIRGCVKTVVSGGSVQAGKDPIYSKVAYILGLRVSPRYRRKGVGKKLVGRMEEWFRQSGAEYSYMATEQDNEASVRLFTGRCGYSKFRTPSVLVHPVFGHALQPSRNAAIRKLEPREAELLYRWHFAAVEFFPADIDAVLSKELSLGTFLAVPAGTRWESVEAFMDAPPASWAVMSVWNCMDAFRLEVRGAPRLMRAAAVATRLVDRAAPWLKIPSIPNLFAPFGLYFLYGVGGAGPASPRLVRALCRHAHNMARKGGCGVVATEVSACEPVRAGVPHWARLGAEDLWCIKRLADGYNHGPLGDWTKAPPGRSIFVDPREF >ONIVA03G36660.1 pep chromosome:AWHD00000000:3:31247474:31247707:1 gene:ONIVA03G36660 transcript:ONIVA03G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEARWGDAMAAEVREDEEKWQRLRTRHAMAAGLRGGCKGQRWLGWRTRSRKCREGARDGGGLVTSFPRPQAQPPL >ONIVA03G36650.1 pep chromosome:AWHD00000000:3:31223242:31227710:1 gene:ONIVA03G36650 transcript:ONIVA03G36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCAARSRLLPLATAPQLLRRLLLSSAAAPRYAHPHHHHSNSRRRRRPLAPTVYAAAAAAAAEAPLPMPPRIGRATRHPGGAASVARVYADANSQRPKEYWDYESLDIEWGEQDGYEVLRKLGRGKYSEVFEGFRPGGDERCVIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDDESKTPSLIFEYVNNTDFKVLYPTLLDYDIRYYIYELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLCLIDWGLAEFYHPKMEYNARVASRSYKGPELLVDLLDYDYSLDLWSLGCMFAAMIFRVDPFFNGQDNYDQLVKITEVLGTEDFYNYLEKYGLELDPQLERLVGRHNRKSWSMFVNSGNRHLASPEAIDFVDRLLRYDHQERPTAKEAMAHPYFNPVRST >ONIVA03G36640.1 pep chromosome:AWHD00000000:3:31214891:31219173:1 gene:ONIVA03G36640 transcript:ONIVA03G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGAAAAAAAAPRVNPSPSPHRRRASSALSPSKSTNSNANANADAARSGGGGGGKPKGKAVPSRYLLAPSSKSTSTSSSSTTTTNSSATSNSTSTSASTPSRRFASPLPRRSSSVDRPRPTSNAAAAGGDALGPNGATTTTTRSLSVAFQGRAYFLETSKAKPATSPSPVRRPVAAASTTPERRRPSMGTVPERGKVFEGGHSQQRWPMSARAAHGFEGNPLTKSLDCSLDKRGAAVLAAVRSLRQSMVFEEGVRRASFDSGDYLMSSDTESVSSGSNSGSQDAGMGRARSSPKGMSVPARFLQDAAASRPNRLADPSTPFMTHSSGFASSPRTAPVKKSLLNGFVSSPLNRPIRQPSPSKLVGSRRMSSPSRPRGSVGVSASYGDQHGRSSSGYGLDSQVKRRWLGCSKVDCEHLLRILCNRHLQWRCVNAQADAALAAQKMTAEKYLSDAWITTLGMRKSVALKRFQLQLFRNNWKLMTVLKGQMDFLEEWSFLERDHANSLSGIVEALTATILCLPVTDGAKADIQDVKNAVGSAVDIMQTIGSSICTLLAKLSGTSILVSDLAKIATQERTLMDQSRELLSTLASMHVKYCSLQGQRVQTTTHRRRVLIAELSYAKPM >ONIVA03G36630.1 pep chromosome:AWHD00000000:3:31199406:31205529:-1 gene:ONIVA03G36630 transcript:ONIVA03G36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRKLARVDIAELKQRLVKRLGRQRAGQYFAHLTRLLNLKLTKVEFDKLCYATIGRENIALHNALIRGIISNALSGVPPPSRQAVTGQSGTTTAPSGQCVGIALPSARNVGAVVDSGDGDFARERAVAGKVLSVEDGEEVEQVRSAPCVQSRSPITAPLGISTTPSYGARTRRLDDPMVSCYDSHHLLDTGSLFKGLQRRLESDGIGVSVQGVEVLNRGLDEFLRRLIKPCMELSRSRSSGRRVTKGNAMFAARMNGLQQANHGHCTTLQDFAVAMESDPHLLGTNWPTQLEKIQATSFGFPIARAAFRREEEEEMATSRKLARVDIAELKQRLVKRLGRQRAGQYFAHLTRLLNLKLTKVEFDKLCYATIGRENIALHNALIRGIISNALSGVPPPSRQAVTGQSGTTTAPSGQCVGIALPSARNVGAVVDSGDGDFARERAVAGKVLSVEDGEEVEQVRSAPCVQSRSPITAPLGISTTPSYGARTRRLDDPMVSCYDSHHLLDTGSLFKGLQRRLESDGIGVSVQGVEVLNRGLDEFLRRLIKPCMELSRSRSSGRRVTKGNAMFAARMNGLQQANHGHCTTLQDFAVAMESDPHLLGTNWPTQLEKIQATSFGE >ONIVA03G36620.1 pep chromosome:AWHD00000000:3:31193803:31195079:1 gene:ONIVA03G36620 transcript:ONIVA03G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQHQRSIEHCSFGCFLASPPPRFFPARTRSAPGELRMKLVVFLIRGCPGEVLLRPIVPAKEGLRTRTKWHILQRFCKLEIISIETETMITISSRSIIKSRCKKSNKKILVFFLSMSVKFLLITTRRSLSVQKRSSTFSQLLH >ONIVA03G36610.1 pep chromosome:AWHD00000000:3:31186727:31189528:1 gene:ONIVA03G36610 transcript:ONIVA03G36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGDRGVVGEQHGGEGPPEQPLPRRRRLRHGHQGQGGRRRRAAVHQPGLLRGHPGHGHPRRHCAGRRAVVRRGAREAGRAELDGEQRRREAAAAVVQPGPADIAVRREQPVPDRHDRFICGAHGGVRALRHVREPDPAVGGGPDDGRRVRVAAAGGVPRRRGPEHRAGAGPGDAARLRQPVLRQPPEGDGPLHLRPGALLRRPLAPHRRRLGGQQLRLRARLRRRHDQPRPRRRQDRPVAGQHPPRLRDAHLTPPRAAWSSARRRRSAAATAVLSIVHK >ONIVA03G36590.1 pep chromosome:AWHD00000000:3:31173322:31174138:-1 gene:ONIVA03G36590 transcript:ONIVA03G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPMEQVFEHYDKDTLKMAMLKHEETFRQQVHELHRLYRIQKLLMRDLKRELKNQSNMSTSSPNGFAEYSRAALDAMRSYEQCYGAATRRGAAVHHAAAAARAALSLVPAVEYAQSPEEEDAEETDDEEEAAAAELELTLAVGDAASAKKRYSRNEHHSPGQSFSSSSTESDVLVTGVREADAASPPYHHKRRPGPAAAFDVVQVDDGGVQAAPPPPPLLFHWLSLRMA >ONIVA03G36580.1 pep chromosome:AWHD00000000:3:31164969:31170311:-1 gene:ONIVA03G36580 transcript:ONIVA03G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L14, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0GU55] MTDAPPPRSRPHPPSSSVAVPAAAAAVIAAALASSFLALLQPPRRAPVAAGSRVGMSKARVYADVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTEALNAYLNKYHIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAVDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRARPQ >ONIVA03G36570.1 pep chromosome:AWHD00000000:3:31159275:31164036:1 gene:ONIVA03G36570 transcript:ONIVA03G36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33910) TAIR;Acc:AT4G33910] MAPADVIPFHARKAKAPTRRRFATHAPRTLPSPSTSTSTRDDFSLSLSLSSSSSSPPATPQSKAHASPLTHKSQLRRDPTQPGKAMKGGVIRSGGGVGGGGGGGGGGAGGGLMRTRLRLPVVLLSCSLFFLAGFFGSILFTQDPQGEEELDAPMRRERLMEAAWPGMAYGESGEPEPSLIPYQILSWQPRALYFPQFATSQQCENIVKTAKQRLMPSTLALRKGETEESTKGIRTSSGTFLSSDEDPTGTLAEVEKKIAKATMIPRHHGEPFNILRYEIGQRYASHYDAFDPAQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGENMDIGYDYEKCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVIKGEKWVATKWIRDKRQENLLLWVT >ONIVA03G36570.2 pep chromosome:AWHD00000000:3:31159275:31164036:1 gene:ONIVA03G36570 transcript:ONIVA03G36570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33910) TAIR;Acc:AT4G33910] MAPADVIPFHARKAKAPTRRRFATHAPRTLPSPSTSTSTRDDFSLSLSLSSSSSSPPATPQSKAHASPLTHKSQLRRDPTQPGKAMKGGVIRSGGGVGGGGGGGGGGAGGGLMRTRLRLPVVLLSCSLFFLAGFFGSILFTQDPQGEEELDAPMRRERLMEAAWPGMAYGESGEPEPSLIPYQILSWQPRALYFPQFATSQQCENIVKTAKQRLMPSTLALRKGETEESTKGIRTSSGTFLSSDEDPTGTLAEVEKKIAKATMIPRHHGEPFNILRYEIGQRYASHYDAFDPAQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGENMDIGYDYEKCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVIKGEKWVATKWIRDKSKAV >ONIVA03G36560.1 pep chromosome:AWHD00000000:3:31151910:31156932:1 gene:ONIVA03G36560 transcript:ONIVA03G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37480) TAIR;Acc:AT4G37480] MALRAAELRRLVVLLRGEAALPLPLAWRGRPVSTAARDDELAGKSAYEVLGVGETSSSAEIKASFHRLAKETHPDVAAAAGSSRFLQILAAYEILSDSQRRAHYDIYLRSQKRVLQKHPRPSHHVYPNPSGSGIVVTRESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDKLESELYTAIHAAYYGPDVRSVDLLPDCFEAEERSVYETPELLHLVSGRDLFGIVSLADRVQQLPDAFQEKQTLPGFKTYGISQHVSTNLEKGTVHASPVYIHKREIDKNDGPHSDAYKDIELWICGKLVATATRSPKCNCIDKSDVEDHIHVFLVPNEVASSDFTQELPILGTITGLATTGEEGSCCVYDSRGIKTHLIVKHRTLMVKHMHWYQVGDEISPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRKILSPRQWDGSNEHSERRLHPAMYLAALAYRSLDIEDAQRKKWSITTFLELQSSHIRQLCKKIFNGGKESS >ONIVA03G36560.2 pep chromosome:AWHD00000000:3:31151910:31154990:1 gene:ONIVA03G36560 transcript:ONIVA03G36560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37480) TAIR;Acc:AT4G37480] MALRAAELRRLVVLLRGEAALPLPLAWRGRPVSTAARDDELAGKSAYEVLGVGETSSSAEIKASFHRLAKETHPDVAAAAGSSRFLQILAAYEILSDSQRRAHYDIYLRSQKRVLQKHPRPSHHVYPNPSGSGIVVTRESNVVEWLKWYRLTIDDIVTKKRVATGSGYFDKLESELYTAIHAAYYGPDVRSVDLLPDCFEAEERSVYETPELLHLVSGRDLFGIVSLADRVQQLPDAFQEKQTLPGFKTYGISQHVSTNLEKGTVHASPVYIHKREIDKNDGPHSDAYKDIELWICGKLVATATRSPKCNCIDKSDVEDHIHVFLVPNEVASSDFTQELPILGTITGLATTGEEGSCCVYDSRGIKTHLIVKHRTLMVKHMHWYQVGDEISPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRKILSPRQWDGSNEHSERRLHPAMYLAALAYRSLDIEDAQRKKWSITTFLELQSSHIRQLCKKIFNGGKESS >ONIVA03G36550.1 pep chromosome:AWHD00000000:3:31144608:31146887:-1 gene:ONIVA03G36550 transcript:ONIVA03G36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHGERCVILAVAAVTLVAAAAAVGGERRTYIVHMSRSAKPNDFVEHGEWYAASLQSVSDAATVLYTYDTLVHGYSARLTRAEAEALESQPGVLLVNPEMRYELHTTRTPEFLGLDRTDALFPQSNTGSDVIVGVLDTGVWPERPSYDDAGLGPVPAGWKGKCEEGNDFNASACNKKLIGARFFLTGYEAAKGPVDTSKESRSPRDNDGHGTHTSSTAAGSAVRGADLLGYAAGTAKGMAPHARVATYKVCWVGGCFSSDILKAMEVAVNDGVDVLSLSLGGGTADYYRDSIAVGAYSAMERGIFVSCSAGNAGPGSATLSNGAPWITTVGAGTLDRDFPAHVVLGNGKNYSGVSLYSGKQLPTTPIPFIYAGNASNSSMGALCMSGSLIPEKVAGKIVLCDRGTNARVQKGFVVKDAGGAGMVLANTAANGEELVADAHVLPGAGVGQKAGDTMRAYALSDPNPTASIVFAGTQVGIQPSPVVAAFSSRGPNTVTPGILKPDLIAPGVNILAAWSGSVGPSGLAGDSRRVGFNIISGTSMSCPHVSGLAALLRAAHQDWSPAAIRSALMTTSYNGYPNGNGILDVATGLPATPLDVGAGHVDPSKAVDPGLVYDIAAADYVDFLCAINYGPMQIAALTKHTTDACSGNRTYAVTALNYPSFSVTFPATGGTEKHTRTVTNVGQPGTYKVTASAAAGSTPVTVSVEPSTLTFTKSGEKQSYTVSFAAAAMPSGTNGFGRLVWSSDHHVVSSPIAVTWT >ONIVA03G36540.1 pep chromosome:AWHD00000000:3:31136999:31138453:1 gene:ONIVA03G36540 transcript:ONIVA03G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77360) TAIR;Acc:AT1G77360] MGGVRPTLFDARKVFVRMLSSGVGGGDALVDTADPAKRLFKLIISCRKASAVEHELDHSGVRVTPDVAERVLERLDNAGMLAYRFFEWARRQKRGGCAHTVRSYHTVVASLAKIRQYQLMWDVVAVMRREGAVNVETFGIIMRKYARAQKVDEAVYTFNVMEKYGVVPNLAAFNSLLGALCKSKNVRKAQEIFDKMNSRFSPDAKTYSILLEGWGRAPNLPKMREVYSEMLDAGCEPDIVTYGIMVDSLCKTGRVEEAVRVVQDMTSRGCQPTTYIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDIVVYNALVSAFCKAKKFENAFRVLNDMEGHGITTNSRTWNIILNHLISLGRDDEAYKVFRRMIKCCQPDCDTYTMMIKMFCENDKVEMALKVWKYMRLKQFLPSMHTFSVLINGLCDKREVSQACVLLEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLDFLVEKMNILIQEPLFD >ONIVA03G36530.1 pep chromosome:AWHD00000000:3:31133358:31136690:-1 gene:ONIVA03G36530 transcript:ONIVA03G36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G65860) TAIR;Acc:AT5G65860] MAAAAESKAGKSPEELLCAAAESGDAEAITGLLAEGADPTHFDASGLTPLMHAATGGHAAVVQLLLDAGAPWNALSPTGISAGDLASDSATFDLLLDHALRSELVLGTVARRQAGPADSPAESYLESRVSFSEERVMDADSKAVMMEWERPLMEAHARAVCSGGKVLNVGFGMGLVDTAIQRYEPEEHTIVEAHPEVYARMLKLGWGEKKNVKVVFGRWQDVLPQLGSYDGIFFDTYGEYYEDMREFHQHLPKLLKPGGIYSYFNGLCGDNAFFHVVYCQLVALELANLGYSTQFIPLPVKDCLSEEIWNGVKQKYWQLDTYHLPVCQAESEPEQ >ONIVA03G36520.1 pep chromosome:AWHD00000000:3:31129166:31131309:-1 gene:ONIVA03G36520 transcript:ONIVA03G36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVARCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLAMEDQAQVLASPAATLVGVYDGHGGADASRFLRSRLFPLVQRFEKEQGGMSTEVIRRAFGAAEEEFLQQVRQAWRQRPKMAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVVGGGVAVAERLTDEHNTASEEVRRELTALNPDDAQIVVHARGAWRVKGIIQVSRTIGDVYLKKQEYSMDPVFHGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVSFRDLKTIEKGVRRHFHDDISVIVVYLDRHRGRRHTRVVDSSSNCTNAPVDIYSSNSGQSVETLQAHRGSGW >ONIVA03G36520.2 pep chromosome:AWHD00000000:3:31129166:31131309:-1 gene:ONIVA03G36520 transcript:ONIVA03G36520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVARCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLAMEDQAQVLASPAATLVGVYDGHGGADASRFLRSRLFPLVQRFEKEQGGMSTEVIRRAFGAAEEEFLQQVRQAWRQRPKMAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVVGGGVAVAERLTDEHNTASEEVRRELTALNPDDAQIVVHARGAWRVKGIIQDLVVKIAVCGLDLQLSVVSRTIGDVYLKKQEYSMDPVFRNVGPPIPLKRPALSAEPSIQVRKLKPNDLFLIFASDGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVSFRDLKTIEKGVRRHFHDDISVIVVYLDRHRGRRHTRVVDSSSNCTNAPVDIYSSNSGQSVETLQAHRGSGW >ONIVA03G36520.3 pep chromosome:AWHD00000000:3:31129166:31131309:-1 gene:ONIVA03G36520 transcript:ONIVA03G36520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVARCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLAMEDQAQVLASPAATLVGVYDGHGGADASRFLRSRLFPLVQRFEKEQGGMSTEVIRRAFGAAEEEFLQQVRQAWRQRPKMAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVVGGGVAVAERLTDEHNTASEEVRRELTALNPDDAQIVVHARGAWRVKGIIQVSRTIGDVYLKKQEYSMDPVFRNVGPPIPLKRPALSAEPSIQVRKLKPNDLFLIFASDGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVSFRDLKTIEKGVRRHFHDDISVIVVYLDRHRGRRHTRVVDSSSNCTNAPVDIYSSNSGQSVETLQAHRGSGW >ONIVA03G36510.1 pep chromosome:AWHD00000000:3:31126882:31128375:-1 gene:ONIVA03G36510 transcript:ONIVA03G36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASMLSAASLSLSPSPLAALRPPRPAASFALPRRAAAAAVAVRAAAAASNSPAAAAQKKRAATGLTKPRLVSPALQAVVGAAEIPRTEALKRLWAYIKQHNLQDPADKKVIVCDEKLKVLFAGQERVGFLEVAKLLNPHFVK >ONIVA03G36500.1 pep chromosome:AWHD00000000:3:31125604:31125822:-1 gene:ONIVA03G36500 transcript:ONIVA03G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRYRRGPPRRAERRPVAATLGGRCSEQSGGGHLGERGHCCPPPPRASFGSRYARLSRCSSDWRLSSAADC >ONIVA03G36480.1 pep chromosome:AWHD00000000:3:31117009:31120221:1 gene:ONIVA03G36480 transcript:ONIVA03G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:semialdehyde dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G14810) TAIR;Acc:AT1G14810] MQAAAAAVHRPHLLGAYPGGGRARRPSSTVRMALREDGPSVAIVGATGAVGQEFLRVISSRGFPYRSLRLLASERSAGKRLPFEGQEYTVQDLAAPGAFDGVDIALFSAGGGVSRAHAPAAVASGAVVVDNSSAFRMDPEVPLVIPEVNPEAMAHVRLGKGAIVANPNCSTIICLMAATPLHRHAKVVRMVVSTYQAASGAGAAAMEELKLQTQEYAFNIFSHNAPIVENGYNEEEMKMVKETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFEKPLDEDTAREILRAAEGVTIIDDRASNRFPTPLEVSDKDDVAVGRIRQDLSQDDNKGLDIFVCGDQIRKGAALNAVQIAEMLLK >ONIVA03G36470.1 pep chromosome:AWHD00000000:3:31112502:31115213:-1 gene:ONIVA03G36470 transcript:ONIVA03G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like family protein [Source:Projected from Arabidopsis thaliana (AT4G34270) TAIR;Acc:AT4G34270] MTKAAEAWEGPTAAELKAAGAEAIPGGVRVKGWVIRSHNGPILNSASLQLFEDKLQTTHLPEMVFGESFLSLQHAQTGIRLYFNALDALKAWKHEALPPVEVPAAAKWKFRSKPSDQVILDYDYTFTTPYNGSDALVQNPDSIQTSLDEPRNLCWEDSEDRIDLVALSAKEPILFYDEVILYEDELADNGISFLTVRVRVMPTGWFLLLRLWLRVDGVLMRLRDTRVYCSFGSDEAKPIVLRECCWREATFASLSAKGYPSDSAAYGDPNLIAHKLPVVMQKIQKLKLPN >ONIVA03G36460.1 pep chromosome:AWHD00000000:3:31097188:31098502:-1 gene:ONIVA03G36460 transcript:ONIVA03G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGLHMASNGTTLGMVSPPPSVMSTSTPSTLSQSKHWISVPSTNPTDKGPGDGLGGKKEATCGPSIDRIGGDGIWASSSRGVVAYCVIEEKSGRLGATREGSVLREAINSVAWMGLRRLGVTGDSGGWTVWRREERVRGRQFRKRLGAEAGGGVR >ONIVA03G36450.1 pep chromosome:AWHD00000000:3:31089000:31104986:1 gene:ONIVA03G36450 transcript:ONIVA03G36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNHPETLKKAQAEIDASVGNSRLITADDVPRITYLQCIVRETLRLYPAAPMLIPHESSADCKVGGYSVPRGTMLLVNAYAIHRDPAAWEEPERFVPERFEGGGCDGNLSMPFGMGRRRCPGETLALHTVGLVLGTLIQCFDWERVDGVEVDMAEGGGLTMPKVVPLEAVCRPRDAMGGWGRGGLRRPRQEQRSSASTGSMRMAFLGWAVDIARDSGASSSVVLTCDGYGSALYFSPWDSVPLPATASPDDGFPLPRFPDVCVQRSQFTNHLAAANGTGGGGSRTGVKEEASEVSTGLGCLRPVRGRPAVLGGGIRPRLPPPLSHAAVPRLPRRGGTPGTPPPCASPRRGRRRRVSICCRARAARGHELHSARKAAVSSPRERRMRAAQFGDEVLSTGAHPPSTLARVLRGTPWHRSSCGHCERPQILLRCCPGHRLRSNLCAGWRWRSTGTDSTRRTINGYHNPAPPRRDCGNHENLTLQFVPSARVSHGVCRDAWIVSARSDPFHLLLEAQAPLGIKADALSQIAAVHQSHRNTSHIRELSLAMDNAYIIAILSVAILFLLHYYLLGRGNGGVARLPPGPPAVPILGHLHLVKKPMHATMSRLAERYGPVFSLRLGSRRAVVVSSPGCARECFTEHDVTFANRPRFESQLLVSFNGAALATASYGAHWRNLRRIVAVQLLSAHRVGLMSGLIAGEVRAMVRRMYRAAAASPAGTARIQLKRRLFEVSLSVLMETIAHTKATRPETDPDTDMSVEAQEFKQVVDEIIPHIGAANLWDYLPALRWFDVFGVRRKILAAVSRRDAFLRRLIDAERRRLDDGDEGEKKSMIAVLLTLQKTEPEVYTDNMITALTANLFGAGTETTSTTSEWAMSLLLNHPDTLKKAQAEIDASVGNSRLITADDVTRLGYLQCIVRETLRLYPAAPMLLPHESSADCKVGGYNVPRGSMLLINAYAIHRDPAVWEEPEKFMPERFEDGGCDGNLLMPFGMGRRRCPGETLALHTVGLVLGTLIQCFDWERVDGVEVDMAEGGGLTMPKVVPLEAVCRPRDAMGVGLVLGTLIQCFDWERVDGVEVDMTEGGGLTIPKVVPLEAMCRPRDAMGGVLRELAYIAVFSIAILFLLVDYFRCSRRRGSGSNNGENKGMLQLPPSPPAIPFFGHLHLIDKPLHAALSRLAERHGPVFSLRLGSRNAVVVSSPECARECFTDNDVCFANRPQFPSQMPATFYGAGFGFANYGAHWRNLRRIATVHLLSAHRVRGMAGVVSGEIRPMVQRMYRAAAAAGVGVARVQLKRRLFELSLSVLMEAIAQTKTTRPEADDADTDMSVEAQEFKNVLDELNPLLGAANLWDYLPALRVFDVLGVKRKIATLANRRDAFVRRLIDAERQRMDNGVDGGDDGEKKSVISVLLSLQKTEPEVYKDIVIVNLCAALFAAGTETTAMTIEWAMSLLLNHPKILKKAKAEIDASVGNSRLINGDDMPHLSYLQCIINETLRLYPVAPLLIPHESSADCKVNGYHIPSGTMLLVNVIAIQRDPMVWKEPNEFKPERFENGESERLFMIPFGMGRRKCPGETMALQTIGLVLGALIQCFDWDRVDGAEVDMTQGSGLTNPRAVPLEAMCKPREAMSDVFRELL >ONIVA03G36450.2 pep chromosome:AWHD00000000:3:31089000:31104986:1 gene:ONIVA03G36450 transcript:ONIVA03G36450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNHPETLKKAQAEIDASVGNSRLITADDVPRITYLQCIVRETLRLYPAAPMLIPHESSADCKVGGYSVPRGTMLLVNAYAIHRDPAAWEEPERFVPERFEGGGCDGNLSMPFGMGRRRCPGETLALHTVGLVLGTLIQCFDWERVDGVEVDMAEGGGLTMPKVVPLEAVCRPRDAMGGWGRGGLRRPRQEQRSSASTGSMRMAFLGWAVDIARDSGASSSVVLTCDGYGSALYFSPWDSVPLPATASPDDGFPLPRFPDVCVQRSQFTNHLAAANGTGGGGSRTGVKEEASEVSTGLGCLRPVRGRPAVLGGGIRPRLPPPLSHAAVPRLPRRGGTPGTPPPCASPRRGRRRRVSICCRARAARGHELHSARKAAVSSPRERRMRAAQFGDEVLSTGAHPPSTLARVLRGTPWHRSSCGHCERPQILLRCCPGHRLRSNLCAGWRWRSTGTDSTRRTINGYHNPAPPRRDCGNHENLTLQFVPSARVSHGVCRDAWIVSARSDPFHLLLEAQAPLGIKADALSQIAAVHQSHRNTSHIRELSLAMDNAYIIAILSVAILFLLHYYLLGRGNGGVARLPPGPPAVPILGHLHLVKKPMHATMSRLAERYGPVFSLRLGSRRAVVVSSPGCARECFTEHDVTFANRPRFESQLLVSFNGAALATASYGAHWRNLRRIVAVQLLSAHRVGLMSGLIAGEVRAMVRRMYRAAAASPAGTARIQLKRRLFEVSLSVLMETIAHTKATRPETDPDTDMSVEAQEFKQVVDEIIPHIGAANLWDYLPALRWFDVFGVRRKILAAVSRRDAFLRRLIDAERRRLDDGDEGEKKSMIAVLLTLQKTEPEVYTDNMITALTANLFGAGTETTSTTSEWAMSLLLNHPDTLKKAQAEIDASVGNSRLITADDVTRLGYLQCIVRETLRLYPAAPMLLPHESSADCKVGGYNVPRGSMLLINAYAIHRDPAVWEEPEKFMPERVDGVEVDMTEGGGLTIPKVVPLEAMCRPRDAMGGVLRELAYIAVFSIAILFLLVDYFRCSRRRGSGSNNGENKGMLQLPPSPPAIPFFGHLHLIDKPLHAALSRLAERHGPVFSLRLGSRNAVVVSSPECARECFTDNDVCFANRPQFPSQMPATFYGAGFGFANYGAHWRNLRRIATVHLLSAHRVRGMAGVVSGEIRPMVQRMYRAAAAAGVGVARVQLKRRLFELSLSVLMEAIAQTKTTRPEADDADTDMSVEAQEFKNVLDELNPLLGAANLWDYLPALRVFDVLGVKRKIATLANRRDAFVRRLIDAERQRMDNGVDGGDDGEKKSVISVLLSLQKTEPEVYKDIVIVNLCAALFAAGTETTAMTIEWAMSLLLNHPKILKKAKAEIDASVGNSRLINGDDMPHLSYLQCIINETLRLYPVAPLLIPHESSADCKVNGYHIPSGTMLLVNVIAIQRDPMVWKEPNEFKPERFENGESERLFMIPFGMGRRKCPGETMALQTIGLVLGALIQCFDWDRVDGAEVDMTQGSGLTNPRAVPLEAMCKPREAMSDVFRELL >ONIVA03G36450.3 pep chromosome:AWHD00000000:3:31101290:31112426:1 gene:ONIVA03G36450 transcript:ONIVA03G36450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGADPPGRREEVGRQEEDEQEPHGSDLIGERVKRYALKAQLANFAWPIRHQLFGYSALGIRLDTRRWGWLTAIEGLRRRRRQWARGAASARCHNGSPRPECPRLRRAKGCWALGRLAAYIAIFSIAVLLLIHFLFRRRGRSNGMPLPPSPPAIPFFGHLHLIDKPFHAALSRLAERHGPVFSLRLGSRNAVVLSSPECARECFTDNDVCFANRPRFPSQMLATFNGTSLGSANYGPHWRNLRRIATVHLLSAHRVSGMSGIISGQARHMVRRMYRAATASAAGVARVQLNRRLFELSLSVLMEAIAQSKTTRREAPDADTDMSMEAQELRHVLDELNPLIGAANLWDYLPALRWFDVFGVKRKIVAAVNRRNAFMRRLIDAERQRMDNNDVDGGDDGEKKSMISVLLTLQKTQPEVYTDTLIMTLCAPLFGAGTETTSTTIEWAMSLLLNHPEILKKAQAEIDMSVGNSRLISVVDVHRLGYLQCIINETLRMYPAVPLLLPHESSADCKVGGYHIPSGAMLLVNVAAIQRDPVIWKEPSEFKPEVREWQVRGIVHDTVWDGETEVSRGDAGIADNWCFDWGRVDDAMVDMTQSNGLTSLKVIPLEAMCKPREAMCDERASTDHST >ONIVA03G36450.4 pep chromosome:AWHD00000000:3:31086550:31088283:1 gene:ONIVA03G36450 transcript:ONIVA03G36450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYIAVFSIVILFLLVDYLRRLRGGGTSNGKNKGMRLPPGLPAVPIIGHLHLVKKPMHATLSRLAARHGPLLSARRVGLMSGLIAGEVRAMVRSLCRRPAAAAPVQLKRRLFELSLSVLMETIAQSKATRPETTDTDTDMSMEAQEYKQVVEEILERIGTGNLCDYLPALRWFDVFGVRNRILAAVSRRDAFLRRLIYAERWRMDDGEKKSMIAVLLTLQKTQPEVYTDNMITALCSVSTSLKVCSPMDRDRRTDHSTGAPE >ONIVA03G36450.5 pep chromosome:AWHD00000000:3:31086550:31089849:1 gene:ONIVA03G36450 transcript:ONIVA03G36450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYIAVFSIVILFLLVDYLRRLRGGGTSNGKNKGMRLPPGLPAVPIIGHLHLVKKPMHATLSRLAARHGPLLSARRVGLMSGLIAGEVRAMVRSLCRRPAAAAPVQLKRRLFELSLSVLMETIAQSKATRPETTDTDTDMSMEAQEYKQVVEEILERIGTGNLCDYLPALRWFDVFGVRNRILAAVSRRDAFLRRLIYAERWRMDDGEKKSMIAVLLTLQKTQPEVYTDNMITALCSNLLGAGTETTSTTIE >ONIVA03G36440.1 pep chromosome:AWHD00000000:3:31082638:31083984:1 gene:ONIVA03G36440 transcript:ONIVA03G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTRRTCLQHCPGINFQHDQRVPRGRQTHCLQYGASTDMRSIEKFMWLLEVLYKEEGNQ >ONIVA03G36430.1 pep chromosome:AWHD00000000:3:31068129:31077083:1 gene:ONIVA03G36430 transcript:ONIVA03G36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEIITEAAGARGYMEMLGLGEEAADYLMCLSPSSYLSSPAASTTTAVASPTCASYLAPHPYHHLLSFSGQDQYHGDDVFGLQYYGGDQVIPAVVPQKSSPTTECSSSVSSMSSSPTATAISSSKSPAFKKKGSRGCDQRKATAPAAATTTNKRPRVRRERLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQVLSSPYLQRLPPSARVPEQERGTPAAEEQPPALRPSDLRSRGLCLVPISCTEHVAGAGTGHGNGADLWSVAAGMAKATATVTAAVERSKEAAAAAAATAALLRADRPGQQLA >ONIVA03G36430.2 pep chromosome:AWHD00000000:3:31072460:31077083:1 gene:ONIVA03G36430 transcript:ONIVA03G36430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEIITEAAGARGYMEMLGLGEEAADYLMCLSPSSYLSSPAASTTTAVASPTCASYLAPHPYHHLLSFSGQDQYHGDDVFGLQYYGGDQVIPAVVPQKSSPTTECSSSVSSMSSSPTATAISSSKSPAFKKKGSRGCDQRKATAPAAATTTNKRPRVRRERLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQVLSSPYLQRLPPSARVPEQERGTPAAEEQPPALRPSDLRSRGLCLVPISCTEHVAGAGTGHGNGADLWSVAAGMAKATATVTAAVERSKEAAAAAAATAALLRADRPGQQLA >ONIVA03G36420.1 pep chromosome:AWHD00000000:3:31064641:31067172:-1 gene:ONIVA03G36420 transcript:ONIVA03G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G61350) TAIR;Acc:AT5G61350] MARSMLGWMRVPLFSILLILSITNIATTYAIASQADRFVPRDNYLLSCGAPAAVQLDDGRTFRSDPDSASFLSTPVDIKITAKNSLASGAPSSQLYLTSRVFSDISTYSFFISQPGRHWIRLHFLPIPDDHYNLTTATFSVSTDDMVLLHDFSFIATPPNPVLREYIVATQGDTLKIIFTPKKDSIAFINAIEVVSAPPSLIPNTTTGMAPQGQLDISNNALQVVYRLNMGGPLVTAFNDTLGRIWLPDAPFLKLQAAANAAWVPPRTIKYPDDKTNTPLIAPANIYSTAQQMASTNTSDARFNITWEMVTEPGFSYFVRLHFCDIVSKALNSLYFNVYINGMMGVLNLDLSSLTVGLAVPYYRDFIIDSSSIINSTLIVQIGPGTTDTSNPNAILNGLEIMKISNQENSLDGLFSPKRSSQLGKKTMTGIGLAMAVMAAALAVVMCCRRRHRPGWQKTNSFQSWFLPLNSTQSSFMSTCSRLSSRNRFGSTRTKSGFSSIFASSAYGLGRYFTFVEIQKATKNFEEKAVIGVGGFGKVYLGVLEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGGTDIKPLSWKQRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPTLPRDQVNLAEWARTWHRKGELNKIIDPHISGQIRPDSLEIFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDIVDGTSNQFPMKSLEVTSGDSMEKSGNVVPSYVQGR >ONIVA03G36410.1 pep chromosome:AWHD00000000:3:31061006:31063685:1 gene:ONIVA03G36410 transcript:ONIVA03G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MSQPVTPRRTTRSSASASPSPAPASLTSPPKSRPKPSPRRQLLAAAAAPPKEDGSSADALLAELPGRRAQAMDILRLLAPAPALPLMLHGGAATGKTRALLLALRYLRPSQRLVYAALRSLPSPRALFASLLSQLSATPFSTSSRHRVPDKPSDFVAALRDALNGIVSQGEVVYLVFDNLEVVRSWDKGGQLLPLLLRLHDLLQLPQVVLVYVSSATPDAYYSMTGSVEPNYVYFPDYTVDEVRDILMHDHPNPKLYSSFLSVALKPLFRVTRRVDELSAVLEPLFRRYCEPLGDLKAVPDEGMKRRLFEHVQSHLAVALNETFNVPMRASMDEIKDGGSAGKGSAKRQFAGKDGLSSELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGLDNRKRKRKSSQASMHMKDTIVEEMLMKGPGTFPLERLLAIFQCITSVSEDILDEIDCPDNMASESGTTGLMSDVLLQLSTLCNSNFLSKSRSCPLEGSARYRSNIDEDLALKVARSVNFPLSKYMYRR >ONIVA03G36400.1 pep chromosome:AWHD00000000:3:31059999:31060430:1 gene:ONIVA03G36400 transcript:ONIVA03G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRPVRRSPLASPPPCLPYLHPRRRPASVPAAFATAGESGGGDALPAAAVVSPATVMTPVVSLFSHIAPPKLAARQPPTQLPTQTRRRVREREEKGRGWERVMTWPADMWGPRRELRASGDEATSCGAAPSPYSPSTSVAR >ONIVA03G36390.1 pep chromosome:AWHD00000000:3:31049496:31051888:-1 gene:ONIVA03G36390 transcript:ONIVA03G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSTTRRRRRSRKLSVAARKFRRKVSAAIADAPIARSGGGEVAAANCFARHEVVHVEAPVSNVTLHLTQLQWQHSQMDAGSVICEEAWYDSVSILDSADSEDDDLDNDFASVSGDPLPDVTATATSTSTSLLDAVHRLRSIASAEACQDDDPPGKAEESNAAADECCCSSGGGLKESAASSTRSPFPPSIPSNKIQPMPIVSVSPHSQKKKSAVVRLSFRRRSYEGDEMTEMSGSTNYLYRPRAGSSLPCSTGEKLSDGCWSAIEPSVFRVRGESFFKDKRKSPAPNCSPYIPIGADMFACTRKINHIAQHLALPSLKAHETFPSLLIVNIQMPTYPATVFGENDGDGISLVLYFKLSDSFDKEISPQLKESIKKLMGDEMERVKGFPVDSNVPYTERLKILAGLVNPDDLQLSAAERKLVQTYNQKPVLSRPQHKFFKGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVLDLGLTIQAQKAEELPEHVLCCMRLNKIDFADSGQIPTLIMSSDE >ONIVA03G36380.1 pep chromosome:AWHD00000000:3:31041466:31048958:1 gene:ONIVA03G36380 transcript:ONIVA03G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVDKYQQVGFFQEEKAFALRYQTAGMLETVLRAGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSREGNGRVRAFHIMDPKGVLDMLIIFHEKQGSEVPLMYSSDDADITNSDRIAPLLGRWEGRSVTKRSGVYGATLSEADTVVLLEKDRNGQLILDNMSTKSGSSTTTTVHWTGSANNNLLQFDGGYEMTLLPGGMYMGYPTDIGKIVNDMDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTYFFETKRLSSLKPHHHLRRRVGDHGRTPDLSNANRVAGEGEGGDRFRRRRVAAVTAAAIDRAKSPEEGDSFRWFWKYSAQAVGASQSNPNTSRSDPLSWSAAVVVIDREALPLHKQRKLTRAAAVAMADRRRSDGGGGGMQQQPFTSPGQERVFDGGGVPGQVAAPYGSDFDQSSYMALLAAGAVGVGVGVQPTAAPWAVEEDVAAAPPGISLAPQFSMANYAPPPSYQHPATLVSPPLAAGLHPYPPYLHGVDAPPPQWPPRPAPPPSFSVLDLAAAAAPHEQRHSMQQLLLRAAAFGGGMHAAAAPAPAAAAAIEQPAKDGYNWRKYGQKQLKDAESPRSYYKCTRDGCPVKKIVERSSDGCIKEITYKGRHSHPRPVEPRRGGAASSSSSAMAAGTDHNAGAAADDAAAADEDDPSDDDDTLLHEDDDDGEEGHDRGVDGEVGQRVVRKPKIILQTRSEVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTADGCNVRKQIERASADPKCVLTTYTGRHNHDPPGRPPAAANLQMPGPAAMSLAGGGTAHQQPSGGAHQMKEET >ONIVA03G36380.2 pep chromosome:AWHD00000000:3:31041483:31044405:1 gene:ONIVA03G36380 transcript:ONIVA03G36380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVAVLRLAASAAATARPQSRSGRHGSCAARVPCPGPSPFRRGRLCARAAVAGPPEVDDDDAMTIDNLRRFFDVNVGKWNGAFYVGFFQEEKAFALRYQTAGMLETVLRAGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSREGNGRVRAFHIMDPKGVLDMLIIFHEKQGSEVPLMYSSDDADITNSDRIAPLLGRWEGRSVTKRSGVYGATLSEADTVVLLEKDRNGQLILDNMSTKSGSSTTTTVHWTGSANNNLLQFDGGYEMTLLPGGMYMGYPTDIGKIVNDMDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTYFFETKV >ONIVA03G36380.3 pep chromosome:AWHD00000000:3:31045532:31048958:1 gene:ONIVA03G36380 transcript:ONIVA03G36380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRRSDGGGGGMQQQPFTSPGQERVFDGGGVPGQVAAPYGSDFDQSSYMALLAAGAVGVGVGVQPTAAPWAVEEDVAAAPPGISLAPQFSMANYAPPPSYQHPATLVSPPLAAGLHPYPPYLHGVDAPPPQWPPRPAPPPSFSVLDLAAAAAPHEQRHSMQQLLLRAAAFGGGMHAAAAPAPAAAAAIEQPAKDGYNWRKYGQKQLKDAESPRSYYKCTRDGCPVKKIVERSSDGCIKEITYKGRHSHPRPVEPRRGGAASSSSSAMAAGTDHNAGAAADDAAAADEDDPSDDDDTLLHEDDDDGEEGHDRGVDGEVGQRVVRKPKIILQTRSEVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTADGCNVRKQIERASADPKCVLTTYTGRHNHDPPGRPPAAANLQMPGPAAMSLAGGGTAHQQPSGGAHQMKEET >ONIVA03G36370.1 pep chromosome:AWHD00000000:3:31038539:31041132:1 gene:ONIVA03G36370 transcript:ONIVA03G36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic elongation factor 5A-1 [Source:Projected from Arabidopsis thaliana (AT1G13950) TAIR;Acc:AT1G13950] MRRLIHKCLAELRPSGTLVAGSRLSHPLAHPPPTTSVGFWGRLEMSDSEEHHFESKADAGASKTYPQQAGTIRKNGHIVIKNRPCKWSGNHASLLVDRKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGGTKDDLRLPSDEALLTQIKDGFAEGKDLIVTVMSAMGEEQICALKDIGPKN >ONIVA03G36370.2 pep chromosome:AWHD00000000:3:31038688:31041132:1 gene:ONIVA03G36370 transcript:ONIVA03G36370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic elongation factor 5A-1 [Source:Projected from Arabidopsis thaliana (AT1G13950) TAIR;Acc:AT1G13950] MSDSEEHHFESKADAGASKTYPQQAGTIRKNGHIVIKNRPCKWSGNHASLLVDRKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGGTKDDLRLPSDEALLTQIKDGFAEGKDLIVTVMSAMGEEQICALKDIGPKN >ONIVA03G36360.1 pep chromosome:AWHD00000000:3:31032437:31037411:1 gene:ONIVA03G36360 transcript:ONIVA03G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69400) TAIR;Acc:AT1G69400] MGWRRREGGGAVAGAASRLRFAPSSNHLLVSSWDSGLRLYDADACELRMEAKSEAALLDCCFQDEAVALTGGSDGSITRYDLHSGAQGTIGQHHEVVSCIEFSQITGQVVTATLDKKLMFWDSQTRNVNPNSIKNLDSDVASLSVCEMYILAAIEREVYIYDMRNLIGPVKVKDSPVEYHLRSLHSSPEWKGYAAGSVDGVVAVKYFDRGTDGDMGYVFRCHPKSRDGRSSMVPINSIGIHPFDKTFVTGDNEGYVIAWDAQSKKKLHEFPIYSGSVASIAFNHNGQIFAVASNSNYQESDKMVEEHQIFFEMKQHF >ONIVA03G36360.2 pep chromosome:AWHD00000000:3:31032437:31037411:1 gene:ONIVA03G36360 transcript:ONIVA03G36360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69400) TAIR;Acc:AT1G69400] MGWRRREGGGAVAGAASRLRFAPSSNHLLVSSWDSGLRLYDADACELRMEAKSEAALLDCCFQDEAVALTGAQGTIGQHHEVVSCIEFSQITGQVVTATLDKKLMFWDSQTRNVNPNSIKNLDSDVASLSVCEMYILAAIEREVYIYDMRNLIGPVKVKDSPVEYHLRSLHSSPEWKGYAAGSVDGVVAVKYFDRGTDGDMGYVFRCHPKSRDGRSSMVPINSIGIHPFDKTFVTGDNEGYVIAWDAQSKKKLHEFPIYSGSVASIAFNHNGQIFAVASNSNYQESDKMVEEHQIFFEMKQHF >ONIVA03G36350.1 pep chromosome:AWHD00000000:3:31024760:31032216:1 gene:ONIVA03G36350 transcript:ONIVA03G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVPARLFVLRMLRVVRLNPLQRGAASVVESRIVYFRCVDSPIFDLVHLMRRLQNTHRLVPFHGNGGSLARMGAKVEGDNYMLGYYAMGDFNMDANGRWSPYHDEKMSNGHMCNGFMTKPANGYSEYDKEMLTRTMLEHEAIFRQQVYELHRVYKIQRDLMKQYQNKDIYAYPMLEDASKTNSPSQLPPNGAKMSWPIQTPPMSITYKKASIAEHGVMNHPLKFLREGSVQSSPNGFPPSDVALNARQGTFDLQLSADHYVDDDNASDNGPIDFLGVAPDKKPQNNADLTLVSPEGLGRFSDNSSTSGLHATNNVGGRQVVDLNEPITGTYMGRANGSVSRGLSYTLENSWHQSILKPSTANFNYNKEYSKEKHLDEGTSSNFFAANAKTKQEEKQLIDKGKQVSSIHVFTPRYSDANPQMSMKGVDGRSASNNQFFHQGQNGSIGWFARSPLEAPAINNFPRLDRSHNSSLGALAPPMSIPRIDHPSGASPIGSCTVDPRSSAINNATFQPIPSFKGSSTVNQSIGTSILKVKKNEDLDGNCPGFALDPFCASRPQHQVAISSDEEQTECLMFEHSARHRENPHFANDKGPKNFNLNEALSDGQEDYLVEQDGGSVSSLPQSKASGFPWLIKTTDTCTRPSDLQNPRKVFAHSNRIVIDLNSNTDRKEAALTIHSLSDSASTSLDCGVKKESQDCGIKKDEAFGDITTRTEVACNTTQESATCLPVLCQEYVPGDDKAANGGDKKSSAPVRNFIDLNDDAPNEDNSESSVVSHECHVVSLQNNHGKRKFVIDLEVPACEEGVAWDFNQECSPSGKLDVTQEADDAHFTCTKIAAESIVALSMHVPTIAETPDDMLQWFADLALSSTDDHVEQAEAHDCVNNSSDDGLDSFESLTLKLEETKIDEYWSRPQAPEIPNDEQAGLSVNLLTKPKRGQQRRRRQKRDFQKDILPGLTSLARPEIIEDIQLLEGLVQASGGSWQSSLTRRGRYGGRPRGRKPRKNLSETIEEEEVPVSPPAKPDTAKPDAAEIEASDRGIIGWGRTTRRCRRPRCPSGYNISAAS >ONIVA03G36350.2 pep chromosome:AWHD00000000:3:31024760:31032216:1 gene:ONIVA03G36350 transcript:ONIVA03G36350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVPARLFVLRMLRVVRLNPLQRGAASVVERLWLTIVLARMGAKVEGDNYMLGYYAMGDFNMDANGRWSPYHDEKMSNGHMCNGFMTKPANGYSEYDKEMLTRTMLEHEAIFRQQVYELHRVYKIQRDLMKQYQNKDIYAYPMLEDASKTNSPSQLPPNGAKMSWPIQTPPMSITYKKASIAEHGVMNHPLKFLREGSVQSSPNGFPPSDVALNARQGTFDLQLSADHYVDDDNASDNGPIDFLGVAPDKKPQNNADLTLVSPEGLGRFSDNSSTSGLHATNNVGGRQVVDLNEPITGTYMGRANGSVSRGLSYTLENSWHQSILKPSTANFNYNKEYSKEKHLDEGTSSNFFAANAKTKQEEKQLIDKGKQVSSIHVFTPRYSDANPQMSMKGVDGRSASNNQFFHQGQNGSIGWFARSPLEAPAINNFPRLDRSHNSSLGALAPPMSIPRIDHPSGASPIGSCTVDPRSSAINNATFQPIPSFKGSSTVNQSIGTSILKVKKNEDLDGNCPGFALDPFCASRPQHQVAISSDEEQTECLMFEHSARHRENPHFANDKGPKNFNLNEALSDGQEDYLVEQDGGSVSSLPQSKASGFPWLIKTTDTCTRPSDLQNPRKVFAHSNRIVIDLNSNTDRKEAALTIHSLSDSASTSLDCGVKKESQDCGIKKDEAFGDITTRTEVACNTTQESATCLPVLCQEYVPGDDKAANGGDKKSSAPVRNFIDLNDDAPNEDNSESSVVSHECHVVSLQNNHGKRKFVIDLEVPACEEGVAWDFNQECSPSGKLDVTQEADDAHFTCTKIAAESIVALSMHVPTIAETPDDMLQWFADLALSSTDDHVEQAEAHDCVNNSSDDGLDSFESLTLKLEETKIDEYWSRPQAPEIPNDEQAGLSVNLLTKPKRGQQRRRRQKRDFQKDILPGLTSLARPEIIEDIQLLEGLVQASGGSWQSSLTRRGRYGGRPRGRKPRKNLSETIEEEEVPVSPPAKPDTAKPDAAEIEASDRGIIGWGRTTRRCRRPRCPSGYNISAAS >ONIVA03G36340.1 pep chromosome:AWHD00000000:3:31009819:31010454:-1 gene:ONIVA03G36340 transcript:ONIVA03G36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTRRRAALLVVAVCACAALPSTTTANKFSINWKPNTNYSDWPAQHGPFYKGDWLVFYYTAGQADVIQVDAAGYNTCDATNAISNYSKGRTYAFELNETKTYYFICSYGYCFGGMRLQIKTEKLPPPSPPAAAKDKSAAAFTASRASLFYAAAAAVLAAILRMF >ONIVA03G36330.1 pep chromosome:AWHD00000000:3:31004713:31007718:1 gene:ONIVA03G36330 transcript:ONIVA03G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G03430) TAIR;Acc:AT2G03430] MSRVRRETQTRGSKRISLLRLRFCLSTMSATMDIDGAAASAAAGGGRHSEKELFSAAESGDAAAFSSLSPADLAAALALRDEDGRSLLHVAAASGHAQVVRVLAAMGGDAAASVVNGKDEEGWAPIHTAASSGKAEIISILLDQGANVDLTTDAGRTALHYAASKGRLNIAETLIAHSANVNKKDKFGCTPLHRAASTGNAELCEFLIEEGADVDAVDKTGQTPLMHAVISEDKAVALLLVRHGADVDIEDKEGYTVLGRASDSFRPALIDAAKAMLEG >ONIVA03G36320.1 pep chromosome:AWHD00000000:3:30994859:31001351:1 gene:ONIVA03G36320 transcript:ONIVA03G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-regulated ion channel family protein [Source:Projected from Arabidopsis thaliana (AT5G15410) TAIR;Acc:AT5G15410] MPSLSFLRFLSGRGGLDWGMMKAGTRRLRVQRWNRWILLARAAALAVDPLFFYALSIGRAGQPCVYMDAGLAAAVTALRTAADLAHLAHVLLQFRVAYVSRESLVVGCGKLVWDPRAIAAHYARSLKGLWFDLFVILPIPQVIFWLVIPKLIREEQIKLIMTILLLLFLLQFLPKVYHSIYIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRVASCLQEECKIKNTCNLISLACSKEMCFHLPWSDKNGLACNLTSFGQQNIPDCLSGNGPFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAITGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFVLQGKLRSTQPLAKGVVATCMLGAGNFLGDELLSWCLRRPFLDRLPASSATFECVETAQAFCLDAPDLRFITEQFRYKFANEKLKRTARYYSSNWRTWAAVNIQLAWRRYKARTTTDLASAAQPPSAGGPDDGDRRLRHYAAMFMSLRPHDHLE >ONIVA03G36320.2 pep chromosome:AWHD00000000:3:30994859:31001351:1 gene:ONIVA03G36320 transcript:ONIVA03G36320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-regulated ion channel family protein [Source:Projected from Arabidopsis thaliana (AT5G15410) TAIR;Acc:AT5G15410] MPSLSFLRFLSGRSLADVCDGVKRRLGLGDDEGRDEEAGMAGGSSRPAAAAAVAGPPGECYACTQPGVPSFHSTTCDQVHSPDWDADAGSSLVPVQAQPSAAHHAAAAAAARWVFGPVLDPRSKRVQRWNRWILLARAAALAVDPLFFYALSIGRAGQPCVYMDAGLAAAVTALRTAADLAHLAHVLLQFRVAYVSRESLVVGCGKLVWDPRAIAAHYARSLKGLWFDLFVILPIPQVIFWLVIPKLIREEQIKLIMTILLLLFLLQFLPKVYHSIYIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRVASCLQEECKIKNTCNLISLACSKEMCFHLPWSDKNGLACNLTSFGQQNIPDCLSGNGPFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAITGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFVLQGKLRSTQPLAKGVVATCMLGAGNFLGDELLSWCLRRPFLDRLPASSATFECVETAQAFCLDAPDLRFITEQFRYKFANEKLKRTARYYSSNWRTWAAVNIQLAWRRYKARTTTDLASAAQPPSAGGPDDGDRRLRHYAAMFMSLRPHDHLE >ONIVA03G36310.1 pep chromosome:AWHD00000000:3:30980055:30986841:-1 gene:ONIVA03G36310 transcript:ONIVA03G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 35 [Source:Projected from Arabidopsis thaliana (AT3G29320) TAIR;Acc:AT3G29320] MATASAPLQLATASRPLPVGVGCGGGGGGGGGGGGGLHVGGARGGGAAPARRRLAVRSVASDRGVQGSVSPEEEISSVLNSIDSSTIASNIKHHAEFTPVFSPEHFSPLKAYHATAKSVLDTLIMNWNATYDYYDRTNVKQAYYLSMEFLQGRALTNAVGNLELTGQYAEALQQLGHSLEDVATQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKHGLFKQIITKDGQEEVAENWLEMGNPWEIVRTDVSYPVKFYGKVVEGTDGRMHWIGGENIKVVAHDIPIPGYKTKTTNNLRLWSTTVPSQDFDLEAFNAGDHASAYEAHLNAEKICHVLYPGDESPEGKVLRLKQQYTLCSASLQDIIARFERRAGDSLSWEDFPSKVAVQMNDTHPTLCIPELMRILIDVKGLSWNEAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIEKIDGELMNIIISKYGTEDTSLLKKKIKEMRILDNIDLPDSIAKLFVKPKEKKESPAKLKEKLLVKSLEPSVVVEEKTVSKVEINEDSEEVEVDSEEVVEAENEDSEDELDPFVKSDPKLPRVVRMANLCVVGGHSVNGVAAIHSEIVKEDVFNSFYEMWPAKFQNKTNGVTPRRWIRFCNPELSAIISKWIGSDDWVLNTDKLAELKKFADDEDLQSEWRAAKKANKVKVVSLIREKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAKDRINSFVPRVCIFGGKAFATYVQAKRIVKFITDVAATVNHDPEIGDLLKVVFIPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIAGLRKERAQGKFVPDPRFEEVKRFVRSGVFGTYNYDDLMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDKAYRDQKLWTRMSILNTASSSKFNSDRTIHEYAKDIWDIKPVILP >ONIVA03G36300.1 pep chromosome:AWHD00000000:3:30970488:30974454:-1 gene:ONIVA03G36300 transcript:ONIVA03G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPAALDLPVDVVGAAAREEEEEEEEAPARSGDGGAAAASSSSSGEPAAPDKRPAAAEAAPAAAATATAKKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHCHHTASFQRGVGGAAVAAHIHGAAAVALAEQMSAFVSPPPQPHMLYGLPRLHPPSSETAVSCSMPTTTSLQELNNGEGLQRPGYNNSPQAAVTIAQRPPSPSVPPAVSFDKGLLDDIVPPGF >ONIVA03G36300.2 pep chromosome:AWHD00000000:3:30971169:30974454:-1 gene:ONIVA03G36300 transcript:ONIVA03G36300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPAALDLPVDVVGAAAREEEEEEEEAPARSGDGGAAAASSSSSGEPAAPDKRPAAAEAAPAAAATATAKKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHCHHTASFQRGVGGAAVAAHIHGAAAVALAEQMSAFVSPPPQPHMLYGLPRLHPPSSETAVSCSMPTTTSLQELNNGEGLQRPGYNNSPQAAVTIAQRPPSPSVPPAVSFDKGLLDDIVPPGVRLG >ONIVA03G36290.1 pep chromosome:AWHD00000000:3:30964404:30965798:1 gene:ONIVA03G36290 transcript:ONIVA03G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GU11] MAVIALKCPAIEVVVVDISKPRIDAWNSEQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIDDLFKPDRVLIGGRETAEGRKAVQALKSVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVTEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMGKFDWDHPMHLQPTSPTAFKQVSVVWDAYEATKNAHGLCILTEWDEFKTLDYQKIYDNMQKPAFVFDGRNVVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >ONIVA03G36280.1 pep chromosome:AWHD00000000:3:30946637:30952272:1 gene:ONIVA03G36280 transcript:ONIVA03G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPRPQPHVMVLPFPAQGHVMPLMELSHRLVGLGFEVEFVHTDFNRDRVINAMANETGAIPDGIHMVSFPDGMDPAGDRANIAKLGDGLPAAMLGGIEEMIRSEGIRLQVPKLIQDGVLDEIGNVRRNEMIQLRPTMPPVLAVELPWVTLSGTPDGRRMVIQNVFKTNPTISSAEVIICNTFQDIEPGALALVPNVLPVGPLEAPATSRLAGHFWLEDTTCLAWLDEQDACSVVYVAFGSFTVFDMARVQELADGLVLSGRPFLWVIRQNFTNGAGEGWLEEFRHRVSGKGMIVGWAPQQSVLSHPSIACFVSHCGWNSTMEGLRHGVPFLCWPYFADQYCNQSYICNVWGTGVKLQADERGVVTKEEIKNKVEQLVDDKEIKARAAKWKHAACTSIAEGGSSHENLLNLEYHGESKLPRLFNEVDATSYIDNSKKTTSMAAATQPHVMVLPFPAQGHVIPLMELSHRLADQGFKIDFVNTEFNHDRVLKALAEKGAIPGGIRMLSIPDGLDPADDHTDIGKLVQVLPAAMLSPLEKMIRSEKIKWVIVDVSMSWALELATTMGVHIALFSTYSAAIFALRMNLPKLIEDGILDETGNVKKHEMVQLMPPIDAAEIPWVSLGSTQERRRYNIQNVFKTNRLMALAEMIICNTFREIESEALELLSNALPVGPLLAPASGPTGHFLPEDMTCLTWLDTQAPGSVIYVAFGSSTIFDVAQFHELANGLAVSDQPFLWVVRPNFTNGIQEDWFNEYKDRIKGKGLVISWAPQQRVLSHPAIACFMSHCGWNSTMEGVLHGVPFLCWPYFSDQFCNQSYICNVWKTGIKLCRDKQGVVTQEEIKNKAVQLLEDKEIKERAVTLKTTARASIQEGGSSHQNFLELVNLLREQ >ONIVA03G36280.2 pep chromosome:AWHD00000000:3:30946898:30952272:1 gene:ONIVA03G36280 transcript:ONIVA03G36280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPRPQPHVMVLPFPAQGHVMPLMELSHRLVGLGFEVEFVHTDFNRDRVINAMANETGAIPDGIHMVSFPDGMDPAGDRANIAKLGDGLPAAMLGGIEEMIRSEGIRWVIADVSMAWVTELAATVGVHVALFSTYSAAVVAHRLQVPKLIQDGVLDEIGNVRRNEMIQLRPTMPPVLAVELPWVTLSGTPDGRRMVIQNVFKTNPTISSAEVIICNTFQDIEPGALALVPNVLPVGPLEAPATSRLAGHFWLEDTTCLAWLDEQDACSVVYVAFGSFTVFDMARVQELADGLVLSGRPFLWVIRQNFTNGAGEGWLEEFRHRVSGKGMIVGWAPQQSVLSHPSIACFVSHCGWNSTMEGLRHGVPFLCWPYFADQYCNQSYICNVWGTGVKLQADERGVVTKEEIKNKVEQLVDDKEIKARAAKWKHAACTSIAEGGSSHENLLNLEYHGESKLPRLFNEVDATSYIDNSKKTTSMAAATQPHVMVLPFPAQGHVIPLMELSHRLADQGFKIDFVNTEFNHDRVLKALAEKGAIPGGIRMLSIPDGLDPADDHTDIGKLVQVLPAAMLSPLEKMIRSEKIKWVIVDVSMSWALELATTMGVHIALFSTYSAAIFALRMNLPKLIEDGILDETGNVKKHEMVQLMPPIDAAEIPWVSLGSTQERRRYNIQNVFKTNRLMALAEMIICNTFREIESEALELLSNALPVGPLLAPASGPTGHFLPEDMTCLTWLDTQAPGSVIYVAFGSSTIFDVAQFHELANGLAVSDQPFLWVVRPNFTNGIQEDWFNEYKDRIKGKGLVISWAPQQRVLSHPAIACFMSHCGWNSTMEGVLHGVPFLCWPYFSDQFCNQSYICNVWKTGIKLCRDKQGVVTQEEIKNKAVQLLEDKEIKERAVTLKTTARASIQEGGSSHQNFLELVNLLREQ >ONIVA03G36270.1 pep chromosome:AWHD00000000:3:30946251:30952892:-1 gene:ONIVA03G36270 transcript:ONIVA03G36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAILDQLGDLQPVRHNGGGVSREQGDVDTHRGGQLRHPGHGHIGDHPPDPLRSDHLLDAAEHGGRQAVTQLGDVGTVTGRVHAVREGHHVDPIRDRPRLVRHGVDDAVAVEVGVHEFDLEAEADEPVRELHERHDMALRREGKHHDMRLRTRRRRSRHGCQWSVLFVELVRVGELNILSGFAIVL >ONIVA03G36270.2 pep chromosome:AWHD00000000:3:30946251:30952892:-1 gene:ONIVA03G36270 transcript:ONIVA03G36270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAILDQLGDLQPVRHNGGGVSREQGDVDTHRGGQLRHPGHGHIGDHPPDPLRSDHLLDAAEHGGRQAVTQLGDVGTVTGRVHAVREGHHVDPIRDRPRLVRHGVDDAVAVEVGVHEFDLEAEADEPVRELHERHDMALRREGKHHDMRLRTRRRRSRHGCQWSVLFVELVRVGELNILSGFAIVL >ONIVA03G36270.3 pep chromosome:AWHD00000000:3:30946255:30952845:-1 gene:ONIVA03G36270 transcript:ONIVA03G36270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGGGRRSPGELGALPGWTPAGEPEASRRRRRRRRASRLAQTPCRARAGGHIGRAAGGAAARSRERQGYLVSSRIPSSISLGRFILSAKIAAENGASCDGAILP >ONIVA03G36270.4 pep chromosome:AWHD00000000:3:30946255:30952845:-1 gene:ONIVA03G36270 transcript:ONIVA03G36270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGGGRRSPGELGALPGWTPAGEPEASRRRRRRRRASRLAQTPCRARAGGHIGRAAGGAAARNGASCDGAILP >ONIVA03G36260.1 pep chromosome:AWHD00000000:3:30944708:30946576:1 gene:ONIVA03G36260 transcript:ONIVA03G36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRWIPRCRSSAAPPGGSAATTSAWSTSVLTKSAAVEDEARRGRCCGHQLRRGGLARRGTARSRCPAGLTTHRNGREVSKSLPEQAGTRGATSSGRSRQERLPHQLRDPNPRHGHRHRRRAEAADGDAEAELLFFAATGNAHAMFTSATIHVTSLPPPPAADALALILPTSFTSSPPWLTSSKAASLTTGVEICGFWPERRGGWQRRPYVSLHNSKSAQNVELPNTDELDELQDNTSRSKTLFE >ONIVA03G36250.1 pep chromosome:AWHD00000000:3:30942607:30944910:-1 gene:ONIVA03G36250 transcript:ONIVA03G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVLVLPMPCQGHVIPLMELSHRLADEGFEVTFVNTEVDHALVVAALPPGGAAELRQRGIHLTAIPDGLAEDEDRKDLNKLIDAYSRHMPGHFERLIGEIEAGGGRPKVRWLVGDVNMGWSFAVARRFGIRVVSFSPASTACIAFMRKIPKLIEDGVLNEKGWPERQETLQLAPGMPPLHTSLLSWNNAGAAEGQHIIFDLVCRNNKFNDDLAEMTICNSFHEAEPAVFKLFPDLLPIGPLVADRELRRPVGHFLPEDAGCLDWLDAQPDGSVVYVAFGSMAIFDARQFQELAVGLELTGRPFLWVVRPDFTPGLSTAWLDAFRCRVAGRGVIVEWCSQQRVLAHAAVACFVSHCGWNSTLEGVRNGVPFLCWPYFCDQFLDRSYITAVWRTGLAVAAGEEDGVVTRDEVRSKVEQVVGDGEIRERARLLRDTARACVSEGGSSHKNFRKFIDLLSE >ONIVA03G36240.1 pep chromosome:AWHD00000000:3:30939944:30941356:-1 gene:ONIVA03G36240 transcript:ONIVA03G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGESHVLALPFPAQGHVIPLMELAHCLVEHGVKVTFVNTEVNHGRILGALDDASHGGELGGVDMVSISDGLGHGDDRSDLGRLTESLLLAMPSELEKLVGRINASASAAGGGGREVTWMVADVNMAWAFPVAKKLGLRVAGFCPSSAAMFVTRIRIPELVRDGVLDESGMPRWRGAFRLAPAMPPVDTAEFSWNRAGDPRGQPAIFRLILRNNAATHLAEAIACNSFEELESGAFAVDVPGRVLPVGPLASGGKPVGGFWPEDASCAAWLDAQPAGSVVYVAFGSIAALGAAQLAELAEGLALTSRPFLWVVRPGTASERCLDGLRRRAAPRGRVVGWCPQRPVLAHASTACFVSHCGWNSVVEGVSNGVPFLCWPYFADQFLNQSYICDVWRTGLRMAAPAPATAPADEASARLVARQLIRRKVEELIGDQETKARAIVLRDAASLAVGDGGSSRRNLTRFLDLIRS >ONIVA03G36230.1 pep chromosome:AWHD00000000:3:30936104:30936310:1 gene:ONIVA03G36230 transcript:ONIVA03G36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSSSHSPLRMMMASAPSSPRADAVGLPDDLNHSDIAGYMPPEFGLLADLALLYLNSNRFCASSR >ONIVA03G36220.1 pep chromosome:AWHD00000000:3:30929070:30932461:1 gene:ONIVA03G36220 transcript:ONIVA03G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVLVLPMPCQGHVIPFMELSHRLADEGFEVTFVNTEVDHALVVAALPPGGAAELRQRRIHLAAIPDGLAGDEDRKDLNKLIDAYSRHMPGHLERLIGEIEAGAGGGRPKVRWLVGDVNMGWSFAVARRLGIRVVSFWAASTACLAIMLKIPKLIEDGVLNEKGWPERQETLQLAPGMPPLHTSLLSWNNSGAAEGQHIIFDLVCRNNKFNDDLAEMTVCNSFHEAEPAVFKLFPDLLPIGPLVADRELRRPVGHFLPEDAGCLDWLDAQPDGSVVYVAFGSMAIFDARQFQELAVGLELTGRPFLWVVRPDFTPGLSTAWLDAFRRRVAGRGVIVEWCSQQRVLAHAAVACFVSHCGWNSTLEGVRNGVPFLCWPYFCDQFLDRSYITAVWRTGLAVAAGEEDGVVTRDEVRSKVEQVVGDGEIRERARLLRDTARACVSEGGSSHKNFRKFIDLLSE >ONIVA03G36210.1 pep chromosome:AWHD00000000:3:30907228:30910552:1 gene:ONIVA03G36210 transcript:ONIVA03G36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEATSSGGGGGGGAGVNDPRQPSTARQYTPPKLSPQDLPIDYAGFLAVVFGVLGVMLRYKVCSWIAIIFCAQSLVNMKNFENDLKQLSMAFMFAVMGLVTNYLGPPRPGTKR >ONIVA03G36200.1 pep chromosome:AWHD00000000:3:30902135:30905881:-1 gene:ONIVA03G36200 transcript:ONIVA03G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKVNESGIKDTSVNCITSGFQQDANYEFSNRALQHGYSVPGPVFEEKSFSAAQEFVQNSHQFDHFLRPFQPGQCEGMQMPNDSLDITQRSILSNASCLDHAEEITSYDTDGYDDRTISFGSSCSTIPASYPYISPLQRNHLISDTRDCTWTALMQESLEASNSNNGLNEDCSDLTFSNTEFSGGNKMQNQVVWDNGCLTSPSFTSNFLPFPGDAESAFTSASTVCNLQNFVDLPHDMNNNEQDKPSSELRAPQQKGATRSHICQHRDEMHSAEWGTYPGNEDSDLMPAAQDKQNKVLHAQFNSSVINIDGSAGSGMEKLHGLYECEEQMEIDSLLNSFSAPSDAFSQSYEIFQKSESFVGLDKKVKLEESVSATCFSNTVPCMQSGAPESAISDGSSCHQQYNSTSQVTDLFYTSASQWATTSSSVLPLPFCGSNPVSCLGANGEDHLLTDDHTLLHEQRRAVCGTSYDLTDNVANPVLEFTNILDGQSSLNKTYISHDGLVATNGVWKGHRDVMENHPLGVYSSSHARHPQMELPMTRTSHVLLPPPNLSNNPNSSFVRGTELKKAELMGAYSTTENYLDLDNSERKGTICPKSSEQNVAENICNKAAEYQCNDYSQIVCNQQTVLLPLNKASHFGGLPTKKFDGKLVSRQKKRKRATSLLSWHAQVMSGCSKTHHKRKPELDWAHATRRLVEKVDAENMKTKNSTFVSQAQKRLAFTTKLMQYILPVLPDRLLAANAIDSCETIVYRTSRLALPDAFNPAISSVSDANNFIPTESMPQNQTSTSEKEDDKLVPEVLETFTMRAERATTFQDLATETRDLERWSILHHFIKLHKYSRLHEDDVSNTRPKPCRSTIRKHAGPDQVPVDFLNSVRCRLLN >ONIVA03G36190.1 pep chromosome:AWHD00000000:3:30889479:30895894:-1 gene:ONIVA03G36190 transcript:ONIVA03G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGWGTGKGDVEEERNQIMQKIFGDESGEEVVVEEEEEDPEEYLAHDGDDATSGGDRGSGGGGGDGGQLQDRRLASPAAEVVEEEYGLEDNGHGGDQWEEGQGESQGSSGMAQEIEADSHDVELGNQSTQVHMKGVNTAEGGHVKRTITSETRSTENEKDHEVLHDAFDDNDEDGLAPYGSRDDNKHAHESLMNDEGPYEELLPEDMVDEDKHYEPDENIEHELQDKPLGPPLNLVVPRMLPPGQPDRMNVIKVSNIMGVNPKPFDPETYVEEDALTDESGGRKKIRLEDNIVRWKIVKNADGTESRESNARFVKWKDRSIQLLIGNEVLDISVNDSNHDNSNLFLRNGKAIIQSLPLPLLSIDHHHVHKLTTDFFSGAYAITRKASTEDEKTIKMQTWIDENDPEKVKEEREKAEGENIRASSSLQRKREQVKRKYSQPLRKRRKLTPGFLEDALEEDEAPGVGYNQRRGPGHAHFEDSLEAEALSKRHVTNAKKANVGKAVPSPSVPKHQVNEYSKSESEESEYETDVEDIDNSPTNGREDDMDEEEEEDPEEVIGDTSMSDENNEEQEHVKERKGFNSDDESPPRKQPLNRRKTVVFDSDDE >ONIVA03G36180.1 pep chromosome:AWHD00000000:3:30884932:30887546:-1 gene:ONIVA03G36180 transcript:ONIVA03G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLAEGVMELAVGAPESNSSFSSSPSGATPSSGGPMWWVSGCHGTVYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAVLNLLWCFLQAWQCMPDRAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNESSGFESLARTFVISGAVVAADVLLKTIYVFGFGVSLFIDVDQGTGGKWGLWFLHKLVLTGVYGLIVFMYHSRWRDRLPAKPAYYNYVCAMLLLNGISLFGCFLVATGAGFGLWLYNLTSVCYHSLYLPLLYVTFLADFFQEEDMLLENVYYSEMKDAGFFDADWD >ONIVA03G36170.1 pep chromosome:AWHD00000000:3:30880714:30882684:-1 gene:ONIVA03G36170 transcript:ONIVA03G36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPRLLLMALMAAALAGRSEGAWCICRQDMPDSTLQKTLDYACGDGADCKPIQQSGACFSPDTVKAHCSYAVNSFYQRNNQNSQACVFSGTATLVTTDPSSNGCMYPASASAAGTGTPTSGTGGSTGVDGPPGMGLGPSSFNDNSGASLLPEVGTAMWILILACSIMVLNFS >ONIVA03G36160.1 pep chromosome:AWHD00000000:3:30871459:30875809:-1 gene:ONIVA03G36160 transcript:ONIVA03G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRSAASSSFFLLLLVLAVRVRASSDRVQERDRSALLELWGAAGLLGRWPTGSAVADHCSWPGVTCDASRRVVAVAVAAPPASGSSELAGELSPAVGLLTELRELSLPSRGLRGEIPAEIWRLEKLEVVNLAGNSLHGALPLAFPPRMRVLDLASNRLHGEIQGSLSDCKSLMRLNLSGNRLTGSVPGVLGSLPKLKLLDLSRNLLTGRIPSELGDCRELRSLQLFSNLLEGSIPPEIGRLRRLQVLDISSNRLNGPVPMELGNCMDLSVLVLTSQFDAVNLSEFNMFIGGIPESVTALPKLRMLWAPRAGFEGNIPSNWGRCHSLEMVNLAENLLSGVIPRELGQCSNLKFLNLSSNKLSGSIDNGLCPHCMAVFDVSRNELSGTIPACANKGCTPQLLDDMPSRYPSFFMSKALAQPSSGYCKSGNCSVVYHNFANNNLGGHLTSLPFSADRFGNKILYAFHVDYNNFTGSLHEILLEQCNNVEGLIVSFRDNKISGGLTEEMSTKCSAIRALDLAGNRITGVMPGNIGLLSALVKMDISRNLLEGQIPSSFKELKSLKFLSLAENNLSGTIPSCLGKLRSLEVLDLSSNSLSGKIPRNLVTLTYLTSLLLNNNKLSGNIPDIAPSASLSIFNISFNNLSGPLPLNMHSLACDSIQGNPSLQPCGLSTLANTVMKARSLAEGDVPPSDSATVDSGGGFSKIEIASITSASAIVAVLLALIILYIYTRKCASRQSRRSIRRREVTVFVDIGAPLTYETVVRATGSFNASNCIGSGGFGATYKAEIAPGVLVAIKRLAIGRFQGIQQFQAEVKTLGRCRHPNLVTLIGYHLSDSEMFLIYNFLPGGNLERFIQERAKRPIDWRMLHKIALDIARALGFLHDSCVPRILHRDVKPSNILLDNEYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLQKGRAREFFIEGLWDVAPHDDLVEILHLGIKCTVDSLSSRPTMKQEAMEHQRDEVGDLDQMICYQIQGMRA >ONIVA03G36160.2 pep chromosome:AWHD00000000:3:30872660:30876108:-1 gene:ONIVA03G36160 transcript:ONIVA03G36160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASNKCKDQHQTKAEEEEIEKRKQPDYSFFSSPCESSSPLLFSSSSPPPRRRRLSTYRKPRRLRRVVLRQGQVVSVVGALGWPEMVAARRSAASSSFFLLLLVLAVRVRASSDRVQERDRSALLELWGAAGLLGRWPTGSAVADHCSWPGVTCDASRRVVAVAVAAPPASGSSELAGELSPAVGLLTELRELSLPSRGLRGEIPAEIWRLEKLEVVNLAGNSLHGALPLAFPPRMRVLDLASNRLHGEIQGSLSDCKSLMRLNLSGNRLTGSVPGVLGSLPKLKLLDLSRNLLTGRIPSELGDCRELRSLQLFSNLLEGSIPPEIGRLRRLQVLDISSNRLNGPVPMELGNCMDLSVLVLTSQFDAVNLSEFNMFIGGIPESVTALPKLRMLWAPRAGFEGNIPSNWGRCHSLEMVNLAENLLSGVIPRELGQCSNLKFLNLSSNKLSGSIDNGLCPHCMAVFDVSRNELSGTIPACANKGCTPQLLDDMPSRYPSFFMSKALAQPSSGYCKSGNCSVVYHNFANNNLGGHLTSLPFSADRFGNKILYAFHVDYNNFTGSLHEILLEQCNNVEGLIVSFRDNKISGGLTEEMSTKCSAIRALDLAGNRITGVMPGNIGLLSALVKMDISRNLLEGQIPSSFKELKSLKFLSLAENNLSGTIPSCLGKLRSLEVLDLSSNSLSGKIPRNLVTLTYLTSLLLNNNKLSGNIPDIAPSASLSIFNISFNNLSGPLPLNMHSLACDSIQGNPSLQPCGLSTLANTVMKARSLAEGDVPPSDSATVDSGGGFSKIEIASITSASAIVAVLLALIILYIYTRKCASRQSRRSIRRREVTVFVDIGAPLTYETVVRATGSFNASNCIGSGGFGATYKAEIAPGVLVAIKRLAIGRFQGIQQFQAEVKTLGRCRHPNLVTLIGYHLSDSEMFLIYNFLPGGNLERFIQERAKRPIDWRMLHKIALDIARALGFLHDSCVPRILHRDVKPSNILLDNEYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLQKGRAREFFIEGLWDVAPHDDLVEILHLGIKCTVDSLSSRPTMKQVVRRLKELRPPSY >ONIVA03G36150.1 pep chromosome:AWHD00000000:3:30866827:30869482:1 gene:ONIVA03G36150 transcript:ONIVA03G36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAPRVVVDARHHMLGRLASIIAKELLNGQRVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRSPAKILWRTVRGMIPHKTKRGEAALARLKAYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGQLSKEVGWNYYDTIRELEEKRKEKAKVAYDRRKQLAKLRVKAEKAAEEKLGPQLEILAPIKY >ONIVA03G36140.1 pep chromosome:AWHD00000000:3:30860046:30860647:-1 gene:ONIVA03G36140 transcript:ONIVA03G36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHDELLSRDGIYTALVKRQLQGPRWTPFPDDPLNVNGYEFIHSENATQSRTTAVFSIHVSWKMKQSIST >ONIVA03G36130.1 pep chromosome:AWHD00000000:3:30854505:30857580:-1 gene:ONIVA03G36130 transcript:ONIVA03G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLQGGGAAASSPSSKRRNQQRRQRRKRAAARKKQGGSPASENNGEEHADAQGCLPDNSTSKSENYKERAFSTQGDLPQKAGCDLEANLCAESVQSSSSQLVHSNENSNPKRPEVKNANSAGNSEHCMLDTRKTTDHDTSSMPLQDSRFDANVRIDGLHSTTEERVCQSTVGDGFTGMSNASYNPYSVQENQRIGPFMREPYTLHSHFLHPSHVWGYIGNKFMGFPPVHPMNAFDPFNQGFNFFHTGNIPPYGVSDVHRGLNIYGLSTMGKWEYDYGRHMDYTNVERNELRMTEEAYLSTHNSANFIRPSSLPLTYQQKPPITLLPRVSLTGFRKKKLIILDLNGLLADINQDYHNSHMADAKNVDSVIDIIMRDFKPLLQFCWDMSKCTFTGHKTLENIHKPLVLKELRKLWNKEEPDLPWEQGYYSPSNTLLVDDSPYKALRNPPYTAIFPQPYSYLNSNDNSLGPGGDLRVYLENLTVAEDVECYVRNNPFGQPFITQSDPHWSFYAQIASYANV >ONIVA03G36120.1 pep chromosome:AWHD00000000:3:30847282:30854072:-1 gene:ONIVA03G36120 transcript:ONIVA03G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAPRVVVDARHHMLSRLASIIAKELLNGKRVVVVRCEEICMSGGLVRQKMKYLRLPAICVLCIGGGCCDEDIMLPLLKALRTLAGRIEPIIKATTESVWIVRRPDATSPICVLCIGGGCCDEDIMLPLLKALRTLAGRIEPIIKATTESVWIINTKISTGPCIAIHSVNKIVS >ONIVA03G36120.2 pep chromosome:AWHD00000000:3:30847282:30854072:-1 gene:ONIVA03G36120 transcript:ONIVA03G36120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAPRVVVDARHHMLSRLASIIAKELLNGKRVVVVRCEEICMSGGLVRQKMKYLRLPAICVLCIGGGCCDEDIMLPLLKALRTLAGRIEPIIKATTESVWIVRRPDATSPINTKISTGPCIAIHSVNKIVS >ONIVA03G36110.1 pep chromosome:AWHD00000000:3:30846240:30854530:1 gene:ONIVA03G36110 transcript:ONIVA03G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMVMLQGGGGAASSSSSKRRNQQRRQRRKRAAARKKRGGSPASENNGEEHADAQGCLPDNSTSKSENYKERAFSTQGDLPQKAGCDLEANLCAESVQSSSSQLVHSNENSNPKRPEVKNANSAGNSEHCMLDTRKTTDHDTSSMPLQDSRFDANVRIDGLHSTTEERVCQSTVGDGFTGMSNASYNPYSVQENQRIGPFMREPYTLHSHFLHPSHVWGYIGNKFMGFPPVHPMNAFDPFNQGFNFFHTGNIPPYGVSDVHRGLNIYGLSTMGKWEYDYGRHMDYTNVERNELRMTEEAYLSTHNSANFIRPSSLPLTYQQKPPITLLPRVSLTGFRKKKLIILDLNGLLADINQDYHNSHMADAKDMSKCTFTGHKTLENIHKPLVLKELRKLWNKEPDLPWEQGYYSPSNTLLVDDSPYKALRNPPYTTAIFPQPYSYLNSNDNSLGPGGDLRVYLENHK >ONIVA03G36110.2 pep chromosome:AWHD00000000:3:30845178:30846697:1 gene:ONIVA03G36110 transcript:ONIVA03G36110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGDVTSAMRPAWMPTLTPTRTGQGDAEEMKILVSREVFALPVKWIWKSLTRIDRLEPEPQTSVESNPEKV >ONIVA03G36100.1 pep chromosome:AWHD00000000:3:30837307:30838302:1 gene:ONIVA03G36100 transcript:ONIVA03G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPASRCVLLDPGVPDYVFSIDQTEQGWASIDVKKKRYQGCGRYGPLLAESVKLYARLAEEHPALSRLAVCADKNIIRDIVAEELKKKQAFKVADLPIRAIEFDNVDEISGVTARVEVADKNLMVLSLSFVFTSSKLKHYLLYDAIHASMSMIPIPNWCCDIYLPSNPLPVRYGDEHALVLFAKDYPYKRGGRSTCIDLLYLWTSSLPPPPPREKMYPNPSGEPWHTRKPRFPKETPASFCHHVKFTSSSHAFWADLTKGVLCCRIKDLMDSFFVHFDFIELPPGCKSDTLDDSDTGPAEMFRTMGCGTGDLIKFVSISFDDSVPEDDIR >ONIVA03G36090.1 pep chromosome:AWHD00000000:3:30824558:30830117:1 gene:ONIVA03G36090 transcript:ONIVA03G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transporter associated with antigen processing protein 2 [Source:Projected from Arabidopsis thaliana (AT5G39040) TAIR;Acc:AT5G39040] MGKNLRIKTGNRAPLLAQGETSRALSDLEEGSNVQPANVGFCRVIKLARHDAGKLVIATMALLVASLSNILVPKYGGKIIDIVSRDVRRPEDKAQALDDVTGTILYIVIIVEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNITTTSIGLGFMFATSWKLTLLALVIVPVISIAVRKFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRSFAQESHEVLRYGEKVDETLKLGLKQAKVVGMFSGGLNAASTLSVVIVVIYGANLTINGYMTTGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRVSSMANSGDRCPTNENDGEVELDDVWFAYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNGVPLPEISHQFLHRKVSIVSQEPVLFNCSIEENIAYGLEGKASSADVENAAKMANAHNFICSFPDQYKTVVGERGIRLSGGQKQRVAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHDELLSRDGIYTALVKRQLQGPRFEGTSNATAEIEPISNGQ >ONIVA03G36080.1 pep chromosome:AWHD00000000:3:30814799:30824159:1 gene:ONIVA03G36080 transcript:ONIVA03G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) TAIR;Acc:AT1G69220] MAFSPRSPWSRSRKPDVYSTVVVHGDDDDDDARGGGGGGRHALAEDDEEEDPSSLPPLLQRLPKDFGGGASFDEYDDPYSSDLDDASLSATVVVKRGAPASTSASSRSPFLDLRRSSPRAAEVDPYSTFVVHGTARSGGASSPRESASGTFVRRSGGSSSPRESVSGTFIRRTGSPSSPHESISGTFIHHTSGASSPRDTAQGGGGFGSSFWSPAVGQSEELRQPALLVQQQHQQQQNSRRKPSVSSVPESVTREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAIKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIVMEYCGGGSVADLIGITEEPLDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMLKHKFIEKCNPGASKMLAKIKEAKKIRAKVAAETELSGPDSDATVRINDDFGETVPTNPQQQTNHETYDGGAGDFGTMIVHPEDGDEVDESPIFPSSEFIPGLGSINSFTHDPKRAELISNFWAENTADIEANKEQYLDEHPDMQEAKTMPPSTGTVKKLKVAEGTMPRHGNQVSSASPCVASTMTKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSDSVVGNGLAGSNRTDALEAVRELFSGDGQTKKGRKGQNEVPLPPGVHHRLTTSPTLMNLAQALAYHKTCYEDMPLQDSQATQEQQTIQNLCDTLRTILRLYTMLTKIWSGTGVSGTKSIGSSFLAKKDAQRKVYGPLFHFHKVDVSTQIAKSYRQTTVCIYQYKTLLSKDIEASFRMEIFKDNLRRFLVNFYRQCKIPTRAGVTL >ONIVA03G36080.2 pep chromosome:AWHD00000000:3:30814799:30823840:1 gene:ONIVA03G36080 transcript:ONIVA03G36080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69220) TAIR;Acc:AT1G69220] MAFSPRSPWSRSRKPDVYSTVVVHGDDDDDDARGGGGGGRHALAEDDEEEDPSSLPPLLQRLPKDFGGGASFDEYDDPYSSDLDDASLSATVVVKRGAPASTSASSRSPFLDLRRSSPRAAEVDPYSTFVVHGTARSGGASSPRESASGTFVRRSGGSSSPRESVSGTFIRRTGSPSSPHESISGTFIHHTSGASSPRDTAQGGGGFGSSFWSPAVGQSEELRQPALLVQQQHQQQQNSRRKPSVSSVPESVTREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAIKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIVMEYCGGGSVADLIGITEEPLDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMLKHKFIEKCNPGASKMLAKIKEAKKIRAKVAAETELSGPDSDATVRINDDFGETVPTNPQQQTNHETYDGGAGDFGTMIVHPEDGDEVDESPIFPSSEFIPGLGSINSFTHDPKRAELISNFWAENTADIEANKEQYLDEHPDMQEAKTMPPSTGTVKKLKVAEGTMPRHGNQVSSASPCVASTMTKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSDSVVGNGLAGSNRTDALEAVRELFSGDGQTKKGRKGQNEVPLPPGVHHRLTTSPTLMNLAQALAYHKTCYEDMPLQDSQATQEQQTIQNLCDTLRTILRL >ONIVA03G36070.1 pep chromosome:AWHD00000000:3:30809197:30814473:1 gene:ONIVA03G36070 transcript:ONIVA03G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60940) TAIR;Acc:AT5G60940] MDAAVQEAKLLRQVNALIVAHLRDHNLTQAAAAVAAATMTPLAPPEPDGDDSVPANQLLRLVAKGLAVEREETGRGGGAFDSAAAAAGYGGLLPPLGTNAVDFSTQDVKGSSKSFPKHEARHVSDHKNVVRCAKFSPDGKYFASGSGDTSIKFFEVAKIKQMMLGDSKDGPVRPVIRTFYDHTQPINDLDFHPESPILISAAKDNTIRFFDFSKTVARKAFRVIQVWRFNSYPFKNIVVSGHPQRPTNPFKQDNSIYLFSTTSVYVKDILYGTDHPVAHLYDINTFTCYLSANSHDSNAAINQVRYSSTGSLYVTASKDGSLRIWDGISAECVRPIIGAHASAEVTSAIFTKDERYVLSCGKDSCIKLWEVGSGRLVKQYVGAVHRQFRCQAVFNQTEEFVVSTDEQNSEVVVWDALTAENVARLPSGHTGAPRWLGHSPAEPAFVTCGNDRSVRFWKQTV >ONIVA03G36060.1 pep chromosome:AWHD00000000:3:30804909:30808537:1 gene:ONIVA03G36060 transcript:ONIVA03G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G15640) TAIR;Acc:AT5G15640] MDTTTRAKIPSLHHQTEINWDNLDKTKLYVVGAGMFSGVTVALYPVSVIKTRMQVATGEAVRRNAAATFRNILKVDGVPGLYRGFGTVITGAIPARIIFLTALETTKAASLKLVEPFKLSEPVQAAFANGLGGLSASLCSQAVFVPIDVVSQKLMVQGYSGHVRYKGGLDVAQQIIKADGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRIIWSAFDHWNDKESSPSQLTIVGVQATGGIIAGAVTSCVTTPIDTIKTRLQVNQNKPKAMEVVRRLIAEDGWKGFYRGLGPRFFSSSAWGTSMIVCYEYLKRLCAKVEEV >ONIVA03G36050.1 pep chromosome:AWHD00000000:3:30798573:30801391:-1 gene:ONIVA03G36050 transcript:ONIVA03G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAGSARSVAWGASALLVAALLLSAPSATEAYDSLDPNGNITIKWDVMQWTPDGYVAVVTMFNYQQFRHIQAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNPPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPLNAASSFQISVGLAGTTNKTVKLPKNFTLKAPGPGYTCGRAMIVRPTKFFTNDGRRATQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCSCGCQNNGTSPGSCVNENSPYLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKVTITNFNYRMNYTQWNLVIQHPNFNNITQLFSFNYKPLTPYGGRINDTAMFWGVKFYNDLLMQAGPLGNAQSELLLRKDSMAFTFDKGWAFPHRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTLPLLAFWIVLATFLAYA >ONIVA03G36040.1 pep chromosome:AWHD00000000:3:30794879:30795154:-1 gene:ONIVA03G36040 transcript:ONIVA03G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLLVHRSTPPTPRSTAPPLLHSRRLALPPRPASLPATTVVVHPHKDVRLSKLHAASCCDSANSSFLRCPNMSYSKIRIIRVSN >ONIVA03G36030.1 pep chromosome:AWHD00000000:3:30794400:30803277:1 gene:ONIVA03G36030 transcript:ONIVA03G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLGLRRGLLGRRVSPEVQNPNEVAVAVLLPRQAAVDSSRSLVILGRRRLEAAEQREREEEMPTLTKLYSLEDAARHNTADDCWVVVDGKIYDVTKYLDDHPGGADVLLEVTGKDAKEEFDDAGHSESAKELMQDYFIGELDPTPNIPEMEVFRKEQDVNFASKLMANAAQYWPIPATVVGISVVIAVLYARQKKVANTIQNAKSGSVNGCFVRGLALGNHGYASGGGMTQLSPLKYTRWGKAHPLSKQWGGLPLNFEQSPCSVVCAPTIDQMTSFFAHVHPSCHPDPSPKCLR >ONIVA03G36030.2 pep chromosome:AWHD00000000:3:30793960:30800311:1 gene:ONIVA03G36030 transcript:ONIVA03G36030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLGLRRGLLGRRVSPEVQNPNEVAVAVLLPRQAAVDSSRSLVILGRRRLEAAEQREREEEMPTLTKLYSLEDAARHNTADDCWVVVDGKIYDVTKYLDDHPGGADVLLEVTGKDAKEEFDDAGHSESAKELMQDYFIGELDPTPNIPEMEVFRKEQDVNFASKLMANAAQYWPIPATVVGISVVIAVLYARQKKVANTIQNAKSGSVNGCFVRGLALGNHGYASGGGMTQLSPLKYTRWGKAHPLSKQWGGLPLNFEQSPCSVVCAPTIDQMTSFFAHVHPSCHPGAWMCRNC >ONIVA03G36030.3 pep chromosome:AWHD00000000:3:30794400:30800311:1 gene:ONIVA03G36030 transcript:ONIVA03G36030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLGLRRGLLGRRVSPEVQNPNEVAVAVLLPRQAAVDSSRSLVILGRRRLEAAEQREREEEMPTLTKLYSLEDAARHNTADDCWVVVDGKIYDVTKYLDDHPGGADVLLEVTGKDAKEEFDDAGHSESAKELMQDYFIGELDPTPNIPEMEVFRKEQDVNFASKLMANAAQYWPIPATVVGISVVIAVLYARQKKVANTIQNAKSGSVNGCFVRGLALGNHGYASGGGMTQLSPLKYTRWGKAHPLSKQWGGLPLNFEQSPCSVVCAPTIDQMTSFFAHVHPSCHPGAWMCRNC >ONIVA03G36020.1 pep chromosome:AWHD00000000:3:30793858:30794385:-1 gene:ONIVA03G36020 transcript:ONIVA03G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSQAGDGLPLLLVPSGGGNGARRPPRCAVVERMRRMEARDGLPVSFLFKWCHSGESKRDRSVGGDNNKQSGASPQCLFHGACFHLRARRRGARLRPARPLPRRHTTPPPVAGRPFLPRPACRPARGGRGGRRAHAAPSLRAAVDASPSAVPVREQRARGRRETEKEKRERR >ONIVA03G36010.1 pep chromosome:AWHD00000000:3:30782204:30784090:-1 gene:ONIVA03G36010 transcript:ONIVA03G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASRCVLLDPGVPDVFSIGPTEQGWASIDLKKKRYQGCGRYGPLLAESVTLYARLAEEHPTLSRLAVCADKNIIHFIVAEELKKKQASKAAESSPPGLMVPDLAIRAIEFDKIDEISGVTARVEVADKNLMVLSLSFVFTSSKHYLLYDAIHASMSMIPIPNWCCDIYLPSNPLPVRYGDEYALVLFAKNYPYKREGRSTCIDLLYLWTPPKSSSPSTSPPPPPPPPPPREKMYPNPSGEPWHTRKPRFSKETPASFCHHVKFTSSSHAFWADLTKGVLCCRIKDLLDSFFVHFDFIELPPGCKSDALDDSDTGPAEMFRTMGCSTGDLIKFVSISFDDSVPEDDKTVTEWTLDMGTLQWTKGEELRFGTLWELDDFKKDGLPETEPVYPLLSMEEGDGGDLYFILSRPIMRWEDPAVHHVCRFNMTSKKLVSNPLSWRPDKIVPSGLLGCEFFRHLDSQRLVPDNRKMDAGKVQSWVEMESALEEVDESMQREISRKQMVWFGSQLDLFCQAN >ONIVA03G36000.1 pep chromosome:AWHD00000000:3:30767168:30768592:-1 gene:ONIVA03G36000 transcript:ONIVA03G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSPPPSSPWPSWVLLDPNVSDYRFCIGPTAKGWKVVDFTNKNYGAGKKYGQLMAECLKLYVRLADGDAGLDQSELAITANDGVIRSIIEEELTEQTGCLKMDLHGIVHASCVTGYVEIVDKNLIILTVSYSFALDNYYLIYDATLRSLSMISHVSAHPYCQAYYPCDPLPVRYGDEYTLVLFARNLEYQKEEQGCNYYHRDVLCLWPPPPSSEKPPLLLRDTPGPSIEPWHLKEPVFPEGKTPSEFRHHVKFTSTSHAFWADLTKGVLCCRCSDLFDSYHVNFSFIELPHGCECDALDMPDTAPAEIYRTMGCTSGSSIKFVSISFEDSMPVDEKTMTVWTLDTASWGWTKDVELSLGSLWELEDFKKNGLPETQPVYPFQRKEEDEDNVLYFTLSSSLLGPISECGEPAVHHMCRFDMRSMRLESSPLSFPPDMIVPQRLFGSEFFRYLDRHVQVPGCGKGKRKLNEDY >ONIVA03G35990.1 pep chromosome:AWHD00000000:3:30746545:30751938:-1 gene:ONIVA03G35990 transcript:ONIVA03G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNMLKTLERYQRYIYASQDAAAPTSDEMQNNYQEYVNLKAHVEILQQSQRNLLGEDLAPLATNELEQLESQVVRTLKQIRSRKTQVLLDELCDLKRKEQMLQDANRVLKRKLDEIDVEAAPPQPPWNGNCSNGHGGGGGVFSSEPPQPEHFFQALGLHAVDVNQPPAPPPGGYPPEWMA >ONIVA03G35980.1 pep chromosome:AWHD00000000:3:30729829:30739234:-1 gene:ONIVA03G35980 transcript:ONIVA03G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRSGLLKKANEISVLCDAEVALIIFSTKGKLYEYATDSCMDKILERYERYSYAEKVLISAESDTQGNWCHEYRKLKAKSQLMLESINELQRKNPCSFLQLVEKQKVQKQQVQWDQTQPQTSSSSSSFMMREALPTTNISNYPAAAGERIEDVAAGQPQHVRIGLPPWMLSHING >ONIVA03G35970.1 pep chromosome:AWHD00000000:3:30725267:30732329:1 gene:ONIVA03G35970 transcript:ONIVA03G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGRFHRAPFPSGIPAMTRCSRHQPPAPPTVVVIDEDDDDLGDGPSDEEVFIIDGDAAKGRASSGCKTKRGNSSCSNVINLDDDDDEEEEEEEGKEEGGGDDRAGPSSAGAAAGSPAVTTPGRVSPRNRYGLDYVSDSYESDSSEGHSDGDDGSDCEILDDASGSARKQWEKAASRKSMPQGFRKSTSTSSAESSTQPDESEENDAECDINEYIRKYFSEDVLKKGGPISTSGANAKPSVPDAHDCSKGNASNANEAEDCNATSRIDPDPACNDEATHSQNGSVPEKTAERSQSSHIDETFEPEQCTDYSFISANRVFPACSSANWKDQSPTFVSTPEKLDEKLSDGTYARKDETLIDAHNKSTTKNKEMCPEPDNGSLDGRLTEDPPLSSRCDCSKQSEKKSAHLDANCCASAASSNKNPSANVILGGCMPPQKDLVDGPEKSGQPAVAQVAADIQDGLIGAREKHKESDEYKRAQEEEWASRQRQLRIQAEEAQRLRKRKKAEAMRLLDMEKRQKQRLEEVRESQRKNEADIQLKEKYRGVVRLELESMERRYIDMASILRALGIAAEGGEVKAAYKQALLKFHPDRVSRSDMYQQVKAEETFKFISRLKEKMLRV >ONIVA03G35960.1 pep chromosome:AWHD00000000:3:30721329:30723212:1 gene:ONIVA03G35960 transcript:ONIVA03G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTFVLAVLVMSGAAALGRELAGDGAAAAAAADVAMASRHEKWMAKHGKTYKDEEEKARRLEVFRANAKLIDSFNAAAEKDGGGGHRLATNRFADLTDDEFRAARTGYQRPPAAVAGAGGGFLYENFSLAAAPQSMDWRAMGAVTGVKDQGSCGCCWAFSAVAAVEGLAKIRTGQLVSLSEQELVDCDVRGEDQGCEGGLMDTAFQYIARRGGLAAESSYPYRGVDGACRAAAGRAAASIRGFQDVPSNDEGALMAAVARQPVSVAINGAGYVFRFYDRGVLGGAGCGTELNHAVTAVGYGTASDGTGYWLMKNSWGASWGEGGYVRIRRGVGREGACGIAQMASYPV >ONIVA03G35950.1 pep chromosome:AWHD00000000:3:30718854:30720562:-1 gene:ONIVA03G35950 transcript:ONIVA03G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDFCGSLRVHQQQLKRQPMERIRVDIRRKFKDGIEVSEKFRDKRLQHDKENGSAEEYNLLQVYHCWSLKLNGFIGESRDMWPVVKRSDGSSPEWVCREKRTVQLESTANYVSHCLTGELKVK >ONIVA03G35940.1 pep chromosome:AWHD00000000:3:30713134:30717453:-1 gene:ONIVA03G35940 transcript:ONIVA03G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQANPMDDNSIQQSLLADNPNVLQWKPSEGVNRFRRCRSTPSTDPLQGPPEKGSSVKAKELFKEMRPSFRLVGLLLFIYLLVGVLAFYAVMDEISGKRTNRVLDALYFCVVTMTTVGYGDLVPNNDTTKLLACAFVFMGMAVVALFVSKVADYLVEKQEVLFFKALHTNLKGGETKMLRAIETNRIKYKFYTNALLLVLSIISGTVFLWKVEKLSLVDSFYCVCATITTLGYGDKSFSSKLGRVFAVFWIITSTIIMAQFFMYLAEIYTERRQKMLANWVLTRKMTKMDLEAADLDDDRQVGAAEFVVYKLKELGKINQEEISSFLEEFEKLDVDHSGTLSPYDLTLAQSAQ >ONIVA03G35940.2 pep chromosome:AWHD00000000:3:30713136:30714265:-1 gene:ONIVA03G35940 transcript:ONIVA03G35940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNSIQQSLLADNPNVLQWKPSEGVNRFRRCRSTPSTDPLQGPPEKGSSVKAKELFKEMRPSFRLVGLLLFIYLLVGVLAFYAVMDEISGKRTNRVLDALYFCVVTMTTVGYGDLVPNNDTTKLLACAFVFMGMAVVALFVSKVADYLVEKQEVLFFKALHTNLKGGETKMLRAIETNRIKYKFYTNALLLVLSIISGTVFLWKVEKLSLVDSFYCVCATITTLGYGDKSFSSKLGRVFAVFWIITSTIIMAQFFMYLAEIYTERRQKMLANWVLTRKMTKMDLEAADLDDDRQVGAAEFVVYKLKELGKINQEEISSFLEEFEKLDVDHSGTLSPYDLTLAQSAQ >ONIVA03G35930.1 pep chromosome:AWHD00000000:3:30701935:30716087:1 gene:ONIVA03G35930 transcript:ONIVA03G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) TAIR;Acc:AT5G35840] MSSSRSNNRATCSRSSSARSKHSARVVAQTPMDAQLHAEFEGSQRHFDYSSSVGAANRSGATTSNVSAYLQNMQRGRFVQPFGCLLAVHPETFALLAYSENAAEMLDLTPHAVPTIDQREALAVGTDVRTLFRSHSFVALQKAATFGDVNLLNPILVHARTSGKPFYAIMHRIDVGLVIDLEPVNPVDLPVTATGAIKSYKLAARAIARLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHVRMICDCSATPVKIIQDDSLTQPISICGSTLRAPHGCHAQYMASMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGSTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHEPIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGSINGWNNKAAELTGLPVMEAIGKPLVDLVIDDSVEVVKQILNSALQGIEEQNLQIKLKTFNHQENNGPVILMVNACCSRDLSEKVVGVCFVAQDMTGQNIIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGIKREDAVDKLLIGEVFTHHEYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFFNTDGKYIESLMTATKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAAMNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVDFNLEEALNTVLMQAMPQSKEKQISIDRDWPAEVSCMHLCGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVIPRMENIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLSTKRCKASTSKF >ONIVA03G35930.2 pep chromosome:AWHD00000000:3:30701935:30716087:1 gene:ONIVA03G35930 transcript:ONIVA03G35930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) TAIR;Acc:AT5G35840] MKNKVRMICDCSATPVKIIQDDSLTQPISICGSTLRAPHGCHAQYMASMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGSTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHEPIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGSINGWNNKAAELTGLPVMEAIGKPLVDLVIDDSVEVVKQILNSALQGIEEQNLQIKLKTFNHQENNGPVILMVNACCSRDLSEKVVGVCFVAQDMTGQNIIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGIKREDAVDKLLIGEVFTHHEYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFFNTDGKYIESLMTATKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAAMNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVDFNLEEALNTVLMQAMPQSKEKQISIDRDWPAEVSCMHLCGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVIPRMENIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLSTKRCKASTSKF >ONIVA03G35930.3 pep chromosome:AWHD00000000:3:30701935:30716087:1 gene:ONIVA03G35930 transcript:ONIVA03G35930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) TAIR;Acc:AT5G35840] MKNKVRMICDCSATPVKIIQDDSLTQPISICGSTLRAPHGCHAQYMASMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGSTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHEPIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGSINGWNNKAAELTGLPVMEAIGKPLVDLVIDDSVEVVKQILNSALQGIEEQNLQIKLKTFNHQENNGPVILMVNACCSRDLSEKVVGVCFVAQDMTGQNIIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGIKREDAVDKLLIGEVFTHHEYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFFNTDGKYIESLMTATKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAAMNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVDFNLEEALNTVLMQAMPQSKEKQISIDRDWPAEVSCMHLCGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVIPRMENIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLSTKRCKASTSKF >ONIVA03G35930.4 pep chromosome:AWHD00000000:3:30701935:30716087:1 gene:ONIVA03G35930 transcript:ONIVA03G35930.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) TAIR;Acc:AT5G35840] MKNKVRMICDCSATPVKIIQDDSLTQPISICGSTLRAPHGCHAQYMASMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGSTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHEPIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGSINGWNNKAAELTGLPVMEAIGKPLVDLVIDDSVEVVKQILNSALQGIEEQNLQIKLKTFNHQENNGPVILMVNACCSRDLSEKVVGVCFVAQDMTGQNIIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGIKREDAVDKLLIGEVFTHHEYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFFNTDGKYIESLMTATKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAAMNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVDFNLEEALNTVLMQAMPQSKEKQISIDRDWPAEVSCMHLCGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVIPRMENIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLSTKRCKASTSKF >ONIVA03G35930.5 pep chromosome:AWHD00000000:3:30701935:30716087:1 gene:ONIVA03G35930 transcript:ONIVA03G35930.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) TAIR;Acc:AT5G35840] MKNKVRMICDCSATPVKIIQDDSLTQPISICGSTLRAPHGCHAQYMASMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGSTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHEPIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGSINGWNNKAAELTGLPVMEAIGKPLVDLVIDDSVEVVKQILNSALQGIEEQNLQIKLKTFNHQENNGPVILMVNACCSRDLSEKVVGVCFVAQDMTGQNIIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGIKREDAVDKLLIGEVFTHHEYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFFNTDGKYIESLMTATKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAAMNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVDFNLEEALNTVLMQAMPQSKEKQISIDRDWPAEVSCMHLCGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVIPRMENIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLSTKRCKASTSKF >ONIVA03G35930.6 pep chromosome:AWHD00000000:3:30701935:30716087:1 gene:ONIVA03G35930 transcript:ONIVA03G35930.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) TAIR;Acc:AT5G35840] MKNKVRMICDCSATPVKIIQDDSLTQPISICGSTLRAPHGCHAQYMASMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGSTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHEPIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGSINGWNNKAAELTGLPVMEAIGKPLVDLVIDDSVEVVKQILNSALQGIEEQNLQIKLKTFNHQENNGPVILMVNACCSRDLSEKVVGVCFVAQDMTGQNIIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGIKREDAVDKLLIGEVFTHHEYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFFNTDGKYIESLMTATKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAAMNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVDFNLEEALNTVLMQAMPQSKEKQISIDRDWPAEVSCMHLCGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVIPRMENIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLSTKRCKASTSKF >ONIVA03G35930.7 pep chromosome:AWHD00000000:3:30701935:30716087:1 gene:ONIVA03G35930 transcript:ONIVA03G35930.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) TAIR;Acc:AT5G35840] MKNKVRMICDCSATPVKIIQDDSLTQPISICGSTLRAPHGCHAQYMASMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGSTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHEPIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGSINGWNNKAAELTGLPVMEAIGKPLVDLVIDDSVEVVKQILNSALQGIEEQNLQIKLKTFNHQENNGPVILMVNACCSRDLSEKVVGVCFVAQDMTGQNIIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGIKREDAVDKLLIGEVFTHHEYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFFNTDGKYIESLMTATKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAAMNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVDFNLEEALNTVLMQAMPQSKEKQISIDRDWPAEVSCMHLCGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVIPRMENIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLSTKRCKASTSKF >ONIVA03G35930.8 pep chromosome:AWHD00000000:3:30701935:30716458:1 gene:ONIVA03G35930 transcript:ONIVA03G35930.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) TAIR;Acc:AT5G35840] MKNKVRMICDCSATPVKIIQDDSLTQPISICGSTLRAPHGCHAQYMASMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGSTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHEPIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGSINGWNNKAAELTGLPVMEAIGKPLVDLVIDDSVEVVKQILNSALQGIEEQNLQIKLKTFNHQENNGPVILMVNACCSRDLSEKVVGVCFVAQDMTGQNIIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGIKREDAVDKLLIGEVFTHHEYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFFNTDGKYIESLMTATKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAAMNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVDFNLEEALNTVLMQAMPQSKEKQISIDRDWPAEVSCMHLCGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVIPRMENIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLSTKRCKASTSKF >ONIVA03G35920.1 pep chromosome:AWHD00000000:3:30696930:30700981:1 gene:ONIVA03G35920 transcript:ONIVA03G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRRTPAVKGGGQPGARRGEAGEAALSMTGSMAAAAAPSLPGGATDDEAERRHSLSAAAARGRRTWRRGDAGTGGGISPASQAPPLPIRSPPTGRRVPPTLPQSPLFFSPACSRLAAALLVIAAFQVLHRRCHLRSLPRAPPRCCRSRCLLLLARLPRARRRTANFSSSHRLAAAAAASSSLSFRCSLRGHPAAVFRRPLRCDQAHNTAHLDVLGHCHHELLLDASPPPLIWHLCYAA >ONIVA03G35910.1 pep chromosome:AWHD00000000:3:30692419:30694563:-1 gene:ONIVA03G35910 transcript:ONIVA03G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSIQCVALEVGIAAAAASLPPSSPLREGEGLSGDQRQRNHSIRVDGNPRHLEGLRSIWHNFATGEEDVLLQWPNNHLLYARSTHDLPPILCLRLQCCFFHESTASSFFSATCSGQHLNSSSIFDPHVMAGYDNML >ONIVA03G35910.2 pep chromosome:AWHD00000000:3:30692658:30694731:-1 gene:ONIVA03G35910 transcript:ONIVA03G35910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGSICWPRPFSSSRGSGPCGASFGGTVIEIDGEGEGLSGDQRQRNHSIRVDGNPRHLEGLRSIWHNFATGEEDVLLQWPNNHLLYARSTHDLPPILCLRLQCCFFHESTASSFFSATCSGQHLNSSSIFDPHVMAGFELYSGFC >ONIVA03G35910.3 pep chromosome:AWHD00000000:3:30692419:30694563:-1 gene:ONIVA03G35910 transcript:ONIVA03G35910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSIQCVALEVGIAAAAASLPPSSPLRATLGLPSSPCILTLPLTHLSSSLGDDASGEGEGLSGDQRQRNHSIRVDGNPRHLEGLRSIWHNFATGEEDVLLQWPNNHLLYARSTHDLPPILCLRLQCCFFHESTASSFFSATCSGQHLNSSSIFDPHVMAGYDNML >ONIVA03G35910.4 pep chromosome:AWHD00000000:3:30692693:30694563:-1 gene:ONIVA03G35910 transcript:ONIVA03G35910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSIQCVALEVGIAAAAASLPPSSPLRATLGLPSSPCILTLPLTHLSSSLGDDASGEGEGLSGDQRQRNHSIRIQALFLILT >ONIVA03G35900.1 pep chromosome:AWHD00000000:3:30683813:30689978:1 gene:ONIVA03G35900 transcript:ONIVA03G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTIIVKVDLECERCYAKIDRVLTRIRDKGEFVIDDIEFDVKHNKVIVSGPFDPDKLADKLCCKACKIIKEIEIVDLPPPPPPPAPEPEPEPPKKEEPQPPPPKEEEKPEPPPAVIIVEPPAPAPEPEPEPEPEPPKKEPPPPPPPKQEPCPPPPKVVEVPYPWPYPYPFPSWPSDCCCHHGHGGCHCCSCGKAPEPAPAPAPPPPQYIPCYPPQQPYPCGGYRIVCEEDPSYACAIM >ONIVA03G35900.2 pep chromosome:AWHD00000000:3:30683813:30689978:1 gene:ONIVA03G35900 transcript:ONIVA03G35900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTIIVKVDLECERCYAKIDRVLTRIRDKGEFVIDDIEFDVKHNKVIVSGPFDPDKLADKLCCKACKIIKEIEIVDLPPPPPPPAPEPEPEPPKKEEPQPPPPKEEEKPEPPPAVIIVEPPAPAPEPEPEPEPEPPKKEPPPPPPPKQEPCPPPPKVVEVPYPWPYPYPFPSWPSDCCCHHGHGGCHCCSCGKAPEPAPAPAPPPPQYIPCYPPQQPYPCGGYRIVCEEDPSYACAIM >ONIVA03G35900.3 pep chromosome:AWHD00000000:3:30688279:30689978:1 gene:ONIVA03G35900 transcript:ONIVA03G35900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAGVLDDCRKMKRTIIVKVDLECERCYAKIDRVLTRIRDKGEFVIDDIEFDVKHNKVIVSGPFDPDKLADKLCCKACKIIKEIEIVDLPPPPPPPAPEPEPEPPKKEEPQPPPPKEEEKPEPPPAVIIVEPPAPAPEPEPEPEPEPPKKEPPPPPPPKQEPCPPPPKVVEVPYPWPYPYPFPSWPSDCCCHHGHGGCHCCSCGKAPEPAPAPAPPPPQYIPCYPPQQPYPCGGYRIVCEEDPSYACAIM >ONIVA03G35890.1 pep chromosome:AWHD00000000:3:30679242:30682838:1 gene:ONIVA03G35890 transcript:ONIVA03G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSLHHPCNSRTGFLGKRQGICLHVNPAGRVGFVRKTIECKESRIGKKPIEVPSNVTLTLEEQFIKAKGPLGELSLNYPGEVKVVKEESGKLRVSKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGKDLVMNLGFSHPVRMAVPEGLKVKVEENTRIIVSGYDKSEIGQFAASIKKWRPPEPYKGKGIRYADEVVRRKEGKAGKKK >ONIVA03G35880.1 pep chromosome:AWHD00000000:3:30667861:30671379:-1 gene:ONIVA03G35880 transcript:ONIVA03G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oligopeptide transporter [Source:Projected from Arabidopsis thaliana (AT4G16370) TAIR;Acc:AT4G16370] MASLKSPVAAEEQAATAAAAAAAKGEGERCPVEEVALVVPETDDPTTPVMTFRAWTLGLASCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGQFMAAVLPSREVRLLGGRLGSFNLNPGPFNIKEHVIITIFANCGVSYGGGDAYSIGAITILGYGWAGMLRRYLVDPADMWWPSNLAQVSLFRALHEKEGGDGGKGSSSRGPTRMRFFLIFFFASFAYYALPGYLLPILTFFSWACWAWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWSSIANTAAGFVMFIYLIVPLCYWKFDTFDARKFPIFSNQLFTASGQKYETTKVLTREFDLNVAAYESYGKLYLSPLFAISIGSGFLRFTATIVHVALFHGGDIWRQSRSAMSSAAAKMDVHAKLMRRYKQVPQWWFLVLLVGSVAVSLVMSFVYREEVQLPWWGMLFAFALAFVVTLPIGVIQATTNQARIHPSIDLTMNHRRFVVQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLGSIDNICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPARLFGRHGLYRNLVWLFLAGAVLPVPVWLLSRAFPEKKWIALINVPVISYGFAGMPPATPTNIASWLVTGTIFNYFVFKYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHELKWWGTAVDHCPLASCPTAPGIAVKGCPVF >ONIVA03G35870.1 pep chromosome:AWHD00000000:3:30660015:30670487:1 gene:ONIVA03G35870 transcript:ONIVA03G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tornado 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) TAIR;Acc:AT5G55540] MGDKMITRKLSFNQKDMDVTEIDLQDYKNVDSIAFYQVPTNVGSGMSMESERLVRVHACTDHNGVSFLHKLLHCLLEHKEMYSNVVNLLFHGIEWQTEGVQLLCSFLGPGSSVKQCRIGASGVKLLASALAYNRSVEEVQLLDDSIGAKGAEEFSKMIEVNCVLKLLVILDNSSISAAPIFSAVLARSRRVEVHVWGHCRDTRGGMNSCKIAEFQAGTGSLRIYNNINSTGLQRIACAMAWNTTVTTLDMSGVPLKSKWTKELRGVLERNRMLKTVKLTKCCLRDKAVVYIAAGLFKNSYLESLSLDGNRFGGVGLEHLLCPLSTFSPLQRQANLTLKVLSFGGRQTNIGRYGITAILQMLETNQSLLQLAICDDVNPWIEEIDLHETPLHVAGKTREIYEKLGQNGSSVVPNDLLDLPLSAPTCCQVFLCGQELSGKSTLCSSIKHCMNSMKLPRMDEIRTSKTPIEQMSHTNEYGMNIIFDGNTKLTMCNIGGPEESIPLHDFMFVVHGGPRIFMIVSSLIGKPADKYPKSIDVIEQELIYWLKFVASNSRRRVSHSFIPCVTIVLTHYDKVSHLAEGLQLIVAAVQRLREDFCSYAEIYPTVFVVDSRSQVSVSKLTHHLRNTTKTVLQQAPQVYEVCNDLIRYLHNWRLKNDKSVVKWSEFCEICQLSIPVLRLRSRHDNAEKLDTRRRAVAKSLHDLGEIIFFEELGVLIMNCEWFCQDILSQLGALKSIKIENSGFVRKQDLEKILQEKLCNQIQRSNWRAGASLQSGDIINMLLKLELCYEQDPGNPNTLLLVPAMLEESKEGIQRWQLTMPECRYAGRHMECEDTHMFLTNDFFPRLQVRLHNKIMCPGNQQGAVYNLEKNLIYTVIDGVHVRVELGMKLGSSIDVLACSTRNVTDMVRLLHKSVITTILNMSPSMTFKESIIRPDCVKYLIPQRFRTTQLLPVKKIKHILLSLPAESFYDYQHTWSAVENNKRVILMSGLDHARDLLSDDDFHDVLHRRYYDLQHLAAELAVTPDNLQQSETIAESDAVDPSILGIAKGVEMVLQRLKRIEQGIQDLKEEIARLRYYEYHLVTELHRKMDYVMNYSIQLEDRKVPQLFYLVSLDSRSKKLVTRILPGMRSLRVHMLCEFRQEMHVLEDQVGCDLIQVDNWAVQSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSVMYGATTSALSLGALGAAAMYGKARNNGSQSGTNDMEDDMKTARQWLVDFLKGQGILTGMDIAQRFGLWRVRYRDDGHIAWICRKHIVARADEIFELPL >ONIVA03G35870.2 pep chromosome:AWHD00000000:3:30660015:30670487:1 gene:ONIVA03G35870 transcript:ONIVA03G35870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tornado 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) TAIR;Acc:AT5G55540] MGDKMITRKLSFNQKDMDVTEIDLQDYKNVDSIAFYQVPTNVGSGMSMESERLVRVHACTDHNGVSFLHKLLHCLLEHKEMYSNVVNLLFHGIEWQTEGVQLLCSFLGPGSSVKQVEFQKNVFGTKSSAALVPLSEMIQRNNTIKAIVFSECRIGASGVKLLASALAYNRSVEEVQLLDDSIGAKGAEEFSKMIEVNCVLKLLVILDNSSISAAPIFSAVLARSRRVEVHVWGHCRDTRGGMNSCKIAEFQAGTGSLRIYNNINSTGLQRIACAMAWNTTVTTLDMSGVPLKSKWTKELRGVLERNRMLKTVKLTKCCLRDKAVVYIAAGLFKNSYLESLSLDGNRFGGVGLEHLLCPLSTFSPLQRQANLTLKVLSFGGRQTNIGRYGITAILQMLETNQSLLQLAICDDVNPWIEEIDLHETPLHVAGKTREIYEKLGQNGSSVVPNDLLDLPLSAPTCCQVFLCGQELSGKSTLCSSIKHCMNSMKLPRMDEIRTSKTPIEQMSHTNEYGMNIIFDGNTKLTMCNIGGPEESIPLHDFMFVVHGGPRIFMIVSSLIGKPADKYPKSIDVIEQELIYWLKFVASNSRRRVSHSFIPCVTIVLTHYDKVSHLAEGLQLIVAAVQRLREDFCSYAEIYPTVFVVDSRSQVSVSKLTHHLRNTTKTVLQQAPQVYEVCNDLIRYLHNWRLKNDKSVVKWSEFCEICQLSIPVLRLRSRHDNAEKLDTRRRAVAKSLHDLGEIIFFEELGVLIMNCEWFCQDILSQLGALKSIKIENSGFVRKQDLEKILQEKLCNQIQRSNWRAGASLQSGDIINMLLKLELCYEQDPGNPNTLLLVPAMLEESKEGIQRWQLTMPECRYAGRHMECEDTHMFLTNDFFPRLQVRLHNKIMCPGNQQGAVYNLEKNLIYTVIDGVHVRVELGMKLGSSIDVLACSTRNVTDMVRLLHKSVITTILNMSPSMTFKESIIRPDCVKYLIPQRFRTTQLLPVKKIKHILLSLPAESFYDYQHTWSAVENNKRVILMSGLDHARDLLSDDDFHDVLHRRYYDLQHLAAELAVTPDNLQQSETIAESDAVDPSILGIAKGVEMVLQRLKRIEQGIQDLKEEIARLRYYEYHLVTELHRKMDYVMNYSIQLEDRKVPQLFYLVSLDSRSKKLVTRILPGMRSLRVHMLCEFRQEMHVLEDQVGCDLIQVDNWAVQSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSVMYGATTSALSLGALGAAAMYGKARNNGSQSGTNDMEDDMKTARQWLVDFLKGQGILTGMDIAQRFGLWRVRYRDDGHIAWICRKHIVARADEIFELPL >ONIVA03G35870.3 pep chromosome:AWHD00000000:3:30660015:30666854:1 gene:ONIVA03G35870 transcript:ONIVA03G35870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tornado 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) TAIR;Acc:AT5G55540] MGDKMITRKLSFNQKDMDVTEIDLQDYKNVDSIAFYQVPTNVGSGMSMESERLVRVHACTDHNGVSFLHKLLHCLLEHKEMYSNVVNLLFHGIEWQTEGVQLLCSFLGPGSSVKQVEFQKNVFGTKSSAALVPLSEMIQRNNTIKAIVFSECRIGASGVKLLASALAYNRSVEEVQLLDDSIGAKGAEEFSKMIEVNCVLKLLVILDNSSISAAPIFSAVLARSRRVEVHVWGHCRDTRGGMNSCKIAEFQAGTGSLRIYNNINSTGLQRIACAMAWNTTVTTLDMSGVPLKSKWTKELRGVLERNRMLKTVKLTKCCLRDKAVVYIAAGLFKNSYLESLSLDGNRFGGVGLEHLLCPLSTFSPLQRQANLTLKVLSFGGRQTNIGRYGITAILQMLETNQSLLQLAICDDVNPWIEEIDLHETPLHVAGKTREIYEKLGQNGSSVVPNDLLDLPLSAPTCCQVFLCGQELSGKSTLCSSIKHCMNSMKLPRMDEIRTSKTPIEQMSHTNEYGMNIIFDGNTKLTMCNIGGPEESIPLHDFMFVVHGGPRIFMIVSSLIGKPADKYPKSIDVIEQELIYWLKFVASNSRRRVSHSFIPCVTIVLTHYDKVSHLAEGLQLIVAAVQRLREDFCSYAEIYPTVFVVDSRSQVSVSKLTHHLRNTTKTVLQQAPQVYEVCNDLIRYLHNWRLKNDKSVVKWSEFCEICQLSIPVLRLRSRHDNAEKLDTRRRAVAKSLHDLGEIIFFEELGVLIMNCEWFCQDILSQLGALKSIKIENSGFVRKQDLEKILQEKLCNQIQRSNWRAGASLQSGDIINMLLKLELCYEQDPGNPNTLLLVPAMLEESKEGIQRWQLTMPECRYAGRHMECEDTHMFLTNDFFPRLQVRLHNKIMCPGNQQGAVYNLEKNLIYTVIDGVHVRVELGMKLGSSIDVLACSTRNVTDMHLAAELAVTPDNLQQSETIAESDAVDPSILGIAKGVEMVLQRLKRIEQGIQDLKEEIARLRYYEYHLVTELHRKMDYVMNYSIQLEDRKVPQLFYLVSLDSRSKKLVTRILPGMRSLRVHMLCEFRQEMHVLEDQVGCDLIQVDNWAVQSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSVMYGATTSALSLGALGAAAMYGKARNNGSQSGTNDMEDDMKTARQWLVDFLKGQGILTGMDIAQRFGLWRVRYRDDGHIAWICRKHIVARADEIFELPL >ONIVA03G35860.1 pep chromosome:AWHD00000000:3:30657401:30658984:1 gene:ONIVA03G35860 transcript:ONIVA03G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRRLVESEVDERREQKRASKEGDPRKKMAAAAAMAMKDPSLWHKVAAISGVAALGLGTYGAHMFRPKNPAYKEVWHTASLYHLVHTAALLGAPITKRPDVCLSSLQFGGLLTAGIVLFSGTCYTVAYLEDRKYSSTAPLGGFAFIAAWASLLF >ONIVA03G35860.2 pep chromosome:AWHD00000000:3:30657401:30658984:1 gene:ONIVA03G35860 transcript:ONIVA03G35860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRRLVESEVDERREQKRASKEGDPRKKMAAAAAMAMKDPSLWHKVAAISGVAALGLGTYGAHMFRPKNPAYKEVWHTASLYHLVHTAALLGAPITKRPDVFGGLLTAGIVLFSGTCYTVAYLEDRKYSSTAPLGGFAFIAAWASLLF >ONIVA03G35850.1 pep chromosome:AWHD00000000:3:30651389:30653495:-1 gene:ONIVA03G35850 transcript:ONIVA03G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKPAKNLPVPPAAAAAAKNGSGGKLPGLSRKLFQKGSSEPKKKALTEVKNGGNTRTLAMVLRSERELLTQSKEQEDEITALRLQLEQKDTEVERLKDLCLRQREEIRTLKDAVKADKHTPRSCFDDEYCSSPRTPALNEETAFSLECSIGEDDTPNYGSPDEMFSKDLNPCLTPCISKSKSEEYEQPINSHRSGTKAGQDSLSCGSLSRPMSKSSDHHKPTSGTNSKRRVYRSDQDKFHQNLF >ONIVA03G35840.1 pep chromosome:AWHD00000000:3:30643797:30650468:-1 gene:ONIVA03G35840 transcript:ONIVA03G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:UniProtKB/TrEMBL;Acc:A0A0E0GTU0] MGRSRGVPNSGDDDTNHRSKRRRVASSGDAPDSLSAACGGAGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKTKAQCIDHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGTSVLPGDLTPKDESPFSPPRVKVEDALGEGLAGRSPSHIAGGANKKASNVGQFKDGANVAKVEDGHVDRSIGVKKPRYSADEGPSLTELSGYNSKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVLEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRKKEHEVNAQKAKESGQLLSNTKVVHKTNRPMKIESDGNLDQKKGGASLDSTGRDSPKTTGHAGTKHWDDWDIVGFPGAELLSTSEKNLCCQNRLLPNHYLKMQEVLMQEIFKGSVAKKEDAHVLFKVDPAKVDNVYDMVTKKLGTNEEAPTV >ONIVA03G35830.1 pep chromosome:AWHD00000000:3:30635339:30637512:-1 gene:ONIVA03G35830 transcript:ONIVA03G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGCRCEVVDACVREVRLASPYRIHQIFRFTTPRRLALAHRGDTYKARDEGQCHWRDKAGSATRREGGESEGGERRRRRLMASPAVVAFAVAVAALAAFCGTDPLRTGSMVDFPGFVPHVVELPDASEMPPHADTRERLRGAEIRFRGEVQGPESVAFDPLGRGPYTGVADGRVVRWDGARWVYFAHSSPNWTAELCGHKASPLDYLKDEHICGRALGLRFDRRTGDLYIADAYFGLLKVGPDGGLATPLATEAEGVRFNFTNDLDLDDDGNVYFTDSSIHYQRRHFMQLVFSGDPSGRLLKYDPNTKKATVLHRNIQFPNGVSMSKDGLFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNDKGEFWVAIHCRRSIYARMVSRNVRLRKFLLSLPIPAKYHYLMQIGGKLHALIIKYSPEGEVLDILEDTTGQVVRAVSEVEEKDGKLWIGSVLMPFIAVFDYANAS >ONIVA03G35820.1 pep chromosome:AWHD00000000:3:30628925:30629428:1 gene:ONIVA03G35820 transcript:ONIVA03G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGEEEEVEEDEARPRPGCGGGEAAGQAAANCAAVCCCCPLALLEILLLVTVRLPAGVMRRVMRRRRRRQRRRKSRSGGGGGGGGGGEGGPSSPSGSAKAMIAAASAFDMMDDEAAAAAAASSARGETDADAELELEIMRSRFYSGGFWRSPSSGSSSCASSLRR >ONIVA03G35810.1 pep chromosome:AWHD00000000:3:30618789:30627960:1 gene:ONIVA03G35810 transcript:ONIVA03G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G44050) TAIR;Acc:AT3G44050] MPSDCGDDDHGGGSAPAGFELQEDPSFWKDNNVQVVIRVRPLSSGEISVQGQKRCVRQDSCQSITWTGHPESRFKFDLVADEYVTQENLFKVAGVPMVDNCMAGYNSCMFAYGQTGSGKTHTMLGDIENGTRRNNVNCGMTPREKEIRKEEKLRFTCKCSFLEIYNEQILDLLNPNSVNLQIREDAKKGVHVENLTEHEVSNAREAMQQLVEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLQIQHLKKEVSRLQGLVNSDKAECTSSSGFICESPSTLKWNQGQGSFSPLMFDKRAMQRKDYDAALVAAFRREQETEAKLKAMIAAKLVAEQLATQRAEEVRSFKMRLRFREDRIKRLEQVTSGKLSAESHLLQEKEDLVKEVDALRGLLDRNPEVTRFAMENLQLKEDIRRLQTFVDEGEREMMHEQIIVLQDKLLEALDWKLMHEKDPINKDLSFLGESADEEMEFLRLQAIQNEREIESLRKNLSFCLESKEKLERRVDELTLELEAAKKYHEESEAVELQVQTEVDLHDLPDAQTELKTLVDAIATASQREAEAHETAIGLAKANEELRTRLTVLIEDNKRLVELYEHAIANGEVNQDGGHPAIPQIEGVNEQQSSHSYGGAAANGVLPDDKPESATILPADNSSSEVSDSKIMDGQCNHKDNFSRSELTDLQLQLNEMHEENDKLMGLYEKAMQERDEFKRKFFEGSNSVTTVDTQYEDVEMCDATDDEDLEVKHVHDSAISTFKEILWLVRVKLENVHDKLVTTQDAVEYFKLLEMASTKAEELSASIQHHCLELKHDQEDMNALKAELSQSQESKEALESKYFSPVASCWNLDLKTKALVGSKFDVSLELLNQKKEQLSHLQTLKKEFSVASTKARESETALRSKIDGLKVKLRSFEAQRKEAERVLFAIDNIDTSTPTLSKPVNFGKASELLRSEEERTKLLSELKKSREQLIMVQKEIKSMNRHDDIDCKIASLESEVENCCLTLLEADVEKFVRDNTLTEIWKEEQKDMDCLLVDYQECVFKVNLKEEKIRACEESLQHQTRSLDDMNSKLNQAMRDLGEHLRDRTPCDLDASMLHVSDKVKGDLDAMALHVAEAVQLLLVQGENQTNP >ONIVA03G35810.2 pep chromosome:AWHD00000000:3:30618789:30627960:1 gene:ONIVA03G35810 transcript:ONIVA03G35810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G44050) TAIR;Acc:AT3G44050] MPSDCGDDDHGGGSAPAGFELQEDPSFWKDNNVQVVIRVRPLSSGEISVQGQKRCVRQDSCQSITWTGHPESRFKFDLVADEYVTQENLFKVAGVPMVDNCMAGYNSCMFAYGQIREDAKKGVHVENLTEHEVSNAREAMQQLVEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSSCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLQIQHLKKEVSRLQGLVNSDKAECTSSSGFICESPSTLKWNQGQGSFSPLMFDKRAMQRKDYDAALVAAFRREQETEAKLKAMIAAKLVAEQLATQRAEEVRSFKMRLRFREDRIKRLEQVTSGKLSAESHLLQEKEDLVKEVDALRGLLDRNPEVTRFAMENLQLKEDIRRLQTFVDEGEREMMHEQIIVLQDKLLEALDWKLMHEKDPINKDLSFLGESADEEMEFLRLQAIQNEREIESLRKNLSFCLESKEKLERRVDELTLELEAAKKYHEESEAVELQVQTEVDLHDLPDAQTELKTLVDAIATASQREAEAHETAIGLAKANEELRTRLTVLIEDNKRLVELYEHAIANGEVNQDGGHPAIPQIEGVNEQQSSHSYGGAAANGVLPDDKPESATILPADNSSSEVSDSKIMDGQCNHKDNFSRSELTDLQLQLNEMHEENDKLMGLYEKAMQERDEFKRKFFEGSNSVTTVDTQYEDVEMCDATDDEDLEVKHVHDSAISTFKEILWLVRVKLENVHDKLVTTQDAVEYFKLLEMASTKAEELSASIQHHCLELKHDQEDMNALKAELSQSQESKEALESKYFSPVASCWNLDLKTKALVGSKFDVSLELLNQKKEQLSHLQTLKKEFSVASTKARESETALRSKIDGLKVKLRSFEAQRKEAERVLFAIDNIDTSTPTLSKPVNFGKASELLRSEEERTKLLSELKKSREQLIMVQKEIKSMNRHDDIDCKIASLESEVENCCLTLLEADVEKFVRDNTLTEIWKEEQKDMDCLLVDYQECVFKVNLKEEKIRACEESLQHQTRSLDDMNSKLNQAMRDLGEHLRDRTPCDLDASMLHVSDKVKGDLDAMALHVAEAVQLLLVQGENQTNP >ONIVA03G35800.1 pep chromosome:AWHD00000000:3:30611958:30614834:-1 gene:ONIVA03G35800 transcript:ONIVA03G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04130) TAIR;Acc:AT1G04130] MALLMDPGAGGPLTESEKADLDAIAAIKESAAAEYKEKGNRLVKMGRSHYADAVDCYTKAIAQMEPLPPPPVPSPDASVLFANRAHVNLLLGNHRRALDDAARAVQLSPSNVKAYYRAAKAAIALGLLPEAAAFCRRGIEQDPANEELKKLLAQVDAQQSEQDRHRAKVAQAVSAAKDIVAAIEKRGLKLGKAAYQELTGVKKPKLDEQGVLHWPVLLLYPEVMSSDFIEDFPETDTFVPHLDAGVGTVLSKSEILKILLEGTMDSKSLPESLLDEEDGENDDGKSSTITSSDKGSVKWINVKEGKTLQEVLQHKDFIIPAIPVFFVVSRKSTFYREFKAGNWSLP >ONIVA03G35790.1 pep chromosome:AWHD00000000:3:30609811:30610648:-1 gene:ONIVA03G35790 transcript:ONIVA03G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGASPAAAAATSGEPGAAAGTMKVVVAVDASEESLNALSWALDNVIGRRAGAVSVVVVHAQHGPDHFVYPVAAHGIGIHFAFHETDTACTCACVTEAAIAYAPASAIESMRKAQEEISRKVVSRALDVSATGAIVEGDAKEAICQAVEEMHADMLVLGSRGLGKIKRAFLGSVSDYLVHHACCPVLVVKPTKAHDK >ONIVA03G35780.1 pep chromosome:AWHD00000000:3:30607732:30609898:1 gene:ONIVA03G35780 transcript:ONIVA03G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GTT3] MASGVEERVRGEVRGEEDDRPQLSAAAAEALREFLLEQGRDGGEEGEEGGGGVELVAEDWRLSQFWYDERTARALAEEVARLVSLSGPASSAAVACVACPTLYTYLKTSSPDVTAQLLEYDVRFGQYGGDFTFYDYNQPEELPAAMKHAYRIVVADPPYLSKECLEKVAKTVSFLAHPEGSFLLLLTGEVQRDRAFELLNVRPCGFKPQHSNKLGNEFRLFTNYDPEDRLGGWEQNDGATV >ONIVA03G35770.1 pep chromosome:AWHD00000000:3:30598118:30607123:-1 gene:ONIVA03G35770 transcript:ONIVA03G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20930) TAIR;Acc:AT5G20930] MSGSSAAGEDIVQHLSSNSNPSSSKLAKLEARMAGKAAPVPSPPPPHHLVVPSAPATTFMDQEELPESSSSDDDNGEEFLIQKNILKRPRSPDGDHGLAVGNFEGSANEAVKHSEVMDTRPSIDISNRKKQGRGRGRGGAGRGRGSKTVDQTRATSTSSAVVANGRHDILTNMESRSSAVLGNDDKAALQEELSLLRGKVAILEEELSKSRQESTEYRQLSDRLAKELKDLKEQDQQKKSKQLKVLSDLLIAVSKAERQEARIRIKQESFRLGNVGVMRAGTVISETWEDGQAIKDLNSHLKSLLETKETIERHRKSLKKRQSDKGDGSDAETSMSEEDVLLQDEICKSRLTSIKREEEQYLRERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHNRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHPNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPILPEKEARIIIVQIFQGLVYLNKRTQKIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLFGRRPFGHDQTQERILREDTIINARRVEFPSKPAVSNEAKELIRRCLTYNQAERPDVLTIAQEPYLSYAKR >ONIVA03G35760.1 pep chromosome:AWHD00000000:3:30596811:30606767:1 gene:ONIVA03G35760 transcript:ONIVA03G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAGRSRPMAASSSERGRRWSARLTARSKVGDIRAAPAERYLADEQPRKQPIFNRTTGHQPKSSHYACEHQEVAKEHGPIWTGEVQSNWQKAEPRSSLTQSMVQKSTGKVSTLTNRFQLPENLQQRFLHKSPPYMRDSAKGPPYIKNKVYTINGSKH >ONIVA03G35750.1 pep chromosome:AWHD00000000:3:30590694:30595629:1 gene:ONIVA03G35750 transcript:ONIVA03G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKLQQRVRRRLLPLLRRQRMAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNLTIPDPGPSTVATVCGAVQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >ONIVA03G35750.2 pep chromosome:AWHD00000000:3:30590791:30595629:1 gene:ONIVA03G35750 transcript:ONIVA03G35750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNLTIPDPGPSTVATVCGAVQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >ONIVA03G35750.3 pep chromosome:AWHD00000000:3:30591237:30595629:1 gene:ONIVA03G35750 transcript:ONIVA03G35750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCLSIALAAPTTPRSLSHFLALLYKEAIAGRRSTREQEARLFFFFSIFSPTIATFFLPATRLSAVQIRNCKRSTLLLLLVRRRLLPLLRRQRMAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRQAALLSPRFAKLLPFFTNREIRFCLMFLSRDPNLVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNLTIPDPGPSTVATVCGAVQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >ONIVA03G35750.4 pep chromosome:AWHD00000000:3:30590791:30595629:1 gene:ONIVA03G35750 transcript:ONIVA03G35750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRQAALLSPRFAKLLPFFTNREIRFCLMFLSRDPNLVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNLTIPDPGPSTVATVCGAVQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >ONIVA03G35750.5 pep chromosome:AWHD00000000:3:30591536:30595629:1 gene:ONIVA03G35750 transcript:ONIVA03G35750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCLSIALAAPTTPRSLSHFLALLYKEAIAGRRSTREQEARLFFFFSIFSPTIATFFLPATRLSGKTKRPSFHPWRHCSGVLESLEDCFTGFLIVLLEWHAQVRRRLLPLLRRQRMAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNLTIPDPGPSTVATVCGAVQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >ONIVA03G35750.6 pep chromosome:AWHD00000000:3:30591536:30595629:1 gene:ONIVA03G35750 transcript:ONIVA03G35750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCLSIALAAPTTPRSLSHFLALLYKEAIAGRRSTREQEARLFFFFSIFSPTIATFFLPATRLSGKTKRPSFHPWRHCSGVLESLEDCFTGFLIVLLEWHAQVRRRLLPLLRRQRMAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRQAALLSPRFAKLLPFFTNREIRFCLMFLSRDPNLVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNLTIPDPGPSTVATVCGAVQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >ONIVA03G35750.7 pep chromosome:AWHD00000000:3:30590631:30591334:1 gene:ONIVA03G35750 transcript:ONIVA03G35750.7 gene_biotype:protein_coding transcript_biotype:protein_coding MISSCSCFCRAIKITASIGRFTHLIITPYLAVWPVSSSSSSSSCHFLAYCEFLAAIFWAVDRRRRLGIGQELSWYCGDKICRGTVGGFVLSWWLVSEASLSGEVRTCGVSRPRPSPSVLATQPRRRF >ONIVA03G35740.1 pep chromosome:AWHD00000000:3:30584832:30586499:1 gene:ONIVA03G35740 transcript:ONIVA03G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRWPARGRDARRRRAGTAAARARGSPATGRWGLGAPGGSKAARQGAARGRAGGGTRRRADTAAAKEATRQAGSSAGQQQGRRQAGQHQPGNHQGSKASNSAKRQGNNSTIEDLSNPHYNVILAPPLITVDCLRSLELAVARGGGRAS >ONIVA03G35740.2 pep chromosome:AWHD00000000:3:30584832:30586390:1 gene:ONIVA03G35740 transcript:ONIVA03G35740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNWR >ONIVA03G35730.1 pep chromosome:AWHD00000000:3:30574791:30579444:1 gene:ONIVA03G35730 transcript:ONIVA03G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKFSVILLMLCFATLGSAQYVKYKDPKQPVSVRVKDLLGRMTLAEKIGQMTQIERENATAEQIAKYFIGSVLSGGGSVPAPQASAQAWASMVNEMQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKVVQSLTTLISGLQGDVPSNDVGRPYVGGSKKVAACAKHYVGDGGTVKGINENNTIIDTHGLLTIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHHLITDFLKNKLRFRGFVISDWQGIDRITSPPHKNYSYSIEAGIGAGIDMIMVPYTYTEFIDDLTEQVNNKIIPMSRIDDAVYRILRVKFTMGLFESPFADSSLADELGKQEHRELAREAVRKSLVLLKNGKSSYSPVLPLPKKAGKILVAGSHADDLGRQCGGWTITWQGQPGNNITAGTTILSAIKATVDPSTTVVYSENPDSSVVTGDKYDYAIVVVGEPPYAEGFGDNLNLTIPEPGPTVIQTVCKSIKCVVVLISGRPLVVEPYIGGIDAFVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDAHYDPLFPFGYGLTTQAHSS >ONIVA03G35730.2 pep chromosome:AWHD00000000:3:30574751:30579444:1 gene:ONIVA03G35730 transcript:ONIVA03G35730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKFSVILLMLCFATLGSAQYVKYKDPKQPVSVRVKDLLGRMTLAEKIGQMTQIERENATAEQIAKYFIGSVLSGGGSVPAPQASAQAWASMVNEMQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKVVQSLTTLISGLQGDVPSNDVGRPYVGGSKKVAACAKHYVGDGGTVKGINENNTIIDTHGLLTIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHHLITDFLKNKLRFRGFVISDWQGIDRITSPPHKNYSYSIEAGIGAGIDMIMVPYTYTEFIDDLTEQVNNKIIPMSRIDDAVYRILRVKFTMGLFESPFADSSLADELGKQEHRELAREAVRKSLVLLKNGKSSYSPVLPLPKKAGKILVAGSHADDLGRQCGGWTITWQGQPGNNITAGTTILSAIKATVDPSTTVVYSENPDSSVVTGDKYDYAIVVVGEPPYAEGFGDNLNLTIPEPGPTVIQTVCKSIKCVVVLISGRPLVVEPYIGGIDAFVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDAHYDPLFPFGYGLTTQAHSS >ONIVA03G35720.1 pep chromosome:AWHD00000000:3:30556955:30559767:1 gene:ONIVA03G35720 transcript:ONIVA03G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPTTMWRSPAAPVCLLVAAVLLSAVAAATAGEEYVKYKDPKKPIGERVDDLLSRMTLAEKIGQMSQIERANATSAVIEKYFVGSVLSGGGSVPSEKATAKEWQQMVAKMQKAALKTRLGIPIIYGIDAVHGHNNVHNATIFPHNVGLGATRDPKLVKRIGQSTAHEARATGIPYTFAPCVAVCRDPRWGRCYESYSEDTKLVQLMTSAMVPGLQGDAPARYPKGTPFVAGGMNVAGCAKHFVGDGGTRDGINENNTVLSFHDLMRIHMPPYDDAVIKGVASVMISYSSWNGVKMHENRFLITDILKNKLKFRGFVITDWQAVDRITTPPHKHYYHSIQETIHAGIDMVMIPYDYPEFVADLTTQVSNGSIKLDRINDAVSRILRVKFAMGLFENPLPDPRLAGELGDKEHRQIAREAVRRSLVLLKNGKHGEKPVLPLSKKADKILVAGSHAHNLGFQCGGWTVSWQGQGGNNVTAGTTILEAIKAAVDESTVIDYTEHPDKSSIAESAKEYDYAVVVVGEEPYAETEGDNLNLTIPSPGPKVIKDVCGLVKCVVVLVSGRPLVVEPYIGAMDAFVAAWLPGTEGHGVADVLFGDHGFTGKLPRTWFKSVDQLPMNFGDKHYNPLFPFGFGLTTKPSHSQS >ONIVA03G35710.1 pep chromosome:AWHD00000000:3:30553422:30554673:1 gene:ONIVA03G35710 transcript:ONIVA03G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRHRSLPQHRRAAAWWRAGACGALMRRTEPVARRHGAGPHNLAALSSVSRAVARRRCAEAGRLRGTDARDRAGHAGPCRSRSAVVRDSPLARDRRSRRMEERHRAAPSQSRILNMRRRTI >ONIVA03G35700.1 pep chromosome:AWHD00000000:3:30551621:30553120:1 gene:ONIVA03G35700 transcript:ONIVA03G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAPEWVEKGDNAWPLAAATLVGLQSMPRLAGPRTEKDREAFPPNNVLLTLAGAGLLLWMGWTGFNGGAPYAANVDASVTVVNTHLCTATSLLVWLLLDSFVFGRLSVISAVQGMITGLVCVTPAARLVLHKRSRLLARVDDTLAVLHTHGVAGSLSGVLTGLLLLAEPRFARLFFGDDPRYVGLAYAVRDGRAGSGLRQVGVQLAGIAFVVALNVAVTSAVCLAVRVAVPQLAAGGDAIHGEDAYAVWGDGETYEQYSVHGGGSNHGGFPMTANPVASKADEMIWI >ONIVA03G35680.1 pep chromosome:AWHD00000000:3:30532571:30533320:-1 gene:ONIVA03G35680 transcript:ONIVA03G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQMNSVVHVSTSPSPSPATSPPPEGKQEHGEVAAVHVVGVGDDEAVMVVKDEEAFGGGGVDYSGRAQWLRAAVLGANDGLVSVASLMIGVGAVSESGRAMLVSGVAGLVAGACSMAIGEFVSVYAQYDIEVAAARRRRRQRRRRGDGDGEEEGSGRLPSPFKAAAASALAFTVGALLPLLAGGFVRPWAPRVAAVCAATSAALAGFGALGAALGGASPARSAARVLLGGWAAMAACYGVLRLFANLY >ONIVA03G35670.1 pep chromosome:AWHD00000000:3:30524651:30530644:1 gene:ONIVA03G35670 transcript:ONIVA03G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQEKDHPVEPSKHISVDDEEITSPPVEEKAAAAADKKFPFFGLLRYADGLDWLLMVAGTMGSFLHGMGPSMSYYLVGKGIDVVGNNIGNREATVHELSKLIPYMWALAIITLPGGMIEITCWMYTSQRQMSRMRMAYLRSVLSQDIGAFDTDLTTANVMAGATNHMSAIQDAIGEKVGMLSMLVVPMLLMVGATYAKMMIDASMKRIALVSAATTVVEQTLSHIKTVFSFVGENSAIKSFTKCMDKQYKLSKIEAMTKGLGLGMLQIATFCSYSLTVWVGAAAVVDRSAKGGETIAAVINILSAAIYISNAAPDLQSFSQAKAAGKEVFEVINRNPAISYESNGTILEKVTGNIEIREVDFMYPSRVDKPILRSFSLSIPAGKVVALVGSSGCGKSTVISLVQRFYDPISGNILIDGQNIKELDLKSLRRSIGSVSQEPSLFSGTIMDNLRIGKMDGTDEEIIEIAKSANVHSFVSKLPNQYSTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQEALDGAMKGRTVILIAHRMSTIINSDKIVVVENGKVAQSGTHEELLEKSPFYSSVCSMQNLEKESGKSEERFTDQVREEQDNGSGTSNEPSSTAHEQEKSLELNPNQPKQDIRNRASAFYRMFLGTFMLEPGKILLGSTAAAISGVSKPIFAFYIMTVAIAYFDPDAKRIVAKYSIILFLIGLLTFFSNIFQHYIYGLVGERAMNNLREALFSVILQNEIGWFEQPKNSVGFLTSCVVGDTSMIKTIISDRMSVIVQCISSILIATGLSIGVNWRMGLVAWALMPCQFIAGLVQVRSAKGFATDTSTSHRKLISLTSEAVSNIRTVASFGQEEEILKKADLSLQEPMQTSRIESIKYGVVQGVSLCLWHMTHAIALSYTIVLLDKSLATFENCVRAYQAIALTITSITELWSLIPMVISAIAILDPALDILDRETQIVPDEPKVHCEDRITGNIEFQDVSFSYPSRQDVIILDGFSLAIEPGQRVALVGPSGAGKSTIVSLLLRFYDPCRGQVLFDGKDVREYNLRFLRKQIGLVQQEPILFNLSIRENISYGNEGASETEIVEAAMEANIHEFISGLSNGYDTVVGDKGSQLSGGQKQRIAIARTILKRPVILLLDEATSALDGETEKVVMSSLAAKEWKSKEGELSNKITSITIAHRLSTVTSADVIVVMDKGEVVEMGSHETLVTTSNGVYSRLYCMQSKGMKD >ONIVA03G35660.1 pep chromosome:AWHD00000000:3:30511485:30517391:1 gene:ONIVA03G35660 transcript:ONIVA03G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVHDEDHSISSSQFDEMDESNSTIPVPSESSTDEKPFPFLGLLCYADAVDWLLMALGTVGSIIHGMAFPIGYLLLGKALDAYGTNINNQEGMVHALYKVVPFVWYMAAATLPAGMVEISCWIYSSERQLARMRLAFLRSVLNQEVGAFDTDLTTAKIITGVTNHMRVIQDAIGEKLGHFVASFSTFFAGIIIAFASCWEVALLSFLVIPLILVIGATYTKQMNGISLSRNAIVSEATSIVEQTLSHIKTVFSFVGEKRAMRSFVLCMDNQYKLSKKEAVIKGIGLGLFQAVTFCSWALMVWIGAVAVTSRKATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFKVIKRKPSISYEKHGSVLGKVHGEIKFRRVHFAYPSRQDKPILQGFSLSIPAGKVVALVGSSGCGKSTVISLLQRFYDPTSGSILIDGHSIKKLDLESLRRNIASVSQEPSLFSGTIKDNLRIGKMDANDDEITKAARTANVHSFISKFPNEYLTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQDALEKAMSGRTVILIAHRMSTIVNADTIVVVENGKVAQTGTHQELIEKSTFYSNVCSMQNIEKEAGTRVASSSDNVIEDEIDEVYDRQLSPKQGQQNKLEQLNSKQPKQEKDDIAKILLGSSSAAISGISKPLFGYFIMTIGVAYYDLDAKRKVSKYSLIFFTAGVITLASNIFQHYIYGVVGEKAMKNLREAIFSSVLRNELGWFEKPKNGVGFLTSHIVSDTSTVKTIISDRMAVIVQCISSILIATVVSMYVNWRMGLVSWAVMPCHFIGGLIQAKAAKGFYGDSAIAHQELVSLASEAASNIRTVASFVYEDEIIKKAELSLQEPMRVTKIESMKYGVVQGISLCLWNIAHAVALWYTTVLVQRKQASFENSIRSYQIFSLTVPSITELWTLIPMVMSAIAVLNPAFEMLDRDTQIVPDRPENPSDGWLMGRTEFQDVSFNYPSRPEVTILDGFSLVIEPGQRVALVGPSGAGKSSVLALLLRFYDPQRGRVLIDNKNIKDYNLRWLRKQIGLVQQEPILFNSSIRDNISYGSEETSETEIIQAAMEANIHEFISSLPKGYDTVVGEKGSQLSGGQKQRIAIARTLLKRPAILLLDEATSALDGESERVVMSSLGAKDWKDRNEGSSKITSITVAHRLSTVINSDTIVVMERGKVVELGNHHTLITADDGVYSRLFHLQSNMKD >ONIVA03G35650.1 pep chromosome:AWHD00000000:3:30490653:30505405:-1 gene:ONIVA03G35650 transcript:ONIVA03G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38120) TAIR;Acc:AT4G38120] MASTSAATSAAPSRGGAREWRTALLTLRDESVVSPSPPALLALLRRVLLLSAAAAAPPHSLAASAAAVGSDVAFLAETAAAVSPCDGADDVLRGVCHLIHDIMYKTNMEIDSSCLLAMLKFLDVLMQCSLEGSCGKGLSVRKTALDTVSECLQILRFLSKDFGGSTSLPENAHLLRVLISIVSCLQSELNLTDKPNGAGFSSHTFGPINNKNPNIWDMEISAFSMIEDALSKIASSLSEDLWQSIVEVLRKVMDFLTARNFIIESSTMSRFYTSFLRCLHSVLSDPKGPLSAHVPGFVANLQIFFMYGLRSSSPPVITPMEYKMDTKSNAGRYKPPHLRKRGGKGNDSFDGRNSDSESSRYDLSSSDSDMSDSDGYAKTGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPESDVLQQRKYQATLMTCLIFDPIIKVRIEAASTIASMLEGQALVLTQVAEYKESSRRGSFTTLSSSLGQILMQLHTGMLYLIQRETQTTLLSALFKVLILLISVTPYARMPKQLLPTVITDMRRRLLDRHSNKNEHYALLVNVLNCLEAAFSKEPPSSNVFEVLTQDGCAGPSHAQQESSVISILLHYIEQEIHVSVRFGALQVLRSAVHNYPSCANIIWAKVQYIVLDLLQMQILEDQRDANFGLPKEESSIKGRCLVAAIKVIDECLRVSSGFKGTDDLKEYRLQDIQQISDCTINKTIKSAPHFETDVPGPSQNFILDITLGTNRWIEVIERLLPQGLSHGSATVRTASLTCFAGMTYDVFFSLPENKRDYVTSSSIHAALSDTAPAVRSAACRAIGIVACFPSILSSPSLPGKFIDAIEFNTRNSSTPVRITASWALANLCSSIRFRALDTNPSAGVLDKSAISLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNNHSDTVDDPRASSVYSILLLLLRDSNNYKIRMHAAVALAVPVSRLDYGSSFPDVVRGIEHVLESLSSNSLSSPSNFKHRGNLEKQVTFTALHLFSFVSPKDDQSLRDFLIKKASFLEDWLKSLFSLFNNVEDQPLANEAINDEDGFSPNVAQKAMLSSAVKSLLDIYTSENQHTVAQRFEQLARSLEL >ONIVA03G35650.2 pep chromosome:AWHD00000000:3:30490653:30505405:-1 gene:ONIVA03G35650 transcript:ONIVA03G35650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38120) TAIR;Acc:AT4G38120] MASTSAATSAAPSRGGAREWRTALLTLRDESVVSPSPPALLALLRRVLLLSAAAAAPPHSLAASAAAVGSDVAFLAETAAAVSPCDGADDVLRGVCHLIHDIMYKTNMEIDSSCLLAMLKFLDVLMQCSLEGSCDFGGSTSLPENAHLLRVLISIVSCLQSELNLTDKPNGAGFSSHTFGPINNKNPNIWDMEISAFSMIEDALSKIASSLSEDLWQSIVEVLRKVMDFLTARNFIIESSTMSRFYTSFLRCLHSVLSDPKGPLSAHVPGFVANLQIFFMYGLRSSSPPVITPMEYKMDTKSNAGRYKPPHLRKRGGKGNDSFDGRNSDSESSRYDLSSSDSDMSDSDGYAKTGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPESDVLQQRKYQATLMTCLIFDPIIKVRIEAASTIASMLEGQALVLTQVAEYKESSRRGSFTTLSSSLGQILMQLHTGMLYLIQRETQTTLLSALFKVLILLISVTPYARMPKQLLPTVITDMRRRLLDRHSNKNEHYALLVNVLNCLEAAFSKEPPSSNVFEVLTQDGCAGPSHAQQESSVISILLHYIEQEIHVSVRFGALQVLRSAVHNYPSCANIIWAKVQYIVLDLLQMQILEDQRDANFGLPKEESSIKGRCLVAAIKVIDECLRVSSGFKGTDDLKEYRLQDIQQISDCTINKTIKSAPHFETDVPGPSQNFILDITLGTNRWIEVIERLLPQGLSHGSATVRTASLTCFAGMTYDVFFSLPENKRDYVTSSSIHAALSDTAPAVRSAACRAIGIVACFPSILSSPSLPGKFIDAIEFNTRNSSTPVRITASWALANLCSSIRFRALDTNPSAGVLDKSAISLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNNHSDTVDDPRASSVYSILLLLLRDSNNYKIRMHAAVALAVPVSRLDYGSSFPDVVRGIEHVLESLSSNSLSSPSNFKHRGNLEKQVTFTALHLFSFVSPKDDQSLRDFLIKKASFLEDWLKSLFSLFNNVEDQPLANEAINDEDGFSPNVAQKAMLSSAVKSLLDIYTSENQHTVAQRFEQLARSLEL >ONIVA03G35650.3 pep chromosome:AWHD00000000:3:30490653:30505405:-1 gene:ONIVA03G35650 transcript:ONIVA03G35650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38120) TAIR;Acc:AT4G38120] MASTSAATSAAPSRGGAREWRTALLTLRDESVVSPSPPALLALLRRVLLLSAAAAAPPHSLAASAAAVGSDVAFLAETAAAVSPCDGADDVLRGVCHLIHDIMYKTNMEIDSSCLLAMLKFLDVLMQCSLEGSCGKGLSVRKTALDTVSECLQILRFLSKDFGGSTSLPENAHLLRVLISIVSCLQSELNLTDKPNGAGFSSHTFGPINNKNPNIWDMEISAFSMIEDALSKIASSLSEDLWQSIVEVLRKVMDFLTARNFIIESSTMSRFYTSFLRCLHSVLSDPKGPLSAHVPGFVANLQIFFMYGLRSSSPPVITPMEYKMDTKSNAGRYKPPHLRKRGGKGNDSFDGRNSDSESSRYDLSSSDSDMSDSDGYAKTGDRFRSSKARLAAILCIQVRIEAASTIASMLEGQALVLTQVAEYKESSRRGSFTTLSSSLGQILMQLHTGMLYLIQRETQTTLLSALFKVLILLISVTPYARMPKQLLPTVITDMRRRLLDRHSNKNEHYALLVNVLNCLEAAFSKEPPSSNVFEVLTQDGCAGPSHAQQESSVISILLHYIEQEIHVSVRFGALQVLRSAVHNYPSCANIIWAKVQYIVLDLLQMQILEDQRDANFGLPKEESSIKGRCLVAAIKVIDECLRVSSGFKGTDDLKEYRLQDIQQISDCTINKTIKSAPHFETDVPGPSQNFILDITLGTNRWIEVIERLLPQGLSHGSATVRTASLTCFAGMTYDVFFSLPENKRDYVTSSSIHAALSDTAPAVRSAACRAIGIVACFPSILSSPSLPGKFIDAIEFNTRNSSTPVRITASWALANLCSSIRFRALDTNPSAGVLDKSAISLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNNHSDTVDDPRASSVYSILLLLLRDSNNYKIRMHAAVALAVPVSRLDYGSSFPDVVRGIEHVLESLSSNSLSSPSNFKHRGNLEKQVTFTALHLFSFVSPKDDQSLRDFLIKKASFLEDWLKSLFSLFNNVEDQPLANEAINDEDGFSPNVAQKAMLSSAVKSLLDIYTSENQHTVAQRFEQLARSLEL >ONIVA03G35640.1 pep chromosome:AWHD00000000:3:30481724:30485425:-1 gene:ONIVA03G35640 transcript:ONIVA03G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAAAAAARVAEQARELQDAAAGLLSRSSAEEEALRRRAAALGAELARLRKAAAHADSDKVEEDLDRATCLISDGDIAALLPSKTHGTFLKMFLGPVNLRAPRKEVQLKVKEEYNSYRDRTALLFLGFPMILLVLRSWLWNGCFPVLPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWMCHHYCAMLMSLISLTWEIKGQPDCSRKQNRYQRQRLYTRIALGKARRMDVVWGETAGVEGQLLLLCPVLFLLQGFEGYVGFLLLRTAHTGIVPEWQVVVCGVLLIAMAIGNFANTVDTLMAKSRFKAKKRSRGKRDPDTCNSPTGLSPTNSTARA >ONIVA03G35630.1 pep chromosome:AWHD00000000:3:30476030:30483230:1 gene:ONIVA03G35630 transcript:ONIVA03G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVSCSVVNKCLAYNPCLSRNYYQRSHTVKLQRSQAGQIILPRKLRKSTLWQTNFTQRQIATHCSSDLSTSCREELPSYLTVNVLKDQSCARQGIFRKVIVILNPNSGFRSSLEVFYQKVQPTLELSGFMMQVVETAYAGHAHALASTVDLSTCPDGIICVGGDGIVNEVLNGLLGRDDLEEAIQLPIGIIPAGSENSLVWTVLGIRDPVSAATTLAKGGITPIDVFSVKRTQAGITHFGLTASYYGFVADVLQLSEKFRLHFGPFRYVIAGVLKFLSLPQYRFEVNYLPLSPRRNHKLLPVTEKCNDHLAADSSAEDNWVTRKGEFLGIFVCNHFCKPAQGLLSPVIAPKAQHNDGSLDLILVHGSGRLRLFCFFIAYQFCWHLLLPYVEYVKVKHVKVRPIGKTHNGCGVDGELLLGEGQTEWQCSLLPAQGRLLGRHRSASE >ONIVA03G35620.1 pep chromosome:AWHD00000000:3:30473132:30474184:-1 gene:ONIVA03G35620 transcript:ONIVA03G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT1G06050) TAIR;Acc:AT1G06050] MEDVKSGGAVPFLDPDNCPNGWATPPGDTFMVRGPDYLATKVKIPGGEYLLKPLGFDWMKSPAKICEILNNKSHRVRKAIDGEVLLGNQPFVWAFNLQLPSKDNYSAIFYFVSLEPVPEGSLMDQFLKGDEAFRKSRLKLIANIVRGPWIVRTAVGEQAICILGRALTCKYTQGSNFIEIDVDVGSSIVANAIVHLAFGYVQTLTVDLAFLIEGQTESELPERLLGAVRFSELNPGSAGVYEVPSEEQQESAPFLPARLWQGFSNMLHNPGNSREPSSTSQSTNGSLHKEDADENTRKSLHKEDVDDNTAGSLLKEDGYESATGSFDKEDTEEDSNGSLHNGDADENTKW >ONIVA03G35610.1 pep chromosome:AWHD00000000:3:30468639:30470940:-1 gene:ONIVA03G35610 transcript:ONIVA03G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNLSSISSSAASPSPLSPADGFLCVKDGVDEMIKYVANEPSVGLYFVQQHAQASMPLLLDVKGKVAEKIHEVTLHTEDIEDSICAVRSMAEFGLPIADDMIKDINKSLKIMSKTQPKRGLIQNPTWGFQSGKSSGTWEEDLGTTDGGSSRNYFSSMFNTAKQKASTLRWPQPDFGTKDDTTEESESSAAPESSQAGGHGASTPSDTEKDDLPVSSQLLDNNTATMKESSSTDISKSVENYNKFKEEQELKLQEWLRQSEEADDNKE >ONIVA03G35600.1 pep chromosome:AWHD00000000:3:30465746:30467461:1 gene:ONIVA03G35600 transcript:ONIVA03G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLFYSLTSLLCLACSLLLRARASAASPKAAAAEAAPLPPGPRTVPVLGPLLFLARRDIDVEPTLRRIAAEHGPVFTFAPLGPSRPTIFVAARXEQREWKKIIREGGAPVTVMEPFRYARLCLLVHMCFAFLPPITKLVFRKRWNEMVSLRRRQEELFVPLMRARREAGAGGDCYVDSLVKLTIPEDGGRGLNDGEIVSLCSEFMSAGTDTTATVLQWILANLIAAAVAGDGEVREEDLQAMPYLKAVVLEGLRRHSPGLYALPRAVEDETTLDGYRVPANTPMNFAVGEIGLDGEVWASPEVFRPERFLPGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVWEFDWREVAGDEVDLTEKLEFTVVMKRPLKATAVPLRGDRSAAVE >ONIVA03G35590.1 pep chromosome:AWHD00000000:3:30459898:30465668:1 gene:ONIVA03G35590 transcript:ONIVA03G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRARXASPGRSPKHMYTRRQNIAYWNGSITVNGAPPSPRMWETSARCAGPRAATKIVGRDGPSGANVNTGPCSAAIRRSVGSTSMSLRARNSSGPSTGTVLGPGGSGAAAAEVRARSSSEHARQSSEVTLSGGWSGASLLLDLCVGAVGVWVVVTNTQE >ONIVA03G35580.1 pep chromosome:AWHD00000000:3:30458711:30460313:-1 gene:ONIVA03G35580 transcript:ONIVA03G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLFYSLTSLLCLACSLLLRARTSAAAAPLPPGPRTVPVLGPLLFLARRDIDVEPTLRRIAAEHGPVFTFAPLGPSRPTIFVAARGPAHRALVSHIRGEGGAPLTVMEPFQYAMFCLLVYMCFGDRPGDAXLARRDIDVEPTLRRIAAEHGPVFTFAPLGPTIFVAARGAAYRALGGAPVTVMEPFRYARLCLLVHMCFAFLPPITKLVFRKRWNEMVSLRRRQEELFVPLMRARREAGAGGDCYVDSLVKLTIPEDGGRGLNDGEIVSLCSEFMSAGTDTTATVLQWILANLIAAAVAGDGEVREEDLQAMPYLKAVVLEGLRRHSPGLYALPRAVEDETTLDGYRVPANTPVNFAVREIGLDSEVWTSPEVFRPERFLAGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVWEFDWREVAGDEVDLTEKMLEFTVTRRAFLY >ONIVA03G35560.1 pep chromosome:AWHD00000000:3:30435413:30443118:1 gene:ONIVA03G35560 transcript:ONIVA03G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIQRGEKKKTIQFSSQLDGHLLSLSTPSPPLGLPQRPPRPSSAAKSHPTPSPLNYSTYKAAPPPPTRETAMTSAIVPSLGEVAVALRRKAAEMASTAAAASSQGCISWALRQRGLGGGGARAVPVLPRRRFCVSAAAGAGFDNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTHTLKTSSGKILKYGSLIISTGCEASRLPAKIGGNLPGVHYIRDVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEKLYQQNGVKFIKGALIDKLEAGSDGRVSSAVLEDGSVVEADTVIVGIGARPVIGPFEAVGVNTKVGGIEVDSLFRTSIPGIFAIGDVAAFPLKMYDRMTRVEHVDHARKSAHHCVEALLTSHTKPYDYLPYFYSRVFEYEGSSRKIWWQFYVGETIEVGSFEPKIATFWIDSDSRLKGEFSLLPQLAKSQPVVDKAKLKSATSVEDALEIARSSLHSGSSV >ONIVA03G35560.2 pep chromosome:AWHD00000000:3:30435413:30443118:1 gene:ONIVA03G35560 transcript:ONIVA03G35560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIQRGEKKKTIQFSSQLDGHLLSLSTPSPPLGLPQRPPRPSSAAKSHPTPSPLNYSTYKAAPPPPTRETAMTSALRRKAAEMASTAAAASSQGCISWALRQRGLGGGGARAVPVLPRRRFCVSAAAGAGFDNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTHTLKTSSGKILKYGSLIISTGCEASRLPAKIGGNLPGVHYIRDVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEKLYQQNGVKFIKGALIDKLEAGSDGRVSSAVLEDGSVVEADTVIVGIGARPVIGPFEAVGVNTKVGGIEVDSLFRTSIPGIFAIGDVAAFPLKMYDRMTRVEHVDHARKSAHHCVEALLTSHTKPYDYLPYFYSRVFEYEGSSRKIWWQFYVGETIEVGSFEPKIATFWIDSDSRLKGEFSLLPQLAKSQPVVDKAKLKSATSVEDALEIARSSLHSGSSV >ONIVA03G35560.3 pep chromosome:AWHD00000000:3:30435413:30443118:1 gene:ONIVA03G35560 transcript:ONIVA03G35560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIQRGEKKKTIQFSSQLDGHLLSLSTPSPPLGLPQRPPRPSSAAKSHPTPSPLNYSTYKAAPPPPTRETAMTSAIVPSLGEVAVALRRKAAEMASTAAAASSQGCISWALRQRGLGGGGARAVPVLPRRRFCVSAAAGAGFDNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTHTLKTSSGKILKYGSLIISTGCEASRLPAKIGGNLPGVHYIRDVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEKLYQQNGVKFIKGALIDKLEAGSDGRVSSAVLEDGSVVEADTVIVGIGARPVIGPFEAVGVNTKVGGIEVDSLFRTSIPGIFAIGDVAAFPLKMYDRMTRVEHVDHARKSAHHCVEALLTSHTKPYDYLPYFYSRVFEYEGSSRKIWWQFYGDNGRLAKQLKWEALNQRLLPSGLTLEFSLLPQLAKSQPVVDKAKLKSATSVEDALEIARSSLHSGSSV >ONIVA03G35560.4 pep chromosome:AWHD00000000:3:30435413:30443118:1 gene:ONIVA03G35560 transcript:ONIVA03G35560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIQRGEKKKTIQFSSQLDGHLLSLSTPSPPLGLPQRPPRPSSAAKSHPTPSPLNYSTYKAAPPPPTRETAMTSALRRKAAEMASTAAAASSQGCISWALRQRGLGGGGARAVPVLPRRRFCVSAAAGAGFDNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTHTLKTSSGKILKYGSLIISTGCEASRLPAKIGGNLPGVHYIRDVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEKLYQQNGVKFIKGALIDKLEAGSDGRVSSAVLEDGSVVEADTVIVGIGARPVIGPFEAVGVNTKVGGIEVDSLFRTSIPGIFAIGDVAAFPLKMYDRMTRVEHVDHARKSAHHCVEALLTSHTKPYDYLPYFYSRVFEYEGSSRKIWWQFYGDNGRLAKQLKWEALNQRLLPSGLTLEFSLLPQLAKSQPVVDKAKLKSATSVEDALEIARSSLHSGSSV >ONIVA03G35560.5 pep chromosome:AWHD00000000:3:30441926:30456735:1 gene:ONIVA03G35560 transcript:ONIVA03G35560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMVMVSSSDENHNQVAIDLCSASPVDRSLSAAAGGSTTPRSPGFSMVVVPVESPEKTTGKPQTDDHDQQQGRAKEAAAEFIGTFILVFTVLSTVVMDARHGGAETLVGVAASAGLAVVAVVLSVVHISGSHLNPAVSLAMAALGHLPPTHLLPYAAVQTAASLAAAFLAKGVYRPARPAVMATVPAAGVGAGEAFVVESKELVAIAIAAAIMMNALVGGPSTGPSMNPARTIGAAVATGEYRQMWIYLVAPPLGAIAGAATYRGKMSSMGMDAASASVTVPPMQMQAGDQSNRIAIIISPRAGSSKILPFELVNGAANAGSQRHADPAESTPEAHHHLWHPVDLPKIKPPVPLVKKVGAEFFGTFTLIFTVLSTIIMDEQHKGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMTVFGHLPPAHLLPYIAAQILGSITASFAVKGMYHPVNPGIVTVPKVGTVEAFFLEFVTTFVLLFIITALATDPNAVKELIAVAVGATIMMNALVAGPSTGASMNPARTLGPAIATGRYTQIWKMEGHKSGMEAVAVTIPPLHTGESNHRIDSNVSSQCHADPAELSDETQQQSLWHLGLRKIIPSSVPLLKKVSAEFFGTFILIFTVLSTIIMDEQHKSIETLLGIATSAGLAVTVLVLSLIHISGCHLNPAISIAMAVFGHLPPAHLLPYISSQILGAVAASFAVKGLYHPVNPGIVTVPNVGTVEAFSVEFIITFVLLFIITALATDPNAVKELIAVAVGATVMMNILVAGPSTGASMNPARTIGAAIATGRYTQIWVYLVATPLGAIAGTGAYVAIKL >ONIVA03G35550.1 pep chromosome:AWHD00000000:3:30427496:30434983:1 gene:ONIVA03G35550 transcript:ONIVA03G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAWPVCTICYEDLRPLSDQHLHCLPACGHVFHALCLEQWLEYCPGGKKKLTCPICKQPCGAAHPPTRLFFQSTGACPTQTASSSPSRHEPTDGGADREELAAEVARLEQKAASLGRVLDEQRDGIQKLNAEASAAAGPPNLGGSCVGFASRFGFLMVAMWREKAAEAEVMKESVRREKECVQHLLNAKTEELSRKTSECGRLQEKGLSLAKELAALKLSTDMNLQEEEILKLASLGNHGNAANAVDVLTRSLALRNKSYKELMIQCNVLGRSESRSQQRFEKAKELIKKLKARVQDLEKEQEEKENGVIRDLRSAKKFKADQTNSGNTTVNNGFSGLAAGCGDYPMKLDEVMQDPCDKPGPSPEAKNDLNIKDKMDDKHADVIDLDADDSVFQHEHKKGLSAKPFGNDGNDLDFKSRSSLQERYRKESITCKTYVAEENSFLKPSMVTERSALQESFTTNKLQSFQETPVLRSMKATTSTWEKETLTIDGISKQATRMAPGTGPQQVHNFNSLSDDFQIPIRNLGGEGTGKSVGKWCKGVATPGSLNTNANKRNLIAVGPDGRGGKVKILRDLGKFQDSKTQALWPKAQKVGSRGGQSQIDHFFGKR >ONIVA03G35550.2 pep chromosome:AWHD00000000:3:30427496:30434983:1 gene:ONIVA03G35550 transcript:ONIVA03G35550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAWPVCTICYEDLRPLSDQHLHCLPACGHVFHALCLEQWLEYCPGGKKKLTCPICKQPCGAAHPPTRLFFQSTGACPTQTASSSPSRHEPTDGGADREELAAEVARLEQKAASLGRVLDEQRDGIQKLNAEVAMWREKAAEAEVMKESVRREKECVQHLLNAKTEELSRKTSECGRLQEKGLSLAKELAALKLSTDMNLQEEEILKLASLGNHGNAANAVDVLTRSLALRNKSYKELMIQCNVLGRSESRSQQRFEKAKELIKKLKARVQDLEKEQEEKENGVIRDLRSAKKFKADQTNSGNTTVNNGFSGLAAGCGDYPMKLDEVMQDPCDKPGPSPEAKNDLNIKDKMDDKHADVIDLDADDSVFQHEHKKGLSAKPFGNDGNDLDFKSRSSLQERYRKESITCKTYVAEENSFLKPSMVTERSALQESFTTNKLQSFQETPVLRSMKATTSTWEKETLTIDGISKQATRMAPGTGPQQVHNFNSLSDDFQIPIRNLGGEGTGKSVGKWCKGVATPGSLNTNANKRNLIAVGPDGRGGKVKILRDLGKFQDSKTQALWPKAQKVGSRGGQSQIDHFFGKR >ONIVA03G35540.1 pep chromosome:AWHD00000000:3:30426552:30427229:-1 gene:ONIVA03G35540 transcript:ONIVA03G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGRKAAVTGGEEAEVEALLRAAQDAVMLKLQANSHLVSSSSSATAPNLPPSLDHPAAAAAADPLDADLARRFDALRSHRPLDPNPKQPDAPSAAAAGGMDELEARFAALKGAAGPEKETRVRLEDLGGESDEDEDDEVDKVMRWAMDAARLDVATAGAGKAKSTKKDDDEEEEEKDQTSSSVSSEDEEEEEEEKLEKERERKRKEMMSKNKSKTKWFSLF >ONIVA03G35530.1 pep chromosome:AWHD00000000:3:30423400:30426205:1 gene:ONIVA03G35530 transcript:ONIVA03G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPIKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKDTRKSLGRILLKGDNITLMMNTSIRDMALLPYCLKRKSHGDLVDVFCLYTVLLEIW >ONIVA03G35520.1 pep chromosome:AWHD00000000:3:30417158:30418667:1 gene:ONIVA03G35520 transcript:ONIVA03G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERRAVRTVRVRNISDLAGEREVREFFSFSGEIEHVDIRCDGVATGRTAYVTFKDPKALEIALLLSHVCKSDKCASISTEIVIMKHSAQITIQ >ONIVA03G35510.1 pep chromosome:AWHD00000000:3:30407676:30414139:-1 gene:ONIVA03G35510 transcript:ONIVA03G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G04895) TAIR;Acc:AT5G04895] MRGGLRRGLGILLLPLSSSPSRAPRPPVPLAALLVYHRRLDVFARRSFCSSGGGYAVEQFSDDEYDHEYEDHRPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGKVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLPDKCGTGNGSEMAEKAENVNLDEQQDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTYPVRAHFLEDILERTGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALKTSSFETYGSRTRDSLSNWNPDCIGFNLIEAVLCHICRKERSGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCIKSLQVGSIGEFLSAALQPPAPLAVQNAVEFLKMIGALDENENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPILTVVAGLSARDPFLLPQDKRDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSYILKDAGLVDSDANTNNSLSHNQSLVRGIICSGLFPGITSVVHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVTKGSMAGHLKMLDGYIDLFMDPSLCECYLQLKEELDKLVQKKLEDPSFDIHKEGKYILYAAQELAAGDLCEGRFVFGRETSRARLSSSDDTKGNIIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFAGKPKRNKQLAERDAAIEALGWLTQTSGTKLQDDGDDSPLDLTDNMLKLLSRPRRRSRNNSRK >ONIVA03G35510.2 pep chromosome:AWHD00000000:3:30407676:30414139:-1 gene:ONIVA03G35510 transcript:ONIVA03G35510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G04895) TAIR;Acc:AT5G04895] MRGGLRRGLGILLLPLSSSPSRAPRPPVPLAALLVYHRRLDVFARRSFCSSGGGYAVEQFSDDEYDHEYEDHRPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGKVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLPDKCGTGNGSEMAEKAENVNLDEQQDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTYPVRAHFLEDILERTGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALKTSSFETYGSRTRDSLSNWNPDCIGFNLIEAVLCHICRKERSGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPAPLAVQNAVEFLKMIGALDENENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPILTVVAGLSARDPFLLPQDKRDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSYILKDAGLVDSDANTNNSLSHNQSLVRGIICSGLFPGITSVVHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVTKGSMAGHLKMLDGYIDLFMDPSLCECYLQLKEELDKLVQKKLEDPSFDIHKEGKYILYAAQELAAGDLCEGRFVFGRETSRARLSSSDDTKGNIIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFAGKPKRNKQLAERDAAIEALGWLTQTSGTKLQDDGDDSPLDLTDNMLKLLSRPRRRSRNNSRK >ONIVA03G35500.1 pep chromosome:AWHD00000000:3:30402338:30406344:-1 gene:ONIVA03G35500 transcript:ONIVA03G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin hydrogenases [Source:Projected from Arabidopsis thaliana (AT4G16440) TAIR;Acc:AT4G16440] MASSSSSASSRFSPALQASDLNDFIAPSQDCIISLNKGPSARRLPIKQKEIAVSTNPPEEAVKISLKDCLACSGCITSAETVMLEKQSLGDFITRINSDKAVIVSVSPQSRASLAAFFGLSQSQVFRKLTALFKSMGVKAVYDTSSSRDLSLIEACSEFVTRCHQNQLSSGKEAGKNLPMLSSACPGWICYAEKTLGSFILPYISAVKSPQQAIGAAIKHHMVGKLGLKPHDVYHVTVMPCYDKKLEAVRDDFVFSVEDKDVTEVDSVLTTGEVLDLIQSRSVDFKTLEESPMDRLLTNVDDDGQLYGVSGGSGGYAETVFRHAAHVLFDRKIEGSVDFRILRNSDFREVTLEVEGKPVLKFALCYGFRNLQNIVRKIKMGKCEYHFIEVMACPSGCLNGGGQIKPAKGQSAKDLIQLLEDVYIQDVSVSNPFENPIAKRLYDEWLGQPGSENAKKYLHTKYHPVVKSVASQLQNW >ONIVA03G35490.1 pep chromosome:AWHD00000000:3:30400757:30401906:1 gene:ONIVA03G35490 transcript:ONIVA03G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPDEPEKAASGAGAGAGDPPPPPFLEVKCRSSGEVRRFAFGTTARYALHAVNRKLAPGAPAALHVEAVKDGEEPVSFGPAAPLADYGDGWKLQTITEQDAPGYYQTPASDTRRDDTKQSAKNPRDQETMAAYITKIVLAFVFIFLLGGLFTYLLETLPDMFQPASEPQPL >ONIVA03G35480.1 pep chromosome:AWHD00000000:3:30399576:30400432:-1 gene:ONIVA03G35480 transcript:ONIVA03G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinone reductase family protein [Source:Projected from Arabidopsis thaliana (AT4G36750) TAIR;Acc:AT4G36750] MGKGGGCIPSKRHRAAAAAAAPASHSHQRERTSIPAPAAAPRPVRIYVVFYSMYGHVRLLARAVARGVGSVPGARAILFRVPETLPPAVLARMEADGGGGGGDGEDVIPVVDPDGLPDADGFLFGFPARFGAMPAQMQAFFDSTVPLCRHQGLAGKPAGLFVSTGTQAGGQETTAWTAITQLAHHGMLFVPIGYTFGEGMLEMGELRGGSPYGAGVFSGDGSRPPSELELALAEHHGKYMATLVKKMVHGAS >ONIVA03G35470.1 pep chromosome:AWHD00000000:3:30395073:30400138:1 gene:ONIVA03G35470 transcript:ONIVA03G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARATRRRAEEAEMEAEAGTEGDDGDGGGSSDYTSEDEGTEDYRRGGYHAVRVGDSFKQGAYVVQSKLGWGHFSTVWLAWDTGHSRYVALKVQKSAQHYTEAAMDEIKILKQIADGDPDDSRCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLTLIKYTDYHGIPLPMVKEICRHVLIGLDYLHRTLSIIHTDLKPENILLESTIDPSKDPRKSGVPLVAPSARTDDPPPKAHAPSVNGGLTRNQKKKIRRKAKRAAAATSEGSGTVASGETDGSDDRGNLSTANEGSPNQDGDKKEEGEGSRRGSKGTRKKMALEADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDSYDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIRRLRFWPLNKVLVEKYEFSDIDANGMAEFLVPILDFVPEKRPSAAQLLQHPWLDVGPLRRQPKRPSDLTQNSSDDGVSEKQRIENEERDAMAVNLGNIAIDGASSKTTEDPQPPSKYYAK >ONIVA03G35460.1 pep chromosome:AWHD00000000:3:30387289:30388868:-1 gene:ONIVA03G35460 transcript:ONIVA03G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 5 [Source:Projected from Arabidopsis thaliana (AT1G55370) TAIR;Acc:AT1G55370] MAFCTPTATTPPHATPPPLTTSWKQLAFCNSSRLAAAAAGGGQRARPAGASVEARALAPASTAAAAAAAAAPAPPNVDYLAAEFAGHGVSFEAVGGSCAVKMELRNGSAAHVLLPGGLVTSYKPAMWHGAPTEVIHTTVAEGLGGRAVIRGGVSLDLRCGGAAGGGGDGMPPWSPSGAWSLRDVRGSPTGSIEVELASAAPPEASGVEARCVVTLHPEALATEFTARNAASPSPVALSAAVSTHLRVSTPDATYAVGLQGSDYRAIDPVLSEFAIVPPDFMSRSSSATTLARRWATKGFDAVLSGGGGGGAGAQEADGEEDDDYKRMTEEMRNSICVQRRGFEEVYVFSPGSKYQWYGKYAYVCVGPAMLEPIVLSPGATWSGAQYLRNPNL >ONIVA03G35450.1 pep chromosome:AWHD00000000:3:30382194:30388635:1 gene:ONIVA03G35450 transcript:ONIVA03G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDERAHGIMGQTKEQIKYLLGVPSHGFDRSNMDDDESASERMKKDIEASQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKQEGKIPPDEPSILPAISAFSRDDKRRPGFSTPQATKKFREREWDRERGMDFDLMPPPGSNKKTTAPMDVDQTIDPNEPTYCICHQISYGDMIACDNDNCEGGEWFHYTCVGLTPETRFKGKCAKQDSGSTAHVLVRRSERFQKMHEGILSNESSSSSPSASCAPAPPPPPPERTASNPLVAQRRASVVADDDRDMKSGGTMANSESTGSMARWVDTAADRATGDGEAAFLAVNSVASASGCSVTTHLASTPLASGGAAEASSTSMEPVGLPLTSRSDHAPLGDHGGIPSPPPPAAPPQRRSSDTPPRMTARPPRPSATVVWITSDVTRPPGSSTCAALPLRSSILTAQLPPTASKLTPWPANSAAR >ONIVA03G35440.1 pep chromosome:AWHD00000000:3:30378993:30379796:1 gene:ONIVA03G35440 transcript:ONIVA03G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVMAPLMLHGRVAIVTGGAGGIGSAVSRHLASLGARVAVAYIGDPAPANELVSGINDGYLRAEEEEKRGPRAIAVEADVSDAARVRALFDAAAAAFGGEIHILVTTAAVLDFAYPALAETSEAAYDAMFGVNARGTFLCCREAANRLARGGRGRIVTFSSSGVGSLRPGYAAYAASKAAVEVMTKILARELRGTGITANAVAPGSTGTPMMYTGKTEEDMARYIAEAPLGRLGMPDDIAPLVGFLASDAGGWINAQVIRCNGGTI >ONIVA03G35430.1 pep chromosome:AWHD00000000:3:30378080:30378310:-1 gene:ONIVA03G35430 transcript:ONIVA03G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEDANPLPSSITAVAVAPRALKPHLLVAGWEGRGPSELTAAEARERRELAMVELKLPATVTDLRLDPGGAVEL >ONIVA03G35420.1 pep chromosome:AWHD00000000:3:30368766:30373245:1 gene:ONIVA03G35420 transcript:ONIVA03G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPGAGDQATDMMQKLSLESKKEGATPDATKKPAGMPYGSASAGDAQNAASPVDRSITPLLQEAVNANILYQTNGYGPSAYYYPTGYDGSANEWDSRYAAHDGTEMAPSVYGDMYGYGYAPYGPYPSGSPVPTVGHDGQSYGAQHYQYPGQYYQQPAPTNASHGVNAVNSQSEMPSVAAHQARVPVESAKASANGTANGMANTNSSSLARKQTHQNVSVANNGSYGGGTLQGGPSANNYGHSGLHSPVQWYDGPVYSNGHQRSNTNSTSYGSNSYSAKNQSQRPTANLMGMHAQIPSSGMGLTSPSYHTRMYPDNRLYGQYGQYGNALKTGLGFGSNMYNSRNNGRWGIVDSKYKPRGRASFGFGSENQDGFTELNRGPRSGGFKHQKQFGPSVTIAVKGQALPSVGKQENSAIPDKGQFNQEGFPVTYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSSECPVFLFFSVNTSGQFVGVAEMVGPVDFEKTVDYWQQDKWNGCFPIKWHVVKDVPNNILKHITLDNNDNKPVTNSRDTQEGSLDDASHEKEKNAIDGKSTAQKQALSKEGTPIVGEMLNASKSAVESSVTNGN >ONIVA03G35410.1 pep chromosome:AWHD00000000:3:30351183:30365212:1 gene:ONIVA03G35410 transcript:ONIVA03G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin-like protein XIF [Source:Projected from Arabidopsis thaliana (AT2G31900) TAIR;Acc:AT2G31900] MAESGQSIASDVTALIGNTPLVYLNKVVDGCEAQIAAKLEIMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAIQKADELAAKMPNSYILQQFENPANPKIHYETTGPEIWKATAGKVDILVSGIGTGGTVTGTGKYLKEQNPEIKIYGVEPTESAILSGGRPGPHKIQGIGAGFVPGVLDVNLLDEVVQVSSDEAISMAKQLALKEGLLVGISSGAAAVAAIRVAQRPENKGKLVVVVFPSFGERYLSSVLFESIKREAENMGTPVNIIVGSHVWAEDPDDAWIDGEVVEIRGGDATIVSTDGKTIVASLASIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRYGINEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGAAFGELSPHLFAIADACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKKFKVGDPRSFHYLNQTNCYEVANVDDAREYLETRNAMDVVGIGQEEQDAIFRVVAAILHLGNINFSKGQEIDSSKLRDEKSVYHLKIVAELLMCDEKALQDSLCERVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDCMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAAELVDSSDEKAACAAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARLIQRRIKTHLTRKEFINLRKASIQSQKFWRARLARIFFEHMRRNAASIRIQKHARTHSARKSYLQMYESAIVIQTGLRAMAACNEHRFRRETKASIIIQEARETGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEEAKGQEISNLKSVLQEMQEKLSEAHAAIEKEKEDAKLAIEQAPPKIVEVPVVDNAKVELLTSQNKELEDELVTFRTKAEDLEKRLLEVQKESDELSREILEKDSKLNQLQEMIERLETNLSSLESENQVLRQQSLLASADDDKSKQIESLESKIAILESENQLLRSKSSVAVQAVITPEVIQPSAMEEEVVVPPIKNLSKQKSLTDRQQENHDVLIKSLAEDRRFDNGRPAAACIVYKSLLHWHSFEAEKTNIFDRIIHTISTLKVLQNWLIGCRLHQLFSTYYKILLSLAVQQNARSSSLGSGISSGYSGMVGRPDTASKVEAKYPALRFKQQLTAYVEKIYGMIRDNLKKEINPFLIMCIQVPPMIIRKTFNQAFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSVTTEEYAGTSWDEFQHIRQAVGFLVLHQKTHKTLEEITDELCPVLSITQIYRIGTMFWDDKYGAQGLSQEVIGKMRTMATDDSVTTPNSSFLLDDDSSIPISLDDIARLMLDIDLSDVEPTPLLRQNSQFHFLLQHHATQTDGIVC >ONIVA03G35410.2 pep chromosome:AWHD00000000:3:30351246:30365212:1 gene:ONIVA03G35410 transcript:ONIVA03G35410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin-like protein XIF [Source:Projected from Arabidopsis thaliana (AT2G31900) TAIR;Acc:AT2G31900] MAESGQSIASDVTALIGNTPLVYLNKVVDGCEAQIAAKLEIMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAIQKADELAAKMPNSYILQQFENPANPKIHYETTGPEIWKATAGKVDILVSGIGTGGTVTGTGKYLKEQNPEIKIYGVEPTESAILSGGRPGPHKIQGIGAGFVPGVLDVNLLDEVVQVSSDEAISMAKQLALKEGLLVGISSGAAAVAAIRVAQRPENKGKLVVVVFPSFGERYLSSVLFESIKREAENMGTPVNIIVGSHVWAEDPDDAWIDGEVVEIRGGDATIVSTDGKTIVASLASIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRYGINEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGAAFGELSPHLFAIADACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKKFKVGDPRSFHYLNQTNCYEVANVDDAREYLETRNAMDVVGIGQEEQDAIFRVVAAILHLGNINFSKGQEIDSSKLRDEKSVYHLKIVAELLMCDEKALQDSLCERVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDCMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAAELVDSSDEKAACAAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARLIQRRIKTHLTRKEFINLRKASIQSQKFWRARLARIFFEHMRRNAASIRIQKHARTHSARKSYLQMYESAIVIQTGLRAMAACNEHRFRRETKASIIIQEARETGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEEAKGQEISNLKSVLQEMQEKLSEAHAAIEKEKEDAKLAIEQAPPKIVEVPVVDNAKVELLTSQNKELEDELVTFRTKAEDLEKRLLEVQKESDELSREILEKDSKLNQLQEMIERLETNLSSLESENQVLRQQSLLASADDDKSKQIESLESKIAILESENQLLRSKSSVAVQAVITPEVIQPSAMEEEVVVPPIKNLSKQKSLTDRQQENHDVLIKSLAEDRRFDNGRPAAACIVYKSLLHWHSFEAEKTNIFDRIIHTISTLKVLQNWLIGCRLHQLFSTYYKILLSLAVQQNARSSSLGSGISSGYSGMVGRPDTASKVEAKYPALRFKQQLTAYVEKIYGMIRDNLKKEINPFLIMCIQVPPMIIRKTFNQAFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSVTTEEYAGTSWDEFQHIRQAVGFLVLHQKTHKTLEEITDELCPVLSITQIYRIGTMFWDDKYGAQGLSQEVIGKMRTMATDDSVTTPNSSFLLDDDSSIPISLDDIARLMLDIDLSDVEPTPLLRQNSQFHFLLQHHATQTDGIVC >ONIVA03G35400.1 pep chromosome:AWHD00000000:3:30347224:30349008:-1 gene:ONIVA03G35400 transcript:ONIVA03G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMPSPPPHGPMSLMASRCYCCSSPPSPSPKQRRDAESKQQQQHILQAERGWR >ONIVA03G35390.1 pep chromosome:AWHD00000000:3:30337831:30349109:1 gene:ONIVA03G35390 transcript:ONIVA03G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNGSKRSPVPETKDGAMKDLEKSTSLEVDDSTIDGDVDLGGGLTVVGRKRKDGRGSVDENGASTKRILRSNSMKMHVDAETAGGVALDVCKGDILEKKQCDIIAEGDRGGVLTVDTCNAEEDGEVTGAVVSDAVVEAVRCSANNVESLGLAEVEIAEGNGLDADIQESDGEMDKADDKLSTPSEEQNESGGGTSVGGINDSQENKGVNGLCQGEVIDPLATANGDELSMGLSRSASGRESIEQEDTVMCASDDQKVENHCQFDDKHKEAEISQIELAVDNHIMLTDCTNQKKGIDSPVNETKGDSTPDIVFIRRKSITRKTCEAKQVKSEDEVRFEKRVTRSATVRQREVSASMCVGATNDANLESKERKEDVHHYTRKVGSTVRSKVHHTGVAECDTDTKKKLKGTVTTRRNSDAIANDDPPSITQNKESKTQMKIDIKSQPLTRRGSIVNKTEDAVSGLDQNICSSAITDKNDIELTDSEGVKSENKAAVRKSILSVGAKIVASKKRILESGLDKASGESPVAIPSLKKARDTSSDTELEQPKMSSGKKLTRNNCGSSKKGMSTRRQHQSQTAKLSTSVNCSNKNESKLSQNESDDDGTGSDTSLKNTYVRRTRSGGVVPKKQEDSSESEEPIILRKNHQRGKYSGKRAGSTPRKVKAPKGNRKEVKASSLKSSGPSEQINTGSLREEKQKISDHIKGMLLDAGWTIDLRPRNGRNYLDSVYIPPSGKGSYWSVTKAYAVFLEGMESEKKGRAKDQRPSKKSVGSPGKSHVSEEILSKLKRIVVNKRRTKVELQKLKKRKHGLLKKQKTSKRNSRGSKNKISNSRKLHLGSERKKRGGCALLARGSNKDGGSSTNGFVPYEWKRTVLSWLIDLDIIDINAKLKCVDETHSKVLLEGVTTRDGINCRCCSKVFTVLEFVAHAGGPVSKPYRNVLVDGLDTDLLHCLINAWDKQSDSERQAFFPISTETDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLELEEHSRHDAQDIAEVDSSLCTCSQCEEKYHPGCSPETTNTSNVSSQACDLFCQQSCRLFSIRFLGGNDIYGTVNSALMQSLRYIYSLFSCDIHYRGKLFEGLRNLLAVKKDLEPEFSCRIIQRIHENVPETVVALDERVECNSKIAVALSLMDECFLPIVDQRTGINLIRNVVYNCGSNFVRMDFRGFYIFVLERGDEIIAAASVRIHGTKLAEMPFIGTRNMYRRQGMCRRLLDGIEMILSSLNVEKLIIPAIAELVDTWTSKFGFSPLDVSEKQEVKSTSMLVFPGTGLLQKPLLKKTSPGENSSSQEVDGVFSELESGKTSNVANEDSLCSANAETQGSAAPCYGDNSKDASACNGNVSQ >ONIVA03G35380.1 pep chromosome:AWHD00000000:3:30334486:30335221:-1 gene:ONIVA03G35380 transcript:ONIVA03G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQARRPRGGECQSHQVVQPDGGDGDAERRLIAPADASGQAAHGGGPVVEVDDAYDSKVKIGEALEAAARAVGDQPVRGSDAAAISAAEASAGAGVVPGGVAEQAQAAADANAGAAPPAEDTAITIGDVLAWNATAMLPTEKAVTAEDAAAAAGAEVEKDPGEGTRPYGVSAALAAAAKHDREDAECQSKRSAQPRAADECTPALAEQFDQI >ONIVA03G35370.1 pep chromosome:AWHD00000000:3:30332128:30333044:-1 gene:ONIVA03G35370 transcript:ONIVA03G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRPPPDGSGARPVMYGDVFDVSGELAGQPVAPRDAAKLQSAEEAVLGEAQKGGPAAAMQSAAAINARAGHVGRAQVTGAIADEGVAVAVAETELPGRRVVTESVAGQVVARLATPPRVVATQPSGALDKDAVTIGRALESVAAATAAAAGKPVDQSDAAAIQAAEMLATGRTVTVPGGVAAAAQAAADHNAAPAARDEDRIKLRDVLTGARGKLPADKAATREDAERVPSAEVRNRPDMATTPGGVADAVTAAARLNQERPTRSF >ONIVA03G35360.1 pep chromosome:AWHD00000000:3:30327436:30331273:1 gene:ONIVA03G35360 transcript:ONIVA03G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQEGSVTAFQISGGDVQVLQVMVKSQEKLTVKPGTMCYMSGNIQTDNNYLPENDGGVWQWIFGKSISSSVFFNPGSDDGYVGISAPFPGRILPMDLANFGGELLCQADAFLCSVNDVSVTSTVEQRPRNIEIGAEVILKQKLRGQGMAFLVGGGSVMQKILAPREVITVDAACIVAMTTTINFQLKTPNQPRRVVFSGGNQLTASLTGPGVVFIQSLPFHRLSQRIASRSVAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >ONIVA03G35350.1 pep chromosome:AWHD00000000:3:30324072:30324473:-1 gene:ONIVA03G35350 transcript:ONIVA03G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQTKSSQGPTGPYPSINSCPVLAKLTVYRSVDLTTSLPHPHPTEREYGYSHTLSLASRSLARSFPSSSTLLHLSLRFFAGKP >ONIVA03G35340.1 pep chromosome:AWHD00000000:3:30317930:30323885:-1 gene:ONIVA03G35340 transcript:ONIVA03G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKGKYSPGASGSGSGSGGGNAGGGPRPGGRETVVWSEKMNEYLIDALLHQQAIGNRGEGRFHSAAFDSIISGVAERFGVAIDRSNIKNRLKSIKENFHECENLFQNQSGFKWSAMNKKFYADPTVWREYIERKPEARKWINKPIDHYDRLLELFGKDRERCLASGSPKSPPAKKARRDPPKEKPQRTPTNGLVSPIVKSLKDMESQRTPTNVLVSPIIIKSSKEMVNENEVPSEAVTEINIAEEQDLSEKFTSENGAMPVEGMPYAPENWPCPGDQWSWKVGNRASATGHWLDRYLTPPSRFRDATGKKTSFTSRLKVEEFIKTEFPDMDPNTFFSMFIWKIPAKGHCIQRGGGEVRRVFCPYARQADPAGPCKARNNLCKLEREGFIESSPAQDCDLCCKMPDFCRECCCVFCRRVVDYSFGGYSYIKCEAVLEENKICGHIGHLDCALRTFMAGTVGGCIDLDMQYCCRRCDNKTNLMLHVEKFLEICQSLQSRDDIEPILNTGLCLVRGSRQTRAKSLESIMRSAMAKLKCGVDLAEVWKMEDNGINSTPSAEVSPATGGVTVLGIQQAPEEDAPPGFPYYVDLADNDLQRAVENLPAYITEDQHTLSVRFEDSIDHALKELKRSQEAEYKLAEQKLYSQKDHVLSLYRQLDSERSVLADPMPLADDDGSLYSTLITNVMKRVDQVKSEEEKLKVMLGIADGFGKTPSGVIQEHFGLPADTAN >ONIVA03G35340.2 pep chromosome:AWHD00000000:3:30320357:30323885:-1 gene:ONIVA03G35340 transcript:ONIVA03G35340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKGKYSPGASGSGSGSGGGNAGGGPRPGGRETVVWSEKMNEYLIDALLHQQAIGNRGEGRFHSAAFDSIISGVAERFGVAIDRSNIKNRLKSIKENFHECENLFQNQSGFKWSAMNKKFYADPTVWREYIERKPEARKWINKPIDHYDRLLELFGKDRERCLASGSPKSPPAKKARRDPPKEKPQRTPTNGLVSPIVKSLKDMESQRTPTNVLVSPIIIKSSKEMVNENEVPSEAVTEINIAEEQDLSEKFTSENGAMPVEGMPYAPENWPCPGDQWSWKVGNRASATGHWLDRYLTPPSRFRDATGKKTSFTSRLKVEEFIKTEFPDMDPNTFFSMFIWKIPAKGHCIQRVFPSGAVQPPDQRLGSDISSLAVVRQRPLGCSGFSQAWS >ONIVA03G35340.3 pep chromosome:AWHD00000000:3:30317930:30320143:-1 gene:ONIVA03G35340 transcript:ONIVA03G35340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFARTNSLRRIHERYLLPPSESNTDAGGGEVRRVFCPYARQADPAGPCKARNNLCKLEREGFIESSPAQDCDLCCKMPDFCRECCCVFCRRVVDYSFGGYSYIKCEAVLEENKICGHIGHLDCALRTFMAGTVGGCIDLDMQYCCRRCDNKTNLMLHVEKFLEICQSLQSRDDIEPILNTGLCLVRGSRQTRAKSLESIMRSAMAKLKCGVDLAEVWKMEDNGINSTPSAEVSPATGGVTVLGIQQAPEEDAPPGFPYYVDLADNDLQRAVENLPAYITEDQHTLSVRFEDSIDHALKELKRSQEAEYKLAEQKLYSQKDHVLSLYRQLDSERSVLADPMPLADDDGSLYSTLITNVMKRVDQVKSEEEKLKVMLGIADGFGKTPSGVIQEHFGLPADTAN >ONIVA03G35330.1 pep chromosome:AWHD00000000:3:30314154:30316400:1 gene:ONIVA03G35330 transcript:ONIVA03G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREMAARRAPSAATTPPTTATRCSTTASSCSSNSNPSVSTAAARTPPPTIVVPWDGVAGGGGCYYPGCRKDANCACEMCLASINATRDLLPPEAASARRWFAAAARDRKPAPRPLFGGADTTPHGSSVTEPWTPPMRSTAKSRRPRQQQEAAGGGGGGAGRKTPGGSHDWALYAATVLGFLLLLWVDSGLVPEIAARGFGPKLSPEAVARLATEARLAPGGLSHKLRALERMLGQLVGGEKGISNCSSHDSVWQFEQNDQRVFYWRCAVYKSAAEEVTVWGSPLRTSGLLPRALPARHLTILSGKITEWSDGRVWPTVRASNGSSWSYGGRSSPAVRLEAETWVVEYQRSVVFEGTRLIPAAAELVASRCSAVARRARQRLARRRFHGGAGGIQANPT >ONIVA03G35320.1 pep chromosome:AWHD00000000:3:30302772:30303182:1 gene:ONIVA03G35320 transcript:ONIVA03G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMVAVVMSCECCGLEEECTGEYVGGVRAYFGGRWLCGLCSEAVKYEAGKSSPRAPVDVEEAVRAHMAFCRMLKRGGPAERVAEGMCQMLRTASWKQRRRASGSSSSSSSPSPRAAPERHHHHRAPSTLSVQLI >ONIVA03G35310.1 pep chromosome:AWHD00000000:3:30291718:30299298:-1 gene:ONIVA03G35310 transcript:ONIVA03G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03110) TAIR;Acc:AT1G03110] MEDAAVEEAEVSGGAAEFAPALVAAHPLGRSVAVAVGPELRVFDLKASSAVSLSDNSGGGSHSDAIRAISFSANGALFASAGDDKLVKVWKTDSWCCIRTITSEKRVSAVAISNDGTYVTFADKFGVIWLVTMGESGGEQEPTDNKPVSIFGHYCSIITSMKFSPDGRFIATADRDFKIRITSLPKKPLRGAHEIQTLYRALPSHAFQKVRAFFCLEAVRLWDYINGCLLDTCQVRDKVGELLEPNETEDNNLSVADICPTNDGLLVAVAIQSLNGVMLLACDLIAKKLSFLKVVTTEKCYIPTSLSSSFSADLLWTVMGASNMPNQATSQLCTRLKIIPHFKKDPLARCDHVPTVLEDSEVPHGEKLLLALQGSLGIAKQEEVLASVLAALKVSMHKMLVKKHYSEERREQRKRGRNDKKIKNRNARRGIFAASAITGLSPASDLPVEFHRKTMQFELDLDEILFPGSKNRLFKET >ONIVA03G35310.2 pep chromosome:AWHD00000000:3:30291718:30299298:-1 gene:ONIVA03G35310 transcript:ONIVA03G35310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03110) TAIR;Acc:AT1G03110] MEDAAVEEAEVSGGAAEFAPALVAAHPLGRSVAVAVGPELRVFDLKASSAVSLSDNSGGGSHSDAIRAISFSANGALFASAGDDKLVKVWKTDSWCCIRTITSEKRVSAVAISNDGTYVTFADKFGVIWLVTMGESGGEQEPTDNKPVSIFGHYCSIITSMKFSPDGRFIATADRDFKIRITSLPKKPLRGAHEIQTLYRALPSHAFQKVRAFFCLEAVILLWVRLWDYINGCLLDTCQVRDKVGELLEPNETEDNNLSVADICPTNDGLLVAVAIQSLNGVMLLACDLIAKKLSFLKVVTTEKCYIPTSLSSSFSADLLWTVMGASNMPNQATSQLCTRLKIIPHFKKDPLARCDHVPTVLEDSEVPHGEKLLLALQGSLGIAKQEEVLASVLAALKVSMHKMLVKKHYSEERREQRKRGRNDKKIKNRNARRGIFAASAITGLSPASDLPVEFHRKTMQFELDLDEILFPGSKNRLFKET >ONIVA03G35300.1 pep chromosome:AWHD00000000:3:30289820:30299046:1 gene:ONIVA03G35300 transcript:ONIVA03G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIDRSRQSLRTELEAKTTKEASEVYSDAT >ONIVA03G35290.1 pep chromosome:AWHD00000000:3:30289362:30290201:-1 gene:ONIVA03G35290 transcript:ONIVA03G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSKVQEMILRRRSRSMNSGGGGVQQSHLSGQHASSTTVSCDGGGGGAGGKSATAACALLGSPRLLHCASLPSGSHAKNGGGGGGGGSEPETPYSMSPTSVIDAAAAFAPSPDAGGSKRRPWCDWGAGTHGLADALDCTGDDDDGHRQSVLAAASRAVKLQAQPQQQHPLLRSCSLDRRVEFGVKNKSSWLPLRVAGGEAAAAAAESPAEMQMEPSSEDYTCVISRGPNPRTVHIYGDRVVEGGGGATTAVALAGESSPRPINLPAPAREARGFLSL >ONIVA03G35280.1 pep chromosome:AWHD00000000:3:30284170:30287982:1 gene:ONIVA03G35280 transcript:ONIVA03G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50230) TAIR;Acc:AT5G50230] MTMVEAEAGKEAIRRALRSLRRRHLVEEGAHRPAIEALARPFAAQAVEWKEKAEKHELELQQCYKAQSRLSEQLVTEIEEGKASKALLKEKETLITTMQTELEQTREENTQLKQSLEEKTSALDLIIQEHQAVKAELEQALTKQKVAEDENRNLIDRWMLEKMKDAERLNEANAMYEEMVLKLKSAGVGGIQHNALQEADGIIRRSEAGYMDIMETPIPSTCRITIRAHDGGCGSIIFQHNTDKLISGGQDQTVKIWSAHTGALTSTLQGCLGSVNDLAVTNDNKFVIAACSSNKLFVWEVNGGRPRHTLTGHTKNVSSVDASWVKSCVLASSSNDHTIKIWDLQSGFCKSTIMSGSNANSLAFIDGDTLCSGHRDGHLRLWDIRSAKCTSQTFAHLDVSSVSVSRNRNFILTSGKDNVHNLFDPRTMEVCGKFKAMGNRVVSSWGRPCISPDENSIAAGANDGSVYIWSRLKKDGVPTILQGHSSSVVSSAWCGLGPLATADKHHIYIWT >ONIVA03G35270.1 pep chromosome:AWHD00000000:3:30274873:30283748:-1 gene:ONIVA03G35270 transcript:ONIVA03G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, mitochondrial (SUV3) [Source:Projected from Arabidopsis thaliana (AT4G14790) TAIR;Acc:AT4G14790] MAVAAALLSRRALYSALASPSWLHDTSSCYICSISGTHSLVNHPNLRLQRGYHNSGKFDLTDLTHPHIWYPNAREKKRNVFLHVGPTNSGKTHNALKRLEASSSGVYCGPLRLLAREVAQRLNKANVPCNLITGQEREEIEGAKHSSVTVEMADMTTEYQCAVIDEIQMVGCRSRGFSFTRALLGLCSDELHVCGDPAVVPLIQRILEPTGDVVTVQYYERLSPLVPLKTTLGSFSNIKAGDCVVTFSRRSIYMLKRRIEMGGKHLCSVVYGSLPPETRTKQATMFNDQDSNLNVLVASDAIGMGLNLNISRIIFSTLEKFDGICNRELTVAEIKQIAGRAGRYGSKFPVGEVTCLNSDHLPLLHSALKSPSPIIEERFLDKAKLSPDYFIADCEDMLKVAAIVDELPLGLYDKYLFCLSPVDIQNYAKKGIVRLKEIFTPGTLQVPKSHNQLKELESIHKVLELYVWLSFRLEDSYPDRELAASQKLIEEYLERSGWQQNGRKDFLQKPKRLHQEYDASQLRKYFQEIDVRSK >ONIVA03G35260.1 pep chromosome:AWHD00000000:3:30256501:30256881:1 gene:ONIVA03G35260 transcript:ONIVA03G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYAAVAAWPRPPSPTIWSPPPSAPASGEHVIMGAWVKTKWEQGKGSFAFLELKKGGAVFVRGAGAAEAKKDGAAGGSTAATTAMACKPAVCSASALAPLHHRYPLSVRLAGIPAIVTRSPCSSW >ONIVA03G35250.1 pep chromosome:AWHD00000000:3:30253129:30254353:1 gene:ONIVA03G35250 transcript:ONIVA03G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSHETLRLIHDLLPSPVDWRHMGQTLIVNQRIFMQQLSHRIQAADAALDAHAPPPAAGDNLPPPALVQPPVAGFLAGAAPPPQQQQP >ONIVA03G35240.1 pep chromosome:AWHD00000000:3:30251165:30251953:-1 gene:ONIVA03G35240 transcript:ONIVA03G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVPEINFKQGDANSFDPLCTIGDREDPVGTFLSSSLPPPPPATTWVILACVPSAGDHLARLRVARSAGRRAPPSFRSLSPPRLRTSASTATITPCRLRRLRVSAGRLLLRSAHDFGPGGVALGFDPAPSCANDGGYILCDAWLRTAFLYPPCSDDYRLLCAGNVGMIPRTAADGKHRIRLVAELQPDMRFVPLPEGCKLPRTPAMRTPQAKYRCVNVSDGELTFVQIHDDAAGAPSMIMISMWTLQF >ONIVA03G35230.1 pep chromosome:AWHD00000000:3:30250397:30250909:-1 gene:ONIVA03G35230 transcript:ONIVA03G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLPTVYHSSRYDATSAPHGEDDESMRQMCVCGAVLCSMAWLHASHVAFAMRALSWSTPPPSAWRAPLPSPGDEVRAARRRLHARGPLMSWHSLAPPSGVPPQLAKQEPLDGCHRTPHQLAGPPLPSFRLLIAREEGDRERRIWELGFCPSRRFIRHEDDQGRLSDRMA >ONIVA03G35220.1 pep chromosome:AWHD00000000:3:30236824:30237504:-1 gene:ONIVA03G35220 transcript:ONIVA03G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHSQCREQRKSGERRGEARDPALESTFTQSFYSTALASNGVYVNHGPEVPEVVGDFEAVGREERNGEPATAVKQQLRRRRDKNQAAVQHQGIDWLGVQAIKPSSTWRASVRGETTPLSAAAPAPSRARTKSTAQEAVRSRLGDAVTCIATAQEAGGRSGARNIVERRRRERAQARRAVRWICIAREPQPCSGPTILGLLVSHNTDPAHRAEQTQKLNFYTDVCK >ONIVA03G35210.1 pep chromosome:AWHD00000000:3:30232186:30236672:1 gene:ONIVA03G35210 transcript:ONIVA03G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGGAGCSRSHSFSGISSAAATGGAGAGADVFVRAADNEMYVRADKIDLKNLDVQFEKTRSKVWLEQHRSSSAASPLPLLEWEIDLAKLDIQNQVAHGTFGVVYRGTYDGHDVAVKVLDWGQEGQESTAKHREAFEKEVAVWQKLDHPNVTKFVGASMGTSHLKIPSAKAESRSSSVGGGSAGGGGGQRCVVVVEYQHGGTLKTLLYKHRDKKLPYKKVVQLALDMARGLSYLHGEKIVHRDVKAENMLLDRKKTLKIADFGVARVEAGADGDDMTGQTGTIGYMAPEVLQGRAYDHKCDVYSFGVLLWETYCCAMAYPNYSLADISYHVVKLGIRPDIPRCCPKAMADIMARCWDANPDNRPEMSEVVALLEKIDTSRGKGGMTPVPEHASQGCSCFGFSRGSA >ONIVA03G35210.2 pep chromosome:AWHD00000000:3:30232186:30233651:1 gene:ONIVA03G35210 transcript:ONIVA03G35210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGGAGCSRSHSFSGISSAAATGGAGAGADVFVRAADNEMYVRADKIDLKNLDVQFEKTRSKVWLEQHRSSSAASPLPLLEWEIDLAKLDIQNQVAHGTFGVVYRGTYDGHDVAVMVSFCCFPYHYWTLGFASRRPAGCENRNRGER >ONIVA03G35200.1 pep chromosome:AWHD00000000:3:30230388:30231515:1 gene:ONIVA03G35200 transcript:ONIVA03G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRPPPKGPEWAGGDAEAGMARPLYPMMLESPQLRWAFVRKVYAILSIQMLLTIAVASVVVFVRPVALFFVSTPAGFALYIFLIILPFIVLCPLYYYYQRHPVNLLLLALFTAAISFAVGLTCAFTKGEVILESAILTAAVVVSLTAYTFWAARRGHDFSFLGPFLFAAVMILMVFALIQVFFPLGRVSLMIYGGLAALVFCGYIVYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRASHS >ONIVA03G35190.1 pep chromosome:AWHD00000000:3:30224208:30228246:1 gene:ONIVA03G35190 transcript:ONIVA03G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAVVSLCIAWLTIKKNIKYHLPLLNRRTPPTAAAAERHPPPPPVRLPRPCASSRDPAPPPTQPFALALEAVGASGCATRGDEGERKGEKLGFRLESSRDSDRRRIRFFAVPVLNRKEFRGISPHRRASSRRTPPRKHWICIEDKFRAAGDYVSQKSSSVFGKKKVEPMVKDAAAPGKGGRLKMESSNAQPQDSAYSVPKNPSMTCCRKRTDGATFLEDLKDHIEEFIHASMDEHKTCFKHHPKDVWDVKGCRGAFGGCQGS >ONIVA03G35180.1 pep chromosome:AWHD00000000:3:30215144:30224193:1 gene:ONIVA03G35180 transcript:ONIVA03G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEVLGVARGQKGAEDGDGAPRGAVVLSRQCRFREGGAEYEAAVHATRGGNNGGEGEVRVSIDGKRVAEVSYVGWGFRSKAPSCLSRGPFDPVHGPHLSIRFFLTPVLTASWDRLAPSPPRRPLVSSPSSSSRPLAPPDSLTACFLPPFSRPSPPNPKAVSLPPPPRSPSPRGVLRGSFGRGGVGDGVPSLSRAVRPQRRRVRRRCRGGTHGREEGKAIVMAGSGLSLLSGSGNVLPFVLASPSSSQKIPHCWLHR >ONIVA03G35180.2 pep chromosome:AWHD00000000:3:30215144:30223842:1 gene:ONIVA03G35180 transcript:ONIVA03G35180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEVLGVARGQKGAEDGDGAPRGAVVLSRQCRFREGGAEYEAAVHATRGGNNGGEGEVRVSIDGKRVAEVSYVGWGFRSKAPSCLSRGPFDPVHGPHLSIRFFLTPVLTASWDRLAPSPPRRPLVSSPSSSSRPLAPPDSLTACFLPPFSRPSPPNPKAVSLPPPPRSPSPRGVLRGSFGRGGVGDGVPSLSRAVRPQRRRVRRRCRGGTHGREEGKAIVMAGSGLSLLSGSGNVLPFVLASPSSSQKIPHCWLHR >ONIVA03G35180.3 pep chromosome:AWHD00000000:3:30215144:30223842:1 gene:ONIVA03G35180 transcript:ONIVA03G35180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEVLGVARGQKGAEDGDGAPRGAVVLSRQCRFREGGAEYEAAVHATRGGNNGGEGEVRVSIDGKRVAEVSYVGWGFRSKAPSPSPSLRLPVRHLPVASSAAPSGAAASATECPRFLERCGLNADEFDDDAEAEPTVGLFGRSIRGLAEVNFTAVAGGREEGKAIVMAGSGLSLLSGSGNVLPFVLASPSSSQKIPHCWLHR >ONIVA03G35180.4 pep chromosome:AWHD00000000:3:30215144:30224193:1 gene:ONIVA03G35180 transcript:ONIVA03G35180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEVLGVARGQKGAEDGDGAPRGAVVLSRQCRFREGGAEYEAAVHATRGGNNGGEGEVRVSIDGKRVAEVSYVGWGFRSKAPSCLSRGPFDPVHGPHLSIRFFLTPVLTASWDRLAPSPPRRPLVSSPSSSSRPLAPPDSLTACFLPPFSRPSPPNPKAVSLPPPPRSPSPRGVLRGSFGRGGVGDGVPSLSRAVRPQRRRVRRRCRGGTHGREEGKAIVMAGSGLSLLSGSGNVLPFVLASPSSSQKIPHCWLHR >ONIVA03G35180.5 pep chromosome:AWHD00000000:3:30215144:30224193:1 gene:ONIVA03G35180 transcript:ONIVA03G35180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEVLGVARGQKGAEDGDGAPRGAVVLSRQCRFREGGAEYEAAVHATRGGNNGGEGEVRVSIDGKRVAEVSYVGWGFRSKAPSCLSRGPFDPVHGPHLSIRFFLTPVLTASWDRLAPSPPRRPLVSSPSSSSRPLAPPDSLTACFLPPFSRPSPPNPKAVSLPPPPRSPSPRGVLRGSFGRGGVGDGVPSLSRAVRPQRRRVRRRCRGGTHGREEGKAIVMAGSGLSLLSGSGNVLPFVLASPSSSQKIPHCWLHR >ONIVA03G35180.6 pep chromosome:AWHD00000000:3:30215144:30224193:1 gene:ONIVA03G35180 transcript:ONIVA03G35180.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEVLGVARGQKGAEDGDGAPRGAVVLSRQCRFREGGAEYEAAVHATRGGNNGGEGEVRVSIDGKRVAEVSYVGWGFRSKAPSCLSRGPFDPVHGPHLSIRFFLTPVLTASWDRLAPSPPRRPLVSSPSSSSRPLAPPDSLTACFLPPFSRPSPPNPKAVSLPPPPRSPSPRGVLRGSFGRGGVGDGVPSLSRAVRPQRRRVRRRCRGGTHGREEGKAIVMAGSGLSLLSGSGNVLPFVLASPSSSQKIPHCWLHR >ONIVA03G35170.1 pep chromosome:AWHD00000000:3:30204640:30206505:-1 gene:ONIVA03G35170 transcript:ONIVA03G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAAMAGGMATGPGSRVTRYAKSTAASVTPVRPGKTHALSALDNAMERHAVYLVLYYRAAPGLDREPLKESLSDVLSQYPAMTGRLTRPAAAAAGGGGEGGEGGGATAAVHHGWIVKCNDAGVRTVDATAAATLDEWLATASGEEEMDLAYFEPMGPDPYIWSPFYVQLTEFADKSYALGLSCTHLHNDPTAAVLFLNAWAAAHRRDSPYPPFLHSPALAAKSTAPPPEHPLLAAKSRGSPDTGGEMSSATFRFSAAAMRALLSAVEPGTTPFAALAALFWLRVAADAAAGGGAAEERELTLALDFRKRMQAPLPTGYYGTAVHFATARADLSSGLASVAAAVERRAAAVPEEELWPAIEWLHARQAAGGEPFQMYGPELTCMALDHVPLYGAEFAAGAAPARAACRVGGASGEGLVIVLPSAEGESARDVAVTLPAAVTARICRDGEVLRYGADVVFGPKVDTQAS >ONIVA03G35160.1 pep chromosome:AWHD00000000:3:30200468:30201934:-1 gene:ONIVA03G35160 transcript:ONIVA03G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIGGGGRRRRLRVFFLPFFAKGHLIPMTDLACRMAAARPEEMDATMVVTPGNAALIATAVTRAAARGHPVGVLCYPFPDVGMERGVECLGVAAAHDAWRVYRAVDLSQPIHEALLLEHRPDAIVADVPFWWATDIAAELGVPRLTFSPVGVFPQLAMNNLVTVRAEIIRAGDAAPPVPVPGMPGKEISIPASELPNFLLRDDQLSVSWDRIRASQLAGFGVAVNTFVDLEQTYCHEFSRVDARRAYFVGPVGMSSNTAARRGGDGNDECLRWLSTKPSRSVVYVSFGSWAYFSPRQVRELALGLEASNHPFLWVIRPEDSSGRWAPEGWEQRVAGRGMVVRGCAPQLAVLAHPSVGAFVSHCGWSSVLEAASAGVPVLAWPLVFEQFINERLVTEVVAFGARVRGGGRRSAREGEPETVPAEAVARAVAGIMARGGDGDRARARARVLAERARAAVGEGGSSWRDIHRLIDDLTEATASPEPQLQ >ONIVA03G35150.1 pep chromosome:AWHD00000000:3:30194843:30196959:-1 gene:ONIVA03G35150 transcript:ONIVA03G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRVIVKEEELDFAAAAAGEGSPSSWAVGVMDLPRPMEGLGEAGPPPFLCKTYEVVEDPGTDTVISWGFAGNSFVVWDANAFAAVLLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKKELLKTIKRRRPPPSSPPSSSSSSSSSQHQQQPAAACLEVGQFGRDGVVNRLQRDKSVLIAEVVKLRQEQQTTRAQMQAMEERISAAEQKQQQMTVFLARAMKNPGFLQMLVDRQAGQHGARNRVLEDALSKKRRRPIEYLLTRNGETCAAGESAAMLAADGVAEPDGDTTPRGDGGGGGDTESFWMQLLSLGLEEKQREDGVAGGVQESNSGGADVDNDEEDDDDDVDVLVQSIYHLSPNIKKVVPSSSNLGVLRGRSIRLHLYLVS >ONIVA03G35150.2 pep chromosome:AWHD00000000:3:30195766:30196959:-1 gene:ONIVA03G35150 transcript:ONIVA03G35150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRVIVKEEELDFAAAAAGEGSPSSWAVGVMDLPRPMEGLGEAGPPPFLCKTYEVVEDPGTDTVISWGFAGNSFVVWDANAFAAVLLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKKELLKTIKRRRPPPSSPPSSSSSSSSSQHQQQPAAACLEVGQFGRDGVVNRLQRDKSVLIAEVVKLRQEQQTTRAQMQAMEERISAAEQKQQQMTVFLARAMKNPGFLQMLVDRQAGQHGARNRVLEDALSKKRRRPIEYLLTRNGETCAAGESAAMLAADGVAEPDGDTTPRGDGGGGGDTESFWMQLLSLGLEEKQREDGVAGGVQESNSGGADVDNDEEDDDDDVDVLVQSIYHLSPK >ONIVA03G35150.3 pep chromosome:AWHD00000000:3:30195766:30196959:-1 gene:ONIVA03G35150 transcript:ONIVA03G35150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRVIVKEEELDFAAAAAGEGSPSSWAVGVMDLPRPMEGLGEAGPPPFLCKTYEVVEDPGTDTVISWGFAGNSFVVWDANAFAAVLLPRYFKHSNFSSFVRQLNTYVDPDRWEFANEGFLRGKKELLKTIKRRRPPPSSPPSSSSSSSSSQHQQQPAAACLEVGQFGRDGVVNRLQRDKSVLIAEVVKLRQEQQTTRAQMQAMEERISAAEQKQQQMTVFLARAMKNPGFLQMLVDRQAGQHGARNRVLEDALSKKRRRPIEYLLTRNGETCAAGESAAMLAADGVAEPDGDTTPRGDGGGGGDTESFWMQLLSLGLEEKQREDGVAGGVQESNSGGADVDNDEEDDDDDVDVLVQSIYHLSPK >ONIVA03G35140.1 pep chromosome:AWHD00000000:3:30193401:30193751:-1 gene:ONIVA03G35140 transcript:ONIVA03G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMVVNSHAKATRERHYIVEAERCRDCLESAKEEYWARGRELQVPRSVPQGGGCRGAHQGCRLQCRQAPPRSSLPSLHAREAKMGNMGEGGDGSKHWVRDDYTMRTRRASSMSM >ONIVA03G35130.1 pep chromosome:AWHD00000000:3:30187242:30189868:-1 gene:ONIVA03G35130 transcript:ONIVA03G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:emp24/gp25L/p24 family/GOLD family protein [Source:Projected from Arabidopsis thaliana (AT3G22845) TAIR;Acc:AT3G22845] MAVGWRPAMLLLVVAVAAWRGEALSVTVTDTECIHEFVPYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVYTLKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRRRVMFYTIAEYLAFMGASALQVVYIRRLFSKNVAYNRV >ONIVA03G35120.1 pep chromosome:AWHD00000000:3:30183947:30190070:1 gene:ONIVA03G35120 transcript:ONIVA03G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHGTALFIRPSAYQEVKSSPKHAIVPHNNLLGCSAFYNPVEGHHVQKPHIVPSCKVNFTRASQYLYRSLSERTTRHWLHRFHVNASSDEDFRSSRNIAISLFKRYKNVIDRGGGDNLKEFVSAGVNAYALGCTEEGLRKELMDIEDSGLEIEALRSNGGGTSLTFKVHSFEMKSTKSHWQDMKLYVRLPVKTLQLEQMAVTGSSEEPSVVASRMQLVFSTLEVVSPQWPRV >ONIVA03G35110.1 pep chromosome:AWHD00000000:3:30155606:30172447:-1 gene:ONIVA03G35110 transcript:ONIVA03G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSTLLSKVVFAGQQEQEQPPPPPPPPPGSSSSPLNRQKQGDPGQATPRLSAADEGGSVGGGGVAAASGSSPSAVSASPASSSFPDYIHVPIGNKGKNELELDFRRFWEEFRSSSSEKEKERALNLAVDVFCRLVKEHSSVAELVTKLVEAHVFAFVIGRAFVTDVEKLRIHSKGRSLRVADVIGFFSEITELGICPGSNLLYAVEVLVTQTIDKQPLLDSGILCCLIYILNSLLSPDESSQKSSPVGQEVSTSEKSKDWGPMLSRRLEIEASVVHTMKALASHPSAAPSLIEDDALQVLFHMVANGSLTVFSQFREGLVPIHTIQLHRHAMQIKVLLMAVKDFNPQNGDAAYTMGIVDLLLECVELSYRPEAGSVRLREDIHNAHGYQFLVQFALTLCSLHKNQVLQSSPKLASEDGVNPPHRSEQDTFTSDLSPQLSRLLDVLVNLSQTGLSENYVGKSMKSSHGKGTGHNRSRTPSVDKFADEILEINSPKVKDLEAIQMLQDIFLKADNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGGFPPALQEVILKILEYAVTVVNCIPEQELLSLCCLLQQPISTSLKHTILSFFVKLLSFDQQYKKVLREVGVLGALLDDLKQNKLFFGEEPQNRTPKSAQRMSSASSFRKTVDNKDAILSPKLMASGSTKFPMFEDEGTITVAWDCLFYLLKRAEPNQQTFRSSNGVNTILPFLVSESHRSGVLRLLSCLIIEDSLQAHPEEIGSLIEILKSGMVSTSSGSQQKLDNDAKCDTFGALWRILGANSSAQRIFGEATGFSLLLTTLHSFQNDSENEETESSLLTHMKIFGFLMRAMTAAVYSNPVNRIRLHTILSSNTFYDLLSESGLLCVDCEKHVILLLLELALEVVLPPTSNLQVESISSENPEDESGFLSATSFGLSRLDKERIYNASAVVVLIRSLLVFTPKVQLELLRFIEKLANAGPFNQENLTSVGCVGLLLETINPFLEGSSPILNHALRIVEVLGAYRLSSSELRLLVRYILQLKVKCSGHLFVNMMDKLIQIEDVRQGSISLAPFIELDMSKAGHSSIQVSLGERTWPPVSGYSFVCWFQFQNFFRSHPKEAEKTSKGSYSKRNGQVMRIFSVGAVDDANTLYAELYLHDNGVFTIATSNSSSLSFPGIEMEEGKWHHLAVVHSKPNALAGLFQSSVASLYLDGKLRHTGKLGYSPSPFGKSLQVTLGTPAIRAKVSDLSWRLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDTDLLRFVPNWACGGEVMAILDSLELEVIAPSGSQRVDSAMKQGNSRLESSGIVWDMERLRNLSLQLSGKKLIFAFDGTSSDAFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYVCNQCTIGDTVQTVGGIPVVLALVEAAETRDMLHMALELLALSLQQSHQNVKDMQSLRGYHLLALFLHRRMSLFDMQSLDIFFRIAACGASFPEPQKSNMNRTASYASGISPESSLDDLTLPKFGDDMSSIGSHGDLDDFSAQKDSFSHLSELENADLAGETSEFIVLSNADMVEHVLLDWTIWVTAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFVIMTFDPPELTPNRQIVREAMGKHVIVRNMLLEMLIDLQVTINAEDMLEQWHKVVSSRLVTYFLDEAVHPTSMRWIMTLLGVCLTSSATFALKFRTSGGFQGLNHVLPSFYDSPEIYYILFCLIFGKPVFPRVPEVRMLDFHSLMPSDENCGELKFVDLLDTIIAMAKATFDSLIMKSMLAHQNNNLSHLNGTLVADLVESTPDMGGDLQGEALMHKTYAARLMGGEAAAPAVATSILRFMVDLTKMCPPFSAVCRRHDFLESCVDLYFSCVRSDCAVKMAKDLTSAATDEKCMHDDDNESLKDTFSNLPQDQEQSAKTFSIASFPQEQKSSSSGSSGMHNSFETAEVKADDSSNQASSTTFLNGQANQVVQSAHDQGQMSAPSSNGIADSHQPADSPTSASMNNIGSPVLSERSAHKAASTPTASPMAPFASWPGSAGSYSDGRQLTASPSMSSTISGIDLDSSPDLKTNIQGSPAVNTLFPINSKLLLDIDDLGYGGGPCSAGATAVLDFIAQILADIISEQLKATLFIESILECVPLFVDIDSALVFQGLCLSRLMNFLERKLLLDDEEDGKKLDKSRWSANLDPLCWMIVDRVYMGCFPTPVGVLRTLEFLMSMLQLSNKDGRIEDAVPSGKGILSIARGGRQLDPYIHAILKNTNRMVMYCFLPTFLKNMGEDDLLANLAFLTETGRSLGIFKPSQEDYTVDICTVLQLLIANKRLVLCPSNVDNDLMCCFCINLMALLRDKRLTAQNLAVDLLKYLVVHRRPSLEDLLVSKPNQGQQMDILHGGLDKLLTGSTTAFFEWLQSSQQTISKVLDQCALIMWVQYITGSAKFPGVRIKGMEVRRKKDMGRKLREIAKLDSRHWEQINERRYNLDLVRDVMSTELRAIRQDKYGWILHGESEWQSQLQQLVHERGIFPVRQLSTEPAWQLCAVEGPYRMRKKLEPSKFKIDTIHNVLASNLGLDDVKITKKEDGHMVMTSGSDTMSGLNLLTYDTERKDLDAADFASFKDEDDIFKGGSTVSPPIGWTDDKSSINEQSLHSATEHGAKSSSFSYHMTESVHGKSEFNSPRRAPSVKGTDTRTSEDKSEKELLDNGEYLIRPYLEPSEKIRHKYNCERVAGLDKHDGIFLIGELSLYIIENFYIDDSNCIYEKGNEDELSVIDQALGVKKDVLGSCDSHQKSPCTWGATAKVLLGGRAWAYNGGAWGKEKLCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREDVFKNLTAMNLPRNSMLDTTISASSKQDSGEGSRLFKIMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDTLDLRNPQTFRKLDKPMGCQTEGGEEEFRKRYDSWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSMENQKLQGGQFDHADRLFNSVKDTWVSAAGKSNTSDVKELIPEFYYLPEFLENQFNLDLGEKQSGEKVGDVVLPPWAKGSSREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDSVSDPTMKASILAQINHFGQTPKQLFQKPHPQRRTDRKVLPHPLRYSAYLTHQEIRKTTSSVSQIVTYNDKILIAAANSFLKPVNYSEYISWGFPDRSLRILTYDQDKLVSTHENLHGGSQIQCTGVSHDGNILTTGGDDGVVAVWRFFKDGTRRLLRMEKALCAHTAKITCIYVSQPYSLIVSGSDDCSVILWDLTGLAFVKQLPRFPASVSALHVNNLTGEILTGAGVLFAVWSVNGDCLAVVNTSQLPSDLILSVASTTNSDWQDTNWYVTGHQSGAVKVWKMVHYTSDEAANSKSKSPPSTLGGMSLNGQTQEYRLLLQKVLKAHKHPVTALCLPPDLKQLLSGDASGHLFSWSLKDDSFKVS >ONIVA03G35100.1 pep chromosome:AWHD00000000:3:30151542:30154042:-1 gene:ONIVA03G35100 transcript:ONIVA03G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDPTVAKCPEGLRSPPVAGGAVAAGGAGALMKGFAGAHADAVTVSLGPAGALAYSAANQSPLVPRLFGAVNDIFCLFQGHIENIASLKQHYGLSKTATEVTILIEAYRTLRDRGPLPASQVVRDLSGKFAFILYDTLSKSTFVAADADGSIPFFWGVDSENHLVFSDNVDLLKASCGNSFAPFPKGCFYTTSGGLQSFEHPLNELKPVPRVDSQGQMCGSNYKVDSEAKKDSGIPRVGSAADWSNHF >ONIVA03G35090.1 pep chromosome:AWHD00000000:3:30148595:30149630:-1 gene:ONIVA03G35090 transcript:ONIVA03G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFCGRGRTTPGSRRRPDEAAHPARRRGVGWRRARPPSPAAAMPWRPTRRHRANQRLTLLEPDAHPLALHPHNSVDLRALHAQLRHQRIPHRRSRHHRPPTCRLKRRREWRGWRKTRERETRVGYIKQRLVVGGGGDWGNDDNGGGGSREAAPRREEKHPNTATALCYRALASPAVGARGGRKPRMRKMRDKGEGSSAARTEGRELVVRAREGEAELDEVEHIDVGLERVEWGIGSRGEEPVEIREVWTDNLEVELALIRDVVDEFPFVAMDTEFPGIVCCPRCRSALCAAVDRKHEAWEICLTPVQVQSSPSGVLACQLI >ONIVA03G35080.1 pep chromosome:AWHD00000000:3:30139983:30146593:-1 gene:ONIVA03G35080 transcript:ONIVA03G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G04210) TAIR;Acc:AT1G04210] MAPAAADPDADDPPARRSDATDVAGNTWDLAALPPPPPAARGGGGEVYIYRNTYNLVPRSIGGCRGSVRSLKFFGNDVEVLPPEAGELDQLESLQVKVSAPRVSGAPLRRMRALKELELSIVPPRPSACSILVEVAALKCLTKLTICHFSIRYVQASGLIGPGSVLFSKVLQFVHNGVFYVIEQRYAMYLPPEIGSLRKLQELDLSFNKLKNLPNCITELGALKFLKVTNNKLVDLPSGISSLRCLESLDLSNNRLTSLGSVKLISMLTLQYLNLQFNRISNSCVIPAWVCCDMRGNGENNMKPGKLKSIAVVSNTSAESRSMNHTCNASRLCSHPEASANLKVHPTQKTKKGWKRRDCLQQQARQERLESSRSKLNDDYVDEMAVNMTEDESPLHDMENKSEMKGIDEEASLQDLPKETSSISEDLSCIVDDDSYGHIKDSGMMLQDHNEEEKPGLSMKSHGNCSCISGNTDILSRRRIRSVENELEDSASSVHDAAVVVEENPSETSKHSWKSKRHPDMDCNPKPSKCPRPFDECSKLSYKYSVQSFCSIDDHLPDGFYDAGRDMPFMPLEEYERSIGLYAREVILLDREQDEELDAIASSAQILLSNLKMPSCFVADEDAGQDLLRASVLALFVSDCFGGCDRSASLSRTRRAIVSLRKEQPFVCTCSAGSICDSTEASKRINNLYGHFDFTGLCDKSIHIIKERRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKGNTWVRMIVDACYPTNIKEETDPEYFCRYVPLSRLQIILDDQGYTPRSPFPSVSLCKEIETTASSSVYYCKIGAVDAAAKVRYLDTRCASSDEVKNFEYKLLAEVRMLGALRKHQSIVEIYGHQLYSKWVQADDDKEYKILQSTIMMEYVKGGSLKGYLTKLLKEGKKHAPIDLAFYIVREVACALLELHKKLVIHRDIKSENVLVDLDLERSDGTPVVKLSDFDNAIPLHSLSHTCCIAHLGTYPPNVCVGTPCWMAPEVLRAMRDKNQYGLEVDIWSFGCFLLEMLTLRIPYQGLPDSEIYDLIMRKKQRPRLTQELEAFWTLDKPITRLELGITSDAHAEKLRLLIDLFYQCTKGIASERPKAEAVYNLLCSLPTCYDMR >ONIVA03G35070.1 pep chromosome:AWHD00000000:3:30134430:30135399:-1 gene:ONIVA03G35070 transcript:ONIVA03G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEANPSVAHSASPSPTTLSASSCSPPNTTAASTSSRSRRTSMVMASWIWPATRGKKKAGDDVVDRPLTMWWIGRQPRFLVSIKAIASAVRRGVMHVMSHMTASRLARLRNLIAVSDMSGKDGEVDLRGGAAAKTRWGNPPVPRWTA >ONIVA03G35060.1 pep chromosome:AWHD00000000:3:30122921:30125838:-1 gene:ONIVA03G35060 transcript:ONIVA03G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQAAFAASMFPQLAQRRGSDRAVVVAPPAPAPVRVAMRSGGAAAAAARGVRCRASLIEPDGGRLVELVVPEEGGRREAARREAAALAHRVRLGRVETEWLHVLSEGWASPLRGFMREAEFLQALHFNAIRGGDGAMVNMSVPIVLPLGDAQRRAIEASGARRVALVDAADRPLAVLSDIEIYKHNKEERIARTWGTTAPGLPYVDEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRKRLLEMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHEKVLEEGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDADHGKKVLSMAPGLEKLNILPFKVAAYDTKQKKMDFFDPSRKDDFLFISGTKMRTLAKNRQSPPDGFMCPGGWKVLVEYYDSLTPSADSSKLREAVAA >ONIVA03G35050.1 pep chromosome:AWHD00000000:3:30113654:30121682:1 gene:ONIVA03G35050 transcript:ONIVA03G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGSGGGAEAHARSRKYDYVENSNLVLGSGSGSRPRGGADEHTGEPETLRGRIDPRSFGDRAVQAKPPVELPRRRKARDAADHDIGHRLDAKRRRRAASACTAQREVSVLPLIDDVVYRPRTKETRAAYEALLSVIQRQLGGQPPDVLGSAADEVLAILNNDKIKSPEKKRGIDKFLDPISDQMFHQLVSIGKLITDFHDTAVCDSASALDENFGVAVEFEQNEDDDGSDSDQVLDDLDEDDGDTMLNAAGAMQIGDELDDDDMHNSNEELAINAQDIDAYWLQRKVSQAYEDIDPQVSQELSLEIMSFLSESDDKDVENRLVTLLGYDNFDFIKLLVRNRLKIFWCTCLARAEGQEKRKMIEENMLSDPTLSPILEQLHATRVSAKDRLKNMEKSIRDEAKRLTEKQNAGINGARDQRVVKRDMESGWLKGQKQLLDLENLTFHQGGLLMVNKKCELPPGSFRTPHNGYEEVHVPALKAKPYETGEKVVKISDMPDWAQPAFAGMTQLNRIQSKVYDTALFKPDNIIHCAPTGAGKTNVAVLTILQQIGLHVKYGEFDNTKYKIVYVAPMKALVAEVVGNSSARLKEYNITVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRIYTQMVKLLIIDEIHLLHDNRGPVLESIVSRSVRQTETTKEHIRLVGLSATLPNYQDVAVFLRVHSGGLFHFDNSYRPCPLAQQYIGITVKKPFQRFQLMNQICYEKVMAAAGKHQVLIFVHSRKETAKTARAIKDIALSNNKLTCFPKVESASLEILADHANHVKSNDLKDLLPYGFGIHHAGLTRVDRELIEGLFADKHLQVLVSTATLAWGVNLPAHTVIIKGTQVNNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTEHSELQYYLSLMNQQLPIDSQFISRLADHLNAEIVLGTIQNVREACTWLGYTYLYIRMLRNPTLYGIPADIMETDNTLDERRVDLVHAAANILDWNNLIKYDRKTGYFQVTDLGRIASYYYVSHRTISTYNECLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLFNCVPIPVKESLDEPSAKINVLLQAYISRLELEGLSLSSDTVYIRQNAGRLLRALFEIVLKRGWAQLAEKALNLCKMIDKHIWNVQIPLHQFPSIPKEILMKLEKKELAWERYFDLSSQEIGELIRNPKMGMQLHKCVHQLPKLNLSAHVQPITPTVLGFELTITADFQWDDELHGYVEPFWLIVEDNGGDNILHHEYFMLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVSFRHLTLPEKYAPPTELIDLQPLPVTALRNARYESLYCAFKHFNPIQTQVFTASYNSDDSVLVAAPTGSGKTICAEFAILRNHQKAVSGDSNMRVVNKDWESKFGELARVVELTGETAADLKLLDKGEIIISTAEKWDALSRRWKQRKNVQQVSLFIFDELHLIGSENGHILEIIISRMRHMANHIGSNIRIVALSASLANGKDLGEWIGTSSHSLFNFPPAVRPLPLEIHFQGWDVAIFEARMQAMSKPTYTAITQHAKHGKPALVFVPTHKHARLTAVDLCAHSSAESGGTPFLLGSEDEMDTFTSGVNDEALKYTLKCGVGYLHEGLSDFDQELVTQLFLGGRIQVCVASSKMCWGRSLPSHLVVVMGTQYYDGRGNSHTDYPVTDLLQMMGHANRPLQDDSGKCVILCYAPRKEYYKKFLFEAFPIESHLQHFLHDHMNAEVVAGLIENKQDAVDYLTWTFMYRRLAKNPNYYNLQDVSHRHVSEHLSELVETVLNDLESTNCLAIEENIYLKTLNLGLIASYYYVTYTTIERFSSMLTQKTKLKGLLEILASASEYADLPSRPGEQKSIERLVHHQRFSVEKKVRYDDPHVKANALLQCHFSRRTVEGDLAADQREILLPAHRLLLALIDVISSNGWLTLALNAMELSQMVTQGMWDRDSVLLQLPHFTEELARRCQENEGKAIETIFDLAEMSTHEMQDLLQLPSSQLQDIVGFLRRFPNIDMAFQVLEGDGGSVTVQVTLEREMADLLQSEAGPVHAPRFPKPKEEGWWLVIGDRSTDQLLAIKRVKLQKRARVKLEFAAPAEAGRKDYKVYLMSDSYLGCDQEYEFAVDVKDAGGD >ONIVA03G35040.1 pep chromosome:AWHD00000000:3:30104143:30110289:1 gene:ONIVA03G35040 transcript:ONIVA03G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKEVVDFVVHGLPGLCSYDVVLSADWIQHRYTVLYIAGIILEDLHNTGGGACMEGLTSEQMVAFQEAFLLFDKNGDGCITLEELAAVTRSLGLEPTDQELNDMMREVDTDGNGIIDFQEFLSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPTELRTVMTNLGEKMTDEEVEQMIREADTDGDGQVNYDEFVIMMKNAERKISG >ONIVA03G35030.1 pep chromosome:AWHD00000000:3:30098433:30101808:1 gene:ONIVA03G35030 transcript:ONIVA03G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRGPCRQDTIAPTLHPPSPSLSAVSSTPDSGSEKVTMVPSLSSGLSAAAAFLIPVAVSSKASSPSSQGRSLIVANLPFHWKRRCRFKLIRQVT >ONIVA03G35030.2 pep chromosome:AWHD00000000:3:30098433:30101115:1 gene:ONIVA03G35030 transcript:ONIVA03G35030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRGPCRQDTIAPTLHPPSPSLSAVSSTPDSGSEKVTMVPSLSSGLSAAAAFLIPVAVSSKASSPSSQGRSLIVANLPFHWKRRCRFKLIRQVT >ONIVA03G35030.3 pep chromosome:AWHD00000000:3:30100001:30101808:1 gene:ONIVA03G35030 transcript:ONIVA03G35030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQLSEWLLVPSLSSGLSAAAAFLIPVAVSSKASSPSSQGRSLIVANLPFHWKRRCRFKLIRQVT >ONIVA03G35020.1 pep chromosome:AWHD00000000:3:30097933:30098490:-1 gene:ONIVA03G35020 transcript:ONIVA03G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGLARWCLGGTDLGDSCAGYGDGDGDPDQRRRTCGANEDCGDDGVGVDRGWLGAAAVEGERLRKRRWTIGGGRRAEARWERGERSRKEMGVRGNREITDEEITRGGRWERRDGEEIAIGVRQRSGWRGGGAASGFWGQRHGGGGGVRVRERSERVAHKLGAANQSGARAITRLMEVGGGGSI >ONIVA03G35010.1 pep chromosome:AWHD00000000:3:30093184:30097687:1 gene:ONIVA03G35010 transcript:ONIVA03G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04750) TAIR;Acc:AT3G04750] MASTAGAGRPPWDPTVSLRLGHPALVLLERCGGGARPFKAILAHMLRLRLAFETFPMSRLLHFATVSFPHHARAAETLFEHFTPRPNLYIYNLMLSSAAAAAAAASSSPSRRPAALYMSMLASSIHPDEQTFLSLLKSVDAERRSVGKQVHAHVVVTGLHSRVYLRNSLIKMYLDAGDVEAAEAMFRCAPTADAVSCNIMLSGYVKGGCSGKALRFFRGMASRGIGVDQYTAVALLACCGRLKKAVLGRSVHGVVVRRIGVADRGLILSNALLDMYAKCGEMNTAMRVFDEAGERDGISWNTMVAGFANAGLLDLASKYFGEVPARDIISWNALLAGYARYEEFSATMILFHDMLASSVIPDKVTAVTLISAVVGKGTLNSARSVHGWVVKEHGTQDSFLASALVDMYCKCGSIKLAYAVFEKAVDKDVTLWTAMISGLAFHGHGDVALDLFWKMQAEGTEPNGVTLVAVLSACSHAGLFDEGCKIFDTLKQRFNIEPGVEHFGCMVDLLARSGRLSDAVDLARRMPMKPSRSIWGSILSASSACQNTEVAEIASKELLCLEPAEEGGYVLLSNMYAAEGQWNYSNEVRENMERKGVRKSAELSMVTNFPYFSSPPEHIKCISALTICIIMLASPLGKCIRAVEKTDVLLNFHSLHTTPSILDKYKHS >ONIVA03G35010.2 pep chromosome:AWHD00000000:3:30093184:30097687:1 gene:ONIVA03G35010 transcript:ONIVA03G35010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04750) TAIR;Acc:AT3G04750] MASTAGAGRPPWDPTVSLRLGHPALVLLERCGGGARPFKAILAHMLRLRLAFETFPMSRLLHFATVSFPHHARAAETLFEHFTPRPNLYIYNLMLSSAAAAAAAASSSPSRRPAALYMSMLASSIHPDEQTFLSLLKSVDAERRSVGKQVHAHVVVTGLHSRVYLRNSLIKMYLDAGDVEAAEAMFRCAPTADAVSCNIMLSGYVKGGCSGKALRFFRGMASRGIGVDQYTAVALLACCGRLKKAVLGRSVHGVVVRRIGVADRGLILSNALLDMYAKCGEMNTAMRVFDEAGERDGISWNTMVAGFANAGLLDLASKYFGEVPARDIISWNALLAGYARYEEFSATMILFHDMLASSVIPDKVTAVTLISAVVGKGTLNSARSVHGWVVKEHGTQDSFLASALVDMYCKCGSIKLAYAVFEKAVDKDVTLWTAMISGLAFHGHGDVALDLFWKMQAEGTEPNGVTLVAVLSACSHAGLFDEGCKIFDTLKQRFNIEPGVEHFGCMVDLLARSGRLSDAVDLARRMPMKPSRSIWGSILSASSACQNTEVAEIASKELLCLEPAEEGGYVLLSNMYAAEGQWNYSNEVRENMERKGVRKSAGIIMLASPLGKCIRAVEKTDVLLNFHSLHTTPSILDKYKHS >ONIVA03G35000.1 pep chromosome:AWHD00000000:3:30078468:30078734:1 gene:ONIVA03G35000 transcript:ONIVA03G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVSDLATGSTPLEADGSIDPPPLSLSLLSVPTDSGSVLHKTGTINAATTGVTTINVATRMHIGSGSIIPEAGIVVVAAPEVVGRR >ONIVA03G34990.1 pep chromosome:AWHD00000000:3:30071866:30073973:-1 gene:ONIVA03G34990 transcript:ONIVA03G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GTH6] MAGADVDVGTELRLGLPGGGGGAAEAAAKAAKRGFEDTIDLKLKLPTAGMEEAAAGKAEAPAAEKAKRPAEAAAADAEKPPAPKAQAVGWPPVRSFRRNIMTVQSVKSKKEEEADKQQQQPAANASGSNSSAFVKVSMDGAPYLRKVDLKMYNSYKDLSLALQKMFGTFTATGNNMNEVNGSDAVTTYEDKDGDWMLVGDVPWQMFVESCKRLRIMKGSEAIGLAPRAKDKYKNKS >ONIVA03G34980.1 pep chromosome:AWHD00000000:3:30067370:30073558:1 gene:ONIVA03G34980 transcript:ONIVA03G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT5G43670) TAIR;Acc:AT5G43670] MDFAELEAVEGLRWPWHAWPPTASAAASLVVPTSVLCTPLHPAAPDLLPLLPYAPLRCGGGGGGGGCGAALNPFSRVHHATARWACPFCGASANPFPRLLAPDALPAELFPTHSSVEYALPPDAAEAGGGPGPPSVVFVVDAATSGPELAALKAEVLRVVQGLPEGVRVALVSFAASVWVHDLGFEGCTRVVVMNGERELESEKIQEFLGVRDARYNKLAMPRSTKVQRFLLPVSECEFNITSAIEDLRSMSACPRGHRPLRATGAAISTAVALLEGCCSPNAGGRIMVFTSGPTTVGPGLVVETDLGKAIRSHRDIFNGNAPLIDKAREFYKKVANRLTAHALVLDLFACSLDQVGAAELRYPIEVSGGLMVHTESFESEQFKSCLRHIFNREGVGYLNMNFNATIEIVTSREVKICGALGPCISLHRKNSSVSDKEIGEGGTNYWKMSTVDSKTCIVFFFRVDCSHNTEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAGPRSPEIAAGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSDGSEVIFTDDVSLQVFIEHLQELAVQG >ONIVA03G34980.2 pep chromosome:AWHD00000000:3:30067370:30073558:1 gene:ONIVA03G34980 transcript:ONIVA03G34980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT5G43670) TAIR;Acc:AT5G43670] MDFAELEAVEGLRWPWHAWPPTASAAASLVVPTSVLCTPLHPAAPDLLPLLPYAPLRCGGGGGGGGCGAALNPFSRVHHATARWACPFCGASANPFPRLLAPDALPAELFPTHSSVEYALPPDAAEAGGGPGPPSVVFVVDAATSGPELAALKAEVLRVVQGLPEGVRVALVSFAASVWVHDLGFEGCTRVVVMNGERELESEKIQEFLGVRDARYNKLAMPRSTKVQRFLLPVSECEFNITSAIEDLRSMSACPRGHRPLRATGAAISTAVALLEGCCSPNAGGRIMVFTSGPTTVGPGLVVETDLGKAIRSHRDIFNGNAPLIDKAREFYKKVANRLTAHALVGAAELRYPIEVSGGLMVHTESFESEQFKSCLRHIFNREGVGYLNMNFNATIEIVTSREVKICGALGPCISLHRKNSSVSDKEIGEGGTNYWKMSTVDSKTCIVFFFRVDCSHNTEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAGPRSPEIAAGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSDGSEVIFTDDVSLQVFIEHLQELAVQGSRGQRFTSCGEGAEHLLQSKGEVLVAVVHLQVHLAQVGCPVHADLHEGRAVAAAGIGSGLLLLLVSFLFLLALH >ONIVA03G34980.3 pep chromosome:AWHD00000000:3:30067370:30071422:1 gene:ONIVA03G34980 transcript:ONIVA03G34980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT5G43670) TAIR;Acc:AT5G43670] MDFAELEAVEGLRWPWHAWPPTASAAASLVVPTSVLCTPLHPAAPDLLPLLPYAPLRCGGGGGGGGCGAALNPFSRVHHATARWACPFCGASANPFPRLLAPDALPAELFPTHSSVEYALPPDAAEAGGGPGPPSVVFVVDAATSGPELAALKAEVLRVVQGLPEGVRVALVSFAASVWVHDLGFEGCTRVVVMNGERELESEKIQEFLGVRDARYNKLAMPRSTKVQRFLLPVSECEFNITSAIEDLRSMSACPRGHRPLRATGAAISTAVALLEGCCSPNAGGRIMVFTSGPTTVGPGLVVETDLGKAIRSHRDIFNGNAPLIDKAREFYKKVANRLTAHALVGAAELRYPIEVSGGLMVHTESFESEQFKSCLRHIFNREGVGYLNMNFNATIEIVTSREVKICGALGPCISLHRKNSSVSDKEIGEGGTNYWKMSTVDSKTCIVFFFRVDCSHNTEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAGPRSPEIAAGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSDGSEVIFTDDVSLQVFIEHLQELAVQGITTALERARKLHAMIIPSCVKTQPS >ONIVA03G34980.4 pep chromosome:AWHD00000000:3:30070582:30072585:1 gene:ONIVA03G34980 transcript:ONIVA03G34980.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT5G43670) TAIR;Acc:AT5G43670] MEPGDVPVASDLPVAVKVHLAQVGCPVHADLHEGRAVAAAGIGSGLLLLLVSFLFLLALH >ONIVA03G34970.1 pep chromosome:AWHD00000000:3:30041692:30044111:-1 gene:ONIVA03G34970 transcript:ONIVA03G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFGGDGTRAEDNNEGGIEGGGITGEIEGGGNGMTGGIEDGSGGVTGEIEGGSGDGDGEEGERRRRRRGRRAMTATATERRARGGGDGEEGGRR >ONIVA03G34960.1 pep chromosome:AWHD00000000:3:30036386:30044608:1 gene:ONIVA03G34960 transcript:ONIVA03G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISFSSMADSGRGRSTLWYRSISAAPAPAPAPPAPPARRRRGEVVEGIAKLHDAAAALSCPPLCRCRHVPSSPSLSPPALLSVAAAARPPLRRRRRHRAPSSSSPSPLAFLSVAVAAASLYFAGDTAAAILYSAGHAVATSLYFAGDAAALYSTFVVVLCSGAVTAKLPSQLQLESFVQDDSNIRIAIYSSNTRGIISQAQRSVQIFLV >ONIVA03G34950.1 pep chromosome:AWHD00000000:3:30028882:30036453:-1 gene:ONIVA03G34950 transcript:ONIVA03G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVERPRPESAIEENEIRITAQGLIRNYVSYATSLLQDRRIKEIVLKAMGQAISKSVAVAEIIKKRVPGLYQDTSISSVSITDVWEPIEEGLVPLEMTRHVSMISITLSPRDLDKNSPGYQTPVYVEQPRQQPRLQQAPPPPQRQVRQPPPDYEEEGGVDVEGVGVEEVMVVMVDMETIKVGTTKVVGTMITKVGMVAMIIREDMVAMIIKGDMVVVDMATTKADMETTKKMVDITEDGVACAGGAIGITVAGTNEAEVAVFLVEGDMAAAGGEEWVAVVDEETEDPSSEQMGEENPWATGGLQAKQTAIPTARAGRACVRTSPSKPSPSFCHSLLSSRLLPSAAAASSPTAPTLPVQALLTTAGLLPPRHRDLSLVALNSFLRVLSGRASSPAHPLLAFRLLLLMLSPASPLPPPDHLSFPFALSAAAAVSPSPGTQLHALLVKNGLFPSDHYVATALLQLHAARPDDARRVFDELPRREAIHYDLVIGAYARAGMAAEGLGVFRAMFMDGVAPDAVVLTTAITACAQAGALECGEWVHRYVERSAPGLLGDAFVGSALVSMYAKCGCLEQAVRVFDGMPERNDYVWGTMVGAFAVHGRAEEAVSCLDRMAREDGVRPDGVAVLGALSACAHAGKVDDGLRLLREMSRRYGVAPGHEHYACTVDMLCRVDRLEDAVALIETMPMAPLASVWGSVLTGCRTYANVELAEVAAAELGKLGAGPDEGVYVQLSNIYLDANRKDDARRVRKLIGSRGIRKVPAYSEVEVDGVVSSFVADDQAHPQRVEIWEVLRLLADQMGGELDEGETMAELS >ONIVA03G34940.1 pep chromosome:AWHD00000000:3:30023522:30028880:1 gene:ONIVA03G34940 transcript:ONIVA03G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRVHRCGGGAVAWSPSPIVALVASPCKSQVAAARADGSLELWLVSPGSVGWHHQLVRLPPPFTPPAAPRFLRANLGLCGDLIWRFCWVQTIQGDAESRVTSLVWARSGANGRLLSSSVDGSVAEWDLFHLRQKTVLDSVGVPVWQMAIEPSVDLMDSENKGSKVTANGHANGRTDSDSSSVDDGENTDDEDDSANTSLPYRANELQRLALACDDGSVRFYNVPESGALTYYRSLPRVSGRMLSVAWSNDAKFIFSGSSDGLIRCWDSTSFYEKYRITAGLGGAGSGNELCIWSLLFLRCGTLVSGDSSGSVQFWDSRHGTLLQAHTYHKGDVNALATVPGQNRVFSAGSDGQVILYKISKDELVADKEVAKEQVRKWVYVGYVRSHTHDVRALTMAVPICREDALPEEKTKKIRRREKPLEFSYHKWAHLGVPMLISGGDDTKLFAYSAREFTQFAPHNFCPAPQRPLINLARESIVNGDSVMLVQSANWLDVLLVVVQNKLTPSTSSRGDATVRHLARLKSKGSQKIISSATSTNGTMLAYSDCVKPCLFALRHKGGKKFTLDKLELPKGLPNSQCMLFSIDSSSLILAGRDGKIYAFVYSLLFLKANVLHFAKQVVDIATREISNVFQPMRKMDGASKEPPVTKMFLSADGQWLAAVNCSGDIYIFNLEVQRQHWFIPRMNDGSVTSGGFCPKNNALVITTSKNEVYVFDVEAKQLGDWSKRNTHHLPRRFQEFPGEVIGLSFPPLSSSSVVVYSARAMCFIDFGLPVVQDGQLPNGVVAEKIDSQKGSNKKLKRKAREEELRQEIRNNFDFFAFKDPVLFVGHLSDNSVLMVEKRWMDVVEGFGAPVHRHIYGT >ONIVA03G34930.1 pep chromosome:AWHD00000000:3:30015137:30019384:-1 gene:ONIVA03G34930 transcript:ONIVA03G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP glucose pyrophosphorylase large subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G19220) TAIR;Acc:AT5G19220] MAAMDLRVAAPASVAAAARCGTSLARPWPARAVGGGGGGGGRGRRLSVRTSVATTEAAAAAVGASEDAALEARDSKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLSRAYNFSNGVAFGDGFVEVLAATQTPGSEGKRWFQGTADAVRQFDWLFDDAKAKDIDDVLILSGDHLYRMDYMDFVQSHRQRGADISICCLPIDDSRASDFGLMKIDDTGRVIAFSEKPKGDDLKAMQVDTTVLGLPQDEAKEKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLSLAEQPPRFSFYDANKPMYTSRRNLPPSMINNSKITDSIISHGCFLDSCRIEHSVVGIRSRIGSNVHLKDTVMLGADFYETDLERGELLAEGKVPIGIGENTKIQNCIIDKNARIGKNVTISNSEMD >ONIVA03G34920.1 pep chromosome:AWHD00000000:3:30009934:30014471:-1 gene:ONIVA03G34920 transcript:ONIVA03G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPPADGRDPPADDGAAGDGAVESAAAEALLSAASEQLTLVYQGEVYVFDPVPPQKVQAVLLVLGGSDMPPGLVSMAVPTTFDEKSTTVAARRVASLMRFREKRKERCFDKKIRYSVRKEVAQKMKRRKGQFAGRADFGDGSCSSAPCGSTANGEDDHIRETHCQNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGTLRSPLNAPKMTVQHPADLSKTGDTDDSKANLCAEHNQTTMKTDTEMVPEQEQKADVLPPTKEEDSMATS >ONIVA03G34910.1 pep chromosome:AWHD00000000:3:30001209:30005395:-1 gene:ONIVA03G34910 transcript:ONIVA03G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:folate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G66380) TAIR;Acc:AT5G66380] MPPGASPPASAEAWTWENAAAGAAAGFATVATLHPLDVVRTRFQVSGGRGCYDLPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKQRYLQGKDDQLRPVHHLVSAAEAGALVCLFTNPIWLVKTRLQLQTPSHHTSRYSGFSDALRTILKEEGWLALYRGIGPGLLLVTHGAIQFTAYEELRKALIFAKSRQTRTDNRSCDDSLNSIDYAALGAGSKQRPGSDGTPKYKDSWHVVKETARHEGVRGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEKT >ONIVA03G34900.1 pep chromosome:AWHD00000000:3:29999295:30000033:1 gene:ONIVA03G34900 transcript:ONIVA03G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPWVLLPACGLLLHFANAAAVARRGAAAGLRGRWWSDGSAKGAGGARSGEAAGGWWNGGVLGQLLGMADWKLTGRGASVGCGGSHVPPMFRWWIRMERRTTAVKGSERKLSPILWASNGYAFKRGNPPEGIVEVPLPPRQEALSENLVQDFGRMMTASFGVATLMRALF >ONIVA03G34890.1 pep chromosome:AWHD00000000:3:29997822:29998136:-1 gene:ONIVA03G34890 transcript:ONIVA03G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVELLDMGVRVAARFHSHCPQTARMYYKPPQTTTTADGRRRRGDGAAGKQAAAGFDAVSSATAARPFAAAGEELGGFRATAPSGFDFEFDTAQAVVYDVVA >ONIVA03G34880.1 pep chromosome:AWHD00000000:3:29996163:29996687:-1 gene:ONIVA03G34880 transcript:ONIVA03G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12 family protein [Source:Projected from Arabidopsis thaliana (AT4G36420) TAIR;Acc:AT4G36420] MASRLLHLRRLLPSARPSAGGGGGGGAVAAFSTVTPTPRVSALVDEICGLTLIEASSLTDALRGRLGVDQLPPLAILTGGAAPLAGGGAATGAAGEEAKAKEEKMAFDVKLEGFDAAAKLKIIKELRAFTNLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRAIGAKIVLE >ONIVA03G34870.1 pep chromosome:AWHD00000000:3:29993311:29994832:1 gene:ONIVA03G34870 transcript:ONIVA03G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKLALPMALLLCGLMVIGSIQSAEAQGGKFCPQFCYDGLEYMTCPSTGSQHLKPACNCCIAGEKGCVLYLNNGQVINCT >ONIVA03G34860.1 pep chromosome:AWHD00000000:3:29990076:29992359:1 gene:ONIVA03G34860 transcript:ONIVA03G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSGSRASNQYTTTNSTLIKERQEARERRMASMKLSLAVVFLLSAVVVMSAMGGAEEARCSVVCIQGGYITCDNYPYQKLDGCACECAPKDGQNCVLHLEHGPPSNCPPQA >ONIVA03G34860.2 pep chromosome:AWHD00000000:3:29990076:29992561:1 gene:ONIVA03G34860 transcript:ONIVA03G34860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSWCSARWPGPRRRRRRTARRCAASREATSPARTTQGRSSTGASACARPPTASAASSTSTTAPPTSVARPTKLVLLLLLPQPRRRRLRRRRRRGSFASCSANVAPAYLTSCCYTTSHR >ONIVA03G34850.1 pep chromosome:AWHD00000000:3:29985573:29987632:1 gene:ONIVA03G34850 transcript:ONIVA03G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIKLSLTLVLLISGLVMLGKIESSEAAAAACNMLCARGTYITCCNRPGEALYGCACKCAPPGGKDCVVHYADGSTSKAIECAHCESTHCTATHMASIKLSLACVLLISGLVMLERIEHTEAVCTLFCAKGTYITCSNHPYEQLYGCACRCAPPDGVDCVVHLADGSTQQC >ONIVA03G34840.1 pep chromosome:AWHD00000000:3:29983741:29986024:-1 gene:ONIVA03G34840 transcript:ONIVA03G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPMPSALARGAVGVVDDAVLAARRCALAGAPVERLAWTVAAGDIFVILPDAVDMSKTIQLSREETPRVQRT >ONIVA03G34830.1 pep chromosome:AWHD00000000:3:29978203:29981390:-1 gene:ONIVA03G34830 transcript:ONIVA03G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQEPAPAANGAAPAPAPAAAAAAAGGKRRKRGRWAAAAGVGALLVALLAVAVSSRSFPAASSSSRGGDCGCPGTRKYTGMVEDCCCDYETVDAINEEVLHPILQELVTLPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPFKKPYSGLSPDSMICQEGKPQATVDRTLDAKVFKGWIETDNPWTYDDETDNAEMTYVNLQLNPERYTGYTGDSARRIWDSVYKENCPKYPSEEMCQEKKALYKLISGLHSSISVHIAYDYLLDESANLWGHNLPLLYDRVLKYPERVQNLYFTYLFVLRAVTKAADYLEQAEYNTGNPEEDLKTQSLVKQLLYNPKLRSACPLPFDEAKLWQGENGPELKQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGENHLNQSLQLQRNEVIALVNLLNRLSESVNFVHEKGPSIEDVIKQQSSSTVKPVFPI >ONIVA03G34820.1 pep chromosome:AWHD00000000:3:29973864:29974348:1 gene:ONIVA03G34820 transcript:ONIVA03G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLKLLLVLVLLVSSSDGSDASRQLKGDAIERSGAAAGEAVMMRAVMVSKAKAGHSGCTYDPNTTIGRRCRP >ONIVA03G34810.1 pep chromosome:AWHD00000000:3:29960683:29963728:-1 gene:ONIVA03G34810 transcript:ONIVA03G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSXT family protein [Source:Projected from Arabidopsis thaliana (AT5G28640) TAIR;Acc:AT5G28640] MQQQHLMQMNQGMMGGYASPTTVTTDLIQQYLDENKQLILAILDNQNNGKVEECARNQAKLQHNLMYLAAIADSQPPQTAAMSQYPSNLMMQSGARYMPQQSAQMMAPQSLMAARSSMMYAQPALSPLQQQQQQAAAAHGQLGMGSGGTTSGFSILHGEASMGGGGGAGNSMMNAGVFSDFGRGGGGGGGSGGKEGSTSLSVDVRGANSGAQSGDGEYLKGTEEEGS >ONIVA03G34800.1 pep chromosome:AWHD00000000:3:29948513:29949103:-1 gene:ONIVA03G34800 transcript:ONIVA03G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP (Cysteine-rich secretory proteins, Antigen 5, and Pathogenesis-related 1 protein) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66590) TAIR;Acc:AT5G66590] MAAASSRWQRMAAECFVLAALAMWAAPAACGARAVPRGAGAGVAAQQANASSSSSAAADEFLAPHNQARAAVGVAPLRWSGDLAAAAARTTSQQQGGQGRRCGFADMSGSPYGANQGWASYPARPAEVVASWVAQGRYYAHANNSCAPGQQCGTYTQVVWRRTAEVGCAQATCTTGATLTICLYNPHGNVQGQSPY >ONIVA03G34790.1 pep chromosome:AWHD00000000:3:29937697:29958197:1 gene:ONIVA03G34790 transcript:ONIVA03G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWMLPISISIPITGLLIHAASLPATEVLRRCLPTLRPRWLTARDLPTRAAALDRRLARPLASLRTPPPACGTHTGHALPAVSPPALGDWTTLPFAAANPPTTVDLLLTVAISTARQILAPPAVYSGGHSFPTHSPFVSPFCRVQGHHPIHSGGGGADGYFFVHREGDIRQACRRSKMASTHLTTCSASTRSSTKPEARHRTGPASPMRQSTPARCAAKSCELTALTRVNATAAVNTTVRSIVTNTCAPALVYFYRVAEATAGRRYSASSPDAIWGSYAILFGRSQKQTDNNAPATSTATATAAAKRPV >ONIVA03G34780.1 pep chromosome:AWHD00000000:3:29935133:29935387:-1 gene:ONIVA03G34780 transcript:ONIVA03G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAQGPLRARTKPAGRRGGAPPPAAEDPSRAAAAARRSVRKWSTWTMKTAKVAAYYGFIPLVIVIGMNSDPKPSIGQLLSPL >ONIVA03G34770.1 pep chromosome:AWHD00000000:3:29925062:29932366:-1 gene:ONIVA03G34770 transcript:ONIVA03G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain;Phox-like;Sorting nexin, C-terminal [Source:Projected from Arabidopsis thaliana (AT1G15240) TAIR;Acc:AT1G15240] MATAGGPGRAHTVRDLAEEGKKRAVLLLVFAFGLAFLMSLTSSSVWINLPFATALIVLFRYISLDYDFRRKSTTTTDNDASRALTKTKSIEPNKIPSIQKDGKSDWKSKVNSPPVEAAIEQFTRHLVTEWVTDLWYSRVTPDKEGPGELINIVNNVLGEISVRARNVNLIALLTRDLIDLICKNLELYHLCQAKIGKEKFVNLSTERRDAELKLTLITENKLHPALFSASAEHKILQSLADGLISVTAKPQDLQCYFFRCTARELLACAVMRPVVNLANPRFINERIELLVLSHANKAERGVAESLEHATMVKQREPPMPTVDELAALIDPTSSGVELVRFSQDQSKAAPDTQLSNTRHPSNLKPNSSSTSLTNSSHPLESSILSSTTHGHSNSSMSLHSQSSGRTTAECYEGEWAQTMDISSQRKSQALAPEHLENMWTKGKNYKSENVKHVARVPSKSSSLGTSPVQQSAPYSTSVGHYPSAPQRQTTMSRSDDQHLIKHSTTAAYLNGTNHLRMALSKESADHASQEDFGVDSESSYATEEDENNNVTGLDSPVTRVWDSKSKGNATSSHIHHPLESPGFHKAKKNRSHIGKLKMTKTSGRKRSRSNSQKPPVWQEVERSSLLVGDDLDILNTSADDSRTDGLYDDTEVECMSRMFSGANASSLSLASTDSSYSSNYSTTNVLEDSYLKLKCEVVGASIVKSGSGMFAVYSVSVTDANGNSWSIKRRFRHFEELHRRLKEYSQYNLHLPPKHFLSSGLEVPVVRERCKLLDIYLKKLLQIPTVSSCIEVWDFLSVDSQTYIFTDTLSVIQTLSVNLDERSKEKNTKSFNSSQALNGNLVSASQSLHVHKDDTMPKEKDKDFDAVDGLRSRKRNTEQNLGIGVGNTNANLHEDLSGSDPEQNEHSFIINSGNSKKTLSSETDYPPQSLESDGYSVAPNDLQDGGWIRRQAFWVVKQILQLGMGDTFDDWLVEKIQLLRKGRIVAFAVKRVEQRKAATPPPGSQSNGMANYLTDEQRLEDAHRAIFVHELMIEKAPSALVSLVGRKEYERCAQDVYFFLQSPVCLKQLAFEVLELLVLAAFPELDDIVKKWHEDKQQLYALE >ONIVA03G34760.1 pep chromosome:AWHD00000000:3:29923881:29924096:1 gene:ONIVA03G34760 transcript:ONIVA03G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRSVPLHECTWARGNNMLRLVQTGRVSVMSALQAQPKRPLQTGEVQRTIPVIVLAFSHEQYLFTTEYD >ONIVA03G34750.1 pep chromosome:AWHD00000000:3:29918437:29919372:-1 gene:ONIVA03G34750 transcript:ONIVA03G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSKARRHGVGVGVRLRQRLSQILLHSSCTTTSATAFVTNVAVAAGNAAAARQAPPPAAANDAHQPRPKIDGSVRRRRRRSARALVHISIDCSGPTSARSVGAAVMPSPVAPAKDVKAVIRSKARGGRPRSPSYSCSSSTVTDDELPPFSSSDGEGGEGAETRSSTLFSSLSISSDSTSDFYNSTGGGSKRHHKNPPRRVPRRAPPRGANAGDAKPHEDNKGGAKKADDKHGGGVVGVAAEGSMAVVKRSHNPYADFRSSMVEMVVERRICGADAMGDLLMSYLSLNSRRHHPAILAAFEDVWEAVFATP >ONIVA03G34740.1 pep chromosome:AWHD00000000:3:29908519:29909751:1 gene:ONIVA03G34740 transcript:ONIVA03G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11690) TAIR;Acc:AT4G11690] MWGTTAVAVRRLCAAGDVRSALAMLARGTKSGDAALDVTACTALVNGCCKGGDVAEARRVFDEMPLLGLAPNEVTYTALMHGYFTHGQREKGFALFEEMRRGGVEPNLYTYNCLIGEWCRTGEFERARSLFDEMPVRGIVRNVVSYNTLIAGLCRHGKLWDAAKLLDMMRTEGTRPSIITFNLLVDGYGKAGKMSNALPFFNQMKAAGFQPSAVTYNMLIAGFCRARDMTRANRALSDMKERGLEPTKVTYTILIDSFARENHMGKAFEILAGMEKAGLEVDAHTYGVLVRALCMEGNMKDARKLFQSMGEKGVEPSNVIYDMMIYGYGREGSSYKALKLIMEMRQKGLIPNSASYGLTIRVLCKDDKCQEAEALLDDMVRAGLQTSESICQALLDAKARLRGSANVSFV >ONIVA03G34730.1 pep chromosome:AWHD00000000:3:29904940:29906160:-1 gene:ONIVA03G34730 transcript:ONIVA03G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITHASSLSRFHPLLKKLIMEYSNDWDLQALVRSCGTAVADSEPEPPPAPSTTRRAEAETVVVGRAGGVPEFVGQPVRSAAASFYDLEYLDLYHELPRAPFLVTAPSTSRERGEGGEHEVLISFPAIASTSGQGRKQPGRKPGVRTARPKRSKKSQLKKVVCEVPVADGGVSTDLWAWRKYGQKPIKGSPYPRGYYKCSSLKACMARKMVERSPEKPGVLVITYIAEHCHAVPTQLNSLAGTTRNNKPASPDQQQQQQPSPGGASTDEAAAAAAKTEDSADTTCSMADDENDLWAPVEMDMDDFFGPFDDDLDHFLDDDAVLGRRLSL >ONIVA03G34720.1 pep chromosome:AWHD00000000:3:29900223:29901702:1 gene:ONIVA03G34720 transcript:ONIVA03G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMDKAKGFVAEKIAHIPKPEASLDSLSFKGMSRECITVHSNVNVSNPYDHRLPICELTYTLKCAGNVVASGTMPDPGWIAASDTTKLEIPAKIPYDFLISLVKDVGRDWDIDYQLDVGLTIDLPIVGNFTIPLSTSGEMKLPTLKDMF >ONIVA03G34710.1 pep chromosome:AWHD00000000:3:29898830:29899573:-1 gene:ONIVA03G34710 transcript:ONIVA03G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEPKKPKAKDYVDLVFDAAKVKAKAKAKAIDGDADVEIAKPAEQHGHGSRLLRRACCALGVVAAVAAVAMLVLSLTMLKVRDPTLSMDSVTVERFHVGFGTEASRPLRINVTLVAGIVIKNPNYESMRFGTSTTEFYVDGVPEYVGLGSAPPGEVAARGTSRVRVGMDVFVDRVGAAVVGEVLFGRGEVRLASHTAVDGRVSVLGGLYGRRAVRVAMRCRVVLRVSAAVVVAGSPSCVADFSSH >ONIVA03G34700.1 pep chromosome:AWHD00000000:3:29891917:29893492:1 gene:ONIVA03G34700 transcript:ONIVA03G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFRLLAARRRAVSTITAAAAAAPAASSDAGRDSEDEGPFFDLDFSSVRGASSSSGSMSGSDDSDDDCTDLDFIISLHRSRSASPSYDTLFFAAAASEPSTKASFQPSHHFCAKRRGGGGGGGGGLRMLSFGAKKAAFYGGRHSFARSSCSARSLRLFMESPADDDGEEVAEPRRAPPSRDVIRRYLTKISRRFRRTAPRAAGEARGLRRLRKSRSASAATSLSASSSAAAAPSGRDDSLLEKQDGIASAIAHCKESLHRASVSECDSSLSRSRSDPGKREADQSCH >ONIVA03G34690.1 pep chromosome:AWHD00000000:3:29880776:29886241:1 gene:ONIVA03G34690 transcript:ONIVA03G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNLFVSALSVGVGVGLGLASARWAAPGSGEGGGGAGIGVAELEAELRRLVVDGREGDVTFDEFRYYLSERTKEVLISAAFVHLKQADLSKHIRNLCAASRAILLSGPTEPYLQSLARALSHYYKAQLLILDVTDFSLRIQSKYGSSSKGLAQSQSISETTFGRMSDLIGSFTIFPKSAEPRESLQRQTSSADVRSRGSEASSNAPPLRKNASMSSDMSDVSSQCSAHSVSARRTSSWCFDEKVLIQSLYKVMVSVAENNPVILYIRDVDQLLHRSQRTYSLFQKMLAKLTGQVLILGSRLLDSDSDHTDVDERVSSLFPFHVDIKPPEEETHLDSWKTQMEEDTKKIQIQDNRNHIIEVLSANDLDCDDLSSICQADTMVLSNYIEEIIVSAVSYHMIHNKDPEYKNGKLVLSSKSLSHGLSIFQESGFGGKETLKLEDDLKGATGPKKSETEKSATVPLKDGDGPLPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIVFVDEVDSMLGQRARCGEHEAMRKIKNEFMSHWDGLLSKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTLDSRELILRTLLSKEKVAEDIDYKELATMTEGYSGSDLKNLCVTAAYRPVRELLKREREKEMERRANEAKEKAATAENSESPESKKEKENSENPESKEKEKERKENSENKEEKKENKQDNSKAEGGTEGTIDLRPLTMEDLRQAKNQVAASFATEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >ONIVA03G34690.2 pep chromosome:AWHD00000000:3:29880776:29887411:1 gene:ONIVA03G34690 transcript:ONIVA03G34690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNLFVSALSVGVGVGLGLASARWAAPGSGEGGGGAGIGVAELEAELRRLVVDGREGDVTFDEFRYYLSERTKEVLISAAFVHLKQADLSKHIRNLCAASRAILLSGPTEPYLQSLARALSHYYKAQLLILDVTDFSLRIQSKYGSSSKGLAQSQSISETTFGRMSDLIGSFTIFPKSAEPRESLQRQTSSADVRSRGSEASSNAPPLRKNASMSSDMSDVSSQCSAHSVSARRTSSWCFDEKVLIQSLYKVMVSVAENNPVILYIRDVDQLLHRSQRTYSLFQKMLAKLTGQVLILGSRLLDSDSDHTDVDERVSSLFPFHVDIKPPEEETHLDSWKTQMEEDTKKIQIQDNRNHIIEVLSANDLDCDDLSSICQADTMVLSNYIEEIIVSAVSYHMIHNKDPEYKNGKLVLSSKSLSHGLSIFQESGFGGKETLKLEDDLKGATGPKKSETEKSATVPLKDGDGPLPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIVFVDEVDSMLGQRARCGEHEAMRKIKNEFMSHWDGLLSKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTLDSRELILRTLLSKEKVAEDIDYKELATMTEGYSGSDLKNLCVTAAYRPVRELLKREREKEMERRANEAKEKAATAENSESPESKKEKENSENPESKEKEKERKENSENKEEKKENKQDNSKAEGGTEGTIDLRPLTMEDLRQAKNQVAASFATEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >ONIVA03G34680.1 pep chromosome:AWHD00000000:3:29851556:29853420:-1 gene:ONIVA03G34680 transcript:ONIVA03G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDSAQVAAGWPAAAREHEACPPPLVVGAPSHDADAQPEEEIPYSVSFSVPASPSGMHLGASVVRVHAAPPSVGEARIDMIHPAEPPPQMLWQQARFHSQPTLTVINGEAAAPVPRSDSTRDRRFDQFKTFSGRLERQFSSLRGMLPQEPAADIETADSKISEEEADGGEVPTADRYFAALEGPELDTLRTNEDVGVQATEVPVLPEDERWPFLLRFPISAFGMCLGVSSQAMLWKTLASEPSTAFLHISLDVNHVLWWVSVALMALVSAIYLLKVVFYFEAVRREFHHPIRVNFFFAPWIACLFLVKGLPRQVWTIHHVVWFLLMAPILLLDLKIYGQWMSGGERRLSKVANPSNHLAIVGNFVGALLGARMGLREGPIFFLAVGLVHYIVLFVTLYQRLPTNVQLPKELHPVFFLFIAAPSVASMAWARLTGEFDFGARIAYFVALFLYMSLAVRVNMFRGFRFSLAWWAYTFPMTSAAIATVLYASEVTNVATRAMAVGLSGIATVTVTGVLVTTMYHAFVRRDLFPNDVSIAITRRKPKFSKILAHLRSSGTDVKELVFSVSSKNGADDSASVSKASNCSSGDQSPVPHAGAGRGR >ONIVA03G34670.1 pep chromosome:AWHD00000000:3:29841045:29843332:1 gene:ONIVA03G34670 transcript:ONIVA03G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRHFVLESINANMGGPYGCFGSRITPETCWIHPRANHLCLLVDSKACKFPKLLFKLRSLKKLFLVPVEDMLLSADKRCDIKEVPLELCSCTSLIVLDFQATRIKNLPREFCNLNKLRYLNLSRTDLDSVPESIKDFHDLNYLNLSYTNISVVPNFLGVVTSLEVLDLSHCEKLVEIHSDLGNLVRMERLDFQGCYYLSRLPQGMSRMENLMYVNILECSSLTRMPPAIARLAKLQVLSAYIIGVTHESSISELKPLKKLKVLALDFLENVLQVQEAKDAILNDKHDLVSLSYQWNTYVENAEQIISYPGAKLPQWMTWREPYLKSLLHIKLFNMKACQKLPPLGQLPLLKTAEINGMSAVSIIDDAFYGDNGTFPSLEKLILSHMHNLEIWHHSERKDMFPRLCELTLIHCPKFEALCMELKHLQKLSLSMNNWLLYSRRGSFNGVARSVRSISLSLCQELTVSDGCKGLLELRHIQELEVCSCPELTSLPNGMRYLVSLHSLRVENCVRLESLPNWLQSFPCLTSLRMSDCPVLRSIPKGLRRRSDIQVNKWSLQYLYQSEEGKLNNCRFFSLISYVVSEDTDSYLTL >ONIVA03G34660.1 pep chromosome:AWHD00000000:3:29836852:29840869:-1 gene:ONIVA03G34660 transcript:ONIVA03G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAFLERATKRSETDSDPDAEMGAMRTMILKVVKDMSPSFCCNFIPWQDLVEVENQERKTFAAVDEPHLQLPSVSNFSPPKSSMTNKKTQGERSTLAARAMSSLSWRTQSSSVSHSTSCNPTFLAVDRSAAAATATATAIDHAGAACQCQQAMSMPPLLNRLDTVDRCFAVAALSTSDLGGIKRKLGREMRFLELWWDEIREKFYGEFGQTIEHVWFPIGEIRRQYRTLAASQDTVEILHLMERMRDTTHSLLKHNTRPGTGSGGDDEIAAELPRRDDDDELSLVSLRAHLTSIIRLLTDAIVHEDACEAKLLLDKIRADLGRLEGAFNDIHQSEKTIEGSFGAVQHLVDELLLDAAAAPVATSSRQDQLRCLITALSSVDREVAAITDRVNDTFRLSTAAAAAAAAARAASRKEVFDELVSSTGFVTPLRRPHCSKVHGCKIQPWVRVLLVACARRNAFLDLDANGMPRDDFARTRRACLREGRTVASGAGAGGFRRDVTTIYNVDRRYVDLDKSWFARKEELTTLQLGTWRDHGYDPRAHHVELINGELLRGIGACRNMRYLSFRGISRIEALPDSIGGLCSLIVLDLRSCHNLATLGEGIKSLVSLEYLDGIGKLSKLQVIKGFVVANSSSKDPCRLSELRALTRLRKLSIVIGRTARPEADEVTALASLPALRSLTMTWSGVSPAEQDGRDATDKVAFALPSELEKLDLRCFPLPDFPRWAEPHLLPRLEKLYVRGGMITGLGEGGGGSAVKVLRVRFLRHLDYSWEKLHDAYGKLEILEVCECSNVQAWPACRGGLGLWRKGEDC >ONIVA03G34650.1 pep chromosome:AWHD00000000:3:29831761:29834340:-1 gene:ONIVA03G34650 transcript:ONIVA03G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRPSPAAGGWAGVAGVGPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLENLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEVSTVELKVARLNQQILTCQIFTDRAGLRQQKIGGTTFKHHKHYILPSTGHKRTQAARLQTDNGQDSKPKPYPSAKTLSWHLSSENSISTTGAQKYTFTLGDTISSKPASNGSMYLLGKDIPASPMHKPLQPNGNTSFDAKKNVGSKDQPGFMHMSTFNALDKPRGREIQKVPVSTKSMLATLFIKHKSAKTRKASVSISGAISVDEQNVVILHQRPISSMLLLCYSASKKPRLSADNKIHIRNGAAGL >ONIVA03G34640.1 pep chromosome:AWHD00000000:3:29827393:29833022:1 gene:ONIVA03G34640 transcript:ONIVA03G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARASRHRQSPDQSQSQSPSPHHKHHHHHQTTRAPKPKPKPQPPPPPPPQQPRSQPPPPPRHQPQQAPQQAAAEDGVGRVLGRPMEDVRATYTFGRELGRGQFGVTYLATHKPTGRRYACKSIAARKLARPDDLDDVRREVHIMHHLTGHRNIIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRLYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLQGHIDFSSEPWPSISSGAKDLVKRMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRAGLPKLGTKISESELMQLMEAADVDGNGSIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGFITVDELEEALTKYDMGDEATIKEIIAEVDTDHDGRINYQEFVAMMKNNSPEIVPNRRRIGLCMGEAGVQLEFFYDR >ONIVA03G34640.2 pep chromosome:AWHD00000000:3:29827143:29833022:1 gene:ONIVA03G34640 transcript:ONIVA03G34640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARASRHRQSPDQSQSQSPSPHHKHHHHHQTTRAPKPKPKPQPPPPPPPQQPRSQPPPPPRHQPQQAPQQAAAEDGVGRVLGRPMEDVRATYTFGRELGRGQFGVTYLATHKPTGRRYACKSIAARKLARPDDLDDVRREVHIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRLYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLQGHIDFSSEPWPSISSGAKDLVKRMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRAGLPKLGTKISESELMQLMEAADVDGNGSIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGFITVDELEEALTKYDMGDEATIKEIIAEVDTDHDGRINYQEFVAMMKNNSPEIVPNRRRIGLCMGEAGVQLEFFYDR >ONIVA03G34630.1 pep chromosome:AWHD00000000:3:29822554:29825021:-1 gene:ONIVA03G34630 transcript:ONIVA03G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRFLFQEFSSVLSRSMPNRPTSAEGGGVSAINNVFNGALRRFSTVIPNNHGLPFSQAKCLNSIQSPNAATKANHPTIGVVPFASRTFFSYTKPYHLPSLGETNYLDYAAADFKAAAMVTSPKMKVGTSRNHEVG >ONIVA03G34630.2 pep chromosome:AWHD00000000:3:29822336:29825021:-1 gene:ONIVA03G34630 transcript:ONIVA03G34630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRFLFQEFSSVLSRSMPNRPTSAEGGGVSAINNVFNGALRRFSTVIPNNHGLPFSRVISVGCTRKQG >ONIVA03G34620.1 pep chromosome:AWHD00000000:3:29819650:29821916:1 gene:ONIVA03G34620 transcript:ONIVA03G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKRRCELKEHEFFHLVEEALKTADPVKARLVFEKRKELSDLVREYKANSGLKTANRRLNLAVIISAVVSGYFVAGMIASN >ONIVA03G34620.2 pep chromosome:AWHD00000000:3:29819650:29821916:1 gene:ONIVA03G34620 transcript:ONIVA03G34620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKRRCELKEHEFFHLVEEALKTADPVKARLVFEKRKELSDLVREYKANSGLLRCRHDRVELTKMRFRSTGDRYEKHATNLYDYPW >ONIVA03G34610.1 pep chromosome:AWHD00000000:3:29816053:29818161:-1 gene:ONIVA03G34610 transcript:ONIVA03G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQVGEAIGGVNALMAFHDDLRCINPRQCALLAHAYALAFRAVAGELRARLRFHDRLTKWKPLDDPLRELHRVVRDGEAYIRHCLLLDPAHWWARAAAATHGTECVEHHLHNLLWCVSVVVEAVENVGEVTGSDPDELARRRLALARDYDKDLLDPKLFRERLGETFLATRELAARMDMAWKEDRWLLSQLLDERKGPTSSPEPPLTRQEHRLADLLAAPRGKLHPASVLLMSDFHMRRRLGGNGNLKEVQWLGEAFAVKHVVGVDAEAAAAEVAALASVSPHPNVAHCRYCFHDEEKRELYMVMDQLMSKDLGSYVKEVNSAKRRAPLPLVVVVDTMLQIACGMAHLHSNKMYHGNLNPSNVLVKPRHGDAYLHVKVAGFVSGSGTTNAANPCIWCAPEVVGNEAAATEKGDVYSFGMICFELITGKIPFEDNHLQGENMSKNIRAGERPLFPFQSPKYLTSLTRRCWHGEAAQRPPFHSICRVLRYVKRFLVMNNPEQAAADAAGAGPAVDYLDMEAQLLRRFPEWEGNGVADVPFEMYAYRVMERDKMSNACRDRSSDSGSDGNSLWGDDSASGGSSTTATDASASSRPLLDRSGSTRSSPPPPRRKVAIAAAKAGKCRSGIVTRLKPSSKITASSMSVTCAGPPQKSRSMGTVRPPPVVARRTPRIKSDGHLNRAAIPPTRRRKSGGNASDSELA >ONIVA03G34600.1 pep chromosome:AWHD00000000:3:29811150:29816357:1 gene:ONIVA03G34600 transcript:ONIVA03G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenine nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G01100) TAIR;Acc:AT4G01100] MASEDVVGKSRGDTAVTTIVNLAEEAKLAREGVKGPGYQVLSICKSLFAGGVAGGVSRTAVAPLERMKILLQVQNPHSIKYNGTIQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASSGILWLYRQQTGNEDAQLSPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMFHALGSVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNPYDLGKDNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWNNAASIVTGEGKEALQYNGMIDAFRKTVRYEGVGALYKGLVPNSVKVVPSIAIAFVTYEFVQKVLGVEMRISD >ONIVA03G34590.1 pep chromosome:AWHD00000000:3:29795779:29796474:-1 gene:ONIVA03G34590 transcript:ONIVA03G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAPVEDGGGGGGEERATGRSSCHVAWLAWWREQVRCLVASTLLPCDADVLGLSSSRRPPPPTVVRGTLFLPSTGGDRRVRLFLHEHDPSPSPDENHQAILVLDLPPGLSGADIAAAGRVVLECQRQWNNGGGALLESAKWLVYCNGRRVGFAARRGEASDAEGWVLEKLWAVTAGAGRLPGGAGVEYMRGRFERTVASSDAESFHLVDPIGWLGFNGNDGLSIFFHRI >ONIVA03G34580.1 pep chromosome:AWHD00000000:3:29787278:29788909:-1 gene:ONIVA03G34580 transcript:ONIVA03G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERTWCLEIAQPEESDHHIKLDISIYTKACMKDNYSTEKQCEKEEEEEQKQGLFGCVHVRISVLLMRDFSLIGVSPAVKRQQDENTHIQDAELLVTCTFEKYQYSDLEIA >ONIVA03G34570.1 pep chromosome:AWHD00000000:3:29783271:29784649:-1 gene:ONIVA03G34570 transcript:ONIVA03G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFVLTVAAVGAAALLFTTDIRKSGAMFRRNARQIRAWLEEESASTASRSAKDAPPKKLNGDIPKEKPKEDDH >ONIVA03G34560.1 pep chromosome:AWHD00000000:3:29764785:29775114:1 gene:ONIVA03G34560 transcript:ONIVA03G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRSRRQQAFHPVAAEEEEEEGLVVVGRRSTADDGDSGAAGMGIAAPPCQPGQTTTFVISQPTSSKSSPAAIVVRPPATASSSSMSHSQGFHQPSSGAVFGFSSDGFDGRPGSGQDHQQHEQQQQQHVAQQSRRDKLRVQGFDPAAAAAGHGLLPIEGDEHGAEPGAMYDHAEAAAAGASNMLSEMFNFPSQPPTGPSATELLASQMNANYRFGFRQAAGLAGGEGGWFGGGGAAGRTGLVLGGASLGSLGETSSPKQQASGMAGLAADPAAAMHLFLMNPQQQQQQSRSSTSPPPSDAQSAIHQHHEAFQAFGGAGAAAFGGGAAAGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQQQQAAAAAASVQQQLPMALHGQVGVLGQQLHGGGYGGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGSGGRGSAPNNPNSSKAAAGAASSSGAAQSPSRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIAAQLRGTCEALGEKDAGTGSGLTKGETPRLRAIDQSLRQQRAFHHMGIMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECKELEGSSGAGDDPSGADDTHSPTTTAAAHHQHRHGQLMVEHGGASSGGGAAMSSHKHEPGVVAGPSSSSAAAVADAAFVGIDPVELLGGDGAAADDLYGRFDPAGAVRVRYGPAGAAAGGAAAAAGDVSLTLGLQHAGAGNAGPDGSGRFSLRDYSGC >ONIVA03G34550.1 pep chromosome:AWHD00000000:3:29762688:29764113:1 gene:ONIVA03G34550 transcript:ONIVA03G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDGRTDGWMDGMDGRMEPSPAADKPRYRVAGPPAPAARCGSDGAGEPPPLGSSQHDEERCNIRRPSKDYSSTDHVS >ONIVA03G34540.1 pep chromosome:AWHD00000000:3:29732090:29736059:-1 gene:ONIVA03G34540 transcript:ONIVA03G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFALPGHHLPLVLPPLPQPPATTTSLSLNHLAQRRRGTPRRHVVVVSSAGGGGSSSPPAPDDDPGNFDKHEYRRRMIRQGNYNRKSFGHEILGAINLEYTSELISEMERNGGEYVTMDGLVRLILAEAHGFCLGVDNAVRLAYDARVKFPDRRLWLTNQIIHNPTVSERLDEMGVKIIPVVSGVKDLSVVEDGDVVIFPAFGFTVDEMVTLNRKNVHIVDTTCPLVLKVVHMTERHIKGNYTTIIHGKYAHEETVATASFAGKYIIVKDITEAKYVCDYILEGQLDGSSSTKEKFLKKFRDAISPGFDPDIDLERVGVVNQTTMLKGETKEIGILIEQTMMSKYGLDQNNKQEHFVRVGTICNATQERQDAMYKLVEKEVDLILVVGGWNSSNTSHLQEIGELSGIPSYWVDGEQRIGPGNKISYKQKNGELVEKDKWLPHGAITIGVTSGASTPDKVVEDVLQKVFEIKRQELGEATEQ >ONIVA03G34530.1 pep chromosome:AWHD00000000:3:29726112:29728867:-1 gene:ONIVA03G34530 transcript:ONIVA03G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTQLRSALLSPAASPSRRARRAPSSVRCDSSAASSPSASASLDADFDKKQFRHNLTRSDNYNRKGFGHKKETLELMSQEYTSMISSPFPFFFFSLLDWGLTGGGVFLVSGDVIKTLKENGNQHTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPDDRIWLTNEIIHNPTVNKRLEDMGVQNIPVDAGIKDFDVVEQGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKGDYTSIIHGKYSHEETVATASFAGTYIIVKNIAEASYVCDYILGGQLDGSSSTKEEFLEKFKNAVSPGFDPDVDLVKVGIANQTTMLKGETEEIGKLVEKTMMRRFGVENVNDHFIAFNTICDATQERQDAMYQLVKEKVDLILVIGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNKISYKLNHGELVEKENWLPEGPITIGVTSGASTPDKVVEDALQKVFEIKRQEVLQAA >ONIVA03G34520.1 pep chromosome:AWHD00000000:3:29724040:29725865:1 gene:ONIVA03G34520 transcript:ONIVA03G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAGLRQHCALGRAIAVVAICLLVIVGTYLLSSPAAGDGDTEEEFFSVRRRRRSSVDAGDDDLEAAVRGAAYANGTLIVSVLNRAYADEDGGLLDLFLRSMREGEGTEQLIAHVLLVAMDRPAFLRCRRLGGVRCYQLPAAQDGADDLSSEQLYMSDGFIRMMWRRIRLLGDVLKLGYSFIFTDLDVMWLRNPLPRLEYRAEEEDLLISSDQFNGRPGDIAGNELNTGFFFVASNNRTAALFDEWHAARDRSAGMKEQDVLNDMKRRGALRRLGVRARVLDTARFSGFCQDSRDAREVATVHANCCRTMRAKVADLAAVLAAARRRLDGDGASPVLRWPPHSQCVKSWE >ONIVA03G34510.1 pep chromosome:AWHD00000000:3:29722077:29723550:1 gene:ONIVA03G34510 transcript:ONIVA03G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREVEAEKDEAGDLSLSGHSRRGAPTTRRWWPLDPGCSGRIWRGCLRAAPTDGVCRHRSMVLAGGGDGVGREREGGCGDPCAATARFSAAVSPRSAPLGRIWRLAVATTADDADGGLGGDVADGDSEAAAGSGGCSRRRWLASDDCRAKAQSWFLRVPTDGGGGFFIASLLEDVVLAFLTGRSHLGPFVGLVVVGHA >ONIVA03G34500.1 pep chromosome:AWHD00000000:3:29711982:29722031:1 gene:ONIVA03G34500 transcript:ONIVA03G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metalloendopeptidases;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G42620) TAIR;Acc:AT5G42620] MALPRNFHPTPRPFSPSGINSGRGRGPSRLNPPPLRLHLHTSPPPPPRVSASSILSLSSSRGVGLLLVVVAEPNPASICGEPEPAARRRVVRWCGGGQPGGPRLGGGAARRKEEGWWIRRGGGEVEEDGCGGGVARGAVNGSGEGGWGCGRPYMEDRASPGGGVCGRVLRLASVQIILILFLTQGACSSSRDGKISPPQGLDAGEKDIYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHERRENMERLRGRHLLGVSSWHASQKNVKKPIRIYLNYDAVGHSPDRDCKTVGDIVKLGEPPVPSIPGTPVCDPHGDPPLVGDCWYNCTVEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRSQVTSQILDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFVISPCNLWKGAYRCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPQTGGPVQFPGFNGELICPAYHELCNTVPVPVSGQCPKSCSFNGDCIDGTCHCFPGFHGHDCSRSEFYDLAQPSAQVMVYAKPMGSVNVKVDGLGLTAQQRFVMSNVVCTEESVIMKGSAILPSLSMCHDVLVRDADGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWLMTITSPGVSSL >ONIVA03G34500.2 pep chromosome:AWHD00000000:3:29711982:29722031:1 gene:ONIVA03G34500 transcript:ONIVA03G34500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:metalloendopeptidases;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G42620) TAIR;Acc:AT5G42620] MALPRNFHPTPRPFSPSGINSGRGRGPSRLNPPPLRLHLHTSPPPPPRVSASSILSLSSSRGVGLLLVVVAEPNPASICGEPEPAARRRVVRWCGGGQPGGPRLGGGAARRKEEGWWIRRGGGEVEEDGCGGGVARGAVNGSGEGGWGCGRPYMEDRASPGGGVCGRVLRLASVQIILILFLTQGACSSSRDGKISPPQGLDAGEKDIYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHERRENMERLRGRHLLGVSSWHASQKNVKKPIRIYLNYDAVGHSPDRDCKTVGDIVKLGEPPVPSIPGTPVCDPHGDPPLVGDCWYNCTVEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRSQVTSQILDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFVISPCNLWKGAYRCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPQTGGPVQFPGFNGELICPAYHELCNTVPVPVSGQCPKSCSFNGDCIDGTCHCFPGFHGHDCSRRSCPAKCTGHGICKANGICECESGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSAILPSLSMCHDVLVRDADGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWLMTITSPGVSSL >ONIVA03G34490.1 pep chromosome:AWHD00000000:3:29707970:29708664:-1 gene:ONIVA03G34490 transcript:ONIVA03G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKEEEYQMMCMALQNENRHYERFKIQSIVAIDEKFYFDISASELGVIKLNPNPTFTTIQVKTLKVSRNCWELAFPHLVVESRGRLYLVVYDRHCIRDMCLFKMDFSRLEWCSVDRLYDQIFFVGKLHFTASYCARQLGLKQGLPVCFSI >ONIVA03G34480.1 pep chromosome:AWHD00000000:3:29706419:29709277:1 gene:ONIVA03G34480 transcript:ONIVA03G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: photosynthesis; LOCATED IN: photosystem I, chloroplast, thylakoid membrane; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Photosystem I reaction centre subunit N (InterPro:IPR008796); /.../4 Blast hits to 34 proteins in 14 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 34; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G49975) TAIR;Acc:AT1G49975] MAQSQGKLVDTIRRPFTAASTFHRSATRHLQPLAMLAQRNGISRRGLLTFLTSTAAIPEAGESRKALLQEYLKKSKENKEKNDKERLDDYYKRNYRDYFGLIEGPARQKNEDELTESEKGILEWLDKNK >ONIVA03G34470.1 pep chromosome:AWHD00000000:3:29702671:29706009:1 gene:ONIVA03G34470 transcript:ONIVA03G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVASMDDVKDARPAALPPLQPQGRVFPTGMLKVFLGFLLLGVCLSAAGMYMARHTLAAAAPALFRPCLGAWGAAGAPPPPPPPPEGLERWTRGPDRVEHAMADGELLWRASFVPRVPGYPYRRVPKVAFMFLTRGPLPLAPLWERFFRGHDGLYSVYVHALPSYRANFTTDSVFYRRQIPSKVAEWGEMTMCDAERRLLANALLDISNEWFVLVSESCIPIFNFNTTYRYLQNSSQSFVMAFDDPGPYGRGRYNWNMTPEVELTQWRKGSQWFEVNRELAIEIVRDTLYYPKFKEFCRPHCYVDEHYFPTMLTIEAPQSLANRSITWVDWSRGGAHPATFGKGDITEEFLRRVQEGRTCLYNGQNSTMCFLFARKFAPSALEPLLELAPTVLGFG >ONIVA03G34460.1 pep chromosome:AWHD00000000:3:29677316:29677710:-1 gene:ONIVA03G34460 transcript:ONIVA03G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDREPVRGAKSSREPGRVVSDQQRWKALDESLDFLKLVDLLKNSDGLAPELQSLTTSIAMSSSSSLLFFLVPLVLNKIY >ONIVA03G34450.1 pep chromosome:AWHD00000000:3:29643692:29665833:-1 gene:ONIVA03G34450 transcript:ONIVA03G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoplasmic reticulum-type calcium-transporting ATPase 3 [Source:Projected from Arabidopsis thaliana (AT1G10130) TAIR;Acc:AT1G10130] MEDAYAKSVAEVLAAFGVDPTKGLSDEQVASGSKLLVKSCCWWSSMLGFTAKTSCPKKKNITNTPSVYMSVLILTDQARGTPFWKLVLKQFDDLLVKILIAAAVISFLLARMNGETGLAAFLEPSVIFLILAANAAVGVITETNAEKALEELRAYQADVATVLRNATELVPGDIVEVGVGCKVPADMRTIEMLSHQLRVDQAILTGESCSVAKELESTSTMNAVYQDKTNILFSNITNRDNLHLNEYGHFLLSIRFIAVILYLLSAFFASKGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKKHRFLKLHRTLFSFQVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPITDEYSISGTTFAPDGFIYDAGGLQLEFPPQSPCLLHIAMCSALCNESTLQYNPDKKCYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNRYWENQFRKISVLEFSRDRKMMSVLCSRKQQEIMFSKGAPESVMARCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPEGQQSLSYDDEANLTFIGLVGMLDPPREEVRNAIHSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLEDFTGYSYTASEFEGLPPLEKANALQRMVLFSSFTGCCRVEPSHKRMLVEALQLHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVSEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLVPVQLLWVNLVTDGLPATAIGFNKPDSNIMTVKPRKVNEAVVNGWLFFRYLIIGAYVGLATIAGFVWWFVYSEDGPRLPYSELARKPLLHALLLARKWDKMMWSNNHTSSYQQKTISLIKEIPSIGPQVNFDSCSTRQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLAIHPWSNLWLVGSIVLTMLLHISVLYIEPLSALFSVILIDEVLKFFSRSSRGRRFPLRLRRREILPKESRDN >ONIVA03G34440.1 pep chromosome:AWHD00000000:3:29611297:29640982:1 gene:ONIVA03G34440 transcript:ONIVA03G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELLTMAAHRVGRCMLLQPPRLATCVLFLLLPLLLPCSASSSVITHLPGFHGRLPFHLETGDRVVAAPPSPPLEAPVVAAVSRRAETGGLFNLRLGLDLGHRDLVHLRLGLLRAAARERQAAREWYVGVDEETGTELFYYFVESERSPSTGPVILWLTGGPGCSGFSGVVFEVGPMKYVLEPYNGSLPRLVYNQYSWTQMASILFLDTPVGSGFSYAHDPKGYNVGDISSSLQVVTFLKKWFNDHPRYLSNHFYVGGSSYAGKVIPIIMKFISEGIEQRQQPLVNLKAWHSVSFKQLLQGYIVGNPITGSKIDDNFKIPYSHGVGIISDQLYEAAVANCNGDYVTTTNELCAKALNAIDNLMSEVDYGNILDDKCVRATPKPINEVSRSRSLLEDYIRLSEPTSYRYYLSFLWMNNNLTREALKIKKGTVGEWIRCKTGLPYVQDVASSIKYHFDLTTGGYRALVFSGDHDLILPFLSTQAWIRSLNFSIVDEWRAWHVDGQAAGFTILYANNLTFATVKSNKRKPAATLLLDLLTMGSHRHRSAGQCSLVQPPRLITCLLLLLLLLLSPPALPCSASSSSVITHLPGFLGRLPFYLETGYIGIEEKTGTELFYYFVESETNPDTDPLVLWLVGGPRCSAFSGLAYEWLNDHPRYRSHNFYVGGASYAGKVVPVIVQYISEGCGNALQRRFCEPNKPILMSEVSDGNILEDKCVKAAPKPTIDVSASRALLEEYSRLSKPPIRPSMNCASYGYYLSYCWMNDNTTRDALKIKKGTIGEWLRCNRGVFPYAKDIPNALDYHFNLTTRGYRALVMSGDHDLKVPFLSTQAWIRSFNFFIVDDWRAWHVDGQAAGFTITYANNLTFATVKGGSHVATVNKPKESFAMGKRLLQLQPLRTCILFLLLLLLLLPCSASSSVITHLPGFHGRLRFYLETGYVSVDEETGTELFYYFVESERSPSTDPVILWLTGGPLCSGFTALVFEVGPMNFVLAPYNGSLPRLVNNQYSWTKIASIIFLDTPVGSGFSYARDPKGYNVGDISSSLQVVTFLKKWFNDHPSYLSNHFYVGGSSYAGKGYMVGSPLTDPKYDRNSIIPYAHGVGIISDQLYEAAVANCKGDYVNPTNEICANVLNAVDNLMSELDNGDILLDKCAGRLIPKPINGVSSRALLEEYSRLSEPTARPTINCFSYRFYLLNIWMNDKATRDALKIKKGTVGVWTRCNTEVFPYARDVPSTIQYHLNLTTRGYRALLESYSTSYNYYQLSWCSGDHDLMVPFLGTQAWIRSLNFTIIDDWRAWHLDGQAAGFTVMYDNNLTFATLKGSGHAPISYKPKQGFAMGQRWLDRKPL >ONIVA03G34440.2 pep chromosome:AWHD00000000:3:29611297:29640982:1 gene:ONIVA03G34440 transcript:ONIVA03G34440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELLTMAAHRVGRCMLLQPPRLATCVLFLLLPLLLPCSASSSVITHLPGFHGRLPFHLETGYVGVDEETGTELFYYFVESERSPSTGPVILWLTGGPGCSGFSGVVFEVGPMKYVLEPYNGSLPRLVYNQYSWTQMASILFLDTPVGSGFSYAHDPKGYNVGDISSSLQVVTFLKKWFNDHPRYLSNHFYVGGSSYAGKVIPIIMKFISEGIEQRQQPLVNLKAWHSVSFKQLLQGYIVGNPITGSKIDDNFKIPYSHGVGIISDQLYEAAVANCNGDYVTTTNELCAKALNAIDNLMSEVDYGNILDDKCVRATPKPINEVSRSRSLLEDYIRLSEPTSYRYYLSFLWMNNNLTREALKIKKGTVGEWIRCKTGLPYVQDVASSIKYHFDLTTGGYRALVFSGDHDLILPFLSTQAWIRSLNFSIVDEWRAWHVDGQAAGFTILYANNLTFATVKSNKRKPAATLLLDLLTMGSHRHRSAGQCSLVQPPRLITCLLLLLLLLLSPPALPCSASSSSVITHLPGFLGRLPFYLETGYIGIEEKTGTELFYYFVESETNPDTDPLVLWLVGGPRCSAFSGLAYEWLNDHPRYRSHNFYVGGASYAGKVVPVIVQYISEGCGNALQRRFCEPNKPILMSEVSDGNILEDKCVKAAPKPTIDVSASRALLEEYSRLSKPPIRPSMNCASYGYYLSYCWMNDNTTRDALKIKKGTIGEWLRCNRGVFPYAKDIPNALDYHFNLTTRGYRALVMSGDHDLKVPFLSTQAWIRSFNFFIVDDWRAWHVDGQAAGFTITYANNLTFATVKGGSHVATVNKPKESFAMGKRLLQLQPLRTCILFLLLLLLLLPCSASSSVITHLPGFHGRLRFYLETGYVSVDEETGTELFYYFVESERSPSTDPVILWLTGGPLCSGFTALVFEVGPMNFVLAPYNGSLPRLVNNQYSWTKIASIIFLDTPVGSGFSYARDPKGYNVGDISSSLQVVTFLKKWFNDHPSYLSNHFYVGGSSYAGKGYMVGSPLTDPKYDRNSIIPYAHGVGIISDQLYEAAVANCKGDYVNPTNEICANVLNAVDNLMSELDNGDILLDKCAGRLIPKPINGVSSRALLEEYSRLSEPTARPTINCFSYRFYLLNIWMNDKATRDALKIKKGTVGVWTRCNTEVFPYARDVPSTIQYHLNLTTRGYRALLESYSTSYNYYQLSWCSGDHDLMVPFLGTQAWIRSLNFTIIDDWRAWHLDGQAAGFTVMYDNNLTFATLKGSGHAPISYKPKQGFAMGQRWLDRKPL >ONIVA03G34440.3 pep chromosome:AWHD00000000:3:29611297:29640982:1 gene:ONIVA03G34440 transcript:ONIVA03G34440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELLTMAAHRVGRCMLLQPPRLATCVLFLLLPLLLPCSASSSVITHLPGFHGRLPFHLETGYVGVDEETGTELFYYFVESERSPSTGPVILWLTGGPGCSGFSGVVFEVGPMKYVLEPYNGSLPRLVYNQYSWTQMASILFLDTPVGSGFSYAHDPKGYNVGDISSSLQVVTFLKKWFNDHPRYLSNHFYVGGSSYAGKVIPIIMKFISEGIEQRQQPLLQGYIVGNPITGSKIDDNFKIPYSHGVGIISDQLYEAAVANCNGDYVTTTNELCAKALNAIDNLMSEVDYGNILDDKCVRATPKPINEVSRSRSLLEDYIRLSEPTSYRYYLSFLWMNNNLTREALKIKKGTVGEWIRCKTGLPYVQDVASSIKYHFDLTTGGYRALVFSGDHDLILPFLSTQAWIRSLNFSIVDEWRAWHVDGQAAGFTILYANNLTFATVKSNKRKPAATLLLDLLTMGSHRHRSAGQCSLVQPPRLITCLLLLLLLLLSPPALPCSASSSSVITHLPGFLGRLPFYLETGYIGIEEKTGTELFYYFVESETNPDTDPLVLWLVGGPRCSAFSGLAYEWLNDHPRYRSHNFYVGGASYAGKVVPVIVQYISEGCGNALQRRFCEPNKPILMSEVSDGNILEDKCVKAAPKPTIDVSASRALLEEYSRLSKPPIRPSMNCASYGYYLSYCWMNDNTTRDALKIKKGTIGEWLRCNRGVFPYAKDIPNALDYHFNLTTRGYRALVMSGDHDLKVPFLSTQAWIRSFNFFIVDDWRAWHVDGQAAGFTITYANNLTFATVKGGSHVATVNKPKESFAMGKRLLQLQPLRTCILFLLLLLLLLPCSASSSVITHLPGFHGRLRFYLETGYVSVDEETGTELFYYFVESERSPSTDPVILWLTGGPLCSGFTALVFEVGPMNFVLAPYNGSLPRLVNNQYSWTKIASIIFLDTPVGSGFSYARDPKGYNVGDISSSLQVVTFLKKWFNDHPSYLSNHFYVGGSSYAGKGYMVGSPLTDPKYDRNSIIPYAHGVGIISDQLYEAAVANCKGDYVNPTNEICANVLNAVDNLMSELDNGDILLDKCAGRLIPKPINGVSSRALLEEYSRLSEPTARPTINCFSYRFYLLNIWMNDKATRDALKIKKGTVGVWTRCNTEVFPYARDVPSTIQYHLNLTTRGYRALLESYSTSYNYYQLSWCSGDHDLMVPFLGTQAWIRSLNFTIIDDWRAWHLDGQAAGFTVMYDNNLTFATLKGSGHAPISYKPKQGFAMGQRWLDRKPL >ONIVA03G34430.1 pep chromosome:AWHD00000000:3:29599775:29600233:1 gene:ONIVA03G34430 transcript:ONIVA03G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGTRGMRALAILGRCVRAPFRVLVRARDLYVSRMAACAGGGGGGAPVGLVAVPRCQSHGFYRSAAGGSTDDDIRELIRLASRAGGPPRPPGVGPRSQSVAIGRIDEDEPCEFGLDAEARALAMAPKSKSCTVGPTARTAHRVGPVA >ONIVA03G34420.1 pep chromosome:AWHD00000000:3:29592412:29595051:-1 gene:ONIVA03G34420 transcript:ONIVA03G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLNAGFLWSQLQFGAEAAGTTVFTLRNNYTYIVWVTTLSGNTAVGGCWGRRLRAVAQRQRLIPSPGWLGWIDQWSHGGIMVVRRPSSSSVARAVVLLAAAMLLSTLSPCCCASAGGGGGARRREKQQQLHPVILIPGAGGNQLEARLTEEYAPSSLACRVWPVVRGRGGWFRLWFDPSVVVAPLTRCFADRMTLFYDSVADDYRNAPGVETRVSDFGSTSTLRYLDPNLKLLTGYMNVLASTLEKAGYEEGFDLFGAPYDFRYGLAGPGHPSRVGSAYLERLRKLVESACAANGGRPAILVAHSLGGLYALQMVARSPPAWRAANVKRLVTLSAPWGGSVQEMLTFASGNTLGVPFVDASLIRDEQRTAESNLWLLPAPRVFGNTTLVVSRHHNRSYSAKNMTQFLRDIGFAEGVEPYRERIRPLVEVLPEPGVPVTCLVGTGVDTVESLVYGEGGFEAGPEKVVYGDGDGTVNLDSLVGPIKAWSDSPEQVVEVVELPEVSHSGILKDKSALDQILRIIDAINLNATTSSSSINRSSQDVLYN >ONIVA03G34410.1 pep chromosome:AWHD00000000:3:29585169:29589586:-1 gene:ONIVA03G34410 transcript:ONIVA03G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSLATSLNIPEDDPGADDDPDSYAAAAAPSPSARNPHPPQSVHPHPPHSAAAEGVKEDLTELSKTLTRQFWGVANFLAPPPGETSPSPSPPSAEARYGGGTAQTPPEISGIRSDFAEIGGRFKSGISRISNHKAMSGFSRIASNFFADEDEEEEELVDAVRGYGVEEQREELRFRAEEVATDRVRHEADDYEVRHGWEESVRHRVDGDEARHEVDDDEGRHDEWEERLKHKADGGEVMHKELDDHELELETVRHEEEEEEVEEEWDVIGITEEVLAFATNIARHPETWLDFPLLPDDDDSDGPFSYFDMSNAQQEHALAIEQLAPRLAALRIELCPIHMSEECFWKIYFVLLHPRLNKHDAELLSTPQIVEARAMLMQCLQHQSKFETEQLFHRKDDFGMHSEEDTSKDIPEVFPSMLQQTASVIPITDFETEKHPIQVTEVAVVDKSVIKEQLTKDGSKTPNVLQESFDDDIDDWFDEEAELSGHTTIPIGDEEDVSFSDLEDDDGK >ONIVA03G34400.1 pep chromosome:AWHD00000000:3:29582101:29585749:1 gene:ONIVA03G34400 transcript:ONIVA03G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 1 [Source:Projected from Arabidopsis thaliana (AT5G65890) TAIR;Acc:AT5G65890] MKYVSGPYFEPDFDPLLYRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDGKNTTSFGSLFHVTDQMGRKLTDPSLPEFIQRALVPCQRPGGNGPSPRFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITQVLADNGCHVASGQAWTHSGRAAGVLYVTTAGGGAAAEAAAPAPSRWEHIEGLVDAVMGAREKLTGERHWVSMSAPVEGRVHTERRLHQLMHDDRDYESGPAATPVDEEHFSMGDKAATTARLARRVETRVSIESWEERGYAVVKMTSRDRPKLLFDTVCALTDMHYVVFHATVGSQGPLAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRASHGAKVEVRAADRSGLLSDFTRMLREHGLSLLRVELKRRKDEAVGTFYLVTDAGGEVRAEALHAVRARVGKVGISFEVAKDAPGWPPVRKTSVPAPPAEAAPAVATPAAPPAAEGQERPRSSLGSLLWSHLGKLSNNFGYIKS >ONIVA03G34400.2 pep chromosome:AWHD00000000:3:29582101:29585749:1 gene:ONIVA03G34400 transcript:ONIVA03G34400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 1 [Source:Projected from Arabidopsis thaliana (AT5G65890) TAIR;Acc:AT5G65890] MKYVSGPYFEPDFDPLLYRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLMGRKLTDPSLPEFIQRALVPCQRPGGNGPSPRFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITQVLADNGCHVASGQAWTHSGRAAGVLYVTTAGGGAAAEAAAPAPSRWEHIEGLVDAVMGAREKLTGERHWVSMSAPVEGRVHTERRLHQLMHDDRDYESGPAATPVDEEHFSMGDKAATTARLARRVETRVSIESWEERGYAVVKMTSRDRPKLLFDTVCALTDMHYVVFHATVGSQGPLAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRASHGAKVEVRAADRSGLLSDFTRMLREHGLSLLRVELKRRKDEAVGTFYLVTDAGGEVRAEALHAVRARVGKVGISFEVAKDAPGWPPVRKTSVPAPPAEAAPAVATPAAPPAAEGQERPRSSLGSLLWSHLGKLSNNFGYIKS >ONIVA03G34400.3 pep chromosome:AWHD00000000:3:29581478:29583962:1 gene:ONIVA03G34400 transcript:ONIVA03G34400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 1 [Source:Projected from Arabidopsis thaliana (AT5G65890) TAIR;Acc:AT5G65890] MKYVSGPYFEPDFDPLLYRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDVFHVTDQMGRKLTDPSLPEFIQRALVPCQRPGGNGPSPRFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITQVLADNGCHVASGQAWTHSGRAAGVLYVTTAGGGAAAEAAAPAPSRWEHIEGLVDAVMGAREKLTGERHWVSMSAPVEGRVHTERRLHQLMHDDRDYESGPAATPVDEEHFSMGDKAATTARLARRVETRVSIESWEERGYAVVKMTSRDRPKLLFDTVCALTDMHYVVFHATVGSQGPLAIQVHRLYAASLSTATPS >ONIVA03G34390.1 pep chromosome:AWHD00000000:3:29573934:29578309:1 gene:ONIVA03G34390 transcript:ONIVA03G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGVATSRRTRRAAEQEVQPPPLFAANPIFLCEDDGSAGRLIKPGGAVRGYGPGSLHALVRGRGRDVAADDDDDDGGNKLLPLPLPPCRAHREGRGGTRVPTAWHVGPTIVVGPHGAVVRIRAPPPPQQHDPFLAAYVACTKGRAGAGNKKKKKKAKAAARGGCGMWNGWASGARYARVMSCRHGGAVTVLQGATPLPAVAGYAESPAHPTLDLSRLPAVLPGRRRGEEVRIQGDEFWHMTRVLRLGINDRVELFDGAGGLVEGSIQKVDKGGSDVKLLEDARLIAPDGIQWHVYAAFGTLKGGRADWLVEKCTELGACSVTPLLTERCHTVAENRVDRLQRLVLAAVKQCQRIHGMLLNPPIQIADLQPVVSQSKLAFVASAESPPLLSTLPKSCNEESGLLIVGPEGDFTEEEVNVLKASGAVPVGLGPCRLRVETATISLLSALMLWSDAHRQETLKCR >ONIVA03G34380.1 pep chromosome:AWHD00000000:3:29568501:29572358:1 gene:ONIVA03G34380 transcript:ONIVA03G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDGGGGGELGGGGLLGSRLMKHGRGNAGDQEHGWRPPAKQARGGDASSAAAAVAAAAAVSEAVKVAAPFLLGASCSPGHGGEQMLSFSSSASSCSSGGGGAAVAAAAAAGGAMPLYYGTPASCSGLSSVSLSSSMQGAMARVRGPFTPSQWIELEHQALIYKYLAANSPVPHSLLIPIRRSLTSPYSPAYFGSSTLGWGSFQLGYSGSADPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGHAAKAMPAAVAAAAASATQPSTPAAHSGGAVAGLAINHQHQQMKNYAANTANPCSLQYSRDLANKHNESEQVQDSDSLSMLTSISTRNTGSLFPFSKQHNPFEVSNSRPDFGLVSPDSLMSSPHSSLENVNLLTSQSLNEQQSSVSLQHFVDWPRTPAQGALAWPDAEDMQAQRSQLSISAPMASSDLSSASTSPIHEKLMLSPLKLSREYSPIGLGFAANRDEVNQGEANWMPMFRDSLMGGPLGEVLTKNNNMEARNCLSESLNLLNDGWDSSSGFDSSPVGVLQKTTFGSVSSSTGSSPRLENHSVYDGNSNLRDDLGSVVVNHPSIRLV >ONIVA03G34370.1 pep chromosome:AWHD00000000:3:29544741:29547552:-1 gene:ONIVA03G34370 transcript:ONIVA03G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPSRTHASSGGGKATASAAKARAAAASKVRATAAAASKVQATSSATKARAAAAAAEAGGGGVGEAEEGEEEEEAAETRGPRGCARGGGDGAGDGAPGRTQHLALDM >ONIVA03G34360.1 pep chromosome:AWHD00000000:3:29537363:29543674:-1 gene:ONIVA03G34360 transcript:ONIVA03G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVASLSRAALAGAPAATRATASRVNRAVVPAASRPRGGRLCCRRSLTAVSAAAGASPPVSPSPSPDGGSPGVWDALGGVSVLAAGTGEAVQLRDLWDPTEESMAKFDAAGAKLIAIGVGTPDKARILADGLPFPVDSLYADPERKAYDVLGLYHGLGRTLISPAKMYSGLNSIKKVTKNYTLKGTPADLTEGKSCCTHGKTKARVIMLLWMMSSTLAAIKLLEGFATSHAAMAAAAASTSLPVPRVSLPPSARPAAAPRHGLLIPGRRGCFRLRGSPAAPAAAASGSPSVPSSSPEAGSGIGDALGGVAIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDSAGVKLIAVGVGTPDKARILAERLPFPLDYLYADPERKAYDLLGLYFGIGRTFFNPASASVFSRFDSLKEAVKNYTIEATPDDRASVLQQGGMFVFRGKELIYARKDEGTGDHAPLDDVLNICYYELVNYIS >ONIVA03G34360.2 pep chromosome:AWHD00000000:3:29538527:29543674:-1 gene:ONIVA03G34360 transcript:ONIVA03G34360.2 gene_biotype:protein_coding transcript_biotype:protein_coding METVASLSRAALAGAPAATRATASRVNRAVVPAASRPRGGRLCCRRSLTAVSAAAGASPPVSPSPSPDGGSPGVWDALGGVSVLAAGTGEAVQLRDLWDPTEGVAVVALLRHFGCFCCWELASVLKESMAKFDAAGAKLIAIGVGTPDKARILADGLPFPVDSLYADPERKAYDVLGLYHGLGRTLISPAKMYSGLNSIKKVTKNYTLKGTPADLTEGKSCCTHGKTKARVIMLLWMMSSTLAAIKLLEGFATSHAAMAAAAASTSLPVPRVSLPPSARPAAAPRHGLLIPGRRGCFRLRGSPAAPAAAASGSPSVPSSSPEAGSGIGDALGGVAIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDSAGVKLIAVGVGTPDKARILAERLPFPLDYLYADPERKAYDLLGLYFGIGRTFFNPASASVFSRFDSLKEAVKNYTIEATPDDRASVLQQGGMFVFRGKELIYARKDEGTGDHAPLDDVLNICCKAPAA >ONIVA03G34360.3 pep chromosome:AWHD00000000:3:29538527:29543674:-1 gene:ONIVA03G34360 transcript:ONIVA03G34360.3 gene_biotype:protein_coding transcript_biotype:protein_coding METVASLSRAALAGAPAATRATASRVNRAVVPAASRPRGGRLCCRRSLTAVSAAAGASPPVSPSPSPDGGSPGVWDALGGVSVLAAGTGEAVQLRDLWDPTEESMAKFDAAGAKLIAIGVGTPDKARILADGLPFPVDSLYADPERKAYDVLGLYHGLGRTLISPAKMYSGLNSIKKVTKNYTLKGTPADLTEGKSCCTHGKTKARVIMLLWMMSSTLAAIKLLEGFATSHAAMAAAAASTSLPVPRVSLPPSARPAAAPRHGLLIPGRRGCFRLRGSPAAPAAAASGSPSVPSSSPEAGSGIGDALGGVAIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDSAGVKLIAVGVGTPDKARILAERLPFPLDYLYADPERKAYDLLGLYFGIGRTFFNPASASVFSRFDSLKEAVKNYTIEATPDDRASVLQQGGMFVFRGKELIYARKDEGTGDHAPLDDVLNICCKAPAA >ONIVA03G34350.1 pep chromosome:AWHD00000000:3:29532536:29534236:-1 gene:ONIVA03G34350 transcript:ONIVA03G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSDPVLVGVTDEGVPLEGVIQFDKPGDAASESKLVSYAKLGLLASGDVLCLLVFSAIGRFSHGLPVLDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGNAVVVAAKSWAVGIPLGLAIRALSSGHIPPTPFILVAMGSTWVLLTGWRALVSLLFSTGQSQQDDVYRRGSPFELFEVKKVLQIIGIFFYTCN >ONIVA03G34350.2 pep chromosome:AWHD00000000:3:29532536:29534236:-1 gene:ONIVA03G34350 transcript:ONIVA03G34350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSVSARRLPSKQPGRHGGSGSSSVPNPYPLFATTRLLPHRRRRRLALSGADARRGALAAAGEGPSGSPATTTATEDPVLVGVTDEGVPLEGVIQFDKPGDAASESKLVSYAKLGLLASGDVLCLLVFSAIGRFSHGLPVLDAETFKTADPFIAGWLLSAYLLGGFGDDAKGRNGVGNAVVVAAKSWAVGIPLGLAIRALSSGHIPPTPFILVAMGSTWVLLTGWRALVSLLFSTGQSQQDDVYRRGSPFELFEVKKVLQIIGIFFYTCN >ONIVA03G34350.3 pep chromosome:AWHD00000000:3:29532536:29534236:-1 gene:ONIVA03G34350 transcript:ONIVA03G34350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSVSARRLPSKQPGRHGGSGSSSVPNPYPLFATTRLLPHRRRRRLALSGADARRGALAAAGEGPSGSPATTTATEDPVLVGVTDEGVPLEGVIQFDKPGDAASESKLVSYAKLGLLASGDVLCLLVFSAIGRFSHGLPVLDAETFKTADPFIAGQENVTAFSPCLSFFGGRIAYRMGCCAGWLLSAYLLGGFGDDAKGRNGVGNAVVVAAKSWAVGIPLGLAIRALSSGHIPPTPFILVAMGSTWVLLTGWRALVSLLFSTGQSQQDDVYRRGSPFELFEVKKVLQIIGIFFYTCN >ONIVA03G34340.1 pep chromosome:AWHD00000000:3:29527966:29530063:-1 gene:ONIVA03G34340 transcript:ONIVA03G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-dependent gravitropism-deficient and yellow-green-like 3 [Source:Projected from Arabidopsis thaliana (AT1G17870) TAIR;Acc:AT1G17870] MSSSSLVTSLLFSSSSSSNTATSTSSRRSFSLFSKNQYCKPSPLRRSSSLLLVRCSLQQQQEEKAAPAAESHHAGGGQDDAATASHHAVEGENGVADADGGGVKKSKEELEEEEQQEVDWRSDEEFKRFMGNPSIEAAIKLEKKRADRKLRELDREPDANPLAGLLRGLARGQLAREKERLELAENTFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPVEEVRPKLEKKIAEAAGTDVTLWFMEEKNDDITKQVCMVQPKAEIDLQLEITKLSTPWGYLSAVALAVTTFGTIAIMSGFFLKPGATFDDYVSDVLPLFAGFLSILGVSEIATRLTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARAASAYLTSVALAVSAFVSDGSLNGGKNALFVRPEFFYNNPLLSFVQAVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPCGRLEGGRIAQALFGRGAAAVLSFATSVALGAGAIIGGSVLCLAWGLFATFVRGGEEIPAQDEITPLGSERYAWGLVLAVVCLLTLFPNGGGTYSSDFLGAPFFRGGI >ONIVA03G34330.1 pep chromosome:AWHD00000000:3:29518358:29521409:-1 gene:ONIVA03G34330 transcript:ONIVA03G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGTRGSCDLAVREQGKIGQQSDQCPGPNSGKEFLEVNWDSVALHQKMGYNSGAFGFQAYPMVLEDREGLYRSPNGTFCQNIQLSDDHSSGAKRRKGIDDHIALLNPSASSRIQNVGDQQTEVSSQQERISMEEDNQKSCSKMQSKEDSSDGDGTKEDYVHVRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELSFDIEQILSKQMMLSQDRHLAFYGVDPGSSALVAHFNQGIMQPEMLCNVSNPADVLQGTTIQDISTVNQIPAMWEGLQNIPHLNYNPGGAMAEGSTNNSGIYDPKFVKNYV >ONIVA03G34320.1 pep chromosome:AWHD00000000:3:29509206:29518416:1 gene:ONIVA03G34320 transcript:ONIVA03G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34910) TAIR;Acc:AT4G34910] MAAAAASSSMAKRKQRKAATEQEVENHDEATVAAEAGPENDGHTAHAAEEAAAAEEGVEREGGGEGGAEGEEGPDAAARGGEEGKEEEEREVSFDELGLDEQLKRALRKKGLDKATPIQREAIPLILEGKDVVAKAKTGSGKTFAYLLPMLHELLKLSAEGRIRKSAPNVFILVPTRELCQQVHNEASSLLEFCTSKLKVVQVNASMSDKDIKVALSGPPNILVTTPACVASCISKGIIRGSSIKESLSMMILDEADLLLSYRCEDDIKALVPHIPRSCQSILMSATSSADIEKLTKLLLHNPFILTLTEVGHAKDDLIPKNISCDAKDKMLYILVLLKLELIQKKVLIFVNSIDSAFKLRLFLEKFGIRSSVLNAELPQNSRLHIIQAFNARLFDYLIATDDSKSKEERQANKGNKKDSRVSRKQLQQTLDAEFGVVRGIDFKNVFTVVNYDMPPDPAGYIHRVGRTGRANKTGASISLVSPKENGIFEDIENMLKDVENRDTSCISPFPLLTKNAVESLRYRAQDVARSVTTRDIKEARRQDIKNEILNSEKLKAHFDENPRDLDLLKHDKLLSNKEIPAHLRDVPEYLIDPTTKEASNVVKLSRAAMDIDKPRRRKRMGFKGGSGRSSDPLKTFSAEGKSQRRGRKERDGEQDRRKRKKVES >ONIVA03G34310.1 pep chromosome:AWHD00000000:3:29487166:29501199:-1 gene:ONIVA03G34310 transcript:ONIVA03G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIYFFYKGKIENALLFAVGRDVLVYKESCVGMSWWICLPTLRRYVLVNMWFAVLWGCWSCSYGDVSVNMRFPLHFSLSSIGDDEQPTTIEINYFFCKLEGACAPIAPCGLRSVASLHPRCGEGKIEEALLVAVDRDVLIYKESFPLHFSLSSIGDNEQPPTMEINYFFHPLFYELKEGRIEEALLVAVGRDVLVYKESCVVTSSTAPPPPVTTMAETVDFASGDAAEWRAALAAYDRRLAALGKPDLVEVDSFYRRDLPDLLRRRDPEPFLAKPELVRLLQWKLSRGKWRPRLMDFVKGLEDAVVESASCKAFAALPDLRKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAEKLQTKSKELSSGEEVFTTSDVERALWSSAVASKSLKAPPGNDLENKSKTHGKRKR >ONIVA03G34310.2 pep chromosome:AWHD00000000:3:29489061:29503426:-1 gene:ONIVA03G34310 transcript:ONIVA03G34310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQGAGKSIGSWKQQCKLGFLSIVALRVPSSGLCTQELLARFPLHFSLSSISDDEQPITMEIIYFFYKGKIENALLFAVGRDVLVYKESCVGMSWWICLPTLRRYVLVNMWFAVLWGCWSCSYGDVSVNMRFPLHFSLSSIGDDEQPTTIEINYFFCKLEGACAPIAPCGLRSVASLHPRCGEGKIEEALLVAVDRDVLIYKESFPLHFSLSSIGDNEQPPTMEINYFFHPLFYELKEGRIEEALLVAVGRDVLVYKESALGLLELLYGDVSVDMWFEAPK >ONIVA03G34310.3 pep chromosome:AWHD00000000:3:29487166:29503426:-1 gene:ONIVA03G34310 transcript:ONIVA03G34310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQGAGKSIGSWKQQCKLGFLSIVALRVPSSGLCTQELLARFPLHFSLSSIGDDEQPTTIEINYFFCKLEGACAPIAPCGLRSVASLHPRCGEGKIEEALLVAVDRDVLIYKESCVVTSSTAPPPPVTTMAETVDFASGDAAEWRAALAAYDRRLAALGKPDLVEVDSFYRRDLPDLLRRRDPEPFLAKPELVRLLQWKLSRGKWRPRLMDFVKGLEDAVVESASCKAFAALPDLRKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAEKLQTKSKELSSGEEVFTTSDVERALWSSAVASKSLKAPPGNDLENKSKTHGKRKR >ONIVA03G34310.4 pep chromosome:AWHD00000000:3:29489061:29503426:-1 gene:ONIVA03G34310 transcript:ONIVA03G34310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQGAGKSIGSWKQQCKLGFLSIVALRGACGPHCPLWASLRCIIALTVRRGQDRKCATIRGWSRCARLQRIVRRFPLHFSLSSIGDDEQPTTIEINYFFCKLEGACAPIAPCGLRSVASLHPRCGEGKIEEALLVAVDRDVLIYKESFPLHFSLSSIGDNEQPPTMEINYFFHPLFYELKEGRIEEALLVAVGRDVLVYKESALGLLELLYGDVSVDMWFEAPK >ONIVA03G34310.5 pep chromosome:AWHD00000000:3:29489061:29503426:-1 gene:ONIVA03G34310 transcript:ONIVA03G34310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQGAGKSIGSWKQQCKLGFLSIVALRVPSSGLCTQELLARFPLHFSLSSISDDEQPITMEIIYFFYKGKIENALLFAVGRDVLVYKESCVGMSWWICLPTLRRYVLVNMWFAVLWGCWSCSYGDVSVNMRFPLHFSLSSIGDNEQPPTMEINYFFHPLFYELKEGRIEEALLVAVGRDVLVYKESALGLLELLYGDVSVDMWFEAPK >ONIVA03G34310.6 pep chromosome:AWHD00000000:3:29487166:29503426:-1 gene:ONIVA03G34310 transcript:ONIVA03G34310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQGAGKSIGSWKQQCKLGFLSIVALRGCMCPHCPLWALLRCIIALTVRRGQDRRGATSRVTSSTAPPPPVTTMAETVDFASGDAAEWRAALAAYDRRLAALGKPDLVEVDSFYRRDLPDLLRRRDPEPFLAKPELVRLLQWKLSRGKWRPRLMDFVKGLEDAVVESASCKAFAALPDLRKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAEKLQTKSKELSSGEEVFTTSDVERALWSSAVASKSLKAPPGNDLENKSKTHGKRKR >ONIVA03G34310.7 pep chromosome:AWHD00000000:3:29487166:29503426:-1 gene:ONIVA03G34310 transcript:ONIVA03G34310.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQGAGKSIGSWKQQCKLGFLSIVALRVTSSTAPPPPVTTMAETVDFASGDAAEWRAALAAYDRRLAALGKPDLVEVDSFYRRDLPDLLRRRDPEPFLAKPELVRLLQWKLSRGKWRPRLMDFVKGLEDAVVESASCKAFAALPDLRKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAEKLQTKSKELSSGEEVFTTSDVERALWSSAVASKSLKAPPGNDLENKSKTHGKRKR >ONIVA03G34300.1 pep chromosome:AWHD00000000:3:29483239:29484657:1 gene:ONIVA03G34300 transcript:ONIVA03G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPPPVLTLQVKKGRRGGETRQWRAGAVLRVGRVATGNDIAVRDAGASQRHLSIEFLPPPASRWAVSDVGSSNGTLLNGSPLVPTVPSPLSDGDVIKIGESSMLVVSIAPDSDPNPGPRRSSRQSAAVVGEQEKPPAVTRRGGRKNAAAAAVAVEPPIAEKEEPEPEEAPVVTRRGARKKAAQPPKAEEHEEGEEEVVAAVVTRRCGRKKAAEPPKPDEEEEQEKGKDEEQEEKEEEEVPVVTRRGRSRKAAPEAAVAPPPPRARSTRAAARRGKAVDTSLDERESEMAGKGRGRATRSNARKCRMAVPEDDDDDGEQQEGATAVAEEQIKDQPRAMAVTDGEEEDDKVEAMDGEVEQNDKASEEEEVPVARRGRARRAPKGKATASSNAHAASDNAVEEEDGGRGEGAAVEVEGESSGSSSLETMTLREWFQRMNVYLPRMINEAAEEALAALRERHRRIDEYISTLED >ONIVA03G34290.1 pep chromosome:AWHD00000000:3:29472788:29479383:1 gene:ONIVA03G34290 transcript:ONIVA03G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREDDRAGRGGGGGRTEQERRPSKAWGILIFGLIGATTATFAVAQVRRSVDWVYSQFNKMQTTSWRNASNSSNRGSFSEDARRRYYQRMQQEYEEEQERVQRIRHMQSVFNRERNKFRRSYEAWRENGPPGGYNYVPRDDWYWQTDTSHSEHKNRRTYTPAGPRVYSMSHHYTVLGLNRSRTTPYTDAEVKNAFRTKAMEVHPDQNQDNREAAEERFKEVVKSYEAIKLERKNDAS >ONIVA03G34280.1 pep chromosome:AWHD00000000:3:29454379:29470446:-1 gene:ONIVA03G34280 transcript:ONIVA03G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein, HflX [Source:Projected from Arabidopsis thaliana (AT3G49725) TAIR;Acc:AT3G49725] MLRAAVSRLRAHLHPHPHAHHHHGLPSVTPAPLRSLSTRWGKRSSPTASPPADSDDEGSPRGLSLLPRDPERPPRLLVVQPRLRPGSLLDSKLAEALNLANSLEEPRDGFYKEGLAAKGAPPHLVVQNPSSRGRSHVDKFFGPGTVDNVKCYLRTSESEVAWGKPVLDRVGLIIEIFNAHAETKEAKLQSELAALMYMKTRLVRVRGPGGRLTFGSSGEAEVVSARGRGSGGRGFISGAGETELQLQRRRIQERRVRLLAQIEDVRRTRAIQRSNRKRHGNSFGQELVTVAVVGYTNAGKSTLVSALSETDLYSDDRLFATVDPRLRSVILPSGYLNRFFIHLLRKALLSDTVGFISDLPVQLVEAFHATLEEVVEADMLVHVLDSSAPNIEEHRSTVLQVLQQIGVSQDKINSMIEVWNKIDIVDNNDNDVTDDIEDEIFLTEGEEDKEEELFSENDVPAEESSFESLDDGTDSEYLSEENLEGNNGEISSSLEPSEMRAMNSVSSSSKDCFGELCGPETISTDGCSSTQPMSTCHVKTSAVTGTGLQELLELIDKKLTERQTIVERSYGPFDRKWRPSSSVVGEKAAEQ >ONIVA03G34270.1 pep chromosome:AWHD00000000:3:29447109:29448440:1 gene:ONIVA03G34270 transcript:ONIVA03G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVVVRRARVRARSQSPPGEFDSARRTRPRMEGEEEMRGRGGKASARARSQSPPGGSGSAARVEVEGMGASAVPDDMLLEVFKRLSPLADIVRAAAVCRRWRLLVSGAGGLPAPPPYFGFFRNYAPSALPPFVPAAGVGLGLDHGALSVSPACGALLVDCRCRRLLLRELGAGSARELKLLVCDPLRKTSVSLPSRFVAGHKVACCALLPGAGAAFRVAVVLFGAAAHFDILVYSSAASAWEAATGALKKSMNPHQGPTVVIGDVVYKLQSEEDKYVMAVDATKMTLSAVPLPNTGMLLYAGNHWIGKTHDGRLCFFALREQLVLAKWVLESPGKWVEQPAVDLRALMNPATVGDLSRIKLSAKISDQLRGCKLVSFGGFCEGTGALFFVMADWVVSLDLATWRFERMWRNTDESRPLGDIFPVEMMVWPPVRRGDLGEKE >ONIVA03G34260.1 pep chromosome:AWHD00000000:3:29438296:29438784:1 gene:ONIVA03G34260 transcript:ONIVA03G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHNTGMPSQNLRRRSSPVETGREMDYAYLLRFECSLNHKYTIEITVRKRDIEVRYGKARYRHGIALSMRESVTVSCVEWARRFELAVSLVGYAISTRYRVSTERERHVVPIWEQQLEWCYRSRLLAARERDRYRV >ONIVA03G34250.1 pep chromosome:AWHD00000000:3:29419462:29421218:-1 gene:ONIVA03G34250 transcript:ONIVA03G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVVAEEKPQLLSKKAGCNSHGQDSSYFLGWQEYEKNPFDPVSNPSGIIQMGLAENQLSFDLLEEWLEKNPHALGLRREGGGASVFRELALFQDYHGLPAFKNALARFMSEQRGYKVVFDPSNIVLTAGATSANEALMFCLADHGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCASANGFRVTRAALDDAYRRAQKRRLRVKGVLITNPSNPLGTASPRADLETIVDFVAAKGIHLISDEIYAGTAFAEPPAGFVSALEVVAGRDGGGADVSDRVHVVYSLSKDLGLPGFRVGAIYSANAAVVSAATKMSSFGLVSSQTQYLLAALLGDRDFTRSYVAENKRRIKERHDQLVDGLREIGIGCLPSNAGLFCWVDMSHLMRSRSFAGEMELWKKVVFEVGLNISPGSSCHCREPGWFRVCFANMSAKTLDVAMQRLRSFVDSATGGGDNAALRRAAVPVRSVSCPLAIKWALRLTPSIADRKAER >ONIVA03G34240.1 pep chromosome:AWHD00000000:3:29395192:29395421:-1 gene:ONIVA03G34240 transcript:ONIVA03G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTYRFRLRMRKFKTSVNNVNGGGGSFFPFRAGGDPEQRGQPGVVSPRSGRLEGRRGRRPRAAWMAGQHGLR >ONIVA03G34230.1 pep chromosome:AWHD00000000:3:29393794:29395176:-1 gene:ONIVA03G34230 transcript:ONIVA03G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCFGDLTARSVPLRLYSGDIHLQIHHLSSALLRVGEVGTKLILRIMHEVLMAINRGDGNGGAQAAARPTREVDPSERIQIDIDKHNFYEIPSHKCFSLFFSQALSVER >ONIVA03G34220.1 pep chromosome:AWHD00000000:3:29384274:29389632:-1 gene:ONIVA03G34220 transcript:ONIVA03G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEGHRGEGRLPPPPPLLPFPKVSVQVYTVPSSSTAASAAAAGGARQAVAPATRDGGGRAAGVLDDPVKARIVGCPAEAAEEIAAAARVREARQRAAAAASRMPPAPEDPELDQFMEDYCKLLVECKEELSRPLQEAEEFLRTVESELNSINSGPPLTALISESKAGLDSSDDDEHEDGSGMEMMEAAEDEDLGIIDPRSDDKALKRHLLRKYSGYLGGLRKELSKKRKKGKLPKEARQKLLTWWELHYRWPYPSEMEKIALAESTGLEQKQINNWFINQRKRHWKPTEEMQFAVMEAYHHQSTDAAAAFYVDVDARLVGATAAAPASAVYTARPDHGVWRA >ONIVA03G34210.1 pep chromosome:AWHD00000000:3:29381575:29385152:1 gene:ONIVA03G34210 transcript:ONIVA03G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESILSRPQQQRRPPWADEITTVSEGRRDAGDGDPLLHRIKSLTIAPPLLSGQSAASSEAESSLTDILVRKPSSSSATSGNLNPNVMFELFSLYREWQEEKAKKISETQEEIENKIETADALSIKLLQRFNYSVTSMRSTSHNLAEVRPLQVEVGELKGRLTEVISNCDALCKRIAAEGPESLRSSVQPFTTSKMEPRESETLDLKTQS >ONIVA03G34210.2 pep chromosome:AWHD00000000:3:29381602:29385152:1 gene:ONIVA03G34210 transcript:ONIVA03G34210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPGGVHGRCRSRRCRSDEAGVDVDVERRRGIGALVVVGLHDGELHLLRGLPVALPLVDEPVVDLLLLQPRRLGEGDLLHLRRVRPPVMELPPGEQLLPRLLGELPFLPLLRQLLPQASQVAAVLPQQVPLQRFVVGARVDDAEVLVFRGLHHLHAAAVFVFVVVRRIEAGFGFCMPLSSQRY >ONIVA03G34200.1 pep chromosome:AWHD00000000:3:29352220:29361310:-1 gene:ONIVA03G34200 transcript:ONIVA03G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISHHFGVVGASGVHGGHQHQHQHHHHPWGSSLSAIVAPPPPPQPQQQQTQAGGMAHTPLTLNTAAAAVGNPVLQLANGSLLDACGKAKEASASASYAADVGAPPEVAARLTAVAQDLELRQRTALGVLGAATEPELDQFMEAYHEMLVKYREELTRPLQEAMEFLRRVETQLNTLSISGRSLRNILSSGSSEEDQEGSGGETELPEIDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKDARQQLLNWWELHYKWPYPSESQKVALAESTGLDLKQINNWFINQRKRHWKPSDEMQFVMMDGYHPTNAAAFYMDGHFINDGGLYRLG >ONIVA03G34190.1 pep chromosome:AWHD00000000:3:29351340:29359821:1 gene:ONIVA03G34190 transcript:ONIVA03G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLNPVAFLAGWVTNATRAAPPPRLVIPLALRRNGGARPGAAAAGGGAAIADAARARGEARFYTHPYTGTFAAVNLEVIREGLSGTT >ONIVA03G34180.1 pep chromosome:AWHD00000000:3:29348497:29351036:-1 gene:ONIVA03G34180 transcript:ONIVA03G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQESYELLIHVQPHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALGNALWVRFLGTDGKLDRLITNWRKYCKKWSADKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVASSLETSSMERHEVFAVRF >ONIVA03G34180.10 pep chromosome:AWHD00000000:3:29348497:29351036:-1 gene:ONIVA03G34180 transcript:ONIVA03G34180.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQEHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVASSLETSSMERHEVFAVRF >ONIVA03G34180.11 pep chromosome:AWHD00000000:3:29348733:29351036:-1 gene:ONIVA03G34180 transcript:ONIVA03G34180.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQESYELLIHVQPHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVAVKITDR >ONIVA03G34180.12 pep chromosome:AWHD00000000:3:29348733:29351036:-1 gene:ONIVA03G34180 transcript:ONIVA03G34180.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQEHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQYVKMINTQEAKTIFLPLPYELVSFDVLFGNCPYCSDPVAVKITDR >ONIVA03G34180.2 pep chromosome:AWHD00000000:3:29348497:29351036:-1 gene:ONIVA03G34180 transcript:ONIVA03G34180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQESYELLIHVQPVLSCYIVWCDLAHCTQLAPEDIARLLVMIAIFYYMLMRESPDHYQSKFNFITDSQYFKHIIVDGQFFSGSADKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVASSLETSSMERHEVFAVRF >ONIVA03G34180.3 pep chromosome:AWHD00000000:3:29348733:29351036:-1 gene:ONIVA03G34180 transcript:ONIVA03G34180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQESYELLIHVQPHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALGNALWVRFLGTDGKLDRLITNWRKYCKKWSADKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVAVKITDR >ONIVA03G34180.4 pep chromosome:AWHD00000000:3:29348497:29351036:-1 gene:ONIVA03G34180 transcript:ONIVA03G34180.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQESYELLIHVQPHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVASSLETSSMERHEVFAVRF >ONIVA03G34180.5 pep chromosome:AWHD00000000:3:29348733:29351036:-1 gene:ONIVA03G34180 transcript:ONIVA03G34180.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQESYELLIHVQPVLSCYIVWCDLAHCTQLAPEDIARLLVMIAIFYYMLMRESPDHYQSKFNFITDSQYFKHIIVDGQFFSGSADKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQYVKMINTQEAKTIFLPLPYELVSFDVLFGNCPYCSDPVAVKITDR >ONIVA03G34180.6 pep chromosome:AWHD00000000:3:29348733:29351036:-1 gene:ONIVA03G34180 transcript:ONIVA03G34180.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQESYELLIHVQPVLSCYIVWCDLAHCTQLAPEDIARLLVMIAIFYYMLMRESPDHYQSKFNFITDSQYFKHIIVDGQFFSGSADKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVAVKITDR >ONIVA03G34180.7 pep chromosome:AWHD00000000:3:29348733:29351036:-1 gene:ONIVA03G34180 transcript:ONIVA03G34180.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQEHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDSQYFKHIIVDGQFFSGSADKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQYVKMINTQEAKTIFLPLPYELVSFDVLFGNCPYCSDPVAVKITDR >ONIVA03G34180.8 pep chromosome:AWHD00000000:3:29348733:29351036:-1 gene:ONIVA03G34180 transcript:ONIVA03G34180.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQESYELLIHVQPHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQYVKMINTQEAKTIFLPLPYELVSFDVLFGNCPYCSDPVAVKITDR >ONIVA03G34180.9 pep chromosome:AWHD00000000:3:29348733:29351036:-1 gene:ONIVA03G34180 transcript:ONIVA03G34180.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRAAAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLYIPPDLRCFFLMFHVMSGMDVPYLPELQWSKGSRLKDVVCQFQEHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVAVKITDR >ONIVA03G34170.1 pep chromosome:AWHD00000000:3:29341787:29346581:-1 gene:ONIVA03G34170 transcript:ONIVA03G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10040) TAIR;Acc:AT1G10040] MAEGEGEGGEKKSQRRRLRAACLPRPGCFTVSAADEGPSGSGGGGGGSRPAPTHLVVTVNGIVGSAENWRYAAKHFIKKHPEDVVVHCSGCNGAVRTFDGVDVMGTRLAEERRPELQKISFVAHSLGGLIARYAIALLYKSATEIDSHEEHEKQITDVSSNQLIDRGKIAGLEPINFITFATPHLGTRSHKQIPLLRGSYKLEKMAYRISWIAGRSGKHLFLKDIEDGKPPLLLQMVTDYGRLCVLSNVVLLTQTSVTIPQNFINHVKYPHVVYVEKPKVQDTDFSDSMIYQAKNTSEMEELMLKGLNRIPWERVDVSFKKSRQRIFAHSTIQVKTYFFNSDGADVIFHMIDHFLY >ONIVA03G34160.1 pep chromosome:AWHD00000000:3:29338651:29340355:-1 gene:ONIVA03G34160 transcript:ONIVA03G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLCSSSLLLLLLSSHGLPSTEGGELHHHQIKEPPPEVEQTGGKGWAAMSEALIGSRPPRCEGKCAPCGRCEAVQFCTNSAIASAPPLKFSTKINLCLPPWVQENDSAESPLNTYPWEGIHGPRCEGVHSATQNIADRKRESNPADN >ONIVA03G34150.1 pep chromosome:AWHD00000000:3:29318218:29322898:-1 gene:ONIVA03G34150 transcript:ONIVA03G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite exporter TauE/SafE family protein [Source:Projected from Arabidopsis thaliana (AT2G36630) TAIR;Acc:AT2G36630] MAAAAGLYGRLNRSSTRGFLAYVAAGAACAAVLACFVIPAAEPRAGDANGGLRLSSRSARVWPDLAFNWRVVVATVVGFLGSAFGTVGGVGGGGIFVPMLNLLVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMLGITIGVELSVIFPYWLITVLIIILFIGTSSRSFYKGILMWKDETRIQMETREREEESKSSCAARDVVIDPSCEEPLLCQPQPKEKSALETFLFNLRWKNILVLMTVWSSFLVLQIFKNNSQSCSTFYWVINILQVPVALSVFLWEGVQLCRESRARRMDGNWECVCEASIEWSPAQLIFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLNRFPIPFAVYLICISILAGFWGQSLVRKLVHVLKRASLIVFILSSVIFASALTMGVVGTQKSISMINNHEYMGFLDFCEK >ONIVA03G34140.1 pep chromosome:AWHD00000000:3:29315538:29316788:1 gene:ONIVA03G34140 transcript:ONIVA03G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSSSRNHRSKGLKLKKALQITLLVLVSVWLIYQVKHSYEKKAYHENEPNDLHKDDDQNQGEIRLGRKDLPTKLEADSSTLDERIEDEENEEMEQEMKHDENDEDPIDEPDLDKDDDLPEPGEHSADKDERSEDVGVFEDEERKERSQEDQEKTFHGDNVSSAVSHDPPSAEQDELLRRAQDKILYVDDASTAVPHDNQEAERREEEARKAREMTFRGDDVSSSVDHDAQVTKPLPEEQLKSMDSIFEGTTNLSNGITFRGPGVNESTAARELGAIPADASSHPNTSTVSESNTDTAPVNLDGNHTGSDQANSTSLKGQQEQQANSTAVLNNQIKLFIDLTSAAELNYPPNGTLASASTDAENATSVDRGHDGNTGHDGNTGTNKAEEGDTGKDLDLSTKIMNKAISEDEVVLE >ONIVA03G34130.1 pep chromosome:AWHD00000000:3:29300774:29305584:-1 gene:ONIVA03G34130 transcript:ONIVA03G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSALLTSAGINIGLCALLLSLYSVLRKQPHNYGVYFGRRLAEEKFRQQVDYFSLERLLPTAGWIVKAYWCTEEEIRRVAGLDSVVFLRLFIFSIRIFSITSLVCIFGVLPVNYHGKETNHGRIPAESLNVFTIANLKEGSRMLWVHCVALYVITISACILLYYEYKYISRKRLAHITGSPPDPGHFSVLVRSIPKSDNELLDDTIRNFFVNYHGSSYLSHQMIYRKGSMQKFVDNAERVYRKFVRVKMSSFGQSRRSDLSRCGLCGVRASSFQQYRNKFINSKKPDLSDPEVIEAQKDCPGAIVFFKTRYAAIVASRILQSSNPMLWVTDFAPEPRDVYWSNLWIPYRQIWLRKIATLAASVAFMFVFIVPVAFVQSMMQLDQIEQLFPSLKNMLKKPFFVKLVTGYLPSVVLLLSLYTVPPMMMFFSSIEGSISRSGRKKSACCKILFFTIWNVFFVNVLSGSVLNQLNVFTRPRDMPSMLAELVPKQATFFITYVLTSGWASLCSEILQVYNLVYNFFRKCIFCYRDDPEYGYSFPYHTEVPKVLLFNLLGFTFSIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPIMHSTLVFALVLTQTIALGVFTIKHATISSGFTILLIIGTVLFHQYCRHRFSSIFNSFSAQDLIEMDRDDEQSGRMEEIHKHLLDAYSQGTTNMDNSSSSRNGGAPIEMIMEDPAQDAQDSNQELCDAVKEVTGSIQEHADEM >ONIVA03G34120.1 pep chromosome:AWHD00000000:3:29292413:29299667:1 gene:ONIVA03G34120 transcript:ONIVA03G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GT51] MVSGGRVGGGEGEAGEAAAPPAAAVAARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDQKRPLSDQGPFDIVLHKLTGREWQQLLEEYREEHPEVTVLDPPGAIEHLLNRQSMLQEVSELDLSDCHGRVGVPKQLFVNTDPSSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDPISLTKLEPPLVLQEFVNHGGVLFKVYIVGDAIRVVRRFSLPNVDVGDLSNNAGVFRFPRVSCASANADDADLDPHVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLVQKEYKRRPSYSSCEG >ONIVA03G34120.2 pep chromosome:AWHD00000000:3:29292201:29299667:1 gene:ONIVA03G34120 transcript:ONIVA03G34120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GT51] MVSGGRVGGGEGEAGEAAVAMVDNEEEVAQAQAPPAAAVAARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDQKRPLSDQGPFDIVLHKLTGREWQQLLEEYREEHPEVTVLDPPGAIEHLLNRQSMLQEVSELDLSDCHGRVGVPKQLFVNTDPSSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDPISLTKLEPPLVLQEFVNHGGVLFKVYIVGDAIRVVRRFSLPNVDVGDLSNNAGVFRFPRVSCASANADDADLDPHVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLVQKEYKRRPSYSSCEG >ONIVA03G34120.3 pep chromosome:AWHD00000000:3:29292201:29299809:1 gene:ONIVA03G34120 transcript:ONIVA03G34120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GT51] MVSGGRVGGGEGEAGEAAVAMVDNEEEVAQAQAPPAAAVAARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDQKRPLSDQGPFDIVLHKLTGREWQQLLEEYREEHPEVTVLDPPGAIEHLLNRQSMLQEVSELDLSDCHGRVGVPKQLFVNTDPSSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDPISLTKLEPPLVLQEFVNHGGVLFKVYIVGDAIRVVRRFSLPNVDVGDLSNNAGVFRFPRVSCASANADDADLDPHVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLVQKEYKRRPSYSSCEG >ONIVA03G34120.4 pep chromosome:AWHD00000000:3:29298408:29299346:1 gene:ONIVA03G34120 transcript:ONIVA03G34120.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GT51] MSGEILVASVDDKSGTPLKCSKSTLFSLNSQVLLSQVSSLPRLQAVAEDGGNVERRTAPSRPLSTTAARGRSSGGGAGQMWRRRWAKPRALAPLPLSRPEPDRSGSWVARSRLGSPDLAVDGDGGLVMNKVGAT >ONIVA03G34120.5 pep chromosome:AWHD00000000:3:29298408:29299667:1 gene:ONIVA03G34120 transcript:ONIVA03G34120.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GT51] MLEVHSVLSQLSSTTLSSELSPEAPSGGGRRRQRRAAHGALPSSVHNGGAGQIERRRCWADVAAALGEAARSGSSSSVATRARPERQLGSQIQVGLAGSSRGRRRRAPYHGDGGLGGSSARRRRRQREGGRCHSLHNAVSQPHASFSFAQEPVATSFWWARK >ONIVA03G34110.1 pep chromosome:AWHD00000000:3:29282087:29285226:1 gene:ONIVA03G34110 transcript:ONIVA03G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0GT48] MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPSDRTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGCYRQLFHPEQLINGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPSGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESDENEDGDDGDEY >ONIVA03G34110.2 pep chromosome:AWHD00000000:3:29282084:29285226:1 gene:ONIVA03G34110 transcript:ONIVA03G34110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0GT48] MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPSDRTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGCYRQLFHPEQLINGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPSGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESDENEDGDDGDEY >ONIVA03G34100.1 pep chromosome:AWHD00000000:3:29275540:29276976:-1 gene:ONIVA03G34100 transcript:ONIVA03G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAPLPSWVVLNSAVRIAPGAVENEPEWAIKCSQRQAYPYAWRGVKEASASMARDVTLLARLVEPPDLSSLYIRLPADELRRPRFPMPDSGNGDDDEIRDGDVSLSEGPLLRASVRAADEKLVILTSTLPDCDRASFYLIYNATKTSLSMIPLLPSYCSPSFTMRPLPMRRRSGGDGGDGDYSLAIMARTSVLDEQTRDPIDRDVLCLWPPPASAKPLPLSGRRGIEPWRVKQPHFPSQTPGSFVADTAFWADLAHGVLYCNCDDVLAGGYDVQFHYLGLPMECRLDDVDSCTGRGNPAEHRTMSYVGDSIKFVSIGDGLHPELKVWALLPATMEWKKLHELSMATLWGFEGFKNAGLPENLPIHPILSTQQDGVLYLVLPAEEKVEEDIVVAVEEEDVAVTEQRYLFGLDVCNKRILSSRHLPDSGYLLGFDMFRCLCPHAAPSTDENGARPIPATRKRKLPSSPSPP >ONIVA03G34090.1 pep chromosome:AWHD00000000:3:29271467:29273906:1 gene:ONIVA03G34090 transcript:ONIVA03G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMVMDEPSFFHQWQSDGLLEQYTEQQIAVAFGQAGEADAAAAAAATMVQQQQYAAAEHRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFGGHADAAAAAAFASAGQAQSAPYYGGASAAALKPKQELDAAAAPFSQARPVKRSYDAMVAADVAKAPAAAASRPASQNQEHILAERKRREKLSQRFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEEEARRRPVEAAVLVKKSQLSADDDDGSSCDENFDGGEATAGLPEIEARVSERTVLVKIHCENRKGALITALSEVETIGLTIMNTNVLPFTSSSLDITIMATAGENFSLSVKDIVKKLNQAFKLSL >ONIVA03G34090.2 pep chromosome:AWHD00000000:3:29270559:29273906:1 gene:ONIVA03G34090 transcript:ONIVA03G34090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMVMDEPSFFHQWQSDGLLEQYTEQQIAVAFGQAGEADAAAAAAATMVQQQQYAAAEHRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFGGHADAAAAAAFASAGQAQSAPYYGGASAAALKPKQELDAAAAPFSQARPVKRSYDAMVAADVAKAPAAAASRPASQNQEHILAERKRREKLSQRFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEEEARRRPVEAAVLVKKSQLSADDDDGSSCDENFDGGEATAGLPEIEARVSERTVLVKIHCENRKGALITALSEVETIGLTIMNTNVLPFTSSSLDITIMATAGENFSLSVKDIVKKLNQAFKLSL >ONIVA03G34080.1 pep chromosome:AWHD00000000:3:29268634:29269053:1 gene:ONIVA03G34080 transcript:ONIVA03G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIYQIVMCLEIEEQNKQAMQVPEPSPYGPSRQQGCMVKWPHRKAGMGKLLWQLKIDGGMGVSIMNMHC >ONIVA03G34070.1 pep chromosome:AWHD00000000:3:29241952:29244837:-1 gene:ONIVA03G34070 transcript:ONIVA03G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTIEDKDEELSGPAVVAAVERLVRYEEMGSIWRQIETVVVYLMNMPLNATMQVPKLQARTASEASEML >ONIVA03G34060.1 pep chromosome:AWHD00000000:3:29235812:29240706:1 gene:ONIVA03G34060 transcript:ONIVA03G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49660) TAIR;Acc:AT3G49660] MHGPSTWPTTPPQRRHGGEERRGRRRRGIFFLSQEISKTPNPCSLPRLRLARRGAMAAEDNPGYALRATLAGHRRAVSAVKFSPDGRLLASASADKLLRVWSTSDLASPVAELAGHGEGVSDLAFSPDGRLIASASDDRTVRIWDLGDGGGGGGGGEPRLMKTLSGHTNYAFCLAFSPHGNMLASGSFDETVRVWEVRSGRCLRVLPAHSEPVTSVDFNRDGAMIVSGSYDGLCRIWDSATGHCIKTLIDDESPPVSFAKFSPNGKFVLAATLDSKLDLCLLVMSATLVGVMSVLLEVKMYIRSFQQSYQPPSMLETILGTISQEIGVGLSARRLWNFSAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYIWDLQSRKILQKLEGHTDTVIAVSCHPNENMIASGGLDGDKTVKVWVQKEEDQMEV >ONIVA03G34060.2 pep chromosome:AWHD00000000:3:29235812:29239692:1 gene:ONIVA03G34060 transcript:ONIVA03G34060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49660) TAIR;Acc:AT3G49660] MHGPSTWPTTPPQRRHGGEERRGRRRRGIFFLSQEISKTPNPCSLPRLRLARRGAMAAEDNPGYALRATLAGHRRAVSAVKFSPDGRLLASASADKLLRVWSTSDLASPVAELAGHGEGVSDLAFSPDGRLIASASDDRTVRIWDLGDGGGGGGGGEPRLMKTLSGHTNYAFCLAFSPHGNMLASGSFDETVRVWEVRSGRCLRVLPAHSEPVTSVDFNRDGAMIVSGSYDGLCRIWDSATGHCIKTLIDDESPPVSFAKFSPNGKFVLAATLDSKLDLCLLVMSATLVGVMSVLLEVKMYIRSFQQSYQPPSMLETILGTISQEIGVGLSARRLWNFSAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYIWDLQSRKILQKLEGHTDTVIAVSCHPNENMIASGGLDGDKTVKVWVQKEEDQMEV >ONIVA03G34050.1 pep chromosome:AWHD00000000:3:29229194:29234971:1 gene:ONIVA03G34050 transcript:ONIVA03G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:debranching enzyme 1 [Source:Projected from Arabidopsis thaliana (AT4G31770) TAIR;Acc:AT4G31770] MAPTASMLFLSYHQLHRPASAAEAAPASRRKEAEEEGSNGGAAGGRVRVSLSSALSLLARRREAAPTPTPQAAAAKEVTRRGGGGGDGVAGEGEPEEAASLERRFEEAAHEYETLLKLRPIVRGNRKPQAARSSAWSVSSIPKILRDGAAVPFSSPELRVAQPLVGCSRRSPPAPPPARAVITMKIAVEGCMHGELDKVYDTLRELEKAEGVKIDLLLCCGDFQAVRNENDLQCLNVKPRFREMKSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGHYERPPYNENTIRSVYHVRHYDVLKLMHVKEPLDIFMSHDWPLGITEYGNWQKLIREKRFFEEEVNKRTLGSEPAARLLNKLKPPYWFSAHLHCKFPAVIQHGEGGPTTKFLALDKCLPRRGFLQVIDIPSGPGPHEIQYDEEWLAITRKFNNVFPLTRMPFTMLDEQVDTQDDLQWVRNKLNARGAKPIDFVQTAASYDPSCQASNPSITVHCRNPQTESFLQLLNLPYLLDSSNSYGVSRNESSSQTGQALDSDDIELPDDEDDPADDDD >ONIVA03G34040.1 pep chromosome:AWHD00000000:3:29217484:29227997:1 gene:ONIVA03G34040 transcript:ONIVA03G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGGLYTDILVHAPPAPSNPAPPAAAAAETLAPAPPAPNPNPTPTPASTKAAAAADEEEDDDWLLGGSDPVVGVDPTGDWADEEEDGGAAQPPPKREAAAAAAKPVPVVAEEADPLMGGVAGDAGAAIPGLSSSAAASAAAAGSEEWDSDSEDDIQIVLNETDGRRRLGEDEGDDEDGEDLVIVADGPIPGMEEQDWGEDAAAAGAEGERKEGGEPGKAAAAPGGRIGYSGGGQGFHPQHHSMFKYVRPGATLGAPLGGAPTATGQFRPPGPPGPFAGRGRGDWRPGAGRGMNKGFGYGMPPWGGSGRGFGGLDFTLPPHKTIFDIDVDTTFEEKPWKYPGADISDFFNFGLDDEKWKDYCKQLDQLRLESTMQSRIRVYESGRSEQDYDPDLPPELAAATGHHDISADSRNKTDNGHTDFNTQGRVPTSMRPPVHRQNLCSRWGLAHPYPLSTPFSPSTPSSTCKRKKRRRSCVTIAVPAPLPALTRKPSGTACFCGAQCATADGRGWNSGEVMTGRPIQVETGYGERLPSIDTRLPRMRESDSVIEGQSDDPLVDDSTVDQTEKDSQRGDKRTHGAEEGRPYTSEMNSSSALGKEEHKKRLPVSSEGDNATDVNGRSSPSYRTRGSPRGVRSSKGSSAREVESSNEILPRQTTSLKRNNDSQREKNPDEGSESKDGPEGSPAAADEAADKLSADHFDGNDGSLALVDSAEVDGDDVISDPHTVSETTTTDGDNLSHSGKKQKLISRAEQPTGHNSSDQDELRTRNSDNSRGRSGSSKDNQKRLESGEEVLQDRRSRRINDARRHHDGEDRNSRRKDEYLRDIKPDVERSHLASRSRDDTHHPYANRDRDMRGRSYDRVRDTEIWQRREESVHNRRAKEEDVRLEHNAEVGARNRNKMRPPVDRNDRIEDPHARKRLDDGDWRGSRPRERGDVVLNRRENIDDSHMKRKKDEENMRRMKPENEDIVHGQHGYRGRDDPNRRKRERDDGIDQKRRDDNARMREKADDRYHTKHKEDNWRQREREDRHRPKHDNTVTLQRDEGRGSGRGGRILDDKLVTSGRKQDESRSAGLSKEAQERSRQNDPLRRDQGAEENNMQNRGRSDVHPRDENPNNSERNTRQEKPNNTHDGNRLSSNSGARQASRDRYRESTRKGRSSDINEHDLPKSSKRRREDHESHRGGKVDVKGVSEQENSRDHTVSSKKGQNPQRESFVKQAEEDPMSDDENHEDSRRGRSKLERWTSHKEIDYSSIDNENAPTFSSIKSDVQAPTADELGKSEAAAAGNSELKSGGDNGQTSEKNAEERDRHLDTVERLKRRSERFKLPMPGEKDAPQSKKVDTEVQPPQIESASADLEVKPERPARKRRWTGTGS >ONIVA03G34040.2 pep chromosome:AWHD00000000:3:29217484:29227997:1 gene:ONIVA03G34040 transcript:ONIVA03G34040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGGLYTDILVHAPPAPSNPAPPAAAAAETLAPAPPAPNPNPTPTPASTKAAAAADEEEDDDWLLGGSDPVVGVDPTGDWADEEEDGGAAQPPPKREAAAAAAKPVPVVAEEADPLMGGVAGDAGAAIPGLSSSAAASAAAAGSEEWDSDSEDDIQIVLNETDGRRRLGEDEGDDEDGEDLVIVADGPIPGMEEQDWGEDAAAAGAEGERKEGGEPGKAAAAPGGRIGYSGGGQGFHPQHHSMFKYVRPGATLGAPLGGAPTATGQFRPPGPPGPFAGRGRGDWRPGAGRGMNKGFGYGMPPWGGSGRGFGGLDFTLPPHKTIFDIDVDTTFEEKPWKYPGADISDFFNFGLDDEKWKDYCKQLDQLRLESTMQSRIRVYESGRSEQDYDPDLPPELAAATGHHDISADSRNKTDNGHTDFNTQGRVPTSMRPPVMTGRPIQVETGYGERLPSIDTRLPRMRESDSVIEGQSDDPLVDDSTVDQTEKDSQRGDKRTHGAEEGRPYTSEMNSSSALGKEEHKKRLPVSSEGDNATDVNGRSSPSYRTRGSPRGVRSSKGSSAREVESSNEILPRQTTSLKRNNDSQREKNPDEGSESKDGPEGSPAAADEAADKLSADHFDGNDGSLALVDSAEVDGDDVISDPHTVSETTTTDGDNLSHSGKKQKLISRAEQPTGHNSSDQDELRTRNSDNSRGRSGSSKDNQKRLESGEEVLQDRRSRRINDARRHHDGEDRNSRRKDEYLRDIKPDVERSHLASRSRDDTHHPYANRDRDMRGRSYDRVRDTEIWQRREESVHNRRAKEEDVRLEHNAEVGARNRNKMRPPVDRNDRIEDPHARKRLDDGDWRGSRPRERGDVVLNRRENIDDSHMKRKKDEENMRRMKPENEDIVHGQHGYRGRDDPNRRKRERDDGIDQKRRDDNARMREKADDRYHTKHKEDNWRQREREDRHRPKHDNTVTLQRDEGRGSGRGGRILDDKLVTSGRKQDESRSAGLSKEAQERSRQNDPLRRDQGAEENNMQNRGRSDVHPRDENPNNSERNTRQEKPNNTHDGNRLSSNSGARQASRDRYRESTRKGRSSDINEHDLPKSSKRRREDHESHRGGKVDVKGVSEQENSRDHTVSSKKGQNPQRESFVKQAEEDPMSDDENHEDSRRGRSKLERWTSHKEIDYSSIDNENAPTFSSIKSDVQAPTADELGKSEAAAAGNSELKSGGDNGQTSEKNAEERDRHLDTVERLKRRSERFKLPMPGEKDAPQSKKVDTEVQPPQIESASADLEVKPERPARKRRWTGTGS >ONIVA03G34030.1 pep chromosome:AWHD00000000:3:29212998:29213993:1 gene:ONIVA03G34030 transcript:ONIVA03G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTPSWAGKETAKKTLARRRRGARRGKRRRRRRRDWESTARQRRGALPGGGALSSGDRPLRWPPSHRFIRLRRPLCPPRRSLIRRRRCLRRLTSFVSTLFGFL >ONIVA03G34030.2 pep chromosome:AWHD00000000:3:29212963:29215387:1 gene:ONIVA03G34030 transcript:ONIVA03G34030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNLICCTGIDKNRVHNFAGAVRNCKPPEVYKGKGILYIDEVIKLKPGKKQKK >ONIVA03G34020.1 pep chromosome:AWHD00000000:3:29209034:29210988:1 gene:ONIVA03G34020 transcript:ONIVA03G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEARSLPPWVVLDPVVRLHEPDGEEENPGWAAIRCETTAYVTLRADVEGLRRDDELSPFDDDGLKLLALVADPPRPSRLSIRLDGDPDDDEEEGRRGFLGGVLLADAGFLVLSSCLPGTRGDNSYLVCDAATDNASLKMFPTVPMRFRPSVTPLPLRQPDGDGYLLVLFAMDMDADADDDGYLPQVLCLLPSSAPFDRHCWGTRRPIFRSEKPKKFNAHQTFSFQGSSYWVDLGRGILSCSCHDLISNTNDDVQFRYIALPTGCNVDFDSLYLTAPPSQYRDIRCVGNSIRFVSIEGYNTLPGYNMLLSMWELMMPSSGQWRKVGSIRVGRLWEQEGFRRSGLPTNTSPTHPMLSTEDDGVVYLLMGEFYAEDEKDRSLYAFSVDMVTCKFVSAWHLPRWRHAGSPSLMGSDIFKHIKKHNLCQLIPPNKRDRGEASVLTVRPRKMQRDHLGTGSSRVQEKMR >ONIVA03G34010.1 pep chromosome:AWHD00000000:3:29207197:29208682:-1 gene:ONIVA03G34010 transcript:ONIVA03G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSLPPWVVLDRNAFLHKPDGEEEEDPGWATIRCETTAYVTLRANVEGLRPVDELAPFDDGLKLLALDRRGFRSSVLLADAGFIVLSSCLPDTRGHKSYLVCDVAAADNAASLKMLPTLPMRFLPSVTYCPLPVRQQPDADGGGYLLAIFAMDMDADAEADGYLPQVLCLLPSSAPFDRRRWGTRRPIFPSEKPKSFTAHQTFSFQGSAYWVDLGQGILFCSCHDLMSGTNNINNNDDDDLQFGYIQLPDGCYVGFDSLYLTHLPSQYRDIRCISDSIRFVSIEGYNTDPPYDMLLSMWDLTPSSRQWHKVGSIHVGSLWEQEGFRRSGLPTNTSPTQPMLSSEEDGVVYLMAGDFYEEDEKHRSLHVFSVDMTTCEFVSAWRLPPWRHSGPPSLIGSDIFKHLKMDNLCQLVPPNTRAKVLPRPPKRDRGEGNVITVRPRKVQRVHHQGENV >ONIVA03G34000.1 pep chromosome:AWHD00000000:3:29204995:29207966:1 gene:ONIVA03G34000 transcript:ONIVA03G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein [Source:Projected from Arabidopsis thaliana (AT5G42770) TAIR;Acc:AT5G42770] MSSAMASSMAKNSSPFKVVLGSSSPARREILADMGYEFTVMCADIDERAIRREKPEELVKALAEAKAEAIKLKLHGEDSAQERDQPTILITSDQVMVSKGMIRERPKGQEEAREFIKGYSGDKAFAVNYVLVTNLSNGASKGGWDIPELCGYAHSDDGCEFDLEFVLSSTEMNYTKSSNRCMFWFLQIYFHHIPEDFIQSVVKEGHMTCVAGGLKLTHPSVLPFIKQLIGTMDSVRGLPRELTERLIQEVLGAK >ONIVA03G34000.2 pep chromosome:AWHD00000000:3:29204995:29207966:1 gene:ONIVA03G34000 transcript:ONIVA03G34000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein [Source:Projected from Arabidopsis thaliana (AT5G42770) TAIR;Acc:AT5G42770] MSSAMASSMAKNSSPFKVVLGSSSPARREILADMGYEFTVMCADIDERAIRREKPEELVKALAEAKAEAIKLKLHGEDSAQERDQPTILITSDQVMVSKGMIRERPKGQEEAREFIKGYSGDKAFAVNYVLVTNLSNGASKGGWDIPEIYFHHIPEDFIQSVVKEGHMTCVAGGLKLTHPSVLPFIKQLIGTMDSVRGLPRELTERLIQEVLGAK >ONIVA03G34000.3 pep chromosome:AWHD00000000:3:29204995:29207966:1 gene:ONIVA03G34000 transcript:ONIVA03G34000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein [Source:Projected from Arabidopsis thaliana (AT5G42770) TAIR;Acc:AT5G42770] MSSAMASSMAKNSSPFKVVLGSSSPARREILADMGYEFTVMCADIDERAIRREKPEELVKALAEAKAEAIKLKLHGEDSAQERDQPTILITSDQVMVSKGMIRERPKGQEEAREFIKGISISNLCLGIVPVNLLYLAKKFWLCVSLLLVGYSGDKAFAVNYVLVTNLSNGASKGGWDIPEIYFHHIPEDFIQSVVKEGHMTCVAGGLKLTHPSVLPFIKQLIGTMDSVRGLPRELTERLIQEVLGAK >ONIVA03G33990.1 pep chromosome:AWHD00000000:3:29197610:29202705:-1 gene:ONIVA03G33990 transcript:ONIVA03G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGGVKAAAEAEAEGEIVAAPAEKVACFKKTAGEEADATFMERVKDYYNQLKESSAEKHWICIKDKFRAAGEYVSQKSSSVFGKKKVEPVVKDAAVPGEASSVTVESQLRMESIKTQSQGSASSVPKNPAMSSCRKKKSDDATFLEDLKDHIDEFIHASMDEHKHCFKNTIQKMFGMSKVVAERSAEAKEAEVESALPLQTSVSQ >ONIVA03G33970.1 pep chromosome:AWHD00000000:3:29175979:29179663:-1 gene:ONIVA03G33970 transcript:ONIVA03G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEMMRAGGCCCGGGGVWARLLLLVAVVAAPGAVVAQQGNLTSRADLSGLYALRGSLGLRARDWPRRADPCTAWAGVRCSGGRVVSVDLAGLRRTRLGRLAPRFAVDGLRNLTRLEAFSAPGFGLPGSLPAWLGAGLAPTFQLLDISGCAVTGEIPASAIAGLSNLTTLNLAGNLLSGQLPGSALAGLARLKTLNLSGNAFSGELPKAVWSLPELSVLDVSRTNLTGALPDTGLALPSNVQVVDLSGNLFYGGVPGSFGQLFGRTKLANISGNYFDGKLGVSNGDGGNFSFELNCFVDVTGQRSQAECQQFYAARGLPYNVSGPAPTPQPAMPASPGRKKGHKNLKYILIGAICGGVLLVAVIAAILYCLVCSGSRRNGSRNDQRESGVRNTQLGASGTGGGAVTAGTQPSASPANLAKVGDSFGYDQLVEATTDFGDDRLIKHGHSGDLYLGALHDGTSVVVKRITSSMAKKDAYMAELDLFAKGLHERLVPIMGHCLDKEEEKFLVYRFVRNGDLSSALHRKSGEEEEGLQSLDWIKRLKIATGVAEALCYLHHECNPPMVHRDVQASSILLDDKFDVRLGSLSEVCPQEGEGHQNVITKLLRFSSTADQGSSGSPSASCSYDVYCFGKVLLELVTGRLGISASNDAATNEWLDHTLRYINIYEKELMSKIIDPSLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGGSSSARLRATSSRGSWNAAFFGSWRHSSSDIGPSRDDNLLKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSGSRDTED >ONIVA03G33960.1 pep chromosome:AWHD00000000:3:29170179:29174783:1 gene:ONIVA03G33960 transcript:ONIVA03G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEESLKWRTAYRPEDIRWPEISVESETGKMYRASFVDREGRTVVIMRPAKQNTSSHEGQVRFLVYTLENAILSLPEDQEKMVWLIDFTGWTLANATPIKTARECANILQNHYPERLAIGILFNPPKVVKHFLDPKSIQKVNFVYLKNEESMKILHKYIDPEVLPVEFGGKNNVVYSHEEYSKLMVKDDIKMASFWASDTKTDHVNKVINEHSVPEVTQQSSLVAAKAS >ONIVA03G33960.2 pep chromosome:AWHD00000000:3:29170179:29174783:1 gene:ONIVA03G33960 transcript:ONIVA03G33960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEESLKWRTAYRPEDIRWPEISVESETGKMYRASFVDREGRTVVIMRPAKQNTSSHEGQVRFLVYTLENAILSLPEDQEKMVWLIDFTGWTLANATPIKTARECANILQNHYPERLAIGILFNPPKVVKHFLDPKSIQKVNFVYLKNEESMKILHKYIDPEVLPVEFGGKNNVVYSHEEYSKLMVKDDIKMASFWASDTKTDHVNKVINEHSVPEVTQQSSLVAAKAS >ONIVA03G33950.1 pep chromosome:AWHD00000000:3:29160725:29161078:-1 gene:ONIVA03G33950 transcript:ONIVA03G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAERWVPQADILVHRTFLNEPFLVDVLRVGVRVREAASNAAMEAVARAVVRLMNDDDNDAAAARRVRVAELNVTARGAVAESR >ONIVA03G33940.1 pep chromosome:AWHD00000000:3:29159565:29160025:1 gene:ONIVA03G33940 transcript:ONIVA03G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFATLFALAVVMALVPAMPVLQPDEATSATSTAAATWKASTRGPPVYMVKLAPTTGKQSTDEI >ONIVA03G33930.1 pep chromosome:AWHD00000000:3:29151261:29157405:1 gene:ONIVA03G33930 transcript:ONIVA03G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAGECQTNERGGGHCCSEQGAADKSDGGQGRASVDTSIWVTAVLVGNHEERKERGPIYRLQNPQRAYPT >ONIVA03G33920.1 pep chromosome:AWHD00000000:3:29145826:29151248:1 gene:ONIVA03G33920 transcript:ONIVA03G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRSASSSRLSTLSSSPAPHTAAAAASASSPPLSFPNADLVLRLHLDPCPDDDADLDAGEDHRPSLDLHVSSASLLRSRYFAALLSDRWSPAPTSAAGGHGHLSLAVAAPRSASHPFHAHVEVVRLLHTLDFAGAIHSPADALDILPVALQLLFDACVEACTRFLEAVPWSPNEEARVLEISPLLPADEAADLLARITPPPAASASAAGGEAARSPSEAMLHGLIHSAIHGHPVPAATKAFVAMLLKDYPSRDCVQKVLDEAFLSRLETVKELMGKYASPDFRVAVDSDERDAIQRLNLQSAVLNVRHLLWLIERMVEMRVAGNAVKLWSEQAALTADLQKLLNDVDMWKNITPGLPVLVTRCTLRFANSVVNGETIVPRQVRTKLVRSWLPVLNVCRNMVQPMQCGYKSPNCQELEETFLQIISTLPVPDAQELLQQCLGFSTRNVDDCPHLIAAFKTWFRRAGRAPQGAEN >ONIVA03G33910.1 pep chromosome:AWHD00000000:3:29139781:29141623:1 gene:ONIVA03G33910 transcript:ONIVA03G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRLASSGSTGLGFALGRLGGRSGGGGGGGGLAPASAASSSVVSARARRTVSATPNAAAPTPGEQGVAMEQGKQQHQPPPPSQPQPQQEKRGSRDDDMHKTTGDVMTHSFGEGYSTRSDEEGFGGVYGQNDPVFNPGTEYDKSQGSEVKEKEKARHHKDDKHAT >ONIVA03G33900.1 pep chromosome:AWHD00000000:3:29130534:29132413:1 gene:ONIVA03G33900 transcript:ONIVA03G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMRLVVDLMIVLNHYMENGTPTFAASLQQINAARASFCLPMDKSPPTADFSPLPIYRHLSSHSPAPAHRVGKPRRAAVEELLGRRGDELPGGTGRCLSVNPSTTPPPLEIRHWGDGRRRRDPRAVVAGDGCGHRRADGREARSWRAAVAVVDGLMGGRSATAVVARSWWAPDDGHAWRHGSLFL >ONIVA03G33890.1 pep chromosome:AWHD00000000:3:29125384:29127120:1 gene:ONIVA03G33890 transcript:ONIVA03G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIQQQQQQQQQQQRHHHHHHLPPPPPPQSMAPHHHQQKHHHHHQQMPAMPQAPPSSHGQIPGQLAYGGGAAWPAGEHFFADAFGASAGDAVFSDLAAAADFDSDGWMESLIGDAPFQDSDLERLIFTTPPPPVPSPPPTHAAATATATAATAAPRPEAAPALLPQPAAATPVACSSPSPSSADASCSAPILQSLLSCSRAAATDPGLAAAELASVRAAATDAGDPSERLAFYFADALSRRLACGTGAPPSAEPDARFASDELTLCYKTLNDACPYSKFAHLTANQAILEATGAATKIHIVDFGIVQGIQWAALLQALATRPEGKPTRIRITGVPSPLLGPQPAASLAATNTRLRDFAKLLGVDFEFVPLLRPVHELNKSDFLVEPDEAVAVNFMLQLYHLLGDSDELVRRVLRLAKSLSPAVVTLGEYEVSLNRAGFVDRFANALSYYRSLFESLDVAMTRDSPERVRVERWMFGERIQRAVGPEEGADRTERMAGSSEWQTLMEWCGFEPVPLSNYARSQADLLLWNYDSKYKYSLVELPPAFLSLAWEKRPLLTVSAWR >ONIVA03G33880.1 pep chromosome:AWHD00000000:3:29107949:29114731:-1 gene:ONIVA03G33880 transcript:ONIVA03G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCTDACGQAMKSLHFLVASAPGHCCSTSYAIKLEVSGSGNQVLPSLTSQALRFGQGQWRPRVPPSTTDIVSSNKSVPMTKPEALQLHHLPDDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLIFTRATMFKPGNETIRRTRTNFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKLRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRLRYLSLHYCYMEKVELEAPNLTSVDLTNQPIPLALSESLKVMEANIKLLHKSVLYGDNLDYICTELPAALPHVQKLSITSTLCIYDELQSFAKTSVRFINLRHLSLYLPLYGDGRSVGGILRLAYLLELAPVLEELELHFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRLDCMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >ONIVA03G33880.2 pep chromosome:AWHD00000000:3:29107949:29114731:-1 gene:ONIVA03G33880 transcript:ONIVA03G33880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCTDACGQAMKSLHFLVASAPGHCCSTSYAIKLEVSGSGNQVLPSLTSQALRFGQGQWRPRVPPSTTDIVSSSQASPAAQRKETPDALKKKLRQTFLVASGRSGIWRLGIATGDLQARSRRTTEGSREGKKLSGGKWSSEKRTGDWDWLFTGSLTLSEYRRRSSPTRPDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLIFTRATMFKPGNETIRRTRTNFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKLRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRLRYLSLHYCYMEKVELEAPNLTSVDLTNQPIPLALSESLKVMEANIKLLHKSVLYGDNLDYICTELPAALPHVQKLSITSTLCIYDELQSFAKTSVRFINLRHLSLYLPLYGDGRSVGGILRLAYLLELAPVLEELELHFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRLDCMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >ONIVA03G33880.3 pep chromosome:AWHD00000000:3:29107949:29114837:-1 gene:ONIVA03G33880 transcript:ONIVA03G33880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRASAPGHCCSTSYAIKLEVSGSGNQVLPSLTSQALRFGQGQWRPRVPPSTTDIVSSSQASPAAQRKETPDALKKKLRQTFLVASGRSGIWRLGIATGDLQARSRRTTEGSREGKKLSGGKWSSEKRTGDWDWLFTGSLTLSEYRRRSSPTRPDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLIFTRATMFKPGNETIRRTRTNFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKLRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRLRYLSLHYCYMEKVELEAPNLTSVDLTNQPIPLALSESLKVMEANIKLLHKSVLYGDNLDYICTELPAALPHVQKLSITSTLCIYDELQSFAKTSVRFINLRHLSLYLPLYGDGRSVGGILRLAYLLELAPVLEELELHFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRLDCMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >ONIVA03G33880.4 pep chromosome:AWHD00000000:3:29107949:29114837:-1 gene:ONIVA03G33880 transcript:ONIVA03G33880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRASAPGHCCSTSYAIKLEVSGSGNQVLPSLTSQALRFGQGQWRPRVPPSTTDIVSSNKSVPMTKPEALQLHHLPDDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLIFTRATMFKPGNETIRRTRTNFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKLRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRLRYLSLHYCYMEKVELEAPNLTSVDLTNQPIPLALSESLKVMEANIKLLHKSVLYGDNLDYICTELPAALPHVQKLSITSTLCIYDELQSFAKTSVRFINLRHLSLYLPLYGDGRSVGGILRLAYLLELAPVLEELELHFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRLDCMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >ONIVA03G33880.5 pep chromosome:AWHD00000000:3:29107949:29114731:-1 gene:ONIVA03G33880 transcript:ONIVA03G33880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCTDACGQAMKSLHFLVASAPGHCCSTSYAIKLEVSGSGNQVLPSLTSQALRFGQGQWRPRVPPSTTDIVSSSQASPAAQRKETPDALKKKLRQTFLVASGRSGIWRLGIATGDLQARSRRTTEGSREGKKLSGGKWSSEKRTGDWDWLFTGSLTLSEYRRRSSPTRPDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLIFTRATMFKPGNETIRRTRTNFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKLRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRLRYLSLHYCYMEKVELEAPNLTSVDLTNQPIPLALSESLKVMEANIKLLHKSVLYGDNLDYICTELPAALPHVQKLSITSTLCIYDEFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRLDCMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >ONIVA03G33870.1 pep chromosome:AWHD00000000:3:29102649:29105706:1 gene:ONIVA03G33870 transcript:ONIVA03G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPFARPNRASPSAWEADFLERTNLTMSTVEYALPTAIMTSTSFVAEGAGGSQICAMYSFQAFSEMINERNAEPGMINVFLLPHLPLNDVSDVYDQIGSGDAPYRISNGPMLLNKVNAYRELIRVGKELAPLEGLILSRKALRTSCFIVSILKSDGEDIPLPLDMSAATQLLFKWESDFVEACEMATKARRRLMLATLLAMFWSSSDDFVENEFSVREGDAISMSHVQETLQRLAPDLYQNVFGALNEVHYTEDGRPPKSVVVSLKKLITEITSEMTPTEFVAFSLETSRRDLIHQLSDVSHQEFRLKVLRSLQSVASALIRLHMLDKEMLEPHLSRPTLLGAKDPYWPHFQVLSDEELSVEVRRGSLPMFDFCAKGGEEELEESEEERKVDVVSKLHAILRPFLLRRMKFCVL >ONIVA03G33860.1 pep chromosome:AWHD00000000:3:29090146:29095850:-1 gene:ONIVA03G33860 transcript:ONIVA03G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GT12] MEKALVERLEAAVARLEAAVASGASLSAAPRDLGDGLDAAAAASDPAIVAYDEFVAGAVGRLTAAAEKIGGKVLDATKVLAEAFAVSKDRLVQAKQLQKPASMADAQDFFKPLNDVIAKAIAMTEGRRPDYFNHIKSVADSLTALAWVLVEYRNKDADHVEWAKALKELYMPGLRDFVKKHYPLGPSWGPVGGAPVSQPKATAPAPKAPGAKAPPPPALPSAPLFTTEKSPKSAQPKEGMSAVFQEISSGKAVTTGLRKVTDDMKTKNRSDRSGVVSSSTAAPAAAPEKTSRAGSFSFKSGPPKLELQMGRKWVVENQVGKKNLAIDDCDARQSVYVYGCKDSVLQVNGKVNNITVDKCTKVGIVFKLLKLSIAMVLRCNASNDSLGASITSAKSSEINVMVPSGATDGGTCFAPTVHPQLQRRAIHHLTGVSFRSIATIIPAFLGLLQAAAAAPLAVVVAVPGAHRAEGPAAAAEPGCNGVTCGCCPGSLAGAGDGLTGRVGAAAAGAMAGARPAAVPFLISPVGYVSARVVVWNSPNDFSDGFPGLRSSSYTANRYVSTGFPGARGVPAMDRRCDTSPETNARAFPTVAPASSAPPLCGHPVSATTISTSLYPLASSAARTASTCASNMLVSPEPASGRPALGWNRQNASVSGRGSLRYAK >ONIVA03G33860.2 pep chromosome:AWHD00000000:3:29091305:29095850:-1 gene:ONIVA03G33860 transcript:ONIVA03G33860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GT12] MEKALVERLEAAVARLEAAVASGASLSAAPRDLGDGLDAAAAASDPAIVAYDEFVAGAVGRLTAAAEKIGGKVLDATKVLAEAFAVSKDRLVQAKQLQKPASMADAQDFFKPLNDVIAKAIAMTEGRRPDYFNHIKSVADSLTALAWVLVEYRNKDADHVEWAKALKELYMPGLRDFVKKHYPLGPSWGPVGGAPVSQPKATAPAPKAPGAKAPPPPALPSAPLFTTEKSPKSAQPKEGMSAVFQEISSGKAVTTGLRKVTDDMKTKNRSDRSGVVSSSTAAPAAAPEKTSRAGSFSFKSGPPKLELQMGRKWVVENQVGKKNLAIDDCDARQSVYVYGCKDSVLQVNGKVNNITVDKCTKVGIVFKLLKLSIAMVLRCNASNDSLGASITSAKSSEINVMVPSGATDGDWVEHALPQQYIHSFKDGQFITSPVSHSGA >ONIVA03G33850.1 pep chromosome:AWHD00000000:3:29087902:29092554:1 gene:ONIVA03G33850 transcript:ONIVA03G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRQLLLLLLLWGFLQLIRLPYSASQSFIGINYGDVADNLPPPASTARLLQSTTITKVRLYGTDPAVISAFAGTGISLLLGAANGDIPNFASSPAAAAAWVAAHLPSTSSPAISAVSLGNEVLFADTSLASQLVPALQNIHAALPPNSSVKVSTVHAMDVLASSDPPSSGAFKPELAAALDPLLAFLSKTGSPFLINPYPYFAYLSDPRPETLAFCLFQPNAGRPDAGSGLTYTNMFDAQVDAVRAALDAKGYKDVEIVVAETGWPHSGGADEAGATVGNARAFVSGLVSHLRSMAGTPRAPGKPVDTYLFAVYDEDLKPGKPSEKSFGLFQTTTLAETYPTGLMRNGTAAGLAPAMAPAAAAPTLPVKPSPAPARLPGQQPQVTPLQPGSAAAAGPSALCAPGTATTTARGAAAAACSSPSAAESPRTMSVISIIAGVLLMYLLI >ONIVA03G33840.1 pep chromosome:AWHD00000000:3:29080012:29086681:1 gene:ONIVA03G33840 transcript:ONIVA03G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPLCLANGYRSTQLRAPCHTVASQAPHHPLLPDYVHPFLHVPRVRDSHIRLVPAGPYRETTGPGLRHASFCSALQGSRQTLVLPICEPPFQRTRYLPYCSNRHVGPDLSGPTASVTKGSRWDVPYPGTARAVRAITQFERPPGRTKGYFSPLTFPPETLAGLKPLLPTDFRGGQDERHGRQPAAERHPLRPHAARHQPHYARRQPFGGDERVPPAPRAEELKRPRRPPAEREHGAEGGEEEEGRLMVEGMVVAVANGTKVEAAPAAGAGAAANADSPTSVLEDEISECKNGDASDTVEAIKQEDDHLKVLAEERTDDFVDASSSLTVELAANNGDLSPHPVPVKEEDQLLEPVKEEKADDFVDATSSLPIDLEAKNGDASLITDAMKEEEDKLHEARVKADEEEEARKRAEAARLAFDPNARFNKLDELLTQTQLYSEFLLEKMETIADVEGVDTPDEEEPVEEKKKGRGRKRKATSAPKYNNKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAARAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEGHRLKNSKCILLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFCAKGGEEEQEESEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTNHQKEIQNHLVEQTFDEYLHEKSEIVLRRPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGMYPPVEKLLEQCGKFQLLNRLLNLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQDEEDRMIQTDITDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >ONIVA03G33840.2 pep chromosome:AWHD00000000:3:29080012:29086874:1 gene:ONIVA03G33840 transcript:ONIVA03G33840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPLCLANGYRSTQLRAPCHTVASQAPHHPLLPDYVHPFLHVPRVRDSHIRLVPAGPYRETTGPGLRHASFCSALQGSRQTLVLPICEPPFQRTRYLPYCSNRHVGPDLSGPTASVTKGSRWDVPYPGTARAVRAITQFERPPGRTKGYFSPLTFPPETLAGLKPLLPTDFRGGQDERHGRQPAAERHPLRPHAARHQPHYARRQPFGGDERVPPAPRAEELKRPRRPPAEREHGAEGGEEEEGRLMVEGMVVAVANGTKVEAAPAAGAGAAANADSPTSVLEDEISECKNGDASDTVEAIKQEDDHLKVLAEERTDDFVDASSSLTVELAANNGDLSPHPVPVKEEDQLLEPVKEEKADDFVDATSSLPIDLEAKNGDASLITDAMKEEEDKLHEARVKADEEEEARKRAEAARLAFDPNARFNKLDELLTQTQLYSEFLLEKMETIADVEGVDTPDEEEPVEEKKKGRGRKRKATSAPKYNNKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAARAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEGHRLKNSKCILLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFCAKGGEEEQEESEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTNHQKEIQNHLVEQTFDEYLHEKSEIVLRRPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGMYPPVEKLLEQCGKFQLLNRLLNLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQDEEDRMIQTDITDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >ONIVA03G33840.3 pep chromosome:AWHD00000000:3:29080588:29086681:1 gene:ONIVA03G33840 transcript:ONIVA03G33840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGMVVAVANGTKVEAAPAAGAGAAANADSPTSVLEDEISECKNGDASDTVEAIKQEDDHLKVLAEERTDDFVDASSSLTVELAANNGDLSPHPVPVKEEDQLLEPVKEEKADDFVDATSSLPIDLEAKNGDASLITDAMKEEEDKLHEARVKADEEEEARKRAEAARLAFDPNARFNKLDELLTQTQLYSEFLLEKMETIADVEGVDTPDEEEPVEEKKKGRGRKRKATSAPKYNNKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAARAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEGHRLKNSKCILLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFCAKGGEEEQEESEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTNHQKEIQNHLVEQTFDEYLHEKSEIVLRRPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGMYPPVEKLLEQCGKFQLLNRLLNLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQDEEDRMIQTDITDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >ONIVA03G33840.4 pep chromosome:AWHD00000000:3:29080588:29086874:1 gene:ONIVA03G33840 transcript:ONIVA03G33840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGMVVAVANGTKVEAAPAAGAGAAANADSPTSVLEDEISECKNGDASDTVEAIKQEDDHLKVLAEERTDDFVDASSSLTVELAANNGDLSPHPVPVKEEDQLLEPVKEEKADDFVDATSSLPIDLEAKNGDASLITDAMKEEEDKLHEARVKADEEEEARKRAEAARLAFDPNARFNKLDELLTQTQLYSEFLLEKMETIADVEGVDTPDEEEPVEEKKKGRGRKRKATSAPKYNNKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAARAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEGHRLKNSKCILLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFCAKGGEEEQEESEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTNHQKEIQNHLVEQTFDEYLHEKSEIVLRRPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGMYPPVEKLLEQCGKFQLLNRLLNLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQDEEDRMIQTDITDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >ONIVA03G33840.5 pep chromosome:AWHD00000000:3:29081106:29086681:1 gene:ONIVA03G33840 transcript:ONIVA03G33840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGMVVAVANGTKVEAAPAAGAGAAANADSPTSVLEDEISECKNGDASDTVEAIKQEDDHLKVLAEERTDDFVDASSSLTVELAANNGDLSPHPVPVKEEDQLLEPVKEEKADDFVDATSSLPIDLEAKNGDASLITDAMKEEEDKLHEARVKADEEEEARKRAEAARLAFDPNARFNKLDELLTQTQLYSEFLLEKMETIADVEGVDTPDEEEPVEEKKKGRGRKRKATSAPKYNNKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAARAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEGHRLKNSKCILLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFCAKGGEEEQEESEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTNHQKEIQNHLVEQTFDEYLHEKSEIVLRRPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGMYPPVEKLLEQCGKFQLLNRLLNLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQDEEDRMIQTDITDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >ONIVA03G33840.6 pep chromosome:AWHD00000000:3:29080012:29081182:1 gene:ONIVA03G33840 transcript:ONIVA03G33840.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPLCLANGYRSTQLRAPCHTVASQAPHHPLLPDYVHPFLHVPRVRDSHIRLVPAGPYRETTGPGLRHASFCSALQGSRQTLVLPICEPPFQRTRYLPYCSNRHVGPDLSGPTASVTKGSRWDVPYPGTARAVRAITQFERPPGRTKGYFSPLTFPPETLAGLKPLLPTDFRGGQDERHGRQPAAERHPLRPHAARHQPHYARRQPFGGDERVPPAPRAEELKRPRRPPAEREHGAEGGEEEEGSDSKEWWWMWNVG >ONIVA03G33830.1 pep chromosome:AWHD00000000:3:29070890:29077625:1 gene:ONIVA03G33830 transcript:ONIVA03G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSSASAAHQGGDAPAEAPRRRGGGGKRKSGGSSFTPSKRHAKERNAAFHVPPHLLHSGPLTRAARQSPHKLAEEAAAAAAAGTGGSEAGGGKGGGDVIRLEGEEAPTEETPLVDEVFEAVRSRGAGVHVVPTFAGWFSWKEIHPIEKQMLPSFFNGKSDKRTPEIYLGIRNFIMLKFHANPQLQLESKDLAELSIGEADAHQEVLKFLDHWGLINFHPFLPAGQEESKPEEAHGKSHSEEKASVLEQLFKFESVQSYMIPLPKKGEVETPAPLPSLLPDPALIEDVVSAAEPSVEYHCNSCSVDCSKKRYHCRTQADFDLCSDCYNEGKFDIGMAKTDFILMDSSEVSGASGTSWTDEETLLLLEALEIFGGKWTEIAEHVATKTKAQCMLHFLQMQIEDRFHGDEDINQNIQENTEQASAEKGAAEIPDKMEVEEKAEGKDTAGEKTPEKAEGNSVEAQTEDGNAIENKDANNSGGTDSVKSLNTDEPKKSSDADPPKSSSDAEPVVKENSVDVDTSRENASNFAIDTLKSAFEAVGYFPEHEGSFADAGNPVMALASFLAGLVEDDTATNSCRSSLKAISEVSPALQLATRHCFILEDPPSDVKDMSGNASTTSTDGDKRKDKDKTQDSIDSEVEGINKKGETVLSVEGKKSSPISPKGQDTDKKDECDEDPSVDPKHNNGKESDDPVSLDKSVSNNKKGNTMETSNPEMIEDKASSEVNPADDSSLEGKVEMKKTKDAVANATTAQEKKQSQILENGKMEGNANTAKMVKTVNFNSGPLTYDTCPAEPKSTEDVAADEENSSRVTANLTDSITRLKRAAATAISAAAVKAKLLADHEEEQIRQLAALMIDKLYRKVEAKVSFLTEVEHLVQRTREYTEKTRKKLLMERNAIIAARMGSLPSRPNQPGAAGNRLPAGYGGPIVRPPPNAMPRPSS >ONIVA03G33820.1 pep chromosome:AWHD00000000:3:29068332:29069345:-1 gene:ONIVA03G33820 transcript:ONIVA03G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDASPQPIQSAKSAVESLAAVLGAALPGTLASADDPANALLHDAGVARAVVGRLRREGSGAGNDGLCRWLYDAFQSNLPEIQLAVLRFVPALAGVYMSRAVSRKPLAGFEAVLLALYAHAAAQRGSGEAETVSLPNLANPSVYHDAKVPPKTKAAELDVAVLSPVLEPHGTMRATRRARIVGAVLELYHGKLAIMPLSSKMEFCEFCVAWTGNRSKLDDKPRVAAASEPAAAEEKLRRVPLPWELFQPVLRIVAHCLLGPTNSDELKTQATRAAECMYWRAAETMDARSVLAARSLVRLSQMTEEPIPEPSFSGAVETNMAELEAMRANILSNKN >ONIVA03G33810.1 pep chromosome:AWHD00000000:3:29066807:29069410:1 gene:ONIVA03G33810 transcript:ONIVA03G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALMASSSAMFVSTAPEKDGSGIGSSVIWDSRTRLLAASTERASMVSAALQYMHSAARVACVFSSSEFVGPSRQCATIRSTGWNSSHGNGTLRNFSSAAAGSEAAATRGLSSSLLRFPVQATQNSQNSILEESGMMASLPW >ONIVA03G33800.1 pep chromosome:AWHD00000000:3:29063505:29064755:1 gene:ONIVA03G33800 transcript:ONIVA03G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARPAPSWGLLDSVVRLHKVGERTEPDWAVIECSETRAYVTLYNWHDRPDGTSPFRLSPFDGLELLVRVADDPPYPTALSIRLHGDPDKDVRRGTIGGVLLADGGFVVLSSCLPDTRGSSSYIVFDAANASLAMIRANLSLTFLLPVTYTPLPIRRADGGGYVLALLASDANRKDVVCLMPSPLPFDQPWQLKSPLFPPEKPAWFNADEEFSSQGRAFWVSLDKGVLFCDHQDLLSSSSDNVRFSYIALPLGCEVAFDPTFRTANPSKYRTMRCVGDSLRFVSIEGYTTVHSRDMVLCMWTLVIPSSSSSGDQWRKAGEICVGRLREQEGFKNARLPTHRPPTKPMLSSHEDGVVYFMLSDRHKGEDAAKYIYVFSVNMFTGRFVSSWRLPSSCAPHSDPQFLMGSDILEHIKI >ONIVA03G33790.1 pep chromosome:AWHD00000000:3:29057071:29059788:-1 gene:ONIVA03G33790 transcript:ONIVA03G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTESSSTGGSSSTSTGDSPHCWSPGTNVQPGAGVGLNSICFASSGDGPARLGVAPFENGQYVRLLNRGRGGYLFADESGVRVRTDCRRGLINTVWCVQILGGDTPHILLRGAYGRYVAGTPLGADEGHIGILVTQRVLETMDTNVMWRTVPGPRGGGVVLINASSFNGGLRALRTNGKYQRWNTGVSLQCIDRFNARFSSMMEWEVQVIPTRVQRPPFQVGGAAWLCGLQRRGSGEIQVGVRVADDDGNFNIPGPQNLSVPGRSLIELGSVLEDRLGSNFRFRNMSIFIRAGSLGQPFPLLTDLPSELDYFEVVVFMVGTPGYRRLRFPDIDAA >ONIVA03G33780.1 pep chromosome:AWHD00000000:3:29055795:29056073:1 gene:ONIVA03G33780 transcript:ONIVA03G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHLRETLAKAARHVEKTHHIRMEYEERVLRRFVLHGYVDVTDNEDDQVGDDDDDDGDDKDDPPASLIRPLSSAPVDTVADPRISLRPGQS >ONIVA03G33770.1 pep chromosome:AWHD00000000:3:29049967:29052896:-1 gene:ONIVA03G33770 transcript:ONIVA03G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium load-activated calcium channel [Source:UniProtKB/TrEMBL;Acc:A0A0E0GSZ8] MASALSSLRYGDSLSVVAISGATAVLCEAISWLLIYRTATYNSLRATIERHSRKLDAMKAGASNSSSSSSAGAGASGSSQPAGSSSSRAKKMDRVETSLKDAARELSLSKLKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFAPVPLVQRMSHRGVPGNDPTDCSMVFLYFLCSISIRTNLQKLLGFAPPRAAAAAGGGLFPMPDPKVN >ONIVA03G33760.1 pep chromosome:AWHD00000000:3:29047053:29049060:-1 gene:ONIVA03G33760 transcript:ONIVA03G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLGIGGRAAEETEPARFAFADALPAWPQGGGFATGRICVGELELAAVTAFEKICALSATKGGGGVVTFYRPAGVPEGFSVLGHYCQPNTRPLHGHLLVAKAVAGKPESESLPPLRPPHDYELVCAFRADGVGEDRKSCRGYGRTGAYFWLPVPTAGYRALGLLVTAEPDKPPLREVACARADLTDECEPHGSLLQLQLVGQSACWSSSTVPAAFALRGIRPTHRGMWGRGIGAGTFCCGAVGLSPREQGMACLKNVDLDLSAMPTLEQAHAVIRHYGPTLYFHPKEVYLPSSVSWFFKNGAALCKKGEDAAVELDGEGSHLPCGECNDGEYWIGLPDGKRGESIIYGDIDSAELYAHVKPAMGGTCTDVAMWVFCPFNGPARFKLGPITIPLGKTGQHIGDWEHFTLRVSNFTGELMAVYFSQHSGGRWVDASALEYTAGNKPAVYSSRNGHASYPFPGVYLQGSAALGIGIRNDAARSELAVDSSAKYRIVAAEYLGEGAVEEPRWLNFMRVWGPTVVYKSRQRMERMTSAMHRRLRSPAERMLNKLPNELSREEGPTGPKEKNNWEGDERW >ONIVA03G33750.1 pep chromosome:AWHD00000000:3:29023617:29049027:1 gene:ONIVA03G33750 transcript:ONIVA03G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEASAEEALRTAAAEVSTSSTTRRLRLFRHTLPHLLAKASVITELHRALVLTAREVESPSDTTLLVDLIFQTLLIYDDRASRKAVDDMVIQALGESTFMKPFAASLVQCMEKNMKVTSPLACFKLLRWSCYLLNLSQFAMLSKGGFSRLANAQAVLCQVLMDGSFRQRRTCKQLFTRLFSESMGTYKMYIDEIRDSRIPVRDSPAFLNIMLDFAITSPSLYAEYKPLFLDLYVKTILGSKDRPSQASAEAFKPLFLDMGHEDFKNIVVPSCIKMLKRNPEIVLQSIGYLLNTVRLDLSKYCMEFLPVVLHQARHSVEERRVIALSTVGTLSGKSSDPDTLLSMFNAIKAILGGSEGKLSIPYQRIGMINALEQLSRSPPKQISRLAPSLSSFLLTCYKDDGIEEVKLAVLSALGSWASVSTETVQADAVSFIAAGLKEKDTLRKGHLKLIRAICKKSDSLTKVTSLLDQLIQLSKTGFTKATQRLDGIYALFSVSRLAAIDTKAGSKSFSLCSHYCSWRENVYFETKRLQRRLKQQKIFFIELISPNISVICKELLSLNGLFSSNKQVQCAALNSLSTLMTITPSDAFLEFEKHFIGLPDLTLHDGFSENDIKILYTPEGQLSTEQGIYVAEAVASKNTKLAKGRFRAYDDQDTDSARSGAPTKSDRRESSSIGKRETGKSTKKTAPADKAKTAKEEARDLLLKEEASVREKIGHVQKNLSLMLDALGELAIANPIFTHGQLPSLVNYVEPLLSSPIVSDAAFRAMLNLARCTAPPLCNWAPEIAAAIRVIAVDDFEMVMDLMPVIVEEDSNKKSSPGLFEQIVTGLTVACKAGPLPADSFTFVFPVLYHVLSTVPAYHPSVGPMLNELCLGLKSNDLAQALVGVYAKEVHVRLACLTAIKCIPSHSVQRDLQVSTSLWIAAHDPEKVVAELAEELWDRFGFDVFTDYSGIFDALSHKNYNVRAAAAEALAAALDENLDKMQDTLSTLFSLYIRDLGAGVEFGDIHWLGRQGVALALHSLADVLGSKDLPVVMTFLISRALADPNVDVRGRMINAGILIIDKHGKENVPLLFPIFESYLNKKASDEEKYDLVREGVVIFTGALAKHLSKDDPKVHSVVEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEAQALVSRLLDRMMKCEKYGERRGAAFGLAGVVKGFGISSLKKYGIAAILQQGLEDRASAKSREGALLGFECLCEKLGKLFEPYVIQMLPLLLVSFSDQVLAVRESAECAARAMMSQLTGHGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQAAGQTALQQVGSVIKNPEISALVPILLSALTDPNNHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVDTKKKAAQIVGNMSSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRAVAARALGSLIIGMGEEIFPDLVPWLLDTLKSDSSNVERSGAAQGLSEVLAALGKDYFDQILPDIIRNCSHQKASVRDGHLTLFRYLPRSLGGVFQNYLQIVLPAILDGLADENESVRDAALSAGHVFVEHYATSSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAHGRAIIDVLGREKRNEVLAAIYMVRSDVSLTVRQAALHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSSERRQVAGRSLGELVRKLGERVLPSIIPILSQGLKDPDASRRQGVCIGLSEVMGSAGKHQLLSFMDLLIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDETSATALDGLKQILSSFNAHALGALAEVAGPGLNSHIGTVLPALILAMDDEDADVQNSARKAAETVVLVIDEEGIETLIPELLKGVNDSQASMRRGSAYLIGFLFKNSKLYLADEAPDIMSTLITLLSDTDKATVSAALEAFSRVVSSVPKEQLPTHIKLVRDAVSTARDKERRRRKGVPILVPGLCLPKALQPFLPIFQQGLISGSAETKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIISKGGLALKPFLPQLQTTFVKCLQDNNRLVLLSFRFAVCSIVLPPLTQNLNNRSVRTRAASALGKLSALSTRVDPLVSDLLSMLQSGDDAVKESVLSALKGVVRHAGKSVSPVVRSRGCDLLKDLLQADADDVRSSAAKAIGTLCQYMEENETSDLVQTLLNMGTLPDWCTRHGALLTFCSISMHCSSKLCRSMSFPSIVDLLKDSLKDDKINNPALATHLSILGPAIAEALKDTNTPVRVAAERCALHVFQLTKGADNVTIAQKHLNMTGLEVRKIAKLPEESDGSESSDDDKRK >ONIVA03G33740.1 pep chromosome:AWHD00000000:3:29009455:29011729:1 gene:ONIVA03G33740 transcript:ONIVA03G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPSEDEKLKELVARYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEELLLASHRAHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRLSNRRGGAAAAGAAKGDESPARISNGEKTATRPPATNGSGMAMASLLDKYRRECGAAGLFAIGRHHNSKEDYCSSTNEETSKSVEFYDFLQVNASSSDTKCGSSIEEQEDNRDDDQAEGQVQLIDFMEVGTTSRQ >ONIVA03G33730.1 pep chromosome:AWHD00000000:3:28991877:28998487:1 gene:ONIVA03G33730 transcript:ONIVA03G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFPGAVSASSPETEEGERGAGAPPAPANGPAWTIGVGDLWAEWTARMGCGPFAAKFKAQYGAATALVGECNAECNIKPLHLKEPVCYTGEKETYKIQGTKGMKIEKRGHGPINFDSFMMQRLRNEMRHHTLD >ONIVA03G33720.1 pep chromosome:AWHD00000000:3:28989918:28990920:-1 gene:ONIVA03G33720 transcript:ONIVA03G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRQRAASDQRRECAPEAPQPAVTSPSTEVATVAPPTDSGWITTAVERMMSFFGGGHARRSSEVAPTPAHGKGTTTGRTGLAHGKAGGKGATTNRTGNQAWIHLPQKKHTPPAPPQAPPQPQAQRQHRVVNGEEVPFEVEDQYIHYCRESRTIVHKTICHICFFQEQNFTASNRVSGSQMLLHCHMKHSKFPLVPCEAEDCRIYVTTARDLQLHNYFCHTLPADWWEE >ONIVA03G33710.1 pep chromosome:AWHD00000000:3:28966697:28989940:1 gene:ONIVA03G33710 transcript:ONIVA03G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIEINRRISCAPPHGGLTVAAHPSRRAIPPPGDFEEKHYRGCAPAAAAAAEPLHAASSPPTRTPARSPTWPPPLAAFAASTAGRPVLGMRQCVWRPGGCATYGGQNPNQPPDFLLSTARRPDGFCSSSAPGYSAAGQQIPAVSVSVSVYEGIPCASHFIKCSNSASFQADDEFHLSSGDRSVPMAACSIARIINLGDLAKCPKILCSLLFRVVSKFLALSPSLLKEVEKDDGDQPSVTESVMANLPELHQDILMEIFALLEIPDLVRAGSVCNSWRSAYNGLRSLGIYKLSQTPCLLYTSESAGDSVVSLYSLVEKREYKITLPEPPVRSRFLIGSSLGCLVTVDDVSEMHLVNPITGEQIALPSVITIEHVNPIFNESGAIHMVGDDKWTWLPPHTHYADCIYKDGILYAVNKVGEIHAFDLSGPVVTMKTIIEMVPGYACDKMYIVQAPWGDLLQVWRSYEYIEGDYEADLHDADPAISVENTGEIKIFVVDTVEKKRVEIENLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDNDLSLFGHKNNRRDIGLFDLKHNSREELVSPQLWSNFPAPVWITPSFTKSKQQVLCGSHLRGISEIYKANVTNHTCPAFKKMLEHLHHVVLNKFKSDLDQSLRSGGGFAASAHSLVKHAVWDTTEVRGKLEHHIEAHATSVREKTLGCACWTCIVNLETGEKNSWACIRRLYRHATENAILAFSASLSEFELDQITIHKMVKELREHARSIVEEKARKEAGNVLMHFPLLSRDKDSMPRTWKGNEDISAITREARLAALRLMSVMAANRLDNKPDKIDRTLTTALLDGRPLSRKRSIEFASDPIVSSTWEECKSIWRNAETEYAVAEAISMQHTNIAIIGCHCVDYSTSCNFRLQRIYVSSKLSGLLTITSGFLPTIMDIITAVINISHNQKSSINSPL >ONIVA03G33710.2 pep chromosome:AWHD00000000:3:28966697:28989940:1 gene:ONIVA03G33710 transcript:ONIVA03G33710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIEINRRISCAPPHGGLTVAAHPSRRAIPPPGDFEEKHYRGCAPAAAAAAEPLHAASSPPTRTPARSPTWPPPLAAFAASTAGRPVLGMRQCVWRPGGCATYGGQNPNQPPDFLLSTARRPDGFCSSSAPGYSAAGQQIPAVSVSVSVYEGIPCASHFIKCSNSASFQADDEFHLSSGDRSVPMAACSIARIINLGDLAKCPKILCSLLFRVVSKFLALSPSLLKEVEKDDGDQPSVTESVMANLPELHQDILMEIFALLEIPDLVRAGSVCNSWRSAYNGLRSLGIYKLSQTPCLLYTSESAGDSVVSLYSLVEKREYKITLPEPPVRSRFLIGSSLGCLVTVDDVSEMHLVNPITGEQIALPSVITIEHVNPIFNESGAIHMYEYSWYSASRVYHSEPSIFSLDELREYLLDKAFMFSDTSTENYLVVLIHNPHSQLSFARVGDDKWTWLPPHTHYADCIYKDGILYAVNKVGEIHAFDLSGPVVTMKTIIEMVPGYACDKMYIVQAPWGDLLQVWRSYEYIEGDYEADLHDADPAISVENTGEIKIFVVDTVEKKRVEIENLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDNDLSLFGHKNNRRDIGLFDLKHNSREELVSPQLWSNFPAPVWITPSFTKSKQQVLCGSHLRGISEIYKANVTNHTCPAFKKMLEHLHHVVLNKFKSDLDQSLRSGGGFAASAHSLVKHAVWDTTEVRGKLEHHIEAHATSVREKTLGCACWTCIVNLETGEKNSWACIRRLYRHATENAILAFSASLSEFELDQITIHKMVKELREHARSIVEEKARKEAGNVLMHFPLLSRDKDSMPRTWKGNEDISAITREARLAALRLMSVMAANRLDNKPDKIDRTLTTALLDGRPLSRKRSIEFASDPIVSSTWEECKSIWRNAETEYAVAEAISMQHTNIAIIGCHCVDYSTSCNFRLQRIYVSSKLSGLLTITSGFLPTIMDIITAVINISHNQKSSINSPL >ONIVA03G33710.3 pep chromosome:AWHD00000000:3:28966697:28989940:1 gene:ONIVA03G33710 transcript:ONIVA03G33710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIEINRRISCAPPHGGLTVAAHPSRRAIPPPGDFEEKHYRGCAPAAAAAAEPLHAASSPPTRTPARSPTWPPPLAAFAASTAGRPVLGMRQCVWRPGGCATYGGQNPNQPPDFLLSTARRPDGFCSSSAPGYSAAGQQIPAVSVSVSVYEGIPCASHFIKCSNSASFQADDEFHLSSGDRSVPMAACSIARIINLGDLAKCPKILCSLLFRVVSKFLALSPSLLKEVEKDDGDQPSVTESVMANLPELHQDILMEIFALLEIPDLVRAGSVCNSWRSAYNGLRSLGIYKLSQTPCLLYTSESAGDSVVSLYSLVEKREYKITLPEPPVRSRFLIGSSLGCLVTVDDVSEMHLVNPITGEQIALPSVITIEHVNPIFNESGAIHMYEYSWYSASRVYHSEPSIFSLDELREYLLDKAFMFSDTSTENYLVVLIHNPHSQLSFARVGDDKWTWLPPHTHYADCIYKDGILYAVNKVGEIHAFDLSGPVVTMKTIIEMVPGYACDKMYIVQAPWGDLLQVWRSYEYIEGDYEADLHDADPAISVENTGEIKIFVVDTVEKKRVEIENLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDNDLSLFGHKNNRRDIGLFDLKHNSREELVSPQLWSNFPAPVLCGSHLRGISEIYKANVTNVILENGKAHTCPAFKKMLEHLHHVVLNKFKSDLDQSLRSGGGFAASAHSLVKHAVWDTTEVRGKLEHHIEAHATSVREKTLGCACWTCIVNLETGEKNSWACIRRLYRHATENAILAFSASLSEFELDQITIHKMVKELREHARSIVEEKARKEAGNVLMHFPLLSRDKDSMPRTWKGNEDISAITREARLAALRLMSVMAANRLDNKPDKIDRTLTTALLDGRPLSRKRSIEFASDPIVSSTWEECKSIWRNAETEYAVAEAISMQHTNIAIIGCHCVDYSTSCNFRLQRIYVSSKLSGLLTITSGFLPTIMDIITAVINISHNQKSSINSPL >ONIVA03G33710.4 pep chromosome:AWHD00000000:3:28966697:28989940:1 gene:ONIVA03G33710 transcript:ONIVA03G33710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIEINRRISCAPPHGGLTVAAHPSRRAIPPPVYEENYLVVLIHNPHSQLSFARVGDDKWTWLPPHTHYADCIYKDGILYAVNKVGEIHAFDLSGPVVTMKTIIEMVPGYACDKMYIVQAPWGDLLQVWRSYEYIEGDYEADLHDADPAISVENTGEIKIFVVDTVEKKRVEIENLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDNDLSLFGHKNNRRDIGLFDLKHNSREELVSPQLWSNFPAPVWITPSFTKYDTEAMYFDEDHTCPAFKKMLEHLHHVVLNKFKSDLDQSLRSGGGFAASAHSLVKHAVWDTTEVRGKLEHHIEAHATSVREKTLGCACWTCIVNLETGEKNSWACIRRLYRHATENAILAFSASLSEFELDQITIHKMVKELREHARSIVEEKARKEAGNVLMHFPLLSRDKDSMPRTWKGNEDISAITREARLAALRLMSVMAANRLDNKPDKIDRTLTTALLDGRPLSRKRSIEFASDPIVSSTWEECKSIWRNAETEYAVAEAISMQHTNIAIIGCHCVDYSTSCNFRLQRIYVSSKLSGLLTITSGFLPTIMDIITAVINISHNQKSSINSPL >ONIVA03G33700.1 pep chromosome:AWHD00000000:3:28956764:28965322:1 gene:ONIVA03G33700 transcript:ONIVA03G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GSY8] MVLSHGVSGSDESVHSTFASRYVRTSLPRFRMPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPAKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQGYKNIMENCQENAMVLKQGLEKTGRFNIVSKDNGVPLVAFSLKDSARHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDVEKVLHELDALPARVVANGGDAAAASASEREMEKQREVISLWKRAVLAKKKTNGVC >ONIVA03G33690.1 pep chromosome:AWHD00000000:3:28941463:28944800:-1 gene:ONIVA03G33690 transcript:ONIVA03G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTVVRAEREEESTLEQGLLAIPEESNQLTYTGDGSVDFSGNPVVKERTGRWRACPFILGNECCERLAYYGISTNLVTYLTKKLHDGNASAASNVTAWQGTCYLTPLIGAILADAYWGRYWTIATFSTIYFIGMAVLTLSASVPTFMPPPCEGSFCPPANPLQYTVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPVERIQKGSFFNWFYFSINIGALISSSFLVWVQDNIGWGIGFGIPTIFMGLAIISFFSGTSLYRFQKPGGSPITRVCQVVVASFRKWNVHVPEDSSRLYELPDGASAIEGSRQLEHTDELRCLDKAATITDLDVKADSFTNPWRICTVTQVEELKILVRMFPVWATTIVFSAVYAQMSTMFVEQGMMLDTSVGPFKIPPASLSTFDVVSVIIWVPLYDSILVPIARRFTGNPRGFTELQRMGIGLVISIFSMAAAAVLEIKRLDIARAEHLVDQNVPVPLNICWQIPQYFLVGASEVFTFVGSLEFFYDQSPDAMRSLCSALQLVTTALGNYLSAFILTLVAYFTTRGGNPGWIPDNLNQGHLDYFFWLLAGLSFLNFVIYVICANKYKSKKAA >ONIVA03G33680.1 pep chromosome:AWHD00000000:3:28937078:28939306:-1 gene:ONIVA03G33680 transcript:ONIVA03G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCSGAEEELHGAPAANPAAPPPRAPGPPRGPNAPRAGGAPAKVLPIDVPAVALAELNRLTGNFGDRSLVGEGSYGRVYRATLSTGEAAAVKMFDNNGGSGQSEADFCAQLSVVSRLKCDHFTQLLGYCLELNNRIVLYEFATKGSLYDILHGKKGVKGAEPGPVLTWSQRARIAYGAARGLEYLHERAQPPIVHRDIRSSNVLVFDGHDAKIGDFNLTNQSPDSAARLHSTKVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNDEYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLISARPGDH >ONIVA03G33670.1 pep chromosome:AWHD00000000:3:28925163:28929155:-1 gene:ONIVA03G33670 transcript:ONIVA03G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome A [Source:Projected from Arabidopsis thaliana (AT1G09570) TAIR;Acc:AT1G09570] MSSSRPTQCSSSSSRTRQSSRARILAQTTLDAELNAEYEEYGDSFDYSKLVEAQRTTGPEQQARSEKVIAYLHHIQRAKLIQPFGCLLALDEKTFNVIALSENAPEMLTTVSHAVPSVDDPPKLRIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEVLCNTVVKELFDLTGYDRVMAYKFHEDDHGEVFAEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSIKIIEDESLHLDISLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEDDDEVGADQPAQQQKRKKLWGLLVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELERQVREKSILRMQTMLSDMLLRESSPLSIVSGTPNIMDLVKCDGAALLYGGKVWRLQNAPTESQIRDIAFWLSDVHRDSTGLSTDSLHDAGYPGAAALGDMICGMAVAKINSKDILFWFRSHTAAEIRWGGAKHDPSDKDDSRRMHPRLSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGTLNDDIKPTRAASLDNQVGDLKLDGLAELQAVTSEMVRLMETATVPILAVDSNGLVNGWNQKVAELTGLRVDEAIGRHILTVVEESSVPVVQRMLYLALQGKEEKEVKFEVKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIIHNPSPLIPPIFGADEFGWCSEWNAAMTKLTGWHRDEVINKMLLGEVFDSTNASCLVKNKDAFVSLCILINSALAGDETEKAPFSFFDRNGKYIECLLSVNRKVNADGVITGVFCFIQVPSHELQHALHVQQASQQNALTKLKAYSYMRHAINNPLSGMLYSRKALKNTGLNEEQMKEVNVADSCHRQLNKILSDLDQDSVMNKSSCLDLEMVEFVLQDAFVAAVSQVLITCQGKGIRVSCNLPERYMKQTVYGDGVRLQQILSDFLFVSVKFSPVGGSVEISCSLTKNSIGENLHLIDLELRYVSHDICTLTLLSVKTVLRIKHQGKGVPADLLSQMYEDDNKEQSDEGMSLAVSRNLLRLMNGDVRHMREAGMSTFILSVELASAPAK >ONIVA03G33660.1 pep chromosome:AWHD00000000:3:28914307:28920414:-1 gene:ONIVA03G33660 transcript:ONIVA03G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENIDLVLEFLQKNRFTKAEAALRGELNGRGDASNGPTVQRRVAEPKEDDEQDGSEAGSNAGPRGAASVRSADSSREFIVKEIDVGGLPNGSDGRKGLGIGLAQENNTGDLYPWNFSIANSTMEQLAELLVSEEVPRHRRGAVVAEKRDRGVGTEQPGPVLEQKVSFGRGKGKVDVAGTGRNEINEPGHSRDKNLVPEKEELLNGCTVKTVLPFPAENPSSSYHTAHHDGNERKDAKKSIDADCVGKATKGQLDEGNRQYYSGKSQENADQVADRCFDLQLIGDNQREELPKLPPVRLKSEDKLVNMNWEEKVNHNGSGAKHPSADHAFMIGSYLDVPIGQEITPSGGRRTISNNWFSVSQGIAEDTSDLVSGFATIGDDSLEYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTSGPDRHDRPTKDDDDDQSFVEEDSYISGEQYFHGKNIAQIGTSEGPMGHGIPDNDLIAQYDGQLLDPEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNDSERHHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESNLSVSGKRNSQQETEKKRINAKGAKQEQLNFIDNQKGVLPPGASYADGGFSFPPPLHSGKNVESDVKLLWSKKVDMYTINDPDDLQNGMVSDDMLATWRKKNSESSLRSSRDEMASDVVRSRNSSASSALNNTYDEVDDTMNSRHHKLDDAQEEDTGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCAYVQSRSYRAPEVILGLPYDKKIDMWSLGCILAELCTGNVLFQNDSPATLLARVMGIIGSIEQAMLAQGRETYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMADQGFIEFVAYLLEVNPKKRPSASEALKHPWLSFPYEPISS >ONIVA03G33650.1 pep chromosome:AWHD00000000:3:28906397:28909274:-1 gene:ONIVA03G33650 transcript:ONIVA03G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQAAEAAGRISALLSLLALRRLIALLQPLALLLLLPFRWRGARPGAAAAAAAADAVAASVASGGKKGKAAVVLRVPAGSPIVAARRQASARREIAARRAREAGREYELIPTHRGETLFTQCWWPHGSSSAIKPRALVVVMHGLNEHSGRYDHLARRLNDIGVKVYGMDWTGHGGSDGLHGYVQSLDHAVSDLKMYLKKILAENPGLPCFCFGHSTGGGIILKAMLDPEVDSCVEGIFLTSPAVRVQPAHPIIKVMAPVFALIAPRYQFTASHRNGPPVSRDPEALKAKYSDQLVFTGSIRVRTGYEILRLTSYLQQHLHRITVPMLVMHGADDMVTDPQGSQKLYEEASSSDKSLNLYNGLLHDLLIEPEKEKIMDNIVDWLSPRI >ONIVA03G33640.1 pep chromosome:AWHD00000000:3:28894242:28901720:-1 gene:ONIVA03G33640 transcript:ONIVA03G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPQIKVVLRADVVQGAYDKVAVISGGGSGHEPTHAGFVGPGMLTAAVSGDVFTSPPVDSILAAIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKMEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAADAGLSLAEVAAEAKHASEVVGTMGVALSVCTLPGQVTSDRLGPKQMELGLGIHGEPGVAVVELQPIDVVVEHVLKQILSQETQYLPITRGSNVVLLINGLGATPIMELMIAARKAVPELQLEYGIAVDRVYTGTLMTSLDMAGFSITIMRSDENILQRLDAPTKAPAWPVGSEGNRPPAKIPVPVPPSPSGKDDEILTEPQELSKQGCILEAAIEAGAKEIINIKDNLNEWDSKVGDGDCGTTMYRGATTILEDLKKRYPMNDAAGTINEIGSTVRRVMGGTSGILYDILCKAAYASLKQNTSIGANEWADALEASVAAVSKYGGASAGYRTMLDALIPACTVLKQSLKAGDDPVTAFIASSEAASAGAESTKQMQAKAGRSSYIAPDLVASVPDPGAVAAAAWYRAAAHAVKSKLHASDS >ONIVA03G33630.1 pep chromosome:AWHD00000000:3:28881848:28892602:1 gene:ONIVA03G33630 transcript:ONIVA03G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFTKGWLAISSQLELKYKLNHFRIKELKDVLHQLGLPKQGRKQELVDKIIAVLSDQQEQDSRLNGLPNKKMVGKETVAKIVDDTFAKMNGSTNAVPASRNQTDSGHIAKPKRKSDDSAQLDVKVRCPCGYSMANDSMIKCEGPQCNTQQHVGCVIISEKPADSVPPELPPHFYCDMCRITRADPFWVTVNHPVLPVSITPCKVASDGSYAVQYFEKTFLLSRANWEMLQKDEYDLQVWCILFNDSVPFRMQWPLHSDIQINGIPIRVVNRQPTQQLGVNGRDDGPVLTAYVREGSNKIVLSRSDSRTFCLGVRIAKRRSVEQVLSLVPKEQDGENFDNALARVRRCVGGGTEADNADSDSDIEVVADSVSVNLRCPMTGSRIKIAGRFKSCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRITALVQSCGDDVSEIDVKPDGSWRVKGGAELKGLAQWHLPDGTLCMPTDTRSKPNIRIVKQEIKEEPLSEETGGRLKLGIRRNNNGQWEINKRLDSNNGQNGYIEDENCVVSASNTDDENSKNGIYNPEPGQFDQLTSNIYDLDSSPMDAHFPPAPTEQDVIVLSDSDDDNVMVLSPGDVNFSSAHDNGNAFPPNPPEASGICGEQPRGAGPDVTSFLDGFDDLELPFWESSSSQDAAGTQVTDNQCEMQNFIVNHQFLHEPILGVNLGGTAASNTLECEHDGALQACQSSDQDGDQNQTCHDGHSGDLTNLSIISTHDSLTNGKNASQKRTNCEDGTAGLDGSVVRSANGLRGEMPPLGQEQDRTVRQKLILTIESDSD >ONIVA03G33630.2 pep chromosome:AWHD00000000:3:28881848:28892602:1 gene:ONIVA03G33630 transcript:ONIVA03G33630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFTKGWLAISSQLELKYKLNHFRIKELKDVLHQLGLPKQGRKQELVDKIIAVLSDQQEQDSRLNGLPNKKMVGKETVAKIVDDTFAKMNGSTNAVPASRNQTDSGHIAKPKRKSDDSAQLDVKVRCPCGYSMANDSMIKCEGPQCNTQQHVGCVIISEKPADSVPPELPPHFYCDMCRITRADPFWVTVNHPVLPVSITPCKYFEKTFLLSRANWEMLQKDEYDLQVWCILFNDSVPFRMQWPLHSDIQINGIPIRVVNRQPTQQLGVNGRDDGPVLTAYVREGSNKIVLSRSDSRTFCLGVRIAKRRSVEQVLSLVPKEQDGENFDNALARVRRCVGGGTEADNADSDSDIEVVADSVSVNLRCPMTGSRIKIAGRFKSCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRITALVQSCGDDVSEIDVKPDGSWRVKGGAELKGLAQWHLPDGTLCMPTDTRSKPNIRIVKQEIKEEPLSEETGGRLKLGIRRNNNGQWEINKRLDSNNGQNGYIEDENCVVSASNTDDENSKNGIYNPEPGQFDQLTSNIYDLDSSPMDAHFPPAPTEQDVIVLSDSDDDNVMVLSPGDVNFSSAHDNGNAFPPNPPEASGICGEQPRGAGPDVTSFLDGFDDLELPFWESSSSQDAAGTQVTDNQCEMQNFIVNHQFLHEPILGVNLGGTAASNTLECEHDGALQACQSSDQDGDQNQTCHDGHSGDLTNLSIISTHDSLTNGKNASQKRTNCEDGTAGLDGSVVRSANGLRGEMPPLGQEQDRTVRQKLILTIESDSD >ONIVA03G33630.3 pep chromosome:AWHD00000000:3:28881848:28892602:1 gene:ONIVA03G33630 transcript:ONIVA03G33630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFTKGWLAISSQLELKELVDKIIAVLSDQQEQDSRLNGLPNKKMVGKETVAKIVDDTFAKMNGSTNAVPASRNQTDSGHIAKPKRKSDDSAQLDVKVRCPCGYSMANDSMIKCEGPQCNTQQHVGCVIISEKPADSVPPELPPHFYCDMCRITRADPFWVTVNHPVLPVSITPCKVASDGSYAVQYFEKTFLLSRANWEMLQKDEYDLQVWCILFNDSVPFRMQWPLHSDIQINGIPIRVVNRQPTQQLGVNGRDDGPVLTAYVREGSNKIVLSRSDSRTFCLGVRIAKRRSVEQVLSLVPKEQDGENFDNALARVRRCVGGGTEADNADSDSDIEVVADSVSVNLRCPMTGSRIKIAGRFKSCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRITALVQSCGDDVSEIDVKPDGSWRVKGGAELKGLAQWHLPDGTLCMPTDTRSKPNIRIVKQEIKEEPLSEETGGRLKLGIRRNNNGQWEINKRLDSNNGQNGYIEDENCVVSASNTDDENSKNGIYNPEPGQFDQLTSNIYDLDSSPMDAHFPPAPTEQDVIVLSDSDDDNVMVLSPGDVNFSSAHDNGNAFPPNPPEASGICGEQPRGAGPDVTSFLDGFDDLELPFWESSSSQDAAGTQVTDNQCEMQNFIVNHQFLHEPILGVNLGGTAASNTLECEHDGALQACQSSDQDGDQNQTCHDGHSGDLTNLSIISTHDSLTNGKNASQKRTNCEDGTAGLDGSVVRSANGLRGEMPPLGQEQDRTVRQKLILTIESDSD >ONIVA03G33620.1 pep chromosome:AWHD00000000:3:28871312:28876280:-1 gene:ONIVA03G33620 transcript:ONIVA03G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAAELLYGMPAMPLSWPGPDGISGGGGGAEAAGGCGALLAELRQLWGEIGKSREERERTVRELEMECMRVYRRKVDEATAERASLHQSLAAGEAEIAALTAALGADSSPQLKVNKWTMSLNDRVSAATNLLEELRAMKAERSKQFTAIRSEIDKISTEISGRSYGYDNSPRASEFDEHDLTIRRLNEYRARLSSLQKEKSDRLHRVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHETADPGKPTSISDSTLASLSQVVAMLTSEKTKRAAMLREAVVPLVELWDLMDSPEEERRSFRKATAVLRPAREEALSSGVLSIATIKKTEEEVERLTRLKAGRMKELVLKRRLELESICRSMHVEPDTSTVPEKSIALIDSGLVNPSELMASIDEQIAKAKEEQQSRKEIMDKINKWLLACEEEKWLEEYNLDENRFNTGRIARLNLKRAEKARLIINKIPAMIDNLMSRTLVWETERNKPFLYDGARLVAVLEEHKQARLRQEEERRRLREQKKLRTLFSEKEAMPHLKRPGSSFGRATEPCNMSRKRVDAAPPSVRSSSGSSGSSGGGAADPFRPRSSAAAGSGAGHCGEFFRSGGAKRLSAAAPFNYVAVSKGGGGGGGLSSSMMS >ONIVA03G33610.1 pep chromosome:AWHD00000000:3:28866846:28867217:1 gene:ONIVA03G33610 transcript:ONIVA03G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRLLAIFLAVNLVALHAGVARGQQSPPSSTRGNPCPTSALADLKVCADVLVLLKLKINVPASQQCCPLLGSLVNLDAAACLCAAIRLSVLGIPVNLPLDVPLVLNYCGRNASAAGANCS >ONIVA03G33600.1 pep chromosome:AWHD00000000:3:28857243:28859923:-1 gene:ONIVA03G33600 transcript:ONIVA03G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase assembly protein CtaG / Cox11 family [Source:Projected from Arabidopsis thaliana (AT1G02410) TAIR;Acc:AT1G02410] MPPPPPPSLARLHQRLSLSLLRGRSPPAAADAFLRRGLASSASSSSSAAAAAAVAAAAAGREKSSRRTLAYLLGVAAAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKISRHARDGTTTSREIIVQFNADVADGMPWKFIPTQREVKVKPGESALAFYTAENRSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMEGVNNIVLSYTFFKVNDS >ONIVA03G33590.1 pep chromosome:AWHD00000000:3:28847076:28847792:1 gene:ONIVA03G33590 transcript:ONIVA03G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQERPREVYRECMRNHAAKLGTYANDGCCEYTPDDGHPAGLLCAACGCHRNFHRKDFLDGRATAAAGGAGGAGVGVAPMLPAPGGGGPPGYMHMAAMGGAVGGGGGVDGGGGSGGRRRTRTKFTEEQKARMLRFAERLGWRMPKREPGRAPGDDEVARFCREIGVNRQVFKVWMHNHKAGGGGGGGGSGGPGAGGGAQTSSSTTRGGGDVGVGLSPAMGGDGEDDEEVRGSEMCM >ONIVA03G33570.1 pep chromosome:AWHD00000000:3:28830062:28832525:1 gene:ONIVA03G33570 transcript:ONIVA03G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >ONIVA03G33560.1 pep chromosome:AWHD00000000:3:28823098:28826520:-1 gene:ONIVA03G33560 transcript:ONIVA03G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARLLPKIQSPASPAVAEARRRRPSSLRLGVTSGPARTLKQKLVAKSAVSVVEGENAFDGVKQDTRPIIVIDNYDSFTYNLCQYMGEVGANFEVYRNDDITVEEIKKISPRGILISPGPGTPQDSGISLQTVQDLGPSTPLFGVCMGLQCIGEAFGGKVVRSPYGVVHGKGSLVHYEEKLDGTLFSGLPNPFQAGRYHSLVIEKDSFPHDALEITAWTDDGLIMAARHRKYKHIQGVQFHPESIITTEGRLMVKNFIKIIEGHEALNCLP >ONIVA03G33550.1 pep chromosome:AWHD00000000:3:28820049:28824840:1 gene:ONIVA03G33550 transcript:ONIVA03G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRPRLPNPTNQPPPRQQKDPQFPRKTLEFDPVSRRVGCSPMEAAAAAAAALLSPPAAAAPSRRSGTPGATSLPFARRRGVAAVKGLGRQQLTCRRRGVVVRAASWSPSGPESLPPPPSSSIAPLQMESPVGQFLSQILATHPHLLPAAAEQQLEQLQTDRDAAKDNGGDKPAPSDGDIVLYRRIAEVKEKERKRALEEILYALVVQKFVEAGVSLVPALSHSISSSGRVDQWAESVEGKLEKMHSQEAYEMIENHLALILGQRQADATVAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKTLPWGSEEEDMLNQVMTTDSRPSPQTSTSHPEMASWTSPNFSAGGPSQSVKPCRLRSYVMSFDSETLQSYATIRSKEAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHVRISFAGLRRLILEAVTFGSFLWDVESFVDTRYHFVAN >ONIVA03G33540.1 pep chromosome:AWHD00000000:3:28807039:28813308:1 gene:ONIVA03G33540 transcript:ONIVA03G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGGGGGGEAAAAVAVEGDEAGKGRRWWRVKVKLSTVAVVAWVLASAALWAGLHWRFRRAALHKAEEALVCMCEERARMLQDQFAVSVNHVHALAILVATFHYDKHPPALDQDTFAVYAARTSFERPLLSGVAYAQRVVHADRESFERQQGWIIKTMKHEPSPAQDEYAPVIYSQETISYIEGLDVMSGEEDRENILRARATGKAVLTRPFRLMSNHLGVVLTFPVYLVDLPNDTAVEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNHSNPLVMYGSEVPLGIPSPSHTYTLDFGDPLRKHQMVCRYRNKLHVSWSAITTPSGVFVICMLVGYIIYAAWSRYDNVKEDCRKMEALKKRAEAADIAKSQFLATVSHEIRTPMNGVLGMLDMLLDTELKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKLDLESVPFDLRSILDDVISLFSSKSRENGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKITIFTLSQFTERGHIFVQVHLADHSNLATEAKIEPVVNGMNGHKDEAIAIPTSGSHNTLSGFEAADSRNNWENFKLLLSYEKNEMPYESDSDKVTLVVSVEDTGIGIPLHAQGRVFTPFMQADSSTSRNHGGTGIGLSISKCLVEIMGGQINFVSRPLVGSTFTFTAVLRRCDKNAISDSKTVALHPLPSSFKGLSALLVDKRPVRATVTKYHLQRLGITSEVVGTIDPTFDVLSGRNGSSLTSIGKKQPCMLLIESDSWGPQMDVSLHARLQEMKQSDRIHVLPKVFLLSAAESDKVKKIHAVDSVIPKPLKASALAACLFQALGITQPSHEKRDDSGSLHGRDGSGSLHGLLLGKNILVVDDNKVNLRVAAGTLKKYGAKVECVESGKDALSLLQVPHKFDLCLMDIQMPEMDGFEATQQIRAMEGKANEQADDSESGSEIAAKTAKWHLPILAMTADVIQATHEECTKCGMDGYVSKPFEEKRLFQAVQKFLGPCVSS >ONIVA03G33540.2 pep chromosome:AWHD00000000:3:28807039:28813307:1 gene:ONIVA03G33540 transcript:ONIVA03G33540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGGGGGGEAAAAVAVEGDEAGKGRRWWRVKVKLSTVAVVAWVLASAALWAGLHWRFRRAALHKAEEALVCMCEERARMLQDQFAVSVNHVHALAILVATFHYDKHPPALDQDTFAVYAARTSFERPLLSGVAYAQRVVHADRESFERQQGWIIKTMKHEPSPAQDEYAPVIYSQETISYIEGLDVMSGEEDRENILRARATGKAVLTRPFRLMSNHLGVVLTFPVYLVDLPNDTAVEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNHSNPLVMYGSEVPLGIPSPSHTYTLDFGDPLRKHQMVCRYRNKLHVSWSAITTPSGVFVICMLVGYIIYAAWSRYDNVKEDCRKMEALKKRAEAADIAKSQFLATVSHEIRTPMNGVLGMLDMLLDTELKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKLDLESVPFDLRSILDDVISLFSSKSRENGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKITIFTLSQFTERGHIFVQVHLADHSNLATEAKIEPVVNGMNGHKDEAIAIPTSGSHNTLSGFEAADSRNNWENFKLLLSYEKNEMPYESDSDKVTLVVSVEDTGIGIPLHAQGRVFTPFMQADSSTSRNHGGTGIGLSISKCLVEIMGGQINFVSRPLVGSTFTFTAVLRRCDKNAISDSKTVALHPLPSSFKGLSALLVDKRPVRATVTKYHLQRLGITSEVVGTIDPTFDVLSGRNGSSLTSIGKKQPCMLLIESDSWGPQMDVSLHARLQEMKQSDRIHVLPKVFLLSAAESDKVKKIHAVDSVIPKPLKASALAACLFQALGITQPSHEKRDDSGSLHGRDGSGSLHGLLLGKNILVVDDNKVNLRVAAGTLKKYGAKVECVESGKDALSLLQVPHKFDLCLMDIQMPEMDGFEATQQIRAMEGKANEQADDSESGSEIAAKTAKWHLPILAMTADVIQATHEECTKCGMDGYVSKPFEEKRLFQAVQKFLGPCVSS >ONIVA03G33530.1 pep chromosome:AWHD00000000:3:28794278:28800107:-1 gene:ONIVA03G33530 transcript:ONIVA03G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:splicing factor-related [Source:Projected from Arabidopsis thaliana (AT5G06160) TAIR;Acc:AT5G06160] MASTVLEATRAKHEDMERLERLAVRELQREPANARDRLYQSHRVRHMLDLVISTSGKLVEIYEDKDNARKDEISNHLSSTVQAEIFPKFYDRLKEIRDYHRRNPSARFVSATDDFEELLKEEPAIEFTGEEAFGRYLDLHELYNEFINSKFGTPMEYSAYVGTFSHVEKMAQNLKTSRQYREYLEHILEYLTSFLYRTEPLQDIEKIFAKLESEFEEQWTNGEVPGWESKDPEKESAQESVIDLDYYTTVEELVELGPEKLKEALAARGLKSGGTVQQRAERLFLLKHTPLEQLDRKHFAKGSHSSVSNATSNGNNFKDNLKKEIALMEVKMRRLCELLDEIIVRTKENAEKKLTLTYEEMEAEREEEEVQADSESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIQEAKELWEKIQQRQGLNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >ONIVA03G33520.1 pep chromosome:AWHD00000000:3:28786343:28787017:1 gene:ONIVA03G33520 transcript:ONIVA03G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRQKEKRVGPTILFIHGRLDDGRGSDRCLLSLPPGILPCWPASAHGEGKRHRPARGAETAAGRRPLRSTRVAEVGGRREDGVDDSEEGADELVREVGAVGLELGVEVGNSISKVLWMATLHPTSLPNWNRMRISVNIITQNRAKSLRRLLASLRNACYVGDEVVPISFNMDSRVDTATLNTVNSFDAEPVLM >ONIVA03G33510.1 pep chromosome:AWHD00000000:3:28782954:28785840:1 gene:ONIVA03G33510 transcript:ONIVA03G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family [Source:Projected from Arabidopsis thaliana (AT1G14730) TAIR;Acc:AT1G14730] MAIGGGIIGGGGHHSAVAARVAAAAHVLFLTTAVLMLVWLLHFRGGINIQSDDPEQIFNVHPFVMCWGFILLIGEAILAYTTIPMDHRTQKMVHMLVHLVALILAIFGVYAAFKFHDAAVAPDLVSLHSWLGILAVALFGLQWLFGFFAFWLPGTHERTRAAAAPAHVAAGLAIFMLAVCAAETGLVQKSAAAASAGEAKLINVTGIFILLYAVAVAVAVALRKAFFY >ONIVA03G33500.1 pep chromosome:AWHD00000000:3:28771001:28774512:-1 gene:ONIVA03G33500 transcript:ONIVA03G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVVGAAAGDTAASDAAAMRAVARALGADKALGWSTGDPCSSPRAWAGVTCDSAGRVTAVQVGNRSLTGRLAPEVRNLTALARLELFDNSISGELPSLAGLSSLQYLLVHNNGFTRIPPDFFKGLTALAAVSLDNNPFDPWPLPADLADCTSLTNFSANTANVTGALPDFFGTALPSLQRLSLAFNKMSGPVPASLATAPLQALWLNNQIGENQFNGSISFISNMTSLQELWLHSNDFTGPLPDFSGLASLSDLELRDNQLTGPVPDSLLKLGSLTKVTLTNNLLQGPTPKFADKVKADVVPTTERFCLSTPGQPCDPRVSLLLEVAAGFQYPAKLADNWKGNDPCDGYIGVGCDAGNITVLNFARMGFSGSISPAIGKITTLQKLILADNNITGTVPKEVAALPALTEVDLSNNNLYGKLPTFAAKNVLVKANGNPNIGKDAPAPSGSGGSGGSNAPDGGNGGDGSNGSPSSSSAGIIAGSVVGAIAGVGLLAALGFYCYKRKQKPFGRVQSPHAMVVHPRHSGSDPDMVKITVAGGNVNGGAAASETYSQASSGPRDIHVVETGNMVISIQVLRNVTNNFSDENVLGRGGFGTVYKGELHDGTKIAVKRMEAGVMGNKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGTLSQHLFEWKEHNLRPLEWKKRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPADGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMQLSKDTFQKAIDPTIDLTEETLASVSTVAELAGHCCAREPHQRPDMGHAVNVLSTLSDVWKPSDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFLASLDNTQTSIPTRPPGFAESFTSADGR >ONIVA03G33490.1 pep chromosome:AWHD00000000:3:28752397:28754817:1 gene:ONIVA03G33490 transcript:ONIVA03G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEGRIGGARRRRRTAKARAWRGERWRRRSVEHPASSSSSLPASRAPPCVASPFPSIRWISGRATAPATSPRSREKDAQEDRSLCTAVLKIELAFLISGCRLIPSIPRSRPEDRSEPTPSIAAGEFLGLPSSFKLRRRTHDLK >ONIVA03G33490.2 pep chromosome:AWHD00000000:3:28753958:28754817:1 gene:ONIVA03G33490 transcript:ONIVA03G33490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVGFAASQGLDCDHLPMPDLSMFLCFNMAQVEHPASSSSSLPASRAPPCVASPFPSIRWISGRATAPATSPRSREKDAQEDRSLCTAVLKIELAFLISGCRLIPSIPRSRPEDRSEPTPSIAAGEFLGLPSSFKLRRRTHDLK >ONIVA03G33480.1 pep chromosome:AWHD00000000:3:28748002:28750359:-1 gene:ONIVA03G33480 transcript:ONIVA03G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTLRPSVLGGGGGGGGGGGGDVGGDGGGGGDGNVGGGGGGGGGGGGGGGGGGGGGGGGDGVAGDDDAPKGLRLILVAAPSSMPWPRYLAVKNSGEKLVPRQDGGGGGGGDQVKIDKLRTAAGEFVSQKSSSVFGKKKVEPVVKDAAVPGETSSISTLFAKKNVGAVLMDKEAPWKASPVAIESQYSRTGDPDCF >ONIVA03G33470.1 pep chromosome:AWHD00000000:3:28740536:28743533:-1 gene:ONIVA03G33470 transcript:ONIVA03G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMRALERLASWPTPPPPPPPPPPPAKAAAERPCPPSSAATTSSSSSSSASAAPAAAGAPRVYPLRDFPGREAAGLGGAFRDNVRWLLRQWGGASPASPAAGGPGSASAAVAVAWRVLLSDDRTGVVVPIFAVEEPVASSPKPLCDYCRWAGWSHHWVSKRKYHFIIPAPADWDRQLAADVILGRTDHLLHGLIHSNGFGHLVMLRGRDGGSTALSGRDIMDIWDRLCSALRARAVSVVDFSQKRSLDLRLLLSVAHGDTWFTRWGYCLARGCFCVSTSTYAASVEALAALPVDYLRSRHVRRVVTIYRRLSNKPLVTVREFLRCLLDWKHLEPPLQLPPVKTCTRLQFMLPKPSVMKRHRQQPCQRFEDVIDLLQCRWSKKRLLDAAEVVVDRLLEHGSGAEMTRQAVRDAARGAIGDTGLLDFVIKSLGDTIVGNYIVRRVPDAETRVLHFSLEEYEEPTPALLDVEVECTPLPPVVRWPSTVEVERDLRAVYRAMVEVRSEAAQAVLDCKHWVKWWGLGDESDDQLRFFVEWQPQPWEAAELIRPMPLGEIVVVPLHASMGELLVESEHALQDTYYFFEEFQAEGLHGIAGEKWDPVMLGGAENGDTISVYGNGADMETELRCHGGLDLWEVRCVCGAQDDDGERMIACDACDVWHHTRCVGIADSEPVPPLFLCVLCGGALMAAGPINS >ONIVA03G33460.1 pep chromosome:AWHD00000000:3:28729136:28732193:1 gene:ONIVA03G33460 transcript:ONIVA03G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTDEQIEDVLALFGRNDRRTAAASATATVTKVIIMEAPETGPRAVAAAVVAAARRSTRPIVSYLRLLLNRLRDTSDEQVINRSNDAWNLYHRLTGSSRRPAIAGHGAFPTGDTLDCLLRPRYFIPRRPLFQNCSLYRVLCQVRRASPSWKELAIGLGLAAAVVGATLLVRYYGEEAKRRLVADLYTTAYLKGEFDRFDRNDDGFITSEELGELLSCLGLNHTEAELQAMIEEAAPDGNGAIDFHEFLTIAHNWVIRDYHDAEEESIEAFQLFDRELIY >ONIVA03G33450.1 pep chromosome:AWHD00000000:3:28716842:28726828:-1 gene:ONIVA03G33450 transcript:ONIVA03G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT4G36630) TAIR;Acc:AT4G36630] MVHSAYDAVELVSGVPGDIVAVAAYAGRLLVAGKDGSLRIYASPGHAGGGIPRDGPYALERQQPSLWRRGTPLAMEVSAGRELLLSLAECVNLHRLPGLETVAVIGKTKGANLFAWDDRRGLLAVGRWKRLTIFRLDSGREFVEVKEFGVPDTVKSMAWCGDNICLGIRKEYMIINSMTGALTEVFSSGRNAPPLVVALPTGELLLGKTVVLRDVQKLVETENCILAVLACSVYGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDNGSYEEAMEQFSDSHVDITYVLSLYPSLVLPQTHIIGEHDRLQDLPELARESSDVTDDMEPYSLQLHESDDKSPLEIKKMSHNALIALVKYLHKKRNGIIERATAEVTEEVVSGAVHHSSILSESYRSKKPNKKRAQTHTSSIAREMATVLDTSLLQALILTGQSSGAIELLKGLNYCDLKICKEFLEERSDYMVLLELYKSNEMHREALQLLNQLVEESKAEMGNNDFNKKFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSDTIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSVSGINPNLQNELVQLYLSEVLDWHKILKEEGNWTEKTYSPTRKKLITTLENNSGYNTDILLKRLPQDALFEERAILYGKINQHLRALSLYVHKEGAQQPSKSNIYFNLLQIYLNPRKAEKEFEQKIVPVASQYPGIQKATKVRGARMGKKVVEIEGADDVRFSPSGTDSGRSDGDGDGDDVSDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVLFLEPLLRNSSEHRRNYMVIKNLIFRANLQVKEDLYKRRQAVLKIDGDSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGVNSVKRIA >ONIVA03G33440.1 pep chromosome:AWHD00000000:3:28711264:28718689:1 gene:ONIVA03G33440 transcript:ONIVA03G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Membrane protein,Tapt1/CMV receptor (InterPro:IPR008010); Has 447 Blast hits to 428 proteins in 176 species: Archae - 0; Bacteria - 0; Metazoa - 190; Fungi - 133; Plants - 49; Viruses - 0; Other Eukaryotes - 75 (source: N /.../ink). [Source:Projected from Arabidopsis thaliana (AT1G67960) TAIR;Acc:AT1G67960] MPLRSATRQLSFELLGEGGLAAADDADDDLSPRSLPDTTSDGQRRRRRRSKRKRGLRSPPIEEEEKEGTPRRGGVVGVSDLVSVSVVERESSDAERSAASCVTYVGVGVELRQRSVSGSGRVVSREDATSSCGSSARESAAAAAAVPEAAPAAWRPEANGGGKKLEKEDSLDWERYMKENGNVLGEVERLDNSPFRYFLGELYGGNSLRGTISAGNDKKRQRVYNTMFHVPWRCERLIVAGFFVCLDSFLSLLTIMPARIAITVWRVLKTRQFLRPNAADLSDYGCFVVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSTCSTDNATFELMRFILDEAIAAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITSFLLFVLAQNILEAEGPWFDSFLINASLVFMCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKTDDRQKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRVFWILLWSVLTYFMLAVFKILVGLVLRCLATWYVNLRLKNKQHVD >ONIVA03G33430.1 pep chromosome:AWHD00000000:3:28706482:28706760:1 gene:ONIVA03G33430 transcript:ONIVA03G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGGAARAAGSAVTVGKLVKLLLVLALVVSAAAAHGADAARAVPGTGSASAGRGGGGAGARSLLSRPQPSCCTHDGNTVGTGCCPGKRHR >ONIVA03G33420.1 pep chromosome:AWHD00000000:3:28698703:28705284:1 gene:ONIVA03G33420 transcript:ONIVA03G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLVSAASQFHPLHARPVATPGSHLMPSSSHDDDANATAVSQPPPPPPAAAVAATGGGGLKRSSSPSGCTNYGPGGGGAGICPPSQDKFQFTCHIGLYSKII >ONIVA03G33410.1 pep chromosome:AWHD00000000:3:28677060:28678189:-1 gene:ONIVA03G33410 transcript:ONIVA03G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVTHRRGRNQGWRRRPDLRKKELDLFNDDASARFLWWACYWDLGEDGGPVVRKETHRMDRNGIAMHDGALDVGSDVELGTRCEDDVLSVMRMTLGSHNGLVPLNFGETRLLLGRQ >ONIVA03G33400.1 pep chromosome:AWHD00000000:3:28636347:28644980:1 gene:ONIVA03G33400 transcript:ONIVA03G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGGKRWRFATPNLAVAAAGERSIQRYLLQLHACLDEHGPRPVIPLSHGDPSSSACFRTAPEAEEAVAAAVRSGDYNGYSSPATSLPARRAVAEYLSCDLPYKLCTDDIFLTSGGTQAIEIVMSVFGQPGANILLPKPGYPKHEAHAVFHRMEVRLYDLVPERGWEINVEAVEALADENTVAIVITNPNNPCGNVYTYEHLSKIADTASKLGLLVIADEVYGHLVYGSTPFVPMGVFGETVPVLTLGAISKRWVVDSLRSFRNLTTGPATFIQVESFSSHRVHRARMRRGAIPHIMKNTNDEFFRKTLELLKETAEICFGEIKEIKCITCPHKPEGSFFMMVKLDISQLSDICDDIDFCSKLVKEESVVLLPGRALGMENWLRITFALDPPRLKQGLERVKSFCRRHQSHANMLNISASAKVEPHFGMSKS >ONIVA03G33400.2 pep chromosome:AWHD00000000:3:28636347:28644980:1 gene:ONIVA03G33400 transcript:ONIVA03G33400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGGKRWRFATPNLAVAAAGERSIQRYLLQLHACLDEHGPRPVIPLSHGDPSSSACFRTAPEAEEAVAAAVRSGDYNGYSSPATSLPARRAVAEYLSCDLPYKLCTDDIFLTSGGTQAIEIVMSVFGQPGANILLPKPGYPKHEAHAVFHRMEVRLYDLVPERGWEINVEAVEALADENTVAIVITNPNNPCGNVYTYEHLSKIADTASKLGLLVIADEVYGHLVYGSTPFVPMGVFGETVPVLTLGAISKRWVVDSLRSFRNLTTGPATFIQGAIPHIMKNTNDEFFRKTLELLKETAEICFGEIKEIKCITCPHKPEGSFFMMVKLDISQLSDICDDIDFCSKLVKEESVVLLPGRALGMENWLRITFALDPPRLKQGLERVKSFCRRHQSHANMLNISASAKVEPHFGMSKS >ONIVA03G33400.3 pep chromosome:AWHD00000000:3:28636347:28644980:1 gene:ONIVA03G33400 transcript:ONIVA03G33400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGGKRWRFATPNLAVAAAGERSIQRYLLQLHACLDEHGPRPVIPLSHGDPSSSACFRTAPEAEEAVAAAVRSGDYNGYSSPATSLPARRAVAEYLSCDLPYKLCTDDIFLTSGGTQAIEIVMSVFGQPGANILLPKPGYPKHEAHAVFHRMEVRLYDLVPERGWEINVEAVEALADENTVAIVITNPNNPCGNVYTYEHLSKIADTASKLGLLVIADEVYGHLVYGSTPFVPMGVFGETVPVLTLGAISKRWVVDSLRSFRNLTTGPATFIQVKLDISQLSDICDDIDFCSKLVKEESVVLLPGRALGMENWLRITFALDPPRLKQGLERVKSFCRRHQSHANMLNISASAKVEPHFGMSKS >ONIVA03G33390.1 pep chromosome:AWHD00000000:3:28628364:28632656:-1 gene:ONIVA03G33390 transcript:ONIVA03G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKASDRADRGSACNPTYTWQEIPDDPPLPGHDSDEGASREDNGEMLLWRGDFNFFYHIDQQHVSPEDATVIGDSDEVDVFMSQVASCLDIKRGHRLIRESEYRVLSKNQGWYVFRVPTPPPKLFIIYPNVTFGFQDHPEAQPREQYGVCICLKPVFHPKNSGPPEVPQYTALLAQHFINSASMRWVEDQPLKLRMQVPSYDKLLLWVRMMLVNQEDYEYLIRADIIRGVMASIYRCHVNPSLVAAFLTYWNLHSSGLVTISTWIDHFFGTQPEIFESLSLGNYADLDDPLLIRKGFRVEVHDDRPIAILGDWEISYQYQYPPLVHHVAFIATWLCTYCVPIEDGHFIRPEVFTMAVEIAKGHRHAIGMASMAVLYRALDEVYYNIVTSTTSTSECTLSIPGHFIMGWFASFWQDAPLPTSSAQLVACPPFIIDFRHYAAVDIQTAHSFFWEFNNDGTGLPQPASKLLDDDRMEILDKAVDPEATSKDCNGDNSVTRAGAPLCKFFLFLCNQFHTLPFFSCAPARIQQLSSESKKFSMNVEHIITLVSQAVNMNCGAEENSLLKEFDGNNPVTLPKLSDVVSARGLKHVWSEIKAFQELLKQCPVQKDVVLKEIRINLDLWSNFFSKPPPEIIRLMEGLRVLKRALSGEARLPNTNLIPAQQDQINQHVDLLRTAQDKVESSCVALEALMSQYNVEQAVQEGNKRECSRQAQKIRAEIAVLQASLQQVEDAHSNAQHRQDVVTENLNSHLERHRQAKDRKSEIAAHLK >ONIVA03G33380.1 pep chromosome:AWHD00000000:3:28603170:28606949:-1 gene:ONIVA03G33380 transcript:ONIVA03G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPEANQASSARTASGTAGATSFLYCNLAKCDVGMVIGGYAKDPYDDSGLEELMQDEDALEKSVKNFLECFKSKKFR >ONIVA03G33370.1 pep chromosome:AWHD00000000:3:28590055:28591934:1 gene:ONIVA03G33370 transcript:ONIVA03G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCSVLGLGGGGGGGGRLDGELPPGFRFHPTDEELITYYLLRKVVDGSFNGRAIAEIDLNKCEPWELPEKAKMGEKEWYFYSLRDRKYPTGLRTNRATGAGYWKATGKDREIRSARTGALVGMKKTLVFYRGRAPKGQKTQWVMHEYRLDGTYAYHFLSSSTRDEWVIARIFTKPGVFPVVRKGRLGISGGGGDTSCFSDSTSASVGGGGGTSASSALRAPLAEASLFAAAAAPAVDGADSSNYGGGGGGGSATATANLVTGLELVPCFSTTAHMDASFGTGQYNPAPLAVEPPPPPPAFFPSLRSLQENLQLPLFLSGGMQAGVSSQPLSGSGAFHWQSGMDVKVEGAVGRAPPQMAVGPGQLDGAFAWGF >ONIVA03G33360.1 pep chromosome:AWHD00000000:3:28575179:28575547:-1 gene:ONIVA03G33360 transcript:ONIVA03G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDGYEEAALIPSLYQIQPLGGEAAAAMAPPLSVPRSGRRWGERRQRRGRGDGGADAGNGCAEAAPLPSLSQIWPLRGEGRTVSVADTSLPPRSDPRWEGGRRWPPHAKEFAGDNGVVFF >ONIVA03G33350.1 pep chromosome:AWHD00000000:3:28572462:28572731:-1 gene:ONIVA03G33350 transcript:ONIVA03G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDATLLESFLGIDAAVPFFSPPLDVPFFSPLLSRSRSKQLGGLSSLPSGSHDGHSPLPSGARGGLSPLPSGSHGGLSSPARRPSLA >ONIVA03G33340.1 pep chromosome:AWHD00000000:3:28572314:28572715:1 gene:ONIVA03G33340 transcript:ONIVA03G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHCEVVASRTWLATPPPTPRHWKWVGCELVVLLLNVPPNSSARLPLSMPERDAAPERRGRRGSRKGEGRGHRGRRMGEGSDRHGSRRGERRGRRAALIWIWTTEEKRRGRPMEEKRKGRRRQCRGSSPGE >ONIVA03G33330.1 pep chromosome:AWHD00000000:3:28541103:28552809:-1 gene:ONIVA03G33330 transcript:ONIVA03G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPAADLQPTRSPADLARALSAVREQGLLAVEGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECSEDRFANSYFFWFEKIMKKIKVPSSNKMVSIATCTAMASLFMRLAKFSNLKDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKVESIIAAKIMSGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQVNIPVPAVVTFIQRVLLTDVTFHKSSLVQQDTPSCHLSFSEILELHSSFLDFLGAIIKGMRSSLLPHAGSVVMLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVGRLPLNFTFKSILLISAISSFERRQLVDTPHSALLLKAISHDFPCCF >ONIVA03G33330.2 pep chromosome:AWHD00000000:3:28541103:28552809:-1 gene:ONIVA03G33330 transcript:ONIVA03G33330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPAADLQPTRSPADLARALSAVREQGLLAVEGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECSEDRFANSYFFWFEKIMKKIKVPSSNKMVSIATCTAMASLFMRLAKFSNLKDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKVESIIAAKIMSGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQILELHSSFLDFLGAIIKGMRSSLLPHAGSVVMLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVGRLVDTPHSALLLKAISHDFPCCF >ONIVA03G33330.3 pep chromosome:AWHD00000000:3:28541103:28552809:-1 gene:ONIVA03G33330 transcript:ONIVA03G33330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPAADLQPTRSPADLARALSAVREQGLLAVEGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECSEDRFANSYFFWFEKIMKKIKVPSSNKMVSIATCTAMASLFMRLAKFSNLKDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKVESIIAAKIMSGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQVNIPVPAVVTFIQRVLLTDVTFHKSSLVQQDTPSCHLSFSEILELHSSFLDFLGAIIKGMRSSLLPHAGSVVMLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVGRLVDTPHSALLLKAISHDFPCCF >ONIVA03G33330.4 pep chromosome:AWHD00000000:3:28541103:28552809:-1 gene:ONIVA03G33330 transcript:ONIVA03G33330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPAADLQPTRSPADLARALSAVREQGLLAVEGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECSEDRFANSYFFWFEKIMKKIKVPSSNKMVSIATCTAMASLFMRLAKFSNLKDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKVESIIAAKIMSGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQVNIPVPAVVTFIQRVLLTDVTFHKSSLVQQDTPSCHLSFSEILELHSSFLDFLGAIIKGMRSSLLPHAGSVVMLITEYFKGAKLPAVRRKLYTIDFPCCF >ONIVA03G33320.1 pep chromosome:AWHD00000000:3:28540764:28541219:1 gene:ONIVA03G33320 transcript:ONIVA03G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGWLIVKFLLKFPSNHNRRRLQLGSPPFATPSSFTEKKGLDCLIIALEFSAVFLGLIQLWLRDAYSRTAASNTNDQATPVISTDASVIVGAEEWKAGAMASPTAGIRLTDWSEATGEVLQRQEKVLSKRRLPENKEKLSLHIYLRGLWL >ONIVA03G33300.1 pep chromosome:AWHD00000000:3:28536213:28537442:-1 gene:ONIVA03G33300 transcript:ONIVA03G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMYELRPLLAGDPTFSDFQLALLKSLLASFLSSPDNCPYLERGLELFNKGRLETGTELAKFCSHALLALDVLVHPREHCLQYDPKIPLRRAAHGDQGSLSIASDNEVLDSGRCKNLHSACKNQATENSGDEVNEWLFSTDDAPIDAFVEDNTAENHEVKEMSRDHLVQKDTVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMVGYPTHFFNVDSARISITPPDTQDLGGATFSNNKDDQHGRTISGAASSSQNVARHTAPICDASGVSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >ONIVA03G33290.1 pep chromosome:AWHD00000000:3:28523474:28538819:1 gene:ONIVA03G33290 transcript:ONIVA03G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIIHWPPNPAKSSNQSDHVGGYAQQSTAQAQRKLASAWQPQAGKHDTARIKLLIAARIMHGDMLEYCQKLNICPHACGQWREKKQREKNDNVFSVLSVRLNGSSESHSVPDTPEASQIGAVCLATFWLLEAAPEMTVLPLAPPIR >ONIVA03G33280.1 pep chromosome:AWHD00000000:3:28516044:28518795:-1 gene:ONIVA03G33280 transcript:ONIVA03G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRGGHDHAPAAPPRAGNQAVSLREESSGKTRADAASLLRVQHLQRLAAWAGGEARVGPLGALLGRRLAANAEAAGIPLAASTFLCQRCETVLQPGFNCTIRIKNNKRKAKRRKKLNTCQNSISYLCHFCGDQNLIRGSGKNIMKGLLSSRKPVGMDVTSIKLKGDSNNKRLVTIKEGLEYSQGAVSQLESTSGLKQRNLVKNEYEESPVPNLLDESMEKEVASSSVELNQSASATDEENVSQKTVVTITNEKSTHETEPVSANKIAICQPDVPSKAEFSVGSSFVTPRKNKVVDVTDHKDSAELVKTRSIQNKKGEMPSSVTGKAPTMPTKSAPNDSMKNKPVASGSAQMSGSSRKRARKGWTTLKQIAEKEELERKEKMGNFVIPFFMQ >ONIVA03G33270.1 pep chromosome:AWHD00000000:3:28506724:28514282:-1 gene:ONIVA03G33270 transcript:ONIVA03G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLSKLPTPSASPSRSSPHGPPHSTLLPLAPAFPFDVLHLPGCGRSDVVADSCEGLDPMKEATPVEGFGSVAAFMEAAERFIVLIKDTRAKAEEACWLAVLIQEATAGGGSNAVAISKLRKVVAMARGGGGSDAAKALEEGTAAEEVAEPADLMQEGTAAEEVAFRPPFLIPAPTTGDIGSDMRGLIPVVESISSISHGEVNNPSYHQRTILEDDSDHKALFEKSLVGQINIEDMSGKAKDVINEEGSSEDGNSEEMKDSDDDVGMVIGGYAQDPYDDSGLEELMQDEDALEKSVFLIVIKVEIKRKGIMVVTSIYLQNLIHDINIVYNNKYHVY >ONIVA03G33270.2 pep chromosome:AWHD00000000:3:28506563:28513842:-1 gene:ONIVA03G33270 transcript:ONIVA03G33270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEVAAPADLMQEGTTAEEVAAPADLMQEGTAAEEVAEPADLMQEGTAAEEVAFRPPFLIPAPTTGDIGSDMRGLIPVVESISSISHGEVNNPSYHQRTILEDDSDHKALFEKSLVGQINIEDMSGKAKDVINEEGSSEDGNSEEMKDSDDDVGMVIGGYAQDPYDDSGLEELMQDEDALEKSVFLIVIKVEIKRKGIMVVTSIYLQNLIHDINIVYNNKYHHMDGTSVEITSKLVILLISHCCFFSHCFIEISSGLGVTKFLRFLNW >ONIVA03G33270.3 pep chromosome:AWHD00000000:3:28506563:28513842:-1 gene:ONIVA03G33270 transcript:ONIVA03G33270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEVAAPADLMQEGTTAEEVAAPADLMQEGTAAEEVAEPADLMQEGTAAEEVAFRPPFLIPAPTTGDIGSDMRGLIPVVESISSISHGEVNNPSYHQRTILEDDSDHKALFEKSLVGQINIEDMSGKAKDVINEEGSSEDGNSEEMKDSDDDVGMVIGGYAQDPYDDSGLEELMQDEDALEKSVFLIVIKVEIKRKGIMVVTSIYLQNLIHDINIVYNNKYHVTWMYFSLMVRCIQVDIKRKHMDGTSVEITSKLVILLISHCCFFSHCFIEISSGLGVTKFLRFLNW >ONIVA03G33270.4 pep chromosome:AWHD00000000:3:28506724:28513842:-1 gene:ONIVA03G33270 transcript:ONIVA03G33270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEVAAPADLMQEGTTAEEVAAPADLMQEGTAAEEVAEPADLMQEGTAAEEVAFRPPFLIPAPTTGDIGSDMRGLIPVVESISSISHGEVNNPSYHQRTILEDDSDHKALFEKSLVGQINIEDMSGKAKDVINEEGSSEDGNSEEMKDSDDDVGMVIGGYAQDPYDDSGLEELMQDEDALEKSVFLIVIKVEIKRKGIMVVTSIYLQNLIHDINIVYNNKYHVY >ONIVA03G33260.1 pep chromosome:AWHD00000000:3:28494977:28496119:1 gene:ONIVA03G33260 transcript:ONIVA03G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTMGKDLAVGGESWREYGSEAEAEAVGCRRRSHHIIECDDAEYWHHIKIERSTASGTYGKRGYMSEAASSKHVMENTGYEERELIPKHLAQKNK >ONIVA03G33250.1 pep chromosome:AWHD00000000:3:28476677:28476910:-1 gene:ONIVA03G33250 transcript:ONIVA03G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALGDSDAAAAAEMDAAAAEVDATEAAAVDAAAAEVDAAKAAAVDAATAAEVDAAVEERWTRPRRLRWTRRRRRQ >ONIVA03G33240.1 pep chromosome:AWHD00000000:3:28476790:28487649:1 gene:ONIVA03G33240 transcript:ONIVA03G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTAGWAQFIEATGLQVQEPAVFRVLSTSKMHFIIFAKDGYLRCPVPDKPRDSEPTRQSFRTSSPQGKATTITSPIQCCIKGKLKHDGTSTSASNNRRTISEMCFCTKDIRLSAEVKDYIKDIAPFLQPSDKFYVTAINATFMKEGRVYLAKEFSKKYIAPLAR >ONIVA03G33240.10 pep chromosome:AWHD00000000:3:28476580:28487649:1 gene:ONIVA03G33240 transcript:ONIVA03G33240.10 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGDVDLTGGCDEELGRATRSSTSADWATASASAVKSLCCCCRRRAVKVFPAGRGKSALLVL >ONIVA03G33240.2 pep chromosome:AWHD00000000:3:28476790:28487649:1 gene:ONIVA03G33240 transcript:ONIVA03G33240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTAGWAQFIEATGLQVQEPAVFRVLSTSKMHFIIFAKDGYLRCPVPDKPRDSEPTRQSFRTSSPQGKATTITSPIQCCIKGKLKHDGTSTSASNNRRTISEMCFCTKDIRLSAEVKDYIKDIAPFLQPSDKFYVTAINATFMKEGRVYLAKEFSKKYIAPLAR >ONIVA03G33240.3 pep chromosome:AWHD00000000:3:28476790:28487649:1 gene:ONIVA03G33240 transcript:ONIVA03G33240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTAGWAQFIEATGLQVQEPAVFRVLSTSKMHFIIFAKDGYLRCPVPDKPRDSEPTRQSFRTSSPQGKATTITSPIQCCIKGKLKHDGTSTSASNNRRTISEMCFCTKDIRLSAEVKDYIKDIAPFLQPSDKFYVTAINATFMKEGRVYLAKEFSKKYIAPLAR >ONIVA03G33240.4 pep chromosome:AWHD00000000:3:28476790:28487649:1 gene:ONIVA03G33240 transcript:ONIVA03G33240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTAGWAQFIEATGLQVQEPAVFRVLSTSKMHFIIFAKDGYLRCPVPDKPRDSEPTRQSFRTSSPQGKATTITSPIQCCIKGKLKHDGTSTSASNNRRTISEMCFCTKDIRLSAEVKDYIKDIAPFLQPSDKFYVTAINATFMKEGRVYLAKEFSKKYIAPLAR >ONIVA03G33240.5 pep chromosome:AWHD00000000:3:28476766:28487649:1 gene:ONIVA03G33240 transcript:ONIVA03G33240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRNSISGQSSCSLISLLTYFWIIQLRRRNSQRNCSCTHSPIATRWPTSTPLRIPLLPTDASNMSNPKAIPQLPPRKDELVSWSHTRWQAFRWDAAHARAFKLQENNDAYMLSRCSNRMHSIGWTVFSSVHNDPMASDVIAPSPWTIFDCKNGFHLFTEAKDGGSEGKIDLSLCAIADELPDPTLRGRPPCLLAVFSSLSGGGDPARLNGSDVNNDRVWTSDPPPYTQDKDTNEAMKGEVDVLCVGYMMRCLRLKIIISYFTIFVVIFSFNLAKQQWKCMLTG >ONIVA03G33240.6 pep chromosome:AWHD00000000:3:28476790:28487649:1 gene:ONIVA03G33240 transcript:ONIVA03G33240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRNSISGQSSCSLISLLTYFWIIQLRRRNSQRNCSCTHSPIATRWPTSTPLRIPLLPTDASNMSNPKAIPQLPPRKDELVSWSHTRWQAFRWDAAHARAFKLQENNDAYMLSRCSNRMHSIGWTVFSSVHNDPMASDVIAPSPWTIFDCKNGFHLFTEAKDGGSEGKIDLSLCAIADELPDPTLRGRPPCLLAVFSSLSGGGDPARLNGSDVNNDRVWTSDPPPYTQDKDTNEAMKGEVDVLCVGYMMRCLRLKIIISYFTIFVVIFSFNLAKQQWKCMLTG >ONIVA03G33240.7 pep chromosome:AWHD00000000:3:28476790:28487649:1 gene:ONIVA03G33240 transcript:ONIVA03G33240.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTAGWAQFIEATGLQVQEPAVFRVLSTSKMHFIIFAKDGYLRCPVPDKPRDSEPTRQSFRTSSPQGKATTITSPIQCCIKGKLKHDGTSTSASNNRRTISEMCFCTKDIRLSAEVKDYIKDIAPFLQPSDKFYVTAINATFMKEGRVYLAKEFSKKYIAPLAR >ONIVA03G33240.8 pep chromosome:AWHD00000000:3:28476766:28487055:1 gene:ONIVA03G33240 transcript:ONIVA03G33240.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYMTAGWAQFIEATGLQVQEPAVFRVLSTSKMHFIIFAKDGYLRCPVPDKPRDSEPTRQSFRTSSPQGKATTITSPIQCCIKGKLKHDGTSTSASNNRRTISEMCFCTKDIRLSAEVKDYIKDIAPFLQPSDKFYVTAINATFMKEGRVYLAKEFSKKYIAPLAR >ONIVA03G33240.9 pep chromosome:AWHD00000000:3:28476589:28487649:1 gene:ONIVA03G33240 transcript:ONIVA03G33240.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRNSISGQSSCSLISLLTYFWIIQLRRRNSQRNCSCTHSPIATRWPTSTPLRIPLLPTDASNMSNPKAIPQLPPRKDELVSWSHTRWQAFRWDAAHARAFKLQENNDAYMLSRCSNRMHSIGWTVFSSVHNDPMASDVIAPSPWTIFDCKNGFHLFTEAKDGGSEGKIDLSLCAIADELPDPTLRGRPPCLLAVFSSLSGGGDPARLNGSDVNNDRVWTSDPPPYTQDKDTNEAMKGEVDVLCVGYMMRCLRLKIIISYFTIFVVIFSFNLAKQQWKCMLTG >ONIVA03G33220.1 pep chromosome:AWHD00000000:3:28453566:28456621:-1 gene:ONIVA03G33220 transcript:ONIVA03G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATKFIKCITVGKTCMLTCYTSNKFPTDYIPTVFDNFSANVSVDGDIVNLGLWDTAGRLRPLSYRGADIFGMPELRRFAPNAPIVLVGTKLDLRDHKSYLADHPAASSITTAQNIKALFDTAIKVVLEPPRRRGETTMARKKTRRSTGCSLKNLMCGSACASEAGDDLGDLGEAATSRATAELHLRATAFLSPPPPPSPPPVPGVRLRT >ONIVA03G33220.2 pep chromosome:AWHD00000000:3:28453566:28456621:-1 gene:ONIVA03G33220 transcript:ONIVA03G33220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATKFIKCITVGKTCMLTCYTSNKFPTDYIPTVFDNFSANVSVDGDIVNLGLWDTAGRLRPLSYRGADIFGMPELRRFAPNAPIVLVGTKLDLRDHKSYLADHPAASSITTAQASEAGDDLGDLGEAATSRATAELHLRATAFLSPPPPPSPPPVPGVRLRT >ONIVA03G33210.1 pep chromosome:AWHD00000000:3:28448590:28449365:-1 gene:ONIVA03G33210 transcript:ONIVA03G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIRTVASLDEVKGVLQEMGINAIGQAHQVQFCLHEQTSLKEATEIKVITRPGRHGFKLVNPELLNCKFKAKVKLDVCYKTMFNACMVQCDQELLPLEARIAQLKNLILSTDDQIPHRGPEVDQRNRGVQLMLYPNPPFPDDPDYEFGSANQRVPYQAAYANDAQRNAAVARDKHAQRAVWNTNLRLLEAKKSFLEKKKIELERVLREEFDKMIEEQSDLGVGYANYQFPHLA >ONIVA03G33200.1 pep chromosome:AWHD00000000:3:28402409:28402708:1 gene:ONIVA03G33200 transcript:ONIVA03G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIKKDHDQVAAAAAALLMVFLAATFHFQALHARPVDASGTLRPSSHDDNTGASPPPPPTAAAAVAAAGGWGLPKRSSSPSGCTNYGPGGGTVCPPR >ONIVA03G33190.1 pep chromosome:AWHD00000000:3:28390485:28395972:1 gene:ONIVA03G33190 transcript:ONIVA03G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLEGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFDPEED >ONIVA03G33180.1 pep chromosome:AWHD00000000:3:28388875:28389294:1 gene:ONIVA03G33180 transcript:ONIVA03G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCTNASLCFFALTSSLLVQSSGGAMPSREVMQGRPSSSSSSAAAAAAASPVGSSPTESAELVVVDTGSIAAGAARDVDAAAPPSPPSPAAKGMGDDAAQVPRHSAPRRLLVDAATDGAGASCHSNNVHITCAPPSPR >ONIVA03G33170.1 pep chromosome:AWHD00000000:3:28384000:28385083:1 gene:ONIVA03G33170 transcript:ONIVA03G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCTHASLCFFALTSSLLVQSSGGAMPSQGEELLQRRPAAVAAASPVGSSPTSEELVDDAGIAAGREADAAAPPAAILGEPTHSARRFLVVAAGEDAATDDGAGASCHSNNVHITCAPPVESDNEVNKPIILWNL >ONIVA03G33160.1 pep chromosome:AWHD00000000:3:28378651:28379115:1 gene:ONIVA03G33160 transcript:ONIVA03G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIRWSPAACFFVFMSLLAQSSHGARPSPVWPAAAAAVAPAVGSSAEKRLVDPRIIIAAGAAGRVADVVAAAAPAPVMTVTTPAGAVGDDRRDGVLLLPRRGRSVGVALVMARAARRALAAAAAAASSSATDGTGPSCHSNNMHITCSPPLQN >ONIVA03G33150.1 pep chromosome:AWHD00000000:3:28368839:28373561:1 gene:ONIVA03G33150 transcript:ONIVA03G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRREDGPWNISTRGECRPSLAIGLGFAWAGPDLLAGLARRTADIPLSLSRRRPAEREMLRVSEDGGRRRREAHAAGYGELGRALLDLQAAADQVFDAVTARTAEEREKLSAISRRISAAKAKIKTLSQSEEPLTIVSPAHHLSSCTNQEDFRPLFHDKCNDSSGGASIATISVNGGFNREYGLEGTLELFQFFSEENCDYTPKEGRLKVKNKPAEAKDDTYLGSLLDKSNFPTPQNISMSGKDMKIEELPPPPPSLISKHLAKNQRSDDVRFESSRSPAHSDIPSAENRL >ONIVA03G33150.2 pep chromosome:AWHD00000000:3:28368839:28373560:1 gene:ONIVA03G33150 transcript:ONIVA03G33150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRREDGPWNISTRGECRPSLAIGLGFAWAGPDLLAGLARRTADIPLSLSRRRPAEREMLRVSEDGGRRRREAHAAGYGELGRALLDLQAAADQVFDAVTARTAEEREKLSAISRRISAAKAKIKTLSQSEEPLTIVSPAHHLSSCTNQEDFRPLFHDKCNDSSGGASIATISVNGGFNREYGLEGTLELFQFFSEENCDYTPKEGRLKVKNKPAEAKDDTYLGSLLDKSNFPTPQNISMSGKDMKIEELPPPPPSLISKHLAKNQRSDDVRFESSRSPAHSDIPSAENRL >ONIVA03G33140.1 pep chromosome:AWHD00000000:3:28363342:28366833:-1 gene:ONIVA03G33140 transcript:ONIVA03G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRTVKVSNISLNASKREITEFFSFSGDIEYVEMQSESERSQLAYVTFKDSQGADTAVLLSGATIVDRSVIITPVVNYQLPPDARKQSAGEKSSSAESVVRKAEDVVSSMLAKGFVLSKDALNVARSFDERHNILSNATATVASLDRQYGVSEKISLGRAIVGSKVKEVDDRYQVSELTKSALAAAEQKASIASSAIMNNQYVSAGASWLTSAFGMVTKAAGDMSSMTKDKVDRAEEERKAIMWEERNGLVSDYAKIHLDEPSSWEPAVLPLESVDEQKLQAV >ONIVA03G33140.2 pep chromosome:AWHD00000000:3:28363342:28366474:-1 gene:ONIVA03G33140 transcript:ONIVA03G33140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQANVRTVKVSNISLNASKREITEFFSFSGDIEYVEMQSESERSQLAYVTFKDSQGADTAVLLSGATIVDRSVIITPVVNYQLPPDARKQSAGEKSSSAESVVRKAEDVVSSMLAKGFVLSKDALNVARSFDERHNILSNATATVASLDRQYGVSEKISLGRAIVGSKVKEVDDRYQVSELTKSALAAAEQKASIASSAIMNNQYVSAGASWLTSAFGMVTKAAGDMSSMTKDKVDRAEEERKAIMWEERNGLVSDYAKIHLDEPSSWEPAVLPLESVDEQKLQAV >ONIVA03G33130.1 pep chromosome:AWHD00000000:3:28353096:28357776:1 gene:ONIVA03G33130 transcript:ONIVA03G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRDKRFSQMNLSLHVPSRVPFQDAAAAARRQCPPPVAAASTPASRASQFRLADFERVAVLGRGNGGTVYKVRHRETCALYALKVQHSGGGGELADAEADILSRTASPFVVRCHAVLPASASASGDVALLLELVAGGSLDTVARRRAGAAFPEAAVAEVAAQALSGLAYLHARRVAHLDVKPANLLASTDGEVKLADFGIARVLPPRAGDHRAPSYAGTAAYMSPERFDPEAHGGRYDPFAADVWGLGVTVLELLAGRYPLLPAGQKPSWAALMCAICFGEPPALPDGAAASPELRGFVAACLRKDHRERASVGELLAHPFVAGRDVAASRRALRRLVAEASSPSSERRLPQLHISLDLPSCAGAANFRAAPASTSAAAAARAGELRMSDFDRVAVLGRGNGGAVYKVVHRRTSAVYALKVLHGGVGGGDHGAAAATEADVMRRAASPHVVRCHSVVAAAAAATSCPGDVALLLELVDGGSLASVAARAGAFPEAAVAEVAAQALSGLACLHARRVVHRDIKPGNLLVSVDGEVKIADFGIAKVVPPRRGGEHRAAYEYEGTAAYMSPERFDSELHGDGADPFAADVWGLGVTVLELLMARYPLLPAGQKPSWAALMCAICFGELPPLPDGAASPELRAFLAACLHKDHTKRPSAAHLLTHQFVAGRDVAASKLALRRLVAGA >ONIVA03G33120.1 pep chromosome:AWHD00000000:3:28346977:28350971:-1 gene:ONIVA03G33120 transcript:ONIVA03G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] iron-sulfur protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G37510) UniProtKB/Swiss-Prot;Acc:Q9FGI6] MAFFARAIRHSSPYLRSRAPPPPAVAASCRWISPTAAAGSPEAGAAVAPADPETPPPREPVGGARVELPSNPEDALEVFVDGHAVRIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGTDGRFKAVTWRDALAVVAEVLQQVKPEEITGVAGKLSDAESMMALKDFVNKMGSDKVLCEGNGPSPPADLRSNYLMNTSIAGLEKADVFLLVGTQPRVEAAMVNARIRKTVRATQAKVAYIGPPTDFNYDHEHLGTGPQTLVEIAEGRHPFCSTLQSAKNPVIIAGAGLFDREDQDALFSTIETVAKKFNVVRPDWNGLNVLLLHAAQAAALDLGLVANPTESIKSTKFLYLMGADDVDLDKVPDDAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKILRALSEVAGAQLPYDSLSGVRDRISMVAPNLVHVDEREPCTISSEVKPPVKQQVSSTPFKTVVENFYMTDAITRASKIMAQCSATLLKK >ONIVA03G33110.1 pep chromosome:AWHD00000000:3:28344846:28345373:-1 gene:ONIVA03G33110 transcript:ONIVA03G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGQRRVEATWSRRGPAASRCGRVAAAWRGGSLRRARGGEAARRPAASPAWRGDGCQLARGGGLTWARGGKAARRLPGPLPTRGGAVA >ONIVA03G33100.1 pep chromosome:AWHD00000000:3:28343567:28344311:-1 gene:ONIVA03G33100 transcript:ONIVA03G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLERYSEGARDQALLVKARVDDEVEVVLVFKGFSSRLSGRTAADPAMSVLPERAIIQTVDVVRGPFDPTNIEYLEKDLPWDDFKSRLH >ONIVA03G33090.1 pep chromosome:AWHD00000000:3:28337942:28344465:1 gene:ONIVA03G33090 transcript:ONIVA03G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DYNAMIN-like 1C [Source:Projected from Arabidopsis thaliana (AT1G14830) TAIR;Acc:AT1G14830] MATMGSLIGLVNRIQRACTVLGDHGGGGEGGSLWEALPSVAVVGGQVRVLATTLRTYLSDPKPWRPDLDMALTPLRFAFVMHPEFREVVGAREHSGEGLPASWIRRPLVLQLHKTEGGQEYAEFLHAPRKRFTDFAAVRKEIADETDRITGKTKAISNIPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQQESIVQDIENMVRSYVDKPNSIILAISPANQDIATSDAIKLARDVDPSGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINRNVDMLAARRKEKEYFESSPDYGHLAHKMGAEYLAKLLSQHLEAVIRAKIPSIIAMINKTIDEIEAELDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDKHLSLQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLHYFRGPAEASVDAVHLVLKELVRRSIAATEELKRFPTLQTDIAAAANESLERFREDGRKTVIRLVEMEASYLTVEFFRKLPTEPDKGANNNTPANDRYQDNHLRRIGSNVSSYINMVCETLRNTIPKAVVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMEKRDSLVKRLELYKSARNEIDSVAWK >ONIVA03G33080.1 pep chromosome:AWHD00000000:3:28330199:28335930:1 gene:ONIVA03G33080 transcript:ONIVA03G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-O-methylthreonine resistant 1 [Source:Projected from Arabidopsis thaliana (AT3G10050) TAIR;Acc:AT3G10050] MAAAATAAAAATSTFTAAVPRARGRRHPTRVAAAAAATASSPEAAMAAAAPPLPMMRVAPETLQRQSGYLVRGRGEEGIGEGEAEAVGGDAAGGLGAMEYLTSVLSSKVYDVAIESPLQLATKLSERLGVNLWIKREDLQPVFSFKLRGAYNMMAKLSREQLERGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPEIKWRSVERLGATVVLKGDSYDEAQSYAKQRCEQEGRTFIPPFDHPDVISGQGTIGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLCHGQRVMLEQVGGFADGVAVKVVGEETFRLCRELVDGIVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGENVVAITSGANMNFDRLRLVTELADVGRKREAVLATFLPEEQGSFKKFAELVGRMNITEFKYRYDCNAKDALVLYSVGIYTDDELKAMVERMESSKLRTVDLTDNDLAKDHLRYFIGGRSEVTDELVYRFIFPERPGALMKFLDAFSPRWNISLFHYRAQGETGANVLVGIQVPPEEFDEFKSRADNLGYEYMSELNNEIYRLLLRDPKI >ONIVA03G33070.1 pep chromosome:AWHD00000000:3:28321711:28324026:1 gene:ONIVA03G33070 transcript:ONIVA03G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G01570) TAIR;Acc:AT4G01570] MWRAPIRGLASAAAARAGAGAPLSGLTDALLAARLANHLLTTPHIPPELLPAAPLPLPVRLHVLRHPALPPTSKLSFFLAATPPSCPLLAATFPVLVRALATHSPPLLDALLPFALSSSCPSELLPALLSALLSASRVDAALALLDAAPPDLLPRLAAAAIPSLIASPDPISAVPAIRRLLPIASHPPPVRATNRLLFALSKENLYDDFRHVFGEMSRRGLPSNIRFYNICIHAFGKWRRLDMSLKLFAAMKTASPPLVPDICTHNSLIRALVVGARVADALVVYDEMKSFGIEPDVFTYRAIVDGCCKSFRMDDALRLFQEMRGSYGVKGDVVVYNSLLDGLFKAKKLDEACGFFETMVADGIQCSASTHNTVIDGLFKNGRAEAACRLFYDLRRKGQLLDGIAYSIMVREFCKEGKGDQVAEAVELMKEMEERGFAVDLVTVTSLLIGFNKSRRWDLEEQIVKFIRDSSVLPDAIRWKSNMMSALQGPQDREKDGTSIFPFDGNIDDVMSLVNPVVCTGANEETPKDEPKDDWSLSPHLDHLAKHADHLNSSAIFTIDRGQRVQGMGAKTFDADMVNTYMSIFLAKGKLSVACKLFEIFTTLGRKGTSYTYNSLMTSFVKKGYLKQVWAILHERGGQLCPNDIATYNLIIQGLGQMGKAEVAGSIISELSKKGVYMDIVMYNTLINQLGKAGKVDEANSLLEQIIGRGIKPDVVTFNTLININAKAGRLKEADKYLRKMVAEGIAPNYATETILVFLDKEIEKKRQQPR >ONIVA03G33060.1 pep chromosome:AWHD00000000:3:28313555:28317067:-1 gene:ONIVA03G33060 transcript:ONIVA03G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GSQ3] MSSSLLTDLVNLDLSESTDKVIAEYIWVGGTGMDVRSKARTLSGPVDDPSKLPKWNFDGSSTGQATGDDSEVILHPQAIFRDPFRKGKNILVMCDCYAPNGEPIPTNNRYNAARIFSHPDVKAEEPWYGIEQEYTLLQKHINWPLGWPLGGYPGPQGPYYCAAGADKSYGRDIVDAHYKACLFAGINISGINAEVMPGQWEFQIGPVVGVSAGDHVWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRSNGGYEVIKKAIKKLGMRHREHIAAYGDGNERRLTGHHETADINNFVWGVANRGASVRVGRDTEKDGKGYFEDRRPASNMDPYLVTAMIAETTILWEPSHGHGHGQSNGK >ONIVA03G33050.1 pep chromosome:AWHD00000000:3:28304458:28312236:1 gene:ONIVA03G33050 transcript:ONIVA03G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSVTKKATTPFDGQKPGTSGLRKKVTVFQQPHYLQNFVQSTFNALPADKVKGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQNSLMSTPAVSAVIRERVGADDFGIKYNMENGGPAPESVTDKIFSNTTTITEYLIAEDLPDVDISVVGVTTFSGPEGPFDVDVFDSTIDYIKLMKTIFDFESIKKLLASPKFTFCYDALHGVAGTYATRIFVEELGAAESSLLNCVPKEDFGGGHPDPNLTYAKELVDRMGLGKSSNAEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVQSIPYFSSGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDNLGGDKLVTVEDIVRQHWGTYGRHYYTRYDYENVDAGAAKELMANLVSMQSSLSDVNKLIKEIRSDVSDVVAADEFEYKDPVDGSVSKHQGVRYLFGDGSRLVFRLSGTGSVGATIRVYIEQYEKDSSKTGRDSQDALAPLVRTGGVTLEIGRSDRMDEPRVAPVPCLALKHGADSDKPVLFSISDATAIDNNGGVDIPGLTNGNGWVTPQGWILVRSASDASTFLQNPQDPDGKISLPHLPRELPSTCSCRLSGKPNGSERRCHCALWDIRPGKEGQREKVPICSIAACRGKFYFNATPESVGVLEFTPTPTTPVFGSIAIADPLPGGYGVLGAALGFLVEAEDDLYMVRLLLDRDFETVYDLIVYKMDFSEQQWHEVDDIGGRAFLLAPAYFGASRAADECGLEKDSVYVPYAHKKCFEVCKVEEKGDLDVVNLIEAPDAKIGMWIMPTD >ONIVA03G33040.1 pep chromosome:AWHD00000000:3:28297461:28301404:-1 gene:ONIVA03G33040 transcript:ONIVA03G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPEATGSAPRGAWRLDTGCRPSLSVILSPIVTGPRQPRDGRVGLMMITMNPNKALANKPCNYTICPMMFYAAYCHGYIQRVLADGLSFSQVAAAVEMLLSKIGLEKRHHVPAQEYKHETYKDQFVVKFGLRRKHTCHVDVDVDGWVRFCAASRARHIAFDFTPGAKNIFKGLPDDKYIFPLHVFSGPDSSPSHVRSLNLAYVCLNTTTTGFAGFANLKKLTLHKVLFLIMLPECTALEWLSIICCSYTELTLCKPLLRLRYLCLHYCNLEKIELEAPNLTSFDLINRPIPLALSESPKVMKFKLLHKSVRYGDNLDYICTELPAVLPGLKRFAKTSVRFINLRHLNLSVDGILRLAYLLEVAPVLEELELHFDISDFVIRQVIRADMPPYRHDKAQEGGHVWSLSLAGADRASTLHSSLCY >ONIVA03G33040.2 pep chromosome:AWHD00000000:3:28297461:28301404:-1 gene:ONIVA03G33040 transcript:ONIVA03G33040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPEATGSAPRGAWRLDTGCRPSLSVILSPIVTGPRQPRDGRVGLVHLAVSPKDPIPETDATETSSGARAPGVRAVRREPVLSTTSSSSSSSSPSPASRPCAISSLGAPDLPPWRGPARRRSAFFPFTKPCNYTICPMMFYAAYCHGYIQRVLADGLSFSQVAAAVEMLLSKIGLEKRHHVPAQEYKHETYKDQFVVKFGLRRKHTCHVDVDVDGWVRFCAASRARHIAFDFTPGAKNIFKGLPDDKYIFPLHVFSGPDSSPSHVRSLNLAYVCLNTTTTGFAGFANLKKLTLHKVLFLIMLPECTALEWLSIICCSYTELTLCKPLLRLRYLCLHYCNLEKIELEAPNLTSFDLINRPIPLALSESPKVMKFKLLHKSVRYGDNLDYICTELPAVLPETLHYLRHSTSMMRSVDGILRLAYLLEVAPVLEELELHFDISDFVIRQVIRADMPPYRHDKAQEGGHVWSLSLAGADRASTLHSSLCY >ONIVA03G33040.3 pep chromosome:AWHD00000000:3:28299535:28301404:-1 gene:ONIVA03G33040 transcript:ONIVA03G33040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPEATGSAPRGAWRLDTGCRPSLSVILSPIVTGPRQPRDGRVGLVHLAVSPKDPIPETDATETSSGARAPGVRAVRREPVLSTTSSSSSSSSPSPASRPCAISSLGAPDLPPWRGPARRRSAFFPFTKPCNYTICPMMFYAAYCHGYIQRVLADGLSFSQVAAAVEMLLSKIGLEKRHHVPAQEYKHETYKDQFVVKFGLRRKHTCHVDVDVDGWVRFCAASRARHIAFDFTPGAKNIFKGLPDDKYIFPLHVFSGPDSSPSHVRSLNLAYVCLNTTTTGFAGFANLKKLTLHKVLFLIMLPECTALEWLSIICCSYTELTLCKPLLRLRYLCLHYCNLEKIELEAPNLTSFDLINRPIPLALSESPKVMKFKLLHKSVRYGDNLDYICTELPAVLPGVQKLSITSDTLHL >ONIVA03G33040.4 pep chromosome:AWHD00000000:3:28297461:28299442:-1 gene:ONIVA03G33040 transcript:ONIVA03G33040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFQLKRFAKTSVRFINLRHLNLSVDGILRLAYLLEVAPVLEELELHFDISDFVIRQVIRADMPPYRHDKAQEGGHVWSLSLAGADRASTLHSSLCY >ONIVA03G33030.1 pep chromosome:AWHD00000000:3:28286408:28289210:-1 gene:ONIVA03G33030 transcript:ONIVA03G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAWRPASGRRVALAAMVVLSVVVAAAMADDLAGDARALLAFRDAVGRHVAWNGSDPGGACSWTGVTCEGGRVAVLRLPGAALAGRVPEGTLGNLTALHTLSLRLNALAGALPGDLASAAALRNVFLNGNRLSGEFPRAFLALQGLVRLAIGGNDLSGSIPPSLGNLTRLKVLLLENNRFSGEIPDLKQPLQQFNVSFNQLNGSIPATLRTMPRSAFLGTGLCGGPLGPCPGEVSPSPAPGEQPVSPTPANNGDKGGNGGESGKKSKKLSGGAIAGIAIGSAVGAALLLFLLICLCCRSGRTKTRSMEMPPPPSSAPAVVAAGRKPPEMTSAAAVAPMATVGNPHAPLGQSTSGKKLIFFGSAAAVAPFDLEDLLRASAEVLGKGAFGTTYKAVLESGATVAVKRLKDVTLTEPEFRDRIADIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRGSGRTPLNWETRSSIALAAARGVEYIHSTSSSASHGNIKSSNVLLNKSYQARLSDNGLSALVGPSSAPSRASGYHAPEVTDPRRVSQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVVRSEWTAEVFDMELLRYQNVEEQMVQLLQLAIDCVAQVPDARPSMPHVVLRIEEIKKSSERLEGRDPQQQASNLEAGDDQTSKPESAEGLNPFAP >ONIVA03G33020.1 pep chromosome:AWHD00000000:3:28271305:28277136:1 gene:ONIVA03G33020 transcript:ONIVA03G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSAGVVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNTVHEGRIYQLKLFCDTDYPDRPPTVRFQTRINMSCVNQETGMVEPSLFPMLGNWQREHTMQDILIGLKKEMSAPQNRRLHQPHDGNEDQRVEQKGLSLRCVIM >ONIVA03G33010.1 pep chromosome:AWHD00000000:3:28264777:28267782:1 gene:ONIVA03G33010 transcript:ONIVA03G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G70650) TAIR;Acc:AT1G70650] MRHRVGPAAAAAAALRRFSHLRPPAPPDPRLAFLRSEFDGREPGRQPPSPETREEPKGGERARSPVAVDIAHPWPEWVALMELLLQRGHLDASVFAGGAAGSPSKDSNVIRTACLRFGRERPELIRHLSRWDIQVALRYGCPSIDRKVVNSGKRLRAHVGLDEGEVCSQCNLRGGCERAYVKARKEEIGRTVDVMRILLTYGLDTVTGNVENRACLNKTVKESIKSLLNEIVGFDSMGPGSSTEKPTHRMPKGQSSIPMKQGDWNCPKCNFLNFAKNIKCLRCNGEFEERYQLLHENQEHLPLKKGDWICKRCNFLNFAKNTRCLQCHEKPTNRQLNPGEWECVSCNYLNFKRNAFCLKCGWKRPKSLNNQDSIESQRDLDDNKHSAISFIQDGIQSRRQILQNKAPLSDEDSDFWSSEEEGAYHSDNNIPPIKKDYKFLESFPIIGGRTAMSQDPLEREKWKDEMSRRNKGLLTKVSEESNRPSCPVRIPRSIEQIESGDDDDDISSWFSGGTSNINMKKA >ONIVA03G33000.1 pep chromosome:AWHD00000000:3:28260160:28262335:1 gene:ONIVA03G33000 transcript:ONIVA03G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGKSDYESVRDARISENLARMEMLGLRRCAGELSAIASASASASAAASRRAGIGGTTPRRNPKPPRVLTPLRRSGRLVAIAAAAPAGSASGPRRSGRLNGQTTEHKALPLKGSLSKFAAEEAEEEEEEEQEEKKAMVVIDKERVRILQERRCDSKGRGAVYDPVLGICCHFCRQKKLCGEEGCKRCGEGDLNQPCIGKTDCSSCHSSYGILCRACLKVRYGEDMDEVRKNKNWMCPHCIEEKGTKKFWICNSSICLKKRKLSPTGIAIYDAREQGYESVAHLLMDKLKCRAF >ONIVA03G32990.1 pep chromosome:AWHD00000000:3:28256848:28259074:1 gene:ONIVA03G32990 transcript:ONIVA03G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCAAECALSLACARWALRRLSLSGADDSASWPAASPSSFAPVPRACRSALAAWQQGHDEAEQAPTPAPSRLCPPYRLSHDRARGEVVLAVRGLGLARLEDYRVLLDAGGPEPFAGGHAHRGLLRAAVWLLDREGPAIRRMVAEAGPAGCRLVFVGHSLGAGVAALAAVVADDFLPRTPAPLQHIFGSIFCLPCLLCFICMRDTFVSEEKLKDASKLYAPGRVFHIVERENCRCGRLPPQVRTAVPAEGRFEHVVLSCNATSDHGIIWIEKEAQKALDLMEQEELTLPPSQQKMLRVKETESLADHQKLSAWNPQEDDTLSSSSPFSSPRTSTTSSLRSESSSTRSEWDELVEIFLSDHEEDGDGRTNMFNRAGCLPCCK >ONIVA03G32970.1 pep chromosome:AWHD00000000:3:28241093:28252239:-1 gene:ONIVA03G32970 transcript:ONIVA03G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPPAAGSPAAAASSSSSSRSDKKKPKSETPRKRDKLFGGSASGSAASKGGAGAAPASASSSPSADGRKAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRIAAALGSSNLSRGQAQADPSAASAGGGGGGRKAGSSWADSTSGSRGKGKAAEHPARGATATSLEGKSSAKVKPNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVMDQRDKIIQSADRQILAQPGDDTTTRGSKSDVRKSYSQEQHNLKWKRSQEIKPVKFLSPLDTTDVKKEVESPTRERISSWKPFPSPVPKPPKDPTPIKEESPNKKTDTPPAVSSQAELNSPVESTSHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESDDLPQIVELADIARCIATTPLDEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLLLCDSVDMDKVDSASTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGSSLYGDDEPQMSDFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEAQDLIDKLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFESSSNVNYSFSNFSFKNLSQLASINYDLLTKGLKDDPPTKSET >ONIVA03G32970.2 pep chromosome:AWHD00000000:3:28241093:28252239:-1 gene:ONIVA03G32970 transcript:ONIVA03G32970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPPAAGSPAAAASSSSSSRSDKKKPKSETPRKRDKLFGGSASGSAASKGGAGAAPASASSSPSADGRKAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRIAAALGSSNLSRGQAQADPSAASAGGGGGGRKAGSSWADSTSGSRGKGKAAEHPARGATATSLEGKSSAKVKPNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVMDQRDKIIQSADRQILAQPGDDTTTRGSKSDVRKSYSQEQHNLKWKRSQEIKPVKFLSPLDTTDVKKEVESPTRERISSWKPFPSPVPKPPKDPTPIKEESPNKKTDTPPAVSSQAELNSPVESTSHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESDDLPQIVELADIARCIATTPLDEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLLLCDSVDMDKVDSASTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKVGLINSTDDLSGPAVSGSSLYGDDEPQMSDFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEAQDLIDKLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFESSSNVNYSFSNFSFKNLSQLASINYDLLTKGLKDDPPTKSET >ONIVA03G32970.3 pep chromosome:AWHD00000000:3:28241093:28252239:-1 gene:ONIVA03G32970 transcript:ONIVA03G32970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPPAAGSPAAAASSSSSSRSDKKKPKSETPRKRDKLFGGSASGSAASKGGAGAAPASASSSPSADGRKAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRIAAALGSSNLSRGQAQADPSAASAGGGGGGRKAGSSWADSTSGSRGKGKAAEHPARGATATSLEGKSSAKVKPNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFAEDEVVMDQRDKIIQSADRQILAQPGDDTTTRGSKSDVRKSYSQEQHNLKWKRSQEIKPVKFLSPLDTTDVKKEVESPTRERISSWKPFPSPVPKPPKDPTPIKEESPNKKTDTPPAVSSQAELNSPVESTSHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESDDLPQIVELADIARCIATTPLDEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLLLCDSVDMDKVDSASTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGSSLYGDDEPQMSDFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEAQDLIDKLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFESSSNVNYSFSNFSFKNLSQLASINYDLLTKGLKDDPPTKSET >ONIVA03G32960.1 pep chromosome:AWHD00000000:3:28236654:28238988:1 gene:ONIVA03G32960 transcript:ONIVA03G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TFIIB zinc-binding protein [Source:Projected from Arabidopsis thaliana (AT3G25940) TAIR;Acc:AT3G25940] MAFWQARDFLFCGVCGTLLKFDSVRSASCPLCGFKRKAKDIEGKETRYTVTAEDIRRELKLDPYVILETTLKEEDVIVERATVNKECEKCKNPELQYYTKQLRSADEGQTVFYKCAKCRHEFNENQ >ONIVA03G32950.1 pep chromosome:AWHD00000000:3:28235569:28235888:-1 gene:ONIVA03G32950 transcript:ONIVA03G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGSAGGGAAASSGVAVTITTAPMTETEDDMAVAEEEEVAAASAETEEHVSEMLEAGHALFKNLAADFEDRLCS >ONIVA03G32940.1 pep chromosome:AWHD00000000:3:28210704:28226318:-1 gene:ONIVA03G32940 transcript:ONIVA03G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHECPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDISALRKKSVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNAARGFAAAAAVAPGGRLEKMLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILSAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEFTTQKEVQLAPIRRPGSVSLNQSPKTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRADYLQDAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELQAPSVKYVVWSSDMESVALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRVIGNNIFCLDRDGKNKLVTVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKIAGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITATTHGLTEIADRLAAELGENIPSLPEGKARSLLIPPAPLTASGDWPLLRVMRGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASEAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNAGNARSAVFVAPPPGMPVSLIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFVDLHMGSHSYLRALATAPIIPIAVEKGWSESASPNVRGPPALVFTFPQMEDRLKAAYKATTDGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNEAQARRARQVQQQCSGKKDSSELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVGADASGLLCSPTQSR >ONIVA03G32940.2 pep chromosome:AWHD00000000:3:28210704:28226318:-1 gene:ONIVA03G32940 transcript:ONIVA03G32940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILSAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEFTTQKEVQLAPIRRPGSVSLNQSPKTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRADYLQDAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELQAPSVKYVVWSSDMESVALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRVIGNNIFCLDRDGKNKLVTVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKIAGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITATTHGLTEIADRLAAELGENIPSLPEGKARSLLIPPAPLTASGDWPLLRVMRGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASEAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNAGNARSAVFVAPPPGMPVSLIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFVDLHMGSHSYLRALATAPIIPIAVEKGWSESASPNVRGPPALVFTFPQMEDRLKAAYKATTDGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNEAQARRARQVQQQCSGKKDSSELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVGADASGLLCSPTQSR >ONIVA03G32940.3 pep chromosome:AWHD00000000:3:28210704:28226318:-1 gene:ONIVA03G32940 transcript:ONIVA03G32940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILSAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEFTTQKEVQLAPIRRPGSVSLNQSPKTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRADYLQDAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELQAPSVKYVVWSSDMESVALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRVIGNNIFCLDRDGKNKLVTVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKIAGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITATTHGLTEIADRLAAELGENIPSLPEGKARSLLIPPAPLTASGDWPLLRVMRGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASEAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNAGNARSAVFVAPPPGMPVSLIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFVDLHMGSHSYLRALATAPIIPIAVEKGWSESASPNVRGPPALVFTFPQMEDRLKAAYKATTDGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNEAQARRARQVQQQCSGKKDSSELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVGADASGLLCSPTQSR >ONIVA03G32930.1 pep chromosome:AWHD00000000:3:28200153:28207140:-1 gene:ONIVA03G32930 transcript:ONIVA03G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQYVHLGKIGSGSYGKVVLYRSMKDGKLYAVKVLNKSYMMKVRVVRSETAMTDVLREVSIMKMLDHPNIVNLIEVIDDPNADKFYMVLEYVEGKMVCDNGLGEATSRNYLRDIISGVMYLHSHNIIHGDIKPDNLLVTSTGSVKIGDFSVSQIFEDDDDLLWRSPGTPVFTAPECCQGSAYHGRAADTWAVGVTLYCMITGHYPFLGDTLQETYDKIVNDPVQIPDNMNPQLADLLERLLCKDPANRITLQAVGEHPWVAGDQGPVVEYFCRCGFGRRKRDDLKGEVQ >ONIVA03G32920.1 pep chromosome:AWHD00000000:3:28181608:28196306:-1 gene:ONIVA03G32920 transcript:ONIVA03G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLAWLASRCGAPPASASASAQRASRAHLHSLLALARLLPAGAGAGSSLPAPLLAFLASHAFLSPSFWPQSFAPAPFLSRLLPLLAAAPTCPALSSALSAALLAALDVADPASAPLARAFLSAAAASPPTLLPADAAPVASRLLLEFAGSEEAPPKAKGKGEYAAGEENGGVREVVQKFEEEEVEELERKEVAFRLIVHVLGGEGGLESDNVAKVRNAAAKQVRSLSEFLKIRKRDWREQGAQLKTRINTKLLCCQAAVVVLVRSVSAMDVDSKASKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNEMLSDVKPATLVQADMTGSSPGALFDAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKEKDAVPVMRLNVIRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSAENNTVPSEATTERIETLPAGFLLVATNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQSNQTPAKPVSTSLNTMESISAIALQAVSGPYMWNSEWCVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNSNEKAAVGQRTALSAALGSRVEVAAMTTISGVKATYLLAVAFLEILRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPAVSQCLTAVVHRAFETVLSWMEDHICDIGEGADIRESVISVHACFLIKSMSQRDENVRDVSVKLLTQLKEKFPQVLWNSSCVDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWITSALSYAPCTTQGLIQENFCKPSGAQRSQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAPDITLEVLSTAVVTATFKCNHAGEIAGMRRLFSSMGGMNTGMSPLGMQSAQPNQSFDEVFLSRFVRLLQDFVVTAEKNQIDNTVFRETCSQSTALLLDHMVSDSRANLDGFSQLIRLLCWCPAYICTPDAMETGIFIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLISGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSATHFSHHPAATGTFFTAMLLGLKFCACQSQSNLQKCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSIFVHCLQNERPSGSADSAPKSQGREGEPNMLDQNHPVWGSVDNYTTVREKRKQLLLMLSQNEADRLEVWAQPINTKDAATFRGKISSDKWIDHARTAFAVDPRIAFSMIMRFPTNSALSSEITQLVQTHILELRTIPEALPFFITPKAVDENSSLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDDDKLVEGYLLGAARRSNIFAHILIWHLQGECVPDEPGKEAAAPKATAFHSLLPAVREKIVDGFTPEARDMFEREFEFFDKVTSISGVLFPLPKEERRAGIKRELEKITVPGDDLYLPTATNKFVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFQAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAGRRHMNGIITTVNLMVDSGLPCFSRGEPIANLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >ONIVA03G32910.1 pep chromosome:AWHD00000000:3:28177938:28180797:1 gene:ONIVA03G32910 transcript:ONIVA03G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAATGAALGARTARACDGCMRRRARWHCPADDAFLCQACDASVHSANPLARRHHRVRLPSASSSPASSPRSAAAPRAGSDDPDAPAWLHGLKRRPRTPRTKPGGGGKHDASAATVAAAAASAVPDLEAEESGIVGDTDHDVGEEDDEDLLYRVPVFDPMLAELYNPVAADDEEQQIEQKPAARVMPFSEPSPEFASGSVEADGLSGFDVPDMELASFAADMESLLMGVDEGFDDLGFLDDEKPHVKLDLDMDMDFASISPAPAPEREERKRKRPEMILKLDYEGVIDSWARDGASPWFHGERPRFDPSESWPDFPAGSRGGLGAAVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRAAALPPLPLPRHQHPPPPPPRALPPVPMMLAPRGAHGRYRF >ONIVA03G32900.1 pep chromosome:AWHD00000000:3:28162056:28170438:1 gene:ONIVA03G32900 transcript:ONIVA03G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-displacement protein alternatively spliced product [Source:Projected from Arabidopsis thaliana (AT3G18480) TAIR;Acc:AT3G18480] MEASPAAAERDRSPPPPPPPPPPSSSAAAAAAMSSPLAVVSSFWKDFDLEKERGGLDEQGLKIAENQETSQKNRRRLAESTRDFKKASSDDKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMAEQDQKLSELETENRKMKLELEEYRAEAAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSLAEDSQKTLEALKDRERALQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRAAKETEVNLLLDEVERAQARLVSLEREKGDLRSQLQTTNEDATNSSDYVDSSDILESSLNAKEKIISELNAELRSIENTLSSERETHVNELKKLTALLSEKENALTELKKELQERPTRRLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKSNLLEEAEKKIAELTAKAEEQQKLILKLEDDILKGYSSTDRRTSLLNDWDLQEIGSNEVAEGTDPRHAPQDQDQSSMLKVICNQRDRFRTRLRETEEELRRLKEKYEMLVVELEKTKADNVQLYGKIRYVQDYSHEKIVSRGPKKYAEDVESGSSDVETKYKKMYEDDINPFAAFSKKEKDQRYKELGLRDKITLSSGRFLLGNKYARTFIFFYTIGLHLLVFTLLYRMSALSYLSTTPANDEIILDAGNQTLPHAL >ONIVA03G32890.1 pep chromosome:AWHD00000000:3:28153619:28159614:1 gene:ONIVA03G32890 transcript:ONIVA03G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEASREENVYMAKLAEQAERYEEMVEFMEKVAKTADVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRSRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAENTLVAYKSAQDIALADLPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDNAEDGGDEIKEAAKPEGEGH >ONIVA03G32890.2 pep chromosome:AWHD00000000:3:28153619:28159614:1 gene:ONIVA03G32890 transcript:ONIVA03G32890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQSTGKNIFKVLGEPRIPRDAIGARFPPRSVGRSHPHPSRSKPPGQPARARPVQWRLATCPLPPHRSTAPIPRSPPLLLARTPRFDRSSPRVGSPLAGAAPRRGQASFPRSRTRRFLIFSFLPPSLSLRSPLPPLLRSSPLLPALPPDLPGLPQPQRTNPARVGKSELVRRAEGKREGEGGGKVHKVRLSLR >ONIVA03G32890.3 pep chromosome:AWHD00000000:3:28155336:28159614:1 gene:ONIVA03G32890 transcript:ONIVA03G32890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEASREENVYMAKLAEQAERYEEMVEFMEKVAKTADVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRSRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAENTLVAYKSAQVGLRDIALADLPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDNAEDGGDEIKEAAKPEGEGH >ONIVA03G32890.4 pep chromosome:AWHD00000000:3:28155336:28159614:1 gene:ONIVA03G32890 transcript:ONIVA03G32890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEASREENVYMAKLAEQAERYEEMVEFMEKVAKTADVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRSRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAENTLVAYKSAQDIALADLPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDNAEDGGDEIKEAAKPEGEGH >ONIVA03G32890.5 pep chromosome:AWHD00000000:3:28155739:28159614:1 gene:ONIVA03G32890 transcript:ONIVA03G32890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEASREENVYMAKLAEQAERYEEMVEFMEKVAKTADVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRSRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAENTLVAYKSAQDIALADLPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDNAEDGGDEIKEAAKPEGEGH >ONIVA03G32880.1 pep chromosome:AWHD00000000:3:28147146:28148777:-1 gene:ONIVA03G32880 transcript:ONIVA03G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein (GLTP) family protein [Source:Projected from Arabidopsis thaliana (AT4G39670) TAIR;Acc:AT4G39670] MLGFRSQTPWSGAGAEPICGDAAAAVVAARKGMETPLTAVAEAFEELARRMEADGGELRLGPFGDTCALVSVLFSCLGMAFRFAEIEYVAKVNDLIGAAKSYGTLNDILDKDVENDCVKKQGSHSRNLRRVRLGLGLIKVLFEQFLSTQECSLYDAATTAYGQVCAPFHSWAVRKAVGAGMYTLPSREQLIVRLNETDCSVQKEMRRYIDASSPIIDYIDNLFLSRSISLDW >ONIVA03G32870.1 pep chromosome:AWHD00000000:3:28142287:28143817:-1 gene:ONIVA03G32870 transcript:ONIVA03G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTSPTPFGGAAVRRRAPPASAAAATRLDLPLVGSRAALHVARARRGGVSSRTQRRLEERGKNKRRGGGSVTAPAPPDMDEDAAAGEGVDWEGEPLGFEVSTTPMPELPDPEKPDFWEGPQWDALGFFVQYMWAFGVFFGLVACGFAVATYNEGATDFRETPSYKESVQTQEFPEESESSGSDVFEGNPTEVAPALE >ONIVA03G32860.1 pep chromosome:AWHD00000000:3:28140874:28141128:-1 gene:ONIVA03G32860 transcript:ONIVA03G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAESAAQVVSLLAPLLVVVLVAAVLASASGAGSAREREEAEAEAEARAREWVRFVFGPEADDERAPPPPSRRVLVVARHDGCG >ONIVA03G32850.1 pep chromosome:AWHD00000000:3:28137963:28140448:1 gene:ONIVA03G32850 transcript:ONIVA03G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 (Hsp 70) family protein [Source:Projected from Arabidopsis thaliana (AT1G09080) TAIR;Acc:AT1G09080] MARDKQSALIVAAFVLLCSGCLCGVADGAKGGRKTKGPVIGIDLGTTYSCVGVYRNGHVDIVANDQGNRITPSWVAFTDDERLVGEAAKNQAALNPDRTIFDIKRLIGRRFDDEDVQRDVKYLPYKVVDKGGKPYVEVRVKAGEVKVFSPEEISAMILAKMKETAESYLGQRVTDAVVTVPAYFNDAQRQATKDAGTIAGLNVPRIINEPTAAAIAYGLDRKGAGEMTNVLVYDLGGGTFDVSVLSLDHGVFEVLATSGDTHLGGEDFDRRVMDHFIRLVKRQHGRDIGGDGRALGKLRRECERAKRALSRQHQVRVEIEALFDGVDFSETLTRAKFEELNMDLFKKTLGPVRKAIADAKLKKSDIDEIVLVGGSTRIPKVQELLKEMFDGKEPTKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYEDHQTTVSIKVFEGERSLTKDCRELGRFDLSGIAPAPRGVPQIEVTFEVDENGILHVTASDKAAGRSKSITITNDKGRLSQEEIDRMVREAEEFAEEDRRVRERVDARNRLENYVYRMRSAVRDGGMAGKIGDDDRERMESALTEALEWLEDNDGGARTAEKEDYEEKLKEVEQVCGPIIKQVYKKSGDASAGAGDDDDVNEL >ONIVA03G32840.1 pep chromosome:AWHD00000000:3:28124614:28134646:-1 gene:ONIVA03G32840 transcript:ONIVA03G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSPRKHRRSPHDDDDAEDGASSPKRHKRGHHRHRHRVSPAAADPAEGEAEDGEILDQATAAVGVGVGRGLDADAGETGSVQGVLPAPEHGDNSDADLNIHVNELHTSQLARNPSQEHDYPAKSDHAAHEAIVEYHSRRSPGSRNHNEAHSKDCLRSCHASRETGFQTDGSRNSVRLDYEHGIDDRHGEPDRYSNRRWETEERGCYKKRKKSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKASAHHGHGEGREMDRWNSSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLNDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYSKSSSNYVREEDEENYLENIEDRKQQEKSAHYIDNKEINNDPAATKQRSNNLRAKEDITNDHELSNVFVGAKFYNVRKSPTLPKISISLGTSDNKRATSASGLQEGSSRISHNKRTTSASGLQEGIPMRGKQVILGNVIDGEQPIDRKLGNENSMLAKKNTLHDNWEDEEGYYIYHFGEVLQGRYEITARRGKGVFSTVVHAKDLKAQKDGCREVAIKIICNNIEKSGKREISILEKLGTADHEDKQHFLESLHMNLREVIKKFGHGTGLKLTAVRAYTKHIFIALKHLRHCGVLHCDIKPDNILVNKDNNLLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELNTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNLNFLARKKDPITKTFVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITVPEALSHPFITGNIGPSTMDRSMVTDFGGPHRAVTLINNSKGVAKTLPFSFLPQTFSPFAGGGGGGAARRRCLPNLIASKQNRPLNSQNVADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFDIPNGEELEEMKKANIKLQEELADQKKAISEVESEVRGLQSNLTLAEIKSKEAKLQREVQEMEEKLNKLRNGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYSDMLTSLSKRRKVSR >ONIVA03G32840.2 pep chromosome:AWHD00000000:3:28124614:28134646:-1 gene:ONIVA03G32840 transcript:ONIVA03G32840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSPRKHRRSPHDDDDAEDGASSPKRHKRGHHRHRHRVSPAAADPAEGEAEDGEILDQATAAVGVGVGRGLDADAGETGSVQGVLPAPEHGDNSDADLNIHVNELHTSQLARNPSQEHDYPAKSDHAAHEAIVEYHSRRSPGSRNHNEAHSKDCLRSCHASRETGFQTDGSRNSVRLDYEHGIDDRHGEPDRYSNRRWETEERGCYKKRKKSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKASAHHGHGEGREMDRWNSSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLNDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYREEDEENYLENIEDRKQQEKSAHYIDNKEINNDPAATKQRSNNLRAKEDITNDHELSNVFVGAKFYNVRKSPTLPKISISLGTSDNKRATSASGLQEGSSRISHNKRTTSASGLQEGIPMRGKQVILGNVIDGEQPIDRKLGNENSMLAKKNTLHDNWEDEEGYYIYHFGEVLQGRYEITARRGKGVFSTVVHAKDLKAQKDGCREVAIKIICNNIEKSGKREISILEKLGTADHEDKQHFLESLHMNLREVIKKFGHGTGLKLTAVRAYTKHIFIALKHLRHCGVLHCDIKPDNILVNKDNNLLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELNTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNLNFLARKKDPITKTFVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITVPEALSHPFITGNIGPSTMDRSMVTDFGGPHRAVTLINNSKGVAKTLPFSFLPQTFSPFAGGGGGGAARRRCLPNLIASKQNRPLNSQNVADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFDIPNGEELEEMKKANIKLQEELADQKKAISEVESEVRGLQSNLTLAEIKSKEAKLQREVQEMEEKLNKLRNGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYSDMLTSLSKRRKVSR >ONIVA03G32840.3 pep chromosome:AWHD00000000:3:28124614:28134646:-1 gene:ONIVA03G32840 transcript:ONIVA03G32840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSPRKHRRSPHDDDDAEDGASSPKRHKRGHHRHRHRVSPAAADPAEGEAEDGEILDQATAAVGVGVGRGLDADAGETGSVQGVLPAPEHGDNSDADLNIHVNELHTSQLARNPSQEHDYPAKSDHAAHEAIVEYHSRRSPGSRNHNEAHSKDCLRSCHASRETGFQTDGSRNSVRLDYEHGIDDRHGEPDRYSNRRWETEERGCYKKRKKSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKASAHHGHGEGREMDRWNSSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLNDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYREEDEENYLENIEDRKQQEKSAHYIDNKEINNDPAATKQRSNNLRAKEDITNDHELSNVFVGAKFYNRGKQVILGNVIDGEQPIDRKLGNENSMLAKKNTLHDNWEDEEGYYIYHFGEVLQGRYEITARRGKGVFSTVVHAKDLKAQKDGCREVAIKIICNNIEKSGKREISILEKLGTADHEDKQHCVRIISSFMHQNHLCLVLESLHMNLREVIKKFGHGTGLKLTAVRAYTKHIFIALKHLRHCGVLHCDIKPDNILVNKDNNLLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELNTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNLNFLARKKDPITKTFVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITVPEALSHPFITGNIGPSTMDRSMVTDFGGPHRAVTLINNSKGVAKTLPFSFLPQTFSPFAGGGGGGAARRRCLPNLIASKQNRPLNSQNVADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFDIPNGEELEEMKKANIKLQEELADQKKAISEVESEVRGLQSNLTLAEIKSKEAKLQREVQEMEEKLNKLRNGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYSDMLTSLSKRRKVSR >ONIVA03G32840.4 pep chromosome:AWHD00000000:3:28124614:28134646:-1 gene:ONIVA03G32840 transcript:ONIVA03G32840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSPRKHRRSPHDDDDAEDGASSPKRHKRGHHRHRHRVSPAAADPAEGEAEDGEILDQATAAVGVGVGRGLDADAGETGSVQGVLPAPEHGDNSDADLNIHVNELHTSQLARNPSQEHDYPAKSDHAAHEAIVEYHSRRSPGSRNHNEAHSKDCLRSCHASRETGFQTDGSRNSVRLDYEHGIDDRHGEPDRYSNRRWETEERGCYKKRKKSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKASAHHGHGEGREMDRWNSSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLNDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYREEDEENYLENIEDRKQQEKSAHYIDNKEINNDPAATKQRSNNLRAKEDITNDHELSNVFVGAKFYNVRKSPTLPKISISLGTSDNKRATSASGLQEGSSRISHNKRTTSASGLQEGIPMRGKQVILGNVIDGEQPIDRKLGNENSMLAKKNTLHDNWEDEEGYYIYHFGEVLQGRYEITARRGKGVFSTVVHAKDLKAQKDGCREVAIKIICNNIEKSGKREISILEKLGTADHEDKQHCVRIISSFMHQNHLCLVLESLHMNLREVIKKFGHGTGLKLTAVRAYTKHIFIALKHLRHCGVLHCDIKPDNILVNKDNNLLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELNTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNLNFLARKKDPITKTFVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITVPEALSHPFITGNIGPSTMDRSMVTDFGGPHRAVTLINNSKGVAKTLPFSFLPQTFSPFAGGGGGGAARRRCLPNLIASKQNRPLNSQNVADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFDIPNGEELEEMKKANIKLQEELADQKKAISEVESEVRGLQSNLTLAEIKSKEAKLQREVQEMEEKLNKLRNGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYSDMLTSLSKRRKVSR >ONIVA03G32840.5 pep chromosome:AWHD00000000:3:28124614:28134646:-1 gene:ONIVA03G32840 transcript:ONIVA03G32840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSPRKHRRSPHDDDDAEDGASSPKRHKRGHHRHRHRVSPAAADPAEGEAEDGEILDQATAAVGVGVGRGLDADAGETGSVQGVLPAPEHGDNSDADLNIHVNELHTSQLARNPSQEHDYPAKSDHAAHEAIVEYHSRRSPGSRNHNEAHSKDCLRSCHASRETGFQTDGSRNSVRLDYEHGIDDRHGEPDRYSNRRWETEERGCYKKRKKSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKASAHHGHGEGREMDRWNSSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLNDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYSKSSSNYVREEDEENYLENIEDRKQQEKSAHYIDNKEINNDPAATKQRSNNLRAKEDITNDHELSNVFVGAKFYNVRKSPTLPKISISLGTSDNKRATSASGLQEGSSRISHNKRTTSASGLQEGIPMRGKQVILGNVIDGEQPIDRKLGNENSMLAKKNTLHDNWEDEEGYYIYHFGEVLQGRYEITARRGKGVFSTVVHAKDLKAQKDGCREVAIKIICNNIEKSGKREISILEKLGTADHEDKQHCVRIISSFMHQNHLCLVLESLHMNLREVIKKFGHGTGLKLTAVRAYTKHIFIALKHLRHCGVLHCDIKPDNILVNKDNNLLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELNTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNLNFLARKKDPITKTFVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITVPEALSHPFITGNIGPSTMDRSMVTDFGGPHRAVTLINNSKGVAKTLPFSFLPQTFSPFAGGGGGGAARRRCLPNLIASKQNRPLNSQNVADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFDIPNGEELEEMKKANIKLQEELADQKKAISEVESEVRGLQSNLTLAEIKSKEAKLQREVQEMEEKLNKLRNGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYSDMLTSLSKRRKVSR >ONIVA03G32840.6 pep chromosome:AWHD00000000:3:28124614:28134646:-1 gene:ONIVA03G32840 transcript:ONIVA03G32840.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSPRKHRRSPHDDDDAEDGASSPKRHKRGHHRHRHRVSPAAADPAEGEAEDGEILDQATAAVGVGVGRGLDADAGETGSVQGVLPAPEHGDNSDADLNIHVNELHTSQLARNPSQEHDYPAKSDHAAHEAIVEYHSRRSPGSRNHNEAHSKDCLRSCHASRETGFQTDGSRNSVRLDYEHGIDDRHGEPDRYSNRRWETEERGCYKKRKKSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKASAHHGHGEGREMDRWNSSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLNDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYREEDEENYLENIEDRKQQEKSAHYIDNKEINNDPAATKQRSNNLRAKEDITNDHELSNVFVGAKFYNVRKSPTLPKISISLGTSDNKRATSASGLQEGSSRISHNKRTTSASGLQEGIPMRGKQVILGNVIDGEQPIDRKLGNENSMLAKKNTLHDNWEDEEGYYIYHFGEVLQGRYEITARRGKGVFSTVVHAKDLKAQKDGCREVAIKIICNNIEKSGKREISILEKLGTADHEDKQHCVRIISSFMHQNHLCLVLESLHMNLREVIKKFGHGTGLKLTAVRAYTKHIFIALKHLRHCGVLHCDIKPDNILVNKDNNLLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELNTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNLNFLARKKDPITKTFVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITQNRPLNSQNVADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFDIPNGEELEEMKKANIKLQEELADQKKAISEVESEVRGLQSNLTLAEIKSKEAKLQREVQEMEEKLNKLRNGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYSDMLTSLSKRRKVSR >ONIVA03G32830.1 pep chromosome:AWHD00000000:3:28122647:28125892:1 gene:ONIVA03G32830 transcript:ONIVA03G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLDVLLGRTTKQTARLKSMLGLAVTRLGVLRGHRQVRCAQARGDVEQLLRLGHPDRALLRAEQVIREQNTLDAFLMLESYCNLITERAALVDSHRECPEELREAAAGLIYAAERCGDVPELQEVKRLMAAKFGREFVSSAAELRSGCGINAKIVQKLSTKQPSLESRQMVLQEIAAEKGIAGVVVHVVHEPSSHDEDSGLSHRRRRRDGERRHRVHQPQVDLEEDGSSRYRNVEAAAQAAFESAATAAAAAKAAMELSRGESGGRDDDRRRPRGAQFDRADEETLAGGEVSGDGKKSGRIRRANLGRNYSSEIEDSAEDDEVVRHEITAESEVKPRGLTRSVPVSVRTKRVS >ONIVA03G32820.1 pep chromosome:AWHD00000000:3:28117884:28119857:1 gene:ONIVA03G32820 transcript:ONIVA03G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTHGESELARQLATPRRWYDPQTNHPNLLSLPLSPFSPFPFPSPTFTPTDPTEPERAAVQVTVTHGGACRPCESLGGDEKTERGGRWRRGSGAAAAREENGGGRILEWSCARVLVLMLVLVSSERSWRAAAAHPRPALLTDGALLTACPNAKRRRHPRDLAARIWSILAVLLNDGGGGGASSPALTGDGGADWSWSRSRRSRSSCSGIKVGLLHGAPWDARHAERKGGCALLPCSERRPPPRRRLRGCVAPSRLAFWKT >ONIVA03G32810.1 pep chromosome:AWHD00000000:3:28090975:28092481:-1 gene:ONIVA03G32810 transcript:ONIVA03G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAGRRNAAVLAMVLLCVLLHGELAESAVYTVGDRGGWGFNSGGWLRGKRFRAGDVLVFKYSPSAHNVVAVNAAGYKSCSAPRGAKVYKSGSDRVTLARGTNYFICSFPGHCQAGMKIAVTAA >ONIVA03G32800.1 pep chromosome:AWHD00000000:3:28086826:28089705:1 gene:ONIVA03G32800 transcript:ONIVA03G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAFLSSLVDRLSTTALSGITSLWGVKEQVDSLIHELQAVECFLKDADLREIRRQATSNNNWFWLHSLRDAAYDAEDLIESVELHEGRYHTLNPLLQPLNSYRFAKQINEIKSRFQSIIDGWAKNASMLRELRDMSSSSSSVTSAADSLWRRSSCHLGDDVVVGREEEAGMIIDRLLRCTAHREVVGIVGMGGVGKTTLASLVYNKVSAIQTGGTSLRPDSPKGTSSRSSVEMYFDACAWVPVGQNADALGLLKITSAQIGVELNSTQVAAAKNAMFRFLQHKKYLIVLDDIWTTETWLELSEAFPKSTNGSKILLTTRSKEIAVSADPSSLPYELDPLSEELSFQLFITKVFGLNHVDTTSCPPQLKDVGHQLSKKCGGLPLALVVLGGLLSGKEKQFEVWRNILKSMKWSNYEAGNQCLEILALSYSCLPYHMKLCFMYLGAFKEETEISVSKLIKLWIGDDFIPQQDGKTREETANDYLHELIQRCLVQPLLPAHKQGFKRVRIHGLLCELARSEARESRFFYCENGDAVSRAEGKYYRRLALHTKLIAFHELSNSEKLRSLLIFPGVIESCVITVGHQALRPFSRAFCHAFFLFPLWGFQHNILEQLTSMQYIRVLELEGHERLACDLKSVQSNLNHLRYMSLRNTNLGEFPFPESNFPLLQTLDIRGTFIRKLPGILESLDTLRHIYLNWRVSLDIRRLTNLQTLHGVIILPNSQAERNLMALTNLRKLRFRTWRGVEYRPESPNGFDIDRYNAQSSMGNENHALAESLRQLGNLHSIFIMMPFASFQPITSDIVQAVTSHEQLHKLKLQGRVHRNLLLEDPHFSCIKSITLSGSWIVLSPMESLGSLTTLYELKLKDDALRCSEVSCLQNSFPELRYLKISGLKKLRVFHVGNGSFPNLTRFSIHDCTEFLSTVEVMEHATRLQVLKIKEMPSVLPDVTDFCHSRNINLIS >ONIVA03G32790.1 pep chromosome:AWHD00000000:3:28084719:28085223:-1 gene:ONIVA03G32790 transcript:ONIVA03G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGSAMRGAVAVAFLAVVVSCIFLSGCGVADAATYYVGDSLGWSLGSGSWPSGKKFHAGDILVFRYLPWMHNVVAVDEDGYADCNPPPFSRYYTSGSDSVRLARGDNFFVCTRYGHCNLGMKMVVTAV >ONIVA03G32780.1 pep chromosome:AWHD00000000:3:28081008:28082507:-1 gene:ONIVA03G32780 transcript:ONIVA03G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLALVAYAFLNFWMSFQVGKARRKYKVFYPTMYAVESENKDAKLFNCVQRGHQNSLEMMPLFFVTLLVGGLQHPLVAAGLGVFYAVARFFYFKGYATGIPDNRLKIGGLNFLAIFGLIICTASFGINLVLRESI >ONIVA03G32770.1 pep chromosome:AWHD00000000:3:28074773:28080083:1 gene:ONIVA03G32770 transcript:ONIVA03G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLLALTLTSSFLRSSLLPAPSRRLPTGPLLSLRFCSAAGDVADAPAAAAAPDHPWPEWGDFLEKLRAKGYFEQPTLASRADAAEGEVAATAAAAAAAGEDPGASADNYPSKDLNRLKNACLKFGRERFDLLSVLPKQDIRAIVECGCPNIFRKPVNSAKRLREFLQIDEGDACSACKLRGSCDKAYAIPNAEDEARTVDVMRILLNYAIDPTSLSGENSVNGGVQESARKLLSELTMLSDTTIDPSIPKPVFQTSSKTKTFDKGIAKPKFSAGKGRETTETEMKKGDWLCPKCHFMNFARNKMCFKCEESRPKRQLIPGEWECPSCSFVNFRRNKVCLKCKHEGPENDTHDSQHGHNRWRNTRGADRSRSSDDSFDREDDGSDPDEGERRRAKVRTRAASTLGRSTGKSKIFDSIHEDGNGREDDSEDVLPYEGQRRHIVSKRATPAQRRFTAGRSK >ONIVA03G32760.1 pep chromosome:AWHD00000000:3:28071804:28072922:-1 gene:ONIVA03G32760 transcript:ONIVA03G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQTLPVPVSVELPPAAPNPPDPTAPLLPHADDPSAPPAAARKLPVKRRSPPPRPSSPSSSDPASSDPAAKQQPQQPPPPFKFQRIWSESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPSPYTRSQLSEKLRRLKNKFRGMSTRVARGLDPARLAPHDRDVLHLCSRLWDPANAATSPFAAAGDASSGNKRRRAAPRAGPLMPPDPSGDSNSHDYNGGISAGTPGAFGDGHGGEEMMYLEQESGHFGYHGDVAIAADGSLDGIVKVQPETLPALPSIGDIAVHSENGNGKAVVPRSNEHHMANAVLDIFEECLREVKANGITYGANVNGGTELARRWRAQRIDELDVLSRRLRLLVEDAAAAGR >ONIVA03G32750.1 pep chromosome:AWHD00000000:3:28059031:28063162:-1 gene:ONIVA03G32750 transcript:ONIVA03G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAPMAAGAVVVTAALCKRSNRVARVLAYALLEWILIALLLANGVFSYLISRFAAFFGLAPPCALCSRLGVDSLFEPRGEGQGAGAEPLRRVLCDSHAAEVSRLGYCRAHRRLADAGDMCEDCVSASAAAAASSWMRRSELGERDLACACCGVALESGFISPPFLFPAPAACDVDCGHRRDAAMANLNRDVVFVSEEGPVIELFDEKPLEEDPIGAMAGLAAQCAEIVGNVLQLVPLESADLSNVRKSAVSYESRGEGNDAMDHVTSKQRNVVLKNMANTSEDKSAVSSDDDDKVGDMVSKMIDEEITALVLSQDCIEDGFSCEIDGETTESLAADHQQFCEKHSGLKDNNQEISIGSEIPENEQGAVKQELLCVLTNPRGNEFGIDNLEGNTETVHQADLNNGWNSMPVEAGVHASETSTENNEEWIQPGELSQKSNLMPIYSREHADEEIKEDRISLIKQGLDSVTIDSWEEVHLISNDGTKENQAEQSELNHQSTFMTVRAIEYVTDLFDANISAGNVNPTEAALPSLHQFSYGPSTSLNKLCPDYNDVESESAPDTPIHIEDIDGLHELPDHKAMTSDTKSVDLESIELVSVDQLKSALASAHKSLSTLYTELENERSAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALERLNELVVKREKEKQDLERELELYRRKVHLFEVKERRKMSRHKADDHNGSSSASSSAEDSDDHSQSFYEGDESAHGLNGSNGSIPTDAVLQETARHLGTLGCSLADFEEERLSILEQLKLLEERLFDLEDEDSDSVKMDKRLSEENHLMGASNGFSDDDSNFKLHDKRKGVSYRGKKLLPLFDDTTVEDGNDLLTRQDPEADHSTENVVLEPANEQDKLAIAHEIDQVHERLHALEADKEFIKQCVRSLKKGDKGFDLLQEILQHLRDLRRIEQHQEGKEIHLVLEFSSWFGIAVWMADHGAILPSKGPGFFSRTAFHVLFRLVSLYEGILGGGVPNFYSRTRGVGELPPP >ONIVA03G32750.2 pep chromosome:AWHD00000000:3:28059672:28063162:-1 gene:ONIVA03G32750 transcript:ONIVA03G32750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAPMAAGAVVVTAALCKRSNRVARVLAYALLEWILIALLLANGVFSYLISRFAAFFGLAPPCALCSRLGVDSLFEPRGEGQGAGAEPLRRVLCDSHAAEVSRLGYCRAHRRLADAGDMCEDCVSASAAAAASSWMRRSELGERDLACACCGVALESGFISPPFLFPAPAACDVDCGHRRDAAMANLNRDVVFVSEEGPVIELFDEKPLEEDPIGAMAGLAAQCAEIVGNVLQLVPLESADLSNVRKSAVSYESRGEGNDAMDHVTSKQRNVVLKNMANTSEDKSAVSSDDDDKVGDMVSKMIDEEITALVLSQDCIEDGFSCEIDGETTESLAADHQQFCEKHSGLKDNNQEISIGSEIPENEQGAVKQELLCVLTNPRGNEFGIDNLEGNTETVHQADLNNGWNSMPVEAGVHASETSTENNEEWIQPGELSQKSNLMPIYSREHADEEIKEDRISLIKQGLDSVTIDSWEEVHLISNDGTKENQAEQSELNHQSTFMTVRAIEYVTDLFDANISAGNVNPTEAALPSLHQFSYGPSTSLNKLCPDYNDVESESAPDTPIHIEDIDGLHELPDHKAMTSDTKSVDLESIELVSVDQLKSALASAHKSLSTLYTELENERSAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALERLNELVVKREKEKQDLERELELYRRKVHLFEVKERRKMSRHKADDHNGSSSASSSAEDSDDHSQSFYEGDESAHGLNGSNGSIPTDAVLQETARHLGTLGCSLADFEEERLSILEQLKLLEERLFDLEDEDSDSVKMDKRLSEENHLMGASNGFSDDDSNFKLHDKRKGVSYRGKKLLPLFDDTTVEDGNDLLTRQDPEADHSTENVVLEPANEQDKLAIAHEIDQVHERLHALEADKEFIKQCVRSLKKGDKGFDLLQEILQHLRDLRRIEQRTRNSGELSLHYLHPYTD >ONIVA03G32740.1 pep chromosome:AWHD00000000:3:28051036:28053384:-1 gene:ONIVA03G32740 transcript:ONIVA03G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAADGMEKPKFLTREEREKLALERRQAAVTDQRRSALDLLQSLPRPPPPPPPPLSNPPRDSSSSHHRDSSDRDRDRDRDRDRDRDRDRDRERRRDDDSRRDRDRDRDRDRGDSSRRDRDRERGDRDRDRDRERGDRDRERGDREKDRLEKMAEREREKELDARACIGGAATGESDLATREKELDARACIGGAATGESDLATREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQSPHEARLLYGRGFLAGIDRREQKKVAAAHEKETRAEQRRKAGLDDRPEDDAVDKKEADAAAKYDAFDMRVDRHWTQKSLDEMTERDWRIFREDFNISYKGSKVPRPMRKWSESKLGTELLRAVEKAGYKEPSPIQMASIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDAKTIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMTKESEKMSRLQKILTDLGDKPAIVFCNTKKSADARAKDLDKAGFRVTTLHGGKSQEQRETSLDGFRNRRFTVLVATDVAGRGIDIPDVAHVINYEMPSSIDTYTHRIGRTGRAGKKGLATSFLTLENTDIFFDLKQMLIQSNSPVPPELARHEASKFKPGSVPDRPPRRNDTVYATH >ONIVA03G32730.1 pep chromosome:AWHD00000000:3:28047800:28048739:-1 gene:ONIVA03G32730 transcript:ONIVA03G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GSK4] MATFLGSSPAFLARPVAAKPHLSCAQSPRPPSAQPPPEQQPPTPTPTQQQPMQAQPQARPRRAPAAAASGADSTDWVATSLTRRFGIGAGLAWVGFLAFGVVSEQLKTRFEVAQQLANTKDVEQEQEVVLPNGIRYYEMRVGGGDVPRPGDLVVIDLKGRVTGGEAFVDTFGDGKRPLALVMGSRPYTRGMCEGVEYVLRSMRAGGKRRVVVPPALGFGDDGADFGDAAAQVPPGATLEYVVEVDKVSIAPA >ONIVA03G32720.1 pep chromosome:AWHD00000000:3:28042901:28047134:1 gene:ONIVA03G32720 transcript:ONIVA03G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLALTAIVTVGYQLVFFIITALLRFDKVTDFAGSTNFIILAILTLALKGAWHFRQVVLTVLVVIWGLRLGLFLLMRILQWGEDKRFDEMRDNLGKLAVFWIFQAVWVWTVSLPVTIVNASDSDPSIEARDIIGWIMWLIGAGMEAIADQQKLTFKNSPSNRGRWCNVGLWSYTRHPNYFGEIFLWWGIFVASTPVLSGAEWLVILGPVFLTLLLLFVSGIPLLEASADKRFGQNEEYRTYKNTTSPLIPLPPAVYGALPGWFKMGFLFELPLYNRVPQRDPVS >ONIVA03G32710.1 pep chromosome:AWHD00000000:3:28038979:28040175:1 gene:ONIVA03G32710 transcript:ONIVA03G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWSGIHDDMLLLVVGRLPALDLLRFRAVCASWRAAAAIFVDGRGRPRPDRPWLLLPADAPDPDDGCRFVVSRDREVPVVALPARLGRDGGRGFVPLGSSRGVIVAADDRGEMHLLDPVTGKRRALPPVISLPLVDGVEGGPAGLNVRHGGGTVSRIDGLIHKAVPVPAPDGGLLVVVIYRQVHHRNQWATARPGDRAWKSVKPTSIPAVVDVAVHRGQLYANTRYGMVYAFPELRGLGSASPEIIPSVTRRPNAYVERSFLVESPPGSAGGRRGLMQVELLRPVAASGGGEDEEEGFVVRVLDECGETWEEADDIGDVAVLVDASGAVAASTRECPGLRPSTVYFAVDLAGETRVCAYSLAAAAKGKHKRIEVIESIPMAEGYKPPCFWFAPVYTP >ONIVA03G32700.1 pep chromosome:AWHD00000000:3:28034449:28037653:-1 gene:ONIVA03G32700 transcript:ONIVA03G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGNEGLQTRPSGKYGVVNYSSFMRFRVVSCSPLLQSTRPLFRQTSHLPFPSAAMPPAGSLTDEQLRFFDANGYLVLGSFSSAEEVRAMRDRMAELVDGFDGAGDVFSTKDHRQVKNDFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGANVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTDPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDEIDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLIHQSFENLSPVSRHALSLHVIDTEGCEWSKQNWLQRKIPPQPLYEN >ONIVA03G32700.2 pep chromosome:AWHD00000000:3:28035215:28037653:-1 gene:ONIVA03G32700 transcript:ONIVA03G32700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGNEGLQTRPSGKYGVVNYSSFMRFRVVSCSPLLQSTRPLFRQTSHLPFPSAAMPPAGSLTDEQLRFFDANGYLVLGSFSSAEEVRAMRDRMAELVDGFDGAGDVFSTKDHRQVKNDFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGANVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTDPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDEIDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLIHQSFENLSPVSRHALSLHVIDTEGCEWSKQNWLVYFNTFGEF >ONIVA03G32690.1 pep chromosome:AWHD00000000:3:28030520:28032348:1 gene:ONIVA03G32690 transcript:ONIVA03G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLHVSQSQSIMTVLQRIKCLCVIGWVVHVLLAWCVSVCVCVCSLASTGLAAACVAFNFTVHVGSPLTEAQTYDKYMQITNITTWFWTSVLCHLLLYLSCASGVIMLSINVYMSHIGMTRQFVCRFGLCDGRWYHKLFLSFRWIFVRFVLGRLASVSMTGYLGHP >ONIVA03G32680.1 pep chromosome:AWHD00000000:3:28015668:28023296:-1 gene:ONIVA03G32680 transcript:ONIVA03G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon at the outer membrane of chloroplasts 64-III [Source:Projected from Arabidopsis thaliana (AT3G17970) TAIR;Acc:AT3G17970] MASSTAANLWVLLGLGIAGVLLAAKRLRRPARPDNGAFIARLELLPPPQPPPPQARHPLTRLCFAIADAFHVTGYITSFGSLEWAKTHDAATQTSLVVSSLVDGGAMCVGKTVIDEMAFSIHGENKHFGTPTNPAAPDRVPGGCSSGSAVAVAAGIVDFSLGIDTIGGVRVPGSYCGVLAFRPSHAVVPNNGVIPVAPSLDAIGWFARDPSVLRRVGHLLLRLPYADIRQPRHFYIADDCFEMSKVHARRLTQVVTKSVEKLFGRQVLGHVNLENYLASRIPSLRNNSNGHGDSKFSSLLALSRAMQFLHKHEFKDQHMEWINSVKPAVDACIVGNLSDDGESTINNSHDARKEVRAALGALLKDDGILVIPTVLGCPPKLNARELSSQDYNVQTSCLTSLASMSGCCQVTVPLGTHDKCPVSVSLIARHGGDRFLLDTIQTIYATIQEQVDALAKSNVSSKQAMSEEAAEAAKEKGNIAFKEKQWQKAINFYTEAIKLNNKVATYYSNRAAAFLGLASYRQAEADCTSAIDIDPKIVKAYLRRGTAREMLGYYKEAVDDFSHALVLEPMNKTAGVAINRLKKLFP >ONIVA03G32670.1 pep chromosome:AWHD00000000:3:27994957:27996819:1 gene:ONIVA03G32670 transcript:ONIVA03G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYQEAGGSSADMGSCKDKVMAGAAGEEEDVDELLAALGYKVRSSDMADVAQKLEQLEMAMGMGGVSAPGAADDGFVSHLATDTVHYNPSDLSSWVESMLSELNAPLPPIPPAPLAARHASTSSTVTGGGGSGFFELPAAADSSSSTYALRPISLPVVATADPSAADSARDTKRMRTGGGSTSSSSSSSSSLGGGASRGSVVEAAPPATQGAAAANAPAVPVVVVDTQEAGIRLVHALLACAEAVQQENFAAAEALVKQIPTLAASQGGAMRKVAAYFGEALARRVYRFRPADSTLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPEGEADANEEPEVIAVNSVFELHRLLAQPGALEKVLGTVHAVRPRIVTVVEQEANHNSGSFLDRFTESLHYYSTMFDSLEGGSSGQAELSPPAAGGGGGTDQVMSEVYLGRQICNVVACEGAERTERHETLGQWRNRLGRAGFEPVHLGSNAYKQASTLLALFAGGDGYRVEEKEGCLTLGWHTRPLIATSAWRVAAA >ONIVA03G32660.1 pep chromosome:AWHD00000000:3:27985693:27986356:-1 gene:ONIVA03G32660 transcript:ONIVA03G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELVSLSFFFPVLLLVARLVRQDREKSLRLPGYPVGWSRWLVKPLTRFSNIFFKAVFGRYV >ONIVA03G32650.1 pep chromosome:AWHD00000000:3:27966999:27975269:-1 gene:ONIVA03G32650 transcript:ONIVA03G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFSAPVDFNNENHRAGNELTRTNMPLSVGDYGLQNGDATTFAVNTDTLVRHQLQGASLQNDLTAEDSITRLMDPETKGLYFRSRSQEEEILLLRKQIADASVKELQLLSEKHILERKLFDLRMAVDEKQEDAISGALKQLSQKKGHVEENMRLANDLKGEEEELYFFTSSLLSMLAEYNVRPPQINASAITAGTKRLYHQMQWKIKYLNDSLGEITQPGHIYNNPNHQQAMPLRHEPSSSYNTDATRNNFHQYAQDPNDRNTGQMYHGSNYHQEIVAATPSNYFEENNGPREVRLDDSQFYRQDNQEYSADDDPLPGIEGFQIVGEPRPGFTLTACGFPTNGTTLCNFQWVRYLDNGTRQSIEGATMYDYVVTADDVDTLLAVDCTPMDDNTRQGELVTEYANNGSKITCDPEMQNTIDMHISNGRAHFNLLVLGYSSDEWELAILTLKRTGYHIKVKDEVLTEEKYSSNLQTKIPNGRTTQFVLVSSGGVNIPFNTQGISEPNNEDSDVRLRDLIVLVLRTFQSKALDAKRKGKV >ONIVA03G32650.2 pep chromosome:AWHD00000000:3:27966999:27975269:-1 gene:ONIVA03G32650 transcript:ONIVA03G32650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFSAPVDFNNENHRAGNELTRTNMPLSVGDYGLQNGDATTFAVNTDTLVRHQLQGASLQNDLTAEDSITRLMDPETKGLYFRSRSQEEEILLLRKQIADASVKELQLLSEKHILERKLFDLRMAVDEKQEDAISGALKQLSQKKGHVEENMRLANDLKGEEEELYFFTSSLLSMLAEYNVRPPQINASAITAGTKRLYHQMQWKIKYLNDATRNNFHQYAQDPNDRNTGQMYHGSNYHQEIVAATPSNYFEENNGPREVRLDDSQFYRQDNQEYSADDDPLPGIEGFQIVGEPRPGFTLTACGFPTNGTTLCNFQWVRYLDNGTRQSIEGATMYDYVVTADDVDTLLAVDCTPMDDNTRQGELVTEYANNGSKITCDPEMQNTIDMHISNGRAHFNLLVLGYSSDEWELAILTLKRTGYHIKVKDEVLTEEKYSSNLQTKIPNGRTTQFVLVSSGGVNIPFNTQGISEPNNEDSDVRLRDLIVLVLRTFQSKALDAKRKGKV >ONIVA03G32640.1 pep chromosome:AWHD00000000:3:27962956:27968774:1 gene:ONIVA03G32640 transcript:ONIVA03G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLASTSWVVLPPVQARVAAAVAVGPTCRVLLAYTPLLSGSARRRVVGRPLAPPRCSALEDPGPSDGGEGNGRVVEEGEEEVVRRKEQPRRRQRRRPVWRRILFASKKTRSIIILNALTVIYASDIPVLKEVEALTDPAVFNMVRFVVSAIPFIPFAIRAIGDRHVRNSGLELGLWVSFAYLCQAIGLISSDAGRASFLTAFTVIVVPLIDGIFGATIPKLTWFGAIVSLLGIGLLECGGSPPCVGDVLNFLAAVFFGIHMLRTEQISRSTDKKKFLALLSFEVLVVAFSSVLWFLFKDSYVDVNDTSFESWTFGMFLDTATSFPWIPALYTGVFSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAAFAWFLLGERWDNAAWVGAALVLCGSLTVQLFGSAPEKSKKVKTRSCNTLETPLKRQDYLSLSAIPVDSRKNIGSRLERKDKTL >ONIVA03G32630.1 pep chromosome:AWHD00000000:3:27959443:27961711:-1 gene:ONIVA03G32630 transcript:ONIVA03G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGARAVGDNGGGGVGGGPPAPASLPPPPRHPSDSHLPIGLLPTRRLRCQHSTGSLPESAAGHAVATPRSPSRRPGADAADEGEVPGLSSAAAVWMGAQARSGCEGNAESMAVALSACPYAGDLALAKGEATHGCGVMKGVIHGYVFITNSLVCMYGKLGEMDNAKKAFRDATEKNIVTWNTLITSYATAGLCDEALDVLAQMEQIGGTVAPNVVSWSAVISGFGKRERERMRERRIRKEE >ONIVA03G32620.1 pep chromosome:AWHD00000000:3:27957546:27957782:1 gene:ONIVA03G32620 transcript:ONIVA03G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTVLLSEYSDPGHDNSSRNGAVAGVVGHGVGAGGRGLHGYIACAVVLLASTPYAPLYVQGDELQHGVWTAKDDCR >ONIVA03G32610.1 pep chromosome:AWHD00000000:3:27947218:27954974:-1 gene:ONIVA03G32610 transcript:ONIVA03G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRAQTWAGVGKTAQAAAAHAALFCFTLLLALKVDGRTAYSWWIIFIPLWLFHGIVARGRFSMPAPSLPHGRHWAPCHSIVAAPLLIAFELLLCIYLESLRVKSKPTVDLKIVFLPLLAFEVIILVDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKSPNPGEASSSSAAIRYRDWESGLLLPSLEDHEQERLCGLPDIGGHVMKIPLVIFQVLLCMRLEGTPPSAQYIPIFALFSPLFILQGAGVLFSLARLLEKVVLLLRNGPVSPNYLTISSKVRDCFAFLHRGSRLLGWWSIDEGSKEEQARYNTFCGYPPEVVRKMPKRDLAEEVWRLQAALGEQSEITKCTKQEFERLQNEKVLCRICYEGEICMVLLPCRHRTLCKTCSDKCKKCPICRVPIEERMPDRYS >ONIVA03G32600.1 pep chromosome:AWHD00000000:3:27928007:27928972:1 gene:ONIVA03G32600 transcript:ONIVA03G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFMDLELEPHGQQLAAAAEDGAGGQGVDAGVPFGVDGAAAAAAAARKDRHSKISTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNMSKAAIREIMSDDASSVCEEDGSSSLSVDGKQQQHSNPADRGGGAGDHKGAAHGHSDGKKPAKPRRAAANPKPPRRLANAHPVPDKESRAKARERARERTKEKNRMRWVTLASAISVEAATAAAAAGEDKSPTSPSNNLNHSSSTNLVSTELEDGSSSTRHNGVGVSGGRMQEISAASEASDVIMAFANGGAYGDSGSYYLQQQHQQDQWELGGVVYANSRHYC >ONIVA03G32590.1 pep chromosome:AWHD00000000:3:27908661:27911563:1 gene:ONIVA03G32590 transcript:ONIVA03G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPTKLPAPSLPPSSSSPRISPRSTPVSLTSATPSGVHRPPGCGRLDMVGEGSELLDPIPEVAPMERFGSVAAFVAATEHFMVFIQETRAKAEEACRLAVLMQKAAAAAAGGGSDVAVTLEICKKAAAATAAMGGGSSDATATSKVCKVTNVMHKEVAAPTDLIQEGAAEEEAYQPQPPILIPALIARDFGGNMRGLTQSTMLANDSDHMTLFEKKASVGQIGIEEMRGKAKDVSSEEGSSEEMEASDDDVSMVIGGDAQDPYDDSGIEELVQDQGALEKLHTKPTKLANPNSLLRIPKGITKSPSPPISRGAVGASRLAESPLSTFGNGMVFRHGEVGCVVRALGTSSWEELAGCSDGRAATHRWGTSSLAGTTTAVRQGPCRSRGQQLRKLQRCLQQACNL >ONIVA03G32580.1 pep chromosome:AWHD00000000:3:27906204:27906563:1 gene:ONIVA03G32580 transcript:ONIVA03G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGLEGVDPAVLDSGAADSPLLTSVTSTMRMTAVARAKATIATSHARVDLAAPDSGAADPPPPSSAMMMTIMTTRARMTTMTMASRARADPSGAELGRGRFANVGLRSCGDCCGDRVP >ONIVA03G32570.1 pep chromosome:AWHD00000000:3:27898527:27898712:1 gene:ONIVA03G32570 transcript:ONIVA03G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSPASSNPETHISRRKTPARSHQLRLLQRDAGQGKRHRAARRRYRHRGRLVAKERTA >ONIVA03G32560.1 pep chromosome:AWHD00000000:3:27888930:27890234:1 gene:ONIVA03G32560 transcript:ONIVA03G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVCRGPAMPAFEPSTWLRAAAENTYSKPEVAVDDRPAQADIWNAIQADVVDKSATTGAKKAAAKPYVHPLVRRSSSLMSQKSLEVCTESLGSETGSGDFTASLDDVDMASLFGAPAAPASKRADQAAEDSFWQQSAAPEEAWERKELAAVNYHCSGGTRSPPRSFPPPLPSMSSRDAPCLQMRPRRQDGRLIVDAVVVRPRGYLHARRQGGRLLLSFVDCSAREQSAASKAAVATEKAPYFPVVDAKHDQDEEVAAEVEEDDEVEEEEEEVEVVDRGTVVEVKVSTQPQTPTAAKVHRSTLVINKFVGSTPLTVADLHPRCNADAACAAAAAAEATTDAPVPALRRVPSSTSTTTLAAAVAVASTSTDGDDDDDEPHHPPGAAAPAADTKQLLLFTSRCRDKQELLQSVRQCRQLRQKPLFILEPYCIATS >ONIVA03G32550.1 pep chromosome:AWHD00000000:3:27871598:27871783:1 gene:ONIVA03G32550 transcript:ONIVA03G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQGAKSKCGMKREEARLPPWKRVGEHTVESTGAGGTLSATATTMWGQEQPEEKKPASVT >ONIVA03G32540.1 pep chromosome:AWHD00000000:3:27870848:27871189:1 gene:ONIVA03G32540 transcript:ONIVA03G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSAPAPVVATRFWVAATTSITISQHLTRHGVDLIVVMLHVTDAGSSPFGCSDPTSLLLSPSVYHRHRMLSSTGHLHGVLADTLRGYSAIATGSIYRLRLHGGSSNSLRSWQ >ONIVA03G32530.1 pep chromosome:AWHD00000000:3:27862338:27863325:1 gene:ONIVA03G32530 transcript:ONIVA03G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKHGTNTERGRQVMLENRKGVCPYYRHGSTSSLLVELWVKDSTDHCINPDAHKHHTHMGIIRVRIERRLSQYNMG >ONIVA03G32520.1 pep chromosome:AWHD00000000:3:27857148:27860872:-1 gene:ONIVA03G32520 transcript:ONIVA03G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMIAAAAGGGGGCDGEEADAGAAVVEKALPNGDVYRGGFAGGAPHGKGKYVWADGCMYEGEWRRGKASGKGRFSWPSGATFEGEFRGGRIEGQGVFVGPDGATYRGAWAADRRHGVGAKSYANGDYYEGQWRRNLQDGHGRYVWANGNQYVGEWRAGVISGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPRSCVDMPAISGTFFPPVGAGGGGAVRKRSSVEGVGDKASAVPRICIWESEGEAGDITCDIVDALEASMLYREATTVGGGVPYVRTQRSTRRVASGGTCWAPSAAATPEGKRPGQTISKGHKNYELMLQLQLGIRHSVGKSAAVPMRELSPADFDPKEKFWTRFPPEGSKVTPPHSSSDFRWKDYCPMVFRHLRKLFSVDPADYMIAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKLLIRMLPSYYQHVSQYRDSLITRFYGVHCVKPLNGQKVRFIVMGNLFCSEYRIHRRFDLKGSSYGRTADKFDDEIDETTTLKDLDLNFVFRLQRTWFRDLHEQLRRDCEFLESEGIMDYSFLVGVHFCDDLSASKTGLSTFTASPKFSMKRESFQGGGGMPELCFSDSDFDRIPDCRKPLIRLGAHMPARAEQASRRNILQDYDITKRLEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG >ONIVA03G32520.2 pep chromosome:AWHD00000000:3:27857148:27860872:-1 gene:ONIVA03G32520 transcript:ONIVA03G32520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMIAAAAGGGGGCDGEEADAGAAVVEKALPNGDVYRGGFAGGAPHGKGKYVWADGCMYEGEWRRGKASGKGRFSWPSGATFEGEFRGGRIEGQGVFVGPDGATYRGAWAADRRHGVGAKSYANGDYYEGQWRRNLQDGHGRYVWANGNQYVGEWRAGVISGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPRSCVDMPAISGTFFPPVGAGGGGAVRKRSSVEGVGDKASAVPRICIWESEGEAGDITCDIVDALEASMLYREATTVGGGVPYVRTQRSTRRVASGGTCWAPSAAATPEGKRPGQTISKGHKNYELMLQLQLGIRHSVGKSAAVPMRELSPADFDPKEKFWTRFPPEGSKVTPPHSSSDFRWKDYCPMVFRHLRKLFSVDPADYMIAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKLLIRMLPSYYQHVSQYRDSLITRFYGVHCVKPLNGQKVRFIVMGNLFCSEYRIHRRFDLKGSSYGRTADKFDDEIDETTTLKDLDLNFVFRLQRTWFRDLHEQLRRDCEFLESEGIMDYSFLVGVHFCDDLSASKTGLSTFTASPKFSMKRESFQGGGGMPELCFSDSDFDRIPDCRKPLIRLGAHMPARAEQASRRSEFDPFLLNGGGFLAPNQTGEVYDVILYFGIIDILQDYDITKRLEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG >ONIVA03G32510.1 pep chromosome:AWHD00000000:3:27843958:27845244:1 gene:ONIVA03G32510 transcript:ONIVA03G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIPFESSNASVRAAWKNVVDCLLKLKRFKLLPPSVVDQDGGASTVSSSTERLGHRAKSESGVIFPSSHRGAGTSRHVFGMIGWFSQFLSLDAGGESLLFVGSEFENNLKIIQQCRIGSIFMESEKLPDESVQNLGRALIFAAGGKGQKFSTPVEEEETVGFCWDLIVLVSSANVHRFTTFWLQLHDCFTVVSQLPLFSPCPFAEKAIVVLFRIAVRLLPGGGGVDRMAEELVVTRFNYAACIEAAFGFAALKISPLDISTKILQLMADSVNWLILWHKSGYSNPGSTWSSSSSSSSSVVAAAATMMMMEDASWMGNLATSMFIKLAEALRKTSLVRREEIRNQAVAKLG >ONIVA03G32500.1 pep chromosome:AWHD00000000:3:27828621:27837759:1 gene:ONIVA03G32500 transcript:ONIVA03G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPPVPVSPAASAAAAATPAASSAVAGAPAVMPPPPPPLPPPPPRSNSAPPERRALRWAAGGGSGGGNSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSLRRLSRPSTSSSSSAHSNGHHLPRKPIGEIHLKVSSVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWVEALQATKEMFPRMSTSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRTEFEVLHKQLMLLKQKQTLLLDTLRQLETEKVDLENTLVDESQRQSKEYGSTSRSKNEKYSEGSASESDDYNEPQDPAEDETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDTSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNNEYSCKLKFKEQSIIDRNPHQRDYVISMVVTLKQQGTQKKARQCLALPHRRMKGARAKENGMEGSRMLIKVALTTLESLVVAVDSEVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNFTRFAITLNELTAGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDTYRYLGGYWESREKGSWEGCPDIFGQVPNDLMITD >ONIVA03G32500.2 pep chromosome:AWHD00000000:3:27828621:27837759:1 gene:ONIVA03G32500 transcript:ONIVA03G32500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPPVPVSPAASAAAAATPAASSAVAGAPAVMPPPPPPLPPPPPRSNSAPPERRALRWAAGGGSGGGNSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSLRRLSRPSTSSSSSAHSNGHHLPRKPIGEIHLKVSSVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWVEALQATKEMFPRMSTSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRTEFEVLHKQLMLLKQKQTLLLDTLRQLETEKVDLENTLVDESQRQSKEYGSTSRSKNEKYSEGSASESDDYNEPQDPAEDETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDTSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNNEYSCKLKFKEQSIIDRNPHQVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNFTRFAITLNELTAGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDTYRYLGGYWESREKGSWEGCPDIFGQVPNDLMITD >ONIVA03G32500.3 pep chromosome:AWHD00000000:3:27828621:27837759:1 gene:ONIVA03G32500 transcript:ONIVA03G32500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPPVPVSPAASAAAAATPAASSAVAGAPAVMPPPPPPLPPPPPRSNSAPPERRALRWAAGGGSGGGNSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSLRRLSRPSTSSSSSAHSNGHHLPRKPIGEIHLKVSSVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWVEALQATKEMFPRMSTSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRTEFEVLHKQLMLLKQKQTLLLDTLQGSASESDDYNEPQDPAEDETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDTSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNNEYSCKLKFKEQSIIDRNPHQVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNFTRFAITLNELTAGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDTYRYLGGYWESREKGSWEGCPDIFGQVPNDLMITD >ONIVA03G32490.1 pep chromosome:AWHD00000000:3:27812321:27818027:1 gene:ONIVA03G32490 transcript:ONIVA03G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDNFLQPPAAAAAPAAGGGKGLPVPATGDATNLTSSSSSTSSLTLSPPDFLRQVQAALKRHRPTGSMQSNQPRATRVLVSRGEGSTKAVANPSVAQNPEGKVVQQRRGPLGASRLRNAAPDQNKAVVSSQDELLLTTPLTLGTITDTHDQNGGQNHQPKSDTDLLVDRKKSSMEVSSSQMACANALVGEDFKKDLFYLTSDPQLTSQTGDNFPVAQVADDQGKNHKEIGIASAAVEMDIKYDAANLSRRIDEACDQNHGEPMTRCSAMGSSVTAVSLYSGPTIQSKSAAQIDQYASPAQMPQCGRESSGVSGHGSQKLHGVAMNHADCNTNKQQVDTNGGMDKPVSSSAVCLPSQGLSGNDQSLSAKDDGAPRRSKVEKERRKKNYDPDVFFKVNGKLYQKLGKIGSGGSSEVHKVISAECTIYALKKIKLKGRDYPTAYGFCQEIEYLNKLKGKSNIIQLIDYEVTDKSLLQDDSLSPRDGRIKDDHYIYMVLEYGEIDLANMVAQEWKERNTSNMKIDENWLRFYWQQMLKAVNTIHEERIVHSDLKPANFLLVRGALKLIDFGIAKAIMNDTTNIQRDSQIGTLNYMSPEAFMCNEQDSGGNVIKCGRPSDIWSLGCILYQMVYGKTPFADYKNFWAKFKVVTDKNHKIKYEPVDNPWLIDLMQRCLAWDRNDRWRIPQLLEHPFLVPLVPRDLPSIDQDPCRLLMERVRVHWANPKLHSFIAELEKDQCRPATQM >ONIVA03G32480.1 pep chromosome:AWHD00000000:3:27806483:27810001:-1 gene:ONIVA03G32480 transcript:ONIVA03G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTIRLMVRLVLRRKLQDDKAIPSSGTDNYNLVDALESHISEVDKNQLVLYAQMANLVQLILPSFELDLKEITHTFSKFACNAHTICDPELRSLGTGLYPVLSIINHSCVPNAVLIFEGRTAYVRALQPISKNEEVSISYIETAATTMKRQGDLKHYYFTCTCPRCVKDSEEDALLEGYRCNDQKCDGFLLPNAVDNSEVGSMYKTIEELERKLYHPLSITLLHTRETLLKIYMELQDWQTALMLLEYTEDALMSLTRAADILRITHGTKSEFMKELLGKLEEVRAEASFRLSAGDEQ >ONIVA03G32470.1 pep chromosome:AWHD00000000:3:27802657:27806099:1 gene:ONIVA03G32470 transcript:ONIVA03G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVSLSLPLPCAVPASSLPPRAEPDASSSFHPPASSSSSPRGGRLALAAAQPGSGRRWVGRWRAGVSSFSFLAPFFAGNKEKENREKAERLKEELLAAIRPLDRGVDATAEDKERVEKIVQQLEEVNQVKEPLKSDLLNGKWELLYTTSESILQPQRPKFLRPFGTIYQAINTDTLRAQNMETWPYFNQVTANLVPLNSRRVAVRFDYFKIFNLISIKAPGSGKGELEITYLDEELRASRGDKGNLFILKMVDPTYRVPL >ONIVA03G32460.1 pep chromosome:AWHD00000000:3:27799081:27801147:1 gene:ONIVA03G32460 transcript:ONIVA03G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14320) UniProtKB/Swiss-Prot;Acc:P42732] MATLSMVSVPIATSSLPLSARGRSSSVSFPAPKKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRSRSRQILLDLNFDNKVTKDLSEEEVITLRKEKRFNRVAIERLKEIRCYRGIRHKLGLPVRGQRTKNNCRTLKGRRASVAKKKSTASQEE >ONIVA03G32450.1 pep chromosome:AWHD00000000:3:27794890:27798186:1 gene:ONIVA03G32450 transcript:ONIVA03G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPRRDIEAAGAGSGSGDDDSPAAKRGKPEAAGARPSLTRTEAAAAASVLALFLVGIFCVFRAAPRREFEQILRLPRSLADVRLLKDNLAVYARDYQANFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVVKGGILVVFTATAGASSCYFVSKLIGRPLISWLWPEKLRYFQSEIAKRKEKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLIGLIPASYITVKAGRALGDLRSVRELYDSKTLVILFLIGTVAVAPTILKRKRIYE >ONIVA03G32440.1 pep chromosome:AWHD00000000:3:27791990:27793282:1 gene:ONIVA03G32440 transcript:ONIVA03G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSCPLTIDDLLHVLLHLDPRSIVRCAAVSKYWRRAVIGNASQVRRHANRQADRRLLLGYHYREVYPGRLRFSARSTWSPSTGHQHWSDHLPVPSFAPAAAAADGGHPARMYAQLACSDGLLLECRGILEEVSVYSPLTGFHATMPRYDELLTDTYFLHSVHGDEMNPTPNSFQVLAVEVEPEGELALQNYSSETGAWGPVIRPVNDKVLMPRVIHYPVAAIECQGAIHWLCGCWPSCDEPRRRCSLEGYDNITHSVAVDISTGHAWMTRLPKQCVMSSDNVSNNKMLVLATAADGRLALLRREDACTKVTIWVHAEEDSGRGSCGSDGDDGEARWVLSRSFDVRKLVEHAGLAHFRPEHKDWADLEVRLEWFCRRSRCVVIWVPYLGLFVLDLENMQMQRAAGDSQTHVWPYEMDLTVCYSSLKQYH >ONIVA03G32430.1 pep chromosome:AWHD00000000:3:27790243:27791732:-1 gene:ONIVA03G32430 transcript:ONIVA03G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVESSSQSHETRGQGDAEEKHPQPAAVTAAGGAGGGVEIRAAKRFKLSARFADSEGTEDERMRMRMSTSHGSIHNDQCRQYDPKQRRFNSYRTFVGHAATPELLKHLDEDATIGPERRPLDALTEQELVESPEHLKCVYIFRHDRDDCQLIKSSGEILNLIAPYVGPTDESIDFEINLKIRGNMGESNDRIFSNGFTEAPETSNSGQTKRVLLSSWLSTLELAYTTAHFTVQVAIGINILKGSSNFLGIIKACGTKNEGDAVLYDSEVSGTRIALGDDGSIALSRNVVVLHVDEMLLLKFFVYDDDMISKSAPIILTLGHNDESFNIEQGSYKLRVKLDWTKINLLGNIN >ONIVA03G32420.1 pep chromosome:AWHD00000000:3:27787142:27788168:1 gene:ONIVA03G32420 transcript:ONIVA03G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVTTKEKNVIVTAYEEVPPAPRRRRPGSGRAGATSSSSSTTTAEVTTWQQAKAAPAAYQAGVAAGARYRGSNRRALLLAYAQHLRRRDQRGASGERPRVLLEWGKWKTQGHPGAGAGGDAVRRRRSLYCRFRLWTRAFLRRVRRIGENALCKNKEISQPANVG >ONIVA03G32410.1 pep chromosome:AWHD00000000:3:27768240:27774370:-1 gene:ONIVA03G32410 transcript:ONIVA03G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWSRKQSSSSGRRGADASSMDSSSRGGGGEGSGSGSRGRSSRLERRNAVKHIDYEAAGAGAGAGASSVPACASWSSSMSADRSLGLRPSRSLDLAVGGGGTDIRISGSVEGEVDELCRSLGLSGPEEFAIPVAAWEARKERSNSDLLPRSRFVSSPPVDDPSPMARTISAPEVIQCDLPPSFPASIPEESLNSSSNSTATDSAEEPTAAALGQESPKAAPAVAAVEPLAGLPLLSPKRGGGEVGIRGARPPVLSPPQPLMALAPPPMRRSIVAKDMSGVSAWDIVNSFAPSEEKSEVRTDDERVDASHMSDTEEEEFADEGVAGVDGELKELRIGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSWMRGALLGSGSFGMVYEGISDEGAFFAVKEVSLLDQGSNAQQSILALEQEIALLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYQKYKLRDSQVSAYTRQILNGLVYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVVNPKKTYGPQADIWSLGCTVLEMLTRNIPYPNVEWENDLKFPAICQKMLKISLASVYKLIQSNGLLHHNLCHTHLLIDRFELLLNLHPLLPSARIDCGMLLFQLTFYT >ONIVA03G32400.1 pep chromosome:AWHD00000000:3:27753527:27765010:-1 gene:ONIVA03G32400 transcript:ONIVA03G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAQQQQQKQQGGVVRVDQASPASSFRELDDAFLQTQTKIWLGEVLHLRFDEAVIVADLLADGELLFQVSKVLWKRLVRMNKEQMKQSKVYIYERTSSGKSNGKYMPYPKVDSFLKICQILGLAGIDLFTPSDVVEKRNVRKVCMCIRLLSKKARTMRLTVPDFDIVTHTIAMPNYIVGGIRRSLEQPQCSSSGSSGYSPSANSKALNQQRVFGAENDQQCETHYDSDEAESKLSALEPEDSVSEDNISTLLKSGNMPKEEKEGYGDSEHGMHEEKSLSESVGSIDFGNMESDSVGSTPLFHKNESYCCIESPTDQCSRTRTIRCSLSSEESDSISSHLVVDSSKAKRTHGEHLEPLNGNGKRFANDPEKESDALQKVTFDQQCDLLACDGESVCSNCDSTPYSSLTPIDSACGKLPAVSEDDSACRGLELEFRCGNETDVSQKEDKQVESEYKAENDSSAQMNENDVPKSGKGMLKSVAGGITLVGAVFFIAHLRCHTGLACLLAGLARDSGDALYDMKLKLNATGNQLSDWNQNQVNPCTWNSVICDNNYNVVQVFFVCSKLVCLMTDALLQNIGIYGIHWSSITTNWRASVFECFIFGGSCDEIATVILEETRSWSLPGNKITGGIPEQIGNLSSLTSLDLEDNLLVGPIPASLGQLSKLQILRLAYNKLSGSIPGSLFQVARYNFSGNNLTCGANFLHPCSSSISYQGSSHGSKVGIVLGTVVGAIGILIIGAVFIVCNGRRKSHLREVFVDVSGEDDRRIAFGQLKRFAWRELQLATDSFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLRDSILEDFNMYLIVFNDAEFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGAIVDRNLSSNYDGQEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQQRFDWGEDSIFNQEAIELSAGR >ONIVA03G32390.1 pep chromosome:AWHD00000000:3:27739579:27752784:-1 gene:ONIVA03G32390 transcript:ONIVA03G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPAAHYLPGGGRRRLLVVVVALVVLDRAGARVRAADDDTGGLSRAAFPKGFVFGTATSAFQVEGMAASGGRGPSIWDPFVHTPGNIAGNGNADVTTDEYHRYKEDVDLLKSLNFDAYRFSISWSRIFPDGEGKVNTEGVAYYNNLIDYVIKQGLFPYVNLNHYDLPLALQKKYEGWLSPKIVGVFSDYAEFCFKTYGDRVKNWFTFNEPRIVAALGHDTGTDPPNRCTKCAAGGNSATEPYIVAHNIILSHATAVDRYRNKFQASQKGKIGIVLDFNWYEPLTNSTEDQAAAQRARDFHVGWFLDPLINGQYPKNMRDIVKERLPTFTPEQAKLVKGSADYFGINQYTANYMADQPAPQQAATSYSSDWHVSFIFQRNGVPIGQQANSNWLYIVPTGMYGAVNYIKEKYNNPTIIISENGMDQSGNLTREEFLHDTERIEFYKNYLTELKKAIDDGANVVAYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSANWFKNMLQASGPGSKSGSGTSDSQVGSATSASHPVGSAISTNCALVLVLALALLAARDAGAAAVPKPNWLGGLSRAAFPNRFVFGTATSAYQVEGMAASGGRGPSIWDAFAHTPGITPYVNLYHYDLPLALEKKYGGWLNAKMADLFTEYADFCFKTFGNRVKHWFTFNEPRIVALLGYDQGTNPPKRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYRTKYQAAQQGKVGIVLDFNWYEALSNSTEDQAAAQRARDFHIGWYLDPLINGHYPQIMQDLVKDRLPKFTPEQARLVKGSADYIGINQYTASYMKGQQLMQQTPTIAKNGKPIGPQANSNWLYIVPWGMYGCVNYIKQKYGNPTVVITENGMDQPANLSRDQYLRDTTRVHFYRSYLTQLKKAIDEGANVAGYFAWSLLDNFEWLSGYTSKFGIVYVDFNTLERHPKASAYWFRDMLKH >ONIVA03G32380.1 pep chromosome:AWHD00000000:3:27738329:27738982:-1 gene:ONIVA03G32380 transcript:ONIVA03G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVKIVVLAAAVSAAVALSFFYRFRCVHLPTPYVPGGGRRLIVVSNQQEVGEEMDLADGNVQLLCHGYGEHDHSRSVWSSRADIPGGAAARLSLPAVRGDEVFEVLCSYRGANRCWAHGVRVFANPGHDNLFCSEHAGGCEVRFRKDGGVEKQYGSTSTPPIFMGFVPDFDNARDGACASSSCVGRTINRVIGQESCCDDSCGGWEKASPKKSS >ONIVA03G32370.1 pep chromosome:AWHD00000000:3:27734994:27738668:1 gene:ONIVA03G32370 transcript:ONIVA03G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGQETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREILHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKTLEMGAVETLIVWENLDVNRYVLKNSATGETAIKHLNKEQEADQSNFRDTSTNAELEVQDKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDEGVYEDSD >ONIVA03G32360.1 pep chromosome:AWHD00000000:3:27728054:27733063:1 gene:ONIVA03G32360 transcript:ONIVA03G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRQLVLTYLYLLIYVCLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGVVTFFLVRVFKVVAPVKMTFQIYATCVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRWDLFLNMVLVSVGVVVSSYGEIHFNIIGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFVPWFLLEKPEMDVSQIQFNYWIFFFNAVAAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESIITSLNIIGYAVALSGVVMYNYLKMKDVRANQLPADNAPDRATKDKKISNIYKPDNFMDSNDEITVGSLASEGAGVDEEAPLIPSSRLSYVTRTQTGSFNSR >ONIVA03G32350.1 pep chromosome:AWHD00000000:3:27723642:27724241:-1 gene:ONIVA03G32350 transcript:ONIVA03G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHHHHHHHSHQIKAPAPTWFIVKATPPPRDGAKKLAAAAYSPLLLSPSVWQKAQDAKKGKADGGDGALPASPRITCMGQVKGRPRRCSGARRGDRPAARAGSSGLLERLTLGLFGRRRRGRTSSRACSKVRDVPTCSSAQSRGKIYCGRGGAAAVCTLDPPLPVVIRRPAADDEAPTLWERRRGGGGKALETLRLT >ONIVA03G32340.1 pep chromosome:AWHD00000000:3:27718696:27720114:1 gene:ONIVA03G32340 transcript:ONIVA03G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GSG0] MDDAHSSQSPLHVVIFPWLAFGHLLPCLDLAERLAARGHRVSFVSTPRNLARLPPVRPELAELVDLVALPLPRVDGLPDGAEATSDVPFDKFELHRKAFDGLAAPFSAFLDTACAGGKRPDWVLADLMHHWVALASQERGVPCAMILPCSAAVVASSAPPTESSADQREAIVRSMGTAAPSFEAKRATEEFATEGASGVSIMTRYSLTLQRSKLVAMRSCPELEPGAFTILTRFYGKPVVPFGLLPPRPDGARGVSKNGKHDAIMQWLDAQPAKSVVYVALGSEAPMSADLLRELAHGLDLAGTRFLWAMRKPAGVDADSVLPAGFLGRTGERGLVTTRWAPQVSILAHAAVCAFLTHCGWGSVVEGLQFGHPLIMLPILGDQGPNARILEGRKLGVAVPRNDEDGSFDRGGVAGAVRAVVVEEEGKTFFANARKLQEIVADREREERCIDEFVQHLTSWNELKNNSDGQYP >ONIVA03G32330.1 pep chromosome:AWHD00000000:3:27703219:27705693:-1 gene:ONIVA03G32330 transcript:ONIVA03G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVICPLLAFGHLLPCLDLAQRLACGHRVSFVSTPRNISRLPPVRPSLAPLVSFVALPLPRVEGLPNGAESTHDVPHDRPDMVELHLRAFDGLAAPFSEFLGTACADWVVPTSSAPRQTLSSNIHRNSSRPGTPAPSGRLLCPITPHSNTLERAAEKLVRSSRQNARARSLLAFTSPPLPYRDVFRSLLGLQMGRKQLNIAHETNGRRTGTLPLNLCRWMWKQRRCGKLRPSDVEFNTSRSNEAISPIGASLVNLQSIQSPNPRAVLPIASSGVRAVFIGRARTSTPTPPHAKPARSAAPRAHRPPSSVMDSGYSSSYAAAAGMHVVICPWLAFGHLLPCLDLAQRLASRGHRVSFVSTPRNISRLPPVRPALAPLVAFVALPLPRVEGLPDGAESTNDVPHDRPDMVELHRRAFDGLAAPFSEFLGTACADWVIVDVFHHWAAAAALEHKVPCAMMLLGSAHMIASIADRRLERAETESPAAAGQGRPAAAPTFEVARMKLIRTKGSSGMSLAERFSLTLSRSSLVVGRSCVEFEPETVPLLSTLRGKPITFLGLMPPLHEGRREDGEDATVRWLDAQPAKSVVYVALGSEVPLGVEKVHELALGLELAGTRFLWALRKPTGVSDADLLPAGFEERTRGRGVVATRWVPQMSILAHAAVGAFLTHCGWNSTIEGLMFGHPLIMLPIFGDQGPNARLIEAKNAGLQVARNDGDGSFDREGVAAAIRAVAVEEESSKVFQAKAKKLQEIVADMACHERYIDGFIQQLRSYKD >ONIVA03G32320.1 pep chromosome:AWHD00000000:3:27692725:27696339:-1 gene:ONIVA03G32320 transcript:ONIVA03G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein-protein interaction regulator family protein [Source:Projected from Arabidopsis thaliana (AT1G15200) TAIR;Acc:AT1G15200] MAAATEKTAEEIRRELQELQRQHREISERLRDPRGLRRGAPAAAGPGGPRPLRGFPRPAVDLVDQSAPKRRILSAVVKVEDTEAKEDVKKEAEAEGPEGGSAAAEGGERRDGSQRMPRRELDMSLPEPLPREFPKDEDQSLVKRNKRMLGKLLVGTLEKFQQEDKKLSNTEAYMRRSEVQRKADQKAREESERLRQQEREQAIEKRKRDMMLRARVAAKAEEKRLELLYMQWAEHHKKLSNFLRTTAEPPIYYMPAKPIIDDPAIAEENKEKAFQEWKSERRAELTQFQKQVEEQYMSNVERQLERMQNARNARRGNGPSNMQEMDKELDTHRAEHGPKTRRVLEGGNDDEDDMDDMAVEDELMDEVLGINEPISDEQTKPSEEAADGVPVSEEVQ >ONIVA03G32310.1 pep chromosome:AWHD00000000:3:27686172:27693958:1 gene:ONIVA03G32310 transcript:ONIVA03G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAETSKGSIQRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDEFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHVDKPWREALFRQIATFLLLFFLNILLSSFMIALDCMFLESQSIIDYSMLLGIHFRAPNHLKSITSCQNALETTGMSAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >ONIVA03G32310.2 pep chromosome:AWHD00000000:3:27686172:27692224:1 gene:ONIVA03G32310 transcript:ONIVA03G32310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAETSKGSIQRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDEFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHIALDCMFLESQSIIDYSMLLGIHFRAPNHLKSITSCQNALETTGMSAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEFSPSKIEQ >ONIVA03G32310.3 pep chromosome:AWHD00000000:3:27686172:27694609:1 gene:ONIVA03G32310 transcript:ONIVA03G32310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARAETSKGSIQRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDEFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHIALDCMFLESQSIIDYSMLLGIHFRAPNHLKSITSCQNALETTGMSAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >ONIVA03G32310.4 pep chromosome:AWHD00000000:3:27686172:27693958:1 gene:ONIVA03G32310 transcript:ONIVA03G32310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLHVVSFLVVLLLQLRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDEFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHVDKPWREALFRQIATFLLLFFLNILLSSFMIALDCMFLESQSIIDYSMLLGIHFRAPNHLKSITSCQNALETTGMSAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >ONIVA03G32310.5 pep chromosome:AWHD00000000:3:27686172:27693958:1 gene:ONIVA03G32310 transcript:ONIVA03G32310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLHVVSFLVVLLLQLRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDEFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHIALDCMFLESQSIIDYSMLLGIHFRAPNHLKSITSCQNALETTGMSAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >ONIVA03G32300.1 pep chromosome:AWHD00000000:3:27679456:27680348:-1 gene:ONIVA03G32300 transcript:ONIVA03G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATIASTRRAVGFFFSPPPLLPFPGGGLVVADLTAPHWLPPCRAACLCASGWLSYLPFLRRVLLSLLSPRALAPPPLGHRRSPPPPPTTREGVW >ONIVA03G32290.1 pep chromosome:AWHD00000000:3:27676183:27679428:-1 gene:ONIVA03G32290 transcript:ONIVA03G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWPTDELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTVAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEQLDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGGTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSTVSINLPVVNQVFSSNRAIIIPHTSPLARIRPLAGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSYAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIKAFNLHAVFKEVMSFIKPIAAIKRLSVSVMLAPDLPLCAIGDEKRLMQTILNISGNAVKFTKEGHITLVASVVKADSLREFRTPDFHPTASDDNFYLKVQIKDTGCGISPQDLPQVFTKFAQSQPGGNRGYSGSGLGLAICKRFVTLMGGHIWLDSEGTGRGCTVTFVIQLGICDNTNAYQQKLIPLVWPSSGDADFVGPVPNAPNEEKGQASLKSRYQRSI >ONIVA03G32280.1 pep chromosome:AWHD00000000:3:27671174:27673655:-1 gene:ONIVA03G32280 transcript:ONIVA03G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKIPLEVAHTLVEIAEVARYAIEHRRGHGPAHDGVSPPAVDGEEAERLRAENVILRARLADDLSILRELQGEPCVSQECPADLHNRLVAAVNNASFLAQLEKIRDESRHQQTELSPDNMTELDIADIPYTEGGGKNGSWVLVACDKPGANMEEISGIDNENYVLVNDDDIIDGMTSFIARCILEDPKSKSISPVELQKAVAMALSTLNDKWKWMSIWEAGKVLYILATWGITIVGLYRSRHVLKIAAKGAVVSAKFVMKAL >ONIVA03G32280.2 pep chromosome:AWHD00000000:3:27671174:27673655:-1 gene:ONIVA03G32280 transcript:ONIVA03G32280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKIPLEVAHTLVEIAEVARYAIEHRRGHGPAHDGVSPPAVDGEEAERLRAENVILRARLADDLSILRELQGEPCVSQECPADLHNRLVAAVNNASFLAQLEKIRDESRHQQTELSPDNMTDIPYTEGGGKNGSWVLVACDKPGANMEEISGIDNENYVLVNDDDIIDGMTSFIARCILEDPKSKSISPVELQKAVAMALSTLNDKWKWMSIWEAGKVLYILATWGITIVGLYRSRHVLKIAAKGAVVSAKFVMKAL >ONIVA03G32270.1 pep chromosome:AWHD00000000:3:27670029:27670517:-1 gene:ONIVA03G32270 transcript:ONIVA03G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSQKKKRPSGPNPSIKSKASSSDQKPKPSKPTEEEREEKAAAAVAAEKPKKKKATNEIDKIFQATKSSGKKRKQQQQQGEEESVQAKKPKERSEGAKKSNKAKKGSKGRDTDDDDEVEEKRPRRRTADGLAIYSADELGFGKSDAGGTPLCPFDCDCCF >ONIVA03G32260.1 pep chromosome:AWHD00000000:3:27666619:27667458:-1 gene:ONIVA03G32260 transcript:ONIVA03G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALLRRARWALVDHPAVASFRWEPGRTPASTPSFAAAVICAYLATVFLLHRRVVPLPSPHPRALRAVSALHSAVLLALSAAMAAGCVLSVAATAPSAWWAFCFPPGGATAASGPVFFWAHVFYLSKVYELGDTLLILLGRRPLTLLHVYHHAAVIAMCYLWLATRQSLMPIALATNAAVHVAMYGYYLCCSLGLRWPPRWKRAVTELQIAQFLFSFAASAVMLWRHFAAGGCEGMAGWAFNAVFNASLLALFLDFHGAAYAAAKGKKSRSEVVKEE >ONIVA03G32250.1 pep chromosome:AWHD00000000:3:27658234:27664721:1 gene:ONIVA03G32250 transcript:ONIVA03G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVRTKRPPPQPLPRRPPPPKPSAESKPAKARAPATSLLESLKSFKSRLAAGPPLAPTPKSFKSYAETCASILRLCSAAAAASGTAAASSNLPLVLSIHAHALVSGLTADGSVASHLLTAYAAFARAADRDGAFRDCVSVVGAASPFAYDFMVREHVKAGDIVSARRLFDGMPERSVVSYTTMVDALMKRGSVRDAVELYRQCPLCSVPFFTAMIAGFVLNELPKDALGVFHEMLSCGVSPNEITLVSVIKACIGAGEFDLAMSIVGLAMKSNLLDKNLGVRNSLITLYLRKGDADAARRMFDEMEVRDVVSWTALLDVYAELGDLEGARRVLDEMPERNEVSWGTLVARHEQKGNAKEAVSLYSQMLADGCRPNISCFSSVLGACASLQDLRSGRKIHNQTLKMACSNNVFVSSALIDMYCKCKQLPDAQMIFYSLPQKNIVCWNSLISGYSNNSKMVEAEELFKKMPARNVASWNSIISGYAQNRQFIDALKSFHAMLASGQSPGEITFSSVLLACASLCSLEMGKMVHAKIIKLGIKESIFVGTALSDMYAKSGDLDSSKRVFYEMPKRNDVAWTAMIQGLAENGFAEESILLFEDMISAGITPNEQTFLAILFACSHSGLVEHAMHYFEMMQACGISPKAKHYTCMVDVLARAGHLAEAEDLLLKIESKSEANSWAALLSACNIYRNKEMGERAAKRLQELDKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGINLKKDGGCSWVQIRGQYQAFFSWETKHPLLPDKIQLCIYCIVTILTCLLNLVLPPLIWNSNEDYCKRCPGCENPKHELCYELALVITFEYELSGVTVEVGKECLSFRYSSLIENQYSQPDLRSCSVEKSQKCTVYAVSATCIWIDWGTDSSLPVEDASLGKVQILRFLCCAFHYQSQGNWTTCALHSKPITSTCSRLNRGVQIGWPVPVAIDRSASTGRPEPRHLSGWAVHIRVYYYAYSSFPTRNHRSNRVALSSGVRSEKCSLQVAGAAGMEPLEVAITIIFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRAPSSF >ONIVA03G32250.2 pep chromosome:AWHD00000000:3:27658234:27665778:1 gene:ONIVA03G32250 transcript:ONIVA03G32250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVRTKRPPPQPLPRRPPPPKPSAESKPAKARAPATSLLESLKSFKSRLAAGPPLAPTPKSFKSYAETCASILRLCSAAAAASGTAAASSNLPLVLSIHAHALVSGLTADGSVASHLLTAYAAFARAADRDGAFRDCVSVVGAASPFAYDFMVREHVKAGDIVSARRLFDGMPERSVVSYTTMVDALMKRGSVRDAVELYRQCPLCSVPFFTAMIAGFVLNELPKDALGVFHEMLSCGVSPNEITLVSVIKACIGAGEFDLAMSIVGLAMKSNLLDKNLGVRNSLITLYLRKGDADAARRMFDEMEVRDVVSWTALLDVYAELGDLEGARRVLDEMPERNEVSWGTLVARHEQKGNAKEAVSLYSQMLADGCRPNISCFSSVLGACASLQDLRSGRKIHNQTLKMACSNNVFVSSALIDMYCKCKQLPDAQMIFYSLPQKNIVCWNSLISGYSNNSKMVEAEELFKKMPARNVASWNSIISGYAQNRQFIDALKSFHAMLASGQSPGEITFSSVLLACASLCSLEMGKMVHAKIIKLGIKESIFVGTALSDMYAKSGDLDSSKRVFYEMPKRNDVAWTAMIQGLAENGFAEESILLFEDMISAGITPNEQTFLAILFACSHSGLVEHAMHYFEMMQACGISPKAKHYTCMVDVLARAGHLAEAEDLLLKIESKSEANSWAALLSACNIYRNKEMGERAAKRLQELDKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGINLKKDGGCSWVQIRDYCKRCPGCENPKHELCYELALVITFEYELSGVTVEVGKECLSFRYSSLIENQYSQPDLRSCSVEKSQKCTVYAVSATCIWIDWGTDSSLPVEDASLGKVQILRFLCCAFHYQSQGNWTTCALHSKPITSTCSRLNRGVQIGWPVPVAIDRSASTGRPEPRHLSGWAVHIRVYYYAYSSFPTRNHRSNRVALSSGVSLQVAGAAGMEPLEVAITIIFDALLLVFMVKLFFAMFQMKLVVILFYLVILLFAMAFSGRAPSSF >ONIVA03G32250.3 pep chromosome:AWHD00000000:3:27658234:27665775:1 gene:ONIVA03G32250 transcript:ONIVA03G32250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVRTKRPPPQPLPRRPPPPKPSAESKPAKARAPATSLLESLKSFKSRLAAGPPLAPTPKSFKSYAETCASILRLCSAAAAASGTAAASSNLPLVLSIHAHALVSGLTADGSVASHLLTAYAAFARAADRDGAFRDCVSVVGAASPFAYDFMVREHVKAGDIVSARRLFDGMPERSVVSYTTMVDALMKRGSVRDAVELYRQCPLCSVPFFTAMIAGFVLNELPKDALGVFHEMLSCGVSPNEITLVSVIKACIGAGEFDLAMSIVGLAMKSNLLDKNLGVRNSLITLYLRKGDADAARRMFDEMEVRDVVSWTALLDVYAELGDLEGARRVLDEMPERNEVSWGTLVARHEQKGNAKEAVSLYSQMLADGCRPNISCFSSVLGACASLQDLRSGRKIHNQTLKMACSNNVFVSSALIDMYCKCKQLPDAQMIFYSLPQKNIVCWNSLISGYSNNSKMVEAEELFKKMPARNVASWNSIISGYAQNRQFIDALKSFHAMLASGQSPGEITFSSVLLACASLCSLEMGKMVHAKIIKLGIKESIFVGTALSDMYAKSGDLDSSKRVFYEMPKRNDVAWTAMIQGLAENGFAEESILLFEDMISAGITPNEQTFLAILFACSHSGLVEHAMHYFEMMQACGISPKAKHYTCMVDVLARAGHLAEAEDLLLKIESKSEANSWAALLSACNIYRNKEMGERAAKRLQELDKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGINLKKDGGCSWVQIRGQYQAFFSWETKHPLLPDKIQLCIYCIVTILTCLLNLVLPPLIWNSNEDYCKRCPGCENPKHELCYELALVITFEYELSGVTVEVGKECLSFRYSSLIENQYSQPDLRSCSVEKSQKCTVYAVSATCIWIDWGTDSSLPVEDASLGKVQILRFLCCAFHYQSQGNWTTCALHSKPITSTCSRLNRGVQIGWPVPVAIDRSASCHRRRAA >ONIVA03G32250.4 pep chromosome:AWHD00000000:3:27658234:27667700:1 gene:ONIVA03G32250 transcript:ONIVA03G32250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVRTKRPPPQPLPRRPPPPKPSAESKPAKARAPATSLLESLKSFKSRLAAGPPLAPTPKSFKSYAETCASILRLCSAAAAASGTAAASSNLPLVLSIHAHALVSGLTADGSVASHLLTAYAAFARAADRDGAFRDCVSVVGAASPFAYDFMVREHVKAGDIVSARRLFDGMPERSVVSYTTMVDALMKRGSVRDAVELYRQCPLCSVPFFTAMIAGFVLNELPKDALGVFHEMLSCGVSPNEITLVSVIKACIGAGEFDLAMSIVGLAMKSNLLDKNLGVRNSLITLYLRKGDADAARRMFDEMEVRDVVSWTALLDVYAELGDLEGARRVLDEMPERNEVSWGTLVARHEQKGNAKEAVSLYSQMLADGCRPNISCFSSVLGACASLQDLRSGRKIHNQTLKMACSNNVFVSSALIDMYCKCKQLPDAQMIFYSLPQKNIVCWNSLISGYSNNSKMVEAEELFKKMPARNVASWNSIISGYAQNRQFIDALKSFHAMLASGQSPGEITFSSVLLACASLCSLEMGKMVHAKIIKLGIKESIFVGTALSDMYAKSGDLDSSKRVFYEMPKRNDVAWTAMIQGLAENGFAEESILLFEDMISAGITPNEQTFLAILFACSHSGLVEHAMHYFEMMQACGISPKAKHYTCMVDVLARAGHLAEAEDLLLKIESKSEANSWAALLSACNIYRNKEMGERAAKRLQELDKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGINLKKDGGCSWVQIRGQYQAFFSWETKHPLLPDKIQLCIYCIVTILTCLLNLVLPPLIWNSNEDYCKRCPGCENPKHELCYELALVITFEYELSGVTVEVGKECLSFRYSSLIENQYSQPDLRSCSVEKSQKCTVYAVSATCIWIDWGTDSSLPVEAAPWKSRKSASSDALKTALNAHPAIPSHPPAAKCRHSITADAAKLKRNCAICSSVTARFHRGGHRRPRLQQR >ONIVA03G32250.5 pep chromosome:AWHD00000000:3:27666902:27667327:1 gene:ONIVA03G32250 transcript:ONIVA03G32250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTAALVASAMGISDCLVAHGDDGGVVVDVEQRQRAAAEEDEQRVAELVHLGENTCAQKKTGPDAAVAPPGGKQKAHHAEGAVAATESTHPAAMAAESARRTALWSAETARSARGCGDGSGTTRRWRRNTVAR >ONIVA03G32240.1 pep chromosome:AWHD00000000:3:27654944:27657403:1 gene:ONIVA03G32240 transcript:ONIVA03G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCLLPSTPPCCSCGAAGGGGSPSRTPRLARTAAPFASSSSSGAASSGGGLQLACRRRRAGVARGGGGKGDGGASGGAEFFGEDGVVEDMDGYLNYLSLEYDSVWDTKPSWCQPWTILLSGAVAIAGSWLPIHSAVITGGVSFVICAWWYIFLYSYPKAYTEMIAERRRKVASGAEDTYGMEKSQ >ONIVA03G32230.1 pep chromosome:AWHD00000000:3:27648894:27652921:1 gene:ONIVA03G32230 transcript:ONIVA03G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G32150) TAIR;Acc:AT2G32150] MASTAAASSPFDCVLFDLDDTLYPGSAGIGLATKRNIDEFLMARCGVTAERAAALRVELFRSYGSSLAGLIALGYDVHPDEYHSYVHGRLPYDRIAADPQLAGLLRSIPQRKILFTNSDRAHMRKALQRLGVDEGCFDAVVCFETMNPHLFGEAPCASGDDRPGVILKPSPDAIVAALRIAGTNPHRTLFLDDSERNIAAGKALGLRTALVGKRVRSKEADYALESIGSLRRAIPEIWGGVAVAAAGEQLDHGAAEKTKGMRSDLDSIIQPTSVLA >ONIVA03G32220.1 pep chromosome:AWHD00000000:3:27645461:27647995:-1 gene:ONIVA03G32220 transcript:ONIVA03G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEPIDLPKTNAQKLSIHPPIRHAEPPIRLSKIQSKANPLCECATATHPHSGEPMDVLKRELQRKRQLLDADFGGRKLLRRAEIERREMERIREAERRLLLQKQLRSSSSHHRAASRSTTTPGAPDGDESSRAEHRGDGEEKEGAPLLPREEVIRRLRVLRQPATLFGEDDAARLRRLHAVLEDPAALADVEAAEIGEGQTNDFLRDIQALRAKAVAASASAEAKPKAGAATAESGGETREEVSFEELCDEDKIMTFFKRLMSEWSQEMDEMPEAERRTAKGKAAVATCKQCARYLEPLFKLCKKKHEDVDRQKLTLPEDVRGSLLEVVRCCMRRDYLAAVDNYIKLAIGNSPWPIGVTMVGIHERSAREKIYANSVAHIMNDETTRKYLQSVKRLMTFCQRKYPTDPSRSVEFNSLANGSDLQSLLAEQNAKNSEETLRLVAAS >ONIVA03G32220.2 pep chromosome:AWHD00000000:3:27645461:27647995:-1 gene:ONIVA03G32220 transcript:ONIVA03G32220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEPIDLPKTNAQKLSIHPPIRHAEPPIRLSKIQSKANPLCECATATHPHSGEPMDVLKRELQRKRQLLDADFGGRKLLRRAEIERREMERIREAERRLLLQKQLRSSSSHHRAASRSTTTPGAPDGDESSRAEHRGDGEEKEGAPLLPREEVIRRLRVLRQPATLFGEDDAARLRRLHAVLEDPAALADVEAAEIGEGQTNDFLRDIQALRAKAVAASASAEAKPKAGAATAESGGETREEVSFEELCDEDKIMTFFKRLMSEWSQEMDEMPEAERRTAKGKAAVATCKQCARYLEPLFKLCKKKTLPEDVRGSLLEVVRCCMRRDYLAAVDNYIKLAIGNSPWPIGVTMVGIHERSAREKIYANSVAHIMNDETTRKYLQSVKRLMTFCQRKYPTDPSRSVEFNSLANGSDLQSLLAEQNAKNSEETLRLVAAS >ONIVA03G32210.1 pep chromosome:AWHD00000000:3:27635295:27644285:1 gene:ONIVA03G32210 transcript:ONIVA03G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAEGDAAAVLGADPAGLTALLGDLTSPANEARSRAEGMFHALRASHPDALALRLAHLLLSPAHPSAPMAAVLLRRLISPGSQAFVYPGLSPATQSSLRALLLSAASATGLSKSISKKLSDAVAELASFLLPSNAWPDLLTFLYKSIASPSSPPALQESALNILARLASHLAAGFPNLHALLLSALSHPSSADVRVAGLNAAISLIQSLPSAADRDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLPDVVGSMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRKLPRYVGRLFAVLMTMLLDVQDEPAWHAAVSEEEDAGETGSYVFAQECLDRLAIAVGGNTILPVAAELLPSFFASEEWKRRHAALVTIAQIAEGCARVMIKNLEQAHAASAILNFSENCRPDILTPYLDGIVGKLLSLLQTGNQMVQEGALTALASAADSSQEHFQKYYDAVMPYLKSILMNATDKSNRMLRAKSMECISLVGMAVGKQKFKDDAKQVMEVLMTLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYMNVVMPPLLQSAQLKPDVSVTSAGPEDENGESDDEGVETITLGDKRIGIRTSLLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKSQSQGRDESYLKQLSDYIVPALVEAIHKEPDTQICASMLESLNESIQLSGTLLEEGQVRSIVDGIKEVITASALRRRERTDRAKAEDFDSEEEDLLREENEQEDEIFDQIGDCLGTLVKTFKTYFLPFFDELSVYLTPMLAKDKTVEERRIAICIFDDVAEHCREAAVRYYDTYLPSLLEACTSENPDIRQAAVYGIGICAEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNAVSALGKICQFHRDGIDASQVVPAWLSCLPIKNDLIEAKIVHEQLCTMLEKSDRELLGHNNQYLPKIVSIFAEILCAGKDLATEQTFSKMVNLLRQLQTTLPPSVLASTWSSLQPQQQLALQSVLSS >ONIVA03G32200.1 pep chromosome:AWHD00000000:3:27632196:27632573:-1 gene:ONIVA03G32200 transcript:ONIVA03G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASFVDDDLAPPPSLMTTAGATTRRPGGAQWCHGLDGGGGERGRRREQAAVKAEEVPAVSRWAVVVAAASKAWLPASARGLRRSERKTVTPTGKWRERVADDGEDTRRRGGRRGTPPGARGAR >ONIVA03G32190.1 pep chromosome:AWHD00000000:3:27623035:27631368:1 gene:ONIVA03G32190 transcript:ONIVA03G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRGIESLATGDGRHHLSRTLGPVLLISMGYIDLGKWVATIDAGSRFGYDLVILVLLFNLSAILCQYLSMCIGMVTGKNLAEICREEYSPSICVILGIQAGLSLLTAELTMLSGISVGFNLVFEYDDPIAGLYFASVVVNLLPYTMSYLGKRMAGTLNACVAGFALLCFVLGLLVSQPKIPVDMNAMFPKLSGESAYSLMALLGGNGQRQSTTLSLGALFHDHLFSILFIFTGVFLVNYVLMGSAAVESNNTLVTFQDSVDLMNQMFMNPMAPIVFLVILIFSSHVISLTSIIGSHAILKNFFGVNLPHSAHHLLLKAVAMVPTMYYAKVAGSEGIYQLLIICPVIQAMFLPSSVIPVFRVSSSRVIMSRYKISLYVEILAILAFLLLLFTNIIFAAEILFGDSTWTNNLKGNTGSPVVLPHAIVVLISCASITFTLFLAVTPLKSASNEPETQELSEHSQREDPDTTYQREASNEPETQELSEHSQREDPDTTYQIEVSNERETQQLSEHSQIEDPDTFYHREELSLVEQKEDHTTSTINAIPRISSESYQTSALEHNDFPDITVESGHGTQQLTAFVPIIPEVSSSIKHKEPKSVVIDQTEPVPKVCTATVVEHNTAENIKMKSTTSKHVQEEAGASMDYDTEASYNAEVSKSSGNKAPPISDDPTSLTLSKGRDSDAGYRGSNLSRLPGLGRAARRQLAAILDEFWGHLFDYHGKLTQEANAGRFNFLLGPYPKAVRSDNQAIEASRSPLMRDAIRGSATIQKSWDSRAKEVSSPGFNFGLQMGRIGSSNWSESMRLSNADIPRPTSTLFEQNTQFYSNYNVPSYPDNQFYQPATIHGYHLATSLKSMNASHSTHSSISLDPRRLPRSSESAGSNYADSARYARNQDVIGSQGTASQNTTMSCLDTMTVERAFYNPASVNEIEGVGSSAYSKKYHSSPDISALIAASRNYLPNEVNLGGAAGSSSYFSNLACERSQYVNLGSSSTAQFALSKHSQPNFHRDTSSMQSSVNPSTESIWAQQPFEQLLGVSRAELNKGEGNTDQRSSGVTKHDFTNKEYEVKLLQSLRFCIMKLLKLEGSGWLFEQNGGCDEKLIDQVAVAERVSQHTTENQLSADLQLHSSDEDLQPLQRNDNRDANCMSLLPKCGDDCVWQAPLIVSFGVWCIRQILNLCLVESRPELWGKYTYVLNRLQGILDPAFSKPRKPMKGCVCLQKVAKPISGTFTTAGMILEMIKDVEQAISSRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKTSAGQ >ONIVA03G32180.1 pep chromosome:AWHD00000000:3:27613324:27619931:1 gene:ONIVA03G32180 transcript:ONIVA03G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GSD4] MQVQGFIDRLTGRNKEAWKEGRIRGTAVLVKKDVLGLGDFHASLLDGVHNILGHKEGVAFRLVSATARDPSNGGRGKLGKPAHLEELVVTMKSTAAGESVFRVAFEWDESQGIPGAVVVTNSNRSEFFLKTLTLDGVPGKGTVVFVANSWIYPADNYQYERVFFANDTYLPSKMPAPLIPYRQEELNILRGDGKIGPYKEHDRIYRYDYYNDLGQPDKGSKLVRPVLGGSQELPYPRRGRTGRAPTKTDPNTESRLPLLDLNIYVPRDERFGHLKMSDFLGYSLKAIVEGVLPIIRTYVDTTPKEFDSFQDIMELYEGGLKVANASALAEIKKRVPFELIKSLLPVAGDQVLKLPLPHVIKEDKFAWRTDEEFAREMLAGVNPVMIKRLTNFPAKSTLDPNVYGDHTSKITEAHIKHNMEGLTVQNALKGNRLFILDHHDHFMPFLDKINKLDGNFIYASRTILLLKDDGTLKPLAIELSLPHPDGQQHGAVSKVYTPANTGVESQIWQLAKAYASVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTMNINALARQTLINADGIFEKTVFPGKYALEMSSVVYKNWKFTEQALPVDLVKRGVAVPDPTSPYNVRLLIKDYPYAVDGLVIWWAIERWVGEYLAIYYPNDGVLRGDEELQAWWKEVREVGHGDLKDQDWWPKMDTVQELTRACTIIIWIASALHAAVNFGQYPYAGFLPNRPTVSRRPMPEPGTEEYAKLERGGDEADLVFIHTITSQFQTILGISLIEILSKHSSDEVYLGQRDTPEWTSDAKALDAFKRFGSRLVDIENRIKDMNGNSALKNRNGPVKMPYMLLYPNTSDVTKEKGQGLTAMGIPNSISI >ONIVA03G32170.1 pep chromosome:AWHD00000000:3:27604460:27604883:-1 gene:ONIVA03G32170 transcript:ONIVA03G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRSVPGGRRHLPRIYGPRNFKDEYSRGDTKAESVPVLAKIARCYDHSRLKCLEEEFISSGGFCFGLLSHLQHRRQQLGGGGGGEHAADMFQRSLDGLVAFLTCLFPYLPEVEALRYLDAAGADALVAA >ONIVA03G32160.1 pep chromosome:AWHD00000000:3:27596098:27604438:-1 gene:ONIVA03G32160 transcript:ONIVA03G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSFVVDSGATALLDNTMMNHATVKDDEILHLKQSWELSESRLDHHNTKTKLAKSWERLAKSLLLRAKPQPVLPHARAAKKRMLFATIRVFYLQAMARLPADELRARYHRSMLKAGHCYGPLDPVSNIIVNTIWYDQAAFSQSKPCTLQMISTKCLMRIVARSFYGLLSFLCTRYPDCSPDQAMGWLQMANADLRIVDPALGYMSNKITRTDNISMSFCCNLPQQVGIGCLCFRAEASRRSVTPSAGVHEAYAAAAAAAFHPAPLAQQELLASPDNLAKLGFVYLHALQHGDRLCSDDVTLISLLFRKRHFELRHQQQPEPKRLCNDAYIALCHRRFKFWLHHDLVCKNVEVALATFNLDKVHKYRLHFICGVNECVSGLEYGPVRSNSPWRIYKYNHSHINFLAICDDPQSANDPATLFFAECSNYSVHEESWGIPVVSPHRDTELVRCIYCESKGTRIVHPGEKSFHGRDTEFEKVMRGERLFPGLQRGSYSNIRLAERIDADWVDNLEEDCIYITACAADNDRRVNPLNYPPMYRERVLPCCEAASDRS >ONIVA03G32150.1 pep chromosome:AWHD00000000:3:27591101:27595956:1 gene:ONIVA03G32150 transcript:ONIVA03G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GSD1] MLGGIIDTITGSSKQSRLKGMVVLMRKNVLDLNDFGATVIDGLGEFLGKGVTCQLISSTAVDPNNGNRGKVGAEASLEQWLTSSLPSLTTGESRFGVTFDWDVDKLGVPGAIIVKNHHSNEFFLKTITLDDVPGRAGAVVFLANSWVYPADKYRYDRVFFANDAYLPSQMPAALKPYRDDELRNLRGDDQQGPYEEHDRVYRYDVYNDLGSPDSGNPRPILGGSPDTPYPRRGRTGRKPTTTDPDSESRLSLVEQIYVPRDERFGHLKMADFLGYSIKAIAEGIVPAIRTYVDTTPGEFDSFQDILDLYEGGLKLPDVPALEELRKRFPLQLVKDLLPAAGDYILKLPMPQIIKQDKEAWRTDEEFAREVLAGVNPMMITRLTEFPPKSSLDPSKFGDHTSTITAAHIGSNLEGLTVQQALDSNRLYILDHHDRFMPFLIDVNGLEGNFIYATRTLFFLRGDGTLAPLAIELSEPMIQGDVTAAKSTVYTPASTGVEAWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLSPHYRDTMTINALARQTLINAGGIFEMTVFPGKYALWMSSMVYKNWNFTEQGLPADLIKRGVAVEDATSPYKVRLLIKDYPYAADGLEIWHAIEQWVGEYLAIYYTDDGVLRGDAELQAWWAEVREVGHGDLKGAAWWPRMDAVSELRDACTTIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTEAYGELGRDPERAFIRTITSQLQTIIGISLIEVLSKHSSDEVYLGQRDTPAWTSDARALEAFRRFSDRLVEIEGKVVGMNGDAGLKNRNGPAEFPYMLLYPNTSDVTGAAAGITAKGIPNSISI >ONIVA03G32140.1 pep chromosome:AWHD00000000:3:27582612:27584801:1 gene:ONIVA03G32140 transcript:ONIVA03G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYCRISGQLLEEGENFVLDTQDGSDDDQIEFIPDSDDEGIEYCFSSDQEFVPETEFQDCGEVEEKGGGIQDCGEVNEKGGGIQDCGEAKENGGGIQDCGEVEKGGGICGGSNIVHSNDECKQPAQMWCGQRVRGKWFHRESGRCLEEGKNVIFDTQDGPDMDEYEFWPDLDDEGGDFVFEYWFIDVVPKKKMHDGVAMEKKRGGKIGKLMSSNVTYGMGRPSSTITKASFSSNASYPQGGDLWQGTMANHSAEPSKHFVVESSNISEQSKEVSIQFMPNEEEIPSEEKMPSDKENGDGQFVGVDLWVDSQEEEYELIDDTKLEMLRLLIPGYTECFQVKKK >ONIVA03G32130.1 pep chromosome:AWHD00000000:3:27559672:27574984:-1 gene:ONIVA03G32130 transcript:ONIVA03G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEFRSGRRAAALVVVICAVLLLSSAVERAAAQVPCSKCDHACKKSCKGYGRDSSCSLPCGDPSNKAGCESCLDAYYPKCLNYCGQSCRRAAAQVPCSQCDQACKESCKGYGRDSSSCSAPCGDPSNKDGCESCLEAYYSKCVNYCGPSCHGNMSSTVEVRSAAGRDDAGTGGCGGGDRSARTPVAKSVTGCSHAAGIVTWADGSDGGARREVGRPAARRRAAGGPIARRPGVGGPLTRQGESGDRHIESAPSRWETGKDRFGGGRSRLHPDIGNHLPSGEPSPLSPLSPTGVGGASSPRVVACVVVALLLLSFAVEPLEAVAAAAAPATATKPIRCRKCDHACKKSCKGYGRNSDCSVPCGDPSNKAGCKSCLQAYYSKCLNYCGQACRAIRS >ONIVA03G32120.1 pep chromosome:AWHD00000000:3:27551287:27558892:1 gene:ONIVA03G32120 transcript:ONIVA03G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GSC8] MEGGSNDFPGDLLRAVLQRLPPPDLARAACVCRLWRGVASDRAVLEAAFASPWGVRRVVGEPETRAFWRAASLARFALSHTVRRGDTVPGIALKYSIQSFIPRDALVEKQNPRRTLLLQNDEAEGFWSQSSEACIPMTEPLRTTYLSQTYHRMLGGLKDKLTGKNGNKIKGLAVLMSRKLLDPRDFTASLLDNVHEVFGNSITCQLVSATVADQNNEGRGIVGSEANLEQGLTDLPSVSQGESKLTVRFNWEMDKHGVPGAIIIKNHHSTKFFLKTITLHDVPGCDTIVFVANSWIYPVGKYHYNRIFFANNSYLPSQMPEALRPYREDELRYLRGEDRQGPYQEHDRIYRYDVYNDLGEPDRDNPRPVLGGSQKHPYPRRGHPNSESRLSLLEQIYVPSDERFAHLRMSDFAGYSIKAIVQGILPAIRTYVDLTPGEFDSFEDILKLYRGGLKLPSIPALEELRKSFPVQLIKDLLPVGGSYLLKFPKPDIIKENEVAWRTDEEFAREILAGLNPMVIRRLTEFPPKSTLDPSKYGDQTSTITPAHIEKNLEGLSVQQALDSNRLYILDHHDHFMPFLIDINSLDGIFTYATRTLLFLRDDDTLKPLAIELSLPHIEGNLTTAKSKVHTPASSGIESWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVYKLLQPHYRDTMTINALARQTLINGGGIFEQTVFPGKHALAMSSAVYKNWNFTEQGLPDDLIKRGIAIKDPSSPSKVKLLIKDYPYATDGLAIWQAIEQWVTEYCAIYYPNDGVLQGDVELQAWWKEVREVGHGDLKDADWWPKMQSLPELTKACTTIIWIASALHAAVNFGQYPYAGYLPNRPTISRRPMPEPGSKEYTELDENPEKFFIRTITSQFQTILGVSLIEILSKHSADEIYLGQRDTPEWTSDPKALEAFKRFSRQLVEIESKVLNMNKDPLLKNRVGPANFPYTLMFPNTSDNKGAAEGITARGIPNSISI >ONIVA03G32110.1 pep chromosome:AWHD00000000:3:27544915:27547512:-1 gene:ONIVA03G32110 transcript:ONIVA03G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03150) TAIR;Acc:AT1G03150] MTTIRRFCCDDLLRFSSVNLDHLTETFNMSFYMTYMARWPDYFHAAVSPGDRVMGYIMGKVEGQGESWHGHVTAVSVATEFRRQKLAKKLMNLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVEKKSIIPLKRPITPDELEYD >ONIVA03G32100.1 pep chromosome:AWHD00000000:3:27535005:27541117:1 gene:ONIVA03G32100 transcript:ONIVA03G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pescadillo-related [Source:Projected from Arabidopsis thaliana (AT5G14520) TAIR;Acc:AT5G14520] MPKHYRPAGKKKEGNAAKYITRTKAVKYLQISLATFRKLCILKGVFPRDPKKKVEGNHKTYYHMKDIAFLAHDPLIEKFREIKVHRKKVKKAFAKKNKDLADRLLNRPPTYKLDRLILERYPTFVDALRDLDDCLTMVHLFAALPAVEGERVQVQRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFINFKLYHSINVNYPPVLDPRLEALASELYALCRYMSSGRVPGNSEPAGLIEDKEDEDNKESSKTDESELRLAQLQHQLPTNEPGALMHLVQESTAADADDADAKECRSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFDETDEDITHQIVDRPTQSHVFLSREYVQPQWIYDCVNARIILPTEGYIVGRVPPPHLSPFVDNDAEGYIPEYAETIKRLQAAAQSQVLPLPSLGDEDMENSLVEAIIDRSESNEIADKKRKLEMLEKQYHDELRMEYEGKTFSNRTADNQPDVVDKSDTKEADDHMEDSHKQAEKDAADISKTLMSRKQRGLLQAIEINQERKKDKVNLLKKRKKNADSSASAKGR >ONIVA03G32100.2 pep chromosome:AWHD00000000:3:27535040:27541117:1 gene:ONIVA03G32100 transcript:ONIVA03G32100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pescadillo-related [Source:Projected from Arabidopsis thaliana (AT5G14520) TAIR;Acc:AT5G14520] MPKHYRPAGKKKEGNAAKYITRTKAVKYLQISLATFRKLCILKGVFPRDPKKKVEGNHKTYYHMKDIAFLAHDPLIEKFREIKVHRKKVKKAFAKKNKDLADRLLNRPPTYKLDRLILERYPTFVDALRDLDDCLTMVHLFAALPAVEGERVQVQRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFINFKLYHSINVNYPPVLDPRLEALASELYALCRYMSSGRVPGNSEPAGLIEDKEDEDNKESSKTDESELRLAQLQHQLPTNEPGALMHLVQESTAADADDADAKECRSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFDETDEDITHQIVDRPTQSHVFLSREYVQPQWIYDCVNARIILPTEGYIVGRVPPPHLSPFVDNDAEGYIPEYAETIKRLQAAAQSQVLPLPSLGDEDMENSLVEAIIDRSESNEIADKKRKLEMLEKQYHDELRMEYEGKTFSNRTADNQPDVVDKSDTKEADDHMEDSHKQAEKDAADISKTLMSRKQRGLLQAIEINQERKKDKVNLLKKRKKNADSSASAKGR >ONIVA03G32100.3 pep chromosome:AWHD00000000:3:27541111:27545216:1 gene:ONIVA03G32100 transcript:ONIVA03G32100.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pescadillo-related [Source:Projected from Arabidopsis thaliana (AT5G14520) TAIR;Acc:AT5G14520] MPFSPPCLDPAAAARPPAPCAVAPRSRRALRVAASVATAPESAAAQGRLESLSQVAGVLGTQWGDEGKGKLVDIVAQRFDIVARCQGGANAGHTIYNSEGKKFSLHLVPSGILNEKTMCVVGNGAVVHLPGFFKEIDGLESNGISCEGRILVSDRAHLLFDFHQTVDGLREVELGNSLIGTTKRGIGPCYSNKVIRNGLRVSDLRHMDTFGAKLNTLLRDAALRFEGFEYSTKTLKEEVEKYEKFAERLGPYITDTVHFMNQSILQNKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSIGDLIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLTGLKEVKLGIAYCTEDGKEIESFPADLDLLEKIKVKYEVLPGWEDDISSVRNYSDLPETARLYVERIEELVGIPVHYIGVGPGRDALIYK >ONIVA03G32090.1 pep chromosome:AWHD00000000:3:27528948:27532123:-1 gene:ONIVA03G32090 transcript:ONIVA03G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27640) TAIR;Acc:AT3G27640] MATRPRSSPSFFGGLRARELGGGRVPLPRASAARLPYLADLSSDPGGRGSGVIAVEHAGDPAIPFAISFCKAEQISHLLAVADEDGYVGIYDTRRRLPSSSSSLEKSAETKMSDWVAHNNAIFDVCWIKDGSQLLTASGDQTVKIWSVENKKCLGVLSGHTGSVKSLSCHSSNPELIVTGSRDGSFALWDLRIDPKTPNGHREACLMSSLVVKQAHSPTQRNRTRSRAKAASTSITSVLYLKDDISIATSGAADNIVKIWDTRNIKLSLSNRSSQAAMQPLEGVKHGISCLSQDSYGAYIAASCMDNSALHMDKGPIKAYTGSKIESFFVKSAISPDGTHILGGSSDGNVYLWQVDQPERGPIILEGHEGEATSVDWCASEVGKIATSSDDSKVRVWNTERRVFPNTSSPTVIRKRITAPNTGSRSASHELATTSRDVGVAACTSADGELPTGSRSPLQPRVLEFGTPESAKKRAFRLFQEDSLDIRKSPEAQMNSPSSVLSPPHSLKRRTIRDYFAGSSS >ONIVA03G32080.1 pep chromosome:AWHD00000000:3:27526621:27527139:-1 gene:ONIVA03G32080 transcript:ONIVA03G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:A0A0E0GSC2] MADRDRAGQYYQQQRGQVGETVKGILPEKAPSASQALTVATLFPLGGLLLVLSGLALAASVVGLAVATPVFLIFSPVLVPAALLIGLAVAGFLTSGALGLGGLSSLTFLANTARQAFQRTPDYVEQARRRMAEAAAHAGHKTAQAGHAIQGRADQAGTGAGAGGGAGTKTSS >ONIVA03G32070.1 pep chromosome:AWHD00000000:3:27522150:27523142:-1 gene:ONIVA03G32070 transcript:ONIVA03G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSTEEKARASRAESATKGKQGNLAVLSLHPCPSTRRAAYEREPHLEVFICCTHDLAPAEVTSETAR >ONIVA03G32060.1 pep chromosome:AWHD00000000:3:27519629:27522112:-1 gene:ONIVA03G32060 transcript:ONIVA03G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phytoceramidase (aPHC) [Source:Projected from Arabidopsis thaliana (AT4G22330) TAIR;Acc:AT4G22330] MADSMVSSFWGPVTSTTELCEENYAHSSYIAEFYNTVSNVPCVFLALVGLVNALRQGFEKRFSVLHISNMILAIGSMIFHATLQHVLQQSDETPMVWEILLYLYVLYSPDWHYRSTMPTFLFLYGAAFAVVHFLVRFQVVFKLHYVGLCLLCIPRMYKYYIQTKDMAAKRLAKLWVLTLSLATLCWLFDRMFCKKLSHWYVNPQGHAWWHILMGFNSYFANTFLMFCRAQQRGWEPKITHLFGFLPYVKIQKPQKRE >ONIVA03G32050.1 pep chromosome:AWHD00000000:3:27513564:27515813:1 gene:ONIVA03G32050 transcript:ONIVA03G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPISASAAAGVDGVGAAVALAAATKKSAAAAAAVAEMAKTLTVDTDDAFAGLLELAADDDAEGLRRALERAPPAAADEAGLWYGRRKVLEHRTPLMVAATYGSLAVLRLLLSLPSVDVNRRCGSDGTTALHCAASGGSPSCVEAVKLLLAAGADADATDASGYRPADVISVPPKMFDAKIALQDLLGCPKAGHGVLRVVTRAANSMLSPVSSPTAEDARSPSAAVMMTTKFADLPRVVTSEKKEYPVDPSLPDIKNSIYASDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGVCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTTDELRPLYVSTGSAVPSPRASATATMEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSGNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRTSLSARDMPADDYSLVQDIDSQLINDLCYSRIGSSTGNHRSRTKSLNPSNLDDLFSAEMVSSPRYSNADQGGMFSPSHKAAFLNQFQQQQQALLSPINTVFSPKSVDNQQLPSHSSLLQASLGISSPGRMSPRCVESGSPMNSHLAAALAQREKQQQTMRSLSSRDLGPSAARASGVVGSPLSSSWSKWGSPSGTPDWGVNGEELGKLRRSSSFELRSGGDDPDLSWVHTLVKESPPEKQVTTAESINSVGPSPLMPPSVSNGEGPSLNAPLDGHDQAAVIGALLEQMQLDQHIGSLAT >ONIVA03G32040.1 pep chromosome:AWHD00000000:3:27507709:27508581:-1 gene:ONIVA03G32040 transcript:ONIVA03G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEPSTSDSLVLATATNDRASCVGLVELLSENPAALARYPVGTEYDWEDEVTPASDVGDAEGAGSDFDLDFAFAPPVSAAKLVPADDIFAHGRIVPAYPVFDRNFLDLSPGDVAEPASTAAPSTDTYCAWTPRSAPSSPSLDIAARSTRGNCNSETTAKGVPAASTVVERERGGEGEREERGGRWGPCAVGVNWDF >ONIVA03G32030.1 pep chromosome:AWHD00000000:3:27501778:27505693:1 gene:ONIVA03G32030 transcript:ONIVA03G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0GSB5] MGRLFVMHLEGKVYSCKHCHTHLGLSSDIISKSFHCKHGKAYLFNKVVNVTSGVKEDRMMITGMHTVSDIFCVGCGSIVGWKYEAAHEKSQRYKEGKFILERYKVSGPDGSHYFVTHDAHVGGSDVDDV >ONIVA03G32030.2 pep chromosome:AWHD00000000:3:27503186:27505693:1 gene:ONIVA03G32030 transcript:ONIVA03G32030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0GSB5] MLDGWTQDLSLACAFVNVTSGVKEDRMMITGMHTVSDIFCVGCGSIVGWKYEAAHEKSQRYKEGKFILERYKVSGPDGSHYFVTHDAHVGGSDVDDV >ONIVA03G32030.3 pep chromosome:AWHD00000000:3:27501778:27505693:1 gene:ONIVA03G32030 transcript:ONIVA03G32030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0GSB5] MGRLFVMHLEGKVYSCKHCHTHLGLSSDIISKEAAHEKSQRYKEGKFILERYKVSGPDGSHYFVTHDAHVGGSDVDDV >ONIVA03G32020.1 pep chromosome:AWHD00000000:3:27493940:27499120:-1 gene:ONIVA03G32020 transcript:ONIVA03G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 9 [Source:Projected from Arabidopsis thaliana (AT2G40090) TAIR;Acc:AT2G40090] MWRRAATAALALGAGAGGAAIAYSDDPSATLKICAHLPPRLLRDAATAATIAFDYQYSLWGLDPGTPAWVSAKHDAHLRSANRLQELCFRNGGIYIKLGQHIAQLEYVVPEEYVQTMRASMLKRCPVSSYEQVCRVFGKDMGESPETVFVEFDPVPLASASLAQVHAARTHDGQKVAVKVQHDHLTDTSVIDIATVGLIVNTLHYIFPSFDYRWLVDEIRESAPKELDFLNEAKNSEKCVQNFRRLSPHIAGSIYVPKVYWTLSSSRILTMEFMDAKEVTDVKGIKELGIRPVDVSNLVSKAFSEMIFKHGFVHCDPHAANMMIRPLPQDSKKTFGWRRPQLILLDHGLYKELDYATRISYASLWKALVFADEKSIKENSVKLGAGEDLHALFAGVLTMRPWKSVIDPAVDHLVLDGSSNDRSELQMYASLYFPQISELLRRLPRVILLMLKTNDCLRSVNHALVGGSSMESFVIIGRISSEAVLEAKRMSSRSILNKLMIWLEEILLEARFFSLKLLLCFMQLKKLLAC >ONIVA03G32010.1 pep chromosome:AWHD00000000:3:27491646:27493076:1 gene:ONIVA03G32010 transcript:ONIVA03G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSGDRARARGHHGDDDEEGVAVVGEDYPYCSDSDSDDDDDVDRYVFLARQPAPPVDRDDAEADAGAEGTGCCKRKRRVVDDDDDDDGFSPRQPALLDGSGGQAAAEEEDDEGSSDDDDAMAPRGEAGGGGRGRGVGKVSRKKARLVDLIRSPLLPAELDGSDKAAPPPPRGVCPKKIAVVVQAKNRKRRRFVDGGEEQEPQPQPPVRGKRSRGPDLEASEGLQTASAAAAAAAAEAAERASKRFVCSICGRCFGSHQALGGHVLGHKKKAKNAAIAAAARDATTTAVTEAVAPASQRQSFFAADINGQDERGCDGAEGSRYDDDEEKSPVVVDDVAACHDADVDESRGHGKAESAPAIDAGGDAGNNKVAHGDGEENDKIAGVVVASSHDGDSDVGKMKIVQHKCDECGKVCLTGQALGGHMSKHRRTRPAANGGEGPATATVADGGAQTVRLIGDDVCLQRAVAIAGFHSAQ >ONIVA03G32000.1 pep chromosome:AWHD00000000:3:27488010:27491390:1 gene:ONIVA03G32000 transcript:ONIVA03G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLPRLLKAGLCVGFLDPVSNIVVNTITYKKRVPVVAGGDPDGKSAAIPTRRRRRKALSRIVADTSNVGGCGPSHRLLRDMGVAARSLQALVAFLTNYFPYLRTCEALEYLRLADADLSVAVRLIEQDRSSDAFSFASLTTETALTCAAIAGWHPNPKSLVERLYSIASQIGEASNLLSMEGCLSCRAVKNISRLVKHQQQEPVDLVGATFLPRSLEIKEKQPPFVRMKSLKSILLDKIYGLYLDVIACLPMDGLRMRYHRGLLKAGHCYGPFENPVHNIVLNTVWYETMFPPQEEVSVQMICSRSLVRVACRSLNALVAYLRACFCTISEQQAMRYLFLTGANLWGAVEMARQECHAERNMLGLDLACMVAATAAHHPDPDALVKFFMSTFSMKPLPLQTDPFMFQTGGILNVQLLVHNLMRFCPSSCGSVQTVPVLSERASMTLSCIQEEFKAEQSFICGKVNDALKKYNQRTRGLEYELHVICGLNSHIVNYTMFGRHYGPGYSRHRSKSQYSHVNFLASPRDLHSSQTVPILFFAECCNKEGVIDELTCCPVMGHPGRCYHCECEGAKIVHPDLEKYNGRTDFLEMARDKSSGTTTEDVISRCEYLHDAVDICEEDCVCFDASRDVECAEFLNSRAANKIRLE >ONIVA03G31990.1 pep chromosome:AWHD00000000:3:27467625:27470672:1 gene:ONIVA03G31990 transcript:ONIVA03G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRRRSGAARDPLAERPYRYDHEDRIVRNRSALLDAINGHYAAALDRLPVEEMPALIPRLLKAGLCAGFSDPVSNIVVNTLSYKRLPERKPMVLGRPVDGKTAARRRRSALSRIVADTSDVTWHSPNHSRLRDMRMPLRSLEALVAFLVACFPYLPTWEALQYLRLANADLLAAARLVEEDRNTKAFSLASRTTKTALRCAPSRRGNPSPERSSTGLAGVTAPQFLQNKVKQPPFVRTKSLKNIVVDKIYGLYLQVLAKMPREFLQRRYHRGLLKAGHCYGPFMNPAHNIVLNTVWYDTMFPAEEEYSEVAMICSRTLVSTACRSLLGLVAYLRACFPTVSRQQAIRYLLLAEVNLQRAIEMAGQEGHAMKDKFDRGIGFKAAATAAHHPDRDALVNFYLSAFFGPLPLKACGSFDVQLLSLMLSQEPSTSPHCSFETVPVLTEGASRYKSKYSHVNFLASPRGSHSSDTVIPTLFFAECCNDNDITDEPLCWPIMGHPGRCFHCEYEGVKVVHPESQKYHGRDIDFEEMACKSHSNGIVNEDLVSSGESVTYSVGISQEDCIYFDFRRDVKCANFLNAHARMLEQRHCF >ONIVA03G31970.1 pep chromosome:AWHD00000000:3:27447403:27448044:-1 gene:ONIVA03G31970 transcript:ONIVA03G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRRRRHCFGPRHQTMGSTLTSKEVFTWANSNNQRLLHSYICTSCSIWLATEDRVDSAVMEMMDGCYCATSSSSLYHTATSIHDSMSTTARHPVALSTTSHKTHTILFILIARSSKATR >ONIVA03G31960.1 pep chromosome:AWHD00000000:3:27437273:27438270:1 gene:ONIVA03G31960 transcript:ONIVA03G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGVGTSTQGSQAGRAHTQPGLTIGRGTHSSSVPTTWFRTSPPDVFAGVGTSAHGLHRAVSGSAANPLIGQNKRWKSEQEASLGTGVWRINDCKES >ONIVA03G31950.1 pep chromosome:AWHD00000000:3:27424096:27427990:1 gene:ONIVA03G31950 transcript:ONIVA03G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTGQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKSRKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGEQNSGYRRIPPDLQLLQKET >ONIVA03G31950.2 pep chromosome:AWHD00000000:3:27423713:27427990:1 gene:ONIVA03G31950 transcript:ONIVA03G31950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVHAAELNHRNNYGPLSSPNPISLRIRARLSLARAREPVAAAAASSSSSEEPEPQRRRAPPPIGRPLGERERERSIESYWPPPPRPGCSLSRPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTGQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKSRKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGEQNSGVIIWMY >ONIVA03G31950.3 pep chromosome:AWHD00000000:3:27424096:27427990:1 gene:ONIVA03G31950 transcript:ONIVA03G31950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTGQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKSRKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGEQNSGYRRIPPDLQLLQKET >ONIVA03G31950.4 pep chromosome:AWHD00000000:3:27423713:27427990:1 gene:ONIVA03G31950 transcript:ONIVA03G31950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVHAAELNHRNNYGPLSSPNPISLRIRARLSLARAREPVAAAAASSSSSEEPEPQRRRAPPPIGRPLGERERERSIESYWPPPPRPGCSLSRPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTGQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKSRKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGEQNSGYRRIPPDLQLLQKET >ONIVA03G31950.5 pep chromosome:AWHD00000000:3:27424392:27427990:1 gene:ONIVA03G31950 transcript:ONIVA03G31950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTGQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKSRKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGEQNSGYRRIPPDLQLLQKET >ONIVA03G31950.6 pep chromosome:AWHD00000000:3:27423713:27424605:1 gene:ONIVA03G31950 transcript:ONIVA03G31950.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVHAAELNHRNNYGPLSSPNPISLRIRARLSLARAREPVAAAAASSSSSEEPEPQRRRAPPPIGRPLGERERERSIESYWPPPPRPGCSLSPTLVFKESSLDGPVLGWSRFFHVQFPMVVQLAVVP >ONIVA03G31940.1 pep chromosome:AWHD00000000:3:27417748:27418110:-1 gene:ONIVA03G31940 transcript:ONIVA03G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPWVAAAVRRSMQEAAAGAGAVSYAQAQQGSPAAPGPRSTARSVETLVVIVAAIVLVAVLAGVVARACGGRHVAPSGDRDVEGWVERRCRSCLDSGLPPPPATAQQPQGSSKASDAK >ONIVA03G31930.1 pep chromosome:AWHD00000000:3:27411775:27417598:1 gene:ONIVA03G31930 transcript:ONIVA03G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIITATSRAPPLGFQSTSSSFLSYAKAHSPQPPPHPHHRRPPPPPTSPSPSHGEGAASACAGAPAPLLRLPATLSGRPRRRRRRRGLVRPPRPRAAPPAAARVPLPLPRPAPLHARAALPRRVPPLARRPGRQRGAPPPRARLRLRAPHRPRHHLRRAQGPRPEPGPLRARLRPPRRRHRLPRRAARARHLRRRARPRARRLLHRRQPQPRRHKRRGGRDLPPRGPRAGIRALRHRR >ONIVA03G31920.1 pep chromosome:AWHD00000000:3:27392864:27405794:-1 gene:ONIVA03G31920 transcript:ONIVA03G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloride channel D [Source:Projected from Arabidopsis thaliana (AT5G26240) TAIR;Acc:AT5G26240] MARLAWTRLPTADGAGAGAEGPGPVPASPSSAGYELFAGGVESLDYEVIENYAYREEQAQRSKFWVPYYVMLKWLFSLLIGVGTGLAAIFINLAVENFSGWKYAATFAIIQHSYFVGFFVYIVFNLALVFSSVYIVTNFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCKSGKCGHFGSGGFIIWDISGGQEDYSYQELLPMAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVKFYCSKDNEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKFYKKLNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDFFNEGLYEVQAQLRGIPLLDSRPKQVMRNMSAKDACKNQKVVSLPRVSRIVDIISVLRSNKHNGFPVVDRGQNGESLVIGLILRSHLLVLLQSKVDFQNSPFPCGPGILNRHNTSDFVKPASSKGKSIDDIHLTEDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRQDLLLEENGNNVTTELQSTSVRLHFPDESAAAAAAAVMECVIGVVGRDFAVVAADTSAVQSILVHKTDEDKVMVLDSHKLMGASGEPGDRVQFTEFIQKNLHLYQFRNNIPLSTAATANFTRGELATALRKNPYYVNVLLAGYDSDVGASLYYIDYIATFHKIEKGAFGYGSYFCLSLMDKLYRPDMSVEEAVDLVDKCIKEIRLRLVVAPQNFIIKIVDKEGAREYARRAYTDSPPEAATSEAATVAA >ONIVA03G31910.1 pep chromosome:AWHD00000000:3:27388756:27394548:1 gene:ONIVA03G31910 transcript:ONIVA03G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSLLLEATPRLLSLPPRRPVQPRGGIFLLKPPPPPPFPSRRGVAVAVAPPLRASHPDTARRVSVSPAAVEPPPKPRALLDAIKRSLLDSLAALKKPALALLLAGALLAAAGPHHGAALAASGGRVGGSAFSSRSSSPPSSYGYTAPAPRGGYSAAPFYSPSPFVSVGPAVGIGFGGSSFFFVLMGFAAFLYLAGFLSDSSGGSVLTETDKTTVLKLQVGLLGMARSFQKELDQIAEKADTSTPAGLSYVLTETTLALLRHPDCCISAYSSVDVKRSIDDGEKRFNQLSIEERGKFDEETLVNVNSIKRQKAGSQRSSGFSNEYIVITILVAAEGVHKLPSINGSGDLKTALQKLGAIPSRKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >ONIVA03G31910.2 pep chromosome:AWHD00000000:3:27388756:27394548:1 gene:ONIVA03G31910 transcript:ONIVA03G31910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSLLLEATPRLLSLPPRRPVQPRGGIFLLKPPPPPPFPSRRGVAVAVAPPLRASHPDTARRVSVSPAAVEPPPKPRALLDAIKRSLLDSLAALKKPALALLLAGALLAAAGPHHGAALAASGGRVGGSAFSSRSSSPPSSYGYTAPAPRGGYSAAPFYSPSPFVSVGPAVGIGFGGSSFFFVLMGFAAFLYLAGFLSDSSGGSVLTETDKTTVLKLQKELDQIAEKADTSTPAGLSYVLTETTLALLRHPDCCISAYSSVDVKRSIDDGEKRFNQLSIEERGKFDEETLVNVNSIKRQKAGSQRSSGFSNEYIVITILVAAEGVHKLPSINGSGDLKTALQKLGAIPSRKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >ONIVA03G31890.1 pep chromosome:AWHD00000000:3:27382467:27383141:1 gene:ONIVA03G31890 transcript:ONIVA03G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQELMDRDPLLVFGEGKHGVMDMFYAAARGGNAEVFKLLLDHAMSPRAVHAAAGGGSVEMLRELIERRSDVSEYLDFRGSTMLHAVAGYYLVIDFNINIKVALDHEKIDILRININIKKLLLIMRK >ONIVA03G31880.1 pep chromosome:AWHD00000000:3:27379123:27381398:-1 gene:ONIVA03G31880 transcript:ONIVA03G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTAINASRGGLVAILNGTDLSKLAFLSDLDLSFNELDDDLPVLPAPLPRLLSLDLRSNSFYSIPDGFFAGFPALQTFAFDDNAMLIKDIPNDVVTCSNLRSFTANNASIYGTFPDYFGNATLFPRLERLSLARGTGSRGPYATASTGRAASTPLLPPSSLAGAEPPAAKLPPPPPQFELLDLRYFTVDLSPDHITEVKSTGHCCSTFDVCVAKTWQARTCALIAAGDAEQERRRRGNCFYPVLATGSSGEVAGADIIDVVRIIRDVKARLAATKLPAAELPPSPLPSSPPPSSLAAVELLRHR >ONIVA03G31870.1 pep chromosome:AWHD00000000:3:27373952:27375478:-1 gene:ONIVA03G31870 transcript:ONIVA03G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVPPLLVILVLLQSATRLPVAETSTADEEYMHRLAAATGAERLLGWKADSDPCNGSWVGVTCAPFDGNRIIQIDVRGLLRGGGTLPELDRQAGSLSHLRMLDLGDNNLTGPVPTLFLDRLLTLRLDGNAFSGLPHSFFRGMPELHYFSISDNPRLEEWGLWSDLLSLTELRVFNASNANINGTLQVFLGNLGAFPALAEVSLARNRLTGVVPEKLVSQSIAKLDLSSNGLSGSINFINNLASSITDLRLDHNHFSGPFPADLSGLYLLSVFSVAHNRLTGVVPPSLARVWRLSWVSVSDNLLQGPVPELPDSVKTDFAEAAVKGSFCRLDVHGPCDQETSSLLSVAAAFHYPEILAVSWRRDDPCDGWLGIHCGDGDGGGGGRNKVTGVNLSRLGLNGTIDPAFASLLYLEAIILAGNNLTGTVPASILQMPSLRVLDVSNNALEGTVLSVRHDVLILADGNRGGLNVTAIAASGSFSSSRFQLSEATTPFLTFAAVFVALFGY >ONIVA03G31860.1 pep chromosome:AWHD00000000:3:27369067:27369843:1 gene:ONIVA03G31860 transcript:ONIVA03G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAEVGSHGCDPPKTMANAVKSKRRYGDNAAFVSPPCAVGPCPYSAPFSYAAPGVAATTTTARDNVVAFASGGGGVAATTPAPAKKRARAQGQFLGADHVVVDLDPVVNQANHQHQQQQQQHGLRRRTAEAAELERWRRHAMASLVDAAKRAAVMKDMEIERAWGLNRALVARLRGVQAQALAWRDAALSNRAEATALRAELERALQPPPPPPPPPAEPGDAESCCYGDNGDLLGGGEDEVGSDRLIHEAGVPVLR >ONIVA03G31850.1 pep chromosome:AWHD00000000:3:27359557:27368277:1 gene:ONIVA03G31850 transcript:ONIVA03G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTPAADAQPLDEKELERKLKKDQKAKEKEEKRLKAKAKEAARLQAQAASDGPKKSEKKQRKKAVEDENPEDFIDPDTPHGQKKFLASQMAKQYSPTAVEKSWYSWWESSGYFGADAASSKPPFVIVLPPPNVTGALHIGHALTVAIEDSMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSREVDYLEIKEETMLKVPGYNTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDGRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINNNGGAQFVGMPRFTARVAVIEALKAKGLYKETKKNEMSLGVCSRTNDVVEPMIKPQWFVNCNTMAQAGIDAVRSKRIEIIPQQYEQDWYRWLANIRDWCISRQLWWGHRVPAWYVILEDDQEKILGSDNGRWIVARNESEANLEAQQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADLKAFYPGSVLETGHDIIFFWVARMVMMGMQLGGDVPFEKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGISLDGLLKRLKEGNLDPNELKIATEGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVTTMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDALWVCLDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVSEYPSVVKEWTDDKLENEIDIALDTVNKLRSLKPPSDTNERRPAFALCRGQEITATIQCYQSLVVSLSSTSSLKILTENDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEIQKLQHALSQKMEASGYKEKAPQNVQEEDMRKLTSFFEQLEIISEAEKKLDAKTGNN >ONIVA03G31850.2 pep chromosome:AWHD00000000:3:27359557:27368295:1 gene:ONIVA03G31850 transcript:ONIVA03G31850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTPAADAQPLDEKELERKLKKDQKAKEKEEKRLKAKAKEAARLQAQAASDGPKKSEKKQRKKAVEDENPEDFIDPDTPHGQKKFLASQMAKQYSPTAVEKSWYSWWESSGYFGADAASSKPPFVIVLPPPNVTGALHIGHALTVAIEDSMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSREVDYLEIKEETMLKVPGYNTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDGRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINNNGGAQFVGMPRFTARVAVIEALKAKGLYKETKKNEMSLGVCSRTNDVVEPMIKPQWFVNCNTMAQAGIDAVRSKRIEIIPQQYEQDWYRWLANIRDWCISRQLWWGHRVPAWYVILEDDQEKILGSDNGRWIVARNESEANLEAQQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADLKAFYPGSVLETGHDIIFFWVARMVMMGMQLGGDVPFEKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGISLDGLLKRLKEGNLDPNELKIATEGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVTTMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDALWVCLDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVSEYPSVVKEWTDDKLENEIDIALDTVNKLRSLKPPSDTNERRPAFALCRGQEITATIQCYQSLVVSLSSTSSLKILTENDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEIQKLQHALSQKMEASGYKEKAPQNVQEEDMRKLTSFFEQLEIISEAEKKLDAKTGNN >ONIVA03G31840.1 pep chromosome:AWHD00000000:3:27355724:27358195:1 gene:ONIVA03G31840 transcript:ONIVA03G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTNNFVSAQVIHTPSATVACSASSQEKLLRPSMESTRDVAAAAKIGKLLGERLLFRGIPAVSVSMSRDQTYHGKVRAVMDSLRAAGVKLL >ONIVA03G31830.1 pep chromosome:AWHD00000000:3:27352915:27355628:-1 gene:ONIVA03G31830 transcript:ONIVA03G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein [Source:Projected from Arabidopsis thaliana (AT3G26360) TAIR;Acc:AT3G26360] MHRKEKIEGRSSRNATHTLGGCGGGGGREPAMQALARAARGILPATAAAPAARVQQARGIVVHVKDGNLERALGVMARKMRSSGIERLIRARSQIHHHVKDSEKRVLARKALMQRVRSQELGKKLRDILIKKIRGQ >ONIVA03G31820.1 pep chromosome:AWHD00000000:3:27348624:27349856:-1 gene:ONIVA03G31820 transcript:ONIVA03G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASATSSRPKRDSPRIPPNYVSLRDLQELRRKEREEEEQEMQQRRREVEAAAVDKAEEEWRGSSEKSRGGSERSRGGERWAPVPHRASPPPPQARTEVAATLRKVDGAIGAMAVAHRDAPPPPARVEAAAKKMDMEIGVVAVAHRVAPSPSRSSHGAVKKMDGAIGVLAAPQSEAPLPLPPPRREDAAKKKGRAIRGDAVRKGADEAAATPASAFQGRPKPKEKGKVAAGTKQPTAPAETATASSPGGTPEEKRKSKGKKASGDQGTAPVTSDAPRAPAEAAGASSRGRDNPASRRNRKKGAVSNSPDGKAPQPAPISNSPAAELGGNRRSGGALGTNGETKPEPVAEKPPVVEAKSTAPAASVVVGPTRPPSIGGPRRQHAGVWVPKVVAIPGPSRHSVVSVRKNN >ONIVA03G31810.1 pep chromosome:AWHD00000000:3:27344776:27350174:1 gene:ONIVA03G31810 transcript:ONIVA03G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPRAARLAFRFATKPPTSSSSSSPFLSSSSALSLSRRRPPPSVAGVGAMAPVKAEELVAFVPKEQYDGVDYCITSPPPWLTAVLLAFQHYLVMLGTTVIVATILVPLMGGGHVEKAIVVQTILFLAGINTLLQVHLGTRLPAVMGASYAYIYPAVAIILSPRFAIVVDPFERFVYTMRSLQGALIIAGVVQAIIGFFGIWRIFIRFLSPLAAVPFVTLSALGLFYFAFPGVAKCIEVGLPALILLLLFTEYAAHFFARGSFLFGRCAVLATVLVVWIYAEILTAAGAYNERSLVTQFSCRADRSGLIHGAPWVRFPYPFQWGYPIFFADDCFVMIAASFVSLIESTGTLMAVTRYAGATFCPPSVFARGVGWQNERSLHCNLGNFRAYLPFWTGCLLALTRVGSRRVIKISALFMIFFSLFGKFGAIIASIPLPIFSALYCVLFAYSAAAGLCFLQYCNLNTLRTKFILSISLFLGLSIPQYFREYEVFYVFGPVHTHSPAFNVIVNVIFSSPATVAAILAYLLDCTHTYWDGPVWKDRGFHWWEKFKSYRHDPRSEEFYSLPYGLSKYFPSF >ONIVA03G31810.2 pep chromosome:AWHD00000000:3:27344776:27350174:1 gene:ONIVA03G31810 transcript:ONIVA03G31810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPRAARLAFRFATKPPTSSSSSSPFLSSSSALSLSRRRPPPSVAGVGAMAPVKAEELVAFVPKEQYDGVDYCITSPPPWLTAVLLAFQHYLVMLGTTVIVATILVPLMGGGHVEKAIVVQTILFLAGINTLLQVHLGTRLPAVMGASYAYIYPAVAIILSPRFAIVVDPFERFVYTMRSLQGALIIAGVVQAIIGFFGIWRIFIRFLSPLAAVPFVTLSALGLFYFAFPGVAKCIEVGLPALILLLLFTEYAAHFFARGSFLFGRCAVLATVLVVWIYAEILTAAGAYNERSLVTQFSCRADRSGLIHGAPWVRFPYPFQWGYPIFFADDCFVMIAASFVSLIESTGTLMAVTRYAGATFCPPSVFARGVGWQGISTILDGMCGTLTGSVASVSVGLDASRKQKGYKDLSLVHDLLLATAAAGLCFLQYCNLNTLRTKFILSISLFLGLSIPQYFREYEVFYVFGPVHTHSPAFNVIVNVIFSSPATVAAILAYLLDCTHTYWDGPVWKDRGFHWWEKFKSYRHDPRSEEFYSLPYGLSKYFPSF >ONIVA03G31800.1 pep chromosome:AWHD00000000:3:27330492:27331181:-1 gene:ONIVA03G31800 transcript:ONIVA03G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSFKTIAAGLVFVVLLLRQAPVLIRATDADPLQDFCVADLDSKVTVNGHACKPASAAGDEFLFSSKIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHIHPRATEVGIVLRGELLVGIIGTLDTGNRYYSKVVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGY >ONIVA03G31790.1 pep chromosome:AWHD00000000:3:27322795:27330380:-1 gene:ONIVA03G31790 transcript:ONIVA03G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKSMEYGFKAAGLVFVVLLLQQAPVLIRATDADPLQDFCVADLNSEVTVNGHACKPASAAGDEFLFSSKIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHVHPRATEVGIVLRGELLVGIIGTLDTGNRYYSKVVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLNFKTITAGVVFVVLLLQQAPVLIRATDADPLQDFCVADLDSKVTVNGHACKPASAAGDEFLFSSKIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHVHPRATEVGIVLRGELLVGIIGTLDTGNRYYSKVVHAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDTGVVELLKSKFTGGY >ONIVA03G31780.1 pep chromosome:AWHD00000000:3:27302022:27303051:-1 gene:ONIVA03G31780 transcript:ONIVA03G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGWNKDLIKQADRHRGSTPLHVAASWGHHDVISLLLDADPSAAYQPDHDGAFPIHVAAYGGQVRAVSILLGLDNHRKCAGLCSGERRRRDLRGCAELRDGRGRSFLHVAVEEQCQSVVEYACKLRNLSPAVMNMQDDDGNTALHLAVKASNMWIFKPLMERRQNEWAMIYNGLKNANAKQGNHRWDLFLKKHDKKVDEEVEGKKLTESTQTIGVGSVLIATVAFAAAFAPPGDYGDDGVPRLADRYAFHVFIIANTLAFICAG >ONIVA03G31770.1 pep chromosome:AWHD00000000:3:27285271:27293977:1 gene:ONIVA03G31770 transcript:ONIVA03G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHLIDLAIDFGRSKGVDGEKIVKDLLRKENDSKETALHEAVRAGDNQMVTLLMTYDPELATFPKEGTSPLYLSVLLEKDIIAKTLYGMSQGNVLSYSGPDGQNALHVAVLRSKAMTRLLLEWNKNLTTKSDKNGSTPLHFASSRTVTNKNWVYLHNIISVLLVPFMRNLHLKDILEANGAALYQPDDGGMFPIHVAASVGEKWAVETFVRMYPSSAGLRDKRGRTFLHVAVENKKVQLNLVNLTGQTPRDIAYNKIPAGMHNNQSTQDLVHCALSQAGAMNGSCRHDRFIEDCKAIDQQKSDDLVHCALIQAGAMNGSCRHDRFIEDCKAIDQQKSDGESKKEELQKLKDATQTMAIGSVLIVTVTFGAIFALPGGYRADDQPNGGTPTLAGRYIFDAFVIANTLAFICSSISTTSLLYSGSPLFNIPSRTIFLEAAFYFMKTSITCLITTFTLGMYMALAPVARSTAIVVCVISPLVVLCNSIRYWVKRLALVPSYFVRMGPIWTLGFLASHIFFYSVFEFWPLIFIFAWVSHGRNHT >ONIVA03G31760.1 pep chromosome:AWHD00000000:3:27266816:27274705:-1 gene:ONIVA03G31760 transcript:ONIVA03G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEHDLHMIKGDGDTSYARNSSTQRKAILATKHMVEKAMKGVFMELTPQLMVVADLGCSSGTNTLLFISEMIAMISEENTSDNNIRECPMEVQFFLNDLPSNDFNHIFKSLGQFEQSIVQDCARRGLKPPPHYGAGVPGSFYTRLFPCNSVHIFHSSFSLMWLSQIPEHLDSTMNKGNIYIGVTTPPLVAKLYLDQFEKDFSRFLQFRCIELVSGGQMVLTFLGRKSNDVVHGGGMMNISIELLSQAVQTLVAEGRVEKEKLDSFNLPFYGPSVDELKQLVQQSELLDIIDIQAFELTFDPIDKSELKEGITTAPAIQDNVHEATGYNIAAGLRAVMEPLFASHFGESIIDDLFTLFACNVIRHLASAEEMSSVTAISLSLQAKVASNQTLHSPILITNNLAGLLVKTMKIEREFHMAKGYGDSSYGKNSRNQRKAILTTKAMIENAIKEVCTDLQPQSMVVTDLGCSYGANTHLLISEVIMAISNKNAMNNSTMEVQIFLNDLPSNDFNHIFQSLEQCKQSIAQECASRGLQPPQYYVAGVPGTFYNRLLPYKSVHLFHSSFSLMLLSKVPEHLDSCMNEGEIHIGTSLPLFVRKLYLDQFEKDFSWFLQLRFRELVSSGQMVLTILGRKSDDTGRVEKDKLDSFNLPMYRPSTDEHLVQQSELFDIVDMQIRGRFHCLVTLGSGLVFTMNTDPTDDSELGEATAIAGRRGQWP >ONIVA03G31750.1 pep chromosome:AWHD00000000:3:27246797:27247018:1 gene:ONIVA03G31750 transcript:ONIVA03G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANGSGRSYKTKGSGATHLGRCGSSAKGLRSCSDDATAALGAVDHRRPRKSGSTVGGLGSGGSTIAGLRRG >ONIVA03G31740.1 pep chromosome:AWHD00000000:3:27244335:27245818:-1 gene:ONIVA03G31740 transcript:ONIVA03G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKGDGDSSYAKNSSTQGKAILSTKPMVEKATKEICMDLQPRSMVVADLGCSSGANTLLFISEVIAIISEETPANNNNRECPMEVQFFLNDLPNNDFNHNFQLLEQFKQSIVRDCARRGLQHPPYYVAGVPGSFYTRLFPCNSVHIFHSSFSLMSQIFLGRVEKEKLDSFNRPMYGPSVDELKQLVQESQLFDIIDIRAFDLTFDPIDKLELEESATATTGRPYSVHEAIDNNHTTTLRAVTETLLASHFGESIMDDLFTLFACNVTRHLESCAWEESSIMAISVSLDTKVRG >ONIVA03G31740.2 pep chromosome:AWHD00000000:3:27244335:27245818:-1 gene:ONIVA03G31740 transcript:ONIVA03G31740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKGDGDSSYAKNSSTQGRVEKEKLDSFNRPMYGPSVDELKQLVQESQLFDIIDIRAFDLTFDPIDKLELEESATATTGRPYSVHEAIDNNHTTTLRAVTETLLASHFGESIMDDLFTLFACNVTRHLESCAWEESSIMAISVSLDTKVRG >ONIVA03G31730.1 pep chromosome:AWHD00000000:3:27225077:27227515:1 gene:ONIVA03G31730 transcript:ONIVA03G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GS78] MPATNAVPGTAGPIPFKDVVVVIDNDDHDDGAEAIPRQPAAAAAAAEEYRGIVAALPSKLPGTPQRMRLYQGSWFREDWVLGFVAIQRRFAPRDGDVVLASLPKCGTTWLKALAFATAARAAYPPGPALAATGGGGEPHPLLRLNPHDCVPFMEAVYFAGDEARLAAAPSPRLMSTHASFSVLPASITDNPGCKIVYICRQPKDMLVSYWHFINRSKSNAMSFSDVWDSIHEGTYFGSPIWEHILEYWRASQAMPDRVLFLRYEDIQRDPVKNVEKIAEFIGQPFSDAEKEAGIVESIVDLCSLQRLKANSGAKNAGFRRVVNVEVPNESYFRKGAVGDWVNYVTPEMAESLDKFLADKFRGSGFTFAE >ONIVA03G31720.1 pep chromosome:AWHD00000000:3:27212026:27212709:-1 gene:ONIVA03G31720 transcript:ONIVA03G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECFKTTLAGVVLVVLLLQQAPVLRANDPDPLQDFCVADLDSEVTVNGYPCKPTPAAGDEFLFSSRLATGGDVNANPNGSNVTQLDVAGWPGVNTLGVSMNRIDFAPGGTNPPHVHPRATEVGIVLRGELLVGIIGSLDTGNRYYSRVVRGGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGY >ONIVA03G31710.1 pep chromosome:AWHD00000000:3:27208109:27211451:1 gene:ONIVA03G31710 transcript:ONIVA03G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GS76] MAHVLVVPYPSQGHMNPMVQFARKLASKGVAVTVVTTRFIERTTSSSAGGGGLDACPGVRVEAISDGHDEGGVASAASLEDAVYHYVHEGKLAVPAPEQEPATSRSAAFAGLPEMERRELPSFVLGDGPYPTLAVFALSQFADAGKDDWVLFNSFDELESEVLAGLSTQWKARAIGPCVPLPAGDGATGRFTYGANLLDPEDTCMQWLDTKPPSSVAYVSFGSFASLGAAQTEELARGLLAAGRPFLWVVRATEEAQLPRHLLDAATASGDALVVRWSPQLDVLAHRATGCFVTHCGWNSTLEALGFGVPMVALPLWTDQPTNALLVERAWGAGVRARRGDADADDAAGGTAAMFLRGDIERCVRAVMDGEEQEAARARARGEARRWSDAARAAVSPGGSSDRSLDEFVEFLRGGSGADAGEKWKTLVREGSEAAASEM >ONIVA03G31700.1 pep chromosome:AWHD00000000:3:27199271:27199915:-1 gene:ONIVA03G31700 transcript:ONIVA03G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTYLDVVREKRLVNLPILILNRRSHSPGSSPNQPCQHRACEPSPAHSTSSSSSHPSPLASPSSLLNYSSFSRAKWRPTTEGGQQCRAGHGRRPATTPSSLGMGSGGGGGGRMRQSDRGIKRSLAVIDPAPSLKKEVKMEIWNLDMVFSYVSFC >ONIVA03G31690.1 pep chromosome:AWHD00000000:3:27195645:27198823:-1 gene:ONIVA03G31690 transcript:ONIVA03G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLLLLLLLLGGAAAAAEPPAAPSSSPPPHKNATLSEILPRYGLPPGLFPASVTAFSLAANGSLAVDLGGPCYAHYEYLTYFEPRVTGVLRYGSLTGLSGVKVRRFLVWFDVVRVKVDLPPPPRYVYLDIGWITRKLPADEFESPHECEDSKKCRLSSALATVAAWFQGMEKGFGLVTVLHET >ONIVA03G31690.2 pep chromosome:AWHD00000000:3:27195813:27198823:-1 gene:ONIVA03G31690 transcript:ONIVA03G31690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLLLLLLLLGGAAAAAEPPAAPSSSPPPHKNATLSEILPRYGLPPGLFPASVTAFSLAANGSLAVDLGGPCYAHYEYLTYFEPRVTGVLRYGSLTGLSGVKVRRFLVWFDVVRVKVDLPPPPRYVYLDIGWITRKLPADEFESPHECEDSKKCRLSSALATVAAWFQGMEKGFGLVTVLHELRAFHECSDCQHPTTEE >ONIVA03G31680.1 pep chromosome:AWHD00000000:3:27166507:27171042:-1 gene:ONIVA03G31680 transcript:ONIVA03G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCVSRPSACVGGKPHTPRSGEAARAGGGARRRRRRGKSGRRKAPSRAASMETIQEGDVVPGSPPPPVASAAASSGAAGDHRTYSNPAFQVSGSIEEAWYDSFAMSESDGEDDFHSVQDDAFSLNGFENDAALSTRDANGGSFNGSSHSSEQHYRKPRSSELSRGNLENGVRSSVSHEDVASVSAEDSAHGGGGRILDDCGLLPNNCLPCIASAVGVNEKKRPLSTSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGSQVQLCLLEKKILNSWSHIDPGTFRVRGSNYFRDKKKELAPNYAAYYPFGVDVYLSPQKLNHISRYVQLPDVQISSKLPPLLVVNVQVPLYPASLFQNEIDGEGMSFVLYFRLSDAYSKELPPSFIENIRKLVDDHVEKVKAFPMETTIPFRERLKILGRVANLEDLPLSAAERKLMHAYNEKPVLSRPQHEFYLGDNYFEVDIDMHRFSYISRKGFETFLDRLKICMLDVGLTIQGNKAEELPEQILCCVRLNGIDYTQYKPLMTHGA >ONIVA03G31670.1 pep chromosome:AWHD00000000:3:27164716:27165030:1 gene:ONIVA03G31670 transcript:ONIVA03G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPRSWWLARVPPPCAMRRVPPPRGSHEEGAAVVPEKKVMPKKVMPPRRERRPPEKGHCRSGGGWCEAPRLRRRPPWERAAAKAQVSKGRMPPAGERMPPGG >ONIVA03G31660.1 pep chromosome:AWHD00000000:3:27160381:27160790:-1 gene:ONIVA03G31660 transcript:ONIVA03G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRQSNIFSLIVMSLSLFGDVCFFAFNIPHPVTSKTFSVIFMSSYWLHFWSTMLPQEEQDTMRNSATLLKLVANGLLFHYGWRSSIRIAN >ONIVA03G31650.1 pep chromosome:AWHD00000000:3:27156120:27158798:-1 gene:ONIVA03G31650 transcript:ONIVA03G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLTTILLLAAAVGATCATSATASAAPLHGIANDLLPEYGLPRGLIPETIASYTFDNDTGDFEIRLTSTCYIWFGSHLAYFEDAIRGRIAYGTITGLSGIQAQKFFVWVSITTIVAHPDQGTVEFRAGFISEALPESDFAEVPVCGAGARLRGAAGLARQLGLQLPAVAERSEYLHPPSRENAEN >ONIVA03G31650.2 pep chromosome:AWHD00000000:3:27154178:27158798:-1 gene:ONIVA03G31650 transcript:ONIVA03G31650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLTTILLLAAAVGATCATSATASAAPLHGIANDLLPEYGLPRGLIPETIASYTFDNDTGDFEIRLTSTCYIWFGSHLAYFEDAIRGRIAYGTITGLSGIQAQKFFVWVSITTIVAHPDQGTVEFRAGFISEALPESDFAEVPVCGAGARLRGAAGLARQLGLQLPAVAEA >ONIVA03G31650.3 pep chromosome:AWHD00000000:3:27154178:27156080:-1 gene:ONIVA03G31650 transcript:ONIVA03G31650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQALLLAAAAAAIVVAGAASAAGGGVQPNGAANDLLPKYGLPRGLIPDSVASYSFDEATGEFEIHLAGTCYVWFGSHLVYYERSVRGRLSYGAISDLSGIQAKKLFLWVSVTGIVAHPDQGTVEFQVGFVSEALPASQFDAVPACGAGAQLRGAAGVIRELGLLPVAEA >ONIVA03G31640.1 pep chromosome:AWHD00000000:3:27145292:27149990:-1 gene:ONIVA03G31640 transcript:ONIVA03G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRAAAGCGCGRRLRPLLMLLPFAALLSVATFSLHSPVGLVVPAAVTVATSTDTDTDTASSHHHHHGLVGDAVSGIDIRALNATPPLHAAAVRAFRSGGRLLREAFLPGAAPPPAVGGGPDPSPPRCPPFVALSGAELRGAGDALALPCGLGLGSHVTVVGSPRRVAANAVAQFAVEVRGGGDGDGDEAARILHFNPRLRGDWSGRPVIEQNTRFRGQWGPALRCEGWRSRPDEETVDGLVKCEQWGGNYGSKLNELKKMWFLNRVAGQRNRGSMDWPYPFVEDELFVLTLSTGLEGYHVQVDGRHVASFPYRVGYSLEDAAILSVNGDVDIQSIVAGSLPMAYPRNAQRNLELLTELKAPPLPEEPIELFIGILSAGSHFTERMAVRRSWMSSVRNSSGAMARFFVALNGRKKVNEDLKKEANFFGDIVIVPFADSYDLVVLKTVAICEYATRVVSAKYIMKCDDDTFVRLDSVMADVRKIPYGKSFYLGNINYYHRPLREGKWAVSFEEWPREAYPPYANGPGYIVSSDIANFVVSEMEKGRLNLFKMEDVSMGMWVGQFVDTVKAVDYIHSLRFCQFGCVDDYLTAHYQSPGQMACLWDKLAQGRPQCCNPR >ONIVA03G31640.2 pep chromosome:AWHD00000000:3:27145292:27149990:-1 gene:ONIVA03G31640 transcript:ONIVA03G31640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRAAAGCGCGRRLRPLLMLLPFAALLSVATFSLHSPVGLVVPAAVTVATSTDTDTDTASSHHHHHGLVGDAVSGIDIRALNATPPLHAAAVRAFRSGGRLLREAFLPGAAPPPAVGGGPDPSPPRCPPFVALSGAELRGAGDALALPCGLGLGSHVTVVGSPRRVAANAVAQFAVEVRGGGDGDGDEAARILHFNPRLRGDWSGRPVIEQNTRFRGQWGPALRCEGWRSRPDEETVDGLVKCEQWGGNYGSKLNELKKMWFLNRVAGQRNRGSMDWPYPFVEDELFVLTLSTGLEGYHVQVDGRHVASFPYRVGYSLEDAAILSVNGDVDIQSIVAGSLPMAYPRNAQRNLELLTELKAPPLPEEPIELFIGILSAGSHFTERMAVRRSWMSSVRNSSGAMARFFVALTRVVSAKYIMKCDDDTFVRLDSVMADVRKIPYGKSFYLGNINYYHRPLREGKWAVSFEEWPREAYPPYANGPGYIVSSDIANFVVSEMEKGRLNLFKMEDVSMGMWVGQFVDTVKAVDYIHSLRFCQFGCVDDYLTAHYQSPGQMACLWDKLAQGRPQCCNPR >ONIVA03G31630.1 pep chromosome:AWHD00000000:3:27135518:27139040:-1 gene:ONIVA03G31630 transcript:ONIVA03G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRRPRSPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVSSPEGLYLRDVISHLNMVRGKGMAAMYSWSCKRSYKNGFVWHDLGEDDLVHPATDGEYVLKGSELLDQSSSGQFYQGTNGNQKQQSRLKEGARLPLPREASYSSSPPSVIVREAKPRRSPSVPSLEEDDSPVQCRVTSLENMSPESEPQRTLLSRAGSASPAEFRVYKPTGCVDAATQTDDLGRRSVRKVPEMHKKSLSTDHDSVVREITEYRQSQPRRSAELQGIAREAMSQCHTPLSIPSSRGKSESLESLIRADNNALNSFRILEEDDIIMPTCPKLRPANVLMQLITCGSLSVKDHENIRLVEGYKPRFPNMKFPSPLISRTMMMGELDYLSENPRLMGMRLEDKEYFSGSLIETKMQRDVPADRYSALKRSSSYNAERSNETLDCARPDEDTVNTSRARCLPRTPILSSFLHPKSEAMKSPISDCRRSSSAGPDCSLASSGDGSKRFIDATGAPGSRIDSFRKEEKLVKIEESCRQELES >ONIVA03G31620.1 pep chromosome:AWHD00000000:3:27132933:27134454:-1 gene:ONIVA03G31620 transcript:ONIVA03G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSSSSSSSFRLLDLVRPFVPLLPEVREPDGRRVPFRRKLACTAAALFAFLACSQLPLYGLHRAAAAGGGADPFYWVRAILASNRGTVMELGITPVVTAGTLVQLLVDSNLVRADSSNPDDRALLSAAQKLLSIVITAGEATAYVLSGAYGSVGVLGAGNAVLVVLQLVLGGMVAIFLDELLQKGYGFGSGISLFTAANTCEGVVTRALSPATVDRGRGAEFVGAVTAAAHLLATRASKLSAVREAFFRGGGGGGLPDLRGLAATCAVFLAAVYLQGVRVALPVRPRNAPRGHRGGAYIVRLLYTSGMPVVLLSSAVSSLYLVSQALYRRFGGSLLVDLLGKWTPDAAVPVGGIAYYVTAPASAASAAANPLHAAMYVAFVLAACAALSRAWVVVSGSSSRDVARRLREQQMVMPGMREASMQRELERYIPAAAALGGVCVGALTVAADLMGAVGSGAGMLLAVTTVYQCYEAFEKEKTY >ONIVA03G31610.1 pep chromosome:AWHD00000000:3:27131184:27132319:1 gene:ONIVA03G31610 transcript:ONIVA03G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMEGWWLFPPLSIEPLKRQLDGGGGFVCGREQGVGEVSAGAVPRPRQPAPAAAWHGEEQRGEAERRRRRVKDRLNMDGDGAKVGDDSRGD >ONIVA03G31600.1 pep chromosome:AWHD00000000:3:27121645:27122980:1 gene:ONIVA03G31600 transcript:ONIVA03G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGGGGQMVVVVLLVCVVLVSSAAMAGGARTGPVHLAGGTASSSSAPGPAVATPRGDAAGATTMTATTTTMTAAATTATFAADPYKDSKRKVPNGPDPIHNRFCKRGCRSLKKTRFGVEWKVSCQVDDDDDDDHIMDDGE >ONIVA03G31590.1 pep chromosome:AWHD00000000:3:27119676:27121453:1 gene:ONIVA03G31590 transcript:ONIVA03G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGEECLCVDDVGIDMYPNVYSSRAVKKLEPRELLELDSSIAQFELQTTRDELSPTICQNSQPLRLAPKNSRSCWLKP >ONIVA03G31580.1 pep chromosome:AWHD00000000:3:27108330:27112020:1 gene:ONIVA03G31580 transcript:ONIVA03G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHAVPRAAATVDLRWLLSVAAGAVFALLLLLAASPPFPLRPASLFTTTSPRRALPPLFVESSSTLSAPPPTPPPSPPRFAYLISGSAGDAPMMRRCLLALYHPRNSYILHLDAEAPDDDRAGLAAFVAAHPALSAAANVRVIRKANLVTYRGPTMVTTTLHAAAAFLWGRGGGRGADWDWFINLSASDYPLVTQDDLMHVFSKLPRDLNFIDHTSDIGWKAFARAMPMIVDPALYMKTKGELFWIPERRSLPTAFKLFTGSAWMVLSRPFVEYLIWGWDNLPRTVLMYYANFISSPEGYFHTVACNAGEFRNTTVNSDLHFISWDNPPMQHPHYLADADWGPMLASGAPFARKFRRDDPVLDRIDADLLSRRPGMVAPGAWCGAAAAADGDSNSTTTGGAVDPCGVAGGGGEAVRPGPGAERLQRLVASLLSEENFRPRQCKVVEAN >ONIVA03G31570.1 pep chromosome:AWHD00000000:3:27099341:27104799:1 gene:ONIVA03G31570 transcript:ONIVA03G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKSLLLCWCSLLLLLLAAAAPPALALPLCTDSRAPVPLNGTTLGFCGGGGSGSSSCCGAADDAALRKRFEAMNVSDAACAGVVKSVLCAKCNPYSAELFNSSSKIRMVPVLCNGSASASSTQSKDSTQDYCKLVWETCKNVTILNSPFQSPLQGGATLPSSSSKLTDVWQSENDFCTSFGGSSDNQSVCLNGNEVSFSTSEPSPSPKGVCIERIGNGTYLNMAPHPDGSNRVFLSSQAGKIWLATVPEQGSGGILQFDEASPFIDLTDEVHFDSEFGLMGIAFHPKFATNGRFFVSYNCDRTQSSNCAGRCSCNSDVNCDPSKLGSDNGAQPCQYQVVVAEYSAKVSSSNVSEATSANPSEVRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGNKGDPFNFSQNKRSLLGKIMRLDVDGVQSQSQIINQSLWGNYSVPKDNPFSDDRDLQPEIWALGLRNPWRCSFDSERPSYFYCADVGQDLYEEVDLISKGGNYGWRAYEGPYIYHPEWTPGGNTSLNSINAIFPVMGYSHSAINKNTGSASITGGFVYRGSSDPCLYGRYIYADLYASAMWTGTETPESSGNYTSTLIPFSCSKNSPIPCESASGSNQPSLGYIFSFGEDNNKDVFLLTYKGVYRVVRPSLCGYTCAAEKPETNNNGTSPSGSSSLASGRRIGKLAVVMAFVLCALFF >ONIVA03G31560.1 pep chromosome:AWHD00000000:3:27089241:27093495:-1 gene:ONIVA03G31560 transcript:ONIVA03G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEETTMRLLCSHGGRLVPCGPGGGLRYVGGETRALAVPRGAPFRELTARLAEKAGAGGDAVTAVRYRLADGGLDEDLLVSVTSDEELAHMRDEYDRLRATRPSASFRVFVSTAAGVQQQRRQPVAPPMMMRRARSAQELAGRLARRPCPPAGRSAAAPSVRRVHSAQELAAGGGHSRQCFDDRRRLQSCCWCCHSRRDQCVAVPQPARPVRQLPAAMSKNVNGARQAAPAVSAAAKATDRSIIDRIVPMAAAKEEEAMMRLLLCSHGGRLVPCGPGGGLRYVGGETRALAVPRGVPFRELTAPLAEKAGAAAVTAVRYRLADEGPDEDLLVSVTSDEELAHMRDEYDRLRATRPSASFRVFVSTAVDAAAGVQQRRRPHPPVAAPPMMMRRARSEMGLAAGLPAAPSPMRRARSAQELAAGGSHSHQCFYDRRRQSCCCCCCCHRRRDLPPPAWPVRPLPSMSKNVNGARPAGGQEAAKAAMVAMEMDSRRACWELE >ONIVA03G31550.1 pep chromosome:AWHD00000000:3:27082700:27086065:1 gene:ONIVA03G31550 transcript:ONIVA03G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMCREMDELRSEVEALTAECRAKAELAEGLKRAGAEQAARLREARAEAERQAREVAARDEEISSSGEARRELEARLAEKEQALRHLCAAHEGLRSSARERSDALEAEKRELVAALEESEARRLEQEAAARSCGEEVARLRRLLSEKEKKCSEAEQRALAPKEVMMRDDMLLKMEDQKAAVEGKLKWKSEQFRHLEDALKKVQDEFRAAKKEWGSDRSMLVDQIGTLEVNLDSKTRMAEDFRSRLEMCSQALAHEEGRRKLLEAEMSELKHLYGNVVSDYEEARSTIESLTAKRDGEIASLRSSLAEKVTLLKEMEYGKARLEQENEDMRSSLKEHQEAQIGGADAVVSLKVLQQKFRALEQTHRNCIDKLRDKEAEWKTQMEKLGSELDGCLSQLDSKDTLIKQMQIELLSSYSSLEMQAVQNWEASVALVIVESKLYDSCSYFETIQLDMQKNCAQLEHNFAAARKQLEEDNCAIAQSQAERAQQVEVIATLHQRIEQLEHMEKEREEMQRQLDTYNLDNASRDVHCLKGESSEEEKGLHEKLQKALSDLDEAYSAVSERESELSQIEINLHKQKQAMEHLEELKLSMENELKGYMDENNVLKRDLIATTEIEKSLREEKEKLLGALNEANSALSEKNCELRQSEIILHQQKQALEHLEELRVNMETEIKGYIDEICVLKRDLDATHMAKIEAEKTYSEENEKLLCALDEVNCCLLDKKNELDQVTENLHQQMQAVEEFEKLRVSMETELGRYMDENSVLKSDLVSALNSKMDAEESLREEKDKLCSIIDERCRNIDELQQHIAVLEEENLDKKLDVAGLIKSEADRSIQEVNRKYSEIVEVFDKKLLELETRLSFFEQKYTCREQGLMEMFDQEEADWYTLIAEKENAISEIQENVESAQVDIKHLVESAAEKLAEVQVEVRQLYCLAGNLNSLNLIQEHDNLFKDMLIEECERELKAVQVNLALEKQQSNNLKNDLEQLKAKATAEMLENAKEHLEVANKLRSLEERKEVLDEHVGELKSRTKNMCNAFVQERKYLFDELTGLVDTIGAAIHVDEDLMTSLTKIMHKVNNEEAFRNSSSKEMLSSENINARNSAPLVRNKSVQLPDRRLPLKEHNY >ONIVA03G31540.1 pep chromosome:AWHD00000000:3:27075116:27077543:-1 gene:ONIVA03G31540 transcript:ONIVA03G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATSSSSRAPGPKTEELDAALHAMGFEIERVSPAEVTGRLLVTPTCCQPFKVLHGGVSALIAEGLASMGAHMASGYSRVAGVQLSINHFRSAALGDTVLVRAAPLHVGRSTQVWAVKLWKLDPSTKEKGAQISESRVTLLCNLPVPESVKNAGEALKKYSKL >ONIVA03G31530.1 pep chromosome:AWHD00000000:3:27041954:27044762:1 gene:ONIVA03G31530 transcript:ONIVA03G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00120) UniProtKB/Swiss-Prot;Acc:P56757] MSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEIVASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQDVICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVVAEMADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKTSQNQLARGRRLRELLKQSQANPLPVEEQIATIYIGTRGYLDSLEIGQIAQTTVDINRTAFEGLIFGCPHPGRCGMQPVVK >ONIVA03G31520.1 pep chromosome:AWHD00000000:3:27039336:27041491:1 gene:ONIVA03G31520 transcript:ONIVA03G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit B/B', bacterial/chloroplast [Source:Projected from Arabidopsis thaliana (ATCG00130) TAIR;Acc:ATCG00130] MERVGAWFSSTSLGSAVPLRVDSHLRLPPPLHGDLCLSNLHLGWSWEGPFHNTRILSTIRNSEELRRGTIEQLEKARIRLQKVELEADEYRMNGYSEIEREKANLINATSISLEQLEKSKNETLYFEKQRAMNQVRQRVFQQAVQGALGTLNSCLNTELHFRTIRANISILGAMEWKS >ONIVA03G31510.1 pep chromosome:AWHD00000000:3:27025520:27031608:-1 gene:ONIVA03G31510 transcript:ONIVA03G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCELCLVARSLTGRCQTFSITATQHDLGVRFSGTISRRCDAVSAVDGANSGNGWSKARRRACGSNRERDGWAWHSCVAMAQIAPGPHVDMSTAVASPHSTCQRRSSSIGTRIDVSCDDRNSEGYDWTGRKVSFSFSLLFISGRGLLGASNPFLLSWAYSARTQAREQQRLNRRDRLELLVSPAIWGIGCSLPG >ONIVA03G31510.2 pep chromosome:AWHD00000000:3:27025520:27031608:-1 gene:ONIVA03G31510 transcript:ONIVA03G31510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCELCLVAHMSTAVASPHSTCQRRSSSIGTRIDVSCDDRNSEGYDWTGRKVSFSFSLLFISGRGLLGASNPFLLSWAYSARTQAREQQRLNRRDRLELLVSPAIWGIGCSLPG >ONIVA03G31510.3 pep chromosome:AWHD00000000:3:27031612:27034468:-1 gene:ONIVA03G31510 transcript:ONIVA03G31510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPYSLSLSSFSLLPSLFPVFLLHRSVPLLLLLLSSIRTHRHLRSAAAAAAAAARPRSHAHLARRRREGPGNRWAAEGEKVLEGATPRASPSRLGPNRRLSMGLDNNFGELSGMFCGLSYDGYTDHGSQSDYFRFADPQPAIVPQMDARPSSAASSTASRAAVSSGTDNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQEESAALRAAAKPFYDILGHKFPPSPDRQLVAWPLDSPSESSTSSYPHSLASSVTSSNISGAVDSSQRRYVGHSEYRSLSGHSSQPPVGPSSDVRNAMETLEDPLISNGRIPEYLFESFPTWDFRRGVDEAQKFLPGSDKVVIDLEAGGVAKRQEAGKAISLNGSKAEVLKVKKNRQSEDLDVMEGRNSKQSAFCSDEPDWIEMFDVLLRQTEKKATDLRKMMRFEASKNSQVAQPKGPSGTRSRGRKPTKKDVVDLRTLLIHCAQAVAADDRRTANELLKQIRQHAKPNGDGSQRLAYCFADGLEARLAGTGSQLYHKLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSLTKNASKVHIIDFGIYFGFQWPCLIRRLFKREGGPPKLRITGIDVPQPGFRPTERIEETGQRLAEYAEKIGVPFEYQGIASKWETIRVEDLNIKKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSIPFFITRFREALFHFSALFDMLETTVPRDDAQRALIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQDIVLKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAISTWKPNNN >ONIVA03G31500.1 pep chromosome:AWHD00000000:3:27022635:27023756:-1 gene:ONIVA03G31500 transcript:ONIVA03G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGYDDDRLRELKAFDDTKAGVKGLVDAGVTAVPRIFHHPPDPTPVASAASAADADAIPVIDLARADADRDRVVAQVRSAAESVGFFQVVNHGVPARLTDGMLAAVRRFNERPAAAKAAFYTRDAARRRVRFNSNFDLFESPAANWRDTLFCQAAPDPPAPEELPADVRGVLPEYAAAARRLASSVLELLSSALGLETGRLGGMGCADGVSVVSNYYPPCPEPEATVGTARHSDPAFLTVLLQDGMGGLQALLGGRWVDVPPVAGALVVNVGDLLELVSNGRMRSVEHRVVANRSRDAARVSVAAFCNVDLGRESSRSGRLYGPIAELTAGGDPPRYRSTTVAEFLAHYDGKGLDGRPALHHFRLPAAASLD >ONIVA03G31490.1 pep chromosome:AWHD00000000:3:27018770:27019658:-1 gene:ONIVA03G31490 transcript:ONIVA03G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSAKLALGHDANNVVGRRRRERRRGLRRDVGNSRITVADFLTHYDDKGLDGCPAMHHLSLPAASLD >ONIVA03G31480.1 pep chromosome:AWHD00000000:3:27006179:27007153:-1 gene:ONIVA03G31480 transcript:ONIVA03G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMTLIKQKQMDGWMWSSDFMDALGWLLVLVWRVRADEALAIGYTIKLALIETDSLEVVGMLTQIGVNRSIYGTMIQDLKNMLKTYFLGNEGPMGAAIGE >ONIVA03G31470.1 pep chromosome:AWHD00000000:3:26990328:26993446:1 gene:ONIVA03G31470 transcript:ONIVA03G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRDVKTSQCDAATRKSSAAGYVHLTRSSSDRTAHAPRTRRLEPLQLLFHLSPIPFLPRPALLLLRTQKYQRTQKRREAKNRGDAMNVEEEVGKLKEEIQRLGQKQPDGSYKVTFGVIFNDDRCANIFEALVGTLRAAKKRKIVKYDGELLLQGAHDNVEITLLPPPAVAAA >ONIVA03G31460.1 pep chromosome:AWHD00000000:3:26984971:26988746:-1 gene:ONIVA03G31460 transcript:ONIVA03G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARKGEGEVRRDMWGQEYRTASGGCAAALDEHYAHTMSFGRGRGHAVLRAAAADPRCALASSLAAHHVAPRDPARAAAFLAAAADNLGAATEYERAVFRALSALIGEERDEQVAIERHFELLKEFPRDLMSLKRAQLICFYMGRPDTSLKFVEQVLPENQDQNYIYGMLAFPLLELGRMDDAEKAARKGLAINKNDCWSQHNLCHVFQQECHFKEATEFMKSCSPSWAACSSFMLTHNWWHVAVCYLEGEFPTSKVLEIYDHNFMTELEKSDCEAAEVDLAKDRLAALLDALTNEKIWHVEWLLDLLVLWALSSMGEITRADNLLESLRSRVSSMDTKKQQVMQKAIQLAEAVYEYGKGEHRKVFDILGPDFDALSCKMIGASDEQVDVFNEVWYTVLINTGESSRAIEVLEKQIRKREGAPFLWRLLEKAYSLHGRAADASVASEKANALQAAYSR >ONIVA03G31460.2 pep chromosome:AWHD00000000:3:26984971:26988746:-1 gene:ONIVA03G31460 transcript:ONIVA03G31460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARKGEGEVRRDMWGQEYRTASGGCAAALDEHYAHTMSFGRGRGHAVLRAAAADPRCALASSLAAHHVAPRDPARAAAFLAAAADNLGAATEYERAVFRALSALIGEERDEQVAIERHFELLKEFPRDLMSLKRAQLICFYMGRPDTSLKFVEQVLPENQDQNYIYGMLAFPLLELGRMDDAEKAARKGLAINKNDCWSQHNLCHVFQQECHFKEATEFMKSCSPSWAACSSFMLTHNWWHVAVCYLEGEFPTSKVLEIYDHNFMTELEKSDCEAAEVYLNALGLLLRLHIRGQVDLAKDRLAALLDALTNEKIWHVEWLLDLLVLWALSSMGEITRADNLLESLRSRVSSMDTKKQQVMQKAIQLAEAVYEYGKGEHRKVFDILGPDFDALSCKMIGASDEQVDVFNEVWYTVLINTGESSRAIEVLEKQIRKREGAPFLWRLLEKAYSLHGRAADASVASEKANALQAAYSR >ONIVA03G31460.3 pep chromosome:AWHD00000000:3:26984971:26988746:-1 gene:ONIVA03G31460 transcript:ONIVA03G31460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARKGEGEVRRDMWGQEYRTASGGCAAALDEHYAHTMSFGRGRGHAVLRAAAADPRCALASSLAAHHVAPRDPARAAAFLAAAADNLGAATEYERAVFRALSALIGEERDEQVAIERHFELLKEFPRDLMSLKRAQLICFYMGRPDTSLKFVEQVLPENQDQNYIYGMLAFPLLELGRMDDAEKAARKGLAINKNDCWSQHNLCHVFQQECHFKEATEFMKSCSPSWAACSSFMLTHNWWHVAVCYLEGEFPTSKVLEIYDHNFMTELEKSDCEAAEVYLNALGLLLRLHIRGQVDLAKDRLAALLDALTNELAEAVYEYGKGEHRKVFDILGPDFDALSCKMIGASDEQVDVFNEVWYTVLINTGESSRAIEVLEKQIRKREGAPFLWRLLEKAYSLHGRAADASVASEKANALQAAYSR >ONIVA03G31450.1 pep chromosome:AWHD00000000:3:26975350:26983571:1 gene:ONIVA03G31450 transcript:ONIVA03G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALEAFAAKLANVLVGMAKEEVETLLGVPGAIAKLETTLADLSSILADADRRRIHDPGVERWVRELKDAMYDADDILDLFRAMEGGEDPGSPPRAAPAPSACWSALCRRSPAATRKIGRKIQELNRRVEEIAKRSSRFGFVSQVIHSSSALRLTDRPMCSCSSESSRNSKTGPSIIRSDVVGDKIDLHTRNLVDLLIGNKLADGRTRARSSGDVIAVAITGAGGIGKTTLARMVFNDAVLESHFDKKVWLSVNQEVNEVHLLHGVIAAFGGSYHGCAGDKALLEDTLKHAVRQKRFLLVMDDVWSDRVWSDLLRAPLGACAPGSRVLVTTRNDGVARGMRAQHLHRVVLNEGDESEIDGLEDIGLKIVERCDGLPLAIKVVGGLLLNKGKTRDAWVNVSNHFAWSMTRSNDDINKAVYLSYEELPPHLKQCFVFCSLFPKDELIIRGVIVRMWIAQGYGHDIMRSTLPEDLGVEYYNELVSRNLLEPYKRSYDLSASTMHDVIRSFAQQIVKDEGLLVNDRQDVHGIAGASKLRHLSVSKTAIEWVAIQKQVSLRTLLLFGRCITELTYFRNNISCLRVLHLQGVDLVDLPDYICHLKHLRYLGLANTGISAIPRGIGNLKFLQFIDLMGCRNFHQLPDSILKLQNMRFLDFRGTRLTSIPPGMGKLENLVNMLGFPTYLDDRGHAWSSLEELRSLSNLKWLDLRGLELASSGSMAATAMLNSKKHLKILDLTFASRLTDNGMIEGTSNVIEEQERAEDALSNLCPPPCVECLTVNGYFGYRLPRWMRTMSDFPSLRRLELKDYVCCKQLPVGLGQLPFLDYIWIDHAPSIVSIGHDLLFLSSSSADDQKVTTGTRITRKLQLHGLSRGDAGVAFPKLETLGLKGMLGWRVWNWDQQTPGMPALDVLTITGCKLRYLPLGLVHHATALRVLNLRNAPHLISVENFPSLVELTSADNPKLQRISNSPRLRHIVVIRCPGLKVVKDLQSLRSVIWKDLDADALPEYLRETELNKLDVYCSLRLLKLISLQDGSYEWEKIQHVQLLKAYGKRSTEDKVDRHIFYTKDPYGRRSMISGGPGSLQAYGSIAGRPPWLTVQGEELKT >ONIVA03G31450.2 pep chromosome:AWHD00000000:3:26975350:26983571:1 gene:ONIVA03G31450 transcript:ONIVA03G31450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALEAFAAKLANVLVGMAKEEVETLLGVPGAIAKLETTLADLSSILADADRRRIHDPGVERWVRELKDAMYDADDILDLFRAMEGGEDPGSPPRAAPAPSACWSALCRRSPAATRKIGRKIQELNRRVEEIAKRSSRFGFVSQVIHSSSALRLTDRPMCSCSSESSRNSKTGPSIIRSDVVGDKIDLHTRNLVDLLIGNKLADGRTRARSSGDVIAVAITGAGGIGKTTLARMVFNDAVLESHFDKKVWLSVNQEVNEVHLLHGVIAAFGGSYHGCAGDKALLEDTLKHAVRQKRFLLVMDDVWSDRVWSDLLRAPLGACAPGSRVLVTTRNDGVARGMRAQHLHRVVLNEGDESEIDGLEDIGLKIVERCDGLPLAIKVVGGLLLNKGKTRDAWVNVSNHFAWSMTRSNDDINKAVYLSYEELPPHLKQCFVFCSLFPKDELIIRGVIVRMWIAQGYGHDIMRSTLPEDLGVEYYNELVSRNLLEPYKRSYDLSASTMHDVIRSFAQQIVKDEGLLVNDRQDVHGIAGASKLRHLSVSKTAIEWVAIQKQVSLRTLLLFGRCITELTYFRNNISCLRVLHLQGVDLVDLPDYICHLKHLRYLGLANTGISAIPRGIGNLKFLQFIDLMGCRNFHQLPDSILKLQNMRFLDFRGTRLTSIPPGMGKLENLVNMLGFPTYLDDRGHAWSSLEELRSLSNLKWLDLRGLELASSGSMAATAMLNSKKHLKILDLTFASRLTDNGMIEGTSNVIEEQERAEDALSNLCPPPCVECLTVNGYFGYRLPRWMRTMSDFPSLRRLELKDYVCCKQLPVGLGQLPFLDYIWIDHAPSIVSIGHDLLFLSSSSADDQKVTTGTRITRKLQLHGLSRGDAGVAFPKLETLGLKGMLGWRVWNWDQQTPGMPALDVLTITGCKLRYLPLGLVHHATALRVLNLRNAPHLISVENFPSLVELTSADNPKLQRISNSPRLRHIVVIRCPGLKVVKDLQSLRSVIWKDLDADALPEYLRETELNKLDVYCSLRLLKLISLQDGSYEWEKIQHVQLLKAYGKRSTEDKVDRHIFYTKDPYSFEADMGEDL >ONIVA03G31440.1 pep chromosome:AWHD00000000:3:26949210:26957626:-1 gene:ONIVA03G31440 transcript:ONIVA03G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLDAFASSLARILAETAKEEVEALLGAAAGGEAATPVAMAGCCCCFRGVRVPALSCFRDPVRAREIGKRVRALNRRLDGIERRSSRFGFGSQTRIISSSPSPCCSRRADSGDGRRTALGLIRSDVVGEKIAEDTRMLADILVSKTTDLDDAGGGCNLIPTIAVTGAGGIGKTTLARMVFGDATVQESFDARIWLFVGRDADEVTMLRSAIAHAAGAASCEGLAVAGDKALLERALQRAVTHRKVLLVMDDVWSDAAWNELLRVPLSHGAPGSRILVTTRNDGVAHRMKVRYLHRVDKLRRQDAWSLLKKQIVLNKSDEAELDELEDIGMQIVDRCDGLPLAIKMIGGLLLSKSRTRGAWMEVSRHSAWCKHEVNDEINKAVCLSYEELPSHLKQCFVYCSLFPRGEVIESRTIVRMWIAEGFVQDSTGSGLPEAVAAQYYKELVLRNLLDPSDGYYDQLGCTMHDVVRSFAQHVAKDEGLSINEMQKQTIGDALGTLKFRRLCVSNKQVEWDALQRQVSLRTLILFRSIVTKHKNFLNNLSCLRVLHLEDANLIVLPDSICHLKHLRYLGLKGTYISALPNLIGNLRFLQHIDLCGCINVSELPESIVRLRKLRSLDIRHTMVSSVPRGFGKLEILVEMLGFPTDLDDSTHDWYSLEELGSLPNLSALHLEVLEKATLGQMAARSKLSSKQNLTQLELRCTSRISTNGTVQGGISEEDCERIENVFEHLRPPPSIDRLTIAGYFGHRLPQWMATATAFRSLRRLVLEDYACCDRLPGGLGQLPYLDYLWIEHAPSIEHVSHDFILPPVGIAVDGNAPSTTTTTTKTEGAGIAFPKLKRLGFQGMLRWASWDWDEHVQAMPALESLTVENCKLNRLPPGLVYHTRALKALVLTNAVSLESVENLPSLVELYLADNPKLEMVVNCSSLTKIEILRCPELKAFDRLPAVRSIVWEDLDADTLPGSEEWRSVRHVQQLKVFGFKPQSETSECYFLYTKEPYHVETNISKA >ONIVA03G31440.2 pep chromosome:AWHD00000000:3:26949210:26957626:-1 gene:ONIVA03G31440 transcript:ONIVA03G31440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLDAFASSLARILAETAKEEVEALLGAAAGGEAATPVAMAGCCCCFRGVRVPALSCFRDPVRAREIGKRVRALNRRLDGIERRSSRFGFGSQTRIISSSPSPCCSRRADSGDGRRTALGLIRSDVVGEKIAEDTRMLADILVSKTTDLDDAGGGCNLIPTIAVTGAGGIGKTTLARMVFGDATVQESFDARIWLFVGRDADEVTMLRSAIAHAAGAASCEGLAVAGDKALLERALQRAVTHRKVLLVMDDVWSDAAWNELLRVPLSHGAPGSRILVTTRNDGVAHRMKVRYLHRVDKLRRQDAWSLLKKQIVLNKSDEAELDELEDIGMQIVDRCDGLPLAIKMIGGLLLSKSRTRGAWMEVSRHSAWCKHEVNDEINKAVCLSYEELPSHLKQCFVYCSLFPRGEVIESRTIVRMWIAEGFVQDSTGSGLPEAVAAQYYKELVLRNLLDPSDGYYDQLGCTMHDVVRSFAQHVAKDEGLSINEMQKQTIGDALGTLKFRRLCVSNKQVEWDALQRQVSLRTLILFRSIVTKHKNFLNNLSCLRVLHLEDANLIVLPDSICHLKHLRYLGLKGTYISALPNLIGNLRFLQHIDLCGCINVSELPESIVRLRKLRSLDIRHTMVSSVPRGFGKLEILVEMLGFPTDLDDSTHDWYSLEELGSLPNLSALHLEVLEKATLGQMAARSKLSSKQNLTQLELRCTSRISTNGTVQGGISEEDCERIENVFEHLRPPPSIDRLTIAGYFGHRLPQWMATATAFRSLRRLVLEDYACCDRLPGGLGQLPYLDYLWIEHAPSIEHVSHDFILPPVGIAVDGNAPSTTTTTTKTEGAGIAFPKLKRLGFQGMLRWASWDWDEHVQAMPALESLTVENCKLNRLPPGLVYHTRALKALVLTNAVSLESVENLPSLVELYLADNPKLEMVVNCSSLTKIEILRCPELKAFDRLPAVRSIVWEDLDADTLPGYLQEAKVKRLHINCNLSLLNLISLQDASSEEWRSVRHVQQLKVFGFKPQSETSECYFLYTKEPYHVETNISKA >ONIVA03G31430.1 pep chromosome:AWHD00000000:3:26941517:26948844:1 gene:ONIVA03G31430 transcript:ONIVA03G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GS38] MAEDKGGLDAVLKESVDLVLENIPIEEVFQNLKCCRQGLTSEEAQLRLQLFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRNGSWTEEEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKARLRSLTSVHVLTAIGNFCICSIAVGMFVEIIVMYPIQHRPYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEIFERGVTQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAHNKTQIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWRFVALLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESVAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFIERPGFLLVFAFFVAQLIATLIAVYANWAFTSIKGIGWGWAGIVWLYNLVFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAHRTLHGLQPPDAKPFPEKTGYSELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIHQSYTV >ONIVA03G31420.1 pep chromosome:AWHD00000000:3:26918505:26936088:1 gene:ONIVA03G31420 transcript:ONIVA03G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGNGRADSITIGVCVMEKKVFCSPMEQILERLRAFGEFEIIIFGDKVILEDPIEMYVMTTQVIDNLIIVLFKYRFIHTTSVVFFYGINIVHAWLWPKCDCLIAFYSSGFPLKKAEAYAALRRPFLVNELEPQHLLHDRRKVYEHLEKYGIPVPNYALVNREYPYQELDYFIEQEDFVEVHGKRFMKPFVEKPVNGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMSRDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPSLPWKSNEPVQPTEGLTRQGSGIIGTFGQSEELRSVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGRESDSDAEDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIRVPKRCGDGEEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIITNAKAKNTNEPVEFPWMVDGAGLPANASQLLPKMAKLTKEVTAQVKLLAEDEDEKLALTNSFSRYDQAKAFGKTTIDVARIAAGLPCGSESFLLMFARWKKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLNLEGLEELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVSDPKFTEDEATFLPTKESEYQQKLQTRNEDGRRSSSTSEKSLDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDECLQGEDSLVCQSALDRLHRTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSENTSLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAAPQAFSGYFKGSGMLERLASLWPFHKGATNGK >ONIVA03G31410.1 pep chromosome:AWHD00000000:3:26905143:26905833:1 gene:ONIVA03G31410 transcript:ONIVA03G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GS36] MAASFHAALLLLFFLLSAVAGRDHHLVVEKYGVSMKGADGDVVHRAPGHAQTRLLLRWLRQPERPLARLPRRLPFRNTYRDLIGGLENVPGLPLGRAASLRAIGALSSYDTGAAGEEAMRRGVATLSMVLTQALWLRPVGETVSSRWESGEARVAAEHLPYIEHWYTMSFEVLRWRRTGRWDGPFTELLRRRTSEALAVVRVIANKSFVQLLRAHSHGA >ONIVA03G31400.1 pep chromosome:AWHD00000000:3:26898553:26904210:1 gene:ONIVA03G31400 transcript:ONIVA03G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCCVAPATTDEVGAPPRDHHHAAKKSPAPSATTTTATRQRHGQEPKPKPKPRARAKPNPYDWAPPRVLPARGGAAASAVRVLEGVVPHHPRLRVTDKYQLGRELGRGEFGVTHLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDADAVHLVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRACHAHGVMHRDLKPENFLYAGKAEDAQLKAIDFGLSVFFRPGERFREIVGSPYYMAPEVLRRDYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGAADFDREPWPRISRAAKSLVRQMLDVDPRRRPTAQQVLDHPWLHHAARAPNVPLGDVVRARLKQFSLMNRLKKKAMRVRGVRALLLMSSPATASPFLCLTAQRCTQVIAEHLSVEEVEVIKDMFALMDTDNNGRVTLQELKDGLTKVGSKLAEPEMELLMEAADVDGNGYLDYGEFVAVTIHLQRLSNDNHLRTAFLFFDKDGSGYIDRAELADALADDSGHADDAVLDHILREVDTDKDGRISYEEFVAMMKSGTDWRKASRQYSRERFKTLSNSLIKDGSLTMAR >ONIVA03G31390.1 pep chromosome:AWHD00000000:3:26889658:26895649:1 gene:ONIVA03G31390 transcript:ONIVA03G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLEDSCKPIRPTRCPTRRPLSPLPHKSLSHGLHPSPPTRLAAPSPSPNPQNPSPPPAAMAASPTGSSSSAPAAAAAAAMAAAVAAEATDGPTLSVVSKRLRALRKKHNRILQMEESLAGGRKLNKEQEEVLRSKPAVVALIDELERMRAPLAAALAEELSSRPAPSSSAAPPPPASSSAAGAADSSVVEDLLALIYFGTLFDLKPQTEFVATMVARAQERDCCITYDYVTEDAADLLHQSDLDKVSALAALAASRPAAAVGVSHRDALQSCAQHARLWLRRADEPIHPESSITYAAVRAKLDKIMASDYYTAQPEMPEMGAAVDLSAAVQESMVVSPEAPAVEESQAEGHKDEKEASEATEIYNDNQPNVADAQNVEDEAPVNPSEEFSAAEVEQEKFEADVEELERNADQQFTSRRPYQNQRGGGGRGGGRRGYQNGGRGGRGGRGMGGGGYQNGRGGGGGGGYQNGRGGGEGGGYYYNEPGYYQQRGYSNRGRGGRSGGGNSYYNNQGGGSQGGGHAHPGRVELGANA >ONIVA03G31380.1 pep chromosome:AWHD00000000:3:26881870:26887018:-1 gene:ONIVA03G31380 transcript:ONIVA03G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GS33] MVQLSNATRIRMHATTTLSSPQLCTQFALDRVARSTTTIPLSKSKSSSHHPAAACRRRGRRKSSRSGLLLLLFFALAVYLLDLSAGRGGGRRLRGGGGSVAAAAARSAARQAGISGDDVFLIDLDGGEATLAAHRHDLSFAGFANRSGHWHVFRGDEGAIPNACRRRLPFRNTYRDLIGPSLPLGRAAAAEATEAIASYDAGEEEAAAAALRRGVAAPVGDARGSHMRLKPIGETRVERVAGERRGACVAAAEHLPYIEHWDTMSFEVLRWRRTGRWDGAVHRAAEEARRHPQRRRGARHCQRVQADRLIDLAKHFQAAFFLYFLLDLGGYRSDVLSVSDLEPPSDGLFVVGLTGGLDADELAALALQGHDLSLAGFANRTRHWHAFRGREGLVPSAASVLPFGGDTYRDLIGGIRNLPGVPLGRAAMVRAARVLSSYDPAAFAAEGNEVEELGRALAAVTVMISEAARVKPINETVSSGWWGEARVAAEHLPYIEHWDTMSFELLRLRRTGRWDGPFTELLRKDAGIGGAEEAGAVAGVLIDRDLEELQLAHGI >ONIVA03G31370.1 pep chromosome:AWHD00000000:3:26880533:26881788:-1 gene:ONIVA03G31370 transcript:ONIVA03G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKCLELNLSRCPTVQHRPTPPDGGAPPKKVVRLWRSLPNPTHSSPVAQLHPGGRWWKRPRWRRTPAVSL >ONIVA03G31370.2 pep chromosome:AWHD00000000:3:26877201:26880524:-1 gene:ONIVA03G31370 transcript:ONIVA03G31370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSREQRRWETRWMRYGAFGGAMEIDGIQVTVGLICLICFFSLSRALPATCSWDHHIAVHLSFIRAALIRSEGHVELSPTSLRGKLSMVDLLDFGSGAGALAVRVDTMFVAGFANRSGHWHALRGSDHLFHRGDHHHQRARPLLLRPIARAVLEASRMGRAPAGEQARIADEHLPYVEHWDAMWHELGRWRRRGEWGGPFTSVLRERANIGSAEEALAVVGWTFRQSAKAARRRLGGGDV >ONIVA03G31360.1 pep chromosome:AWHD00000000:3:26876316:26877050:-1 gene:ONIVA03G31360 transcript:ONIVA03G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GS30] MANFQAALLLLLLRFLLNLAGHRGEVLSVSAAQQQDPPGDDLVFLKLRSGDGDGTVLAMHRHDISFAGFTNGSHHWHVFRGDEDAIPNARRLPFRNTYRDLIGGLHHVPGLPLGKAAAARAAGVLASYDPDAEEGTAAVKRAVAALSVMFTQALRLEPIRETVSSGWESGEARVAAEHLPYIEHWDTMSFEVLRWRRTGEWDGPFTEVLRRRAGIRSAGEALAIAKLLANRSFVQLLQDHSHSA >ONIVA03G31350.1 pep chromosome:AWHD00000000:3:26874071:26875207:-1 gene:ONIVA03G31350 transcript:ONIVA03G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAADGRWAAVRGDAPWAVARGDGRGDGRSPPAACHPRPTGPSATELLASQMNANYRFGFRQAAGGVAGLAGGEGASRLSTKHRTSGVEVAAARFQVKRRGGRRARAGDGWRLGGGRAVPGRAARGSAREGWRRAASRWRPRGRRSSGACGRRARAGDGQRRGTRRSPPPPPFPAALVRATLADRSFRARRPFRARHHTTPVFLSTASASGLVPHRRRLGLRLVPPRAARRSSPSPTPHTSRPRAVAIFPSPRRRPP >ONIVA03G31340.1 pep chromosome:AWHD00000000:3:26872552:26873295:-1 gene:ONIVA03G31340 transcript:ONIVA03G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GS28] MAAALSFFLLLLLPAPLLLLPLAGNLPAAVLGEVRVERDLILVDLQDYGSGVGTLAVRPDVFSVAGFANRTGHWHALRGNDHLFRGDLVATPLPFGSSYGDLVGGVNNLLGLPLGSPFTSYATVVLSGYDGGGGGEAAAVKRALATLAVVICEGQRLHPILETILTRGRGARVAAEHLPYIEHWDAMWEELKRWRRTGEWGGGPFAGELRERASIGSAEEALAVVGWTFRQLLLGDGSIPAMCRAEV >ONIVA03G31330.1 pep chromosome:AWHD00000000:3:26864043:26867819:-1 gene:ONIVA03G31330 transcript:ONIVA03G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHLALRSSVGSHSSALPPSYHHHRRLPPPQQQHPDPLNSVWIRRLHLLPNQPPPPPPPPPLPQPQHHHDAVSTDESRTPPPPPPPMGAPGFGPFRWSPRPLRGAPLAAWDAASPVRSGGGGGGGGGGTGPPMLSPFFRLPAPSPSPPVTDFGEFSPTMPLFEVGSSSGSGGFPGPSSRMIPGGSSSPFAMGVAAAAYPSHAVDMVPIRTLQIIVAQKVTRALVQTLHIWACASGETLLTLDLLQDIHDRQQSVIPRNFAMRSPSSGSQHDGFSYWNMGRFRRNTTTSLVSPTGVTPSSFGKKRNADSSNFLPLKFRKMSGAT >ONIVA03G31320.1 pep chromosome:AWHD00000000:3:26845719:26850590:-1 gene:ONIVA03G31320 transcript:ONIVA03G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGEEAGQKLKSMDVDKLENGGDKPALKYHGWRAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIGMFLLTMTAAADGLHPAECGVGETCSKATSGQFAVLFMSFAFLVLGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWLYVRVTPEGSPFTSIVQVFAAAARKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAIVSGPDDVRAGGAAPSNPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRSFQIPAASFTVFAMLAQTLWIPIYDRLLVPRLRRVTGKDEGLTLLQRQGIGIALSTVAMVMSAVVEDRRRHIALTQPTLGTTITGGAISAMSSLWMVPQLMVLGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGAGSNWLAQDLNKGRLDLFYWMIAGIGIFNIIYFMICAKWYRFKGAAAN >ONIVA03G31320.2 pep chromosome:AWHD00000000:3:26845719:26850590:-1 gene:ONIVA03G31320 transcript:ONIVA03G31320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGEEAGQKLKSMDVDKLENGGDKPALKYHGWRAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIGMFLLTMTAAADGLHPAECGVGETCSKATSGQFAVLFMSFAFLVLGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWYYFTFTSAMLVSATVIIYVQSNVSWPIGLGIPTALMLLACVLFFMGTRLYVRVTPEGSPFTSIVQVFAAAARKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAIVSGPDDVRAGGAAPSNPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRSFQIPAASFTVFAMLAQTLWIPIYDRLLVPRLRRVTGKDEGLTLLQRQGIGIALSTVAMVMSAVVEDRRRHIALTQPTLGTTITGGAISAMSSLWMVPQLMVLGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGAGSNWLAQDLNKGRLDLFYWMIAGIGIFNIIYFMICAKWYRFKGAAAN >ONIVA03G31320.3 pep chromosome:AWHD00000000:3:26848258:26850590:-1 gene:ONIVA03G31320 transcript:ONIVA03G31320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGEEAGQKLKSMDVDKLENGGDKPALKYHGWRAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIEYKVLESWGA >ONIVA03G31320.4 pep chromosome:AWHD00000000:3:26845719:26847702:-1 gene:ONIVA03G31320 transcript:ONIVA03G31320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAPLVLVGAVGQLLDSEGHFYWNVFPGMFLLTMTAAADGLHPAECGVGETCSKATSGQFAVLFMSFAFLVLGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWYYFTFTSAMLVSATVIIYVQSNVSWPIGLGIPTALMLLACVLFFMGTRLYVRVTPEGSPFTSIVQVFAAAARKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAIVSGPDDVRAGGAAPSNPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRSFQIPAASFTVFAMLAQTLWIPIYDRLLVPRLRRVTGKDEGLTLLQRQGIGIALSTVAMVMSAVVEDRRRHIALTQPTLGTTITGGAISAMSSLWMVPQLMVLGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGAGSNWLAQDLNKGRLDLFYWMIAGIGIFNIIYFMICAKWYRFKGAAAN >ONIVA03G31310.1 pep chromosome:AWHD00000000:3:26840180:26847590:1 gene:ONIVA03G31310 transcript:ONIVA03G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related [Source:Projected from Arabidopsis thaliana (AT1G27070) TAIR;Acc:AT1G27070] MLPLLLPLPVTPPPPLPSPTLTLAPASAPRRRLVLLAAAAPHHHHHHRRRRVYRRQRAAPTQTRAPRRTLSASNAARGEEDLEEAIYEFMRRSDKPGAFPTRAELVAAGRADLAAAVDACGGWLSLGWSSGGAEAGRASSSVGVHPDYPPEAGAAAAAGGASDLAQGAVWASSREAEASPSGRQPETEEEETETKFGTGLDGMLTRLQRERERVRPPLPQSSDGAGGERDNVALMGQSGAPSHSATGGRYTPKVPDNGNIHSYHPQNGALEHNKSSKSLTNDAWRTWSLDKGGFSDFQAAEIHSTNSRKSFRHDGLDILAQDDVHGPSNGVAVHDYDINDVDSERDDIHARLQNLELDLTAALHTLRSRFDKVISDMSEGDGAKAPNGLSDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIIEKNKIIEEKQRRLDEAEKALSELRTVYIVWSNPASEVLLTGSFDGWTSQRRMERSERGTFSLNLRLYPGRYEIKFIVDGVWRNDPLRPLVSNNGHENNLLTVT >ONIVA03G31310.2 pep chromosome:AWHD00000000:3:26840180:26847590:1 gene:ONIVA03G31310 transcript:ONIVA03G31310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related [Source:Projected from Arabidopsis thaliana (AT1G27070) TAIR;Acc:AT1G27070] MLPLLLPLPVTPPPPLPSPTLTLAPASAPRRRLVLLAAAAPHHHHHHRRRRVYRRQRAAPTQTRAPRRTLSASNAARGEEDLEEAIYEFMRRSDKPGAFPTRAELVAAGRADLAAAVDACGGWLSLGWSSGGAEAGRASSSVGVHPDYPPEAGAAAAAGGASDLAQGAVWASSREAEASPSGRQPETEEEETETKFGTGLDGMLTRLQRERERVRPPLPQSSDGAGGERDNVALMGQSGAPSHSATGGRYTPKVPDNGNIHSYHPQNGALEHNKSSKSLTNDAWRTWSLDKGGFSDFQDILAQDDVHGPSNGVAVHDYDINDVDSERDDIHARLQNLELDLTAALHTLRSRFDKVISDMSEGDGAKAPNGLSDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIIEKNKIIEEKQRRLDEAEKALSELRTVYIVWSNPASEVLLTGSFDGWTSQRRMERSERGTFSLNLRLYPGRYEIKFIVDGVWRNDPLRPLVSNNGHENNLLTVT >ONIVA03G31300.1 pep chromosome:AWHD00000000:3:26835218:26837925:1 gene:ONIVA03G31300 transcript:ONIVA03G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASYAPPRRKRSDLERRRASSCLRRAACATRHFPPPAVSSGSRPPSPPKPRMEGRGAASASAPGKVLERPNAGLVLITTARFYAVVLPPRDQLTHDESWAWAWTNVKVTSPQLSREATYKLSPKKSTSSRESANPFVEQDSAILVTVADKEGKDALDKLCFCKVATIFYSYREQIEARGLPLTPDQKYCFRCLHSVQLHLIQKLLMELWNNDWSKPEVAKTGLGSSAAMTTSIVAALLHYLGAVSLLCLGELSSDSAAERDLDLLHAVLMQFPH >ONIVA03G31300.2 pep chromosome:AWHD00000000:3:26835342:26837925:1 gene:ONIVA03G31300 transcript:ONIVA03G31300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASYAPPRPERPRKAACVVVLAPRRVRYPAFPAAGRELRIASPLAAEAENGSVSVSLSLLDLDCLALDPGLTVRPIPVAGQGRGAASASAPGKVLERPNAGLVLITTARFYAVVLPPRDQLTHDESWAWAWTNVKVTSPQLSREATYKLSPKKSTSSRESANPFVEQDSAILVTVADKEGKDALDKLCFCKVATIFYSYREQIEARGLPLTPDQKYCFRCLHSVQLHLIQKLLMELWNNDWSKPEVAKTGLGSSAAMTTSIVAALLHYLGAVSLLCLGELSSDSAAERDLDLLHAVLMQFPH >ONIVA03G31290.1 pep chromosome:AWHD00000000:3:26830626:26831644:1 gene:ONIVA03G31290 transcript:ONIVA03G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDTIRARSLRQNFCRRRDAWILSVVIYANLKMNNHTNPKITN >ONIVA03G31280.1 pep chromosome:AWHD00000000:3:26807644:26820165:-1 gene:ONIVA03G31280 transcript:ONIVA03G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDTQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAILHLGNINFAKGTEIDSSVIKDDKSRFHLNTAAELLKIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTIVHYAGDVTYQADFFLDKNKDYVVAEHQDLLNASSCPFVAALFPALPEETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQISTYIARKQFVSLRRSATQLQSFVRGTLARKLYECIRREASAVKIQKNVRRHKARVSYLQLQEAAITLQTGLRAMSARKEFRFRKETKAAVHIQARWRCHRDYAHYKNLQGAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQETLHDMQQQVEEAKAMIVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTAVVEQLKALLQTERQATETAKKEYAEAERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGVAPYGEVKPLPDITPISLNPKEPETEEKPQKSLNEKQQENQDMLIKCVSQDLGFSSGRPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGTAIEAQENNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRPFLASRLMGGIGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMKEIEVTDVDMPPLIRENSGFTFLH >ONIVA03G31280.2 pep chromosome:AWHD00000000:3:26807644:26820165:-1 gene:ONIVA03G31280 transcript:ONIVA03G31280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDTQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAILHLGNINFAKGTEIDSSVIKDDKSRFHLNTAAELLKIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTIVHYAGDVTYQADFFLDKNKDYVVAEHQDLLNASSCPFVAALFPALPEETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQISTYIARKQFVSLRRSATQLQSFVRGTLARKLYECIRREASAVKIQKNVRRHKARVSYLQLQEAAITLQTGLRAMSARKEFRFRKETKAAVHIQARWRCHRDYAHYKNLQGAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQETLHDMQQQVEEAKAMIVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTAVVEQLKALLQTERQATETAKKEYAEAERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGVAPYGEVKPLPDITPISLNPKEPETEEKPQKSLNEKQQENQDMLIKCVSQDLGFSSGRPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGTAIELSLNSYFPKAQENNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRPFLASRLMGGIGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMKEIEVTDVDMPPLIRENSGFTFLH >ONIVA03G31270.1 pep chromosome:AWHD00000000:3:26806793:26814098:1 gene:ONIVA03G31270 transcript:ONIVA03G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKEFIDGKHCLAGDKDLEEVSNSVVIRRHYGNQRRVRREGSAGNKAATKGQEEAFSKS >ONIVA03G31260.1 pep chromosome:AWHD00000000:3:26802470:26805675:-1 gene:ONIVA03G31260 transcript:ONIVA03G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKIKRLLRSFKMLNEEGQGDFVLLFVIDWPLVDISEFMYSTGQKKRIAGLVDGIRQGCVNNILLLPFKCMNISLRTLFPPIFTFLKYILYAGDDDGTIPIPRSNLNGQLNDIVDRADSSDVSFSVGSETFHAHRAVLAVRSPVFKMELLGSMAESTMLCVTLHNIDPATFKALLHFVYMDALPSPTEAVHIGEVEADVCTEAVGERVGGDGGDDSRLRAYAERYHCPELKSKCLSFLMAEINFKKVAVADGYFHLRRDFPLIIEEIKKRIES >ONIVA03G31260.2 pep chromosome:AWHD00000000:3:26802472:26805675:-1 gene:ONIVA03G31260 transcript:ONIVA03G31260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKIKRLLRSFKMLNEEGQGDFVLLFVIDWPLVDISEFMYSTGQKKRIAGLVDGIRQGCVNNILLLPFKCMNISLRTLFPPIFTFLKYILYAGDDDGTIPIPRSNLNGQLNDIVDRADSSDVSFSVGSETFHAHRAVLAVRSPVFKMELLGSMAESTMLCVTLHNIDPATFKALLHFVYMDALPSPTEAVHIGEVEADVCTEAVGERVGGDGGDDSRLRAYAERYHCPELKSKCLSFLMAEINFKKVAVADGYFHLRRDFPLIIEEIKKRIES >ONIVA03G31260.3 pep chromosome:AWHD00000000:3:26802472:26804501:-1 gene:ONIVA03G31260 transcript:ONIVA03G31260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFKMLNEEGQGDFVLLFVIDWPLVDISEFMYSTGQKKRIAGLVDGIRQGCVNNILLLPFKCMNISLRTLFPPIFTFLKYILYAGDDDGTIPIPRSNLNGQLNDIVDRADSSDVSFSVGSETFHAHRAVLAVRSPVFKMELLGSMAESTMLCVTLHNIDPATFKALLHFVYMDALPSPTEAVHIGEVEADVCTEAVGERVGGDGGDDSRLRAYAERYHCPELKSKCLSFLMAEINFKKVAVADGYFHLRRDFPLIIEEIKKRIES >ONIVA03G31260.4 pep chromosome:AWHD00000000:3:26802472:26805346:-1 gene:ONIVA03G31260 transcript:ONIVA03G31260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAKGCVNNILLLPFKCMNISLRTLFPPIFTFLKYILYAGDDDGTIPIPRSNLNGQLNDIVDRADSSDVSFSVGSETFHAHRAVLAVRSPVFKMELLGSMAESTMLCVTLHNIDPATFKALLHFVYMDALPSPTEAVHIGEVEADVCTEAVGERVGGDGGDDSRLRAYAERYHCPELKSKCLSFLMAEINFKKVAVADGYFHLRRDFPLIIEEIKKRIES >ONIVA03G31260.5 pep chromosome:AWHD00000000:3:26802472:26804763:-1 gene:ONIVA03G31260 transcript:ONIVA03G31260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPKDVCMNISLRTLFPPIFTFLKYILYAGDDDGTIPIPRSNLNGQLNDIVDRADSSDVSFSVGSETFHAHRAVLAVRSPVFKMELLGSMAESTMLCVTLHNIDPATFKALLHFVYMDALPSPTEAVHIGEVEADVCTEAVGERVGGDGGDDSRLRAYAERYHCPELKSKCLSFLMAEINFKKVAVADGYFHLRRDFPLIIEEIKKRIES >ONIVA03G31250.1 pep chromosome:AWHD00000000:3:26788147:26802215:1 gene:ONIVA03G31250 transcript:ONIVA03G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32820) TAIR;Acc:AT4G32820] MADRFLTLKNLASVFLQQGSAFYDNALRCYLQAVELDANDSVVWNHLGTLSCSMGNCMEKLLEVLIAICDEVACLSVAKLILRSWPSHHRALHVKKTIEDAEPVPFAPRGIDILEPKHAKLKFCNKRKSGDDETHHETVTKKSRQNAKLQLTEAKWMALLDGILSFLSSNNTKTNEDHGANTESQCDTKRSINGFAYNMMDVSLSTETLKTMESAGGNEHDLYHDGENVLSHDCRTAVKEKDTNSDREHPHERRSTRLERLRSRKSGKDEHESNGKDISHAITQFLDSFILKRTSIPEKIDCSGNGDASTPEALTYTPDCEASDVKQFLSKISKNCGPLHIGYMLLEEIAQTNIPFQDYFVKFIELDKITRGWAQDRSAQCSLFLAELYYDQALCSGSPLASSELSNSSYHLCKVIQSAALELPFRTSDGAAKSTNLDLNMESHMEEVCSSDKTEKNASNMSRNSVNSVNSVSSNILCDETSECDSSSNTNCVFWIRFFWLSGCLSLSSDCKEKAYKEFNIALSLMRSSNEAKINREFVLLPHNKLVKLLTADRILREINLVKLESLLWHNDENINKITHTEFMELLPPLLLSTKDVYVGSAYGPPRESEKVISLELGALDVLISACENAKPMNIQVYLDSHRRKMQVLTVAAGMVGSVTTNEGKKSSDIEFMETMNRNRLESVVEAVKDVSRNASKAKAFVDQCDNPVDLIVAVHDLLAEYGLCCAGRDGEGEEGTFLKFAIKHLMALDVKLKSQLNPNGMEEDAAENDRAEDVTTDEASVCDNKHNSEDEEESELDEIQSSIDSALDQAFFCLYGLKINPDSCSEDDLAVHKNTSRGDYQTKEQCADVFQYVLPYAKALSFGKKHQLQRIVPWSFFIHFLQKTGLVKLRRVLRAIRKHFPQPPYDLLVNNPLDNFLDGPDSCEKILSEIYETNGSKEAVLNVLFPGENGYEAFKKLSNASSEPYSEVYGNLYHYIAQVEDISASDKYTGFVLKKEGGEFVQQSANLFKYDLLYNPLRFESWQKLANLYDEEVDLLLNDGSKHISILDWRTNTTLIQRVEMGRRHSRRCLLMSLALAKTASDKAQMHEMLALVYYDSLQNVVPFYDQRATLPVKDSTWETFCRNSMKHFQKAFELKAEWLYAFYLGKLCEKLGHSPAEAFSYYNKAVVLNPTAVDPVYRMHASRMKLLYTQGKQNLDAIQVVADYTYKQSTKEDVLSMLQSINNVKNSPSDHNDKCVLDSTAENKFVDPDLLDKVWHILYDDCLCALGTCVEGELKHFHKARYKLAQGLYRRGEAGDLERAKEELSFCFKSTRSSFTVNMWEIDGSVRKGRRKNPNIGGSKKNLEVSLSESSRKFITCIRKYMILYLNLLEKNRDLWTLERAYTYLRTDKRFALCLGDIVPVGLGKYLQVLTSAIRNPEIRRVSGDASVENLLEKMFGVFMDHANLWADISTIPEVNSPELSESNLYSYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSNFAKICKHASLAWCRCILIKLASITPLPESMETTDQPAPLSSGLVLYIDLQPDELLISSPDGPAQFKGLDMNWFETFNRIKNIPIRQTSEDNMETAVTVMKSTYNFYRESSCGTFPSGINLYTVTPSQPPVEGLQQAPDAIENLDLSIPRKLLLWVYTLVHGRYSNISAVVKYCDEMKSRSKRGAPTSTATASQQTTVSPQVGSKEKSTHIDPSEAQEAAAPTPAPAAAIAPSQQEAGVAVASSPHEAQKTAAAAAASQLTRSSSSRAMESTGQDGGRGNDGTA >ONIVA03G31240.1 pep chromosome:AWHD00000000:3:26779862:26783369:-1 gene:ONIVA03G31240 transcript:ONIVA03G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT1G71840) TAIR;Acc:AT1G71840] MSISGEVPAGEGSDGEEVFINEEDIIHEIPIDEEDLPDRDDDEDDDGMDEIFAVACSPTDASLVASGGKDDRGFLWKIGSAEDVLELAGHTDTVCTVAFSSDGNLLASGSFDGRINVWNTATRTLQGTLEGSGSGFEWLKWHPRGHLIIAGSEDCNLWMWNADHNAILNTFAGHSSTVTCGDFTPDGKLICTGSDDASLRIWDPRTAQSRHVVRGHGYHTDGLTCLSVTSDSQTIVSGSKDNSVCVVNINSGQVVGSLDGHTGSIECVGISPSYNWVATGSMDQKLIIWDLGRQSIRCTCNHDEGVTSLAWLGPSRFVASGCIDGMVRIWDSLSGECVRAFAGHGDVVQSLAVSADGNSIVSVSTDGSALIFDISMFK >ONIVA03G31230.1 pep chromosome:AWHD00000000:3:26775874:26778961:-1 gene:ONIVA03G31230 transcript:ONIVA03G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSARSRPAPRAAPVRNPPQPARQAPPPAPAQNGGGSILGGIGSTIAQGMAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAASATPMANATPSDSCSIHSKAFQDCINNYGSDISKCQFYLDMLNECRRGGASA >ONIVA03G31220.1 pep chromosome:AWHD00000000:3:26772375:26772729:1 gene:ONIVA03G31220 transcript:ONIVA03G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGGTGEKRGRERKREGGGKKRVGVAIASGGDASGTWPANLLSELVGSRDHPNSVRAIVWSD >ONIVA03G31210.1 pep chromosome:AWHD00000000:3:26766443:26770591:1 gene:ONIVA03G31210 transcript:ONIVA03G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFSPIKLPVHMPIIEFHQDEVWELPPQAEVLARSDMTGVEMFRLGDRAMGVQGHPEYSKDILMSIADRLLRNDLILDHQVDKAKASFDLRQPDKDLWKKVCRGFLKGRLQSSQQPQQQQHQKQQKAAQLVL >ONIVA03G31210.2 pep chromosome:AWHD00000000:3:26766142:26770591:1 gene:ONIVA03G31210 transcript:ONIVA03G31210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPLENAVVDAAAEAVAAKAAVGGVGVGGSYAVLQCGEDSEYVRKAYGGYFEVFRALLAEDGERWRVYRAVRGELPGEEEAAGIDGFVISGSCSDAHADDPWIVALVDLIRRQNAAGKRILGVCFGHQVLCRALGGKTGRSKKGWDIGVNCIHPTAAMARLFSPIKLPVHMPIIEFHQDEVWELPPQAEVLARSDMTGVEMFRLGDRAMGVQGHPEYSKDILMSIADRLLRNDLILDHQVDKAKASFDLRQPDKDLWKKVCRGFLKGRLQSSQQPQQQQHQKQQKAAQLVL >ONIVA03G31210.3 pep chromosome:AWHD00000000:3:26768592:26770591:1 gene:ONIVA03G31210 transcript:ONIVA03G31210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAQEQGVITLLQVLCRALGGKTGRSKKGWDIGVNCIHPTAAMARLFSPIKLPVHMPIIEFHQDEVWELPPQAEVLARSDMTGVEMFRLGDRAMGVQGHPEYSKDILMSIADRLLRNDLILDHQVDKAKASFDLRQPDKDLWKKVCRGFLKGRLQSSQQPQQQQHQKQQKAAQLVL >ONIVA03G31210.4 pep chromosome:AWHD00000000:3:26766142:26770591:1 gene:ONIVA03G31210 transcript:ONIVA03G31210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPLENAVVDAAAEAVAAKAAVGGVGVGGSYAVLQCGEDSEYVRKAYGGYFEVFRALLAEDGERWRVYRAVRGELPGEEEAAGIDGFVISGSCSDAHADDPWIVALVDLIRRQNAAGKRILGVCFGHQVLCRALGGKTGRSKKGWDIGVNCIHPTAAMARLFSPIKLPVHMPIIEFHQDEVHFFAVSFSRFALIKASEMVNDLT >ONIVA03G31210.5 pep chromosome:AWHD00000000:3:26766577:26767604:1 gene:ONIVA03G31210 transcript:ONIVA03G31210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPLENAVVDAAAEAVAAKAAVGGVGVGGSYAVLQCGEDSEYVRKAYGGYFEVFRALLAEDGERWRVYRAVRGELPGEEEAAGIDGFVISGSCSDAHADDPWIVALVDLIRRQNAAGKRILGVCFGHQVPPPSSSSFAMDTKGVQV >ONIVA03G31200.1 pep chromosome:AWHD00000000:3:26745419:26747531:1 gene:ONIVA03G31200 transcript:ONIVA03G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase (SpoU) family protein [Source:Projected from Arabidopsis thaliana (AT4G38020) TAIR;Acc:AT4G38020] MLLARAPPPPAMPSAVSSPPQRESILRPYCVPWTRGSVATAAVAKAAPFRGEQNHKQVASVANPLVKHCVRLRLSSVYRRSCRRILLVGLIPILEMCSFEFSTIETLLLLDGIELPEELYGFSGNVVYVSAAVMKKVSGMQSVDSTEAIAIIHMPKYFRDLDSDQGGAVLDEWLGSAKRILVLDGIQDPGNLGTLIRSACAFRWDGVFLLPACCDPFNEKALRAARGASLQLPVVSGNWSDLHALMAKPDMKMLAGHPESSSNGSERTHVLSKELADSLMSESVCLVLGSEGNGLSAETLQACELVNIPMQGTFESLNVSVAGGIFLFMLQTKQQKMAER >ONIVA03G31190.1 pep chromosome:AWHD00000000:3:26740832:26744257:1 gene:ONIVA03G31190 transcript:ONIVA03G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLTQPRASPRPKPTNCLLARWSSHTAPAAARVRPSSLPLIRSAPQPRPDSPLVISPFRSLAPLPTGHHRARDKQSSCSGCQAIAMAPCPAATTTRIGAPPFAATTARRPARGATTTTKARASGLRQVEGPVSERAYSSSSPAPTHKVTVHDRQRGVVHEFVVPQDQYILHTAEAQDITLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELKDKVYWLQFGRYFARGPVERDDYALELAMGYTVVQFCVEPT >ONIVA03G31180.1 pep chromosome:AWHD00000000:3:26730541:26733994:-1 gene:ONIVA03G31180 transcript:ONIVA03G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQRPGMLGIGCSNVLARARVQQCLAAASHSNMPCLPVLLHVNGSKKQGSSFLSSQRIGLSRRRRRRDLSSVVVAEASAAAGVTPASSSSPGGISVSDVLWPSAGAFLAMAVLGRMDQMMAYKGVSLTIAPLGAVCAVLFTAPGSPAAKKYNMFVAQIGCAAFGVLALSLFGPGWLARGAALSASIAFMTITGASHPPARGGDLPEEEFQVLIQARVPILNSDPNRND >ONIVA03G31170.1 pep chromosome:AWHD00000000:3:26728425:26735073:1 gene:ONIVA03G31170 transcript:ONIVA03G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MASSPRPAATAAAHRRGLIQRPPSAQAYLSAAAALLVLAAWGISKADGAAWPVANPVVTCAEVEDAGFPSSFVAKPFLFLQGDAIYMFFETKNPITSQGDIAAAVSEDAGVTWQQLGVVLDEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGAKRNREISIWYNNSPLSPWIPHKQNLIHNTGKMLSTRNGGRPFIYNGNLYRVGKGQGGGSGHGIQVFKVEILKSNEYKEVEVPFVINKQLKGRNAWNGARSHHLDVQQLPSGKLWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLILVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSLISNLNKLGSLICGRINYRTCKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKHSQFTLLTMTYDARLWNLKMFVEHYSNCASVRDIVVVWNKGQPPAQGELKSVVPVRIRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGGYNMVLTGAAFMDHGLAFKKYWSKEAEVGRQIVDSFFNCEDILLNFLFANASLTSTAEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEIYGNLTAKRFFNSRGEPGAVKSTAQTAPRGAIVNDTPLYAII >ONIVA03G31170.10 pep chromosome:AWHD00000000:3:26734127:26735073:1 gene:ONIVA03G31170 transcript:ONIVA03G31170.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MASWVDVRGREGGLALQRRGESQMSYAGWITGERHGSVAAPWQLLAHRYLATADGTSCFHMLFMWSVVTGYTGGMNPSEI >ONIVA03G31170.2 pep chromosome:AWHD00000000:3:26728425:26735155:1 gene:ONIVA03G31170 transcript:ONIVA03G31170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MASSPRPAATAAAHRRGLIQRPPSAQAYLSAAAALLVLAAWGISKADGAAWPVANPVVTCAEVEDAGFPSSFVAKPFLFLQGDAIYMFFETKNPITSQGDIAAAVSEDAGVTWQQLGVVLDEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGAKRNREISIWYNNSPLSPWIPHKQNLIHNTGKMLSTRNGGRPFIYNGNLYRVGKGQGGGSGHGIQVFKVEILKSNEYKEVEVPFVINKQLKGRNAWNGARSHHLDVQQLPSGKLWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLILVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSLISNLNKLGSLICGRINYRTCKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKHSQFTLLTMTYDARLWNLKMFVEHYSNCASVRDIVVVWNKGQPPAQGELKSVVPVRIRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGGYNMVLTGAAFMDHGLAFKKYWSKEAEVGRQIVDSFFNCEDILLNFLFANASLTSTAEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEIYGNLTAKRFFNSRETQPTKADEEDKFQVSDSPAEGQRTSLTLMPPGDDDDAGVTPAAALASATTTLLRRTGRHGILEWDAAARHCCTLARAKTLLQPMPSIPGRCCNAILSLSLSFLLSPQEAQYGYLNGQDKAVKRR >ONIVA03G31170.3 pep chromosome:AWHD00000000:3:26728425:26735073:1 gene:ONIVA03G31170 transcript:ONIVA03G31170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MASSPRPAATAAAHRRGLIQRPPSAQAYLSAAAALLVLAAWGISKADGAAWPVANPVVTCAEVEDAGFPSSFVAKPFLFLQGDAIYMFFETKNPITSQGDIAAAVSEDAGVTWQQLGVVLDEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGAKRNREISIWYNNSPLSPWIPHKQNLIHNTGKMLSTRNGGRPFIYNGNLYRVGKGQGGGSGHGIQVFKVEILKSNEYKEVEVPFVINKQLKGRNAWNGARSHHLDVQQLPSGKLWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLILVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSLISNLNKLGSLICGRINYRTCKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKHSQFTLLTMTYDARLWNLKMFVEHYSNCASVRDIVVVWNKGQPPAQGELKSVVPVRIRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGGYNMVLTGAAFMDHGLAFKKYWSKEAEVGRQIVDSFFNCEDILLNFLFANASLTSTAEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEIYGNLTAKRFFNSRETQPTKADEEDKFQVSDSPAEGQRTSLTLMPPGDDDDAGVTPAAALASATTTLLRSYAGWITGERHGSVAAPWQLLAHRYLATADGTSCFHMLFMWSVVTGYTGGMNPSEI >ONIVA03G31170.4 pep chromosome:AWHD00000000:3:26728425:26735073:1 gene:ONIVA03G31170 transcript:ONIVA03G31170.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MASSPRPAATAAAHRRGLIQRPPSAQAYLSAAAALLVLAAWGISKADGAAWPVANPVVTCAEVEDAGFPSSFVAKPFLFLQGDAIYMFFETKNPITSQGDIAAAVSEDAGVTWQQLGVVLDEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGAKRNREISIWYNNSPLSPWIPHKQNLIHNTGKMLSTRNGGRPFIYNGNLYRVGKGQGGGSGHGIQVFKVEILKSNEYKEVEVPFVINKQLKGRNAWNGARSHHLDVQQLPSGKLWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLILVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSLISNLNKLGSLICGRINYRTCKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKHSQFTLLTMTYDARLWNLKMFVEHYSNCASVRDIVVVWNKGQPPAQGELKSVVPVRIRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGGYNMVLTGAAFMDHGLAFKKYWSKEAEVGRQIVDSFFNCEDILLNFLFANASLTSTAEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEIYGNLTAKRFFNSRETQPTKADEEDKFQVSDSPAEGQRTSLTLMPPGDDDDAGVTPAAALASATTTLLRSYAGWITGERHGSVAAPWQLLAHRYLATADGTSCFHMLFMWSVVTGYTGGMNPSEI >ONIVA03G31170.5 pep chromosome:AWHD00000000:3:26728425:26733824:1 gene:ONIVA03G31170 transcript:ONIVA03G31170.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MASSPRPAATAAAHRRGLIQRPPSAQAYLSAAAALLVLAAWGISKADGAAWPVANPVVTCAEVEDAGFPSSFVAKPFLFLQGDAIYMFFETKNPITSQGDIAAAVSEDAGVTWQQLGVVLDEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGAKRNREISIWYNNSPLSPWIPHKQNLIHNTGKMLSTRNGGRPFIYNGNLYRVGKGQGGGSGHGIQVFKVEILKSNEYKEVEVPFVINKQLKGRNAWNGARSHHLDVQQLPSGKLWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLILVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSLISNLNKLGSLICGRINYRTCKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKHSQFTLLTMTYDARLWNLKMFVEHYSNCASVRDIVVVWNKGQPPAQGELKSVVPVRIRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGGYNMVLTGAAFMDHGLAFKKYWSKEAEVGRQIVDSFFNCEDILLNFLFANASLTSTAEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEIYGNLTAKRFFNSRETQPTKADEEDKFQVSDSPAEGQRTSLTLMPPGDDDDAGVTPAAALASATTTLLRSLLLLLLLKPIL >ONIVA03G31170.6 pep chromosome:AWHD00000000:3:26728425:26732173:1 gene:ONIVA03G31170 transcript:ONIVA03G31170.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MASSPRPAATAAAHRRGLIQRPPSAQAYLSAAAALLVLAAWGISKADGAAWPVANPVVTCAEVEDAGFPSSFVAKPFLFLQGDAIYMFFETKNPITSQGDIAAAVSEDAGVTWQQLGVVLDEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGAKRNREISIWYNNSPLSPWIPHKQNLIHNTGKMLSTRNGGRPFIYNGNLYRVGKGQGGGSGHGIQVFKVEILKSNEYKEVEVPFVINKQLKGRNAWNGARSHHLDVQQLPSGKLWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLILVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSLISNLNKLGSLICGRINYRTCKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKHSQFTLLTMTYDARLWNLKMFVEHYSNCASVRDIVVVWNKGQPPAQGELKSVVPVRIRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGGYNMVLTGAAFMDHGLAFKKYWSKEAELDKHSGVCKASLGN >ONIVA03G31170.7 pep chromosome:AWHD00000000:3:26732823:26735073:1 gene:ONIVA03G31170 transcript:ONIVA03G31170.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MPPGDDDDAGVTPAAALASATTTLLRRTGRHGILEWDAAARHCCTLARAKTLLQPMPSIPGRCCNAILSLSLSFLLSPQEAQYGYLNGQDKAVKRR >ONIVA03G31170.8 pep chromosome:AWHD00000000:3:26730991:26735073:1 gene:ONIVA03G31170 transcript:ONIVA03G31170.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MPPGDDDDAGVTPAAALASATTTLLRSYAGWITGERHGSVAAPWQLLAHRYLATADGTSCFHMLFMWSVVTGYTGGMNPSEI >ONIVA03G31170.9 pep chromosome:AWHD00000000:3:26732823:26735155:1 gene:ONIVA03G31170 transcript:ONIVA03G31170.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MPPGDDDDAGVTPAAALASATTTLLRRTGRHGILEWDAAARHCCTLARAKTLLQPMPSIPGRCCNAILSLSLSFLLSPQEAQYGYLNGQDKAVKRR >ONIVA03G31160.1 pep chromosome:AWHD00000000:3:26718848:26728346:1 gene:ONIVA03G31160 transcript:ONIVA03G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium (Mg) transporter 10 [Source:Projected from Arabidopsis thaliana (AT5G22830) TAIR;Acc:AT5G22830] MASVSSSPSYSSQAAVLLLLHQPPHQHGHGGACLRYRGSQSQGRGNAVATSLGLSAAGRGGAGGLLLLPPLPALRAAEGKDGRAVTKDEEEEAAAAAVEEEGEVEVRREEDKPGDDGSREAAARGSGSGRFSADYISLGIREPVYEVIEVKSNGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILVNLGSLRAIAMHERVLIFNYNSPGGKAFLDSLLPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLERRLMRIEPRVGALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDKLSDNMECSVPLEKQIAEEEEEEIEMLLENYLQRCESIHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAIGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFIMYSYLKTRKILCPRPVGDQFAVRWEARAPLAFANAARHVDTYY >ONIVA03G31160.2 pep chromosome:AWHD00000000:3:26718848:26725813:1 gene:ONIVA03G31160 transcript:ONIVA03G31160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium (Mg) transporter 10 [Source:Projected from Arabidopsis thaliana (AT5G22830) TAIR;Acc:AT5G22830] MASVSSSPSYSSQAAVLLLLHQPPHQHGHGGACLRYRGSQSQGRGNAVATSLGLSAAGRGGAGGLLLLPPLPALRAAEGKDGRAVTKDEEEEAAAAAVEEEGEVEVRREEDKPGDDGSREAAARGSGSGRFSADYISLGIREPVYEVIEVKSNGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILVNLGSLRAIAMHERVLIFNYNSPGGKAFLDSLLPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLERRLMRIEPRVGALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDKLSDNMECSVPLEKQIAEEEEEEIEMLLENYLQRCESIHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAIGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFIMYSYLKTRKIL >ONIVA03G31150.1 pep chromosome:AWHD00000000:3:26714112:26717371:1 gene:ONIVA03G31150 transcript:ONIVA03G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGAQPVGGAAAAAAAPKRNNMDYTLAALKLFGCQLAGATEAPPSESDGTSQAQMLYGIRFQRVWLQGVVVLADYRDGAGHILVDDGSCVAEITLTPKEAEGQPWREGMYVMVLGSYSGKESLPRANRPVIKVHKLVDLSAQPDRESMWYMEVVEAFNFFYLQFSAASPLMKR >ONIVA03G31140.1 pep chromosome:AWHD00000000:3:26707743:26713413:-1 gene:ONIVA03G31140 transcript:ONIVA03G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRPSVIYQKARPGYKPEHFLLASGVERTAPASAHEAGKKPQTRVADAVAGTLAFASPTTKPPPMAPGSSSTSSPGGKAAAVGGEAGKEGGAVPSPAAAASDHAPVAPDGSPGGGALPAGGEAGKEDEGVASTGSRTPLAPGSSSGEGALGECGEARNDDEAPAPAPRLIASPTAAAAAAATTDYPHEGGEAEQEGGNASSPCEEQEEGDDDDEEEEAPTHLPFAPSSESELPDDKSTVDPSFTISLIRKLVPQGPDVDKELSVKQGRTEEKDASSDVGEPKQPHDKDLWDNEGCKLWDLSVIEPQAELMVNNLVLEVLLANLHVRQFLRAKEICIGIIGNLACHKSLANAITSHNGLIATVVDQLFLDDPGCLTETFRLLSTIFQSNASMSWAEALLPDEILSRIMWIIGNTVHATLLQKILEFLSALVDDQDVITILIEPLIKVGLVDCAIGLLLNELEKSMDGNNLDRSDSLDSILRLIEELSAIDNCSKVMSSNDQLIKALSNIVKLPDKFEVEGYCASVVIIIANVLSDGEHLTPILSHDLPLLEGLFDILPLISDDNEARNAFWCILTRLLQQVEGETITNSSKLEQFVSIFLAKFTLMKDDIERHGIQTEADSSVEGVSLKNGLRTSLKAICSITERWIADKSSLGKEDASLTENTIENAKELLTFCRRAMGIADL >ONIVA03G31130.1 pep chromosome:AWHD00000000:3:26700996:26704889:-1 gene:ONIVA03G31130 transcript:ONIVA03G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAAAAAAAAQDADAVMRDAPADAAAGGGDNDDDDGDDGTEEDEEEDDDEEGDEEELPPAEDPAAPEPVSALLPGSPNQLTLLFQGEVYVFESVTPEKVQAVLLLLGSCEMPPGLANMVLPNQRENRGYDDLLQRTDIPAKRVASLIRFREKRKERNFDKKIRYAVRKEVALRMQRRKGQFAGRANMEGESLSPGCELASQGSGQDFLSRESKCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWSNKGTLRNCPKAKVESSVVATEQSNAAVSPSGIDNKELVVPNPENITASHGEVMGDSTPANEAEIGAPKAQSQ >ONIVA03G31120.1 pep chromosome:AWHD00000000:3:26688052:26699339:-1 gene:ONIVA03G31120 transcript:ONIVA03G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligase 7 [Source:Projected from Arabidopsis thaliana (AT3G53090) TAIR;Acc:AT3G53090] MSVPPASHRQVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAALSIQRVWRRYSVIRIVSEQLHEEWEALINQPDINLTKQWISSMMLRPFLFFVTQPSSWYKGQQDKTLNSISACFKIILNSINSMDASKNLCSFAVGMPEERCNHSCCKDGNMVQITDTAMRLAVSLTDCKTWKKITSEDTRAADASVESLIEFIGASQSGTYSCLRRYIVNLGSHALEKKISSISTDDQFLITASAVTIALRPFHSMRAGRGADLNGASKEYFTLILTIPDLCKRLPPLLLPAIKHISILQPSLDILLISKDKIFEEITKLEKSGVSSGGSGTIPYCGWALGNLVTLATEHDDLSNSGCFVQGLDCCLYVDAINCVSQSLLKFFEENKEMLLSFGDSVDTSFIKENDTSDSCSRTLFMDLLKPIYQQWHLRKLLVLAKEDAVCKRQNNHDPDTQTHSRSLKLLDIVCFYYHMLRIFSLLSPSIGSLPILNMLSFTPGFLVDLWGALEIYIFGQAVHKLQGPKHERESATSSSGEHVSSMRQRRNFKDTSNKWSNVFQKITGKSNDAEDTNLVDNPLNSEQNGEALILWDIEAMRQGSECIGKDLMQMLYLFCATYGHLLLVLDDIEFYEKQVPFTLEQQRKIASSLNTFVYSTFIQNGGSSSKPLIDVTVRCLNLLYERDSRHKFCPISLWLAPARNGRIPIAAAARAHDAAFATLPGNQFFGIPIRSSVLTTIPHVYPFEERVQMFREFIELDKASRRVTGEVSGPGPGSIEIVIRRGHIVEDGYRQLNCLGSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKAAFSPEYGLFSQASASDSSLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYCFSPKLLGRYSFLDELSTLDSELYRSLMQLKHYEGDVEDLCLDFTLTEELGGRRIVHELRPGGKNISVTNENKLHYVHAIADYKLNRQILPFANAFYRGLGDLISPSWLSLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRSVKLFWEVIKGFKPTERCMLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWATIGGQDVDRLPSASTCYNTLKESGFQNSELVSIESYLS >ONIVA03G31110.1 pep chromosome:AWHD00000000:3:26682437:26686830:1 gene:ONIVA03G31110 transcript:ONIVA03G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMRAAAAVLVSLLLVAAAAGQQAALVPGVMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPETHGAPTGRFCNGKLATDYTVDNLGLTSYPPPYLGQLAQSDNRSLLHGANFASGASGYLDTTASLYGAISLSRQLGYFKEYKTKVEAVAGGKKAAALTSESIYVVSAGTSDFVQNYYVNPMLAATYTPDQFSDVLMQPFTTFIEGLYGQGARRIGVTSLPPMGCLPASVTLFSGGSGGGCVERLNNDSRTFNAKLEAASDSIRKQHSDLKLVSRRACCGTGTIETSVLCNQGAVGTCANATGYVFWDGFHPTDAANKVLADALLLQGLQLIS >ONIVA03G31100.1 pep chromosome:AWHD00000000:3:26668183:26681745:1 gene:ONIVA03G31100 transcript:ONIVA03G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT5G06970) TAIR;Acc:AT5G06970] MMRFFNWFYFFVSLVALLTITVLVYVQDNVGRQWGYDICAVGILAGLVVFLSGTRKYRFKKLVWRPLTQVAAVTAAAWSKRSLPRRCRPRGLATSCASTACAHGSPAAAVFSSPPLTTTTRKASRAHHRQLPPRPTPQAPRRVTSGIVVVGSRRRSPSPRARRRAMLAESDLGENVVELLQRYRRDRHVLLNYMLSGNLIKKVVMPPGAISLDDVDIDQVSVDYVLNCAKKGEALDLGDAIRLFHDSLDYPYVNNSGTVEEFFLLTKPEYSGPAPAREPPPVPAIAPSPVVIPAPIVDPPPVAVHSPVSTTNLSKSQSFDSPTEKELTIDDIEDFEDEEDEFDSRRASRRHQSDANDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRNKLMRKLGRSKSESTQSQTQRQPGLVGLLETMRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLQPSAAEVQRTECLRSLREVATSLSERPARGDLTGEVCHWADGYHLNVALYEKMLGSEQGLLKVVIEHLRKIPLKEQRGPQERLHLKSLRSSVDAEDSFQDFTFFQSFLSPVQKWVDKKLNDYHLHFSEGPSMMADIVTVAMLIRRILGEENNKGMESPDRDQIDRYITSSVKSAFVKMAHSVEAKADTSHEHVLASLAEETKKLLKKDTTVFSSVLSKWHPQSAVVSASLLHKLYGSKLKPFLEHAEHLTEDVVSVFPAADALEQYIMSVMASVVGDDGLDSICRQKLAPYQIESKSGTLILRWVNGQLERIETWVKRAAEQETWDPISPQQRHGASIVEVYRIIEETADQFFAFKVPMRTGELNSLCRGFDKAFQVYTQLVTGPIVDREDLIPPVPVLTRYKKELGIKAFVKKEIHEVRTVDERKASEIIQLTMPKLCVRLNSLYYGISQLSKLEDSINERWARRKSESINIRRSMSEKSKSAVSSQKNQFDGSRKEINAAIDRICEFTGLKVIFWDLQQPFIDNLYKNNVSQARLDAIMEVLDTVLNQLCNVIVEQLRDRVVTGLLQASLDGLLRVILDGGPTRVFSPSDATLLEEDLEILKEFFISGGDGLPRGTVENLVSRVRPVIDLIKQETRVLIDDLREVTQGAKSKFGTDSKTLLRVLCHRNDSEASHYVKKQFKIPSSAPAT >ONIVA03G31090.1 pep chromosome:AWHD00000000:3:26665105:26666346:-1 gene:ONIVA03G31090 transcript:ONIVA03G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVPIPRVDLQLEHDGDCHGRGYCTEKALASRHCVQLSDGKFRCVDMGSASDGVTTKVSMHTQIDPGTKVWTLEYAVSFADIWASESYKATGMSEKAPVLVLVHPKNPNMVYFFVEVTSLRTTDFYGLYADTEKGR >ONIVA03G31080.1 pep chromosome:AWHD00000000:3:26663419:26664180:1 gene:ONIVA03G31080 transcript:ONIVA03G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GRX8] MAALFFVLLIPLILLAGGGQVAVESAATSRGKLIMVDLLEYGSGAGTLAMRVDTIHAAGFANRSGHWHALRGNGHLFDALGLAAARLPFGNTYADLVGGVANLRGLPISMPFTNRAATVLSGYDPATAAAGGDGEAALKRALATLTVAIGEAQRLRPVMDTLLFGGLGARVADEHLPYIEHWDAMWEELTRWRRSGGGAWGGPFTGVLRERANIGSAEDALAVIGVAFRDHLLRGATMPDLSPRSMGYSDGDL >ONIVA03G31070.1 pep chromosome:AWHD00000000:3:26660951:26661733:-1 gene:ONIVA03G31070 transcript:ONIVA03G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GRX7] MATVLSVSLLLPLLLLLPLAGNLPAVVVGKPHIEGPPGPEMMMVDLRDYGSGVGTLAVRMDGLSVAGFANRSGHWHALRGNEHLFRVAAATPLPFGSSYGDLVGGVKNLPDLPLEEDPATVVISAYDPAAAADDDDDEVELKRALATLTVVICETQRLRPVMDTVLATGGRRRGAARVAAEHLPYIEHWDAMWDELKRWRRTAEWGGGPFAGELRERAKIGSAKEALAVIGWTFRHILLRRDGSMPERRTEDVPRYGTFV >ONIVA03G31060.1 pep chromosome:AWHD00000000:3:26652748:26657602:-1 gene:ONIVA03G31060 transcript:ONIVA03G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLAELMKAMTAIQTQNTSLQSSMSSLVEIKPIVVDLTGWKPSVDKAVGDLREEMGALRNQVQQLARNPVFSVKPEDLPPLLPTSAGTRQEEMKVEGEPTTVGDAGAGPSGHRESTLFQGKAVGEVPSPLSLPGKGVSGKR >ONIVA03G31050.1 pep chromosome:AWHD00000000:3:26649504:26650892:1 gene:ONIVA03G31050 transcript:ONIVA03G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVESSRIVKPLYDAAAPAPEWMPLSVFDTATYDESIAIIYAFRPPNPPSAAMELGLARTLAVYREWAGRLGVGPDGRRSVLLSDAGARLDEAAVDAPLAAAAPFIISRRPSPEVKRLHPSVDGAPAEEELLRVQVTRFSCGSMVLGVAAHHRVADGQATAGFLVAWGLATRRGGLLPAVGVPVRDRATRFVPRDPPLVEFPHRETEYKAPPPPAKIKSGVAGEDDDDDELGAAPAHDKIKMHKVHYTKDFVARLKSRASSGLPPSRRGRGYTTFESLVAHLWRAVTAARGLGAAATTTRVRIAVNGRARMRPPVPRDYFGNLVLWAFPRCDAGELVARPSHHAAELIHRAVAGIDDAYFRSFVDFASSGAVEAEGLVPTADAGEVVVCPDMEVDSWLGMSFYDLDFGGGCPLYFMPSYLAMEGTIFLVPSFLGDGSIDVYVPLFENHLEEFKKICYNIA >ONIVA03G31040.1 pep chromosome:AWHD00000000:3:26633702:26637649:-1 gene:ONIVA03G31040 transcript:ONIVA03G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRSEGSSSSSARQPYGSPIPYRVGPFEYEPAVLCRCELKAARWISWSVDNPGRRYFKCRNARLTCAPLFNNADELLLLRGHALRRATLKAALAVALSASNGGAAPP >ONIVA03G31030.1 pep chromosome:AWHD00000000:3:26597257:26618245:-1 gene:ONIVA03G31030 transcript:ONIVA03G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGGVGGRRGGPGGASSVRGGERGRKRGRGALDAVEPRVPLPRGTGSGPGAGRDGAAAPVPALQPAEADVLSGEVETEMAAGMEAREGASSSSSASAPAVGEGEPPSRAVGALPPTSSKAVVLQARPGFGTVGTSCRVRANHFVVQLADKEIYHYDVAIAPKLRSRERNRNIINELFRSHKKYLDGRRSPAYDGRKGMFTAGELPFKNREFVVKIANDPERGNQGEKEFKVTIKCAAKLDMYSLKQFLAGRQRELPQDTIQALDIALRECPSSRYTSISRSFFSQAFGHKDIGCGVEWWRGYYQSLRPSQMGLSLNIGIGNIPIGLGGAEALGGGGDLGGRARSSHISEEPATTDAFELGDGENKSCYSYLVTMDLPEVTHAKCSTVGSEVKCGIDQAGITFQTMSNVSKDVPAGSDSRPTYLPMEVCRIVKGQRYSRKLNECQVTRMLRLARETPEERENSILEIANENNYGNDYHAKEFGIGVTNQLALVDARVLPAPMLKYHDSGQEKVCNPSIGQWNMNNKRMLNSGSINYWACLTFASCVRLAEVRTFCKELQITGEPCVHIRQACQDHLDTAVRDIHRQSAEFISQKGVIGQQLELMVGGRNTVLEDALNRRIPLLTDMPTMIFGADVTHPPAGEDSSPSIAAVVASMDWPEVSKYKCSVSSQSHREEIIADLFTEVKDSQNRLVYGGMIRELIESFRKANGSCKPGRIIFYRDGVSEGQFSQVLLSEMDAIRKACASIEEGYLPPVTFVVVQKRHHTRLFPEDHHARDQMDRSRNILPGTVVDTKICHPSEFDFYLCSHSGIQGTSHPTHYHVLFDENNFSADALQTLTYHLCYTARHYLEEGSLPDHGSSSASAAGGSRRNDRGVPVKPLPEIKENAAAVGEAAVVGGKEAEVEVAGGVARVGAISASSASGKAVVEGRVSAEAVTTRRAAVAASLWAPGLGGSSSSHSTPRPRHLAAADEAACRCSPMRRRVDP >ONIVA03G31030.2 pep chromosome:AWHD00000000:3:26597257:26618245:-1 gene:ONIVA03G31030 transcript:ONIVA03G31030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGGVGGRRGGPGGASSVRGGERGRKRGRGALDAVEPRVPLPRGTGSGPGAGRDGAAAPVPALQPAEADVLSGEVETEMAAGMEAREGASSSSSASAPAVGEGEPPSRAVGALPPTSSKAVVLQARPGFGTVGTSCRVRANHFVVQLADKEIYHYDVAIAPKLRSRERNRNIINELFRSHKKYLDGRRSPAYDGRKGMFTAGELPFKNREFVVKIANDPERGNQGEKEFKVTIKCAAKLDMYSLKQFLAGRQRELPQDTIQALDIALRECPSSRFDQDGTRAGSDSRPTYLPMEVCRIVKGQRYSRKLNECQVTRMLRLARETPEERENSILEIANENNYGNDYHAKEFGIGVTNQLALVDARVLPAPMLKYHDSGQEKVCNPSIGQWNMNNKRMLNSGSINYWACLTFASCVRLAEVRTFCKELVRVCNSIGMQITGEPCVHIRQACQDHLDTAVRDIHRQSAEFISQKGVIGQQLELMVGGRNTVLEDALNRRIPLLTDMPTMIFGADVTHPPAGEDSSPSIAAVVASMDWPEVSKYKCSVSSQSHREEIIADLFTEVKDSQNRLVYGGMIRELIESFRKANGSCKPGRIIFYRDGVSEGQFSQVLLSEMDAIRKACASIEEGYLPPVTFVVVQKRHHTRLFPEDHHARDQMDRSRNILPGTVVDTKICHPSEFDFYLCSHSGIQGTSHPTHYHVLFDENNFSADALQTLTYHLCYTARHYLEEGSLPDHGSSSASAAGGSRRNDRGVPVKPLPEIKENAAAVGEAAVVGGKEAEVEVAGGVARVGAISASSASGKAVVEGRVSAEAVTTRRAAVAASLWAPGLGGSSSSHSTPRPRHLAAADEAACRCSPMRRRVDP >ONIVA03G31020.1 pep chromosome:AWHD00000000:3:26589625:26597185:-1 gene:ONIVA03G31020 transcript:ONIVA03G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKMVVSGGAPPAGQGSSLAAAQGTDNVKREPSQVAAPAPAPPPATLPPSSSKAVTFPARPDVGTIGRRCRVRANHFLVQVADKDIYHYDVVITPESTSRERNRSIINKLVALHKQFLDGRLPVYDGRKSIYTAGPLPFKTKDFVVKHINPLRGNQREEEYKVTIKQASKTDLYSLKQFLVGRQRELPQDTIQALDIALRECPTSKYVSISRSFFSQSFGHGGEIGSGTECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVMDFAVQYLNIRDVSRRLSDQDRIKLKKALKGVQIVATHWKEKSIRYKITGIPSAPMNELMFDLDGNRISVVQYFKKQYNYSLKHVNWPCLQAGSDSRPKYLPMEVCSILEGQRYSKKLNEHQVTNILRMTCERPAQRESSIIEIVNTNSYGNDDCAKEFGIKVANQLAVVDARVLPTPRLKYHDSGREKVCNPSVGQWNMINKRMVNGECINHWTCLSFASRVHVNDIRMFCEDLVGMCNNIGMQMNTRPCVDIIQGQQRNIEGAIRNIHRQSSEKLDQQGLTGQQLQLLIVILPEISGSYGRIKRICETEVGVITQCCAPKSLQKGGKQYLENLALKMNVKVGGRNTVLEDALHKKIPILTDRPTIVFGADVTHPSPGEDASPSIAAVVASMDWPEVTKYKCLVSTQSHREEIISNLYTEVKDPLKGIIRGGMIRELLRSFYQETGQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPPVTFVVVQKRHHTRLFPENRRDMMDRSGNILPGTVVDTMICHPSEFDFYLCSHSGIKGTSRPTHYHVLLDENGFKADTLQTLTYNLCYTYARCTRAVSIVPPAYYAHLGAFRARYYMEDEHSDQGSSSSVTTRTDRSTKPLPEIKENVKRFMFYC >ONIVA03G31020.2 pep chromosome:AWHD00000000:3:26589625:26597185:-1 gene:ONIVA03G31020 transcript:ONIVA03G31020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKMVVSGGAPPAGQGSSLAAAQGTDNVKREPSQVAAPAPAPPPATLPPSSSKAVTFPARPDVGTIGRRCRVRANHFLVQVADKDIYHYDVVITPESTSRERNRSIINKLVALHKQFLDGRLPVYDGRKSIYTAGPLPFKTKDFVVKHINPLRGNQREEEYKVTIKQASKTDLYSLKQFLVGRQRELPQDTIQALDIALRECPTSKYVSISRSFFSQSFGHGGEIGSGTECWRGYYQSLRPTQMGLSLNIDISATAFYKAQPVMDFAVQYLNIRDVSRRLSDQDRIKLKKALKGVQIVATHWKEKSIRYKITGIPSAPMNELMFDLDGNRISVVQYFKKQYNYSLKHVNWPCLQAGSDSRPKYLPMEVCSILEGQRYSKKLNEHQVTNILRMTCERPAQRESSIIEIKTFYLYSQIVNTNSYGNDDCAKEFGIKVANQLAVVDARVLPTPRLKYHDSGREKVCNPSVGQWNMINKRMVNGECINHWTCLSFASRVHVNDIRMFCEDLVGMCNNIGMQMNTRPCVDIIQGQQRNIEGAIRNIHRQSSEKLDQQGLTGQQLQLLIVILPEISGSYGRIKRICETEVGVITQCCAPKSLQKGGKQYLENLALKMNVKVGGRNTVLEDALHKKIPILTDRPTIVFGADVTHPSPGEDASPSIAAVVASMDWPEVTKYKCLVSTQSHREEIISNLYTEVKDPLKGIIRGGMIRELLRSFYQETGQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPPVTFVVVQKRHHTRLFPENRRDMMDRSGNILPGTVVDTMICHPSEFDFYLCSHSGIKGTSRPTHYHVLLDENGFKADTLQTLTYNLCYTYARCTRAVSIVPPAYYAHLGAFRARYYMEDEHSDQGSSSSVTTRTDRSTKPLPEIKENVKRFMFYC >ONIVA03G31010.1 pep chromosome:AWHD00000000:3:26580175:26581764:-1 gene:ONIVA03G31010 transcript:ONIVA03G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGVSGPGSGDGVAAAARFGAHVVRGRWFMFFASILIMAAAGGTYIFGIYSKAIKTSLGYDQQTLNTLSFFKDVGANVGVLPGLINEVTPPSVVLAAGAAMNLAGYLMIYLAVSGRTPRPPVWLMCLYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAIYGADDDGASLVLLMAWLPAAISLLFIPTIRIMPRDAAAAGADARRRRERKAFFYFLYASIVLAVYLLVMNVVELEVVGFPKPAYYVTATVLLLLIFFPLVIVVKQELNTYLQPPPPPTTTSSTVDEKKEHDGGGGEDDKPVACMQDVFRPPARGEDYTILQALFSVDMAVLFVATICGIGGTLSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGAVASPVGSYILNVRVTGHLYDREAERQLAAAAGGAAARRGSRDLTCAGVRCFRVSFLIIAAVTLLGAAVSLLLAWRTRKFYRGDLYGKFREVAMAGGEEGGARQVKVDDEASGSSGGGGNGTTKV >ONIVA03G31000.1 pep chromosome:AWHD00000000:3:26578536:26578993:-1 gene:ONIVA03G31000 transcript:ONIVA03G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKWIDMMRVTFIGARKTQPETTTQFIRMWIEDNSLNLPEWSERPKCGCRDRYQANPRRYKFTFWINIVNPTYDSGRVTEAETQIEYM >ONIVA03G30990.1 pep chromosome:AWHD00000000:3:26575802:26576368:-1 gene:ONIVA03G30990 transcript:ONIVA03G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GRW7] MATRRWRAPSHLRDGRSGGKGGGGDGVGDERGGEEWLRGAGAAATAAGDLHAPVPAAHVGSLERRLTARSEILRTKCHFLDVRTSRRLKALRCREVSIDGSMLGLSQFDSLTKSKAGTTGSADTARIAEGLKSLCASMDLTVFFTFMVARRKEVDALRAELPDALKHCVDPARFTMVDKQAVRCPTVP >ONIVA03G30980.1 pep chromosome:AWHD00000000:3:26567816:26571629:-1 gene:ONIVA03G30980 transcript:ONIVA03G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEGRIFVGGLSFHTDERKLADAFRRFGKVVDAQVPYRIDRSSRSSVLRRMVGCRVVEIMLERHTQRHRGFGFVTFSDPEAVDSAIKEMHCQELDGRTISVNKAEPKMNTDDTRYESGGGRGEYRGGRGDGPPPGNCFECGRAGHWARDCPNPGGGRSARYSSSKFSAGGRGDRFSGSDRFGDRYMDDRYDGGYREPVDVRDRYGGGRDRYANDRYPSGGDRYVPDRYGGPDRYQPSSYGRERERSYERDGVRGNGGYDRSGPRGGGSYDRDGPRGGISGGYDRDGPRGGGVDRYGGGGPARYDGGSYRDRSGPYDRPSRGGRFDDRFQ >ONIVA03G30980.2 pep chromosome:AWHD00000000:3:26567816:26571629:-1 gene:ONIVA03G30980 transcript:ONIVA03G30980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEGRIFVGGLSFHTDERKLADAFRRFGKVVDAQIMLERHTQRHRGFGFVTFSDPEAVDSAIKEMHCQELDGRTISVNKAEPKMNTDDTRYESGGGRGEYRGGRGDGPPPGNCFECGRAGHWARDCPNPGGGRSARYSSSKFSAGGRGDRFSGSDRFGDRYMDDRYDGGYREPVDVRDRYGGGRDRYANDRYPSGGDRYVPDRYGGPDRYQPSSYGRERERSYERDGVRGNGGYDRSGPRGGGSYDRDGPRGGISGGYDRDGPRGGGVDRYGGGGPARYDGGSYRDRSGPYDRPSRGGRFDDRFQ >ONIVA03G30970.1 pep chromosome:AWHD00000000:3:26552939:26558802:1 gene:ONIVA03G30970 transcript:ONIVA03G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSVILATASYDHSIKFWEAKSGRCYRTLQHTESHINRLEITPDKRFLAAAGNPHIRLFDINSNSNHPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLEKTLVASSDTTARLWTMSTGEAIRVYTSHHKPVVCCALHDGAESAPS >ONIVA03G30970.2 pep chromosome:AWHD00000000:3:26553294:26558802:1 gene:ONIVA03G30970 transcript:ONIVA03G30970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRRFKMAQPSVILATASYDHSIKFWEAKSGRCYRTLQHTESHINRLEITPDKRFLAAAGNPHIRLFDINSNSNHPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLEKTLVASSDTTARLWTMSTGEAIRVYTSHHKPVVCCALHDGAESAPS >ONIVA03G30960.1 pep chromosome:AWHD00000000:3:26544234:26549277:-1 gene:ONIVA03G30960 transcript:ONIVA03G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKVLSKIACNRLQKELAEWQVNPPSGFKHKVTDNLQRWVIEVAGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLHPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDKLEL >ONIVA03G30960.2 pep chromosome:AWHD00000000:3:26544234:26549277:-1 gene:ONIVA03G30960 transcript:ONIVA03G30960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKVLSKIACNRLQKELAEWQVNPPSGFKHKVTDNLQRWVIEVAGAAGTLYAGETYQLQVDFPEHYPMEAPQQRPADNDRYVRNCRNGRSPKETRWWFHDDKLEL >ONIVA03G30950.1 pep chromosome:AWHD00000000:3:26521500:26543976:1 gene:ONIVA03G30950 transcript:ONIVA03G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFASRLLAWFLSRVLHASVGFRVAGFNCLRDVTIKFSKGSLESISIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSQGKKLSKPGKSRSTGKGKCGRCTCRGPKGYGRVPSGAVDIKELKVDTFKIAGPNHILGVKLHLVPLNVHYGDLGLTADPLGNCNQLDAFQSDQISLANSEKVVAPFVCEDLFVTCDFGHEKERGVKIVNLEVKCGVITANIDERLFHKKHTKPEGFSGSENGGDASLDASAMNQTSKSKSILPSLKKQILAFPDKISFSVPKLDVKFTHLGEGLSVDNNIMGIHFTSAKTVPQDDLEEATPHFDVQIDLSEIHLVREGSSSLLEVLKVAAGASLDIPVDPFLPIRAEIDAKLGGTQCNLMLSRLMPWMRLHYLKSKGMKISKENSHRGISQTKEIKLIMWTCTVSAPEMSVMLYNLNGLVLYHICSQSSHLYANNIASKGIQIHTELGELQVHMQDEYKEFLKGNVFGVDTYSGSLMHIARVSLDWGYRGPEIEDMVETSRLTLVFSIDISGICVKFGFKHLESVVLNLMTFRTLFKSLASSRGSSKEKNLEHREKRRKKGVEILKLSVQKLSITYCGDANVVNMPVADPKRVNYGSQGGQVVISVSADGTPRLASITSELPGRSRNLMFSASVAISHLSVCINKEKRSTEAELERVKAIYEEDLSSSVKVTLLDMQNAKIVRRSGGLPDVPACSLFRATDINLRWEPDAHLAILETFIRIKYFLHNNKPINAEVGDICENGPGSISTGPGKPQKSDKRGSIFAVDVEVLRVSAELADGVEANMHIQSIFTENIMIGVLSEGLCLSLNGARIMKSTRIQISCIPFGTSSLLDAKVESSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRALKLISAAKKTMLFPDGKENPRKVKSGTTSFGSVKFVLRKLTAEIEEEPIQGWLDEHYHLMRNKVCELGVRLKFLEEAISGSVDPNNCSSKEKLLYDGIEVDMHDTAALQKLRDEIHKQAFQSYYTACQKMVHAEGSGACAEGFQAGFKPSSRRASLLSLSASELDVTLTRIDGGEVAMVEFIKGLDPVCQEKDIPFSRLYGSDIAVLAGSLVIQLRDYTSPLFSATSGQCQGRVILAQQATCFQPQIQQNVYVGRWHKVMMLRSASGTTPAIKMYSNLPIYFQRGEISFGVGYEPSFADISYAFQIALRRVNLSTRVKDSGPTNQPPKKERSLPWWDDMRYYIHGKIVLYFNETTWKFLATTNPYEKVDRLQIVSEYMEIQQTDGHVDVSAKEFKMYISSLASMMKNCTLKVPPGVPRPFIYAPFFSLNVVIDWQCESGNPLNHYLHALPIEGEPRKKVYDPFRSTYLSLRWNFSLKPLQVQYDNDALSPSYGNSSMQCGAISDNHSKLANVEFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSLDKVLVEFFFRVDATPCCIRHATLTEDDATLTEDDPANGLTFKMSRLNRGKQKYTFDCKRESLDLVYRGLDLYKPEVYIMRDINLSSAETVSNLKTNTQLGKVIHNKGNMGNFQDKHEDGFLLSCDYFTIRRQSRKADPARLMEWQDAGRNLEITYVRSEFENGSESDHTLSEPSDDDDGFNVVLADNCQRIFVYGLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYIQRKMIEQRHTTEGSKLTQDATSSVHVGSPSGQHVEALGSTSPLHSKANLSYDIAGKHGLFDDSDKGGNLQFMVNVIKPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEQALGASSIQIPELQPEMTWQRADYSVLLEDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELIFNSPNITATMTSRQFQVMLDVLTNLLFARLPKPRKNSLQYSSDDEDVEEEADEVVPDGVEEVELAKISLEQKERERKLLLDDIRSLMGTGNNHTRNFLSVERDDCLWMINSGKSLLVERLKRDLENLKKSRKSASSTLRKALQKAAQLRLMEKEKNKTPSCAKRISMKISKVVWSMIADGNTFAEAEISEMVFDFDRDYKDIGVGRFTTKCFEVRNCISNAKCATLLSAWNTPPEKGVMLRVDLRQGAPKDGNSPVDLFELFQVEIHPLKIYLSETMYRMMWDYFFPEEDDSQRRQEVWRVSTSTGARRARRISTGADAVASTSYSVREHELPGRSGINVSTSTNVSSWQGSDNSQVSKLQSLKSNVVCGSHPELRRTSSFEMTLEESAVDSITNNNVVSLVNSNVSSRDTNNFMADNSVAAAEMFRSRTKDSKPTKSVRLSQDEKKVGKSHDEKRTRARKLIEFHDIKISQVELIVTYEGSRLAISDLRLLMDTFHREDFTGTWRRLFSRVKKHIIWSVLKSMAGMQASILSVKKFKAHNRETHDGAVPDHDLNLSDSDGDHHGKPDQFPVSWLKRPGEGAGDGFVTSIRGLFNSQRRKAKAFVLRTVRGDGDNECHDEWSDSDGEFPFARQLTITKKLLRRHTRKLRPRGQKNTGLTLQDSLPSSPRETTPYQSDSDSSSESPYEDFHE >ONIVA03G30950.2 pep chromosome:AWHD00000000:3:26521500:26543976:1 gene:ONIVA03G30950 transcript:ONIVA03G30950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFASRLLAWFLSRVLHASVGFRVAGFNCLRDVTIKFSKGSLESISIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSQGKKLSKPGKSRSTGKGKCGRCTCRGPKGYGRVPSGAVDIKELKVDTFKIAGPNHILGVKLHLVPLNVHYGDLGLTADPLGNCNQLDAFQSDQISLANSEKVVAPFVCEDLFVTCDFGHEKERGVKIVNLEVKCGVITANIDERLFHKKHTKPEGFSGSENGGDASLDASAMNQTSKSKSILPSLKKQILAFPDKISFSVPKLDVKFTHLGEGLSVDNNIMGIHFTSAKTVPQDDLEEATPHFDVQIDLSEIHLVREGSSSLLEVLKVAAGASLDIPVDPFLPIRAEIDAKLGGTQCNLMLSRLMPWMRLHYLKSKGMKISKENSHRGISQTKEIKLIMWTCTVSAPEMSVMLYNLNGLVLYHICSQSSHLYANNIASKGIQIHTELGELQVHMQDEYKEFLKGNVFGVDTYSGSLMHIARVSLDWGYRGPEIEDMVETSRLTLVFSIDISGICVKFGFKHLESVVLNLMTFRTLFKSLASSRGSSKEKNLEHREKRRKKGVEILKLSVQKLSITYCGDANVVNMPVADPKRVNYGSQGGQVVISVSADGTPRLASITSELPGRSRNLMFSASVAISHLSVCINKEKRSTEAELERVKAIYEEDLSSSVKVTLLDMQNAKIVRRSGGLPDVPACSLFRATDINLRWEPDAHLAILETFIRIKYFLHNNKPINAEVGDICENGPGSISTGPGKPQKSDKRGSIFAVDVEVLRVSAELADGVEANMHIQSIFTENIMIGVLSEGLCLSLNGARIMKSTRIQISCIPFGTSSLLDAKVESSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRALKLISAAKKTMLFPDGKENPRKVKSGTTSFGSVKFVLRKLTAEIEEEPIQGWLDEHYHLMRNKVCELGVRLKFLEEAISGSVDPNNCSSKEKLLYDGIEVDMHDTAALQKLRDEIHKQAFQSYYTACQKMVHAEGSGACAEGFQAGFKPSSRRASLLSLSASELDVTLTRIDGGEVAMVEFIKGLDPVCQEKDIPFSRLYGSDIAVLAGSLVIQLRDYTSPLFSATSGQCQGRVILAQQATCFQPQIQQNVYVGRWHKVMMLRSASGTTPAIKMYSNLPIYFQRGEISFGVGYEPSFADISYAFQIALRRVNLSTRVKDSGPTNQPPKKERSLPWWDDMRYYIHGKIVLYFNETTWKFLATTNPYEKVDRLQIVSEYMEIQQTDGHVDVSAKEFKMYISSLASMMKNCTLKVPPGVPRPFIYAPFFSLNVVIDWQCESGNPLNHYLHALPIEGEPRKKVYDPFRSTYLSLRWNFSLKPLQVQYDNDALSPSYGNSSMQCGAISDNHSKLANVEFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSLDKVLVEFFFRVDATPCCIRHATLTEDDATLTEDDPANGLTFKMSRLNRGKQKYTFDCKRESLDLVYRGLDLYKPEVYIMRDINLSSAETVSNLKTNTQLGKVIHNKGNMGNFQDKHEDGFLLSCDYFTIRRQSRKADPARLMEWQDAGRNLEITYVRSEFENGSESDHTLSEPSDDDDGFNVVLADNCQRIFVYGLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYIQRKMIEQRHTTEGSKLTQDATSSVHVGSPSGQHVEALGSTSPLHSKANLSYDIAGKHGLFDDSDKGGNLQFMVNVIKPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEQALGASSIQIPELQPEMTWQRADYSVLLEDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELIFNSPNITATMTSRQFQVMLDVLTNLLFARLPKPRKNSLQYSSDDEDVEEEADEVVPDGVEEVELAKISLEQKERERKLLLDDIRSLMGTGNNHTRNFLSVERDDCLWMINSGKSLLVERLKRDLENLKKSRKSASSTLRKALQKAAQLRLMEKEKNKTPSCAKRISMKISKVVWSMIADGNTFAEAEISEMVFDFDRDYKDIGVGRFTTKCFEVRNCISNAKCATLLSAWNTPPEKGVMLRVDLRQGAPKDGNSPVDLFELFQVEIHPLKIYLSETMYRMMWDYFFPEEDDSQRRQEVWRVSTSTGARRARRISTGADAVASTSYSVREHELPGRSGINVSTSTNVSSWQGSDNSQVSKLQSLKSNVVCGSHPELRRTSSFEMTLEESAVDSITNNNVVSLVNSNVSSRDTNNFMADNSVAAAEMFRSRTKDSKPTKSVRLSQDEKKVGKSHDEKRTRARKLIEFHDIKISQVELIVTYEGSRLAISDLRLLMDTFHREDFTGTWRRLFSRVKKFKAHNRETHDGAVPDHDLNLSDSDGDHHGKPDQFPVSWLKRPGEGAGDGFVTSIRGLFNSQRRKAKAFVLRTVRGDGDNECHDEWSDSDGEFPFARQLTITKKLLRRHTRKLRPRGQKNTGLTLQDSLPSSPRETTPYQSDSDSSSESPYEDFHE >ONIVA03G30950.3 pep chromosome:AWHD00000000:3:26521500:26543976:1 gene:ONIVA03G30950 transcript:ONIVA03G30950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFASRLLAWFLSRVLHASVGFRVAGFNCLRDVTIKFSKGSLESISIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSQGKKLSKPGKSRSTGKGKWLVTSSMARLLSISVTDLLIKVPSGAVDIKELKVDTFKIAGPNHILGVKLHLVPLNVHYGDLGLTADPLGNCNQLDAFQSDQISLANSEKVVAPFVCEDLFVTCDFGHEKERGVKIVNLEVKCGVITANIDERLFHKKHTKPEGFSGSENGGDASLDASAMNQTSKSKSILPSLKKQILAFPDKISFSVPKLDVKFTHLGEGLSVDNNIMGIHFTSAKTVPQDDLEEATPHFDVQIDLSEIHLVREGSSSLLEVLKVAAGASLDIPVDPFLPIRAEIDAKLGGTQCNLMLSRLMPWMRLHYLKSKGMKISKENSHRGISQTKEIKLIMWTCTVSAPEMSVMLYNLNGLVLYHICSQSSHLYANNIASKGIQIHTELGELQVHMQDEYKEFLKGNVFGVDTYSGSLMHIARVSLDWGYRGPEIEDMVETSRLTLVFSIDISGICVKFGFKHLESVVLNLMTFRTLFKSLASSRGSSKEKNLEHREKRRKKGVEILKLSVQKLSITYCGDANVVNMPVADPKRVNYGSQGGQVVISVSADGTPRLASITSELPGRSRNLMFSASVAISHLSVCINKEKRSTEAELERVKAIYEEDLSSSVKVTLLDMQNAKIVRRSGGLPDVPACSLFRATDINLRWEPDAHLAILETFIRIKYFLHNNKPINAEVGDICENGPGSISTGPGKPQKSDKRGSIFAVDVEVLRVSAELADGVEANMHIQSIFTENIMIGVLSEGLCLSLNGARIMKSTRIQISCIPFGTSSLLDAKVESSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRALKLISAAKKTMLFPDGKENPRKVKSGTTSFGSVKFVLRKLTAEIEEEPIQGWLDEHYHLMRNKVCELGVRLKFLEEAISGSVDPNNCSSKEKLLYDGIEVDMHDTAALQKLRDEIHKQAFQSYYTACQKMVHAEGSGACAEGFQAGFKPSSRRASLLSLSASELDVTLTRIDGGEVAMVEFIKGLDPVCQEKDIPFSRLYGSDIAVLAGSLVIQLRDYTSPLFSATSGQCQGRVILAQQATCFQPQIQQNVYVGRWHKVMMLRSASGTTPAIKMYSNLPIYFQRGEISFGVGYEPSFADISYAFQIALRRVNLSTRVKDSGPTNQPPKKERSLPWWDDMRYYIHGKIVLYFNETTWKFLATTNPYEKVDRLQIVSEYMEIQQTDGHVDVSAKEFKMYISSLASMMKNCTLKVPPGVPRPFIYAPFFSLNVVIDWQCESGNPLNHYLHALPIEGEPRKKVYDPFRSTYLSLRWNFSLKPLQVQYDNDALSPSYGNSSMQCGAISDNHSKLANVEFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSLDKVLVEFFFRVDATPCCIRHATLTEDDATLTEDDPANGLTFKMSRLNRGKQKYTFDCKRESLDLVYRGLDLYKPEVYIMRDINLSSAETVSNLKTNTQLGKVIHNKGNMGNFQDKHEDGFLLSCDYFTIRRQSRKADPARLMEWQDAGRNLEITYVRSEFENGSESDHTLSEPSDDDDGFNVVLADNCQRIFVYGLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYIQRKMIEQRHTTEGSKLTQDATSSVHVGSPSGQHVEALGSTSPLHSKANLSYDIAGKHGLFDDSDKGGNLQFMVNVIKPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEQALGASSIQIPELQPEMTWQRADYSVLLEDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELIFNSPNITATMTSRQFQVMLDVLTNLLFARLPKPRKNSLQYSSDDEDVEEEADEVVPDGVEEVELAKISLEQKERERKLLLDDIRSLMGTGNNHTRNFLSVERDDCLWMINSGKSLLVERLKRDLENLKKSRKSASSTLRKALQKAAQLRLMEKEKNKTPSCAKRISMKISKVVWSMIADGNTFAEAEISEMVFDFDRDYKDIGVGRFTTKCFEVRNCISNAKCATLLSAWNTPPEKGVMLRVDLRQGAPKDGNSPVDLFELFQVEIHPLKIYLSETMYRMMWDYFFPEEDDSQRRQEVWRVSTSTGARRARRISTGADAVASTSYSVREHELPGRSGINVSTSTNVSSWQGSDNSQVSKLQSLKSNVVCGSHPELRRTSSFEMTLEESAVDSITNNNVVSLVNSNVSSRDTNNFMADNSVAAAEMFRSRTKDSKPTKSVRLSQDEKKVGKSHDEKRTRARKLIEFHDIKISQVELIVTYEGSRLAISDLRLLMDTFHREDFTGTWRRLFSRVKKHIIWSVLKSMAGMQASILSVKKFKAHNRETHDGAVPDHDLNLSDSDGDHHGKPDQFPVSWLKRPGEGAGDGFVTSIRGLFNSQRRKAKAFVLRTVRGDGDNECHDEWSDSDGEFPFARQLTITKKLLRRHTRKLRPRGQKNTGLTLQDSLPSSPRETTPYQSDSDSSSESPYEDFHE >ONIVA03G30950.4 pep chromosome:AWHD00000000:3:26521500:26543976:1 gene:ONIVA03G30950 transcript:ONIVA03G30950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFASRLLAWFLSRVLHASVGFRVAGFNCLRDVTIKFSKGSLESISIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSQGKKLSKPGKSRSTGKGKWLVTSSMARLLSISVTDLLIKVPSGAVDIKELKVDTFKIAGPNHILGVKLHLVPLNVHYGDLGLTADPLGNCNQLDAFQSDQISLANSEKVVAPFVCEDLFVTCDFGHEKERGVKIVNLEVKCGVITANIDERLFHKKHTKPEGFSGSENGGDASLDASAMNQTSKSKSILPSLKKQILAFPDKISFSVPKLDVKFTHLGEGLSVDNNIMGIHFTSAKTVPQDDLEEATPHFDVQIDLSEIHLVREGSSSLLEVLKVAAGASLDIPVDPFLPIRAEIDAKLGGTQCNLMLSRLMPWMRLHYLKSKGMKISKENSHRGISQTKEIKLIMWTCTVSAPEMSVMLYNLNGLVLYHICSQSSHLYANNIASKGIQIHTELGELQVHMQDEYKEFLKGNVFGVDTYSGSLMHIARVSLDWGYRGPEIEDMVETSRLTLVFSIDISGICVKFGFKHLESVVLNLMTFRTLFKSLASSRGSSKEKNLEHREKRRKKGVEILKLSVQKLSITYCGDANVVNMPVADPKRVNYGSQGGQVVISVSADGTPRLASITSELPGRSRNLMFSASVAISHLSVCINKEKRSTEAELERVKAIYEEDLSSSVKVTLLDMQNAKIVRRSGGLPDVPACSLFRATDINLRWEPDAHLAILETFIRIKYFLHNNKPINAEVGDICENGPGSISTGPGKPQKSDKRGSIFAVDVEVLRVSAELADGVEANMHIQSIFTENIMIGVLSEGLCLSLNGARIMKSTRIQISCIPFGTSSLLDAKVESSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRALKLISAAKKTMLFPDGKENPRKVKSGTTSFGSVKFVLRKLTAEIEEEPIQGWLDEHYHLMRNKVCELGVRLKFLEEAISGSVDPNNCSSKEKLLYDGIEVDMHDTAALQKLRDEIHKQAFQSYYTACQKMVHAEGSGACAEGFQAGFKPSSRRASLLSLSASELDVTLTRIDGGEVAMVEFIKGLDPVCQEKDIPFSRLYGSDIAVLAGSLVIQLRDYTSPLFSATSGQCQGRVILAQQATCFQPQIQQNVYVGRWHKVMMLRSASGTTPAIKMYSNLPIYFQRGEISFGVGYEPSFADISYAFQIALRRVNLSTRVKDSGPTNQPPKKERSLPWWDDMRYYIHGKIVLYFNETTWKFLATTNPYEKVDRLQIVSEYMEIQQTDGHVDVSAKEFKMYISSLASMMKNCTLKVPPGVPRPFIYAPFFSLNVVIDWQCESGNPLNHYLHALPIEGEPRKKVYDPFRSTYLSLRWNFSLKPLQVQYDNDALSPSYGNSSMQCGAISDNHSKLANVEFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSLDKVLVEFFFRVDATPCCIRHATLTEDDATLTEDDPANGLTFKMSRLNRGKQKYTFDCKRESLDLVYRGLDLYKPEVYIMRDINLSSAETVSNLKTNTQLGKVIHNKGNMGNFQDKHEDGFLLSCDYFTIRRQSRKADPARLMEWQDAGRNLEITYVRSEFENGSESDHTLSEPSDDDDGFNVVLADNCQRIFVYGLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYIQRKMIEQRHTTEGSKLTQDATSSVHVGSPSGQHVEALGSTSPLHSKANLSYDIAGKHGLFDDSDKGGNLQFMVNVIKPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEQALGASSIQIPELQPEMTWQRADYSVLLEDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELIFNSPNITATMTSRQFQVMLDVLTNLLFARLPKPRKNSLQYSSDDEDVEEEADEVVPDGVEEVELAKISLEQKERERKLLLDDIRSLMGTGNNHTRNFLSVERDDCLWMINSGKSLLVERLKRDLENLKKSRKSASSTLRKALQKAAQLRLMEKEKNKTPSCAKRISMKISKVVWSMIADGNTFAEAEISEMVFDFDRDYKDIGVGRFTTKCFEVRNCISNAKCATLLSAWNTPPEKGVMLRVDLRQGAPKDGNSPVDLFELFQVEIHPLKIYLSETMYRMMWDYFFPEEDDSQRRQEVWRVSTSTGARRARRISTGADAVASTSYSVREHELPGRSGINVSTSTNVSSWQGSDNSQVSKLQSLKSNVVCGSHPELRRTSSFEMTLEESAVDSITNNNVVSLVNSNVSSRDTNNFMADNSVAAAEMFRSRTKDSKPTKSVRLSQDEKKVGKSHDEKRTRARKLIEFHDIKISQVELIVTYEGSRLAISDLRLLMDTFHREDFTGTWRRLFSRVKKFKAHNRETHDGAVPDHDLNLSDSDGDHHGKPDQFPVSWLKRPGEGAGDGFVTSIRGLFNSQRRKAKAFVLRTVRGDGDNECHDEWSDSDGEFPFARQLTITKKLLRRHTRKLRPRGQKNTGLTLQDSLPSSPRETTPYQSDSDSSSESPYEDFHE >ONIVA03G30940.1 pep chromosome:AWHD00000000:3:26514412:26515647:1 gene:ONIVA03G30940 transcript:ONIVA03G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFFLVVGANGNLVDAVTKAPSVFAFALVQVTVHLGIVLAAGKLMGFERKPLLIASKRRRGRWRRPRRGVELADRTWDPGGHGASVDEAGGVEEGVKRSSAKRTPAPSQVPIDDGGEVDGDWGDRVAALPVVPLSSKAAAAPPEYEMSSGSSPVCSRLTSSDPAGELEAVELPSSLEVLQERLDFVLLLGLSTNDLSSYCSSSPAPSARTPPSPSTSPPLIDLHGGRTRWRRLLLALRRCPTPAAPAPYSASRRRPS >ONIVA03G30930.1 pep chromosome:AWHD00000000:3:26483527:26493307:-1 gene:ONIVA03G30930 transcript:ONIVA03G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGNERDSQAMYPADSGNSSYPVPSAIGNMLYPGNGSSGPYTEFSGIIQHQQNFMELPGHPTAISQDSSSREPNMVASYTDQRSFGPAKDMRNEMLMHLMDGAHNAGADLIHNDTHSSAQIEFGLLNNHNSMSVAPAPGQGLSLSLNTHILAPSYPYWSAKTELLTPHSYHGDDNRMKNMQSEASQAIRNSKYLKAAQELLDEVVSVWKSIKQKAQKDQAEAGKSDNKEAEGGSKGEGVSSNPQESTANAAPEISAAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQIVVSSFDMVAGSGAAKPYTAVALQTISKHFRCLKDAINDQINVIRKKLGEEESSSGKEGKLTRLRYIDQQLRQQRAFQQYGLLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGEADLDSNSSSDNVPRSKDKIATSEDKEDLKSSMSQTYQPSQLGESKANIGMMSLGGAPAGFHNEGNQDDSFMNLMLKDQRPGEAEGSLLHDAVAHHSDENARFMAYHLSGLGRYGNGNVSLTLGLQHPDNRLSVQNTHQPGFAGAGEEIYNSTASLGVAAASSSDYESTNQIDQRQRSSCRIEAAVWLPQAQGLGSCIFFVRVNAPCCCHVGPGTMASLWLSEDCKVRLTMVASKQLHSQRCGGHYCQLHHHRPEEIAGAGAERHQRDGSSGCGGAGPMVVLTLGSGAAAAEDNGGGRSRCCCGAGGAAPATMVSALRGSRYLLPAQELLREAVSAAAASARGGDDDDEAVASFPHDGKSTGIGGGGGVQAKLLSLLSELESRHEHYFGELRRVSASFEPALGAGATAGYTALMAQAMSRHFGSLRRAILRKLRLHAAAAARTRSALLRLARDAMEEDDEGDGEEEEEVVNRVVRRTKQAAAARAEQAWRPLRGLPEDAVGVLRAWLFDHFLHPYPNDNEKLMLAVATGLSRTQISNWFINARVRLWKPMVEEMYNDEFDDDDAGSGGGGASSSS >ONIVA03G30930.2 pep chromosome:AWHD00000000:3:26483527:26493307:-1 gene:ONIVA03G30930 transcript:ONIVA03G30930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGNERDSQAMYPADSGNSSYPVPSAIGNMLYPGNGSSGPYTEFSGIIQHQQNFMELPGHPTAISQDSSSREPNMVASYTDQRSFGPAKDMRNEMLMHLMDGAHNAGADLIHNDTHSSAQIEFGLLNNHNSMSVAPAPGQGLSLSLNTHILAPSYPYWSAKTELLTPHSYHGDDNRMKNMQSEASQAIRNSKYLKAAQELLDEVVSVWKSIKQKAQKDQAEAGKSDNKEAEGGSKGEGVSSNPQESTANAAPEISAAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQIVVSSFDMVAGSGAAKPYTAVALQTISKHFRCLKDAINDQINVIRKKLGEEESSSGKEGKLTRLRYIDQQLRQQRAFQQYGLLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGEADLDSNSSSDNVPRSKDKIATSEDKEDLKSSMSQTYQPSQLGESKANIGMMSLGGAPAGFHNEGNQDDSFMNLMLKDQRPGEAEGSLLHDAVAHHSDENARFMAYHLSGLGRYGNGNVSLTLGLQHPDNRLSVQNTHQPGFAGAGEEIYNSTASLGVAAASSSDYESTNQIDQRQRPCRCRSSCRIEAAVWLPQAQGLGSCIFFVRVNAPCCCHVGPGTMASLWLSEDCKVRLTMVASKQLHSQRCGGHYCQLHHHRPEEIAGAGAERHQRDGSSGCGGAGPMVVLTLGSGAAAAEDNGGGRSRCCCGAGGAAPATMVSALRGSRYLLPAQELLREAVSAAAASARGGDDDDEAVASFPHDGKSTGIGGGGGVQAKLLSLLSELESRHEHYFGELRRVSASFEPALGAGATAGYTALMAQAMSRHFGSLRRAILRKLRLHAAAAARTRSALLRLARDAMEEDDEGDGEEEEEVVNRVVRRTKQAAAARAEQAWRPLRGLPEDAVGVLRAWLFDHFLHPYPNDNEKLMLAVATGLSRTQISNWFINARVRLWKPMVEEMYNDEFDDDDAGSGGGGASSSS >ONIVA03G30930.3 pep chromosome:AWHD00000000:3:26483527:26493307:-1 gene:ONIVA03G30930 transcript:ONIVA03G30930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGNERDSQAMYPADSGNSSYPVPSAIGNMLYPGNGSSGPYTEFSGIIQHQQNFMELPGHPTAISQDSSSREPNMVASYTDQRSFGPAKDMRNEMLMHLMDGAHNAGADLIHNDTHSSAQIEFGLLNNHNSMSVAPAPGQGLSLSLNTHILAPSYPYWSAKTELLTPHSYHGDDNRMKNMQSEASQAIRNSNSMSQTYQPSQLGESKANIGMMSLGGAPAGFHNEGNQDDSFMNLMLKDQRPGEAEGSLLHDAVAHHSDENARFMAYHLSGLGRYGNGNVSLTLGLQHPDNRLSVQNTHQPGFAGAGEEIYNSTASLGVAAASSSDYESTNQIDQRQRPCRCRSSCRIEAAVWLPQAQGLGSCIFFVRVNAPCCCHVGPGTMASLWLSEDCKVRLTMVASKQLHSQRCGGHYCQLHHHRPEEIAGAGAERHQRDGSSGCGGAGPMVVLTLGSGAAAAEDNGGGRSRCCCGAGGAAPATMVSALRGSRYLLPAQELLREAVSAAAASARGGDDDDEAVASFPHDGKSTGIGGGGGVQAKLLSLLSELESRHEHYFGELRRVSASFEPALGAGATAGYTALMAQAMSRHFGSLRRAILRKLRLHAAAAARTRSALLRLARDAMEEDDEGDGEEEEEVVNRVVRRTKQAAAARAEQAWRPLRGLPEDAVGVLRAWLFDHFLHPYPNDNEKLMLAVATGLSRTQISNWFINARVRLWKPMVEEMYNDEFDDDDAGSGGGGASSSS >ONIVA03G30920.1 pep chromosome:AWHD00000000:3:26476359:26482254:1 gene:ONIVA03G30920 transcript:ONIVA03G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPNPSFGFRVGLVFGRALPYLNDGGPQSQFFEAATHGDVSRLRGHDEVERLLLSRGASVDIAYFHGTPLHIAAAYGKANVMKVLLEHDADPNKVSEELGTPLVATLHATSQGLAESVSLKCKTTRRGADVNFSDRDTPLVVAITNGLTNCIKYLLKAGADPNIPTCHCGALPIQLAASYGRRKDVELLFPLTCPIRAVSNWTVEGILAHAKSKHARSKCSKPKDKQDDHNKKAQFKLRGEKAIKDKHDEQDKKAQLKLQGEKAVKRKDYHGASILYTEAIELDPTDATLYSNRSLCHLQMTEALFDADYCIKSRPEWLKGYYRKGAALMLLKEYEKACDAFLAGLKLDPSNAEMEKVFREAVEAMKKHHVTTKSFKPSENGRNALELEH >ONIVA03G30910.1 pep chromosome:AWHD00000000:3:26435496:26469722:1 gene:ONIVA03G30910 transcript:ONIVA03G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSWRSGGSRESAFLKAVAEGNVRRLKEMVNRMGAKDREKLADMNIDVIGLLEVAADLGKIDVIRYFVEELGFDVNAGCLSAGATALCSAALLGEADAVRYLLDHGADPNKIDETGSVALHRAVKNGYEEVVRLLLSSGARVDIAVAHGTPLHIAVSYGKTGVVKILLDHHADPNNTSGVWGTPIFTALHSTKHGLDESDCLECIRLLVKSGLTPIEIAASVGRRDHVEILFPFTSPVRAVTNWTVEGIIAHGKSRRLIPKDESCSKVSDRKAELKSQGEKAVKRKDYLAASKIYTKALELDYFDATLYSNRSLCYLQIGKAQKALLDAKKCVKLRPKWMKGHYREGAALMLLKEHKKAFEAFLNALKLDPANAEIEKVIPRMDPPSPPDVLSKSDVLSWITDRTSVGSGGGGSRESAFLEAVAEGNVRRLKKMVNRMDEKDRAKLTDMHIDGIGLMQVAANLGKIEVIRYLVEELGFDVNAGCLCGGATALGCAALFGEVDTVRYLLDCGADPNKIDETGHVALHCAYHVGCSFYLGHEEVAHLLLSSGSRVDIAVAHGTPLHIAVSFGKTGVVKILLDHHSDPNNTSGVWGTPILTALHSTKHGLDESDSLGCVKLLVKAGADVNYACPNTPLVVATTEGLTDCMKYLLQVHADPNIPDKQSGRTPIEIAASLRRRNHVEILFPFTSPVRAVTNWTVEGIITHGKSRFSMPKIKDEPCSKVNDRKIELKSLGGKAVKRKDYLGASRIYSEALELDYFDATLYSNRSLCYLRIGEVQKAFLDTEMCIKLRPEWVKGHYREGAALMLLKEHKKAFEVFLNALKLDPTNADIEKVLWEALEAMKKDDAAEEKTLKSLPLKAAAAAMADPSFNFRVGLTLRRALPYYNDAGPQSEFFEAATRGDVRRLRELASGKDAEGKA >ONIVA03G30900.1 pep chromosome:AWHD00000000:3:26418888:26426484:1 gene:ONIVA03G30900 transcript:ONIVA03G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKGRHRGRGGGGGGGGGGGGGGGVGGDRGGGGSGGGGPGMGRRGSDARAQQAAAAISGIATRLGFGCTVNVGGGMPPQMEFLMACTDGDVARLKGHVGIARLLLSKGASVDVSSSEGTPLHVAASNGKSNIVQILLEHHANPNVMTPDCYTPLTAVLSATPEIVNESECLKCMKLLIKAGARYKLATPDTPLEIATRNGLTECVAYLLEISTVVKLSEHDKGSDGDRKSKLKLHGGKAFEEGDYAGAIIFYTEVNSGITDWSYHSLEYKEACTAFMAGTKLDPLSDEMQNAFWEAAKAMKNEYMAGRRVSSVD >ONIVA03G30890.1 pep chromosome:AWHD00000000:3:26415251:26418227:1 gene:ONIVA03G30890 transcript:ONIVA03G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDAATGVACVSTGHLHGGCSGRRPVAGKTTMTFSSKKAVHLRTWHLVERRWRLGGERIRD >ONIVA03G30880.1 pep chromosome:AWHD00000000:3:26411925:26415049:1 gene:ONIVA03G30880 transcript:ONIVA03G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPQSRSSLTIPSEETHGGRWNTIAVRPILDFTANVLDDDDSFLFHAAEEPEWSHYEAKHRTSVPPPPSGVDDDDDFLFHTAEEMEWTHYEAKRRAFVPAVRRILDFAVDGVDDNDDFLFHAAEETEWSHVQMTKKILVVTKRDLFHRACKSEVSKLAADMWPKQHSFIMNI >ONIVA03G30870.1 pep chromosome:AWHD00000000:3:26400394:26410550:1 gene:ONIVA03G30870 transcript:ONIVA03G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDKGRHRGRDGGGGGGGGGGGGGGGGVDRGGGGSGGGGPGMGRRGSDARGQQAEAAAAAISGIATRLGFGCTINVGGGMPPQMEFLMACTDGDVARLKEVVDSMDEDDRQSLASVRMEGYEPLFEAASSGKIDLCKYLVEELGFDVNAEANHDSGMTPLFCAVLHGQEITVKYFLDKGADPNKKDAAGFAPLHEAAKKGHVGIARLLLSKGASVDVSSSKGTPLHVAASNGKSSTVQILLEHRANIQRSQVDAKSFRNRVQVFYVPALPNVILPDCYTPLTAVLSATPEIVNESECLKCMKLLVKAGAIYNLATPDTPLKIATRNGLTECVAYLLEITTVKLSEHDKGSDGDRKSKLKLHGGKAFEEGDYAGAIIFYTEAMKLDPADATLYSNRSLCHLRSGAAQEALLDANDCIKLKPEWTKGHYRKGCAHMALKQYEEACTAFMAGTKLNPLNDEMQDAFWEAAKGMMYEHMAGKRVSSVD >ONIVA03G30860.1 pep chromosome:AWHD00000000:3:26393420:26399166:1 gene:ONIVA03G30860 transcript:ONIVA03G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thiaminC [Source:Projected from Arabidopsis thaliana (AT2G29630) TAIR;Acc:AT2G29630] MAMKSLKLPKTAVLPGFGGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDTLSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVIQGMNAMSAEFSAARKTISGEQHGEAGGEIYVPESYTARK >ONIVA03G30860.2 pep chromosome:AWHD00000000:3:26393420:26400263:1 gene:ONIVA03G30860 transcript:ONIVA03G30860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:thiaminC [Source:Projected from Arabidopsis thaliana (AT2G29630) TAIR;Acc:AT2G29630] MAMKSLKLPKTAVLPGFGGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDTLSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVIQGMNAMSAEFSAARKTISGEQHGEAGGEIYVPESYTARK >ONIVA03G30860.3 pep chromosome:AWHD00000000:3:26393420:26399166:1 gene:ONIVA03G30860 transcript:ONIVA03G30860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:thiaminC [Source:Projected from Arabidopsis thaliana (AT2G29630) TAIR;Acc:AT2G29630] MAMKSLKLPKTAVLPGFGGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDTLSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVIQGMNAMSAEFSAARKTISGEQHGEAGGEIYVPESYTARK >ONIVA03G30860.4 pep chromosome:AWHD00000000:3:26393420:26399294:1 gene:ONIVA03G30860 transcript:ONIVA03G30860.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:thiaminC [Source:Projected from Arabidopsis thaliana (AT2G29630) TAIR;Acc:AT2G29630] MAMKSLKLPKTAVLPGFGGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDTLSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVIQGMNAMSAEFSAARKTISGEQHGEAGGEIYVPESYTARK >ONIVA03G30860.5 pep chromosome:AWHD00000000:3:26393420:26399166:1 gene:ONIVA03G30860 transcript:ONIVA03G30860.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:thiaminC [Source:Projected from Arabidopsis thaliana (AT2G29630) TAIR;Acc:AT2G29630] MAMKSLKLPKTAVLPGFGGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDTLSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVIQGMNAMSAEFSAARKTISGEQHGEAGGEIYVPESYTARK >ONIVA03G30850.1 pep chromosome:AWHD00000000:3:26374076:26380289:-1 gene:ONIVA03G30850 transcript:ONIVA03G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAVAGSGAGSAQDCIALRNAIMPMKISPYASYTGSHSVQHFAAPHPTANVSTNNSLLDPFSTGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNLFSHFDVVQDFSDHYYAKNSPGKTFKDWVKTIQNEWRLLQKDLPESIYVRVYEDRIDLLRAAIVGPAETPYHDELYHERGHFYDFTSLVRELDVAGEIYERKTYPFEFSTVEMPYDSYNGTNVRLR >ONIVA03G30840.1 pep chromosome:AWHD00000000:3:26367317:26378500:1 gene:ONIVA03G30840 transcript:ONIVA03G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGVPELCLSSAEEHAQLRRIIFEEILSPIPMVWLTRDQDADACELPHSGVAVCPEGTTCREPFLLRFSALFTELGRSLHAYIEAAHEGEQPRTCAAGDEWLPTTGDGQRPSATGNDQRQPATSPRPHEAPTASNGWRRAAGDDERRITLSKGDVGGTGEPRELVQLHEA >ONIVA03G30830.1 pep chromosome:AWHD00000000:3:26366638:26366844:1 gene:ONIVA03G30830 transcript:ONIVA03G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWREQENAGATSASRMEIGAGGRRRRRESSTAAGDETGWIGEAEVDCAALCCRSARLPMGHIICTGP >ONIVA03G30820.1 pep chromosome:AWHD00000000:3:26364536:26366823:-1 gene:ONIVA03G30820 transcript:ONIVA03G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRQAGTAAAERCTIDLRLPNPSRLVARRRRRLPSPPPAAGADLHPASRGGAGVLLLPPHHRSPTSNQPRRRRRPPPRMPVRVVDTATPSSQPSSGQDANAGHPSPPSCSLLSAGRCYAGTQNVSNIQKEEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSVDLSNYAYIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGYYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQ >ONIVA03G30820.2 pep chromosome:AWHD00000000:3:26364536:26366404:-1 gene:ONIVA03G30820 transcript:ONIVA03G30820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVDTATPSSQPSSGQDANAGHPSPPSCSLLSAGRCYAGTQNVSNIQKEEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSVDLSNYAYIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGYYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQ >ONIVA03G30820.3 pep chromosome:AWHD00000000:3:26366482:26366823:-1 gene:ONIVA03G30820 transcript:ONIVA03G30820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRQAGTAAAERCTIDLRLPNPSRLVARRRRRLPILIHPVRPRISVSSPAACAEPPQSGEQRSAPVVLLQSCFRRIVARL >ONIVA03G30810.1 pep chromosome:AWHD00000000:3:26360565:26364406:1 gene:ONIVA03G30810 transcript:ONIVA03G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKQQVVSSTRGARRRRCQQRRYISLLMSCVGGGSPPLPGHISPMFDGALIGVDVNNDNTTHGFPMVEVQQPESPMGSPRTPNGSQKKPVLGKVKSKAKKWMHLLHHKKKPQEDMQDPVQTAAAAMSTTMQTTDPQAQLDIHPHSSCESACAPEVYMEASPRQNSPLPSPTAHNEQQYFKISSRFKSEMKEAMLRESKQLRVNTTKPKTVIFAPILEQGAETVKNDWHRKELWETATEIFSHAYAIVYEAVLRMVSIIQDAMLSYNTGRRQMLEKIISFNRYLMLKLAPGEGDKVLSKVITEAALDMFYAWCVNVERPLVQRAKEVSSWFLPERREELPPSCCTVHPSLCL >ONIVA03G30800.1 pep chromosome:AWHD00000000:3:26356693:26357766:1 gene:ONIVA03G30800 transcript:ONIVA03G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GRT4] MAKLAQMPRAPLLVLLLMLGVGAAVAVPEYREAPHFTNSAAARCPPPLPATDADAACSPHAAVHVAMTLDAPYLRGTMAAVLSVLRHASCPESVHFHFLASSSSSPEAAAAVRELRDTVRASFPSLAFRVYPFDESRVAGLISTSIRGALDRPLNYARSYLATTLPACVRRVVYLDSDVVVTDDIAALAATPLPGEAAVAAPEYCGANFTAYFTPGFWASRALSEAAFAGRRACYFNTGVMVLDLPRWRRAGYTAQIEEWMELQRRVRIYELGSLPPFLLVFAGRIAAVDHRWNQHGLGGDNYRGLCRGLHAGAVSLLHWSGKGKPWDRLDAGKPCPLDAVWAKYDLLRPAAAIETS >ONIVA03G30790.1 pep chromosome:AWHD00000000:3:26347142:26351091:1 gene:ONIVA03G30790 transcript:ONIVA03G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARLRVAAGSFLEREGETRRTRLVGSISFVVDELKSVDEQSFLDGMYDRKFQRTLLITVLIVKGSKFEPSPFHARSVPVANPGCIAACSWRRQQQQLVQGMESHTGINGVALKISHYLLNYAAMSRGVNDSI >ONIVA03G30780.1 pep chromosome:AWHD00000000:3:26341552:26343297:1 gene:ONIVA03G30780 transcript:ONIVA03G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPRATPNFILLVLPPRGRVQVIVVASPRLAFPRVASPSDRATPPPPRRGSPRSPRGGGANVGESTSSGTNGDAAGGSFECNICFELPQEPIVTLCGHLFCWPCIYRWLHIHAHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNIPEADIPNRPTGQRPATAPQADPNNNFAHANPNANANPWFMGTGVPLANARWGNYAFSAAFGGLFPMLSFQVHGFPDANPYAQPAGFHYGYGHGHGFHGGHMGHAAHSVPRQGPLEQPQQADIYLKALLIMVGFLVVASLLAF >ONIVA03G30770.1 pep chromosome:AWHD00000000:3:26338233:26340658:1 gene:ONIVA03G30770 transcript:ONIVA03G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEKDDGVPRFASLLAVECGKKDPSTRATPEVFAATILLFVCCHLIDISNLSNDNKIIVYSTYEREAFGIACKMMRLSLVLPKNVMRHAIASWKILDETNNVHRYISNILEIISKFVPLNSLV >ONIVA03G30760.1 pep chromosome:AWHD00000000:3:26336278:26336814:1 gene:ONIVA03G30760 transcript:ONIVA03G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDITMAVDGGGQWRLRRYFGPRYRTPNNTLTRKEVFTCAKSNNRRLFHVGDIDKTSKWLLLHNVELMSIPCCYILP >ONIVA03G30750.1 pep chromosome:AWHD00000000:3:26309110:26310723:1 gene:ONIVA03G30750 transcript:ONIVA03G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14480) TAIR;Acc:AT4G14480] MAAAAGSVGGDDHHHHQQARYPLDAGSYRLLCKIGSGVSAVVYKAACVPLGSAVVAIKAIDLERSRANLDEVWREAKAMALLSHRNVLRAHCSFTVGSHLWVVMPFMAAGSLHSILSHGFPDGLPEQCIAVVLRDTLRALCYLHEQGRIHRDIKAGNILVDSDGSVKLADFGVSASIYETAPSTSSAFSGPINHAPPPSGAALSSSCFNDMAGTPYWMAPEVIHSHVGYGIKADIWSFGITALELAHGRPPLSHLPPSKSMLMRITSRVRLEVDASSSSSEGSSSAARKKKKFSKAFKDMVSSCLCQEPAKRPSAEKLLRHPFFKGCRSRDYDYLVRNVLDAVPTVEERCRDSTQLCGCARGARCVSPCRHASSGSNVVAAKNRRISGWNFNEESFELDPTDKPPEQQQQQPCFPFHHDNDDDMVEHEQEQRRRQDGNDGSSDVAVPHLVTILGSLEMQRDMVMQVLEGDGGGGGGGGETAGREEMLVGYVRELEKRVQELSTEVEEEMARNAHLQELLHERACENHTDSSHTSGSR >ONIVA03G30740.1 pep chromosome:AWHD00000000:3:26296453:26305079:1 gene:ONIVA03G30740 transcript:ONIVA03G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GRS8] MAAVTLLALGNDAPDVAGERRRGRDGERHGDERDGPDDERGDERASAASDGRRGGGDGGDQRVGRRSAWRGGRGEDDVIATSSQLQIDNRYILSEQTPNRAPVDWKMGHLIGRGDDNAMLSIRADNLYVTGFANRTGHWHVYPKFADQIPEPKTLLTFGDDYHSLLGDGSSQNLPKINLGLHATLNAIETLSNYQPSSDNTAIKIALTTLIVTLPEAVRFRPIRYRLLDGWFTGTRLTSHLAKEVVSWRDMSCAVLIFDKYGRWWASAEAGILQGKFQIRSKFDTLQYLDVTLHSTMIYYPLNKKTLTFGFAKSRIQRPPTPSPRSSFSRPHRRQGRPQLLLRHLNPQRHGTDGTARVARRRGGRDPGRERSSSMSGGRLDTSVANNPTGDRTSHAPRSASPQPQAAADDWLARLPILRAAPPSDNYPSCLRPPVRRLIRSSSTTSAVPSTSTRYTEGEDDMIGFTGFYNVDIFFSHDPNSLPRGYEKHKNKLGKEPHIMTSKSLQIKSAATILKRNEDSMASIPPTNQLIKSKTPEFGGSKPALSATKLPANPLSLKYSKSSSSPLLPRLHLPPLHGSGSGASGGGSGQRFGWWRRRRRWRRQALREVVKAAAVLGAPEVVKAAVTAATRRTDPPPWPRKGRAA >ONIVA03G30730.1 pep chromosome:AWHD00000000:3:26294718:26295530:-1 gene:ONIVA03G30730 transcript:ONIVA03G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWNNSATLCSGPVTLIQKSLSRVMVSSTRTVRDLHDGTPDAAEASARGRTRRRVGDGDEEEEGGNEGTSLGGAGCGGDADGDDLLPSGAGGFGSEAASMADWL >ONIVA03G30720.1 pep chromosome:AWHD00000000:3:26291450:26291812:-1 gene:ONIVA03G30720 transcript:ONIVA03G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWHGRLGHLEGSHGDSDLLGRAVVIDPYLHSLAVARQCQVMEAMVAGVAAIVVVAGAGDVDADMVAIDVAELVVLVNVELNADEVVHGVAVADVVEEADVLAGDEEDNAMAAGVGVGA >ONIVA03G30710.1 pep chromosome:AWHD00000000:3:26288085:26289745:1 gene:ONIVA03G30710 transcript:ONIVA03G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQDDATQLLPDDLLAEILGRLAPRWLAASRCVCKAWQAIIDSRRLLRADLLPLSLGGIYFNFHDERHSVFFSRPSTRPIISGMFTDYTPNADRVEDHCNGLVLLWGGVANPATRQWAPFPEPPPPCTEIKGVCQLSNYLMYDPTISSHYEIFQIPRALDRYHDELDPMDDTSQWPPSPNVLNVFSSRTGEWEKRSYVREGEAAGTVADMALSFPYDHFNGVCWQGALYVHCEADFVMRISLSDKTYQVIMLPITTEVSEYKSHFFGRSKNGVHYALMDRDQRLRIWFLNESCGQKMWELKHDKNISFLLKRHDKYGQNDGPWTLHYFDYCENYDQNDIDAHYEGYINEDYNEEYIAAQDCKHFEAASYEDRNGNSIRNVIVPINKFEWDSDNDSILDIENMNDEHRDTFFSILGFHPYKEVIFLNRQMERGLAYHFNSSKIQYLGKTFPECYHSEVHEMYASFVYTPCWIGELSEST >ONIVA03G30700.1 pep chromosome:AWHD00000000:3:26269861:26274019:1 gene:ONIVA03G30700 transcript:ONIVA03G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDLNMLYGLPGDGKKVKLGFALSLMPRMTTVRKEGSSQSTHLQNSPADGDGRLKRARGSTTRPMPLVVPAADARRAAQPSSQYPCSFAGAGAFVDEVKREVLWARVFRDGNWRGAAVANVGGGSRIAGERVRGGENFPRMNANFFTKHPWKIKFCSYVL >ONIVA03G30690.1 pep chromosome:AWHD00000000:3:26265883:26267033:1 gene:ONIVA03G30690 transcript:ONIVA03G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVPMFVWGLETLPPAHCVASSFPPPAQGEDYPEDQTCEANKLASQLIDSCGNGSSPDVRCCETIVVVVDLPNCLCLVSLRPKIEESQFSAFTLITSYRACGGLRAVKQKDAALCYGFNETEDEQPNLPPPAGNGVGTATVKQPKMILDPGDNFSN >ONIVA03G30680.1 pep chromosome:AWHD00000000:3:26261547:26261919:-1 gene:ONIVA03G30680 transcript:ONIVA03G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHHDVTQLLPDDLLAGVLARRAWRAVIDTRRLLRADLLPLSFAGIYINFHEMHRSVFLSRPSSTRPAISGMFTNYTPNDNLVENHCNGLLLLKSGVANPATRQWVPSPPAPPQPV >ONIVA03G30670.1 pep chromosome:AWHD00000000:3:26260706:26261546:-1 gene:ONIVA03G30670 transcript:ONIVA03G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFYRFDSYLVFDPTISTHYEIFKIPRVPSTGFRVLDPMLKSLQWPPSLCVLQVFSSRTRQWGERLFVRDGAAAGTVTDMALAFPFDHYNGVYWHGALYVRCQADFVMRISLSDNKYQVIKLPMNTEVCQYKNHFLGRSMRGVHYALIDNEHRLRVWFLNELYGQMTWELKHDNDLSFLLRCQEVCTQNDGPWTLQYHNYFGYPRQNDMDNYYEAYKKHIVRKYHYEYESVWYKNHCEDIKKMW >ONIVA03G30660.1 pep chromosome:AWHD00000000:3:26258582:26260669:-1 gene:ONIVA03G30660 transcript:ONIVA03G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLTLQIQTKENAMDIFQFWDSILIKKFDGGAPRRRDATPIRRRARGRPRAPRAALARSPIAASRCVCKAWRAIVDTRRLLRADLLPLSLAGIYLNFSTSGWICTGLTTISCSTPPYHHTTRSSKSHRSVVNPTSYILWLRACHGHHHKAYCRCSHRGLGNGKRDG >ONIVA03G30650.1 pep chromosome:AWHD00000000:3:26257698:26258542:-1 gene:ONIVA03G30650 transcript:ONIVA03G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEYLYDRYNGVYWQGALYVLCQTDFVMRSMRGVHYALIDNEHRLRVSFLNKSCGCQEICIQNDGPWTLHYKNYFGHSSQNGAEEYYEAYKEYITRRYCYEYDNAPYKNHCEDIEKDVVVRVNKFEWDSDNDDIYS >ONIVA03G30640.1 pep chromosome:AWHD00000000:3:26255772:26256723:1 gene:ONIVA03G30640 transcript:ONIVA03G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGERRGARKSRVAGAAVLRALRRARDLYVRGARGFGKFVVAANPRAGVVGRPTSRVFGVGELNSEQELRELVRGAGAMRATRA >ONIVA03G30630.1 pep chromosome:AWHD00000000:3:26249860:26253789:1 gene:ONIVA03G30630 transcript:ONIVA03G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRQLHTHRPLSPASLLSSPTSRSAASHRISRRTTSTRAPTTTSLLAPGSASPPPPSTTLHAGSALPPPSTAIDSLLAPATSPVVFAEN >ONIVA03G30620.1 pep chromosome:AWHD00000000:3:26244484:26245076:1 gene:ONIVA03G30620 transcript:ONIVA03G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDRESLATVRMEGYGPLFEAASSGKIDLCKYLVEELGFDVNAEASRDSGNAIVMGAVVCEASLDRVRNRLYLTMKSLTIVTALGRVF >ONIVA03G30610.1 pep chromosome:AWHD00000000:3:26243309:26244086:1 gene:ONIVA03G30610 transcript:ONIVA03G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GRR5] MAHINMVVMIKVVVITCLVSPVRSSLQPNELFQSIWWPPFEILQHDFFRGSYCSILQTLLASTIRTSSGLHDYTYILDRQRLYHPPASMKMTNLTGRGDDITMLAIRADNLNVIAFANRSGNWHAFEKYADLIPEPVTRLTIGDDYASLLGNGGITNLPNLNLGRHAALDAIHHWWRNHLSSVGRFPQ >ONIVA03G30600.1 pep chromosome:AWHD00000000:3:26233214:26233591:1 gene:ONIVA03G30600 transcript:ONIVA03G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETGSASTSAQPSPDRSSNLDLSPSNDHDSTDACTDPTATPPVGATHLPPISSLHTAPTLTTIATPLVGAQHTRHHAAQNLHHLGAVHRYNVHGCHDEKRKVQPLPDEKKATPAAGLELGATS >ONIVA03G30590.1 pep chromosome:AWHD00000000:3:26230997:26231428:-1 gene:ONIVA03G30590 transcript:ONIVA03G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGERRSAGAYEAALRAVQRPAAKPWRGGGGGGGAGGSAGAAGAAPPKVYRVAPRDFRELVQRLTGAGTAAPAVAMGASQAVPAPPPPYAGVPAHGQSDAAAAADMFDYASWFSVPLLSPASMPAAGYDGQLHHGHGALL >ONIVA03G30580.1 pep chromosome:AWHD00000000:3:26225644:26226138:1 gene:ONIVA03G30580 transcript:ONIVA03G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTARVAAALFLLLLGLSATAPLAARDLMSAAPSAKKQPAGRKPSVQPGYPGTNPGGGGGGGIPTIPGFGSIPGMGGGMGGFNVPGMGGGWGGGYGTPSGGYSRGGVVVPTVVCSDKGPCYRKKVTCPKKCFSSYSSSGKGYGGGGGGGGCTIDCKTKCTAYC >ONIVA03G30570.1 pep chromosome:AWHD00000000:3:26219612:26220139:1 gene:ONIVA03G30570 transcript:ONIVA03G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAAPKSAAAAAGNCKYWLLKTEPGEWSWSDQARAPGGGVAPWDGVRNRQAVNGLRAMRVGDRCLFYHSGAGAASRRVVGVVEVAREWYEGEGEAASGGAVDVRAVGEFRRPVALGEIKKAAGGGGGEVEGMREFALLRQPRLSVMPVPAKVWDWICEMGGGFVQDGEDEDDS >ONIVA03G30560.1 pep chromosome:AWHD00000000:3:26214757:26216122:-1 gene:ONIVA03G30560 transcript:ONIVA03G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIEAHLGHLLHGDSSSVGGSAVATVPAASVAHAERRLHQLMSPDRDQEERATTSPRPAVSVQSCVERGYSVVTVQCRYRPKLLLDAVCTLTDMDYVFAPLLLPLAAAAAAAAASLPSTSEEVAQM >ONIVA03G30550.1 pep chromosome:AWHD00000000:3:26211894:26215217:1 gene:ONIVA03G30550 transcript:ONIVA03G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGKQSAATATTTHTVCHQAYPSYNRSAKMPSVPQWWMINDDRATMMTLETGQAGCMSRTIFVASMDGHYLSLGCEENGQHEVPPAQITQGHLDRTAQEAIDTSLPFLGGVLSCLSFAVSDRRNRGEKIARAKKQKNPRGEGERGDQEFRMRLSFPLVAGAVVIGVISGNVTFGPPLQKYWAEKQQQQQQQQQEGAKEGQTGTT >ONIVA03G30540.1 pep chromosome:AWHD00000000:3:26210174:26211048:1 gene:ONIVA03G30540 transcript:ONIVA03G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMCVQPIYHGKIEPLDIRKTGRSLISIIMKKYEHTPEMESSARRRPAKLITELGAVL >ONIVA03G30530.1 pep chromosome:AWHD00000000:3:26205294:26205560:1 gene:ONIVA03G30530 transcript:ONIVA03G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMADLLHISWPHLPDQCDGEAPILCSRARDDAPLVFLVLAAAVFLLLQVQRGSSSCTCKGKHRLFCFASTDQTVHILPIF >ONIVA03G30520.1 pep chromosome:AWHD00000000:3:26201373:26201747:-1 gene:ONIVA03G30520 transcript:ONIVA03G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLASPRCAPFCGRSGAGAGGAPRARRQQGGGCGRPLDRVAGWVGGGIAAAFFASLERCSCVNVRTHDELDDETRDSEALLIMFDVVDGSEDAASAAAAAGGRRGRRSEKSKRGGGGCGGQLW >ONIVA03G30510.1 pep chromosome:AWHD00000000:3:26195391:26195870:-1 gene:ONIVA03G30510 transcript:ONIVA03G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMHLLAPPAQAFVVAPAFDGAAAPPREDDPAADQPPEREADADERRLRRKISNRESARRSRARKQRHLDELRARAERLRRCNRELAARGHAARGRAGLVRLANARLRAEAAALTRRLAAARRAIALGQLYRAAAAAAAAAAGGGVGTFEQTIASLIA >ONIVA03G30500.1 pep chromosome:AWHD00000000:3:26185395:26186696:-1 gene:ONIVA03G30500 transcript:ONIVA03G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLSELLQEQQEPFLIEAAKIRRLRRGGGRGGGGGGACCPVAACRRLLRLCNHGFKKRRGCGGVGGGGGVGGGGVSGLRSALSKALCGKAVRRVLRWDSLGCFPGGVDREFRRLRRSTGDSGECDPRAMDFSGHSNDERPPGRWKAPGIGMDMDVDESSRQLSPVSVLDLHSDDGDSPVHCRWEDEKPSTSGSSPPSEGFIGATSPCFTYNIHGKIIPMEVEEDEEEGDEEEEEMARAGKSIEQQISSWERIAEDISNIPRMVEMDFSQSIQQWGELKLEAAMEIGTRIETLIFDEIRRETVCDMLASHCTLAAATTSC >ONIVA03G30490.1 pep chromosome:AWHD00000000:3:26174343:26174685:1 gene:ONIVA03G30490 transcript:ONIVA03G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDRMATRSHTPESGGTSEQPHAAVAALEYALCVNGKPCARPRVDGSPATDRCRASMRSPALDCVSSMGSRAFGRAWGNGVGGRASGT >ONIVA03G30480.1 pep chromosome:AWHD00000000:3:26166032:26172701:-1 gene:ONIVA03G30480 transcript:ONIVA03G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLPRYRHVASPPPPVHAGVAGLGDEQQLEQLARVLSSLGTNEMAFAAPLLANSALLAAWPGSITVFAAPDI >ONIVA03G30470.1 pep chromosome:AWHD00000000:3:26163453:26163920:1 gene:ONIVA03G30470 transcript:ONIVA03G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGAVAAPAPASGGAVAFAWEHEPGVSKLLQGTATTAAGEAEKLPPAKKEAVPHRIRVRPPPGAAGRGGRRGGGGGGAAVRPEEDPFLAAFLACTERGNSGAPKGGSKLLGLGLGLGLGSGLGLGLSCKGPGGVVQSVVRLAKTMPPQALNDD >ONIVA03G30460.1 pep chromosome:AWHD00000000:3:26151459:26155594:1 gene:ONIVA03G30460 transcript:ONIVA03G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADFSAAAMELGEVLGLQGLTVPSTKEGDLSLIKRAAAGSFTQAAAASYPSPFLDEQKMLRFAKAAHTLPSGLDFGRENEQRFLLSRTKRPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFRSSANRMSWRPLYQGFPNADSDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQSRKTVKETPCAGSLPSSVGQGSFKKAKVNEMKPGSISYWTDSLNRTMANKEKGNKAAEENNGPLLNLTNQQPTLSLFSQLKQQNKPDKFNTAGDSESISSNTMLKPWESSNQQNNKSIPFTKMHDRGCLQSVLQNFSLPKDEKMEFQKSKDSNVMTVPSTFYSSPEDPRVSCHAPNMAQMQEDSISSSWEMPQGGPLGEILTNSKNPDDSIMKPEARPYGWLLNLEDHAM >ONIVA03G30450.1 pep chromosome:AWHD00000000:3:26123083:26129510:1 gene:ONIVA03G30450 transcript:ONIVA03G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYHCCGAAFFEHVVIIVVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTDQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSRYGLAIGASVAPLVRVLVWVCFPVAYPISKLLDHLLGKGHTALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTPLCQTFAIDINAKLDRDLMQKVLDKGHSRVPVYYEKKTNIIGLILVKNLLSINPDDEIPIKSVTIRKIPRVSEDMPLYDILNEFQKGHSHMAVVIRQTNANYAAEPPANDGGTLAFYLTIFSLFSLFLSMWNKEVAISIDDKHGEKVVKNLPPLRRWKSYPNSQNSNRGNRNRKWSKDQSDVLQIHEEPLPTLNEDEEAVGIITMEDVIEELLQEEIYDETDVHVEEQ >ONIVA03G30440.1 pep chromosome:AWHD00000000:3:26116732:26116941:-1 gene:ONIVA03G30440 transcript:ONIVA03G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEEVVAGGGGEVGAGAARRPAAGRGSVAVGGRRQRRLARAVVTAPASVSASASVMVDDGCCGGAP >ONIVA03G30430.1 pep chromosome:AWHD00000000:3:26115717:26116462:-1 gene:ONIVA03G30430 transcript:ONIVA03G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVHDDDFLVVGRVFSLLSVSPLLWPDPFLVMGNARGRAEALACYRSLRRMDGMRVAKGPSLPLVMIKPCRAFGRFDNDSARGRRFPPWRRCHGIISYPHKSPGENLVTIYGQAAAALRVVSSLGASLRRSSNASMTVDGCTFLGSASFLWWATRSSVVSADESKLLADGGAATLGNDDMLQSLPWSSGVGRVKEVAPRWLG >ONIVA03G30420.1 pep chromosome:AWHD00000000:3:26099698:26107744:-1 gene:ONIVA03G30420 transcript:ONIVA03G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGALLTSAGINISLCILFLSLYSVLRKQPQNVKVYFGRRIAEENSRLREAFILERFVPSASWILRSLRCTEDELLATAGLDAVVFNRILVFSIRIFSLAAFLCVLGVLPLNYFGQDMLHVRIPSASLETFTIGNMQERSRWLWVHCVALYIISGVACLLLYLEYKHIARLRLLHVSRASTNPSHFTVLVRGVPKSTKESISCTVESFFTKYHASSYLSHQIIYKVGKLQKIVTGAKKAYKKFKHFKGTTVDQRCGPITYRCGLCGASSKSFELLPVEPEQEMKKHDVKDSELSLPDKDCGAAFVFFKTRYAALVVSEIVQTSNPMEWVTSLAPDRDDVYWSNLWLPYKQLWIRRIVTLSGSIVFMFLFLIPVTFIQGLTQLEQLQQRLPFLNGILKKKYITQLVTGYLPSVILQIFLYTVPPTMMFFSTLEGPVSHSERKRSACCKVLYFTIWNVFFVNVLSGSAISQVNALSSPKDIPMVLARAVPVQATFFTTYVLTSGWASLSSELMQLFGLTWNFIMKYVLRMKEDSYFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQFLNVYCTKYDTGGLYWPIAHYTTIFSIVLTQIICLGVFGLKESPASLIAAIVFGHYSRLSLHREDEQSGRMDDIHHRLHSAYCQFADTDDIPLKGVHVDRDADASGSSGESSCKEDTNQPTTSDISHPTLEGLPVNRLRHAVRSLSSIIRLQKRGLSPQPAGPSADVNPQTA >ONIVA03G30420.2 pep chromosome:AWHD00000000:3:26099698:26107744:-1 gene:ONIVA03G30420 transcript:ONIVA03G30420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGALLTSAGINISLCILFLSLYSVLRKQPQNVKVYFGRRIAEENSRLREAFILERFVPSASWILRSLRCTEDELLATAGLDAVVFNRILVFSIRIFSLAAFLCVLGVLPLNYFGQDMLHVRIPSASLETFTIGNMQERSRWLWVHCVALYIISGVACLLLYLEYKHIARLRLLHVSRASTNPSHFTVLVRGVPKSTKESISCTVESFFTKYHASSYLSHQIIYKVGKLQKIVTGAKKAYKKFKHFKGTTVDQRCGPITYRCGLCGASSKSFELLPVEPEQEMKKHDVKDSELSLPDKDCGAAFVFFKTRYAALVVSEIVQTSNPMEWVTSLAPDRDDVYWSNLWLPYKQLWIRRIVTLSGSIVFMFLFLIPVTFIQGLTQLEQLQQRLPFLNGILKKKYITQLVTGYLPSVILQIFLYTVPPTMMFFSTLEGPVSHSERKRSACCKVLYFTIWNVFFVNVLSGSAISQVNALSSPKDIPMVLARAVPVQATFFTTYVLTSGWASLSSELMQLFGLTWNFIMKYVLRMKEDSYFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQFLNVYCTKYDTGGLYWPIAHYTTIFSIVLTQIICLGVFGLKESPVAAGFTVPLIILTLLFNQYCSNRLRPLFKTLPAQDLIDMDREDEQSGRMDDIHHRLHSAYCQFADTDDIPLKGVHVDRDADASGSSGESSCKEDTNQPTTSDISHPTLEGLPVNRLRHAVRSLSSIIRLQKRGLSPQPAGPSADVNPQTA >ONIVA03G30420.3 pep chromosome:AWHD00000000:3:26099698:26107744:-1 gene:ONIVA03G30420 transcript:ONIVA03G30420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGALLTSAGINISLCILFLSLYSVLRKQPQNVKVYFGRRIAEENSRLREAFILERFVPSASWILRSLRCTEDELLATAGLDAVVFNRILVFRLWVHCVALYIISGVACLLLYLEYKHIARLRLLHVSRASTNPSHFTVLVRGVPKSTKESISCTVESFFTKYHASSYLSHQIIYKVGKLQKIVTGAKKAYKKFKHFKGTTVDQRCGPITYRCGLCGASSKSFELLPVEPEQEMKKHDVKDSELSLPDKDCGAAFVFFKTRYAALVVSEIVQTSNPMEWVTSLAPDRDDVYWSNLWLPYKQLWIRRIVTLSGSIVFMFLFLIPVTFIQGLTQLEQLQQRLPFLNGILKKKYITQLVTGYLPSVILQIFLYTVPPTMMFFSTLEGPVSHSERKRSACCKVLYFTIWNVFFVNVLSGSAISQVNALSSPKDIPMVLARAVPVQATFFTTYVLTSGWASLSSELMQLFGLTWNFIMKYVLRMKEDSYFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQFLNVYCTKYDTGGLYWPIAHYTTIFSIVLTQIICLGVFGLKESPVAAGFTVPLIILTLLFNQYCSNRLRPLFKTLPAQDLIDMDREDEQSGRMDDIHHRLHSAYCQFADTDDIPLKGVHVDRDADASGSSGESSCKEDTNQPTTSDISHPTLEGLPVNRLRHAVRSLSSIIRLQKRGLSPQPAGPSADVNPQTA >ONIVA03G30410.1 pep chromosome:AWHD00000000:3:26098855:26099082:1 gene:ONIVA03G30410 transcript:ONIVA03G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYQVKCGTCGKSTWAGCGRHVASVHSQIADGQHCACRAWPGVADKAAAAATDKAAAAATDAAGEAPSSSLCAIM >ONIVA03G30400.1 pep chromosome:AWHD00000000:3:26077490:26079519:1 gene:ONIVA03G30400 transcript:ONIVA03G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMVGSSAASIRMCRQVKCETCGKSTWAGCGRHVASVHSQIADGQHCACRAWPGVATAAGEKDLAVTAAAAAAETAGGKTTSAAAGEPRGPASRLAAHEELYGPSCATITCK >ONIVA03G30390.1 pep chromosome:AWHD00000000:3:26061860:26069488:1 gene:ONIVA03G30390 transcript:ONIVA03G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNNSCCGAIKMLYHLYNTSNPPRVHDGGGGSTARTRAGGRTDSTAVARRGRDGTVVGAGLLPPPLPSINLWKDEMGARMEGVAVEALLDGEVGGGGGGGVARWRGCKPEGVGVSRVGMAAMRRAGALNLEVGMMVEASALREEEQE >ONIVA03G30380.1 pep chromosome:AWHD00000000:3:26056730:26086317:-1 gene:ONIVA03G30380 transcript:ONIVA03G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLHLLLASGGGSKASTTITPFCLARDLASTSSPSPAAVAAPAPPPEATSGSEQSIHGSGTGLQSSEAMIKAKIMSHPLYPSLLRAFVDCKKVGAPPEVVGRLSSLAVVTDVPQYSGDRWLPAQQPAADPELDQFMETYCYMLTRYGQELARPIQEAEEFFRGIEEQIDSLALDEDVSYDYEDEAAGGLPEKSAAFGENEVTTTTRRHLMNKYSGYLNSLWTEISNKKKNSTGHLPRDARHKLLQWWHLHYRWPYPSISTPMEQQLPLLAPDSKAATSSPLCLTLDNPTSTSTSPAVPSSAPPPAAALEPSRQSFHERETDAIKAKIMSHPLYPALLRAFIDCQKVGAPPEVVGRLSALAGELDSRAEDMHLQGQSSDPELDEFMETYIDMLVSYRQELTRPIQEADQFFRNMEAQIDSFTLDDNGSEGGNSSEDEQEAGGGDMASAGLPEITIPCAEDKELKSHLLNKYSGYLSSLWRELSKKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPTPPAMEYRSLQPAGAASYGGASAGASTSGGGSAVVRGMEGQHFTGGGAYPRGGP >ONIVA03G30380.2 pep chromosome:AWHD00000000:3:26056730:26086317:-1 gene:ONIVA03G30380 transcript:ONIVA03G30380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLHLLLASGGGSKASTTITPFCLARDLASTSSPSPAAVAAPAPPPEATSGSEQSIHGSGTGLQSSEAMIKAKIMSHPLYPSLLRAFVDCKKVGAPPEVVGRLSSLAVVTDVPQYSGDRWLPAQQPAADPELDQFMETYCYMLTRYGQELARPIQEAEEFFRGIEEQIDSLALDEDVSYDYEDEAAGGLPEKSAAFGENEVTTTTRRHLMNKYSGYLNSLWTEISNKKKNSTGHLPRDARHKLLQWWHLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPTPPAMEYRSLQPAGAASYGGASAGASTSGGGSAVVRGMEGQHFTGGGAYPRGGP >ONIVA03G30370.1 pep chromosome:AWHD00000000:3:26051710:26054183:1 gene:ONIVA03G30370 transcript:ONIVA03G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAGQVVGDGGAGAGDDNIILNPEFDSGLDNWSGSGCKIELHDSLDDGKVLPVSGKYFVAATGRTDTWNGVQQDVTSRLQRKLLYEVAATVRLSGAAATPSPCEVRATVAVQNTDGRQQYISVAKSPAVSDKEWVQLQGKFLLNGTVAKAAIYIEGPPAGVDLLLDSLVVKHAQKATPAPAPDFKNLEYGANILQNSDLDDGVNGWFGLGSCALSVHGGAPRVLPPMARQSLSPLDGDDGDGGEPLNGKHIHVTNRAQTWMGPAQVITDRVTPYATYQVSAWVRVGGQQAAGKPQNINVAVAVDSQWLNGGQVMALDERWYEIGGSFRVESSSTPPSRVMLYVQGPDPGVDLMVAGLRVFPVDRKARAKHLRKLTDKVRKRDVVVKVTAAAGGAAAADGVEVRVRQVSNSFPLGACIMRTNMDNEDYVDFFTKHFNWAVFGNELKWYWTEPEKGQLNYADADDLLKLCADHGMCVRGHCIFWEVDSAVQQWVKALPADELSAAVASRINGLLTRYKGKFRHYDVNNEMLHGSFYQDKLGAGARAAMFRAASELDPDALLFVNDYNVEGACVDVRATPEAYIAQVTGLQEQGAAVGGVGLQGHVTAPVGAVVRAALDRLAVLGLPLWFTELDVSSANEHVRADDLEAMLREAYAHPAVDGVVLWGFWELSMSRDDAHLVDAEGEVNEAGRRLLQLKREWLTRAHGRADGNGEFRFRGHHGAYHVDVVTPAGAKISQEFTVDKDDAPLVLNITV >ONIVA03G30360.1 pep chromosome:AWHD00000000:3:26047927:26048512:-1 gene:ONIVA03G30360 transcript:ONIVA03G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRVMDNVHFDNLVCQALFGDGALVVVIGADPVVATAGGSGGERPLFELVHATQTLIPETGGAILGLLREAGLLKMVSGAGVDFTDDDDRNAAVLCGPPGRAHHPRQGGGCAGPEAGEDEGVAQGARRLRQHGQRVRLVLGGGQPAKRAAPLARGASGAFSSASAHASPWTPSN >ONIVA03G30350.1 pep chromosome:AWHD00000000:3:26036970:26044345:-1 gene:ONIVA03G30350 transcript:ONIVA03G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDDVEEDDMDFNPFLREGSPSETSSSLTSEAECEETSFDDQRSSEVYPHGNFVNEHTGDCALPQSALLSEDTCKETNPESTSSQVPCENGDGCLNGLEQEALPSEVACSPSLKDSHNLLLEGSEEDAICRRTRARYSLANKSLEELETFLQESDDDGDLQNVDEEEEYRKFLAAVLSGGDDGTQACQGDENQDEDENDADFELEIEEALESDGDENAENYEDTNIMKEKDGRRRQTRKNRPCTELSGAANEHYGSTKSSLRPILPYISPELLASGQPYGWQYPSQSTFIPSSLMPVNGAALANGFSDQQLGRLHMLIYEHVQLLIQTFSLCVLDPSKQQLATDVKKMIVELVGCCDRALASRSTIHRQFCFEPQHLRSSFGFSSSETLQYQWMPLIKSPVMSILDVSPLHLALGYLKDVSDAVVKYRKSHVDGTADKNRFKKEPLFPTTVFNTCKDANKVSQGRSNSVSSSPDTSGKSQQKKTLAATLVENTKKESVALVPSDIARLAERFFPLFNSSLFPHKPPPTAMANRVLFTDAEDGLLALGLLEYNNDWGAIQKRFLPCKSKHQIFVRQKNRSSSKAPDNPIKDVRRMKTSPLTNEEQQRIQKGLKAFKNDWALVWRFVVPHRDPSLLPRQWRSATGVQKSYNKSEAEKEKRRSYEAKRRKLKASMPNSQAVHGQEADNNGSEGAENDDDDLYVNEAFLADTENRSINYQPYQLSLPRNAGNGMMMQSGSSLCEESGVAGDSAEQQKGNSTNFDVTASYFPFSSCTSDGLSSKRKVQCGSLDQPQASQFSKEKGSCVVKLAPDLPPVNLPPSVRVISQVAFHQNATQLNGTSDNAAKDLFPVPPPTFSESVYRQLNLFPDHSTNVRLHQSGISNGNTTEDGAEQDFQMHPLLFQYPREVLSSYNHPVQNLINHSRDLFPFEKVQTEKSNNQTTDCIETRTPVNANTIDFHPLLQRTEVDMHGEVPGDDCNRPYNQSECNMREAPADDQSTARKKSTGPCEKENNIDLDIHLCSSRDYMNGNDTRGTSSKLNDRAEVSRKDKASVSELEDGNVCSHHGIEEPNEESMQGIVMEQEELSDSEEDSQHVEFEREEMYDSDEDQFQGVDPLLAQNKEVSTSVGCGEYEGSNNQSQNQQRLVQVGGKQGAATQKPQRLSNARPAREKLKGDNAKRPGSRTTQRSSTSPTTEPSQTKTRRPKAQQVQIGAERKSSDSRRSRKKPAPS >ONIVA03G30340.1 pep chromosome:AWHD00000000:3:26032213:26032437:-1 gene:ONIVA03G30340 transcript:ONIVA03G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLPARGAAGRMKKMGAWMSRVWFLMFPAKKYKIVVVGQTNLHAAQTNLPQSDLSPPWQWQQRGLELVGWRY >ONIVA03G30330.1 pep chromosome:AWHD00000000:3:26030740:26031215:-1 gene:ONIVA03G30330 transcript:ONIVA03G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHLLLLLLLALLLASSVLAKAAAGFTEEKGVAGIRGVIGSRPPSCEGRCRSCGHCEAVQVPITPQQLQKKRGQGDDRRRKKKQLLGHGDRAAAAGGRAMPDSYDDHSNYKPLSWRCKCGGMILNP >ONIVA03G30320.1 pep chromosome:AWHD00000000:3:26019940:26022494:-1 gene:ONIVA03G30320 transcript:ONIVA03G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATARSPSPGPGARPGCAVRRSADSSPAASPCDLAALRSSAVCRNASPRASWCAEKENSGQRDAAARAAAAPKPARPAAGAAKNFMAPTISAASKASPKKKVLGERNEQQQLYPVASSPVKPMASEAGAPRRLRLSFDGAPNTAPPAASTPVTAAAGSRHSFGGEEERRVENPPCKNHHHATAFDDAASAEADQGAAPYDPRTNYLSPRPRFLHYKPNPRIDLYRQGSSGGVRRLEDGFASESSEETVTTTTTTSSEEEVVLVDEEQQAHLSSELGDGAAVPAADACALPLEPASGSPRARVLTPEPATRSPRARVQTPEPEPTASSERARRPRKRSSLRFLVAPLALVVFMAAALICVPPPPVDSPVMPLTALSKVSDFLSVQELHPVELAAWLKQWSSSSLNLVTSYWESLVWAQEQEFFGPHFAANLSAATASAHEGVDLYCNFVETRPVLMEDIGASAFEQDSKIQEAVSASDSELISEISDVEQEDITDKGDVIDDGFLAEELNVEMPEEDAEMSQEVSGSNGEEMASFSQDLEPSQPAGEAEPLENIETSTSSLKQDVQTDDSEGDRAADGEESPEADHGMKSELGMWPSYLDKISKPAAAGAALAAVIVPAALAFLLMSKKQDQAVANAAAEAPADQAEPVVEKTLSGSGSSEGHLRVKGSQLQTPPVADETERFGGGSGASMYSSSLSSGYGRRKSAKEDESLSLDPVSRRDSAAQPTSSYGSFTTYEKIPAKKRNKDDEAVTTPVRRSSRLRNQVKSPEA >ONIVA03G30310.1 pep chromosome:AWHD00000000:3:26015142:26018917:-1 gene:ONIVA03G30310 transcript:ONIVA03G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G22960) TAIR;Acc:AT3G22960] MATSAAAAASTLPYLVAAASSSAAARRRGAHRIRASSAAAEVEGAMDVVSEAELREKGFMGLRKTKLVCTVGPACVGALPALARGGMGVARVNLCHGGRGWHRAVMREVRRLNEEEGFCVSLMVDTEGSQLLVADHGGAASVKAEDGSEWLFTSKRTDESHPFTMHVNFDKFSEDILVGDELVIDGGMETFEVIEKVGNDLRCKCTDPGLLLPRAKLSFWRNGKLVERNFGLPTLSAKDWADIEFGIAEGVDCIALSFVKDANDIKYLKTYLSRKSLEHIKIFAKVESLESLKNLKDIIEASDGVMVARGDLGVQIPLEQIPAIQEAIVDLCRRLNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADAVMLSAESAIGAYPQKALAVLRAASERMESWSREENMQKLLPQHQLAIALPDRISEQICTSAAEMANNLAVDAIFVYTKYGHMASLLSRNRPNPPIFAFTDNANSRKSMNLYWGVIPLQLPLSNNMEDNFNQTIKLMKSKGSVKSGDTVLVVADSDLNRPRAATSVFQSIQVRIVD >ONIVA03G30300.1 pep chromosome:AWHD00000000:3:26011646:26013001:-1 gene:ONIVA03G30300 transcript:ONIVA03G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLIPGKATAPSDDDDEEEKDNGKDYIVHCADEIGPSQLDNAPQPSQPTQQYNTCCNQSIKLNTLQIIRLPFTFSKDSSRDPPPASPDSIARAITAGPSILDIGCDEQTGSPGGKVGAVDQRRRNGNQGGGGGGKPLGDCCLQPHRGAGWQDGGDVLCVVGSGCRVGEDWRGGEGS >ONIVA03G30290.1 pep chromosome:AWHD00000000:3:26004311:26004868:1 gene:ONIVA03G30290 transcript:ONIVA03G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTVAPQADFALAEEPLEVSRLGSRRAKPQPGATRWRSRSPVRCLVRSHRRHRCSSPPMLVKKGGGEEPPLLWPPPPDPVSLRPDPASPPSMSSSASSWGEEDGGVEPASGSATNAREEGRGRETAAVVAPAARSSELAPEFCEPDAAKLATPLASSSAPSWGRRTAASSPPPDPPPRTCPRR >ONIVA03G30280.1 pep chromosome:AWHD00000000:3:26004295:26006445:-1 gene:ONIVA03G30280 transcript:ONIVA03G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSLSLTMLTGGGGNGKVEMRWCWRMWMRHHGTWKKWIYQRLGWELLPFFLCNHCDTDRQALLRRIHRQQPWKHRIYLLWAAEWRSAAKVLNEGDDGSRQIQEDLILITSSNFKRRGQVLGGGSGGGLDAAVLLPHDGADELANGVASLAASGSQNSGASSLDLAAGATTAAVSLPLPSSRALVADPDAGSTPPSSSPHDDAEELIDGGLAGSGRRLTGSGGGGHNSGGSSPPPFFTSIGGEEQRWRRCDRTRQRTGLRLRQRVAPGCGFALLEPKRDTSSGSSASAKSACGATVPTAMDARV >ONIVA03G30270.1 pep chromosome:AWHD00000000:3:25997459:26001321:-1 gene:ONIVA03G30270 transcript:ONIVA03G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSLFMEWAMETLQHLHPLPATPPPAGGGYAGDNATFPSLQALRESSVSQNGMAPPEPTAHEGHRASNSWSSGDTDSVSGGGGGAVMEHDGWSTSPNSVRCAAGGGGGGGGLWPVSWNFSSAMTQPCNDQATPPNPPPTTRARYGGGGVRYLPAAVSPSPSAQTRRASSKGNGGGGSGSSSAAPYAQEHIIAERKRREKINQRFIELSTVIPGLKKV >ONIVA03G30260.1 pep chromosome:AWHD00000000:3:25994995:25996329:1 gene:ONIVA03G30260 transcript:ONIVA03G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRQGEGGSSGGGPVGGGGLGIKAEDLLDGGGYNPRGGGSLGTGARFSTMRRQIPSGVEGLGVRVEEDFLNGNGGPRGEDVEKQGCAEVSNQQFFVKATQLKRTSVACPASRPTSSTASGTSSWIGTTCRCPLGTCDLRLHPSPCCAKLYKKGIIQPSPICTHVPAEAEKEGTVAKAEEFGSVGKIVS >ONIVA03G30250.1 pep chromosome:AWHD00000000:3:25958848:25959861:1 gene:ONIVA03G30250 transcript:ONIVA03G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSLFLQWAVSTLQHQHPAAVAVVADDDATFFSFQELCDAEEVVVVPVQEEVITEAHGGGASRIGLAVAVDEHGGWSRSPNPGARPPSGGCGSNNLPLMSWDFSAASVAVQVQPNGGGGGGAPEMAYGSPLAAGGSTTRKTSAPTVAAAAAAAYAQLEHVVAERKRREKINQRFMELSAVIPKLKKMDKATILSDAASYIRELQEKLKALEEQAAARVTEAAMATPSPARAMNHLPVPPEIEVRCSPTNNVVMVRIHCENGEGVIVRILADVEEIHLRIINANVMPFLDQGATMIITIAAKASSSLLY >ONIVA03G30240.1 pep chromosome:AWHD00000000:3:25954210:25957052:-1 gene:ONIVA03G30240 transcript:ONIVA03G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSLFSPMAAVRRPSPHRSLAAVLLSLRALTGKPGSSGREEGCREGERRKAMGGSTVAARRDMRRKKDDIMVATVWKPTKTKARASSSLNDYNECKKERVVCLAKCIKHFCCILNYNSWNSLAKGS >ONIVA03G30240.2 pep chromosome:AWHD00000000:3:25954210:25957052:-1 gene:ONIVA03G30240 transcript:ONIVA03G30240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSLFSPMAAVRRPSPHRSLAAVLLSLRALTGKPGSSGREEGCREGERRKAMGGSTVAARRDMRRKKDDIMVATVWKPTKTKARASSSLNDYNECKKERGS >ONIVA03G30230.1 pep chromosome:AWHD00000000:3:25952719:25955395:1 gene:ONIVA03G30230 transcript:ONIVA03G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDVEYRCFVGGLAWATDDRSLEAAFSTYGEILDSKIINDRETGRSRGFGFVTFSSEQSMRDAIEGMNGKELDGRNITVNEAQSRRSGGGGGGGGYGGGGGGYGGGRGGGGYGGGGGGGYGRREGGYGGGGGYGGGRGGGGGYGGSRGGGYGGDSGGNWRN >ONIVA03G30220.1 pep chromosome:AWHD00000000:3:25942796:25945470:1 gene:ONIVA03G30220 transcript:ONIVA03G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) TAIR;Acc:AT5G22130] MASAAVTLPRVMLAAAAVRAAMLALGEWQDAHLEVRYTDVDYLVFSDAAASVAAGGSPFARATYRYSPLLAYLLVPNSLLHPTWGKLLFSAADLLVGLFIDTILKLRGVPDSTRIWSVVAWLFNPFTFTIGTRGNCEPIVCAVILWILICLMNGASFWYGLIVHFRIYPIIYAIPFVIVLGKNYAGPAGRPILTQWTSKQHLQSDKSSPSVEGATSLLTNLWNFLTSLITRNTILFGLLSGSMFFLWTGVFFYLYGWEFLNEALLYHLSRTDPRHNFSIYFYHIYLHHQQGFSSIQKLASFLPQLIVQLALILRFSRDLPFCLFLQTVAFVAFNKVMTAQYFVWFFCLLPLILPWTTMKLKWKGLACILVWMGSQLHWLMWAYMLEFKGQNVFIPLWAAGLMFLAANIFVMLMVINHHKFTPLFSSSTVKSASKIAAKKE >ONIVA03G30210.1 pep chromosome:AWHD00000000:3:25939606:25942574:-1 gene:ONIVA03G30210 transcript:ONIVA03G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 11 [Source:Projected from Arabidopsis thaliana (AT1G65410) TAIR;Acc:AT1G65410] MASPSIPAALRHPHAHAAGLRLLRSSGDPCPPCTLPFRLCGVPLKKGHVYAGAVSATRSPGLGNAENLRESPSLSRSWGMNGQIGDDHDILIECRDVHKSFGNKKVLNGISFKIRHGEAVGIIGPSGTGKSTVLKVMAGLLAPDKGDVIICGRKRHGLVSDEDISGVRIGLVFQSAALFDSLTVRENVGFLLYENSSLPEERIATLVTETLAAVGLKGVEDRMPSELSGGMKKRVALARSIIYDDTKETIEPEVILYDEPTAGLDPIASTVVEDLIRSVHVTGKDALGKPGKIASYVVVTHQHSTIKRAVDRLLFLHEGKVVWEGMTQEFTTSTNPIVKQFASGSLDGPIRYF >ONIVA03G30200.1 pep chromosome:AWHD00000000:3:25936875:25937252:1 gene:ONIVA03G30200 transcript:ONIVA03G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPLRRLLLRLGKPPPLPIPLLPHITPQTAQAAGDPAAPPCPGAIPSQAPARNLRDVISSFHPGLQIYPSLDPRFGEVEEGVDGEGGGAEVWADSVKKKRKRKMNKHKLRKLRKRLRRQT >ONIVA03G30190.1 pep chromosome:AWHD00000000:3:25934798:25936773:-1 gene:ONIVA03G30190 transcript:ONIVA03G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAHETDRCDGERESGKQRAAKGTEGTTAAALQLHGDVLGEVLRRLAPRWLAACRCVCKAWRDAIDGGGLLRADLLQLPLAGFFLNFSCHDYSEYFSRPTTTTTTTTCHRHLITGKLDFLPSEGEWQMALDHCNGLLLLESGCVVNPATKAWMDLTPYPPPPPLIEEEQEEVKTYPEEHLVFDPTLSPHFEVLIIPHLLPFDKDRSKKQPPQNSAWPPSPLVLNVFSSRTREWEERPFVREGEAAGAMADVASVRGTHYAAYWRGTLYVRCQSNFVMRLSLLDNKYRIIHRPVDAEAREFSSRYLGKSKQGVYFASLSKDRLLVWLLDEPCGGMKWVLMHQTDLGPSLATSHKLDGHWILHDINYECSTWLFPKGRKPLTTLARENFEWNSDDDDIVNDAAEDTVKRRYDEYALVLGFHPYKEILFIQSMDRGYAYHLSSSKLEELGKLHPVSLHGIPNEHLDVELSFPYTPCWIEEFPQPELDCKLNSIWKP >ONIVA03G30180.1 pep chromosome:AWHD00000000:3:25927333:25933753:1 gene:ONIVA03G30180 transcript:ONIVA03G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoinositide binding [Source:Projected from Arabidopsis thaliana (AT1G61690) TAIR;Acc:AT1G61690] MEPDPQQAKIRQGPSIKARVVSGDPPSSSGSGGGGGGRIDFVHEHFARFEVLILKGKSNHVKFLLFAGGRVLFVLVNMLEKIGLPPKPSMRGASWVVDATHCQGCSVQFSLFTRKHHCQRCGGLFCSNCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKNRASKANAKAASNPEDDVLSEILGGDGMQTKFSRRESLDPELPGASSSSSSSRRTSGAFSMDGNGGESLSIEAQNYELNNTGSIFTPEELRQQAVEEKKKYKTLKSEGKPEEALRAFKHGKELERQAAALELELRKNRRMATKAPSVSAVVSTKNLEGSDEAESKKSLPGKRVRKEKNDLASELKDLGWSDADLHDETRTTAMSVEGELSQILREVTPKSSEGNKTSSIDKSQVNALKRQALLLKREGKLAEAKEELKKAKILERQLEEQEILGEADESDDDLAALIRNMDDGNQDDILLDNPRFPDFNFEKLLGTSDDLLIDGNFDITDDDMNDPDMTAALKSFGWSEEDEIQMEVHGPVSSLNQEALKEQVLALKREAIAQKKAGNVAEAMSLLRKAKLLEKDLETEQSESKVPSPQGHRSTRTEDITVAEMNTRPVSAPKSKLAIQRELLALKKKALALRREGKVDEAEEELKKGSVLEKQLEDLENSSARPVVKENRNFGSTPPYKVEPPTLDLADEGYEPEVTDNDMQDPALLSVLKNMGWEDEDADTASIINMPSNSSRIVSQKPTKSKGQIQKELLAIKRKALAFRREGKNTEAEEELEKAKVLEQQLSEMEESVNLTASQQSARSAGQIRENKSGALLDPASSPDTSAHLPKLRNATEGVISLPVHAAELAASLDAQASSQSIPPTELIIPKPDHASKVHSEGTRSTLSRPSFTDPLVTAERLHSPSDVHDHKEPQIPHGHDTLKDEILHHKRKAVAFKREGKMAEAREELKQAKLLEKRLEVSQENSANGRDESMKPVVQETNLIQQSASAKSCTDDISSAPPAQEIKPVQPPKALSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKSLESQLEESESQVSGGKSSDANDAAVEDLLDPQIMSALKSIGWSDADLSAQSSNAQPSKKAEAKPTVAATTKPQSEKTQLEEQIKAEKLKALNLKREGKQTEALEALRSAKLLEKKLASLA >ONIVA03G30160.1 pep chromosome:AWHD00000000:3:25919168:25921500:-1 gene:ONIVA03G30160 transcript:ONIVA03G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAALPEDVLAEAWRAAIDARHRQLCGGLLPRSLAGIYIGHQSTASASRHVPRFFARRPSSISGSLHFLPAVTAGGDIPVPPPGRHEIHDHCNGLLLLGGDPDPDTHRPAIVVVNPATRWCSPPLPPRRPPRMGASTFPADFLAYDPAASSRYEVLSVTCFRRRCSACSCCLPPPGSGTSSSSGEERVLLDEFSEWPPSLQTLDVYSSSTGRWEERTFHRQGEAARTTIADMRMDFSGHKFSLSDDKYQVIKMPTVRSNGHSHFCLGRSEKGVYLALITKPRSLQVWVLNESCDEMEWVPKHENNLDSVFPRQTRRRWMLLQDLDKKDSTTFRKEHDEEIDFEWSSDGDDDSDHRGNVPEYRLPATIFQGYHGNVDNNALGFGNFPQPPIPMFYHGYHGNIDVLGFHPYKEIVFLCEAMQTGLAYHLKTSKMEILGKLPLVSSCEEILSNKSFTGVSLPYTPCWM >ONIVA03G30150.1 pep chromosome:AWHD00000000:3:25914194:25916160:1 gene:ONIVA03G30150 transcript:ONIVA03G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLRDYQIQSQHKRDIIGAVSWGLLCCFLIISSYMTLYFRHFWLSAVIISLGILLPAGLYILRQRKLAKKRERRLLLPLSMVRRVCLGHHTFVTDTDCFDTEFVSHIHPSYLYSNLFLD >ONIVA03G30140.1 pep chromosome:AWHD00000000:3:25910444:25911266:1 gene:ONIVA03G30140 transcript:ONIVA03G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRCHRHHFGLVALLLVAAGVAEAQAPQPPWEEKAVEARCELDTVATQLALHCLPAADADDGGGGAPPNEQCCKTVGYAVHLDAGFRCLCAAAAEPQLVIGGGLNSTRLLALYASCGGRRPVDSRLATACGVMAETGDMSEAACDPVNLADQVARYCRTDAPTAECCEPVVASVDLAGGDPSCLCRVLADPQLAAAGANNATALLAMYTACGGLRAVGPDIADGCIHPRTPSTPPAPVIISAGRSSP >ONIVA03G30130.1 pep chromosome:AWHD00000000:3:25899498:25901763:-1 gene:ONIVA03G30130 transcript:ONIVA03G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSASVPWVVVIAVFTLHATLGRRVHIFFVRLLLLLLSHFSFLLWARRELESMEAESRKLLLALAVSLCCFVAASRAQSYIGVNYGEVADNLPAPEETAKLLKSTTISKVRLYGVDPGIMRALAGTGISLVVGVANGDIPSLAADPAAASRWLAANVLPFVPASTISVVAVGNEVLESGDASLAAALLPAMQNLRAAAAAAGDGAAGIKFSTVNTMAVLAQSDPPSTGAFHPDISPQLTQILGFLSKTTAPFMINPYPYFAYQSDPRPETLAFCLFQPNAGRVDAGSKIKYTNMFDAQVDAVKSALGRAGYGDVEIVVAETGWPTRGDAGEAGATADNARAYVSNLVSHLRSGAGTPLMPGKPVDTYLFALYDEDLKPGPTSERSFGLYHTDLTMAYDAGLTSSSGGAASPSNGGASQQQPRGGGGGWCVASAGATEADLQADLDYACAQVGVDCGAIQAGGACFEPNTVRAHAAYAMNQLYQAAGRHPWNCDFRSSATLTSDNPSYGSCVYTGGQ >ONIVA03G30120.1 pep chromosome:AWHD00000000:3:25890162:25897498:-1 gene:ONIVA03G30120 transcript:ONIVA03G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding protein beta 1 [Source:Projected from Arabidopsis thaliana (AT4G34460) TAIR;Acc:AT4G34460] MASVAELKEKHAAATASVNSLRERLRQRRQMLLDTDVERYSRTQGRTPVSFNPTDLVCCRTLQGHSGKVYSLDWTPEKNWIVSASQDGRLIVWNALTSQKTHAIKLHCPWVMTCAFAPNGQSVACGGLDSACSIFNLNSQADRDGNIPVSRILTGHKGYVSSCQYVPEQETRLITSSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVLSLSINSSNTNMFVSGSCDATVRLWDIRIASRAVRTYHGHEGDINSVKFFPDGQRFGTGSDDGTCRLFDMRTGHQLQVYSREPDRNDNELPTVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGNLQNSHEGRISCLGLSSDGSALCTGSWDKNLKIWAFSGHRKIV >ONIVA03G30110.1 pep chromosome:AWHD00000000:3:25887765:25888627:-1 gene:ONIVA03G30110 transcript:ONIVA03G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUTP-PYROPHOSPHATASE-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT3G46940) TAIR;Acc:AT3G46940] MAGKLGAICSRLISPLFNHHCRLRRFPQIHSPPPPFVPFSRHHRLPPRALSAVAMATATNGNASAAAAAADSAVQEPPHKIAKVAPLLKVKKLSENAVLPSRGSALAAGYDLSSAAEVVVPARGKAMVPTDLSIAIPEGTYARVAPRSGLALKHSIDVGAGVIDADYRGPVGIILFNHSDTDFAVKPGDRIAQMIIEVIVTPEVAEVEDLDATVRGEGGFGSTGV >ONIVA03G30100.1 pep chromosome:AWHD00000000:3:25878760:25884406:1 gene:ONIVA03G30100 transcript:ONIVA03G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAALPDDVLAEVLRPLAPRSLAACRCVCKPWRDLVDDRRLLRADLLPRSLAGIFVNFHCLYSSEFFARPPAAAAAISGNFDFLPPKDEYDQYRHHQVEGHCNGLLLIRFRDLVVNPATRWWDRLPPRPLPRDEMDRIDAAYLVFDPAVSPRHYEVFLTPSFRWKSESEKAELDPMVEASEWPPESYTLPVFSSRTGLWQERSFSRQGQAAGTIADMRSDWASDQRNGVYWRGALYVHCQTNFVIRISLNDDKYQVIKPPEYSGRYLNFYLGRSEKGVYLALSRDNCLKVWILDETCSKMKWELKHDKHIRHILLGRNNRQGLGPWILQDINHQKNPYIYEYDEIIEAPNQKKVECEQAALEKFEWISDDENVLDNEDIVTGGYHEYINIIGFHPYKEIIFLDESLKRGLAYHLSSSKVEDIGNLYPTNLEYELINEQFITASFPYTPCFM >ONIVA03G30100.2 pep chromosome:AWHD00000000:3:25881985:25886222:1 gene:ONIVA03G30100 transcript:ONIVA03G30100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAALPDDVLAEVLRPLAPRSLAACRCVCKPWRDLVDDRRLLRADLLPRSLAGIFVNFHCLYSSEFFARPPAAAAAISGNFDFLPPKDEYDQYRHHQVEGHCNGLLLIRFRDLVVNPATRWWDRLPPRPLPRDEMDRIDAAYLVFDPAVSPRHYEVFLTPSFRWKSESEKAELDPMVEASEWPPESYTLPVFSSRTGLWQERSFSRQGQAAGTIADMRSDWASDQRNGVYWRGALYVHCQTNFVIRISLNDDKYQVIKPPEYSGRYLNFYLGRSEKGVYLALSRDNCLKVWILDETCSKMKWELKHDKHIRHILLGRNNRQGLGPWILQDINHQKNPYIYEYDEIIEAPNQKKVECEQAALEKFEWISDDENVLDNEDIVTGGYHEYINIIGFHPYKEIIFLDESLKRGLAYHLSSSKVEDIETLQSREHV >ONIVA03G30100.3 pep chromosome:AWHD00000000:3:25881985:25884406:1 gene:ONIVA03G30100 transcript:ONIVA03G30100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAALPDDVLAEVLRPLAPRSLAACRCVCKPWRDLVDDRRLLRADLLPRSLAGIFVNFHCLYSSEFFARPPAAAAAISGNFDFLPPKDEYDQYRHHQVEGHCNGLLLIRFRDLVVNPATRWWDRLPPRPLPRDEMDRIDAAYLVFDPAVSPRHYEVFLTPSFRWKSESEKAELDPMVEASEWPPESYTLPVFSSRTGLWQERSFSRQGQAAGTIADMRSDWASDQRNGVYWRGALYVHCQTNFVIRISLNDDKYQVIKPPEYSGRYLNFYLGRSEKGVYLALSRDNCLKVWILDETCSKMKWELKHDKHIRHILLGRNNRQGLGPWILQDINHQKNPYIYEYDEIIEAPNQKKVECEQAALEKFEWISDDENVLDNEDIVTGGYHEYINIIGFHPYKEIIFLDESLKRGLAYHLSSSKVEDIGNLYPTNLEYELINEQFITASFPYTPCFM >ONIVA03G30090.1 pep chromosome:AWHD00000000:3:25873517:25874652:-1 gene:ONIVA03G30090 transcript:ONIVA03G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFIRAQGDADSALDRLWQKRKAELLDTMPVISAGTLKALRLDFPGVNPDNVPSELKLFITKSVS >ONIVA03G30080.1 pep chromosome:AWHD00000000:3:25872655:25877941:1 gene:ONIVA03G30080 transcript:ONIVA03G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSPESSATSNEIGNTSLNDSYVEGTGPRAGAPGGEVGGIVGGRGTGPWRQWEDDIRHKIREALVPAWSPDRSEAARGADQQRRLEVKRMGDAPDLPERREERPKRAAAAAAAFGGRDRERRRDDSEKKKTLVSAAALGPLSASPRSGRLRSWTYEAHKAQDGPCKKPTQLAQ >ONIVA03G30070.1 pep chromosome:AWHD00000000:3:25864433:25868781:-1 gene:ONIVA03G30070 transcript:ONIVA03G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKDVEVEELDEEVVAAAAAPAADGGEEQEAEPPARRPSTFAELGVVPELVAACDAMGWKEPTRIQAEAIPHALEGRDLIGLGQTGSGKTGAFALPIIQALLKQDKPQALFACVLSPTRELAFQIGQQFEALGSAIGLSCTVLVGGVDRVQQAVSLAKRPHIVVGTPGRLLDHLTDTKGFSLNKLKYLVQPLKMKALVLDEADKLLNVEFQKALDDILNVIPKERRTFLFSATMTNKVSKLQRACLRNPVKVEVASKYSTVDTLRQEFYFVPADYKDCFLVHVLNELPGSMIMIFVRTCESTRLLALTLRNLRFKAISISGQMSQDKRLGALNRFKTKDCNILICTDVASRGLDIQGVDVVINYDIPMNSKDYVHRVGRTARAGNTGYAVSLVNQYEAMWFKMIEKLLGYEIPDRKVDNAEIMILRERISDSKRIALTTMKEGGGHKKKRRKNEDDEEEERNAPVSRKSKSFNKSRRR >ONIVA03G30070.2 pep chromosome:AWHD00000000:3:25864433:25868781:-1 gene:ONIVA03G30070 transcript:ONIVA03G30070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKDVEVEELDEEVVAAAAAPAADGGEEQEAEPPARRPSTFAELGVVPELVAACDAMGWKEPTRIQAEAIPHALEGRDLIGLGQTGSGKTGAFALPIIQALLKQDKPQALFACVLSPTRELAFQIGQQFEALGSAIGLSCTVLVGGVDRVQQAVSLAKRPHIVVGTPGRLLDHLTDTKGFSLNKLKYLVLDEADKLLNVEFQKALDDILNVIPKERRTFLFSATMTNKVSKLQRACLRNPVKVEVASKYSTVDTLRQEFYFVPADYKDCFLVHVLNELPGSMIMIFVRTCESTRLLALTLRNLRFKAISISGQMSQDKRLGALNRFKTKDCNILICTDVASRGLDIQGVDVVINYDIPMNSKDYVHRVGRTARAGNTGYAVSLVNQYEAMWFKMIEKLLGYEIPDRKVDNAEIMILRERISDSKRIALTTMKEGGGHKKKRRKNEDDEEEERNAPVSRKSKSFNKSRRR >ONIVA03G30060.1 pep chromosome:AWHD00000000:3:25861575:25863845:1 gene:ONIVA03G30060 transcript:ONIVA03G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAPGEDDAAWERAIAAAVKNAPFSAPKTLTLDGAVKSTTGRLPSPSLLGRYPSLEELSVAGARLSSLAGLPRLPALRRLSLPDNRLSGAASLAAVAESCGATLRHLDLGNNRFADVAELAPLAPHGVESLDLYQCPVTKAKGYRDKVMRMTKRKEMKKRMRKKVKRRLKMKRMKLVPMKKMKAKWRMEAKGLQDQLSQTKGRGTVKMMLTETTDLVGSGNGCGWSLEAFPPMFFGGL >ONIVA03G30060.2 pep chromosome:AWHD00000000:3:25861487:25863845:1 gene:ONIVA03G30060 transcript:ONIVA03G30060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAPGEDDAAWERAIAAAVKNAPFSAPKTLTLDGAVKSTTGRLPSPSLLGRYPSLEELSVAGARLSSLAGLPRLPALRRLSLPDNRLSGAASLAAVAESCGATLRHLDLGNNRFADVAELAPLAPHGVESLDLYQCPVTKAKGYRDKVFALIPSLKFLDGMDAEGNDCLDSDDEEDEEEDEGEEGEGEGDEEEEEEGGEEGEGDEDDEEEGDEEEDEEEGEEEAEDEEDEAGADEEDESKVANGSKGSSGSAQPNKRKRDSEDDANGDN >ONIVA03G30050.1 pep chromosome:AWHD00000000:3:25846578:25848984:1 gene:ONIVA03G30050 transcript:ONIVA03G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAHHLHRLPPPIQPAESMFSPRQPCFGAAAGEVVVGGAGGAVMAGLCQEEQLVQGYRQVFVGGGGVRQPAAPAPAEVMRQYSQVCAAAADAAESGVTFGGGQEAAAPRKRKRAEVPVVLGAAGDVAVAAQARQQLVDVDRLVLHHAAKMWAELAEQRRRHARQMVATVEAAAARRLRAKDEEIERIGRLNWALEERLKGMYVEAQVWRDLAQSNEATANALRGELEHVLDAHARRGADHGDGDDAESCCYGENDVLARAAGDGEAASAERRCKGCGEAAAVVLLLPCRHLCACAPCAAAAAACPACGCAKNGSVSVNFS >ONIVA03G30040.1 pep chromosome:AWHD00000000:3:25828656:25835275:1 gene:ONIVA03G30040 transcript:ONIVA03G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLEEHVSLISKTLPFIQLKAMSSYSTGHGHRPKKKLYHREPGLDKAMDLQKKPALLLRLRELILSQKTNSILIRDLEKEVGFVQKWNFLSLIKRHPNIFFVSGGSASREPISVTLTEKAKKISSEETLARELMEPILVKNLRKLLMMSLDCQIPIEKIELIQSELGLPKNFKSNLIPRYPELFSVREGARSTVFLKEAYDDSKLIEKCPLLKFHDQFASLIGQTWSDSNDNLDTDSVVKQGGRQGCIVGMIAWGEYCRSLYSLSAVLPVDGLEATPAAAIANA >ONIVA03G30040.2 pep chromosome:AWHD00000000:3:25826700:25828617:1 gene:ONIVA03G30040 transcript:ONIVA03G30040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCGQWPVHLAADVVLITAEVVHGITVRISEVLLDVGLAVDLLAGHALDVGLLTAEHRAAPCGRARRPGARRPRGNPRSRKLPAARWRSAWPRPATAAHLPQLAGCPYSSPRCGDQQQQQLTKTGAEHRHRRFRGRPGSYLIASGRADVNYAGVVWLNAWRVAEAALVRALLPPLPLRRQQQRRRAGRRRPQPGHVATAHPPSSPTSHPLCLLGSSSPSSPRGAGVPPAGLVTLSGPTSRLGASLFAFLDA >ONIVA03G30040.3 pep chromosome:AWHD00000000:3:25826700:25835275:1 gene:ONIVA03G30040 transcript:ONIVA03G30040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCGQWPVHLAADVVLITAEVVHGITVRISEVLLDVGLAVDLLAGHALDVGLLTAEHRAAPCGRARRPGARRPRGNPRSRKLPAARWRSAWPRPATAAHLPQLAGCPYSSPRCGDQQQQQLTKTGAEHRHRRFRGRPGSYLIASGRADVNYAGVVWLNAWRVAEAALVRALLPPLPLRRQQQRRRAGRRRPQPGHVATAHPPSSPTSHPLCLLGSSSPSSPRGAGVPPAGLVTLSGPTSRQGGRQGCIVGMIAWGEYCRSLYSLSAVLPVDGLEATPAAAIANA >ONIVA03G30040.4 pep chromosome:AWHD00000000:3:25828656:25835275:1 gene:ONIVA03G30040 transcript:ONIVA03G30040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLEEHVSLISKTLPFIQLKAMSSYSTGHGHRPKKKLYHREPGLDKAMDLQKKPALLLRLRELILSQKTNSILIRDLEKEVGFVQKWNFLSLIKRHPNIFFVSGGSASREPISVTLTEKAKKISSEETLARELMEPILVKNLRKLLMMSLDCQIPIEKIELIQSELGLPKNFKSNLIPRYPELFSVREGARSTVFLKEAYDDSKLIEKCPLLKFHDQFASLIGQTWQGGRQGCIVGMIAWGEYCRSLYSLSAVLPVDGLEATPAAAIANA >ONIVA03G30030.1 pep chromosome:AWHD00000000:3:25820734:25825557:1 gene:ONIVA03G30030 transcript:ONIVA03G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTGVVSSLLSKLATLAEQKYGDVRRIRREITFLTDELSSMNALLLKLADMEELDPQLKEWRNKVRELAYDVEDCIDAFAHHHRLSRGDADPGGLIRRAARNMKKLRASYRAADQIHELKARIMEVSDRRLRYKLDEAASAAPAPALAIDPRLPALFAESKGLVGIEGPRSTLVSWLMDGEGQLKVISIVGFGGLGKTTLAKEVNHAVGAHFQLKAFVSVSRNLNPKKLICDVLSQIMDQKDYGKLEVEQLIPILREHLADKRYLIIIDDIWRIQAWDLVKSALHDNSCQSRIITTTRISTVAESCCSTLKDRIYYIEPLNEVESRELFFKRIFATEHGCPPHLEEVSNEILKKCGGLPLAILSIASSLANKPDIKEQWEMVKKSIGFALEGTPTLEGMNKILLFSYYDLPTHLKACLLYLSIFPEDYVIASDKLVWRWMSEGLIVGEMGKNLEQAGQIYFNELINRSMIEPVGVRYDGKVLACRVHDMVLDMIISLSAQENFVTILHGHEDKFAGEKIRRLSLRCNRPDVEVTQVTSKKFAQARSISLFGYKEMLDLQGFQALRVLDLGQTVLFKQVKNIGKCYQLKYLDLSNTDIVELPEEIGNVQSLETLDLRNCRRLTLPSTISGLRKLVRLLVDYTAALPEEISGLVALQVLSCASYNSVKFMQALGQLTELRSLAFKCWNPDWYFDAGMYKEVSVASLRELGKHKLQYLDICDDDAILDALMCSSSESDCPFPHLQKLVLSNHNIQRIPRWIGSLVNLCHLEIVVKTTRQNDLGTLGNLPCLLYLKICRLYEPVESQQFIVPNRGFRCLKELCFQCWCPLGLEFAPGAMPWVQTFRLWFMPCWKSCDHGVSVGLGIEHLLELKLVDVETGYGCGKREVKSFEAAITAVVANHPRRPALVLRRSGERSAVRKENWTAVETNMNKSLFDSSTVRQSLQKESRDSSTVKQRLQKQSRFQT >ONIVA03G30030.2 pep chromosome:AWHD00000000:3:25820734:25825044:1 gene:ONIVA03G30030 transcript:ONIVA03G30030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTGVVSSLLSKLATLAEQKYGDVRRIRREITFLTDELSSMNALLLKLADMEELDPQLKEWRNKVRELAYDVEDCIDAFAHHHRLSRGDADPGGLIRRAARNMKKLRASYRAADQIHELKARIMEVSDRRLRYKLDEAASAAPAPALAIDPRLPALFAESKGLVGIEGPRSTLVSWLMDGEGQLKVISIVGFGGLGKTTLAKEVNHAVGAHFQLKAFVSVSRNLNPKKLICDVLSQIMDQKDYGKLEVEQLIPILREHLADKRYLIIIDDIWRIQAWDLVKSALHDNSCQSRIITTTRISTVAESCCSTLKDRIYYIEPLNEVESRELFFKRIFATEHGCPPHLEEVSNEILKKCGGLPLAILSIASSLANKPDIKEQWEMVKKSIGFALEGTPTLEGMNKILLFSYYDLPTHLKACLLYLSIFPEDYVIASDKLVWRWMSEGLIVGEMGKNLEQAGQIYFNELINRSMIEPVGVRYDGKVLACRVHDMVLDMIISLSAQENFVTILHGHEDKFAGEKIRRLSLRCNRPDVEVTQVTSKKFAQARSISLFGYKEMLDLQGFQALRVLDLGQTVLFKQVKNIGKCYQLKYLDLSNTDIVELPEEIGNVQSLETLDLRNCRRLTLPSTISGLRKLVRLLVDYTAALPEEISGLVALQVLSCASYNSVKFMQALGQLTELRSLAFKCWNPDWYFDAGMYKEVSVASLRELGKHKLQYLDICDDDAILDALMCSSSESDCPFPHLQKLVLSNHNIQRIPRWIGSLVNLCHLEIVVKTTRQNDLGTLGNLPCLLYLKICRLYEPVESQQFIVPNRGFRCLKELCFQCWCPLGLEFAPGAMPWVQTFRLWFMPCWKSCDHGVSVGLGIEHLLELKLVDVETGYGCGKREVKSFEAAITAVVANHPRRPALVLRRSGERSAVRKENWTAVETNMNKSLFDSSTVRQSLQKESRDSSTVKQRLQKQSRFQT >ONIVA03G30020.1 pep chromosome:AWHD00000000:3:25814043:25816343:-1 gene:ONIVA03G30020 transcript:ONIVA03G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGAAATCAAVLLLPPKWGRRLGTLLEYWLWRKRSEYVESGCSVQEGAPKPVDIEHSLGNKEIVRLLNSTVVKDTGYMWLSCHTCRHDLANTTRGGIAAAADTVITIEEKGGEEWCRKKLGHHAASPKGPCWRCHMTCLIVTFIATAGTGDRGMIAIVEEKRKMVEREWSKV >ONIVA03G30010.1 pep chromosome:AWHD00000000:3:25809137:25811917:1 gene:ONIVA03G30010 transcript:ONIVA03G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVFVVVGFCLLRMFTLFPNPVYHVAAFSQIGNNRSENTKEKYNHKVCKPRVCPGISSPRWPMNCPALGRQPAHYRGRVFVRSFDLESTSKLARTASLFASPPRRHLNLSIAWLDLPIDPCTTQRTVSSIDRLAFTSASTGSIMVAALPDDVLAEVLRRLAPRCLAACRCVCKPWRDLVDDRRLLLLLRAEELLPRPLAGIFLNFFGLFNSEFFARRPSTGAAAAISGDLDFLPTSTTYGSREYQIQDHCNGPLDRGRRHYEVLLIPSFRRKPGPNDYLYDKLRGEVDPVLEASTWPPASYAIPVFSSRTWLWQERSFAREGGEEAASTVAEMRSSWSSGQRMNAVYWRRALYVHCQTNFVTRISLNDNKYQVIKPPEFSDNRYSDFYLGKSKKGVYLAFCRDQCLKVWILDETFGEMKWELKHDKDMRHILLGCNNRQDDNMEELDQKKVECEPNKEAALEKFEWISDDENVLDNEDRVTGAYHGYIDIIGFHPYKEIIFLSESLKRGLAYHLSSSKVEDIGNLYPTSYNIHLINERFITASFPYTPCSM >ONIVA03G30000.1 pep chromosome:AWHD00000000:3:25782828:25784905:-1 gene:ONIVA03G30000 transcript:ONIVA03G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRFLFISVLRQPSPVKRHRDSFIDSKVARALPFSVSGVDATDKMIQPKAKKVKSKVPISTENLRRSLRFVGQEKVNLAYDTPRKRSKVQPISKVLSLGPAVISSKELPLLSRCSSSRKLELKSVACFLRKWLVTSFSNPGNDLHILLFAGSVQHWYRFL >ONIVA03G29990.1 pep chromosome:AWHD00000000:3:25671242:25761362:1 gene:ONIVA03G29990 transcript:ONIVA03G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGGEEDGSRSPHLLRHCLGFLRVFEEDFKEKTLARRLGLLNALLDKTYYEMQIKYSNAHEGLKSKHFYLAVLNSAARASNLL >ONIVA03G29980.1 pep chromosome:AWHD00000000:3:25642568:25642780:1 gene:ONIVA03G29980 transcript:ONIVA03G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGRFGTATASALRSTAIRFLPRRGSRDYENPYPCVVGVETVRGGVATSSLGGRRRNWWRQLLVEGS >ONIVA03G29970.1 pep chromosome:AWHD00000000:3:25630347:25633888:-1 gene:ONIVA03G29970 transcript:ONIVA03G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLSRPLEHRLSSPTLDGHYEEKRKSNVEYSEDEKKAKIISLKKKAMSASQKLRHSMKKGRRSSKVISISIADERDPEEMQAVDAFRQLVVLEELLPSHHDDYHMMLRFLKARKFDVEKAKQMWVDMLRWRKEFAADTILEDFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDAEILKMVQSGAGWCGNLSLNHLDAEEKMMICEDDTMHTKRQESFKDEGCTLSRKISCARIEHPSLSPVCEELPPTILPTLGSAYSCDVPIVEKAIDAICQSKGLPDENVTVTKAIVNASNGSNPPLYGGIMALVMSIATMLRVSRNMPKKVLGATLGAQSTSKIQAQQLSEISVEAVSVAEYVSSTKRLSDIEEKVIAILTKPAEMPADKEEMLKTAVSRVSALEEELAATKKALQETLERQEEIMAYIEKKKKKKSKVKKGN >ONIVA03G29970.2 pep chromosome:AWHD00000000:3:25630347:25633888:-1 gene:ONIVA03G29970 transcript:ONIVA03G29970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLSRPLEHRLSSPTLDGHYEEKRKSNVEYSEDEKKAKIISLKKKAMSASQKLRHSMKKGRRSSKVISISIADERDPEEMQAVDAFRQLVVLEELLPSHHDDYHMMLRFLKARKFDVEKAKQMWVDMLRWRKEFAADTILEDFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDAEILKMVQSGAGWCGNLSLNHLDAEEKMMICEDDTMHTKTLGSAYSCDVPIVEKAIDAICQSKGLPDENVTVTKAIVNASNGSNPPLYGGIMALVMSIATMLRVSRNMPKKVLGATLGAQSTSKIQAQQLSEISVEAVSVAEYVSSTKRLSDIEEKVIAILTKPAEMPADKEEMLKTAVSRVSALEEELAATKKALQETLERQEEIMAYIEKKKKKKSKVKKGN >ONIVA03G29960.1 pep chromosome:AWHD00000000:3:25616687:25642502:1 gene:ONIVA03G29960 transcript:ONIVA03G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCIEGVLPSIDHLKKLALNIQCRSNTIITNVHRKNQDQTMNWKENHVVVEEKSMHVPPRGGAWMWEGEEVVSVIAMKYFTGSYINHPCPGEARWLGKCSPATSSDGDGSAEGRGS >ONIVA03G29950.1 pep chromosome:AWHD00000000:3:25612639:25615904:-1 gene:ONIVA03G29950 transcript:ONIVA03G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT4G38710) TAIR;Acc:AT4G38710] MAVASAWAKPGSWALAAEEQDDLPPPPPPVPAADFPSLATAATTKVPKKKKPQPVPLGEFNSTKFVAPAYRGPTQDDLLSLPTGPRERTAEELANATRGFGARWGGAGAGGPRGDDEPRRGGSGPQDFGPSRADEADDWGAGKKPLERRERMGGFGVDSSMSRADDVDDWVSTKRAAAPAPMERRERSVAFGADSHSRADDSASWISNKGYSAAPPPPSDSRRGGPVWGFNRDGGPDADSWERRREEVSGGGSSGGARPRLNLQKRTLPLANGTDGEGKEDKEEEKGEMQPKSRSSNPFGAARPREVVLATKGDDGRKEEEKEKEEEKLEIQPRTRTSNPFGAARPREEVLAAKGEDWRKIDEKLEAMKMREAPPPERRSFGRRGSPVRGEENGSRPLPESHVEGAWKKPDAVQAVGESEDGSDKLNTAEAARKFEEGSDATKETAAAN >ONIVA03G29940.1 pep chromosome:AWHD00000000:3:25607929:25611135:1 gene:ONIVA03G29940 transcript:ONIVA03G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPSSASRASRSSLSVPLSAHGGPSPSGPVDAVGCPTPPRETMPSPSSWARRSLSSVHAASRPSGKKRNVESQIGAGAARTRTPHLSTTCSSSTPWSSTPSPPSPAMLSYPSPTLRPSGSTLTRSSLHC >ONIVA03G29930.1 pep chromosome:AWHD00000000:3:25600256:25607393:1 gene:ONIVA03G29930 transcript:ONIVA03G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLLSRALLPALNPNPSSHSNRVSPSAVSLRRRHGLTASVRASLSTAAPSPPPRPAAAAADGRAPKRCFRRGADGHLYCEGVRVEDAMGAAERTPFYLYSKPQVVRNFTAYRDALEGLRSIVGYAVKANNNLRVLQLLRELGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKTLEDLVLAAESGVFVNIDSEFDLENIVTAARVAGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSYSNDITLVGVHCHLGSTITKVRELVLSRDLTLIIEPGRSLIANTCCFVNRVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPSPDAEVATFDIVGPVCESADFLGKDRELPTPDKVEDDGSIAKIRRGESFDDYMKFFDNLSA >ONIVA03G29920.1 pep chromosome:AWHD00000000:3:25582439:25583450:1 gene:ONIVA03G29920 transcript:ONIVA03G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLARSHRRGEAHQHAEQRSLPMGGVAGVEVLVGGGVSTTYVGCSRTSMSISTPVMPRSCVLESAYEFWILDPHNDFPVGGKSLENQMQLLDGQSKAMVGLINLYSFQA >ONIVA03G29910.1 pep chromosome:AWHD00000000:3:25559376:25561337:-1 gene:ONIVA03G29910 transcript:ONIVA03G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGTHVEPRHLISPSNFPSSPFLSLSLFSAGDSRRQAALWGRRPPGGGERRWSGSRRRQRRRQHPPFLLSLSVFSPVASRPATNIVARRRHRGGWCCGGHAAEVGGAGAEEEEVVALSSSSSSASGKKVGAAAEARGLPRLVSYTVLVLVLVLQFLYSRQASVAVPKSGPLPGCGAAVDLALDTLKVCVRVILSWETWLQCCSPRQPPSLRRRRAHLLPRRLGRRRRQRHVQGRLRWFPGHPASDNGATLSAAEGYDGEDRVSALPDDLLVARLPSRTRLAPPRSPPAGGASGAPRRSSSAASPLCAGVEGPRGGEVPVEAVVASAWRKHGGGGAGRRSPPLCRRSPVGRALAGHPDPFRVVHRSELAESSTICSPASLALALNRQRERRNRGPANVAS >ONIVA03G29900.1 pep chromosome:AWHD00000000:3:25556711:25557926:1 gene:ONIVA03G29900 transcript:ONIVA03G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEGGNAAAGDRGGAALGRRERSWAAGDERQGGVATRRRRAGRRVGLTSLAAALGGLEAVLIVARSDNSSRPHPPSAAAFVVFPHGGARARRVVRPATAAPEEAIEALLKRKETASPPPPLDRLVTNKPPGMRFTARRNMRGPVDHLHNSNSFDAISVSGSDGSSGRFTLENNASMQDSRLSSTCFSTQTTPTASGRVRVARLRGGGRWRGPEDSIGDGPVGG >ONIVA03G29890.1 pep chromosome:AWHD00000000:3:25549517:25550726:1 gene:ONIVA03G29890 transcript:ONIVA03G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDRSPSLEHPKPSPCTIRFASTRRTHFPSFPFLSPSETNHHSHLLLLPEQALAAAVPSFIHRRAGAPPLPSLCLFVKKERRSKRKEELEEEEETYDKWAPYTPPWERYAFHGEVRVVSNPDVKPLVWSEPHYSLDLHSTPHTSFQALCDSNHSAATHGCRVTLFHPANLHKLPNSLAVVLLNCSID >ONIVA03G29880.1 pep chromosome:AWHD00000000:3:25544981:25545175:-1 gene:ONIVA03G29880 transcript:ONIVA03G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYRRWVLTAASTLVPATCGSTGGMPCVPAARGGGRKLLGVATGGDRQLRCGWPRKAVESTF >ONIVA03G29870.1 pep chromosome:AWHD00000000:3:25537137:25542097:-1 gene:ONIVA03G29870 transcript:ONIVA03G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology (PH) domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11790) TAIR;Acc:AT4G11790] MGCASNPEASSSICPCPPLCRRRRHRRLRRSPSIPRASRAPSLVLLLPPHPPWAESSHQHMMAGPATLDPQRAEAANKHVRALNSQFASWVQSQLQNHPAELWEDGMRDYISHASEIMEKFKDVVNWIRQNKTGSAAVLSPGPPNDEKTISPAAVDTKFTVQSSPDNGQKGPVTAASSPAFQNSSSPNLFSFASHQKTPAFTGIFGDKKNMPGDSNKPSFQFGANNGFSTPSMPSIFSASGAQSFSMPSQTLFSVNQQPAISGNKSAAEASGDADEDAEPEQPSSPSVKKAEEKGIVVVYEAKCKVYVKHDDPANGWKDIGVGQLNIRCKEGAEKASKESTPTIVIRNDVGKILLNALIYKGIKMNVKKSTVASIFHTSDGQPSESGSATVVARTYLIRVKNDEAAAKLSAAIMENAPLE >ONIVA03G29870.2 pep chromosome:AWHD00000000:3:25537137:25542242:-1 gene:ONIVA03G29870 transcript:ONIVA03G29870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology (PH) domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11790) TAIR;Acc:AT4G11790] MSPYLSLLPSKRVMDRPSFGVHRAESSHQHMMAGPATLDPQRAEAANKHVRALNSQFASWVQSQLQNHPAELWEDGMRDYISHASEIMEKFKDVVNWIRQNKTGSAAVLSPGPPNDEKTISPAAVDTKFTVQSSPDNGQKGPVTAASSPAFQNSSSPNLFSFASHQKTPAFTGIFGDKKNMPGDSNKPSFQFGANNGFSTPSMPSIFSASGAQSFSMPSQTLFSVNQQPAISGNKSAAEASGDADEDAEPEQPSSPSVKKAEEKGIVVVYEAKCKVYVKHDDPANGWKDIGVGQLNIRCKEGAEKASKESTPTIVIRNDVGKILLNALIYKGIKMNVKKSTVASIFHTSDGQPSESGSATVVARTYLIRVKNDEAAAKLSAAIMENAPLE >ONIVA03G29860.1 pep chromosome:AWHD00000000:3:25529527:25535039:-1 gene:ONIVA03G29860 transcript:ONIVA03G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRTLVPILPPVAALLLLLVAASSIPLLAAAQPADACGGAPDQAAADGACHDVPRALRLKLIAIPTILVSSVVGVCLPLLSRSVPALRPDGGLFAVVKAFASGVILATGYMHVLPDAFNNLTSPCLPRKPWSEFPFAAFVAMLAAVSTLMADSLMLTYYNHSKPRPSSGGDVAAVADHGESPDQGHWHGHGHGHGHGMAVAKPDDVEATQVQLRRNRVVVQVLEIGIVVHSVVIGLGMGASQNVCTIWPLVAAMCFHQMFEGMGLGGCILQAEYGRRMRSVLVFFFSTTTPFGIALGLALTRVYRDNSPTALIVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLAAFLAVLLGAGGMSVMAKWA >ONIVA03G29850.1 pep chromosome:AWHD00000000:3:25525095:25530234:1 gene:ONIVA03G29850 transcript:ONIVA03G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKKPALLLRLREIILSQKTSSILVRDLEKEVGFVQKWNFLSLIERHPNIFHVSGGSASREPISVTLTEKARKISSEEIQARELMEPILVKNLRKLLMMSLDCQIPLEKIELIQSELGLPNNFKNNLIPKYPELFSVRDVKGLDHFCLESWDSSLAVTAREEKLNFEGFQMDYRGIPKDGNIVGPFAFRLKYPAGFRPNRNYLEEVVRWQKLAFPSPYLNARRVEPATPQARKRAVAVLHEILSLTMNRRLTSDKLEIFHNEYRLPCKLLLCLIKNHGIFYITNKGARSTVFLKEAYDDSNLVENELHDAAECDASSAHAHLAMTDMPPAPRRTARKAASWRRTLPCSLGPMKSAARSSTSAM >ONIVA03G29850.2 pep chromosome:AWHD00000000:3:25525095:25530234:1 gene:ONIVA03G29850 transcript:ONIVA03G29850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSAGHGRRPKKKLYHREPGLDKAMDLQKKPALLLRLREIILSQKTSSILVRDLEKEVGFVQKWNFLSLIERHPNIFHVSGGSASREPISVTLTEKARKISSEEIQARELMEPILVKNLRKLLMMSLDCQIPLEKIELIQSELGLPNNFKNNLIPKYPELFSVRDVKGLDHFCLESWDSSLAVTAREEKLNFEGFQMDYRGIPKDGNIVGPFAFRLKYPAGFRPNRNYLEEVVRWQKLAFPSPYLNARRVEPATPQARKRAVAVLHEILSLTMNRRLTSDKLEIFHNEYRLPCKLLLCLIKNHGIFYITNKGARSTVFLKEAYDDSNLVENELHDAAECDASSAHAHLAMTDMPPAPRRTARKAASWRRTLPCSLGPMKSAARSSTSAM >ONIVA03G29840.1 pep chromosome:AWHD00000000:3:25520001:25521198:-1 gene:ONIVA03G29840 transcript:ONIVA03G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQTPLRIAFVFLVILAATDAHSDHRTPPPACGGAAVGGECHSVARALRLKLIAIPAILAASVAGVCLPLFARSVPALRPDGGLFAVVKAFASGVILGTGYMHVLPDSFNDLTSPCLPRKPWSEFPFAAFVAMLAAVFTLMVDSLMLTFHTRGSKGRASSAAAAAVAHHGDHGHCHAHALGQADVAALSTTEAADQGSGDVEAGNTTKAQLLRNRVIVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQMFEGMGLGGCILQAGYGGRTRSALVFFFSTTTPFGIALGLALTRVYSDSSPTALVVVGLLNAASAGLLHYMALGNVRLQLAASLAVLLGAGGMSVMAKWA >ONIVA03G29830.1 pep chromosome:AWHD00000000:3:25508005:25510573:-1 gene:ONIVA03G29830 transcript:ONIVA03G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTISFSSSSPPSPPPPQPAPGDIDAVSLGRLSRNLENLLDPAFLNCADAEIVLASGGGDPGGGAVVGVHRCILAARSRFFYDHFSSAPAPAPAPATAGDKPQLDLDGLVPGGRHIGRDALVAVLSYLYTGRLRSAPPEAAACLDDGCSHDACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNKALAEDILPILVVASTCHLPELLNQCIQRVANSNLDNRYLEKRLPDDLYAKLKEFRVPDEPHSGILDPEHEKRVRNIHKALDSDDVDLVGMLLKESPVTLDDAFAIHYAAAYCEPKVLAELLKLESANVNLKNSSGYTPLHMACMRREPDIIVSLIEKGASVLERTQDGRDALTICKRLTREKDRNEKSEKCKERSKAYLCIGVLQQEIKRRPQILEDQMSAEESIATPLLVDNFHMRLLNLENRVAFARIFFPSEAKLVMRIAQADSTQEFAGLTSANFSKLKEVDLNETPTMQNRRLRERLDALTKTVELGRRYFPHCSEVLDKFLNEESTDLILLESGTAEDQQTKRMRFSELREDVRKAFTKDKAAGAAISSSTSASSSPRYETKLRPGNKKGKLSR >ONIVA03G29820.1 pep chromosome:AWHD00000000:3:25500982:25501230:1 gene:ONIVA03G29820 transcript:ONIVA03G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGWGDWKPGFGGGLRRDFELGRRLRMLGRAAAPTWMEEARAARAGGDGQQMRPGARATGRCGRESVVGGAMEREGATRR >ONIVA03G29810.1 pep chromosome:AWHD00000000:3:25498788:25501093:-1 gene:ONIVA03G29810 transcript:ONIVA03G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRLFPTSSAASPTQNPVSIHPQIPASNHPIPWRTSLERVLSSSDHRVDVFNHLPLCHRQRCLPPPPPLQRRARAAWERGMATWRCTVPIPVGGDPNPSGGDPHSDESFWCSYGLFTIDDLAETISPYLLQGENVSALLPTDVLSTAATVMTCVVPED >ONIVA03G29810.2 pep chromosome:AWHD00000000:3:25498814:25501093:-1 gene:ONIVA03G29810 transcript:ONIVA03G29810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRLFPTSSAASPTQNPVSIHPQIPASNHPIPWRTSLERVLSSSDHRVDVFNHLPLCHRQRCLPPPPPLQRRARAAWERGMATWRCTVPIPVGGDPNPSGGDPHSDESFWCSYGLFTIDDQQQRQ >ONIVA03G29800.1 pep chromosome:AWHD00000000:3:25490657:25493747:-1 gene:ONIVA03G29800 transcript:ONIVA03G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANDVATTQKKAPHFSLSSTSSLPSSCCSSTAAKSKQPHRGGEWNGAEPSPRPTRVAAAAGEQVWSGGDAAVESRSRVRIESKGVSSVKKRGGMAMTGYKYQAQELMRDYLLADPLVPYTSVLVGIALCKMAYDLTRVLSSFYFKGYSSLTKIQRVEWNNRGMSSAHAIFITAISLYLVMSTDLFSDRLKGPITFRNSIISTFALGVSVGYFIADLAMIFWLYPSLGGMEYIVHHTLSLVAIAYTMLSGEGQFYTYMVLISETTTPEINLRWFLDTAGLKKSSAYLVNGILMFVAWLVARILLFIYVFYHIYLHYSQVMQMHAFGYYLTFIVPSVLFVMNTMWFMKILKGVKKTLGKWS >ONIVA03G29790.1 pep chromosome:AWHD00000000:3:25487346:25488809:-1 gene:ONIVA03G29790 transcript:ONIVA03G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GRG8] MHTTNGAPACCDANADTPPLHLIFVPFLSRSHFGPVTAMAAEADACHRGGRTAATIVTTRHFAAMAPASVPVRVAQFGFPGGHNDFSLLPGEVSAAAFFAAAEEALAPALGAAVRGLLREGGSTATVTVVSDAVLHWAPRVARECGVLHVTFHTIGAFAAAAMVAIHGHLHLREAMPDPFGVDEGFPLPVKLRGVQVNEEALVHLPLFRAAEAESFAVVFNSFAALEADFAEYYRSLDGSPKKVFLVGPARAAVSKLSKGIAADGVDRDPILQWLDGQPAGSVLYACFGSTCGMGASQLTELAAGLRASGRPFLWVIPTTAAEVTEQEERGSSHGMVVAGRWAPQADILAHRAVGGFLSHCGWNSILDAISAGVPLATWPLRAEQFLNEVFLVDVLRVGVRVREAAGNAAMEAVVPAEAVARAVGRLMGDDDAAARRARVDELGVAARTAVSDGGSSCGDWAELINQLKALQLTSSRDRRTDAVTRD >ONIVA03G29780.1 pep chromosome:AWHD00000000:3:25483550:25490326:1 gene:ONIVA03G29780 transcript:ONIVA03G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNPASFTRAKKWVQELQAQGNSSTVVALAGNKADLLETRQVQIEEAKTYAQENGLFFMETSAKTATNVNDIFYEIAKRLLQGQPAQNPQAGMVLSQRPNERLELSENR >ONIVA03G29770.1 pep chromosome:AWHD00000000:3:25474620:25479994:-1 gene:ONIVA03G29770 transcript:ONIVA03G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSTRQIRAPNRFGFEEEPVQPEIPDQLKASQHSNGSGSTSRSTMSEPGQQTTMINSNSHEGSNSVSCPTPSKRRGHKAGVECFICHEMGHYSWDCPQKVKTKPAQPTTILPNISGSKGSKSPNSGSVSLTSPHVGQSRLNQVQVKTN >ONIVA03G29760.1 pep chromosome:AWHD00000000:3:25462871:25464372:1 gene:ONIVA03G29760 transcript:ONIVA03G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVLLGQEEDEEAMNAAMAASTAGSAMAAAAREYRRGNWTLPETMLLVEAKKRVSDGRRPAADQGLARWRWVEDYCWRRGCRRSQNQCNDRWDNLMRDYKKVRAHELAAGGGGGPAESYWVMGRTERKEKGLPANLLREIYDAMGEVVERRPMSSGGGGGGAVFLAGASSSGSGGLADVPAMAMQASPLAQLLPRPLEATANCSSGSPERKRRRPSLDNEPPGGSTPPATTGRQGHQEHDDDDDEYAHHGADESSDDDGGLGGAIGRCAAILSVALENREASEERRHREVVAAEERRGRARQARREAGEQCMAGLAAAVSQLAGSMLALAAKRRGPAAPK >ONIVA03G29750.1 pep chromosome:AWHD00000000:3:25453490:25457014:-1 gene:ONIVA03G29750 transcript:ONIVA03G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRARETESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKIRRNETAIKGTIIFDASSTITLSPIDIGTPQKKDYFLCAETPGAAKAWVSTLLATQLVLQAHKEAVNSLAGNGSPATLGTVATAVANANATALEATKEIEAAMKVSMRAALGLGANNPKEGQLDDLTIMKETLRVKDEELQNLAKDIRARDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCSEIERLRQAMERQMEQSMLKLRQSEEKVISLSKEKDQLLKERDAALQEAHMWRTELGKAREQAVVQEATIARADEKVRASEADAAARIKEAAEKLHAVEKEKEELLSLVGILQSQVQREQSSTKQVCEERSESCSGTDNSPPLTKHVDASDDDVDKACVSDSRSVLVSNDNTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPESEGGSLDIPVVNPPPVSDHIQGGATHP >ONIVA03G29750.2 pep chromosome:AWHD00000000:3:25453490:25457209:-1 gene:ONIVA03G29750 transcript:ONIVA03G29750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRARETESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKIRRNETAIKGTIIFDASSTITLSPIDIGTPQKKDYFLCAETPGAAKAWVSTLLATQLVLQAHKEAVNSLAGNGSPATLGTVATAVANANATALEATKEIEAAMKVSMRAALGLGANNPKEGQLDDLTIMKETLRVKDEELQNLAKDIRARDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCSEIERLRQAMERQMEQSMLKLRQSEEKVISLSKEKDQLLKERDAALQEAHMWRTELGKAREQAVVQEATIARADEKVRASEADAAARIKEAAEKLHAVEKEKEELLSLVGILQSQVQREQSSTKQVCEERSESCSGTDNSPPLTKHVDASDDDVDKACVSDSRSVLVSNDNTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPESEGGSLDIPVVNPPPVSDHIQGGATHP >ONIVA03G29750.3 pep chromosome:AWHD00000000:3:25453490:25457014:-1 gene:ONIVA03G29750 transcript:ONIVA03G29750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRARETESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKIRRNETAIKGTIIFDASSTITLSPIDIGTPQKKDYFLCAETPGAAKAWETLRVKDEELQNLAKDIRARDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCSEIERLRQAMERQMEQSMLKLRQSEEKVISLSKEKDQLLKERDAALQEAHMWRTELGKAREQAVVQEATIARADEKVRASEADAAARIKEAAEKLHAVEKEKEELLSLVGILQSQVQREQSSTKQVCEERSESCSGTDNSPPLTKHVDASDDDVDKACVSDSRSVLVSNDNTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPESEGGSLDIPVVNPPPVSDHIQGGATHP >ONIVA03G29750.4 pep chromosome:AWHD00000000:3:25453490:25457209:-1 gene:ONIVA03G29750 transcript:ONIVA03G29750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRARETESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKIRRNETAIKGTIIFDASSTITLSPIDIGTPQKKDYFLCAETPGAAKAWETLRVKDEELQNLAKDIRARDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCSEIERLRQAMERQMEQSMLKLRQSEEKVISLSKEKDQLLKERDAALQEAHMWRTELGKAREQAVVQEATIARADEKVRASEADAAARIKEAAEKLHAVEKEKEELLSLVGILQSQVQREQSSTKQVCEERSESCSGTDNSPPLTKHVDASDDDVDKACVSDSRSVLVSNDNTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPESEGGSLDIPVVNPPPVSDHIQGGATHP >ONIVA03G29740.1 pep chromosome:AWHD00000000:3:25446562:25453829:1 gene:ONIVA03G29740 transcript:ONIVA03G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRLPGAAGIDPIAEEPPHSAAAAGDGGDAAGLACAISAEASAVLAVMRRSLRHPRAAADDAAADHPLVSSLKALRRLVFSPSAAAAPAGAVLRPFLDAVRSEDAGAAVTSASLAALHEVMALMGPSLTGAALREVVDAVASCRFEAGAEAAAEEAVLMRMLQALLACLRAPAAPALGDQHVCTAVNTCFRVVHQAGAKGELLQRFSRHAMYELIRCVFARLPQIGSGDGPDGSVKPEMGGMDKNHPFGIGQMENGNGSYASEAVTSDENSADGSGIVVEPYGIPCMVEIFHFLCSLLNVVEQIGVDEDLPLFALKLINSAIELGGSSIRKHPKLLSLVQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCIILRLAQPRFGATYHQQEVTMEALVDFCRQKNFMVEMYANLDCDITCRNIFEELANLLSKSAFPINCPLSSMHILALEGLISVIQGMADRIGNATSRPELLPVELDEYTPFWTVKCENFSDPQHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQSPQAFANKDTALLLAYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICRNEIKTTPEQGMGYFEMSPSRWIDLMRKSKSTSLYIVGDSQPFLDHDMFAIMSGPTIAAIAVVFDHSEHEEVLLACVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEVSAETVQGKPTPSSISTSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVARLVKANAGHVKSQMGWRTVVLLLSITARHPDASEVGFEAIMYIMSEGAHLSLSNYAFCIEASRQFAESRVGLIDRSIRALDLMADSANSLARWSQETKGTGEETDKVLEAIREMWLKLLQALKKLSLDQREEVRNHALTSLQRCLTATEGVCLQSSTWSHAFDLVIFALLDDLLEISQNHSQKDYRNMEGSLVLAMKLVAKVYLQLLPDLFGLSSFCKLWLGVLSRMEKYIKIKVRGKRSDKLQELIPELLKNILIAMKNRGILAKRSTIGGDSLWELTWLHANNISTSLQSDVFPSQEYEQHSSAGSPRGPNGVESRD >ONIVA03G29730.1 pep chromosome:AWHD00000000:3:25438831:25442038:1 gene:ONIVA03G29730 transcript:ONIVA03G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56210) TAIR;Acc:AT3G56210] MRTLQRRLSQLVLRRLLSPPPPPAARRPAPVAAEAVSGGGATALLRRGGGSGVAAGGWSGGGSGLRLARRLCTYDERDDRALEEEAEKKFGWILKIFFIGTAGLVGYQFFPYMGDNLLQQSISLLRVKDPLFKRMGASRLARFAVDDARRMKVVEMGGAQELLNVLEVAKDDKTRKQILKALHALSKSEEAAGFLDKAGAYVIVSSTPNSLEYAEIETYKTSLLKAFDELKS >ONIVA03G29720.1 pep chromosome:AWHD00000000:3:25435525:25435872:1 gene:ONIVA03G29720 transcript:ONIVA03G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCGLREDCTQDYIASVRASFYGQWLCGLCCEAVRDEAGRKKAHPGVEEAVRAHMAFCRMFRSNPAVRVADGMRQMLRRRSGDMSKPDTSKKYSTVQVVDESSVSLY >ONIVA03G29710.1 pep chromosome:AWHD00000000:3:25394442:25397964:-1 gene:ONIVA03G29710 transcript:ONIVA03G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWRRKKKKKKEKKKKQLLLLLLLSGDMLRNRSRRSVGAKQGGVAAMAQPEPPPPSSQSSSSSSSSSPVFPSPRPFMALPHPPQAGFLDGAAEGPSSSMSPTSILETKQFCCSSAMPPFLSERSLRKAHMEMAAAPPEPAGVGLADVLREHHGGGKAGGGKVVFGSQLRIQVPTGRAVELVSSPIEFGVKNRDAHLAAMSPARRFLPEVVSSPSARVFAAAVVSPGEMAMSEDYTCVISRGPNPRTTHIFDDCIVESCGDVLVEKVAGGGGDGDGDAVRTNGFLNSCYACNKQLGHGNDIFIYRGDKAFCSSECRYQEMLFDEANAHTHTLPL >ONIVA03G29710.2 pep chromosome:AWHD00000000:3:25395353:25397964:-1 gene:ONIVA03G29710 transcript:ONIVA03G29710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWRRKKKKKKEKKKKQLLLLLLLSGDMLRNRSRRSVGAKQGGVAAMAQPEPPPPSSQSSSSSSSSSPVFPSPRPFMALPHPPQAGFLDGAAEGPSSSMSPTSILETKQFCCSSAMPPFLSERSLRKAHMEMAAAPPEPAGVGLADVLREHHGGGKAGGGKVVFGSQLRIQVPTGRAVELVSSPIEFGVKNRDAHLAAMSPARRFLPEVVSSPSARVFAAAVVSPGEMAMSEDYTCVISRGPNPRTTHIFDDCIVESCGDVLVEKVAGGGGDGDGDAVRTNGFLNSCYACNKQLGHGNDIFIYRGDKAFCSSECRYQEMLFDEAVDNLR >ONIVA03G29700.1 pep chromosome:AWHD00000000:3:25391735:25392914:1 gene:ONIVA03G29700 transcript:ONIVA03G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVDVDVQQRKDGSPPAVAVTLRPLGLADADDFMAWASDERVMRFLRRPLCATREQAVAQIRDTVLGHPWFRAICVDDDDAGAGRRPVGQVSVWPYADEGGHRANLGYALSHGLWGRGIATAAITMRLEAVTDVENVRSQRVLEKAGFRKEGVLRRYIVRRSGEVMDAVIYSFLASDRPSAHGATRGEAPITFYGKSVLV >ONIVA03G29690.1 pep chromosome:AWHD00000000:3:25388373:25388660:1 gene:ONIVA03G29690 transcript:ONIVA03G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDRGSRQDARGYKGDEEGFLNEDFACMVQRESSGIYLIVWEGVIGPHVKFVFKNLNSKIDSFAVNTRFDAVTAIFAR >ONIVA03G29680.1 pep chromosome:AWHD00000000:3:25379171:25379782:1 gene:ONIVA03G29680 transcript:ONIVA03G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAEAAEVTLREFTEADAEALFAWASDPRVVRFQRRDAYSHVDEARRYIVDKVLPHPWYRAICVAGADRPVGSISVKPADDLPLPEPESETGRLRSGCCRASVGYRVAHAHWGRGVATRAVRAVAEAVLAEWPWLERLEAVADVENPASQRVLEKAGFAREGVLRRYVVLKGRPRDMVMFSRVRADLEEKPAQAHGPSDGV >ONIVA03G29670.1 pep chromosome:AWHD00000000:3:25375495:25378858:-1 gene:ONIVA03G29670 transcript:ONIVA03G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLANT HOMOLOGOUS TO PARAFIBROMIN [Source:Projected from Arabidopsis thaliana (AT3G22590) TAIR;Acc:AT3G22590] MDPLAVLRDYAARGDLDKIIFSGDEVLFGSEYTFPANAPTAFTNKQSGRPYPLSAAVFLAQHNDLKHTDFIQAARLRRIPPVSLPDRKTFLDFLRHGHHSLPTDPLLPSALPSFAPEPQPAMPEEPEGDKASGAYIRALERTLKDRNALLDARGRDFLAVLQNATRREEERRRNKDSAPSSARHEPSSAAAAAAMAKPKVERSFGDGFVPIILVPSASQTLITIYNVREFLEDGVFVPSDERMRAMKGSGKPECVMVQKKLIRGERAGAGGGATTFEVRDKPASLKADDWARVVAVFVLGKEWQFKDWPFKDHVEIFNKVIGFYVRFEDDSVEAAKVVKQWNISKNKRHQDRTAALEI >ONIVA03G29660.1 pep chromosome:AWHD00000000:3:25374149:25375172:1 gene:ONIVA03G29660 transcript:ONIVA03G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGHGVVGLALAVVVAAAATLCAAQTTMTTAAASVQPTALTMPSCPAAPLSLSPCIGYAFGVGSATLSSCCSELRAFFRSQGPCLCAASRLAAAGPFGLFLGQAQAIVPNVCNLPSNPCDDVAAKSSEPGSATPAALAPAAAPDTPAMTPSAAPAEPEASEAPPVPADDSPAATVTAPGDAGSSAGSQVASKLPELLHSAGVRNSRNMAAAAVITLFLVYVSAMYV >ONIVA03G29650.1 pep chromosome:AWHD00000000:3:25357393:25358440:1 gene:ONIVA03G29650 transcript:ONIVA03G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSMARRRRGTSFTESWQLGLAVVIAAIMASSAQPQQQPPQPPGQPANAPSCPPVQASLSPCVSYFIGNSSTPSDACCEQMRAMFQSQAPCLCAAVASAPSPLAPVLGGVQSLLPTACNLPPNACADATGSTSGSAPAGGSSATPSTGATAAAPAMEPAGTDPAMTAGGGSKSVPGMPYSAAAGVHGGGASAAVAVLISSMLAYACMI >ONIVA03G29640.1 pep chromosome:AWHD00000000:3:25354423:25356706:1 gene:ONIVA03G29640 transcript:ONIVA03G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINYLLPDDVLADVLGRLPPRSLAAARCVCAAWRATIDDRRLLRTDLLPLSLTGIFIHFDDLRFPEFFSRPSTPTTPAISGKLDYMPNKYALYAVNDHCNGLLLLYTHVVNPAARRCVTLPLLPPSRGTFSDNYIVFDPTVSPHYEVIMISYLMCNMRLDPIIRESEWPPSPFLLNVFSSATKQWEDRLFVREGEAAGTIGDLVKLYSRQHYAAYWHGALYVHRCNYVTRLSLTDGKYKVIKNPQDIDMSKCLKFYLGKSENGVYLASLEQELDLQLSDVNYDLYRKNFGGPWFYNVTYDDLLLEGNNEVPVEDKYEWYSDNDDVDHDTQDGVEEQSHVSISLLGFHPYREIVFLSLSCERGVAYHLNSSKMQDLGSIFPQNFNQVSEVGGGIEASFPYTPCWIGEFPEISSEDHLYRN >ONIVA03G29630.1 pep chromosome:AWHD00000000:3:25343146:25345009:1 gene:ONIVA03G29630 transcript:ONIVA03G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQYIVRDHCNGLLLVGFGVINPATQQWSPLPSLSDTFTEYYGFGGKNYLVFDPTISPHYEELEWPPSRLITRVFSSATKRWEDRPFVREGEAAGTVGHLQKLSEYGEYRAVYWPGALYVHHFSYVIRLSLSDGKYRVIKLLPAIDIRYYQNFYFGKSEKGVYLASFTYDYSLSVWVLNESCAIRPSGY >ONIVA03G29620.1 pep chromosome:AWHD00000000:3:25338122:25340561:-1 gene:ONIVA03G29620 transcript:ONIVA03G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSHLAVLLGLLAFAAGVPAAAAATAVEGAQAATAEASCEPSVLATQVSLFCAPDMPTAQCCEPVVASVDLGGGVPCLCRVAAEPQLIISGLNATHLLTLYAACGGLRPGGARLAAACEGPAPPASIVTAPPPPVAFRRKPPAREAPPPPPAAEKLSPPPQQHDDSDHNKRVGPLPRGSPPPYAQSVPVGPAAAPPPPRSGASSSLQAPLAATTTLVAITLIAAAQY >ONIVA03G29610.1 pep chromosome:AWHD00000000:3:25334881:25336987:-1 gene:ONIVA03G29610 transcript:ONIVA03G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRARATILLLAAVLFAAAAAASGEDRRRETSLRRCLQRCEQDRPPYERARCVQECKDQQQQQQERRREHGGHDDDRRDRDRRGEGSSEEEDEGRERGSRRRPYVFGRRSFRQVVRSDQGSVRLLPPFHQASSLLRGIKNYRVAVLEANPRSFVMPTHTDAHCICYVAQGEGVVAIIENGEKWSYAIRQGDVFVAPAGTINYLANTDGRRKLIISEEKLEKLLGKQDKGVIIRASEEQVRELRRHASEGGHGPHWPLPPFGESSRGPFNILEQRPRFANRHGRLYEADARSFHDLAEHDIRVAVVNITAVRLLRRPRAMAARDRGSMNAPFYNTRSVKVAYVLDGEGEAEIVCPHLSRGGRGGESEERRRERGKGKWREEEEEEEEQQKGQEEEEEEQVGQGYETIRARLSRGTVFVVPSGHPIVVTSSRDSTLQIVCFDVHANNNERMYLAGMNSVLKKLDPQAKELAFAASAREVDELLNAQQESAFLAGPEKSGRRGEESEDEDRRRRRSHRGRGDEAVETLLRMAAAAV >ONIVA03G29600.1 pep chromosome:AWHD00000000:3:25328176:25333203:1 gene:ONIVA03G29600 transcript:ONIVA03G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSIKTKKLSWSKTFVRKWFNIKTKAKDFHSDYAVEEETAIACLRPTERILFWRGGAKNLFLIISVGVQWRTSFSERDVCKSKKSRTERLPRKSVDRDSRVGNGFDRAYITNTQDYRVFVATWNVGGRSPSSHLNLEDWLHTSPAADIYVIGLQEIVPLNAGNVLLTEDNGPAKKWVALVRKTLNNIDQGSVVYNYHTPSPVPDPIVELNVDFERSSRRPRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMRYGGSSDDENIDEESPSGIYISPMPYGYGAPLCYDDNKRQLINTRYCLVASKQMVGVFLMVWVRSDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDELRRNADVVEILRKTRFPHVHGVGDEKSPETILDHDRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRYGRVFSGWKEGRIYFPPTYKYSYNSDRYAGDDMRPNEKRRTPAWCDRILWYGRGLNQLCYVRGESRFSDHRPVYSIFTAEVQIPSQTQFCSFARSTSLMGVDELPYPTYPRNILQSQNTPDELHFSQDSSNWNIVSQQILKEMLSNCKISVAWFMLAKK >ONIVA03G29600.2 pep chromosome:AWHD00000000:3:25328176:25333203:1 gene:ONIVA03G29600 transcript:ONIVA03G29600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSIKTKKLSWSKTFVRKWFNIKTKAKDFHSDYAVEEVGVQWRTSFSERDVCKSKKSRTERLPRKSVDRDSRVGNGFDRAYITNTQDYRVFVATWNVGGRSPSSHLNLEDWLHTSPAADIYVIGLQEIVPLNAGNVLLTEDNGPAKKWVALVRKTLNNIDQGSVVYNYHTPSPVPDPIVELNVDFERSSRRPRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMRYGGSSDDENIDEESPSGIYISPMPYGYGAPLCYDDNKRQLINTRYCLVASKQMVGVFLMVWVRSDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDELRRNADVVEILRKTRFPHVHGVGDEKSPETILDHDRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRYGRVFSGWKEGRIYFPPTYKYSYNSDRYAGDDMRPNEKRRTPAWCDRILWYGRGLNQLCYVRGESRFSDHRPVYSIFTAEVQIPSQTQFCSFARSTSLMGVDELPYPTYPRNILQSQNTPDELHFSQDSSNWNIVSQQILKEMLSNCKISVAWFMLAKK >ONIVA03G29590.1 pep chromosome:AWHD00000000:3:25311722:25311934:-1 gene:ONIVA03G29590 transcript:ONIVA03G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARRCQRGGAETRARRWGSRRTAGEHPEPGVASSPHRLDTPSRARLVATPQSVSRRRRLLAALADAAS >ONIVA03G29580.1 pep chromosome:AWHD00000000:3:25304378:25305067:-1 gene:ONIVA03G29580 transcript:ONIVA03G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAILRLLPLLLLAAAANAATFTITNKCQITVWAAAVPSGGGQQLDPGQQWVIDVPAGTTGGRVWARTGCSFDGSGNGRCQTGDCGGVLRCAAYGQPPNTLAEFALNQFSNLDFFDISLIDGFNVPMDFLPAGDGAGCAKGGPRCEADVAGQCPSELRAPGGCNNACTVFKQDQYCCTGSAANNCGPTNYSQFFKGLCPDAYSYPKDDQTSTFTCPAGTNYQVVFCP >ONIVA03G29570.1 pep chromosome:AWHD00000000:3:25302828:25303496:-1 gene:ONIVA03G29570 transcript:ONIVA03G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLANSSVHLLLLVVVLAAAADAVTFTIVNKCGYTVWPAALPSGDGNQLDPGQSWAVYVPAGTKGARVWGRTGCGFISGGSLGQCQTGDCGGTLRCAAVGAPPVTVAEFSLGQASKDDYFDISLVDGFNAPMAIVPAPAGGRRCPRGGPRCAAEITLQCPGELRAKAGCSNPCRGNSTCGPTKDTEFFKKLCPETVTYARDGQGTTFTCPAGTDYQIVFCP >ONIVA03G29560.1 pep chromosome:AWHD00000000:3:25298999:25299613:1 gene:ONIVA03G29560 transcript:ONIVA03G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKSFSRSSFPLRCLIRAAPPGQEGMRSRTAGSESGVAASADSPKQLLFPAVEEELAALSFCVEVYLDMRRRMLLPSSGCAPARAARSLAAAARSHPPLDATPPEPEPEPDGVCDSMSRGSGDELDDMICSSPDRTWEPSRVVTGNAIGIVAATVTAPVVVVAGVGGVTFHRCISQSSRRSPRRKRRWRTPTCPGQYSQIPV >ONIVA03G29550.1 pep chromosome:AWHD00000000:3:25297049:25299210:-1 gene:ONIVA03G29550 transcript:ONIVA03G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYTSTQKLNAANSSSTAGNNNCFGESAEAATPLSLPAVLDLMPSCPGGAARIKQRRGNDEREKLFGVSIGRRRTRGGGEDGADGRAAARRRSGPRCPPREREKGSGLEI >ONIVA03G29540.1 pep chromosome:AWHD00000000:3:25287502:25291878:1 gene:ONIVA03G29540 transcript:ONIVA03G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGPGSKVPDSRTRRAASDEDQERPSLPPSLEPELDLPLSTPQSQFNDSSIASEEKQWEVAQDQQDSDSSADSSPLREPQAPLVPILRTPSGEVVYGITDDPVAAQAYHWAYRKYEEKLGTITDTKVIILCFLLYYGIPKQKKIFLNASKSVVSLSAYHDGTEINQCTGIVVEWDDVKKSAIILTSAWIICTKKPFDDWSYKDYAPEAKVIVRIPDDTTSDCRLLYFSKHFDIAFFETMGELTLPIVPLKPDLEYGQNLCVLARDNKTDLICTTVRVKYVDPYEYQHNHYLFIDGSIPKCGTGGALADFRGNIVGMLFCTLPIVAFIPSSLILTQLVRPQLGLKLRTVDFLEMAHIELLSRKYNISSGLIVRECAAEKHGIRVGDVILSCQGENISNVTQLEDILLGVGERHLEKGNDSGSKVDVEVGVFHVRKCSRRLVTLTVELSDGIEVFH >ONIVA03G29540.2 pep chromosome:AWHD00000000:3:25287466:25291878:1 gene:ONIVA03G29540 transcript:ONIVA03G29540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGPGSKVPDSRTRRAASDEDQERPSLPPSLEPGMSTMPPSSSAPCGSPACGGTSMEEPSREELPAPSSRASPSQSLPAVTEAPPTYWFARPRRADSMTMSYDPQATESKQSELDLPLSTPQSQFNDSSIASEEKQWEVAQDQQDSDSSADSSPLREPQAPLVPILRTPSGEVVYGITDDPVAAQAYHWAYRKYEEKLGTITDTKVIILCFLLYYGIPKQKKIFLNASKSVVSLSAYHDGTEINQCTGIVVEWDDVKKSAIILTSAWIICTKKPFDDWSYKDYAPEAKVIVRIPDDTTSDCRLLYFSKHFDIAFFETMGELTLPIVPLKPDLEYGQNLCVLARDNKTDLICTTVRVKYVDPYEYQHNHYLFIDGSIPKCGTGGALADFRGNIVGMLFCTLPIVAFIPSSLILTQLVRPQLGLKLRTVDFLEMAHIELLSRKYNISSGLIVRECAAEKHGIRVGDVILSCQGENISNVTQLEDILLGVGERHLEKGNDSGSKVDVEVGVFHVRKCSRRLVTLTVELSDGIEVFH >ONIVA03G29530.1 pep chromosome:AWHD00000000:3:25285745:25286167:1 gene:ONIVA03G29530 transcript:ONIVA03G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVASSPLLWSLLRCSPPQAGDADLAVRDDLGTAAELRVVRRRHALPVPHDHQSRSLRCHLLHSAVFILTRPTFSPKNQWPSLDTRTSFRWAQMQRWHVPLSFAYVGWQGRMPPHRTVKALSRNGCYGGAEVTAAGKA >ONIVA03G29520.1 pep chromosome:AWHD00000000:3:25278775:25279888:1 gene:ONIVA03G29520 transcript:ONIVA03G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGLKIRIFGLVKCIEIGKNTNGESTLLSRSREDNKDKEQQARGGIGATVGRWKSWRISLGANGDTPLDSAAGGRWGLRARDHDGLAPGSNGLGAREANKAYDAFFVLPCEKSFADHVGMRGSRPVPNPDGIPVDRNPKIIILLEFIGT >ONIVA03G29510.1 pep chromosome:AWHD00000000:3:25273411:25274220:1 gene:ONIVA03G29510 transcript:ONIVA03G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLKKNKVEYARGFWKFLYPSEVPVDLHEIPKKLVIVGAGYIGLETVLSGTGQVASFGKIEEQVKASGVAYQVGKSSLLAHRCSKAIDDAEELVKVMAEKQ >ONIVA03G29500.1 pep chromosome:AWHD00000000:3:25261982:25267562:1 gene:ONIVA03G29500 transcript:ONIVA03G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDMPNRFSHIAHQLVHAEKRESIFFVKMVRVPHCHAKIVEHLQNKFHMKPSEAEGTIKEPFFATGSMIYQDENFGYILTCAHILEDFYLANIVLSKEQANRWFKFLILCKHNEDHMKTIHPDLYESERDKRNYTVATVLKIDQRKDLMLLQFNLSTLYATQYAQRCRLPHQSLKLAENPSSAPNDVVMISWPPNRPDTVVIGQVSNQCHLFNQLTTERDKGYNMQFIELKINGEKGASGSPILNHAGDILAVYHGRIEGKGYAISHDDIYEFLYTRKILSQNLRQESVYLECHMAA >ONIVA03G29490.1 pep chromosome:AWHD00000000:3:25257983:25259828:-1 gene:ONIVA03G29490 transcript:ONIVA03G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRALWISRERTRRTANYEDQENPFWALAGMPPPSSVPCQLQSTGGTGMESSPEHLPSPPCQARTPSPPPSGRASSPSQLLPWEQRERERIQSPPMSGRASSPSQQLPWEEGACPERVRSSPMSGRASSSLQQLPWEERTCPERIPSLPKKKMYWFARSREANYVQVSRPGEASYPEATEGKPEYNLHVLKSMKKKKKKKKKRGKRNKRGQGGSEDKSQEKQEVAQEHLGSVSSSYSSPLREPQAPLVPMWTSPSGEVVYGITDDPTAAEAYHWAFHEYKNKRARQELLPTVRSSISAAIEHYNPEQKKTLLNASKSIVSLSAYHDGREINQGTGIIIECDEVKNSAIILTSAWLICIKKPFDDWSHKDYAPEAKVEHFNLNMLLAITFLFA >ONIVA03G29470.1 pep chromosome:AWHD00000000:3:25245309:25247019:1 gene:ONIVA03G29470 transcript:ONIVA03G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSAAAAAPPSPDHLTHCCTSSRLPATRHRIVPLRSQAGAHLAPLFTIAGVGEPVKAPVRPRIVASVTTPTPFSDSRNSLILFGLWELPVHLCKLITLTTIKSGWIV >ONIVA03G29460.1 pep chromosome:AWHD00000000:3:25243238:25243568:-1 gene:ONIVA03G29460 transcript:ONIVA03G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSNDCAFYVMKYMEAYDGSREPIETLNIPTNSTIVRSSILFQLVSSDHNLADPRHPEIAAFLGPSVGDASEQAS >ONIVA03G29450.1 pep chromosome:AWHD00000000:3:25237322:25241616:-1 gene:ONIVA03G29450 transcript:ONIVA03G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCSKDRSGVEQPRHEVPYLSWISLVPPKWLVTPGTRSPSQLLILGAMATRRTETKTSIQQEQVTIFGATSLAETNNLIQKEKTRHKLSIKGRGAQLGKNKNRKGWAIRSSARGNGARITRRNGGSDHTLRRCLEDEWESMGNNAGNDELDKTDGDDVDPDETFGWEENESY >ONIVA03G29440.1 pep chromosome:AWHD00000000:3:25233221:25234195:1 gene:ONIVA03G29440 transcript:ONIVA03G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIGDSAATPARSIGDSATTRGAVATCNPATTRVSQVYENFINHGRGFRASGRNLEANRPTMAAAHTDPRSTMVSSQSITATTSGRNAYCTMSMMNFVSYF >ONIVA03G29430.1 pep chromosome:AWHD00000000:3:25225248:25227826:1 gene:ONIVA03G29430 transcript:ONIVA03G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYIYIICIYIYVYVYIYIYIYTYIYIYTCVYIYICVYIYVYIHIRTCIYIYIYLYIYIPPQTTRALRTPSPCGVKILLSTLHINYITPFLFHSRNRTPTFPSLSLSLSPLFTDLAAQRRQGGERWLRRSMEQFNSGGAPRLRPRVAASRRRAADLITTLSRRCSRCVARGYRRVVAGSACGSSCRGSCAAASRRQGGAPAAEEGMRRSAGEADPTGPAAHPWSASPLLPL >ONIVA03G29430.2 pep chromosome:AWHD00000000:3:25225248:25227826:1 gene:ONIVA03G29430 transcript:ONIVA03G29430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYIYIICIYIYVYVYIYIYIYTYIYIYTCVYIYICVYIYVYIHIRTCIYIYIYLYIYIPPQTTRALRTPSPCGVKILLSTLHINYITPFLFHSRNRTPTFPSLSLSLSPLFTDLAAQRRQGGERWLRRSMEQFNSGGAPRLRPRVAASRRRAADLITTLSRRCSRCVARGYRRVVAGSACGSSCRGSCAAASRRQGGAPAAEEGMRRSAGEADPTGPAAHPWSASPLLPL >ONIVA03G29420.1 pep chromosome:AWHD00000000:3:25199502:25223607:1 gene:ONIVA03G29420 transcript:ONIVA03G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPASPATLQPCKKNVSGSMLIRSPPRPWRRRLPQHVVIADASSSSRGPKAATGGRLETSTDAAAVAGEDGDVIRRLQNGPDVRGVALEGENGRAVDLTPLAVEVIAESFGEWLREELQQLESGRDGGEVRVSVGRDPRLSGARLGAALFAGLARAGCSVFDVGLATTPACFMSTKLPRFSYDASIMMTASHLPYTRNGLKLFMKRGGLTSGEVEGVCDRAARKYVARKMGLGGGRGMPPVVMRVDLMSAYAQHLRNIIKERVAHPTHYDTPLKGFKANKIPITEIHHYAVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGKFPHHMPNPEDTTAMSLTRDAVLDHGADLGVVFDTDVDRSGVVDATGAAINGDRLIALMSAIVLDEHPGTTVVTDARTSDGLTRFIQARGGHHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALKENNFLDDGAYIVVKIIIEMVRMRLVGLEGSVGTLIMDLEEPAESKLMRMNILGEAKYAKQRGTQAVETFRNHIQEGKLNGWVLDDCGDCSVSQGCLVDTNDDPFDVDAYMYRAKFFDEYKGELGWVHIRQSVHNPNIAINMQSSIPGGCKSMAKDLLDRYLLTSGVNEFVDITEGSTDPGIAQLAKPKPRSTVTTFQ >ONIVA03G29420.2 pep chromosome:AWHD00000000:3:25199502:25221744:1 gene:ONIVA03G29420 transcript:ONIVA03G29420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPASPATLQPCKKNVSGSMLIRSPPRPWRRRLPQHVVIADASSSSRGPKAATGGRLETSTDAAAVAGEDGDVIRRLQNGPDVRGVALEGENGRAVDLTPLAVEVIAESFGEWLREELQQLESGRDGGEVRVSVGRDPRLSGARLGAALFAGLARAGCSVFDVGLATTPACFMSTKLPRFSYDASIMMTASHLPYTRNGLKLFMKRGGLTSGEVEGVCDRAARKYVARKMGLGGGRGMPPVVMRVDLMSAYAQHLRNIIKERVAHPTHYDTPLKGFKANKIPITEIHHYAVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGKFPHHMPNPEDTTAMSLTRDAVLDHGADLGVVFDTDVDRSGVVDATGAAINGDRLIALMSAIVLDEHPGTTVVTDARTSDGLTRFIQARGGHHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALKENNFLDDGAYIVVKIIIEMVRMRLVGLEGSVGTLIMDLEEPAESKLMRMNILGEAKYAKQRGTQAVETFRNHIQEGKLNGWVLDDCGDCSVSQGCLVDTNDDPFDVDAYMYRAKFFDEYKGELGWVHIRQSVHNPNIAINMQSSIPGGCKSMAKDLLDRYLLTSGVNEFVDITEVQKFVK >ONIVA03G29420.3 pep chromosome:AWHD00000000:3:25199502:25221744:1 gene:ONIVA03G29420 transcript:ONIVA03G29420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPASPATLQPCKKNVSGSMLIRSPPRPWRRRLPQHVVIADASSSSRGPKAATGGRLETSTDAAAVAGEDGDVIRRLQNGPDVRGVALEGENGRAVDLTPLAVEVIAESFGEWLREELQQLESGRDGGEVRVSVGRDPRLSGARLGAALFAGLARAGCSVFDVGLATTPACFMSTKLPRFSYDASIMMTASHLPYTRNGLKLFMKRGGLTSGEVEGVCDRAARKYVARKMGLGGGRGMPPVVMRVDLMSAYAQHLRNIIKERVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGKFPHHMPNPEDTTAMSLTRDAVLDHGADLGVVFDTDVDRSGVVDATGAAINGDRLIALMSAIVLDEHPGTTVVTDARTSDGLTRFIQARGGHHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALKENNFLDDGAYIVVKIIIEMVRMRLVGLEGSVGTLIMDLEEPAESKLMRMNILGEAKYAKQRGTQAVETFRNHIQEGKLNGWVLDDCGDCSVSQGCLVDTNDDPFDVDAYMYRAKFFDEYKGELGWVHIRQSVHNPNIAINMQSSIPGGCKSMAKDLLDRYLLTSGVNEFVDITEVQKFVK >ONIVA03G29410.1 pep chromosome:AWHD00000000:3:25197703:25198612:1 gene:ONIVA03G29410 transcript:ONIVA03G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVRNSALAALEGGVAGNKSVAGAGAAPGSSCKRGEHKHQERSASCSGRRPAAWWRVRGRSWWSGTSGRGIGRRGWKRTTWSRWAGPYGHGFLFCWHMRLLYAVCAWRPAPVENCINQLVLFSLGDCCYLPATSSSSKMLHS >ONIVA03G29410.2 pep chromosome:AWHD00000000:3:25198028:25198479:1 gene:ONIVA03G29410 transcript:ONIVA03G29410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVRNSALAALEGGVAGNKSVAGAGAAPGGAQAPREERVLLREETRGVVACEGAKLVERHERARHWAAWMEADDMEQVGLSYSGAMHGGEEAATELQVGGAVRPWLLILLAHEAALRRLRVAAGAVAPPPRSVIGEPASCSID >ONIVA03G29410.3 pep chromosome:AWHD00000000:3:25197703:25198476:1 gene:ONIVA03G29410 transcript:ONIVA03G29410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVRNSALAALEGGVAGNKSVAGAGAAPGSSCKRGEHKHQERSASCSGRRPAAWWRVRGRSWWSGTSGRGIGRRGWKRTTWSRWAGPYGHGFLFCWHMRLLYAVCAWRPAPSRRHHARS >ONIVA03G29400.1 pep chromosome:AWHD00000000:3:25186796:25187930:-1 gene:ONIVA03G29400 transcript:ONIVA03G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGTFANWILVNKLESDMKCDLNASSWFHNNSSIEGRQPVMLPEYPPGLLHSRAKAQTVLARTD >ONIVA03G29390.1 pep chromosome:AWHD00000000:3:25176658:25181472:-1 gene:ONIVA03G29390 transcript:ONIVA03G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20210) TAIR;Acc:AT2G20210] MAAAAAEDAAVPSPPPSLLSLCLEAVASHLTAGAGGVGQAGGRWGRDHFDGGEGGGDTMITPEEVAEALPWELLHRLASLLPPAALEALHHAAHDRCCFSAATAAVGFAGPDGDRRGIKRSRCEDFNPEWQALFGLRWPRCDNAGHDGLLTVDWQRQYWEKHLQECLRLQSVLCTAEISDLLQGSKLEKLMFVRIISDLEVNGVCILLSCHAETLLSLEFIHCQLSPAVMDKICNSVLQKGSVNHGIQNFSIKSSRICESNTLNISAGLLDFLSMGKSLQWLSLNDTKMQPLFAKIIVHTLLGSSSGIRTLEISENNIAGWLKTMDKRFACFSSALESNISLNSLTLLNLRGNNLNKGDIEDLCKILVKMPNLRDLDISDNPIMDKGIRLLICFISRTLRKEKSLSRLRAENCDLTNIGVTELLECLSSVSEPLNLLSIADNHLGSSVAVALAKFLGSGVRELNIEDIGFGPLGFQILEEALPADVALSHINVSKNRGGIRAARFVSRLIKQAPGLVSVNAGSNLLPPESMEVICDVLKQKNTCNLERLDLMGNMHLSDAAFPAALEFRKHGKQILIVPSQPGACAPYDDDP >ONIVA03G29380.1 pep chromosome:AWHD00000000:3:25173158:25173676:-1 gene:ONIVA03G29380 transcript:ONIVA03G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTELRKVFKMFDKNGDGRITKKELGESFKNFGIFIPDDELDATMDKIDANGDGCVDVEEFGLLYRSILGDDAAGRAPRTAAAAIGGEGGAPDDEDEGMREAFNVFDQNGDGFITVDELRSVLSSLGLKHGRTADDCRRMISMVDADGDGRVDFKEFKQMMRGGGFAALGG >ONIVA03G29370.1 pep chromosome:AWHD00000000:3:25170140:25171751:1 gene:ONIVA03G29370 transcript:ONIVA03G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GRB6] MAGINCSIVPCSALMEGKEFNFSNLYLHHTYGGPKPNQSTIINNNGSTGLGMTAVNNWAVYDGLGSDAKVVAHAHGLHIYAGDWHNSFSLVFENERLKGSTLQVMGVPVECGEWAIVGGTGEFIMASGVIYKKVHERRSEGNIIELTIHGFCPSLKGTKCLPTKVGPWGGNGGTPQDITETPKRLESITIRSGEVVDSISFSYFDQAGQKRVAGPWGGPGGNLNTIELSSSEFLKEVSGTFGTYYGSNVITSIKFVTNVKTYGPFGKQNGTPFSIPVQNNSSVVGFFGRGGKYLDAVGVYVHPL >ONIVA03G29360.1 pep chromosome:AWHD00000000:3:25161310:25166066:-1 gene:ONIVA03G29360 transcript:ONIVA03G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLLQPVALGTTFAGRVSGQRWKSHGTRRPPSMLAMSLSRPVKMAAFVGLRSVHSFSVTPVTNFRSTVASYRSRRGRRARFVTRSMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVRVPEPTVDETIEILRGLRERYEIHHKLRYTDDALISAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELDKELKQITKDKNEAVRSQDFEKAGELRDREMELKAQITALIDKSKEMSKAETESGETGPLVNEADIQHIVSSWTGIPVEKVSSDESDKLLKMEETLHQRVIGQDEAVKAISRSIRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYSRIKSLVVEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIAEIMLKEVFDRLKAKDIDLQVTEKFKERIVDEGFNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGQSGLPELSTPAVTV >ONIVA03G29350.1 pep chromosome:AWHD00000000:3:25141691:25147614:1 gene:ONIVA03G29350 transcript:ONIVA03G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAQPDQPVAAAAPGHVVLCFGASTAVAERQPEEGPAADSPVAAAEPYARHDVDPPAAVAHVHALDHPAALPPRMPKHLYLAVFNGEKANVIEMLQLPNNGAPHGEEEEGQATDGASHSQPQTIDGAHHAEDQTVYDFPIAQVAINEEVGGAQNIHHYPHENKEGAQGQGHFVRNRVAIARREQYESRIDAVTAEGNTVLHIAASRAWSRWDEPTRGPDHSALQGTLAPPFFSELGGRDTLAPCSQGRPRPRRSGKSGKLSRKPAHGHYCNKELCRCDEANNASALYLAVMSTSVATVKALLAHECNDTSAQGPKGQNALHAAAVLQNREMVNILLEKKPELASGVDDMKSTPLHFASSDGAYSIVHAILYPKSKSLFGDPARQSLVAMQDSEGSTALHIAALMGHVNVVRLLIKASPDSADIRDKQGRTFLHIACADEGWQRPTVRYVVKNPMLHDLLNSQDKEGNTPLHLAANHGKFVDVYALISSGKVHPDIMNAEGETAFDIAKNTVSFFFMLHDPYTPATYNDQLGFSVEVRLMEGGVESSLV >ONIVA03G29340.1 pep chromosome:AWHD00000000:3:25116084:25116440:-1 gene:ONIVA03G29340 transcript:ONIVA03G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLIRRLSRVGDSSAAAASSSSPGKRRGAGTKKKAAAAGVPPEGHVPVDVGEEGEEATERFLVRAELLGRPALAELLGRAAQEYGYDHRGPLRIPCSPAAFRRALAGAGGGDHDDDG >ONIVA03G29330.1 pep chromosome:AWHD00000000:3:25111989:25117265:1 gene:ONIVA03G29330 transcript:ONIVA03G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSISDPSCALGTLIDLAWRSKIYSSHTPLSNPTRNGRLDRFVLSNPSWRRDRPRRRWLAWRREASSAGVAGVATAGGVPGGTTSPLASSWLMPLTRRVTESAACPPGSVSAEPQAPVEEPHGTGRHGERGCRRHRRQEEERVGRAGSAGGVEEVRVQMQVQVQSWSMTTTTMPAAARTSAPGGFLEGESGISLSVYGARTCCVEEPKPTAQATPVGPTWQWVVGGERSGCGRVGGRVHVGTGDAASSRTSFKFAVTPD >ONIVA03G29320.1 pep chromosome:AWHD00000000:3:25101068:25107829:-1 gene:ONIVA03G29320 transcript:ONIVA03G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 10 growth stages; CONTAINS InterPro DOMAIN/s: Membralin (InterPro:IPR0191 /.../as 172 Blast hits to 170 proteins in 70 species: Archae - 0; Bacteria - 0; Metazoa - 110; Fungi - 0; Plants - 38; Viruses - 0; Other Eukaryotes - 24 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G60995) TAIR;Acc:AT1G60995] MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKIISGGLWVSKGASYIMDLQNLGRSAEKILEVNGDRFNILASKFWSTWVGPGARRSKIMFRTWKGDKEFEPQPENAADTAVTATTSGVSDSKTTVEGSAYHPLSAKESFKAAVMYLFRKWYFRVVSFWRNIKQLSDNTFQLMFRSNWNDFLHTIKGIQLPSVDHLVSTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKVRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPAGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRSRAHLHQQTGVQITSSTIYTSTLHIARVNMRDPGAMNEGLGAAREADALLVPDEPNRNQQEGQPIENAELVANNPLHYQDQNPQQPGNAPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENGQVT >ONIVA03G29320.2 pep chromosome:AWHD00000000:3:25101068:25104129:-1 gene:ONIVA03G29320 transcript:ONIVA03G29320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 10 growth stages; CONTAINS InterPro DOMAIN/s: Membralin (InterPro:IPR0191 /.../as 172 Blast hits to 170 proteins in 70 species: Archae - 0; Bacteria - 0; Metazoa - 110; Fungi - 0; Plants - 38; Viruses - 0; Other Eukaryotes - 24 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G60995) TAIR;Acc:AT1G60995] MTDGMVVLSSPSMPGCVLWGNEIEKACATGGAVAWEVGAVKWRERMEEEGDKLKKIWRAQWWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPAGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRSRAHLHQQTGVQITSSTIYTSTLHIARVNMRDPGAMNEGLGAAREADALLVPDEPNRNQQEGQPIENAELVANNPLHYQDQNPQQPGNAPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENGQVT >ONIVA03G29320.3 pep chromosome:AWHD00000000:3:25104381:25107829:-1 gene:ONIVA03G29320 transcript:ONIVA03G29320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 10 growth stages; CONTAINS InterPro DOMAIN/s: Membralin (InterPro:IPR0191 /.../as 172 Blast hits to 170 proteins in 70 species: Archae - 0; Bacteria - 0; Metazoa - 110; Fungi - 0; Plants - 38; Viruses - 0; Other Eukaryotes - 24 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G60995) TAIR;Acc:AT1G60995] MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKIISGGLWVSKGASYIMDLQNLGRSAEKILEVNGDRFNILASKFWSTWVGPGARRSKIMFRTWKGDKEFEPQPENAADTAVTATTSGVSDSKTTVEGSAYHPLSAKESFKAAVMYLFRKWYFRVVSFWRNIKQLSDNTFQLMFRSNWNDFLHTIKGIQLPSVDHLVSTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKVRHGVRTINITISARNPCFGNREFLPNWMQKLGPQHHCSLHLVRLGYDGVNRMVDWQLTSHLC >ONIVA03G29310.1 pep chromosome:AWHD00000000:3:25096341:25098990:-1 gene:ONIVA03G29310 transcript:ONIVA03G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAEGEFALPDEVLAVMPRDPYEQLDLARRITALAVAGRVTGLEREAARLRESAADKDRENGELRERVALLDRALQETNSRLRAALEDNIKLSKERDSLAQTSKKLARDLQKLESFKRHLMQSLRDDSPSPQETVDITTCDQSISSKASSCGDGDSITHTTTNLLSTSLDVGSTVQEGTVSKPPIQKYALSSHITPRLTPEATPKIMSTSASPRRMSTTATPKLMSGTTSPSKTRIEGYMSMTPWYPSSKQSSAANSPPRGRPNPGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREDTLKKAEEIFDTIVKVFKPHGNLKLQY >ONIVA03G29300.1 pep chromosome:AWHD00000000:3:25093826:25095839:1 gene:ONIVA03G29300 transcript:ONIVA03G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVVVAVGGGTKAASRQQGQGGVTLAAAAAGSGSGSAASPCAACKLLRRRCAAGCVFAPYFPPGEPHKFANVHKVFGASNVSKLLQEIPVQHRGDAVSSLVYEANARVRDPIYGCVGAISSLQQQVEALQAQLALAQAEMVRLRMSNDYIGRRLRARGGGGGGGGGSTTTTTGSPSSMSSPAKTAEPEPLCKPTPELDMVVDQPDFGFWSY >ONIVA03G29290.1 pep chromosome:AWHD00000000:3:25087928:25088523:1 gene:ONIVA03G29290 transcript:ONIVA03G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPIVVVDGRAARRARKGNQLALSSLHIQVHDLIPYTKIRKRGRDQKPFVGSTKAQADSVPHP >ONIVA03G29280.1 pep chromosome:AWHD00000000:3:25082202:25085847:1 gene:ONIVA03G29280 transcript:ONIVA03G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTARRGKSELVAPARATPNERKYLSDIDNQHSLRFYATAVEFFQLCTFDGYKPHDPVKAIRSALAEALVHYYPIAGRLRELPQGKLVVDCTAEGVVFVEAYADVRLEELGKPLLLPYPCVEEFLCDPGDTKVVVGKPLLFLQVTRLKCGGFVIGLHMCHNISDGFGMAHFIKAVGDIARGEALLTISPLWNREMLTMCYPPQITHTHLAYEPLRDGDPTNDIMQSTAPDTMVGQYFLFGPREISAMRNHVPVHLRQSYTTFELIAAAVWKCRTAALGYSLDQHVRLMFTLNSRGNWKRNPPIPRGYYGCCLVFPVAETTVADLCGNPLGYALDLVRKAKLEVTDEYVKSTVDFLASRKWPSLVVDRTYIVSDITSVGDDKIDFGWGKRMGGGIPMAGDIMSKLISYFTKCKNADGEDCIVVPMYLPSITMDRFAAEISVWSMKQGSKFIAD >ONIVA03G29260.1 pep chromosome:AWHD00000000:3:25050499:25053366:-1 gene:ONIVA03G29260 transcript:ONIVA03G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT2G32090) TAIR;Acc:AT2G32090] MATLQLNHVARETDDVRRLAAFYEEVLGFERVASPNYPAFQVAWLRLPGTPGVALHIIERDPAAAPAAVAPGAAGAPPAQLPRRHHLAFSVADYDGFLTGLKARGTDVFEKTQPDGRTRQVFFFDPDGNGLEVTSSGTGDISSLRI >ONIVA03G29260.2 pep chromosome:AWHD00000000:3:25051049:25053366:-1 gene:ONIVA03G29260 transcript:ONIVA03G29260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT2G32090) TAIR;Acc:AT2G32090] MATLQLNHVARETDDVRRLAAFYEEVLGFERVASPNYPAFQVAWLRLPGTPGVALHIIERDPAAAPAAVAPGAAGAPPAQLPRRHHLAFSVADYDGFLTGLKARGTDVFEKTQPDGRTRQVFFFDPDGNGLEVTSSGTGDM >ONIVA03G29250.1 pep chromosome:AWHD00000000:3:25049844:25050287:1 gene:ONIVA03G29250 transcript:ONIVA03G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14890) TAIR;Acc:AT4G14890] MAAAAASLLHLATSACSPPRVRLVGPTTGRRSPLRAAPAPPPPRAYKVTIEHGGESRVVEVEEGETILSRALDEGIDVPHDCKLGVCMTCPARLVAGEVDQSDGMLSDDVVAQGYALLCASYPRSDCTIRVIPEDELLKVQLATADD >ONIVA03G29240.1 pep chromosome:AWHD00000000:3:24979852:24994127:-1 gene:ONIVA03G29240 transcript:ONIVA03G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSWLVLTAAMAVLASWLIRLVSLKWNSSHPCKADEGSRLPPGSRGLPLLGESLEFFTSSTSLELPVFFKRRLDRTNMVGQDLIVCLDPEVNSFVFQQEERLFQCWYPDSFMRIIGADNIITTLGSSHKYIRNLILRLFGPENLRRAMLQDVHRMAQASLLSWLDRPSIELKDAVSSMIFRVTAKKLISYDSLASDGKMWKQYDAFIRGLLAFPIGIPGTAFYKCMQGRKNVMKMLKELIDERKEASGRRGSIDFIDVLLEELNEEKPLISENVALDLIFLLLFASFETTASAITAVEEHDNIQKRRVDLNSEITWEEYKSMKFTSHVIHEALRLANIAPVMFRKATEDVHIKGFFIPKGSKIMICPSTVHLNPMIYKDPNIFNPWRWKDTAEPTGGSSKDFMAFGGGLRLCVGADFAKLQTAIFLHCLVTKYRWKAIKGGTMVLGPGLRFPEGFHIQLFPKP >ONIVA03G29230.1 pep chromosome:AWHD00000000:3:24927836:24935733:-1 gene:ONIVA03G29230 transcript:ONIVA03G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHAINTATDHARFAIQQNVGIAVNGGFPCGSGHPLVCPRCRYGCASRSCLADNPRMQADESCCRLPPGSRGLPLLGESLEFFARSPSLELLPFLKQRLERYGPIFRTNIIAQDMIVSLDQELNNLVFQQEERLFQCWYPNSFMRVFGADSIITTFGSSHRHVRNLVLRLFGPENLRRAMLQEMQKTAQASLLSWLDRPSIEVKEEVSSMIIFSIIAKKLISYDSSASNGKLWKQFDAFLQGLLAFPIYLPGTAFYECMQGRKNVMRMLRELLDERKKKTAHQLESIDFFDALIDELKQEKPAVSENVALDLVFLLLFASFETTSSGITAILRFLTDNPMALEELTEEHDRILKRKADPNSQITWEEYKSMKFTSHALRLANIAPVVFRKARQDVHIKGYTIPKGSKIMLSPSNIHMNPTDIAEPAGGGSKDFMAFGGGVLLCVGADFAKLQMAIFLHCLMGSDKGRINGAFSWASIS >ONIVA03G29220.1 pep chromosome:AWHD00000000:3:24910282:24911276:-1 gene:ONIVA03G29220 transcript:ONIVA03G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSQTTTTGAGGGRGQGDDEEPTPTPPPAPPPETAPSTVGGGGGDGVQLVMPEDGYEWKKYGQKFIKNIQKNRSYFRCRDQRCGAKKKVEWHPHDPGLNLRVVYDGAHHHGSPSSAAGEGGASAAAAANQYDLSTQYFGGAGGPRSQ >ONIVA03G29210.1 pep chromosome:AWHD00000000:3:24908207:24909456:1 gene:ONIVA03G29210 transcript:ONIVA03G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSRPHWRVPLWSLFFLAVPSLPDLPPSSVGKETEGMGKKFGKAAQLQLNDGPNPQEAAAAARVRAHRARRMYKILKEEDFVC >ONIVA03G29200.1 pep chromosome:AWHD00000000:3:24902343:24904344:1 gene:ONIVA03G29200 transcript:ONIVA03G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNFVLAANSSAVTGLEHRNRRAFEAKAAAVGRAGYPNVTRLCAYYHETDEKLLPYYRLRRQALASASPVSSPTLTRRRSRRSSAEWRARCVARARLYRWLGAGVHCIGVAVVTQKGNVFALGIMLLEAVTVARVDEERGSAESWRCRHNTSSWRSGCRQRSST >ONIVA03G29190.1 pep chromosome:AWHD00000000:3:24898663:24901221:-1 gene:ONIVA03G29190 transcript:ONIVA03G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GR96] MAGEGVEMSEEEGAFEAFVCPLTKQVMRDPVTIETGQTFEREAILKWFRECRDNGRRPTCPLTQRELRDTEVSPSVALRSVIHEWRARNEEKDLDRACASLVGGFAGHAGDEEEEESALRALVHVSQICQRSAASKDLVRRRGVLRAVAEMLKSGSRRLRLKSLQVLRVLVEDNDDNKVANPIHPPNFNLPPNQARIDRFLVLILQEELGKGDTIRTIIKFLSNEHVQERELAVSLLHELSGHEPTCERIGAVYGAILLLVGMGSSKSESAVAVDKAESTLRNLDRFDANVKQMADNGRLQPLLTRLLRGEPDTRVAMADYLGELALANDDKAAVAEQAGPLLVGMLRTGATPAKEATLKALREISSSEASAKLLLQRAGVLPPLVNDVLFSTGHLPMKLKELAATILANLVASGADFRSIPLDDDEDDDGGGGGRGRRRTLLSEDVVHSQLHLISNTGPAIGCRLLSVLAGLTSSRATVADVVAAVKSSGATISLIQFIEAAHRDIRVESLKLLRNLAPYMGAELADALGGSLSSLLRAISSDGGGVTEEQAAAVGLLGDLPEGDSSLTRQLFDLGAFRALAPKLAELRRGTIRGGNRYVTPLTEGVVKVMYRVTCALEEDAEYVEFAREAGLAPLFVELLHTNGMDTVQLYSAMALEKLSLQSSHLTAIPAPPSPPAGFGCACLGRRPAAAAVPAGVCRVHGGFCSLRETFCLAQADGGKAVERLVACLDHLDGRVVEAALAALSTLVCDGVDAREGVVVLGEADGLRPVVDIMVESRTEALQRRAVWAVERILRVEEIAGEVAADQTVASALVEAYRNGDPRTRQTAERALRHLDRIPNFSAAFQSKRS >ONIVA03G29180.1 pep chromosome:AWHD00000000:3:24893861:24897593:1 gene:ONIVA03G29180 transcript:ONIVA03G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAALEGSEPVDLAKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQCVLYKYRNNT >ONIVA03G29170.1 pep chromosome:AWHD00000000:3:24883222:24890767:1 gene:ONIVA03G29170 transcript:ONIVA03G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSGDRRLAVVAFAAAVLLSAAEGLGVNWGTMASHPLPPRAVVRMLQDNGISKVKLFDADAGTMEALAGSGVEVMVAIPNNLLDLLTDYDAARDWVHENVSRYSFDGGVNIKYVAVGNEPFLSSLNGTFLNVTFPALQNIQRALYDAGHGDTIKATVPLNADVYNSPENMQVPSAGRFRPDIAGLMTEIVQFLNQSGAPFTVNIYPFLSLYGNDNFPLDYAFFDGTTSPVVDTNGIQYTNVFDANFDTLVSALVAAGVGGLPVVVGEVGWPTDGDKHARADLAQRFYAGLLRKLASNAGTPLRPNQYVEVYLFSLVDEDAKSVAPGNFERHWGILRYDGQPKYAMDLAGQGRDTALVAARGVAYLPRAWCVLNPSATPDAMSRVGDNVNYACTYADCTSLGYGSTCNGMDAAGNASYAFNAYFQVQNQVEESCGFQGLAVQTQQDPSTNACNFTIQIEPSAAAGRRPAAVAVTVATAMLISVLAAMVTTP >ONIVA03G29170.2 pep chromosome:AWHD00000000:3:24883222:24890331:1 gene:ONIVA03G29170 transcript:ONIVA03G29170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSGDRRLAVVAFAAAVLLSAAEGLGVNWGTMASHPLPPRAVVRMLQDNGISKVKLFDADAGTMEALAGSGVEVMVAIPNNLLDLLTDYDAARDWVHENVSRYSFDGGVNIKYVAVGNEPFLSSLNGTFLNVTFPALQNIQRALYDAGHGDTIKATVPLNADVYNSPENMQVPSAGRFRPDIAGLMTEIVQFLNQSGAPFTVNIYPFLSLYGNDNFPLDYAFFDGTTSPVVDTNGIQYTNVFDANFDTLVSALVAAGVGGLPVVVGEVGWPTDGDKHARADLAQRFYAGLLRKLASNAGTPLRPNQYVEVYLFSLVDEDAKSVAPGNFERHWGILRYDGQPKYAMDLAGQGRDTALVAARGVAYLPRAWCVLNPSATPDAMSRVGDNVNYACTYADCTSLGYGSTCNGMDAAGNASYAFNAYFQVQNQVEESCGFQGLAVQTQQDPSTNACNFTIQIEPSAAAGRRPAAVAVTVATAMLISVLAAMVTTP >ONIVA03G29170.3 pep chromosome:AWHD00000000:3:24883352:24890331:1 gene:ONIVA03G29170 transcript:ONIVA03G29170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFALQICCRRERAIPVVFEWHVPERDLPCSAEHPASPLRRRPRRHHQGHRAAERRRVQLAGEHAGAVGGEVPAGHRRADDGDRAVPEPERRALHRQHLPLPEPVRQRQLPARLRLLRRHDQPRRRHQRHPVHQRVRRQLRHARVGAGRRRRRGPPRRRRRGRVANRRRQARQGGPRAAVLRRAAPEAGVQRRDAAAAEPVRGGVPVQPRRRGRQERGAGQLRAPLGHPPVRRPAQVRHGPRRAGPGHGARGGARRRLPPARVVRAQPERHAGRHEQGRRQRQLRLHVRRLHLAGLRLDVQRHGRRRQRLLRLQRLLPGAEPGGGVVRLPGPRRADAAGPVHECLQLHHTDRAVCGRRPTAGRGGRDGGDGHADLGSRRHGHDALIGVRT >ONIVA03G29170.4 pep chromosome:AWHD00000000:3:24883222:24887411:1 gene:ONIVA03G29170 transcript:ONIVA03G29170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSGDRRLAVVAFAAAVLLSAAEGLGVNWGTMASHPLPPRAVVRMLQDNGISKVKLFDADAGTMEALAGSGVEVMVAIPNNLLDLLTDYDAARDWVHENVSRYSFDGGVNIKYVAVGNEPFLSSLNGTFLNVTFPALQNIQRALYDAGHGDTIKATVPLNADVYNSPENMQVPSAGRFRPDIAGLMTEIVQFLNQSGAPFTVNIYPFLSLYGNDNFPLDYAFFDGTTSPVVDTNGIQYTNVFDANFDTLVSALVAAGVGGLPVVVGEVGWPTDGDKHARADLAQRFYAGLLRKLASNAGTPLRPNQYVEVYLFSLVDEDAKSVAPGNFERHWGILRYDGQPKYAMDLAGQGRDTALVAARGVAYLPRAWCVLNPSATPDAMSRVGDNVNYACTYADCTSLGYGSTCNGMDAAGNASYAFNAYFQVQNQVEESCGFQGLAVQTQQDPSTNACNFTIQIEPSAAAGRRPAAVAVTVATAMLISVLAAMVTTP >ONIVA03G29160.1 pep chromosome:AWHD00000000:3:24873224:24874951:1 gene:ONIVA03G29160 transcript:ONIVA03G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRRRGYSAAVPSACCFLLLLLVLSASHLLPTRRGHGGVPEGLALRGSASRSRSGSSSSSSAGEEQGSCQELQSIEGGEARCLYLRTHPPCAPAGYVDYLRLFYCGFAHAPAAGYAAAVLWLAVLFYLLGDTASEYFCASLEGLSAELRLPPAIAGVTLLSLGNGAPDVFASVVSFVAGDGGGVGLNSALGGALFVSTVVAGVVALAAASRAGRGGVVVELRAFVRDICFLLLALCSLLAILVTGTVTVWVSASFVSLYVAYVLLVWTSHCCSEPGKPPQADLAAPLLLDDDGGVTPLPSYSKNSAPSKKRAYLHCLLSAILIPLYLPRRLTIPDIAGHRWSRPCAVASLALAPVLLAATWASSCRHALAVLLGGALLGLLLAALAAATTEAASPPRGRWRRVPWLAAGFLMSVLWAYTLARELVALLVAIGYMVGVRASVLGVTVLAWGDSLGDLVSNVAMALHGGAGGAQTAVSGCYAGPLFNTVVGLGLSLTLAAGSQYPAPFAIPAGGAVYEAVGFLGAGLAWALLVVPARGMRLDRVYGMGLIAIYLAFVTIRVFDSLGLWTHSWWPA >ONIVA03G29150.1 pep chromosome:AWHD00000000:3:24819186:24823529:-1 gene:ONIVA03G29150 transcript:ONIVA03G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGAAASHAAAAGPPPAADPHHPHYPHPYAGYPYPYAAYNPAAPASEPATAASSSYYYPTAVSAAASAGQYDPYAAYQYYADPAAAGPGSGGAGGLPGYYFGAGEAFQAPASSASQGAPAATAAAGKEAGKHFGFDPQRYAQAAAARSSNGVAPAIAAPGMHPAQWNAHFGHPVPKIVSRKHIKKKPKVVQPLTCEVCKIQCDTPEVLRIHKTGKKHKKNLERLQDSITPKPVKPPSTPNTVALAANMAPDPVTTSVTTSVMPAAQTKKKKSAAATPEELEVKRRRVLDAGAAQGEVKICTVCNVVVNSQKVYEFHIIGQKHKAMVQKQQAQPPIA >ONIVA03G29140.1 pep chromosome:AWHD00000000:3:24806572:24811504:-1 gene:ONIVA03G29140 transcript:ONIVA03G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein LHP1 [Source:Projected from Arabidopsis thaliana (AT5G17690) UniProtKB/Swiss-Prot;Acc:Q946J8] MARGKNHPDGEEEEAAAAAGEEEAPVEMEEEGEMEEEEEEQGEGEGEERDEGEEEEEWEDAEEVEGGEESEQAAAEEEDSPLVVVEAEAAAPVVDGSPPKLAEGYYEIEDIRRRRLRKGKLQYLVKWRGWPESANTWEPLENLSACSDIIDAFEMRLQSPRPGRKRKRKITTTPVAGSNPSHGKRGRPRLDAKSHTRAPAPEPKQLPCRTSSRRATNCSSKTVAGLDASGSVVRNQLAQNIVQEGSSSVISRTPCQELPLSIRLTDQQNEHHLVNGSSNSENLVKVPPSQGGQVTGAKKRKSGNVRRFEQNKPTQGQGECGALVVAEDVGSTEGETGDKKKTEGCPNRVHITKIIKPVRFAAAVNNDVQQVSITFKALRSDGQEVMVDDKELKANNPLLLISYYEQCLRYNPTSPYPSHNS >ONIVA03G29140.2 pep chromosome:AWHD00000000:3:24807205:24811504:-1 gene:ONIVA03G29140 transcript:ONIVA03G29140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein LHP1 [Source:Projected from Arabidopsis thaliana (AT5G17690) UniProtKB/Swiss-Prot;Acc:Q946J8] MARGKNHPDGEEEEAAAAAGEEEAPVEMEEEGEMEEEEEEQGEGEGEERDEGEEEEEWEDAEEVEGGEESEQAAAEEEDSPLVVVEAEAAAPVVDGSPPKLAEGYYEIEDIRRRRLRKGKLQYLVKWRGWPESANTWEPLENLSACSDIIDAFEMRLQSPRPGRKRKRKITTTPVAGSNPSHGKRGRPRLDAKSHTRAPAPEPKQLPCRTSSRRATNCSSKTVAGLDASGSVVRNQLAQNIVQEGSSSVISRTPCQELPLSIRLTDQQNEHHLVNGSSNSENLVKVPPSQGGQVTGAKKRKSGNVRRFEQNKPTQGQGECGALVVAEDVGSTEGETGDKKKTEGCPNRVHITKIIKPVRFAAAVNNDVQQVSITFKALRSDGQEVMVDDKELKANNPLLLISYYEQCLRYNPTS >ONIVA03G29130.1 pep chromosome:AWHD00000000:3:24801345:24803328:-1 gene:ONIVA03G29130 transcript:ONIVA03G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCLTYKLILNIECHRPRNKIERSDFLVQEQEDQGGNQTIRMQPQQGRFFGREEMSNGVEYDAAYAATVAAVAYAIAAKEEEKQATEETRVKKKLTSEKKPVANDEPSTTPTLKLPPNRQGILKRPRQTEGSRITRRFSGKEIVPDEEDDGLEANVSVRRPVRTAQKIPEGGISGQNMVGKVLDSVPSIRKAPSFAKPLPEKKGSMKFEQEQAIPTVPPNVRPTALFPREKKESKKFDQDQAIPRVPPDVRPTASFSREKKESKKFEQDKANQMPSLASAPTSSYSSEAEAMADTWEKEKMAKIKKQYNMTMDTIVEWEAEKKAKAKRQKELKEGDNSERKREKALEEYNDEITRINKVAAASRLTAEEKRRSAERKVREKAERIRVTGKLPGACGCF >ONIVA03G29120.1 pep chromosome:AWHD00000000:3:24780502:24782458:1 gene:ONIVA03G29120 transcript:ONIVA03G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVALELAASCPHPTLSSPRASSTPMEAEAEAAVAPQIHANGQIRVGQRRWRPRRGGGEEGRVGERGARRRGGGVEGEARDVALTTTAVDKDSNLVGATTSGRPRQRGGTWCLRHLHPKPSPLSSLTSFLEAAGRRCRRRRCPRPRCCRGGVWVVGVDGKHEAWEICLTPVQVQNSPSGIQACQLI >ONIVA03G29110.1 pep chromosome:AWHD00000000:3:24774295:24779014:-1 gene:ONIVA03G29110 transcript:ONIVA03G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLVFLLLAAMSAAVESITSTAVKTGCQERCGGVDIPYPFGIGPGCSRHGFELSCVSNGSGAGPIAVLAGTSIQVTRLSVEPAESQVMLPVGWQCYNTSQPTRTYPDWRRAKTEMNRGGVYRISNTHNMLVVLGCNTVGYTESLRSEGGAYSSTYYIGCMSYCNNSASAQDGQCAGVGCCHVDIPPGLTDSSVNFRVYDHTGMVDYSPCDYAFLTDRTNYSFRRADLIKMDKNRNVPVWLDWAIRENGSMSCAEAKGKPGYACVSVHSECVDSTNGPGYNCKCTAGYEGNAYAPDGCTNINECDRPSDYPCHGICQDTDGSYDCKCHRGYQNSGEPKEQPCSPKFPLAAQIALGITLGISFLIVGLLFILMMRQKRRMNEYFRKNGGSVLQKVENIKIFTKDELKKITKNNSEVLGQGGFGKVYKGILEDNTLVAMKASIEVDVPMLVYEFAANGNLQDILHGDNNRRVPLPLDLRMDIAVEAAEGLRYMHSSANRTIRHGDVKPANILLNDKFKPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGRLTQKSDVYSFGVVLLELITRKPTIYDANCSLLIDFQKAYEQENSGRAMFDKDFTIEEEIFVLEEIGRLAMECLKEKVEERPDMKEVAEQLVILRRSRKSRQGNYNISPQQFEEMSTEETPLSLETAVSVSSSVLSAPSTPAKNDFSNA >ONIVA03G29100.1 pep chromosome:AWHD00000000:3:24767999:24769429:1 gene:ONIVA03G29100 transcript:ONIVA03G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPLRSVAASGDGWLSLSVSTESTGSGESNKRLKRGGGGGSVEDDGFPLHDEVLLLVFAASSLDLHDLVRCTATCRRWRRLVTGNAEYICRSMLPSSRLIRDLAVGFFHQSHEDESSSSVVPPRFVPLPSASSRFGGGELDRVLDNPGLFKNSRLVASRKGRLVVELRRASRAAALRLVVCNPMTGDMSVLPVLSGKDRPGLYACALLTADDLQDSADPLPPGPAAFRLVVLYKRRSFTACRSYSSDTKAWSTERKLSGVKIGGKRLGDMAAGVTFRGRVFWLVNSVVFVLHLDTLVATTENIPWHWRWNGKPCFCLGDPVPNRRLAVSPDGRLCVVQVGRNLRTYNPVINVFARHDSGGCNGSTAQKIRWKVEEAHDVELSHLIPLANVKRVCLRGVCEKSGLIFLAIGADMYAKKPDLALFALDMEKKEARLVPAPPGRCCVRRSSWSFFGYELDRVDYLASLAGGDSTAR >ONIVA03G29090.1 pep chromosome:AWHD00000000:3:24735208:24737309:-1 gene:ONIVA03G29090 transcript:ONIVA03G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17840) TAIR;Acc:AT5G17840] MDVSARLRAPPASAAPRRGRGSLPLPGWFASARPASRAVSAKIRAGATYDLQRNKSNLESLFCYDKSVPEEDIGTPAGLDLEKKNVGKNPPCISCETKGAVLCATCAGSGLYVDSILESQGIIVKVRCLGCGGTGSIMCSKCGGRGHT >ONIVA03G29080.1 pep chromosome:AWHD00000000:3:24733194:24734172:-1 gene:ONIVA03G29080 transcript:ONIVA03G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRGVTLTFEEYDAAVEWSRSAEADAVKISLPGKNTINSIHLSLDQLGFKREEIRVLVDNHGHLRTRGQRPVAGNRWSRFQKDFQLPADCNVDGIRAKFENEALTITLPKKTPSPLTPSPTPSPVTPPPQQPPQPEPRRPPAAPLPGVRTPPSPPRRAPAPAPAPLTPALSQRFPAERRPAPVPEPVTRKRSDLGTLMKPKEDKVEETTKPLPPPAAAAAAAEEEEEERMAREARGKMEEDKKKANEEVTDMAQLRRPASASRRQLVNVAVAAVVLLGITLYVWNTLRNAATGGGGNGHGASYSDEM >ONIVA03G29070.1 pep chromosome:AWHD00000000:3:24726400:24730058:-1 gene:ONIVA03G29070 transcript:ONIVA03G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYSNVGSPTAAGYVQAPELPLHLCFFLVVLLVFLGFSWYMSYESAAERFANQVRLLLMASPLALLLTPRTLRHSSRRCSSRRWAQPGTVFTIPVFPEQGHCRHLRLPAHPIPASPPLRPHLLQRPARAAEAESEVAWSRRGCNTAPRSRLAVRGALHDGGGGGAGGEFAGASRHVEECAGAAERALLAVGSSPPPPLTSQVGVNGTTAACDVVLFCYCRVRLRRPACVAPVGRAARRLERRGYFLKLGFITQRQHACTQYFNAATAAAAAAPPPPRRHGYANVDPRCEWTRTEDADTLVVDVSGFRKEELKVLYNTSRKLKVAGERRADGGQWARFLKMFPVPRSCDAGAIRAVMDNEEALLYVILPKGSSSSSSSSRDKKEDEHNVSSQPQGEAAMAPMADGPSSSSGGGGNLYIAQEDEEMGKIDEKEEVIATQDVPRTHGDVDDGNGRWFHLGVFAGLETAVRVHLEDVGVKHGKHLVDAVRDLLGGGDPGGVDVIHTLAEDGQELLIGSGVLDGAPG >ONIVA03G29060.1 pep chromosome:AWHD00000000:3:24720135:24724702:1 gene:ONIVA03G29060 transcript:ONIVA03G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-isopropylmalate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GR79] MSIQANPLIKTLALSPRRRMAPPAPATARRPMAAVRCSAAKRNYNVTLLPGDGIGPEVVAVAKDEKLMGGAAVDAYGVPLPEETLAAAQASDAVLLGAIGGYKWDNNEKHLKPETGLLQIRAGLGVFANLRPAAVLPQLVDASTLKKEVAEGVDIMVVRELTGGIYFGQPRGFGKNDKGEDTGFNTEVYSASEIDRITRVAFEVARKRRGKLCSVDKANVLEASMLWRKRVTSLASEFPDIELSHMYVDNAAMQLIRNPKQFDTIVTNNIFGDILSDEASMLTGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLRYGLGEENAAKRVEAAVTETLNNGFRTGDIYSPGTTLVGCKRMGEEVLKTVESQSAVALNS >ONIVA03G29050.1 pep chromosome:AWHD00000000:3:24710586:24716972:1 gene:ONIVA03G29050 transcript:ONIVA03G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGGGGGGGGGVMGSEQGTPESEMGDGDNDSVDYAAEMEADAAGNGSAAAAAYAARAGVYDGVDPFEGMEFDDEEDAWTFYNVYAHRVGFSTRISVMHRSRRDGSIMSRQFVCAKEGFRTYRGKNEVSPVAAGSGEDSGRGRRTRAVTRVGCKAMIRVKKQDNGRWAVTKLETAHNHPLVPPNQAHCLRPHKPLSECGKQRQFGIPRNGGMLLAIEPPPPPISPPVPQTSELVNCINMPETIDEFEVNFKALISKVGPGNSEWLYSVYNCRQHWVPVYLRDTFFGDESSKEDLCREALRYAEEGASSVEVYIVAMQALQEAANKVNMAKRGIGQVAPNAPLAVMPIAAQLPAEGFRNVPEISFNQRKKRKRNSNNKTTENSSNQLMYLQQPVNFLFVAPGTSSGPQGPSQIVAAVPVSSSAPHGQTSSANHPSDGNTTSCSVAAQKNSDLSNYSGSAPSLGNVVPEGEIKSSGFASQIKESHELSQGNGNKGNNVNMASSTSSPQLVTVPVGLCLPSMDSSKISADAINSTNSGSMISNGNVSFGLCQSQSTNADPRSTPEGSSIRAAAIAAGARIASPSDAASIIKAAQSKGAIHIRPGEGVPNYLKPLAPQPLSSLPPGSIPNSVHPSSSHAQPGQCSFGDSAAAKDAIFGSTDSSDDDEYDEDDDTDDNDEDEGITGDEVEQE >ONIVA03G29040.1 pep chromosome:AWHD00000000:3:24704542:24706212:1 gene:ONIVA03G29040 transcript:ONIVA03G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVIPEPTNEVVVRVEPGRPARGELTLRNAMHTMPVAFRLQPAVRSRFAVRPHTGILAPLAAVTVEVVYLASAAPEGPGGGGGAGRGEDAFLLHSVVAPGAAVREPVTALDSVNPEWFSARRKQVFVDSGIRACFVGAAVAARLVEAGAVEALREVLDRSEPEWRAADAVDESGRTLLDLAVGLGRADIVQVLLEYGADADKPSRGRTPLETAAASGECLIAELLLANGATPAGSDALHVAAAAGHNDVLKLLLGKPASASPSSASSASFSCSFTSIDAAGRDGKTPLRLAAEAGRRDAVKALLAAGARADARCGADGGTALHAAARRGDEVIARLILANGAAGTAAVRDAAGKTAFEIAAEECHGGRIMDFLGLGEAILAAARKGEARAVRRAADGGASVEGRDAHGWTPLMRAAFKGRADTVRDLVDRGADMDATDAEGYTALHCAAEAGRADVVDLLLKSGANAKTTTVKGRSAAEVAAAAGKSKVVRLLEKAGGVGRKEVAEKTSPAAVVGKAGSLDRRRRGRKGSSGAIRFGGGKDGFETAAVAVGWSH >ONIVA03G29030.1 pep chromosome:AWHD00000000:3:24697900:24698199:1 gene:ONIVA03G29030 transcript:ONIVA03G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIHKIEEKLHMGGGEHKKEDEHKKEGEHHKKEGEHHKKDGEHKEGVVEKIKDKITGDHGDGGEHKEKKDKKKKKEKKHGEEGHHHDGHSSSSSDSD >ONIVA03G29020.1 pep chromosome:AWHD00000000:3:24690886:24695444:1 gene:ONIVA03G29020 transcript:ONIVA03G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03773) TAIR;Acc:AT3G03773] MSRQPEVLWAQRSEKIYLTISLPDAKDVVLKTEPQGLFSFSAVANGEPFSFTLELFDSVLPEGSKTKTKMGLRNIICSIQKEKKGWWKRLLKSEEKHPYIKVDWNKWCDEDEESDAPVDSDDAFDEGNDRGETDDDDDGMLYLPDLEKLRGK >ONIVA03G29010.1 pep chromosome:AWHD00000000:3:24686633:24690525:-1 gene:ONIVA03G29010 transcript:ONIVA03G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGGGGGSGATLSEMYQSARRLLLSARDGVARVERLASAPTSSSYSSAPLVGGGGGAGDSAAAEEVRREVAQIQGLCAQMDRLWRSIPAKGQRDLWKRKVEQLSEEVDSLKETLDRHSLRQKKRVLEAKERAELFERANGESSHVLRIFDDEAQAMQSARSSSRMLDEAYETGVAILHKYADQRDRLKSAQRKALDILNTVGLSNSVLKLIERRHRVDKWIAYAGMMITVVRYKGFLWGGIKAAFLFQRGFPACQYICHYVYADQILFCMHITIYILHMYRKTDRVTVCGF >ONIVA03G29000.1 pep chromosome:AWHD00000000:3:24680884:24682996:1 gene:ONIVA03G29000 transcript:ONIVA03G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVDQGSSGSVEAKRRREAKGRRRSGGGGGGGGGGGGGGGGGAVVRRTRRRVLLRTPEPAPLLKRLFAACRDVFRGPGTVPAPDDVALIRGILDKIGPGDVNLSAEHNFFKATDAAALPHPLAITRTTIYTCTNFSIVIFFLPPTAVIPLHNHPGMTVFSKLLLGSLHIKSYDWAEPAVFAAGSGDRLRLAEVVRDGGFSAPSDTLVLYPAAGGNMHRFTAATPCALLDVLGPPYSEDRDCTYYQDFPYSHCPSDDIAELRRHGGGMDDEQISRMRQLGWLKETAMPKDLEMYEMPYRGPPIL >ONIVA03G28990.1 pep chromosome:AWHD00000000:3:24674093:24674659:1 gene:ONIVA03G28990 transcript:ONIVA03G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQKVPFRDFLGYLQPYPLPPSSTLSSLQSQGVTRRAEHHRGVRRRRVLAGGERPRAQHVVVHEASVEGAVEAVVDPVLPELAAGALPDDARGRGEGERRLGEVPAGLADHLDAGEVSEVALERVVIPRLAFAPVKETVATKSRAQPSYGANKLYYGIQFLVLSLRW >ONIVA03G28980.1 pep chromosome:AWHD00000000:3:24666080:24666416:-1 gene:ONIVA03G28980 transcript:ONIVA03G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPKMILLANQLTGSSIIMILVLDVATSSFHTGPLPSPPPASMLCPPQSPVTCPFPPSVQRNMQTRTS >ONIVA03G28970.1 pep chromosome:AWHD00000000:3:24661005:24664468:-1 gene:ONIVA03G28970 transcript:ONIVA03G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISACASFPVVAVDSALRPEAVEGQGAAATAVAAPKTVTESESSASASASASMEPEEQVEEPAKKRKRDPAPDVGSSSMDGTDGQGSDDDKNDDGEEAPVLQAVSPPRQNALQRLVDECRVLLDGSSKSTQPPNSTTVSRIVALLTKNTKLVKKVLDHVVEAGCGTWVLYPSTGGNLHRFVAGVDGPCAFLDVLTPPYSEGRLRRCTFYRDYPFQLHRNHRFGRNLSAQEKSQFAWLRPINASAPPDLRIVPLTYSGQPVV >ONIVA03G28960.1 pep chromosome:AWHD00000000:3:24651783:24659099:-1 gene:ONIVA03G28960 transcript:ONIVA03G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAYLPLRAQAQVGLAPLRPSGSAAAGARLPGRTARRRLAARGGPEAAGIRAEAVPGDGGVARRAAMVPPYNVLITGSTKGIGYALAKEFLKAGDNVVICSRSAERVESAVTDLKKEFGEQHVWGIVCDVREGKDVKALVDFARDKMKYIDIWINNAGSNAYSYKPLVETSDEALMEVITTNTLGLMICCREAINMMRNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVMVHNLSPGMVTTDLLMSGATTKQVADYLVPNIRAIPTNQSMKPTYIRFLTGLKAYSRIFSRIAFGARRNKIMSNSQPAQEDDCMSSILVSRLIRAMQPTRSYLRWSDDLHKMFVEAVAYHGGPYEAKPTAVKETMQAMGVTGLTTHNIKSHLQKYRESFSSGVGSLHDHDLLRTTSPSKEALDLASEMVRDNDAAMAEIEMLNDLLLDHDIEMMERELMSEIKLIEHNFEISESALDEYMDDLANYAFDLTGPANSSSP >ONIVA03G28950.1 pep chromosome:AWHD00000000:3:24646477:24651059:-1 gene:ONIVA03G28950 transcript:ONIVA03G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2215) [Source:Projected from Arabidopsis thaliana (AT1G28760) TAIR;Acc:AT1G28760] MAPAAEVSSSSAETTGSSAGSAPSTAAAAAAAATSTSHSSYRRTAPPLLLLASLAALLIISTGDDTAAFDSAAVGRSIKDVSLENPEVTFVPSSLGGQFCERVRLSGIPKLHIGSYANQIRVKMNVSQSMPEKFHWKIEICFHGNASMGLCQCETGEWQNLQNGMWNAVKSPYGNKYVDVKVADKTSTRFSISIQEEFQKWRLACLGIGFILLFLSPIVSKWAPFYYSSSMALGVLLVVLIVLFQGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPVSIFLLVAIVLTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVAICFVMQSTLDPLLALFALAASWWICSVFTAYRAPKSMTLKQKQSKASTQPMYNKGSPNPRQIQFLSPSKRDIGRTTSNSSATQYGWSNLANGGLVVPDNQDEDHYSTFHNIQPRKYSKEEWDDFTQKSTRKALMECTATPDFARWVADNAHRLRVEQQDDASEDELIESSSNSSEETAQEADTGLFRWY >ONIVA03G28950.2 pep chromosome:AWHD00000000:3:24646479:24651059:-1 gene:ONIVA03G28950 transcript:ONIVA03G28950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2215) [Source:Projected from Arabidopsis thaliana (AT1G28760) TAIR;Acc:AT1G28760] MAPAAEVSSSSAETTGSSAGSAPSTAAAAAAAATSTSHSSYRRTAPPLLLLASLAALLIISTGDDTAAFDSAAVGRSIKDVSLENPEVTFVPSSLGGQFCERVRLSGIPKLHIGSYANQIRVKMNVSQSMPEKFHWKIEICFHGNASMGLCQCETGEWQNLQNGMWNAVKSPYGNKYVDVKVADKTSTRFSISIQEEFQKWRLACLGIGFILLFLSPIVSKWAPFYYSSSMALGVLLVVLIVLFQGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPVSIFLLVAIVLTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVAICFVMQSTLDPLLALFALAASWWICSVFTAYRAPKSMTLKQKQSKASTQPMYNKGSPNPRQIQFLSPSKRDIGRTTSNSSATQYGWSNLANGGLVVPDNQDEDHYSTFHNIQPRKYSKEEWDDFTQKSTRKALMECTATPDFARWVADNAHRLRVEQQDDASEDELIESSSNSSEETAQEADTGLFRWY >ONIVA03G28940.1 pep chromosome:AWHD00000000:3:24626964:24643963:-1 gene:ONIVA03G28940 transcript:ONIVA03G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGGGEVGRRRRRRGWGGGFPSLMRRKQVDSDRVRAAEGEGQPQLAKELNIPALVAIGVGSTIGAGVYVLVGTVAREHAGPALTISFLIAGIASALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALVLEYTIGGSAVARGISPNLALFFGGPDSLPWILSRHQLPWFDVIVDPCAAALVFVVTVLLCVGIKESSAVQELITVLNACVMIFVIVAGSYIGFQIGWVGYKVTDGYFPHGINGMLAGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGAALSICCCLYMMVSVVIVGLVPYFAMDPDTPISSVFAKHGMQWAMYIVTSGAVLALCSTLLGSLLPQPRILMAMARDGLLPSFFADVNKRTQVPVKSTVVTGLCAAALAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYIPPDEVPLPSSLQETFCLSQEYDEERVSGILGDERCKTSETKDVILAESMEDPLIEKKITRKMDEMKRRKVATFSIGSVCVGVMVLTSAASATWLPFLPMCIGCIVGALLLVAGLGLLCWIDQDDGRHSFGQSGGFTCPFVPLLPVLSILVNTYLLINLGGEAWMRVGIWLLIGVLVYILYGRTNSSLKDVIYVPVAQADEIYKSSSGYELLSVDPFHQYVRGDDGGGSTGRRGGAAATGGNPRRDPRRLPPRSLAASRCVCTDWRSIIDSRRLLRADLLPLSLAGIFIDFWGLRFPDFFSRPTSSTSPSTISGKLDFLPIKIDLHSIYAITGHCNGLLMLPGVVVNPATRRWARLPPLPRHFTVPQGLFYNEFIIFDPTISPHYEVFKSPYGGTTDYIDRMDPVLKELEWPPSSLVLRVFSSATGQWDERSFTREGDATGTHADAQRQPWPWIQRGQAYWRGVLYVNTCHVMRISLSDGKYQVIKHPTVYYKKFKPNFLIGKSEKVVYLASLEFDHNLSIWVLNESCGRFEWLPKHQNNLMHLLLRLNCGKQARRPWILQNVNCHLYCQKFPGEWNLYDWEYDPSHPDYQNDSDDDDSDEALDENNFKWNFDDDSVVDTQEYFENYKSGSLDFLDFHPYKEMVFLVPRKDWHIIGIAQSSNIWEIYARNIVNVVVAQTVLCDNMDMSFEMENACFPNTMPSIDCCIFAVHVIDMAILNDLECLCGLKDEQSFFDNGLSLQDMADFYSSSICQGQDLINAQVVVDACEVDDTAYHVNMSALFDEKKRTNTEKYDKSLPMPRGSYGRGFNTDTISLSDGKYQKGVYLASLEFDQRLSIWILNESCGHFEWLLKHQNNLMPLLLRLNGGKQARGPWILQDVNYHLYCQMFPGEWNLYDWEYDPSHPDYQNDSGEALDENNFKWNSDDDNVVDTQGY >ONIVA03G28930.1 pep chromosome:AWHD00000000:3:24622967:24626822:-1 gene:ONIVA03G28930 transcript:ONIVA03G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSATTTTTLTATTSLMMTAAAHQYGGGGSATGGSNTLALGRSLTRDRLRFHANPPRTGIHNHSIILDKIVSVCFPNTTPIMDCCIFVIHVIDMAILNNLECLCGLKDERAFFDNGLSL >ONIVA03G28920.1 pep chromosome:AWHD00000000:3:24604055:24608861:-1 gene:ONIVA03G28920 transcript:ONIVA03G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GR64] MFALEPLVRCRGLPRSPVGEPVAPGGLSAAALVDLAISEPLPPAGLSADETVYAFRRCNGNRMEARGGLKLNRGMHPRVAAKACMEARRGLKHNRCSTSTSLKEIISTNLLILVALSYLVHAVSAQSLDEPFRGTGAEIYEMTYDLKQDQQASFIVSFIDCATKESEFHLGTLKVLRNQTDTDREVPFGWILPRLIARKGSVTLAFRTDNLYLIGFTDKYGGWYSFNGYKVLIPGSTELEINGGYGEDGMGGFRKLEDLPLSRRHALDAVDILWDYDPSTTPKEVLQNATATLLLVIPESARFKEVFEPVIADWDSKEGISLKEKIKSIGLLHNWGKLSSVGMIGLPWDSSEVQGYVKKMRKEKVHINSKEDALRPLKVLLMSRAMRPKELVIKRINDPQS >ONIVA03G28910.1 pep chromosome:AWHD00000000:3:24553511:24559272:1 gene:ONIVA03G28910 transcript:ONIVA03G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSMKGFAYHLKSSKLQCLGNLYPKHYKHFAQHEDICQSFPYTPCWVDELPETSISVDNLCQD >ONIVA03G28900.1 pep chromosome:AWHD00000000:3:24549958:24550314:1 gene:ONIVA03G28900 transcript:ONIVA03G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSRLKYSLALSILAVLAASASSVAVQPMLTCPDRHITDPAAYQLREHMSPGAGEDDPYRMLHGSSLSRAPGSSRLPWLRTSATAMISCARVATPPVLGFDAATDVREELKVGNMNP >ONIVA03G28890.1 pep chromosome:AWHD00000000:3:24538154:24540726:-1 gene:ONIVA03G28890 transcript:ONIVA03G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMKFAASATPHPRRVLAVALALMVAFAVGPMVALAKCEQQAHAVASLCGGTGIYARCCFALKRSLDGGDPLCLCSLANNREVAEMGLNSTRILSLYRKCEGNVFPVLPAGGCEEVPALSPSPPPLHGSVMPPPLPPATVEPVISAPMVEPPPPPAMITPLPPSTPVFTLPPPPPVTTAPSTALPAGASAAAPSTGVLRRIYQALIGLLCLVAGFLLVAVFVVVRKYWKPQLNNDVEMGSSNAADQSAIENAKKAAAEAQSSAEAAASAAAGSLATAQAASGAAQAAQAATEEVVTVQANAAEQAVLFVEALGRAAQQISTCTITLGQLLQLVSQIIQAVRAAQGGAASAAAAITGLFARFGEIFGRVGVATAFVEAQAVAAQGAPSDLIDIDCINRPGGDRGVSSFDEGGSNEDEDEANVERSTSRTSNRIRKSNVLYSEDVWVLDPPARASITEGTQLSRDPDLPDAAYHRLPQPKDSERVKNYIPKHPAVTPASFPQIQAPVVSNPAFWERMGGDSLSTVLFFAFYYQQVNFHIFFSIVFICLACL >ONIVA03G28880.1 pep chromosome:AWHD00000000:3:24499146:24499397:-1 gene:ONIVA03G28880 transcript:ONIVA03G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAAIGAGKLPRTTSAGGTGSQPVMAMEGSTGGTGGHRWRHARAGPAAREASARAASMAGSVDGDPPSGEDDESAERRGW >ONIVA03G28870.1 pep chromosome:AWHD00000000:3:24490035:24491269:-1 gene:ONIVA03G28870 transcript:ONIVA03G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHCNGLFLLFSLLVVNPATQRWACLPPLPSHSTELDFRFLYDQGLIVFDPIVSPHYEVFMIPFVNPGQYCIERTDLVLKESEWPPSPLILHVFSSAAERWEEKSFVREGDSAGTVAYAQRQCHLDKHGNFYWRGALYVNSYFLMRISISDGSYQVIHHPIEVYKSRPYVYFGKSEKGVYLASLTIDGRLSIWVLDESCGQFKWVLEHQNNLKPLLLRLNRSKQVYGPWILRDINYHLYSQKFPGEWDLYDQNYDPSHFYSPNDEAPTENNFEWHSDDDDIVDNQCNSEERNRGDYLTFLGFHPYKEVVFMSSGSMKGFAYHIKSSKLQCLGNLYPKHYEHFAEHEHICQSFPYTPCWVDELPETSISVHNLCQD >ONIVA03G28860.1 pep chromosome:AWHD00000000:3:24488882:24489438:1 gene:ONIVA03G28860 transcript:ONIVA03G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWPEPESRGRGRWRWPEPAGEGAATVAGAGGGSGGGCRSRRREQQRWPEHGKEGATVVVAGSRGWEGAVVVRRWSRRRERQRWPELGRKGCWGGSGRQRQCRVCIA >ONIVA03G28850.1 pep chromosome:AWHD00000000:3:24466932:24478101:1 gene:ONIVA03G28850 transcript:ONIVA03G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLENQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVEELYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTTAVLSTKSSVATSPTQATVSAAPSLSVSQDQAEETASQESNPESAPQTPPSKVGSQPSVPVVPTTISTSTAAVSVSAETISSPVRPIVPTTTAAVLPASVTARSAPENIPAVTSAPANSSSTLKDDDNMSFPPRRSSPAVTEIGLGRGITRGLTSQGLGSAPISIGPVSGNGSVSALTDLSKRNMLNTDERINSGGISQQLISPLGNKAQPQQVLRTTDTISSDSSNTNESTVLGGRIFSPPVVSGVQWRPQNTAGLQNQSEAGQFRGRPEISADQREKYLQRLQQVQQQGSLLNVSHITGISQKQFPSQQPNPLLQQFNSQSSSISSQAGIGLGQVQVPESGHTKSEEQQQSFAEDVSVESVATAGANKHMSEDDTKIPFSNPSASITEGTQLSRDPDLPAGQPLQPGMSSSGVGVIGRRSVSDLGAIGDNLSVASASTSHDLLYNLQMLEAAFHRLPQPKDSERVKNYIPKHPAVTPASFPQIQAPVVSNPAFWERMGGDSLSTDLLFFAFYYQQNTYQQFLSARELKKQSWRFHRKYNTWFQRHVEPQVTTDEYERGSYVYFDFHVIDDGTGSGWCQRIKNDFTFEYNFLEDELSVQTN >ONIVA03G28840.1 pep chromosome:AWHD00000000:3:24459122:24462280:-1 gene:ONIVA03G28840 transcript:ONIVA03G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03680) TAIR;Acc:AT3G03680] MVEEGARRRVVVEVCNARNLMPKDGQGTASAYAVVDFDGQRRRTATRPRDLNPQWGERLEFLVHDPDAMCAETLELNLYNDKKAIAATGGGGRRGGTFLGKVKVAGASFSKAGDEVLVYYPLEKRSVFSQIKGEIGLKIWFVDEPPPPPPPAAPADGKADAAAEKKEAAEGGKEEKEKAPAAASAAEEKKPEAPAEEKKAEEAKKEEKKSAEADKKEEKDDKKKSPEKGKKEGEKPKEEGKAKDETKKEVAPVPPSPSKAPPPSPSKMELAAAGVAGDLEIRPQSAAERSMAASAGNASYDLVDRVPYLFVRLLKAKHHGGGDKQPLYAQLSIGTHAVKTRAATAAGEWDQVFAFHKDSLTATSLEVTVHEEAKKPAAEGEATPPDTNLGYVSFDLHEVPKRSPPDSALAPQWYTLEGHANDGTAACDVMLAVWVGTQVDEAFQEAWQSDSGGYLVHTRSKAYLSPKLWYLRLSVIQAQDLRLPAPPDAKAKPIGPAFPELYVKAQLGAQVFKTCRVALGSAATGTSNPSWNEDLLFVAAEPFDPFLTVVVEDIFSGQPVGQARVPLSTVHRRSDDRVEPPSRWLNLCGDEARPYAGRVHVRVCLEGGYHVLDEAANVASDVRAASKQLSKPPVGMLEVGIRGAANLVPMKIAKDGASGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLTIAVFDNVRYRSAEASGDAGKLPKDARIGKLRIRLSTLDTNRVYANTFALTAVHPVGVRKMGELELAIRFTCPSWLTLMQAYGSPLLPRMHYVKPLGPAQQDVLRHTAMRIVSGRLARSEPPLGPEVVQYLLDTDTHSWSMRRSKANWFRVVGCLSHVATAVRWANRVRTWTHPTTTVLVHALLVAVVLCPEMILPTVCLYLFLVLLWRYRARPREPTGMDPRLSHVDSVSPDELDEEFDGLPSARPADVVRMRYDRLRAVAGRAQTLLGDVAAQGERIEALLSWRDPRATAVFAVVCLLAALVMYAVPFKLLLLAMGFYYLRHPRFRGDMPSAGFNFFRRLPSNSDRVL >ONIVA03G28830.1 pep chromosome:AWHD00000000:3:24457516:24459008:1 gene:ONIVA03G28830 transcript:ONIVA03G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKPPLSCTKDEQGEAKTSPISDAARSPIDRLLDQAAIIFSASSHSQTVTVTVTPLHCLILPPLETRTTRSLLVVAPCPLFSSPVHGPRKGLDFSQIVHQQA >ONIVA03G28820.1 pep chromosome:AWHD00000000:3:24448504:24449814:1 gene:ONIVA03G28820 transcript:ONIVA03G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLILATFAVVFLALAATSLAGDPDMLQDVCVADYNPPHTHPRATEIMFVAEGTLDVGFVTTANKLFTRTVSKGEVFVFPRGLVHFQRNSGNTSALAIAAFNSQLPGTQSIADTLFGAAPPLPSDTLARAFQVDGGMVESIKSKFPPKY >ONIVA03G28810.1 pep chromosome:AWHD00000000:3:24446102:24447170:-1 gene:ONIVA03G28810 transcript:ONIVA03G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTEMIEGRVKPSRRSLQGGEQRQRSLPTSAQKLDWFFTRRGESPQGNISKEETAPTGVDVTDPGRPGRAFAQDSLEKCRISKKLEQECHRAHEAPARPKSPDYGQTGPPTRTNKSLQPPKDSTARIIKEGRRPGQPERGVRAESKGIKDIGVFHVSVEGIPASWGNLYNCGNHLQLHHQRRERSAGTSSRATERKEEMGGLPLLLRKEDIRGKEGEKKVAAGDWSGRSHRRDPSESPVGPEQPADR >ONIVA03G28800.1 pep chromosome:AWHD00000000:3:24442842:24443231:1 gene:ONIVA03G28800 transcript:ONIVA03G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGSGCSGSGRARSDSRQQPGGTATAGRAGDGDCDCERRHGRPRVASRGGVADDRIFPARQRREECSEASSACRRAASGSVGRHGARRRSRRRWRLAWCEEAWSTVGGQAWRDEARPTVDKAGQAR >ONIVA03G28790.1 pep chromosome:AWHD00000000:3:24435334:24435864:-1 gene:ONIVA03G28790 transcript:ONIVA03G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNAGEEEAVVAEATIAVQRGSGGGGRRRGGDRPRWEKVRRGGHSSLSVPLPTADGPARRGGAVVGAVADGGAHRSPSGPTTRSGVGAVLRHGATLDPSGAKMEEMGKRKRWCSSSSNPSSHRRRPPAACSTRHRLTGLLALPYSTAPDGVAASSSSKLEAIRWGTAKRQGRPR >ONIVA03G28780.1 pep chromosome:AWHD00000000:3:24429207:24432949:-1 gene:ONIVA03G28780 transcript:ONIVA03G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQGVVVGGGGEITQAGSGSGSIAGSATAPAASPHQVAVEIDEQIYSPAFGNIVVPDSRGCCSGFTSSVTRVVFILHLLAFIALTIFLGVQASSRQNPTYKPFANFVPLASSVIVSIIAGCFWVILAVINPPKAIKTSLWAAPVLALACDVVILLVGNGAALGIGVLIVVVAIAVALYSCWASGPRLQHATAVLSTSLNAAHLPPTASCLVVFVILAAFGYMSFWTVAISCIAAAEGYFMNFKMAYVVALLVSMAWTMQVLRYFVYVAVAKLAHTRLVYGVRMPGGTVEAFCGTMMGPSFGDICMGAVAVPVIAAVRSFARAINAVTKGNDEFCQGCCLAISDKLMGRVNRWGFVHVGVRGKAFCVASRDVWSLFVLRGISKLVDSDLTGSFCFLSAVTGGALASLVAGSWALAMDKEHKELALPVAIYSFLIGYYMCRMIIAWPQACVATYHVAYAENPQNPHLGTLIPDHLRELQALATD >ONIVA03G28770.1 pep chromosome:AWHD00000000:3:24409260:24416828:-1 gene:ONIVA03G28770 transcript:ONIVA03G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) TAIR;Acc:AT3G58460] MRPNVITEAGISTRLNQWWGSIPFITSGVILICGAIYLVCLLTGYDSFAEICFLPSVVASRFQVYRFYTSVVFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAIFHLIIAFLAAYNPLYPLHFLVDECSIGFSGVIFSMIVIETSLSGVQTRSFWQAMFRCWATCVASCQDTYGLFNYLLPGPSFYSSIEGLSVLSVCVRRPGFILCTGGTTYGQLPTFSNTSTAPSALINANFLRNISSWIPSRQTTTTQGNTQEQDPRFPGRARTLSSAGTEPTAREASANLHASLLDSTTPSDPLTSSQHPAANTVRADATVAADQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQGRLRFRLSYRNAFDSGFMLGVFDQKYRPAEQLFCDIREEVGVWREAGLFKICNG >ONIVA03G28770.2 pep chromosome:AWHD00000000:3:24409260:24416828:-1 gene:ONIVA03G28770 transcript:ONIVA03G28770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) TAIR;Acc:AT3G58460] MRPNVITEAGISTRLNQWWGSIPFITSGVILICGAIYLVCLLTGYDSFAEICFLPSVVASRFQVYRFYTSVVFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAIFHLIIAFLAAYNPLYPLHFLVDECSIGFSGVIFSMIVIETSLSGVQTRSFWQAMFRCWATCVASCQDTYGLFNYLLPGPSFYSSIEGLSVLSVCVRRPGFILCTGGTTYGQLPTFSNTSTAPSALINANFLRNISSWIPSRQTTTTQGNTQEQDPRFPGRARTLSSAGTEPTAREASANLHASLLDSTTPSDPLTSSQHPAANTVRADATVAADQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQGRLRFRLSYRNAFDSGFMLGVFDQKYRPAEQLFCDIREEVGVWREAGLFKICNG >ONIVA03G28760.1 pep chromosome:AWHD00000000:3:24406777:24409282:1 gene:ONIVA03G28760 transcript:ONIVA03G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: regulation of translational fidelity; LOCATED IN: chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Glu-tRNAGln amidotransferase, C /.../t (InterPro:IPR003837); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G32915) TAIR;Acc:AT4G32915] MLSAAASAIPRLRWAAPPRNQSARNQWLLLRRRSLSSSPPYVTPGIPAAAAAAGSGALEPPDLPRLANAARISLSPEEAEEFAPKIRQVVDWFGQLQAVDLESVEPSLRAGTAAGNSLREDRPETFTNRDAIIESVPSYDDPYIKVPRVLNKE >ONIVA03G28750.1 pep chromosome:AWHD00000000:3:24399013:24402537:-1 gene:ONIVA03G28750 transcript:ONIVA03G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein / zinc finger (C3HC4-type RING finger) protein-related [Source:Projected from Arabidopsis thaliana (AT2G38920) TAIR;Acc:AT2G38920] MDGFLRQGGSSLQAIPEERDDIVGKMKFGAIYEEYLREQQDKYLTKCSHVEYKRLKKVLKKCRVGRSLQEDCPNGDQQEGNNESPDICKCNSCTLCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYIWRVRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLDTLFNPYALSCGHLFCKGCACGAASVYIFQGVKSAPPEAKCPVCRSDGVFAHAVHMTELDLLIKTRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >ONIVA03G28750.2 pep chromosome:AWHD00000000:3:24399013:24402537:-1 gene:ONIVA03G28750 transcript:ONIVA03G28750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein / zinc finger (C3HC4-type RING finger) protein-related [Source:Projected from Arabidopsis thaliana (AT2G38920) TAIR;Acc:AT2G38920] MDGFLRQGGSSLQAIPEERDDIVGKMKFGAIYEEYLREQQDKYLTKCSHVEYKRLKKVLKKCRVGRSLQEDCPNGDQQEGNNESPDICKCNSCTLCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYIWRVRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLAVLVELLLCTSFKVSSLHLLRRSVLYADRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >ONIVA03G28750.3 pep chromosome:AWHD00000000:3:24399013:24403503:-1 gene:ONIVA03G28750 transcript:ONIVA03G28750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein / zinc finger (C3HC4-type RING finger) protein-related [Source:Projected from Arabidopsis thaliana (AT2G38920) TAIR;Acc:AT2G38920] MADAAVWAPRPGGGPIYSEPDPSPRRHPPRKETKRGFRSAAAAFAAAGTTPIKTGSALSLSLSLCPLRSSPPLAAPPPPAPTIDDSPRRRGRQRMQMKFGAIYEEYLREQQDKYLTKCSHVEYKRLKKVLKKCRVGRSLQEDCPNGDQQEGNNESPDICKCNSCTLCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYIWRVRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLDTLFNPYALSCGHLFCKGCACGAASVYIFQGVKSAPPEAKCPVCRSDGVFAHAVHMTELDLLIKTRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >ONIVA03G28750.4 pep chromosome:AWHD00000000:3:24399013:24402537:-1 gene:ONIVA03G28750 transcript:ONIVA03G28750.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein / zinc finger (C3HC4-type RING finger) protein-related [Source:Projected from Arabidopsis thaliana (AT2G38920) TAIR;Acc:AT2G38920] MDGKMKFGAIYEEYLREQQDKYLTKCSHVEYKRLKKVLKKCRVGRSLQEDCPNGDQQEGNNESPDICKCNSCTLCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYIWRVRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLDTLFNPYALSCGHLFCKGCACGAASVYIFQGVKSAPPEAKCPVCRSDGVFAHAVHMTELDLLIKTRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >ONIVA03G28750.5 pep chromosome:AWHD00000000:3:24399013:24402537:-1 gene:ONIVA03G28750 transcript:ONIVA03G28750.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein / zinc finger (C3HC4-type RING finger) protein-related [Source:Projected from Arabidopsis thaliana (AT2G38920) TAIR;Acc:AT2G38920] MDGKMKFGAIYEEYLREQQDKYLTKCSHVEYKRLKKVLKKCRVGRSLQEDCPNGDQQEGNNESPDICKCNSCTLCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYIWRVRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLAVLVELLLCTSFKVSSLHLLRRSVLYADRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >ONIVA03G28750.6 pep chromosome:AWHD00000000:3:24399013:24403503:-1 gene:ONIVA03G28750 transcript:ONIVA03G28750.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein / zinc finger (C3HC4-type RING finger) protein-related [Source:Projected from Arabidopsis thaliana (AT2G38920) TAIR;Acc:AT2G38920] MADAAVWAPRPGGGPIYSEPDPSPRRHPPRKETKRGFRSAAAAFAAAGTTPIKTGSALSLSLSLCPLRSSPPLAAPPPPAPTIDDSPRRRGRQRMQMKFGAIYEEYLREQQDKYLTKCSHVEYKRLKKVLKKCRVGRSLQEDCPNGDQQEGNNESPDICKCNSCTLCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYIWRVRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLAVLVELLLCTSFKVSSLHLLRRSVLYADRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >ONIVA03G28740.1 pep chromosome:AWHD00000000:3:24394578:24398461:1 gene:ONIVA03G28740 transcript:ONIVA03G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDHDCDPDQPPAGAAAGASPCSCCSTPCAVATWRRSVKRKLGEEKGDGEGEGEGAVVLARVEAEEEAAALREAVAAAQETAAALRSEVEEERLAAASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIDHLRAALARRARHLARLRSTLREYRHTCLRLGIPLAEGDQADELALDDGFVLEGEDGDGAGYYPELRCYDGEYYYEDGQKEGEEEDDPVVVDLERRIYLLEHDHKNHGVELCLEEEEGAPLYADEPLPDSSEQELNSVYVDEALPEGTVQERNQCSDDDDELPESPAARNGSEEEGSDSDGGRSGSGSDRVYTIDKVHQGATAPAARVLENYQDGEVEPDIKKLYMRLEALEADRESMRQALVAMHSEKAQLVLLREIAQQLAKDATPANTGGFGVVPTVHHFPGKQDGFRDQRFRENRKMAIAKRLSMVALCKWIVALFRSQKRNPSQSRYTFGLSGNNVGLLVLLDKYPRIQKTLTRRK >ONIVA03G28730.1 pep chromosome:AWHD00000000:3:24381601:24384690:1 gene:ONIVA03G28730 transcript:ONIVA03G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPADPRRVRNTCILAHVDHGKTTLADHLVASCGDGLVHPRLAGRLRFMDYLDEEQRRAITMKSAAVVLHHGGHRVNLIDSLGHIDFCSEVSSAARLSDSALILVDAVEGVHIQTHAALRQAFLERLRPCLVLNKLDRLISELHLTPAEAYTRLHRIISDVNSIHSALRSHSYFSLLSSLEDQPSSASSSSPDELPEDVDEDEEDAFQPQKGNVVFACALDGWGFRIHQFAEFYAAKLPNINANALLKGLWGPRYFHKKKKMIVGKKGMEGGDTQPMFVEFVLKPLWQAYQGVLSENGELVKKVITNFSLQVQQRELQNKDPKVVLQAVMSRWLPLADAVMTMVVECTPDPVAAQGVRVARLMPKREVAPEDAAGSPDIVVDAERVRSCVEACDARADAPVVVYVSKMFAVPYKTLPFRGVDGELLNHQGANESEECFMAFARVFCGVLRAGQKVFVLSPLYDPMKGEAMQKHVQEVELQYLYEMLGQGLRPVSSVCAGNVVAIQGLGHHILKSATLSSTKNCWPFSSMMFQVSPMLKVAIEPSNPADLGALVKGLKLLNRADPFVEYTVSQRGEHVLAAAGEIHLERCKKDLEERFAKVKLVVSDPLVSFKETIEGEGLALIESLKAPREFVERTTPNGRCTVRVQVLRLPNALIKVLEESEQLLGQIIEGKTAKRNSVLDPHLSQDDGDSAATLRQRLINAIDSELEAFSEQVDKEKLERYRNTWLGYLQRIWSLGPWQVGPNLLLLPDVKSSDSVITSQDGRQGILVRGRSHVSERLGFVCGSDAEANNDLDDSEPSADTPESLHLESVALRNCIVSGFQLATNAGPLCDEPMWGLVFVVEPYIFCDHSDAANHSEQYNIFSGQVITAVKEACREAVVQNKPRLVEAMYFCELTTPTEQLGATYAVLSRKRARVLKEEMQEGTSLFTVHAYLPVAESVGFSNELRSVTAGAASALLVLSHWEAIPEDPFFIPKTQEEIEEFGDGSSIGPNLAKKLMNSVRRRKGLHVEEKVVEHGTKQRTLAKKV >ONIVA03G28720.1 pep chromosome:AWHD00000000:3:24379689:24380612:1 gene:ONIVA03G28720 transcript:ONIVA03G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLFLVPTATKNLRRIWAFPSVLLLSIAMLRNRHNSLFTYGYPMLWKDPPPFQTSSSFHIPTFDNEFHFFNKIQINAMEGPTPISALIHAATMVTAGIFLIARLLPLFISLPLIMRAQL >ONIVA03G28710.1 pep chromosome:AWHD00000000:3:24377058:24378884:-1 gene:ONIVA03G28710 transcript:ONIVA03G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome C assembly protein [Source:Projected from Arabidopsis thaliana (ATCG01040) TAIR;Acc:ATCG01040] MSKKRIRKNLWKKKTYFSIVQSYSLAKSRSFSGVSEHPKPKGFLVSRWASSGHFPLSNLYESLIFLSWALYILHMIPKIQNSKNDLSTITTPSTILTQGFATSGLLTEMHQSTILVPALQSQWLMMHVSMMLLSYATLLCGSLLSAALLMIRFRKNLDFFSKKKKNVLLKTFFFNEIEYFYAKRSALKSTFFPLFPNYYKYQLIERLDSWSYRVISLGFTLLTIGILCGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHSRTNPNWKGTKSAFVASIGFLIIWICYFGINLLGIGLHSYGSFTLPI >ONIVA03G28700.1 pep chromosome:AWHD00000000:3:24364548:24371094:1 gene:ONIVA03G28700 transcript:ONIVA03G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMAAPALAAAHLLDSPMVQYRVRPQVSRYYSKKRGSSHSRNGKDDANHDESKNQSPGSPLSRQSLSSSATHTYHTGGFYEIDHEKLPPKSPIHLKSIRVVKVSGYTSLDVTVSFPSLLALRSFFSSSPRSCTGPELDERFVMSSNHAARILRRRVAEEELAGDVMHQDSFWLVKPCLYDFSASSPHDVLTPSPPPATAQAKAPASSSCLLDTLKCDGAGWGVRRRVRYIGRHHDASKEASAASLDGYNTEVSVLEEQQQRLRLRLRLRQRREQEDNKSTSNGKRKREEAESSMDKSRAARKKKAKTYKSPKKVEKRRVVEAKDGDPRRGKDRWSAERYAAAERSLLDIMRSHGARFGAPVMRQALREEARKHIGDTGLLDHLLKHMAGRVPEGSADRFRRRHNADGAMEYWLEPAELAEVRRLAGVSDPYWVPPPGWKPGDDVSAVAGDLLVKKKVEELAEEVDGVKRHIEQLSSNLVQLEKETKSEAERSYSSRKEKYQKLMKANEKLEKQVLSMKDMYEHLVQKKGKLKKEVLSLKDKYKLVLEKNDKLEEQMASLSSSFLSLKEQLLLPRNGDNLNMERERVEVTLGKQEGLVPGEPLYVDGGDRISQQADATVVQVGEKRTARKSSFRICKPQGTFMWPHMASGTSMAISGGGSSSCPVASGPEQLPRSSSCPSIGPGGLPPSSRAPAEVVVASPLDKHVAFRGGFNTPPSASSTNAAAAAKLPPLPSPTSPLQTRALFAAGFTVPALHNFSGLTLRHVDSSSPSSAPCGAREKMVTLFDGDCRGISVVGTELALATPSYC >ONIVA03G28700.2 pep chromosome:AWHD00000000:3:24364729:24371094:1 gene:ONIVA03G28700 transcript:ONIVA03G28700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMAAPALAAAHLLDSPMVQYRVRPQVSRYYSKKRGSSHSRNGKDDANHDESKNQSPGSPLSRQSLSSSATHTYHTGGFYEIDHEKLPPKSPIHLKSIRVVKVSGYTSLDVTVSFPSLLALRSFFSSSPRSCTGPELDERFVMSSNHAARILRRRVAEEELAGDVMHQDSFWLVKPCLYDFSASSPHDVLTPSPPPATAQAKAPASSSCLLDTLKCDGAGWGVRRRVRYIGRHHDASKEASAASLDGYNTEVSVLEEQQQRLRLRLRLRQRREQEDNKSTSNGKRKREEAESSMDKSRAARKKKAKTYKSPKKVEKRRVVEAKDGDPRRGKDRWSAERYAAAERSLLDIMRSHGARFGAPVMRQALREEARKHIGDTGLLDHLLKHMAGRVPEGSADRFRRRHNADGAMEYWLEPAELAEVRRLAGVSDPYWVPPPGWKPGDDVSAVAGDLLVKKKVEELAEEVDGVKRHIEQLSSNLVQLEKETKSEAERSYSSRKEKYQKLMKANEKLEKQVLSMKDMYEHLVQKKGKLKKEVLSLKDKYKLVLEKNDKLEEQMASLSSSFLSLKEQLLLPRNGDNLNMERERVEVTLGKQEGLVPGEPLYVDGGDRISQQADATVVQVGEKRTARKSSFRICKPQGTFMWPHMASGTSMAISGGGSSSCPVASGPEQLPRSSSCPSIGPGGLPPSSRAPAEVVVASPLDKHVAFRGGFNTPPSASSTNAAAAAKLPPLPSPTSPLQTRALFAAGFTVPALHNFSGLTLRHVDSSSPSSAPCGAREKMVTLFDGDCRGISVVGTELALATPSYC >ONIVA03G28690.1 pep chromosome:AWHD00000000:3:24358135:24358797:-1 gene:ONIVA03G28690 transcript:ONIVA03G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRSSSSSRHHHGHHHHHHHRRHRSETSCPPTSVAVAAARAGDAHAPDHPLRRSQAFPPRRQPPHQQQQQQQQRMQPQRWDSEQVRQPRCGEVAGGTAAGCAAVCCCLPCAVVEVVVLATVRAPAALCRRAVRGRRGGRGTRRSASAGQAGEIYELLVDEGGAVDSGEKKAPVVWPVAAITAAAVPSEEAGELEKEVWARFYGAGFWRSPSQLSDHMR >ONIVA03G28680.1 pep chromosome:AWHD00000000:3:24350078:24351721:-1 gene:ONIVA03G28680 transcript:ONIVA03G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPALSLSMAMSSWVATIVTLLIGVAVVSLRGRRSRTKARLNLPPGPRGWPVFGSLGALAGALPPHRALAALAARHGPLMHLRLGSFDAVVASSAGAARLVLKTHDAAFADRARTAAGELVAYNYKGIVHTPYGAYWRMARKLCATELFSPRRVDSYERIRAEEIGALARDLFGRAGRAVAVRESLASATLRNILRMSVGDKWSGVYGSADGEAFRRTLDEAFEVSGAVSNVGEWVSLLGWLDVQGFRRRMKRLSKMYDRFLEQILHEHEASMAAAGDGGQPAAAACDLVDVLLQLSGEEEEGSAGAGADSEAKLTRDGVKAFILDIIAGGTESSAVTMEWAMAELLRRPDAMAAATDELDRVVGTARWVTERDIPDLPYVDAVVKEALRLHPVGPLLVPHHAMEDTVVAGGYVVPAGARVLVNAWAIARDPALWPDRPDAFLPERFLPGGGAAAAGLDVRGQHYELLPFGSGRRVCPATNLAMKMVALGVASLVQGFAWRLPDGVAAEDVSMEELVGLSTRRKVPLVAVAEPRLPAHLYACTAA >ONIVA03G28670.1 pep chromosome:AWHD00000000:3:24341838:24343679:1 gene:ONIVA03G28670 transcript:ONIVA03G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAAVDRSWCSTVAGVRVKCCAGAITMGVMFARWGAGEALAAAIPVSSSVKKAAYEEAGAGAVVVVVVSPVSLDVAAAALLRSLTRFLALTRLSEWESERTCAGVIQGPREVVPVLHHQVSLASSAALSGSDHDLAFHLQLLHPGDLTRVEQDCRNAQAYHAYHVRAAASVRVAVHDALFVRDLAAIPEDRWAHDGDYFERPLDDAHGGGGVLFRVLARSAVAGRRVKGPKGILVSRGAKNGRNGVNWRLWQKKDDGDPGNRRATLSQLTQRPPGRATS >ONIVA03G28660.1 pep chromosome:AWHD00000000:3:24327128:24337061:1 gene:ONIVA03G28660 transcript:ONIVA03G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIVPAPEHVCYVHCNFCNTILAVSVPSNSMLNIVTVRCGHCTSLLSVNLRGLVQALPAEDHLQDNLKMHNMSFRENYSEYGSSSRYGRVPMMFSKNDTEHMLHVRQSPAAAARVRHRPHVVVVVAPSPLSSSSLWSRCRRSRPPSLLSVASTARIRPVTRRAVSASSRRAARSRPPPPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHFPNIHFGLGSHESSKKLDEAIGAPSPQKVQRLY >ONIVA03G28660.2 pep chromosome:AWHD00000000:3:24327149:24337061:1 gene:ONIVA03G28660 transcript:ONIVA03G28660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIVPAPEHVCYVHCNFCNTILAVSVPSNSMLNIVTVRCGHCTSLLSVNLRGLVQALPAEDHLQDNLKMHNMSFRENYSEYGSSSRYGRVPMMFSKNDTEHMLHVRQSPAAAARVRHRPHVVVVVAPSPLSSSSLWSRCRRSRPPSLLSVASTARIRPVTRRAVSASSRRAARSRPPPPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHFPNIHFGLGSHESSKKLDEAIGAPSPQKVQRLY >ONIVA03G28660.3 pep chromosome:AWHD00000000:3:24327149:24337061:1 gene:ONIVA03G28660 transcript:ONIVA03G28660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIVPAPEHVCYVHCNFCNTILAVSVPSNSMLNIVTVRCGHCTSLLSVNLRGLVQALPAEDHLQDNLKMHNMSFRENYSEYGSSSRYGRVPMMFSKNDTEHMLHVRPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHFPNIHFGLGSHESSKKLDEAIGAPSPQKVQRLY >ONIVA03G28650.1 pep chromosome:AWHD00000000:3:24317008:24317931:1 gene:ONIVA03G28650 transcript:ONIVA03G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCSSFSRGHTTKLRGERRTRRGAGSDTYVTHAHATSHMHKAGMHACASSTTVARCCAGPRPPATAHACALLRHGGGDDDGWADPAAPNPRRRICPPGDQIGQGRGCSGRAVVAAVAGSRRRDENLRRHGARSDDGVSGWPTGRAQQLVGWPAATGDRGTTGGCVGRRGVDNVGGVGRRRQWLVQREMRGVCRCSAVGASSGWCSERRQGVADRGMRRLAGGAPVQWCPRAGGGLDGSGASLHQWWIGRQLMANENPARL >ONIVA03G28640.1 pep chromosome:AWHD00000000:3:24314688:24314987:-1 gene:ONIVA03G28640 transcript:ONIVA03G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPTCPSWCDPAKAPVVVLSISLALPTPSLMMLDPTMDRGAKSTLREVTYAGSSPHGPSGVVTALSLPSSQLLRRRSLRQSTEEGVRKKERGGDEGGE >ONIVA03G28630.1 pep chromosome:AWHD00000000:3:24278335:24283061:-1 gene:ONIVA03G28630 transcript:ONIVA03G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRPPAARKFRVRLVVRRAEGLQQPCAAAAEHAASAEAEAPPTRVAAELRWKGPKASPLSSLRRTAVRRNRTREAEAEAAAVVACATAADDAAAAAGAGDAAGVVVAAWEEEFESTVTLAAASHREHAAFQPWELAFSVFTAANRGPKIKPSILGTASLNLADYASAAEENIEIILPLSVPNGSAESAPSLHLTLGMVELRAFQETSDASQRSAMAAPLSPSSGDSAPVGKDEVSVIRAGLRKVKILTDLVSTRRSKKTSQDDESSEEKCYVNSDGAEYPCDIESLDDDLDDRAQQDEVGDSTVRKSFSYGSLQSVNYVGGLVYAHAKIDGEHEDWIYYSHRKSDAGYHVEGKPSSTVEETMLPTVKRSILPWRKRKLSLRSLKAKGEPLLKKAYGEEGGDDIDYDRRLLTSSDGSVSEGSRGEDGSINGMVSEFGDDNFVVGNWELKEIVSRDGHLKLSSHVFFASIDQRSERAAGESACTALVAVIADWFQSNQDIMPIQSQFDSLIREGSLEWRNLCENLMYRERFPDKHFDLETVLQAKIRPLTVSSSKSFIGFFQPEGADDMHRFDFLDGAMSFDSIWDEISKAAEYSSSDNPNLYIVSWNDHFFLLKVERDAYYIIDTLGERLYEGCNQAYILKFDNDTMIHKLPEKAPSSPNSSGPLKDSSRSSSVEQDSEDGTEENILVSKGKESCKEYIKSFLAAIPIRELQVDIKKGLMASTPLHRRLQIEFHYTASSPKEITSAPQILTIEAPFEFSWPEPPPAMEIALAPAVAVT >ONIVA03G28620.1 pep chromosome:AWHD00000000:3:24274426:24282139:1 gene:ONIVA03G28620 transcript:ONIVA03G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-nucleotidases;magnesium ion binding [Source:Projected from Arabidopsis thaliana (AT2G38680) TAIR;Acc:AT2G38680] MRPMTSPPLLSPSSSSSSRLLLLRLLLSRRRSPASRSPPPPLRRRLPLLAASMSSSSSTAATRNPGSVVADADGLARKVIADFDGTLTRYWYDGSRGQSSHGLLRQGNEEYDAKREELFEHYHPIEICPDIPLPEKAKLMEEWWEKTHALLIEGGLTYEAIRQSVADAKITFRDGVVKLFEFLEERDIPVLVFSAGLADIIEEVFRQKLHRSFKNIKVVSNRMVFNEEGRLVSFKGKTIHVLNKNEHALDMAAPVHDNLGDPNGYTDDYSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNNNIEKSLKDYSEAFDIVYLNDAPMVGVVELVSELCP >ONIVA03G28610.1 pep chromosome:AWHD00000000:3:24271523:24272482:-1 gene:ONIVA03G28610 transcript:ONIVA03G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRSRILRTLQSFPNAVNVQPGLLLPAPDVQPSPPPPPKAPCRSQEEQPDGGGGGGDDKENVSPEVAPRKAKKMRVSLGAAEDEAAACYRRPDPATATLFDPDLLAAFRGAVDAYARALQEAKRRDDGFFLLDEEEGCGVAGGVGFGVDEDPLEGFETRCPPGGERAVVLYTTSLRGVRKTFEDCATVRRLLEGLRVAFLERDVSMHAPYRDELRALLVGLDDAAVPPRLFVDGRYLGGANEVVTLHEQARLRPVLRRAPRRGAGDAACAVCGGAWFVVCGACSGSHRLYDAAAAAAGRVPCTGCNENGLVPCPLCS >ONIVA03G28600.1 pep chromosome:AWHD00000000:3:24269004:24269531:1 gene:ONIVA03G28600 transcript:ONIVA03G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAALRVELVGVVAVGAVVVVLAAAASGACRGGAAGAFPGGRWRKRAAARVDDVERALGAATLMTYEQAAAAAAKKASSSSRAAAAAAAEEQGEDRCAYCQSEYAGADEVRVVQCGHFFHAGCIDRWLRKHRRCPLCRGGLSPLPPLPKPGCRPMPPRTSRPAASSATASAAG >ONIVA03G28590.1 pep chromosome:AWHD00000000:3:24267362:24267880:1 gene:ONIVA03G28590 transcript:ONIVA03G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEEAVHLVVVGVVAVGAVVFLLAAAASGACGCAAAFPAARWRKRAQVGDDDDDVESALGGATTVTTYEQAAAASSSSPAAGAAAEGADTCAICCQEYSGADKVRRVVRCSHFFHAGCVDGWLREKRNCPLCRAVLSSLPPLPNPGCRRPMPPRTSRPAVSAAAAATVVVG >ONIVA03G28580.1 pep chromosome:AWHD00000000:3:24263341:24263886:-1 gene:ONIVA03G28580 transcript:ONIVA03G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGACLRLVVNPQQPTTLLAVFLLLLVAAAVAPPHVLAADHVVGGSIWSIPPRPGLYRAWADNRTFVAGDNLVFRFETGMYNVVQVGRREFDDCTADDPYRDWTDGPAVVTLGSAAVRYFICTVGNYCSLGVKVYVASQNAP >ONIVA03G28570.1 pep chromosome:AWHD00000000:3:24259389:24264672:1 gene:ONIVA03G28570 transcript:ONIVA03G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGSHVDPFDIFSSFFGPSFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVLCAKCKGKGSKSGASMRCPGCQGSGMKITIRQLGPSMIQQMQQPCNECKGTGESINEKDRCPGCKGEKVIQEKKVLEVHVEKGMQHNQKITFPGEADEAPDTVTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLAPEQCKALEAVLPPKPASQLTEMEIDECEETTMHDVNNIEEEMRRKAQSAQEAYDEDDEMPGGAQRVQCAQQLSPATNVRLSAQALYRPGLGGMDQMEPPTTWSAARTCGGATAAATRRRRKTARSVVGCCGFTTRRRHAPSMAGNFTSTAT >ONIVA03G28570.2 pep chromosome:AWHD00000000:3:24259389:24263954:1 gene:ONIVA03G28570 transcript:ONIVA03G28570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGSHVDPFDIFSSFFGPSFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVLCAKCKGKGSKSGASMRCPGCQGSGMKITIRQLGPSMIQQMQQPCNECKGTGESINEKDRCPGCKGEKVIQEKKVLEVHVEKGMQHNQKITFPGEADEAPDTVTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLAPEQCKALEAVLPPKPASQLTEMEIDECEETTMHDVNNIEEEMRRKAQSAQEAYDEDDEMPGGAQRVQCAQQ >ONIVA03G28570.3 pep chromosome:AWHD00000000:3:24259389:24264033:1 gene:ONIVA03G28570 transcript:ONIVA03G28570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGSHVDPFDIFSSFFGPSFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVLCAKCKGKGSKSGASMRCPGCQGSGMKITIRQLGPSMIQQMQQPCNECKGTGESINEKDRCPGCKGEKVIQEKKVLEVHVEKGMQHNQKITFPGEADEAPDTVTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLAPEQCKALEAVLPPKPASQLTEMEIDECEETTMHDVNNIEEEMRRKAQSAQEAYDEDDEMPGGAQRVQCAQQ >ONIVA03G28560.1 pep chromosome:AWHD00000000:3:24248997:24255412:-1 gene:ONIVA03G28560 transcript:ONIVA03G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSKWFKSLVGIRKQEKARNAEKQEKAQNAESCETRTPAAQLLHKRKHSLDTERAILVEELAVQSEPLTDDTNTQTVSDSISSDSTLLGVHISQTEEHKTKEDVAATLIQSAFRAFLARRALRALKGIVILQALVRGHIIRKQTSETLQCMQALVRAQARVRARQVRVSLENQVARKKVPEQDDHENHVREIEERWCGSIGSVEELQAKVLKRQEAAAKRERAMAYALTHQRQAGSRQQKPTTPQGLELDDSHWGSNWLERWMAVRPWENRLLDSNTKETMPLCDDKQDMETKSQITPKGKVQVSSALSNGSNKKKGINHKKSYSDVTCASFGRSPNIPSTSLGSCKQKSKLSDEALEEVSSQPTDLASLSTCQPKAKLVQANTPVKKRLSLPTNVGGGAAKGATNSNSICRSTSAKSDPKPRANASNQARKQVELQA >ONIVA03G28550.1 pep chromosome:AWHD00000000:3:24231118:24241642:-1 gene:ONIVA03G28550 transcript:ONIVA03G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPATTYASPFVLSVLLLVSIPVIFVLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSSPSSATPTPSSAASYFFRRRPAPKVAFLFLTNSDLVFSPLWEKFFRGHHHLFNLYVHADPFSALAMPPTPSFRGRFVPAKATQRASPTLISAARRLIATALLDDPSNQFFALLSQSCIPLHPFPTLYNTLLSDNAGPHGRHRSFIEIMDDAYMIHDRYYARGDDVMLPEVPYDQFRFGSQFFVLTRKHAIMVVRDMKLWRKFKLPCLIKRRDSCYPEEHYFPTLLDMQDPEGCTGYTLTRVNWTDQVEGHPHTYRPGEVSASLIKELRKSNGTYSYMFARKFAPECLEPLMEIADSVILQAGGSFAVAEHMFLCHFLNQGCDVMNKHRVSIYALELLQIFQQLNALLGGEDANLLLPRLATDRAAMAASASASAPPHSLLLAAVALAALASVAAARDENGVYDPCSDTRIQRGDGFSFGIAFASLGAFYSGGSVQLSPCDRRLSLASSGQLAVFRPKVDEISLLTINTTTGFNPATAGGYMVAFAGRKYAARSVPTFVSNSSYTVSSFTLVLEFNKGRLQNLHWKKDGCGACAKSSSLVCIGKQTCAFRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >ONIVA03G28550.2 pep chromosome:AWHD00000000:3:24231116:24242403:-1 gene:ONIVA03G28550 transcript:ONIVA03G28550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEVPYDQFRFGSQFFVLTRKHAIMVVRDMKLWRKFKLPCLIKRRDSCYPEEHYFPTLLDMQDPEGCTGYTLTRVNWTDQVEGHPHTYRPGEVSASLIKELRKSNGTYSYMFARKFAPECLEPLMEIADSVILQIFQQLNALLGGEDANLLLPRLATDRAAMAASASASAPPHSLLLAAVALAALASVAAARDENGVYDPCSDTRIQRGDGFSFGIAFASLGAFYSGGSVQLSPCDRRLSLASSGQLAVFRPKVDEISLLTINTTTGFNPATAGGYMVAFAGRKYAARSVPTFVSNSSYTVSSFTLVLEFNKGRLQNLHWKKDGCGACAKSSSLVCIGKQTCAFRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >ONIVA03G28550.3 pep chromosome:AWHD00000000:3:24231118:24241642:-1 gene:ONIVA03G28550 transcript:ONIVA03G28550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPATTYASPFVLSVLLLVSIPVIFVLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSSPSSATPTPSSAASYFFRRRPAPKVAFLFLTNSDLVFSPLWEKFFRGHHHLFNLYVHADPFSALAMPPTPSFRGRFVPAKATQRASPTLISAARRLIATALLDDPSNQFFALLSQSCIPLHPFPTLYNTLLSDNAGPHGRHRSFIEIMDDAYMIHDRYYARGDDVMLPEVPYDQFRFGSQFFVLTRKHAIMVVRDMKLWRKFKLPCLIKRRDSCYPEEHYFPTLLDMQDPEGCTGYTLTRVNWTDQVEGHPHTYRPGEVSASLIKELRKSNGTYSYMFARKFAPECLEPLMEIADSVILQAGGSFAVAEHMFLCHFLNQGCDVMNKHRVSIYALELLQIFQQLNALLGGEDGMTTNCPYATAGGYMVAFAGRKYAARSVPTFVSNSSYTVSSFTLVLEFNKGRLQNLHWKKDGCGACAKSSSLVCIGKQTCAFRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >ONIVA03G28550.4 pep chromosome:AWHD00000000:3:24231118:24241642:-1 gene:ONIVA03G28550 transcript:ONIVA03G28550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPATTYASPFVLSVLLLVSIPVIFVLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSSPSSATPTPSSAASYFFRRRPAPKVAFLFLTNSDLVFSPLWEKFFRGHHHLFNLYVHADPFSALAMPPTPSFRGRFVPAKATQRASPTLISAARRLIATALLDDPSNQFFALLSQSCIPLHPFPTLYNTLLSDNAGPHGRHRSFIEIMDDAYMIHDRYYARGDDVMLPEVPYDQFRFGSQFFVLTRKHAIMVVRDMKLWRKFKLPCLIKRRDSCYPEEHYFPTLLDMQDPEGCTGYTLTRVNWTDQVEGHPHTYRPGEVSASLIKELRKSNGTYSYMFARKFAPECLEPLMEIADSVILQIFQQLNALLGGEDANLLLPRLATDRAAMAASASASAPPHSLLLAAVALAALASVAAARDENGVYDPCSDTRIQRGDGFSFGIAFASLGAFYSGGSVQLSPCDRRLSLASSGQLAVFRPKVDEISLLTINTTTGFNPATAGGYMVAFAGRKYAARSVPTFVSNSSYTVSSFTLVLEFNKGRLQNLHWKKDGCGACAKSSSLVCIGKQTCAFRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >ONIVA03G28550.5 pep chromosome:AWHD00000000:3:24231116:24241642:-1 gene:ONIVA03G28550 transcript:ONIVA03G28550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPATTYASPFVLSVLLLVSIPVIFVLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSSPSSATPTPSSAASYFFRRRPAPKVAFLFLTNSDLVFSPLWEKFFRGHHHLFNLYVHADPFSALAMPPTPSFRGRFVPAKATQRASPTLISAARRLIATALLDDPSNQFFALLSQSCIPLHPFPTLYNTLLSDNAGPHGRHRSFIEIMDDAYMIHDRYYARGDDVMLPEVPYDQFRFGSQFFVLTRKHAIMVVRDMKLWRKFKLPCLIKRRDSCYPEEHYFPTLLDMQDPEGCTGYTLTRVNWTDQVEGHPHTYRPGEVSASLIKELRKSNGTYSYMFARKFAPECLEPLMEIADSVILQIFQQLNALLGGEDGMTTNCPYATAGGYMVAFAGRKYAARSVPTFVSNSSYTVSSFTLVLEFNKGRLQNLHWKKDGCGACAKSSSLVCIGKQTCAFRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >ONIVA03G28550.6 pep chromosome:AWHD00000000:3:24231116:24239943:-1 gene:ONIVA03G28550 transcript:ONIVA03G28550.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHRVSIYALELLPNLLLPRLATDRAAMAASASASAPPHSLLLAAVALAALASVAAARDENGVYDPCSDTRIQRGDGFSFGIAFASLGAFYSGGSVQLSPCDRRLSLASSGQLAVFRPKVDEISLLTINTTTGFNPATAGGYMVAFAGRKYAARSVPTFVSNSSYTVSSFTLVLEFNKGRLQNLHWKKDGCGACAKSSSLVCIGKQTCAFRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >ONIVA03G28540.1 pep chromosome:AWHD00000000:3:24215158:24215415:1 gene:ONIVA03G28540 transcript:ONIVA03G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLLCFFCAKWWLLPLLLLDHTLSSSSPLPTSWGVWANLAPAIGVAVHLY >ONIVA03G28530.1 pep chromosome:AWHD00000000:3:24210128:24214194:-1 gene:ONIVA03G28530 transcript:ONIVA03G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFKQSHEGFGHVAAAGAGPQQQQQPWWAGSQLLYGEASPEEAALRDGGQFQVVPGGRAALDPAAPEPEKTAVPAMPKRGGGGAPEVLKFSVFSGNLEPGDTGEKNREHSATIAMQSPLPEYNGHFELGLGQSMSGGRMLLPLNAPADAPIYVNAKQYEGILRRRRARAKAQRENRLVKGRKPYLHESRHRHAMRRARGSGGRFLNTKKEATAAGCGGSSKTPLASLVSPADVAHRPGSRGGGGRASSLSGSDVSSPGGGMYDHHRHDDADAVDHYNSIDHHLRTPFFTPLPIIMDSGGGGGDHASHSAAAVAAPFRWATAAGDGCCELLKA >ONIVA03G28520.1 pep chromosome:AWHD00000000:3:24203430:24207276:-1 gene:ONIVA03G28520 transcript:ONIVA03G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGRKDRGEGLGRALTRQRNKAAAAAKERGHALALARRARQPLESVIEVSDIDAVLQRAAEEDLLLGGGGGDGAGDVALSASLGSGLIDLDGTVETEEERRWLREEQEALHAGSLKVPRRPPWTPQMTVEELDANEKRAFLEWRRNLARLEENEKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAQEIDEHKRTLLLVNKADLLPLNVRQRWAEYFKQHDILYLFWSAKAATADLEGKKLSSYSMENWNTADLDTKIYGRDELLVRLQGEAEYIVNQKGALRAEEGHESSRSDSVSTRPKHVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLVISEELILCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHRGAIQVVANRVPRNVLEQIYKITLPKPKAYEQLSRPPTAAELLRAYCTSRGHVSHAGLPDETRAARQILKDYLDGKIPHFELPPGDTDSETDPEETTDLEGSDTAVGATTDHCASDEQDEEISQADPNISHVLSDLESFDLASEVSKNSTKKKKEASYKHHKKPQRKKDRSWRVGNDGADGSAVVRVFQKPAVNFATVTDT >ONIVA03G28510.1 pep chromosome:AWHD00000000:3:24202056:24202646:1 gene:ONIVA03G28510 transcript:ONIVA03G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASSSAPPPPPQPAEPAADPPAKEEPQNTTADAAAPVSDAGAAVAAEEGETVILDAAAGEGDAEGEEEGECGFCLFMKGGGCREEFVGWEKCVEDAEKAGDDVVERCYEVTAALHKCMEAHAEYYHPILSAERAMAADLEAAKADQAAEASSDAAASSSQQQQPPPTEEEAAGEKKQAEEEAVVPEKQDVAA >ONIVA03G28500.1 pep chromosome:AWHD00000000:3:24183493:24191535:-1 gene:ONIVA03G28500 transcript:ONIVA03G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GR06] MDVDSRMTTESDSDSDAAATAAASASVAAQGGLASETSSSSSASAPSTPGTPTVAPAPAAAGATGPRPAPGYTAVSAVIEKKEDGPGCRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWSRLTPQGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRIGRYSADAAGGDAAVELTRRCRHAAAAVGDQIFIYGGLRGGVLLDDLLVAEDLAAAETTTAANHAAASAAATNVQSGRTPGRYAYNDERARQTAPESAQDGSVVLGTPVAPPVNGDMHTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEQLPDKEQSPDSASTSKHSSLIKPDSILSNNMTPPPGVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETMTLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQVAANDRGSLAWI >ONIVA03G28490.1 pep chromosome:AWHD00000000:3:24173925:24184664:1 gene:ONIVA03G28490 transcript:ONIVA03G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase family protein [Source:Projected from Arabidopsis thaliana (AT4G21710) TAIR;Acc:AT4G21710] MEDDEYEEGMEMEMGGHHHPHHGGGYGAEEYGAVGGEEMEDEEADGDAPDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVMKKGHDCEEVTETMEYPKVFIGKVPIMLRSSYCTLFQQSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTKEKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLMCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPQAAKIFVNGCWVGIHRNPDLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVENQRLLIKKRHIRALQQRETPEEGWHDLVAKGFIEYIDTEEEETTMISMTINDLIGARHNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQATRYTKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTQDNKTGKDQKKR >ONIVA03G28480.1 pep chromosome:AWHD00000000:3:24168979:24169605:-1 gene:ONIVA03G28480 transcript:ONIVA03G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGESFIEYVQGTLGYLDPESFVSRHLTDKSDVYSFGVVLAELATRRKAVYDDDDASGSGHGGQKRSLSTAFLAALRHGELWKVLDRELVRRPDDDGDGDDKAAVDVVRELAELAARCLGPSGDERPAMKEVAERLQVLRRRAEMRAVAGAGRDSNGGEVDRSWIMCGGGGGGAVGRGHLDTNTTASYQSTETDKMPLTLSVNDLAR >ONIVA03G28470.1 pep chromosome:AWHD00000000:3:24163972:24165639:1 gene:ONIVA03G28470 transcript:ONIVA03G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSSPNGAGLSASEEIMERSRAAARAVPHHPGHLDLPPSNRDEAKSASLLPPGYGHMLSLRLGLQLRQLEDRQLLK >ONIVA03G28460.1 pep chromosome:AWHD00000000:3:24158035:24159570:-1 gene:ONIVA03G28460 transcript:ONIVA03G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSYRGNCICFGRYALQALEPTWITARQIEAGRRAMTRYARRGGKIWVRIFPDKPVTIRPTETRMGSGKGSPEYWVAVVKPGRILYEVGGVSEIVARAAISIAASKMPIRNNSGARKLMCIRVIGAASNQRYARIGDVIVAVIKDAVPQMPLERSESLMGKDTIADLLTSIRNADMNKKGTVRVVSTNITENIVKILLREGFIESVRKHQESNRYFLVSTLRHQKRKTRKGIYRTRTFLKRISRPGLRIYANYQGIPKIEKLD >ONIVA03G28450.1 pep chromosome:AWHD00000000:3:24153868:24154347:-1 gene:ONIVA03G28450 transcript:ONIVA03G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGMVPSISLMAATGVEAGGRGGASAVVEVVAGGRGGAPAVIEEAASADERRQSPRRRHATTAGGHGGGGGRECGQAPAVAEETLCALSKWDELVRSILPNGFVPDLGRIFLSGDQPIPLVPQPNTSENGRPIPSHLIPPTKYYLSDQDESSGGITPT >ONIVA03G28440.1 pep chromosome:AWHD00000000:3:24152296:24153681:1 gene:ONIVA03G28440 transcript:ONIVA03G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASPTSSSSLPPKPPNSAAMLVEQQPLSYHDVDAASTPSSSVSSSSTASVGGRSSTFSLDSAATATPTSSPPRPHRAADVAWAPIRAAAAPLGPRDFTLVRRVGAGDIGTVYLCRLDGERSAGSPSPCEYAMKVVDRRALAKKGKLGRAASEKRVLRRLDHPFLPTMFADFDAGQDYSCVVMEFCPGGDLHSLRHRVPGRRFPVASARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLESTASPALEDARNGADDDAATPTCLPEVQLFRLRRWRRRAAPRRRPRFVAEPVDARSSSFVGTHEYVAPEVARGGGHGAGVDWWAYGVFLYELLYGRTPFVGATNEATLRNIVRRPLEFPPDAAGGGSPHDTAARDLIARLLDKDPRSRLGSRRGAADVKSHAFFKGLNFALLRSSAPPVVPPPAVAAAQCSKAADVPQLFDLF >ONIVA03G28430.1 pep chromosome:AWHD00000000:3:24135587:24135796:-1 gene:ONIVA03G28430 transcript:ONIVA03G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKQQDERRSLARSRDGWGSAVAEDVLTRMDVAGRTTAPCSLTGWMGIAGGGSGGQGGKVTRRPPLSG >ONIVA03G28420.1 pep chromosome:AWHD00000000:3:24135503:24139323:1 gene:ONIVA03G28420 transcript:ONIVA03G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFNRMGSDGNFGKGPQELTGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLHNVVGDTEIRKGEGMELDQLFQDAYLREKTSYIQPFDMETLGQAFQLRETAPIDLPSAEKGTPTISGKSKIKSKDKVKKHKRHKEKDKDKYKDQKKHKHRHKDRSKDKEKEKEKEKEKEKKKDKSAHHDSGADRSKKHHEKVVLSTLLDAKKRKQEGLEDLASGHNPKKGSFEVLAWQYHFPKEARNKPI >ONIVA03G28410.1 pep chromosome:AWHD00000000:3:24123070:24134045:1 gene:ONIVA03G28410 transcript:ONIVA03G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRLAAPAPAPASQESCLPTFSAVSTDHQLFSSIVDRVEDGRVHLKGGRGGEELKVRAMVVIAAVMLAAAAPAPAPAGTTCEQLESVARSCTGYLKRSLIFLNDACCDGAESVYDALTTDAAVDLGFVCRCLRGFVISESLRPYLYRGVTPDPAKMGEATRQPRAVSNDDEQRPKVVEWRRSSGGRRRGRLREVAAKLALDVAESMKQTAKCDGG >ONIVA03G28400.1 pep chromosome:AWHD00000000:3:24113822:24118556:-1 gene:ONIVA03G28400 transcript:ONIVA03G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVKLGSKPDAFRRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSAFLERLIEETSDQDECVIILNDIPGGAKSFELVARFCYGVKIELSSENVVYLRCASEHLQMTEEIAEDNLISQSEIFLNQVIIRNWKDSLKALETCEDLLPHAENLQIVKRCIESLASKATTDPNLFGWPIREHGIMQSPGGSVLWNGISTGARPRNFSSNWWYEDASALSFHMYKRLISTMESRGIRPEIIAGSLTYYAKKYLPGLNRRHSMGAVPLTATLSEVEQKNLLEEIDRLLPVQKGLASTRVLLGLLRTAMILKASPTCISNLEKRIGMQLDHATLEDLLLPNFSYTMETLYNVECVQRILDHFLAMDQANGAASPCLDDVMASPSLAPITTVAKLIDGYLAEIAPDINLKLPKFQALASAVPEYARPLDDGLYRAIDIYLKAHSWLSEAEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSSITTSGEAGGWATAVRENQVLKVGMDNMRMRLSELEKECSTMRQEIQKLGRGKSGGWASRVPKKFNLKLKSQMCSAQEGSVSEQHKSMSAKLDKLQAKVSRQKKQLAGDA >ONIVA03G28390.1 pep chromosome:AWHD00000000:3:24102026:24110498:-1 gene:ONIVA03G28390 transcript:ONIVA03G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDGGGGGVRRRGCGCSKEDFFPEESFSSWEAYGRALRSTGARLADRLTARSLDATELHEVRARSGADMKRDLTWWDLAWFGVGAVIGAGIFVLTGQEARNAVGPAVVISYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFVAAGNILLEYCIGGAAVARAWTSYFATLLNHHPNDFRIHAASLAADYSRLDPIAVAVIAIICLLSVLSTKASSRFNYVLSVLHVAVIAFIIVAGLTKADAANLTRDFMPYGPRGVFAASAVLFFAYIGFDAVSTMAEETRDPARDIPVGLVGAMALTTALYCALAVTLCLMVPYGEIDPDAPFSVAFADRGMGWAKYVVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLARVHPGTGTPVNATVAMLLATAVIAFFTDLNVLSNLLSISTLFIFMLVAVALLVRRYYVSGETSRADRNRLAACIAAILASSVATATCWGLDRGGWVPYAVTVPAWLAATASLWALVPQARAPKLWGVPMVPWLPSASIAINVFLLGSIDSKSFMRFGIWTAALLVYYLFVGLHASYDTAKALAADAVAGKVEDGDAKTSAPPML >ONIVA03G28380.1 pep chromosome:AWHD00000000:3:24067757:24068065:1 gene:ONIVA03G28380 transcript:ONIVA03G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTDVFPSWFATVLDVDMVEKVRSSLLRVLGLLRGGQASMLGKRARFCSNSARSDSETAAMEAKAVDGFTVERETCGKASFAMCPTIFHSKDCLLVPIVL >ONIVA03G28370.1 pep chromosome:AWHD00000000:3:24060598:24065893:-1 gene:ONIVA03G28370 transcript:ONIVA03G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVAAVHGVGRQDRSSPGGGGAPQVDTGKYVRYTPEQVEALERVYGECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHHQQQNPAATRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDVLHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWILRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSSDGAEDVTIAFNSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRAGGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDGKTDAPSVTRTLDLASTLEVGSGGTTRASSDTSSTCNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQIETKNPPGSPEAHTLARWIGRSYRFHTGADLLRTDSQSMDSSLKAMWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCTEFPKIMQQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLSDDDTPHCLAFMFVNWSFV >ONIVA03G28360.1 pep chromosome:AWHD00000000:3:24041619:24049933:-1 gene:ONIVA03G28360 transcript:ONIVA03G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPPETAAAAAEVAARFRSLVDTGDIGAIRQTQHLILGRLQDSNAVLTHFNEYSEQCFAEVSNDFASKTRLLKSMKDDLDHIFLKLRSMKSRLAATYPDAFPDGAMAKTMDQRPDLENLATRPRQGKTLRASSESSVTNNDSSFIRLIDTQVKKEKERKGDMEEWSPPSSPSLVVRSPRQTVSLLRNRHPWRESRSPPTSTSTSLAGGPKLSEVYGFVGSITTVIATTVYLVWAYMPERCLRSLGITYYPSRYWALAVPSFVIVATALCMVVYVGFNFLATPPPTSFNTIFDEYSRERTMFDPANANATGEEEEVERPIEPISDISIDQINSLMFGDLQKRAQQF >ONIVA03G28360.2 pep chromosome:AWHD00000000:3:24037008:24049933:-1 gene:ONIVA03G28360 transcript:ONIVA03G28360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPPETAAAAAEVAARFRSLVDTGDIGAIRQTQHLILGRLQDSNAVLTHFNEYSEQCFAEVSNDFAGKTRLLKSMKADLDHIFLKLRGMKSRLAATYPDAFPTGAMAETMDQRPDLESELDHDSIALSELEPWMVEAGSGMLEAAALGSVDNGSRARRSRSALRRARNEREGGASGRI >ONIVA03G28360.3 pep chromosome:AWHD00000000:3:24042423:24049933:-1 gene:ONIVA03G28360 transcript:ONIVA03G28360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPPETAAAAAEVAARFRSLVDTGDIGAIRQTQHLILGRLQDSNAVLTHFNEYSEQCFAEVSNDFASKTRLLKSMKDDLDHIFLKLRSMKSRLAATYPDAFPDGAMAKTMDQRPDLENLATRPRQGKTLRASSAH >ONIVA03G28360.4 pep chromosome:AWHD00000000:3:24037008:24041133:-1 gene:ONIVA03G28360 transcript:ONIVA03G28360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPLAWFRSLINNEDVVAIKQMQHLILGRLQDSNAVLTHFNEYSEQCFAEVSNDFAGKTRLLKSMKADLDHIFLKLRGMKSRLAATYPDAFPTGAMAETMDQRPDLESELDHDSIALSELEPWMVEAGSGMLEAAALGSVDNGSRARRSRSALRRARNEREGGASGRI >ONIVA03G28360.5 pep chromosome:AWHD00000000:3:24041619:24042385:-1 gene:ONIVA03G28360 transcript:ONIVA03G28360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWSPPSSPSLVVRSPRQTVSLLRNRHPWRESRSPPTSTSTSLAGGPKLSEVYGFVGSITTVIATTVYLVWAYMPERCLRSLGITYYPSRYWALAVPSFVIVATALCMVVYVGFNFLATPPPTSFNTIFDEYSRERTMFDPANANATGEEEEVERPIEPISDISIDQINSLMFGDLQKRAQQF >ONIVA03G28360.6 pep chromosome:AWHD00000000:3:24037008:24037508:-1 gene:ONIVA03G28360 transcript:ONIVA03G28360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKNNFDLAIWGQIGNQCLPFVTAVGVVPKFQKKNMHSVSSSVGSPHPTSIFFLLRSPSYGELDHDSIALSELEPWMVEAGSGMLEAAALGSVDNGSRARRSRSALRRARNEREGGASGRI >ONIVA03G28350.1 pep chromosome:AWHD00000000:3:24028044:24035782:-1 gene:ONIVA03G28350 transcript:ONIVA03G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58230) TAIR;Acc:AT5G58230] MPKAPAAEEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRAEPAGKDHSVQKMVLGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHAEIGGFGAASGKVAAFEKGRAKMAAFEKGRAKVAAFEKGRVKKANTSGTKMANTLVGITEEQDDMKMKMAAFEKGRTKVDKLGTKMVNMSVRIMEEQEDMKTREEQEIYQPWLCNIPLIYDLCIINNLECPLSTVQWLPGQDQHQKMVLGTHHPQNSEKSPNYLIIAQAQLSCDDDEDIEYPCGDVEDMEYCESDDANSGLYGAGSSKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKTLDALQIFKYHDGVVEDVAWHLRHEYLFGSVGDDHNLLIWDLRSPVSTKPVQSVAAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDVPTDDPAKAP >ONIVA03G28350.2 pep chromosome:AWHD00000000:3:24028044:24035782:-1 gene:ONIVA03G28350 transcript:ONIVA03G28350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58230) TAIR;Acc:AT5G58230] MPKAPAAEEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRAEPAGKDHSVQKMVLGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHAEIGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKTLDALQIFKYHDGVVEDVAWHLRHEYLFGSVGDDHNLLIWDLRSPVSTKPVQSVAAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDVPTDDPAKAP >ONIVA03G28340.1 pep chromosome:AWHD00000000:3:24022889:24024214:-1 gene:ONIVA03G28340 transcript:ONIVA03G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQY4] MASSPMAMDADKLSYEIFSLLESKFLFGGGGGGCLSSGPCTPARPFLGGGGGMDGRVRVLAIDGCGSSGAGDALLAAAALARLEAGLRKRTGDSDARVADFFDVAAGAGAGGVLAAMLFLRGPDGRPRYTAEEALEFVAASVGRDWAGRRGRWARLFRGGARGAERSFRRVFGDATLKDTVAPLLVPCYDLATAAPFMFSRADAVESDSYDFALRDVCAATCAAGSTAAAVRSVDGRTAIAAASGGVAAMGNPAAAAITHVLHNKQEFPLATTVDDILVLSIGTGASTSATATPMPTRSPSPREMARVTAEGVADMVDESVAMAFGHTSGSSSNYVRIQASKAATALHGAAAAGAMLSQRNVESVLFRGRRMSERTNAEKVDAAAAEVVKEHERRRRSPLPNVVIKQVGTPRVSSATTASSGTARTAASTLASPASYGSRQ >ONIVA03G28330.1 pep chromosome:AWHD00000000:3:24015820:24016347:-1 gene:ONIVA03G28330 transcript:ONIVA03G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQPFASGGRRRRWRPGGASLGLGRGGPPLSGDPAKGYGGRYDDHDEGNYRDPNDDCEYGDHDDDRGFRARGFTSASLRSNVIEGEVRSIPGGADPPLLLKRWRGAMAAASRAISTITGTNNDVGMGSRGFGFCFFGNFIFLSPDFVFADGRQNAPYAEISFSHAIQLPIWKKF >ONIVA03G28320.1 pep chromosome:AWHD00000000:3:24001612:24004368:-1 gene:ONIVA03G28320 transcript:ONIVA03G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT5G44560) TAIR;Acc:AT5G44560] MSVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAMYAGTSISAGMKGASKAMAAMNKQMEPTKQIKVMREFQKQSNQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIAASNRKAESNQARNAAPPRNNVEPESSAEVDDLERRLASLRRI >ONIVA03G28310.1 pep chromosome:AWHD00000000:3:23996200:24002781:1 gene:ONIVA03G28310 transcript:ONIVA03G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:recA DNA recombination family protein [Source:Projected from Arabidopsis thaliana (AT1G79050) TAIR;Acc:AT1G79050] MAAATVAASSRFGPAHLLPRSRRKGRAPAPSARATACGAVGRGRRLRCEFVAGGGNGALSGEDDPRLIDRQKALDAAMNDINSSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSVRSKKQNLTFPKAKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKEMRLGQGREKALQYLRESPTICDEIEKAVRAMIPEGARHMSLLAFGRSSLTEEEQVDDE >ONIVA03G28310.2 pep chromosome:AWHD00000000:3:23996200:24002781:1 gene:ONIVA03G28310 transcript:ONIVA03G28310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:recA DNA recombination family protein [Source:Projected from Arabidopsis thaliana (AT1G79050) TAIR;Acc:AT1G79050] MAAATVAASSRFGPAHLLPRSRRKGRAPAPSARATACGAVGRGRRLRCEFVAGGGNGALSGEDDPRLIDRQKALDAAMNDINSSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSAKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKEMRLGQGREKALQYLRESPTICDEIEKAVRAMIPEGARHMSLLAFGRSSLTEEEQVDDE >ONIVA03G28300.1 pep chromosome:AWHD00000000:3:23978778:23993748:1 gene:ONIVA03G28300 transcript:ONIVA03G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYPAQQQQQQEGDETTAAEGKRANCAAIDRAPATGQRLLSPATTTRRRNREEGGVGEEDDEAAGFGLDLFGGRHRQRGEGRKWNRGEKGRKEATTRGAVENTASAAAHGRHRVAAHGGGGTRIWQRQAPTPLSTPHVVGLPGPNLVNSMRGVNTNQIRNRRRARYGKKRGAGGREKYWMETDRDRTYLPQVAARKPIYQPGQQHNGWQVVAAAAVDARKVCLDGGFWVDRTWRSLFLWVFGWWAEVG >ONIVA03G28290.1 pep chromosome:AWHD00000000:3:23974608:23979159:-1 gene:ONIVA03G28290 transcript:ONIVA03G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRAEPYFPRPPSSSLPSSPFPLCSISFLPPVVCVSPQINPIQNQPPRRPPPQPHPPRDSSSSSSSPARGAAALLLVLGRSPRSWRASLPWSHHHHYSLQARGGWSTLRWSRLLPAAAAAAPGMQSQIVCHGCRSVLRYPSGAPSVCCALCQAITTVPPPAPVMEMAHLICGGCRTLLMYTRNADTVRCSCCSTVNLVRPVNNIAHVSCGQCRTTLMYPYGAPSVKCAICHYITNTGMNTVAPTPSPMPTSSGSSYNAPPSGSSYNAPPSGSSYNAPPPTSAPTSRPQNVTVVVENPMTVDEKGKLVSNVVVGVTTGK >ONIVA03G28290.2 pep chromosome:AWHD00000000:3:23974608:23979159:-1 gene:ONIVA03G28290 transcript:ONIVA03G28290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRAEPYFPRPPSSSLPSSPFPLCSISFLPPVVCVSPQINPIQNQPPRRPPPQPHPPRDSSSSSSSPARGAAALLLVLGRSPRSWRASLPWSHHHHYSLQARGGWSTLRWSRLLPAAAAAAPGMQSQIVCHGCRSVLRYPSGAPSVCCALCQAITTVPPPAPVMEMAHLICGGCRTLLMYTRNADTVRCSCCSTVNLVRPVNNIAHVSCGQCRTTLMYPYGAPSVKCAICHYITNTGPTSRPQNVTVVVENPMTVDEKGKLVSNVVVGVTTGK >ONIVA03G28280.1 pep chromosome:AWHD00000000:3:23961882:23962463:-1 gene:ONIVA03G28280 transcript:ONIVA03G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLALSFLVLLVLATFTTTTVLATNNLPPSSAPALSPASSAAAKEFLRATCTSKSELPELCFDILLPYASSFNGSQGKVARASAAIAIERHRGLLDELRGLKPGPGDVGAERRMLVMLLSDCVRDFDATYMFADETLARIDFLVSGRGSEEQRASDKLRANVWLTSAMDSGLTRKEAMAAPPRRPWGRR >ONIVA03G28270.1 pep chromosome:AWHD00000000:3:23939786:23945692:1 gene:ONIVA03G28270 transcript:ONIVA03G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGEDDNLIELLWCNGHVVMQSQNHHRKLPPRPPEKAAAAAAAAAVQEDEAGLWFPFALADSLEKDIFSDLFYEAPVAATAAAAPAGPGAGADGEGKTCKGDAAMAEEERGGPGAASEAPRELMPPPKSTHASCSRQQTMSLADGGDNAGDLSELVRARRSSGGAVRRKAEAGGGGGGASSSMLSAIGSSICGSNQVQVQQRTASEPGRRGAPPSAVGSANAIPCGGRDHGHGHEATTVASSSGRSNCCFGTNTTTEPTSTSNRSSKRKRLDTTEDSESPSEDAESESAALARKPPAKMTTARRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGSGMAPPVMFPGVHQYLPRMGVGMGAAAAAMPRMPEWGIIRWGPRPCSSSKIHHFTCQMEALCLLLKMHPTQDQDN >ONIVA03G28260.1 pep chromosome:AWHD00000000:3:23913369:23930303:1 gene:ONIVA03G28260 transcript:ONIVA03G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRKVRNVNKRYAKINEDWQDKDATNVHKSKVRKKKLSDMLGSQWSKDELERFYGSYRKYGKDWRKVASSIRDRTSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNGSPKTSRKPRKRGRAKFQSVSKASDTQHPDQLQSQPASSSYGCLSLLKKKRSGGNKPRAVGKRTPRVPVASMYQRDEKIGPTNRQAKPDGNGDDEGAHVAALALAEVFQRGGSPQDSQTPGRSGDRMFLSPVKSTDRKNADSEMGSSKLHGFQVDADFPEGSLGSREAETGDYPKYASYLMNNEGSASGKSQQKVKRTQRRRKKAARKTDDQLEDDREACSGTEEGHSAKKTKDESEVNGLGRKGRWPSKKSNKRNRQLFFGDESSALDALHTLADLSVNILQPSSIVESVLLKLHPMTFPESSAQIKDENKDNDSDEKPSMPAAVSVLEKKDKSKSTVKKVKRQSELASADMAARKKARIAKVPNHDGIAISETKQLDSKFGVQTEKKKRKPSAAKISKDEKSALKDVEKTEWMEISDLDNVSAEEGKVSSNKGMHTHVSPVSNHMINSTAHTDFGNVAMDTVDTTQGATTQQADLASKGRSRRKIGILKALAPECRPTDGADDLRSDKFSYAVNNVIDLKDSLSHCLSSRLLRRWCTFEWFYSAIDFPWFEKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLQEEREKLAQYRESVRQHYAELRSGVREGLPTDLARPLGVGQRVIACHPRTRELHDGNVLNVDHNRCRVQFDRPELGVEFVTDIDCMPLHPLENFPESLRRQNIVNKYYNSFSEAKFEDRSKELGTGGPTRFTSNVCFDGGDATSNIPSNYPINTLMKQAKGDTVDSIAQAKVAVNEVAVAAQQSMYSQPCTLSQIQEREADIRALAELSRALDKKASLKGLVLMFSVEALLVELRHMNEEVYGRQKDGEAFRDFEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTYHGHPAQSYPKPMENGALTGTPDLYNLFGYINQESGSQVMEVIETSRSRAKLMVDVAIQAMCSVSEGEDAYAKVGEALDNLNNRSTGSGSSILGIRRIPPDSGQANSSHQDNTTSGHFDPATNNISSPRLPNGCDSEPQFPSELISSCVATILMIQNCTEKQYHPAEVAHILDSALSRLQPCSSQNVTIFREIEMCMGIIKNQMLALIPTPSG >ONIVA03G28250.1 pep chromosome:AWHD00000000:3:23909777:23910415:1 gene:ONIVA03G28250 transcript:ONIVA03G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSIRGFAGAAALGGLLLLALLLCPPPPCAAARPLATTTTTSSEEEAMTIIALAPSAWSPGGGGRRQWRAAGAAAAKWLPFVAGGAAARYPYGRPLWGLPAPAAGRMVPWAAATAAPGLAFRTGGQLTEEEAPRGGEDAAAAARQEQAAMWASLLNPAQVRPAPAWPMAGNGEAEAPPADAEPTAEGMDAGDEPPAGGMLVGQPKWPVSP >ONIVA03G28240.1 pep chromosome:AWHD00000000:3:23896862:23897215:1 gene:ONIVA03G28240 transcript:ONIVA03G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTSKDAAIAHLKKDVVFNQRSSSRKGEGNPRQRPKEVTKPEDVAAAGLVNHRLGFRLGPYTLDCRSPSTNSEPPTQTNGSTQHPPHRTDAPPSADFIEPPPLRASPGPLRSTTR >ONIVA03G28230.1 pep chromosome:AWHD00000000:3:23883295:23884182:-1 gene:ONIVA03G28230 transcript:ONIVA03G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRTTRSTPPPPQWAELPTDCLVHVFRRLDLDELASAAPLVCRGWRRAAADPSLWRALDLRRDHLARFMPWGALAGALSRLHGVHRFTLAGFLRLCVARAAGTVADLALPPLLSSSELDHVAAECPALRRLALPELPPADDARLPSLLPRWRRLTHLELDSKPSSFPAVAAALALHCPDLAVLRVTSGSVKPEDAAAMAAASPLRGRLRSLCLDRCYLPRQELLAILAGCGGAAPLREFTARFCVGFDDKDEEVLARGAAIERFDIGGSRLLDEPDGDATNGDDYCDSSYVDVI >ONIVA03G28220.1 pep chromosome:AWHD00000000:3:23871063:23876052:1 gene:ONIVA03G28220 transcript:ONIVA03G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSDIPEGREKLKRSGSLGSSDTAYVRADKIDLTSLDIQLEKQLTKTWGKANLKAQGPKEDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAANKIFLQSLKLNIPYSMLHVFLLDKDLLSLTPWLSSQVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPTNNSNAGARTNLPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANIMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSSGCLCFTKARGP >ONIVA03G28220.2 pep chromosome:AWHD00000000:3:23871022:23876052:1 gene:ONIVA03G28220 transcript:ONIVA03G28220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSDIPEGREKLKRSGSLGSSDTAYVRADKIDLTSLDIQLEKQLTKTWGKANLKAQGPKEDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAANKIFLQSLKLNIPYSMLHVFLLDKDLLSLTPWLSSQVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPTNNSNAGARTNLPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANIMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSSGCLCFTKARGP >ONIVA03G28210.1 pep chromosome:AWHD00000000:3:23861732:23863411:1 gene:ONIVA03G28210 transcript:ONIVA03G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHPPPADLLLPPAVAVPASSPNSFAGRPLPRVRVAREHTWPPPLRPFHHRSTGVPSLSLSLSLSAVHGKKRRKKPRGGRELLTGSDNQVAYLGFIRVGARQVIIPPL >ONIVA03G28200.1 pep chromosome:AWHD00000000:3:23850394:23857272:-1 gene:ONIVA03G28200 transcript:ONIVA03G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKICPGEYDANITREEVVWSRRVLAAKDGTIWARLFMVDREGCWSLSSILRQWLGSETNKEGARGGGRFEVVATTCEVTIVVGCMKEMSAGQFYCKGEERVDGGAGVKRRGAPQRKGDSPFLNFVKSLSPISSSQPLDAVPNLQMIKSSDLVHIPSIFTPPEFTHFGLMNTSAKPCQDGLSPYCHMTQIGRSSCIKQSGPMTIASKNCSIDRSLSQAYHDSPDNASILPTNLAQRIQLSSDTLGSDKRHGIAGKTDHETAQKHAKLSCFDQRCLDKMKQLTSGMNVQKRDLAKTHNDEITACDWDYLGTQYDSSVVPESDLRFETAELLLETPKNGDAMPGKSFLPIVEANLENSRRKLFQGSADCYSQSAVDNIHAYCTSRGKEVATNHVSGILPCPRESQLIPDHHFSDSLEVPSDYMAMNPSAVSQHLRGLHRRSLFNDKVRDPTMGVQSASNLGASTCATRHRSIPDDNYSKLVGSPVCALPNVDLHLVRMTEEMLAPNTSEMNTHNQGDYSSQATMPTSAGNSGQENPKRKSYCECFASEVYCSESCSCRGCFNDHSHEETVLSTRNRIESRNPLAFAPKVIRTCGPGLEFGEDSNATPASSRHKRGIERFETKEIERADRKMKDHPKEEQSEMDKYHALCEIWGVRSTENLFTTPSMDSRRAFALFPSECPKSSLTSSTRTSSHLHFPTRTDVLLSPFGSYTQMLLGNEASDMLLQQGDSSCTASLRIASPNKKRVSPLRTGNTLSPTCRKELGLKSIIPPFPSLTGDANSELQ >ONIVA03G28200.2 pep chromosome:AWHD00000000:3:23850394:23857272:-1 gene:ONIVA03G28200 transcript:ONIVA03G28200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKICPGEYDANITREEVVWSRRVLAAKDGTIWARLFMVDREGCWSLSSILRQWLGSETNKEGARGGGRFEVVATTCEVTIVVGCMKEMSAGQFYCKGEERVDGGAGVKRRGAPQRKGDSPFLNFVKSLSPISSSQPLDAVPNLQMIKSSDLVHIPSIFTPPEFTHFGLMNTSAKPCQDGLSPYCHMTQIGRSSCIKQSGPMTIASKNCSIDRSLSQAYHDSPDNASILPTNLAQRIQLSSDTLGSDKRHGIAGKTDHETAQKHAKLSCFDQRCLDKMKQLTSGMNVQKRDLAKTHNDEITACDWDYLGTQYDSSVVPESDLRFETAELLLETPKNGDAMPGKSFLPIVEANLENSRRKLFQGSADCYSQSAVDNIHAYCTSRGKEVATNHVSGILPCPRESQLIPDHHFSDSLEVPSDYMAMNPSAVSQHLRGLHRRSLFNDKVRDPTMGVQSASNLGASTCATRHRSIPDDNYSKLVGSPVCALPNVDLHLVRMTEEMVPYNMTCTVNSTLSISEHNTEISTVVPVNQLAPNTSEMNTHNQGDYSSQATMPTSAGNSGQENPKRKSYCECFASEVYCSESCSCRGCFNDHSHEETVLSTRNRIESRNPLAFAPKVIRTCGPGLEFGEDSNATPASSRHKRGIERFETKEIERADRKMKDHPKEEQSEMDKYHALCEIWGVRSTENLFTTPSMDSRRAFALFPSECPKSSLTSSTRTSSHLHFPTRTDVLLSPFGSYTQMLLGNEASDMLLQQGDSSCTASLRIASPNKKRVSPLRTGNTLSPTCRKELGLKSIIPPFPSLTGDANSELQ >ONIVA03G28190.1 pep chromosome:AWHD00000000:3:23842836:23849416:1 gene:ONIVA03G28190 transcript:ONIVA03G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYTTDEALEFMGFGKFQLLVLAYAGMGWVVESMEIMLLSFVGPLVREEWNISAENESLLSSVVFAGMLIGASGWGFVSDKYGRRICLLFSTLFASGMGFLSAFSPNYSCLLALRFLVGIGVGGAHVFTSWFLEFVPAQNRGTWMVIFSCFWTIGTILEASLAWVVISVLSWRWLLALTALPCSLLIPFFGTTPESPRYLCVQNRTSDAMLVLERIAITNQAALPPGVLIYHQEAKVDHSDLTSEKEDLLPVSEKECTFDNAMSFKYGGGIAALLRLLSRKLLRSTLLLWFAFFANSFAYYGLVLLTAQLSDANRSCTSGQTNVVPQKDVNLYKDTFITSLAEVPGLILSAVLVDWFGRKASMWFMLFHCCAFIGPLVLQQNELLTTVLLFGARAVAMGSFTVLCLYAPEVYPTSARSTGVGIATAIGRIGGVVCPLVAVGMLRSCHQMEAILVFELVLFLAGVACFLFPIETKGRGMD >ONIVA03G28180.1 pep chromosome:AWHD00000000:3:23838867:23839196:-1 gene:ONIVA03G28180 transcript:ONIVA03G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSQTRRGGGDDGDGRRRRAHRRRPRRSCAPVYAEEKPRAPAESRRTSSHGARDGGRGQRRCSWGRRLSSSGTAAPWRSATTATTPSPWAPSSAARRHRSIGPTTLQT >ONIVA03G28170.1 pep chromosome:AWHD00000000:3:23838297:23838991:1 gene:ONIVA03G28170 transcript:ONIVA03G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAWGCAGGPGGGAPRAVGDQRRRRSSPGNGQQRHKQSGRAVAEAELPGRLRWRRSSPYGGRQAAEAEAEAEVPPRRATDDAVEEGGGGGDAGVGVRGWRRTAVRGGWRLVGASGPRRRNGGEGGSFRFLPRLPLSISSPLRQRCGDGARRCGVGVAILEGPRLACHRPRQRASSAQSSGVGARRLTEPMGTGWSPSSQTSTAPQFPTS >ONIVA03G28160.1 pep chromosome:AWHD00000000:3:23822373:23830835:-1 gene:ONIVA03G28160 transcript:ONIVA03G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWISIGLQLQMQREKRSGLVGDLGTLSLVGIMEETSPTNMRRKYSWWWNSHICPKNSKWLQENLTDMDSKIKMMIRIIEEDAESFAKRAEMYYRRRPELMALLEELYRAYRALAERYDHAAGELRQAHRKIAEVFPEQVLVDLDDDLPAETASIETEMDNPDMAPYFLSFINASDSKKQAKDNQDNERLQKELESLSEENKDLKSRISSLLEQTNKAELEVVCLKEALAQQNAEKEAVVLQCQQSTARLQNLKSEILHTQEKFNRLKEEMQSGFQPFTTADERSVLLEKANQEMNLELNKLKHMLKQKHEELNEKQAELEKLNISTEEEHLKCMQAEMAQLSLEKQLILAQDKMRLLALEKQIEVSKAKDTETEKVMLEKELEKIQKESTSLNDQIHSSSSMIIRLQDEIITMKNAQRRLEEDVCRHVDEKKTLQNELCHLKEDRSDLDKKHSSIKEQIQAVDLNVESLQALVQELKDGNVELKGIIRNHESTEVLHIENLRRLERMSEKNSYLEKSLSAVTTELEVLREKKAELEESCKHLSSKISSHQSERAVLVAQIEAISQTMAELFEKNVFLENSLSDANAELESLRGKLKELEESSEALYSQNSALQHEKSTLACQVDRISDTLQNLEAHYAELEKRHSDLQEEKGSVLDEVIKLQEQIRFERKEHNDLEHSRKSQLDALHEKINVLSQEGWNREEQLEEEEQNIVKAQTEIFIWKQCLEDIADANSDFLAQLKMKQEVCQVLEEKMEYLSENNQKLTKCIGSVLKVLHLEEKYESLDQMKLDSIVHLILHEINCLLNTISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNTLKQEQQAKSEELLQLQREKQELVNITDEFWEEVETRNRKVDELRAEAKFLVGQLSELQGSRRSLQSEIIKLIQENSMLSDELCDSREKERVFEDDFSILISEVISKDILSVVFRSLHEERTLQLVSLHSDFAQLQAAGSELYQDIKMMNMKLGDLEKESNECNKELSRTISICNSTSTENAIGSGYPVGRDTDHLNSGRSQLEYHVNMETGEIEVDMAGLEKSNEMLQEEVHKMQSEMEVLTSKENSAIDIKSCDEDIKRLLANMQMAIVNAALFKEKVLELIITCESFEISSMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTVLGSLQNEVSALEKQTLSLANDRLQSNKLRMEENALSTQVLKTNMRSSGDQNTVRTVKDMELQKLHGTIKALQKVVTDTAVLLDQERLDFNANLQEARKQIEVLKLKEILDDDLIEMNYEQMLKDIQLDLIQISSGNKTGSLGQANKTVAQANEKMLDSHGFVGASSSHVRNDLRPPQSESFERDNYKRPPSELMVVKELSIDKQELPRSITTEPHQEWKNKVIERLASDAQRLNALQSSIQELKTNTEASEGLELESVRYQIREAEGFITQLIDSNGKLSKKAEEFTSEDGLDGDNIDLRSRHQRKIMERARKMAEKIGRLEVEMQKVQEALLKYEEEQTSTRTSKTMHRRSKVQLVDFLYGRRRDSRKQQRCSPCGCMKANAIDD >ONIVA03G28160.2 pep chromosome:AWHD00000000:3:23822373:23829066:-1 gene:ONIVA03G28160 transcript:ONIVA03G28160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSPTNMRRKYSWWWNSHICPKNSKWLQENLTDMDSKIKMMIRIIEEDAESFAKRAEMYYRRRPELMALLEELYRAYRALAERYDHAAGELRQAHRKIAEVFPEQVLVDLDDDLPAETASIETEMDNPDMAPYFLSFINASDSKKQAKDNQDNERLQKELESLSEENKDLKSRISSLLEQTNKAELEVVCLKEALAQQNAEKEAVVLQCQQSTARLQNLKSEILHTQEKFNRLKEEMQSGFQPFTTADERSVLLEKANQEMNLELNKLKHMLKQKHEELNEKQAELEKLNISTEEEHLKCMQAEMAQLSLEKQLILAQDKMRLLALEKQIEVSKAKDTETEKVMLEKELEKIQKESTSLNDQIHSSSSMIIRLQDEIITMKNAQRRLEEDVCRHVDEKKTLQNELCHLKEDRSDLDKKHSSIKEQIQAVDLNVESLQALVQELKDGNVELKGIIRNHESTEVLHIENLRRLERMSEKNSYLEKSLSAVTTELEVLREKKAELEESCKHLSSKISSHQSERAVLVAQIEAISQTMAELFEKNVFLENSLSDANAELESLRGKLKELEESSEALYSQNSALQHEKSTLACQVDRISDTLQNLEAHYAELEKRHSDLQEEKGSVLDEVIKLQEQIRFERKEHNDLEHSRKSQLDALHEKINVLSQEGWNREEQLEEEEQNIVKAQTEIFIWKQCLEDIADANSDFLAQLKMKQEVCQVLEEKMEYLSENNQKLTKCIGSVLKVLHLEEKYESLDQMKLDSIVHLILHEINCLLNTISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNTLKQEQQAKSEELLQLQREKQELVNITDEFWEEVETRNRKVDELRAEAKFLVGQLSELQGSRRSLQSEIIKLIQENSMLSDELCDSREKERVFEDDFSILISEVISKDILSVVFRSLHEERTLQLVSLHSDFAQLQAAGSELYQDIKMMNMKLGDLEKESNECNKELSRTISICNSTSTENAIGSGYPVGRDTDHLNSGRSQLEYHVNMETGEIEVDMAGLEKSNEMLQEEVHKMQSEMEVLTSKENSAIDIKSCDEDIKRLLANMQMAIVNAALFKEKVLELIITCESFEISSMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTVLGSLQNEVSALEKQTLSLANDRLQSNKLRMEENALSTQVLKTNMRSSGDQNTVRTVKDMELQKLHGTIKALQKVVTDTAVLLDQERLDFNANLQEARKQIEVLKLKEILDDDLIEMNYEQMLKDIQLDLIQISSGNKTGSLGQANKTVAQANEKMLDSHGFVGASSSHVRNDLRPPQSESFERDNYKRPPSELMVVKELSIDKQELPRSITTEPHQEWKNKVIERLASDAQRLNALQSSIQELKTNTEASEGLELESVRYQIREAEGFITQLIDSNGKLSKKAEEFTSEDGLDGDNIDLRSRHQRKIMERARKMAEKIGRLEVEMQKVQEALLKYEEEQTSTRTSKTMHRRSKVQLVDFLYGRRRDSRKQQRCSPCGCMKANAIDD >ONIVA03G28150.1 pep chromosome:AWHD00000000:3:23811182:23815500:1 gene:ONIVA03G28150 transcript:ONIVA03G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:crinkly4 [Source:Projected from Arabidopsis thaliana (AT3G59420) TAIR;Acc:AT3G59420] MDIVPVVALCCCLVLLPSWAYGLGSMASIAVSYGEDGPVFCGLNSDGSHLVTCFGADASVVYGAPSRIPFVGVTAGDGFACGLLLDTNQPYCWGSNSYVKIGVPQPMVEGAMYSELSAGDNHLCALRTSVKGFHSVNGDTSVIDCWGYNMTATHTVTGAVSAISAGSVFNCGLFARNRTVFCWGDESVSGVIGLAPRNVRFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQMSTPSSTDDGDVNIVPMDAMVSVVGGRFHACGIRSLDHQVACWGFTLQNSTLAPKGLRVYAIVAGDYFTCGVPAETSLKPMCWGHSGPLALPMAVSPGICVSDSCSHGYYEYANHGEVGSGSKTCKPANSRLCLPCSVGCPDDSYESSPCNATADRVCQFDCSKCASDECVSFCLSQKRTKNRKFMAFQLRIFVAEIAFAVILVFSVTAIACLYVRYKLRHCQCSKNELRLAKNTTYSFRKDNMKIQPDVEDLKIRRAQEFSYEELEQATGGFSEDSQVGKGSFSCVFKGILRDGTVVAVKRAIKASDVKKSSKEFHTELDLLSRLNHAHLLNLLGYCEDGSERLLVYEFMAHGSLYQHLHGKDPNLKKRLNWARRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSILGPADSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDISALLDPVLSPPSDLEALKKIAAVACKCVRMRAKDRPSMDKVTTALERALALLMGSPCIEQPILPTEVVLGSSRMHKKVSQRSSNHSCSENDLVDGDDQRIEYRAPSWITFPSVTSSQRRKSSASEADMDGRTTTDGRNVGSSIGDGLRSLEEEISPASPQENLYLQHNF >ONIVA03G28140.1 pep chromosome:AWHD00000000:3:23796996:23798180:-1 gene:ONIVA03G28140 transcript:ONIVA03G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLILLLSKPRTLQLFPLLLCLLLLPCLSQPLPSPSPSPSPSPSASPAPPPSLPLSPFNERLEAAYVAFQAWKHAITEDPKNLTEDWCGPFVCNYTGVYCAAAPDDPHVLTVAGVDLNHGDIAGCLPDHLGLLADVALLHLNSNRFRGTLPPSMQHMRLLFELDVSNNLLAGAFPAFLTSLPGLKFLDLRFNAFDGELPAAVFGRRLGLDAIFANDNRFNVSLSSASLTNSTASVIVLANTRLAGCLPPSIGDMADTLVELILLNTSISSCIPPEIGKLKKLRVLDLSHNELAGELPASVGDMESLEVLNVGHNMLAGEVPEAICELPRLRNLTIAGNYFCDEPVSCLHVPLRDDRMNCIPDWPHQRSPEECIAFAHRPPPHCAADGCIVIPPP >ONIVA03G28120.1 pep chromosome:AWHD00000000:3:23746360:23750294:-1 gene:ONIVA03G28120 transcript:ONIVA03G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAQAIKRATGDHFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTRDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALISKITKSIVSPLPTRYSGAFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVLQVHLKSSPARNIIPSHQSPIDKVKKMTFPTESMCRSKGRRNSLGNERIVTFSKPSPERKFTSSIQSVKDYSTTRSVKDLSIDVSLAEEVSSKTTFTTRTSSIVKTPKRTPSKTITTPQLEPPKVSYNRVNRSELLSRTPVNRSARVIRRASLPLPLPSSETPKRGVSSISILEQLESPDVSVNSPRIDRIAEFPLASSEDPPFLKLHGRRSPTPTPQHCVIDQSITKDKCMVEAFHIIDVDDDDGRSDLSGRNNAAAAASSRAGSSESTRQRRFDTSSYQQRAEALEGLLEFSAQLLQQERYDELGVLLKPFGPEKVSPRETAIWLTKSFKETGL >ONIVA03G28120.2 pep chromosome:AWHD00000000:3:23746360:23750294:-1 gene:ONIVA03G28120 transcript:ONIVA03G28120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAQAIKRATGDHFSEEKLCKWLVQLLMALDYLHANHILHRDVKVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALISKITKSIVSPLPTRYSGAFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVLQVHLKSSPARNIIPSHQSPIDKVKKMTFPTESMCRSKGRRNSLGNERIVTFSKPSPERKFTSSIQSVKDYSTTRSVKDLSIDVSLAEEVSSKTTFTTRTSSIVKTPKRTPSKTITTPQLEPPKVSYNRVNRSELLSRTPVNRSARVIRRASLPLPLPSSETPKRGVSSISILEQLESPDVSVNSPRIDRIAEFPLASSEDPPFLKLHGRRSPTPTPQHCVIDQSITKDKCMVEAFHIIDVDDDDGRSDLSGRNNAAAAASSRAGSSESTRQRRFDTSSYQQRAEALEGLLEFSAQLLQQERYDELGVLLKPFGPEKVSPRETAIWLTKSFKETGL >ONIVA03G28110.1 pep chromosome:AWHD00000000:3:23741452:23743219:1 gene:ONIVA03G28110 transcript:ONIVA03G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGRWLRSFFPGKKDRARAPAPEKEQAVAVVTTPGAKEKRRWSFRRPAVKDGGGGFLEPRVDPDQHAVAVAIATAAAAEAAVAAKQAAAAVVRLAGSSRRGVVVVGIEEAAAIKIQCVFRSYLARKALCALRGLVKLQALVRGHLVRRQASNTLRCMQALVAAQHRARAARLRLLDDDKEKPLLHTPRMTPTRRSPHHPRFRHQQQQQEAEENVKIVEVDTGFGGGGGSGEAHCTPRTSSRRSSCYATPLCRTPSKVELYQKVSPTPSALTDASARTYSGRYDDFSFSTARNSPWHHHHASDAPCKPHHPHHGNGDHPLFFPNYMANTESSRAKARSQSAPRQRASVSSSASEASSVPWERQASARRRASLEAQAASAPPNKCGAAMMARVQRCPSQASAPASCPWGSRLPDHDSECGSTSTVLTAATTTYCWSLATDNAAAAMA >ONIVA03G28100.1 pep chromosome:AWHD00000000:3:23735561:23739011:1 gene:ONIVA03G28100 transcript:ONIVA03G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDKMKDLTRKVVKRLLGNLLSDPRNDKYRKVRLGNPRIKESVADRECGVDLLEAVGFRVADKGGELFAVMDEVPGDARLGWIRQAVLLLQRARPSTPPPKQADAKGTRPDGVGEQQGVKRPVDHQIRVFFSVAASSVAENDLPDYFYSLSNEEVRNEAKMRRGRLEQSWLLIPKSYKEKQALAARQKYKQALIRIPFPDGVILQGVFLPTEPISSLYECLSWHRNKSFLAQMLLMHDAQHLYFLILISSITASGLIRVTFSGISTRLYKFAASALKQPSLEFDLICPAGPRTRVTPPFPQPGERAHTLLDEDLVPSARLTFKPK >ONIVA03G28090.1 pep chromosome:AWHD00000000:3:23730505:23731066:-1 gene:ONIVA03G28090 transcript:ONIVA03G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQTLLHSNLLSLIRFLYLNLLLYPFNQASRWMTTFLQQFRKRALLPPLHAPKNARLEHRSESKLAKLSFVLVCALCALSYVLVYSFFFFYKFIHQCMPSL >ONIVA03G28070.1 pep chromosome:AWHD00000000:3:23681935:23682735:-1 gene:ONIVA03G28070 transcript:ONIVA03G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRQQQMPHPEEPRGGGDLHGGRRTAPPVLAEDEGAEGGGGARAHRSLLAKAAELGVDGLGAWRRRLKNGRRGRSRRANVDDNFT >ONIVA03G28060.1 pep chromosome:AWHD00000000:3:23670101:23675779:-1 gene:ONIVA03G28060 transcript:ONIVA03G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHHHHHHPRRGSRHAAAGDLRPPEPPLDPLEFLSRSWSASASALDAPRPPPPAPSPSAVLGIGPIAEDASSAATAACEVVDDGSAFAAAGSSFSFASAATSQLIMERILAQSEVAPLTSGRLSHSSGPLTGGGSITDSPPVSPEIDDAKYCRAASTPKPQMYRGGNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDMAMASAATLVAAQCVEAAESMGAEREHLEAVIGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKGTMGGGHHHKQIMQKQHRKLESNGSSISDDLSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGLVMLKMKSRHVAGTITKKKKSVVIDVCKDVAAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLIIASERKRAP >ONIVA03G28050.1 pep chromosome:AWHD00000000:3:23662775:23663497:1 gene:ONIVA03G28050 transcript:ONIVA03G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIVGEGESEEGGGKAGPWAQRRWRNASEARSVVKRQQTMGGTEIGAGNEGGGDCGVGQRMGMETGTGTTMWRAWNFLQAQTSWANFKSALGWPVTSIGPLGW >ONIVA03G28040.1 pep chromosome:AWHD00000000:3:23659457:23661670:1 gene:ONIVA03G28040 transcript:ONIVA03G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWPSAGARLGDGRGEAGHRGEALARARPAIRKRRRPGALVNRRRVTTGFARTEATRLYMTANARSRLHPSYPCGYLGNAICRVSAGHSMPKRGVGCPPARARSGTGRGESGHRGEAPARGRRGRPPMEREGGDLARGKREGGGDLVKERDVRGCWPPPQPLRGPPPHCRCHAITPLVRPKGEPVLRPPLLPQPAPPLGQRSFKAAPVLSAQCSFILNVRSGTISVLVNLPTPGAAWRRNCGCRVVTRSSATRHGGGEEEGRRWGKEGGRHG >ONIVA03G28030.1 pep chromosome:AWHD00000000:3:23650788:23654931:-1 gene:ONIVA03G28030 transcript:ONIVA03G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGGGGDAGDTRGRHRIQAELKKLEQEARFLEEELEELDKTDKAYGNSGEQSGSSTSRLLGLLASLGTDGLKVRKICADANAVTMLNLVNTISEE >ONIVA03G28020.1 pep chromosome:AWHD00000000:3:23649006:23650577:-1 gene:ONIVA03G28020 transcript:ONIVA03G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42920) TAIR;Acc:AT2G42920] MARACSSPSSPSPSPASSRPLLPSSASISAFLASHPALTLLHTQCASMAHLRQLHAALVKSGLARDPIAASRAVAFCAGDGRDAAYAARLVRHHPRPNAFMWNTAIRALADGPGPGAAVALFVDMLGSPTPPERRTFPSLFAAYARLGRAGDGAGLHGMVVKLGLGGDAYVRNSVIAMYASRGAADEAIALLARCEAFDAVACNSAIVALARAGRVDEARAVFDGMPARTVATWSAMVSAYSRDSRCHDAVELFSAMQAEGVEPNANVLVSVLGCCASLGALEQGAWVHAYIDKHDVAMNALVVTALVDMYCKCGDIRKAREVFDASRSRGQAKLSSWNSMMLGHAVHGQWREAAALFSELRPHGLRPDNVTFIAILMAYGHSGMADEAKAVLASMASEHGVVPGVEHYGCLVDALARAGRLREAEGAIAAMPVAPDAAVWGALLSGCRLHGDAEAAARAAREAVRCDPRDSGAYVLAASALERGGEARRGAAVRGRMREEGVGKVPGCSMIEVDGVVHEFVS >ONIVA03G28010.1 pep chromosome:AWHD00000000:3:23638264:23638695:-1 gene:ONIVA03G28010 transcript:ONIVA03G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMIAGGWHGRCGEIGVTANGREVKVQWMGDDGSSSVMAFLEVSSRRSLVPQHHRLFSV >ONIVA03G28000.1 pep chromosome:AWHD00000000:3:23624741:23626078:-1 gene:ONIVA03G28000 transcript:ONIVA03G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQU6] MAATKSKAAKKGAPLLGKYELGRLLGRGTFAKVYHARSLAPGADPVAVKVLDKPDLAAAGAGMATRVLREVAAMRRLRHPNVLRLHEVLATRSKVYLVMELAPGGDLLSRLASLPSRRLPEHAARRVFLQLVSALIYCHARGVSHRDVKPQNVLLDAHGNLKVSDFGLAALPDSLRDDGRLHTACGTPAFAAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDSNIADMCRKAHRREYALPRWVSQPARRLVSRLLDPNPATRLAVAELATHPWFKRSLSLDSQLGSLLGGQPERELAFQAPPPLNAFDIISMSPGLDLSGLFGESKRRREKRFVTTASPERTVERLGQAGAKLGYFMVGKKGVERLPLGGLSGLVAMSMEMSEVSPSMMLVELRLEGGDDGDGGAEEFGWEELRAELGDDVVMAWHGCDGGKKDKEGILL >ONIVA03G27990.1 pep chromosome:AWHD00000000:3:23618498:23620440:-1 gene:ONIVA03G27990 transcript:ONIVA03G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial sec-independent translocation protein mttA/Hcf106 [Source:Projected from Arabidopsis thaliana (AT5G28750) TAIR;Acc:AT5G28750] MGMAPVATYPSSSSSSTLARPPCAAAGRAAAAGRARVAAAGMSSRASSFVTGGAGGLAVAVAARTRAGSGAGSRGGGAMGCKCLFGLGVPELVVIAGVAALVFGPKQLPEIGRSIGKTVKSFQQAAKEFETELKKESDDGGDQPPPPTETAVSDGGEEKKELEASSSKEST >ONIVA03G27980.1 pep chromosome:AWHD00000000:3:23613839:23617638:-1 gene:ONIVA03G27980 transcript:ONIVA03G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAAAAVGPHKTLNPTLSPPSSGGRRPRLRFPLPLPRGGHLRCSAGYREAAAAAASTSSTTTTPRPTEIPWSRELCNSVRLIGTVGTEVELRQLPSGGSVARGRLAIWKSATETTWVTLAFWDDLAVVASEHVKKGDRIFVSGRLVSDTVDEGPEKRQVYYKVVVQQFNFIESFQQVQLYEPEAGLDTLGGKHGDYVGSTSGSSEGKSRDHVDSSSRSTEQLWQAFFANPLDWWDNRTNKKNPRYPDFKHKHTGEALWVDGRNNPNWVISQLAILDSRMGSLQGNDRKPVAFMYADDFMTPDTDTEAHM >ONIVA03G27970.1 pep chromosome:AWHD00000000:3:23610772:23612217:1 gene:ONIVA03G27970 transcript:ONIVA03G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQU3] MEAAVGYAADSLIKATELRLGLPGTADDLPSTPRGKKRAAAAEDNNANAAAADDDEHDAVEAAPPVAKAQVVGWPPVRSYRKSCFQQQSAAASKSKAAVSSCNNKDEPITKNAAPAPAASSAAAANGGSLVKVSMDGAPYLRKIDLRMYKGYRELREALEAMFVCFSGAGDGANPSEFAITYQDKDGDLMLVGDVPFE >ONIVA03G27960.1 pep chromosome:AWHD00000000:3:23596838:23599983:-1 gene:ONIVA03G27960 transcript:ONIVA03G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQU2] MAGLGFDETELRLGLPGAGELAARSSGKRGFAETIDLKLKLQPAAPAAVSGEEGAQEDKEDADAAAAAADEKMSMKRSASQSSVVTAEPDPDKPRAPKAQVVGWPPVRSFRKNVLAEKCKAAALVKVSMDGAPYLRKIDVAMYKSYPELSMALQNMFTSFTIGKCGSHQQLKESNKLRDDLEYVPTYEDKDGDWMLVGDVPWDTKGSGEMQELKLIKEN >ONIVA03G27950.1 pep chromosome:AWHD00000000:3:23587752:23596688:1 gene:ONIVA03G27950 transcript:ONIVA03G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPKPPPPPRPKTRGSYNCGRCGLPKKGHVCAAGGPAPTPSPSSSSGAATTTTSGGGGGGGEGTKLRRALSFEDAATASASATPSSPEKKPRVVPDADAVGRGGEGEVEMVEGQGGEEELEEEEAAVELGGRAVPRELMAEVLRRLGPRGVMAAAAVSRGWRDCAGRVWRAAEELRLRAAGVSLVGALLPRCPALSRLSLRMESDVDATILACLAFSCPSLGTLEISMSDNAVNRMTGEELSRFVSEKHSLSVLKIGGCCNLGFLNLNSSSLSILWLSDLCSLSKSVINCPNMSEISLCFTQQSNDCTDLVTLMDGLGRTCPNLKNMHISSAQLSNEAVFALEGASLRGLCMLSLILGSKITDAAVASIVRSCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTCGIQFATAQLPLLQLMDCGMTLCASLQNEKQGPYFGEINGAIRFCPKLPTSKKQSTNQKLIIKHGNLKKLSLWGCSAIDALYLNCPELNDLNLNSCTNLNPERLLLQCPKLKNVHASGCHDMLIGAIRNQVLNEFAAAEPHLPCKRLADGSKRVQLPQFAQQEPSQDKKGIDLRRNQCTVHLD >ONIVA03G27950.2 pep chromosome:AWHD00000000:3:23587752:23596688:1 gene:ONIVA03G27950 transcript:ONIVA03G27950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPKPPPPPRPKTRGSYNCGRCGLPKKGHVCAAGGPAPTPSPSSSSGAATTTTSGGGGGGGEGTKLRRALSFEDAATASASATPSSPEKKPRVVPDADAVGRGGEGEVEMVEGQGGEEELEEEEAAVELGGRAVPRELMAEVLRRLGPRGVMAAAAVSRGWRDCAGRVWRAAEELRLRAAGVSLVGALLPRCPALSRLSLRMESDVDATILACLAFSCPSLGTLEISMSDNAVNRMTGEELSRFVSEKHSLSVLKIGGCCNLGFLNLNSSSLSILWLSDLCSLSKSVINCPNMSEISLCFTQQSNDCTDLVTLMDGLGRTCPNLKNMHISSAQLSNEAVFALEGASLRGLCMLSLILGSKITDAAVASIVRSCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTCGIQFATAQLPLLQLMDCGMTLCASLQNEKQGPYFGEINGAIRFCPKLPTSKKQSTNQKLIIKHGNLKKLSLWGCSAIDALYLNCPELNDLNLNSCTNLNPETDKPKDNITKKSVSIGIYKGVSTSRLKVLNEFAAAEPHLPCKRLADGSKRVQLPQFAQQEPSQDKKGIDLRRNQCTVHLD >ONIVA03G27950.3 pep chromosome:AWHD00000000:3:23587752:23596688:1 gene:ONIVA03G27950 transcript:ONIVA03G27950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPKPPPPPRPKTRGSYNCGRCGLPKKGHVCAAGGPAPTPSPSSSSGAATTTTSGGGGGGGEGTKLRRALSFEDAATASASATPSSPEKKPRVVPDADAVGRGGEGEVEMVEGQGGEEELEEEEAAVELGGRAVPRELMAEVLRRLGPRGVMAAAAVSRGWRDCAGRVWRAAEELRLRAAGVSLVGALLPRCPALSRLSLRMESDVDATILACLAFSCPSLGTLEISMSDNAVNRMTGYVETLSLISCCIRDVVINCPNMSEISLCFTQQSNDCTDLVTLMDGLGRTCPNLKNMHISSAQLSNEAVFALEGASLRGLCMLSLILGSKITDAAVASIVRSCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTCGIQFATAQLPLLQLMDCGMTLCASLQNEKQGPYFGEINGAIRFCPKLPTSKKQSTNQKLIIKHGNLKKLSLWGCSAIDALYLNCPELNDLNLNSCTNLNPERLLLQCPKLKNVHASGCHDMLIGAIRNQVLNEFAAAEPHLPCKRLADGSKRVQLPQFAQQEPSQDKKGIDLRRNQCTVHLD >ONIVA03G27950.4 pep chromosome:AWHD00000000:3:23587752:23596688:1 gene:ONIVA03G27950 transcript:ONIVA03G27950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPKPPPPPRPKTRGSYNCGRCGLPKKGHVCAAGGPAPTPSPSSSSGAATTTTSGGGGGGGEGTKLRRALSFEDAATASASATPSSPEKKPRVVPDADAVGRGGEGEVEMVEGQGGEEELEEEEAAVELGGRAVPRELMAEVLRRLGPRGVMAAAAVSRGWRDCAGRVWRAAEELRLRAAGVSLVGALLPRCPALSRLSLRMESDVDATILACLAFSCPSLGTLEISMSDNAVNRMTGYVETLSLISCCIRDVVINCPNMSEISLCFTQQSNDCTDLVTLMDGLGRTCPNLKNMHISSAQLSNEAVFALEGASLRGLCMLSLILGSKITDAAVASIVRSCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTCGIQFATAQLPLLQLMDCGMTLCASLQNEKQGPYFGEINGAIRFCPKLPTSKKQSTNQKLIIKHGNLKKLSLWGCSAIDALYLNCPELNDLNLNSCTNLNPETDKPKDNITKKSVSIGIYKGVSTSRLKVLNEFAAAEPHLPCKRLADGSKRVQLPQFAQQEPSQDKKGIDLRRNQCTVHLD >ONIVA03G27940.1 pep chromosome:AWHD00000000:3:23578700:23580372:-1 gene:ONIVA03G27940 transcript:ONIVA03G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSSAGPVPGIAPDGAEVIPSRCRTLPQPAPTSHEVCPYRCQSPSAANHIRIPPQHAPAGAEVVPPSAGATPGTSPSEAEVVPPSTGGWSCVWACPSGAEVVPRPPLPPTFFCYLFPKVRYAAIYMVLIIEFLGKIDHGDI >ONIVA03G27930.1 pep chromosome:AWHD00000000:3:23575503:23576343:1 gene:ONIVA03G27930 transcript:ONIVA03G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIVVGGDGSWWRWRCEIQRPVDESTEGAGSLRRRRRLHHRLRPGGCHPVPSVACGDFSWLASSGSAVARSLLLVVHDDSPSTRWRFESFQCQGSIRQDSQDFSSLSPLRRGNRMGIAVLSSVLHAEGGPRLHSSRHYRSSLSMCNFSVVWFQYEDCSPSAVFRSLETDGFMLSLSVWQCTGIYSSELCRFILMEV >ONIVA03G27920.1 pep chromosome:AWHD00000000:3:23573502:23574573:1 gene:ONIVA03G27920 transcript:ONIVA03G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQT5] MAHTNMVMVTMVVLLFLVTPVRSSLMDKELFPRNWRRPPMKFLRSEFSTETFEAILQRHTSTISLSSSSLDIDYTKILRIQTGYYEQPASWKMSHLIGRGEDEIMVAIRDDNLYVAGFADQTGQWHAFPRFVNLIPGSIPLPIEDDYVSLLGVGGHTNIPRLTLGRESMLDAIHTLSNYQPSIDNKESKEVADKEDGDGLVIVKN >ONIVA03G27910.1 pep chromosome:AWHD00000000:3:23559819:23561330:-1 gene:ONIVA03G27910 transcript:ONIVA03G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHYDVTQLLSDDALADVLARLAPRWLAVSRCVCKAWRAVVDTRHLLRTDLLPLTLTGIYFNFHDIHHSVFLSRPSTRPGPAISGMFTDYTPDNNLVKDHCNGLLLLESGVANPATRQWVPFPPAPPQPVWMKDFYRFDSYLVFDPTISTHYEIFKIPRVPSIRFHVLDPMLKSSQWPPSLCVLQVFSSRTREWGERLFVRDGAAAGTVTDMALAFSYDHYNGVYWHGALYVHCQGDFVMIISLTNNKYQVLKLPMNTEVCQYKNHFLGRSMRGVHYALIDNEHRLRVWFLNELYGQMTWELKHDNDLSFLLRCQEICIQNDGPWTLHYHNYFGYPSQNDNYYEAYKKHIVRKYCYKYDSASYKNDCEDIKKDVVVRVNKFEWDSDNDDILDTGNSNEGGCHGYFSILGFHPYKEVIFLNQNKERGLAYHFNSSKVQNLGSTFPKPYDDLYTQGMCGSFIYTPCWI >ONIVA03G27900.1 pep chromosome:AWHD00000000:3:23554403:23557654:1 gene:ONIVA03G27900 transcript:ONIVA03G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASWWSAAGRGKPSRSTTVVANTESGSHCLKVDGFSRSKNLRPGECLQSSTFPAGGHRWRMYCQPNSDGTEGTEGFVSVYLVLDEDVTKPVRAEYKFTVAVKNRLPFFLSKKPPEVTSATPPPPISVPPSDLCHHLAVLLDTALGSDVVFNVGGETMPAHQDVLAARSPVFCTEFFGVTMERTANRIIQIDDIEANVFSTLLYFIYTDSLPEMKKGEEKNHVTTPTGCCRKI >ONIVA03G27900.2 pep chromosome:AWHD00000000:3:23555277:23557656:1 gene:ONIVA03G27900 transcript:ONIVA03G27900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIILSWICDLPAFLALLWVPVVERGGARLFCPKRERPKKKKNLRRSRRNIWLERLSAHLIRVRPISCLVQGVF >ONIVA03G27900.3 pep chromosome:AWHD00000000:3:23554403:23556229:1 gene:ONIVA03G27900 transcript:ONIVA03G27900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPASWWSAAGRGKPSRSTTVVANTESGSHCLKVDGFSRSKNLRPGECLQSSTFPAGGHRWRMYCQPNSDGTEGTEGFVSVYLVLDEDVTKPVRAEYKFTVAVKNRLPFFLSKKPPEVTSATPPPPISVPPSDLCHHLAVLLDTALGSDVVFNVGGETMPAHQDVLAARSPVFCTEFFGVTMERTANRIIQIDDIEANVFSTLLYFIYTDSLPEMKKGEEKNHVTTPTGCCRKI >ONIVA03G27890.1 pep chromosome:AWHD00000000:3:23546167:23549193:-1 gene:ONIVA03G27890 transcript:ONIVA03G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRGEAPRAHGRGGHGKPNMNLRRKSRTLVGSSLWIELIQEIFYIESIVFLTMQLSIAPAALRIYKGNKGTSFLYSQFQYIYQSGFPKPPGSGLPRPDGGYRSIYITVPRCKPGNRGNRAVIGGTVNPDTSPNGYGIQDLVWQDRKDFTRTVKENSKSKVVFGCSDLTLVSVFRH >ONIVA03G27880.1 pep chromosome:AWHD00000000:3:23520217:23521583:-1 gene:ONIVA03G27880 transcript:ONIVA03G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILTVMVILLAAASTGSSARMAGAGARAEEAACEPPCGGLVVGAGGAPGKGAPGHSIAAGAKDHLAAVEHQPSPSVTNGVFEPTEGEAPDQDHPCDADSLANDMLSFCINQSFPPPNCCQAVTVTVDLSSCLCMVASRSSLRNSSLSAFTILALYANCGGLRAVRERDAAACYGAGDAPEDPGRVPVTIFTPASPTTIITRERPPAMEMSVEEHFASFDRFILSVMEWMTGFGVLIAVLVGFMKFHWSVQRARKNTANRVVELHHALANRVLPALPPEPPLPALPVPPPPQPEADLLNGHGPPPEPNPLNGHGPPAEPYLPNGHV >ONIVA03G27870.1 pep chromosome:AWHD00000000:3:23418101:23423658:1 gene:ONIVA03G27870 transcript:ONIVA03G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTAATSNASGESSMDKHVPEAKVTSDIARLEGSKDKAGSRTTSAAMAPEWLKATMSGDATSIHDMASQDPHGHEDFCKTVVALNSSLLAAVNADNETPLITAAKRGSRASLSLASLLLKFCQCHQLSEAITQKDKKGCNALHHAIRSGDSKLALELIKVEPALSRVSNNDEESPLFIAAVRNLADVVGKLLEISDAAHGGSGKQNALHAAVRNGNPEIGDDKPAATPMWRAVNDGKIDVVTVLLKYDPSLGYLMTREGSSFLCTAGRNGHVAVARELLKHCPDTPYCSETGWTCLHAAAYYDRIEFVRFVLGSEQLRHLVNIQDKYGRTALHLAAEKLYSRIISALLLHQGIDVTLISNNGQTATSILDSKTTANSPVMDRGLLKAATSGVKPALHDPSLLLGRTVQGNTYLHIASAHGKEEFCNDILMLNPSLLCTVNADGETPLLAAVKSDNVSLASFLLSYCRRSHDDLDMREAMVRQDKQGCNALHHAIRRGHR >ONIVA03G27870.2 pep chromosome:AWHD00000000:3:23423653:23424585:1 gene:ONIVA03G27870 transcript:ONIVA03G27870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAVMRNFTDVFHKLLEVPDSAHGGTSGYNALHAAFRNNNTDIAKRIIETRPKLAREENSARTTPVQFGVLEDKIDVLKVLLEHDFSLGYIVSTSGTPLLGSAAYRGHVGVAMELLKHCPDAPFLDENDGTTCLHTAVQKGHIKFVEFVVQSKELRKLINMRDSDGETALHYAIQKCHPKIDVTVLDSNGNPPIWVPDDAADHAKMLNWVRTHMLSTTYIAFINTTRLVHSYSYIYASTFDSHD >ONIVA03G27860.1 pep chromosome:AWHD00000000:3:23391161:23392519:1 gene:ONIVA03G27860 transcript:ONIVA03G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFTYWPQAYLGLVFNWGALIGWAAMKGTIDPAIILPLYTAGICWTLVYDTIYAHQDKEDDVKIGVKSTALRFGDSTKPWISGFGAACIANLALSGYNADLDIDCGLSSHWTAWPYYPFLAAASAHLAWQVSTVDLSDRLDCNRKFVSNKWFGALIFGGILCGRLVS >ONIVA03G27850.1 pep chromosome:AWHD00000000:3:23357295:23358589:-1 gene:ONIVA03G27850 transcript:ONIVA03G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILTVMVILLAAASTGSSARMAGAGARAEEAACEPPCGGLVVGAGGAPGKGAPGHSIAAGAKDHLAAVEHQPSPSVTNGVFEPTEGEAPDQDHPCDADSLANDMLSFCINQSFPPPNCCQAVTVTVDLSSCLCMVASRSSLRNSSLSAFTILALYANCGGLRAVRERDAAACYGAGDAPEDPGRVPVTIPASPTTIITRERPPAMEMSVEEHFPSFDRFMLCVIETMTGFGVLIAVVVGFMKIHWSVQRQRKDAANRVVLPGLPPLPALPAPPAPLALLPAPPVLPPPPAVPNLLNGHDDV >ONIVA03G27840.1 pep chromosome:AWHD00000000:3:23351914:23352808:-1 gene:ONIVA03G27840 transcript:ONIVA03G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGCLAEGRASKLRLRGGRAVSDLLPELVSVHERTDPRVVEAEKAPQGCQNVGRKWLVEGLDVGRDCRVIDWFTRLEVCHLLGKPNLCPTRDRHRGGPCRLPVAPPSRRRRLLGLGVPRCWLRDVRQDRLGDAAEKGRHGSRGVVVVLEVEEVDLLGQDLDDLGGLLLLLSDLQGGRILGQPICQQWRPTMCLSGLQGGLLLMGWPICHQWRPIMLEWCVVLQWAVTAQQPSPRLQAV >ONIVA03G27830.1 pep chromosome:AWHD00000000:3:23340971:23344833:-1 gene:ONIVA03G27830 transcript:ONIVA03G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3-interacting protein 3 [Source:Projected from Arabidopsis thaliana (AT1G08780) TAIR;Acc:AT1G08780] MQQGDGTEAQVTWEDQQNINRFGRLNNRLHELHDEIKLAKEANENLDDAGNELILSDEDVVRFQIGEVFAHMPRDDVETRLEQMKEDAAKKLERLEQEKESIVSQMAELKKILYGKFKDAINLEED >ONIVA03G27820.1 pep chromosome:AWHD00000000:3:23330369:23339449:1 gene:ONIVA03G27820 transcript:ONIVA03G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAAMQQLKDDGSSARQLQLLVAALSTAGAVAAAAVVRRRHGRKAAAAAAAAAAAPPPVVMREMPRLVMAESGRVEHIEKFSHYVARQMGFQDINECPQLCKLANNYLKRTKNCMDDIDDFFANILDSESLYVKFIEELDKCILGYFAFHWDHATALISQALTVDCGTASKKKLRNLVLEATRKQRFERVTRDLKVTRVFSTLVEEMKAIGVPTAAMNGDGEEEPHCTDVMAPVAHDERSPVLLLMGGGMGAGKSTVLKEILQEPLWSKDEANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPFVQQTIAMARDVHRRRYRMGPGYKVDPDTGDITENYWEPADADAASPPPTRKPYRIEVAGVVCDAYLAVARGIRRAIVTGRAVRVRSQLVSHRRFAAAFRRYAGAVDGARLYSTNTMGAARLIARKDGVAGSLLVEPAEFACLDAVGGLNENATGVHDLYRGGATACGARSIWDDMIASPARADIQRELREAFRSVEHAPPNTNGA >ONIVA03G27810.1 pep chromosome:AWHD00000000:3:23323340:23323579:1 gene:ONIVA03G27810 transcript:ONIVA03G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVVAVARGLLGGLAAQCAGAADRRSGRNWLEEAAAAAADWHVAVRVVVDGEDQLGLRRVELLVRPTQPRRRQLPPK >ONIVA03G27800.1 pep chromosome:AWHD00000000:3:23319685:23319951:1 gene:ONIVA03G27800 transcript:ONIVA03G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRKLIRLTVILALFVAAVAVSQCAAGEAAAAAGGMMRPRPPRRAAADWHVAAVSASPPANVTANLGAGPSNCTNNPNNHGSNCHGS >ONIVA03G27790.1 pep chromosome:AWHD00000000:3:23296828:23297504:1 gene:ONIVA03G27790 transcript:ONIVA03G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFGPRCRTLGSTLTNKEVSPGPIATTNACSASATLIEQTSLTFAHCAPCGWPQRIGWSPSVMEMMDVCYCTTPSSSLYHTAISLHDSIVDYCSPPGYPLHYQSQDTYHPLHPYSKIQQSHQMDFWLNLFFLF >ONIVA03G27780.1 pep chromosome:AWHD00000000:3:23288303:23290251:1 gene:ONIVA03G27780 transcript:ONIVA03G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITMVHDNAKFLENNNNRMTNVEHNQQLQLQQVTDLTHGEQHFPRKRYIEVEYPTTIGKRVRGVNGRAVAYPYDHYEKNISTKAYLENPSVVPMLINYGYYDGVELRNTNQNMYKSAAVNYVNNDMIFLPIRTSIDHWYVAVLDCTRKEVCVLDSMDTTEDDLKELKFLMKGIRKCVRLVLDEKIVENPRTSSGLYIIKFMELWTGDSLSKQFYQEDIDNYRRKLAAILYMSPSNKLRNNICSTSNGNVNDGQTTMAGV >ONIVA03G27780.2 pep chromosome:AWHD00000000:3:23288303:23290098:1 gene:ONIVA03G27780 transcript:ONIVA03G27780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLITMVHDNAKFLENNNNRMTNVEHNQQLQLQQVTDLTHGEQHFPRKRYIEVEYPTTIGKRVRGVNGRAVAYPYDHYEKNISTKAYLENPSVVPMLINYGYYDGVELRNTNQNMYKSAAVNYVNNDMIFLPIRTSIDHWYVAVLDCTRKEVCVLDSMDTTEDDLKELKFLMKGIRKCVRLVLDEKIVENPRTSSGLYIIKFMELWTGDSLSKQFYQEDIDNYRRKLAAILYMSPSNKLRNNICSTSNGNVNDGQTTMAGV >ONIVA03G27760.1 pep chromosome:AWHD00000000:3:23262953:23265824:-1 gene:ONIVA03G27760 transcript:ONIVA03G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNSYHLATWADSAKTRNHTGSGSSHENMWLHVRLRSMTYEVKFLIDQGNLPNYNSTQLNSRLTQRPYPATITQIHYHLTRREEQKAKSQDVTLLKNSEELTKKEHPFITYINKTEVNKVMVHIEEVEVNQISMKVLTQPQFLNDDVMDAYIQCLRYKEKGIREDGKAFLELALKIGLLNVEGAHVKVSKPREIDG >ONIVA03G27750.1 pep chromosome:AWHD00000000:3:23259961:23260287:1 gene:ONIVA03G27750 transcript:ONIVA03G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLRVVQLAIVIAAALVIVITSSGSHYRDSVGVTDHGASMAARRDQSLRHLLVPGEHGGAARRLLLAAPPAAKTTTTTSDHHRNKLNVVVRGATPSKGSNDPNNRN >ONIVA03G27740.1 pep chromosome:AWHD00000000:3:23247937:23256750:1 gene:ONIVA03G27740 transcript:ONIVA03G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVENFDADDLGEMPQNHYNEEQLIPYSNVSHPYNEEPDNMDNVEEGNPYIQQVSLYSEEPENQYNEEPSNPYQEELDNAYNGEVKQQDSLPVEADKKWPGWPGESVFRILIPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERTVMISAKDEPDAPISPAMDGLFRVYKRITDGSDGDSGQPERNISNVGPTRLLVPASQAGSLIGKQGATIKSIQDSSKSIVRIVETLPLVALNDDRVVEIQGEPVGVQKALESIASHLRKFLVDRSVLPLFEGQMKMHNAQREQAMAAPQPWGPPQPWGPPPSHLPPGGPGYGGHPQFMPPRPQDNYYPPPDVPSMEKQPHYGISAYGREAPTGVSASGNQPPSHVASQAATDMCIRQKVTHNMQIPLSYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMTVEIIGSASQVQTAQQLVQNFMAEAPQGPPPPASNPPAPAVDPSYGSYPPPYGASYGSAASGAGPAPHNGGSYGGTTYPSYGY >ONIVA03G27730.1 pep chromosome:AWHD00000000:3:23230063:23235596:1 gene:ONIVA03G27730 transcript:ONIVA03G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IND1(iron-sulfur protein required for NADH dehydrogenase)-like [Source:Projected from Arabidopsis thaliana (AT4G19540) TAIR;Acc:AT4G19540] MLRIASRAGLLGGRRCYSAAAKSGPSIAGVSDIIAVASGKGGVGKSTTAVNIAVALAKKFQLKVGLLDADIYGPSIPTMMNLHAKPEVSEDMRMIPVDNYGVQCMSIGFLVDKDAPIVWRGPMVMSALEKITRGVAWGNLDILVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGEKSYIFGEGGGQRTAEEMDMKLIGEIPLEIDIRTGSDEGTPIVISSPDSASAQAYIQVAEKVIHRLKELAEERQMGPEILL >ONIVA03G27720.1 pep chromosome:AWHD00000000:3:23224102:23224413:-1 gene:ONIVA03G27720 transcript:ONIVA03G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLAKLVRALVMAIMLVILLATAAPVYCPGGVVAARPLHDDEEAGRQASPSTLLIVVGHDQQQHGGRRRRLVVASPDGDDVVGESKGSGPSDHTNNPNIHH >ONIVA03G27710.1 pep chromosome:AWHD00000000:3:23222988:23223200:-1 gene:ONIVA03G27710 transcript:ONIVA03G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERAATACQERPTARRRTQSGREREGGGVEREQEAAAREAGGGGGGDGGAEHEQEVAVRKEAVERWPI >ONIVA03G27700.1 pep chromosome:AWHD00000000:3:23222379:23222936:-1 gene:ONIVA03G27700 transcript:ONIVA03G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGLSMHGRGEDALSLFAGMQRAGVTPNEVTFLGILTACYHAGLGLQQLDAMPEPCIKHHGCVVDMLDRAGRLDEAEELVAAMPAHPDALIWGSRLVACRTHGDVERAERVMRRRTTDANADAGDYVLMSNTYTSNGRHGEAVKVRRQMRRNEIDKVPGCSLIEIDGVVHEFKAIPANSIR >ONIVA03G27690.1 pep chromosome:AWHD00000000:3:23220956:23222197:1 gene:ONIVA03G27690 transcript:ONIVA03G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQPEEEQKGVHGGGGVAGAQVEAALNRKNVEALPEDETVEGGPEDAWVPDHETCVFVPADKAAVSGTENHDHCGAAAAPGGSPSVLDQAVFVHEEDMENIERPAIDMAAANHKPK >ONIVA03G27680.1 pep chromosome:AWHD00000000:3:23218509:23219038:-1 gene:ONIVA03G27680 transcript:ONIVA03G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTNGDSSADTTTRRNAEDFLAILLKVVSSLEVAGIDASGVAIGGGLQYACACRCKKMHACESSRSLGAHWNLIAAWRGLGNSGNGKDSPAVVDNVGFTATARLSGGMLREGAWVVSEVPKELHARLISPWLTGERGIGDGTRRPELEKMTAISLVCARFLKFLEGFWP >ONIVA03G27660.1 pep chromosome:AWHD00000000:3:23211049:23217650:1 gene:ONIVA03G27660 transcript:ONIVA03G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGVKQLCGVLLKCCDLDLKQPKGLEDPEILARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLNRSNCLKKPPTVYKASF >ONIVA03G27660.2 pep chromosome:AWHD00000000:3:23211049:23217901:1 gene:ONIVA03G27660 transcript:ONIVA03G27660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGVKQLCGVLLKCCDLDLKQPKGLEDPEILARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKVKCTSGT >ONIVA03G27650.1 pep chromosome:AWHD00000000:3:23192736:23198442:-1 gene:ONIVA03G27650 transcript:ONIVA03G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQQ3] MGKLVSKSWQESKLLWHIAFPAILTAVFQFSIGFVTVGFVGHIGQVELAAVTVVENVIEGFAYGVLLGMGSALETLCGQAVGAGQVSMLGVYIQRSWIICGATAVILTPTYVFTAGILRGLRQPTDIAAVAGTYTRWVIPQLFAYAANFPLQKFFQSQSKVWAMTAISGIALALHVVLNYIFLTRLGHGLVAAALIGNVTWWLIILAQFIYLVSGCFPEAWKGFSMLAFKNLAAFVKLSLASAIMLCLELWYYTAVLILVGLLKDAKLQVDVIVRVSNELGANRPKAAKFAVAMAVSTSAIVGAVFMAVFFIWRTQLPRFFSDDADVVRESAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSLVAFINIGCYYLVGIPLGVLFGFKLKLDAMGIWVGMSLGTLLQTAILAFISFRTKWERQAMMAEERIREWGGRNDDALPSTTTPTADDHNLNSGVIYTHITKAE >ONIVA03G27650.2 pep chromosome:AWHD00000000:3:23192736:23193818:-1 gene:ONIVA03G27650 transcript:ONIVA03G27650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQQ3] MHSVRVSNELGANRPKAAKFAVAMAVSTSAIVGAVFMAVFFIWRTQLPRFFSDDADVVRESAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSLVAFINIGCYYLVGIPLGVLFGFKLKLDAMGIWVGMSLGTLLQTAILAFISFRTKWERQAMMAEERIREWGGRNDDALPSTTTPTADDHNLNSGVIYTHITKAE >ONIVA03G27650.3 pep chromosome:AWHD00000000:3:23194236:23198442:-1 gene:ONIVA03G27650 transcript:ONIVA03G27650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQQ3] MGKLVSKSWQESKLLWHIAFPAILTAVFQFSIGFVTVGFVGHIGQVELAAVTVVENVIEGFAYGVLLGMGSALETLCGQAVGAGQVSMLGVYIQRSWIICGATAVILTPTYVFTAGILRGLRQPTDIAAVAGTYTRWVIPQLFAYAANFPLQKFFQSQSKVWAMTAISGIALALHVVLNYIFLTRLGHGLVAAALIGNVTWWLIILAQFIYLVSGCFPEAWKGFSMLAFKNLAAFVKLSLASAIMLCLELWYYTAVLILVGLLKDAKLQVDVMSVCSGP >ONIVA03G27640.1 pep chromosome:AWHD00000000:3:23177336:23184298:1 gene:ONIVA03G27640 transcript:ONIVA03G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTPEFRLESGGQDPGLDFVEFAVVRGSSFPLQTYSVRIQKGTSGSRLGKCRYKDFRLPILENMGWLSKIFKGSVNRVSRGHYNGNTHEGHSAWHTKAYEHDSDHEDIDRAIALSLSEEDQRKGKAVDEVDIDHRLHEDEQLARALQESLNDEPPRQNVPVKDVHSESTPATFMPPYIFPSTGLRVCAGCKTPIGQGRFLSCMDSVWHPQCFRCFACDRPISEYEIPTNKDGHIEYRAHPFWMQKYCPAHETDRTPRCCSCERMEPKDSKYITLDDGRKLCLECLNTSIMDTDECQPLYIDIQEFYEGLNMKVEQQIPLLLVERQALNEAMEAEKTGHHLAETRGLCLSEEQIVRTILRRPVIGPGNKIVDMITGPYKLVRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYQTLDPKVEEGICQVLAHMWLESEITSGSSSIIASIAASSSSSSSSSAPSSKKGVQTDFEKKLGEFFKHQIETDPSDVYGDGFRDGIKAVERYGLRKTLDHMKLTGVFPC >ONIVA03G27640.2 pep chromosome:AWHD00000000:3:23177336:23184298:1 gene:ONIVA03G27640 transcript:ONIVA03G27640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTPEFRLESGGQCRYKDFRLPILENMGWLSKIFKGSVNRVSRGHYNGNTHEGHSAWHTKAYEHDSDHEDIDRAIALSLSEEDQRKGKAVDEVDIDHRLHEDEQLARALQESLNDEPPRQNVPVKDVHSESTPATFMPPYIFPSTGLRVCAGCKTPIGQGRFLSCMDSVWHPQCFRCFACDRPISEYEIPTNKDGHIEYRAHPFWMQKYCPAHETDRTPRCCSCERMEPKDSKYITLDDGRKLCLECLNTSIMDTDECQPLYIDIQEFYEGLNMKVEQQIPLLLVERQALNEAMEAEKTGHHLAETRGLCLSEEQIVRTILRRPVIGPGNKIVDMITGPYKLVRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYQTLDPKVEEGICQVLAHMWLESEITSGSSSIIASIAASSSSSSSSSAPSSKKGVQTDFEKKLGEFFKHQIETDPSDVYGDGFRDGIKAVERYGLRKTLDHMKLTGVFPC >ONIVA03G27630.1 pep chromosome:AWHD00000000:3:23170736:23175733:1 gene:ONIVA03G27630 transcript:ONIVA03G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol polyphosphate 5-phosphatase 11 [Source:Projected from Arabidopsis thaliana (AT1G47510) TAIR;Acc:AT1G47510] MGCRRNGLNRGLSDLHCKGMVPIDEDETHEGIKTIRIQKACEFTTSSVLCVCIITWNMNGKMSVEDVTKLVSSNRKFDLLVFGLQEVPKCDVAQVLQETMAETHILLCQKTMQSLQMFLFGAKSSEKYIRELKVDKHAVGGCGGIIGRKKGAVAMYINFSGIRMVFVSCHLAAHENKVEKRNSECQHISHSLFSKNDIQYTKSADITVWLGDLNYRLQGISSIPARKLIEENRQSKLRGKDQLLQEAEKGEVFNGYCEGTLLFKPTYKYNIGSSNYDTSYKIRVPSWTDRILFKVDHTSGLDAVLNSYEALDCIRSSDHKPVRAHLCLKVHGDSA >ONIVA03G27620.1 pep chromosome:AWHD00000000:3:23163907:23166478:1 gene:ONIVA03G27620 transcript:ONIVA03G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAHHITYFNSYFFRDSDVKTDPAKPPHPHLSSRPQERFVSSPSRVLAAAAAAAKPSPPRLASQRSQDVPRRDPAAAEQGGGVQAAALPPHRHGLLRCRHPRRPLHPPLPQPRRGPPRAHPGALGRNRPPSPASDGRIIFALVFHGWLQSYVWIGFLQTL >ONIVA03G27610.1 pep chromosome:AWHD00000000:3:23149718:23161596:1 gene:ONIVA03G27610 transcript:ONIVA03G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEECFYDYDDEEEEEEEPGWDDGGGGDAILVEEEAALPERPVDCWAITEESLPAAQLVLQQLVLVINYIVFLDMLDLPALYVCTQQQDLSMVMNLLYIKQHQARALLIHHRWKMESILDHFDRKGRDRMLREAGVVIQQQTEEKNGGGMAMAASPSLPPCPRSSVTCYVCFEDVSSDAVSTMDCGHCFCNDCWTEHFFACVNGGQKQIRCMAVGCAAVCDEDVAQRLLGGRYPGAARRLRGALLASYVEDNAAARWCPSAPHCGRAVRVDGGGGRWCCEVSCPCGASFCFGCAAPAHSPCPCAMWERWEAKCRGESMNVDWILANTKTCPNWLCGAATGLAHNWTSIDGHSCNRYDDAAEKRKVDGARRKVLRYAHYYERYKAHGDSRRAEAEKLGPAVEARARRLREDPDPATAPASGDAAEALAAAHRALLASRDVLSRSYAFAYHMFGGEERTLKAAAPESEVATAQALFEDHQEMAERHVEKLSGLLAADAPTAPATAGDAALRRAKQDAVALTAVVEKHCGEMHKCIQDELLPMLVEPMSIAGYRRDGPIKAKDFPTCGGASDDAAAMDGSDDECCYYYDAVDSDGDEEEEEEIIMLDEDDVGLLDGAALPPPEEEVEHRAICWAITKESLAAAQEQDLSMVMNLVNVERHNARALLAHHRWKMERIYDRLDMMGRDALLRDAGVVVLPEKSSSSGSSMAMAKTNPPGSVAVTCNVCFEEYPLGSVSAMDCGHCFCNDCWTEYFAAAVSDGSKQMRCMEVKCTAICDEAVVRRLLHGKHPGAAARLDRRLLEAYVEVSDAVRWCPSAPHCGRAIRVDGGGGGEERYAEVSCPCGAVFCFRCGGGAHSPCPCPMWDKWGAMRGGGEVDNLKWIVANTKSCPKCSKPIEKNGGCNHVTCTCGQHLCYACGAATGTLYMHICNRYKEEGGGGGVKVEMTAGGRQRLRFMHYYERFEIHTESYKEEQGKLGGRHAAVVGHQGRPVAVGGEPAAAAVPAGAPRSYVLAYYMFGGGAATRREREEAAAQNRFEDLQGQLEHHVEVLSRTLAAAARPADAAEVVKAKRDADNLARVVEGLCAGMYRCVQDELLPLLVEPMNIAAYHPDGPAMAKEFPPATSVTGGAPPATRH >ONIVA03G27610.2 pep chromosome:AWHD00000000:3:23149718:23161596:1 gene:ONIVA03G27610 transcript:ONIVA03G27610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEECFYDYDDEEEEEEEPGWDDGGGGDAILVEEEAALPERPVDCWAITEESLPAAQQQDLSMVMNLLYIKQHQARALLIHHRWKMESILDHFDRKGRDRMLREAGVVIQQQTEEKNGGGMAMAASPSLPPCPRSSVTCYVCFEDVSSDAVSTMDCGHCFCNDCWTEHFFACVNGGQKQIRCMAVGCAAVCDEDVAQRLLGGRYPGAARRLRGALLASYVEDNAAARWCPSAPHCGRAVRVDGGGGRWCCEVSCPCGASFCFGCAAPAHSPCPCAMWERWEAKCRGESMNVDWILANTKTCPNWLCGAATGLAHNWTSIDGHSCNRYDDAAEKRKVDGARRKVLRYAHYYERYKAHGDSRRAEAEKLGPAVEARARRLREDPDPATAPASGDAAEALAAAHRALLASRDVLSRSYAFAYHMFGGEERTLKAAAPESEVATAQALFEDHQEMAERHVEKLSGLLAADAPTAPATAGDAALRRAKQDAVALTAVVEKHCGEMHKCIQDELLPMLVEPMSIAGYRRDGPIKAKDFPTCGGASDDAAAMDGSDDECCYYYDAVDSDGDEEEEEEIIMLDEDDVGLLDGAALPPPEEEVEHRAICWAITKESLAAAQEQDLSMVMNLVNVERHNARALLAHHRWKMERIYDRLDMMGRDALLRDAGVVVLPEKSSSSGSSMAMAKTNPPGSVAVTCNVCFEEYPLGSVSAMDCGHCFCNDCWTEYFAAAVSDGSKQMRCMEVKCTAICDEAVVRRLLHGKHPGAAARLDRRLLEAYVEVSDAVRWCPSAPHCGRAIRVDGGGGGEERYAEVSCPCGAVFCFRCGGGAHSPCPCPMWDKWGAMRGGGEVDNLKWIVANTKSCPKCSKPIEKNGGCNHVTCTCGQHLCYACGAATGTLYMHICNRYKEEGGGGGVKVEMTAGGRQRLRFMHYYERFEIHTESYKEEQGKLGGRHAAVVGHQGRPVAVGGEPAAAAVPAGAPRSYVLAYYMFGGGAATRREREEAAAQNRFEDLQGQLEHHVEVLSRTLAAAARPADAAEVVKAKRDADNLARVVEGLCAGMYRCVQDELLPLLVEPMNIAAYHPDGPAMAKEFPPATSVTGGAPPATRH >ONIVA03G27600.1 pep chromosome:AWHD00000000:3:23140271:23146244:-1 gene:ONIVA03G27600 transcript:ONIVA03G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRKRVSRPKPRATSRGRGGGDEDPFFESEPKRRRGGGRDEDIESEDSDLEGVAAAAAGGVGDDGEEEEQETAGEKKMRIAKELLKKVTDAARRRREDDEDEDEGEEAGRRRVADILLKRQFEESGRKRMELADRILQPDPEDGFKMLVKHRQPVTAVVLSKDSDKGFSASKDGVIVHWDVETGKSEKYLWPSENVLVSHHAKPPLSAKRSKQVLALAVSADGRYLASGGLDRHIHLWDVRSREHIQAFSGHRGAISCLSFGPDSSELFSGSFDRKIMQWNAEDRTYMNCLFGHQNEVLTMDALSKDRLLTVARDRTMHLWKIPEESQLLFRAPATASLECCCFIDDKEFLTGSDDGSVELWSIMRKKPTHIIRNAHPVFRNNLNSLENNVEENGIHKPESVSSAQSWVSAIAARRGSDLAASGAANGSVRLWAIEPDSKGIRPLFSLRLDGFVNSLAIPKSGRFIVAGVGQEPRLGRWGRVRSAQNGVVIHPIRLKEESEDL >ONIVA03G27590.1 pep chromosome:AWHD00000000:3:23134430:23138753:1 gene:ONIVA03G27590 transcript:ONIVA03G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRRYYYFEEDDGDGEEEVEWLAMEADEDDVGLLEEDDLHLRLPDDRPADCWAITQESLPAAQQQDLSMVMNLLNIKQHLARTLLIHHRWKMHCIYDHLDRKGRDRMLSEACIILPKNSMSAASSTSVTCNVCFEDFPMTDVSTMDCGHCFCNDCWTEHFFASINTGNKQIRCMEVKCKAICDEDIVRRLLSLKYPAASKRFDLLLLESYLEDNDSVKWCPSAPHCGRAIQVGTGERYCEVACPCGVSFCFNCAGQVHSPCPCAIWEKWKAKGHGDSDSVKWILANTKSCPKCSKPIEKNGGCNLVHCKCGQCLCWLCGGPTGREHTWDSISGHSCNRYKEENGDKVDTSRQQMQRYTHYWDRYNIHAGSYKVEQKDLGPAVEEQVKKLELNLTGPKMNWDGSWLAMAYQSLLASRQVLSRSYAFAYYMFGGGEVKTHTSERASLAVAQNLFEDRQEQLERHVEHLSKVLATDLLGLPEEEIVLKKVEIANLAKIVQAICGQLYRCIQDELLPLLVQPMNIAAYQPDGPDKAKEFIGA >ONIVA03G27590.2 pep chromosome:AWHD00000000:3:23134430:23138990:1 gene:ONIVA03G27590 transcript:ONIVA03G27590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRRYYYFEEDDGDGEEEVEWLAMEADEDDVGLLEEDDLHLRLPDDRPADCWAITQESLPAAQQQDLSMVMNLLNIKQHLARTLLIHHRWKMHCIYDHLDRKGRDRMLSEACIILPKNSMSAASSTSVTCNVCFEDFPMTDVSTMDCGHCFCNDCWTEHFFASINTGNKQIRCMEVKCKAICDEDIVRRLLSLKYPAASKRFDLLLLESYLEDNDSVKWCPSAPHCGRAIQVGTGERYCEVACPCGVSFCFNCAGQVHSPCPCAIWEKWKAKGHGDSDSVKWILANTKSCPKCSKPIEKNGGCNLVHCKCGQCLCWLCGGPTGREHTWDSISGHSCNRYKEENGDKVDTSRQQMQRYTHYWDRYNIHAGSYKVEQKDLGPAVEEQVKKLELNLTGPKMNWDGSWLAMAYQSLLASRQVLSRSYAFAYYMFGGGEVKTHTSERASLAVAQNLFEDRQEQLERHVEHLSKVLATDLLGLPEEEIVLKKVEIANLAKIVQAICGQLYRCIQDELLPLLVQPMNIAAYQPDGPDKAKEFIGA >ONIVA03G27580.1 pep chromosome:AWHD00000000:3:23119576:23130360:-1 gene:ONIVA03G27580 transcript:ONIVA03G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACAQAVAASGARGEEVSFSIRVAKGRGIFEKLGRLAKPRVLALTVKQSTKGEAAKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFSILTMCKEILSYLPKVVGIDFVELALWAKENTVTLDNQSSTQDGQEKSVTTQTERKVTVTVENDLVSQAKDEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLQSEPLIDEGLDAASATVDDMDEWLRIFNMKLRHMREDIASIESRNNGLEMQSVNNKGLVEELEKLLDRLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKRAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNSGGQNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGAPNAKSRSGGNDPDDDLNLMDPDGNDLKPDDTSAELGTLNDALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRRLLHDLESRISTQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFTTLDKIAHSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHEASESYEQACTRHISSLIYLQFERLFQFSRKVDELTYTIAAEEIPFQLGLSKTDLRRVIKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVTRIYGNEPIMSVADMKDVLANF >ONIVA03G27570.1 pep chromosome:AWHD00000000:3:23115597:23117475:1 gene:ONIVA03G27570 transcript:ONIVA03G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARETREMDRISSVVDGCGVAGPWDDDSGAWRVPLHTTLHVIVARFPTVSKLALKCDYRAEGVANPTFVLLVDRLDPTLQRLKLRSLRLVTDYGVVVLAVAATSLRKLSIASCTFGAKGIEVVLRSYLQLKELFVNAASHLQDNGADWSSADSK >ONIVA03G27560.1 pep chromosome:AWHD00000000:3:23111497:23115163:-1 gene:ONIVA03G27560 transcript:ONIVA03G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLGLARIMSMGGGESRPTRFVPYHDACCAVDGSSASDMGRKDSRSRSGNAKRGPHLTLDRLPQMLRVLPVPHENFYGPGDIILSSNSVPKHYPWMDNTIVYKRIDIALQNHTGGWVKRFRFELQFFHELSAHHINHWLDAAIPGIEELTLELPRDDKMKYRFPCKLLFEKGCSIQSLCLYSCAFRPDLGACSFRNLKRAYFSLVHITTEELWTFLYNSLSLEHLELGFCHEIDCLKIPCTLQLLNFLRVRRCNLLQLIMSDAPNLSTFYYEGPLIQLSLGDSLQLKHVNISIYPWFNLFEYARKELPTVAPNVETLFLMSANEVGYFYPLIVQPHGRFLHLKYLELAIVGPRDYGFGYQYAYLVTFLRASPVLETFILHVEESAKEPYPLPPEHQACDSNRFLPQELVELIFYILENATSLQCLTLDNRIRGFGKDLVACITQDTGTRDYQEWWNNFGVKERILHFFRREFYPKSDWEAYCSYVAIRKYIIERVPSSVELKIPVVPSDEIISRLSKVESELLKD >ONIVA03G27560.2 pep chromosome:AWHD00000000:3:23111497:23115163:-1 gene:ONIVA03G27560 transcript:ONIVA03G27560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLGLARIMSMGGGESRPMLRVLPVPHENFYGPGDIILSSNSVPKHYPWMDNTIVYKRIDIALQNHTGGWVKRFRFELQFFHELSAHHINHWLDAAIPGIEELTLELPRDDKMKYRFPCKLLFEKGCSIQSLCLYSCAFRPDLGACSFRNLKRAYFSLVHITTEELWTFLYNSLSLEHLELGFCHEIDCLKIPCTLQLLNFLRVRRCNLLQLIMSDAPNLSTFYYEGPLIQLSLGDSLQLKHVNISIYPWFNLFEYARKELPTVAPNVETLFLMSANEVGYFYPLIVQPHGRFLHLKYLELAIVGPRDYGFGYQYAYLVTFLRASPVLETFILHVEESAKEPYPLPPEHQACDSNRFLPQELVELIFYILENATSLQCLTLDNRIRGFGKDLVACITQDTGTRDYQEWWNNFGVKERILHFFRREFYPKSDWEAYCSYVAIRKYIIERVPSSVELKIPVVPSDEIISRLSKVESELLKD >ONIVA03G27560.3 pep chromosome:AWHD00000000:3:23111497:23113070:-1 gene:ONIVA03G27560 transcript:ONIVA03G27560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLPVPHENFYGPGDIILSSNSVPKHYPWMDNTIVYKRIDIALQNHTGGWVKRFRFELQFFHELSAHHINHWLDAAIPGIEELTLELPRDDKMKYRFPCKLLFEKGCSIQSLCLYSCAFRPDLGACSFRNLKRAYFSLVHITTEELWTFLYNSLSLEHLELGFCHEIDCLKIPCTLQLLNFLRVRRCNLLQLIMSDAPNLSTFYYEGPLIQLSLGDSLQLKHVNISIYPWFNLFEYARKELPTVAPNVETLFLMSANEVGYFYPLIVQPHGRFLHLKYLELAIVGPRDYGFGYQYAYLVTFLRASPVLETFILHVEESAKEPYPLPPEHQACDSNRFLPQELVELIFYILENATSLQCLTLDNRIRGFGKDLVACITQDTGTRDYQEWWNNFGVKERILHFFRREFYPKSDWEAYCSYVAIRKYIIERVPSSVELKIPVVPSDEIISRLSKVESELLKD >ONIVA03G27550.1 pep chromosome:AWHD00000000:3:23097906:23099387:1 gene:ONIVA03G27550 transcript:ONIVA03G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHRKLIHLLRAEQAAAAASAASASFSPKSFSSSSASDDDGCSSSSWQTNDGAGGYGSAASSPSRCSASTPPKSPWAAHLPGLGGGGVGTGAGATGLVASLVKEDGHVYSLAAAGDVLYTGTDSENVRVWRDRRELAGFRTGSGLVKAIVVADDGRIFTGHQDGKVRVWRADAGDPAVHRRVGSLPRLADYVRSSVNPSSYVETPRRRRGRRREVWLRHSDAVSCLSLDEGAGLLYSASWDGSFKVWRVSDSRCLESVCAHDDAINTVAAAGFDGVVFTGSADGTVKVWRREEEPAASGGEAKTRHVLETVLREDESAVTAIAVSAEGRVVYVGSSDGDVTYWHWIDGEARYGGALRAHGTAVMCLAVAGNVVVSGSADRTLCAWRRGGGEHSRLAVLAGHTGPVKCVAVDEEETSSCSSDGERRFVVYSGSLDGSVKVWRISDIEPTNPPPRLPSPHVWKREDQPAAATAAAARAWSPYQTSEMNSVAAA >ONIVA03G27540.1 pep chromosome:AWHD00000000:3:23096844:23097153:-1 gene:ONIVA03G27540 transcript:ONIVA03G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGHRQGKGGLEAGRIEGDGEVLQLWIDLLDLAPTYVICLVTIELVNDTPLLLCVSRNSESFQFPLRKCCTGYQHFSSN >ONIVA03G27530.1 pep chromosome:AWHD00000000:3:23088053:23088547:1 gene:ONIVA03G27530 transcript:ONIVA03G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAVVAAIVTLLAVTAAVQAPGAALIPALKMAPLPAPPTRSLATAPMPVDTSPTAASPSPMVPPPTPPTDALDANAPSTLAPSVVTSTASAPTGAPVSSSTFTTTDAPIVGMEEEMGKKKEGQWRKIELTCGSHVQEADKKRDGVARFEFSKFPVARSRYAK >ONIVA03G27520.1 pep chromosome:AWHD00000000:3:23088037:23088384:-1 gene:ONIVA03G27520 transcript:ONIVA03G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVVVNVEELTGAPVGAEAVEVTTDGARVEGALASRASVGGVGGGTMGEGDAAVGEVSTGMGAVARDLVGGAGSGAILSAGMRAAPGACTAAVTARRVTMAATTAKRAIRATY >ONIVA03G27510.1 pep chromosome:AWHD00000000:3:23074026:23079811:1 gene:ONIVA03G27510 transcript:ONIVA03G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPLAALLRRLSSHPFDSPAIHANLVKSSSISSPIPATALLTAYANAGLPAVASRLFDEMPTRDAVAWNALLACLVCHARPCAAVAAFRDMATAGFTPTATTLCTMAKACATSRALRPGRQVHARSILACQGDVIMATTLVDLYMSCGLVEEALRLFMCTDCPKDVALYNAVISGCVENGRFREAFFILGRIELNGITLTCALTACSATANLMYGMQVHCKALRGGFTLETILCNALIDMYAKCGRTTAARMVFDRMACRNVVSWSSMIDAYSHHGHGEAALDLFKRMDETVPVVLPNAITFLAVLSACGQSGLVDEGRAMFHLMKRQYGINPGPEHYACFIDLLGRAGQIDEAWDLYCSFSTTRSELSGSICVAMLNACRANMDVVRGNKVALHLLEVDPENPGSHVLISNFHAAARQWFESDESRRIIIDKGLRKEAARKTGLAPVLW >ONIVA03G27510.2 pep chromosome:AWHD00000000:3:23074026:23078779:1 gene:ONIVA03G27510 transcript:ONIVA03G27510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPLAALLRRLSSHPFDSPAIHANLVKSSSISSPIPATALLTAYANAGLPAVASRLFDEMPTRDAVAWNALLACLVCHARPCAAVAAFRDMATAGFTPTATTLCTMAKACATSRALRPGRQVHARSILACQGDVIMATTLVDLYMSCGLVEEALRLFMCTDCPKDVALYNAVISGCVENGRFREAFFILGRIELNGITLTCALTACSATANLMYGMQVHCKALRGGFTLETILCNALIDMYAKCGRTTAARMVFDRMACRNVVSWSSMIDAYSHHGHGEAALDLFKRMDETVPVVLPNAITFLAVLSACGQSGLVDEGRAMFHLMKRQYGINPGPEHYACFIDLLGRAGQIDEAWDLYCSFSTTRSELSGSICVAMLNACRANMDVVRGNKVALHLLEVDPENPGSHVLISNFHAAARQWFESDESRRIIIDKGLRKEAARKTGLAPVLW >ONIVA03G27500.1 pep chromosome:AWHD00000000:3:23068077:23070027:1 gene:ONIVA03G27500 transcript:ONIVA03G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 58 [Source:Projected from Arabidopsis thaliana (AT3G18400) TAIR;Acc:AT3G18400] MEEGLPPGFRFHPTDEELVTYYLARKVSDFGFATRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATDSGYWKTTGKDKEIFHGGALAGMKKTLVFYRGRAPKGAKTSWVMHEYRLQSKFPYKPAKDEWVVCRVFKKLQCHLAKPRPPHDDVDGDGASPPEMVDASSLGELGELDVSSILLGGFAPPSAELCHGGGGGDGFGAHRLDVGAYMSWLQAAAAGNQGMFQWPAATQAGLVGGTVFAAAHKAAGTMPFGGGCSQQQARDVGVSLANVGGGDALFGGAPLAKVDMECGEQAPQLDMDDSTWRAF >ONIVA03G27490.1 pep chromosome:AWHD00000000:3:23055125:23055433:1 gene:ONIVA03G27490 transcript:ONIVA03G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLQQQQQPQSPPSLVGAWLCQISSGLRLREARRRGGHAEVDDEQSSPKNAAAAAGRNKAAREEANNKASSTAVTASCRAGAAMPEATVCLLLDRFAPS >ONIVA03G27480.1 pep chromosome:AWHD00000000:3:23045465:23046132:-1 gene:ONIVA03G27480 transcript:ONIVA03G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRGRWWAVGTDGGSQRLGGGRIRLRRHRDGRRGRQGMEGGGSLALPHAARAAGFSRRPAGLSLVLPCVDPAAGGTVATADNDKEEVAGSGILGPMTAGSTPPPPPLTMRRRSNAHARRPDPRCPSDEEDEEEAAESGAAGLAHTRAGRIHAGPTNDNDEEAAARSCAASPSCTRAGWIHAALADDDEEEAAAGQNGIASPLRMRVGRTRAATAGF >ONIVA03G27470.1 pep chromosome:AWHD00000000:3:23034810:23039259:1 gene:ONIVA03G27470 transcript:ONIVA03G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATAPDLSLHISPPSPPDMAAGGETMEQLAEPKLCLGFGTAAAAAAEQYNNGGCNLQQQQRLHQPSQIQRFKKSASGGSPVCSGGATGTGGVAAARSGNGGGGGKRSSRAPRMRWTTALHAHFVQAVELLGGHERATPKSVLELMNVKDLTLAHMYRTVKGTTDRTCAEGHGQMRDMGFLRRGGGGVDGFDVLGNTSSIAIANISFVWCGAIGFGRVRLQ >ONIVA03G27460.1 pep chromosome:AWHD00000000:3:23029941:23032017:1 gene:ONIVA03G27460 transcript:ONIVA03G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGRTNYIYNEIASLPIQAKSATFGHLDPLVTLSFLATPPLDLSHSTLRSQRWGALEAHEGSDSSWELWRPQLGAKSQPSSRWKIRHEGACHLTLMFKRGRRNERSSFCWMGSEQELNSNGISGKDENADSDSICPSQELGRWVLMF >ONIVA03G27450.1 pep chromosome:AWHD00000000:3:23000946:23002241:1 gene:ONIVA03G27450 transcript:ONIVA03G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAASHQPAASVMARVDRLDLVVGHLEEMHGGGGGGRRSSCGGSPSTTTTTVSSNESGSSSVASTPRGMSCRPAKEALEEARAKGSLVDRIASLETRVLKMEEEMEVTSSDVRNTGSDEKQQRSAAGNKKAEKRKRLKSLVKSCVRGKLNTND >ONIVA03G27440.1 pep chromosome:AWHD00000000:3:22991860:22994735:-1 gene:ONIVA03G27440 transcript:ONIVA03G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTTVSVRTRVGKLPGGRQLVLWLSAVVVSAAEEGYLTVVYKGDFPPGDPFQTVRVARKDTKKITAGAAAAAAATITDPAAAAARPSSNNVAAPAPLNAPRHGQEVVRAGASAPPPLCLEHPIASSAASEKITVGMAVSVRTRVGKLRGGRRQLVLWLSAVVVSAAEEGYLTVLYKGNFPPEDPFKTVRVAAREEAKRMAAPAAAIATSTTALPSGNNAAAPRPTTAGKSVAVLKRVFSEAF >ONIVA03G27430.1 pep chromosome:AWHD00000000:3:22986573:22989996:-1 gene:ONIVA03G27430 transcript:ONIVA03G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAASRSFLAAVRGRAASSAPRIRAAPLPSAPRRRVPSSAFSPFAAARPMSAMMGSPAAMAVRLTGHPSASVRACCELSQGTHFCRTCGDGNARGDAEHVEHGGVAEGVGAERDGEAVDGDGHERLEHLDEGDGEVDVCGVGEPERQRVEHANGHDGGGVEAGRHGRRRRLGDNAEDADEHHSEGGAKHHVDHGERYGEWPVVHRGIEDVLVVHDHRDAECDPHAHVRVGQKQRLEHRRRRRRRRRQR >ONIVA03G27430.2 pep chromosome:AWHD00000000:3:22989634:22989996:-1 gene:ONIVA03G27430 transcript:ONIVA03G27430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAASRSFLAAVRGRAASSAPRIRAAPLPSAPRRRVPSSAFSPFAAARPMSAMMGSPAAMAVRLTGHPSASVRACCELSQGTHFCRTCQDR >ONIVA03G27430.3 pep chromosome:AWHD00000000:3:22989634:22989996:-1 gene:ONIVA03G27430 transcript:ONIVA03G27430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAASRSFLAAVRGRAASSAPRIRAAPLPSAPRRRVPSSAFSPFAAARPMSAMMGSPAAMAVRLTGHPSASVRACCELSQGTHFCRTCQDR >ONIVA03G27420.1 pep chromosome:AWHD00000000:3:22986563:22987273:1 gene:ONIVA03G27420 transcript:ONIVA03G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSSLSSSPAPAPSVLKSLLLSYAYVSVWITFSISVIMYNKYILDPTMYNWPFPISLTMVHMVFCASLTVVLVRVLRVVAEPTSPPMTPSLYAASVVPIGVLYALSLWFSNSAYIYLSVSFIQMLKALMPVTVYCLAVAFRTDSFRHASMLNMLGISAGIAVAAYGEARFDVFGVILQLVAVTAKATRLVLIQILLTGATPPPAPTQADRDVEMGLLGGESSASRPAMKPQPGS >ONIVA03G27410.1 pep chromosome:AWHD00000000:3:22972780:22980231:-1 gene:ONIVA03G27410 transcript:ONIVA03G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVYARFREPLLFGGRMTVEMNRIGVGLIVIVQKLYSSSSHHSWTLLARVTTSYDPIRSDPNAKVYARKQQGASDLCITTEEEAPNLQSTRPCRSLPWLFPLLPLRPVTPAKVHPVPAIDVGEEVQYPIVETGEEVVEEMGSKAMASMRVVCTVIP >ONIVA03G27400.1 pep chromosome:AWHD00000000:3:22969910:22970386:-1 gene:ONIVA03G27400 transcript:ONIVA03G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIQRERDLDLDGSSKKLAVTTKRKVVARKRSSQRRSSPIPATLEKIMTGTTVSVRTRVGKLRGGRQLVLWLSAVVVSAAEEGYLTILYTGDFQPPEATMRVARKETKKMPPAAASPALADIASFAPTVCSKNAAAPCPTTAGKSVMVLKRVYPEAF >ONIVA03G27390.1 pep chromosome:AWHD00000000:3:22963808:22969310:-1 gene:ONIVA03G27390 transcript:ONIVA03G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGAASRCFLAAVRGRAASASRVRAAPAPLPSAPPRRVPPAAFSPFAAAAARPMAAAAMMGSPAAVAARLTGHPSASVRACCELSQDTLFATRSSKSKSRGHQCVQEETRAAAIARDLTLMKLFLWSVISRWWRWPLSPSTIGGVRRPFLPPCSAPAEFSALPNPWLPTKCGDAFSQPDGSKMSTSKEEETPLYLTSRQSPSSMPLPSTNSFQPG >ONIVA03G27390.2 pep chromosome:AWHD00000000:3:22963808:22969310:-1 gene:ONIVA03G27390 transcript:ONIVA03G27390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGAASRCFLAAVRGRAASASRVRAAPAPLPSAPPRRVPPAAFSPFAAAAARPMAAAAMMGSPAAVAARLTGHPSASVRACCELSQGTHFCRACQDRSSKSKSRGHQCVQEETRAAAIARDLTLMKLFLWSVISRWWRWPLSPSTIGGVRRPFLPPCSAPAEFSALPNPWLPTKCGDAFSQPDGSKMSTSKEEETPLYLTSRQSPSSMPLPSTNSFQPG >ONIVA03G27380.1 pep chromosome:AWHD00000000:3:22958832:22965453:1 gene:ONIVA03G27380 transcript:ONIVA03G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLKIGKNCSVCKEWQEHCYWSHMADDCKHFLTYMVGDFTESMIVPSRFANNFNGHISEVVNLKSPSGKTWSIGVANSDTGELVLRSGWKEFVDANGVQENDCLLFRYSGVSSFDVLIFDPSGCEKATPHFVENRGFGREEKSAGAEGGGRDGDKNGHHQHQLEMTPHKNSSSPCIIEMKWGRNQSRLCIIDMYAAMGIIVVTTNIGTYMHERACLAEQDHREEKKEGDDEDEDEDKDGENRYYFCRHGGRVTEYNLSKGDKEEISRVPVPVEPGNPVLVKVIHASHLLSSRYSTVGVSPEFAGRYLGPAMAREVVMERGGGGGGGDQWHVRFVRRESSRGFHGTGWRRFARDNGLLAHDVCLFELRLVDGAGAGDRLRRRPRPTMAVHVLRRARTAAFARSGKNTATGAIWPTTTVPARFANNFNGHISEEVNLRSPSGETWSIVVANSDAGELVLQPGWKEFVDGNGIEEGDCLLVRYSGVSSSFDVLIFDPSGCEKASPHFVGSHGFGRAENSAGAEQGGRNGRRTPPIVDGDNGHRHHLEMTLHRNSCRSIPRACKRSLFSDETEAKENDGEDEDEDVVAAAEGGRYGEYYFSRHGRVAEYNLRKEDREEISRVPVPVQPGNPVFVQVIHSSHVRSSKYCIVGVSPEFAGKYLGAVEREVVLERASRGGEWHVLFVHRQNTRGFYGAGWRQFAGDNRLVAHDVCLFELTMVDAAAGGSGNRRRRWSRRPTMTVHVLRRVRGRFVLLR >ONIVA03G27370.1 pep chromosome:AWHD00000000:3:22951138:22952329:1 gene:ONIVA03G27370 transcript:ONIVA03G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRPLPCVGLVRCGRNRACGAAAARARAQRGGSGRGRAGARRRRRREPAGLREAAAAKGVGAARVGRVEGRRAAAY >ONIVA03G27360.1 pep chromosome:AWHD00000000:3:22945242:22946488:1 gene:ONIVA03G27360 transcript:ONIVA03G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSYDIAMRNSQDEKKKRKQRDISRQGTVKPSEEGLKAELVPGCILPSRTDLTRLQKNILIEKVKAINSETPIYGYVMNNSSIHGIPCTVEISKKYADVYLPFEDGTVVLQHHGKSWNVRCCLTKQNSKRFLKGWRQFAGDNKLHLGDICLFDLLKDKKKYVMDVHIIRRK >ONIVA03G27350.1 pep chromosome:AWHD00000000:3:22936402:22937083:-1 gene:ONIVA03G27350 transcript:ONIVA03G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGAHGDVTNLTHAEVVRKRARNWYASLTQEQKDERNKKDRERRKRKKEESQVLNKSATNSGVAPLGKLSNISAADLMTCQLEVNDSSTLHKERSDASHLNITPRRLPFTIINNVAHYGPNEVPMSCVIQTTQNRDVKLRNATLTPEQKQAKVDR >ONIVA03G27330.1 pep chromosome:AWHD00000000:3:22927366:22927760:-1 gene:ONIVA03G27330 transcript:ONIVA03G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGDGFGFGPFQAGPTPTRSVGKWRAPSFIREYGQLMRLPPCISLDEALRLHTPPARRTQMTAAGEGDRRKKKLWRRRRKVASFLDFSPLR >ONIVA03G27320.1 pep chromosome:AWHD00000000:3:22916774:22927021:1 gene:ONIVA03G27320 transcript:ONIVA03G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGCERCRGRGFWDTDDQDTYFFKVMIGGFRRQMTIPYKFAENFRDQIQGTIKLKARNGNTCSVLVDKCSNKLVLTKGWAEFANSHDIKMGDFLVFRYTGNSQFEVKIFDPSGCVKAASHNAVNIGQHAQNMQGDPIEILSCSDEHLRAQSLTTERQNQPEKDVIDNCNKKMKTEHASSSEDDQETPTAEVHRMKVEEMVRAIHSNHPVFVAVMKKSNVTRQPCYVAISRKYANEYFPGGDQMLTLQRHGKRWQVKFCISKRKLRMLSKGWRKFTRDNELQVGDTCLFELLKNENPCGQKMRKLNTRSTARDDQEKYFFKVMIGDFHKRMTIPDKFARHFKGVISKTIKLEPRNGYTFDVQVTKKLNILVLGSGWESFVNAHDLNMGDFLVFKYNGDFLLQVLIFDPSGCEKSTSCSMENAIDHVGQGWKEHNDISTSYHDQPKGNKHWMQKDSSSKGNKIGNTRSSNTPSNFSGCILPRGTCLPVVQEKKMKEKIQAIHSKTPMYGNVMTKCNVSGSPCVLEITQLYDDAYLPFNNGQELMLRHRDKSWKVRFYRFNNKSRKLTQGWKRFVHDNYLRMGDLCLFEILKNKYTMNMRRPGARCREGHAHFNGNHIDGQYKNFFKVMIGRFRERMIIPNEFLQYFRGKIPRTIKLQLRDGCTYDVQVTKNLGKISLQSGWKAFVTAHDLQMGDFLVFSYDGISKLKVLIFGPSGCEKVHSRPTLKNATHCGEKWEEPLHISSNSHDLPVKSPQNVSKSEKQWDSSEQENDTANIEEVALQGDDLQGHPVLNCILPKHTRLTDMQKQQLESKVGAIHSEIPIYGCILRKSRVHGKSQTVDICREYADVYLPFKELNMTLQRHGKNWEVLCRTKDTRTKRLSTGWSRFAQENNLQVGDICLFELLKKKEYSMNVHIIPKK >ONIVA03G27320.2 pep chromosome:AWHD00000000:3:22916774:22927021:1 gene:ONIVA03G27320 transcript:ONIVA03G27320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGCERCRGRGFWDTDDQDTYFFKVMIGGFRRQMTIPYKFAENFRDQIQGTIKLKARNGNTCSVLVDKCSNKLVLTKGWAEFANSHDIKMGDFLVFRYTGNSQFEVKIFDPSGCVKAASHNAVNIGQHAQNMQGDPIEILSCSDEHLRAQSLTTERQNQPEKDVIDNCNKKMKTEHASSSEDDQETPTAEVHRMKVEEMVRAIHSNHPVFVAVMKKSNVTRQPCYVAISRKYANEYFPGGDQMLTLQRHGKRWQVKFCISKRKLRMLSKGWRKFTRDNELQKMRKLNTRSTARDDQEKYFFKVMIGDFHKRMTIPDKFARHFKGVISKTIKLEPRNGYTFDVQVTKKLNILVLGSGWESFVNAHDLNMGDFLVFKYNGDFLLQVLIFDPSGCEKSTSCSMENAIDHVGQGWKEHNDISTSYHDQPKGNKHWMQKDSSSKGNKIGNTRSSNTPSNFSGCILPRGTCLPVVQEKKMKEKIQAIHSKTPMYGNVMTKCNVSGSPCVLEITQLYDDAYLPFNNGQELMLRHRDKSWKVRFYRFNNKSRKLTQGWKRFVHDNYLRMGDLCLFEILKNKYTMNMRRPGARCREGHAHFNGNHIDGQYKNFFKVMIGRFRERMIIPNEFLQYFRGKIPRTIKLQLRDGCTYDVQVTKNLGKISLQSGWKAFVTAHDLQMGDFLVFSYDGISKLKVLIFGPSGCEKVHSRPTLKNATHCGEKWEEPLHISSNSHDLPVKSPQNVSKSEKQWDSSEQENDTANIEEVALQGDDLQGHPVLNCILPKHTRLTDMQKQQLESKVGAIHSEIPIYGCILRKSRVHGKSQTVDICREYADVYLPFKELNMTLQRHGKNWEVLCRTKDTRTKRLSTGWSRFAQENNLQVGDICLFELLKKKEYSMNVHIIPKK >ONIVA03G27310.1 pep chromosome:AWHD00000000:3:22905432:22912647:1 gene:ONIVA03G27310 transcript:ONIVA03G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELPFPANIEVMRHTPRFKLMQAAFHGDLRGLKRQAKILDMGRGRLRKAVEDVRVEGVPGEEGTGVLHMAASHGHMEMCKYLVETLQVDVDDADDKGRTSLLKAVHSGHRGIAKYLLNHDANPDLAMCCGLTPLHSAAGLGDCESVKLLLAKGAYVDPMSTFGTPLHLAAKEGQDGTMKILLDNNADCNKMVNGITPLLLAMKAASAKCMELLVEAGADATYSDVIWNYMSTTFMDDEDSGSSVFSDSEPEEIDANHHIPVNDKPVNRRKIAEFKSLGLEAVEKKDYLSAAGFYSEAMDLDPDDATLLSNRSLCWLYLGEGGKALVDAHECRKMRPDWPKACYRQGAALMLLKDYVSACEALFDGFKLDPEDVEIENALWEALEFLKVSQSTSAN >ONIVA03G27310.2 pep chromosome:AWHD00000000:3:22905432:22912647:1 gene:ONIVA03G27310 transcript:ONIVA03G27310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELPFPANIEVMRHTPRFKLMQAAFHGDLRGLKRQAKILDMGRGRLRKAVEDVRVEGVPGEEGTGVLHMAASHGHMEMCKYLVETLQVDVDDADDKGRTSLLKAVHSGHRGIAKYLLNHDANPDLAMCCGLTPLHSAAGLGDCESVKLLLAKGAYVDPMSTFGTPLHLAAKEGQDGTMKILLDNNADCNKMVNGITPLLLAMKAASAKCMELLVEAGADATYSDVIWNYMSTTFMDDEDSGSSVFSDSEPEEIDANHHIPVNDKPVNRRKIAEFKSLGLEAVEKKDYLSAAGFYSEAMDLDPDDATLLSNRSLCWLYLGEGGKALVDAHECRKMRPDWPKACYRQGAALMLLKDYVSACEALFDGFKLDPEDVEIENALWEALEFLKVSQSTSAN >ONIVA03G27310.3 pep chromosome:AWHD00000000:3:22905432:22912647:1 gene:ONIVA03G27310 transcript:ONIVA03G27310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELPFPANIEVMRHTPRFKLMQAAFHGDLRGLKRQAKILDMGRGRLRKAVEDVRVEGVPGEEGTGVLHMAASHGHMEMCKYLVETLQVDVDDADDKGRTSLLKAVHSGHRGIAKYLLNHDANPDLAMCCGLTPLHSAAGLGDCESVKLLLAKGAYVDPMSTFGTPLHLAAKEGQDGTMKILLDNNADCNKMVNGITPLLLAMKAASAKCMELLVEAGADATYSDVIWNYMSTTFMDDEDSGSSVFSDSEPEEIDANHHIPVNDKPVNRRKIAEFKSLGLEAVEKKDYLSAAGFYSEAMDLDPDDATLLSNRSLCWLYLGEGGKALVDAHECRKMRPDWPKACYRQGAALMLLKDYVSACEALFDGFKLDPEDVEIENALCGCSGSCFTFPQGSFGIESLNWFPFVS >ONIVA03G27300.1 pep chromosome:AWHD00000000:3:22904198:22905081:-1 gene:ONIVA03G27300 transcript:ONIVA03G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQKKKGLPSGHSPPPQQQAAVEALVVDITARVGDGSGSDGEVLVRDVVHDALFLVAAWFCFGERDVRDLQRVLREFELDVVVEGFGGSMLANLVHWWRLRRFIASGRRQAEVFLPLISQRRRTQHRGEHKFRPYVDSLLDLRVPVGDNAAAGEGKEEHRLSHCALTDDEMVGLWHGVRRVEHLSLPSGGVRVHFILGDIGRDGKAWTDPDEFRPDRFMASGEAEGVSPLPRPKEVRMMPFGAGRRYCPSMGLGVAHACLLVAALVREFEWAAAATRGVELMEGER >ONIVA03G27290.1 pep chromosome:AWHD00000000:3:22895053:22897021:-1 gene:ONIVA03G27290 transcript:ONIVA03G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRKKQLDSIVRMLHLNQQLQGSPDGVGGGVGSAEEEEAYKILVMDSPCVALLAPVLRVGELRRHGVTLHLNIDKARQQVPDAPAVYLLRPTAANVDRVAADAAAGLYASFHLNFSTCVPRALLERLASATAASRSAHRVARVADQYLDFVCLEEGLFSLAQPRAYVALNDPAAAEADITALVDAIALGLFCVVATLGAVPVIRCARGGPAEMVAAALDARLRDHLIAKPNLFTEAASTAVASFQRPLLCLFDRNFELSVGIQHDWSYRPLVHDVLGLKSNKLKLPEKYDLDDTDPFWVANSWLQFPKVAEEIEAQLAKYKQDVDEVNQRTGGGRDGVEFDGTDLIGNTRHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKGRSLDGYFECENSMLVDGTLDRTKLMNLLRGNGTKEDKLRLAVTYLLSFETPVPSDLEQVEAALRESEVDMSAFQYVKRIKSLNSQFAGASNTASKVNIVDWAEKLYGHSISAMTGVRNLLSDGKQLAVTRAVEALMEGKPNPEVDNYLLFDPRAPKSGTAGQFRGPFREAIVFMIGGGNYIEYRSLTELTQRSQTTKQVIYGATEILNGVEFIQQLSELGQKAGLGGVSSSLPPQ >ONIVA03G27280.1 pep chromosome:AWHD00000000:3:22879625:22880167:-1 gene:ONIVA03G27280 transcript:ONIVA03G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGEGLARLGYAIDRAWEKGKRGLGTEGPGTVKAMPWKKLSGDFWKLRKVLFGNFRK >ONIVA03G27270.1 pep chromosome:AWHD00000000:3:22873095:22888711:1 gene:ONIVA03G27270 transcript:ONIVA03G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGPTYSPVFPFLLTSFFFPSYCHSPGRRWRRSGRQRAGEAVGGGRSYLAAQEGAETGLNELARPRGRFTCMAGQGSQKKKSCDWSKRYVDHLNGKMKCFHLQMSANFGHSMTIPNKFLDHFGGTLSRTIELVSPKGIVYIVKVTEHMNKTILQCGWEAFVDAHHIEENDSLLFRHIENSRFEVLILDSDGCEKVFTCAGIKKTSSVQERNAAPVDISRSTHDETTQSSGSKKIVRCQRASDSQRGKTAKLAETSSSGESGEEGTDSSTSEDESSYELDDPQMPPGRNYVLSRWTSLSEAQEEKVDMLVQDIQPEIPVFVAIMKHSNVNSRRACLVIPKRYASAHFPLESQTITLQRQGKNKKWYPMFYIRKDGSGYMLYGCWKKFVRDNHVKEGDMCIFHLTKFTGGEFGATVHLLRETKSGSLGSFHTSHKRFDLRDGRTWPKVTGVRRVSSRPYLTADRVSLTEEQVRKVEEVVHSIQSEGPMYVSIMNKSNVGTDGLYIIIFGRQFATRYLPEGEQTLTLLMTGKSAWQVKMRPRSGDAKMITTGWRHFVHDNHLQIEDICLFQLMNDEIHMAGQGSQMKKYCDCCKRYVDHSNGKMKCFHRQMSANFEHSMIIPNKFLNQFGGKISRTVELESPKGNVYVVKVSKHMNKAVLQCGWEAFVDAHQIEENDSLLFRHIENSRFAVLILDSDGCEKVFSCSGKRRASGVQQRNADPIDVSSSTHDDTAQSSGGERFARSESGSETAKLAATCSSGGSGEEAKESSSSEHESSYDLVDPQIAPMPGYVLSRGTNLSQAHEEKLDMLVQEIRPEIPLYVTTMKHSNVNSHHASLVIAKHYACAYFPHTSQTITLKWHGKNRKWHPKFYIRKDQVGYILHGRWIDFVCHNHVKEGDICIFHLKNFNGRKFRATVHLLRETIPHSFGALHIPKRFESRNGRMRLKMTDDRRVSSTECRRGTMEPSTTNVKKEADNEQCNNGQGKRQEPLNFDVSVGSSKPYLTADRVSLTEEQFMKVEENVHSIQSEGPIYVSIMNKSNVGTDGLYIITLGRQFATRYLPEGEQTLTLLTTGTGKAWQVKMRPRSGDARMFTLGWRDFVRDNLLQTEDICLFQLMKNSERGLAMKVHIIRHNERS >ONIVA03G27270.2 pep chromosome:AWHD00000000:3:22873095:22888209:1 gene:ONIVA03G27270 transcript:ONIVA03G27270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGPTYSPVFPFLLTSFFFPSYCHSPGRRWRRSGRQRAGEAVGGGRSYLAAQEGAETGLNELARPRGRFTCMAGQGSQKKKSCDWSKRYVDHLNGKMKCFHLQMSANFGHSMTIPNKFLDHFGGTLSRTIELVSPKGIVYIVKVTEHMNKTILQCGWEAFVDAHHIEENDSLLFRHIENSRFEVLILDSDGCEKVFTCAGIKKTSSVQERNAAPVDISRSTHDETTQSSGSKKIVRCQRASDSQRGKTAKLAETSSSGESGEEGTDSSTSEDESSYELDDPQMPPGRNYVLSRWTSLSEAQEEKVDMLVQDIQPEIPVFVAIMKHSNVNSRRACLVIPKRYASAHFPLESQTITLQRQGKNKKWYPMFYIRKDGSGYMLYGCWKKFVRDNHVKEGDMCIFHLTKFTGGEFGATVHLLRETKSGSLGSFHTSHKRFDLRDGRTWPKVTGVRRVSSRPYLTADRVSLTEEQVRKVEEVVHSIQSEGPMYVSIMNKSNVGTDGLYIIVKMRPRSGDARMFTLGWRDFVRDNLLQTEDICLFQLMKNSERGLAMKVHIIRHNERS >ONIVA03G27260.1 pep chromosome:AWHD00000000:3:22843097:22860700:1 gene:ONIVA03G27260 transcript:ONIVA03G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSCMKKSCVCCQKYLEHLDGKMNCFVRRMTADSRRSMIMPCKFVNHFGGDFSGTIKLQSPNGILYAVEVTKCKNKTVLRCGWEAFVDAHHIEENDSLLFRRVENSRFEVLIFDSDDCEKNHQRVKDLPEIKREASAIVEKQQTWHLLLRIQAREDSPSEHESVESGDLETSQEPYVLSRRSYLSEFQKEKVDALIQEIQPETTAFVAIMRKSNVQLPTPFLVISFCYAEVHFPHKSVTVTLQRPCKSKKWHPRFYKRKDARMNILRGSWVEFVKDNRVQEQDICVFVPTKDARRNFTFTVHLLRVAAAYSRGGTGVDRAGSSLGRTDVKSASEISIKEEPIDQEENVSSRNRYGVSDESEEDEDSEGPAHPPYIVPCKSRLSRLQKKIVEEKVRSIQSKFPVYVAIMKKSNVERSASRCQLELGARFAAAVHLPDRRQTVVLQRRGERWATVMQIRSGTRRLLISGWHRFVRDNRLRVGDICLFEFKTHERWRLTMAVHAIFREHSRVALPRGGSGGDDPAAPLPHPYPLLRSASPSPERPPAKPRGHKDGSGGVLLVSSRSGGLGSRPEWRRRCLPDPRRSGRIWRDGLAARTGDGGGRGELRGVVLWVMAAGMATVLGWRWWRPRIRRWRTRIRRRRTRIRRRRGGQRWPEHASTPRLPLASSGAPLDIGRRWWRGRRPDLAPCPDPARPRVGTGWLESGRRAGGVNIAGNTHRKKSCACCKEYLEHLGGKMRCFLRRMAADSMHSMIMPDRFVSHFGGKIPGTIKLESPNGILYVVEVTECMNKTLLQCGWEAFVDAHNIKEGESLLFRHIENSRYEVLILDSDDCEKVFSCAGIRNGSCVQDKTVDPVDSSGSSSNDTTQSSRSRNTENLTAMCSSSEKSGEDSPSGYEFHESVEPQTPSGSDYVLSRRTYLSEAQKERVVAHIQDIQPEITVFVAVMKKCNLQSPAPYLVISSRYASVHFPRETATITLQRPSKRKKWYPRFYKRIDKSDHMLRGQWQNFVHDNCLQEEDICLFVPTKGGRNFAFTVHLLQAEATHSRDGTDVHKIGSSQNKRNSKMASQVHIKEAPGGDVSSESNKHGVSHESLESEDSDGPSEPPYISSMRRRLSQLQKKTVEEKVRAIQSEIPICVATISKLAGSGGKGKFRGLELSSRYAASYLPDKNHQTLVLQCKGMIWQINLVVRRRYTKGKRWFLTAGWRKFAHDNRLRVGDFCLFELKKKKKLTMEVHIISNLQLHMAGGGSRMKKSCACCKRYLEHLGGKMSCFLIRMTTDSMHSMIIPDRFVNHFGGKIPGTIKLESPNGILYVVEVTECMNKTVLQCGWEAFVDAHHIKVGDSLLFRHIENSCFEVMILDSDGCERVFSCAGIKTSSCVHDKTVDPVDTSGRSSDDTAQPSRSERFVRCQRDTSNDRRNTASLTVVSSSSEESENVSLKSNRNGVSDESQESEDSEGPAGPPYILSWKSKRRLSSLQKKIIKEKVRSIQSEVPIYVAIMNKSNIGLISSPCQLELGARYAAAVHLPDRRQAVVLQRMGQRWDTVMQTKSGRCTTRRFLINGWSRFVRDNRLCVGDICLLELKKHESKLTMTVHTIFSQQS >ONIVA03G27250.1 pep chromosome:AWHD00000000:3:22835082:22840820:1 gene:ONIVA03G27250 transcript:ONIVA03G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKDDAVEEKGERARMAAFIGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGYRMAVECARNALLERTMDNKENIDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCNVIEEIMIGEDKLIHFSGVAMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKAVDDLARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENCTAGIDVITGSVGDMQKRGISEAFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >ONIVA03G27250.2 pep chromosome:AWHD00000000:3:22835428:22840820:1 gene:ONIVA03G27250 transcript:ONIVA03G27250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLKDDAVEEKGERARMAAFIGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGYRMAVECARNALLERTMDNKENIDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCNVIEEIMIGEDKLIHFSGVAMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKAVDDLARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENCTAGIDVITGSVGDMQKRGISEAFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >ONIVA03G27240.1 pep chromosome:AWHD00000000:3:22834207:22834584:1 gene:ONIVA03G27240 transcript:ONIVA03G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGEAKDKHITATREAIIFVVESPETRTLDVGPSAVPVSHIGDSSMVDEGSRGEVAQRQVRSEHDLQHRHIPLEERMEWDLLAGEGIHDLGSLTIVEGEPTIAGERIVASLWWRAMEIREDTH >ONIVA03G27230.1 pep chromosome:AWHD00000000:3:22824461:22827916:-1 gene:ONIVA03G27230 transcript:ONIVA03G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQVMEAALQQLPASMASGSLLLPPACIQHPLPAAAAASGGVGGSSREQCPRCASHDTKFCYYNNYNTSQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRPRPPVRRPPVHFAAAAAPPHHHHHHHGGPLTPPPATSSSSQQAGLLGSLFALGAAPLLEGRVGVGFDLGLGLPGPGHHHAVAGGGGPAAAVATSSSSSAAAPLLWPTGLLDSSSNNAETWRMAAGGMWPEFTAAAAQNIRLVIDIGDTTIQVPLNGPTVVQNIGRQAAAAVAGDSSAGGVSEKTGGAGGGGGEEWMQEQDGLLCMRGRRCGRRGGCLPRPRDWFAALLAADPAAAAVTRDQAGKAMLYLIVNTCTFATSLAVLPDAVRRRRRLRVEEGHREADHQHDDGRRAVRRGDVRALRRRRLPAHGPFVGTVVAAVTVVVVRCNLALPFRGGDAGHGCSWVSRL >ONIVA03G27220.1 pep chromosome:AWHD00000000:3:22816613:22818349:-1 gene:ONIVA03G27220 transcript:ONIVA03G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRNHGDASSLARGRRGGAWRARRREARSTAREAGDPAPRWPDPVLPRPDLATRRRAMAPSWARSAAAEGRWQRQQRRSEGRTATTAAEGGPCFDDDSGARATLRWRRRGSRAVLRRRRWREGRVPAREGLPPVGGVLLPLSARPGGVRGEELWGGGVGVASRWGATLLGNDNICSFSLGMLVLPVQAAAAVAVMARDMSASGVSEIESRRWLMPDGDDHSVRRHGVPGGAAAAGVVQAGPALKPI >ONIVA03G27210.1 pep chromosome:AWHD00000000:3:22803293:22805026:-1 gene:ONIVA03G27210 transcript:ONIVA03G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSMDQAFVQAPEHRPKASVAEADGIPVIDLSPLLAAGDGDADGVDALAAEVGRASRDWGFFVVVRHGVPAEAVARAAEAQRAFFALPPERRAAVARSEAAPMGYYASEHTKNVRDWKEVFDLVPRQTPPPPTTAVADGDLVFDNKWPDDLPGFREAMEEYGEAVEELAFKLLELIARSLGLRPDRLHGFFKDDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTVLYQDDVGGLDVRRRSDGEWVRVRPVPHSFIINVGDIIQVWSNDRYESAEHRVAVNVEKERFSIPFFFNPAGHTMVEPLEEVVSDESPARYNPYNWGEFFSTRKNSNFKKLDVENVQITHFRKN >ONIVA03G27200.1 pep chromosome:AWHD00000000:3:22798508:22799567:1 gene:ONIVA03G27200 transcript:ONIVA03G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLTFSPPDHELITKFLRPKIADDTIKLPFIHDADVYSAAPPDLAARHVPARGTDRGDGAGAVYYYFFCPVHRGGGGVGARRRQRAAVLDAGGRRVGHLRRLSYGVRERGSGRRLTRLGWCMTEFGVDHGGGGGGEADAGGLVLCKMYRSPRAAQVEARLQAAAASTSGSKRKQAADDLIHAPASSRHRHADVMPAGVDGDEVGSIHPSVQFPPPPEEQTLVQTRDGPRTDHEVIMALAMGATVDELLGPKHGEPGESSPFPAPAAEPCSISGGGDIFWTASGVVPCPDMAMAFPAPPAGEFSWDKELAWIRELLSGSRPSSCSAV >ONIVA03G27190.1 pep chromosome:AWHD00000000:3:22788670:22795091:-1 gene:ONIVA03G27190 transcript:ONIVA03G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTALGGRAPARLGLAPKDGVFGSNLKQCGGFMLKTTPKVGSSSVRVRASVASSPQKQHSPKTSGVKSGEEVRIAVLGASGYTGAEIVRLLANHPQFRIKVMTADRKAGEQFGSVFPHLITQDLPNLVAVKDADFSNVDAVFCCLPHGTTQEIIKGLPQELKIVDLSADFRLRDINEYAEWYGHSHRAPELQQEAVYGLTEVLRNEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKVSNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGIKGHRHVPEIEQGLSEAAESKVTISFTPNLICMKRGMQSTMFVEMAPGVTANDLYQHLKSTYEGEEFVKLLNGSSVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLW >ONIVA03G27180.1 pep chromosome:AWHD00000000:3:22786339:22787737:-1 gene:ONIVA03G27180 transcript:ONIVA03G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVIAEAGWSSLDMSSQAEESEMMAQLLGTCFPSNGEDDHHQELPWSVDTPSAYYLHCNGGSSSAYSSTTSSNSASGSFTLIAPRSEYEGYYVSDFNEAALGISIQEQGAAQFMDAILNRNGDPGFDDLADSSVNLLDSIGASNKRKIQEQGRLDDQTKSRKSAKKAGSKRGKKAAQCEGEDGSIAVTNRQSLSCCTSENDSIGSQESPVAAKSNGKAQSGHRSATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAMHYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGIDLNLSQH >ONIVA03G27170.1 pep chromosome:AWHD00000000:3:22777478:22777819:1 gene:ONIVA03G27170 transcript:ONIVA03G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTDDLSGEVDRRTGYSCGGNGHEQGSASIVVFLDHDDGQKDRCQKPDDLSAAAAAPAAMVESLFAVPLGRRSRSDIRRRVLLAEDDKEEEVEEDDDDADAKESDGSAILAR >ONIVA03G27160.1 pep chromosome:AWHD00000000:3:22770101:22770361:1 gene:ONIVA03G27160 transcript:ONIVA03G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTTTGVDPFLADLSRSPHPGHRALPPVPGKDEDGGSCNRGRRPEQSGTMVAATAEDGAAAGVIAARGHSGGTAAGNGLMNKVA >ONIVA03G27150.1 pep chromosome:AWHD00000000:3:22763724:22765200:-1 gene:ONIVA03G27150 transcript:ONIVA03G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDEYSAGCSFSLMCQEDSTDLDDDGGGGGCFAGDGRADLLLVYNAAAAAADEDEEEVEEYMDHLVSKESSFCSSSSSTSSSSCCFSDAGGESAAAAAPMDRFALARRATVKWILETRGCFGFCHRTAYLAIAYFDRFCLRRCIDRSVMPWAARLLAVACVSLAAKMEEYRAPALSEFRAGVGDDGYEFSCVCIRRMELLVLSTLDWRMAAVTPFDYLPCLSSRLRRHVGGGGGAGAAAALIFSAAEAASVLDHRPSTVAAAAVLAATHGALTREALESKMSGLSPSFLLDKEDVFACYSAMLSQPTSPASKSTTTTTGKRSSSSSCSESTDAASSYDATAASFPAAASCGSKRMRLELPGGILR >ONIVA03G27140.1 pep chromosome:AWHD00000000:3:22735223:22737074:1 gene:ONIVA03G27140 transcript:ONIVA03G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHHHPVSAKGRRERRGRGAAGSEERGRGRRPRAVGTAATSLLDPLPLRLYSGDLHLQIHKAMAAFVDDDFELATELYTQAIEASPATAELYADRA >ONIVA03G27130.1 pep chromosome:AWHD00000000:3:22731820:22733445:-1 gene:ONIVA03G27130 transcript:ONIVA03G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFILPKSKQDLEVYFFHENGRFDLRSTAWLAARGVQRAASAGSQTAREVTARPRLLLRLLISSHRKKSLGFGRCCSSPPHPPPPGVGAGSPPSPAPSVAPPQIRRLPAFSSTVKSSFSPLSPSSSSVFFLTPSPLRFSPSSSPITLPRLSCG >ONIVA03G27130.2 pep chromosome:AWHD00000000:3:22732052:22733401:-1 gene:ONIVA03G27130 transcript:ONIVA03G27130.2 gene_biotype:protein_coding transcript_biotype:protein_coding DLEVYFFHENGRFDLRSTAWLAARGVQRAASAGSQTAREVTARPRLLLRLLISSHRKKSLGFGRCCSSPPHPPPPGVGAGSPPSPAPSVAPPQIRRLPAFSSTVKSSFSPLSPSSSSVFFLTPSPLRFSPSSSPITLPRLSCGWYWRYKLVSCFLLCLWSSSLITRH >ONIVA03G27120.1 pep chromosome:AWHD00000000:3:22718689:22731770:-1 gene:ONIVA03G27120 transcript:ONIVA03G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAESILKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVNDRSLSLQLRLDIRNYVINYLATRGPKLQTFVIKSLIQLVCRITKFGWFDDDKFRDIVKEAADFLSLASQDHYFIGLKILYHLVGEMNQANAMPLTLHRKIACSFKDQFLLQIFQISLTSLHQLKSEVPDDFRRDPLSLALRCLSYDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYMERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQQLYARLSELLGLNDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRETFPFLEEYRCARSRTTFYYILGCLIFMEDTPVKFRSFMEPLLQVAVNLEATADAAFRTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLYADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSKYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSNHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQFLLLDLDVVCNLCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFDKLMTDVATNLEPKNRDKFTQNLTTFRHDFRVKNIQA >ONIVA03G27120.2 pep chromosome:AWHD00000000:3:22718689:22731770:-1 gene:ONIVA03G27120 transcript:ONIVA03G27120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAESILKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVNDRSLSLQLRLDIRNYVINYLATRGPKLQTFVIKSLIQLVCRITKFGWFDDDKFRDIVKEAADFLSLASQDHYFIGLKILYHLVGEMNQANAMPLTLHRKIACSFKDQFLLQIFQISLTSLHQLKSEVPDDFRRDPLSLALRCLSYDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYMERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQQLYARLSELLGLNDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRETFPFLEEYRCARSRTTFYYILGCLIFMEDTPVKFRSFMEPLLQVAVNLEATADAAFRTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLYADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSKYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSNHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFDKLMTDVATNLEPKNRDKFTQNLTTFRHDFRVKNIQA >ONIVA03G27110.1 pep chromosome:AWHD00000000:3:22703394:22710575:-1 gene:ONIVA03G27110 transcript:ONIVA03G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64960) TAIR;Acc:AT1G64960] MPPARRRRRTAAEVDGEAVPSSATDLLALAATLVPAAADAPLRDPPHLKHLVHSLPDSHPVLLSLPGALAPPLSDGGAPGYPPRAAAVLLHLLLTHPSHPPRWGDLLPPLARLHDRLAQLATDDPPLAALAVACFELAWRAAAPGREAVVAQTLPYLFAEALSCGSATARPVLRRLLALRDALALLDYDDDDSISDFKMLLLRCFVSPLFLKAEEGRKLLSLVLGVSEGLAREGLELIRAQVGMPGVKRAALVAYGEVVFRAWKDGGWVRGEVGEAFLQGMLEGAVHARSKELAKAARKLLSAFVEQRMVAGVEKLIFQLAEPVANSNVRHNSLHLLLDLFPLEDPDVTKDVNDPLLEKQYFLLDKLLMDDCPEIRTVAIEGLCRILNQFWEVIPSLTISKFLSKIVDDMSKDSCTEVRVSTINGLIYLLDNPQSHEILKVLLPRLSDMVSDPALLVRSSAVDLLLAIRDLRSFQFNKVVGLGTLLSSLSNDHPRVAQKITKLLIPSYFPTKLPLKEACARCIALIKRSPTAGARFCEFALSEGSPPRSLVELIKVSITLALAPTGMNSEQTDGLVIASANLIKSLSEERSSLASLREFFANAKLKLLFKTEISEGARSALLSMAPVVSPDDLSALHDECMNVVMNAAGVSMQQGCQEAVLAAHKLVFSSGWSDEMFEALTNILQSKVSCFAEIYDIEPPICPVATSKRKKGKSLKKAPAKSGHDIGNGSSSEDFDIVAGASWQINDILKDEEKRVAFLQSSYSDVAFSSLKVICQVYIEQCLQFDSLNATPLLAYLSLATHSALQDIDQTDISTSESTTINHSLDHLLNCFDKLLNESVTGSTNSSKLKQNKKSARQKHHHGVPEGNALRGTVNVYMLGTSILKFIVDTMTIKLISDNKVGCLNFALSFTKYASSAIKMHQEQSSSFKGNDLKDILMLIRSSFTYAAKLLHLVLANSIESQSPPEEAFFLANNLLDLVPSVESAAGSKFALSLVSVVKQWLPVVIMGLGCRWLIGPQAEGNMCDFGGSCLPLWVVALAKNELLDDEKPRDDDQSEQASEDSQSSRKLAEMMVILLKKGSPKILDSVAGVFLSTLKLALQRAEYGVVLGLTRFVCVRLLGSDSSASEKLHLAHDSLRENFFEIDKHVMDDLVDSEESRQQLECAKALIRSILSDV >ONIVA03G27100.1 pep chromosome:AWHD00000000:3:22691591:22697526:1 gene:ONIVA03G27100 transcript:ONIVA03G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQI2] MHTGVNGCCPLRLPAAAAVHGRRIPPLLPPRGAWPGCIAAPALHRKPGRGGGGALSICRRASHHEKLQVAALPSKATLEFEHGVSLRSAYIVPEDVQAAGFQIDADELASIVESRDTKKLTVHGQLNGIADKLGTSLTNGIVTDKDLLNQRQDIYGVNKFAETEIRSFWEFVWEALEDTTLIILSACAIFSLVVGITTEGWPQGAHDGVGIVASILLVVSVTGTSNYQQSLQFRDLDKEKRKILVQVTRNGLRQRVLIDDLLPGDAVHLAVGDQVPADGLFISGFSVLVDESSLTGESEPVFVNEDNPYLLSGTKMLDGSCKMLVTAVGMRTQWGKLMAVLTDGGDDETPLQTRLNGVANTIGKIGLFFAVLTFIVLSQGIIGQKYLDGLLLSWSGDDVLEILDHFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRQLAACETMGSATVICSDKTGTLTTNRMTVVKACICGNTIQVNNPQTPNMSSNFPEVAVETLLESIFNNTSGEVVTNQDGKYQILGTPTETALLEFALLLDGDCKEKQQGSKIVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEIVLAACDKFIDERGCIVPLDDKTSSKLNDIIKAFSSEALRTLCLAYREMEEGFSTQEQIPLQGYTCIGIVGIKDPVRPGVRKSVATCRSAGISVRMITGDNIDTAKAIARECGILTKDGIAIEGAEFREKSAEELHDLIPKMQVLARSSPLDKHTLVKHLRTAFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFTSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNNNLMKKAPVGRKGKFITNVMWRNIVGQSLYQFAVMWYLQTQGKHLFGLEGYHADIVLNTIIFNTFVFCQVFNEISSREMEDINVLRGMAGNSIFLGVLTGTIFFQFILVQFLGDFANTTPLTQQQWLISILFGFLGMPIAAAIKLIAVEPHEKADTRRTP >ONIVA03G27100.2 pep chromosome:AWHD00000000:3:22691964:22697526:1 gene:ONIVA03G27100 transcript:ONIVA03G27100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQI2] MLDGSCKMLVTAVGMRTQWGKLMAVLTDGGDDETPLQTRLNGVANTIGKIGLFFAVLTFIVLSQGIIGQKYLDGLLLSWSGDDVLEILDHFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRQLAACETMGSATVICSDKTGTLTTNRMTVVKACICGNTIQVNNPQTPNMSSNFPEVAVETLLESIFNNTSGEVVTNQDGKYQILGTPTETALLEFALLLDGDCKEKQQGSKIVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEIVLAACDKFIDERGCIVPLDDKTSSKLNDIIKAFSSEALRTLCLAYREMEEGFSTQEQIPLQGYTCIGIVGIKDPVRPGVRKSVATCRSAGISVRMITGDNIDTAKAIARECGILTKDGIAIEGAEFREKSAEELHDLIPKMQVLARSSPLDKHTLVKHLRTAFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFTSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNNNLMKKAPVGRKGKFITNVMWRNIVGQSLYQFAVMWYLQTQGKHLFGLEGYHADIVLNTIIFNTFVFCQVFNEISSREMEDINVLRGMAGNSIFLGVLTGTIFFQFILVQFLGDFANTTPLTQQQWLISILFGFLGMPIAAAIKLIAVEPHEKADTRRTP >ONIVA03G27090.1 pep chromosome:AWHD00000000:3:22685026:22690970:1 gene:ONIVA03G27090 transcript:ONIVA03G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49980) TAIR;Acc:AT1G49980] MAGTPPDGGGDRPWESYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEAITKQKIDHLRAQCAKLTDNDISHFQKVAEHKILELEASRDLSKIWLHTDMDAFYATVEILENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPELVFVRPNFERYTYYSELTRKVFQRYDPNFVATSLDEAYLNITKVCFDRGITGEEVATELRGAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDQEAVTTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCQEMLQKASFLCALFSESSADFFISVGLGLGGTETPEQRLRKSISCERTFRATDDCSMLFEKLDSLADNLADDMQKECLKGRTLTLKLKTAAFEVRTRAVTTQNYINSKEDILIYARKLLKAELPLSLRLMGLRMSQLRDEKDDSSTQTQKTLDRFFRTPDNSNVIGANSPSIEGRSSDNYNNDAASSNPLMCDGVGGKELDDDSSSSKGTHTVKFDGQLTSSNATTSSSKPDQLFWINGYICSICGFELPPGFEEERQEHSDYHLAEMLQQEEAVDSTGPLSKERLAERPCSSTPTPKKKLKSSKEGKHIPIDAFFHKVNKNL >ONIVA03G27090.2 pep chromosome:AWHD00000000:3:22685026:22690970:1 gene:ONIVA03G27090 transcript:ONIVA03G27090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49980) TAIR;Acc:AT1G49980] MAGTPPDGGGDRPWESYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEAITKQKIDHLRAQCAKLTDNDISHFQKVAEHKILELEASRDLSKIWLHTDMDAFYATVEILENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPELVFVRPNFERYTYYSELTRKVFQRYDPNFVATSLDEAYLNITKVCFDRGITGEEVATELRGAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDQEAVTTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCQEMLQKASFLCALFSESSADFFISVGLGLGGTETPEQRLRKSISCERTFRATDDCSMLFEKLDSLADNLADDMQKECLKGRTLTLKLKTAAFEVRTRAVTTQNYINSKEDILIYARKLLKAELPLSLRLMGLRMSQLRDEKDDSSTQTQKTLDRFFRTPDNSNVIGANSPSIGNTTGGDNYCTNVMTKVDYLEHDSMDDQALFLHEKNLFVPEGRSSDNYNNDAASSNPLMCDGVGGKELDDDSSSSKGTHTVKFDGQLTSSNATTSSSKPDQLFWINGYICSICGFELPPGFEEERQEHSDYHLAEMLQQEEAVDSTGPLSKERLAERPCSSTPTPKKKLKSSKEGKHIPIDAFFHKVNKNL >ONIVA03G27080.1 pep chromosome:AWHD00000000:3:22678104:22679532:-1 gene:ONIVA03G27080 transcript:ONIVA03G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSEGSSASSRRTSASPIPYRVGPLEYEPAVVCRYSNKAARWISWIPDNPGCRYFKCVNARRGCCDYFAWVDGPSNSFVREVLNDLRDEVWKLRREKGDFSAAVEEGRCVQSELVLARNELATSRKVVGEKEAVVGVLKDRNSRLKFEICVMLLVDLGLVVVVFAMLMDSHGGSMRIDRGVLAFLDGAGPVDVFFFAGS >ONIVA03G27070.1 pep chromosome:AWHD00000000:3:22672383:22673555:-1 gene:ONIVA03G27070 transcript:ONIVA03G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSSCRPVRATRPHTLARGRTTNECRRDTSSFPPPYLLQNADVGASEIFAPGGDDLYDYIELEGCKTCTLT >ONIVA03G27060.1 pep chromosome:AWHD00000000:3:22667909:22668751:1 gene:ONIVA03G27060 transcript:ONIVA03G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSDGSSSSRQWKSSPVPYRVGPLDYQPAVMCQCRCPAKAARWISWSTDNPRCQYYKCQHARAWCDGLTSSFIRELLNDLRDMVNSLRRWKELLQKEVEDSRAKGERQRREIDYVRAMVAVKKEEIRSLKARNQKLEKEKKSFWERMRHIDVSTLLTFCHAISNGDDKYEVKHYTHRFTVNLDKKECSCRYWHLSGLPWPHAIACIYFKTNSLDAYIAECYSVDAF >ONIVA03G27050.1 pep chromosome:AWHD00000000:3:22662274:22667338:-1 gene:ONIVA03G27050 transcript:ONIVA03G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSSFPTATATATLRRSPACTCSGHRARIRRRWWHATSWPPTATSFSYASATSSSALFRLARRTTSSSPRPAMTQFPRRYSKPSPHPKGGEPLPCTYQPEGGFPPSDVEGDGNLRYPLEFRSVGILCQGEEFAVAELQVLRNINANVKARLCVLRSAISSKGEDGDGGGRCDIMELPIVYGSGEEYWDIFYWTTDTVIAFQNYLCWVDYDRGMLFCDVLQKRPGIAFIRFPLDSFPNGRSRRHFSQVYRGVSVTTECDGSGALKFADVNRLDSKLLGSLEPGRGYTITCHTLRTLGLDVGAIEWSKDFAITSKAIWSFKGPELVPHEVLLFPTMSMEMPNVMHFLTCDYEHVIRKMSVVTIDLASKTVLSVIPYVNGQEDLSGEDADMVRAKSSYPQSFLPSEFSNCNEKVNMKEGY >ONIVA03G27040.1 pep chromosome:AWHD00000000:3:22646390:22647286:1 gene:ONIVA03G27040 transcript:ONIVA03G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATATDLRVSIAHQTRFAFRLAAALSSPRAHPVAGGAAGAGGSNVAFSPLSLHVALSLVAAGAGGATLDQLVSLLGVPGRGTAEGLHAFAEQVVQLVLADSSPAGCPRVAFADGVFIDSSLSLMKSFKDVAVGKYKAETHSVDFQTKVLRDKQKEIESRVPVIR >ONIVA03G27030.1 pep chromosome:AWHD00000000:3:22639902:22645138:1 gene:ONIVA03G27030 transcript:ONIVA03G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLRVSIAHQTAFALRLAAALSSPAHPAGGAGRNVAFSPLSLHVALSLVAAGAGGATRDQLASALGGPGSAEGLHAFAEQLVQLVLADASGAGGPRVAFADGVFVDASLSLKKTFGDVAVGKYKAETHSVDFQTKWLLLPSNLANIDWEHYLLLPSLEKKLEKYGKIFLVFTIVGIIYVIMWFPFTAILEIMSLKDDEPITHWTSGKAAEVASQVNSWVEKVTSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDGEFHLLDGKSVQAPFMSTSKKQYISSYDNLKVLKLPYQQGRDKRQFSMYILLPEAQDGLWSLAEKLNSEPEFLEKHIPTRQVTVGQFKLPKFKISFGFEVSDLLKSLGLHLPFSSEADLTEMVDSPEGKNLFVSSVFHKSFVEVNEEGTEAAAATAAVITLRSAPIAEDFVADHPFLFLIREDMTGVVLFVGHVAAEVLGQVNSWVDRVTSGLIKNIATPRSINHNTKLVLANALYFKGAWAEKFDVSKTEDGEFHLLDGESVQAPFMSTRKKQYLSSYDSLKVLKLPYLQGGDKRQFSMYILLPEAQDGLWSLAEKLNSEPEFMENHIPMRPVHVGQFKLPKFKISFGFGASGLLKGLGLPLLFGSEVDLIEMVDSPGAQNLFVSSVFHKSFIEVNEEGTEATAAVMVSMEHSRPRRLNFVADHPFMFLIREDVTGVILFIGHVVNPLLAV >ONIVA03G27020.1 pep chromosome:AWHD00000000:3:22637870:22638735:1 gene:ONIVA03G27020 transcript:ONIVA03G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFLLIVSAVWGRRSLAAVTEAAAVVDEAEEAAGVATVLAMVAALAEVVVAVLVEVAALVEEAAGTEARRRRARRLLDLRGAAAVLAEVAALVEAAAGAEARRLSDLRGGGGGGGTRGRVAVRQRSDLSGAAAVLAEVAVVDKRRRSWRRQWSWRRRRRRRSWMCGGGGRRGRGSSTQGGGDACGHMAEFAEVADGAVTPFARPKWDEFVCQFFADQLIPDLRGIFLSRDQPIPPTPKPKHP >ONIVA03G27010.1 pep chromosome:AWHD00000000:3:22628427:22629146:-1 gene:ONIVA03G27010 transcript:ONIVA03G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEVLDGAAPMLSSSPAASGEEVGARKPQQRCGGAEGWSKRKRSRRRHRDRAAAPPPHGSEEEHLALSLLMLARGHRDPSPAPQEQHGCSVCGRVFSSYQALGGHKTSHRPRTPPTMAAVVVVDEPAATTASPAASSSNSGSGSGGGGGNKVHECSVCKKTFPTGQALGGHKRCHYEGPIGSGGGAAVAGRGFDLNLPAVALPDIMTERCLPAAAEEEEVLSPLASFKKPRLMIPA >ONIVA03G27000.1 pep chromosome:AWHD00000000:3:22613407:22615003:1 gene:ONIVA03G27000 transcript:ONIVA03G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGLYTYFIISLPMCHCLPLLQRFHRNILCLTAATTTCSCVFHAATTISASFSPSPRPAAASGKAGMRERSSVATAGSFAHPPVAAGKEVDPAKRQILQRGRGEEEAQIHGARGVEGCLGIRTSSYGSHGAALFLPPWCHSVREQQSLRVISPLRVVVVHPRKLPIRHFLLMTSTSISRIRS >ONIVA03G26990.1 pep chromosome:AWHD00000000:3:22581955:22587961:-1 gene:ONIVA03G26990 transcript:ONIVA03G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVLLRSCAISRLDRGGAPISIWRAEQGRGRGSCSLPGSRLGWTYGAMKHMKLGSKPDLFQTEGGNIRFVATELATDIVISIGDVKFCLHKFPLLSKSSCLQRLVASSNVEGNEELDISDIPGGPSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSIFRAWKDSIIVLQSTKSLLPWSENLKVINHCIDSIASKALIDPSEVEWSYTYNRKKLPSENGHDSHWNGVRKQLIVPKDWWVEDLCDLEMDLYKRVIMMIKAKGRTSPIVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRRAVLETIIFLLPTEKGSVSCGFLLKLLKAACLLEAGESCHDILIKRIGTQLDGASVSDLLIPANTSENTLYNVNLIIAIVEEFVSRQSDTGKMKFQDDDEIVEVENLTPVSSTSNLAVANLIDGYLAEIAKDTNLPLSKFIAIAEMVPPASRKNHDGLYRAIDMYLKEHPSLSKSEKKALCRLMDCKKLSQDACLHAVQNERLPLRVVVQVLFFEQIRASAASGRTDAAAELTSAVHSLLPRENGNSYGSSRSAATTTTEEDGTGVPTSSDINSFRSLRLANNSGGSERSSGSSDINNKSCDDKSSSKSKGSLMPKKILSKLWSGKTNASENSSSDTSESPGSLNPEEVKSTTSRNTGRLVT >ONIVA03G26980.1 pep chromosome:AWHD00000000:3:22576282:22576749:1 gene:ONIVA03G26980 transcript:ONIVA03G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALHLSLSLLSLLPVTACSGGGGDAQSARPTRWPRHCFSRRCFGVAAVIHSKIRRRAVWWPGGRVGAGATRPPRCRRGSGRGGAITGASRRAVRPVRRSEAGSGSTSRPTRKRKNAGVVEDFGSGVGEVWLEDGAAVEEAAWWTATASGDADDE >ONIVA03G26970.1 pep chromosome:AWHD00000000:3:22568535:22570635:-1 gene:ONIVA03G26970 transcript:ONIVA03G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRPALFRSLLYEACGRTINPVSGAIGLMWTGNWDLCQAAADAVLRGDSLRALSAVPAAFTDRDMAGLYGNVGAAAGTSSSSPDNDNSSASAAPRRKRPRNNGAGAGVGQQQLPHAVAAVLQSCELDLCLTPVSPPAVQLVGGGGGGGGASDEHSTTTCEEASDGDGAGAPTLLNLFS >ONIVA03G26960.1 pep chromosome:AWHD00000000:3:22562913:22563158:-1 gene:ONIVA03G26960 transcript:ONIVA03G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKIVQTGGGKEAQRGGEGERGKRRTRREGGMVEEAHREGRRGDRGAEVAHLEEDDASAARSCKGEAALGVGREGRKERR >ONIVA03G26950.1 pep chromosome:AWHD00000000:3:22552417:22559221:1 gene:ONIVA03G26950 transcript:ONIVA03G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPLPRGGPFVVAPRPPRSGVSKLVMFRPFVGEVLVGKISGYDEKGLHVSLDFFNDICIPGHLMQYGMARALDGRWMLKTEDGDELYLDLDDEGSIKGDGLGLLAWWSADEEEGEAEAEE >ONIVA03G26940.1 pep chromosome:AWHD00000000:3:22550458:22551348:1 gene:ONIVA03G26940 transcript:ONIVA03G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLEDDDFLPEILLRLSRRTYDPARLVRVSAVCKAWRRVLADPSFSGRYRGLHGPRAPVLGVLHNPTDCELDRFVPTATSSSFRPYAHASFYSLDTGEAASGGWTTSASTSAPSWSLEGYYLEDDRPAAHVVGDSLYFVGKSGVLLRYRYGRLLVVDSDVLSVIQPPPDAKRRLRLGYTVVMASPENELWMGILHRHMLSLWAREEEDDAAAAANAGWVRRSVIDLKPVLPWPIGKPKGKERACLAVVDEDPDVIFVGTEEDDGVFAVELESLVRCASGKYARLANQEAVCFPS >ONIVA03G26930.1 pep chromosome:AWHD00000000:3:22540656:22542845:1 gene:ONIVA03G26930 transcript:ONIVA03G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYLNQEQRQQFSKQISSVVWMIRVDSAAKVDDLATKDYAYVAGVCISPVGHILTSAHVVKPGVKYVGACTSWKAGWTPLRVVKTSMAYGMCLCQLEHESRKKTDYTNLAESGLLSVNQHVYGFGHPNLFKIPCDYSFVRGSVEYPCKDISELPSLNDLDRLLYEAIKEFLKEFDLMPQHVDAPSMAENAISDQTPTAEPAPASVATTETVDGSSEQHTLLGKGVDALFHICMHEVLDMRLSPNRTSVSCLESCC >ONIVA03G26920.1 pep chromosome:AWHD00000000:3:22521318:22528641:1 gene:ONIVA03G26920 transcript:ONIVA03G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDADTGEPPAKQRAINPVVPTKHPWNLPAQIPLLHCSCRPEDQVLVIPREANLPIDAETRKVVARVSQAVVSVVSFDVDGDRLYKASGFIIDFDKSSMIGTIISSATINIYLFDGVSYDATIIACDHHWNLLVLSVLFDRVVKTMKFVEINESRTARDAYHGIAMLQPHSTRYKLCPGDTIIGLGRQSQEPFGLQANRGIYSVERWADLPKICQEMLRATFINTFSASRHKRGAGKWKRQRNEFARPAGNEPNQAKCPAITCRRLPCTQPPWPCQHAATLRHWTLCAMSPPGGHWLTGLLTELCQKASRSGSGERGKGDRRKEKSWGKRGTTAVAGSGGMSNSGEHWGWGVVGKYCRPMISFVGYNLHVARSSRWVDVPTSLHEGLDGILVEMVSRELLSAGLQEKDLIIRCNGKRVTTNLQLFEVLVENIAKTVEVTIVKAETAILNQYIFLWRRLSRNASTSGQFHGTIRMDGSKDLFVTAPVQPDSPPKMGMELGGALSQNELERWS >ONIVA03G26920.2 pep chromosome:AWHD00000000:3:22521318:22528641:1 gene:ONIVA03G26920 transcript:ONIVA03G26920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSARDADTGEPPAKQRAINPVVPTKHPWNLPAQIPLLHCSCRPEDQVLVIPREANLPIDAETRKVVARVSQAVVSVVSFDVDGDRLYKASGFIIDFDKSSMIGTIISSATINIYLFDGVSYDATIIACDHHWNLLVLSVLFDRVVKTMKFVEINESRTARDAYHGIAMLQPHSTRYKLCPGDTIIGLGRQSQEPFGLQANRGIYRKYCRPMISFVGYNLHVARSSRWVDVPTSLHEGLDGILVEMVSRELLSAGLQEKDLIIRCNGKRVTTNLQLFEVLVENIAKTVEVTIVKAETAILNQYIFLWRRLSRNASTSGQFHGTIRMDGSKDLFVTAPVQPDSPPKMGMELGGALSQNELERWS >ONIVA03G26910.1 pep chromosome:AWHD00000000:3:22475883:22477079:1 gene:ONIVA03G26910 transcript:ONIVA03G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRGKRKTMLRRKAPRPPSVATKDPNPPDRQGAHGLMRCAHKESGSGSRGLGCMMHLRPGKGGRWIYHRIHLFFYGNTNI >ONIVA03G26900.1 pep chromosome:AWHD00000000:3:22461217:22463706:-1 gene:ONIVA03G26900 transcript:ONIVA03G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVRNSLMKEPTLHDAIKIVVTYRKQELLQLQQQNNDLAEPEVVIVEDDEVVIEPVPKKKRTGNKGFTIPPGVEMLDYEFSSPDVKLESPLKTSQDY >ONIVA03G26890.1 pep chromosome:AWHD00000000:3:22459458:22460093:1 gene:ONIVA03G26890 transcript:ONIVA03G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSANLVQLLSTGTVLVYQSLSASFTNQGECFRANRWLSLGLVVFFSATYVFVAFTDSVLYKGKVYYGFALPTRLNLFNLNKTEEKKLFDDLKPELAKRGLGYVDFVHAFFSAVVFLSVAFSDVGLQKCFFPNAGKNDKELLKNLPLGMAVLSSFVFMIFPTNRRGIGSHCSSSEHIDSSSSKSGKKIDGSSSNSAGEKEKANKPTAKNV >ONIVA03G26880.1 pep chromosome:AWHD00000000:3:22452906:22453819:-1 gene:ONIVA03G26880 transcript:ONIVA03G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGDEHDESHGQNRFGGQELTATRSSGPKFTANKSNLSMPLGGGGKADEDMVQY >ONIVA03G26870.1 pep chromosome:AWHD00000000:3:22416442:22416753:-1 gene:ONIVA03G26870 transcript:ONIVA03G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLVCACHLAPWRTTWAAASLTVLRNSLDYANVNTSITVVVVVATIVLATTIVVVAVVVLITVVASRPCRFDCRQIWLALANVWSDLMPLTSGRPHLAPPR >ONIVA03G26860.1 pep chromosome:AWHD00000000:3:22403234:22405241:-1 gene:ONIVA03G26860 transcript:ONIVA03G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRGGGGGGGGFFAALREEVARGLSPARARGRSRSDAAELAAAMRMSGGGGGGGEMLAPLMEGPDPESGDGEGGGGGGGGGGGGGGGGRGARGRRGEGWGQWVRGQLSRTPSSVAAAAAGAGAARNDLRLLLGVMGAPLAPVHVSAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLASVRNAYTMGKVRMVATEFETAGRLVKNRNAARCAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRSLRRALQGLDPLTTASMFAGARCIGERKVNGEDCFILKLCTEPETLKARSEGLAEIIRHVMFGYFSQRTGLLVHIEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMIAHSGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSISETVELSHGEKSKVGPPPGHRAKVAALEKAVDGKVAWSGTILEDHN >ONIVA03G26850.1 pep chromosome:AWHD00000000:3:22398725:22399060:1 gene:ONIVA03G26850 transcript:ONIVA03G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPLPNPKRDAITTTTIRFFPLRIEKKAKEPLDPPTTPRPPRRRRKKRRSRMFFSRFDPWPVFFRREWKRCWPFLTGFAVTGAIITKMTAGFTEEDLKNSKFVQAHKKH >ONIVA03G26840.1 pep chromosome:AWHD00000000:3:22396358:22396552:-1 gene:ONIVA03G26840 transcript:ONIVA03G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHARRPAHALVLERQRRTSATAAAVGSTTAGGSAMAAAGGSATAAVDGSGDSGGGGLGRRRQ >ONIVA03G26830.1 pep chromosome:AWHD00000000:3:22395851:22396136:-1 gene:ONIVA03G26830 transcript:ONIVA03G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMLALLSTGLSLSLSRADLAAVVVAEPRLLCAKADTIAASARLGHPVPDQTKLIDAIKEAGGDHVRR >ONIVA03G26820.1 pep chromosome:AWHD00000000:3:22394798:22395301:1 gene:ONIVA03G26820 transcript:ONIVA03G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALVILLAVAAGSWQPAATSTPATVSPAPAVSPVAAPAGELGGGGAGARRDQDREFVRGCCARTLYPRLCTAALSPYAAAVGSSHARLAVPSANLTAGTINSLGGRIPSPSTTGTTESPAGALGDCAEAVASAADLAARAAGRLDGVERAVAGPEVLWRVRDAQT >ONIVA03G26810.1 pep chromosome:AWHD00000000:3:22372988:22374400:1 gene:ONIVA03G26810 transcript:ONIVA03G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G24530) TAIR;Acc:AT1G24530] MRLLPRLCMATGDSAAAAGEGDSSNSGSKQQAAAVSSSSSTVSTSSSAAAAAVSEASSSMSLPSLPSLSDVTGSTSASLAASFAHVTTLCPLSTAAAAASAAAVAAADYSVHGGGGLVVVVARPAAVVLHDVFTMEATSTSDMADDTSAAGSVKCVAHLHGGKAAVTGHQDGRLRLWRMSSRAPDRLRLAAALPTVSDRLRRFPVPSNHVTVRRHHRRLWIEHADAVSGVAASADGRLLFSVSWDKTLKVWAVPSLRCLQSLPAHDDAVNAVAVAHDGTVYTASADRRVRVWAPRAPAAGPDRASRRPGKKPAYHLVATLSRHAAAVNAVAVGCGGQVLYSGGNDRCVVVWEREDSASHMVAVGALRGHRRAVLSVACAAGDAADGALVVSGAADQTVRAWRRGADGRGYYCVAVIDGHGSAVRSVAAALVTAQKKRRADDDGGDEEWRVCSASFDGEVRLWSLRVAAAS >ONIVA03G26800.1 pep chromosome:AWHD00000000:3:22362804:22367005:-1 gene:ONIVA03G26800 transcript:ONIVA03G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKEKPSFLRLVRYADAHDRCLMALGVLGSFGDGMMQPLSMLVLGDIVNSYGGAGGAGGAGSARSAFSSGAVDKGLCWTRTAERQASRMRRLYLEAVLSQEVAFFDAAPSSPSSPQAQAQATTFRVISTVSDDADAIQDFLGEKLPMVLANATLFFGALAVSFVFAWRLALAGLPFTLLLFVTPSVLLAGRMAAAAGEARVAYEEAGGIAQQAVSSIRTVASYTAERRTVERFRGAVARSAALGVRQGLIKGAVIGSMGVIYAVWSFLSWIGSLLVIHLHAQGGHVFVASICIVLAGMSIMMALPNLRYFIDATAAASRMQEMIEMLPPLEGAEKKGATMERIRGEIVFKDVHFSYPSRPDTLVLNGFNLTISEGATVGLVGGSGSGKSTVISLLQRFYSPDSGEISMDDHGIDTLNVEWLRSQIGLVSQEPVLFATSIRENILFGDETASLKQVVAAAKMANAHEFIVKLPHGYETHVHKQQQFLQCMLQHAESYGVFFFSPVQVGQFGTQLSGGQKQRIAIARALVRDPRILLLDEATSALDAESERTVQDALDRASVGRTTVIVAHRLSTLRKADTIAVLDAGRVVEAGTHDELLGMDDGGEGGVYARMVHLQKAPPVAAREERHRAVDVVESEMVSFRSVEIMSAVSATEHRPSPAPSFCSVEHSTEIGRKLVDHGVARSRKPSKLRLLKMNRPEWKQALLGCVGAVVFGAVLPLYSYSLGSLPEVYFLADDGQIRSKTRLYSFLFLGIAVVCITANIVQHYNFAVMGERLTERVRGQMLAKILSFEVGWFDEDENSSAAVCARLATQSSKVRSLVGDRMCLLVQAGATASLGFSLALAVSWRLATVMMAMQPLIIASFYFKKVLMAAMSKKAKKAQVQGSQLASEAVVNHRTITAFSSQRRMLRLYEAAQQGPKKDNVAHSWFSGFCLCLCQFSNTGSMAVALWYGGKLMAKGLITPTHLFQVFFMLMTMGRVIADAGSLTSDLAQGGDAVRSVLDTLDREPTIKDDDNDNERKKKKRKEIKGAIEFKNVHFSYPTRPEVAVLSGFSLEIGAGKTVALVGPSGSGKSTVIGLIERFYDAQRGSVLVDGEDIRSYSLARLRSQVALVSQEPTLFSGTIRDNIAYGAAEEHATEDEVARAAALANAHGFISAMERGYDTRVGERGAQLSGGQRQRIALARAVLKDARILLLDEATSALDAASERLVQDAVDRMLRGRTCVVVAHRLSTVEKSDTIAVVKDGRVAERGRHHELLAVGRAGTYYNLIKLQHGRSPCLSPM >ONIVA03G26790.1 pep chromosome:AWHD00000000:3:22361412:22362461:1 gene:ONIVA03G26790 transcript:ONIVA03G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGDLRSLLAVVAAVAAAMSYVRFVARRLRPGLPRLAAFVPVLAVLPVIPLAFRALHLRVTSGFFLGWLAEFKLLLLASGHGPLDTSLPLPAFVAIASLPVRRRAQRDSENAPRPGLGLVTSAVMAALLATIVSVYPHKERMNEYVLLMLYSLHVYLALELVLAFAAAAARAVMGMDLEPQFDRPYLSASLREFWGRRWNLSVPALLRQCVSRPVRARVGGGVAGVAAGVLAAFLVSGIMHEAVIYYATLRPPTGEPTAFFALHGACAVAEGWFAAHKGWPRPPRAVATALTLAFILATGFWLIVPPITRTGTDRVVIAESEAMVAFVRDAGSWAAASVRSALTGHS >ONIVA03G26780.1 pep chromosome:AWHD00000000:3:22358540:22359577:1 gene:ONIVA03G26780 transcript:ONIVA03G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLRSLVAVCAAVTAAMWYARFAARRLRPGLPRLAAFVPVLAVLPFLPLAFRALHPRAISGFFLAWLAEFKLLLLASGQGPLDPSLPLPAFVAIATFPVRQRDPTKNAAGSGLGPVTSAVMAALLAAIVSLYRYKERMNPYALLVLYSLHVYLALELVLACAAAAVRAVMGMDLEPQFDRPYLSAHLRDFWGRRWNLSVPAVLRPCVSRPVRARVGEGAAGVAAGVLAAFFVSGVMHELMFYYITLRPPTGEATAFFTLNGALAVAEGWWAAREGWPRPPRPVATALTLALVMSTGFWLFFPPITRAGADKVVIAESEAVVAFVRDTGIWAAASVHSALSLL >ONIVA03G26770.1 pep chromosome:AWHD00000000:3:22352969:22355714:-1 gene:ONIVA03G26770 transcript:ONIVA03G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSLCRSIGMFCCRRGGGYERIEEDKDDEYEAAMFEEEDMGPEALEARGDRLVASARRRRRRSALSIDCDKHLYVADNFREAALSYAPPERDGIRMATHALLESARCYKKIPDRGEKEAASAALALEKATELSMGRKKLESAATCCRLLAELYEEQKEWSKAMIHFQDAAYSYGGCASEESVFYARHCMLKAREIAQIIADAKHN >ONIVA03G26760.1 pep chromosome:AWHD00000000:3:22349883:22351399:-1 gene:ONIVA03G26760 transcript:ONIVA03G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPAEAKGDRLMAQARRELTGFWSCLLPASATYSYAAGFFEEAAKAYRLAKNSREKSRVGTSRIWHMGRDCRLAAAVVLWESAECHMRDFDPDDEQTARTIESDLKRSVRMLVLENQPQLAASACEELARMYVARRRGSGTSEPRGTTPTPGSRTASSSAGPGLYRKPSPPPSEEQ >ONIVA03G26750.1 pep chromosome:AWHD00000000:3:22343595:22346565:-1 gene:ONIVA03G26750 transcript:ONIVA03G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G56840) TAIR;Acc:AT3G56840] MLPLRRFAGAYRRRGLAGIAPGAPREAADAVVVGAGVVGLAVARALAMAGREVVVVEAAPSFGTGTSSRNSEVIHAGIYYPPGSLKASLCVRGREMLYKYCAEREIPHKQLGKLIVATGVAETAKLDMLLKNAKENGVDDLQMMEGSEAMEMEPELRCLKALLSPRTGIVDSHSLMISLLADAENLGTAISYNTTVTNGYIGDEGLELHISESKALENHSVGSPMSPQLILFPKLLINSAGLSAAPLAKRFHGLNQVFVPPAYYARGCYFTLSQTKSPFSHLIYPLPEDGGIGVHVTLDLNGVVRFGPDVEWIDGGKDVTSCFLSRFDYSVNPTRCSKFYPVIRKYFPNLKDDSLEPGYSGIRPKLSGPGQPPSDFVIQGEDIHGVPGLVNLFGIESPGLTSSLAIAEYIVSRVTNK >ONIVA03G26740.1 pep chromosome:AWHD00000000:3:22335950:22346005:1 gene:ONIVA03G26740 transcript:ONIVA03G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAMAFQALALGPLPLPLPAARRRRRVRVLAVAADHTPPPPPSPSSPPEPANSPSRLLRELAERKKAVSPKKKHPPRRFILKPPLDDERLTQRFLSSPQLSLKALPLLSSCLPSAPLSAADRTWMDEYLLEAKQALGYPLAPSETLGDGDDDGCPARHFDVLLYLAFQHLDTSCERTRTRHVRSGHSRLWFLGQYVLELAFCEFFLQRYPRESPGPMRERVFALIGKRAIPKWIKAASLHNLVFPYDDLDKMIRKDREPPAKAVFWALFGAIYLCFGMPEVYRVLFEAFGMDPEDESCQPKLRRQLEDVDYVSVEFEKRQLTWQDVAAYRPPPDALFAHPRLFRACVPPGMHRFRGNIWDFDNRPKVMNTLGYPLPMNDRIPEITEARNIELGLGLQSPAILQVYRPKKSVMITNLPLNLRLILCFLHPSKHKFEHPRFCLERLEYVGQKIQDLVMAERLLMKHLDAPGRWLAEKHRRLLMNKYCGRYLRDKHLHHYIIYGESVQDRFEHNRRLRNPSTTAVQQAIHGLAYCVYGKPDVRRLMFEVFDFEQIQAQTVLSLIPEVEKQGVEEEVWASGEAQGLHRSGEGFPPEGGNHQGMVLHLTFLALRKLGKLATFYQNCKCLHVTPITIQHSIVSIVCAKRFSVFNDSKLKEKASFRNPDEFYFKMINSKTVDGIHRPKPEANKYTEDELMLLKTKDMGYILQGIQSEKKKIEKLSSMLHELDNKRPNKHVYFAEDREEVKEIQSRIEQKSSSLGLDNIPSRIKRKTASSYRELEERKQRVQKLEKLYADMALQKELKKPGRKRKLREDEIENQTSRPVYKWRAQRKR >ONIVA03G26730.1 pep chromosome:AWHD00000000:3:22332865:22335739:-1 gene:ONIVA03G26730 transcript:ONIVA03G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRAIALQIHTQTTPLAAAPAAAPSPSLPSSLVHFLKRPASFPFLLSLFVLLTWISLRFHHPSPSASVLRAPVVHDPQANLVRYPAALYPTPIAADGRGWLLDPVAAARDAGLPGGALVCLSLHVGQIQPGGLRGNHRHHTCNETFVIWGAKTKFRLENADVNDRGYGEAMIAADEVAIVASARSTAHALINMDVRPTFFLGCQDTPINPNSSNTDYKARCDMNFLMITYRKIYLIA >ONIVA03G26720.1 pep chromosome:AWHD00000000:3:22329373:22331868:-1 gene:ONIVA03G26720 transcript:ONIVA03G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLSEEQIVEFREAFSLFDKDGDGSITTKELGTVMRSLGQNPTEAELQDMISEVDTDSNGNIEFKEFLGLMARKLRDKDSEEELKEAFRVFDKDQNGFISAAELRHVMANIGERLTDEEVGEMISEADVDGDGQINYEEFVKCMMAKKRRKRIEEKREHDGGSRTKSAGPSAAPASKRGQKCPHSRCP >ONIVA03G26720.2 pep chromosome:AWHD00000000:3:22329565:22331868:-1 gene:ONIVA03G26720 transcript:ONIVA03G26720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLSEEQIVEFREAFSLFDKDGDGSITTKELGTVMRSLGQNPTEAELQDMISEVDTDSNGNIEFKEFLGLMARKLRDKDSEEELKEAFRVFDKDQNGFISAAELRHVMANIGERLTDEEVGEMISEADVDGDGQINYEEFVKCMMAKKRRKRIEEKREHDGGSRTKSAGPSAAPASKRGQKCVIL >ONIVA03G26710.1 pep chromosome:AWHD00000000:3:22316061:22318441:-1 gene:ONIVA03G26710 transcript:ONIVA03G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTANSNKNKFQFGNARSKLRNFTLEMHAVFDGIPQCRVEGGGCRRGCRRGGPRRGGAPAHLRAPRRPVSVGCLSHVTPPASGGGGCYGYRVTPPTSGGCSRPPRAPPSSVDSPYVRAKQAQVIEKDPNKAVPLFWAAINSGDRIESALKDMATKCDRTKEQIEMLTLKLIFVDEELASGRWKTKLSKSHGRVVYLSLRDEKAWLLGNLA >ONIVA03G26700.1 pep chromosome:AWHD00000000:3:22308276:22310573:1 gene:ONIVA03G26700 transcript:ONIVA03G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLLVAVAVAMAAVVVAAEERATYIVHMAKSAMPAEYGDDHGEWYGASLRSVSGAGKMLYAYDTVLHGFSARLTAREARDMAAMDGVLAVNPEARYELHTTRTPEFLGIAGNDGLFPQSGTAGDVVVGVLDTGVWPESRSYDDAGLGEVPSWWKGECMAGTGFNSSACNRKLVGARFFNRGYEAAMGPMDTTRESRSPRDDDGHGTHTSSTAAGAAVSGASLLGFASGTARGMAPRARVAVYKVCWLGGCFSSDILAGMDAAVADGCGVLSLSLGGGAADYARDSVAIGAFAAMEQNVLVSCSAGNAGPGTSTLSNVAPWITTVGAGTLDRDFPAYVSLGNGKNYTGVSLYAGKALPSTPLPIVYAANASNSTAGNLCMPGTLTPEKVAGKIVVCDRGVSARVQKGFVVRDAGGAGMVLSNTATNGEELVADAHLLPAAGVGAKEGAAIKAYVASDPSPTATIVVAGTQVDVRPSPVVAAFSSRGPNMLTPEILKPDIIAPGVNILAAWTGKAGPTGIAADTRRVAFNIISGTSMSCPHVSGLAALLRSAHPEWSPAAVRSALMTTAYSTYAGAGDANPLLDAATGAPATPFDYGAGHVDPASAVDPGLVYDLGTADYVDFLCALNYTSTMIAAVARSKSYGCTEGKAYSVYNLNYPSFAVAYSTASSQAAESSGAAATTVTHRRTLTNVGAAGTYKVSAAAMPGVAVAVEPTELAFTSAGEKKSYTVSFTAKSQPSGTAGFGRLVWSDGKHSVASPMAFTWT >ONIVA03G26690.1 pep chromosome:AWHD00000000:3:22289271:22289549:1 gene:ONIVA03G26690 transcript:ONIVA03G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGNLNTALTPKNDRWTIKVEVIRLCEAVNPTMADDFYGQLNTCLYLKAIGEPLQAKN >ONIVA03G26680.1 pep chromosome:AWHD00000000:3:22276304:22281133:-1 gene:ONIVA03G26680 transcript:ONIVA03G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPPPPHPTPPEQVGDGVEARSCASPTPSLRRKGSPNRSGGSARKSSSSREFGSSILNSVNKSASQFKKSINRKSGSPIDWFPRKKTEPYLKRKIKRLQESNGMTASLDETLGSANPHYTRMAREKIAAREAARKAMEARKAAMVEASWCRILHAARIQKKDAEEVMEKAKFRATEAFEEARVIGVMMYDRPDCSSQQYEVESSSQTGGRSTHKVTASFQTGFEVDMEVAAAVKKAFIQLANSSISSNKEEFKELLWKISQNPDVTEIDANSEDEQHQGDCNSEDKRNLKFNKETLGTGIFPSDFDNTNVQQSNDLVNIMLERLKALHEDELASLAVIVATSGLNAVLQSDRGKYQETESVNSFTSQRAHSRRYSTAASFVDVLQPKKEVTSELPSLDKFLVKHLSKLEKEVHEAREAGRKASSVNSCAQGAQRQITGRNPKATDSASDLSSILVKHVSKLEKEILEAKKNNNTRIQLLEESCKKVEAHVEKDASKESEFYNAQSESFCNSGSVGSCNSRESYEKSKHGRDCSQDKENKILFSHQLPPSGAKGKQGGKRLTRIEAAKLEALNSFCTKDGNAFDVGLDKILIRPIHRLEREKKKALEHGQTNVQKDPQKNGDRTIVTGSLDEILVKHVLEREKIDYEKRNALEEVLTNVAHDQRKHDNNATASESLDQVLVKHVSRLEREKVEYAKRNTLGERTSEQNHQERHSNTNIASDSLDQILVKHVSRLEKEKMEHGKSGDMIFLKKNDSKCTNEEADLSDILVKRSMKLEQAKLASSAAEETLTGSFNPVQERRRAREKELMDAWGGVGLGNSMKPHLSKIEKDKVAWRKAEEEQKQMCAANEL >ONIVA03G26680.2 pep chromosome:AWHD00000000:3:22276304:22281133:-1 gene:ONIVA03G26680 transcript:ONIVA03G26680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPPPPHPTPPEQVGDGVEARSCASPTPSLRRKGSPNRSGGSARKSSSSREFGSSILNSVNKSASQFKKSINRKSGSPIDWFPRKKTEPYLKRKIKRLQESNGMTASLDETLGSANPHYTRMAREKIAAREAARKAMEARKAAMVEASWCRILHAARIQKKDAEEVMEKAKFRATEAFEEARVIGVMMYDRPDCSSQQYEVESSSQTGGRSTHKVTASFQTGFEVDMEVAAAVKKAFIQLANSSISSNKEEFKELLWKISQNPDVTEIDANSEDEQHQGDCNSEDKRNLKFNKETLGTGIFPSDFDNTNVQQSNDLVNIMLERLKALHEDELASLAVIVATSGLNAVLQSDRGKYQETESVNSFTSQRAHSRRYSTAASFVDVLQPKKEVTSELPSLDKFLVKHLSKLEKEVHEAREAGRKASSVNSCAQGAQRQITGRNPKATDSASDLSSILVKHVSKLEKEILEAKKNNNTRIQLLEESCKKVEAHVEKDASKESEFYNAQSESFCNSGSVGSCNSRESYEKSKHGRDCSQDKENKILFSHQLPPSGAKGKQGGKRLTRIEAAKLEALNSFCTKDGNAFDVGLDKILIRPIHRLEREKKKALEHGQTNVQKDPQKNGDRTIVTGSLDEILVKHVSRLEREKIDYERRNALGEGLTNVPHDQRKHGNNATASESLDQVLVKHVSRLEREKIDYEKRNALEEVLTNVAHDQRKHDNNATASESLDQVLVKHVSRLEREKVEYAKRNTLGERTSEQNHQERHSNTNIASDSLDQILVKHVSRLEKEKMEHGKSGDMIFLKKNDSKCTNEEADLSDILVKRSMKLEQAKLASSAAEETLTGSFNPVQERRRAREKELMDAWGGVGLGNSMKPHLSKIEKDKVAWRKAEEEQKQMCAANEL >ONIVA03G26670.1 pep chromosome:AWHD00000000:3:22271969:22274524:1 gene:ONIVA03G26670 transcript:ONIVA03G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYICTVGDPEDLRKKLISLEYCLSRKSPKISWLKRSLVKSCSKTIMGPGRVHMYTYMYHQLADLSQGQEHNKNKVKLKMMVQMEKLVRQCDMEVMKMAMLKHEETFKQQVYELHRLYRVQKQLMSDLNRSPPELTCRRRQRRKQHARRRALNLQLPADEYIVVADAGGQATPLPPPPPSSREDELALTLAVGGGGAAGRRNNKRRESSPFTSNCSGGSLTTATSTSTSSSTDSDGSLRQPPPCPRAMAFDVLHDGSTAAAAAAAPWLQQRLSLRMA >ONIVA03G26660.1 pep chromosome:AWHD00000000:3:22262369:22262557:1 gene:ONIVA03G26660 transcript:ONIVA03G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNPNNTYHMYYGIVQRITKMPSPLVVRVVLPIGCRRLPTCRSSPSTAGCCSLVHHRPLPW >ONIVA03G26650.1 pep chromosome:AWHD00000000:3:22261892:22262131:-1 gene:ONIVA03G26650 transcript:ONIVA03G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVDGGAAGESKVSSLRMPTSSLQSSQPTMTSGMRKDTEEVGEGGVGKRCGEEDDKCHPPHRPPPVSRACSASRAIQ >ONIVA03G26640.1 pep chromosome:AWHD00000000:3:22250535:22251983:-1 gene:ONIVA03G26640 transcript:ONIVA03G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQD2] MVKICCIGAGYVGGPTMAVIALKCPDVEVVVVDISAPRIEGWNSERLPIYEPGLDDVVRQCRGRNLFFSTDVERHVADAGIVFVSVNTPTKTRGLGAGKAADLTYWESAARIIADVSRSDKIVVEKSTVPVKTAEAIEKILAHNSKGGNIRYQILSNPEFLAEGTAIQDLFSPDRVLIGGRETPEGRAAVAALKSIYARWVPDDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVTEVANSIGKDSRIGPRFLSASVGFGGSCFQKDILNLVYICECYGLPEVANYWRQVIRINDYQKSRFVNRVVSSMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCKGLVGDKAVVSIYDPQVTEEQVQRDLVMNKFDWDHPRHLQPMSPSSAKHVAVSWDAYEAARGAHAVCILTEWDEFRRLDYQRMYDAMHKPAFLFDGRNVVDPDKLRRIGFVVYSIGKPLDHWLRDMPAVA >ONIVA03G26630.1 pep chromosome:AWHD00000000:3:22247278:22248573:-1 gene:ONIVA03G26630 transcript:ONIVA03G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSINITDHCNGLVLVNNCVTYPATRRWERLPRRHPRHVASTASARFAAVREHLVFDPAVYEVFLIPHVAPRERERRRRRRDDEFYPTSDGSEWPPSPFFLSVFSSETRQWEGRRFVRDGPPAGTVAAMRLHHASEVGTEYHCQVKYQVIGPPLSFDVCRYKEFHLGRSEKESCGQIGWVLKLDNNLKPILPHFKDGKIK >ONIVA03G26620.1 pep chromosome:AWHD00000000:3:22246899:22247270:-1 gene:ONIVA03G26620 transcript:ONIVA03G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQDIDYCEDPNEDDDAQSQTVQAAYYDWDFDNGAKSIDTQDNSVQGCHRGFGFLAFHPFKEVVFLHYSLERELAYNLNSFKVQDLGNLCPKDYGFDTEPYVESSFPYHAGWRCFLKNKFNI >ONIVA03G26610.1 pep chromosome:AWHD00000000:3:22237709:22239088:1 gene:ONIVA03G26610 transcript:ONIVA03G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin-2 [Source:Projected from Arabidopsis thaliana (AT2G29900) TAIR;Acc:AT2G29900] MADAAAATVPGEASSSSSAAATTTVLDSLGEDITRIVTPVSTCMLLVVLLVSLLSSPSSPSPFTAAFSAAAGPGGGGDDITTALITAVTFVVAVTAATFLLAFLFYLRCTPCLRAYLGFSSLSVLLLLGGHVALLLLSRLRLPLDAASFALLLPNAAAALALAALSPASVPIALHQAALVAIAVLTAFWFTLLPEWTTWALLVAMAVYDLAAVLLPGGPLRLLLELAIERNEEIPALVYEARPVDPRHGHNWRLWRERTQSGAELDASSTVEVLGEVLGTNLGASSAGNLGVSAIRSDERVGLAGDARNLRLGTSMPNLSSDSASAQVEVLPASPEISVSVPEMRVPLIQPRPERTRDEEDDEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLAFYRKALPALPVSIALGVVFYVLTRTLLETFVMQCSTNLVMF >ONIVA03G26600.1 pep chromosome:AWHD00000000:3:22233017:22237310:1 gene:ONIVA03G26600 transcript:ONIVA03G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G55840) TAIR;Acc:AT5G55840] MSASSLASSSYRRRIVQSRDAVSSPAYWSRLPARARPPAHTRIGAGVLPAATSVHGVESSIITVLAMQRWETLSQMAYKSGKLDKAHGKLALRMLDSIVQQSGLDRITHIYCMAVHILVQAQMPSQAMSVLRHLALTGFSCSAIFSSLLRTISRCDPTNLFSVDLLVNAYVKEGKVLDAAAAIFFMDECGFKASLFSCNNILNALVGINKSEYVWLFLKESLDRKFPLDVTTCNIVLNSLCTQGKLSRAESMLQKMKNCRLPNAVTYNTILNWYVKKGRCKSALRILDDMEKNGIEADLYTYNIMIDKLCKLKRSARKINLAIYIFNQMLRQSLKPSVATYTALIDGYCRNGRTDEARRVLYEMQITGVRPREVSKAKQILKCMLADGIDPDVITYSALINEGMIAEAEQFKQYMSRMKISFDVASFNCIIDSYCQRGNVLEAFSVYDNMVRHGWPPDICTYGSLLRGLCQGGHLVQAKEFMVYLLEKACAIDEKTLNTLLVGICKHGTLDEALDLCEKMVTRNILPDTYTYTILLDGFCKRGMYADCIAYNSMMNGYLKGGQINEIERLMRNMHENEVYPSSASYNILMHGYIKKGQLSRTLYLYRDMVKGGIKPDNVTYRLLIFGLCEYGLIEIAVKFLEKMVLEGVFPDNLAFDILIKAFSEKSKMSNALQLFSYMKWLHMSPSSKTYVAMVNGLIRKNWLQQSYEILHDMVESGLQPKHTHYIALINAKCRVGDIDGAFELKEDMKALGVVPSEVAESSIVRGLCKCGKVEEAIIVFSSIMRAGMVPTIATFTTLMHGLCKEFKIDDAFHLKQLMESCGLKVDVVAYNVLITGLCNKKCICDALDLYEEMKSKGLLPNITTYITLTGAMYATGTMQDGEKLLKDIEDRGIVPSYKHPESLEWRMENAIKRLNTIRNCRKGISFKNEAELLPVDHEAAN >ONIVA03G26600.2 pep chromosome:AWHD00000000:3:22233017:22237508:1 gene:ONIVA03G26600 transcript:ONIVA03G26600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G55840) TAIR;Acc:AT5G55840] MSASSLASSSYRRRIVQSRDAVSSPAYWSRLPARARPPAHTRIGAGVLPAATSVHGVESSIITVLAMQRWETLSQMAYKSGKLDKAHGKLALRMLDSIEGKVLDAAAAIFFMDECGFKASLFSCNNILNALVGINKSEYVWLFLKESLDRKFPLDVTTCNIVLNSLCTQGKLSRAESMLQKMKNCRLPNAVTYNTILNWYVKKGRCKSALRILDDMEKNGIEADLYTYNIMIDKLCKLKRSARKINLAIYIFNQMLRQSLKPSVATYTALIDGYCRNGRTDEARRVLYEMQITGVRPSELTYSALLNGYCKHSKLGPALDLIKYLRSRSISINRTMYTILIDGFCQLGEVSKAKQILKCMLADGIDPDVITYSALINGMCKMGMIHETKEILSRMQKSGVLPNNAGHAKEALKYFVDIYRSGLVANSVIHNALLCSFYREGMIAEAEQFKQYMSRMKISFDVASFNCIIDSYCQRGNVLEAFSVYDNMVRHGWPPDICTYGSLLRGLCQGGHLVQAKEFMVYLLEKACAIDEKTLNTLLVGICKHGTLDEALDLCEKMVTRNILPDTYTYTILLDGFCKRGKIVPALILLQMMLEKGLVPDTIAYTCLLNGLVNEGQVKAASYMFQEIICKEGMDMVKGGIKPDNVTYRLLIFGLCEYGLIEIAVKFLEKMVLEGVFPDNLAFDILIKAFSEKSKMSNALQLFSYMKWLHMSPSSKTYVAMVNGLIRKNWLQQSYEILHDMVESGLQPKHTHYIALINAKCRVGDIDGAFELKEDMKALGVVPSEVAESSIVRGLCKCGKVEEAIIVFSSIMRAGMVPTIATFTTLMHGLCKEFKIDDAFHLKQLMESCGLKVDVVAYNVLITGLCNKKCICDALDLYEEMKSKGLLPNITTYITLTGAMYATGTMQDGEKLLKDIEDRGIVPSYKHPESLEWRMENAIKRLNTIRNCRKGISFKNEAELLPVDHEAAN >ONIVA03G26600.3 pep chromosome:AWHD00000000:3:22233017:22237310:1 gene:ONIVA03G26600 transcript:ONIVA03G26600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G55840) TAIR;Acc:AT5G55840] MSASSLASSSYRRRIVQSRDAVSSPAYWSRLPARARPPAHTRIGAGVLPAATSVHGVESSIITVLAMQRWETLSQMAYKSGKLDKAHGKLALRMLDSIVQQSGLDRITHIYCMAVHILVQAQMPSQAMSVLRHLALTGFSCSAIFSSLLRTISRCDPTNLFSVDLLVNAYVKEGKVLDAAAAIFFMDECGFKASLFSCNNILNALVGINKSEYVWLFLKESLDRKFPLDVTTCNIVLNSLCTQGKLSRAESMLQKMKNCRLPNAVTYNTILNWYVKKGRCKSALRILDDMEKNGIEADLYTYNIMIDKLCKLKRSARKINLAIYIFNQMLRQSLKPSVATYTALIDGYCRNGRTDEARRVLYEMQITGVRPREVSKAKQILKCMLADGIDPDVITYSALINEGMIAEAEQFKQYMSRMKISFDVASFNCIIDSYCQRGNVLEAFSVYDNMVRHGWPPDICTYGSLLRGLCQGGHLVQAKEFMVYLLEKACAIDEKTLNTLLVGICKHGTLDEALDLCEKMVTRNILPDTYTYTILLDGFCKRGKIVPALILLQMMLEKGLVPDTIAYTCLLNGLVNEGQVKAASYMFQEIICKEGMYADCIAYNSMMNGYLKGGQINEIERLMRNMHENEVYPSSASYNILMHGYIKKGQLSRTLYLYRDMVKGGIKPDNVTYRLLIFGLCEYGLIEIAVKFLEKMVLEGVFPDNLAFDILIKAFSEKSKMSNALQLFSYMKWLHMSPSSKTYVAMVNGLIRKNWLQQSYEILHDMVESGLQPKHTHYIALINAKCRVGDIDGAFELKEDMKALGVVPSEVAESSIVRGLCKCGKVEEAIIVFSSIMRAGMVPTIATFTTLMHGLCKEFKIDDAFHLKQLMESCGLKVDVVAYNVLITGLCNKKCICDALDLYEEMKSKGLLPNITTYITLTGAMYATGTMQDGEKLLKDIEDRGIVPSYKHPESLEWRMENAIKRLNTIRNCRKGISFKNEAELLPVDHEAAN >ONIVA03G26590.1 pep chromosome:AWHD00000000:3:22221327:22228450:1 gene:ONIVA03G26590 transcript:ONIVA03G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWLISIIVLVLLSGESNANPAASQQSQLDVNHRKPLQTFRPYNIAHRGSNGEIPEETTAAYLRAIEEGADFIESDILATKDGHLICFHDVILDATTDIANRTEFANRKRTYEVERQNVTGWFVVDFTLEELKSLRVKQRYNFRDQHYNGKYQIITFDEYILIALYADRVVGIYPELKNPIFINEHVKWSDGKKFEDKFVQTLLKYGYKGEYMSEDWLKQPLFIQSFAPSSLIYMSNMTNSPKIFLIDDTTVRTQDTNQSYYEITSDAYLAFIRKYIVGIGPWKDTIVPPINNYLGPPTDLVARAHALNLQVHPYTFRNENMFLHFDFHQDPYLEYEYWLGEIGVDGLFTDFTGTLHRFQECTTPYPKNEKNAEALLQKINYMLKDSGY >ONIVA03G26590.2 pep chromosome:AWHD00000000:3:22224546:22228450:1 gene:ONIVA03G26590 transcript:ONIVA03G26590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWLISIIVLVLLSGESNANPAASQQSQLDVNHRKPLQTFRPYNIAHRGSNGEIPEETTAAYLRAIEEGADFIESDILATKDGHLICFHDVILDATTDIANRTEFANRKRTYEVERQNVTGWFVVDFTLEELKSLRVKQRYNFRDQHYNGKYQIITFDEYILIALYADRVVGIYPELKNPIFINEHVKWSDGKKFEDKFVQTLLKYGYKGEYMSEDWLKQPLFIQSFAPSSLIYMSNMTNSPKIFLIDDTTVRTQDTNQSYYEITSDAYLAFIRKYIVGIGPWKDTIVPPINNYLGPPTDLVARAHALNLQVHPYTFRNENMFLHFDFHQDPYLEYEYWLGEIGVDGLFTDFTGTLHRFQECTTPYPKNEKNAEALLQKINYMLKDSGY >ONIVA03G26580.1 pep chromosome:AWHD00000000:3:22211625:22212830:-1 gene:ONIVA03G26580 transcript:ONIVA03G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGARPSAKASMSSRGGGGGGRAGRVGGGGGGGAGGGGGGGGGGAAAAAAGGGGDFGRAVARAAVARMLEAAGFACAHRSAVDALVDVLLRYICQLGRAATFHANLAGRAAANECDVIQFLEECGAAYYGFAGAASVSARCLANSAVVKDMAVFVGASKESPFAGRPLPRFPVQRVPLHSTTSFAALGRESGMSHVPEWLPAFPEPHTYVRSELWSEEVAKAGADEVERARQRRKAEKSLLSLQRRLALAGADGFRPGMLVDDAVKANGLDVVESKANPFHERALPYGEKVVSEVTMPGVGKTFSVVEAFAPAFEESKGGEFDEGMDQGQNDSQTQKRVVPKERPPVYFRIGIDKKSMVMALNSRALVELKDPWFFKEDKEQRAELILREAMDNPHELTQL >ONIVA03G26570.1 pep chromosome:AWHD00000000:3:22177224:22183044:-1 gene:ONIVA03G26570 transcript:ONIVA03G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSYLDSTFLPLLATTMASPLHACLLLALLFLALAFFHPGGVAWALSSSGGHGAAAIPGPRGVLLAFAGPNPHRALASLAASTRGATRLMAFSVGLTQFVVASHPDTAREILAGAAFADRPVKEAAAELMFHRAMGFAPHGGYWRRLRCLASAHALAPGRLAARRRAIGEETVRRVAAAMARDGAVGVRRLLHLASLDNVMASVFGVGLGELGAGAVSELEEMVGQGYELLGTFNWGDHLPLLRLLDVHGVRRKSRALASRVKVFVSKIIEEHKTRRDAKYGGCDGDGDFVDVLLGLEGEERLEEEDMVAVLWEMIFRGTDTVAILLEWVLARMALHPDVQSKAQAEIDAAAVSGDAAALPYLHCVVKECLRMHPPGPLLSWARLATRDAHLDLGADAGGRAALVPAGTTAVVNMWAIARDGGLWRDPGVFRPERFLGDGEAAGVGVAGGAGGYDLRLAPFGAGRRACPGRALAMATVHLWLAQLLRSFRWVPSGDRGVDMSERLGMSLEMEKPLICLALPRTSST >ONIVA03G26560.1 pep chromosome:AWHD00000000:3:22156364:22163745:1 gene:ONIVA03G26560 transcript:ONIVA03G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G69200) TAIR;Acc:AT1G69200] MHRMASLLLPPQFLCSLPCSTNSIRSHLHYKPHFLGNIMTKPKAKMRLLNRNVSSMAKKSSQDVAEGSSDDESDGETSKTKKRAPRRGRKKATIQASEGETQEGQVSTEEDESPEGTKKIKRRGRKKAATTASSSEEKDKAKEPKKRGRRKVKTVEELSDNEGEDLGEDLVPSNDRQEKISANDLESKIAALLLEDTDDNDINNLIPLVCCFGPAKYSFIPSGRPANRLIDHEIHEGMKDMFWSPDQFVRAPGGSSSNVALALAASGGRVEFMGKLGDDDYGQSTLYHLNVNGVQTRAIKMDPSAFTAMSLMKVTEDCFVQTDINPAVLKEAKMFYYNSSALLEPTTRSSLSKAIEVSKKFGGVTFFDLNLPLPLWSSSKETKSLVKEAWEAADIIEITKQELEFLCGIKPSEKFGTKDNDKSKFTHYSPEVVTKLWHENLKVLFVTNGTSKIHYYTKEHDGWVRGTEDAPITPFTGDMSQSGDAIVAALMKMLAINPHLVTDKDYLHTAMKHAITCGVIDQWLLARERGFLPRERADPTSEQFGVRFVTEKEYRTLPDSIHTEDSSESELLYVE >ONIVA03G26560.2 pep chromosome:AWHD00000000:3:22156364:22162946:1 gene:ONIVA03G26560 transcript:ONIVA03G26560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G69200) TAIR;Acc:AT1G69200] MHRMASLLLPPQFLCSLPCSTNSIRSHLHYKPHFLGNIMTKPKAKMRLLNRNVSSMAKKSSQDVAEGSSDDESDGETSKTKKRAPRRGRKKATIQASEGETQEGQVSTEEDESPEGTKKIKRRGRKKAATTASSSEEKDKAKEPKKRGRRKVKTVEELSDNEGEDLGEDLVPSNDRQEKISANDLESKIAALLLEDTDDNDINNLIPLVCCFGPAKYSFIPSGRPANRLIDHEIHEGMKDMFWSPDQFVRAPGGSSSNVALALAASGGRVEFMGKLGDDDYGQSTLYHLNVNGVQTRAIKMDPSAFTAMSLMKVTEDCFVQTDINPAVLKEAKMFYYNSSALLEPTTRSSLSKAIEVSKKFGGVTFFDLNLPLPLWSSSKETKSLVKEAWEAADIIEITKQELEFLCGIKPSEKFGTKDNDKSKFTHYSPEVVTKLWHENLKVLFVTNGTSKIHYYTKEHDGWVRGTEDAPITPFTGDMSQSGDAIVAALMKMLAINPHLVTDKDYLHTAMKHAITCGVIDQWLLARERGFLPRERADPTSEQFGVRFVTEKEYRTLPDSIHTEDSSESELLYVE >ONIVA03G26550.1 pep chromosome:AWHD00000000:3:22154078:22155927:-1 gene:ONIVA03G26550 transcript:ONIVA03G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGAVSAMHDNHPRQDPKSKRDVFELLENLGRQPLIKRKILRRGCPSVVRCLIGKEKFHSLMGILNMNLIVQVIERSFKK >ONIVA03G26540.1 pep chromosome:AWHD00000000:3:22147401:22152082:1 gene:ONIVA03G26540 transcript:ONIVA03G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAIGVVVAAAVVVSSLLLRWNEVRYSRKRGLPPGTMGWPLFGETTEFLKQGPSFMKARRLRYGSVFRTHILGCPTVVCMEAELNRRALASEGRGFVPGYPQSMLDILGRNNIAAVQGPLHRAMRGAMLSLVRPAMIRSSLLPKIDAFMRSHLAAWSSSSSSSAVVDIQAKTKEMALLSALRQIAGVSAGPLSDALKAELYTLVLGTISLPINLPGTNYYQGFKARKKLVAMLEQMIAERRSSGQVHDDMLDALLTGVEGTREKLTDEQIIDLIITLIYSGYETMSTTSMMAVKYLSDHPKALEQLRKEHFDIRKGKAPEDAIDWNDFKSMTFTRAVIFETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPFLYPDPMTFNPWRWLEKNMESHPHFMLFGGGSRMCPGKEVGTVEIATFLHYFVTQYRWEEEGNNTILKFPRVEAPNGLHIRVQDY >ONIVA03G26530.1 pep chromosome:AWHD00000000:3:22122402:22122686:-1 gene:ONIVA03G26530 transcript:ONIVA03G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDEDNGRGAADVGEDDEMDAGDNGERDTGDEARMVATARRMRVRTTQAVGLDENHSGGGSGRRGRGPQPRRSRWARAAAKADVGDGDGGHGG >ONIVA03G26520.1 pep chromosome:AWHD00000000:3:22113075:22113365:-1 gene:ONIVA03G26520 transcript:ONIVA03G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVASSSSSTLPSSPLSPGGGGGGCSVTYSSAILPSGPSLPVEEDRSGRPDPMATTERTAGPPRSGSDSGEDHGGHQQRWQPFSYASATPPPPQ >ONIVA03G26510.1 pep chromosome:AWHD00000000:3:22084174:22086569:1 gene:ONIVA03G26510 transcript:ONIVA03G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGVASVLAVLTLLVGLLAPSIQQVQSIGVCYGTHGDNLPAPGDVVKLYQSNHIDAMRIYLADDTILHALRGTSIAVIVDAPDANVQPYYPDVSIKYIAVGNEVEGDDRHKILLAMQNIKDALSAAGLGGHIKVSTSVKMNVVASSPLPSKGAFAEPSVMGPIVKFYPYYAYMHNDHMDVNFTLFLPSSMTMDDNGHTYTNLFDAMVDSIYSAMEKEGGPDVPVVISETGWPSADGRGASKDNAMVYNQNLISHVGKGTPKRPVALEAYMFTMFDENQKTGDPIEKHFGLFNPDKSPVYCINFSGTSDSCPRSMGLASRPVYYAMF >ONIVA03G26500.1 pep chromosome:AWHD00000000:3:22067316:22072910:1 gene:ONIVA03G26500 transcript:ONIVA03G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWVRPEVYPLMAAMMLASGMVVFQLGRNVCTNPEVKISKRNRRNAVPDSAAEAERYSMHGFRRFFGRRRPEVMPSINRFFSNSDRPNHDENNDD >ONIVA03G26490.1 pep chromosome:AWHD00000000:3:22054462:22056270:-1 gene:ONIVA03G26490 transcript:ONIVA03G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVGPCASPSWSDLPIDLLLIILERLELPHALAFAAVCTTWSSAATAAGVPRSRTPWIMSWGNHVDKRLDERRRSAVTCNLYHPDDAVDKIYSVSFPKGSFVACYGASHGWLVLANDLSNLVLHNPVTLAMIPLPPITDFACVEAVYGSEEGNLEHYLLETNSRFEAYRLGIWFYQKAVLSCSPSRGGDYVVMIIHNNGEWLSFVKAGQSKWQVASTLSGGDRYLDCAYHKGRFHAVTLHGMVEKWDLDGASNGPTREVFYAARPYGGLGLILTRHLVSTPWGDLLQVRAILAHHYPDGIAFQICKVDPDGCKGVVQENVLMDHALFLGLNHSACLPTQNLPGIRPHCIYFSSPVIIHAFDWLLGLRVWGGVRTYDLETGKFERAVPFCDVKEQIYGLFPSEVWITQNLQ >ONIVA03G26480.1 pep chromosome:AWHD00000000:3:22046188:22050875:1 gene:ONIVA03G26480 transcript:ONIVA03G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATGGEAPASAQECTSVGLGARLVRLDGNAITTVADLQLSGPIPSPPPQDIDTATIIYGEDIRPGTYLVIHAGVDILDIARLTFLEELPVAARKGYPTEAEEEKEAKAVALAGRKGTPTETGEEEKGVVATPHQEKNMEKLEEKNKEAERLGMEEREEEEGNERLWEEKPWHNQLWGETVREEKNWHNQLWGQGSWKATKSYSLQWLRYDNKEALFGFINKEQNRNIKDAEILLSTMTFNHWKTGLMCKLEFEGHLPNLCDSMGMGLNPSMDNFKINKLLGKGRYGEVYECTYSNGQYAVKTIDVTNYFDHTEPREVSIMSCLQDANIVTFYQAWCENKKEENKFHGFGVHEPKYIYIHMEACARTLYDFLCGNNEGTIQDRWSLFERIVKGVRCIHATGIIHRDLKPWNIFLGPCGAVRIGDLGHGCWSKSYCDGRRGSPDCGTMLYSAPELRNGLLVTDKVDVYSLGVIYLEIFMPAAVSVNNRVDALIDLMERRYKPEWTAWSIDMEFLKDLTALNPCDRPSVGTILEYIAEHASDC >ONIVA03G26480.2 pep chromosome:AWHD00000000:3:22046190:22050875:1 gene:ONIVA03G26480 transcript:ONIVA03G26480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATGGEAPASAQECTSVGLGARLVRLDGNAITTVADLQLSGPIPSPPPQDIDTATIIYGEDIRPGTYLVIHAGVDILDIARLTFLEELPVAARKGYPTEAEEEKEAKAVALAGRKGTPTETGEEEKGVVATPHQEKNMEKLEEKNKEAERLGMEEREEEEGNERLWEEKPWHNQLWGETVREEKNWHNQLWGESVEEEDHWHNQLWGKTVREGKHWHNQLWGKSLQEEEHWHSQLRGKSLREEEHWHNQLWGERLPKKKHCHHQLSLRLWPGFSRAVLVLHCQGSWKATKSYSLQWLRYDNKEALFGFINKEQNRNIKDAEILLSTMTFNHWKTGLMCKLEFEGHLPNLCDSMGMGLNPSMDNFKINKLLGKGRYGEVYECTYSNGQYAVKTIDVTNYFDHTEPREVSIMSCLQDANIVTFYQAWCENKKEENKFHGFGVHEPKYIYIHMEACARTLYDFLCGNNEGTIQDRWSLFERIVKGVRCIHATGIIHRDLKPWNIFLGPCGAVRIGDLGHGCWSKSYCDGRRGSPDCGTMLYSAPELRNGLLVTDKVDVYSLGVIYLEIFMPAAVSVNNRVDALIDLMERRYKPEWTAWSIDMEFLKDLTALNPCDRPSVGTILEYIAEHASDC >ONIVA03G26470.1 pep chromosome:AWHD00000000:3:22044051:22044377:1 gene:ONIVA03G26470 transcript:ONIVA03G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGDSCVGYGDGDGDPDQRRRTCGADEGCSDGGVGVDRGWLGAAAVEGERLRKRRWTIGGGGGGRTAKARWERGERSRKEMGVRGNCEITDEEIMRGERGEVGATGW >ONIVA03G26460.1 pep chromosome:AWHD00000000:3:22037503:22038738:-1 gene:ONIVA03G26460 transcript:ONIVA03G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAAGPCASPSLSDLPIDLLLIILERLELPHALAFAAVCTTWSSAATAAGVPRSRTPWIMSWGNHVDKRLDERRRSAVTCNLYHPGDAVDKIYRVSFLKSSFVACYGASHGWLVLANDLSNLVLHNPVTLAMIPLPPITDFACVEAVYGSEGGNLENYLLETNSRFEAYRLGIWFYQKAVLSCSPSRGGDYVVMIIHNNGERLSFAKAGQSRWQVASTLSGGDRYLDCAYHKGRFQAVTLHGMVEKWDLGGASNGPTREVIYAARPYGGLGCILTRHLVSTPWGDLLQVRAILARHYPDGIAFQICKVDPDGCKGVVQGNVLMDHALFLGLNHSACLPTQNLPGIRPHCIYFSAPVIIHAFDWLLGLRVWGGVRTYDVKTGKFERTVPFCDVKEQIYGLFPSEVWITQNL >ONIVA03G26450.1 pep chromosome:AWHD00000000:3:22029926:22036325:1 gene:ONIVA03G26450 transcript:ONIVA03G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSIVFPFFFFFFFFFFFFFFFLLLLLLFLFLCSQEEQERPPAVKPVSTRSAGKEVDPRGDSRKKRVTPHRGGGWRRCGPCSWRSPDGVEDDRASGAVTEGRAPGVPGREAGGELGDTEDDDGSEGDSVVSVTKA >ONIVA03G26440.1 pep chromosome:AWHD00000000:3:22025795:22026732:-1 gene:ONIVA03G26440 transcript:ONIVA03G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMINTQCNRPSACATAPTETTCRRRPGDVVQLYQSNHIDAMRIYLPNDTILHALRGTRIAVVLDAPDVRSLASNDATNASSSAAQTWVQANVRPYYPDVNIKYIAVGNEVKDGADKPKILPAMNNIRDALSAAGLGGHIKVSTAVEMSVVAGSPLPSGSAFADPLHHGSWRANGSPLLANVYPYYAYKNDNGVDLNFALFRPSSTTIDDNGHTYTNLFDAMVDSIYSAMEKEGGPDVPVVISETGWPSADGRGASKDNARVYNQNLINHVGKGTPKRPVALETYIFAMFDENQKKGDAIERNKFTS >ONIVA03G26430.1 pep chromosome:AWHD00000000:3:22024750:22025537:-1 gene:ONIVA03G26430 transcript:ONIVA03G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPLTVGPTCQSSSLSPFSLFLSPSSPLAGGRAWAAVWRRPAGAEAVPTVEESTMARPALGETGSASPATRVLIGASITVAFLLLLAFFFIILPRGCTPPEVVSSSKEGGIRGRRDGGGVFQVESYGERCEHVAEEDGHDRRRFPRGYVDYLYLFDCVFGVERRVLGYGVMAAWLPQGPLVAAPPLPTITSVTLLSLGNGALDALSTIASSPTAGERGRPPPSSGNLQFAHNSHAQPH >ONIVA03G26420.1 pep chromosome:AWHD00000000:3:22015431:22020025:-1 gene:ONIVA03G26420 transcript:ONIVA03G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1 [Source:Projected from Arabidopsis thaliana (AT1G13690) TAIR;Acc:AT1G13690] MNQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEIKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEQRAAMQAAEKLHREKLAAEKEGEKEEETDTNADPMAAAEAQALKQSS >ONIVA03G26410.1 pep chromosome:AWHD00000000:3:22004901:22007420:-1 gene:ONIVA03G26410 transcript:ONIVA03G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETSIFGRDKEKNWVISKLTESSIQQNIKIISVIGLGGSGKTTLAKLVFNDGNTIKQHFELILWVHVSREFDVEKLVEKLYEAIAGDKPNHLPLQRVSRTISDKLAGKKFLVVMDDVWTEDHAHWEQFMVHLKSGAPGSSILLTARSRKVAEAVDSTYTFDMPFLSEDNSQKVFEQNLGSAAIGLDPEFLQIGTEIMKKCSGVPLAIKVLAGVLRGMKGIEEWQSIRDSNLLDVEDEERKIFACLLLSYIHLPHHLKRCFLHCSIFPRGYVIKRRHLISQWIAHGFIPTNQAQQPEDVGIGYFDSLLKVGFLQDQEQDHSDEVTCKMHDLIHDLSRKILQDEFVSGIETIDQTKKCRYLSLTSCSGKVDRKLYDKVRAFYVSRCKLASDRTMNKQRCIRTVILKYMNIDSLHLFVSNFEYMGYLEISNVNCEALPDAISHCWNLKALHVIKCTRLANLPESIGKLKKLRTLELNVAWNVKSLPQSIGDCDNLGSLYLENCGIRDMPNSIEKLENLRVLSFVYCTDLQQLLPSEPYGKLRNLQTITLTFCTAFKHLPQCITLLGHLQYVDLSCCTELRELPEGIGALKKLEVLNLERCRRLCGLPAGCGQLIRLQQLGLFVIGDRTKHARISELEKLDKLNGELQIKNIKHVKDPFDAEMVHLKRKNGIRKLSLDWSSRPPEISP >ONIVA03G26400.1 pep chromosome:AWHD00000000:3:21997094:21998582:-1 gene:ONIVA03G26400 transcript:ONIVA03G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLTELRTLEISECRDLRYLPESMRSLTCLHMLLIDRCNLCVLPEWLGELQSLQDLRFLNLPIITSIAPQSIQRLTCLQVLHIMSCHALQQLPEQLGELCSLRGLHIYDLPGVTCLPESMQRLTSLQWLTLICCDALTQLPEWLETNVQSITVMIV >ONIVA03G26390.1 pep chromosome:AWHD00000000:3:21993602:21996477:-1 gene:ONIVA03G26390 transcript:ONIVA03G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEERDAAAASSLAEFHASRAGVRGLVESGATAVPPLFLPPGCGRERSTPPTPPRATAFAIPTVDLSLPRSATVPLVRAAATSCGFFHVTSHGVPRGTVASAVAAVRAFHEQPAASRSPCYSLAPVGGVAYSTIPIQQPPPQDGSSSDHRAATAASPLLPWRDSLVVRFGPGPEAPDLGRLPASCRDALPEYQRSLTVFGKEMAGLLSEALGGGGGGGGVGAERLEREMQVEGWLMACHYYPPCPEPERVVGSLEHTDPSLFTVLAQDAVGGLQVRREEEEGGGGGGEWVDVAPVAGALVVNVGDVLKMVSNEEYKSVEHRVVIKSSQDARVSIAVFFNPAKRDASDLFGPLPELLTAERPARFRRFSVPEFMRSRRESGHGKSSIDSFRIAAD >ONIVA03G26380.1 pep chromosome:AWHD00000000:3:21976705:21984780:-1 gene:ONIVA03G26380 transcript:ONIVA03G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) TAIR;Acc:AT4G24400] MVGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTESGESVAMKVVDRSSILKHKMADQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLNEADARRYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGGALLRTTCGTPNYVAPESVFPSSSLTPSLFPSLSPLPSRPPAPRWPGTAPPPSSSSPAQGSAAQGSAVPVHEDEAGLWFPFADSLEKDIFSDLFYEAPVAAAATAAAAGLGADGDSDGEGKPCKDDAAMAEERGGPGAARPDAAAQVLSHKGYDGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAWFPNGAKSLIHRILDPNPDKRIRIEDIRNDEWFKKNYEPTREIESEEVNLDDVNAAFDDPEEDADHTLDDEAGPLTLNAFDLIILSQGLNLAALFDRRQDYDKLQNRFLSRKPAKVIMSSMEVVAQSMGYKTHIRNYKMRVEGLNANKTSHLTVMLEIFEVAPSIFMIELQRAAGDTSDYNKFINNYCSKLDDIIWNFPIEKSKSRISRLSKRYEVY >ONIVA03G26380.2 pep chromosome:AWHD00000000:3:21976705:21984780:-1 gene:ONIVA03G26380 transcript:ONIVA03G26380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) TAIR;Acc:AT4G24400] MVGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTESGESVAMKVVDRSSILKHKMADQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLNEADARRYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGGALLRTTCGTPNYVAPEVLSHKGYDGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAWFPNGAKSLIHRILDPNPDKRIRIEDIRNDEWFKKNYEPTREIESEEVNLDDVNAAFDDPEEDADHTLDDEAGPLTLNAFDLIILSQGLNLAALFDRRQDYDKLQNRFLSRKPAKVIMSSMEVVAQSMGYKTHIRNYKMRVEGLNANKTSHLTVMLEIFEVAPSIFMIELQRAAGDTSDYNKFINNYCSKLDDIIWNFPIEKSKSRISRLSKRYEVY >ONIVA03G26380.3 pep chromosome:AWHD00000000:3:21977019:21984780:-1 gene:ONIVA03G26380 transcript:ONIVA03G26380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) TAIR;Acc:AT4G24400] MVGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTESGESVAMKVVDRSSILKHKMADQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLNEADARRYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGGALLRTTCGTPNYVAPESVFPSSSLTPSLFPSLSPLPSRPPAPRWPGTAPPPSSSSPAQGSAAQGSAVPVHEDEAGLWFPFADSLEKDIFSDLFYEAPVAAAATAAAAGLGADGDSDGEGKPCKDDAAMAEERGGPGAARPDAAAQVLSHKGYDGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAWFPNGAKSLIHRILDPNPDKRIRIEDIRNDEWFKKNYEPTREIESEEVNLDDVNAAFDDPEEDADHTLDDEAGPLTLNAFDLIILSQGLNLAALFDRRQDYDKLQNRFLSRKPAKVIMSSMEVVAQSMGYKTHIRNYKMRVEGLNANKTSHLTVMLEIFEVAPSIFMIELQRAAGDTSDYNKFINNYCSKLDDIIWNFPIEKSKSRISRLSKR >ONIVA03G26370.1 pep chromosome:AWHD00000000:3:21973525:21977951:1 gene:ONIVA03G26370 transcript:ONIVA03G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N-7) methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G17660) TAIR;Acc:AT5G17660] MASPATATASLCCRLVRLPLLVPYARRRSPLSTRCSAAQSPDAVDREYADLNLRPLYPNRGHHLRIRQHVNPLSSSFSEPTEPPEWKEVFEDPLLPLMVDIGCGSGRFLIWLAKNSGERRNYLGLEIRQKLVERSQFWVTELGLRNVYFMFANATVSFNQIASSYPGPLSLVSILCPDPHFKKRHHKRRVLQSQLVDSITNNLCLGGRVLLQSDVLEVAADMRERFDEYSDVFEHVDCIDKDLRCDNEGWLLDNPMGIRTEREVHAELEGATIYRRMYQKTRDVSH >ONIVA03G26370.2 pep chromosome:AWHD00000000:3:21975585:21982268:1 gene:ONIVA03G26370 transcript:ONIVA03G26370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N-7) methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G17660) TAIR;Acc:AT5G17660] MFIGSVDTGVIQAVMWRRRRRLPSTPAADGVEDGSSGSRDLPSWIEYSGGGNDVPLSFLTMHPTWPAASRRRSRRPCRRAAAWRAPSPRSPATAGSATTRAAPRAGALRRPAIDDASDGARDGSRNTAARAVTGRVADASADSSASLALRLRSCGAGPLRTAPAAAHGSVKSSPSRATWWRSPSRDSRRMAAAKCRRSGTPTCGATAAALPRP >ONIVA03G26360.1 pep chromosome:AWHD00000000:3:21961825:21965368:-1 gene:ONIVA03G26360 transcript:ONIVA03G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRRSVRRPRAPVGSAGLPPSQSHTHPVERICMSSQASATGAASGTVPVLNPISRFCNSTAARRTYQPNSTLVAAIVANASASGGSSAGSIGAAPDTVYGLTLCRGDVTGADCAACLSSTSVDDVQQWCGRSKEVTVYRDTCQLWFSDQDFVSAASNIPETAAWNINNITEPVFPGWDPTTPRWAAYSTKKRFATTKMDIGGAFPTIYSLTQCTPDLSSESCFKCLQGTIQESLKWFDGRRGGRIIGVHCLIRFETSIFYNGEPMRIMGPSTNSTSADGNRSKRKLSGLAVSIVFPVMGVLLFCVILGFGWIIRRNKIGKASLQEKTSTYLYEEEALAWPIQGQSSELLFDFACIIRATNNFSRENKIGEGGFGTIYKGKLDRLEIAVKRLDSHSGQGFVEFRNEIQLIAKLQHSNLVRLLGCCSKGEEKILVYEYLPNKSLDFFIFDEPNQRALLDWNKRLAIIEGIAQGLLGYIAPEYASEGLFSVKSDVFSFGVLTLEIVSGKRNPGFHQYGDFLNLLGYAWQLWTEGRWLKLIDVVLLTDCLVEAPLMMKCVNIALLCVQENAADRPTMSDVVAMLSSEGVSLPVPKHPAYFNVRVRNGEASSAIDLELCSVNEVTITAPGCR >ONIVA03G26360.2 pep chromosome:AWHD00000000:3:21961825:21965368:-1 gene:ONIVA03G26360 transcript:ONIVA03G26360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRRSVRRPRAPVGSAGLPPSQSHTHPVERICMSSQASATGAASGTVPVLNPISRFCNSTAARRTYQPNSTLVAAIVANASASGGSSAGSIGAAPDTVYGLTLCRGDVTGADCAACLSSTSVDDVQQWCGRSKEVTVYRDTCQLWFSDQDFVSAASNIPETAAWNINNITEPVFPGWDPTTPRWAAYSTKKRFATTKMDIGGAFPTIYSLTQCTPDLSSESCFKCLQGTIQESLKWFDGRRGGRIIGVHCLIRFETSIFYNGEPMRIMGPSTNSTSADGNRSKRKLSGLAVSIVFPVMGVLLFCVILGFGWIIRRNKIGKASLQEKTSTYLYEEEALAWPIQGQSSELLFDFACIIRATNNFSRENKIGEGGFGTIYKGKLDRLEIAVKRLDSHSGQGFVEFRNEIQLIAKLQHSNLVRLLGCCSKGEEKILVYEYLPNKSLDFFIFVRNIGEAYLQLGCSGKLYMTYHVCADEPNQRALLDWNKRLAIIEGIAQGLLYLHKHSRLRVTHRDLKASNVLLDHNMNPKISDFGLAKIFSSNDIEGNTKRVAGTYGYIAPEYASEGLFSVKSDVFSFGVLTLEIVSGKRNPGFHQYGDFLNLLGYAWQLWTEGRWLKLIDVVLLTDCLVEAPLMMKCVNIALLCVQENAADRPTMSDVVAMLSSEGVSLPVPKHPAYFNVRVRNGEASSAIDLELCSVNEVTITAPGCR >ONIVA03G26360.3 pep chromosome:AWHD00000000:3:21961659:21964550:-1 gene:ONIVA03G26360 transcript:ONIVA03G26360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLILLLIFAPSASATGAASGTVPVLNPISRFCNSTAARRTYQPNSTLVAAIVANASASGGSSAGSIGAAPDTVYGLTLCRGDVTGADCAACLSSTSVDDVQQWCGRSKEVTVYRDTCQLWFSDQDFVSAASNIPETAAWNINNITEPVFPGWDPTTPRVFPLSLAPCIHYFVKQQTYSTKKRFATTKMDIGGAFPTIYSLTQCTPDLSSESCFKCLQGTIQESLKWFDGRRGGRIIGVHCLIRFETSIFYNGEPMRIMGPSTNSTSADGNRSKRKLSGLAVSIVFPVMGVLLFCVILGFGWIIRRNKIGKASLQEKTSTYLYEEEALAWPIQGQSSELLFDFACIIRATNNFSRENKIGEGGFGTIYKGKLDRLEIAVKRLDSHSGQGFVEFRNEIQLIAKLQHSNLVRLLGCCSKGEEKILVYEYLPNKSLDFFIFVRNIGEAYLQLGCSGKLYMTYHVCADEPNQRALLDWNKRLAIIEGIAQGLLYLHKHSRLRVTHRDLKASNVLLDHNMNPKISDFGLAKIFSSNDIEGNTKRVAGTYGYIAPEYASEGLFSVKSDVFSFGVLTLEIVSGKRNPGFHQYGDFLNLLGYAWQLWTEGRWLKLIDVVLLTDCLVEAPLMMKCVNIALLCVQENAADRPTMSDVVAMLSSEGVSLPVPKHPAYFNVRVRNGEASSAIDLELCSVNEVTLSSGYSSNTEDQCIRHWMTSQLSLEQY >ONIVA03G26360.4 pep chromosome:AWHD00000000:3:21961825:21964550:-1 gene:ONIVA03G26360 transcript:ONIVA03G26360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLILLLIFAPSASATGAASGTVPVLNPISRFCNSTAARRTYQPNSTLVAAIVANASASGGSSAGSIGAAPDTVYGLTLCRGDVTGADCAACLSSTSVDDVQQWCGRSKEVTVYRDTCQLWFSDQDFVSAASNIPETAAWNINNITEPVFPGWDPTTPRVFPLSLAPCIHYFVKQQTYSTKKRFATTKMDIGGAFPTIYSLTQCTPDLSSESCFKCLQGTIQESLKWFDGRRGGRIIGVHCLIRFETSIFYNGEPMRIMGPSTNSTSADGNRSKRKLSGLAVSIVFPVMGVLLFCVILGFGWIIRRNKIGKASLQEKTSTYLYEEEALAWPIQGQSSELLFDFACIIRATNNFSRENKIGEGGFGTIYKGKLDRLEIAVKRLDSHSGQGFVEFRNEIQLIAKLQHSNLVRLLGCCSKGEEKILVYEYLPNKSLDFFIFDEPNQRALLDWNKRLAIIEGIAQGLLYLHKHSRLRVTHRDLKASNVLLDHNMNPKISDFGLAKIFSSNDIEGNTKRVAGTYGYIAPEYASEGLFSVKSDVFSFGVLTLEIVSGKRNPGFHQYGDFLNLLGYAWQLWTEGRWLKLIDVVLLTDCLVEAPLMMKCVNIALLCVQENAADRPTMSDVVAMLSSEGVSLPVPKHPAYFNVRVRNGEASSAIDLELCSVNEVTITAPGCR >ONIVA03G26360.5 pep chromosome:AWHD00000000:3:21961825:21964550:-1 gene:ONIVA03G26360 transcript:ONIVA03G26360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLILLLIFAPSASATGAASGTVPVLNPISRFCNSTAARRTYQPNSTLVAAIVANASASGGSSAGSIGAAPDTVYGLTLCRGDVTGADCAACLSSTSVDDVQQWCGRSKEVTVYRDTCQLWFSDQDFVSAASNIPETAAWNINNITEPVFPGWDPTTPRVFPLSLAPCIHYFVKQQTYSTKKRFATTKMDIGGAFPTIYSLTQCTPDLSSESCFKCLQGTIQESLKWFDGRRGGRIIGVHCLIRFETSIFYNGEPMRIMGPSTNSTSADGNRSKRKLSGLAVSIVFPVMGVLLFCVILGFGWIIRRNKIGKASLQEKTSTYLYEEEALAWPIQGQSSELLFDFACIIRATNNFSRENKIGEGGFGTIYKGKLDRLEIAVKRLDSHSGQGFVEFRNEIQLIAKLQHSNLVRLLGCCSKGEEKILVYEYLPNKSLDFFIFVRNIGEAYLQLGCSGKLYMTYHVCADEPNQRALLDWNKRLAIIEGIAQGLLYLHKHSRLRVTHRDLKASNVLLDHNMNPKISDFGLAKIFSSNDIEGNTKRVAGTYGYIAPEYASEGLFSVKSDVFSFGVLTLEIVSGKRNPGFHQYGDFLNLLGYAWQLWTEGRWLKLIDVVLLTDCLVEAPLMMKCVNIALLCVQENAADRPTMSDVVAMLSSEGVSLPVPKHPAYFNVRVRNGEASSAIDLELCSVNEVTITAPGCR >ONIVA03G26360.6 pep chromosome:AWHD00000000:3:21965051:21965436:-1 gene:ONIVA03G26360 transcript:ONIVA03G26360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLQQQARTARPAATSVPLPRWRCHGGQSAVRALRWAVPACRRHRVTHTLSSEYACLLKIYQEDDNNLVGWSATCLLSDDEFLSRNQC >ONIVA03G26350.1 pep chromosome:AWHD00000000:3:21955451:21957403:-1 gene:ONIVA03G26350 transcript:ONIVA03G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETRIRLMGIQNKLDECHVGKAPLEVGPSYVRFLCALTVKYSTRWLGGGGVAVPLQPAAPHGGGGGIRQFTMTMQSDVRPVDDPFVFLDRDAARRVVWAAMVAALPGLDRYDLSDGNWETPRPDAAVAAWIHGLARASYLGRGKRVGHYRVVVFVEVEVELVFSEPKALVADVVAAGGGARKPCGICLDDLDADGLTTPVRLPCGHAFHGQCIAGWLLEGRTCPMCRRDFSRLVLAPSCYQQYGDGCGGRRRWQRRREERRQWRAAPSPLLDLAGGEAVAAGQRQKWMRRRRVGSGRGGARRWSDSLAAVPSPSPVLAGGGGGNGPAAGGGDGGILGSSSGAGGALLSIRQWRW >ONIVA03G26340.1 pep chromosome:AWHD00000000:3:21946612:21947397:-1 gene:ONIVA03G26340 transcript:ONIVA03G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETIIRLRSSSKQLEGHHGTAPLEEGPSYVRFVCDVKVRCWSRRLGGGGEPVRHDGIKFTLETERKHVLDGAGGDVFLDYEETRRMAWAVFTGMRELRCVDLSRSNWATPMPDDDAAAWIHRAVRRNHDDGLAGGHYRFAARVKVAVELVFSEPVSLVRGLVWLETRAGDTCGICLDGLTASERCKTPPANLPCGHAFHPPCITRWLFKGTTCPICRDDLTGLAAAPWESGVMSCPGCIMPSTPCVEDCPSLKALSLNS >ONIVA03G26330.1 pep chromosome:AWHD00000000:3:21921211:21921396:1 gene:ONIVA03G26330 transcript:ONIVA03G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPAAEFPASGSVAATLPITGSAAAALPTTGSAAAEPPTAAVAEPTANAVAQAVYRRSR >ONIVA03G26320.1 pep chromosome:AWHD00000000:3:21920897:21921181:-1 gene:ONIVA03G26320 transcript:ONIVA03G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLALLSTNLSLSLSRADLAAIVIAKPRLLCTKADTIAASARLGHPVRRRRAPPPALCDADVISAVRATTSGGDQTRLIDAIKEAGGDHVRR >ONIVA03G26310.1 pep chromosome:AWHD00000000:3:21918905:21920412:1 gene:ONIVA03G26310 transcript:ONIVA03G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQ86] MVAQLRLGPAGERTEMDMVAAHVLVFPCPVHGHITCMLYFATGLLAAGLHVTFLHSDHNLRRAGRGDDAQLAAAASSPRLRHVYIPDGLPVEHPRDVGRIVELMESLQTKASVAYRSLLASLVGDAGAGATGGFPPVTCVVADGIMSFAVDVAEELGVPALAFRTDSACSFLAYLSLPRLLELGELPFKDGDDLDEPVRGVPGMESFLRRRDLPSQCQDCDDPENDPLLQIVYGFTAHSGNARALVLNTAASMERAALAHIAPHMRDVFAIGPLHAMSPAPAAGGAGGSPWREDDGCLAWLDGQADRSVVYVSLGSLTVISLEQFAEFLSGLVAAGYPFLWVLRTDMVGASQNAAVQEAVAAAGRSKARVVEWAPQRDVLRHRAVGCFLTHAGWNSTLEAAVEGVPTVCWPFFVDQQINSRFVGAVWGTGLDMKDVCDAAVVERMGGEESGEIRASAQALAREVRRDVADGGSSAAEFDRLVEFIVELSTTAVAAINDEE >ONIVA03G26300.1 pep chromosome:AWHD00000000:3:21911523:21912980:-1 gene:ONIVA03G26300 transcript:ONIVA03G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQ85] MAAAGAAAAHVLVFPAPGQGHINCMMHFGAGLVGAGLHVTFLHTDHSLRRLGGAAAAVSPRLRFMSIPDGLPDDHPRAVGDIVELLESLRTNGSVPYRSLLASLVRAGDGGGFPPVTCVVADGSMPFAADVAEEIGVPSLVFRTASACSVLAYLSVHRLFELGEVPFPADGDLDEPVRGVPGMESFLRRRDLPGNFRNCTENQNDPIVQMLIEVTAHNRRARAVVLNTAASMEGPALAHVAPRMRDVFAIGPLHAMFPVLAAAGSLWRADDGCMTWLDGQPDRSVVYVSLGSLTVISLEQFTEFLHGLVAAGYPFLWVLRPDMVGASQSAAALSEAVAAAEKNNKARVVGWAPQRDVLGHRAVGCFVTHAGWNSTLEAAGEGVPMVCWPFFADQQINSRFVGAVWGTGLDMKDVCDAAVVERMVREAMGSGEIRASAQALARQVRQDVADGGSSAAEFERLVGFIKELSMMDRSCKLNSSYSIAE >ONIVA03G26290.1 pep chromosome:AWHD00000000:3:21907907:21910130:1 gene:ONIVA03G26290 transcript:ONIVA03G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLLDEIDSIPEAQPLGRAPPQTPAPPEQIHRQARGAKTPHKATNLFAAPVLIDNYSMCAITVESGKRGSSAAEFK >ONIVA03G26290.2 pep chromosome:AWHD00000000:3:21907942:21910242:1 gene:ONIVA03G26290 transcript:ONIVA03G26290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHKNAEPMVSRDGHAALAVACVGWAYIILFSTIETLSSNVCYCSQSWFCAMELRDSVGVTFLSHRTVATAGHHGGAFLSQGTAAVSRNHSAILREHPA >ONIVA03G26280.1 pep chromosome:AWHD00000000:3:21904758:21905193:-1 gene:ONIVA03G26280 transcript:ONIVA03G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATARVVMSGGELRGRVARGGFFCDADGIVFEGHVVAVLGNVELHPGQIYFVSLAEEVAAVAVKTSAALVNPTGGHCRRGAVAPLVFPLPDEGEMVVAAEAAPSRWWCGSSGWRAEAGGRASSLPT >ONIVA03G26270.1 pep chromosome:AWHD00000000:3:21901767:21904222:-1 gene:ONIVA03G26270 transcript:ONIVA03G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSARRRKEEEAAASPAAGMRAARKSKATAAVGALAARGNKQAAAATKREEARALAIAGTGSGQSPPPEVEIDAEEELSTRKRPQVEGEEGGSESAKRVRDDAVEEEEVDEEAAQVFDFRRVWNELYSAQGNFEDITDIPPMRYTDDAETIYAKCYAAVQVYAVEVTQIRCGLQWPIEVFGHVAVRDSIDRKRNLIFSRGRDNCQTLTAEDSSLVLTGPSRYVIGSDNPDFEVELKVKGITDTEDKVLSLLAFTYNCICSDGRVETKTRSNKRGTVQLTFAVLSATVEATFEVKIVNGSWDSSLRPHLFASTHHLPRMKCVLLDPREGPMVVSGDGSVKLTRSVVSVELLGGLKLTAEALGDEKTVVDSGTVIFKPQRAGRADGFLNLKGCKMAVRIAWSRLSFF >ONIVA03G26250.1 pep chromosome:AWHD00000000:3:21853284:21853831:-1 gene:ONIVA03G26250 transcript:ONIVA03G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQKRSQDGIGRSNIMEAEGILKDLIDDKVNNVDAELHVLQEEHEKDLLEHDRTAQKKRRPRKWEAQSPDQIGKQQ >ONIVA03G26250.2 pep chromosome:AWHD00000000:3:21853210:21853831:-1 gene:ONIVA03G26250 transcript:ONIVA03G26250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQKRSQDGIGRSNIMEAEGILKDLIDDKVNNVDAELHVLQEEHEKDLLEHDSKNGPKEEEAQEMGSPKPRSDWQTAIKRGEYPSFPLSPAEPSSSAGVTPA >ONIVA03G26240.1 pep chromosome:AWHD00000000:3:21848631:21853113:-1 gene:ONIVA03G26240 transcript:ONIVA03G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQDGDAEGSGRGEHRSRGCRSPAETKTDRSPGIPRVLEVGFATVREHHGTKSTTGPQKSNNLQNKKIKSREDGREPEAAELRRGERRRDHEVVFAAAARDPPTLQRPDFDCNEMTGGDLEKASGSLLDQSKQPLNIKRDRRRLEEVSDKMWKDEAKEIGSRSPALTKGSTKESQMLQSLDGKAKNAQKRRKDAKQELRSKIPGVTLVSDDSLFGQAANYIEDLEGTSLDLEGLSGTAMIRKVLPGSNKIRGVTALFEAASRHITEVKKKKKIAPEEVEKEFRPGVDAHDGRAGKKLTNMIPIPHIPKINGDIPSAVEAFADHQRLLDRLVLYDLAEVKVKGDRNCQLESYPEIYAGYVPMDYREYLKKMTEDGEWGDHVTLQAAADLYGVKITLLTSCRDTFYIEVLPADQKPKGAS >ONIVA03G26240.2 pep chromosome:AWHD00000000:3:21848631:21853113:-1 gene:ONIVA03G26240 transcript:ONIVA03G26240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQDGDAEGSGRGEHRSRGCRSPAETKTDRSPGIPRVLEVGFATVREHHGTKSTTGPQKSNNLQNKKIKSREDGREPEAAELRRGERRRDHEVVFAAAARDPPTLQRPDFDCVRQNKHPNGNQSLSCPALCPLTCVCVAMHPTNTENEMTGGDLEKASGSLLDQSKQPLNIKRDRRRLEEVSDKMWKDEAKEIGSRSPALTKGSTKESQMLQSLDGKAKNAQKRRKDAKQELRSKIPGVTLVSDDSLFGQAANYIEDLEGTSLDLEGLSGTAMIRKVLPGSNKIRGVTALFEAASRHITEVKKKKKIAPEEVEKEFRPGVDAHDGRAGKKLTNMIPIPHIPKINGDIPSAVEAFADHQRLLDRLVLYDLAEVKVKGDRNCQLESYPEIYAGYVPMDYREYLKKMTEDGEWGDHVTLQAAADLYGVKITLLTSCRDTFYIEVLPADQKPKGAS >ONIVA03G26210.1 pep chromosome:AWHD00000000:3:21820849:21828141:1 gene:ONIVA03G26210 transcript:ONIVA03G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQ76] MADNQAPPPPPPPPAPESPTAPQTAVHRPDLIPDLNRPSLESILMANVEEAVAAFPGRVDSLRQSYDRLVASSPFLIPFFWGNMEGYVGSAQGYLSRGLASLHGQGQVRHGDGDGAGPSGHAGIAVAAVEAGGQGMEGGASSVADEQGGGDGAAMEEEAVAPVEEEREIKAVAVGEVAADDKDSGEVAVKKERKEAMDLFPHQGDDDASVEPKVDALHLLATATAANADADADADDFNHVGMESKGKGKSPDLDIKVEAMDPSPEQGADMQAVVTMAETEDAAKKAFDAIDKVGIPLMDRTEEEEEEGEAYLEVPLDQAMEMEVHPDQANEEAVMEEVSVQEDDAQEADMEICNDEEKMQVKKMEEEADDGAKRASPERSSGEIVAAGKKKAAMYVPRNQDGGAITDALVGEIKAEAKGKTKRASREEEEDGKVVRDRGGAANAGAERRRRREREPAPRRQLVAACERMDSFDMAELVLRSGRGIAGEFLPALRRAPDAPALALHAAGYALSAGPRDVDSTSWDNLAALLRGVRRLATSGRAAPPLEARAKEATAMAKKWIAMVAGEAEREHQRVAWARSATWALLQFVAAYAIAGNLEVKEMMVFKTVGDRDGGAELIKSLGLPDRATESINRLMKRREHIDAVKVARAFNLIDKFPPVSVIKAYVEKVKEAAQDMVSKDAVSLQALDRAMQEDVAALRSAKEAIEAHDSGSDYRYTIMQEVHKLMRSYEKKKRSLSFGSTSSSHEHKNKRHRSNQAMPRWENQTIPGPPVYFPVPPPYFGHYNPYHPFGPQPRRN >ONIVA03G26200.1 pep chromosome:AWHD00000000:3:21812617:21816051:1 gene:ONIVA03G26200 transcript:ONIVA03G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQ75] MVVSDSPKPQPTPSPPAPPPLPVPAAAGTTMGELEAAIEALAAKKLRLREAFDCLVACSPIPIPFRWDDIDAHVSSIQSSIAGRFRQFQALQTAATAGITAAPATSNASSRVERSVEHLVVVVEGQESHVARHEDGGNGEGEGEGEGGLGKEVAMDVESEEENGMVVEVASEAPRGEDGEVKEEDEKMGGPINASPPSEEIHGKGADMSRRWGNPMEMSADVDDAKTKTTATAAAAELTAMAISPIPGFTGRGGAEASLRRSLAAACASMDSSSLARILCSSGSSSSSHATLAARHFRPALLAAAEPAALVVRAVRDLLARTAPIRDSAWESCVELLSCVPKLAVAPSPGTMEQANRLAEDWKEMIGRTESCSMNLGRLAVWGLLNFLVSYNIVLEFDAEEIIHFFGTLPDDKKQCCISLCKYLGLIDKMADSVGHLIEHGQQLVAIRLACTLNLTDKYTPLSIMEDYIQNAKETAQEILSMESDSESLKLSMSKQVNALILSWRVVGECNIDSVHCDRIKAEITQLLHKYANKRHSLEELPSDTSSPHQKHHQMSQEQHHWQQKHREEQQQQFQNQSKEQEQERRMQKLRELRKKKNKRTQRRKRKQNAQVMKQHQFEKQRKLYHAGSFTNSQSYVRSEIHHHLSQHFSGTIGTPVAPYTSVAPYTGPFW >ONIVA03G26190.1 pep chromosome:AWHD00000000:3:21810037:21811092:1 gene:ONIVA03G26190 transcript:ONIVA03G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIMKKHSAWLKLLLGPKKPARSAAIVETWAADRSKLLLGPKIASGSNSRIHRGMYGEQPVAVKIMHAPVGDDDDDVQVRREMEAQFDAEVSLLSRLRHPNVVRLVGVCREPEVYWIITELMRRGTLSAYLHGREPYSLPPETIVRLALDVARGMEYLHARGVVHRDLKPENLLLDVGGRVKVADLGTSCLEATCRGDKCSSKAGTFRWMAPEMIHDKRCNRKVDVYSFGLVLWELTTCLVPFQNLSPVQVAYSVCDRDARPPLSPSCPPAINSLIKRCWSTEPARRPEFKQIVSVLESYDRCLRQGLPMVALPEPSSSPLASLLGAFKIRSCTSTTRSSITDHRRVHP >ONIVA03G26180.1 pep chromosome:AWHD00000000:3:21796845:21801761:1 gene:ONIVA03G26180 transcript:ONIVA03G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQ73] MDPAAAAAAGGGAGPKSDAAPSAPALHGLPVEMTTGEMEAAIAALPAKKEALREAFDVLAACSPFPLPFAWGDLDSYISSLQSSIDRRFGQLRVLEAARPALAGPAAASTSDGEKGGKQEEDSDEEEKEEEEEEVEEEEVEEEEEIEEEEVEEEIEEEEEEIEEEEEEVREEVQEAGEEVDEEQQGANEEMQKSKEDADESSKSAIPVQKQEEDEAEKEIIEAKDEEQHGDKLASQEHDIGENGDVDAQGVQQVADGETMEAKLEEQNEAKVTSMEHDIEEGDEKASREQGNRALPSCSDHLRGVCAGMDVRGLLKLVCKNQSICLWHEYPVVMRHAPDAAALVLQVVQGFLLSKKMKTTKVWGNCVGLIRCLPAVNASLSSDTMKKAKQLAKDWKEMIDSTGSSRDVLNLSSWGLLYFLISYNIVSEFSVDEIFCIFGTLSRKQQKKNCIELCKGLGLVNRITDLIDYLIGNGQQLEALLLTQAFNLIDKYTPLSLLKGYVERAKQNALDIINMNSPRKSLSQLITKEVDSLMVAQNIVQQQITDFNVRSGMLAEMKKLLDQYATKRSSGDACSASTSNSEQQQQQQQKHTNKKRKREQLEQQQHRGQEIQQQKQQIKPQGKKGQQQTKPEQKKQQQLNTNKPQEQQQQQQQKQQIKPQEKMGKEQTKPEEQQQQQKTNRPQEQQHKKPQKKQQQQQQQQQSKPQEKRPRPCATKLPTPSIPASISPMVPHIVQVDSVGHSPYAAMPISHTYAAQLGWPGNQSAAFAQNVGVSQFMGMFNPQQPNYPFYRHPPFYPR >ONIVA03G26170.1 pep chromosome:AWHD00000000:3:21789293:21790795:1 gene:ONIVA03G26170 transcript:ONIVA03G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGLLPGLRFDPSDDELVSRYLLRRIQQKPLPLDGVIVDADPLSVPPWTLLADHTRGDEAFFFAEARAKNGKGKRQKRTVEGGGFWQGQRMAVDGERLVVPGDDGGGGGDEGLEITWRKYVLSFFAEGERGSSGWVMHEYAVTSPADLASSQLRLYRVRFSGHGKKRKREPQCLDSHDDDDGGDQESATHRRAVAETTLFDGYVPRPAADGTDQGTYGVIDGESSLASHCLPDQIVPPAEEADATAGVENPLLDEERWSPPQPALVKQNSYDLMAISSLLFSDLPDRIDDDDLSVSQTEGTELSEQGSSGVIDDDYWREADATGGAEREEIALLDEERCPQPQPAPPTAALVPPLQGQSSYDLMADSSLLFADLPGSIDDDELQRSLRASDMPDQFLAQTEEAGAGGGGGAAAALNKQSNSSPLGVEVPMALSDLESPESMPLSDLEFPESIDEVLSYIDFTTDDTSCLDFDMDELFSDMPAD >ONIVA03G26160.1 pep chromosome:AWHD00000000:3:21787560:21788610:-1 gene:ONIVA03G26160 transcript:ONIVA03G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLTAACLEVMRHGSCAAVCAPGAARWTPSRRGMASRPGEKVEGRSSTVRKGAWRRWRGTTGWVDSVGSGTPDSGSATAAEGRRWLAGLAHLAARQAGLVGWAETEQAHR >ONIVA03G26150.1 pep chromosome:AWHD00000000:3:21771435:21772876:1 gene:ONIVA03G26150 transcript:ONIVA03G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGLPPGLRFDPSDDELVSRYLLRRIQQKPLPLDGVIVDADPLSVPPWTPLADHTRGDEAFFFAGARAKNGKGKRQKRTVEGGGFWQGQRMAVDGERLVVPGNGGGGVDGSGGSGLEITWRKYVLSFFAEGEQGSSGWVMHEYAVTSSADLASSPLRLYRVQKRKREPQCLDSHDDEDGGDQERAAPRRGVALPAADGTDQGSYGVIDGEPSLVSHCLPDQIVPPAEEADATAGAVDEESWSPPQPASPTAALVKQNSYDLMVISSLLFSDLPDRIDDDDLSVSQTEGTELSEQGSSGVIDDDYWREADATGGAEREEIALLDEERCPQPQPAPPTDALVPPLQGQNSYDVMADSSLLFADLPGRIDDDELQRSLRVSDMPDLFLSQTEEAGAGGGGGAAPVLNKQSNSSPLGVMDSEVPIVLSDLEFPESIDEVLSYIDFATDDCLDFDMDELFSDMPAD >ONIVA03G26140.1 pep chromosome:AWHD00000000:3:21764189:21766534:1 gene:ONIVA03G26140 transcript:ONIVA03G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMQQLAAAATATATATATPLCLPEPPPTAMTTAQVEAAIATLHGKKQGLREAYDSLVLHSPIPLPFRWSDLDSHLSSLQSSIHARFSQLQALQASRPAPPAAAAASHDEDVEMEDVQEQGEDEVMAPPSTVQVKEEPVEAAACASNTAGERAGVGQDGFVRPGGMAAAKMASPSKVQVKEEPVEVSPSPPAGATGLTAAACASMDAPRLTDVCKRAGGGGGQGLCARNGSGTAQSPPIPSCPVLQKQHTAGAPNGSHPVLRQHAANAMNAGHSAAFRPQQHMGKPRDTCDLRKAAAPNTGDRLPLQWRQQRVHANLTCPLPPPVVAGSSSSPPQQRVGVFPSPTPQIVGSSPPPPSQARVGEANVTNPSRQQFTASAPHAGDGQLQKRPPWQRLQRVGVANPMNAGDLPPQKPHFTANARNAGVHPFQEQQQPPPVAKPTDAAAGDLLPQQKQLMANAPNAGEHLLPEQQKQQPVTAAKPANAPPLQHAANATNPAVLRRQRQRQWVRLRPPTATNLPQTKQEQHHLFMADDGANARNPLSPPPPCGMAKPPNSGDPLTDQNNQQLMANTHSAPTPVSTPLVASNQSESSAMTTTTTTTNSNQNSGGGRTGPQPVAAGAAPNPAGNQQQGQRKGGANRRGGRGQGNKNNNVANTNISNMSKHTHFRVILMLSY >ONIVA03G26130.1 pep chromosome:AWHD00000000:3:21761284:21762242:-1 gene:ONIVA03G26130 transcript:ONIVA03G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASSMASMRGEEAGGEDLEATLPLHLKRLARHGSISSSSLAPHLERALMPLEFEVGAVGFEEATATAASLTADTVSSTTMGESRELAVVIVSSSLEPSLRCRANPATGGG >ONIVA03G26120.1 pep chromosome:AWHD00000000:3:21750848:21756307:-1 gene:ONIVA03G26120 transcript:ONIVA03G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin motor family protein [Source:Projected from Arabidopsis thaliana (AT3G20150) TAIR;Acc:AT3G20150] MEMLRRNLKRQASRSLSAFAVSSPRAGAVAAADADQENLHPNLAAASPPMSPAAKNSSAAPGASPRSSKPVPTSAAPPSKAAAEGEQASAPANEAPAVKVVVRVRPTVSRPVDGKDLFFVRKTSPCSVAVGDRSFAVDGFLDDRASQADAFDLIGVPMIESALAGFNSSLVCYGQSGTGKTYTMFGALAAMVDSSSDHADRGVVPRVFQNLFAQIQGRQESSPEKQTSYQCRCSFLEVHNEQINDLLDPSQRNLQIRENAGNGIHVENLTDEYVSTVEDVNQILMKGLSNRKVGTTSMNLKSSRSHVIFSCVIEAWSKGFSNGFSSSRTSRITFVDLAGPDNDELDGGNKHCTREERYVKKSLSKLGKLVNILSEAPETQKDDSPHKQSCLTHVLKDTLGGNSRVTFLCSISSEHRCRTTTLSTLRFGERAKLMSNKAVVNEISEDDVNGLSDQIRQLKDELIRTKSGDTEPCKNGYFSAQNARESLHNLRVSLNRSLILPHIEVDSEEEMDVDEEDVQELRDQIRKLHSSSEDTFDDFMDAESGDDTPCSKGNPKTSEEDDQPVIDDCEDPIQEEHEVLSSTKVDQDLVSDRKSFLSVSASPHLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLSVSDCPGDEVSRKSAVRSSLQSSKLSPTDSLAASLQRGLHIMEYHEQNQGPRKSFVGLSFDHFALNPRQSVAKVSSGVLASPERKGATSSALCSSCKKAIDTDGNQKDNINAEKQIVIATSVVPEVKDDITASTIASKRQTELEALCEEQADKIKELSNLVDQYKKCSEDAQNSDGTEPTKELVDEAKVGEQHGELNVNDREELLSEIQRLKDQLKQQAGESTNVSLLEHLRNGSTDQEYELDREREKWMESESKWICLTEELRVDLESNRMLAEKTEMELSNEKKCTAELDDALQRAIYGHARIIEHYAELQEMYNDLLERHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAAMQQENDKLKKQLEKMKKKHEMEMETMKHFLADSRLPESALGGFYRQESEDVPEYNNHATSTCDDDQSWRAAFTSAYE >ONIVA03G26110.1 pep chromosome:AWHD00000000:3:21745110:21752818:1 gene:ONIVA03G26110 transcript:ONIVA03G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lysine decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT1G50575) TAIR;Acc:AT1G50575] MAEEQFLAVAVDAAKNAGEIIRKGFYQTKNVEHKGQVDLVTETDKACEDLIFNHLRKHYPDHKFIGEETSAALGATADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTVGKIPTVGVVYNPIMNELFTAVRGKGAFLNGSPIKTSSQNELVKALLVTEVGTKRDKATLDDTTNRINKLLFKIRSIRMCGSLALNMCGVACGRLDLCYEIGFGGPWDVAAGALILREAGGFVFDPSGGEFDLMARRMAGSNSYLKDQFIKELGDTS >ONIVA03G26110.2 pep chromosome:AWHD00000000:3:21745110:21752066:1 gene:ONIVA03G26110 transcript:ONIVA03G26110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lysine decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT1G50575) TAIR;Acc:AT1G50575] MAEEQFLAVAVDAAKNAGEIIRKGFYQTKNVEHKGQVDLVTETDKACEDLIFNHLRKHYPDHKFIGEETSAALGATADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTVGKIPTVGVVYNPIMNELFTAVRGKGAFLNGSPIKTSSQNELVKALLVTEVGTKRDKATLDDTTNRINKLLFKIRSIRMCGSLALNMCGVACGRLDLCYEIGFGGPWDVAAGALILREAGGFVFDPSGGEFDLMARRMAGSNSYLKDQFIKELGDTS >ONIVA03G26110.3 pep chromosome:AWHD00000000:3:21748818:21754032:1 gene:ONIVA03G26110 transcript:ONIVA03G26110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lysine decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT1G50575) TAIR;Acc:AT1G50575] MAAAAPSSVVAGLVSGLGLARSSLTPSDGRSPSLSPLHPPWPAAPRLVCRSSGARPPRERAVAAAAAAANSPRQQQQLGEHEHEEAVAAAAMEPERSSPHEVREEIARCFDLVRRLGRGAVYLGSSRIARLLDCTTWSGAGPGFMDAATQGALEAGKPVGGFKIGKEAGEWTTSNFHPYLPSESYLTCRFFSARKHGLVDAAVRNCPTDRTAIIALPGGIGTLDELFEMMALIQLERIGSTLPVPFLLLNYDSYYSKLLDFLNDCEEWGTVAPGEVASLWKVCNGNHEALEYLAQFYNVPLAERNYCISPQLKQQATS >ONIVA03G26110.4 pep chromosome:AWHD00000000:3:21748818:21752818:1 gene:ONIVA03G26110 transcript:ONIVA03G26110.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lysine decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT1G50575) TAIR;Acc:AT1G50575] MAAAAPSSVVAGLVSGLGLARSSLTPSDGRSPSLSPLHPPWPAAPRLVCRSSGARPPRERAVAAAAAAANSPRQQQQLGEHEHEEAVAAAAMEPERSSPHEVREEIARCFDLVRRLGRGAVYLGSSRIARLLDCTTWSGAGPGFMDAATQGALEAGKPVGGFKIGKEAGEWTTSNFHPYLPSESYLTCRFFSARKHGLVDAAVRNCPTDRTAIIALPGGIGTLDELFEMMALIQLERIGSTLPVPFLLLNYDSYYSKLLDFLNDCEEWGTVAPGEVASLWKVCNGNHEALEYLAQFYNVPLAERNYCISPQLKQQATS >ONIVA03G26110.5 pep chromosome:AWHD00000000:3:21748818:21752066:1 gene:ONIVA03G26110 transcript:ONIVA03G26110.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lysine decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT1G50575) TAIR;Acc:AT1G50575] MAAAAPSSVVAGLVSGLGLARSSLTPSDGRSPSLSPLHPPWPAAPRLVCRSSGARPPRERAVAAAAAAANSPRQQQQLGEHEHEEAVAAAAMEPERSSPHEVREEIARCFDLVRRLGRGAVYLGSSRIARLLDCTTWSGAGPGFMDAATQGALEAGKPVGGFKIGKEAGEWTTSNFHPYLPSESYLTCRFFSARKHGLVDAAVRNCPTDRTAIIALPGGIGTLDELFEMMALIQLERIGSTLPVPFLLLNYDSYYSKLLDFLNDCEEWGTVAPGEVASLWKVCNGNHEALEYLAQFYNVPLAERNYCISPQLKQQATS >ONIVA03G26100.1 pep chromosome:AWHD00000000:3:21736950:21743793:1 gene:ONIVA03G26100 transcript:ONIVA03G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGGGGGGGGSSGKSGTGRMVSLQEFVSSMAPLIDLEKAAEISAESATSSKTLERRGCVMANLKCTDAQTGLMGKTLLEFQPNKGDVLPPHKFGTHDVVALKPNKADAGSAALGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALVQLSKGIQTGPSANLIPVLFGENPPMSSKDVAKFSPFNKNLDESQKDAISKALRSRDVFLLHGPPGTGKTTTIIEIILQEVKRGSKILACAASNIAVDNIVERLSRYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDKNTKRDIRKELRTLAKEERKRQQLAVADVIKNADVVLSTLTGASSKKLDGITFDLVIIDEAAQALEMACWIALLKGPRCVLAGDHLQLPPTIQSAEAEKKGMGKTLFERLTEAYGDQITSMLTIQYRMHELIMNWSSKELYNNKIKAHSSVADHMLYDIEEVKRSSSTEPTIILIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVRASDIGIITPYAAQVTCLKMMRNEDTKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDVETVSNDKFLKRLVEYFEENGEYLSASEYQS >ONIVA03G26090.1 pep chromosome:AWHD00000000:3:21728829:21736747:-1 gene:ONIVA03G26090 transcript:ONIVA03G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQ60] MAESGSSGLEEKLAGLSAGGGEEPQQLSKNAKKREEKRKKQEEERRLKEEEKKKKAAATAAASGEPPKESAADDEEMDPTQYYENRLKALDSLKATGVNPYPHKFLANITVADYIEKYKSMNVGDKLVDVTECLAGRIMTKRAQSSKLLFYDLYGGGEKVQVFADARTSELEDNEFIKFHSTLKRGDIVGVCGYPGKSKRGELSIFPKKIVVLSPCLHMMPRQKSEGSAVPTPWAPGMGRNIEKYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKVVSFIRKFLDGLDFLEVETPMMNMIAGGAAARPFVTHHNELNMRLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLIELTETMLSGMVKELTGGYKIKYHANGVEKPPIEIDFTPPFRKIDMIKELETMAKLNIPKDLSSDEANKYLIDACAKYDVKCPPPQTTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGSSSIPGYEASRLDMSTKQEPVRRIKAD >ONIVA03G26080.1 pep chromosome:AWHD00000000:3:21711413:21723379:-1 gene:ONIVA03G26080 transcript:ONIVA03G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEEQVAPEQVISTRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQAGSLRVHGVAMPTMKGIVNVLNHIGAQKKGKQTQVLWHSLREEPVIYINGRPFVLRDVERPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPNGQMVDQWESVVTDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVRRISQVDIETEIIFNCQMGRGRTTTGMVIATLIYLNRIGSSGIPRTSSIGKVFHSGNDVDDYMPSSEEAILRGEYSVIRSLGGVEGKRQVDKVIDKCDTMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYVHSVCSAQQSNFSEEVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPSLAKIVEYADGRPHEMDIVAAMRNGEVLGRQTVLKSDHCPGCHNLHLPERVEGAPNFREIPEFPVYGVANPTVDGIRAVIQRISTSKGGRPILWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVERMEARLKEDILREAERYSGAIMVIHETDNGEIFDAWENVNNEAVLTPLEVYKLLESEGLPIKYARVPITDGKAPKSSDFDTITLNVAAAHKDTAFVFNCQMGRGRTTTGTVIACLLRLRIDHGRPIRLPACEYNHEDPNELGYSSGEETTDHNGHLNSSSPRPHTVTEQHPRFGIDDILVLRKITRLFDNGIECRQTLDNVIDKCSALQNIRQAVLQYTKVINQQHVEQRVKRVALNRGAEYLERYLKLVAFSAYLWSEAFDGFCGQGEAKMSFKAWIHQRPEIQSMKWSIRLRPGRFFTVNDESKASFQPSQGDVMMEAIVKARNGSVLGKGSILKMYFFPGQKRSSTINFCGAPQVFKVDGYPVYSMATPTVDGAKEVLSYLGSKDTGRSIPQKVVVTDLREEVVVYIKGTPFVLRELDQPVDTLKHVGISGPMVENIEARLKEDILSEVKQQGGRLLLHQEEFNSSTGQSSVVGFWEHIGTEDVMTPAEVYSVLRNQGYCIDYKRIPLTREREALASDVDAIQSSVDENARYYLFISHTGYGGVAYAMAITCLGLGADEKFIMEQTAETHFISTSLTKSVSIKTSADIALKQGDYRDILNLTRVLVHGPKCKEEVDTVIDRCVGAGHLREDIMHYRKALQDCSLDDDETWSYLMDMGTKALRRYFFLITFRSYLYCSSLREPTFASWMEARPELGHLCDNLKLDK >ONIVA03G26070.1 pep chromosome:AWHD00000000:3:21701481:21704828:-1 gene:ONIVA03G26070 transcript:ONIVA03G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNDMDMGYEDEPPEPEIEEGAEEEPENNEDGPDEVVGAEAEDKEQEKTQRPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG >ONIVA03G26060.1 pep chromosome:AWHD00000000:3:21698267:21702639:1 gene:ONIVA03G26060 transcript:ONIVA03G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:post-illumination chlorophyll fluorescence increase [Source:Projected from Arabidopsis thaliana (AT3G15840) TAIR;Acc:AT3G15840] MATLSSCSRLSTGGGAVQRRPRRPASAATITCHRSSSSSSSARVVRTGAAAAPAAATAPAVPQTNECSLPTWAEFELGKAPVYWKTMNGLPPSAGEGLILFYNPAATKMTPNAQFGIAFNGGFNQPIMCGGEPRQMTLQERGSADPPIYTIRIRVPQHAMTLVFSFTNGVDWDGPYTLKFRVPKPWLNKPLSFFNEGLADELNREGACDRAIFPDENVVITSCEMGSYYEEGGDRCKLDIVSGCMDPNSHMFDPLATVDDGSCPMDSDSEE >ONIVA03G26060.2 pep chromosome:AWHD00000000:3:21698267:21701291:1 gene:ONIVA03G26060 transcript:ONIVA03G26060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:post-illumination chlorophyll fluorescence increase [Source:Projected from Arabidopsis thaliana (AT3G15840) TAIR;Acc:AT3G15840] MATLSSCSRLSTGGGAVQRRPRRPASAATITCHRSSSSSSSARVVRTGAAAAPAAATAPAVPQTNECSLPTWAEFELGKAPVYWKTMNGLPPSAGEGLILFYNPAATKMTPNAQFGIAFNGGFNQPIMCGGEPRQMTLQERGSADPPIYTIRIRVPQHGQQLIVLFR >ONIVA03G26060.3 pep chromosome:AWHD00000000:3:21698683:21702639:1 gene:ONIVA03G26060 transcript:ONIVA03G26060.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:post-illumination chlorophyll fluorescence increase [Source:Projected from Arabidopsis thaliana (AT3G15840) TAIR;Acc:AT3G15840] MYVIACCHRSEILSGWFCTRSHDPRLLLHKRGGLGWTVHPQVQGPQAMAQQALELLQRGSSRRTEQRRGMRQSHLPRRKRCHHKLRDGQLLRRGGRSLQAGHCVRVHGSKLAHV >ONIVA03G26050.1 pep chromosome:AWHD00000000:3:21695072:21697348:-1 gene:ONIVA03G26050 transcript:ONIVA03G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPHRRNNCQGKYIIPSAPHALALPPPLSPSTTRIPQRRPSPAPLPCTQALLDLDREQSSLDQSLRLTAVVGQWRRGDPVYMHCYSCPTAYGTTSRQCDTGFNLPKKENGSCGGQYNLASKKGDLPTPTIQVVLPFSAVTEDEFQKQLTQDSGNLSFPKEKQMEALVARLKGQ >ONIVA03G26040.1 pep chromosome:AWHD00000000:3:21682881:21692376:-1 gene:ONIVA03G26040 transcript:ONIVA03G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucanase 113 [Source:Projected from Arabidopsis thaliana (AT2G35610) TAIR;Acc:AT2G35610] MAPWCPADSTKPVFVGIYGAVIGGFAVSALFFLLSSFSSLAAPPLPIPPASAAAANLSGAVSGVAQPETMYNRPIWKPPPRRERMPAPRAFRLTREMVGARARGGAIVVTFGNHAFLDFILTWVRHLTDLGVDSLLVGAMDTKLLRELYLRGVPVFDMGSRMATEDAVWGSPTFHKMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVIPTVTDDSLENWREVTGAYNIGIFHWRPTEPAKRLAKDWKDLLLSDDTLWDQNAFNDLIHKKFGYPVVGEDELVYSYDGKLKLGVLPASIFCSGHTYFVQGMYQQLRLEPYAVHTTFQYAGTAGKRHRLREAMLFFDQPSYYDSPGGFLSFRPNIPKSLLLDGAHTVKSHFALVNYQLKQIRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVLEGTMTRQPFLCPMDHVFEVHVMLKDLPKEEFGPHIDFREYSFLENPSLPKQVKESFLEVELCNEHSTRCSTTNRTNKGAPVLLPRNSTEQTLLDIFKPYKDIKILQFSSMVNAFGGFSDALREIGHIYYDMYWDEKPGWKPLPPQTKVEDHPPWLEVRDLDGLGLDADALRLPAHLFSVFDGHGNAEVVNYCRERIHVVLSEELRIQMVPHGCLTHGAARALAPPHPAALLQDARLGGGVAREAAAALRDNGGSGKLALWEQEAPAVERQRRRSVTGERAGEER >ONIVA03G26030.1 pep chromosome:AWHD00000000:3:21671394:21672389:1 gene:ONIVA03G26030 transcript:ONIVA03G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTPAPGTPPRGRRPPPSNLRPAAAQRRSPASPRHPALPGRHGHGRGAASTGELALLGPAGEALITVRSSRVEAASRPARIGACRSTDEDATAGEGVDWEEEPLGFEVSTTPIPELPDPEMTTGRPPPVSSPRRRVEPPPRPRRGAQRPSLLPTPTSPPSRASDTVGCLPIRRPTTSCLALHRRCSPVVPQPMPLQSDTARVGAPAVSTAPSTRRRRARPSPLMCARAWEKGGRSERKKLKERAIGTFFLPGPTCQSCQTDEP >ONIVA03G26020.1 pep chromosome:AWHD00000000:3:21660623:21661741:1 gene:ONIVA03G26020 transcript:ONIVA03G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGDDAVVPRKGAGGGGTTTPPQPPPAQQQQQQPLPPPPPQEQGLRCPRCDSPNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRNVPVGGGCRKNKRSRSAAAASRLSLNLPTVEGIGGAAADPAAAAAARLGFLGGGTTMMMSSSTSPLGGAAAAVADFQQGGAVGMLPLPRLQSPAGGGVGHHQYVPFGEWPSGDIAGGNAVNGGGGHGAVSSTIASSIESLSFINQDLHWKLQQQRLATMFLGPPGSASAAAQANDGGGNGAQATASGHAAGGGGGAFMHMAGSVPSMEAAMPSATSWFMDSCSYGLPSPPPPATAAVAATTSSNLNSGGRSSGGGGGGDDNATSNCGSAIPAWGDISTFAMLP >ONIVA03G26010.1 pep chromosome:AWHD00000000:3:21622853:21624169:1 gene:ONIVA03G26010 transcript:ONIVA03G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWAEITLKPWGQHGELGTGKTHVEVDVRRREQRGARVCPGNPDYLADKPPLSPDPGSNHPLYHVALLSSLQDPRVPLPFISGHALSLPYFGSY >ONIVA03G26000.1 pep chromosome:AWHD00000000:3:21617796:21619385:-1 gene:ONIVA03G26000 transcript:ONIVA03G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPLAAAAAAASAPSPAAGAQHGRVLDAYKKALATAASVAAYAVLARSMARELLPDELRAAARWGAAFVRARLGASEKERHTVVIRRQLDGGYSENQLFEAARAYLATKIDPRALRRLSLARSRCKEADGSSSWTTLLCLEPGDSTTDVFDGVEFRWTSMETGGGDDGKRGGKGGGDRGHRAPRESLELSFDAEHTDTALERYVPFVMATAEQLQRRERVLRIFMNEVRSWHGFNHHHPATFDTIAMEPDLKKSIVDDLDRFLKRKEYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANYLRFNLYDLDLSEVRVNAALQRLLISMPNKSILVIEDIDCCFDANPREAHKITTAALDQAEDFDFSSSDSDDAVGAPPRARRAGDLQQQKLTLSGLLNFIDGLWSTSGEERVIVFTTNYKERLDPALLRPGRMDMHVYMGYCGWEAFKTLAHNYFLVGDHPLFPEIRQLLAGVEVTPAEVSEMLLRSEDADAALRGLVEFLRERTRRRARQEAAIDDNQVVAEKGNAA >ONIVA03G25990.1 pep chromosome:AWHD00000000:3:21614579:21619148:1 gene:ONIVA03G25990 transcript:ONIVA03G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFYVTIPYGAAVLVGGVAGYLKRGSKASLAAGGGFGGTLLLAGALSARAFARGHPSGSLFAAVLQTVCAVALTVVMGIRYIKTRKVMPAGIIAAVSALVLIFYIYKISNGGNKVYVPVSAE >ONIVA03G25980.1 pep chromosome:AWHD00000000:3:21611659:21611856:1 gene:ONIVA03G25980 transcript:ONIVA03G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLRDAAGHLRVPQPSPPPLPPPRPPTKPESLPAEMDSEPELKAPPSPPLLALPQPQADGAARP >ONIVA03G25970.1 pep chromosome:AWHD00000000:3:21590971:21596380:-1 gene:ONIVA03G25970 transcript:ONIVA03G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYCGGGAKCAAMAWTWRPEDLFLETLKDSLARQRAWRHRGIAWRWPGVRGQSGRGVATGQGGTTWRRLHRRYTTGAFEGIGSEIFTPGKYSNMVN >ONIVA03G25960.1 pep chromosome:AWHD00000000:3:21569786:21583981:-1 gene:ONIVA03G25960 transcript:ONIVA03G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 2 [Source:Projected from Arabidopsis thaliana (AT3G03300) TAIR;Acc:AT3G03300] MGGPLTAAGGRGDGGAKAVEPLRPPPPPDPKTMARWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHRARGNAPYACIFKEFYHPQLNSSASDPLPRIFGMSATLIYSKDLNQHNYSKQISEIENLMNSKVYTVDSESALSEYIPFASTKIVHFDDSNISSELHANILSCLNGLTEKHIEALDRKLHGSSLENAKRRISKLHHTFVYCLYNLGVWLAAKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFLKNGHIGEKFPADSQDGILTPKVHCLIRTLLQYRHMQDLRCIVFVQRVITSIVLEPLLSSIHQMSGWNVKHMAGSRPGLLSQSRKNHTEIVESFRKGKVHIIIATQILEEGLDVPSCNLVIRFDPSATVCSFIQSRGRARMENSDYLLLIGRHNQRGDVEAQTNAEKFLASVQIMREESLRLGSISCQPLENTLCKDTYYRVESTRAIVTLNSSVPLIHFFCSKLPSDEYFKPLPRFDIDKASGTCTLHLPKSSPVQTVNVEGEGSILKETVCLKACQELHAIGALTDSLLPELDVPCDEEPDIVVENKIEQPSYFPEEFVDNWRSFSRLGIYYCYKISLEGCPKTASPTDILLALKCDLGSDFTSSSFKLPGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQVPIGVVYLLLPLVSGRIDWCSMKFSSSPIYEANNKHMTHCHSCKDIDLLQTKDGPFCRCILKNSIVCTPHNNIFYVISGFLDLDANSCLPQHDGIVVTYKDYFKTRHGLTLTFENQPLLAGSKHVKARNFLHNCYSKKEKEPGDRYSVELPPELCRIIMSPVSANNLHIFSYVPSIMFRIQCMLLSVKLKVQLGPTVQQFDVPILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQLACSSNLVGYIHAEEFNPRDWIIPCLDYDERDNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINVRSLEGMLGYKFNDSLLLLEALTHGSYQTSGPTSCYQRLEFLGDAILDHLFTEYYYSKYPDCTPELLTDLRSASVNNNCYAHAAVKSGLNKHILHSSSELHRKMSYYLEEFGQSFTGPSYGWEAGIGLPKVLGDVIESIAGAIYLDSKCDKEVVWRSMKRLLEPLATPETIEPDPVKGLQEFCDRRSFKITYEKNHVDGVSSVIARVKAGETTYSATKSGPCKLVAKKLASKAVLKDLIAGHKDTEAAAV >ONIVA03G25960.2 pep chromosome:AWHD00000000:3:21569784:21583981:-1 gene:ONIVA03G25960 transcript:ONIVA03G25960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 2 [Source:Projected from Arabidopsis thaliana (AT3G03300) TAIR;Acc:AT3G03300] MGGPLTAAGGRGDGGAKAVEPLRPPPPPDPKTMARWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHRARGNAPYACIFKEFYHPQLNSSASDPLPRIFGMSATLIYSKDLNQHNYSKQISEIENLMNSKVYTVDSESALSEYIPFASTKIVHFDDSNISSELHANILSCLNGLTEKHIEALDRKLHGSSLENAKRRISKLHHTFVYCLYNLGVWLAAKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFLKNGHIGEKFPADSQDGILTPKVHCLIRTLLQYRHMQDLRCIVFVQRVITSIVLEPLLSSIHQMSGWNVKHMAGSRPGLLSQSRKNHTEIVESFRKGKVHIIIATQILEEGLDVPSCNLVIRFDPSATVCSFIQSRGRARMENSDYLLLIGRHNQRGDVEAQTNAEKFLASVQIMREESLRLGSISCQPLENTLCKDTYYRVESTRAIVTLNSSVPLIHFFCSKLPSDEYFKPLPRFDIDKASGTCTLHLPKSSPVQTVNVEGEGSILKETVCLKACQELHAIGALTDSLLPELDVPCDEEPDIVVENKIEQPSYFPEEFVDNWRSFSRLGIYYCYKISLEGCPKTASPTDILLALKCDLGSDFTSSSFKLPGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQVPIGVVYLLLPLVSGRIDWCSMKFSSSPIYEANNKHMTHCHSCKDIDLLQTKDGPFCRCILKNSIVCTPHNNIFYVISGFLDLDANSCLPQHDGIVVTYKDYFKTRHGLTLTFENQPLLAGSKHILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQLACSSNLVGYIHAEEFNPRDWIIPCLDYDERDNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINVRSLEGMLGYKFNDSLLLLEALTHGSYQTSGPTSCYQRLEFLGDAILDHLFTEYYYSKYPDCTPELLTDLRSASVNNNCYAHAAVKSGLNKHILHSSSELHRKMSYYLEEFGQSFTGPSYGWEAGIGLPKVLGDVIESIAGAIYLDSKCDKEVVWRSMKRLLEPLATPETIEPDPVKGLQEFCDRRSFKITYEKNHVDGVSSVIARVKAGETTYSATKSGPCKLVAKKLASKAVLKDLIAGHKDTEAAAV >ONIVA03G25960.3 pep chromosome:AWHD00000000:3:21569784:21583981:-1 gene:ONIVA03G25960 transcript:ONIVA03G25960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 2 [Source:Projected from Arabidopsis thaliana (AT3G03300) TAIR;Acc:AT3G03300] MGGPLTAAGGRGDGGAKAVEPLRPPPPPDPKTMARWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHRARGNAPYACIFKEFYHPQLNSSASDPLPRIFGMSATLIYSKDLNQHNYSKQISEIENLMNSKVYTVDSESALSEYIPFASTKIVHFDDSNISSELHANILSCLNGLTEKHIEALDRKLHGSSLENAKRRISKLHHTFVYCLYNLGVWLAAKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFLKNGHIGEKFPADSQDGILTPKVHCLIRTLLQYRGDVEAQTNAEKFLASVQIMREESLRLGSISCQPLENTLCKDTYYRVESTRAIVTLNSSVPLIHFFCSKLPSDEYFKPLPRFDIDKASGTCTLHLPKSSPVQTVNVEGEGSILKETVCLKACQELHAIGALTDSLLPELDVPCDEEPDIVVENKIEQPSYFPEEFVDNWRSFSRLGIYYCYKISLEGCPKTASPTDILLALKCDLGSDFTSSSFKLPGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQVPIGVVYLLLPLVSGRIDWCSMKFSSSPIYEANNKHMTHCHSCKDIDLLQTKDGPFCRCILKNSIVCTPHNNIFYVISGFLDLDANSCLPQHDGIVVTYKDYFKTRHGLTLTFENQPLLAGSKHVKARNFLHNCYSKKEKEPGDRYSVELPPELCRIIMSPVSANNLHIFSYVPSIMFRIQCMLLSVKLKVQLGPTVQQFDVPILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQLACSSNLVGYIHAEEFNPRDWIIPCLDYDERDNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINVRSLEGMLGYKFNDSLLLLEALTHGSYQTSGPTSCYQRLEFLGDAILDHLFTEYYYSKYPDCTPELLTDLRSASVNNNCYAHAAVKSGLNKHILHSSSELHRKMSYYLEEFGQSFTGPSYGWEAGIGLPKVLGDVIESIAGAIYLDSKCDKEVVWRSMKRLLEPLATPETIEPDPVKGLQEFCDRRSFKITYEKNHVDGVSSVIARVKAGETTYSATKSGPCKLVAKKLASKAVLKDLIAGHKDTEAAAV >ONIVA03G25950.1 pep chromosome:AWHD00000000:3:21566390:21576024:1 gene:ONIVA03G25950 transcript:ONIVA03G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRNIPQMHTWAPPESPSPAPRRFRSLVPTPVPHWPSRLRRRRRGGYGCAPRRRRRRPTCSPGLGPRGRWRRAGGWRSSPRGRSGAARSTSAGSPSRATWAEEGSPAGAGAPGPAAGTATRCSTAASTRPSCSAPAPTPSPSSSPSTTTTGMDGRSLRSCGTCPSTTGRLTRRPSRPTRATKASRSSSSTVLGCSGLASSGSRFTGPFHITTTISARHCFHSRIGGLFPQRLCSIRRPGLRYGTVSTSWPAGSCGLLHTWLHMA >ONIVA03G25950.2 pep chromosome:AWHD00000000:3:21566625:21576024:1 gene:ONIVA03G25950 transcript:ONIVA03G25950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAVASSPSPSPASTRLLRGHHPPPSCSAPSLLRLTRSSRRLRLRAAAAAEEADVLPGPGAEGEMAAGGRLEEQPEGPIGGSQVDIGGLAFQGDMGGGGFAGGSGGAGAGGGDGNKMLDRGINTAIVLGASTYALTKLLTVDHDYWHGWTIFEILRYMPEHNWSAYEEALKTNPVLAKMMISGVVYSLGDWIAQCYEGKPIFEFDRARMFRSGLVGFTLHGSLSHYYYHFCEALFPFKDWWVVPAKVVFDQTAWSAIWNSIYFVVLGFLRLESPATISSELKSTFWPMLTAGWKLWPFAHLVTYGLVPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSEDASTSNASNLWRKFRAFTCLLPAKSG >ONIVA03G25950.3 pep chromosome:AWHD00000000:3:21566625:21569107:1 gene:ONIVA03G25950 transcript:ONIVA03G25950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAVASSPSPSPASTRLLRGHHPPPSCSAPSLLRLTRSSRRLRLRAAAAAEEADVLPGPGAEGEMAAGGRLEEQPEGPIGGSQVDIGGLAFQGDMGGGGFAGGSGGAGAGGGDGNKMLDRGINTAIVLGASTYALTKLLTVDHDYWHGWTIFEILRYMPEHNWSAYEEALKTNPVLAKMMISGVVYSLGDWIAQCYEGKPIFEFDRARMFRSGLVGFTLHGSLSHYYYHFCEALFPFKDWWVVPAKVVFDQTAWSAIWNSIYFVVLGFLRLESPATISSELKSTFWPMLTAGWKLWPFAHLVTYGLVPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSEDASTSNASNV >ONIVA03G25950.4 pep chromosome:AWHD00000000:3:21571930:21576024:1 gene:ONIVA03G25950 transcript:ONIVA03G25950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRRLHSSNHHSVPADPTQKPRILPNRCQPLLQPLLALPALATIQSRPTAAVATNVTLRSSCHPSSVREPKERRPAATRFPAASSSGGKGWEGRRWGRAGGWKRRPNATILPAILACQAKYRCFNGHESRPRQANLRSDYRCHLWRKFRAFTCLLPAKSG >ONIVA03G25940.1 pep chromosome:AWHD00000000:3:21558052:21559208:1 gene:ONIVA03G25940 transcript:ONIVA03G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGLKIISTCPKILTASMLQTCTTEWRFALVCQRDRFALVSIDFTKCISRLALFSSFNIYVAL >ONIVA03G25930.1 pep chromosome:AWHD00000000:3:21543119:21549154:-1 gene:ONIVA03G25930 transcript:ONIVA03G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKPLAWLQLSVLVAAMTVAAVHSFPLTDKDLESEENMWNLYERWRAVYTVSRDLAEKKSKFEVFKANARYIHEFNKKKDVTYKLGLNKFSDMTSDEFTAKYTGALPEADDDVVDSFNILSTQPLVHGGEDAPLAWDWRDHGAVTRVKDQGKCGSCWAFSVIGSVEGINAIRTGNLLTLSEQQVLDCSSAGDCNGGVTFDAFDLIIQNGTTLDQNGSPPYYPAYVARKEQCRFDPNKPPVIKIDGKHRGNKADEQALKLGVLTQPVSVRIKSNSLEFHHYHEGVFDGPCGPQFDHAVVVVGYGETQDGVHYWIVKNSWNESWGERGYIRMLRDIEDKGGMCGIATYPMYPDMNPPAATFAAYH >ONIVA03G25920.1 pep chromosome:AWHD00000000:3:21539442:21543086:-1 gene:ONIVA03G25920 transcript:ONIVA03G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVQDDDDDDVPFTDKDVESEESMWSLYQRWSRVHGLTSRDLAEKQGRFEAFKANARHVNEFNNKEGMTYKLALNRFADMTLQEFVAKYAGAKVDAAAALASVAELEEEDLLVGDVPASWDWRQHGAVTAVKDQGGCGSCWAFSAVGAVESINAIATGNLLTLSEQQVLDCSGDGDCNGGWPNLVLSGYAVEQGIALDNIGNPPYYPPYVAEQMACRTVAGKPVVKTDGTIQGVFDGPCGTETKDNHVVLVVGYGVTTDNIKYWIVKNSWGEGWGESGYIRMKRDITDKNGICGITTWAMYPVKKYIVAHDDADVAAY >ONIVA03G25910.1 pep chromosome:AWHD00000000:3:21535820:21537200:-1 gene:ONIVA03G25910 transcript:ONIVA03G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTLSLVVGLWAVLLVATAAAQDVDLTVTDKDLETEESMWNLYMDLADVESRFEAFKANARHVNEFNKKEGMTYRLGLNQFSDMTFEEFAGKFTGGRTGSIAGDLRDGAVTYCKPPAVGYVPPSWNWTKYGVVTPVKNQLTCGSCWAFSVAAAVESINMIRTGNLLTLSEQQILDCSGAGDCNGGYPYDAFDYVIKTGISLDNRGNPPYYPPYENQKQKCRFDPN >ONIVA03G25900.1 pep chromosome:AWHD00000000:3:21530577:21533412:-1 gene:ONIVA03G25900 transcript:ONIVA03G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKNPLAVGLLALLVAAMAVAAAAHDDDDVPFTDEDLESEQSMWNLYDRWRALYASSSSHLGGDIESRFEAFKANARYVSEFNKKEGMTYELGLNKFADMTLEEFVAKYAGAKVDAAAALASVPEAEEEVCVTKTKRLTDELNKGSCWAFSSVGAVESAYAIATKKLLRLSEQQVLDCSGGGDCGGGYTSTVLSEFAVKKGIALDASGNPPYYPPYQAKKLACRTVAGKPVVKMDGAASVPSSNEVALKQSVYKQPVSVLIEANSNFQLYKQGVYSGPCGTSINHAVLAVGYGATPDNTKYWIVKNSWGTGWGEKGYIRMKRDIAAKSGLCGIALYGMYPIKKTAAISMVVDAVDSY >ONIVA03G25890.1 pep chromosome:AWHD00000000:3:21525208:21527010:-1 gene:ONIVA03G25890 transcript:ONIVA03G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALVVGVLAVLMATAMAASAAQPHVPFTDEDLESEESMWSLYQRWRGAVHTSSLDMDVAETESRFEAFKANARYVSEFNKKEGMTYKLGLNKFADMTLEEFVAKYTGTKVDAAAMARAPQAEEELELAGDVAASWDWRQHGAVTPAREQESCWAFSAVGAVEGANAIATGKLVTLSEQQVLDCSGAGDCIGGGSYFPVLHGYAVKQGISPAGSYPPYEAKDRACRRNTPAVPVVKMDGAVDVPASEAALKRSVYRAPVAVSIEATQSLQLYKEGVYSGPCGTTVNHGVLVVGYGVTRDNIKYWIIKNSWGKEWGDNGFGHMKRDVIAKEGLCGIAMYGVYSVKNGHKNCSYLASPAVVASY >ONIVA03G25880.1 pep chromosome:AWHD00000000:3:21522676:21523923:-1 gene:ONIVA03G25880 transcript:ONIVA03G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDATTCVSRWPDLPADLIREISGRLREAADYVHFHAVCKPWRDAVVSPPPLFFPWLVRCLDESTPPWRKPCWADEDKLLFRSVSGHKQATFRVSRASCLGEKFAVRDTDGPGGRVLAVGSGGASLVNPLTGAATHLPRCFPENMAGYLGSVEGVVTGDGTVLLYYLSMSSCTFYRAAILRAGDDAWTSVHTCIDSETMSFWQQWSATYHDGKVINAGRQFYRVGMLSIAPGDVFTGRLEKRSLPQLYDEPASYSYFFELGGELMWAYVHVAAAALLDHGHYPLKGGDLVGSGAVSLWVYSREKKSGRWVKREGRRLLGSSVLFLGWTSSFAVEAGQLAGEVDGGCAYLMIHSVGRGLGRRGFLLLDRCTVYRYRLEDDTITMLDELPPGWLASCCTWFLPRPTIQPKLSKPYA >ONIVA03G25870.1 pep chromosome:AWHD00000000:3:21509720:21517903:-1 gene:ONIVA03G25870 transcript:ONIVA03G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSPLIGVMYPGMSFPLLVNKEKIGIFKVLGTLPMTTGQITSGTKIMIWKGSDEKTVGETLYPPILHPVIATPLQMKMVDDPALSNVVGRASKMIGNFMSYYTHLPHEATKGKMTFLLHGPCDKKAVVEGIGRTLGLPVASVDASSLIKDFPSDSVSQINTCIQRPESRDGPLLIFLDRFDELVPHNRCGSTVMTDITTTQLKLLLDDPWVIFGHRITILVVSVRWINLICPTILSRIRTRFYCGNDEKEGDWDGVGMSLLKLLETNKSIDPNSRWVVTNAILYGNTSLSKSDVTKLFAATQSFDSIVIDAMQVLKDFKNTGSKAVSVVFKFIDSILLNMRAVPSRPVPREQPRHGPVVGLCRQGPNPVRPCLCRAVGPRVSDLFLRATARRPCIILIQQLDAINNETLISLILHEMNNMVRDLHVFASTRWWQLIHHMFLESRLFTRRVFCGVDSKKDECDFVGQALFEIQQRMGTASDKSTSS >ONIVA03G25870.2 pep chromosome:AWHD00000000:3:21509720:21517903:-1 gene:ONIVA03G25870 transcript:ONIVA03G25870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSPLIGVMYPGMSFPLLVNKEKIGIFKVLGTLPMTTGQITSGTKIMIWKGSDEKTVGETLYPPILHPVIATPLQMKMVDDPALSNVVGRASKMIGNFMSYYTHLPHEATKGKMTFLLHGPCDKKAVVEGIGRTLGLPVASVDASSLIKDFPSDSVSQINTCIQRPESRDGPLLIFLDRFDELVPHNRCGSTVMTDITTTQLKLLLDDPWVIFGHRITILVVSVRWINLICPTILSRIRTRFYCGNDEKEGDWDGVGMSLLKLLETNKSIDPNSRWVVTNAILYGNTSLSKSDVTKLFAATQSFDSIVIDAMQVLKDFKNTGSKAVSDLFLRATARRPCIILIQQLDAINNETLISLILHEMNNMVRDLHVFASTRWWQLIHHMFLESRLFTRRVFCGVDSKKDECDFVGQALFEIQQRMGTASDKSTSS >ONIVA03G25870.3 pep chromosome:AWHD00000000:3:21509720:21518196:-1 gene:ONIVA03G25870 transcript:ONIVA03G25870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVGEPPNTKTPSTSTPAPWLEERGQTRKRRRLRPQRRRADSYLLSPPLRLHPHHASSCHPVAPNLHRDRCDVSRDVIPFVSEQRKDRDLQGQITSGTKIMIWKGSDEKTVGETLYPPILHPVIATPLQMKMVDDPALSNVVGRASKMIGNFMSYYTHLPHEATKGKMTFLLHGPCDKKAVVEGIGRTLGLPVASVDASSLIKDFPSDSVSQINTCIQRPESRDGPLLIFLDRFDELVPHNRCGSTVMTDITTTQLKLLLDDPWVIFGHRITILVVSVRWINLICPTILSRIRTRFYCGNDEKEGDWDGVGMSLLKLLETNKSIDPNSRWVVTNAILYGNTSLSKSDVTKLFAATQSFDSIVIDAMQVLKDFKNTGSKAVSDLFLRATARRPCIILIQQLDAINNETLISLILHEMNNMVRDLHVFASTRWWQLIHHMFLESRLFTRRVFCGVDSKKDECDFVGQALFEIQQRMGTASDKSTSS >ONIVA03G25860.1 pep chromosome:AWHD00000000:3:21503225:21508031:1 gene:ONIVA03G25860 transcript:ONIVA03G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQELVKRSFREDASMAGAIFMSNTATRELCFRSNIFGLPIEYQPFVRNIRQGMPLFLFDHTERKLYGVFEATSDGGFNIIRSAFSSIGCSYPAQVCFKIIWKCRPLTEDEFSPAIKENYYMPWKFYFDLSYQQVVCLYQLFDEKRVEHPIHNHSKSANLENDPFRKGTQERKSLSPNIPHFPADQPGLFMPASTPRFSTVEASYCASTSMHQAPHPNMSMPLGTNPFGVQIAPVHNSHHDQAELPYNNNMLFPGYLPSGHVARDTTQELGLSANHSYPPSMGYAYGCLPPPGHRPQDAIAGDVNYAPPYPQFPLPNEQGSATNRRDYYDVHCKQCQFEDIYESEHQHFSKAKVLAPPILNQQDVPVYPAIAESAFDQRKECFTEEDSENARQKQSFNHTDMVSSGLGNSNRAYMPDHLNKNPDIRSESNTIAVGQHAQSSVFSRLSRIPPPLHQEIPGPSLNKLVLSLSQRAEHWGNQDKIITNDVCEQLVSEQVTDTPYPLAELNQQSGLIEEEIEGLPFMNFKRRSETRNLDANLGKEIRGQVKRRKLVRPSFGEVNNAGSSGKELEAKVLEGEKHSNDEHDENKFSIDLNKPAAIDGDVAKEDDTTTALPHPSVAIKMHKEKPSEENMSKPNSPNTTEEMKKQDPSLDSATHTEKISLELDVADLNTIDQSKLQAILSSSLLQALDKLRREKLNNSEEAEEVKITT >ONIVA03G25850.1 pep chromosome:AWHD00000000:3:21499285:21501074:1 gene:ONIVA03G25850 transcript:ONIVA03G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPPLHKRLRRSSESNGERRPPRGELLVDEILTRLPIAAAVRFRAVCRQWNAALTSDHFILAHRARAAAARHRHPELLFFAPGAAFAGGRATSFYACSLRDGDCEAPPPPAAARELLTVAGITAAHAVLSPTPCRGLTLIFDTYRSEYYLFNLSTGDHVALPPCQPAAAANLDSTLTLPTMNPTSYPPAWPAPWIERSTTGLGYDTATGEHKVVRLFKRRDGGEYSCEVYTQGAGGWRRGVGRVPPCAANVLPALPPVFVDGYLYWLLRPAGPGEEPIHRVLSFSIGGEQFGWVYVPPRLSSRICHLADLDGSLYK >ONIVA03G25840.1 pep chromosome:AWHD00000000:3:21491525:21492958:-1 gene:ONIVA03G25840 transcript:ONIVA03G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEALTPPRPGKRPRHADPVMLPDDVVVDHILARVPAAAVVRLRAVCRAWRAALTSDHFVRAHRALRAAAAGDGQPEIVFFAPAAGGSGAGTAFYTCKLASSDGSGSAEARELVTVGNLPADDLVVLTKPCHGLTLLFQAGSSEYYVCNLSTGEHVALPPCAPAAKPDPYGGAYVRSSTGLGFDTAAGEHKVVRLYEEEERGQQRCEMYSLVSGRGGGGWRPSAGRVPPGVTKCLEGRPPVFLDGCFYWHMDTGRLGVVEAGILLRSPERAILSLSVATEQFGWIRTPAQLAREVRHLAELDGSLCAVVDLRLVAEEYELWTWSSPSWSRRCRISLASLERPMREELGLGGLRLLPLCTSPADGRILLATSRHKVYAYDAGSNRVDTVWRMHELVDVPAEPALMLNIALHEESVAVVVVGGDVGRRRRLKMEVGKSGEVVGKREGRLERHPSDVKPDAFEMMKRMIGLAQMMFHN >ONIVA03G25830.1 pep chromosome:AWHD00000000:3:21487153:21497509:1 gene:ONIVA03G25830 transcript:ONIVA03G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTVSFSYPAKPLPKWPCTLPKPPPRARCRFVVRADVKVISSGEACRRGLAAGIDKLADAVAVTLGPKGRNVVIDQDDVPKVINDGITIAKAIELPNAVEHAGAMLLQEIASKTNSSVGDGTTTAIILAREIINLGLLAVATGANPVALRKGIDKAVHELIGILKTKCIPVSTKEDIKAVASISAGNDEYVGDLIADALEKIGPDGIIKIESSSSIYTSVEVQEGMKIDKGYISPHFITNQDKAIVEFENARVLLTDQRVDDVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWCLQGATSDQLGMAQKITITSDTTTIIAHPSMRPEIEARIQQLKKDLEETTSAYLKERFSARIAKLSRGIAVIKVGAATEAELEDRKLRAEDAKNATFAAISEGITPGGGVTYVQLSKYIPSIMDLVDDSEEKIGVNIVGKALLVPAITIARNAGADGPAVVEKLLASEWRVGYNAMTDQFEDLVDAGVVDPCRVARCVLQNSASIAGLILMTQAMMFDKIKKKKSPIPQIPGIPPPKAQQKKPRAHNKDKVPQVQQPCLFSSSRFSHLPTPRLSASAMDAGDEGFPPPADTSRSSAPPLSASPCSGSIPAAGEGRHFRDIPPVDSRPVAWFFFADVIQAQLPALLAGDAFAVPVRRDAVLGSIPGGEVRGISWRAIVCTTTTSSRGQDEDAAGRALSLPAGPYVVLTKRARGSWPALLRTVADDGSLVRPSGYAVDALGEYVANSLRGFLGTVGGGYRALAVPDGREGDGRTWRIFLESGQAAGADSWPAHRRHSSADLLGHRRLERTAAEGGTSRRRRRFQEQRQNRAVPVPGVVWSRSSAPSLQRQRQRRTRWDEPPSPAVATPPPPPRCSSCDGGEERDDIDMVMTCCGAGLRRPEWQNRRGFAVRIPTDYHDVDVDGDCFVEGAVMLQRPRWHQFMAMRMGMDVFYGFYSVEDVYHSSSGVTYQIHRYEMVEGRRCRRFHCLVFRIPSGVILCNFSFPSFVDYTPAVVVALTSYFSRHRLGLRSIVIVYRIGRVSFIS >ONIVA03G25830.2 pep chromosome:AWHD00000000:3:21487153:21494131:1 gene:ONIVA03G25830 transcript:ONIVA03G25830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTVSFSYPAKPLPKWPCTLPKPPPRARCRFVVRADVKVISSGEACRRGLAAGIDKLADAVAVTLGPKGRNVVIDQDDVPKVINDGITIAKAIELPNAVEHAGAMLLQEIASKTNSSVGDGTTTAIILAREIINLGLLAVATGANPVALRKGIDKAVHELIGILKTKCIPVSTKEDIKAVASISAGNDEYVGDLIADALEKIGPDGIIKIESSSSIYTSVEVQEGMKIDKGYISPHFITNQDKAIVEFENARVLLTDQRVDDVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWCLQGATSDQLGMAQKITITSDTTTIIAHPSMRPEIEARIQQLKKDLEETTSAYLKERFSARIAKLSRGIAVIKVGAATEAELEDRKLRAEDAKNATFAAISEGITPGGGVTYVQLSKYIPSIMDLVDDSEEKIGVNIVGKALLVPAITIARNAGADGPAVVEKLLASEWRVGYNAMTDQFEDLVDAGVVDPCRVARCVLQNSASIAGLILMTQAMMFDKIKKKKSPIPQIPGIPPLQINQNA >ONIVA03G25830.3 pep chromosome:AWHD00000000:3:21487153:21491718:1 gene:ONIVA03G25830 transcript:ONIVA03G25830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTTVSFSYPAKPLPKWPCTLPKPPPRARCRFVVRADVKVISSGEACRRGLAAGIDKLADAVAVTLGPKGRNVVIDQDDVPKVINDGITIAKAIELPNAVEHAGAMLLQEIASKTNSSVGDGTTTAIILAREIINLGLLAVATGANPVALRKGIDKAVHELIGILKTKCIPVSTKEDIKAVASISAGNDEYVGDLIADALEKIGPDGIIKIESSSSIYTSVEVQEGMKIDKGYISPHFITNQDKAIVEFENARVLLTDQRVDDVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWCLQGATSDQLGMAQKITITSDTTTIIAHPSMRPEIEARIQQLKKDLEETTSAYLKERFSARIAKLSRGIAVIKVGAATEAELEDRKLRAEDAKNATFAAISEGITPGGGVTYVQLSKYIPSIMDLVDDSEEKIGVNIVGKALLVPAITIARNAGADGPAVVEKLLASEWRVGYNAMTDQFEDLVDAGVVDPCRVARCVLQNSASIAGLILMTQAMMFDKIKKKKSPIPQIPGIPPLQINQNA >ONIVA03G25830.4 pep chromosome:AWHD00000000:3:21494724:21497509:1 gene:ONIVA03G25830 transcript:ONIVA03G25830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDEGFPPPADTSRSSAPPLSASPCSGSIPAAGEGRHFRDIPPVDSRPVAWFFFADVIQAQLPALLAGDAFAVPVRRDAVLGSIPGGEVRGISWRAIVCTTTTSSRGQDEDAAGRALSLPAGPYVVLTKRARGSWPALLRTVADDGSLVRPSGYAVDALGEYVANSLRGFLGTVGGGYRALAVPDGREGDGRTWRIFLESGQAAGADSWPAHRRHSSADLLGHRRLERTAAEGGTSRRRRRFQEQRQNRAVPVPGVVWSRSSAPSLQRQRQRRTRWDEPPSPAVATPPPPPRCSSCDGGEERDDIDMVMTCCGAGLRRPEWQNRRGFAVRIPTDYHDVDVDGDCFVEGAVMLQRPRWHQFMAMRMGMDVFYGFYSVEDVYHSSSGVTYQIHRYEMVEGRRCRRFHCLVFRIPSGVILCNFSFPSFVDYTPAVVVALTSYFSRHRLGLRSIVIVYRIGRVSFIS >ONIVA03G25830.5 pep chromosome:AWHD00000000:3:21490861:21494363:1 gene:ONIVA03G25830 transcript:ONIVA03G25830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTSSAGTTGPIILFIISKASGFTSDGCRSSLPSRLPTTSPLFPTSIFSRRRRPTIT >ONIVA03G25820.1 pep chromosome:AWHD00000000:3:21480623:21486691:1 gene:ONIVA03G25820 transcript:ONIVA03G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQ20] MARKHGWQLPAHTLQIVAIVVFFLLVVAFYAFFAPFLGKQILEYVAIGVYTPVAFAVFILYIRCTSINPADPGIMSKFEDGFINVPANSDGLQGINLPQKGNSTIGTQSPTSTCRSSLDGHSNQRGLSTRDANVNLSSQLPKKRSSCYFLGGLLCALFVMEDCRKPDESEQAANGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRLAIEFGVGIAVIVLCFVDKNALSNIQDKLGNGMTRAPFAVIVGLFTLLSLVACIPLGELFFFHMILIRKGITTYDYVVAMRAMSEAAPEDDEEAHITYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDQDEVIPHLDPGMVPSTVDPDAAGYAERANKSKKPVKISARSLAKLDRNEVMKAAAKARASSSVLRPVDARRGHEGDLSSSGNASVRSSMSVDYSGTKESRGEMRLSPLQNSYPQSLASQDDYETGTQTASSLSSPVHIHKLASHSQFHAPPHQPPPPERPVPGIVPGIGRPPVPTTQITNPMFQSATSYVRENRRASVVWDQEAGRYVSVPAQTRAVPGLDLPARTPRFLANPTGESSNHGKNLAPANASSSAISSGQPSERLTYTGQSIFFGGPILSTSGTNAQRSEAGTRARPDGSRDPPNAFQRDTRGERARTGSFPVFAPGTFQKNPPFDK >ONIVA03G25810.1 pep chromosome:AWHD00000000:3:21471621:21476166:-1 gene:ONIVA03G25810 transcript:ONIVA03G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0GQ19] METRTNEYSRKGAMWELERNLDQPMDAEAGRLRNMYREKTYPTILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINIIPNQHRTDQDLTTYSRRTYEEKSLAAKIQRWLEGHQFRKNLILILVLFGTCMAVGDGILTPAISVLSATGGIQVEEGRMRNDVVVIISVLILIGLFSMQHYGTDKVSWLFAPIVFVWFILIGILGAVNICKYDHSVLKAFNPVYVYRYFKRGKTSWTSLGGIMLSITGTEALFADLSYFPVQAIQIAFTVVVFPCLLLQYTGQAAFIAANTNKVSHAFYISLPAPILWPAFAVATAAAIVASQATISATYSIIKQALALGCFPRVKIIHTSKKYLGQIYSPDINWILMVFCIAVTAGFKNQSQIANAYGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVVAFTVLSLLVEIPYFSAVVRKIDQGGWVPLVFAAGFMIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPPDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEKMLFDSLILFVRLESMMEEYSDSDEYSTLMMSLPNNPGISNGGVTTTGTNNVMEVMSCTSTHDSIVPVNSRSNDTGSSQVMPASGQMAFQSVGDEIAFLNACRDAGVVHILGNTVIRARRDSGFVKKIAINYMYAFLRKICRENSAIFNVPHESMLNVGQVFYV >ONIVA03G25800.1 pep chromosome:AWHD00000000:3:21469987:21470352:1 gene:ONIVA03G25800 transcript:ONIVA03G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEKRCSLGRRRRLERPAEWIHRRQIRPLGGGSAPLPSPGQIRRAHGSATRRQRATGGSDGGWPADSGSATAEAQPVTAEAGEARPAVEEVAAVRGGIAEVPVRHDEAGQRGGGGRRSRR >ONIVA03G25790.1 pep chromosome:AWHD00000000:3:21468361:21469669:1 gene:ONIVA03G25790 transcript:ONIVA03G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSNSVVMTIDSWQQLIESLKGNVVLEFMAPWSEPSKFMEQPFKEVASEFKDKNSNVKFAALNFDNFKNLGRRLQVEALPTFLVVNNFAVVDRILALSKTELRQKINDKLKLIN >ONIVA03G25780.1 pep chromosome:AWHD00000000:3:21453960:21458099:-1 gene:ONIVA03G25780 transcript:ONIVA03G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCSASPAISAAAAAALGAAARRLRVRVRAASRPYCAAPAPPRAAAAVGAQSWRARRRFAASAASTTTEEEEEGAGAEVMIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEYGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVDKKKGKFDHIIIETTGLANPAPIIQTFYAEDTVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKIDLVSEPEVSSLVERIRSMNRMAHLKRAEYGKVDLDYVLGIGGFDLERIESASHMTTTQGMNINMTMNTIITTIITMTMNTNMVSMVRRTDHHAHDHTHDPGVSSVSIVCEGEMDLEKADMWLGNLLLERSDDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPERMWEPNEPRINKIVFIGKNLNGEELEKGFKDCLLKK >ONIVA03G25780.2 pep chromosome:AWHD00000000:3:21453960:21458099:-1 gene:ONIVA03G25780 transcript:ONIVA03G25780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCSASPAISAAAAAALGAAARRLRVRVRAASRPYCAAPAPPRAAAAVGAQSWRARRRFAASAASTTTEEEEEGAGAEVMIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEYGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVDKKKGKFDHIIIETTGLANPAPIIQTFYAEDTVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKIDLVSEPEVSSLVERIRSMNRMAHLKRAEYGKVDLDYVLGIGGFDLERIESASHMTTTQGMNINMTMNTIITTIITMTMNTNMTIMHTITPMILADMWLGNLLLERSDDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPERMWEPNEPRINKIVFIGKNLNGEELEKGFKDCLLKK >ONIVA03G25780.3 pep chromosome:AWHD00000000:3:21453960:21458099:-1 gene:ONIVA03G25780 transcript:ONIVA03G25780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCSASPAISAAAAAALGAAARRLRVRVRAASRPYCAAPAPPRAAAAVGAQSWRARRRFAASAASTTTEEEEEGAGAEVMIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEYGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVDKKKGKFDHIIIETTGLANPAPIIQTFYAEDTVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKIDLVSEPEVSSLVERIRSMNRMAHLKRAEYGKVDLDYVLGIGGFDLERIESASHMTTTQGMNINMTMNTIITTIITMTMNTNMVSMVRRTDHHAHDHTHDPGVSSVSIVCEGEMDLEKGVHDIFQGSPERMWEPNEPRINKIVFIGKNLNGEELEKGFKDCLLKK >ONIVA03G25770.1 pep chromosome:AWHD00000000:3:21446976:21447359:-1 gene:ONIVA03G25770 transcript:ONIVA03G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTMALLLATLVAVVAVVRATEEKDIEEAVCSEHCNDEEKEGTIDHKHCVDICILTNRELFGALERGMKPSMEQFSALCNEGCSKEFKEDPATNKKCVDSCIVDAKKLNGHLAKGGASSVPARA >ONIVA03G25760.1 pep chromosome:AWHD00000000:3:21427785:21439529:1 gene:ONIVA03G25760 transcript:ONIVA03G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVQVDRSSSIYMGTQQAGEGSKSSAHVLYLLPATNKSQTMDSKKVAYAVFIVILLMTEVAAAAAAGGSARGTGGTGDRANDEEKFIFGHLSKAIFIYIARHFPGHIKECVNHVENQCGWAPAPGCLKEALATCAK >ONIVA03G25760.2 pep chromosome:AWHD00000000:3:21430120:21439529:1 gene:ONIVA03G25760 transcript:ONIVA03G25760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWGVELWNCCSAAPPTHKRQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKEYGLVAYASAVYAARPNPHCQEKVSSSAEVSYCDDYKPWDQ >ONIVA03G25760.3 pep chromosome:AWHD00000000:3:21427785:21439529:1 gene:ONIVA03G25760 transcript:ONIVA03G25760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAQISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARICLLDAISGLTVVVNSVAFMTIEIGNG >ONIVA03G25760.4 pep chromosome:AWHD00000000:3:21427769:21439529:1 gene:ONIVA03G25760 transcript:ONIVA03G25760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSIVKKEVGENHDVLRFGVNNSVKGDLAPQHPIQATVHKEAKFWADKKRFGAEAIYGSAFNIRKDLDAQILSKFQRPPGALPSSMLGYEALTDAAASEDGWVLGRGALELLLGGTAYTQATSAPQISLSMQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPLRLLISHRQSMGTCILNFHSRIQPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARICLLDAISGLTVVVNSVAFMTIEIGNG >ONIVA03G25760.5 pep chromosome:AWHD00000000:3:21427769:21439529:1 gene:ONIVA03G25760 transcript:ONIVA03G25760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSIVKKEVGENHDVLRFGVNNSVKGDLAPQHPIQATVHKEAKFWADKKRFGAEAIYGSAFNIRKDLDAQILSKFQRPPGALPSSMLGYEALTDAAASEDGWVLGRGALELLLGGTAYTQATSAPQISLSMQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPLRLLISHRQSMGTCILNFHSRIQPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRTVVVNSVAFMTIEIGNG >ONIVA03G25760.6 pep chromosome:AWHD00000000:3:21427769:21439529:1 gene:ONIVA03G25760 transcript:ONIVA03G25760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSIVKKEVGENHDVLRFGVNNSVKGDLAPQHPIQATVHKEAKFWADKKRFGAEAIYGSAFNIRKDLDAQILSKFQRPPGALPSSMLGYEALTDAAASEDGWVLGRGALELLLGGTAYTQATSAPQISLSMQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPLRLLISHRQSMGTCILNFHSRIQPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARDSGGEQRGIHDNRDRQWLSIYMGTQQAGEGSKSSAHVLYLLPATNKSQTMDSKKVAYAVFIVILLMTEVAAAAAAGGSARGTGGTGDRANDEEKFIFGHLSKAIFIYIARHFPGHIKECVNHVENQCGWAPAPGCLKEALATCAK >ONIVA03G25760.7 pep chromosome:AWHD00000000:3:21427769:21439024:1 gene:ONIVA03G25760 transcript:ONIVA03G25760.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSIVKKEVGENHDVLRFGVNNSVKGDLAPQHPIQATVHKEAKFWADKKRFGAEAIYGSAFNIRKDLDAQILSKFQRPPGALPSSMLGYEALTDAAASEDGWVLGRGALELLLGGTAYTQATSAPQISLSMQQVQRRELQLVAVSAMLIDCKYEEIWAPEVNDFIFISDSAYTREQILAMEKGILNKLQWNLTIPTPYVFIMMLSASADNKSDKENAEALKFKRLSQSRQQLIDWSVKIKISKEHGGFMRFIQVSCLGASASSSRMLRAKAAGEESVLKEFPEPLRLLISHRQSMGTCILNFHSRIQPVYVVDVAAAIVNSLKDDGTSMGKSYGLGGPEIYTVHDLAELMYETICEWPRTVVVNSVAFMTIEIGNG >ONIVA03G25750.1 pep chromosome:AWHD00000000:3:21420343:21424512:-1 gene:ONIVA03G25750 transcript:ONIVA03G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAFVPPPPQYVPPEEPSAFARLYDVVDRAKAALVTITGGPTTVHGVVVISSGHGQRARRAVTEIITTAPASDPAPAAPQTGSPSFLNSVAVKVAAGVVGLVLGLCVLALWIRRWRRRRRRRRQQAQPLPLPPPMLYNPNPYYKGDQPPLPFVFMQQQHHHPTAPQTSGGTFSDAGSERPHSISIDGGSLSYDQLAAATGGFSPDNVIGQGGFGCVYRGRLQDGTEVAIKKLKTESKQGDREFRAEADIITRVHHRNLVSLVGYCISGNDRLLVYEFVPNKTLDTHLHGSPKIIHRDVKASNILLDHGFEPKVADFGLAKYQPGNHTHVSTRIMGTFGYIAPEFLSSGKLTDKADVFAFGVVLLELITGRLPVQSSESYMDSTLVGWAKPLISEAMEEGNFDILVDPDIGDDYDENKMMRMMECAAAAVRQSAHLRPSMVQKIPTVPSWNRVSPSGHDKEERRGVRETLAAITAAIDQALTDKANRSLAKGRRAVVDELAALGAAVHTCSRNEAELGERLREWEGRGFRVTGSVRDVSVRDQPERMLREVASLYGGKLDILVKHFTASLPPSSIQLLASPPRCGHD >ONIVA03G25740.1 pep chromosome:AWHD00000000:3:21414874:21415551:1 gene:ONIVA03G25740 transcript:ONIVA03G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAEPGPVAERGREIYASDGTLTENPKSIHVHVHVQVDVVRRNKDDGRGGPARLPLPASVRPPHRHRGRIAAPCPRFY >ONIVA03G25730.1 pep chromosome:AWHD00000000:3:21411973:21417554:-1 gene:ONIVA03G25730 transcript:ONIVA03G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNHKYFSNLNNIANSSPQGIMKVSILESITNGFHLCCRSFEPVASAHILKELDELKTKTKKTIIEDVEKVKRLESEDRNALNRAVGIWKAGRDAPHKDAKFATDASI >ONIVA03G25720.1 pep chromosome:AWHD00000000:3:21397769:21399276:-1 gene:ONIVA03G25720 transcript:ONIVA03G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEGWRRLRRCSSYRGCSSSTNGGGGRGVGGCVVLAQKATAYARVTRVGDDGMDETLLSNLPYNSDYGYSSGGNSCSTSERLARPAVQVSRAGQAPAKACGPNLAARNWLGFTGAIPSFLTVYVCKINSKYLSGLSSNKKMVGKETTGQIALDDITPMDMAL >ONIVA03G25710.1 pep chromosome:AWHD00000000:3:21391286:21392323:1 gene:ONIVA03G25710 transcript:ONIVA03G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKKAASARAGAVADKEAAAPLPPEKEVVALPPPVVVEEEVKEVLSETAVPVSRPRPPPEPEKEVVKRKEEEEEEASESASVASATAEKAKAKGGGEGEQEVEKKAIGGMEKGRARRRTPEQRRPKEAAGNGRARSPSPASAQRRYGGGEHLAPPTRPRREQPAVVSGIGCRSGRFSPSAARRAAESAVRRTNSAREADMMLPHSSRTPAAAKRSLNGNGGAYGGGAAKRDPGERSGRRPDSPTSKHIPPASPAANGAISRQQSLNGGATRKTAKDDTTLEQTKPQCHGGGGGGRSPEEGRDGSDESALEEEGHEQEKQTAEGGALGPINPSVAMECFIFL >ONIVA03G25700.1 pep chromosome:AWHD00000000:3:21363592:21364341:-1 gene:ONIVA03G25700 transcript:ONIVA03G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKVKLQRIINDAKRRATFKKRLKGLMKKASELATLCSVDTCLMVYGEGEAQATVVWPSESEVMRVLERFKALPQLDKYKKMTDLEGFIQERIDKLQEQLDKVRRDADESETKLLLIEALEGRRPGLEGITIEQLTSLGWLVDARLNIVNDQLQKLHEQGLLPAPVSLPTMGVLPYTTAGYTVAQEAPIQRGGWLMGVVRGIGSLGYSLFRRSGRSNTAGPSGDMVQPFNIGAGSSLANQGISFPPK >ONIVA03G25690.1 pep chromosome:AWHD00000000:3:21356050:21360532:-1 gene:ONIVA03G25690 transcript:ONIVA03G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPZ9] MAARGRWGHSDNPFEEVEIDQVNPFSHPRPTPLPHEPVAFYNDPGASVDPLDSKKGLKKKERELLAKEAELNKREQELKRREEALARGLVICLFWNIICVSAIAIMWGDPRAWFLAAIYFITGCPGAYFSWYRPLYRAMRKESAFRYGWFFLFYFFHISFCIYAAISPSIFFVGRSLTGIFQAINVIGYNGAVGILFFLGFAMFVLETLLSIWVMQKVYWYFRGKGKEAEMRPDAAAGGSRF >ONIVA03G25680.1 pep chromosome:AWHD00000000:3:21351600:21355077:-1 gene:ONIVA03G25680 transcript:ONIVA03G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPZ8] MAGRSRYDNPFEEGGGDEVNPFADKASKGGSAGQSSYSGGAFYTTQSRPSAPPATHLSPLPPEPADFYNDFSTPVDIPMDTSKDMKTREKELLAKEAELNRREKEIKRREEAAARAGIVLEDKNWPPFFPIIHNDIGNEIPVHLQRTQYVAFASLLGLVLCLFWNIICVTAAWIKGEGPKIWFLAVIYFILGCPGAYYLWYRPLYRAMRGIFPAISLIGNTVIVGVFYFLGFAMFCLESLLSMWVIQRVYLYFRGSGKEAEMKREAARSAARAAF >ONIVA03G25670.1 pep chromosome:AWHD00000000:3:21340559:21346357:-1 gene:ONIVA03G25670 transcript:ONIVA03G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLPPPPTPTPPCASRDPLHAAPRRSRSPSTSRPPSRHRCTASARSTLPAAARDLLADDPTPRAFSALLKAASSSASPPRRPSSLGLGAQLHAQAVVRGFLGGDDSTILATAVLSFYASCREPDLARKVFDGMPRRNAVTWNALIKGYAQAGRREEAILLFRDMKREGSHVAPDRYTFPALLSGIGREGGSGRTLELGGALHAHVIKAGLERDPFVGASLVSLYAARRTLEDAKVAFDQVGSSDPIVWSSMISAYVNCEEEEGALLIFFNMLCQDIKPTQFVYSTVFSVCGRMGILEMGKQVHAHSLKSNTEKDAAMFNALLTMYSDCGCINDAQKVFSSNDCVNVISYNSMISALGQHGYPKEALEHFRQMKFAGLMPDEVTLLNLISSFNHAGLVHEGLQMFNSMVDIEGIKPMYQHYACVVDMLARSGEIGKAMKTINEMPFEAEAPLWRIVLGACSKHRDIETGKRIAEMLFEMEPYEATNYILLGNIYARLGRWTEAEKVRSLMGERGVYKDDAFSWIEMGQRTYRFGVDDRSHPISREIYRNLDRLISTIKVAGYVPDISFAAHNIQRDRKEESLYYHCEKLAFAFGDLAAPSGGTLRIMKNLRVCGDCHCAYKYFSLVTGREIILRDNQRFHHFNSADPAVPLRSGGAMPSYRGCDAQLLYTSLAINSHTVTCHGCILLVILSKRS >ONIVA03G25670.2 pep chromosome:AWHD00000000:3:21340559:21342057:-1 gene:ONIVA03G25670 transcript:ONIVA03G25670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFAFAFCKRWTRSGQYNVIVRIAAHSFGENQNKYLEERIIGCDAQLLYTSLAINSHTVTCHGCILLVILSKRS >ONIVA03G25670.3 pep chromosome:AWHD00000000:3:21343642:21346357:-1 gene:ONIVA03G25670 transcript:ONIVA03G25670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLPPPPTPTPPCASRDPLHAAPRRSRSPSTSRPPSRHRCTASARSTLPAAARDLLADDPTPRAFSALLKAASSSASPPRRPSSLGLGAQLHAQAVVRGFLGGDDSTILATAVLSFYASCREPDLARKVFDGMPRRNAVTWNALIKGYAQAGRREEAILLFRDMKREGSHVAPDRYTFPALLSGIGREGGSGRTLELGGALHAHVIKAGLERDPFVGASLVSLYAARRTLEDAKVAFDQVGSSDPIVWSSMISAYVNCEEEEGALLIFFNMLCQDIKPTQFVYSTVFSVCGRMGILEMGKQVHAHSLKSNTEKDAAMFNALLTMYSDCGCINDAQKVFSSNDCVNVISYNSMISALGQHGYPKEALEHFRQMKFAGLMPDEVTLLNLISSFNHAGLVHEGLQMFNSMVDIEGIKPMYQHYACVVDMLARSGEIGKAMKTINEMPFEAEAPLWRIVLGACSKHRDIETGKRIAEMLFEMEPYEATNYILLGNIYARLGRWTEAEKVRSLMGERGVYKDDAFSWIEMGQRTYRFGVDDRSHPISREIYRNLDRLISTIKVAGYVPDISFAAHNIQRDRKEESLYYHCEKLAFAFGDLAAPSGGTLRIMKNLRVCGDCHCAYKYFSLVTGREIILRDNQRFHHFNSVPINISKVT >ONIVA03G25660.1 pep chromosome:AWHD00000000:3:21339860:21345954:1 gene:ONIVA03G25660 transcript:ONIVA03G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKHTDKAKAKLSMLKSKVICCKLYISESQNAKVVDAITRIGQKDPEVVLLSKFEDDHYNRVRYTLASYIINENSTGEVKFSPMRRVLLEMIEKAFSTINLETHTGTHPRIGVIDDMSFHPLNQATMEDAAQLAKTVASDIGNFLQVPVFLYGAAHPTGKPVTAVRRELGYFQPNYMGIQWMGQVLPDILPVKPDEGPDHVSRERGAIMIGAAPLPLSYNVPVLSKDIPTIRRITRRVTGRGGGLPTVQALALSHGDDCTEIACFLDPDHVSADQVQQQVEQIAAEQGLEVEKGYFTDFSKDAMLEKYFKIVLSVD >ONIVA03G25650.1 pep chromosome:AWHD00000000:3:21332457:21336759:1 gene:ONIVA03G25650 transcript:ONIVA03G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVAAAAASSSSSPLARLVSRRGLAGAADHHGPPKVNIWQEPMNPANWKEEQFVLASLAMWGGIFYGVGRLFSGKKEDKTTEAAPAQA >ONIVA03G25640.1 pep chromosome:AWHD00000000:3:21325719:21330521:1 gene:ONIVA03G25640 transcript:ONIVA03G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDGAIPFRATNIAAAAGTPTSASTAGRPQQGDRENAVSAEIERVNKLPANSSYAIHRLKVLNKLRHLLSIKRTTSQDEELELLFASLSI >ONIVA03G25630.1 pep chromosome:AWHD00000000:3:21318541:21323659:1 gene:ONIVA03G25630 transcript:ONIVA03G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06790) UniProtKB/Swiss-Prot;Acc:Q84JZ6] MAAGAAAATRRSLSALLLSSRALQRRFAPLAAAASSAYLAPWAPPSRGAKTASSGGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPSEEDMVAAYVKTLAAVVGSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFVDGQVIHRPQFRFTERQQVRSRPRPRYDRRRVTMQTEQKEAMQKGPSNLQQ >ONIVA03G25620.1 pep chromosome:AWHD00000000:3:21313027:21316266:1 gene:ONIVA03G25620 transcript:ONIVA03G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSRRWASASVTDLSSAGRSPLPAAALSPVRPSARRSPAVSRPDPAPSIARTIWPSSSSSNSGNTSTRKASPSPSSPAPAASTPSSSSSVATTLADHLAEDSLDAPPAALSRQRSCTELPRFADADAEARKVVVARSGGHASAIGRSMRLLPSTRPAGVTLTPGRVAPSDLRRLDAGADVASSGSECSDASRGGGGSTPRTTTKLPKPPHSPLIARTNSTRLLGSSNTQWALSPGRRSGSPMKTTLATVPELKGKTKSLIGLGWGHLFSRRKAAAAETATGAQATATLSSPASRRSGGGGNREIGHQMKMMHCRLLQWRFANAKAEAVSKNKLSIFEVEFMGAWARISELQGKVARRRVQLEKEKLKIKLNSVLSSQMRGLESWGQLESKHAVALDSTVVCTQAAICKLPLTNGAKAHDTTLLITELVAVAREEHALLQECLELLGRVSALQVEEESLRCHMLQS >ONIVA03G25610.1 pep chromosome:AWHD00000000:3:21308533:21312033:1 gene:ONIVA03G25610 transcript:ONIVA03G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWRRCTPSTSACAGVCVVALLLVALACCPTRARGAAPAVYVLGDSQADVGNNNYLPATLPMYKANYPHNGVDYPGGKPTGRFSNGYNFVDYLADSLGVASPPPYLSISNTSVYLRGVNFSSGGSGVSNLTNMGQCISFDEQIDQHYSTVHATLVEQLGPRQASTHLAESLFSVAIGGNDIINRVLLSQLVGTQDQFISSLANSLKRQLQRMYDLGTRRLLFVGATPLGCCPMLREQSPTKECHAEANYLSARYNNAVTMLLRDMSAMHPGMSYAFFDTYTALLQYIRQPEAYGYTEVKAACCGLGDNNAMFQCTPASSYCANRTSYMFWDIVHPTEITAKRLTKVAFDGSPPLVYPINISQLTAS >ONIVA03G25600.1 pep chromosome:AWHD00000000:3:21299896:21301941:1 gene:ONIVA03G25600 transcript:ONIVA03G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFQDSSNSKWIRVPILIKGLDEVAETLVANLTLDEIDDMKSNRVVIVGDIDLGVLCLEGGGRPQTHVALP >ONIVA03G25580.1 pep chromosome:AWHD00000000:3:21265204:21274177:-1 gene:ONIVA03G25580 transcript:ONIVA03G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSSSSWCALKQSCVALLMALACCLTTCARGAVEALYVLGDSQADVGNNNYLPASLLKANYPHNGVDYPGGKPTGRLASSLASAYTCMHTRCERRSERATMGSCTLSSGGVCVVVVLLCLAACCLTCARGAVPAIYVLGDSQADVGNNNYLLHSLLKANFPHNGIDYPGGKPTGRFSNGYNFVDLIAISLGVPSPPPYLSISSKPMNSSVYLKGVNFASGGAGVSNLTNLAQCISFDEQIDGDYHRVHEALGKQLGIPGAKAHLAKSLFVVAIGGNDIINDLLLSPVSELLRSRDEIVSNLENTLKRQLQTLYDLGMRRLFFVGIAPLGCCPLIRELNPTKECDAQANYMATRLNDAAVVLLRDMSETHPDFTYSFFDTYTAVLQSIRYKEVKAACCGLGDNNAMFLCSPASVYCDNRTSYMFWDVVHPTQAAVEKLMKIAFDGSAPLVSPKNIKQLTES >ONIVA03G25580.2 pep chromosome:AWHD00000000:3:21265204:21274177:-1 gene:ONIVA03G25580 transcript:ONIVA03G25580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSSSSWCALKQSCVALLMALACCLTTCARGAVEALYVLGDSQADVGNNNYLPASLLKANYPHNGVDYPGGKPTGSLGVPSPPPYLSISSKPMNSSVYLKGVNFASGGAGVSNLTNLAQCISFDEQIDGDYHRVHEALGKQLGIPGAKAHLAKSLFVVAIGGNDIINDLLLSPVSELLRSRDEIVSNLENTLKRQLQTLYDLGMRRLFFVGIAPLGCCPLIRELNPTKECDAQANYMATRLNDAAVVLLRDMSETHPDFTYSFFDTYTAVLQSIRYKEVKAACCGLGDNNAMFLCSPASVYCDNRTSYMFWDVVHPTQAAVEKLMKIAFDGSAPLVSPKNIKQLTES >ONIVA03G25570.1 pep chromosome:AWHD00000000:3:21245036:21251038:-1 gene:ONIVA03G25570 transcript:ONIVA03G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGNNTIAAASFAIVVLVAAAASSLAAAVPAVYVLGDSLADVGNNNHLLTLLKADFPHNGIDYPGGKATGRFSNGKNFPDFLAENLGLATSPPYLAISSSSSANYVNGVNFASGGAGVFNSTNKDQCISFDKQIEYYSKVQASLVQSLGEAQAASHLAKSLFAITIGSNDIIGYVRSSAAAKATNPMEQFVDALIQSLTGQLQRLYDLGARRVLFLGTGPVGCCPSLRELSADRGCSGEANDASARYNAAAASLLRGMAERRAGLRYAVFDSSAALLRYIERPAEYGFAEARAACCGLGDMNAKIGCTPVSFYCANRTGYVFWDFYHPTEATARMLTAVAFDGSPPLVFPVNIRQLADM >ONIVA03G25560.1 pep chromosome:AWHD00000000:3:21239509:21244175:1 gene:ONIVA03G25560 transcript:ONIVA03G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLASGIIKWTTNKLSSLVSPQIVSSSSDEQSASFRDLRDLQRTMARIQRTLDAMDEHNIRDEAERLRLWELQQFAYDAQDAVDEYRYELLRRRMEDQSNQRQSSRSRKRKRKGDKKEPEPSPIKVPVPDDLAARVRKILERFNEITKAWDDLQLNESDAPIREEAYDIKISTTPHVGDFDIVGREEDKENIIEILISDEAAQANMSVVSIVGMGGLGKTTLAQMVYNDERVSRYFQLKGWVDVSEGHFDVKAIARKIIMSFTRNPCDIEDMGNLQNMITAQVQDMKFFLVLDNVWNVQKEIWDALLSLLVGAQLGMILLTTRDETISKMIGTMPSYDLSFLTSEESWQLFKQMAFGFIDQHMDQQFEGFGRKIVGKCGGLPLAIKAIGSSLRGETNEETWKDVSESDQWGLPAEEDRVLPALKLSYDRMPVQLKRCFVFLSLLPKGYYFWKEDMINLWMCLGLLKQYCTGHHENIGRMYFDDLIQRAMIQRAESDEKLECFVTHDLIHDLAHFVSGGDFLRINTQYLHETIGNFRYLSLVVSSSDHTDVALNSVTIPGGIRILKVVNAQDNRRCSSKLFSSSINVKIPTETWQNLKQLRALDFSHTALAQVPDSIGELKLLRYLSFFQTRITTIPESISDLYNLRVLDARTDSLRELPQGIKKLVNLRHLNLDLWSPLCMPCGIGGLKRLQTLPRFSIGSGGWHSNVAELHHLVNIHGELCITGLRRVINVDDAQTANLVSKNQLQILRLDWSDGVCPNNCSHPSSQNDVATPDPEHEEEIFESLRPHKNIEELEVVNYSGYKYPSWFGASTFMHLAKIILCQQSCKFLPPLGELPRLRILSMECMTDVEHVRQEFRGNITTKAFPAVEELEFQEMLKWVEWSQVGQDDFPSLRLLKIKDSHELRYLPQELSSSLTKLVIKDCSKLASLPAIPNLTTLVLKSKINEQILNDLHFPHLRSLKVLLSRSIEHLLLDNQNHPLLEVLVISVCPRLHSIMGLSSLGSLKFLKIHRCPYLQLPSDKPLSTQLQRLTITKCPLLADWLEVQISHQQCQLHESKDAWYEEQQALNELNDASEDEQREEFGLLYEDDNGEDNDEQDHEQSEDEEIQYGSDDSSEEDE >ONIVA03G25550.1 pep chromosome:AWHD00000000:3:21221836:21225588:-1 gene:ONIVA03G25550 transcript:ONIVA03G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSSLGRRQHGWRSPPATSVRVRHGGRRTAPEAGAVPLDDGASHSGAPKRTISGIRPKCEKSSGLHPPKSRPIDGDVILSPDSFPRIPSARSPPTPRDCGLRSPSSRPYPAPPEKTLSLPPPAPLPSPILRSGSAAADQATLVAVGSGALPPLHRHVKGASSSSLSDPLENPRILWTPSNKELPRHLHATRSLSCRCSPYHTSSPDCIPLYASSMVPTSIISQGIGWQHDRNLGLLDGFPRLAAIWAEKKCKPQIMSLAQAVNEIARESLMLHWGFMSVCARLCGDLTFWCFADLSSLDLPVMEGLDKLLLAMAEGVNPEENGVMVASSTNGGIASQRQPIALRTPAPELSLQLPDEQQ >ONIVA03G25550.2 pep chromosome:AWHD00000000:3:21222043:21225588:-1 gene:ONIVA03G25550 transcript:ONIVA03G25550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSSLGRRQHGWRSPPATSVRVRHGGRRTAPEAGAVPLDDGASHSGAPKRTISGIRPKCEKSSGLHPPKSRPIDGDVILSPDSFPRIPSARSPPTPRDCGLRSPSSRPYPAPPEKTLSLPPPAPLPSPILRSGSAAADQATLVAVGSGALPPLHRHVKGASSSSLSDPLENPRILWTPSNKELPRHLHATRSLSCRCSPYHTSSPDCIPLYASSMVPTSIISQGIGWQHDRNLGLLDGFPRLAAIWAEKKCKPQIMSLAQAVNEIARESLMLHWGFMSVCARLCGDLTFWCFADLSSLDLPVMEGLDKLLLVSLQTTALVLF >ONIVA03G25540.1 pep chromosome:AWHD00000000:3:21216604:21217209:1 gene:ONIVA03G25540 transcript:ONIVA03G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPRGLLELMTAVDAGLVAVDDDGSARIASGRRRASYGRRHRRQSAPVVAVAVETPPTSSTDDAMSFEFSAAVSYSSASPASMVFSDGQLRAHQFPAVRSSSAASSHVASPVRSWSSSMGGSGGAKGGSSGGGSKKKRVSFNDGGAGRAAAATAANAGDQQRTRGGGLLGCMGSTCTCGSSRNEVVEPSNNANRKVVAAA >ONIVA03G25530.1 pep chromosome:AWHD00000000:3:21212174:21212930:1 gene:ONIVA03G25530 transcript:ONIVA03G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATQGRRTEKEGRPAFGRLFPGSSGGKGSSRYRRPAKTVALEEAMMAGKGGCFSWSRSKMAGEFGREVQVAVSPGQEADFGWSWLTRWPELAGGASVVMRVGWWCCCLERSSLVTWFVQPQHCLRFEFLASVKCLVWWSSVHKTTVMLPSAGVWGGVRAAWPGVARWETITPLLLLSGSFVGVAV >ONIVA03G25520.1 pep chromosome:AWHD00000000:3:21186250:21186534:-1 gene:ONIVA03G25520 transcript:ONIVA03G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGAPRGGTATDGVGGAARWRRRISWAAAAPMVARWWRRPLEEEEAVRPGWAALSGPARLGRRPERREEGKEKKRGMGQMGVWPKLNRKF >ONIVA03G25510.1 pep chromosome:AWHD00000000:3:21183304:21183570:-1 gene:ONIVA03G25510 transcript:ONIVA03G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKDAPTWADQWGSSGGDGSFKKGGGGGGSSGNSEKKTVAGNVKAAASEGLVKAKAAALVGAHKVKSGTSSGIKWVKDQYQKRAGK >ONIVA03G25500.1 pep chromosome:AWHD00000000:3:21176584:21178245:1 gene:ONIVA03G25500 transcript:ONIVA03G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAATRAQLRPAMVVPWLKERGNRKNGTTSARRSWWRKGLACEAPVREIGRWHQWPDMGEKERELEQLGLCREEEGTSSEIEKDILWTMGIFRWRSKGKRSKGRYLMEDKTGFGGSMRWLSGN >ONIVA03G25490.1 pep chromosome:AWHD00000000:3:21171580:21175323:1 gene:ONIVA03G25490 transcript:ONIVA03G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTAGYGQDGAQVAWQRPREVPRSSNDPPLVTAAQDGAKVCALALHAMVEVQLQDAMAIGENFGQIDEGVSIYDISRGFSVAIWVHINSLDADKTCCTV >ONIVA03G25480.1 pep chromosome:AWHD00000000:3:21159638:21163988:-1 gene:ONIVA03G25480 transcript:ONIVA03G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPX5] MAQQQAGARGSKLEIVAARGGSGGSSSAGDAEAPPLDVLRQDSLYRDATRPAHGHHGQESWMRTLRLGFQCVGILHADLGTSPLYVYQNTFKYGIKHEDDIIGVLSLIIYSFVLFTMVKIVFIALHANDDGDGGTFALYSLISRYAKVCLIPNQQAEDELVTRYNDHGKPPATLRRAQWMKSQLEKKPAKIAVFFLTIFATALAISDCVLNPSVSVLSAVNGLKLRAPHLTTDEVVWITVGILVVFFAVQRFGTDKIGYTFAPVVVVWLLLISGIGIYDLVKYDVGVLRAFNPKYIIDYFRRNKKDGWVQLGEVLLTFTGTEALFADLGYFSIKSIQLSSTFVLLPSVLCTYIGQAAYLRKHMDQQHIQNAFFNSIPRPLFWPMFVLAIMTSVIGCQAMVSCAFATMSHLQTLNCFPRIKILHTSRRYSGQLYSPEVNFFLCLLSCVITLSFRTTGFIVKAHEICVVLVMVITTILMTIVMLLVWKVNIWWIVLFFVVFMSTETVYLSAVLYKFTKGPYMPLAMSAVLMVIMFVWHYVHVKRYKFELEHTVSPNKVRELLERRDLKRVPGVGLFYTELVQGIPPIFPHLIEKIPTIHSVIVFISMKHLPIPHVDVSERFLFRQVEPKECMVFRCVARYGYRDTLEMADDFVTTLVEYLQYYIRDLNLYNTVEPLKMSCPSIRIDSFSWDRRPSGHGIYAEEMLTPIQSFSELTMHPVGMSSRLAQFQTTKMSLEEMLKIEEDQKLIQREVDNGVVYILGESEVVAKPHSNLLKKVVVNYIFNFLRKNSRKGEKMLSIPRRKLLKVGITYEI >ONIVA03G25470.1 pep chromosome:AWHD00000000:3:21129302:21137349:-1 gene:ONIVA03G25470 transcript:ONIVA03G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPX4] MGDDVLGRGSRRDQEIVLVDIVDDNDHDDVPAVRRQDSLYVDATRAGGANHRGGQEESWARTLKLAFQCVGILYGDIGTSPLFVYSSTFKDGVRHPDDLLGALSLIIYSFALFTIVKYVFIALRANDDGDGGTFALYTLISRHAKVSLIPNQQAEDELISKYNTGKPQATLRRARWMKELLETNRAVKIWLFLLTILATAMVISDAVLTPAISVLSAVGGLKEKAPNLTTDEIVWITVATLVVLFAIQRFGTDKIGYLFAPIILLWLLLIGCVGIYNAIKFDTGVLRAFNLKYIIDYFRRNKKDGWISLSGILLCFTGTEALFSDLGYFSIRSIQLSFSFGLVPSVLLAYIGQAAYLREHPEHIANTFYRSTPNVMFWPTFILAVAASIIGSQAMISCAFATISHLQTLNCFPRVKILHTSRQYSGQLYIPEVNFLLCVGACLVTIGFKTTVIIGEAHAICVVFVMIITTLLLTIVMLLVWKVSIWYVALFFIVFMSSESIYLSAVLYQFVHGEYVPVAMSVFLMIVMTVWHYVHVKRYEFELEHTVPRDKVKELLERRDIQRVPGVGLFYTDLVQGIPPVFPHLIEKIPSIHSVLIFVSIKHLPIPSVDRSERFIFRHVDKEEYKVFQCVARYGYRDPMEEAKDFVDALTENLQYYIRDVNFYTTGGDQHIFRSTSYASSIAESFASYEKHSGHAVYAEEMLTPAESFSEHTKQLSGRSKHFKQFQVENMNMQKMEKVQQEQQAILREMENGVVYILGESDIVASPHSSLLNKIIN >ONIVA03G25460.1 pep chromosome:AWHD00000000:3:21117118:21117993:1 gene:ONIVA03G25460 transcript:ONIVA03G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEVGGMVHNGGTRESSDQVALLRPSKCPTARTDQYPTRAVTSSKWDLQTALLFSAQLAVGIVWVKLDSLCRDELNHSNVPYSRFWSCVIRVIPTPEV >ONIVA03G25450.1 pep chromosome:AWHD00000000:3:21083361:21083774:-1 gene:ONIVA03G25450 transcript:ONIVA03G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKRQKSLMKKASELSTLYGVDACVVMYAEGEAQPMMVWPSVPEARRVIERFRALPQKDKYKNTTNLEGFLKQRIANLQEKVDKAKHENDELETKLLLLNSLDGCLPSLVGLTVKQITTPQEASWEWSPGDTSPNQ >ONIVA03G25440.1 pep chromosome:AWHD00000000:3:21075097:21075425:-1 gene:ONIVA03G25440 transcript:ONIVA03G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIALGFEGVITVAIKEQLRHLCRFPDFARTKARVTKREQIGRARNRRGGRGIGRGRGGEGGEAWRGSAMQEEERMRRSEHNAVRAAERDAGGERCGAVSALWWGGIA >ONIVA03G25430.1 pep chromosome:AWHD00000000:3:21060730:21067653:-1 gene:ONIVA03G25430 transcript:ONIVA03G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPW9] MAGGVSDGGAAHGASGRLESILSDSSMPLARRAWAATTIELGLLTRIAAPAVVMYMINYLMSMSTQIFSGHLGNLELAAASLGNNGIQMFAYGLMLGMGSAVETLCGQAFGAHKYDMLGVYLQRSAVLLTITGVPLAVIYGFSEPILVFMGQSPEIARAAAIFVYGLIPQIFAYAINFPIQKYMQAQSIVLPSAYISAATLVLHVLLSWVVVYKVGLGLLGASLVLSISWWVIVAAQFAYIVTSPTCRHTWTGFTWQAFAGLWDFLKLSAASAVMLCLESCMTISGWVFMISVGFNAAASVRVSNELGAGNPKAAYFSVWVVTISCAIISAILAVVILCLRNYISYLFTEGEVVSNAVADLCPLLAITLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGVLLGFVFKLGVKGIWGGMLGGTCMQTAILVWVTLRTDWNNEVEEAQKRLNKWEDKKKEPLLTGIRDNN >ONIVA03G25430.2 pep chromosome:AWHD00000000:3:21060730:21066106:-1 gene:ONIVA03G25430 transcript:ONIVA03G25430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPW9] MWRQINTRGVDGASTPTLYRVLIRKIVLGMGSAVETLCGQAFGAHKYDMLGVYLQRSAVLLTITGVPLAVIYGFSEPILVFMGQSPEIARAAAIFVYGLIPQIFAYAINFPIQKYMQAQSIVLPSAYISAATLVLHVLLSWVVVYKVGLGLLGASLVLSISWWVIVAAQFAYIVTSPTCRHTWTGFTWQAFAGLWDFLKLSAASAVMLCLESCMTISGWVFMISVGFNAAASVRVSNELGAGNPKAAYFSVWVVTISCAIISAILAVVILCLRNYISYLFTEGEVVSNAVADLCPLLAITLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGVLLGFVFKLGVKGIWGGMLGGTCMQTAILVWVTLRTDWNNEVEEAQKRLNKWEDKKKEPLLTGIRDNN >ONIVA03G25420.1 pep chromosome:AWHD00000000:3:21033268:21037068:-1 gene:ONIVA03G25420 transcript:ONIVA03G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTFGWSASAFVAAVLARLIGKGLALLAELDDAAAGHLRRLEALLAPVWRVLDAADAGAIDVVGHRRPLQDLLDAAYAADDALDDLVLLQSDAMARGGEHGVDARGTPAAGAARKPKPRSPLRFLLCFSPPRNAVASSSSSSSGSHGKSSKGNKSNPDLRGLGVAFEMMAQAAYRCTSAYEHVVAGKNYATMVSAQAEAATAAEAADYDQFQNDIFGRETEVEQILEKVRFSDDPHYRLGIGVLPIVGVEGVGKTALAQFIFHHEVVKAEFPVRMWVHVSGEVQLKDELVVQMIHGVAGDAHEVEDIREILHEELTGKRFLLVLDDVSDVGDIQWKDLMRLLQPAARRSMIMVTTQSEIAANAIGTMPPLILNPLESEDYQKMFRHFAFGSSDESEDYTPLGDEWDDVEDEDEEEKQSPMEKVALELAKKMGGPPLPATAIARALFFRREDKEHWKNVLEDKLWEQRDVAGISPALWLSYQHLDPRLKQCFAYSAVFPCSHAFTKDELVQMWVAQGLIYSEDAVARPEDIGSKFFEDLVERRFFQPIGSSSYVVHNSMRKLAQAVSTDQFFMVTESSGDVPLEVRHLTIMTNNLSKLINDLSLKISHSSGSDQHFLQRVRTIIFFADFSNSDEFIEFLAEIFKVAKDVRVLGVTYANIAFLPAEIGFLRHLRYLNLLGNRIADLPESVCDLHLLQVLDVRCSSPYLRAPNGITNLIYLRHLHASEPFLSIIPKIQNVSHLQELEVYKVSSISRIDALQGMTQLRGTLCLKDLHQVDVSELRKGILKGMQHLNILELSWSSSDSQSREASTDEDTLECLQPHENLKDLRITGYRSTKCPSWMLKTPCSLSNATSVFLTDCVNLKNLPPFHIMPCLEILEMRKIHSVNKVNTVPQRSDQIMFPKLKRLVFEDVLNCTEWSTGSSKSRNTVFPCLCEIQIRNCPKLRNFPDLPLTLTTMIIENVGLETLPRIQDRHSLPPSSSSDAIATSKEGRWTSRLTTLQIHQCHGLKSLGSSLLQQQHLLRSLEVLSIKNCDNVTCDLSDGFQDLTALTDLSLYDCPKLLVDKFHTSLRKLEISECFITHGAWVDDYPFLFSVWTLKVTSCPHVSTDQESSFSIEPLDWLNCLFNVCSLHLENTLLLKLSMFNRLRSLEILEIDGSRSFFDDLVEEFEWLEKLQDLSIRNCKELRMLPANISTLPVLEELCIENCPALEALPASGLPTSLKRLSISKCSPRLTQRCLDDEPDNLNIAKIAVVYIDGQCISIQQK >ONIVA03G25410.1 pep chromosome:AWHD00000000:3:21028986:21030686:-1 gene:ONIVA03G25410 transcript:ONIVA03G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLGPGQRWRTTQVGQPEEAHRHVVEAGVAAAEEEAAEAYNIAVITFRGLNAITNFDMSRYDVKSILDSPVIPIGNGAK >ONIVA03G25400.1 pep chromosome:AWHD00000000:3:21019536:21020379:1 gene:ONIVA03G25400 transcript:ONIVA03G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDLGYEVPPKYAKVSTHVGDQLHCKTTVTLVSDKEDLPQLSFNGEDNQTIVHMTTMLDAIDDLHTNYKTQAINQKHVQQEKIAKLQKSVEQMEDMSQPEDSDTAKTLKYTEACFAQYRKDTNRKLVLRQKRIFELENEPWKLNVEPSSDKEVKPIHVVKCPCTGVIISLMEGRFP >ONIVA03G25390.1 pep chromosome:AWHD00000000:3:21004207:21009222:1 gene:ONIVA03G25390 transcript:ONIVA03G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDKSNIRLNKYTSGKEEKQMLLFLKFHIDGQLQTSRKILIVPAIPRIGEDEPPPSWDFVLTPKAMVGRGSPKLSMIPKGSPKFGRMLSAASTRMKTVSPQSILAKKTASSPKGNQVKQRAIWNAELEKSLVEILFEYKVNGCRGDNGWTTKGWNRMVKEFHAGNKCVSFTKNQVQEEGQLKRDYKMLKAVRQQSGSSWNEKRCMVEGSPAMWQNMQIISGFTFPKIKKFRNNKASFPLYDALGELYDGHLAEGKHNCTSFDSMEDEKPLRLVQELEDDSQEQDDVVLLEDQRNSREEETDVVLLGDQRNPRDKETQAEDSRIVTEEQRRQALIAKRRQKKKITRLVRIQEWRA >ONIVA03G25390.2 pep chromosome:AWHD00000000:3:21004207:21009222:1 gene:ONIVA03G25390 transcript:ONIVA03G25390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDKSNIRLNKYTSGKEEKQMLLFLKFHIDGQLQTSRKILIVPAIPRIGEDEPPPSWDFVLTPKAMVGRGSPKLSMIPKGSPKFGRMLSAASTRMKTVSPQSILAKKTASSPKGNQVKQRAIWNAELEKSLVEILFEYKVNGCRGDNGWTTKGWNRMVKEFHAGNKCVSFTKNQVQEEGQLKRDYKMLKAVRQQSGSSWNEKRCMTFPKIKKFRNNKASFPLYDALGELYDGHLAEGKHNCTSFDSMEDEKPLRLVQELEDDSQEQDDVVLLEDQRNSREEETDVVLLGDQRNPRDKETQAEDSRIVTEEQRRQALIAKRRQKKKITRLVRIQEWRA >ONIVA03G25390.3 pep chromosome:AWHD00000000:3:21003538:21009222:1 gene:ONIVA03G25390 transcript:ONIVA03G25390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKQLQASFGRAAGDTRGNGVRCEETAWRRTDVDGDGDNFPSDVRALRYLLAGNASPSSSLFLVGFLLEFLRRIWLLEPFVEELWEQRGEGEGRALASLAAALEAALIVPAIPRIGEDEPPPSWDFVLTPKAMVGRGSPKLSMIPKGSPKFGRMLSAASTRMKTVSPQSILAKKTASSPKGNQVKQRAIWNAELEKSLVEILFEYKVNGCRGDNGWTTKGWNRMVKEFHAGNKCVSFTKNQVQEEGQLKRDYKMLKAVRQQSGSSWNEKRCMVEGSPAMWQNMQIISGFTFPKIKKFRNNKASFPLYDALGELYDGHLAEGKHNCTSFDSMEDEKPLRLVQELEDDSQEQDDVVLLEDQRNSREEETDVVLLGDQRNPRDKETQAEDSRIVTEEQRRQALIAKRRQKKKITRLVRIQEWRA >ONIVA03G25390.4 pep chromosome:AWHD00000000:3:21003538:21009222:1 gene:ONIVA03G25390 transcript:ONIVA03G25390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKQLQASFGNGVRCEETAWRRTDVDGDGDNFPSDIVPAIPRIGEDEPPPSWDFVLTPKAMVGRGSPKLSMIPKGSPKFGRMLSAASTRMKTVSPQSILAKKTASSPKGNQVKQRAIWNAELEKSLVEILFEYKVNGCRGDNGWTTKGWNRMVKEFHAGNKCVSFTKNQVQEEGQLKRDYKMLKAVRQQSGSSWNEKRCMVEGSPAMWQNMQIISGFTFPKIKKFRNNKASFPLYDALGELYDGHLAEGKHNCTSFDSMEDEKPLRLVQELEDDSQEQDDVVLLEDQRNSREEETDVVLLGDQRNPRDKETQAEDSRIVTEEQRRQALIAKRRQKKKITRLVRIQEWRA >ONIVA03G25390.5 pep chromosome:AWHD00000000:3:21003666:21009222:1 gene:ONIVA03G25390 transcript:ONIVA03G25390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRGSPKLSMIPKGSPKFGRMLSAASTRMKTVSPQSILAKKTASSPKGNQVKQRAIWNAELEKSLVEILFEYKVNGCRGDNGWTTKGWNRMVKEFHAGNKCVSFTKNQVQEEGQLKRDYKMLKAVRQQSGSSWNEKRCMVEGSPAMWQNMQIISGFTFPKIKKFRNNKASFPLYDALGELYDGHLAEGKHNCTSFDSMEDEKPLRLVQELEDDSQEQDDVVLLEDQRNSREEETDVVLLGDQRNPRDKETQAEDSRIVTEEQRRQALIAKRRQKKKITRLVRIQEWRA >ONIVA03G25390.6 pep chromosome:AWHD00000000:3:21003666:21009488:1 gene:ONIVA03G25390 transcript:ONIVA03G25390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRGSPKLSMIPKGSPKFGRMLSAASTRMKTVSPQSILAKKTASSPKGNQVKQRAIWNAELEKSLVEILFEYKVNGCRGDNGWTTKGWNRMVKEFHAGNKCVSFTKNQVQEEGQLKRDYKMLKAVRQQSGSSWNEKRCMVEGSPAMWQNMQIISGFVINIFLCM >ONIVA03G25380.1 pep chromosome:AWHD00000000:3:20954463:20963026:-1 gene:ONIVA03G25380 transcript:ONIVA03G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWFSGGFRFGLPSGPPYVPSPRPVPPPRTRKRSGGGTPRVEATGDSAAQRGGTPFLFSFHPHVRRGNRLTQVSAPIPVLPDCSSTMSWRFPLFGSSQQQQPDTNFQDNPTQPWYPPSVLGSSSHPSLPSSSSGSPHQRASDNPQSLRGQPSPAEAAGIIARLKDKSVDDLQRLLKDKEAYNAFFNSLDQVKTQNNLRDELKKETVQLARDNLEKEQRILEIRNQCTIIRTTELAAAQDRLADLERQRDEVMKSYSPAALLDKLQKLMAKLDEESEELHQKFLEKDIDLPTFVQKHKKLRTAYHKQALLHLAGKTSFVTHRRLGETAPVRRHQAVKHSQLLAMVTTRGMAATASAAEGYEREREARIQENMERMQKLGIRDLANRFNQSATGFAGGGSWSGSDRWRRKVPVTAGPASPSPARRSLRLKSLDPVNYCEIRTRKGKDVEGGSSVPIEVGSEEEVNAEDAAPVAKEDQGHSEAIQDEDADHHQVNDPADDDGDEDDRESVVTSSSQDCEVNLEDIIGCATSSKPAGPKKRKLIERNPDYVLRELA >ONIVA03G25380.2 pep chromosome:AWHD00000000:3:20954463:20963026:-1 gene:ONIVA03G25380 transcript:ONIVA03G25380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWFSGGFRFGLPSGPPYVPSPRPVPPPRTRKRSGGGTPRVEATGDSAAQRGGTPFLFSFHPHVRRGNRLLQYNELEISSFRPSPAEAAGIIARLKDKSVDDLQRLLKDKEAYNAFFNSLDQVKTQNNLRDELKKETVQLARDNLEKEQRILEIRNQCTIIRTTELAAAQDRLADLERQRDEVMKSYSPAALLDKLQKLMAKLDEESEELHQKFLEKDIDLPTFVQKHKKLRTAYHKQALLHLAGKTSSLDPVNYCEIRTRKGKDVEGGSSVPIEVGSEEEVNAEDAAPVAKEDQGHSEAIQDEDADHHQVNDPADDDGDEDDRESVVTSSSQDCEVNLEDIIGCATSSKPAGPKKRKLIERNPDYVLRELA >ONIVA03G25380.3 pep chromosome:AWHD00000000:3:20954463:20963026:-1 gene:ONIVA03G25380 transcript:ONIVA03G25380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQWFSGGFRFGLPSGPPYVPSPRPVPPPRTRKRSGGGTPRVEATGDSAAQRGGTPFLFSFHPHVRRGNRLTQVSAPIPVLPDCSSTMSWRFPLFGSQQQQPDTNFQDNPTQPWYPPSVLGSSSHPSLPSSSSGSPHQRASDNPQSLRGQPSPAEAAGIIARLKDKSVDDLQRLLKDKEAYNAFFNSLDQVKTQNNLRDELKKETVQLARDNLEKEQRILEIRNQCTIIRTTELAAAQDRLADLERQRDEVMKSYSPAALLDKLQKLMAKLDEESEELHQKFLEKDIDLPTFVQKHKKLRTAYHKQALLHLAGKTSSLDPVNYCEIRTRKGKDVEGGSSVPIEVGSEEEVNAEDAAPVAKEDQGHSEAIQDEDADHHQVNDPADDDGDEDDRESVVTSSSQDCEVNLEDIIGCATSSKPAGPKKRKLIERNPDYVLRELA >ONIVA03G25370.1 pep chromosome:AWHD00000000:3:20943752:20944267:1 gene:ONIVA03G25370 transcript:ONIVA03G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRRGPRALTTDRLDHQRGRTNLLNHQNTPRPGLEADGQEKGGASRRGGGLADLKGNCELKARALKTSVSSMFPVRVSGLRGANPITYNCGNPLQLHHQRRERSTRASSRATEKKEGMGGWDGGGKRVGLPLLLESRDDRERGERGKKERNGCCRRMKWPESLTPHERRP >ONIVA03G25360.1 pep chromosome:AWHD00000000:3:20942603:20944638:-1 gene:ONIVA03G25360 transcript:ONIVA03G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLSRSGAVSLREGSGSRRGGGVGGSSGMAAADLAPPPPDLQRQRPKRFRTLEGVLGESFAWSSRFGDIDASGCRFLLGGVALWISPLRVKTQSSFWAGIGSGSYRCLPPWRFRLEGGAWWQAVAFQLGENGGRVLFSLLEVDSCGSNLSFYPGHRGGLGKGVMTGLSVGSRHRQGCQGSATFLSRRRFHRWRIEV >ONIVA03G25350.1 pep chromosome:AWHD00000000:3:20940545:20941616:-1 gene:ONIVA03G25350 transcript:ONIVA03G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPADGWGGDRRGEAGEEVRRRRSSAPAGRAGLGAVVLVAASRYPLFSFSPPHNACSDMFLDTAELLSAAKSAASNQKKKNMCSGQRSISEICCRKSNLMMRLACRRRVVPPCRSACRRGVIPPAPGMPPPRRPSMPLGEELKRLRVELAAAKNRLATATALLPLVVGEEVAAGLPAPPPLLALPPPSTAACLRPCGLYNGGREQWRLVANRQPLPSCCPSPAADTLVLVPPRPAKGSSPPTSSLATYPTKEKREREEKGRGGERVMTWSADMWGLRGSHADSAAI >ONIVA03G25340.1 pep chromosome:AWHD00000000:3:20935392:20935813:-1 gene:ONIVA03G25340 transcript:ONIVA03G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGAVWGCRPGKGKRGVGRGSGEERHCLGAPAEEGEEKRWPGKRRTPGEEKERRQREGKRALAYVAH >ONIVA03G25330.1 pep chromosome:AWHD00000000:3:20911233:20920377:-1 gene:ONIVA03G25330 transcript:ONIVA03G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPV2] MGSSVKDAGGGKEEQQQQLESPLLEAAVSSGGGDGGGGHGVSGELESILGDETVPWARRMWAATGVEMRLMLRLAAPAVLVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYLQRSTVLLMATGVPLAVIYAFSRPILVLLGESPEIASAAAVFVYGLVPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLAFHLVLSYLVVYQFGLGLLGASLMLSISWWVIVVAQFIYIVTSRRCRLTWTGFSMLAFSGLPDFFKLSLASAVMLCLETWYFQILVLIAGLLKDPEMALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVLSFILSVVISLVILLCRDYISYIFTDGEDVAAAVSKLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAAGIWSGMIGGTLMQTLILMWVTFRTNWNRELW >ONIVA03G25320.1 pep chromosome:AWHD00000000:3:20890895:20900556:-1 gene:ONIVA03G25320 transcript:ONIVA03G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPV1] MGTESDQYPAHLSSSATSPEIAGAARLYVVGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHVALSWFAVYKLGLGLLGASLILSLSWWVIVLAQFAYIVVSDRCRLTWAGFSSKAFSGLPEFLQLSAASAVMLCLETWYFQVTVLIAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAARAAAFSVKVVTSLSLIVAAIIAAIVMCLREYLSYVFTQGEEVARAVSSMTPLLAVTIVLNGIQPVLSGVAVGCGWQAFVAYVNIGCYYIIGVPFGCVLGFHFDLGAMGIYGGMIVGLFVQTLILVYVTFRTDWNREVGEAKKRLNKWGDIAKPLLANED >ONIVA03G25320.2 pep chromosome:AWHD00000000:3:20890895:20900556:-1 gene:ONIVA03G25320 transcript:ONIVA03G25320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPV1] MGTESDQYPAHLSSSATVAGHGDDGGSSTRRREGAAAGGGGGHAASAELERILADESVPSAARLARAAPVELRLLVALAAPAVAVYMINYAMSMSTRIICGQLGTLELAAASLGNVGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLTATAVPLAVIYVFSKEILILLGESPEIAGAARLYVVGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHVALSWFAVYKLGLGLLGASLILSLSWWVIVLAQFAYIVVSDRCRLTWAGFSSKAFSGLPEFLQLSAASAVMLCLETWYFQVTVLIAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAARAAAFSVKVVTSLSLIVAAIIAAIVMCLREYLSYVFTQGEEVARAVSSMTPLLAVTIVLNGIQPVLSGVAVGCGWQAFVAYVNIGCYYIIGVPFGCVLGFHFDLGAMGIYGGMIVGLFVQTLILVYVTFRTDWNREVGEAKKRLNKWGDIAKPLLANED >ONIVA03G25310.1 pep chromosome:AWHD00000000:3:20879631:20881527:-1 gene:ONIVA03G25310 transcript:ONIVA03G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDTWTIILQLDGAAPEHELVQREMDKDLLCFFNLIGLIQEYGFTALDYMYYKKRESSSMATLVGIQTDSDVQRMVAAHESEKKVRICVKREKACVDSRVSITPVKSSNEMARSESDEAGEDMDQSHKKGRGTHKGFKVAKKRFSSGSQKLQIEFSTRLGGPIGINHRSFIEEVVMYTKKKAPLIGVRKWKDIHETVKKSIVIDVLAKWDLADTISTRNKIRDIARERYKGWHSNLHATFQAYSTDGLRFKNKPEELNILEWEYLIHYFGTDKKFQEMSQKNSENRKKQRTLHVTGAKSFSQTSYEKRDKETGEEPSILDLWQATHMRNGEWSNIASKDVYCIGVTYAFFSP >ONIVA03G25300.1 pep chromosome:AWHD00000000:3:20860046:20860958:1 gene:ONIVA03G25300 transcript:ONIVA03G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYNYKDSVRKHIMRINGMLKNSFVIMLRRRIDQLNLLNDANGGKRKFIKVSLATRRKIKSPTLIPLWFLMKGKAQVLASLNPVGKFLCKFFKKEGHAQRDYEGFRAWLVKNGTNVVGIVSHDQPNLLNNANGSKRKFNKVSLETQRTISPILIPLWFLMKGKAQVLASLNPVGKFLCKFFKKEGHAQRDYDDFRAWLAKNSTNVVVSGPKTKDSVTNMF >ONIVA03G25290.1 pep chromosome:AWHD00000000:3:20844813:20854630:-1 gene:ONIVA03G25290 transcript:ONIVA03G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPU7] MAGGDQRGDSPSSHELSGRLEGILADGEAPWARRACKAAALEVRLLAPIAAPAIVVYVLNNVLSISTQIFCGHLGNLELAASSLGNNGIQIFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLMATGVPLAVIYAFSRPILVLLGESPEIASAAAVFVYGLVPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLVLHLVVGWLVVYQLGMGLLGASLVLSLSWWVIVAAQFVYIAASKRCRRTWTGFSWMAFSGLPEFLKLSTASAVMLCLETWYFQILILLAGLLDDPQLALDSLTVCMTLAGWVMMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTAVSFVITVVMAVVFLMFRDYISYIFTEGETVARAVSDLCPFLAATLILNGIQPVLSGVAVGCGWQKIVAYINVGCYYFVGIPLGFLLGFKFHLGAKTLILFWITFRTDWNKEVEEAKKRLNQWEDKKQPLLAGTVDY >ONIVA03G25280.1 pep chromosome:AWHD00000000:3:20826369:20827617:1 gene:ONIVA03G25280 transcript:ONIVA03G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMRQRVSGQPPWRGRLQRTTTSKQAHEKMAPFLLGASGEAVICCRRADLELEGFALAGVGADGGGADGASGYIQKGGTMQGSNIPPSATRTPATGDDPGYCKRGRNSMEGVKEIRHTWDGGGDG >ONIVA03G25270.1 pep chromosome:AWHD00000000:3:20810454:20811746:-1 gene:ONIVA03G25270 transcript:ONIVA03G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQAPDRVVEGFSEEFLDAFLTLLRRAHRHSRIAATVVYNEFIADRHHVHMNSTRWATLTEFVKFLGREGHCKVEDTPKGWFITYIDRDSEQAVKARLKRKRIKSDLAEDERQERMIARQIERAQQSMGKTNGELGDDASPDGSEGESGSEDEYSDSENDHEGQEEDAKEANKAAGKIAIALQRAVPGPKVNPLDDKPKVKFGFEEEDEVSARDKEKEELAKKKGKDAINAAEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYCKQKGVVKRVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTERFCAKVQVEKGLYDGKVLKAIEYEDICKIFH >ONIVA03G25260.1 pep chromosome:AWHD00000000:3:20797111:20809162:-1 gene:ONIVA03G25260 transcript:ONIVA03G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWWELGFPLLQPSVVSTIGDLSAWPVSAHQIAVRLRTVAWITTGVDIEKGVNRRPLYESHPLSSFSWTTTDNMDMVLHGKKDELHDIFESALKSSDLKGLHSECLADMWIGRDRFAFIDLSAGPFAWGPAVGGDGKLQKKRLKLNYKIQSERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEGLSSVLLQFQKPMSSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKATPSVFVVIQAIVNEGAVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >ONIVA03G25260.2 pep chromosome:AWHD00000000:3:20797111:20809162:-1 gene:ONIVA03G25260 transcript:ONIVA03G25260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWWELGFPLLQPSVVSTIGDLSAWPVSAHQIAVRLRTVAWITTGVDIEKGVNRRPLYESHPLSSFSWTTTDNMDMGHLPGALLLVGTVFVLNLVYPMLQRLLGLLQKLQKKRLKLNYKIQSERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEGLSSVLLQFQKPMSSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >ONIVA03G25260.3 pep chromosome:AWHD00000000:3:20797111:20809162:-1 gene:ONIVA03G25260 transcript:ONIVA03G25260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWWELGFPLLQPSVVSTIGDLSAWPVSAHQIAVRLRTVAWITTGVDIEKGVNRRPLYESHPLSSFSWTTTDNMDMGHLPGALLLVGTVFVLNLVYPMLQRLLGLLQKLQKKRLKLNYKIQSERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEGLSSVLLQFQKPMSSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKATPSVFVVIQAIVNEGAVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >ONIVA03G25260.4 pep chromosome:AWHD00000000:3:20797111:20809162:-1 gene:ONIVA03G25260 transcript:ONIVA03G25260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWWELGFPLLQPSVVSTIGDLSAWPVSAHQIAVRLRTVAWITTGVDIEKGVNRRPLYESHPLSSFSWTTTDNMDMVLHGKKDELHDIFESALKSSDLKGLHSECLADMWIGRDRFAFIDLSAGPFAWGPAVGGDGKLQKKRLKLNYKIQSERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEKVRNIKQLPVNVKSVTEGLSSVLLQFQKPMSSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKATPSVFVVIQAIVNEGAVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >ONIVA03G25260.5 pep chromosome:AWHD00000000:3:20797111:20809162:-1 gene:ONIVA03G25260 transcript:ONIVA03G25260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWWELGFPLLQPSVVSTIGDLSAWPVSAHQIAVRLRTVAWITTGVDIEKGVNRRPLYESHPLSSFSWTTTDNMDMVLHGKKDELHDIFESALKSSDLKGLHSECLADMWIGRDRFAFIDLSAGPFAWGPAVGGDGVRTELSLPNVAKTIGAVAEVTEEEAEAKLQDTIREILIFWRELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEGLSSVLLQFQKPMSSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKATPSVFVVIQAIVNEGAVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >ONIVA03G25260.6 pep chromosome:AWHD00000000:3:20797111:20809177:-1 gene:ONIVA03G25260 transcript:ONIVA03G25260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGHLPGALLLVGTVFVLNLVYPMLQRLLGLLQKLQKKRLKLNYKIQSERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEGLSSVLLQFQKPMSSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >ONIVA03G25260.7 pep chromosome:AWHD00000000:3:20797111:20809162:-1 gene:ONIVA03G25260 transcript:ONIVA03G25260.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWWELGFPLLQPSVVSTIGDLSAWPVSAHQIAVRLRTVAWITTGVDIEKGVNRRPLYESHPLSSFSWTTTDNMDMGHLPGALLLVGTVFVLNLVYPMLQRLLGLLQKLQKKRLKLNYKIQSERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEKVRNIKQLPVNVKSVTEGLSSVLLQFQKPMSSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKATPSVFVVIQAIVNEGAVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >ONIVA03G25260.8 pep chromosome:AWHD00000000:3:20797111:20809161:-1 gene:ONIVA03G25260 transcript:ONIVA03G25260.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEGLSSVLLQFQKPMSSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >ONIVA03G25250.1 pep chromosome:AWHD00000000:3:20786716:20791213:-1 gene:ONIVA03G25250 transcript:ONIVA03G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMPPMRAPALVAMLVVVLVALVRRGRHRSKGAGGRLESLPPGPVGLPVIGNMHQMLVNKPVFRWVHRLLADAGGEIVCVRLGPVHVVAVTSPEMAREVLRKNDAVFADRPTTFAAESFSVGYRSASISPHGDQWRKMRRVLTAEILSPATEHRLRGARGEEADHLVRYVLARCGRDGAAVDVHHVARHFCGNVIRRLTLGRRHFREPRADDEDAAAPGRDEAEHVDALFATLNYLDAFCVSDYFPALVGLDLDGHEKVIKKVMRTLNRLHDPVVEERVEEWRLLRKAGERRDVADFLDVLASLDDAAGRPLLTVEEIKAQTIWALAEMMNKPEVMRKAMDELDTVVGRDRLVQESDVRDLNYLKACIREAFRLHPYHPFNPPRVAMADTTIAGYTIPKGSQVILSRVGLGRNPRVWDDPLEFRPERHLSPYPAGGRGDAGVVALTEAELRFVSFSTGRRGCPGVSLGTLITVTLFARLLQGFEWSKPAGVKRVELREEAASLVLAQPLVLQATPRLAAHLYGAGK >ONIVA03G25240.1 pep chromosome:AWHD00000000:3:20775719:20781531:-1 gene:ONIVA03G25240 transcript:ONIVA03G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPPPQWAMGPPPPPQYFQAGPPPPPPQYFQGAHPPAAMWGQPPPPQAAPPPAPAGGAAGDEVRTLWIGDLQFWMEENYLYNCFSQAGELISAKIIRNKQTGQPEGYGFIEFGSHAIAEQVLQGYNGQMMPNGNQVFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDLILQDTFKAHYQSVKGAKVVFDRSTGRSKGYGFVKFGDLDEQTRAMTEMNGQYCSSRPMRIGPASNKKNIGGQQQPSATYQNTQGTDSDSDPNNTTVFVGGLDPSVTDEVLKQAFSPYGELVYVKIPVGKRCGFVQYSNRASAEEAIRMLNGSQLGGQSIRLSWGRSPGNKQPQQDQNQWNAGYYGYPPQGYDPYGYARPPQDPAMYAYAAYPGYGNYQQPAPQQPPQQVKAL >ONIVA03G25240.2 pep chromosome:AWHD00000000:3:20775717:20781531:-1 gene:ONIVA03G25240 transcript:ONIVA03G25240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPPPQWAMGPPPPPQYFQAGPPPPPPQYFQGAHPPAAMWGQPPPPQAAPPPAPAGGAAGDEVRTLWIGDLQFWMEENYLYNCFSQAGELISAKIIRNKQTGQPEGYGFIEFGSHAIAEQVLQGYNGQMMPNGNQVFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDLILQDTFKAHYQSVKGAKVVFDRSTGRSKGYGFVKFGDLDEQTRAMTEMNGQYCSSRPMRIGPASNKKNIGGQQQPSATYQNTQGTDSDSDPNNTTVFVGGLDPSVTDEVLKQAFSPYGELVYVKIPVGKRCGFVQYSNRASAEEAIRMLNGSQLGGQSIRLSWGRSPGNKQPQQDQNQWNAGYYGYPPQGYDPYGYARPPQDPAMYAYAAYPGYGNYQQPAPQQPPQQVKAL >ONIVA03G25230.1 pep chromosome:AWHD00000000:3:20770557:20775976:1 gene:ONIVA03G25230 transcript:ONIVA03G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSLPPPTVPGSKPRPAAATSVSTAATADAAVAGGRSGSGSGSGIGRLSALIRSLCSAGRTAEAARALADAGDAAGVVAYNAMVAGYCRAGQLAAARRLAAAVPVPPNAYTFFPVVRGLCTRGRIADALEVLDEMSFKGCAPIPPMYHVILEAACRSGGFRNSVRVLEAMHAKGCTLDTGNCNLVLNAICEQGCVDEAVGLLRKLAFFGCEADIVSYNAVLKGLCMAKRWGDVEELMDEMVRVDCAPNIVTFNTLIGYLCRNGLFERVHEVLAQMSEHGCTPDIRMYATIIDGICKEGHLEVANEILNRMPSYGLKPNVVCYNTVLKGLCSAERWKEAEELLSEMFQKDCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLSHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMSSCGCKPNTVSYTIVLKGLCSAGRWVDAEELMSQMIQQGCPPNPVTFNTLINFLCKKGLVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMVNKGISPNTIIYSSIACALSREGRVNKVIQMFDNIKDTTIRSDAVLYNAVISSLCKRWETDRAIDFFAYMVSNGCMPNESTYTMLIKGLASEGLAKEAQELLSELCSRGALRKHLMRHFGISNCTQENGKQKCKENLTSAVDNNYKLPIEILGFLEIQNLRPGEKQFLTHFLSSSWFWTAGLMEVVAAAAAVCSVLNISGYEASVPVTIWWVH >ONIVA03G25220.1 pep chromosome:AWHD00000000:3:20734276:20738203:-1 gene:ONIVA03G25220 transcript:ONIVA03G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPGGSAATSSSSTPPPPPDGGGGGYFRFDLLGGSPDEDGCSPPVMTRQLFPSPSAVVALAGDGSSTPPPTMPTPAAAGEGPWPRRAADLGVAQSQRSPAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKSDGTLTGFQLNCDSPEASSSVVTQSSVACASSGHIDVPASTFICISLSGLLCEPQGSTYGEKTCVGSPVVPYFVVMANAGLPFAITPYCSIIRILYGHRRRRRPPAFLPPPSISRPPPVLLPPDHLTATYSGGGDASPCIHRRLPITFVVCPERDLLHMISLSMFHLVMIRILTLMILLSSFLSAKPPIVTVLPGKHPCTSLTLQ >ONIVA03G25220.2 pep chromosome:AWHD00000000:3:20734517:20738203:-1 gene:ONIVA03G25220 transcript:ONIVA03G25220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPGGSAATSSSSTPPPPPDGGGGGYFRFDLLGGSPDEDGCSPPVMTRQLFPSPSAVVALAGDGSSTPPPTMPTPAAAGEGPWPRRAADLGVAQSQRSPAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKSDGTLTGFQLNCDSPEASSSVVTQPISPQWPVLPQGTSMSQHPHLYASPCPGFFVNLREVPMEKRPVLGPQSFPTSWSWQMQGSPLPLLPTAASSGFSTGTVADAARPPSSRPHPFPGHHQFYFPPTT >ONIVA03G25220.3 pep chromosome:AWHD00000000:3:20734164:20738203:-1 gene:ONIVA03G25220 transcript:ONIVA03G25220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPGGSAATSSSSTPPPPPDGGGGGYFRFDLLGGSPDEDGCSPPVMTRQLFPSPSAVVALAGDGSSTPPPTMPTPAAAGEGPWPRRAADLGVAQSQRSPAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKSDGTLTGFQLNCDSPEASSSVVTQSSVACASSGHIDVPASTFICISLSGLLCEPQGSTYGEKTCVGSPVVPYFVVMANAGLPFAITPYCSIIRILYGHRRRRRPPAFLPPPSISRPPPVLLPPDHLTATYSGGGDASPCIHRRLPITFVWALKLGPTRTSV >ONIVA03G25220.4 pep chromosome:AWHD00000000:3:20734517:20738203:-1 gene:ONIVA03G25220 transcript:ONIVA03G25220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPGGSAATSSSSTPPPPPDGGGGGYFRFDLLGGSPDEDGCSPPVMTRQLFPSPSAVVALAGDGSSTPPPTMPTPAAAGEGPWPRRAADLGVAQSQRSPAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKSDGTLTGFQLNCDSPEASSSVVTQEVPMEKRPVLGPQSFPTSWSWQMQGSPLPLLPTAASSGFSTGTVADAARPPSSRPHPFPGHHQFYFPPTT >ONIVA03G25220.5 pep chromosome:AWHD00000000:3:20734517:20738203:-1 gene:ONIVA03G25220 transcript:ONIVA03G25220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPGGSAATSSSSTPPPPPDGGGGGYFRFDLLGGSPDEDGCSPPVMTRQLFPSPSAVVALAGDGSSTPPPTMPTPAAAGEGPWPRRAADLGVAQSQRSPAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKSDGTLTGFQLNCDSPEASSSVVTQPISPQWPVLPQGTSMSQHPHLYASPCPGFFVNLREVPMEKRPVLGPQSFPTSWSWQMQGSPLPLLPTAASSGFSTGTVADAARPPSSRPHPFPGHHQFYFPPTT >ONIVA03G25220.6 pep chromosome:AWHD00000000:3:20734517:20738203:-1 gene:ONIVA03G25220 transcript:ONIVA03G25220.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPGGSAATSSSSTPPPPPDGGGGGYFRFDLLGGSPDEDGCSPPVMTRQLFPSPSAVVALAGDGSSTPPPTMPTPAAAGEGPWPRRAADLGVAQSQRSPAGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKSDGTLTGFQLNCDSPEASSSVVTQEVPMEKRPVLGPQSFPTSWSWQMQGSPLPLLPTAASSGFSTGTVADAARPPSSRPHPFPGHHQFYFPPTT >ONIVA03G25210.1 pep chromosome:AWHD00000000:3:20730615:20733457:1 gene:ONIVA03G25210 transcript:ONIVA03G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMDLAVAVLKTTGFASTAAKERDGGGEGGGSGSGGVRGRRVAGGNAGRQRKPILAFSKILLLAVYLNIDSIVFLILLQKHSSGGDEFVTNAIADRWNYDLHYIRAFAMKLSGKQDAKANGPSPADGKASIRLANRQMDHQYFPQYTAS >ONIVA03G25200.1 pep chromosome:AWHD00000000:3:20700069:20705320:-1 gene:ONIVA03G25200 transcript:ONIVA03G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHMNHTNYQLIDISEDGLFVSLLTESGNTKDDLGLPTETISWGRSRLDLVKARKEEEIYALKDIGTKVHVANILITGKYAEDLYSKAEAGTIRKNGYIVIKNHPCKVVEVSTSKTSKHGHAKCHFVAKDIVPSSHNCDVPHVNRTEYKLIFVSLLTRSGNNKDDLRLPTYDNLLGQIKTGFGEGKDVVVTVMSAMGRSRSDFTDKGLMPVFEDRTSPTKEIEISILLMALRAVSIH >ONIVA03G25190.1 pep chromosome:AWHD00000000:3:20664489:20664683:1 gene:ONIVA03G25190 transcript:ONIVA03G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGSAAPEQVPLPCPSARMKPRRRRSCGRAAARPRRREGETSAGEVVASRSPVVEGYKRKRF >ONIVA03G25180.1 pep chromosome:AWHD00000000:3:20662801:20664251:-1 gene:ONIVA03G25180 transcript:ONIVA03G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMEGQQRLLWWQRRWRGDGVGEANLAAPVAEAMPKESGCRGDGGTGYPGGRSQIAPRSANLYVSLSFQISYAILFLHKLELAGNNVVHELQNQTDQLVYLLSTAPHYSQVPEIYISFLISLNVEYYILRLRPYIAPYWILPSTYARTIIMFSSAMPANRKSHKQFNEYEDLVLNVPIEVSLPNE >ONIVA03G25170.1 pep chromosome:AWHD00000000:3:20652141:20660321:-1 gene:ONIVA03G25170 transcript:ONIVA03G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPS2] MGHPVVAVAIVFVSAAIAMAASSQYSHHPLDPLTATEITAIRAAVLASPLVPARPLFFHYVGLDEPDKPDVLSYAYGAADAAATSSQMTLPRRAFVIARAGGQSHEFTVDIAADNASVLSHAVHRGPGFPMFTDEDQIAAMALPYTYPPFVESVRRRGLDVGEVGCGVLSRGWFGAEQPAYGGARVAKMKCVVVDYNATANIYARPVEGVVMVVDLDRMAIIGYRDRAVFTVPKAEGTDYRADKVGPPFTGPAAPPGVVVQPEGRGFHVHGHLVKWANWEFHVGFDMRAGTVISLASVNDTDAGGLKRRVLYRGFVSEIFVPYMDPEEEWYFHTFTDAGEYGLGALASQLQRGVDCPANAVYMDGYYAGSDGKPVKAEDVICLFERYAGDVAWRHTNGIGLGGLFSEVRPDVTLVVRMVVTVGNYDYTLDWEFKTVGSIKIVVSLSGILEMKAINYTHVDQIREDTHGTLITENTIGVYHDHFVTYHLDLDIDGTRNSFIKNNIVPKRNTGVRATGGAPAPRRSYWTVLYEVAETEAEGQVNINSAPADLLFVNPSKKTKIGNEVGYRLIPTGATATSLLADDDYPERRASYTKKQVWVTPYNKSEKWASGLYAEQSTGDDNLAAWSKRNRSIKDEDIVLWYTVGLHHVPYQEDFPVMPTISGALEVRPSNFFERNPLIRTKPPENSPNCSCSIGGSA >ONIVA03G25160.1 pep chromosome:AWHD00000000:3:20635708:20638634:-1 gene:ONIVA03G25160 transcript:ONIVA03G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPS1] MYPPLVLVLAIFFAVATAIAALSSPLPHPLDPLSPTELTAVRSAVLAFSLVASRPISFHYVGLDEPEKPDVLSHTNAAAAAILPRRAFVIARAGGLSHEFYVDVTNTSALRVVSHGIHDGPGFPMFTTEEQVAAGQLSREYPPFRESLQRRGLALTDVGCGVFSMEWFGKNEARRLAKVQCFIVAGGTANYYARPLEGVTLLVDVEKLVIVAYRDRAAYSVPKAEGTDYRAGKAGPPFNGAVPAPGVVVQPEGKGFHIDGHIVRWANWEFHVGFDMRAGTVISMASVHDADADLWRRVLYRIFVPYMDPEEEWYFHTFMDAGEYGLGVSAVPLQPEADYPANAAYMDGYYVDADGKPVKSENIICVFERYAGDIAWRHTGVAGPIAPITEVRPDVTLVVRMVVTVGNYDYTLDWEFKTVGSIKTVCILEMKATNYTHVDQIRDDIHGTLIAENTVDVYHDHFITYHLDLDIDGTKNSFIKNTIIPKHNTGVRATSGAPTPRRSYWTVLYEVAETEAEGQVNINGAPADLLFVNPSKKTKVGNEVGYRLIPAGATATSLLANNDYPQRRASYTKKQVSGLYAEQSTGDDNLAAWSKRRIKDEDIVLWYTVGLHHVPCQEDFPVMPTISGAFELRPFNFFERNPLIRTRPPGNSPNCSCSFGRSG >ONIVA03G25150.1 pep chromosome:AWHD00000000:3:20624985:20625221:1 gene:ONIVA03G25150 transcript:ONIVA03G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLHGTSPHPVSCLLALADWLPAPSSTAGDLLPFHPQVPALIPYQCHTLSLSTPRFVADYPAANPTLALTKSVPPPT >ONIVA03G25140.1 pep chromosome:AWHD00000000:3:20617691:20624845:1 gene:ONIVA03G25140 transcript:ONIVA03G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;nucleotidyltransferases [Source:Projected from Arabidopsis thaliana (AT5G19485) TAIR;Acc:AT5G19485] MDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPVLSYVLDLLEASDLKDIIVVVEGQEAARLVGAWASSAYLDRLLVEVVAVPEDIGTAGALRAISKRLTANDVLVISGDLVTDVLPGAVAATHRRNGAAVTALLCSVPISGPSDAASSGGKDKAKKPTRLNIVGLDITRQFLLHIVSGTDVEKDVRVYKRKIRAVGEMEIRSDLMDAHLYAFKRTTLQNILEEKESYRSIRLEVLPYLVRSQLKSSSSGGEGTTVDETGDATVPSNSHLQCLSQHRILAPSAFKKDLLSSGGTYRCCVYIATKSKYCHRLNSIQAYCDINRDVVGDASHLSGYSFSAQNNIIHPTSVLGSKTTIGPQCMLAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVICNNVQLQERAVLKDCQVGAGYIVTASSEHKAESLSKKVERF >ONIVA03G25130.1 pep chromosome:AWHD00000000:3:20616964:20617276:1 gene:ONIVA03G25130 transcript:ONIVA03G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGIAQGGHQIYRLIFLFLRRCPAARPSRPTTPPPPPLSWDITLIPRNQGLILRYQLDTQGTSRYPSTKA >ONIVA03G25120.1 pep chromosome:AWHD00000000:3:20616503:20616895:-1 gene:ONIVA03G25120 transcript:ONIVA03G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQALAPGGRVHHSPTVGGASTAEEEEGGSGEEANDKNDEEEERLEELDREASRRPSLVVVGSPAGYRPGRPRGLVVTAPPSPPSPSLSPPSPSPCSWVIVIEREIEWRGRERERTSGGEEMQREGKRR >ONIVA03G25100.1 pep chromosome:AWHD00000000:3:20602650:20603174:-1 gene:ONIVA03G25100 transcript:ONIVA03G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPARAPRPPHRAPSLSIVAVHGSGDEGQRRRDAVAALPPAVPPAAYPLYRPLPRLSRRLTKLLEGIVEAWITAASVGTARLRRRTPGSRQRLDLLEELPSMHTDGVMDILGSFYARWIEWWLTGAGGIKDGACRPELEKTMPIDKLATPLARFLWGFRRGGRRDEDGAVAWP >ONIVA03G25090.1 pep chromosome:AWHD00000000:3:20585246:20587502:-1 gene:ONIVA03G25090 transcript:ONIVA03G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNHFSGSVPPGLSGLHNLVLYNIGFNQIVGNTSVLVDLMNCTKLQLIAFDENLIEGILPDSIGNLSSSLTRLYVGGNRITGYIPASIGRLSSLTLLNMSYNLLFGSIPPEIGLLKELTMLSLARNKLSGIIPAEIGNLAQLTRLEMNHNELVGEIPVEIGHLQHVLSLDISSNSLKGGIPASIFSLNSLSTLLNLSHNLLTGSIRENIGQLGQITAIDLSYNFLNSSIPVSIGKCQSLQSLSLSRNSLSGVIPGTIGNLKGLQILDLSSNQLSGIIPATLVKMQALRLLNLSMNDLDGLVPNNGIFKDHSVVYLDGNPKLCYSNMLCYYIHSSHRRKMAVAIAVGTAAMAAITIVVIISMLLLPRKWLRNRKPKKLGSFIKKSYPLVSYEELNQVTSSFDNRNLIGTGGFGSVYKAVLRSGTAVAIKVLDLHKMGALKSSTAECEALRNVRHRHLVKLVTMCASIDFSGNEFRALVYELMSCGSVEDLIHKGRQGENVAGVNADMILSIAIDVASALDYLHNDCGEQVVHCDIKPSNVLLDEDMTAKVGDFGLARLLSPTSAGQDVSSTHGLKGSIGYIPPEYGYGSKPSAKGDVYSYGMLLLEMITGKRPVDPQFGGDMNLEKWVRDGFPHRAHEVVDERLRGTIVDICHEGQQQASAEQKRQQLMLNNIILPVMEVALSCALESPDERSTMRDALCRLKRIKEAFLKNHSF >ONIVA03G25090.2 pep chromosome:AWHD00000000:3:20585246:20588348:-1 gene:ONIVA03G25090 transcript:ONIVA03G25090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLFLVVSAQSVPADNMDQEALLGLKSLVTSDPSGMLLSWGNGSACTWSGVRCNRHGRVLVLDLQGLNLVGKISPSIGNLSALHGLYLQKNQFSGEIPDQIGWLGQLQTLNASANILTGNIPAALINCTNLEIIDLSQNTFFGTIPASISSFQKLRVLKIGGNQLSGSVPRYIGNLSLLSTLDLSTNNLTGTIPYEFGHLRQLKYLQLSINNLKGTVPEPLYNLSSLSFFAIADNDLHGKIPSDVGFRLPRLLVFHICINRFTGPIPPSLHNVTNIQSIRMSHNHFSGSVPPGLSGLHNLVLYNIGFNQIVGNTSVLVDLMNCTKLQLIAFDENLIEGILPDSIGNLSSSLTRLYVGGNRITGYIPASIGRLSSLTLLNMSYNLLFGSIPPEIGLLKELTMLSLARNKLSGIIPAEIGNLAQLTRLEMNHNELVGEIPVEIGHLQHVLSLDISSNSLKGGIPASIFSLNSLSTLLNLSHNLLTGSIRENIGQLGQITAIDLSYNFLNSSIPVSIGKCQSLQSLSLSRNSLSGVIPGTIGNLKGLQILDLSSNQLSGIIPATLVKMQALRLLNLSMNDLDGLVPNNGIFKDHSVVYLDGNPKLCYSNMLCYYIHSSHRRKMAVAIAVGTAAMAAITIVVIISMLLLPRKWLRNRKPKKLGSFIKKSYPLVSYEELNQVTSSFDNRNLIGTGGFGSVYKAVLRSGTAVAIKVLDLHKMGALKSSTAECEALRNVRHRHLVKLVTMCASIDFSGNEFRALVYELMSCGSVEDLIHKGRQGENVAGVNADMILSIAIDVASALDYLHNDCGEQVVHCDIKPSNVLLDEDMTAKVGDFGLARLLSPTSAGQDVSSTHGLKGSIGYIPPEYGYGSKPSAKGDVYSYGMLLLEMITGKRPVDPQFGGDMNLEKWVRDGFPHRAHEVVDERLRGTIVDICHEGQQQASAEQKRQQLMLNNIILPVMEVALSCALESPDERSTMRDALCRLKRIKEAFLKNHSF >ONIVA03G25080.1 pep chromosome:AWHD00000000:3:20575598:20575942:-1 gene:ONIVA03G25080 transcript:ONIVA03G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFKAFKSRVEVAWSPKLVRGLPGTRRLHRHTLEAMSLRRCHRTVEHRTTPSLLGMLTQVKCLVVVETQEMYAARRQAEEDRRAPRPPLIVSHTRRRRGERPPQRRTRLKK >ONIVA03G25070.1 pep chromosome:AWHD00000000:3:20573221:20573574:1 gene:ONIVA03G25070 transcript:ONIVA03G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSRNAKREEAVAEAEPADWLPGMNEREKREEEELREEREFATHMAATSAESYARFNLPPLTPEEEAELEAAVRRRRDDDVSVLRPEDDEEIKRRIGNDSILCHFD >ONIVA03G25060.1 pep chromosome:AWHD00000000:3:20562043:20564893:1 gene:ONIVA03G25060 transcript:ONIVA03G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSLPPPTVPGSKPRPAAATSVSTAATADAAVAGGRSGSGSGSGIGRLSALIRSLCSAGRTAEAARALADAGDAAGVVAYNAMVAGYCRAGQLAAARRLAAAVPVPPNAYTFFPVVRGLCTRGRIADALEVLDEMSFKGCAPIPPMYHVILEAACRSGGFRNSVRVLEAMHAKGCTLDTGNCNLVLNAICEQGCVDEAVGLLRKLAFFGCEADIVSYNAVLKGLCMAKRWGDVEELMDEMVRVDCAPNIVTFNTLIGYLCRNGLFERVHEVLAQMSEHGCTPDIRMYATIIDGICKEGHLEVANEILNRMPSYGLKPNVVCYNTVLKGLCSAERWKEAEELLSEMFQKDCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLSHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMSSCGCKPNTVSYTIVLKGLCSAGRWVDAEELMSQMIQQGCPPNPVTFNTLINFLCKKGLVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMVNKGISPNTIIYSSIACALSREGRVNKVIQMFDNIKDTTIRSDAVLYNAVISSLCKRWETDRAIDFFAYMVSNGCMPNESTYTMLIKGLASEGLAKEAQELLSELCSRGALRKHLMRHFGISNCTQENGKQKCKENLTSAVDNNYKLPIEILGFLEIQNLRPGEKQFLTHFLSSSWFWTAGLMEVVAAAAAVCSVLNISGYEASVPVTIVSSPILLLILASLYCPYLFCGQRDLHELYTQYLWLLSVSEVH >ONIVA03G25050.1 pep chromosome:AWHD00000000:3:20532857:20533386:-1 gene:ONIVA03G25050 transcript:ONIVA03G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLANGDSSADTTTRRNAEDFLAILLKVVLSPEVAGIDASGVASGGGLQSLGAHWNLIAAWRGLGNSGNGKDSPAVVDNVGFTATARLSGGMLREGAWVVSEVPKELHARLISPWLTGERGIGDGTRRLELEKMTAISLVCARFLKFLEGFWP >ONIVA03G25040.1 pep chromosome:AWHD00000000:3:20532563:20532832:-1 gene:ONIVA03G25040 transcript:ONIVA03G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLEMDHVGQNSDDVMAAAPGASSGALVGKVAFPLLQWIKQDGVKRRFARHDVGRLERERFDDGDDSSATASVIFFAIDDENVGDGEA >ONIVA03G25030.1 pep chromosome:AWHD00000000:3:20522738:20528919:-1 gene:ONIVA03G25030 transcript:ONIVA03G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETLASSAWLYSGIGCIHQISLICIVEARIISPILCQRLTIKWVRTDCHLDLVLIEYKHQGDIDALSSMNGNVWPFVKEKQQGRNPTVFRGHDLLHSHGKTLNDRSCSHSFREEETKDLVSSSHDDAETEKNFAIWDQPLDRTGLLDYPFGNKIDGYHGEGRACPRDSSKWGNHSLSPDHAPTSCLRTEGEVPSLNRVSEYAKGADGHMCTTERLGDFFSSNQGSCTQNRSYQEVQRLPTEVNFPNAHFSAIDKARHRSYMEKFQTCKKHQGTCSKDLMFNISDHSLVGRTCHRFEVGRAHTSKAFDEFHAFHHEQLHQSPRDNFRDQLGSSRNFRNVHKGKMSRRQCTKHDLKKKNSNVAFHSTYGRNSDRKRHGNHLDGHRAKRNMPSENQSKESCYPNMKDWQSYSHGDVRQSGDNQEGNTKKIKKGGQNGEKGNYHRNNNIPTVVCSGSKSNENSEDMKSDEVSNGKLQDAPVTYVENGVKESDNASPSELLRDCLIIWRRLKKDNCAEAENVKKTNTNRTVQTSKVSVSERLRNGRPSSGFDDENSSTSGSASVSSESDDESNSPSEDSKQCRGVMSSSEAQKCSKGRTERESEQPFKSLSGDNRMKSPQNTIAEKGLMFYQDVPPETNPSEVMQQKEQDDLSCCWNGCSDTSTKPVADSHPESSVHQKFSQQGAIEGHSNARSRHELVVGCDIENTLEADGAKSGEQSTVPELLDKKAAVLCSMDDDSVKVVNVSACSNQDSDTTPCGVTKLDKGTANKFLEKPVNLSTGSNFRVIQWGAVDCNIVRIKQENSQHADSEQDTHHKESGEPSQALKVASNQQIPHQFDSDRDNPCTTRQADWDSCSSIPDLNCLPNMNTDDELEPVENVNGDGTNPQNNIKSLSASSCKPTLQKEQSKRPEPIELTGGICERKDGNRFQSPNSHSGPSQQSIVEESSMSIDVFKCNLCEFIKNIIKPLWEDGLLSREVHKIIVRKAVEKVTTVLGSKVPLTEIDACRFLLEESQNLEKLVQGYLDLYVGREVLKKKHDR >ONIVA03G25030.2 pep chromosome:AWHD00000000:3:20522738:20528919:-1 gene:ONIVA03G25030 transcript:ONIVA03G25030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETLASSAWLYSGIGCIHQISLICIVEARIISPILCQRLTIKWVRTDCHLDLVLIEYKHQGDIDALSSMNGNVWPFVKEKQQGRNPTVFRGHDLLHSHGKTLNDRSCSHSFREEETKDLVSSSHDDAETEKNFAIWDQPLDRTGLLDYPFGNKIDGYHGEGRACPRDSSKWGNHSLSPDHAPTSCLRTEGEVPSLNRVSEYAKGADGHMCTTERLGDFFSSNQGSCTQNRSYQEVQRLPTEVNFPNAHFSAIDKARHRSYMEKFQTCKKHQGTCSKDLMFNISDHSLVGRTCHRFEVGRAHTSKAFDEFHAFHHEQLHQSPRDNFRDQLGSSRNFRNVHKGKMSRRQCTKHDLKKKNSNVAFHSTYGRNSDRKRHGNHLDGHRAKRNMPSENQSKESCYPNMKDWQSYSHGDVRQSGDNQEGNTKKIKKGGQNGEKGNYHRNNNIPTVVCSGSKSNENSGLLSPKCRSKTIMSSNGPKQSEGSENIKLESDKKPSLVVCTKKTEDMKSDEVSNGKLQDAPVTYVENGVKESDNASPSELLRDCLIIWRRLKKDNCAEAENVKKTNTNRTVQTSKVSVSERLRNGRPSSGFDDENSSTSGSASVSSESDDESNSPSEDSKQCRGVMSSSEAQKCSKGRTERESEQPFKSLSGDNRMKSPQNTIAEKGLMFYQDVPPETNPSEVMQQKEQDDLSCCWNGCSDTSTKPVADSHPESSVHQKFSQQGAIEGHSNARSRHELVVGCDIENTLEADGAKSGEQSTVPELLDKKAAVLCSMDDDSVKVVNVSACSNQDSDTTPCGVTKLDKGTANKFLEKPVNLSTGSNFRVIQWGAVDCNIVRIKQENSQHADSEQDTHHKESGEPSQALKVASNQQIPHQFDSDRDNPCTTRQADWDSCSSIPDLNCLPNMNTDDELEPVENVNGDGTNPQNNIKSLSASSCKPTLQKEQSKRPEPIELTGGICERKDGNRFQSPNSHSGPSQQSIVEESSMSIDVFKCNLCEFIKNIIKPLWEDGLLSREVHKIIVRKAVEKVTTVLGSKVPLTEIDACRFLLEESQNLEKLVQGYLDLYVGREVLKKKHDR >ONIVA03G25020.1 pep chromosome:AWHD00000000:3:20512247:20517005:-1 gene:ONIVA03G25020 transcript:ONIVA03G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 18 [Source:Projected from Arabidopsis thaliana (AT3G20500) TAIR;Acc:AT3G20500] MAVPPLLLFLLLLSSFSSCAAAASGAPVGEDYVRPPAAARRCGLHHRKALLSLFPWSKKKDSSSASDPQQVHISLAGEKHMRVTFVTDDNSVPSVVDYGTEAGTYTSTSQGESTSYSYLMYSSGKIHHVVIGPLNDNTVYYYRCGGHGPEFQFKTPPSQFPLSLAVVGDLGQTSWTTSTLNHIKQCAHDMLLLPGDLSYADYMQHLWDSFGTLVEPLASTRPWMVTEGNHEKERIPFFKSGFQSYNARWKMPYEESESTSNLYYSFEVAGVHAIMLGSYTDYDESSDQYAWLKADLAKVDRKRTPWLIVLLHAPWYNSNWAHQGEGDSMMAAMEPLLYAAHVDMVIAGHVHAYERAERVYKGGLDPCGAVHITIGDGGNREGLAHRYRNPKPAWSVFREASFGHGELKIVNATHAHWTWHRNDDEEPVRTDDVWITSLAGSGCIQDGSHEYRKILMSP >ONIVA03G25010.1 pep chromosome:AWHD00000000:3:20507017:20510479:1 gene:ONIVA03G25010 transcript:ONIVA03G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRVLMASTEDTATAPAGGPPEPPPQSSSASPSPSPPPPPPTPSSPQRPPPPPPPATPPPPPPASPGKNQSPASPSQDSPPPVASPSVSPPPPAPTTPPSPPPPSKSPPPPSPPPTTSSTPPSHQSPPEEGTSPPPSPSSGATTPSPPPNAQSSSSSSSSSTPPAGAGTSPPAPREMPSPGTPPSPPTTLITTQAPPIQPPPPPGGNSMIMPSSLTTAGTSQSPPDATTAGAPPPPAPSVGAWGGNVPSGLLIGVAFAGFLLALASMFLFLCIKNRWKRRRRPAQVMNLARRRTLVVPERVASPEVYQPSNGPTASPSGTSSYEFSGTTSWFTYDELAAVTGGFAEEKVIGEGGFGKVYMGALGDGRRVAVKQLKVGSGQGEKEFRAEVDTISRVHHRHLVTLVGYSVTEHHHLLVYEFVSNKTLDHHLHGGGLPVMDWPKRMKIAIGSARGLTYLHEDCHPRIIHRDIKSANILLDDAFEAKVADFGLAKFTNDSVTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDSSQPLGEESLVEWARPLLVDALETDDFRELADPALERRYSKSEMRRMVEAAAACIRYSVTKRPRMVQVWRSLDVEGSSPDLTNGVKLGQSMAYDSNQYSADIELFRRMAFANDLSTAELGYSGKDDVRRPPR >ONIVA03G25000.1 pep chromosome:AWHD00000000:3:20503944:20506223:-1 gene:ONIVA03G25000 transcript:ONIVA03G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDWSRRLKELATGGEEESDSAPSRPDLASPARETGSPARTRVGSVTRRRMQLRGARSKGAVERALTAGTAVQCQRQEERGSGDRNERKRVALPGSRRRSMLAVVRDGYSQWNAGEAQRHATNGGRPTWREVARPEATGPWKSEATSGHETEIKAICTCETMEHQGVASLFVQIAPAMQLYKFQGGSGCSQNVVACDVPSNMQT >ONIVA03G24990.1 pep chromosome:AWHD00000000:3:20501077:20502570:1 gene:ONIVA03G24990 transcript:ONIVA03G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQGEAHRREPDQRRPMCGVCTKPLRLCLCGRLRRPPLDTAVGVTVLQHLMEAGHPLNSTRVARLGLRNLAVALVGDVNHRASFHLRTLDAAAAAGGGNHDRPDGPGEIQVLEGDGFGGGTGGPAGPVQCEGETLDSAICSNGISGESGGAVSCARRDYVTKGINASSDLGVKAANIRGSSDIGGEILDLVDIPDRIGFDLDGEICSVKSDLGGGEELGFQSMKRNGYCSDSERLGSSANQTGNSFVDGIHGENHHSIGEVNGNHLVENASEFQMATAQNCNGIPRENVGTGAAIGQGWTVKNMDKCSITYTEKELKIEIERGVKPKIRWLSRGPLGQSAVSNGFTVTKIQMKKSKQTGEVSVFEEFSITIPPKSALLFPCQRAISIDASDCQLQHLIVLDGTWAKAQRMYHENPWLQLLPHVKLESDGVSLYSEVRHEPRAGCLSTIESIVVAMRKLGEDAKGLDDLLDVFESMIADQLRCKDENWKQKLESKT >ONIVA03G24980.1 pep chromosome:AWHD00000000:3:20496688:20499171:-1 gene:ONIVA03G24980 transcript:ONIVA03G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGFAVLAGGVLGYARRGSTASLVGGAGAGALLLLAGFVSLKAFEKRRNSYLALALETLLNLLLERSSMHVVVSLLTMESSKICALALTYVMGQRYLETSKIMPAGVVAGLSALMSAFYLFKIATGGNHIPPKKE >ONIVA03G24970.1 pep chromosome:AWHD00000000:3:20492819:20495870:1 gene:ONIVA03G24970 transcript:ONIVA03G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCQGANPLVPAVMLPDYYCCMVEKLIEKLTRNGRNAVAINEHIFSTVDGIIGTFALGETYAAEEFKDISETMDLLSSSSAEDFFPGSVAGRLVDRLTGLAARREAIFRKLDRFFERIVDQHAAADDDGPAAARRKADDKGSAGSDLVHELIDLWKMEGNTKQGFTKDHVKAMLLDTFVGGITTTSVTLHWAMSELIRNPRVMKKAQDEIRAVVGEKERVQHHDMPKLKYLKMVVKETFRLHPPATLLVPRETTRHFKVGGYDIPEKTKVIVNEWAIGRDPNIWKDPEEFIPERFEEMDIDFNGAHFELVPFGSGRRICPGLAMGVANIEFILASMLFCFDWELPHGVRKEDIDMEEAGKLTFHKKIPLLLVPTPNKAPN >ONIVA03G24960.1 pep chromosome:AWHD00000000:3:20483077:20484610:1 gene:ONIVA03G24960 transcript:ONIVA03G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLELLPQQWQLSITSLILLAVSVVLIFWSRHRRNPSSRLKLPPGPTRLPIIGNLHQIGRLPHRSLGALAGWHGPVMALWLGTVPVVVLSSPKAAREALKVHDPECCSRSPSAGPRMLSYKYKDVAFSPYSNYVRDMRKLFLYLYIYYYIYT >ONIVA03G24940.1 pep chromosome:AWHD00000000:3:20445224:20446665:-1 gene:ONIVA03G24940 transcript:ONIVA03G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPP9] MASAFSPATAAPAASPALFSASTSRPLSLTAAAAAVSARIPSRRGFRRGRFTVCNVAAPSATQQEAKAAGAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVVGDPFNSDPDDPEVMGPEVRERVLEGEKLPVVTAKITMVDLPLGATEDRVCGTIDIEKALTDGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDRDPKAFRESYLEEQDKLQQQISSARSNLGAVQIDHDLRVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDTVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVVEKFYEVFT >ONIVA03G24930.1 pep chromosome:AWHD00000000:3:20436988:20442240:1 gene:ONIVA03G24930 transcript:ONIVA03G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREYIGVEASCFACYQWLIATYICSGCSCRRLIRMSLEHNKMMITLVRLLPLVLVVALAWPAAEAPAAASPPPDPVQCSSGSGTADCTVSSAYGVFPDRSTCRAAAAVYPSTEEELVRAVANATASGTKMKVATRYSHSIPQLACPGDGDGEGLVISTRRLNRVVAVDAGRMEVTVESGISLRELIAEAGKAGMALPYAPYWWGLTVGGMLGTGAHGSSLWGKGSAVHEYVVGMRIVTPAPAADGYAKVRVLTAADPELDAAKVSLGVLGVISQVTLALQPLFKRSVTFMERDDDDLADQVTKFGYQHEFADIAWYPGIGRAVYRVDDRLPMNASGEGVLDFIGFRATPRLLIRTNRLAEELFERAGNGSGKCVTSRVTHAALSSAGYGLMRRSGGLFTGYPVVGPQHRMQASGGCITGPEDALLTACPWDPRVRGSSFFHQTTFSLPVSRAGAFVEEVRRLRDMNPKALCGVELYDGILIRYVKASTAHLGKPAAGGGQSDDMVDFDMTYYRSRDPNRARLFEDVLEEIEQMGVFKYGGLPHWGKNRNLAFVGAARKYPRIGEFLRIKDAYDPDGLFSSDWSDMMLGIGGRAPTRDAPGCALEGMCVCSQDAHCAPEQGYVCRPGKVYKDARVCTKV >ONIVA03G24920.1 pep chromosome:AWHD00000000:3:20377601:20378350:-1 gene:ONIVA03G24920 transcript:ONIVA03G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILEAAAFLLLTAAAAAGGASTVVEPVASCSATDSFAADSSFAGNLGRLVSLLEAKAPAIGFDIATVGVGGDGEDQRVHGLALCRGDVARATCAECIRAAGALARRVCPSKKDAVVWLDACMLRYSGEPFFGEVDAEHRAVVPPARVLRGADRSADLDREVSRLMKRLTRTAYLSPLLFAAGEAVAVGGAQRLHGMAQCTKDLSGGDCKMCLESAIDQLLARGCAKEGGKVLGGSCSLRYDFYSLSDS >ONIVA03G24910.1 pep chromosome:AWHD00000000:3:20375689:20376218:1 gene:ONIVA03G24910 transcript:ONIVA03G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKSRADNPPSTSLGMVDSLPECSRVVDPPSHMWRGGGRVAQHQEWRPRPRRRCECSEEGGSVLACCTEEAEGQHDIASGNHNRGQRGQGLQRGTQRRRPRTPGAPGECSLACEN >ONIVA03G24900.1 pep chromosome:AWHD00000000:3:20375347:20375589:1 gene:ONIVA03G24900 transcript:ONIVA03G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSRVVERRRKGGPAPGVATKITVATCGLESGGSTTGGLRNGGSIASKLGSGGYVLASGGEEAEGQRRTGSGDQDHSG >ONIVA03G24890.1 pep chromosome:AWHD00000000:3:20374066:20374378:1 gene:ONIVA03G24890 transcript:ONIVA03G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKEAPPSLLVALLSVHLLPCPPPDLATTVAVEKAGSEGKVEVEGKNLTPIASF >ONIVA03G24880.1 pep chromosome:AWHD00000000:3:20366044:20371040:1 gene:ONIVA03G24880 transcript:ONIVA03G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPQHCQRAAEMDKPAPQAFVYRISTADEWAQLQRTGGTLGGDLDRSTGCIHLSDLSQVRKTLKNFFLGRNDLYLLQVDTFKLSDGLVYEAADDSNYFPHFYGPGRSFAPLQLDAVIKAEKIVLVNNDFTCSLLDGADPLS >ONIVA03G24870.1 pep chromosome:AWHD00000000:3:20345398:20346280:1 gene:ONIVA03G24870 transcript:ONIVA03G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSSKQDRALQAKNLAERCFLAGDVAGAKRWCQNGLKLDPDLPGVAQAAAAYNVHSAAALKAGHRGRRLRARLPRSDLVTHHDAVKKQYRKLCLLVHPDKNTSAAADGAFKLVQTAWDVLSTRHPPPGATAAAASVCTLPMRAEDLFRTKPTAAAPATPPAAKRPPEPPPKTTQRQQPPGPPPKPQPSAPKRPQVVQMRRPAPAKQQRPTILPPPPVVKRPSPTRGKCQYCGAAISTSFRCMSCHRSPMDNKPGYSDNDEYDDYYAKKNMEYDDYYYHDDR >ONIVA03G24860.1 pep chromosome:AWHD00000000:3:20329137:20332001:-1 gene:ONIVA03G24860 transcript:ONIVA03G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNEFPAASGKSTSGYCRSTGHTSTISSNDQQASDVAMDDAEQIASTLLNSRCRAPRRGGGRKVTINTKLVKKRARRERLDISFPQPFGKDAFPELRNEDEDCAMKQYSNRRYRLHCLHHNKKPRPTHVSPEDWAWLIKHVWTDEDFQKRSNQNAANRAKQEMGSKVGTKSIAQIAHELRNKETGEWPTTMQVWKATYQKADGTWSVPNGERVLSKLNEVAQSQQEKICSAAVPLVEHFALVLGKKANHSRGMGLRAINRVAEERLRLLAQVEAAEKHAATAQERVDAAEQRAVAMEDQVRKLDETNAQLQVEQQSQRDELNSQRRTVEGQATDVERMVQQKLDEQMAIYFSRFASSNGVSSSRSPSDVRNNKI >ONIVA03G24850.1 pep chromosome:AWHD00000000:3:20311860:20314107:-1 gene:ONIVA03G24850 transcript:ONIVA03G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQKKPSSFSLSSTRNLSYAEVVKFDQAKGKSQVNNLLVFKRLSDTKGDFLIHSTKASTEVLNFNQSKGKSQKDVLFQWGFLHSPSQGFIFRYENPTRACSTVFFAHFSESVSMPAITASFGLPFKFGLKFGVIWGFQLLPLFRHWSSKVAIVGRHVARSLQFASDESSLQNEIQATPKPRKQRQKGPISTENLRRSPRFLGQEKQDLAFDNPKKKSKVQPVRALLPAISKGVPPPVPVAQLQKIGVEKCGVLPKEVAEAKLLKAKK >ONIVA03G24840.1 pep chromosome:AWHD00000000:3:20301964:20302698:-1 gene:ONIVA03G24840 transcript:ONIVA03G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDLRNPPSAATTVKEDQQFTVFIDGVETALHEGVIQWNGGTVTLVSTVVLAVDRLQHVLVRGGGSGDVSFTRCGFAAAEACGVASFHRCDAVRADGAREVAVRRCRSADVERAGVVAIRRCKGAARVRGAGELRVGRCHEANVGGCADVAVGRCRAARADWCGAIGIERCGSADVSRCGAVRVDRCRAASVSGCGSVAVRRGKVNVIEQPPVCQEKPMYHLVHAEPVYAIPLEISSEIKLQ >ONIVA03G24830.1 pep chromosome:AWHD00000000:3:20257632:20259054:-1 gene:ONIVA03G24830 transcript:ONIVA03G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINRVHVEAINSFSNLELLKEVYGLIWILPILTLLLGITIEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLFKEDILPSRGDIPLFSIGPSIAVISILLSFLVIPLGYRFVLADLSIGVFLWIAISSIAPIGLLMAGYSSNKKFCRCLVIASFSLHKDQDQMNTYSFSVDGRYIFGLSMADDEVRHRLLDTFGKKDREILDYSTPDRIMSNGHWNFVYPSILQNNLDLLAKKRRNRFAIPLQYHQEQEKEPISCIGISIEIPFMGVLRRNAIVAYFDDPRYKKDKKGSGIVKFRYRTLEDEYRTREKDSENEYGSPENEYRTREEECKTLEDEYRTREEEYETLEDEYGIPENEYETLEDEYGILKDEYRTREEESEDEYGSPENKYRPREDKYGTLEEDSKEDSENEYGNPEEDSVLKKGVLIEHRGTKEFSLKYQKEVDRTAYLAEILIPKGT >ONIVA03G24820.1 pep chromosome:AWHD00000000:3:20230331:20231035:-1 gene:ONIVA03G24820 transcript:ONIVA03G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDPKAGESSSSTVTAHHLFVVVIDGVETSIHEGSLQASLGGTVTITSAGNLSASGVRSVVVRGGGGGSVSFTLCGDAVADGVDSASFVRCGAARAEGARAVSVTRCRAADLEQAGRVSLERCREARVRGGGALRAARCRRADVESFGEVHLARCKGARVDWCGSVEVEMCRAVDVSRCGAVTGGRCRVVSAVGCGSVEVAHAVVNILEEEQPQAAQHPVSPSHSSRSSDSE >ONIVA03G24810.1 pep chromosome:AWHD00000000:3:20197888:20198733:-1 gene:ONIVA03G24810 transcript:ONIVA03G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAPTQAAATIGSARDAMHASMRHGGRLDLLHACQEHHMQDVEHHLRSELEKTVVDLPPRCTVLRDSWGVLERMLNRIRLEATGTAARTHGCALTTVWPRSRATVARSAFPEIGSKKREQRLRHARVNRERKKDGNDDDPLGGGDGIIPAAGKKMMARRARRSSPTLGGLQSEEGWVGKLESKGVKEKLPRCWNVSVFLPMFKVGPTRLNGGTQVWASQRHCHVGPGRGRLGKGEEDG >ONIVA03G24800.1 pep chromosome:AWHD00000000:3:20195528:20195731:1 gene:ONIVA03G24800 transcript:ONIVA03G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLVGFYGMLGDMRHLHDLIPESYVNDAALRQKGEDDHGIRLLSGDDDCPAFDRLWKYCRAYAGG >ONIVA03G24790.1 pep chromosome:AWHD00000000:3:20193506:20193923:-1 gene:ONIVA03G24790 transcript:ONIVA03G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRCRRSISSTIAFLSFSLCHYVATTITTQTGRSRALSCAVPASSLTSTADHYLPWMCADGEHVMLPASPHFGHRRASAPLVLSSLSLSATKEKEEKRQREEEEEEEEDEEEEEEEK >ONIVA03G24780.1 pep chromosome:AWHD00000000:3:20162326:20164117:-1 gene:ONIVA03G24780 transcript:ONIVA03G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLATALNDWDWNFPSPGAPDPSMTQTSRVSPSSFSLIPSFPTSDYLVNDSSVAVKIVWFLPLWFYRFSCWDCNFTPLLELEHLNISPPCSGTSCSSRNYTGLLEHHLLLLQVGLSAVSLQHLLAAMVYMVSLVVFLWLLLLRYGVTVVPVY >ONIVA03G24780.2 pep chromosome:AWHD00000000:3:20162601:20164117:-1 gene:ONIVA03G24780 transcript:ONIVA03G24780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLATALNDWDWNFPSPGAPDPSMTQTSRVSPSSFSLIPSFPTSDYLVNDSSVAVKIVWFLPLWFYRFSCWDCNFTPLLELEHLNISPPCSGTSCSSRNYSNWAFSSSS >ONIVA03G24770.1 pep chromosome:AWHD00000000:3:20140840:20141259:1 gene:ONIVA03G24770 transcript:ONIVA03G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQDLHRRARLSPQGGVQCWHHQGRARQPLPLQGCRRRGLVASFLAFPSSSPSTVAYLKPLQTGYPDDSDARFVFHRAPALLRRLPLVDGTTRLVASNHTLFPSPSVDPLHSAGAHPTTAADALYLLAARVVGTLS >ONIVA03G24750.1 pep chromosome:AWHD00000000:3:20103152:20127371:-1 gene:ONIVA03G24750 transcript:ONIVA03G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2016 [Source:Projected from Arabidopsis thaliana (AT3G05680) TAIR;Acc:AT3G05680] MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFEESLSSLKPLSLQATELDLSIEVKKILLLALTMYQIPNVENLIPNLQSAVISAVLKYMPASTNCMSRNWNRDPANCFAEDNVDSQGTSNTLLMEASNELFDIWKNVNSIVDNITFDDNGLAFRLEELPTTKHLFTLFDSCFPYYRNCSLLDLECPFQSKRLVFSLSLVLLLCSSKESCFYFVDAGGMEQIINLLCWKTSISPATTLLVLGIVEHATRYVVGCEAFLGWWPRSDDNNIPIGSSVGYCSLLKLLLEKERHDIACLATYVLQRLRFYEILSRYESAVVNIVSNLPSEELSSDGVNFLSSASIELAELLKMINMCVPIEDPSPVLTARRICKFGHLEGLLSYNLTIGLITSSKYSFLQFDADPYMLSLIQERGFFPLSAALLSSPVLRLASGPAAEILMEIASSIEALVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEAVSVLLSSLSSYNDLDSVANKNGGSPLGHAIFHSTAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEEKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTLVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSAIACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLTAIRSLSTGTVLGCQVEKHMNWYLHPEHVSILLVRLMPQLDRLACVIDNFATSALTVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNNKVDETSLSEREIFKVHQLLQFIAKLSEHPNGKALLCKMGVARILRKLLQECSSMCYMEDNMISDKGVYSNDLLMLRWKIPLLRSIASIFSTRPSSKEPTTVEELWNENACVEECSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSYSCRGAVTSIFSQIQTSNKDESQKSESETCHDTSKCWKRLLQYICANRPTDYLVEIVYALTLGAIALSQSGQNLEGTIILRRLFGHPSVPSSSEASDETFQEKICQGFDNWSPYVGKPLLHQVRSSVRLLCSIIENSGPFTDSVRMVLEESTIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFTNAWKAFGDFAEPFGCQVSDFSKRMVWELPDCSIDKQLIPSQSARRKLALGDSASRRVRDNQTHEPSGQFSRGLNTPSASIGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIEGASSASNIVSSTPRGALSGRPPSIHVDEFMARQRERQNPVLAPSGDATQVRSKSTLDDNVSTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPVVDETENQQNGINLFSGTVVSESDEACETVISSQTAIRQESNIPSERKFSVSSPEKVMFPDHADESPFISPTTGLKVIPGYSTHAAQATLRQLPPNMHRKRSPHKLAESSVSSGSYGHDHTLYNSQPPLPPMPPPVSSTSLQNPDSIQRQPSSYIARDGPPPFPPSYLMQSFDACMPSFVGHQVQTENVLPSTGDSSSNALPSVDAKFLWSTLPVNRIPMEHLSSGSSTRPVSPLPLRPVLATQHAAMDSGPPGSLYNQGGSGVLQPSPPASLINDATLGTNPASGGALASNSLPSLASQYIIGRPSTPPFFGTPLQIQLSSGLAQSVSNPQPSLSSMQPRAPPPPPPQPHPSQTFQGSLRQPQEQPMPYPLNTIQPQVPLQFPNQLHVPQLQFYHQTQQESVLQPIGHVSEQPIGQSAQQQTDSGMNLNHFFSSPEAIQSLLSDRDKLCKLLEQNPKLMQMLQN >ONIVA03G24750.2 pep chromosome:AWHD00000000:3:20103152:20127371:-1 gene:ONIVA03G24750 transcript:ONIVA03G24750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2016 [Source:Projected from Arabidopsis thaliana (AT3G05680) TAIR;Acc:AT3G05680] MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEFNGPYSSSEATAIAAFSMFVLFYQAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFEESLSSLKPLSLQATELDLSIEVKKILLLALTMYQIPNVENLIPNLQSAVISAVLKYMPASTNCMSRNWNRDPANCFAEDNVDSQGTSNTLLMEASNELFDIWKNVNSIVDNITFDDNGLAFRLEELPTTKHLFTLFDSCFPYYRNCSLLDLECPFQSKRLVFSLSLVLLLCSSKESCFYFVDAGGMEQIINLLCWKTSISPATTLLVLGIVEHATRYVVGCEAFLGWWPRSDDNNIPIGSSVGYCSLLKLLLEKERHDIACLATYVLQRLRFYEILSRYESAVVNIVSNLPSEELSSDGVNFLSSASIELAELLKMINMCVPIEDPSPVLTARRICKFGHLEGLLSYNLTIGLITSSKYSFLQFDADPYMLSLIQERGFFPLSAALLSSPVLRLASGPAAEILMEIASSIEALVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEVPLFVCISNCICNLFEMLNDAIFLSQAVSVLLSSLSSYNDLDSVANKNGGSPLGHAIFHSTAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEEKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTLVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSAIACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLTAIRSLSTGTVLGCQVEKHMNWYLHPEHVSILLVRLMPQLDRLACVIDNFATSALTVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNNKVDETSLSEREIFKVHQLLQFIAKLSEHPNGKALLCKMGVARILRKLLQECSSMCYMEDNMISDKGVYSNDLLMLRWKIPLLRSIASIFSTRPSSKEPTTVEELWNENACVEECSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSYSCRGAVTSIFSQIQTSNKDESQKSESETCHDTSKCWKRLLQYICANRPTDYLVEIVYALTLGAIALSQSGQNLEGTIILRRLFGHPSVPSSSEASDETFQEKICQGFDNWSPYVGKPLLHQVRSSVRLLCSIIENSGPFTDSVRMVLEESTIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFTNAWKAFGDFAEPFGCQVSDFSKRMVWELPDCSIDKQLIPSQSARRKLALGDSASRRVRDNQTHEPSGQFSRGLNTPSASIGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIEGASSASNIVSSTPRGALSGRPPSIHVDEFMARQRERQNPVLAPSGDATQVRSKSTLDDNVSTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPVVDETENQQNGINLFSGTVVSESDEACETVISSQTAIRQESNIPSERKFSVSSPEKVMFPDHADESPFISPTTGLKVIPGYSTHAAQATLRQLPPNMHRKRSPHKLAESSVSSGSYGHDHTLYNSQPPLPPMPPPVSSTSLQNPDSIQRQPSSYIARDGPPPFPPSYLMQSFDACMPSFVGHQVQTENVLPSTGDSSSNALPSVDAKFLWSTLPVNRIPMEHLSSGSSTRPVSPLPLRPVLATQHAAMDSGPPGSLYNQGGSGVLQPSPPASLINDATLGTNPASGGALASNSLPSLASQYIIGRPSTPPFFGTPLQIQLSSGLAQSVSNPQPSLSSMQPRAPPPPPPQPHPSQTFQGSLRQPQEQPMPYPLNTIQPQVPLQFPNQLHVPQLQFYHQTQQESVLQPIGHVSEQPIGQSAQQQTDSGMNLNHFFSSPEAIQSLLSDRDKLCKLLEQNPKLMQMLQN >ONIVA03G24750.3 pep chromosome:AWHD00000000:3:20103152:20127371:-1 gene:ONIVA03G24750 transcript:ONIVA03G24750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2016 [Source:Projected from Arabidopsis thaliana (AT3G05680) TAIR;Acc:AT3G05680] MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFEESLSSLKPLSLQATELDLSIEVKKILLLALTMYQIPNVENLIPNLQSAVISAVLKYMPASTNCMSRNWNRDPANCFAEDNVDSQGTSNTLLMEASNELFDIWKNVNSIVDNITFDDNGLAFRLEELPTTKHLFTLFDSCFPYYRNCSLLDLECPFQSKRLVFSLSLVLLLCSSKESCFYFVDAGGMEQIINLLCWKTSISPATTLLVLGIVEHATRYVVGCEAFLGWWPRSDDNNIPIGSSVGYCSLLKLLLEKERHDIACLATYVLQRLRFYEILSRYESAVVNIVSNLPSEELSSDGVNFLSSASIELAELLKMINMCVPIEDPSPVLTARRICKFGHLEGLLSYNLTIGLITSSKYSFLQFDADPYMLSLIQERGFFPLSAALLSSPVLRLASGPAAEILMEIASSIEALVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEVPLFVCISNCICNLFEMLNDAIFLSQAVSVLLSSLSSYNDLDSVANKNGGSPLGHAIFHSTAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEEKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTLVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSAIACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLTAIRSLSTGTVLGCQVEKHMNWYLHPEHVSILLVRLMPQLDRLACVIDNFATSALTVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNNKVDETSLSEREIFKVHQLLQFIAKLSEHPNGKALLCKMGVARILRKLLQECSSMCYMEDNMISDKGVYSNDLLMLRWKIPLLRSIASIFSTRPSSKEPTTVEELWNENACVEECSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSYSCRGAVTSIFSQIQTSNKDESQKSESETCHDTSKCWKRLLQYICANRPTDYLVEIVYALTLGAIALSQSGQNLEGTIILRRLFGHPSVPSSSEASDETFQEKICQGFDNWSPYVGKPLLHQVRSSVRLLCSIIENSGPFTDSVRMVLEESTIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFTNAWKAFGDFAEPFGCQVSDFSKRMVWELPDCSIDKQLIPSQSARRKLALGDSASRRVRDNQTHEPSGQFSRGLNTPSASIGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIEGASSASNIVSSTPRGALSGRPPSIHVDEFMARQRERQNPVLAPSGDATQVRSKSTLDDNVSTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPVVDETENQQNGINLFSGTVVSESDEACETVISSQTAIRQESNIPSERKFSVSSPEKVMFPDHADESPFISPTTGLKVIPGYSTHAAQATLRQLPPNMHRKRSPHKLAESSVSSGSYGHDHTLYNSQPPLPPMPPPVSSTSLQNPDSIQRQPSSYIARDGPPPFPPSYLMQSFDACMPSFVGHQVQTENVLPSTGDSSSNALPSVDAKFLWSTLPVNRIPMEHLSSGSSTRPVSPLPLRPVLATQHAAMDSGPPGSLYNQGGSGVLQPSPPASLINDATLGTNPASGGALASNSLPSLASQYIIGRPSTPPFFGTPLQIQLSSGLAQSVSNPQPSLSSMQPRAPPPPPPQPHPSQTFQGSLRQPQEQPMPYPLNTIQPQVPLQFPNQLHVPQLQFYHQTQQESVLQPIGHVSEQPIGQSAQQQTDSGMNLNHFFSSPEAIQSLLSDRDKLCKLLEQNPKLMQMLQN >ONIVA03G24750.4 pep chromosome:AWHD00000000:3:20103152:20127371:-1 gene:ONIVA03G24750 transcript:ONIVA03G24750.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2016 [Source:Projected from Arabidopsis thaliana (AT3G05680) TAIR;Acc:AT3G05680] MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEFNGPYSSSEATAIAAFSMFVLFYQAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFEESLSSLKPLSLQATELDLSIEVKKILLLALTMYQIPNVENLIPNLQSAVISAVLKYMPASTNCMSRNWNRDPANCFAEDNVDSQGTSNTLLMEASNELFDIWKNVNSIVDNITFDDNGLAFRLEELPTTKHLFTLFDSCFPYYRNCSLLDLECPFQSAVVNIVSNLPSEELSSDGVNFLSSASIELAELLKMINMCVPIEDPSPVLTARRICKFGHLEGLLSYNLTIGLITSSKYSFLQFDADPYMLSLIQERGFFPLSAALLSSPVLRLASGPAAEILMEIASSIEALVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEAVSVLLSSLSSYNDLDSVANKNGGSPLGHAIFHSTAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEEKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTLVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSAIACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLTAIRSLSTGTVLGCQVEKHMNWYLHPEHVSILLVRLMPQLDRLACVIDNFATSALTVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNNKVDETSLSEREIFKVHQLLQFIAKLSEHPNGKALLCKMGVARILRKLLQECSSMCYMEDNMISDKGVYSNDLLMLRWKIPLLRSIASIFSTRPSSKEPTTVEELWNENACVEECSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSYSCRGAVTSIFSQIQTSNKDESQKSESETCHDTSKCWKRLLQYICANRPTDYLVEIVYALTLGAIALSQSAVRTLSTFYIIDAYVLTTVFLWIDMHINTHIVYVAHSLEGTIILRRLFGHPSVPSSSEASDEVTFLLKTFQEKICQGFDNWSPYVGKPLLHQVRSSVRLLCSIIENSGPFTDSVRMVLEESTIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFTNAWKAFGDFAEPFGCQVSDFSKRMVWELPDCSIDKQLIPSQSARRKLALGDSASRRVRDNQTHEPSGQFSRGLNTPSASIGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIEGASSASNIVSSTPRGALSGRPPSIHVDEFMARQRERQNPVLAPSGDATQVRSKSTLDDNVSTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPVVDETENQQNGINLFSGTVVSESDEACETVISSQTAIRQESNIPSERKFSVSSPEKVMFPDHADESPFISPTTGLKVIPGYSTHAAQATLRQLPPNMHRKRSPHKLAESSVSSGSYGHDHTLYNSQPPLPPMPPPVSSTSLQNPDSIQRQPSSYIARDGPPPFPPSYLMQSFDACMPSFVGHQVQTENVLPSTGDSSSNALPSVDAKFLWSTLPVNRIPMEHLSSGSSTRPVSPLPLRPVLATQHAAMDSGPPGSLYNQGGSGVLQPSPPASLINDATLGTNPASGGALASNSLPSLASQYIIGRPSTPPFFGTPLQIQLSSGLAQSVSNPQPSLSSMQPRAPPPPPPQPHPSQTFQGSLRQPQEQPMPYPLNTIQPQVPLQFPNQLHVPQLQFYHQTQQESVLQPIGHVSEQPIGQSAQQQTDSGMNLNHFFSSPEAIQSLLSDRDKLCKLLEQNPKLMQMLQN >ONIVA03G24750.5 pep chromosome:AWHD00000000:3:20103152:20127371:-1 gene:ONIVA03G24750 transcript:ONIVA03G24750.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2016 [Source:Projected from Arabidopsis thaliana (AT3G05680) TAIR;Acc:AT3G05680] MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEFNGPYSSSEATAIAAFSMFVLFYQAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFEESLSSLKPLSLQATELDLSIEVKKILLLALTMYQIPNVENLIPNLQSAVISAVLKYMPASTNCMSRNWNRDPANCFAEDNVDSQGTSNTLLMEASNELFDIWKNVNSIVDNITFDDNGLAFRLEELPTTKHLFTLFDSCFPYYRNCSLLDLECPFQSAVVNIVSNLPSEELSSDGVNFLSSASIELAELLKMINMCVPIEDPSPVLTARRICKFGHLEGLLSYNLTIGLITSSKYSFLQFDADPYMLSLIQERGFFPLSAALLSSPVLRLASGPAAEILMEIASSIEALVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEVPLFVCISNCICNLFEMLNDAIFLSQAVSVLLSSLSSYNDLDSVANKNGGSPLGHAIFHSTAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEEKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTLVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSAIACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLTAIRSLSTGTVLGCQVEKHMNWYLHPEHVSILLVRLMPQLDRLACVIDNFATSALTVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNNKVDETSLSEREIFKVHQLLQFIAKLSEHPNGKALLCKMGVARILRKLLQECSSMCYMEDNMISDKGVYSNDLLMLRWKIPLLRSIASIFSTRPSSKEPTTVEELWNENACVEECSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSYSCRGAVTSIFSQIQTSNKDESQKSESETCHDTSKCWKRLLQYICANRPTDYLVEIVYALTLGAIALSQSGTIILRRLFGHPSVPSSSEASDEVTFLLKTFQEKICQGFDNWSPYVGKPLLHQVRSSVRLLCSIIENSGPFTDSVRMVLEESTIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFTNAWKAFGDFAEPFGCQVSDFSKRMVWELPDCSIDKQLIPSQSARRKLALGDSASRRVRDNQTHEPSGQFSRGLNTPSASIGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIEGASSASNIVSSTPRGALSGRPPSIHVDEFMARQRERQNPVLAPSGDATQVRSKSTLDDNVSTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPVVDETENQQNGINLFSGTVVSESDEACETVISSQTAIRQESNIPSERKFSVSSPEKVMFPDHADESPFISPTTGLKVIPGYSTHAAQATLRQLPPNMHRKRSPHKLAESSVSSGSYGHDHTLYNSQPPLPPMPPPVSSTSLQNPDSIQRQPSSYIARDGPPPFPPSYLMQSFDACMPSFVGHQVQTENVLPSTGDSSSNALPSVDAKFLWSTLPVNRIPMEHLSSGSSTRPVSPLPLRPVLATQHAAMDSGPPGSLYNQGGSGVLQPSPPASLINDATLGTNPASGGALASNSLPSLASQYIIGRPSTPPFFGTPLQIQLSSGLAQSVSNPQPSLSSMQPRAPPPPPPQPHPSQTFQGSLRQPQEQPMPYPLNTIQPQVPLQFPNQLHVPQLQFYHQTQQESVLQPIGHVSEQPIGQSAQQQTDSGMNLNHFFSSPEAIQSLLSDRDKLCKLLEQNPKLMQMLQN >ONIVA03G24750.6 pep chromosome:AWHD00000000:3:20103152:20127371:-1 gene:ONIVA03G24750 transcript:ONIVA03G24750.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2016 [Source:Projected from Arabidopsis thaliana (AT3G05680) TAIR;Acc:AT3G05680] MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEFNGPYSSSEATAIAAFSMFVLFYQAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFEESLSSLKPLSLQATELDLSIEVKKILLLALTMYQIPNVENLIPNLQSAVISAVLKYMPASTNCMSRNWNRDPANCFAEDNVDSQGTSNTLLMEASNELFDIWKNVNSIVDNITFDDNGLAFRLEELPTTKHLFTLFDSCFPYYRNCSLLDLECPFQSAVVNIVSNLPSEELSSDGVNFLSSASIELAELLKMINMCVPIEDPSPVLTARRICKFGHLEGLLSYNLTIGLITSSKYSFLQFDADPYMLSLIQERGFFPLSAALLSSPVLRLASGPAAEILMEIASSIEALVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEVPLFVCISNCICNLFEMLNDAIFLSQAVSVLLSSLSSYNDLDSVANKNGGSPLGHAIFHSTAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEEKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTLVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSAIACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLTAIRSLSTGTVLGCQVEKHMNWYLHPEHVSILLVRLMPQLDRLACVIDNFATSALTVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNNKVDETSLSEREIFKVHQLLQFIAKLSEHPNGKALLCKMGVARILRKLLQECSSMCYMEDNMISDKGVYSNDLLMLRWKIPLLRSIASIFSTRPSSKEPTTVEELWNENACVEECSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSYSCRGAVTSIFSQIQTSNKDESQKSESETCHDTSKCWKRLLQYICANRPTDYLVEIVYALTLGAIALSQSAVRTLSTFYIIDAYVLTTVFLWIDMHINTHIVYVAHSLEGTIILRRLFGHPSVPSSSEASDEVTFLLKTFQEKICQGFDNWSPYVGKPLLHQVRSSVRLLCSIIENSGPFTDSVRMVLEESTIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFTNAWKAFGDFAEPFGCQVSDFSKRMVWELPDCSIDKQLIPSQSARRKLALGDSASRRVRDNQTHEPSGQFSRGLNTPSASIGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIEGASSASNIVSSTPRGALSGRPPSIHVDEFMARQRERQNPVLAPSGDATQVRSKSTLDDNVSTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPVVDETENQQNGINLFSGTVVSESDEACETVISSQTAIRQESNIPSERKFSVSSPEKVMFPDHADESPFISPTTGLKVIPGYSTHAAQATLRQLPPNMHRKRSPHKLAESSVSSGSYGHDHTLYNSQPPLPPMPPPVSSTSLQNPDSIQRQPSSYIARDGPPPFPPSYLMQSFDACMPSFVGHQVQTENVLPSTGDSSSNALPSVDAKFLWSTLPVNRIPMEHLSSGSSTRPVSPLPLRPVLATQHAAMDSGPPGSLYNQGGSGVLQPSPPASLINDATLGTNPASGGALASNSLPSLASQYIIGRPSTPPFFGTPLQIQLSSGLAQSVSNPQPSLSSMQPRAPPPPPPQPHPSQTFQGSLRQPQEQPMPYPLNTIQPQVPLQFPNQLHVPQLQFYHQTQQESVLQPIGHVSEQPIGQSAQQQTDSGMNLNHFFSSPEAIQSLLSDRDKLCKLLEQNPKLMQMLQN >ONIVA03G24750.7 pep chromosome:AWHD00000000:3:20103152:20127371:-1 gene:ONIVA03G24750 transcript:ONIVA03G24750.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2016 [Source:Projected from Arabidopsis thaliana (AT3G05680) TAIR;Acc:AT3G05680] MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFEESLSSLKPLSLQATELDLSIEVKKILLLALTMYQIPNVENLIPNLQSAVISAVLKYMPASTNCMSRNWNRDPANCFAEDNVDSQGTSNTLLMEASNELFDIWKNVNSIVDNITFDDNGLAFRLEELPTTKHLFTLFDSCFPYYRNCSLLDLECPFQSAVVNIVSNLPSEELSSDGVNFLSSASIELAELLKMINMCVPIEDPSPVLTARRICKFGHLEGLLSYNLTIGLITSSKYSFLQFDADPYMLSLIQERGFFPLSAALLSSPVLRLASGPAAEILMEIASSIEALVLSLLFCRSGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEVPLFVCISNCICNLFEMLNDAIFLSQAVSVLLSSLSSYNDLDSVANKNGGSPLGHAIFHSTAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEEKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTLVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSAIACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLTAIRSLSTGTVLGCQVEKHMNWYLHPEHVSILLVRLMPQLDRLACVIDNFATSALTVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNNKVDETSLSEREIFKVHQLLQFIAKLSEHPNGKALLCKMGVARILRKLLQECSSMCYMEDNMISDKGVYSNDLLMLRWKIPLLRSIASIFSTRPSSKEPTTVEELWNENACVEECSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSYSCRGAVTSIFSQIQTSNKDESQKSESETCHDTSKCWKRLLQYICANRPTDYLVEIVYALTLGAIALSQSAVRTLSTFYIIDAYVLTTVFLWIDMHINTHIVYVAHSLEGTIILRRLFGHPSVPSSSEASDEVTFLLKTFQEKICQGFDNWSPYVGKPLLHQVRSSVRLLCSIIENSGPFTDSVRMVLEESTIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFTNAWKAFGDFAEPFGCQVSDFSKRMVWELPDCSIDKQLIPSQSARRKLALGDSASRRVRDNQTHEPSGQFSRGLNTPSASIGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIEGASSASNIVSSTPRGALSGRPPSIHVDEFMARQRERQNPVLAPSGDATQVRSKSTLDDNVSTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPVVDETENQQNGINLFSGTVVSESDEACETVISSQTAIRQESNIPSERKFSVSSPEKVMFPDHADESPFISPTTGLKVIPGYSTHAAQATLRQLPPNMHRKRSPHKLAESSVSSGSYGHDHTLYNSQPPLPPMPPPVSSTSLQNPDSIQRQPSSYIARDGPPPFPPSYLMQSFDACMPSFVGHQVQTENVLPSTGDSSSNALPSVDAKFLWSTLPVNRIPMEHLSSGSSTRPVSPLPLRPVLATQHAAMDSGPPGSLYNQGGSGVLQPSPPASLINDATLGTNPASGGALASNSLPSLASQYIIGRPSTPPFFGTPLQIQLSSGLAQSVSNPQPSLSSMQPRAPPPPPPQPHPSQTFQGSLRQPQEQPMPYPLNTIQPQVPLQFPNQLHVPQLQFYHQTQQESVLQPIGHVSEQPIGQSAQQQTDSGMNLNHFFSSPEAIQSLLSDRDKLCKLLEQNPKLMQMLQN >ONIVA03G24740.1 pep chromosome:AWHD00000000:3:20098335:20100170:1 gene:ONIVA03G24740 transcript:ONIVA03G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFSTFDIVADALGQLQQVNPGLVGPVQDIWFLAGTSFYIGSLDFTANKAGALRPLNPSIFDPTATSLVPFDLAKIDFHDDRSLHDKRSSK >ONIVA03G24730.1 pep chromosome:AWHD00000000:3:20077733:20078428:-1 gene:ONIVA03G24730 transcript:ONIVA03G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDREHVMLPDLPHFSHRRAGAPLALSSLSLSVANRKGEEESGGRRKRERKKKQRNSYRQVGPYKPPVRTLRLPWGHSRFTIPDVEPLVVKSGKTQTQGRSAAPSTPRLNAYNGRSFTIPSASTARLTVSSRALCDLNSSATTHSCRSNTSTP >ONIVA03G24720.1 pep chromosome:AWHD00000000:3:20069068:20071255:-1 gene:ONIVA03G24720 transcript:ONIVA03G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSGGSKSSGGSHHGSDEEEVTMPVFDANLPAGVKDGLESACDYFDKCINQKFTKLERSIAMLVDRLPPPRQAHDRQGRRPPPEHHEYDAEDESPGLRSDGVQRDGSNVDAKGQRCNIFQLECKIQEKEPKDNNPAQVGLAEAQHIKVAQTPTILRHTHSGKDPITLKD >ONIVA03G24710.1 pep chromosome:AWHD00000000:3:20006970:20008913:-1 gene:ONIVA03G24710 transcript:ONIVA03G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIIAYHFFLQGVDVFYSDAPLPRVRGKRCADELPSGERSSKRMASGTTYAGTPGRVVGGPKGFGKLSTQDDPGRAESSLDVKGAGAQVDPEPTPAAAGEQADAYGDAAPGTQVAAEGVKAGDASVQPGAAGEHANVYSNAAPGARAGAEGAKAGDVSTQSGGEDDGDLREQAPGTQPSGGDGAAECATGSSRPGGDAGAKTNAGGRFVARKEGRAGMPGRRPLGTMRKRLKIFPAGNVGQQEREALKKVFDDAADKADAEAMNEAGIILMQKMQPTGREGLPKRRRPVAKTSAAKLTAKLEEALKESNDLHTQLACNFRLSFALIGFVLALWIDVSFPAVAQATIESDAAEKEQLAKDLKDKTTAFDNFAS >ONIVA03G24700.1 pep chromosome:AWHD00000000:3:19997883:20002450:1 gene:ONIVA03G24700 transcript:ONIVA03G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTQLRRSSRTPTHTQKKEETQGAHGLAARVLLKTSVNPRAHRVAFLEEQTTRLAKRNMMVTWPWRALPLAAVLFLFLSPAASVDTVTMEAPLAGNRTIVSAGGTFTLGFFTPDVAPAGRRYLGIWYSNILARTVVWVANRKSPVVGGSPTLKINGNGSLAIVDGQGRVVWASPVMSASVLSAGSAKAQLLDNGNFVLRFASAGVAWQSFDYPTDTLLPGMKLGIDFRTGLDRYMNSWRAADDPSPGEYSFRIDPSGSPEFFLYRWSTRTYGSGPWNGYQFSGVPNLRTNTLLSYQYVSTADEAYYQYEVDDSTTILTRFVMNSSGQIQRLMWIDTTRSWSVFSSYPMDECEAYRACGAYGVCNVEQSPMCGCAEGFEPRYPKAWALRDGSGGCIRRTALNCTGGDGFAVTRNMKLPESANATVDMALGLEECRLSCLSNCACRAYASANVTSADAKGCFMWTADLLDMRQFDNGGQDLFVRLAASDLPTNSVSDNSQTAKLVEIIVPSVVALLLLLAGLVICVIKAKKNRKAIPSALNNGQVTPFGQRNHTASALNNWEITPFWQRNHVAASNDAQDNNSMRPAGQGNHQDLDLPSFVIETILYATNNFSADNKLGQGGFGPVYMGRLDNGQDIAVKRLSRRSTQGLREFKNEVKLIAKLQHRNLVRLLGCCIDGSERMLIYEYMHNRSLNTFLFNEEKQSILNWSKRFNIINGIARGILYLHQDSALRIIHRDLKASNILLDRDMNPKISDFGVARIFGTDQTSAYTKKVVGTYGYMSPEYAMDGVFSMKSDVFSFGVLVLEIVSGKKNRGFYHNELDLNLLRYAWRLWKEGRSLEFLDQSIAGTSSNVTEVLRCIQIGLLCVQEQPRHRPTMSAVTMMLSSESPALLEPCEPAFCTGRSLSDDTEASRSNSARSWTVTVVEGR >ONIVA03G24690.1 pep chromosome:AWHD00000000:3:19988015:19988815:-1 gene:ONIVA03G24690 transcript:ONIVA03G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTHPSPLLSLAHPPPPPASIRRRFPSPDRRHWRPRTPQERCRLGSSISDNAAARRRRSPPQEQRRPASPSSSDDIPRQLIFRAIRDINFHLADIAAPLIPVTGERLFHLHCSCCHPRPHCIYVLDLYLSLTTIQEGRPRSVLSWVPSVPTIEVNYYIYSS >ONIVA03G24680.1 pep chromosome:AWHD00000000:3:19907755:19915712:1 gene:ONIVA03G24680 transcript:ONIVA03G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPRRLPPRRHALWPPTGDLWSSSAPPPDVAEPLAAIPARAAPLLVACGRSVEFDEIKMEHVFRTCWKVSAKVVFKSPMQQNKFGAQYIRFILKDATDTRMEALAYDQQADRFNGTIQSGLVYDFTNVGFQPTDVPTYANLTMQAKFCMILTPKTALRKPRFVDFSAIFTDAISDDMFIDVVGVLIYVGEIHHHQLYGQSLPTRDIALVNRRVVASTCITVLLHVCYMSQEIRYSNRYPCLISCGTQVNQDAIHADMITTPPSKKAKNSESEEHGVSKVSMPNIDVPSNLIRSMFGQTYKYLPQDYELTNEDVMTIFLIEDSAENCTLVDMGHFYNKKRHLTCLLSGDKFLNDDVISAYIHCLSEQANNDKKVKYENPFLSDMLKAAGVNGVNEDEDNFITKIVKNYLHHELIFIPINMKDNHWYLAVVNTEKKQIQVLDSMCMTFNRADLANTVSVYDM >ONIVA03G24670.1 pep chromosome:AWHD00000000:3:19904749:19905084:-1 gene:ONIVA03G24670 transcript:ONIVA03G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEIATVPNISEGLAEISEKMMDLAAQLQAMAAQSAEPTTFLEEAEPLYRHVVALHSLGRIFKQHGWRQHSAVTLQQRGGGVTHGEALMFPLLAAPSLRVAAQPRPRRWD >ONIVA03G24660.1 pep chromosome:AWHD00000000:3:19904435:19904746:-1 gene:ONIVA03G24660 transcript:ONIVA03G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLAPSTAAISTSTTIQHGGDAHIGDGWNRAWRLACGAIRRRDGWAWHSRVAMVQIVPAPRASSRSWRIDHGCVARSSVVS >ONIVA03G24650.1 pep chromosome:AWHD00000000:3:19903137:19903875:1 gene:ONIVA03G24650 transcript:ONIVA03G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAELDSGDHSGELGGGARSVLEFAAPPHLAQIGRHGARQRSLEELDVPCADAAPHLPTYFPTVGGGRCPPPSSPPPSPRCRWTPSPFFSLSCCSSASNIHHASSSGHIAKMKALLKSKPGAYECHAQGFVEKNRDEWG >ONIVA03G24640.1 pep chromosome:AWHD00000000:3:19893486:19896970:-1 gene:ONIVA03G24640 transcript:ONIVA03G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRFFFSPLPTPVPYASHTERRLRFSHTECPRSPSRSSPPPVPSHRRPRPSISVALLLRAFIYGNRICDEKNGLGSYEKSFPTL >ONIVA03G24640.2 pep chromosome:AWHD00000000:3:19894513:19896970:-1 gene:ONIVA03G24640 transcript:ONIVA03G24640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRFFFSPLPTPVPYASHTERRLRFSHTECPRSPSRSSPPPVPSHRRPRPSISVALLLRAFIYGNRICDEKNGLGSYEVCS >ONIVA03G24630.1 pep chromosome:AWHD00000000:3:19883431:19884111:-1 gene:ONIVA03G24630 transcript:ONIVA03G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDNTVAVDGGGRRARLRRRHCFGPRCRTLGNTLTSKEVFNWANSNNQQLLHVGDIDRTSKSYIYTSCSMWLAAEDRVESAGDGGCLLFFFCSIDMNENMMDGCYCTKSCSSLYHTATSFHDSIIDYCSLPGCPLHYQSQDTDRALHPYSKIQQSHQMDFRLNHFIA >ONIVA03G24620.1 pep chromosome:AWHD00000000:3:19872624:19880564:-1 gene:ONIVA03G24620 transcript:ONIVA03G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHLHLHFLAILVVVPMLGSPAAGGLCRDSCGGIPVRYPLSIDDGCGSPYYRNMLTCADNATLRLRTPSGTYPVVGADYADPHLVVTDPSMWTCERPFTSVRAAPFSLDTSTRFSLSPRNDYLFFDCDEERVIVEPRPAVCDRYPERCDSTCDSAGYLCRNLPGCRGALEENNMSCCAYRPRAAESLRLMLRHCESYTSVYWRAVGDKFPPYDQVPAYGVRVDFEIPVTTRCLQCEDRRRGAGGTCGFDPVTRDFVCICNDARNSTTDCADGRRQGHWFLQLNFLRLDGPASRYHSSTGVVAASAVFSISATVGITGLVWYIRKIKSTKVVTCGVQSNENRFF >ONIVA03G24620.2 pep chromosome:AWHD00000000:3:19872624:19880564:-1 gene:ONIVA03G24620 transcript:ONIVA03G24620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHLHLHFLAILVVVPMLGSPAAGGLCRDSCGGIPVRYPLSIDDGCGSPYYRNMLTCADNATLRLRTPSGTYPVVGADYADPHLVVTDPSMWTCERPFTSVRAAPFSLDTSTRFSLSPRNDYLFFDCDEERVIVEPRPAVCDRYPERCDSTCDSAGYLCRNLPGCRGALEENNMSCCAYRPRAAESLRLMLRHCESYTSVYWRAVGDKFPPYDQVPAYGVRVDFEIPVTTRCLQCEDRRRGAGGTCGFDPVTRDFVCICNDARNSTTDCADGPASRYHSSTGVVAASAVFSISATVGITGLVWYIRKIKSTKVVTCGVQSNENRFF >ONIVA03G24610.1 pep chromosome:AWHD00000000:3:19852918:19854974:-1 gene:ONIVA03G24610 transcript:ONIVA03G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRHPLPPVPLCATGLSHEMV >ONIVA03G24610.2 pep chromosome:AWHD00000000:3:19854121:19854974:-1 gene:ONIVA03G24610 transcript:ONIVA03G24610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSGFLDIILESDLVQVCRMHSRHPLPPVPLCAIFVLHFVLNCVLVFKSTLYV >ONIVA03G24600.1 pep chromosome:AWHD00000000:3:19848085:19848841:1 gene:ONIVA03G24600 transcript:ONIVA03G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIEENPGQLILPQQMATPLSSARKATTMILDKPIPAALRMANPFSTLVLPRKYIFDSSPSVGQHEPEWALQPFESNSPPLPERVIEDVLPISVRPPSSPISVAPIALLPPKAPVKKKDGKTILYSPYRRQSSRLLQDKATKELQMDPRMGIGKSRGRSAKKLKELAGIAKLFINSSMQESDFNETSYSDMNSDSSPL >ONIVA03G24580.1 pep chromosome:AWHD00000000:3:19777613:19779614:1 gene:ONIVA03G24580 transcript:ONIVA03G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWRKVVLQCGVERNQQRRPTKPRAWDGRVYYGTAASRAPPSRLPHAVNLAVTCFFSSIKLTHPAGELKLKLSLSDRQVSRSKSIHGSHRHTADALRLPLPTPPPLPHSLMRLPAQHASSAAAAAAEPPPRHLRRRHRQIRARDGIIAAAPPPPRHPGGGRGRGGGRRRARQGQRRRRQDVRARRRRRRAHGHGGVLPAGSEGGAGEPAGAAQLREVPAGGGGRPGRRGGVLRQGAAGLPRRRRPAQPLRPAAVGDESGQGPRRRLPGARRPGRAR >ONIVA03G24570.1 pep chromosome:AWHD00000000:3:19758650:19762357:-1 gene:ONIVA03G24570 transcript:ONIVA03G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRESNEEEKKSVCVMDASGPLGHALVARLLRRGYTVHAATYPHHHHHPEEEYQQHPRLKLFRADPLDYHAIADAVHGCSGLFAIFNTPSSSQSQSHSCFLDEEEGMVEAEVRAAHNILEACAQTDTMERVVFNSSVTAVVWRPQPEEDDDAALQLDENTWSDLTFCRRFKARLWHALAKTLSERTAWALAMDRGVDMVAINAGLLTGPGLTAGHPYLKGAPDMYDHGVLVTVDVDFLADAHIAAYECPTAYGRYLCFNNAICRPEDAAKLAQMLISSAAAPRPPAPPSDELKVIPQRIHTKKLNKLMLDFTSGVYGDIN >ONIVA03G24560.1 pep chromosome:AWHD00000000:3:19754675:19758727:1 gene:ONIVA03G24560 transcript:ONIVA03G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endoplasmic reticulum, plasma membrane; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: NERD (InterPro: /.../528); Has 69 Blast hits to 69 proteins in 27 species: Archae - 0; Bacteria - 18; Metazoa - 8; Fungi - 0; Plants - 36; Viruses - 0; Other Eukaryotes - 7 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G65020) TAIR;Acc:AT1G65020] MPYIPYLPPSRLEKLYSARCFVGLRIPDPDAGERQHVDLVLLTNREVMVVAIHNISGFVEVDKDGNWTCPSDKKNKHGVIPNPVLQVNRLAANLQSYLEKRGAKLPDGHITGKIVLPNPNCRPSYAITLQPEVILYDQWKDLKADSKGGLSTWIKGAFSGSKGDMQDSLLQNLHSILSTSPMWDRLELKGDRNILGEFIEFKGRHDDIQALKCLKRSKVCRFIVQKSTLFGGFGNFSALYLLVILFAKHSCERRSRVQILYSPRDYHAEGTSSSEWKEISVKQYTEILFQPLHSKKVKKFKLSSVASVTLSA >ONIVA03G24550.1 pep chromosome:AWHD00000000:3:19750501:19753612:-1 gene:ONIVA03G24550 transcript:ONIVA03G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASKPKASSSVPRVARLKQVRPSSAASTSNNAHANANALLDKSSMDIPKPERRSFKASRATTPDRLQKARGANARPAAELLQAQLNAVQEDLKNAREHLAAIDRDKAQLLHDLSLTRRLADDAHAAQSAAEEALDLERFKSIEREQLAIDLAQTKERDWNARCHAIDLRRAELAAELGTVKDELALAVEATNTARQIADANADKAATLAAEVSRLQSELETKAEEATAIVAKLESEASGLRAELQNAEASRKEEVGRAEQLLHGLKVDIAYAKRAEADANLAAQEWKTKAESLQARLHELSSLNKSNEDSLQSLTSSFDECKSMLQHEQSQVVQLKEKVSSLEKEAREYKECFLETNRRLDVATKESHQLQATIDRLTSQHKLLNEAHQQVVTNEKTVNSQISLLSQDKIRIEQELDGARDERDKAKKAVEDLAAALREVSSEAREAKERVLAKQTELDSAQLQISELKAETKNAQDRYQLMLDESKSEVECISKTVEKLGSEAKISNDEWASKEAGFVEMIRRSEEEMSSIKSEMSSLMVSLGAAEKQVQELKAERTQLLDKLKQSELTNSEGSSISSTGVQQTADESESTVGLKDLVSRKEKEVLALNDEVTDLRLRETAALAKANELSKLLAEATAKKAEEEEAAKGTEKSKVLLMKLEMDKLLGSLKAAEQEANAAKDDKAQLQAKLRLLESKMTEANLTAEEEKISSLRLKETLAEKEEELLSIAREYDGLRTREAAAQAKIDELSSLVAEASTARKLAGEYSANGVAAIRSPEKQHNMFRKMICSPMDNVRDDVNSCNRRTQEDEIKHVEVETVIMKQQQQQVIVKHGKEEASAMEVKTLENSKIIEDDISKHRDDDDNESSDDEEIESQGDDAAVEQMNGPLIHGPTSSFNKEQHNQHKKKKALLKKFGSLLKKKAHFTKLNSHAKLVS >ONIVA03G24540.1 pep chromosome:AWHD00000000:3:19749805:19754473:1 gene:ONIVA03G24540 transcript:ONIVA03G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVLLLVERRRRPMNQRSIHLLYSCIVSLRLDLLIVARLIIIVVPMLAYLGGELGAAQVDGVAPGVPVAFFRLRQVDGELLTLDGLEALEVEGLLGGALSGVGVVGEAAGEGEVVEELGLVPVDGGQVFPRVLEVLLHRVQLRLQQLRRRPRIRAARFLQSLRSISTNTDASKT >ONIVA03G24540.2 pep chromosome:AWHD00000000:3:19749805:19754470:1 gene:ONIVA03G24540 transcript:ONIVA03G24540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIQRSAASSARRRSMAWHLAFQSRSFVCARSMASCSRSMDLKRSRSRASSAAL >ONIVA03G24530.1 pep chromosome:AWHD00000000:3:19745959:19746843:1 gene:ONIVA03G24530 transcript:ONIVA03G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSSSSSNSNPHLGVNKLGRNIRKATPPPPQPQPPSRPPQPQPQVYNISKNQFRDIVQQLTAGTPSPPPPPQPQHQHHPHRPLPPQHQQPKPPSMRLQKIRPPPISTPVARPPPVHNHQIPNPNHNPAFHRPPPPQPMPMPMPGPPVWADSPVTAYMRILENSLFSATPPGAAAAAAAAAAAATGQQAPPHHPHPPPPPPVPSPGILPSPSGFLNLLSPTPRSPYPLLSPGFQHPGPLTPNFPALSPLPGTGILGPGPMAPPSPGLWFPQSPSGLLSPSGFLPILSPRWREM >ONIVA03G24520.1 pep chromosome:AWHD00000000:3:19740813:19742957:1 gene:ONIVA03G24520 transcript:ONIVA03G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLSSRRITRSMAKASAAAPDATSTAHPPRPALHDITNDSPIVGLASSGLRTPASTAAKARPTRASRRTPGSGEALLRGQVKTLLQKVHHDASSSSSCAAAAPPSPNILRIHAPIGLARSPAHLLAPTPANTPHLTAAAPHAFTMTVPCVLEEEELLSKLQVIADALPPPPAQAEENHLGECNRALVFDDSPGKLDLSNAASVVSSSSLSFQDSSSIDRSPDDDSSSAWSIQVNASSEKGDEDTFTDQDPEEEEEEWLTEDDDDDECFDDLCDGMSKMSVFDDEEEEDKKAGLPAFQGKHTRFIYDSDGEMEREDVAHVPVENCTMVLRGLPVPEGKHLRFHEVEEDEE >ONIVA03G24510.1 pep chromosome:AWHD00000000:3:19736566:19739996:1 gene:ONIVA03G24510 transcript:ONIVA03G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPJ7] MMTSSWSGLLVISCMLLMSWAAAAVDMSPVRFDAAYMPLFGGDNLVPSPDARTVLLKLDRFTGSGFVSKSAYHHGFFSASIKLPHDYTAGVVVAFYLSNGDVFPGQHDELDFELLGNRRGHAWHVQTNMYGNGSTGRGREERYLLPFDPTAAPHSYAIAWTPAAVIFYIDAVPIRELVRCSSGDYPAKPMSVYATIWDGSAWATDGGRHKVDYAYAPFTAVFSDLVVTGGTDDDHCAAMGLMTSEVAVMTPAKRGSMRRFRSRHLTYSACYDTVRYNGTGVVFPECDESEQDNFHAWGESKRVINSRSSSSATYATGSGVRID >ONIVA03G24500.1 pep chromosome:AWHD00000000:3:19731281:19731472:1 gene:ONIVA03G24500 transcript:ONIVA03G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGRWPATSFEGWHGTVHGGDDFLAASGDNGGVAGLRLGAAMPKEEAALTRDSRDDGARWL >ONIVA03G24490.1 pep chromosome:AWHD00000000:3:19716196:19724151:1 gene:ONIVA03G24490 transcript:ONIVA03G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASKSVIEQRPPARHYTRKGRRARTNSRSIMPEAPKSRLNDSRGHMTDFSMSEVVHFETSSNHGKSEHSKTFHLTQMQWHHSQRDSNGCCKEDAWFDSVSILEDDSDDEFKSVNGDWPDDDNQMKSENASRFADALSRIGDLCRGVPMTLSVEQYLKRDNGNDPDRRSQSMAMCATRCLPSSFSFKGLKEANDADERSKECSTPSRIRKLLHSFSFNDKMQQLTCGSPAKKKSTVIRLSYKRTSCDDYEDDSELGESEKYVVRPKGGTTILYRGEKPTSGCWSRIDPSLFKLRSETFLKDKKKCAAPYYAAYYPIGVDLFASHKKVQHIAQHIELPQVKPHDKLPSLLIVNIQMPTYPAAMFLGDSNGEGLSLVLYFKISEYFDKEVSEHFKESIMRFIENESEKVKGFASESTILYRDRLKIMAGLVNPEDLQLSSTERKLVQAYNEKPVLSRPQHNFFEGENYFEVDLDIHRFSYIARKGLESFRERLNNGILDLGLTIQAQKQDELPEQVLCCVRLNKIDFINHGQIPTIVTLDDK >ONIVA03G24480.1 pep chromosome:AWHD00000000:3:19678284:19689228:1 gene:ONIVA03G24480 transcript:ONIVA03G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT1G18660) TAIR;Acc:AT1G18660] MTSPGASSFAAALVVEDFPWVKREEEMGMDPDKYREVFDLAQRGARAFRDGHFDEAVSFYSKAQTLRPGDSIILSNRSSAFCMISQVLRERSAADSEYQPLNGLDPTTHAELALKDAEKVLAIGSNSPRPYLLKAYALILLEQYHEAREAILSGLQVDPLSHVLQSWLSDLHRNTSIAARARRPTLDRPDDFECTLCFKLLFEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPKTYPISVTLSNIIQKNFPEEYAERKSEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVGIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDQDGYRVAEIEWLQDISLPDGSQERKDLMERANAASELARTYIRRAREISRPVRRARQTDLESMPGPQDPEKFSFWLVNLINLRPSDRLDLLRLSDTRERISRSLRLLGDAEQICRVQ >ONIVA03G24470.1 pep chromosome:AWHD00000000:3:19587750:19588674:1 gene:ONIVA03G24470 transcript:ONIVA03G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCTPTLWSAHQVPPLHHHHCSDCCRPSQAEPSRQHLLLEVLLKTPQESQAMVQQVRRSATVFFNSGHRRRCRIQPLRRRRSPRRFLPPPSRYTSRCRQPSFASLVLLLGKHP >ONIVA03G24460.1 pep chromosome:AWHD00000000:3:19564421:19576559:1 gene:ONIVA03G24460 transcript:ONIVA03G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTIHDTNRHLKVKPPPQRIVVKGTVKGRIVPPATASSLQHQRQQGKQCQETNSSLQLQRGSTLLCQHPQQVLSASGCPTIVNPSNAPRIAPIRRSIGKSPLGNPTGKKILPVTAIKTVATPPSKINKQGNIPGETSASRN >ONIVA03G24450.1 pep chromosome:AWHD00000000:3:19526068:19526992:-1 gene:ONIVA03G24450 transcript:ONIVA03G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEQPRDGEVAEEIRETVLTIEEESIFGIERRGIGIDSMAFMARSRSCSSRSTTCLPSVERWGCERWRGRDLNANPNGAKLKRLNATSVGANILSDVICTQRHSVWC >ONIVA03G24440.1 pep chromosome:AWHD00000000:3:19516527:19521137:1 gene:ONIVA03G24440 transcript:ONIVA03G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT1G69440) TAIR;Acc:AT1G69440] MEGEREGVVAKNEDNAGGGGGGLGTGGNGGGGGGGGGSANGRRRWRGGGSSGYRQHPIIQAYPALLPLPINGATGHAHINGAVSLPLPLPPPVLLYLQPPPPPPLLPLLPKVAAATFYGKPPKAADAAPRGSMWKHRPSKKPPPHAITAALLPLPRGIDLLTDGKALQEKIFFANERKTSEKEVNHVDTHEKFTVAPLDNAIARRPDMGGVEGAEIPLSANHFLVQFDPGQKIFHYNVDISPRPSKETARMIKKKLVEENPSVLSGSQPAFDGRKNLYSPVRFQEDRVEFFVSLPVALAQCSVVKEDTGHMLDKQKLKTFKVNVRLVSKLCGEDLNKYLNEDKDGIPLPQDYLHALDVVLREGAMESSILVGRSLYARSMGEARDIGGGAVGLRGFFQRLRPTKQGLALNVDLSLSAFHESTGIISYLQKRCDFLKDLPQKKTRALAEEEHREVEKALKNIRVFVCHRETNQRYHVHSLTKETTENLKFRDRSGKDLMVVDYFKEHYNHDIQFRNLPCLQIGRSKPCYVPMELCVVCEGQKFLGKLSDEQTSKILKMGCERPSERKGIIKGVVKGAFHARSDTYADQFSLQVSKHMTKLSGRVLLPPKLKLGSSGRIKDITPDRFDRQWSFLDSHVAEGSKIKSWALISFGGTPEQHFCITKFVNQLSNRCEQLGILLNKKTIISPIFERIQLLNNVGILEGKLKKIQEAASGNLQLLICVMERRHQGYADLKRIAETSIGVVTQCCLYSNLSKLTSQFLTNLALKINAKLGGCNIALYSSFPCQIPRIFLSEEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIEQLDVMAGELLEEFLKEVGKLPSRIIFFRDGVSETQFYKVLKEEMHAVRTTCSRYPGYKPLITFIVVQKRHHTRLFHRERNGSSSHYSDQNIPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYHVLWDENNFRSDEVQQLIHNLCYTFARCTRPVSLVPPAYYAHLAAYRGRLYLERSDTTMYRVSPLQTVPLPKLRDNVKRLMFYC >ONIVA03G24430.1 pep chromosome:AWHD00000000:3:19495825:19504265:-1 gene:ONIVA03G24430 transcript:ONIVA03G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPPFTCFTTQVKGNKLRIFDPIIFSEFWVGPTEPPPPPFPVGVCTAHSATAVHSATAAHGMRAAAANLRRRRRRAVFALLRRPTFPNSPRVHAVMAGQWSSEAGAVFFSSTPPAPYSPSPSPPKPPLITAPRRLYIAGELIPFLPHPSSSSSSLSPPHAGRRPISLVGALPTKPSRQYLRLNEANPFPNLPLPFPFRD >ONIVA03G24420.1 pep chromosome:AWHD00000000:3:19494966:19495242:-1 gene:ONIVA03G24420 transcript:ONIVA03G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFARSTDPDVELPTKPKPKVEMLLLAPYALTHITVGASLFPRPPQHTTQFALELCVILAAPPPLTVVRVTFPTQTSYSSNRHAVC >ONIVA03G24410.1 pep chromosome:AWHD00000000:3:19480503:19480772:1 gene:ONIVA03G24410 transcript:ONIVA03G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIERVGEAVSAIRYSNHQVTTFDMTPSSTTSKDPASTPTHAMNGHTYGTEHVDFRGQHGIMERRPGKILVDKLHAKPTVASAALTMH >ONIVA03G24400.1 pep chromosome:AWHD00000000:3:19479751:19485453:-1 gene:ONIVA03G24400 transcript:ONIVA03G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKNKGGRGGGGGGGEDQFDGGSDVDSVSSVSTALSDLQLAQATEQVSSQDFVLDKYIDDLYEKRGSTREAALGALVDAFESFVLLDLVENKYATLLSQFINSIKKGSIKEVCLACHTTGLLAITLGAGSSSHEIMDESRLQLLRVLQTWPDASKMISALDCLAVVTFVGATDLSETQLSMKAIWDVIHPKSGSNVGIVRKPKPPLLAAAVSAWALLLTTIVSSKRNFDSWKESITFLSALLEAEDRAVRMAAGEALALCFELKLLDVFSNEEVEVDTAEASGSKNQLFLNMQALKAKISGLVYNLSMEAGGRGADKKNLNDQRDLFQRISDFIKTGECLEESLRIAGKHGILRVTSWRESIQLNYLRRFLGRGFLKHAQDNGLLHDIFDIKMDKTENMSTTEKKIYRSGEEKGRALKLNKERRLAQVR >ONIVA03G24390.1 pep chromosome:AWHD00000000:3:19465612:19472542:-1 gene:ONIVA03G24390 transcript:ONIVA03G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAAAPAAAVGSGKELANPPTDGISNLRFSNHSDHLLVSSWDKTVRLYDADANVLKGEFVHAGAVLDCCFHDDSSGFSAGADHTVRRLVFGSAKEDLLGRHDAPVRCVEYSYAAGQVITGSWDKTIKCWDPRGVSGPERTLVGTYAQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCFPNGTGYALSSVEGRVSMEFFDLSESAQSKKYAFKCHRKSEAGRDTVYPVNAIAFHPIYGTFATGGCDGFVNVWDGINKKRLYQYSKYASSIAALSFSKDGHLLAVASSYTYEEGEKSHEPDAIFIRSVNEVEVKPKPKALAAP >ONIVA03G24380.1 pep chromosome:AWHD00000000:3:19447466:19447657:-1 gene:ONIVA03G24380 transcript:ONIVA03G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAATAGTVLLLLFVINVVSVVAVAARPLEGDGWLESGIGMVTEVLRAAKSGSSGRTHCC >ONIVA03G24370.1 pep chromosome:AWHD00000000:3:19421514:19422219:-1 gene:ONIVA03G24370 transcript:ONIVA03G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDGCVLRPCLQWIDAADAQGHATVFVAKFFGRAGLLSFISAVPEAQRPALFQSLLYEAAGRTINPVHGAVGLLWTGNWPLCQAAVETVLRGGAIGPLPELGGACGGAGGDLYGAAKRNGGWSTFSTAKRVRKAEVPEAPSCDLGLCLSPCSPPAVGERKPALRPGTPSMSSDESGTTTGGERDPVLLNLFV >ONIVA03G24360.1 pep chromosome:AWHD00000000:3:19380405:19381938:1 gene:ONIVA03G24360 transcript:ONIVA03G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLKQYEKEHMKMAMLRQEETFKQQIQELHRLYRVQKLLMDAGSAITMQGISCIPEDDYHAEENEAGSSRPWHTFPGSDNDKPQAHTSVLEESELDLTLAIGRTTTTKKEAPSSSVDSRTSNSSSSTESGSTNCRAVMPHRPSRLGSSSAVKVVAGGPGVGTTQQHLDLEQQDALKQPPWLHRCLNLAR >ONIVA03G24360.2 pep chromosome:AWHD00000000:3:19380270:19381938:1 gene:ONIVA03G24360 transcript:ONIVA03G24360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLKQYEKEHMKMAMLRQEETFKQQIQELHRLYRVQKLLMDAGSAITMQGISCIPEDDYHAEENEAGSSRPWHTFPGSDNDKPQAHTSVLEESELDLTLAIGRTTTTKKEAPSSSVDSRTSNSSSSTESGSTNCRAVMPHRPSRLGSSSAVKVVAGGPGVGTTQQHLDLEQQDALKQPPWLHRCLNLAR >ONIVA03G24350.1 pep chromosome:AWHD00000000:3:19319276:19321408:-1 gene:ONIVA03G24350 transcript:ONIVA03G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDTSSSSSAAGGKKDKPMSVSAMLASMDAPASKAKPSKAASKPKPSKAPASSYMGDIDLPPSDEEEDDADLVAMATKPKAARATVDLNAIAPSQKDAKKKDKREAMAAAQAEAAKQEALRDDRDAFSVVIGARVAGSAGASEGDSAAADDNIKDIVLENFSVSARGKELLKNASLRISHGRRYGLVGPNGMGKSTLLKLLSWRQVPVPRSIDVLLVEQEIIGDNRSALEAVVAADEELAALRAEQAKLEASNDADDNERLAEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNEIIHLHDKNLHVYRGNFDDFESGYEQKRKEMNRKFEVFEKQMKAAKKTGSKAAQDKVKGQALSKANKEAAKSKGKGKNVANDDDDMKPADLPQKWLDYKVEFHFPEPTLLTPPLLQLIEVGFSYPNRPDFKLSGVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTKGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEQRSEIWVVEDGTVNKFDGTFEDYKDELLEEIKKEVEG >ONIVA03G24340.1 pep chromosome:AWHD00000000:3:19306368:19314599:-1 gene:ONIVA03G24340 transcript:ONIVA03G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13230) TAIR;Acc:AT5G13230] MMLRPLNRGLLLPTLSCPVAPQRRGFAAYAAALQWLEDELTSLAILPSVPGVDSFACARQLQGCIARGDARGGRAVHGHVVRRGGVGRLDLFCANVLLNMYGKLGPLASARRLFDRMPERNMVSFVTLVQAHAQRGDFEAAAALFRRLRWEGHEVNQFVLTTMLKLAIAMDAAGLAGGVHSCAWKLGHDHNAFVGSGLIDAYSLCSLVSDAEHVFNGIVCKDAVVWTAMVSCYSENDCPENAFRVFSKMRVSGCKPNPFALTSVLKAAVCLPSVVLGKGIHGCAIKTLNDTEPHVGGALLDMYAKCGDIKDARLAFEMIPYDDVILLSFMISRYAQSNQNEQAFELFLRLMRSSVLPNEYSLSSVLQACTNMVQLDFGKQIHNHAIKIGHESDLFVGNALMDFYAKCNDMDSSLKIFSSLRDANEVSWNTIVVGFSQSGLGEEALSVFCEMQAAQMPCTQVTYSSVLRACASTASIRHAGQIHCSIEKSTFNNDTVIGNSLIDTYAKCGYIRDALKVFQHLMERDIISWNAIISGYALHGQAADALELFDRMNKSNVESNDITFVALLSVCSSTGLVNHGLSLFDSMRIDHGIKPSMEHYTCIVRLLGRAGRLNDALQFIGDIPSAPSAMVWRALLSSCIIHKNVALGRFSAEKILEIEPQDETTYVLLSNMYAAAGSLDQVALLRKSMRNIGVRKVPGLSWVEIKGEIHAFSVGSVDHPDMRVINAMLEWLNLKTSREGYIPDINVVLHDVDKEQKTRMLWVHSERLALAYGLVMTPPGHPIRILKNLRSCLDCHTAFTVISKIVKREIIVRDINRFHHFEDGKCSCETPLLNRWRKKLKEIGKRKISYQIEKKG >ONIVA03G24330.1 pep chromosome:AWHD00000000:3:19278451:19278956:1 gene:ONIVA03G24330 transcript:ONIVA03G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAQKHHHHQHRRCMSTRSHASPLQPHISCITEDP >ONIVA03G24320.1 pep chromosome:AWHD00000000:3:19269356:19278022:-1 gene:ONIVA03G24320 transcript:ONIVA03G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLIKLLDDDVDETMHSGADVEAFTAALNREVEGSGGASTSAAASSSQPLDHGAGLVPQESNSMFTHNHEQWQGSVKNEIGNQENQQQEQKHLHHSNEQPSRPELVSGGADNKQDQPKVKQEPGNSSQQSNSGQKQPLQQMRSQQTPSTNQTNSAPTVGKPPVVTFHMLIPILRRHLDKDKDMQVQSIFTKLRKNEVSKEHFLKVVRTIVGDKLLKQAAAQYQAQAAHGQRNPQTNPSNYSLLSQVSNQQNVPSGSTSGVEHKSYPSAHSIPANQASDSPRPPFFRPSLSGQMQSNMGYPSSESNLQKVNESSNMSDVKGGHMLSHPHNIQSAPGQATQHPQTSLPVLGSNNIHARPLPRPVGGPIVPLRPQMADSSQRGQLVQGAVTSVTGSMAARPTLQTNISPWQQVNKEQKTKSFPPTEHTNKGVGVPENQPSTSGTSKSFNTTNSSQPHRSHGTQAEPNMQIQPATQTPPTAAASKTPQKKASAGQKKPLDALGSSPPPSSKKQKTSGGYHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARMVVQLEEEKLILQKVRKCNLKSIGSDVERCLSMCVEERLRGFISNTIRLSKQRVDLEKSRHRIYPLSSDVRSHILRVNREAREQWDKKLAEDAERIRKQSDGDDNAVVDSEKDKNESRSTSKHAKTYKAEDDKTRTTAANAAARVAAGGDDMLSRWQFMAEKKKSKCDGDGSSGSMPGNMLPRTSSLKPGKGSREQQEIEKTGGVRRSSHVKVTRSITVKDVIAALEREPQMLKSSLLFQLYGRSPAESSAK >ONIVA03G24310.1 pep chromosome:AWHD00000000:3:19266232:19268926:1 gene:ONIVA03G24310 transcript:ONIVA03G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVSLLAAGAVAVAVVLLLAAPARASNDEGDALYALRTRLSDPNGVLQSWDPTLVNPCTWFHVTCDHASRVVRLDLGNSNISGSIGPELGRLVNLQYLELYRNNLNGEIPKELGNLKNLISLDLYANKLTGTIPKSLSKLGSLRFMRLNNNKLAGSIPRELAKLSNLKVIDLSNNDLCGTIPVDGPFSTFPLRSRAAELKREVVFLGDANPSDTN >ONIVA03G24300.1 pep chromosome:AWHD00000000:3:19255633:19255857:1 gene:ONIVA03G24300 transcript:ONIVA03G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVVGGGDMMIGGGGGARSKDLGANCRLELAPGGGVDSSVAVFGREVAALSLESTAVASRVLRWPLLLDFFL >ONIVA03G24290.1 pep chromosome:AWHD00000000:3:19240674:19254099:1 gene:ONIVA03G24290 transcript:ONIVA03G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSRMARERNMEKAKGAKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCREHAEAKHPKTDVYQCFPHLKK >ONIVA03G24280.1 pep chromosome:AWHD00000000:3:19239207:19239658:1 gene:ONIVA03G24280 transcript:ONIVA03G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLEIDPTNILPISLEDLYEEQRREIEQHLKATQEALLAGCFINTRQGVVGKPGSTPKVTVMSKLEHTMTSYFDKRIVSFMNSKFGSHVINLPSTSVASTSQAPIHHILAKSMGDHATCRE >ONIVA03G24270.1 pep chromosome:AWHD00000000:3:19236566:19238413:-1 gene:ONIVA03G24270 transcript:ONIVA03G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1230) [Source:Projected from Arabidopsis thaliana (AT5G67370) TAIR;Acc:AT5G67370] MLLRLKAVAVHPAALLGRPLSHDAPQLVGRTGASTTTARRKAARSAVTVTMALKEEPEGSRSGFAGGVPSWDPGLEIQVPFEQRPVNEYSALKDSVLYSWAELSPGSFFLRLGSLWLITFTVLAAPIAAASFSPGKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVSLFAFAAPVEDFLHSVNAPPSAASSKPSLRREELLRLPVEVRQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLLN >ONIVA03G24260.1 pep chromosome:AWHD00000000:3:19229358:19233442:1 gene:ONIVA03G24260 transcript:ONIVA03G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDREPAGSSRHGSNMDPSINIPVNRDAITEEVAAAFAESEVIPERYCRPDEVHDGIVVGHDDDEAYELPVVDMEKLLDPELAEAEIAKLGSACQDWGFFQLVNHGVDEQVVNEMKDSTVKFFSLPLESKRTVEIQDNGFEGFGHHYRRASGKLDWAESVILLTQPIQERNPEMWPTNPSSFRDALDKYSAEMTKLAMRIASIMATDLGVDQEALVGAFRDKQQSMAIHHYPPCRHPDKVIGITPHSDGLGLTLLLQLDDTPGLQIRKDGRWLPVRPRPGTFIINVADILEVLTNGAYKSVEHRVLADAEKGRTTIVTFHEAYVDGMVKPIPEVLKLNGAEARYKSIERLEYIKGNFVALSEGTRFLESLKI >ONIVA03G24250.1 pep chromosome:AWHD00000000:3:19205274:19219497:-1 gene:ONIVA03G24250 transcript:ONIVA03G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPIAVGSQWLNVGLVGPTKGFGRATIGCQIGAHISLLSFFFVTHARSGGTARGRRDGPSRPARRCPAEKAEAASSCLGQGHLVEGGSVASDYSSVASADFEGFTDLGTSLLARPAVVFDNITAAAASVAVTEAAKPRAVGPTARSVFAMDCVPLWGWSPFAAAARR >ONIVA03G24240.1 pep chromosome:AWHD00000000:3:19199225:19200094:1 gene:ONIVA03G24240 transcript:ONIVA03G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFLRIASEYGFGYDLLLGPVALGDAPPRGRVDAIPRNQISSQEYCKPFNGLVERTVGRDPVRVNMCRFILSSAG >ONIVA03G24230.1 pep chromosome:AWHD00000000:3:19193942:19194904:1 gene:ONIVA03G24230 transcript:ONIVA03G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDTAPQCRPGAGAATDSSTSVSVAPEEFEFFVLPSGGLALAGADEDGMCVADEVFSDGKLLPLRLSSANPVEAAALRLLRSDSLDGATTASSASGFSSRSDSRSASSSSSSSSCVSRSTSQKSASSDTAGRSNQPSKAASSDALLPPRRRPLSGSLFYAHPSPSPRPSQRLSGGGGGSAGRRSTGSAPPASWGLLRLGVVGAPDVYPPRPAPAAARGGSRSARFEQPRAAAKDAVAWEKNLPLGFLGAGLVCNCSPDAVEPVGSAEAAAAAAARRRRRKVAEKNTGEVKSGQSNTIRRSRILEWLEELSISKEKTAT >ONIVA03G24210.1 pep chromosome:AWHD00000000:3:19160149:19160968:-1 gene:ONIVA03G24210 transcript:ONIVA03G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSRVSVRAAAPGQTGGFAKIRPQVVVAAAARSAGVSGRRARSVRASLFSPKPATPKDARPAKVQEMFVYEINERDRESPAYLRLSAKQTENALGDLVPFTNKLYSGSLDKRLGISAGICILIQHVPERNGDRYEAIYSFYFGDYGHISVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLNQIVFPFKIFYTFYLKGIPDLPRELLCTPVPPSPTVEPTPAAKATEPHACLNNFTN >ONIVA03G24200.1 pep chromosome:AWHD00000000:3:19151360:19153435:-1 gene:ONIVA03G24200 transcript:ONIVA03G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLLLGVATGDGRQPPPPVHVPRVHAVALWPCHVAGFTASALGGGTAGFDSDALTCEGREIPGESLVLFRTDSGDALERHNPNEGTAVVSPPSLVDSSGENHALVHKADDSGVVTFLKAPL >ONIVA03G24190.1 pep chromosome:AWHD00000000:3:19124953:19129765:-1 gene:ONIVA03G24190 transcript:ONIVA03G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37470) TAIR;Acc:AT4G37470] MGIVEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLVADYRVVLFDTMGAGPTNPDYFDFSRYATLEGYALDLLAILQELRVASCIYVGHSVSAVIGAIASISRPDLFSKLVLLSASPRYLNDVDYYGGFEQEDLDELFEAMGSNYKAWCSGFAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRSLLPLVTVPCHIVQSTKDLAVPVVVSEYLHKHLGGDSIVEVMPSEGHLPQLSSPDIVIPVLLRHIQHDIAV >ONIVA03G24180.1 pep chromosome:AWHD00000000:3:19118016:19118743:1 gene:ONIVA03G24180 transcript:ONIVA03G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQTPQPSKGSMAGSGSRWLEKGRSIKAARRQGRPGEELRTEDRAKDIGVDHVSGEELRASRDNPDNCDNHLQLHHHHLAPQQPLPPCPDALLTSGAGCSRNPRDRAASASCRSTSPPPVPDLATAVPDLPLPSLEASPPSLPDAGKRHFPTRCGKAYRRIPG >ONIVA03G24170.1 pep chromosome:AWHD00000000:3:19117585:19117977:1 gene:ONIVA03G24170 transcript:ONIVA03G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding EASKEVNNDISRCRCPPNNWTGFSPKSGGGGNPQDNISREETAPAGVDVADPGRPGKAFASDSLKGCKTSTKPEQDSSDSALNTQKLSHLRGKQTAPNLALQQVHIVTGYLKHR >ONIVA03G24160.1 pep chromosome:AWHD00000000:3:19103064:19109501:-1 gene:ONIVA03G24160 transcript:ONIVA03G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALQALLDPTALSLGLPTPAINKEEYLAICLAALACTRAGKALVGVGGQQQVQACNKWLCPAPAAPEELRFRCTVCGKAFASYQALGGHKSSHRKPPSPGDHYGAAAAAQQLASAGDSKEDSASSAAGSTGPHRCTICRRSFATGQALGGHKRCHYWDGTSVSVSVSASASAASSAVRNFDLNLMPLPESTAAAGIKRWAEEEEVQSPLPVKKLRINRVLEYLEQRNDLILNNVQVNFVKWKANFKEEFSQHKHRVKELDKPFWQSWIHNLSVHAHHTKMVTNMTHDDPRFMALAQAGVGGQWPAQKQQIDMAPPAPERELLRFRCSVCGKAFPSHQALGGHKASHRKPPTAALPMHVIDAPPPPSAEDTASSSTTTTTSGGGRHRCSVCHRTFATGQALGGHKRCHYWDGLSVVSVTASASGSGSSSVRNFDLNLKPVPETVAAGVRRWGEEEEVQSPLPFKKRRLSSPSLELNL >ONIVA03G24150.1 pep chromosome:AWHD00000000:3:19096290:19097216:1 gene:ONIVA03G24150 transcript:ONIVA03G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRARHSVWQDEEHGGGSSTREEWRRRRRWSRGEAVVTAGRRGTEGARPRKKRGRGGARSSSSAPRAHAGFPARATAEGGEDGGSKAAMVARTEGERGSGLAFIGGEAHASWGLASGSAVSWAVRKRRRRGCKGRRRRWKLIRERGRGSSALSRWGRRTVVSVSSSKRKRTRAGERVVKPEREKREKGQWKGGFAPSISGGREAGERDLPLGFGRTRVEQLGHALQSSRQAAGPSGSVAQAADHSGDRTGARARSNGANFKLAGGRSTPGGSETGREIERTRERENSARRRLSTRACGARAEEGGDG >ONIVA03G24140.1 pep chromosome:AWHD00000000:3:19095728:19096240:1 gene:ONIVA03G24140 transcript:ONIVA03G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNARTTALSGGGRGDTARRQGRRPAALGQPGGGQGDPREHGSERKLTHVWLAAARNCARRRRKQRGRRGRKRGAGDWGGCLGWCKGSRGGWWREELAREEPTAADCDGGNHRRARKGIPRRSGGARFTGVGVSTRGRELVPPVERTRAAPREAGAERRPPEQSKSTR >ONIVA03G24130.1 pep chromosome:AWHD00000000:3:19083524:19084387:1 gene:ONIVA03G24130 transcript:ONIVA03G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNPFCHTMAILLAVLLVAAAATTEADGALCDKSDKAALLAVKSALGNPPALSVWNSSTPCCSWDGVSCDAITGRVTELTVFALNISAPVPAAIANLTKLQILNLAYNQLYGPIPSFLGPRALPDLTFLRPDGNRLSGAIPPTATFFNLLLEGNLLTGTLPSTFGAAAFGEVGVAGNQLSGDASMLFGAKKKLNALRLSRNRFAFDLGSVELPEGLDILVIDHNMVYGSIPPAAAAAGRKWLAFDVSYNQLCGPIPQGRYTHRFGAKHFAGNKCLCDRPLPPCSS >ONIVA03G24120.1 pep chromosome:AWHD00000000:3:19079202:19079813:1 gene:ONIVA03G24120 transcript:ONIVA03G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDGHHTHGATDRCVVADRFEVDPWRRVGGEQELQTVACGGGDGAVGLSFETHHGGGVAPSPEFAACAASSCSAEIMVLLLLQHGELLVHHDRPSHHHRRRFPTPQPAEAAAVVEVGWGFQVLRLRAFSSF >ONIVA03G24110.1 pep chromosome:AWHD00000000:3:19073532:19074722:-1 gene:ONIVA03G24110 transcript:ONIVA03G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAVKKRQEENAAATEPSFQSVALVVGSTGIVGTSLLDILPLQDTPGGPWKVYAVSRRPLPPWSPPASPAVTHLHLDLADSAAVAEALTPLTDITHVFYVAWSAHPTEAQNREVNSAMLRNVLSVVVPNCPALVHVCLQTGRKHYIGPFEVIGKIAAPDPPFTEDMLRLDCPNFYYDLEDVLFDEVSRRDGAVSWSVHRPTVVFGFSPRSAMNVVGSLCVYAAICRKEGAVLRWPGSRVAWEGFSDASDADLIAEHEIWAAVEPFAKNEAFNCSNGDLYKWKLLWPMLADQFGVEWSGYEGEESSFKLADAMSGKEAVWAEIVKENDLMDTELEEITNWWFVDAVFGVRSEHLDSMNKSKEHGFLGFRNTVNSFNTWIEKMKVFKIVP >ONIVA03G24100.1 pep chromosome:AWHD00000000:3:19065013:19070002:1 gene:ONIVA03G24100 transcript:ONIVA03G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASSRDFWLGPGFHRTSHRRTEHNTRTKGKETRAYPPGALRPATTRTEARRAPRPEMSQKRQPEESSGGVGGGMGPPVPAESGAGSSSPPHRRGEPKRQRVPALREYAPPFRSLESSIGTPMVVVITEVMRKSSIEKLFTAIEPLIRRVVKEEIESAFANHATMMARTVMDVVPSSSKNFQLQFMTKLSLPIFTGSKIEGESSLSVTIALVDTVTREVVASGDESLMKVEIVEKAMTGQLKSSTITLLEQGKVNDPCFLETFLLALLKALVQWGNYHSQIIPVGHAAMIVAVQQNILGSGMSTKMWEVTVEHSKTCILPDKVHLYYPDSLSKTAVVFNVVGEVRGLISEKFVCADDLREKEKAEAYAAVKQAYENWKNVFTCDNETLLANPSQLLDVRTTSLHENDYDQFPTQVSTDGFGLSQSSIPSPDIFSIDPSSALDPCPLETAENNENQYQSELPPLGGHAPPQVSQTVDKFSNSLVYEDCTSHPSFSEDYYRCSDPSVSFDTQDLGAALKGFIATISKPKAYRGWRTLSYVIGWIFYTKKIVAMKRNEHGK >ONIVA03G24100.2 pep chromosome:AWHD00000000:3:19065013:19070002:1 gene:ONIVA03G24100 transcript:ONIVA03G24100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWASSRDFWLGPGFHRTSHRRTEHNTRTKGKETRAYPPGALRPATTRTEARRAPRPEMSQKRQPEESSGGVGGGMGPPVPAESGAGSSSPPHRRGEPKRQRVPALREVITEVMRKSSIEKLFTAIEPLIRRVVKEEIESAFANHATMMARTVMDVVPSSSKNFQLQFMTKLSLPIFTGSKIEGESSLSVTIALVDTVTREVVASGDESLMKVEIVEKAMTGQLKSSTITLLEQGKVNDPCFLETFLLALLKALVQWGNYHSQIIPVGHAAMIVAVQQNILGSGMSTKMWEVTVEHSKTCILPDKVHLYYPDSLSKTAVVFNVVGEVRGLISEKFVCADDLREKEKAEAYAAVKQAYENWKNVFTCDNETLLANPSQLLDVRTTSLHENDYDQFPTQVSTDGFGLSQSSIPSPDIFSIDPSSALDPCPLETAENNENQYQSELPPLGGHAPPQVSQTVDKFSNSLVYEDCTSHPSFSEDYYRCSDPSVSFDTQDLGAALKGFIATISKPKAYRGWRTLSYVIGWIFYTKKIVAMKRNEHGK >ONIVA03G24100.3 pep chromosome:AWHD00000000:3:19065013:19070002:1 gene:ONIVA03G24100 transcript:ONIVA03G24100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWASSRDFWLGPGFHRTSHRRTEHNTRTKGKETRAYPPGALRPATTRTEARRAPRPEMSQKRQPEESSGGVGGGMGPPVPAESGAGSSSPPHRRGEPKRQRVPALREYAPPFRSLESSIGTPMVVVITEVMRKSSIEKLFTAIEPLIRRVVKEEIESAFANHATMMARTVMDVVPSSSKNFQLQFMTKLSLPIFTGSKIEGESSLSVTIALVDTVTREVVASGDESLMKVEIVVLEGDFEGGEGDDWTAQEFNNNIIRAREGKRPLLSGDIFVGLIKGIGAVGELSFTDNSSWTRSRKFRLGAKTEDGSYNGVRVREAKSESFVVKDHRGELYKKHHPPILDDEVWRLEKIGKEGAFHKRLNREKIVTVKEFLTLLHLDAPRLRKILGSGMSTKMWEVTVEHSKTCILPDKVHLYYPDSLSKTAVVFNVVGEVRGLISEKFVCADDLREKEKAEAYAAVKQAYENWKNVFTCDNETLLANPSQLLDVRTTSLHENDYDQFPTQVSTDGFGLSQSSIPSPDIFSIDPSSALDPCPLETAENNENQYQSELPPLGGHAPPQVSQTVDKFSNSLVYEDCTSHPSFSEDYYRCSDPSVSFDTQDLGAALKGFIATISKPKAYRGWRTLSYVIGWIFYTKKIVAMKRNEHGK >ONIVA03G24100.4 pep chromosome:AWHD00000000:3:19065013:19070002:1 gene:ONIVA03G24100 transcript:ONIVA03G24100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWASSRDFWLGPGFHRTSHRRTEHNTRTKGKETRAYPPGALRPATTRTEARRAPRPEMSQKRQPEESSGGVGGGMGPPVPAESGAGSSSPPHRRGEPKRQRVPALREVITEVMRKSSIEKLFTAIEPLIRRVVKEEIESAFANHATMMARTVMDVVPSSSKNFQLQFMTKLSLPIFTGSKIEGESSLSVTIALVDTVTREVVASGDESLMKVEIVVLEGDFEGGEGDDWTAQEFNNNIIRAREGKRPLLSGDIFVGLIKGIGAVGELSFTDNSSWTRSRKFRLGAKTEDGSYNGVRVREAKSESFVVKDHRGELYKKHHPPILDDEVWRLEKIGKEGAFHKRLNREKIVTVKEFLTLLHLDAPRLRKILGSGMSTKMWEVTVEHSKTCILPDKVHLYYPDSLSKTAVVFNVVGEVRGLISEKFVCADDLREKEKAEAYAAVKQAYENWKNVFTCDNETLLANPSQLLDVRTTSLHENDYDQFPTQVSTDGFGLSQSSIPSPDIFSIDPSSALDPCPLETAENNENQYQSELPPLGGHAPPQVSQTVDKFSNSLVYEDCTSHPSFSEDYYRCSDPSVSFDTQDLGAALKGFIATISKPKAYRGWRTLSYVIGWIFYTKKIVAMKRNEHGK >ONIVA03G24100.5 pep chromosome:AWHD00000000:3:19065013:19070117:1 gene:ONIVA03G24100 transcript:ONIVA03G24100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWASSRDFWLGPGFHRTSHRRTEHNTRTKGKETRAYPPGALRPATTRTEARRAPRPEMSQKRQPEESSGGVGGGMGPPVPAESGAGSSSPPHRRGEPKRQRVPALREYAPPFRSLESSIGTPMVVVITEVMRKSSIEKLFTAIEPLIRRVVKEEIESAFANHATMMARTVMDVVPSSSKNFQLQFMTKLSLPIFTGSKIEGESSLSVTIALVDTVTREVVASGDESLMKVEIVVLEGDFEGGEGDDWTAQEFNNNIIRAREGKRPLLSGDIFVGLIKGIGAVGELSFTDNSSWTRSRKFRLGAKTEDGSYNGVRVREAKSESFVVKDHRGELYKKHHPPILDDEVWRLEKIGKEGAFHKRLNREKIVTVKEFLTLLHLDAPRLRKILGSGMSTKMWEVTVEHSKTCILPDKVHLYYPDSLSKTAVVFNVVGEVRGLISEKFVCADDLREKEKAEAYAAVKQAYENWKNVFTCDNETLLANPSQLLDVRTTSLHENDYDQFPTQVSTDGFGLSQSSIPSPDIFSIDPSSALDPCPLETAENNENQYQSELPPLGGHAPPQVSQTVDKFSNSLVYEDCTSHPSFSEDYYRCSDPSVSFDTQDLGAALKGFIATISKPKAYRGWRTLSYVIGWIFYTKKIVAMKRNEHGK >ONIVA03G24090.1 pep chromosome:AWHD00000000:3:19057766:19060142:-1 gene:ONIVA03G24090 transcript:ONIVA03G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHETETGTESAAARQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPTAATTPASTATAVPSTKAASLFPSGLRQP >ONIVA03G24080.1 pep chromosome:AWHD00000000:3:19055366:19056478:-1 gene:ONIVA03G24080 transcript:ONIVA03G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEMEMESVVARHAKESLELAFWMSQILDTSCDRHTLSLFMALCDRQPWRQPRGPRCPHSRVVLGSSSDSHHRHRNHPHVQRHRRAIHKTCGVISLRPSLTLGFMPPFLRRDHHHLTQLERYVEGRCSSKVRGTCVADMWLPCPSNLKLEEPLPSWNVFRALNHLQARVEKSITAHILKREKEWIE >ONIVA03G24070.1 pep chromosome:AWHD00000000:3:19042245:19042523:-1 gene:ONIVA03G24070 transcript:ONIVA03G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGSDGGIHWSGDGGGTRAELHGLLADGDPRAASGGGAGVARLPVSGGDAGVAAAGTGAAAAGGGNRRRQQGSWPLGHDRKPRTAVGRGV >ONIVA03G24060.1 pep chromosome:AWHD00000000:3:19041524:19041751:-1 gene:ONIVA03G24060 transcript:ONIVA03G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGNPWYGPDRVIYLRPLSDTAELSADPEAFVKNHEQKVIPTRSRLLPPPDEPDRGERREREGG >ONIVA03G24050.1 pep chromosome:AWHD00000000:3:19031651:19033369:1 gene:ONIVA03G24050 transcript:ONIVA03G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDASTSPRRSDSSDSSTCSDGDDLLSSSSPKGTGIHRLPPLPCATDGAIAALVEELESPASSLDDLRRAAMELRLLAKHSPDNRLRIVAAGALPPLVALLSRPDPLLQEHGVTALLNLSLREDNRGAVVDAGAVGPLVRALRSAASPAARENAACALLRLAQLDGSAAAAIGRAGAVPVLVSLLESGGARGKKDAATALYALCSGAPEENGPRAVEAGAVRALLELMGEPERGMVEKAAYVLHALVGTAEGRAAAVAEGGVPVLVEMVEGGTPRHKEMATLCLLHVCEDSAAYRTMVAREGAIPPLVALSHSSDARPKLRAKAEVLVGLLRQPRSGSLLRARPSVAASRLPAGLCN >ONIVA03G24050.2 pep chromosome:AWHD00000000:3:19031651:19033582:1 gene:ONIVA03G24050 transcript:ONIVA03G24050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESDASTSPRRSDSSDSSTCSDGDDLLSSSSPKGTGIHRLPPLPCATDGAIAALVEELESPASSLDDLRRAAMELRLLAKHSPDNRLRIVAAGALPPLVALLSRPDPLLQEHGVTALLNLSLREDNRGAVVDAGAVGPLVRALRSAASPAARENAACALLRLAQLDGSAAAAIGRAGAVPVLVSLLESGGARGKKDAATALYALCSGAPEENGPRAVEAGAVRALLELMGEPERGMVEKAAYVLHALVGTAEGRAAAVAEGGVPVLVEMVEGGTPRHKEMATLCLLHVCEDSAAYRTMVAREGAIPPLVALSHSSDARPKLRAKAEVLVGLLRQPRSGSLLRARPSVAASRLPAGLCN >ONIVA03G24040.1 pep chromosome:AWHD00000000:3:19020088:19030184:1 gene:ONIVA03G24040 transcript:ONIVA03G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43980) TAIR;Acc:AT1G43980] MPPPPVPTISTLSALLAGCASLSTAAALHAHLLKSSRLFRPVFLANCLAAAYCRLGAAPSAVAVLRHAPEPNIFSRNILLGAMLKSRDLLSARRLFDEMPDRDAVAYNSMMSGYIDGGRNNEALSLVWTMLEAGVRPSGFTFSIILSAVRVARHGVQVHAAAVRHCFAHQNSVVGNALINMYRRVGLLEYAVQVFWSMNGHDIVSWNSVMSVYRDDGQRRQVFECFQMIRSHGLFFDECSLSTVLSACIDAEDSSKGDQLLTHCVKMGLLRNSLICSAVIGLLCASDRLADAVYLFKGMATWDSETCNAMISGYARSGLMEQALGLFTMALQNGILPTGFTFASVLRWSSCFGLVEQGTQIHALIFKLGLEDDLIIATALVDMYCKLASLKHAKKIFSRVSFKDLVLWNTMIIGLSHNGRGKEALQVFRQMLKCNIQPDRITLSGVLSACSFEGLVNEGIKMVSLFEDKYHIVPGVEHYTCVVDMLSRAGMLGEAVDFVESKLQKCIVAALSNVLEASLIKRDFRMAELIAEKMTKLKPRSSLPYVVLAQSYGARYMLLAVLNLYHKKSPITRRHQLVIISCSVIILHEDLAIPTVALQIQDVAKPQVEDDLCRWDRTSSEREMVSR >ONIVA03G24020.1 pep chromosome:AWHD00000000:3:18982065:18984547:1 gene:ONIVA03G24020 transcript:ONIVA03G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERAITYAVVDAFTDEPFKGNTAAVCLLEESWEEQLDEQWMQSVAAEFNTSITAFLVRADADAANPQFHIRWFTPVRESELCGHGTLAAAHYLISSGLVKCNAIDFLAKSGFLTAKKVVGLKQSSTLISPLQEACTKFLIELDFPLIPVVKCSPLEMPSIPETLNGASVSNVLKTVSDSATDLIVELNSSEEVVNVRPNISELVQSAGRGVAVTGPAPVGSSYDFFSRFFCPKYGLNEDPVCGSVHCALAPYWGKKLGKQCMTASMASPRSGTLYLQWDEAAQRVRIRGEAVTVMVGNILV >ONIVA03G24010.1 pep chromosome:AWHD00000000:3:18979651:18980185:-1 gene:ONIVA03G24010 transcript:ONIVA03G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFLTTLPCALLWLLWDIGGGERRGEEGRNEGSGGSMPGVNLKNLVSKEYYGHRKKVHLVAWNCLGTELASGSTDRTNSRVWRIYPHLS >ONIVA03G24000.1 pep chromosome:AWHD00000000:3:18901877:18903688:-1 gene:ONIVA03G24000 transcript:ONIVA03G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLHHHHHHQHAASPSPPDQPHKSYPSSRGSTSSPSSHHTHNHTYYHHSHSHYNNNSNTNYYYQGGGGGGGGYYYAEEQQPAAYLEECGNGHQFYMDEDFSSSSSSRQFHSGTGAPSSAPVPPPPSATTSSAGGHGLFEAADFSFPQVDISLDFGGSPAVPSSSGAGAGAGAAPSSSGRWAAQLLMECARAVAGRDSQRVQQLMWMLNELASPYGDVDQKLASYFLQGLFARLTTSGPRTLRTLATASDRNASFDSTRRTALKFQELSPWTPFGHVAANGAILESFLEAAAAGAAAASSSSSSSSTPPTRLHILDLSNTFCTQWPTLLEALATRSSDDTPHLSITTVVPTAAPSAAAQRVMREIGQRLEKFARLMGVPFSFRAVHHAGDLADLDLAALDLREGGATAALAVNCVNALRGVARGRDAFVASLRRLEPRVVTVVEEEADLAAPEADASSEADTDAAFVKVFGEGLRFFSAYMDSLEESFPKTSNERLSLERAVGRAIVDLVSCPASQSAERRETAASWARRMRSAGFSPAAFSEDVADDVRSLLRRYKEGWSMRDAGGATDDAAGAAAAGAFLAWKEQPVVWASAWKP >ONIVA03G23990.1 pep chromosome:AWHD00000000:3:18864043:18875076:1 gene:ONIVA03G23990 transcript:ONIVA03G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVTSQLFQGVTATNRFCQTNKFRNPDIRSSLTSTSLSSVPNGHNCWGHNILERNYRPMLYVPSRYRALGVRSFALPVSLQEIPLVKSTSVALTRSCDTLLANPATALVVPAIGIIVFALWGFLPLMRDIRNRFDHGGNWKKSPTYLISTSYLQPLLLWTGATLICRALDPVVLPSAASQAVKTRLVTFVRSLSTVLAIAYILTSLIQQLQKFLMDMRNPNDSRRMGFDFAVKAVYTGIWIAAISLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINTKIDGVEVSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLALSHMDAAKIGIIVADMRKVLAKNPHIEQQRLHRRVFFEKIDPKTQALMIYISCFVKTSHFEEYLNVQEAVMLDLLRIVGHHRARLATQIRTVQKSYGNADIDNIPFGEEMYSRVRGRPLLIDTSARISDDKSKPRPASREDHKVKTVTSAEAKSASADNASISNSEKQEQKKSVPEDGRMKNSKNDHATTTSPSSPWSENMDPIASTSKTGKGKTQGAEATEREGDGAVSVANSKKESRPVFEDNIVLGLALEGSKRTLPIDDGMNPHLSLSETEQDTVEAASSPKDKKGQEKGDQRNLDR >ONIVA03G23990.2 pep chromosome:AWHD00000000:3:18867112:18875076:1 gene:ONIVA03G23990 transcript:ONIVA03G23990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIRNRFDHGGNWKKSPTYLISTSYLQPLLLWTGATLICRALDPVVLPSAASQAVKTRLVTFVRSLSTVLAIAYILTSLIQQLQKFLMDMRNPNDSRRMGFDFAVKAVYTGIWIAAISLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINTKIDGVEVSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLALSHMDAAKIGIIVADMRKVLAKNPHIEQQRLHRRVFFEKIDPKTQALMIYISCFVKTSHFEEYLNVQEAVMLDLLRIVGHHRARLATQIRTVQKSYGNADIDNIPFGEEMYSRVRGRPLLIDTSARISDDKSKPRPASREDHKVKTVTSAEAKSASADNASISNSEKQEQKKSVPEDGRMKNSKNDHATTTSPSSPWSENMDPIASTSKTGKGKTQGAEATEREGDGAVSVANSKKESRPVFEDNIVLGLALEGSKRTLPIDDGMNPHLSLSETEQDTVEAASSPKDKKGQEKGDQRNLDR >ONIVA03G23980.1 pep chromosome:AWHD00000000:3:18856735:18858917:-1 gene:ONIVA03G23980 transcript:ONIVA03G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFSSCPRSPTPLNISSCRPLSISSCRLPSVPLGKQQRSDDGGSTRSVGNCDGGSSCGGSDGGCSVLRWRQWLEVAPRGDGRKAARRGLETTVTSRPRGDDGGLTRLGCEQATGRSCLRIQAIAIQHPRPFCAAPQTNRQRLPHEEATMVAPLEEEATVARHGKEVTVGARLRQRLRVRRRQRQRLVGIRQMRRRTGKTADRRLPAATGLMMPIADQLGDKK >ONIVA03G23970.1 pep chromosome:AWHD00000000:3:18830289:18834578:-1 gene:ONIVA03G23970 transcript:ONIVA03G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHRNPTLLAPTPSPSSIPPPLEPSRSSPPPPMAATIVSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVDNVNSVIAPALIGKDPTSQAELDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAICKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQAEFMILPTGAASFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWEHYAKMTAEIGEQVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLATGQIKTGAPCRSERLAKYNQASVNESMHMLKLLLRIEEELGAAAVYAGAKFRAPVEPY >ONIVA03G23970.2 pep chromosome:AWHD00000000:3:18829804:18834578:-1 gene:ONIVA03G23970 transcript:ONIVA03G23970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHRNPTLLAPTPSPSSIPPPLEPSRSSPPPPMAATIVSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVDNVNSVIAPALIGKDPTSQAELDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAICKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQAEFMILPTGAASFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWEHYAKMTAEIGEQVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLATLLRIEEELGAAAVYAGAKFRAPLYRAHVIFCIEKL >ONIVA03G23970.3 pep chromosome:AWHD00000000:3:18830289:18834578:-1 gene:ONIVA03G23970 transcript:ONIVA03G23970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHRNPTLLAPTPSPSSIPPPLEPSRSSPPPPMAATIVSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVDNVNSVIAPALIGKDPTSQAELDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAICKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQAEFMILPTGAASFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWEHYAKMTAEIGEQVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLATLLRIEEELGAAAVYAGAKFRAPVEPY >ONIVA03G23950.1 pep chromosome:AWHD00000000:3:18820058:18820423:1 gene:ONIVA03G23950 transcript:ONIVA03G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGGQYEGDGCGGGISWSRRWRLPLVPSFSPSWRCLIHSSRHRYSCDHAADGVLEIHCSHGPCNGVETTPATATMTPAAAAATTPATAAITPAALVRTPVGATLPERLRICICLRPCGG >ONIVA03G23940.1 pep chromosome:AWHD00000000:3:18812040:18812606:-1 gene:ONIVA03G23940 transcript:ONIVA03G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLGHDLWLSEQDGGMRHGPREFDDLYNRKGITDIRYFTNSKMHFAEDFLMPTLNLCITNAYIYNDRATAATSMASSKLMLLLERINSLTTNINPLFG >ONIVA03G23930.1 pep chromosome:AWHD00000000:3:18791027:18794722:1 gene:ONIVA03G23930 transcript:ONIVA03G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLWLSWLLLSLLSIYLLDLLAHSRRCLPPGPRPLPLIGSLHLLGDLPHHSLAGLAKKYGPLMSLRLGAVTTVVASSPEVAREFLQKHDAVFATRSTPDATGDHARNSVAWLPPGPRWRELRKIMATELFSTRRLDALHELRQEKVAELVDHVARLARDGTAVDIGRVAFTTSLNLVARTIFSHDLTSLDDHGASKEFQRLITDVMEAVGSPNLSDFFPALAAVDLQGWRRRLSGLFARLHRLFDAEMDHRRLHGMKEKDGDFLEVLLRLAARDDDTARLDGDTLRSLFTDLFTAGSDTSSSTVEWAMAELLQNPISMAKLCDELRRVVGSRRRIEESEIGQLPYLQAVIKETFRLHSPAPLLLPRQATRTIQIMGYTIPKGTRVLINVWAMGRDEDIWPEAGKFMPERFLERTIDYKGGDLELIPFGAGRRICPGMPLAVRMVHVLLASLLIHFKWRLPAEVEGNRIDMTEKFGVTLAKANHLCAMAAPT >ONIVA03G23920.1 pep chromosome:AWHD00000000:3:18788960:18790066:-1 gene:ONIVA03G23920 transcript:ONIVA03G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDTVAWLASGGQRQLRRRHCFGPHYRILGSTLTSKEVFTWANTNNQQLLHVCDIDRTRKSYICTSCSMWLDAKDRVESAGDGGMASYDMEAFM >ONIVA03G23910.1 pep chromosome:AWHD00000000:3:18775618:18775845:-1 gene:ONIVA03G23910 transcript:ONIVA03G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWWHSVGAGRDGSRGVAGRCTEGYGVGGFDQDGRERDGGSAADGGGDQKGVWQTWTRLGRGWLVRGCKEHLRP >ONIVA03G23900.1 pep chromosome:AWHD00000000:3:18772565:18773032:-1 gene:ONIVA03G23900 transcript:ONIVA03G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKDGFCSSVGGVHPGLGGVKVGEGEGAVINFFIALPEQEGRCSQSLTPPQAPHLPVSFSLREAEGDGIGGARARALKRRE >ONIVA03G23890.1 pep chromosome:AWHD00000000:3:18735616:18736719:1 gene:ONIVA03G23890 transcript:ONIVA03G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGRGAVIPLQTGPFLALSSLGPSVRDAAPSTAGKTSPPPPPSATTPQPPSALTPRCARRRSFVHALPPPLSLPPPPSASTPRYPCWRPAAPHRVNTRIRILAIVSTQIWEFVTPPDGWRRRGRACPQSTLHATAARSPIGALNRLRVPRAANDPRAPQPCKPSILPANKVRVLLDPVPVRGGIRGMVCFIHRDA >ONIVA03G23880.1 pep chromosome:AWHD00000000:3:18705508:18706761:-1 gene:ONIVA03G23880 transcript:ONIVA03G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDVVVLSSASATRHSCKVCGKGFACGRSLGGHMRSHSLTEVDAVAAAVAPAYERADDDEGGDGKMVRRWMQSGGGYGLRENPKKTRRLSAGSGGGGGGGDNDDGDACHHRGGDLLSSSSCRPVLGRVRSHAPPAGGAAYVDDSEDVGVDVDGGGGDDRYRDREMLVMAAPRRRPRSMRVPAPVRDEFVVDEEPEDVALCLVMLSRDTGRPWNSRPSDEYSSLMYNSSYHHHHDAVSDDDLELSLSSPYADTEIRTKKRRKTTGAASTAGGEKRGRYECHGCGRAFLSYQALGGHRASHKRINSNCSLVKPPADQPEPSIETSFSSASTSVSPADTMISAATISKTVKKATKFVCPICSKEFGSGQALGGHKRSHSIASELYERGHADGIVKLEQPLLADRFLDLNLPAPGGDDG >ONIVA03G23870.1 pep chromosome:AWHD00000000:3:18697802:18702296:1 gene:ONIVA03G23870 transcript:ONIVA03G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHSGPLYPRPPQQNQNTFCTARSSYPPHRRVGPTYRWTPHVSDSIHPRSASPATCRVPRRIHPRLAAAVQPPPLGPTSCHTNAVSGFTPQGFQKNPPRAGGCHAGQLAETAGGRRIPASPRPRPPNWPRIRAPLAAGFRSDSMVFSHNKNSNQFDPLSYVNLSGLDADSQSVSFTDMNSRDAPSNSHVTDVGKENMLNNPEESKIASTGLKPGSPISPENFSFSSLPGSSCHLSTLDHGKRPLSDVRPFQVACKRPKQIDENTWSTSTFETSFSDLADETREPDYIYHNSGISACNTSSSIPYSNLEQLIGEENLYLPDWVTTFPGYTGDFWPAPVADQVDDIDSPIHDHLPRKAVAIGPDHQADIPEWRPRISMTVPYGSGSCADLSYSSVSTSGSAPRDEDSESDKWIKHCVIEMPSSCSVAWVGDHGRDCGCSDEGSIRCVRRHVLESRENLKRIFGEDKFRELGLCEMGEDIAQRWTDEEESLFYRVVYSNPPSLGKNFWHFLPRALPGKTSMELVSYYFNVFMLRKRAQQNRSEPLHVDSDDDEVPDEPSVTEDEDSAVESPAHDYYMNNPMSPESEDSFHEKVADSLSGLRDGPSQKPLGSNTDNPGGDADVQDESCTSFEDHNGAHGSNGVQCAEFHMMLPNAALDHYSDRGACM >ONIVA03G23860.1 pep chromosome:AWHD00000000:3:18692175:18694415:1 gene:ONIVA03G23860 transcript:ONIVA03G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPC6] MVKICCLGAGYVGGPTMAVIALKCPDVEVVVVDISAARIDAWNSDALPIYEPGLADVVRRCRGRNLFFSSDVERHVGEADIVFVSVNTPTKARGLGAGKAADLTYWESAARMIAAVATSDKVVVEKSTVPVKTAEAIEKILDHNGRDGVGFQILSNPEFLAEGTAIRDLLAPDRVLIGGRETAAGRAAVQALKDVYARWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAEVAYAVGKDSRIGAKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLIGDKAKVSIYDPQVTEDQVQRDLAMSRFDWDHPVHLQPMSPTAIKQVSVAWDAYEAARDAHGVCILTEWDEFRSLDYARIYGGMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >ONIVA03G23850.1 pep chromosome:AWHD00000000:3:18679292:18685615:1 gene:ONIVA03G23850 transcript:ONIVA03G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPC5] MMSSFQGYNFLEPSTSMIVWWRDQFRKLMFNWHSKTLNLSELWIPIVACFTIGIVGLLTVLYLFSLWRRKISLSWMKMIARSKRKNFERTHKVPTAEHVWSVESLLRAKGLKCCVCLESISPAQPLGQMTTSENMVHRCDVCGAAAHMICSSNSQKDCKCVSMFGSKHVVHQWTVLWTDIADQSEEAQYCSYCEEPCSGSFLGGPPIYCCMWCQRLVHVDCHSSMATETGDICDLGPFKRLILSPLFVKTRSKPGGILSSITHGANEFASTVRGHLRNRSKKQKEHSRVPSDCNVGDSNDDSSCDTAANANQRAKDLKSSGDNVQRSAENEHDSSESDCKEVLPEPRRLHHDDAEGAKLKYILDDLPADARPLLVFINKRSGAQRGDSLKHRLHFLLNPVQVFELSSSQGPEIGLLLFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDIEHAAVTILDRWKVAIEDKRGKNVLMVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSMIDRTFVDLPWQVRLEVDGTEIEIPEDSEGVLVANIPSYMGGVDLWKSEDDNPDNFDPQSIHDKMVEVVSISGTWHLGTLQAFMLRRTIEESLGHAAAIVTDVLENAESSHLITASQKRALLQEMALRLS >ONIVA03G23840.1 pep chromosome:AWHD00000000:3:18670160:18672906:-1 gene:ONIVA03G23840 transcript:ONIVA03G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridine-ribohydrolase 2 [Source:Projected from Arabidopsis thaliana (AT1G05620) TAIR;Acc:AT1G05620] MTTTKKKLVIDTDPGIDDAMAIFVALRSPEVELLGLTTIFGNVYTTLATRNALHLLEAVGRTDIPVAEGSHKATKLRIASFVHGSDGLGNQNFPPPTGKPLDQSAAAFLVEQANLYPGQVTVVALGPLTNLALAIELDPSFPKKIGQIVILGGAYSVNGNVNPAAEANIFGDPDAADIVFTSGADILAVGINITHQVVLSDADREKLEQSDSKYARYLSKILGLYYDYHKDAYFIKGVYLHDPATLIAAVDPSLMTYTEGVVRVQTDGITKGLTVFDTTKKRYGEITAWTGKPTVKVAVTVDAPAVVEIIMQRLTTDD >ONIVA03G23830.1 pep chromosome:AWHD00000000:3:18666603:18667070:1 gene:ONIVA03G23830 transcript:ONIVA03G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSAAPSPDACPGPDTAVRRRMSSTASLSLSFLPVPLSSSSSSMYVDNESVDPTFNRVFVVLTTSSSIYLSPQYKPHAAFRFGGSCRAAIGSGEGRRSARRCRLEVGCWRRPQRGGVGRWAQEANASSLREDGVGTEFSKARSRSGGA >ONIVA03G23830.2 pep chromosome:AWHD00000000:3:18666517:18672339:1 gene:ONIVA03G23830 transcript:ONIVA03G23830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSAAPSPDACPGPDTAVRRRMSSTASLSLSFLPVPLSSSSSSMVRGSVRRQRVGRSNIQSAIGSGEGRRSARRCRLEVGCWRRPQRGGVGRWAQEANASSLREDGVGTEFSKARSRSGGA >ONIVA03G23820.1 pep chromosome:AWHD00000000:3:18645155:18649655:-1 gene:ONIVA03G23820 transcript:ONIVA03G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRAWSGSPSLPPVRRLARSLAVRRRRLAQLLVVLRRRGASTPRPSPLSAQVLVIHIQFKLIRLRAMIRRANAGVRTRAQPARSSRAGNADAARAVRNRAVCTPTVCLRVRFRAEEIELPLRRGAAAACGGSELPGLPRSGGGGGGRESRDGEGDGDADAASGGEGRRRRKEESGFHCSPPVDCAECSRGSG >ONIVA03G23810.1 pep chromosome:AWHD00000000:3:18641482:18666380:1 gene:ONIVA03G23810 transcript:ONIVA03G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSCPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVDESIRDRKTRTTGQQSQTLDSTWTVKMRPNAHPLPAASNGSRSPPSSSSSPRRPRRPPQAAAPSLPPLSSPPPHGGGGGRMADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARSSLLMIRTGTTRGLKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVDRVEIRNQSETGKLKQLASNSRLYRRRAMEAALLRPPPLAARGGVSIAIAFSVSRLPPAAAAGKPRKLAPPACRCRATPQWQLDFLGAEADTEADGGDDDDDLDLDLSLPAETNDWCVRARRSALRSIEARGLSPSLQRMVASPKKKNKKKKSKKTNLKQKKAAEPKPPRDTDDDEDDEEEADDDLEALLAGGGELDDLELRVAQFADGMFDEKRQRNREQFIQTLSAFSPAAPSNRSQEVSLNRSIVEARTADEVVALTAEVVAAVAKGLSPSPLTPLNIATALHRIAKNMEAVSMLQTHRLGFARSRDMSMLVGLAMVALPECSPQGVSNISWALSKIGGDLLYLPEMDRIAQVAITKVDSFNAQNVANVAGSFASMRHSAPDLISALTRRAAELVYTFKEQELAQFLWGCASLNECPYPLLDALDTACRDAPSFDCHLHDTVPGMWQSSDKEASSLKNSSNAYALNFTRDQIGNIAWSYAVLGQMDRPFFSGIWKTLSQFEERKISDQYREDMMFVSQVYLANQSLKLEYPHLDMCLRGDLEENLTKTGRSKRFNQKMTSSFQKEVGRLLCSTGHEWNKEYTIDGYTVDAVLVDEKLAFEIDGPSHFSRNLGTPLGHTAFKRRYIAAAGWNLVSLSHQEWENLEGEFEQLEYLRRILAESDSGRRVRTRSYPRRSRALEAGGFRAPSSIRASGSSAVRSLAATAEIGRNS >ONIVA03G23810.2 pep chromosome:AWHD00000000:3:18641482:18666380:1 gene:ONIVA03G23810 transcript:ONIVA03G23810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSCPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVDESIRDRKTRTTGQQSQTLDSTWTVKMRPNAHPLPAASNGSRSPPSSSSSPRRPRRPPQAAAPSLPPLSSPPPHGGGGGRMADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVDRVEIRNQSETGKLKQLASNSRLYRRRAMEAALLRPPPLAARGGVSIAIAFSVSRLPPAAAAGKPRKLAPPACRCRATPQWQLDFLGAEADTEADGGDDDDDLDLDLSLPAETNDWCVRARRSALRSIEARGLSPSLQRMVASPKKKNKKKKSKKTNLKQKKAAEPKPPRDTDDDEDDEEEADDDLEALLAGGGELDDLELRVAQFADGMFDEKRQRNREQFIQTLSAFSPAAPSNRSQEVSLNRSIVEARTADEVVALTAEVVAAVAKGLSPSPLTPLNIATALHRIAKNMEAVSMLQTHRLGFARSRDMSMLVGLAMVALPECSPQGVSNISWALSKIGGDLLYLPEMDRIAQVAITKVDSFNAQNVANVAGSFASMRHSAPDLISALTRRAAELVYTFKEQELAQFLWGCASLNECPYPLLDALDTACRDAPSFDCHLHDTVPGMWQSSDKEASSLKNSSNAYALNFTRDQIGNIAWSYAVLGQMDRPFFSGIWKTLSQFEERKISDQYREDMMFVSQVYLANQSLKLEYPHLDMCLRGDLEENLTKTGRSKRFNQKMTSSFQKEVGRLLCSTGHEWNKEYTIDGYTVDAVLVDEKLAFEIDGPSHFSRNLGTPLGHTAFKRRYIAAAGWNLVSLSHQEWENLEGEFEQLEYLRRILAESDSGRRVRTRSYPRRSRALEAGGFRAPSSIRASGSSAVRSLAATAEIGRNS >ONIVA03G23810.3 pep chromosome:AWHD00000000:3:18641482:18662655:1 gene:ONIVA03G23810 transcript:ONIVA03G23810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSLEDSSPPREGVIWTKWPEELMNQSETGKLKQLASNSRLYRRRAMEAALLRPPPLAARGGVSIAIAFSVSRLPPAAAAGKPRKLAPPACRCRATPQWQLDFLGAEADTEADGGDDDDDLDLDLSLPAETNDWCVRARRSALRSIEARGLSPSLQRMVASPKKKNKKKKSKKTNLKQKKAAEPKPPRDTDDDEDDEEEADDDLEALLAGGGELDDLELRVAQFADGMFDEKRQRNREQFIQTLSAFSPAAPSNRSQEVSLNRSIVEARTADEVVALTAEVVAAVAKGLSPSPLTPLNIATALHRIAKNMEAVSMLQTHRLGFARSRDMSMLVGLAMVALPECSPQGVSNISWALSKIGGDLLYLPEMDRIAQVAITKVDSFNAQNVANVAGSFASMRHSAPDLISALTRRAAELVYTFKEQELAQFLWGCASLNECPYPLLDALDTACRDAPSFDCHLHDTVPGMWQSSDKEASSLKNSSNAYALNFTRDQIGNIAWSYAVLGQMDRPFFSGIWKTLSQFEERKISDQYREDMMFVSQVYLANQSLKLEYPHLDMCLRGDLEENLTKTGRSKRFNQKMTSSFQKEVGRLLCSTGHEWNKEYTIDGYTVDAVLVDEKLAFEIDGPSHFSRNLGTPLGHTAFKRRYIAAAGWNLVSLSHQEWENLEGEFEQLEYLRRILGFDAE >ONIVA03G23800.1 pep chromosome:AWHD00000000:3:18633792:18636481:1 gene:ONIVA03G23800 transcript:ONIVA03G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVITAENVAEAVDSIIDKLKKSDVSNVVYFDGWEGLGASAVLRAVAERLTLGQITDPELRFEKIIHIDCSRWKSRREMQRKIAEKLEMTQAKDLIDEQDEADDINGKDESSRDVINDVGIAVNDVLMSGRFLVVFHNGSDNEIKYVTNFGLPLYQPYKGNKILWTFRGRFRLSTKIQDKVQEADVFLAAEFHNKIYGMEFQDQSHWWDILCEEAAEIASSTCSGVAKLHPTTIAKCWLYISKLNFVGRDIIDYDWAVHASNYWVCDGIIQEWEIADALQQEMWQEWDDPGLYHMMRNTDNWISTSHLISSNYGFLAASAVAQTVSSFFLAAHQIDTESKDTVELVEYFFKSKLNPAHLLQNYNDMFQHAENLRVLKLSLCTFRFASPPFLCCRGLRFLGLDNCLDLNIDAGEEVQSWNCFHGLWVLDLQYTQWVFSPQMIEEMNNVRELNVKGVKPHNLRHIWKRQHNKIQKLRVIKTIDQDYTATKDEKDPFTFTFSGMEKMEILDLSGNSTMQAFPDLSKATCLKTVTLDGCVGLDSVSDSNLPVSLEEFSLVAASEQYPKAANITKISLFGCCRLKKLILSGLPKLEELDLSGTILEKLDLDAMQAEKLNRLLLFGCLHLCAIKWSDVTKPQLDELHILSP >ONIVA03G23790.1 pep chromosome:AWHD00000000:3:18617635:18624864:1 gene:ONIVA03G23790 transcript:ONIVA03G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGDGGGGGGEEEKREGDAGWGWDPMRSGSAPPTMEGAAAAAASAVAQQEVEGVYGGGGGGGGGGSFFSGMDGFGARLDEVGRRRGAAAQDHFGNSESLSNGAPGLHLNGTREFNGQQFGPTRVHSVGAMLDHSAVNVGSPWIQTKTDDADFHRHAENRFMPNMGKMNAFARRDLQSTYLSDSDLSNALSRVNLSNHLEYDERGLAKDLLDEMEMRNHGRHFSAKIANDRRSPLSGNALCTLGCEHLDVHSLPSYGDGLLRRQNSIMDSPYVSRNNNHHMKNVDRLSLADQLALMQLSNLDENNYHSNADMVNIINPLRNRRNITDLDLIRSRNHFLEELIAQRCLQEDNVFQSKSGPSYNDNILYHDGPRFPYSRMQRSGSHAYSHLRGIPYLGDQQSRMLSSSRTPGRNMGSQIYQDNPVANCLDLSSLDLANRSGACPLELVNVVGHVMEVSMDQFGSRFIQQKLEVASADDREKIFPEILTNAIALTTDVFGNYVIQKFFEFATESQLSQLADQLRGHFLQLSFQMYGCRVVQKVIDVVDLERKISIVGELKNSVLRCISDQNGNHRVLEHCHNPATQSAVMDEIVERAFDLTEDKFGNYVVQHVLEHGRPEERSSIIQKLSGQVVNLSQQKYASNVVEKCLSFGTPDEREGLIREIVSSGQTFQGLMKDQFGNYVVQRILQTCDDKFLVVILSSIKMHLNELKNYTFGKHIVARVEKLIITGENRVRMGSKTSQCQQSLSCTDVDANPF >ONIVA03G23780.1 pep chromosome:AWHD00000000:3:18605898:18614342:1 gene:ONIVA03G23780 transcript:ONIVA03G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSVSDRSFLIDSDEEDDAAAAVEDGKRRGHGGDESDDGSDSSSSCGTPRVAAAGGGGRGRGSQPSSYTQQWPQSYRQSIDMYSSVQSPNLSFLGTPTLSRLSNSFLTASFRGKPPEIISGLIKPLLPTTAAAAAADDEGDRRQQQHEDGRKSSQYLLPPRRPSSLQKIPEDQKPLVVGHHEQGGWLGLIILALLAVLAWYTGILLKRCLDSKEGLETYPDIGHAAFGTTGRIVISNIGNIFIGPNVSLQACCIEYLILESDNLSKLFPNAHLIIGTCTINAHILFAILTTLIVMPTTWLRDLSCLSFISAGGVIASIVIVACLFWVGLVDHIEAKDEGTALNLPGIPIAVGLYGYCYSGHGVFPNIYSSMKKRSQFPAVIFTCIALSTVLFAGAAIMGYIMFGESTESQFTLNLPSNLVASKIAVWTTELLPPNRQTYSNIVMLRSALVLSSLIVALSVPFFGLVMSLVGSFLTMFVAYILPCACFLAILRRTVTWYQVLLCVFIIVVGLCCAGVGTYSSLSKIIQNYK >ONIVA03G23780.2 pep chromosome:AWHD00000000:3:18605898:18614342:1 gene:ONIVA03G23780 transcript:ONIVA03G23780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSVSDRSFLIDSDEEDDAAAAVEDGKRRGHGGDESDDGSDSSSSCGTPRVAAAGGGGRGRGSQPSSYTQQWPQSYRQSIDMYSSVQSPNLSFLGTPTLSRLSNSFLTASFRGKPPEIISGLIKPLLPTTAAAAAADDEGDRRQQQHEDGRKSSQYLLPPRRPSSLQKIPEDQKPLVVGHHEQGGWLGLIILALLAVLAWYTGILLKRCLDSKEGLETYPDIGHAAFGTTGRIVISACCIEYLILESDNLSKLFPNAHLIIGTCTINAHILFAILTTLIVMPTTWLRDLSCLSFISAGGVIASIVIVACLFWVGLVDHIEAKDEGTALNLPGIPIAVGLYGYCYSGHGVFPNIYSSMKKRSQFPAVIFTCIALSTVLFAGAAIMGYIMFGESTESQFTLNLPSNLVASKIAVWTTELLPPNRQTYSNIVMLRSALVLSSLIVALSVPFFGLVMSLVGSFLTMFVVLLCVFIIVVGLCCAGVGTYSSLSKIIQNYK >ONIVA03G23770.1 pep chromosome:AWHD00000000:3:18594844:18596252:-1 gene:ONIVA03G23770 transcript:ONIVA03G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPAPALLLACSRRRRRTAPHRDLPLPAPYGCAKFIAKIHINCCSMLMQEVCKKISKVMVVDKLTRLEAADRPARCCSSISAVASSTLAADPPATVASGLPPIEVSKN >ONIVA03G23760.1 pep chromosome:AWHD00000000:3:18587029:18589470:1 gene:ONIVA03G23760 transcript:ONIVA03G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GPB2] MVALLLFPMLLQLLSPTCAQTQKNITLGSTLAPQSPASSWLSPSGDFAFGFRPVEGNTSFYLIAVWFNKISDKTVVWYAKNTDQDPSIVEVPSDSFLQLTNDGALSLKDRSGQEGWNPQVTSVAYASMRDTGNFVLLGADGTTKWQTFDMPSDTILPTQVIPCNKTRNKSLRARLDIDDYSSGRFLLDVQTDGNLALYLVAVPSGSKYQQYWSTDTTGNGSELVFSETGKVYFALTDGTQINISSGAGIGSMADYFHRATLDPDGVFRQYVYPKKANAGILGGETWTAVSMQPQNICHAIVSDVGSGVCGFNSYCTFDGTRNQIASCQCPPWYKFFDEQKKYKGCKQDFQPHSCNLDEATALAQFELRPIYGVDWPLSDYEKYEPIGQDDCGRLCVIDCFCAMAVYNQSTSTCWKKKLPLSNGNMADYVQRTVLLKVPSSNSSQSMISTSSNKWKRNRKHWVLGSSLILGTSILVNFALISIFLFGTYCRIATKKNIPLSQASSKSQLPLKTFTYKELEKATAGFHEILGAGASGVVYKGQLEDELKTNIAVKKIDKLQPETEKEFMVEVETIGQTFHKNLVRLLGFCNEGAERLLVYEFMTNGPLNRLLFDNSRPHWNTRVHIALGVARGLLYLHDECSKQIIHCDIKPQNILLDDNLVAKISDFGLAKLLLTNQTRTNTGIRGTRGYVAPEWFKNIGISTKVDVYSFGVILLELVCCRRNVELEVVDEEQTIVTYWANDCYRSGRIDLLVEGDDEAIYNIKKVERFVTVALWCLQEDPSMRPNMLKVTQMLDGAVAIPSPPDPCSFISSLP >ONIVA03G23740.1 pep chromosome:AWHD00000000:3:18576090:18577006:1 gene:ONIVA03G23740 transcript:ONIVA03G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLADSYPPVLFLSPPRRTPDACSGATALPAFSSPVFPSPFPSGLLLNCSTSPPIRRSTLQPRTTQGKIPGYATGPWDLKWRGDSTRMHSSVEHHCREGAGDNATSSGGCAGSDATVVASSSTSGKARGRIPWAMAGKASTTAPATSRMAQRSPEKLVELLHEAT >ONIVA03G23730.1 pep chromosome:AWHD00000000:3:18575793:18576038:-1 gene:ONIVA03G23730 transcript:ONIVA03G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAVSVGGLSAAAADRHGATDARGTGVDGGWLTAGTVGMAQRLRHGLLEPWTVEAWSGFGRKSGGSAAPAAGLMDSLQP >ONIVA03G23720.1 pep chromosome:AWHD00000000:3:18572919:18574388:-1 gene:ONIVA03G23720 transcript:ONIVA03G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPENQNSPAAAAAAAAAADSPTLLLHRHVAGGRPAAPALLSTQLYLYLNASIMRVEQSS >ONIVA03G23720.2 pep chromosome:AWHD00000000:3:18572634:18574388:-1 gene:ONIVA03G23720 transcript:ONIVA03G23720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPENQNSPAAAAAAAAAADSPTLLLHRHVAGGRPAAPALLSTQLYLYLYAPHNPSRLASFTFSGCQAATITHKLKQDHSKAINVDLRSNPYVLEPLRCNISSSTPNADNT >ONIVA03G23720.3 pep chromosome:AWHD00000000:3:18572572:18574388:-1 gene:ONIVA03G23720 transcript:ONIVA03G23720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPENQNSPAAAAAAAAAADSPTLLLHRHVAGGRPAAPALLSTQLYLYLQYLRLLYQVPHGNHRLPFPALCK >ONIVA03G23710.1 pep chromosome:AWHD00000000:3:18551667:18571038:1 gene:ONIVA03G23710 transcript:ONIVA03G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLFLLSLQLLVLLSSPSAQAQNISLGTSLTTQGPNNAWLSPSGDFAFGFRPIDGNSSFYLLAIWFNKISDKTATWYAKTSEQEPQPIQVPSGSILQFTSTGVLSLRDPTNREVWNPGATGAPYASMLDTGNFVIAAAGGSTISWETFKNPTDTILVTQALSPGMKLRSRLLTTDYSNGRFLLNMETQRAALYTMAVPSGNLYDPYWSTPIDENVTNQVTNLVFNTTGRIYVSMKNGTQFNMTSGVIRSMEDYYHRATLDPDGVFRQYVYPKKPSSMSQAWTAVSIQPENICNAQTKVGSGTCGFNSYCMFDGSNNQTSCVCPEQYSFFDEVRKYRGCRPDFELQSCDLDEAASMAQYEFNLVNNVDWPQADYEWYTPIDMDECRRLCLIDCFCAVAVFHENTCWKKKLPLSNGIMGSGVQRTVLIKVPKSNSSQPELRKSRKWKSDKKLWILGSSLLLGGSVIANFALSSVLLFGTYCTITRKDVQPLQPSRDPGLPLKAFSYAELEKATDGFKEVLGTGASSIVYKGQLQDELGTYIAVKKIDKIQHETEKEFAVEVQTIGRTYHKNLVRMLGFCNEGTERLLVYEFMVNGSLNRFLFSGVRPLWSLRVQLALGVARGLLYLHEECSTQIIHCDIKPQNILLDDNFIAKISDFGLAKLLRTNQTQTYTGIRGTRGYVAPEWFKNVGITAKVDVYSFGVILLELICCRQNVEMEAAEEEQSILTYWANDCYRCGRVDLLVDGDDEAKLNIKKVERFVAVALWCLQEEPTMRPSILKVTQMLDGADAIPTPPDSSSVVNSTSKRLINSNGSSPVLAHPATLATILHEICPSSAKHQYRLLFDTPGDGNSSSYLLAVWFNKIADKTVVWYARTSSNGKDDTIPVQVQSGSVLKLADGALSLRDPSGNEVWNPQVTDVGYARMLDTGNFRLLGTDGATKWESFGDPSDTILPTQVLSLGTALHSRLLATDYSNGRFQLKVQRDGNLVMYPDAVPSGYLYDPYWASNTVDNGSQLVNITSAGVDSMGDFFHRATLDTDGVFRQYVYPKNIHARPLWPEQWTAVDVLPENICQSIQTMVGSGACGFNSYCTIDGTKNTTSCLCPQNYKFIDDKRKYKGCRPDFEPQNCDLDETTAMLQYDMAPIDRVDWPLSDYEQYNPIDQTECRRLCVIDCFCAVAVFDKASSTCWKKRFPLSNGKMDVNVPRTVLIKVPRSTNSPSVFSSGSSKWKEDKNITSRKKIQLSQPSNKSGLPPKIFTYSELEKATGGFQEVLGTGASGVVYKGQLQDEFGTNIAVKKIEKLQQEAQKEFLVEVQTIGQTFHRNLVRLLGFCNEGTERLLVYEFMSNGSLNTFLFSDTHPHWSLRVQVALGVARGLLYLHEECNKQIIHCDMKPQNILLDDNFAAKISDFGLAKLLPVNQTQTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRKNVELEVLDEEQTILTYWANDCYKCGRIDLLVAGDDEAIFNIKKVERFVAVALWCLQEEPSMRPTMLKSSMEVIKTNRCRSPRAISFVVIKYEQKVDQ >ONIVA03G23710.2 pep chromosome:AWHD00000000:3:18571041:18573478:1 gene:ONIVA03G23710 transcript:ONIVA03G23710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILFLPILQILLIYCTKSAQAQLNISIGSSLTPQEVNNSWISPSSDFAFGFRAVDGNSSSYLLAVWFNKIADKTVIWYAKTSSNGQDDTIPVQVQSGSVLKLADGALSLRDPSGNEVWNPRVTDVGYARMLNTGNFRLLGTDGATKWESFGDPSDTILPTQVLPLGTALHSRLLATDYSNGRFQLNVQDDGNLVLYLVAVPSAYYHDPYWASNTVGNGSQLVFNETGRIYFTLTNGSQINITSAGVDSMGDFFHRATLDTDGVFRQYIYPKSKQARSLWQEQWRAVDALPENICQTIQTKVGSGACGFNSYCTFDGTKNTTNCLCPQRYKFFDNERTYKGCRPDFEPQSCDLDETAAMVQYEMTPIDRINWPLSDYEQYSPIDETECRRLCVIDCFCSVAVFNKPSNTCYKKKLPLSNGNMDSSLQATVLLKVPRSTNSPSMISSGSSKWKKDKNITSRKKTQLSQLPSNSGLPSKIFTYRELEKATGGFHEVLGTGASGIVYKGQLQDECGTNIAVKKIEKLQQEAQKEFLVEVQTIGQTFHRNLVRLLGFCNEGTEKLLVYEFMSNGSLNTFLFNDTHPHWSLRVQVALGVSRGLLYLHEECNKQIIHCDMKPQNILLDDNFVAKISDFGLAKLLPVNQTQTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRKNVELEVADEEQTILTYWANDCYRCGRIDLLVEGDDEAIFNIKKVERFVAVALWCLQEEPSMRPTMHKVMQMLDGAVQIPTPPDPSSYISSLA >ONIVA03G23700.1 pep chromosome:AWHD00000000:3:18535630:18536613:1 gene:ONIVA03G23700 transcript:ONIVA03G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTDLELAKVEEGEDEVASGGGARELAVGLRQENHWPADDGDAYRHCSPSWRRRYGVDPDPFLTVLWVKTLLRLPDERLRRSMSCPPWGHCFGETSSCKDIVDVGDLRSLFDFTRWSRSCFVRVTKLGNNDTLHF >ONIVA03G23690.1 pep chromosome:AWHD00000000:3:18525782:18532011:1 gene:ONIVA03G23690 transcript:ONIVA03G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPLELPVIIFISYLVLLAAGIQEGRYVKHEASYDQPISDKIINKIIETGDGDVFHCIDINLQPALSHPLLKGHIIQMEPTSYPSELKIKSSSDTIATEAHLPTIACPKGTIPLLQNSKADLKTQFSFDPIGNTHHRGGERAGCTTYDEIYGTQVAINVYEPKVRGQNDLSASWALMVNGPTGNYEGIGAGSIVWPNYRGDNFARFHIYWQVNTVNMPCFDHMCPGFVQVSKSVGIGGRIEPVSTYNGDQYEITVTISKDPKTGNWWLAYGRDKKPLGYWPPSIFNYMNEKASACFWGGQVHGPTVQLHLPELGSGHWAATGPGKAAYVRSIKVINKDSQYFIPGTHNTFSGSTRPFCYDAGDIRFNDDGARLLYGGPGNCTK >ONIVA03G23680.1 pep chromosome:AWHD00000000:3:18521094:18522221:-1 gene:ONIVA03G23680 transcript:ONIVA03G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKALLFAILGCLCLCSAVLAARELSDDAAMAARHERWMAQYGRMYKDDAEKARRFEVFKANVAFIELFNAGNHKFWLGVNQFADLTNDEFRSTKTNKGFIPSTTRVPTGFRYENVNIDALPATVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESNYPYAAADDKCKSVSNSVASIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYKGGVMTGSCGTDLDHGIVAIGYGKASDGTKYWLLKNSWGTTWGENGFLRMEKDISDKRGMCGLAMEPSYPTA >ONIVA03G23670.1 pep chromosome:AWHD00000000:3:18507927:18516372:1 gene:ONIVA03G23670 transcript:ONIVA03G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNDQHGGATAHVLLVPLPAQGHMNPMLQFGRRLAYHGLRPTLVATRYVLSTSPPPGDPFRVAAFSDGFDAGGMASCPDPVEYCRRLEAVGSETLARVIDAEARAGRAATVLVYDPHMAWVPRVARATGVPTAAFLSQPCAVDAIYGEVWAGRVPLPMEDGGDLRRRGVLSVDLATADLPPFVAAPELYPKYLDVSIRQFEDLLDADDVFVNSFNDLEPMEAEHMESTWRAKTVGPTLPSFFLDDGRLPANKNHGIDIFTGDAPCMEWLDKQAPCSVVLASYGTVYSLDGAELEELGNGLCNSGKPFLWVVRSSEGHKLSEELRGKCKEKGLIVSWCPQLEVLKHKATGCFLTHCGWNSTMEAIATAVPMVAMPQSADQPTIAKYVETAWEIGVRAQLDEKGSVTKEEVEISIKKVMDGKRAVEYKRNAAKWMQKAKEAAQVGGSSDKNIAEFVAKYLSNYMSTPPPAAVTVANATSNVGDDNHGGGRVLLLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVTTRYVLSTTPPPGDPFRVAAISDGFDDDLRTLEAHGARTLAELLVSEARAGRPARVLVYDPHLPWARRVARDDGVGAAAFMPQPCAVDLIYGEVCAGRLALPVTPADVSGLYTRGALGVELGHDDLPPFVATPELTPAFCEQSVAQFAGLEDADDVLVNSFSDLEPKEAAYMEATWRAKTVGPLLPSFYIGDGPLPSNTAYGFNLFTSTVPCMEWLDKQPPRSVVFVSYGTFSSYDAAKLEEVGNGLCNSGKPFLWVVRSNEEHKLSRELREKCGKRGLIVPFCPQLEVLAHKATGCFLSHCGWNSTLEAIVNGVPLVAMPYWVDQPTISKYMESLWCMGVRVWQEKSGGIQREEVERCIREVMDGDRKEDYRRSHV >ONIVA03G23670.2 pep chromosome:AWHD00000000:3:18504316:18507951:1 gene:ONIVA03G23670 transcript:ONIVA03G23670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSTPAASANGGQVLLLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVTTRYVLSTTPPPGDPFRRHLPWARRVARAAGVATAAFLSQPCAVDLIYGEVCARRLALPVTPTDARGLYARGVLGVELGPDDVPPFVAAPELTPAFCEQSIEQFAGLEDDDDVLVNSFSDLEPKEAAYMESTWRAKTIGPSLPSFYLDDGRLRSNTAYGFNLFRSTVPCMEWLDKQPPRSVVLVSYGTVSTFDVAKLEELSNGLCNSGKPFLWVVRSNEEHKLSVQLRKKCEKRGLIVPFCPQLEVLAHKATGCFLSHCGWNSTLEAIVNGVPLVAMPHWADQPTISKYVESLWGTGVRVQLDKSGILQREEVERCIREVMDGDRKEDYRRNATRLMKKAKESMQEGGSSDKNIAEFAANQITK >ONIVA03G23660.1 pep chromosome:AWHD00000000:3:18498143:18500649:-1 gene:ONIVA03G23660 transcript:ONIVA03G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GP99] MESAPATTTSSSTQHGGGGGGHVFLLAFPEAHGHVNPILQLGRHLAAHHGFLPTLVTTRHVLFTLPPPPAPFRVAAISDGFDSGGMAACGDAREYTRRLAEVGSETLGVLLRSEADAGRPPRVLVYDPHLPWAGRVARGAGVPAAAFFSQPCAVDVIYGEVWAGRVGLPVVDGGALRGLLSVELGPEDVPSFVKAPESYPPFLEAVLGQFDGLEDADDVLVNSFQELEQNEADYLASAWRFKTIGPTVPSFYLDDDRLQPNKTYGFNISDSTSPCLAWLDNQPPCSVVYASYGTVADLDPTQLDELGNGFCNSGKPFLWVVRSCDEHKLSEELRDKCKERGLIVSWCPQLEVLSHKATGCFLTHCGWNSTTEAIVTGVPLLAMPQWTDQPTTAKYIESAWGNGVRVRRDKEGMVRKEEVERCIREVLESERKADYRKNANRWMKKAKEAMKKGGSSYNNIAEFASKLLIGQMMTNQVG >ONIVA03G23650.1 pep chromosome:AWHD00000000:3:18495623:18497550:1 gene:ONIVA03G23650 transcript:ONIVA03G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLDIDGDEYRPATAGGGGATITERWERLENFTTSLLFAHNAPFLDRFRLHLPNFQRITATQQHHGRQMERWILRGFRYRPAALEIAVGVAAVAFKLPLLGASSASRLERLHLSGLVLDGGFGECIRSWCPVLEAMELKACIFEDLKEIVSSTIKSLAIVDCRSGHHTDALVCRMDSLVEASISGTRFGSDFDKMISTLIGSLINVRELDVSWFQPVELKYGESANFQTFHKLTTLNIYECDLGQNLHILLSFLQNTPNLEKVILQNCEISDHSRKRKRTPKANRNQIHSKRRSLITSKSEISKIMKMTYEDDGISDLIELLLRNWRKLEDHTIIITKI >ONIVA03G23640.1 pep chromosome:AWHD00000000:3:18487705:18490934:1 gene:ONIVA03G23640 transcript:ONIVA03G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRATVAKPSADTEPPSLSLLYCLRLIREYHVQILLKAQFFILFIGLLSLVVSGGPGCMDGLQVVSLANDGK >ONIVA03G23630.1 pep chromosome:AWHD00000000:3:18487022:18487414:-1 gene:ONIVA03G23630 transcript:ONIVA03G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVWPMGKTAAPAVEGDDGDVWAAASGQRWKGTTAASGRRRKGTTAACATRGGVRRRGGGEGERSKGTTAERRHAGSQGGARRRGGPRRSGGGSDGAWERASDMRICRCGDGLNAAEARCAAEMAHAE >ONIVA03G23620.1 pep chromosome:AWHD00000000:3:18484325:18485375:1 gene:ONIVA03G23620 transcript:ONIVA03G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSCIFEFDEITGNALRSLAIDGCSRRGLQMPDDALCVTAPKLTSLRIKFSIHDFSVFLVDRMGFLNDASICKMFWSTTNFGNNVCSLLGSLLNVTKLNLSCIRLSEKHSDNSTNVPTFHKLTTLCFDACDLDKNLDILLSFLENAPHLEKAILQNCKIPNNSRKRKIMARANRTMISPEHENVTVLAFQYFKLIKIAYKNDNIKDVIELLLCNSTGLENNTIIPTRH >ONIVA03G23610.1 pep chromosome:AWHD00000000:3:18483750:18484244:1 gene:ONIVA03G23610 transcript:ONIVA03G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQPHAGEDGGDRLSALPDCLLHAIMSLLPARRAVQTCALSRRWRDLWRSMPCLDIDGDEFTSSTTGSVRWDKFESFATNLLQGHNAPFLDRFRLRLPSSWHVRGGVQQRDIKSHSQPDVRQIERWINRGVRFYRPVELEITIGVGYDLKLPILAAPSPSPTD >ONIVA03G23600.1 pep chromosome:AWHD00000000:3:18480246:18483377:-1 gene:ONIVA03G23600 transcript:ONIVA03G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPHAGEDRGDDYLGTLPDCLLHKVMSFLPARQAVQTCVLSRRWRDLWRSMPCIDIDDDDFVGGASTATTGQLSRKVRWRQLMDFASNLLEFHDVHVIDFKPPFLERFRLHLAHSWTAPYIRAWKGTRLVECCILGGFRHRPAAADIAVGVGVPLFRLPWLPSVSTSRLKRLHLSGLVLDGCFGECICSSCPVLEAMELKSCSCEFNKIESATLKSLAIHGCRSCFLEGTTLAIKTPRLTSLLLRITVYYELRVRLVDRMNYLIEASIREKSYEPINFDNDLCKSLGALASVRNLKLSWSRSMDSHHGEFPNFPTFQKLTTLHLYKCNMCLNLNILLSFLQKAPSLERVILQNCKFPVAPRKRKRSTKVDRHKIPPECKGLITSESRALKRIEITYQDDDFCNLIKLFSCNWRKLEEYTITLTKV >ONIVA03G23590.1 pep chromosome:AWHD00000000:3:18452128:18455974:1 gene:ONIVA03G23590 transcript:ONIVA03G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQAEDAHGDVTNLSASELKKKRESGNVPKATTSKFSTPTPMLGDISVVTAEDPAGREQWIVCSAMDNNGGSSVPTSSTRSGPFADITNVIDANLTNNHPAANKNGTNVPKDRENCQHNNLDSTAQFLCACTLQDVTKLSATELKRKRAREWYASLTKEQKEDRNNKARDIRKRRNFESQAPFGDIKIASTEDQSVGGLLDVNDAGTENVGSIVTPVRLPFTNSSDMSYSTPSEYTMPLQAEDAHGDVTNLSASELKNKRSREWYASLTKEQKEDRNRKARDARRRRKDESQGNVPKATTSKFSTPTPTLGDISIVTAGDPAGREQWEVYLNNPRSHLDVSHLQ >ONIVA03G23580.1 pep chromosome:AWHD00000000:3:18443166:18449995:-1 gene:ONIVA03G23580 transcript:ONIVA03G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYSHIKVVREEDFRSRIGEDGHYFDLIDFSAIEGFNVPPTMTILRFKEKLTEKFGTPLQCQRLWWWARRQNNTYRVDRPLTTEEENLPVLNTNSLPTWSNMDDALVFLKHYDPGKAQLRYVGLLSVKVASRPSEILPKLRSLAGFCLSETIELYEEIKFEPSVWCEAIDIHNTFSAGEIITGDIICFQKILKPPDIPKYPSVASFLQHVCDQKTYEEVRKVHILEEEIVTLKHQADTYLVQKEKAVTAYDQLKHERDNAVQQVNELRDQSTHIILDFSRKDMEQATEHFKNAREIGDTEYGHTYKGMIHNMKVLIKLSSSQKLFQQEVSILSQWRHPNIITFIGVCSEVSALVYEWLPNGNLEDRIICTNNSAPLSWYNRTQIIGEICCALLFLHSNKSTALVHGDLRPCNILIDANYRSKICNFGMSNLFLQLGTFPPNLTARLPYMDPEFNTTEELTTLSDVYSLGVIILRLLTGMPPLTLSEKVAEALGSDSLHLLIDKSAGDWPYIEAKQLALIGLSCTGMTRKKRPDLLNEVWIVIEPLTRKPPAATWPYLQSASGDSSVPAAFICPISMEIMKDPQVASDGFTYEAEAIRSPMTNLALPNLNLVPNRVLRSFIHGYLQQQQPNPAYQQQLSET >ONIVA03G23580.2 pep chromosome:AWHD00000000:3:18443166:18449995:-1 gene:ONIVA03G23580 transcript:ONIVA03G23580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYSHIKVPQRCCDLPRDPFFSRRLDSPHQRKIHWGFGFLTTWWVVREEDFRSRIGEDGHYFDLIDFSAIEGFNVPPTMTILRFKEKLTEKFGTPLQCQRLWWWARRQNNTYRVDRPLTTEEENLPVLNTNSLPTWSNMDDALVFLKHYDPGKAQLRYVGLLSVKVASRPSEILPKLRSLAGFCLSETIELYEEIKFEPSVWCEAIDIHNTFSAGEIITGDIICFQKILKPPDIPKYPSVASFLQHVCDQKTYEEVRKVHILEEEIVTLKHQADTYLVQKEKAVTAYDQLKHERDNAVQQVNELRDQSTHIILDFSRKDMEQATEHFKNAREIGDTEYGHTYKGMIHNMKVLIKLSSSQKLFQQEVSILSQWRHPNIITFIGVCSEVSALVYEWLPNGNLEDRIICTNNSAPLSWYNRTQIIGEICCALLFLHSNKSTALVHGDLRPCNILIDANYRSKICNFGMSNLFLQLGTFPPNLTARLPYMDPEFNTTEELTTLSDVYSLGVIILRLLTGMPPLTLSEKVAEALGSDSLHLLIDKSAGDWPYIEAKQLALIGLSCTGMTRKKRPDLLNEVWIVIEPLTRKPPAATWPYLQSASGDSSVPAAFICPISMEIMKDPQVASDGFTYEAEAIRSPMTNLALPNLNLVPNRVLRSFIHGYLQQQQPNPAYQQQLSET >ONIVA03G23580.3 pep chromosome:AWHD00000000:3:18443166:18449995:-1 gene:ONIVA03G23580 transcript:ONIVA03G23580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYSHIKVPQRCCDLPRDPFFSRRLDSPHQRKIHWGFGFLTTWWVVREEDFRSRIGEDGHYFDLIDFSAIEGFNVPPTMTILRFKEKLTEKFGTPLQCQRLWWWARRQNNTYRVDRPLTTEEENLPVLNTNSLPTWSNMDDALVFLKHYDPGKAQLRYVGLLSVKVASRPSEILPKLRSLAGFCLSETIELYEEIKFEPSVWCEAIDIHNTFSAGEIITGDIICFQKILKPPDIPKYPSVASFLQHVCDQKTYEEVRKVHILEEEIVTLKHQADTYLVQKEKAVTAYDQLKHERDNAVQQVNELRDQSTHIILDFSRKDMEQATEHFKNAREIGDTEYGHTYKGMIHNMKVLIKLSSSQKLFQQEVSALVYEWLPNGNLEDRIICTNNSAPLSWYNRTQIIGEICCALLFLHSNKSTALVHGDLRPCNILIDANYRSKICNFGMSNLFLQLGTFPPNLTARLPYMDPEFNTTEELTTLSDVYSLGVIILRLLTGMPPLTLSEKVAEALGSDSLHLLIDKSAGDWPYIEAKQLALIGLSCTGMTRKKRPDLLNEVWIVIEPLTRKPPAATWPYLQSASGDSSVPAAFICPISMEIMKDPQVASDGFTYEAEAIRSPMTNLALPNLNLVPNRVLRSFIHGYLQQQQPNPAYQQQLSET >ONIVA03G23580.4 pep chromosome:AWHD00000000:3:18443166:18449995:-1 gene:ONIVA03G23580 transcript:ONIVA03G23580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYSHIKVPQRCCDLPRDPFFSRRLDSPHQRKIHWGFGFLTTWWVVREEDFRSRIGEDGHYFDLIDFSAIEGFNVPPTMTILRFKEKLTEKFGTPLQCQRLWWWARRQNNTYRVDRPLTTEEENLPVLNTNSLPTWSNMDDALVFLKHYDPGKAQLRYVGLLSVKVASRPSEILPKLRSLAGFCLSETIELYEIITGDIICFQKILKPPDIPKYPSVASFLQHVCDQKTYEEVRKVHILEEEIVTLKHQADTYLVQKEKAVTAYDQLKHERDNAVQQVNELRDQSTHIILDFSRKDMEQATEHFKNAREIGDTEYGHTYKGMIHNMKVLIKLSSSQKLFQQEVSALVYEWLPNGNLEDRIICTNNSAPLSWYNRTQIIGEICCALLFLHSNKSTALVHGDLRPCNILIDANYRSKICNFGMSNLFLQLGTFPPNLTARLPYMDPEFNTTEELTTLSDVYSLGVIILRLLTGMPPLTLSEKVAEALGSDSLHLLIDKSAGDWPYIEAKQLALIGLSCTGMTRKKRPDLLNEVWIVIEPLTRKPPAATWPYLQSASGDSSVPAAFICPISMEIMKDPQVASDGFTYEAEAIRSPMTNLALPNLNLVPNRVLRSFIHGYLQQQQPNPAYQQQLSET >ONIVA03G23570.1 pep chromosome:AWHD00000000:3:18414845:18425458:-1 gene:ONIVA03G23570 transcript:ONIVA03G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPLHHQIIKVARDEDFRSRIGNDGRYFDLVDFSAIDVFYVPNSLTIYEFKIPYRFNLSQGTLMEKFGTPVQCQHLWWWARRLNKTYRVDRPLTTEEEKLSYVGSLYVKVSSRPSDILPKLRSLAGFCASEPIELYEVRFCTDANRFPDSEINRFISFVLVSHFDKQEIKFDPSVMCEAIDIHLTFSDSGITTGDIICYQKSLPQNWRIYSSVASFLQHVCDHKEEEWKRHILEEEIAVLKRQADTDRLQKDESMTVCDQLKHERDNAVRQVNELCDQSTPVILNFSRKDLEQAIEHFKNTGDFGDTEYGHLYKGMIHYTIVAIKLSSSQSLFQQEISILRQWRHPNIITIIGACSEAFALIYEWLPNGNLEDRIVCTNNSPPLSWHNRTQIIGEICCALLFLHSNKPTALVHSDLRPCNILIDANYRSKLCNFGLSNLFLQPGTCPPNLMARLPYMDPEFNTTGEVTTLSDVYSLGVIILQLLTEMPPLTLSEKVAEALESDSLHLLIDKSAGDWPYIQAKQLALIGLSCTEMTRKKRPDLLTKVWKVVEPLTRKPLAATWPYLQSATGDSCVPSAFICPISLEIMKDPQMASDGFTYEAEAIRSWFDRGNILGLR >ONIVA03G23570.2 pep chromosome:AWHD00000000:3:18414845:18425458:-1 gene:ONIVA03G23570 transcript:ONIVA03G23570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPLHHQIIKVARDEDFRSRIGNDGRYFDLVDFSAIDVFYVPNSLTIYEFKGTLMEKFGTPVQCQHLWWWARRLNKTYRVDRPLTTEEEKLSYVGSLYVKVSSRPSDILPKLRSLAGFCASEPIELYEVRFCTDANRFPDSEINRFISFVLVSHFDKQEIKFDPSVMCEAIDIHLTFSDSGITTGDIICYQKSLPQNWRIYSSVASFLQHVCDHKEEEWKRHILEEEIAVLKRQADTDRLQKDESMTVCDQLKHERDNAVRQVNELCDQSTPVILNFSRKDLEQAIEHFKNTGDFGDTEYGHLYKGMIHYTIVAIKLSSSQSLFQQEISILRQWRHPNIITIIGACSEAFALIYEWLPNGNLEDRIVCTNNSPPLSWHNRTQIIGEICCALLFLHSNKPTALVHSDLRPCNILIDANYRSKLCNFGLSNLFLQPGTCPPNLMARLPYMDPEFNTTGEVTTLSDVYSLGVIILQLLTEMPPLTLSEKVAEALESDSLHLLIDKSAGDWPYIQAKQLALIGLSCTEMTRKKRPDLLTKVWKVVEPLTRKPLAATWPYLQSATGDSCVPSAFICPISLEIMKDPQMASDGFTYEAEAIRSWFDRGNILGLR >ONIVA03G23560.1 pep chromosome:AWHD00000000:3:18407127:18407762:-1 gene:ONIVA03G23560 transcript:ONIVA03G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRRWWEDAQPGRDGAAALVETLDHQDTDCGDDGGGSADDDGWRNNVQRRGGGVIVIRGATAGLARWSLGGPRVGNFVSCHGAICVADGEDRGSGCGRGRWRRMGGGVRAAVEGYDGGGRGRWRRAPRGEERGGGEGERSKGTTAERRHAGRSEEARRTEAEWRRQRRRLGERVGFEDLSLRRWIECGGGGVRSRDGTGGVIARGRWRG >ONIVA03G23550.1 pep chromosome:AWHD00000000:3:18401953:18409994:1 gene:ONIVA03G23550 transcript:ONIVA03G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MDAAALGAAAGAPNPAAPVGGDQQPRTERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDAIARANPNASPKWQDVLGQFSMVSMELFNIVEDIKKVSKVFVVYPRNTRIDMIGSACETAEKVIAESRKNYGLGARQGANLGPTLDKAQAAKIQEQEGLLRAADCVYRETKGRCVYPKNTSTFVPNVVNAQGNPMQVSGGQLLGRPAPSPGATGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTAQQHRQKLMQTSQQQQLHAQQQLRPSAAGMLAQSAIPQLQDLQGQSQQKLQVPGQQQMQYNQALSQQYQNRQMQAGRMQPGMSQSQLNQGNQLRSHISQFTGAANNAMFTAAQASSNSQMMANIPGSMQSQSLLPQMQGLNQYSLTGGHPQRSHPSQMLTDQMFGMGGANSTSMMGMQQQQQFNMQANAQNLQQGMTGLQNQTQNPNFPQQRQQNQQ >ONIVA03G23550.2 pep chromosome:AWHD00000000:3:18401953:18409994:1 gene:ONIVA03G23550 transcript:ONIVA03G23550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MDAAALGAAAGAPNPAAPVGGDQQPRTERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDAIARANPNASPKWQDVLGQFSMVSMELFNIVEDIKKVSKVFVVYPRNTRIDMIGSACETAEKVIAESRKNYGLGARQGANLGPTLDKAQAAKIQEQEGLLRAADCVYRETKGRCVYPKNTSTFVPNVVNAQGNPMQVSGGQLLGRPAPSPGATGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTAQQHRQKLMQTSQQQQLHAQQQLRPSAAGMLAQSAIPQLQDLQGQSQQKLQVPGQQQMQYNQALSQQYQNRQMQAGRMQPGMSQSQLNQGNQLRSHISQFTGAANNAMFTAAQASSNSQMMANIPGSMQSQSLLPQMQGLNQYSLTGGHPQRSHPSQMLTDQMFGMGGANSTSMMGMQQQQQFNMQANAQNLQQGMTGLQNQTQNPNFPQQRQQNQQ >ONIVA03G23550.3 pep chromosome:AWHD00000000:3:18407112:18407567:1 gene:ONIVA03G23550 transcript:ONIVA03G23550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MIIATLSSPPSTRDYSACAISAAHPASAAFNPSPQRQILKSDALSQSSSLPPPLRLAGAAVFPIGHTNRAMATHKIPHAWTTKAPSR >ONIVA03G23550.4 pep chromosome:AWHD00000000:3:18407112:18407915:1 gene:ONIVA03G23550 transcript:ONIVA03G23550.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MIIATLSSPPSTRDYSACAISAAHPASAAFNPSPQRQILKSDALSQSSSLPPPLRLGPPRLLAPPCRRSSAIALQSVPQWSRAQLATPPRRATVVKPSADTEPPSLSLLCESSSVATVGRG >ONIVA03G23550.5 pep chromosome:AWHD00000000:3:18407112:18407567:1 gene:ONIVA03G23550 transcript:ONIVA03G23550.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MIIATLSSPPSTRDYSACAISAAHPASAAFNPSPQRQILKSDALSQSSSLPPPLRLGPPRLLAPPCVAPLRRPGAAVFPIGHTNRAMATHKIPHAWTTKAPSR >ONIVA03G23550.6 pep chromosome:AWHD00000000:3:18406762:18407915:1 gene:ONIVA03G23550 transcript:ONIVA03G23550.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MPSAEGKTSCGWPNSTAATAAFFLRRSSAIALQSVPQWSRAQLATPPRRATVVKPSADTEPPSLSLLCESSSVATVGRG >ONIVA03G23540.1 pep chromosome:AWHD00000000:3:18394109:18400419:1 gene:ONIVA03G23540 transcript:ONIVA03G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTCSSNTKKSSWLRGSGRIELYKEIKFDPWVLCEAIDIHRTFSENEIVTGDIICYQKILKTQDLPKYHSVASFLQHICDQKEEEMKRQILEEKIAGLEHQASADRLEKVETLIAYDQMKHERDNAVRQVNELRDQSTHAILKFSRCDLEQATEHFTDACKVGDTEYGRTYKAIMHGTEVAIKLSSTESLFQQEKVATELESDNLHRLIDRSAGDWPYKEAKQLAVLGVRCAEMAREKRPDLLNDVWRVVRPLMRKPSSCPYFPPASPEVCVPAPFICPILMEIMKDPQVASDGFTYEGEAIRRWFDSGNNRSPMTNLVLPDLKLIPNRVLRSSIHEYLRQQKQQQQQEEGSVT >ONIVA03G23530.1 pep chromosome:AWHD00000000:3:18362251:18363588:-1 gene:ONIVA03G23530 transcript:ONIVA03G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLEVAATASSAWLCCPNHHIHTSSSRSRKHLLLHGLYGSAPARTRYSSCNFIHNVMSRYYICFVVNGGEPCRGRRPPVWSAAAATAAAPADTAASARREQVEIARSLNAWVEENMLPLLTPVDSAWQPHDFLPCSAAGGGEALAAFTEGVAELRAGAAGVPDEVLVCLVGNMVTEEALPTYQSMGNRAEGLADGTGVSPLPWARWLRGWTAEENRHGDLLNRYLYLSGRVDMRQVEATVHRLLRNGMEMLAPASPYHGLIYGAFQERATFISHGHTARLAGQHGDRALAKICGVIAADERRHEAGYTMASARLFELDPDGMARALAEVMRGKVTMPGQLMSDGRDGDGEHSLFARFSAVAERAGVYTARDYGDLVEHFVRRWRVAELAAGLSGEGRRAQEYLCGLAPKIRRMEELAHRRAARIEPAMARFSWIFDRPVMLG >ONIVA03G23520.1 pep chromosome:AWHD00000000:3:18354395:18357721:-1 gene:ONIVA03G23520 transcript:ONIVA03G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Oligosaccharide biosynthesis prote /.../14 like (InterPro:IPR013969); Has 640 Blast hits to 640 proteins in 277 species: Archae - 4; Bacteria - 281; Metazoa - 94; Fungi - 127; Plants - 57; Viruses - 0; Other Eukaryotes - 77 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G18230) TAIR;Acc:AT4G18230] MGPDVSMAVCCAFPVLVSLLLVRFAYVMYHSGHMPSKLSASAAGMRSLIVLGSGGHTAEMMNVVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEQSLVRVEVDKEESVENAQFVQIYRSREVGQSYITSIATTLLATSHAIWIIIRIRPQVIFCNGPGTCIPLCVSAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRACYAGRLIKYRLASQDLYRPVC >ONIVA03G23520.2 pep chromosome:AWHD00000000:3:18355079:18357721:-1 gene:ONIVA03G23520 transcript:ONIVA03G23520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Oligosaccharide biosynthesis prote /.../14 like (InterPro:IPR013969); Has 640 Blast hits to 640 proteins in 277 species: Archae - 4; Bacteria - 281; Metazoa - 94; Fungi - 127; Plants - 57; Viruses - 0; Other Eukaryotes - 77 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G18230) TAIR;Acc:AT4G18230] MGPDVSMAVCCAFPVLVSLLLVRFAYVMYHSGHMPSKLSASAAGMRSLIVLGSGGHTAEMMNVVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEQSLVRVEVDKEESVENAQFVQIYRSREVGQSYITSIATTLLATSHAIWIIIRIRPQVIFCNGPGTCIPLCVSAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRACYAGRLM >ONIVA03G23520.3 pep chromosome:AWHD00000000:3:18357725:18360769:-1 gene:ONIVA03G23520 transcript:ONIVA03G23520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Oligosaccharide biosynthesis prote /.../14 like (InterPro:IPR013969); Has 640 Blast hits to 640 proteins in 277 species: Archae - 4; Bacteria - 281; Metazoa - 94; Fungi - 127; Plants - 57; Viruses - 0; Other Eukaryotes - 77 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G18230) TAIR;Acc:AT4G18230] RQRHCGSTSLLSGRPLHRPVPSFPLSSGGAEARRDSARGGAAAAQRRRGVAAAHRRSTQAESVSETHVERNSESIQDTGVGTAGCGCERAIAAGGGRYGGDGDEGGSAAEGCRVVAECGARRLRSSTAANNDAGRLTGGAGGKP >ONIVA03G23520.4 pep chromosome:AWHD00000000:3:18357749:18359202:-1 gene:ONIVA03G23520 transcript:ONIVA03G23520.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Oligosaccharide biosynthesis prote /.../14 like (InterPro:IPR013969); Has 640 Blast hits to 640 proteins in 277 species: Archae - 4; Bacteria - 281; Metazoa - 94; Fungi - 127; Plants - 57; Viruses - 0; Other Eukaryotes - 77 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G18230) TAIR;Acc:AT4G18230] LVGCCVQDTGVGTAGCGCERAIAAGGGRYGGDGDEGGSAAEGCRVVAECGARRLRSSTAANNDAGRLTGGAGGKQNPA >ONIVA03G23510.1 pep chromosome:AWHD00000000:3:18351275:18352027:1 gene:ONIVA03G23510 transcript:ONIVA03G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G39250) TAIR;Acc:AT5G39250] MENGLSDEVLKAVFPLLDGKDLVFCMLVCRQWREIAKDDYFWKCICARKWPSICKQPPSDANYQKLYLTFSKPRTPQHLPVPKLTFEDLVFYIDMWLDGSLIFSQAVSGCILRGGLQNTPCGIPDVLVAHLTAPDCILMMEVEPKLEITMGPSITVSVLAHRKDTKKMACVINKSTFDYIDSNAARALAYEYLRFSPRYPFISDIRAWMSLLFLYKGTNVIEVFGIELDFCDAARSETEILWLLDMLDWK >ONIVA03G23490.1 pep chromosome:AWHD00000000:3:18343775:18346255:1 gene:ONIVA03G23490 transcript:ONIVA03G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVVTAAEGAVKTLLGKLGSFLSQEPRLLGGVRGELQYIKDELESMNAFLQNLAATSSHSVQVKIWMKQVREMAYDAEDCIDEFQHHFGGYCGNGIVGSAIELSCKCKSLRFVLEMYARYSGANAIVDASDSKNTTTSISTCLSLDPRQVIGFIQDDLLVGINNRRDRVLTYLRVDSDQELRVISIFGFGGLGKTTLAKAIYDSPQVKNGQFHCQAFVTVSQKIDLKALLRDMLGQLIPPASDQHVSSDIEDEHLKAIEVWDNHVGSVIIVTTRIRSVANYCSHLQHDYSYEIEPLNEIESKELFLRRLFGQLHECPQNIQKVSESVLKKCGGMPLAINSIAGLLASRPVKSLEEMQNLQNSLGSEMDSFSTMEKIKQILLLKDYKIKRKNVVRQWVAEGFVSDKRGQSAEQVAESYFAEFINRSIVQPLDISDSGKVKTCRIHDIMLEVIVEMSVEQNFISLMGDQHTMISYDKVRRLSLHGHGAYNLSTSLELSHIRSLSSFGDMPRALRFDRARLLRVLDLESCEFLRNRQLHHICALFHLKYLSLRRAHNIDRLPRKIKKLQSLETLDLRGKGIDKLPASFIELENLVHFRSGSTYLPHGFGRMKSILTLGLIEISDGTSWRIEEIGCLMQLEKLRIWSRDGMNEENWESLLTVIENLSRRLLSLSIETDRRTCSLPLDFSSSPPLLLRSLLLYGSLEALPSWMASLDNIVKLTLGGTKLEEDDIQILQKLPRLFSLRLWFAFAVEKFVVAP >ONIVA03G23480.1 pep chromosome:AWHD00000000:3:18337786:18341916:1 gene:ONIVA03G23480 transcript:ONIVA03G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GP70] MCSTTGEVTAAASAPRGLLAGAAGARRLSVPAATSPLSPDNDVLQSADGTFSCGFLTIYSNAFAFSIWYTNSKNKTVVWTANRGRPVHARRSVVTLQKDGAMVLKDYDGTVVWQSDSNSIDVQYAQLLDTGNLVMKNSSDPDRGEYGNKRNRYNNTRMGFLDDNGDFVSSDFADQQPFSASDKGSGIKRRLTLDHDGNLRLYSLSNGEWLVSWVAISQPCNIHGLCGPNGICHYSPTPTCSCPPGYEMNSHGFQYLKGEGTCFPKSFLFNGRAYPSHFVSPRSMYLKIPISMNISGMPVSQSNVLDSRKHSLNCDQMDEKTRELFPDVHKTSQGETRWFYLCGFAGAIFILEVFFIGFAWFFVSRWDLDALEIQAVEQGYKVMASNFRRYNYKELAKATRKFKCELGRGGSGIVYKGTLDDGRVVAVKMLENVRQCEEEFQAELRIIGKINHMNLVRIWGFCSENSHRMLVTEYIENGSLANILFNENILLEWRQRFNIAVGVAKGLAYLHHECLEWVIHCDVKPENILLDGNFEPKIADFGLAKLLNRGGSNQNVSRVRGTIGYIAPEWISSLQITAKVDVYSYGVVLLELVSGKRVLDLATNANEEVHVVLRRLVNMFVNNLSGNEPSWIAEFVDCRLSGQFNYTQVRTMITLAVACLDEERSKRPTMESIVQLLLLVDESCSSNVLCPEMPTRWTTGHAKANASFCIHSL >ONIVA03G23480.2 pep chromosome:AWHD00000000:3:18337718:18342142:1 gene:ONIVA03G23480 transcript:ONIVA03G23480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GP70] MKQGGMRRNWTYCSFGHPFNPPMAPFFSILPALSFLALLPIVMSRDKIPLKSSLSVDDYQNDVLQSADGTFSCGFLTIYSNAFAFSIWYTNSKNKTVVWTANRGRPVHARRSVVTLQKDGAMVLKDYDGTVVWQSDSNSIDVQYAQLLDTGNLVMKNSSDPDRGEYGNKRNRYNNTRMGFLDDNGDFVSSDFADQQPFSASDKGSGIKRRLTLDHDGNLRLYSLSNGEWLVSWVAISQPCNIHGLCGPNGICHYSPTPTCSCPPGYEMNSHGNWSQGCKAIVDISCSVAKVQFKFVHLPDTDFWGSDQQLVNHVSWQACMNICRSDCNCKGFQYLKGEGTCFPKSFLFNGRAYPSHFVSPRSMYLKIPISMNISGMPVSQSNVLDSRKHSLNCDQMDEKTRELFPDVHKTSQGETRWFYLCGFAGAIFILEVFFIGFAWFFVSRWDLDALEIQAVEQGYKVMASNFRRYNYKELAKATRKFKCELGRGGSGIVYKGTLDDGRVVAVKMLENVRQCEEEFQAELRIIGKINHMNLVRIWGFCSENSHRMLVTEYIENGSLANILFNENILLEWRQRFNIAVGVAKGLAYLHHECLEWVIHCDVKPENILLDGNFEPKIADFGLAKLLNRGGSNQNVSRVRGTIGYIAPEWISSLQITAKVDVYSYGVVLLELVSGKRVLDLATNANEEVHVVLRRLVNMFVNNLSGNEPSWIAEFVDCRLSGQFNYTQVRTMITLAVACLDEERSKRPTMESIVQLLLLVDESCSSNVLCPEMPTRWTTGHAKANASFCIHSL >ONIVA03G23480.3 pep chromosome:AWHD00000000:3:18337786:18342028:1 gene:ONIVA03G23480 transcript:ONIVA03G23480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GP70] MCSTTGEVTAAASAPRGLLAGAAGARRLSVPAATSPLSPDNDVLQSADGTFSCGFLTIYSNAFAFSIWYTNSKNKTVVWTANRGRPVHARRSVVTLQKDGAMVLKDYDGTVVWQSDSNSIDVQYAQLLDTGNLVMKNSSGKVVWQSFDSPTDTLLPTQKITAATKLVSTTGLYVPGHYTFHFTDSSILSLMYDDADVHEIYWPDPDRGEYGNKRNRYNNTRMGFLDDNGDFVSSDFADQQPFSASDKGSGIKRRLTLDHDGNLRLYSLSNGEWLVSWVAISQPCNIHGLCGPNGICHYSPTPTCSCPPGYEMNSHGNWSQGCKAIVDISCSVAKVQFKFVHLPDTDFWGSDQQLVNHVSWQACMNICRSDCNCKGFQYLKGEGTCFPKSFLFNGRAYPSHFVSPRSMYLKIPISMNISGMPVSQSNVLDSRKHSLNCDQMDEKTRELFPDVHKTSQGETRWFYLCGFAGAIFILEVFFIGFAWFFVSRWDLDALEIQAVEQGYKVMASNFRRYNYKELAKATRKFKCELGRGGSGIVYKGTLDDGRVVAVKMLENVRQCEEEFQAELRIIGKINHMNLVRIWGFCSENSHRMLVTEYIENGSLANILFNENILLEWRQRFNIAVGVAKGLAYLHHECLEWVIHCDVKPENILLDGNFEPKIADFGLAKLLNRGGSNQNVSRVRGTIGYIAPEWISSLQITAKVDVYSYGVVLLELVSGKRVLDLATNANEEVHVVLRRLVNMFVNNLSGNEPSWIAEFVDCRLSGQFNYTQVRTMITLAVACLDEERSKRPTMESIVQLLLLVDESCSSNVLCPEMPTRWTTGHAKANASFCIHSL >ONIVA03G23470.1 pep chromosome:AWHD00000000:3:18322879:18324103:1 gene:ONIVA03G23470 transcript:ONIVA03G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDMSWWWAGAIGGVRKRHGEHAGDASRPFQSVALVVGSTGIVGTSLVDILPLPDTPGGPWKVYAVSRRPLPPWSPPPSPAPVTHICVDLADPAAVSAALAPLSDITHVFYVAWAPHFFEEDQNREANSRMLRNVLSAVVPNCPVLVHVSLQTGSKYYIGPPESIGKFTIETPFSEDMPRLDNCPNLYYDQEDALFDAVSRSRRRGAAVISWSVHRPSLVFGFSPQSAMNVVCTLCVYAAICRKEGGRKLRWPGSLGAWEGFSNASDADLVAEQHIWAAVDPAARNEAYNCSNGDVYKWKQLWTVLAGRFGMEWSGYEGEESRPDGGGIVREEGLVAAAELDQVANWWFVDALFMDKWEFLDTMNKSKEHGFLGFRNTVKSFGTWIDKLRLYKIVPSCRIVSSL >ONIVA03G23460.1 pep chromosome:AWHD00000000:3:18311414:18311779:1 gene:ONIVA03G23460 transcript:ONIVA03G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAADPAMGRHEVADPEETSGRWIQRQVVREVADPATDVAAETPSGGGSTAAKSPLLPPPLPPPSPPPLPFSDLARGEWWRLRRGFATAVARRRRWRPVGLGNSGFKAEAVEADRARLQRR >ONIVA03G23450.1 pep chromosome:AWHD00000000:3:18305400:18307230:-1 gene:ONIVA03G23450 transcript:ONIVA03G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAALALVLAVLLLSSTLAASDFCVCRSEQPTALLQKAIDYSCGQGADCTSILSSGGCYNPNTVAAHCSWAANSYFQKFRASGATCDFGGAATLSSSDPSFSGCTFPSSASAAGTTGLSPGVGTGTGTLSPGGGIGTGTNGTGMGSALSPPGTSNFDGAAAAAGLLPRAEPAIFFTVLLLSFLALP >ONIVA03G23440.1 pep chromosome:AWHD00000000:3:18293787:18303213:1 gene:ONIVA03G23440 transcript:ONIVA03G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAQRLLAASTKIIGVGRNYVAHAKELGNPVPKEPLLFLKPTSSFLHAGVAGAAIEVPGPVESLHHEVELAVVLSQRARDVPEASAMDFVGGYALALDMTAREFQSAAKSAGLPWTLCKAQDTFTPISAVIPKSAVANPNDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLVDVEFDVQKRKRSFST >ONIVA03G23430.1 pep chromosome:AWHD00000000:3:18286763:18291448:-1 gene:ONIVA03G23430 transcript:ONIVA03G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT2G03510) TAIR;Acc:AT2G03510] MSDGSVESPSLHRTPPPPSPSPSLSLSRSQQQTPRGRQPPPPGADPVAFAVVAFVAICFVLISFSAPSSILHQVPEGHVGVYWRGGALLETITPPGFHVKLPWITQFEPIQVRNIPCGTKGGVMISFDKIEVVNRLHKEFVHETLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDLFDQIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPDSIRRNFELMEEERTKALIAIEKQKVAEKEAETQKKIALSEAEKNAQVSKILMEQKLMEKDSSKRQQQIDNEMITKEAEANRLKLTPEYLELRFIESIANNSKIFFGEKIPNMIMDQRMLRNYLDNGSTKDHLEI >ONIVA03G23420.1 pep chromosome:AWHD00000000:3:18283843:18285794:1 gene:ONIVA03G23420 transcript:ONIVA03G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFRFSISILLFLVCSLLRVRLEVEPTYTIIRLFIHIRYSIIRPHTRSLSRLLEALAPPIRARRLAALRPRPRRFALAPPPRAPTPSPPRRSPTAWRVRLRCRRREDSSAAAGGATGRAGPRPARAGSRTRPGPTLLPLISAAARVGSRRPATSPGSRSRSHPAPLPAPVRRSPGSTAATATARTWRRCSPVDVCHNQSLDFKT >ONIVA03G23410.1 pep chromosome:AWHD00000000:3:18282852:18283786:1 gene:ONIVA03G23410 transcript:ONIVA03G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVLRADDGGEGSTFALYFLICRHVRARLLLPCVGASEELAVAGQCVDGASVGAAAGAAGEELVVVGQCIDGAGVGAAVPRSCQPCTRAGAATCPAETVVAARDVYGQVSLLFK >ONIVA03G23400.1 pep chromosome:AWHD00000000:3:18247858:18253420:-1 gene:ONIVA03G23400 transcript:ONIVA03G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARPAASAASFYISTRQMKWFVGYVAVVMTKIEWQNVFGAMSINIVVTYDVPDEWCCCECQNKSNWDQTPSQGGQTIRNRVHQDSLKIPNKFENAKVKYISYEEVSLLNNNERPPNCRSNFHVRRTNSHVRPASPPNAKQSSSRSDNRAYSQFHRKFPNGQQSPCRSDTQGPFLKRGDGASQNQTEIAGINMKQKAQSGEMLRPCHRSRAIRGKFDFQVQNEQREKKVVSADKVTMNPQSRDDPREKSGSNVTGTDIGRGSEMSPDNDIGMLVVINSSVEYARQPPPEICWTGCFLVSNGSNCNPADFKAYCPSKVSSKVLNVIKSMPSIIELDILPRMDEWPKSFEINPPVYEDIGLFFFSTELDRNGKSQSHVMETSCNFVMRAYINNIKLLIYSSEVLPPDSQWIDGESYLWGVFVDPKRRHKSMPFGSISA >ONIVA03G23400.2 pep chromosome:AWHD00000000:3:18247858:18261089:-1 gene:ONIVA03G23400 transcript:ONIVA03G23400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPWSKGNTESNPIRAQHHGVQNEMVCGICGSGHDQDRMAKCIRYCFPVVTYDVPDEWCCCECQNKSNWDQTPSQGGQTIRNRVHQDSLKIPNKFENAKVKYISYEEVSLLNNNERPPNCRSNFHVRRTNSHVRPASPPNAKQSSSRSDNRAYSQFHRKFPNGQQSPCRSDTQGPFLKRGDGASQNQTEIAGINMKQKAQSGEMLRPCHRSRAIRGKFDFQVQNEQREKKVVSADKVTMNPQSRDDPREKSGSNVTGTDIGRGSEMSPDNDIGMLVVINSSVEYARQPPPEICWTGCFLVSNGSNCNPADFKAYCPSKVSSKVLNVIKSMPSIIELDILPRMDEWPKSFEINPPVYEDIGLFFFSTELDRNGKSQSHVMETSCNFVMRAYINNIKLLIYSSEVLPPDSQWIDGESYLWGVFVDPKRRHKSMPFGSISA >ONIVA03G23400.3 pep chromosome:AWHD00000000:3:18247858:18253420:-1 gene:ONIVA03G23400 transcript:ONIVA03G23400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARPAASAASNEMVCGICGSGHDQDRMAKCIRYCFPVVTYDVPDEWCCCECQNKSNWDQTPSQGGQTIRNRVHQDSLKIPNKFENAKVKYISYEEVSLLNNNERPPNCRSNFHVRRTNSHVRPASPPNAKQSSSRSDNRAYSQFHRKFPNGQQSPCRSDTQGPFLKRGDGASQNQTEIAGINMKQKAQSGEMLRPCHRSRAIRGKFDFQVQNEQREKKVVSADKVTMNPQSRDDPREKSGSNVTGTDIGRGSEMSPDNDIGMLVVINSSVEYARQPPPEICWTGCFLVSNGSNCNPADFKAYCPSKVSSKVLNVIKSMPSIIELDILPRMDEWPKSFEINPPVYEDIGLFFFSTELDRNGKSQSHVMETSCNFVMRAYINNIKLLIYSSEVLPPDSQWIDGESYLWGVFVDPKRRHKSMPFGSISA >ONIVA03G23400.4 pep chromosome:AWHD00000000:3:18253696:18261089:-1 gene:ONIVA03G23400 transcript:ONIVA03G23400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPWSKGNTESNPIRAQHHGVQIKGDFANNKTCSKHELDPGDEIDWTVCSIVGQKAHSTEIWPGLGTTRLNCCRARAGPTRLLGQKK >ONIVA03G23390.1 pep chromosome:AWHD00000000:3:18229768:18231196:1 gene:ONIVA03G23390 transcript:ONIVA03G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGSSLSHDALVDNIVTTVADAMRACYQPPPGLKVDDVITKSIADSAADKASAAVRDALSTHLPAPPAASDLPPSTETTSPSPSQRSRRCSPVSPTAYRSQLQPPAPSQLLLEEITKGHRPASEPATAFVASTAGARTAQNIPNNQGGGGGGGSSGNNSRNRRRGRGNGGGNSGQGSGHGGQTAGSQGGQGTGGQLAGQQASAPRQAQQWPTPFNPWAGTIHMWPGQASSILGRPPFAGAVFPGLQTSPAYSAGLGQFGQPGALPPHASLPPLQ >ONIVA03G23380.1 pep chromosome:AWHD00000000:3:18220078:18227111:1 gene:ONIVA03G23380 transcript:ONIVA03G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPEAELPVQAAAAAAPIDWMWYTVHLTVEEIERITARVEAVTTALDAIRPALDMAVGLLGEDIYAAEILDDYMLAALVPAGAGQAPLPDATLDAAARTFATVSSGAPLLPGSILDVGNLISAAYDIVDQPPPDAPTPDGLLNDAITDLQAAFADGGLLTNVRNSTTAPRTSMFNRSTPIRPQQANYFATDALAMLNVVAWEAMDAMELIRSHCLVPSPERNEHMRELERCLLTAIKYIDKAIAAVGLVHGEETMVDWSGVARKMVMRIESIESRLGAATRYAEATLDTLDYAAGLLQEDTDAADTLAADFFAVLDLDAPAAADHEDEGESEALIRRLPDQASVDAAAARLAAVVFSGAPVLPDNILISRDLIAGVCVFRHDVAGLLQNARLHLGVAIDRSNTLNHMIRSSSSLADRPAGTGSPGASQDWMDYQERVVELGSDAELRLFAAVKAAMDAQGAHPLCVVRSPQHEEHMEEAKQHLRNATCELDGALAALLEMRRDVESQEILVRRWGAAEAEASAREAAHRRRAAAPTATDGGGDGPGRWGIAQQVAHDLALLRRHAIEHPCRKCDARGAKAATLLREDIHASKILVEDAFAVVPARDDLDPDRVLRGARCSQGRSAQPWTSSPACAPSRRRRSSGHSGPERNAQRLLSVVGNDHDKAGAATASSTAPRNSASKSGARLTAETWLSSAISDAQIALRQHRIYKELPSLSPGERARETWKVEEIVSTAINEVDAMSVAVRQMRVAVEEHAVREAIDDAAP >ONIVA03G23370.1 pep chromosome:AWHD00000000:3:18218609:18218971:-1 gene:ONIVA03G23370 transcript:ONIVA03G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLLLSHSSRHHPQLHRLLSLLHFFSNTGSGSGPTPPPIKLVSYVPKPQLVPEEAPAAAEEAAPSDDPRVLALAASKEIAGAAASAAVDAGGDAVREGCGALDHTGVVPSQGRAAAG >ONIVA03G23360.1 pep chromosome:AWHD00000000:3:18218831:18219726:1 gene:ONIVA03G23360 transcript:ONIVA03G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGVVAARVGEEEAEVSHGDGRVWRRLALGRPAPPADGARPSGGESATAVSRWPARRSAASPERPSAVACGGLHEDARRSAMANSLGRIAAVEGVDHVRRAVAALIRPSSHHQRRRAAFRPRPSHLFAMSAAAASLT >ONIVA03G23360.2 pep chromosome:AWHD00000000:3:18218405:18219333:1 gene:ONIVA03G23360 transcript:ONIVA03G23360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGVVAARVGEEEAEVSHGDGRVWRRLALGRPAPPADGARPSGGESATAVSRWPARRSAASPERPSAVACGGLHEDARRSAMANSLGRIAAVEGVDHVRRAVAALIRPSSHHQRRRAAFRPRPSHLFAMSAAAASLT >ONIVA03G23350.1 pep chromosome:AWHD00000000:3:18214452:18218132:-1 gene:ONIVA03G23350 transcript:ONIVA03G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFHTAASRFPVTRTRNEAHAAAAETRLISAIWEARHAVRVHRDYQAQSRRREVAWEAKQILSTATEEVDAASVAVRRMRDALAAEEQIVREAIGEAAAP >ONIVA03G23340.1 pep chromosome:AWHD00000000:3:18204423:18211870:-1 gene:ONIVA03G23340 transcript:ONIVA03G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGVATKAEAEAEAEAVANHLAELRARLAMILSDAEAARATLDEAAGLLREEIRATDHVLLARAFSAIAPRDGPDHLAAAAKLAARMAVPVQPVEAEAAAAAAEVMAATAIAQEAEAVLVAVRDQLQVIRLIARAARATLGEAGRLLREDIRDAKILAADALAVVPALNDRDPQATLAAAAELVASVFSEAPVLPGAIGAAMDLVASVYAVPPPATGPLQEVRDLLGTVSDDHDRARNLFADCRPYLGIEEEGESWEAWTSHRSQALLNGYAAEMRLNRAIWEAGQAVRVHRFYQVGSPRRGRRMKEAWKLKEIMRTVMEEVDAVIAAVVHMRYSIAGEIQIDMDATETLFADAFATVPAPDDRDPKATPHSGHKARRLRVRRGPAAPWGDRCSHGWTSSPACTLSRHRNLGHSRAGAPGYSSRRWPTNIRPRRSSSPTATPLLGVEVKDKKWQEGIATMAEANAHLFTVEVRLQFAICEVQNAVRVHRLYRHPRLLRLSRGVRMREASASKLEQIVSTAIEEVDAALDAIRESLKIIDDAAPQECGGGGGGRGAEGDGLARDRAEGGGQH >ONIVA03G23330.1 pep chromosome:AWHD00000000:3:18201719:18202307:1 gene:ONIVA03G23330 transcript:ONIVA03G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAGASRYDFDRFGVIFHPSPRQSDCMIITGTLTNKMVPALRKSVHLPPLGCSDLALLCSELGIWAMRNGGGNLDA >ONIVA03G23320.1 pep chromosome:AWHD00000000:3:18196952:18197812:1 gene:ONIVA03G23320 transcript:ONIVA03G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVVEQPVQAAATDWMGRLHVTAEGLRDIGALVAAAATRIQAARAALGEAAGLIGEDASAAETLDADVWSALAHAGQAPIPDATVDAAAKLLATVSSGAPLLPGAIRAAGDLISTVFEIEIDIDDQAAAAAPTGLLSEAIRDLSVAFGLGSVHNNVEFHFLTCGPYLHVRAGDLTDLTWFAWSKQTERAKRLATEAELWINAAAWEAKDAAERARSHCLVQSPERNEHMGELQVSLLMATRYADKALAAVDMVRDAVESMDQTLHQAIGNSHIPDPYHPMPIWL >ONIVA03G23310.1 pep chromosome:AWHD00000000:3:18192384:18195176:-1 gene:ONIVA03G23310 transcript:ONIVA03G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLRKRVDAELRGASFTLNRKDFRSKAIMYPQMEGLGKFSHLIDLDFMGELTACLKKLSGYTDHHSEILHDNTLSTSQHLQCCIIVFNVGRSNLEALNVDLEDFFLQLFNHILEYRPDRLEFY >ONIVA03G23300.1 pep chromosome:AWHD00000000:3:18187585:18191169:1 gene:ONIVA03G23300 transcript:ONIVA03G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGVFGGGGGERRAANGSGSAVGGESDEIELSLGLSLGGRFGTDMSPDAKRARLARSSSIASVCSVSAADGDPSPAAPLPLLRTSSLPTETEEERWRRREMQNRRRLEARRKRLERRISVGSSSVPNKLGREDGGDGAVNRLQLRRSIGSQGSSSANPQDQGPDGSAICQSTEARSPSTSDDTNQNSALPPTASTGKPLNGTVTQQSPLRTLGSLTMRTSSTGDIGKIMMDMPMVSSKVEGPNGRKIDGFLYKYRKGEDVSIMCVCHGKFHSPAEFVKHAGGGDVSNPLRHIVVNPSPSVFL >ONIVA03G23290.1 pep chromosome:AWHD00000000:3:18172889:18177475:1 gene:ONIVA03G23290 transcript:ONIVA03G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAGTPATPISPQVISGAFVQQYYHILHETPDQVYKFYQDASIVGRPDSNGVMKYVSTTADINKIILSMDFSNYLTEIETADAQLSHQDGVLIVVTGSLTSEGICRRFTQSFFLAPQESGGYVVLNDIFRFIVERPPVAISQVSQENENNQNTATLPETDPNPAGDGMISEPVAVENNVAEGEVTNSMVDGTSIENNATAAVEPPVQMTKEEPRKISVAAPPPPAQKDVTKKSYASIVKVMKEVSLTPVVKPKPAPKHVVKTVEASEKPSVKSSQTVEITPNDNNDAENNTSNDEQGYSVFVKSLPHNVTVQTVEEEFKKFGAIKPGGIQVRNNKIDRFCFGFIEFESQQSMQAAIEASPIHMGGKEVFVEEKRTTTRVVNGVVITRGDNGNAGGGGRYQSGRGGYRGDNFRGRGGGYANSGNYRGGDNFSRRNDLRNRNEFSGRGRGPPPGNGYQNNGFHPARPFQNGNGRFTRVNGPRQTPVAA >ONIVA03G23280.1 pep chromosome:AWHD00000000:3:18157606:18162906:1 gene:ONIVA03G23280 transcript:ONIVA03G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRMMRAFAQEASDFDRQMGCMAGMFQIFDRRRLLTARQRGGARGAAPPGHVLPNSNSNVSIQNPVASNNTLDKTFSKSMTENSSLSMESSRASSSSSSCSSFSSTDINRPIQQELSYINKERFAGKPPRSSQTKSVKCSKTEAKTKDPHTGFRDIVKESINRETHGMTIKTSTKESRKGLHKDSPRPLLISKSTDGTYVIGIDRSTGVPGYVHESSRPPRFSCDDRQLLRSVEAQDSKKPSAKLKELPRLSLDSRKESMNPHSRLKNSGYIRTDDNLLDVLKHQELPSHQRASSVVAKLMGLEGTTPDIHETARSPTPVHGTQIDRPSHCQRIKSQDHSVPVQKNHSPVLKTNPSPRIIPEAAPWRQNERAVTGRAAEVKPRTASIYADIQRRLRGLELSECNKELRALRILSTLHKKDGPSQSDNNPELTAIQKKASEQIIDSENFQSPIVIMKPARCITKPDASDTLVAPLSRPKGIRRLRHEETSFTRKNENSDSKRNHSPNESAHSSGEEPVNSARSPRLSSSLSPRLAQKKADSERRSRPPVLPTSPGKKSKETVSPRGRLRSRHSQTKSNSDNDNVLHIPETKINLAKQIDVGVIDHPNPLNANSPYIHQSKIASTPNREEMPTILPADKKKIHPQENIPSPVSVLDATFYHEGSSPSLKRISDSFKDGETHTSDESWNPTSLPDTPPSKTSNDGNQIKAENMKALIQKLELLQMLSEEALKTDDTFSSVAANKDHQYLYEILSASGILHNKLNFQMMPHQLRPSSYPINPELFLILEQAKPDEEKLHRRLIFDLANELLAQQMDANHTVNSSVQFFQSKKLSGWQLFKDLCAEIDMIQSRSSMIRCSEEEDSRLAEDAMQGMKEWKSSDSERQGIVLAIEKSIFKDLIDEIISGVDKGKVHLTQWKLRRQLSFISI >ONIVA03G23270.1 pep chromosome:AWHD00000000:3:18157322:18159300:-1 gene:ONIVA03G23270 transcript:ONIVA03G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDENEEHDEEEEDARDDSMERLLFSVQLRGMVLVKKRRRERQQLDLRTRRYVCNGIANALQQQRRLNNARAVGQSGG >ONIVA03G23270.2 pep chromosome:AWHD00000000:3:18157057:18157655:-1 gene:ONIVA03G23270 transcript:ONIVA03G23270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCPRVPHPDPGYIYRTMLERLVNLVVKPFNLPVKKKKRGRLETKEDSATYPWFQSGARGREHVPLRSERPGHAQCSDFYGLS >ONIVA03G23260.1 pep chromosome:AWHD00000000:3:18145603:18148784:-1 gene:ONIVA03G23260 transcript:ONIVA03G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSPPLSLPPFPSHRHSLHATVAGPPLSPTLPPPRRRRCRPSPLPPFSPTPSNRHSLHAVVAAPRTPPHPRRRRRSGRRRSPRDGFRRRRGLLPPAWSLHHHRLTASTMPPPQEQGNATAFSLSRAVLPINAVSPAPPVAVQAAATSAVSTNRHTLCLLSLSISCLPTIFCRRSAAVRRDLLHTPRHVWPSQMIAGKASRPFPLIDCLIYLDTMVYSKAAVRYVLAGA >ONIVA03G23260.2 pep chromosome:AWHD00000000:3:18147269:18148784:-1 gene:ONIVA03G23260 transcript:ONIVA03G23260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSPPLSLPPFPSHRHSLHATVAGPPLSPTLPPPRRRRCRPSPLPPFSPTPSNRHSLHAVVAAPRTPPHPRRRRRSGRRRSPRDGFRRRRGLLPPAWSLHHHRLTASTMPPPQEQGNATAFSLSRAVLPINAVSPAPPVAVQAAATSAVSTNRHTLCLLSLSISCLPTIFCRRSAAVRRDLLHTPRHVWPSQMIAGKASRPFPLIDCLIYLDTMVYSKAAVRS >ONIVA03G23250.1 pep chromosome:AWHD00000000:3:18133667:18135131:1 gene:ONIVA03G23250 transcript:ONIVA03G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVSEDAGWRRREAHAVGYGELGRALLDPQAATDQVFDAVTAQAAYRFRGNAAWLNLPDNAASRGLLHASVDAKL >ONIVA03G23240.1 pep chromosome:AWHD00000000:3:18132252:18132913:1 gene:ONIVA03G23240 transcript:ONIVA03G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQGSSWAQAAERRPGERRRWGKQRHGQCPARELLPAAGGRRRREEERAVRDGAARWRAKRPRAGRWGQASSRWTRWTRSPGLLLRRILPLSTCSLSTPAPYPPSSCVLAAGRWHGSKRAVRGIGSTGSAVYEDGEDQRCRCIMSLSAPHNVAATASPPLTTSGLRLVGSLLVPVSRGGRRPLDAGCHGCCSGPPPSLGYVVRQSERK >ONIVA03G23230.1 pep chromosome:AWHD00000000:3:18125301:18126285:1 gene:ONIVA03G23230 transcript:ONIVA03G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAVVAMVATAFLAAAVHAEQCGSQAGGAVCPNCLCCSQFGWCGSTSDYCGAGCQSQCSAAGCGGGGPTPPSGGGGSGVASIVSRSLFDQMLLHRNDAACPASNFYTYDAFVAAASAFPGFAAAGGDADTNKREVAAFLAQTSHETTGGWATAPDGPYAWGYCFKEENGGAAGPDYCQQSAQWPCAAGKKYYGRGPIQLSYNFNYGPAGQAIGADLLGDPDLVASDATVSFDTAFWFWMTPQSPKPSCHAVATGQWTPSADDQAAGRVPGYGVITNIINGGLECGHGEDDRVADRIGFYKRYCDILGVSYGANLDCYSQRPFGS >ONIVA03G23220.1 pep chromosome:AWHD00000000:3:18111284:18121149:-1 gene:ONIVA03G23220 transcript:ONIVA03G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPAAAEAHDGQRERFDLGVLVGDLALDEDVASDEDESLEGLRQELDDCKDDEEVANILANGIKLRDYTKGVENNIRQIELDSIQDYIGESENLVSLHDQIRDCDNILSQMETVLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSRKIKFIEVDAMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSVLLKYKYTIVFLKEHAKEIYAEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSTDLLGVETRSTGFLFSMGKESLKTRSSVFALGERINILKEIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFSDDFFGEESLFNDIFAGPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQLIMFKRRIPCLDSYLDKVNLSLWPRFKMVFDLHLNSLRNANVKTLWEDDVHPHYVIRRYAEFTASLVHLNVEYGDGQLDLNLERLRMAVEELLVKLAKMFPKQKLQTVFLINNYDLTISILKEAGTEGGKAQVHFEEVLKSNISVYVEELLLEHFSDLIKFVKSRPSEDTASSSDKASVSDVEPLVKDFAGRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRITECVKKINGGSALNKDLVSISSILYEIKKYSRTF >ONIVA03G23210.1 pep chromosome:AWHD00000000:3:18090919:18094243:-1 gene:ONIVA03G23210 transcript:ONIVA03G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATREKGTGGGVGPTMERKLEARGDNGGNERDSGGGREAAAAAGSGCGGKGEEAEATASKWEQAVAGR >ONIVA03G23200.1 pep chromosome:AWHD00000000:3:18082533:18083563:-1 gene:ONIVA03G23200 transcript:ONIVA03G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSAGGGQSSLGYLFGGNEAPKPAAKPAPAAAPAPAPAPAPAAAVAAPAEKPSPAKADATKQIPAGIQGSRSNNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGN >ONIVA03G23190.1 pep chromosome:AWHD00000000:3:18075507:18077153:-1 gene:ONIVA03G23190 transcript:ONIVA03G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLGASTTHGYLLLLPANSTTFFSPLLAALLAVTSLLWLVPGGPAWALSRCRRPPPGAPGALAALAGPAAHRALAAMSRSVPGGAALASFSVGLTRFVVASRPDTARELLSSAAFADRPVKDAARGLLFHRAMGFAPSGDYWRALRRVSANHLFTPRRVAASAPRRLAIGERMLDRLSALAGGEIGMRRVLHAASLDHVMDTVFGTRYDGDSQEGAELEAMVKEGYDLLGMFNWGDHLPLLKWLDLQGVRRRCRTLVQRVDVFVRSIIDEHRQRKRRTGGNGGGEELPGDFVDVLLGLQGEEKMTESDMVAVLWVTKDPSDMHASIRSILCIAINGFMDIFDLARVQEMIFRGTDTVAILLEWIMARMVLHPDIQAKAQAELDAVVGRERAVSDGDVAGLRYLQCVVKEALRVHPPGPLLSWARLAVRDAHVGGHVVPAGTTAMVNMWAIAHDPELWPEPDEFRPERFAEEDVSVLGGDLRLAPFGAGRRACPGKTLALATVHLWLAQLLHRFEWAPVGGGVDLLERLNMSLEMEKPLVCKAKPRW >ONIVA03G23180.1 pep chromosome:AWHD00000000:3:18074772:18075005:-1 gene:ONIVA03G23180 transcript:ONIVA03G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAMLGSGKMATTSHAGGSSNTVLQGSGKTVAASHAGESSTASQGLRKTAGGGGFAHRRKQRSFAPGIYVAWPPP >ONIVA03G23170.1 pep chromosome:AWHD00000000:3:18070774:18071547:-1 gene:ONIVA03G23170 transcript:ONIVA03G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSSFAAAAAVGAPWRGVVGAGRAAVGFPPRRRAVTLVVRAQAEPEVEPTKEETITSTPSPVAAAPKAKPAASTGLWDVLAFSGPATERINGRLAMLGFVSVLAVEASRDGELLEEATSRGGLAWFAATAAVRPSRPPRRRFRVPRRRCLCPSPRRGGGCSLWSPPPSLRPVPPPAPASIRPHAEGCRFVVAAAATTASSPAAAAAASPVCADRR >ONIVA03G23160.1 pep chromosome:AWHD00000000:3:18051959:18052291:1 gene:ONIVA03G23160 transcript:ONIVA03G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQGWLPVDGKEGIVERRLVVWHVEEGGLISAVAATVIDAWHGTEKPSAAAETHLTAERMGSKRSVWADDSGRRRRRLQWRSASWRRHEGEFSKLPTPRSDSLRLHPP >ONIVA03G23150.1 pep chromosome:AWHD00000000:3:18051811:18052467:-1 gene:ONIVA03G23150 transcript:ONIVA03G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCRRLTQGHLLLALQATAVASTGLHAAASCSRSTLSLWPPLALRVAASCLMGDASIHHGGRRLSLLGVGSFENSPSCRLQLALLHCNLLLLLPESSAHTDLFDPILSAVNAGLLCAVPGVNDGCRHRADEPTLFYMPHYEASLYDAFFAINWEPSLLLRHVCVLGNNFHNYVIQAKENRSGPAANAKLTLTALQFSGEDGTRGNLAI >ONIVA03G23140.1 pep chromosome:AWHD00000000:3:18046435:18048526:1 gene:ONIVA03G23140 transcript:ONIVA03G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPSGGYRPLCLVVSCAWAMVARESCGGCARGVTEAVAMREMMTTTITIWMLSEAAVLRRKYLQEGGVFQWKKLFRPEEEARLELMTDRIKMRTIFAYKSWTVIGVQSQAVITIASKN >ONIVA03G23130.1 pep chromosome:AWHD00000000:3:18041555:18042770:-1 gene:ONIVA03G23130 transcript:ONIVA03G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLPRAIHAFYPLAGRVRPTPGETNRYELLYQPGDGVAFTVAEHDGVGVGVDELATDEPRELAKIASFVPELPEGGAVLALQVTVLPPNRRGLAVGVIVHHSACDGVGSTHFLHTWAAACAGDRMLPEPPVIDRTLIRDLPNMHDEITSSTNEAKDLFKAPDAGKLLDVLATFTLSKELLQGVKDAVAGEAARRGVPPPRCTSHVAMYGLMWHCYRRARRDDGGRAAAAAHFIFSVDHRSRLVPRVPDKYLGNCVGPGFVSAPEEELAVADAAGGVFTACATVAAAIDEAVRGELAYWEGWTERVVEACRDDAPFSVAGSTRFRVYDVDFGFGRPAKVEIVSVAKTGAVSVAEDRSGAVGIEYVE >ONIVA03G23120.1 pep chromosome:AWHD00000000:3:18034674:18036784:1 gene:ONIVA03G23120 transcript:ONIVA03G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVCQSQAVTVRALRARIYHAVAPAELLLAAFRRVSVLAEEAAAALPGDPADAECVAGSSPRRWCARIDRAIAPAELLLAAFRRVSALTKEAAEALPADPAYADGAVGFVGHVDQLCDAIEEAVARGDEAVRRVEEVVGFLGQTKAIGRSCVRRLTDAVAAALRAVYEAEAEEMRFEGPLDEALLDLQDLFEAARRRSERPATMGKKRAAGHTRHPAALLDHALQETNASIHAALDCARGYLAGKLPLRLDSGKMMGEGRKKTEKMGDGWKI >ONIVA03G23110.1 pep chromosome:AWHD00000000:3:17999079:18000512:-1 gene:ONIVA03G23110 transcript:ONIVA03G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATQMAAPPPRGDSFRVLRTARVAPSSPDGVPSLRQRAVPLTFLDAMWLPTPPVDRVFLYRLGAADDDVDAILSRLADSLSRVLHVFYPLAGRLRLTPGKTNRYELFYQPGDAVAFTVAEHDDGVGVDELAADDPREVAKIAPLAPELPDGGAVLAVQATVLPPARRGLALGVTVHHAACDGSSSTHFLHTWAAACAGAVVLPKPPVIDRTFIREREDLYDIMVNRTKEESDKFSSPDVADNKLLATFTLSGEILQNIKDIVAGVAARRGASPPPRCTSIVATFAVIWQCHIRAALASDVEAENNPRNHGRAHFVFPTDHRARMEPRVPDKYLGNGVGPCFASAPKKEIAAADAEDGLFTTCAAIAAAIDEGTRYDPGYWERCREHVRGMSTSDGPPLAVAGSPRFRVYDVDFGFGRPTKVDVVSVAKTGAISVAEGRGGGIEVGVGLPPERMERFRRCFTDAVTWLSSPSSSDT >ONIVA03G23100.1 pep chromosome:AWHD00000000:3:17989020:17995833:-1 gene:ONIVA03G23100 transcript:ONIVA03G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMVNNLAELPAPLAMVLSDADAARATLDEAAGLLREEIQATELLLAHAFSAIAPRDGPALAAAAKLAARVFSDAPLLPGAIRAAMGLVASDLEFAACAVPEEGN >ONIVA03G23090.1 pep chromosome:AWHD00000000:3:17985454:17986371:-1 gene:ONIVA03G23090 transcript:ONIVA03G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTLQVPSSFARPINRSFIGSSSSSSSSSQFSLRPKPASARLAASVAGERRLECRGGSSLHGCVDEGAAAASRRRQEQAAEIPIVLFPSVVFPGATVQLQAFEFRYRIMVHTLLQEGVTRFGVVYSGGGVGGGVAAGEVGCVAHVVECERLVDGRFFLTCVGGDRFRVVGAVRTKPYVVARVQPLADAPPSQERGGDGGGDMVRHLVERVEEQLKNVAALSDKLGWSRPPLPFRATCSPSSLSFAVAREVVEDREEQQALLRLDDAAARLAREGRYLERRSRYLAAIAAIKDALGGHLYCNDK >ONIVA03G23080.1 pep chromosome:AWHD00000000:3:17959369:17960851:1 gene:ONIVA03G23080 transcript:ONIVA03G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGLGKEQYRNSEKEICKLVLMGMEQKDMISWNNSTWGIRSSINLWVASTKYGSLQLPMMQGQKHQQMSISARHLIHRVIVLSKASKQAPYGGHAKIKPTPIQREARKMATSTLTRAVPVGGGSHR >ONIVA03G23070.1 pep chromosome:AWHD00000000:3:17952997:17954568:-1 gene:ONIVA03G23070 transcript:ONIVA03G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor [Source:Projected from Arabidopsis thaliana (AT3G13840) TAIR;Acc:AT3G13840] MSYHGQERHGGNGLDWFEESMSSLLAADVDLAGGGGDAGGGGYAWWWAASPAAQQDDIGSVVAQTLSPPSTAAPAAASPSIASPAASSPPDVPSSSSKKRKSPAHRAPGHTGGKKGGGGKGGGGGSDRDMRWAEQLLNPCAVAVEAGNLSRVQHLFYVLGELESFSGDANHRLAAHGLRALARWLPAAVGPAAAAAVRVPPCSERPTPAFAAAEPRLFRASLIRFHEVSPWFALPNALANAAIAQASTCGAAGATPRPLHVVDLGVSHGVQWPTLLESLTRQPGGRALPSVRLTVVGPGATATSPAAPFSASPPGYDFSPHLLRYAKSINLDLRISRASTLDDAVPGDDGEALVVCLQFRLGHAAAEERREVLRKARGLNPELVVLSELDSGVGVVGGDGGIAAGEFAARLELLWRFLESTSAAFKGKDVEERRLLEAEAGAILAAADVAAAGEGREGWRERMAAAGFEEAPFGAEAVESARSLLRKYDSGWEMSAPSPAAAAVALRWKGQPVSFCSLWRPAA >ONIVA03G23060.1 pep chromosome:AWHD00000000:3:17943350:17948610:1 gene:ONIVA03G23060 transcript:ONIVA03G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA binding protein associated factor 21kDa subunit [Source:Projected from Arabidopsis thaliana (AT1G54140) TAIR;Acc:AT1G54140] MDPGGLRPAPQSAAAAAAAAAAAGAGAGASAADEPRDARVVRELLRSMGLSEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKPQLDADDVRLAIQSKVNFSFSQPPPREVLLEMARNRNKIPLPKSIAPPGSIPLPPEQDTLLSQNYQLLAPLKPPPQFEETEDDNEGANPTPTSNPSNPSPNNLQEQQQLPQHGQRVSFQLNAVAAAKRRGTMDQWFVVPLYR >ONIVA03G23060.2 pep chromosome:AWHD00000000:3:17943350:17948556:1 gene:ONIVA03G23060 transcript:ONIVA03G23060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA binding protein associated factor 21kDa subunit [Source:Projected from Arabidopsis thaliana (AT1G54140) TAIR;Acc:AT1G54140] MDPGGLRPAPQSAAAAAAAAAAAGAGAGASAADEPRDARVVRELLRSMGLSEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKPQLDADDVRLAIQSKVNFSFSQPPPREVLLEMARNRNKIPLPKSIAPPGSIPLPPEQDTLLSQNYQLLAPLKPPPQFEETEDDNEGANPTPTSNPSNPSPNNLQEQQQLPQHGQRVSFQLNAVAAAKRRGTMDQLNMG >ONIVA03G23050.1 pep chromosome:AWHD00000000:3:17923532:17924256:1 gene:ONIVA03G23050 transcript:ONIVA03G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCPDWWKSTTPPRAAQALPLLAPSRGAGAGASHRIRPRRRLLSPQMSPPPPLAAEVAAAAASRRICTCRLTGSAGAASLCSPVPAPPPFLPRCTTSAQPSLLPTARHRLFPNKNHELGISFIVRRYTLLASTMLLIRFLRDFIVKYGLRKHNSCLQHIKAKKRNHWANSWSLFFIELVSGMSRLVVLFSTKFPCYRISLPSYLLD >ONIVA03G23040.1 pep chromosome:AWHD00000000:3:17908153:17914330:-1 gene:ONIVA03G23040 transcript:ONIVA03G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDTIWFPLRTEKSALERLDGYQLRLRKNEEDLRHKDDKRRVVANALKKTNAENKTLTGEVKSLRAELETANKRDTEQERQLAAAEEKIKHRMPAQ >ONIVA03G23030.1 pep chromosome:AWHD00000000:3:17904790:17907099:1 gene:ONIVA03G23030 transcript:ONIVA03G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPILEHPNGSRDGYVASMSGFLLDWAGASGDCPKCVASGGQCTYGDGLRFACNCTDGLHPEKCGARSNLKKIAIAQEEKQTRDLKDLMRSSSSMQSYSKYLELGGSPHIFTYEELEEATAGFSATRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNIVTLYGCTSRSSRDLLVVYEYIPNGTVADHLHGPRAGERGLTWPVRMTIAIETAEALAYLHAVEIIHRDVKTKNILLDNNFHVKVADFGLSRLFPFEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLIELISSKPAVDMSRSHSDINLANMALNRIQNHEVELVDPEIGCETDSETKRMLSGGRAGLSVLADGHR >ONIVA03G23020.1 pep chromosome:AWHD00000000:3:17903118:17904638:-1 gene:ONIVA03G23020 transcript:ONIVA03G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILREFGTIEGMENLLPEDVLSNIIHRLAPRYLAISRCVCKTWCTIIEAHNLLHVDLLPRPLCGIFINFNELSMSEFFSRPSKGPTVSGNFDYLPCSSCIIDHCNGLLLFHKYVVNPATRQSAPLPPCPYMVVEHIFHREYLVFDPTLSPHFEVFMIPEIRRSNVWYNMLNSDDKLDPAIEELEWPPSPCILHVFSSRTKVWEERSFVREGEAAGNVSDMRLDHPYVPDTSVYVPDTSVYCRGVLYVYCQNKYVMRISLSNGKYQVIKPPSDCEGMAYTNLYLGKSMKGVYCAVRHLASRFLIYILDESSDRMEWVCKDSCSIQPCQIIDGPGPWTLQDINNQERGFEYEDGNNEAVVEDRFEWDSDNDNVIETNSRGSGGYINFLVDTTRRGRYNSGGYIDFLGFHPYKEVIFLSDSLRRGLAYHLNSSKIQDLGSLRPTNYGTEVGIQPFIQKFFPYSPWMGRFPEDN >ONIVA03G23010.1 pep chromosome:AWHD00000000:3:17900825:17902033:1 gene:ONIVA03G23010 transcript:ONIVA03G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPEARLASKPPSLDLTNFRLQPLTPYLIGTVAKGVGVAKGVQRLVLGAVPHWCCGERCPEARLASRPPFSPLDLTHFRPQPLAPYLIGIVAKGMGVAKEARLASKPPSLDLTNFHPQPLTPYLIGTVAKGMGATKPFHPQPLTPYFIGILAKGLGMVKGVQRLGWLRSPPAGT >ONIVA03G23000.1 pep chromosome:AWHD00000000:3:17900173:17900716:1 gene:ONIVA03G23000 transcript:ONIVA03G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMLKGGTSIVLTVASFLRLPPAKITLGELAPSPWRRTSLVLWRRVWVWRKPLAPYLIGILAKAWGWRKVSRG >ONIVA03G22990.1 pep chromosome:AWHD00000000:3:17858735:17859073:-1 gene:ONIVA03G22990 transcript:ONIVA03G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFARSTDLNVGPLVVKPGINQTQGRSAAPSTPRLDAFTIPSTSIARRIVSSRALCDPNRSAATHGCRSNTSTPLNLHPPPILLADMLSIDTARNDTKPSRHAVQL >ONIVA03G22980.1 pep chromosome:AWHD00000000:3:17814679:17816472:-1 gene:ONIVA03G22980 transcript:ONIVA03G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARDDSARNDDDTEAMDHRDPRVSAVTGAEESHSKLRQGNDSGLMEQASVGNHEFQSPLPPGGRPNSSKAPELAKASEEDHGLRRGLMPPEPIKASGAHQGLRRGPWPPELAKAFGAVQGLRGRPRPSGLPEAFGVIRGLRSRAGPSEPTTTPGASRCLWSQ >ONIVA03G22970.1 pep chromosome:AWHD00000000:3:17803115:17804245:1 gene:ONIVA03G22970 transcript:ONIVA03G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLSNRFHCPAVNVERLWSMVPADKAAEAGAGKAPVIDVTQFGYTKVLGKGMLPPQRPIVVKAKLISKVAEKKIKAAGGAVLLTA >ONIVA03G22960.1 pep chromosome:AWHD00000000:3:17799770:17800657:1 gene:ONIVA03G22960 transcript:ONIVA03G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEVELLICRAHTVPPLMRPSTPWEGGRTQCMLKVAEAEGCGALGQRNWQRRMDAPELGVNMTGSNLRMLDGCGVRRAGLKRCAFEAKATAVGRARYPNVTHHCAYYHEPDEKLLPYYRLRRQALDSASPVSLPTLTRVQDDAVEEAQQCGALAA >ONIVA03G22950.1 pep chromosome:AWHD00000000:3:17758547:17763515:-1 gene:ONIVA03G22950 transcript:ONIVA03G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKERSGWGSLFRGCLSGGGAAGSRKVRPGPRTAAAAAAKHGGGASSAAAQRLSFTDVMSTASEQELSVSLVGSNLHVFTVGELKAATQGFLDGNFLGEGGFGPVYKGNVADKAKPGLKAQPIAVKLWDPEGAQGHKEWLSEVIFLGQLRHPNLVKLIGYCCEDEHRLLVYEYMAKGSLENHLFKKFPSMLSWSTRLNIAVGAAKGLVFLHDAEKPVIYRDFKTSNILLDPEYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRRAVDKTRPSREQHLVEHMRSWLKDPQKLSRVMDPALEGQYSATAAHKAALVAYRCLSGNPKNRPDMCQVVKDLEPLLNVTDDVSDKSVVPIAPVKEDNAVRKERTARRRPVNQWTVLIVARDHECGGSSSSRLDPAAGEPFGQQVSACGNTSILQTCGLQVAT >ONIVA03G22940.1 pep chromosome:AWHD00000000:3:17738821:17744579:-1 gene:ONIVA03G22940 transcript:ONIVA03G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRPKSPPAPPDPCGRHRLQLAVDALHREIGFLEGEINSIEGIHAASRCCREVDEFIGRTPDPFITISSEKRSHDHSHHFLKKFRKCVLPQLPLLDLLLQQRRRRLLILLLLLQPQEAELLLQLQLQLLLLLLLLMWGGVNEESVSLPPPQLLLPSLLLRRRRRPRVRELQLLPAVRVLRAAVRGMLVPLHLPVPVPRRLLLRVPGVQVLLRRPSLLPPLLVIDRSIERSCTDWLIN >ONIVA03G22930.1 pep chromosome:AWHD00000000:3:17725779:17726291:1 gene:ONIVA03G22930 transcript:ONIVA03G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGEVEEEEEAAAAAVVVAAACECCGFTQECTAPYMAAVRARYGGRWICGLCGDAAGEELGRADPPISPGEALDRHAAVCRARRASAPPSPEENAGDLIAAVRVLLLRRLGSGGASSPPPPPRRVVRSTPSSPRRGVGGAADASDAVVVAAASVALARTGSCFAALLE >ONIVA03G22920.1 pep chromosome:AWHD00000000:3:17725529:17726332:-1 gene:ONIVA03G22920 transcript:ONIVA03G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNSGANTKLEPCHSRSAAKQLPVRASATLAAATTTASDASAAPPTPRLGLLGVERTTLLGGGGGEEAPPEPRRRRRSTRTAAMRSPAFSSGDGGAEARRARQTAAWRSSASPGEMGGSARPSSSPAASPHSPQIHLPPYRARTAAITRTPPPPPPPPPPPPPPPPLPPPPPSETTTFYVYPQARRARALEQNPETKVTGSVQCEGAPLRRRWPAPAPARGHRRGAPLPFFLFSLLVWVCRAEEACLYTGRRKA >ONIVA03G22910.1 pep chromosome:AWHD00000000:3:17706301:17714324:-1 gene:ONIVA03G22910 transcript:ONIVA03G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFARAVEDGLKLSKRLVLPGGAPSPRPVPGMDRGLLPDAAAASSLLPSAPMAYAVVVDPAAVDSPDVPSYQPHVYGRLDPPALIPLHMREVALAVDCAAAGCAAAEVTLRARWWVHCLTRSCDCHCRIVVPMGEQGTILGAEVTVGKRSYKTHVIDVEDQGAVKIAKTESGGLLKRQFFSLTIPQVGGGEDIFATIRWSQKLLYDNGQFSVDIPFQFPQYVNPLPKVFMKKEKIQLTLNSGVSNEIVLKGSSHPLKERSRQGEKLSFFHEAVVENWSNKDFTFAYSVYSGDLSGGMLVQPSTSDDYDDRDMFCIFLLPGNNQKRKVFRNASVFIIDTSGSMQGKPLESVKNAMYTTLSELVQGDYFNIITFNDELHSFSSCLEQVNEKTIENAREWVNTNFIAEGGTDIMHPLSEAIALLSNSHNALPQIFLVTDGSVEDERNICRNVKEQLATRGSKSPRISTFGLGSYCNHYFLRMLASIGKGHYDAAFDTGSIEGRMVQWFQKASSTIVTNISIDATKYIREFEVDSEYIQDISAKCPLCVSGRYQGKLPETLTAKGYLADMSEISIELRVQHVKDISLDKVLAKQQMDLLTAKAWFYENNQLEMKVVKLSIQNSIPSEYTRTILLQNFVEKIEQGKQKPKKNSTQNEQSATSLNGLTLGFGDTAATRENLSAGFGDTKPPERFEMFDKAVGCCSRLTDCCCCMCFINTCSKMNDRCAIVMVQLCGALSCLACFECCSLCCGGSD >ONIVA03G22900.1 pep chromosome:AWHD00000000:3:17702317:17706319:1 gene:ONIVA03G22900 transcript:ONIVA03G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MALDEGSSSFRDLYRSLHTSVVLVGAAFVLVALLVSLWLILQHLRSYSNPEEQKWIIAVLFMVPVYASESIISLWHSEFSLACDILRNCYEAFALYAFGRYLVACLGGERQVFRLLENKKREELTEQLLESQDKAPVRNRSRVHIFFWDPNALGERLYTIIKFGLVQYMILKSLCAFLSSILELFGKYGDGEFKWYYGYPYIAVVINFSQTWALYCLVKFYNATHEKLQEIRPLAKFISFKAIVFATWWQGLGIAIICHIGILPKEGKHIPVVEHGEITSEESKLEVKVDSDDDSNGTPTTIEEKETHVEAPGTSIKESVQDVVIGGGHHVVKDVALTISQAIGPVEKGVEKGVGKIQDTFHHISLKPKGEKEPEVEVEEHITENTVDGEPVAVDAEVEVERTVQDDNSMEGESLVVNREVAIERTGKDNKR >ONIVA03G22900.2 pep chromosome:AWHD00000000:3:17702317:17706319:1 gene:ONIVA03G22900 transcript:ONIVA03G22900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MALDEGSSSFRDLYRSLHTSVVLVGAAFVLVALLVSLWLILQHLRSYSNPEEQKWIIAVLFMVPVYASESIISLWHSEFSLACDILRNCYEAFALYAFGRYLVACLGGERQVFRLLENKKREELTEQLLESQDKAPVRNRSRVHIFFWDPNALGERLYTIIKFGLVQYTWALYCLVKFYNATHEKLQEIRPLAKFISFKAIVFATWWQGLGIAIICHIGILPKEGKHIPVVEHGEITSEESKLEVKVDSDDDSNGTPTTIEEKETHVEAPGTSIKESVQDVVIGGGHHVVKDVALTISQAIGPVEKGVEKGVGKIQDTFHHISLKPKGEKEPEVEVEEHITENTVDGEPVAVDAEVEVERTVQDDNSMEGESLVVNREVAIERTGKDNKR >ONIVA03G22900.3 pep chromosome:AWHD00000000:3:17702317:17702844:1 gene:ONIVA03G22900 transcript:ONIVA03G22900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MPMPALLARQGRQAQPARQRQLLRSPPPHSIRRNSRTIQRRKDPSHSVCKRYMALFLRRV >ONIVA03G22890.1 pep chromosome:AWHD00000000:3:17699604:17700089:-1 gene:ONIVA03G22890 transcript:ONIVA03G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNIDGSFDNSAEKGGVGAILWDSAGKAIFVSCKPIERCSEALESELRVFVDGLSKAIQWTLLPIVVETDCLTILHLLDSKEKDRSMKRIIQEAKALVAGGDREIVIRKVSRSQNSVSHLLANKARVESCSIFWLEGNCNPLPQLVCGFIDPE >ONIVA03G22880.1 pep chromosome:AWHD00000000:3:17660922:17669118:1 gene:ONIVA03G22880 transcript:ONIVA03G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKIDQEEAVCRCRDRRKLMADAVQARNAFAAAHSAYTVLLKSTGGALSDFAQGETPDLVASHAHLAAPTQAAASVSAPPPPSTAAVLIAPSPPPPPFLDFSHATLQRSSSTPNIPMPDPKAATKTRLAAGASIREEDEVEDDADGHIRTESEDDDDDDEDDDSDDDHHEHDDVLVEEMVHGQPQKRVMMGSVGSSPVTPPPPPRPNPSPPATRTTPPPPMPEPQMATTWDYFFGPTTTPPPTLEQPPEESWMDKREKESVPEMKAPIMKPAVDGSAAPMHVAEEQPPQTALEKEKAIEQLAANLPPSKPLIRKPPKAAPGPPAEVRYQHASSMGAVETRKGKTMSGTASLLQIVAQLDDSFLKASESAHDVSKKLEATRMHYHSNHADSRGKWHIDHSTKIMHVITWNRSFKNLPNQDDFGGNFEIDERFETHATVLDRMLAWEKKLYDEVKAGELMKIDYQKKVALLHKQKKRGVKLETLEKTKAAVSHLHTRYIVDMQSMDSTVSEINRLRDKQLYPKLVDLVDGMANMWSSMHHHHKWQLVIISGIRNFEAPPVPRETTDQHYKQTCDLRDIVREWHMQFEKLMDHQKGYIRALNAWLKLNLIPIESNLKEKVSSPPRLVEPPIKDLLYAWHDQLERLPIELAKTAIKSFAEVISNIVLLQEEEVNLRRRCEETRRDLNRKKAQFEEWHQRYMERKASQGEDANNSEVAEAVNSDPVADRKTAIEEVEIRLREEMGHHNRIARQVREKSLANLRMHLPELFRNMTDFAGFCYEMYSNLRKSAVLPKDEVQG >ONIVA03G22870.1 pep chromosome:AWHD00000000:3:17658029:17660235:1 gene:ONIVA03G22870 transcript:ONIVA03G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHRKTSFAVGMPSSLPHKDRVPQRSTPQALWEVGHMDHQPCRMVNIKAMAFGAAKRA >ONIVA03G22860.1 pep chromosome:AWHD00000000:3:17611012:17613405:-1 gene:ONIVA03G22860 transcript:ONIVA03G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTTSAYIASNVPTPLLGVVQFGHSTSGIDCSRFLGARHISSSSLMGIVSWVQGRLGGRTSAAAESRGLAAGNGNPSVVAAVVAAGKERKHQQVVPDDLAGDQWPTPATHLFSIGTLGNDELPEQGEEEEDLPEFSVEEVRKLQDALARLLLRARSKNYSEAVATAAATATCCGGGGADSGLPLDMFLNCPSSLEVDRRAQRDHGGGGAAVGLSPGTKMILTKAKDILVDGNTRNTTTSGGDIKNKSFKFLLKKMFVCHGGFAPAPSLKDPTESSMEKIAARPSNSPASRTYFLEGNNAHGDDHRLCRRRRPRCGEEEEEEEENKGEESCKWDRTDSEYTW >ONIVA03G22850.1 pep chromosome:AWHD00000000:3:17604864:17609043:1 gene:ONIVA03G22850 transcript:ONIVA03G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLSNVNSEAGLQKLDEYLLTRSYISGYQASKDDMTVFTSLPSAPAASYVNVTRWYDHISALLRSSGVTAEGEGVKVESTACSVSPTADQKAPAADEEDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMAKLEEAVRNVKMEGLLWAKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEDYFYTEPANEFIQSCDIVAFNKI >ONIVA03G22850.2 pep chromosome:AWHD00000000:3:17604864:17609651:1 gene:ONIVA03G22850 transcript:ONIVA03G22850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLSNVNSEAGLQKLDEYLLTRSYISGYQASKDDMTVFTSLPSAPAASYVNVTRWYDHISALLRSSGVTAEGEGVKVESTACSVSPTADQKAPAADEEDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMAKLEEAVRNVKMEGLLWAKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEDYFYTEPANEFIQSCDIVAFNKI >ONIVA03G22840.1 pep chromosome:AWHD00000000:3:17595693:17596946:1 gene:ONIVA03G22840 transcript:ONIVA03G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKRQIEESLPEWRDHFLNYKELKRRLNAVSSPDPAAEARFLALLHAEVDKFNAFFLEQEEDFVIRQRELQERIQSSSSAAAEMEGRVRREVVDLHGEMVLLLNYSSINYTGLAKILKKYDKRTGGVLRLPVIAGVLRQPFYATDLLSSLVRDCEAIMDAVFPSLPSPSAAAAAAARAAAEQAIFRNTVAALLTMQEVRSGSSTYGHFSLPPMTPLPDSDWLIQSVQPPPPPPPSSPLIIPT >ONIVA03G22830.1 pep chromosome:AWHD00000000:3:17585997:17586320:1 gene:ONIVA03G22830 transcript:ONIVA03G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGCSPGTRGRTTVAVHGAGAYRFTEERLEELEREVDGEAAAAGWPGRVSGHAPFEEDVLVLTRRRGASPVRRVRRVGLVTRGRTGVSKRDFHLACVIVGDLARAN >ONIVA03G22820.1 pep chromosome:AWHD00000000:3:17561197:17583896:1 gene:ONIVA03G22820 transcript:ONIVA03G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGIATVLAADGRDFLLRNSADQVKISSIEASTVALYFSASWCQPCRRFTPKLIEAYNELVSQGKNFEVVFVSGDKDQEAFDAYFAKMPWLAVPFSDSECRAKLNKRFKVRGIPHLVILNATSGEVYTEDGVELVTVHGTEAYPFTTERINELKEQEKAAKDNQTVQSVLGTPTRDYLLSNKGDRVPISDLEGKYVGLCFVVNGYGPVVQFTSLLAKFYEKLKEVGEKFEVVAVSLDSDEELSNESFAGMPWLAIPQEDNMGEKLARYFELRGLPTLVLIGPDGKTLNNNVADIIDEHGQDAWEGFPFTAEKMEILAEKAKAKAELQTLESLLVIGDLDFVLGKDGAKVPVSELVGKTVLLYFSAKWCGPCRAFLPKLVDEYNKIKEKHNDFEIIFISSDRDQSSYDEFFSGMPWLALPLGDERKQHLSKTFRVRGIPSLVAIGADGRTVARDAKTPLTAHGADAFPFTEERLLEMERKIDEMAKGWPGKLKHELHDEHELVLTRCTTYGCDGCDEMGSSWSYRCRECDFDLHPKCALGKEEEKKGDDEAEAEADPACEGGAFGVSPKFSGMLRTKQAQLAGRLSAGHVTGGDDDDTHGFAAAAAVGPPPK >ONIVA03G22820.2 pep chromosome:AWHD00000000:3:17561197:17583896:1 gene:ONIVA03G22820 transcript:ONIVA03G22820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGIATVLAADGRDFLLRNSADQVKISSIEASTVALYFSASWRFTPKLIEAYNELVSQGKSFEVVFVSGDSDQDAFNAYFAKMPWLAVPFSDSEALAKLNERYKVMGIPHLVILDAKSGEIYTEDGVELVHEYGTEAYPFTTERINELKEQEKAAKDNQTIHSLFGTPTRDYLITNKGDKVPISDLEGKYVGLCFVVNGYGPVVQFTSVLAKIYEKLKAVGEKFEVVMVSLDGDEESFNESFADMPWLAIPQGDKMCEKLARYFELSGLPMLVLIGPDGKTLNDDIADIIDEHGPDAWEAFPFSAEKLEILAEKAKAKAESQTLESLLVTGDLDFVLGKDGAKVPVSELVGKTVLLYFSAKWCPPCRAFLPKLVNEYNKIKEKHNDFEIVFISSDREQSSYDEFFSGMPWLALPLGDERKQQLSKIFKITGIPSLVAIGPDGKTVTKDAKTPLVAHGADAFPFTEEKLQELEKEKEKKINDMAKGWPEKLKHDLHDHELVLTRCTTYGCDGCDEMGDSWSYRCKECDFDLHPKCALEEKGDVEMGEENAEAAPAGYVCEGDVCRKV >ONIVA03G22820.3 pep chromosome:AWHD00000000:3:17579976:17583896:1 gene:ONIVA03G22820 transcript:ONIVA03G22820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADATHAAADGGVATILASADGRDFLLRNSADKVKISSIKASTVALYFSASWCPPCRRFTPKLIEAYNELVSQGKSFEVVFVSGDSDQDAFNAYFAKMPWLAVPFSDSEALAKLNERYKVMGIPHLVILDAKSGEIYTEDGVELVHEYGTEAYPFTTERINELKEQEKAAKDNQTIHSLFGTPTRDYLITNKGDKVPISDLEGKYVGLCFVVNGYGPVVQFTSVLAKIYEKLKAVGEKFEVVMVSLDGDEESFNESFADMPWLAIPQGDKMCEKLARYFELSGLPMLVLIGPDGKTLNDDIADIIDEHGPDAWEAFPFSAEKLEILAEKAKAKAESQTLESLLVTGDLDFVLGKDGAKVPVSELVGKTVLLYFSAKWCPPCRAFLPKLVNEYNKIKEKHNDFEIVFISSDREQSSYDEFFSGMPWLALPLGDERKQQLSKIFKITGIPSLVAIGPDGKTVTKDAKTPLVAHGADAFPFTEEKLQELEKEKEKKINDMAKGWPEKLKHDLHDHELVLTRCTTYGCDGCDEMGDSWSYRCKECDFDLHPKCALEEKGDVEMGEENAEAAPAGYVCEGDVCRKV >ONIVA03G22810.1 pep chromosome:AWHD00000000:3:17551246:17557399:1 gene:ONIVA03G22810 transcript:ONIVA03G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: ubiquinone biosynthetic process; LOCATED IN: mitochondrion; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: COQ9 (InterPro:IPR013718), Ubiquino /.../synthesis protein COQ9 (InterPro:IPR012762); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G19140) TAIR;Acc:AT1G19140] MASSLAARRLLSRSAAAASRRLVPCASSATPRAAPAALRRFFSAEASTPPPTPPTPPLPPPPLEPTVEPPKSEGASSSSASSSAGAGGAHRSAPGASAGARRAGGTGYEEEQEKVLRASLLHVPRMGWSESAMIAGARDVGVSPAIVGAFPRKEAALVEFFMDDCLQQLIDRIDAGEGELLKNLVLSERLSKLVRMRLEMQGPYISKWPQALSIQSQPANISTSLKQRAVLVDEIWHAAGDAGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPDFRDTWTFVSRRIKDALDLQKTFQEAAYLAEAVGAGMGGSLQGVLNRLFKK >ONIVA03G22800.1 pep chromosome:AWHD00000000:3:17542801:17548581:1 gene:ONIVA03G22800 transcript:ONIVA03G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGDGRWCVVTGGRGFAARHLVAMLLRSGEWLVRVADLPPAIALDRDEEDGILGAALREGRAAYASADLRNKAQVAAAFEGAEVVFHMAAPDSSINNFHLHYSVNVEGTKNVIDACIRCKVKRLIYTSSPSVVFDGIHGIFDADESMPYPDKFNDSYSETKADAEKLVMKANGRDGLLTCCIRPSSIFGPGDKLLVPSLVTAARAGKSKYIIGDGSNYYDFTYVENVAYGHVCAEKTLSSEDGAKRAAGKTYFITNMEAIKFWEFMSLILEGLGYERPSIKIPVSVMMPVAHMVEWTYKTFARYGMKIPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPIVSLKDGLKRTIESYPHLQAQNQRSISKASIFLGNGNLAKTVLWEDMKQTMTVLLLLAVIYYHLFTCGYTFITAMAKLFSLTALFGHKVEKLEPSNFHITQVEAHHIAHSVSSTWNSLVGFKAYEKWEDTIDDLVGNACSVVTHFVQGQKSSRQKHADN >ONIVA03G22790.1 pep chromosome:AWHD00000000:3:17516092:17528895:1 gene:ONIVA03G22790 transcript:ONIVA03G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPAANGCSGGRRLQEEEPAARWCAVTGGRGFMARHLVAALLRSGEWRVRVTDLAPAITLDPVEEKGLLGEALRDGRAVYDSVNVCDITQLTKAFQGVEVVFHTAAADPDINDFQLHYKVNVEGTKNVIDACVTCKVKRLIYTSSSGVVFDGVHGLFDVDESMPYPNKFPDAYAQSKAEAEKLVRNSNGICELLTCSIRPGSIFGPGDTIVPHLLSHWRTMFIIGDGMNCDDFVYVENVVHGHICAEKTLSTKEGSKISGGKRAVEQLGYKPIVSLKDGIKITTNNVIAPKI >ONIVA03G22790.2 pep chromosome:AWHD00000000:3:17516092:17528895:1 gene:ONIVA03G22790 transcript:ONIVA03G22790.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAPAANGCSGGRRLQEEEPAARWCAVTGGRGFMARHLVAALLRSGEWRVRVTDLAPAITLDPVEEKGLLGEALRDGRAVYDSVNVCDITQLTKAFQGVEVVFHTAAADPDINDFQLHYKVNVEGTKNVIDACVTCKVKRLIYTSSSGVVFDGVHGLFDVDESMPYPNKFPDAYAQSKAEAEKLVRNSNGICELLTCSIRPGSIFGPGDTIVPHLLSHWRTMFIIGDGMNCDDFVYVENVVHGHICAEKTLSTKEGSKISGGKAYFITNMEPMNLWDFLYMLLEELGYKRMCEFILLRPYLRPHTWSKFYCY >ONIVA03G22790.3 pep chromosome:AWHD00000000:3:17516092:17528895:1 gene:ONIVA03G22790 transcript:ONIVA03G22790.3 gene_biotype:protein_coding transcript_biotype:protein_coding METAPAANGCSGGRRLQEEEPAARWCAVTGGRGFMARHLVAALLRSGEWRVRVTDLAPAITLDPVEEKGLLGEALRDGRAVYDSVNVCDITQLTKAFQGVEVVFHTAAADPDINDFQLHYKVNVEGTKNVIDACVTCKVKRLIYTSSSGVVFDGVHGLFDVDESMPYPNKFPDAYAQSKAEAEKLVRNSNGICELLTCSIRPGSIFGPGDTIVPHLLSHWRTMFIIGDGMNCDDFVYVENVVHGHICAEKTLSTKEGSKISGGKNV >ONIVA03G22780.1 pep chromosome:AWHD00000000:3:17484118:17484699:1 gene:ONIVA03G22780 transcript:ONIVA03G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVEKKVELRKMGKTRAEQSGHGAQSPCPLHFLLHTRVSLSFESEGEGSRERRGKETSREMGGGVERLVGRWVALVDQSTVAALHVQLQQAAAAAQARGKAVEDNDNNMDDDESAFCDPPRRRSSPACHFSSPDSPLPPTPLASLAIDFGHRRSHV >ONIVA03G22770.1 pep chromosome:AWHD00000000:3:17474755:17475557:-1 gene:ONIVA03G22770 transcript:ONIVA03G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDKSSGAARALEITDRSDIHRNVMATMWFSSGSIATATIVKLVWKGMTKEQRWFTDDGCDYDDVVAELNILVAQSGAAGGHDVSTYGNTT >ONIVA03G22760.1 pep chromosome:AWHD00000000:3:17413894:17415516:1 gene:ONIVA03G22760 transcript:ONIVA03G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDDEQSAPVANNNGGTTTRPPGITGVPVGQVELTKYHNAEAPLDPNDQEVLLELKGTSSATSRAALDLIAVLDVSTSMAGDKLDRMKAALLFVIRKLDDVDRLSIVTFSNDAARLCPLRFVAGDAARADLGALVDGLAADGNTNIRAGLEIGLAVAAGRRLTAGRAVNVMLMSDGQQNRADATRLDPGGVPVHTFGLGADHDPAVLQAIAGKSREGMFHYVADGVNLTAPFSQLLGGLLTIIAQDLELTVTRVDGEAAIKKVDAGTYPQAAAADGSSVTVQFGTLYSAEVRRVLVYLALEDSTAFPPYDAEVVEAQFRYSLQGATVASNPDPVSIRRSGSAPEPSEEAPARKPEVETEMARRRHAESIREARSMADGKELERARTRLVEAQNALEDVLDQANPMVNMLREELLQLLRLMETQEAYERAGRAYAVSSLASHDRQRFAARGDAEGVRLFATPRMDAYLEQAWRFDEDPGGAALPSAEEDVRQEVAANPLAPVAGQIAFYVRSAIQALQAIDKIFASVAAATSTSTSTST >ONIVA03G22750.1 pep chromosome:AWHD00000000:3:17405741:17407975:1 gene:ONIVA03G22750 transcript:ONIVA03G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESRGSIAFFATYRPPVPLDVFSCPVAPPPSSPRDELHLTDGVSYNHSCRPIPAAALRALLQRPKLASEATTADVDAGRITGLVFVSERDSGLETLHVALRFDGGKQVKVFGLADIFGAGGDFSGVRMEDSGCFGGGYRERGRAVDHTLVYVSTREPVAARRSPWTVVYGTNLRTGETARLTPRGTFDLSPAVSPSGKRVAVASWQGKPGLWDGEIEDLRTDIYVMNVHKPPLGRTGPVVKNAGWPTWGSDDVIFFHRRVGTTWGVFRFSMSTGEEARVTPEEFDAVTPAAISETKVAVATIRRKSQFSDVRVEEQYRHIEIFDVASPEQPVKITQKTRPKTDHFNPFVLDGGSRIGYHRCKSEQLHQNNGGGGSSVANNFHKLQSPHKDVGLFRVSGVCPTISKDGSKLAFVDNEFKAVWLADSHGLRVVYEKKGPNSVFSTAWNQNTALDTLYVCVGPSFSADKPLQIYAIHDVSALGGRQQRRLTAGASNNAFPSSSPDGGRLVFRSTRDGGGGGRRHKNLYVMEDAAVGEFGTGKVTRLTSGAWTDTHCSWSPREGSDWIVFSSTRDKPAAAPADDNGLDPGYFAVFLVRASDPTVVVRVVRSADSVAGHVNHPVFSPDGRSIAVTADLAAVSADPISLPLFLHSVRPYGDIFTVDIDPDDISKNRDVRAFHRVTHSRYENSTPAWTTFATDDPNAQWSTLVTTKEAAAYRPACPYAHPDGGDSWHMTGHLLLPKRCC >ONIVA03G22740.1 pep chromosome:AWHD00000000:3:17376125:17394933:1 gene:ONIVA03G22740 transcript:ONIVA03G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase C2 [Source:Projected from Arabidopsis thaliana (AT5G45140) TAIR;Acc:AT5G45140] MFCCISTVPLFPLFFWLLSFRYAPPPPPPPPPPPSHSHSHFHPIQSAAVTTVAAAVDDDMERTEEEEAPAAKDPNASLPSPTYRSLAAPVTKPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRNIVKANNRIEARNNPSIFLRYNSVRVGVPSVQVQYIAEKITPHFCRLTDRTYSAPVLADIEYTVGKQYELKRKPNFIIGYLPIMLRSHACVLNGKDEAELARYGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRVIASVTSSTHEIKSKTVIFMEKEKIYLQLNQFTKPIPIIVVMKAMGMESDQEVAQMVGRDPRYGDLLYPSIQECAFERIYTQKQALQYMDDKVMYPGAGNQKEGRSKSILRDVFVAHVPVESGNFRPKCIYTAVMLRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVELMNKTSEKIHSSPLDLSLHIKENIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALLTHVTTDQEEGPLMNLVWKIYHFCLEKKSMHRRFANAMRKLRRSGIIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALIALYEHEDQDDVQRSSITHIEIEPLTILGVVAGLIPYPHHNQSPHSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYEGGYSDRIVKPQRDKDGALLKQNMRALDEDGFAAPGLIIRNHDIYVNKQTPRNTKRDSGAHLTDRDYKDSPAVYKGVDGETTVVDRVMLCSDTDEKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISRTLVKHGFSYNGKDLLYSGILGHPCQAYVFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRKCGLLGYYNHKLKASYCSMCKNGENMAKMRMPYACKLLFQELQAMNVVPRLKLTEG >ONIVA03G22740.2 pep chromosome:AWHD00000000:3:17376125:17394933:1 gene:ONIVA03G22740 transcript:ONIVA03G22740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase C2 [Source:Projected from Arabidopsis thaliana (AT5G45140) TAIR;Acc:AT5G45140] MFCCISTVPLFPLFFWLLSFRYAPPPPPPPPPPPSHSHSHFHPIQSAAVTTVAAAVDDDMERTEEEEAPAAKDPNASLPSPTYRSLAAPVTKPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRNIVKANNRIEARNNPSIFLRYNSVRVGVPSVQVQYIAEKITPHFCRLTDRTYSAPVLADIEYTVGKQYELKRKPNFIIGYLPIMLRSHACVLNGKDEAELARYGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRVIASVTSSTHEIKSKTVIFMEKEKIYLQLNQFTKPIPIIVVMKAMGMESDQEVAQMVGRDPRYGDLLYPSIQECAFERIYTQKQALQYMDDKVMYPGAGNQKEGRSKSILRDVFVAHVPVESGNFRPKCIYTAVMLRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVELMNKTSEKIHSSPLDLSLHIKENIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQVWKIYHFCLEKKSMHRRFANAMRKLRRSGIIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALIALYEHEDQDDVQRSSITHIEIEPLTILGVVAGLIPYPHHNQSPHSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYEGGYSDRIVKPQRDKDGALLKQNMRALDEDGFAAPGLIIRNHDIYVNKQTPRNTKRDSGAHLTDRDYKDSPAVYKGVDGETTVVDRVMLCSDTDEKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISRTLVKHGFSYNGKDLLYSGILGHPCQAYVFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRKCGLLGYYNHKLKASYCSMCKNGENMAKMRMPYACKLLFQELQAMNVVPRLKLTEG >ONIVA03G22740.3 pep chromosome:AWHD00000000:3:17376125:17394933:1 gene:ONIVA03G22740 transcript:ONIVA03G22740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase C2 [Source:Projected from Arabidopsis thaliana (AT5G45140) TAIR;Acc:AT5G45140] MFCCISTVPLFPLFFWLLSFRYAPPPPPPPPPPPSHSHSHFHPIQSAAVTTVAAAVDDDMERTEEEEAPAAKDPNASLPSPTYRSLAAPVTKPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRNIVKANNRIEARNNPSIFLRYNSVRVGVPSVQVQYIAEKITPHFCRLTDRTYSAPVLADIEYTVGKQYELKRKPNFIIGYLPIMLRSHACVLNGKDEAELARYGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRVIASVTSSTHEIKSKTVIFMEKEKIYLQLNQFTKPIPIIVVMKAMGMESDQEVAQMVGRDPRYGDLLYPSIQECAFERIYTQKQALQYMDDKVMYPGAGNQKEGRSKSILRDVFVAHVPVESGNFRPKCIYTAVMLRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVELMNKTSEKIHSSPLDLSLHIKENIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQVWKIYHFCLEKKSMHRRFANAMRKLRRSGIIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYEGGYSDRIVKPQRDKDGALLKQNMRALDEDGFAAPGLIIRNHDIYVNKQTPRNTKRDSGAHLTDRDYKDSPAVYKGVDGETTVVDRVMLCSDTDEKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTIGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISRTLVKHGFSYNGKDLLYSDRYFKTVPKSLFCLSGILGHPCQAYVFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRKCGLLGYYNHKLKASYCSMCKNGENMAKMRMPYACKLLFQELQAMNVVPRLKLTEG >ONIVA03G22730.1 pep chromosome:AWHD00000000:3:17348916:17349608:1 gene:ONIVA03G22730 transcript:ONIVA03G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKASAVARKLDVSVGRCSTSPYGSGGLPSPTSPLDRAALHAPSPRGVWRHRDAGGVGLGILAALEAQEEEEARKPAVSAVAIAASASASSSAAATTTRRRAARLEVSELGCSGRCATSLCGSGAGAAAFRVAEFLACCDLCRRPLDGKDIFMYRGERAFCSMECRYHAIVSDEFQQEKDRKRRAAAAAAPRDIPSKVSAAPSTAAAEIAGSPCSGGGQIFFTTGIVAA >ONIVA03G22720.1 pep chromosome:AWHD00000000:3:17326125:17327931:-1 gene:ONIVA03G22720 transcript:ONIVA03G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSGERGSSSFAMACSLLSRYVRQNGAAAGELGLGIRGEADANKGKETMELFPQNSGFGSEAAAVKETPDAREQEKRQLTIFYGGKVLVFDDFPAEKAKDLMQMASKSSSTAQNCVLLPSSATATVADNTKVSAVPAPASALPVAQANAPKPVRPNAADLPQARKASLHRFLEKRKDRLQAKAPYQGSPSDASPVKKELQESQPWLGLGPQVAAPDLSLRQESSQ >ONIVA03G22710.1 pep chromosome:AWHD00000000:3:17323488:17323865:-1 gene:ONIVA03G22710 transcript:ONIVA03G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLPRADPVEAVAEVFSAGGSISPLPLSLPLRAQASPEAVAVALVLPRADPAEVAATAFLTSGSGGPLPPPSSSQASPAVDPTAAASPTDPPVATSAAPVGGSVEGDDVVVCVAVVDVMMLFL >ONIVA03G22700.1 pep chromosome:AWHD00000000:3:17293748:17294878:1 gene:ONIVA03G22700 transcript:ONIVA03G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATSSPSPSLSLSPFLSSPLRDIGTSDELELPLPRRTRKHRVRWRWCSTTLHREDSGGEEAAMHVTARGDCRRRDPGPRHPPKFKTKPRRHWRRRARRARVKAAARARSGARGNGGKPELELAGAWRRRRWVCLHQLRRRRRRRHRPSAPPRRPLAVPGCRDNTAFAQDGAWDRQWRRCGDPEQKFAELGEHGYDCELALAGAAHTHGGPCWEDEKGEKEPKRMRTNVLTCGPHYFVQLAS >ONIVA03G22690.1 pep chromosome:AWHD00000000:3:17263364:17265584:-1 gene:ONIVA03G22690 transcript:ONIVA03G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHNLLVPTTTTTTTSSSSSSSKNNAANKQQQQQEPPHLSGAYIRSLVKQLSSSSSTARSNKDHTTTMGTSKPHGCCHPQPDQQEPQTTPPPPQPHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKIHRASMRQAKEQQQLLHLQHHHQQQQQEVVATQVAAAVQEQQIVEQAAASTRSSANSAAAAMHHGYASFSDYLYNSPLTHLSSSPAAYSSPVPYHAPPPPPMAAAAQAQLGHGDLLPLPAQPLGLNLSFHGFTSVVADVCDGDGGKQGSSTGCLEPYHPLLHQPSPASSYSVYSSPSVTTTAAAGGGQDMSPSALITTAENSSSQSQLAAAEIADPSLHRVLDDEEMAAIYSIGEQHDIEWSDTVNLVTSAWWSKLLDTVEGGASAVAAGGAVNASAAAAEEEEEELTAARMAPDWFGGDGGHLVGHHQSSKESGSDVLGMHFGEYYHHGHGIGSYGEDVSLPRMDLGEIEGWNAEWFS >ONIVA03G22680.1 pep chromosome:AWHD00000000:3:17256837:17257752:-1 gene:ONIVA03G22680 transcript:ONIVA03G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSCPAGASAMLNLDLYSCRARHCVSPLPLASTDAMFNLKFRWYQLYIGLQLKCTVRTELTGGYSICSLLGTEKTEERGKPEVQEQVQDETFGKYNWTSVKM >ONIVA03G22670.1 pep chromosome:AWHD00000000:3:17247560:17256048:1 gene:ONIVA03G22670 transcript:ONIVA03G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVAPPLCTWLVAACLSAACGDAAGKEKQLRRHGGAMFGSSRRGRPPGARCRGGRGARSGGIPMAIALHPERGAVESKKPDIKQRRVVVTGMGVVTPLGHDPDEFYNNLLQGVSGISEIEAFDCSKYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENGGITEEVMNELDKSRCGVLVGSAMGGMKIFSDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLDRDGFVMGEGAGVLLLEELEHAKLRVNSTKSMTGHLLGAAGGIEAVAAIQAIRTGWVHPNINLDNPEKNVVY >ONIVA03G22660.1 pep chromosome:AWHD00000000:3:17240425:17244276:1 gene:ONIVA03G22660 transcript:ONIVA03G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein SA [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNX0] MAAAAAGGGGGGAPRALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRSDGIFIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMEEEEAAVGPEYAAVAEYGAAPTDNWGDQQWGGEVQPPALPAAPTGEWGAAPAPVAAEGWDAVAVPPAAAAAVAPPAAPGWEEGSAPAPTGW >ONIVA03G22650.1 pep chromosome:AWHD00000000:3:17232443:17234759:-1 gene:ONIVA03G22650 transcript:ONIVA03G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNW9] MPPLTGPKSGDALFGSVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >ONIVA03G22640.1 pep chromosome:AWHD00000000:3:17231428:17231916:-1 gene:ONIVA03G22640 transcript:ONIVA03G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCGWGEAGARETAAAAVDTVTAATEDEGDERVRGTATAGERVGWAQETATGTVAAADVDEGGGTGACKDEGMDEDEDGGARWMWVRTAGWTRTTAVARRMRARTTAATEDEDGDRGVADAGDDGGRDAGHGVVDASEDGGHDAADAGEDSGYGAAVAAGG >ONIVA03G22630.1 pep chromosome:AWHD00000000:3:17203684:17204382:1 gene:ONIVA03G22630 transcript:ONIVA03G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGRQGQGGGKAAEDGRERRRSGWRTRPRKCCRGITRRRWALLLPFRELRLRRCCSRLHAILRWPPSLSPRRNTALAGEGDTQYPPAASPPSIASMEAEATSCLAALVSRATCCFSPPTTKTSRRVAAKAEITVGTAPTPPSICVAAGVPSRWRRDPPAPKSILSFPPSTIARANAALPTGTKPFSFQSLAAHILCAVSRARDLGPSDITVFLTVASPLDCPPCVAAHHPP >ONIVA03G22620.1 pep chromosome:AWHD00000000:3:17175482:17181492:-1 gene:ONIVA03G22620 transcript:ONIVA03G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNW4] MADGGNPTGEPEPPRPPSSCINKGPGHGNPRTNPFHLRAKRRRRAMGESAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQAHQIIAEYNNAISEADREKLKDGAFEDVLRSAQEGIVISPWVALAIRPRPGVWEYVRVNVSELAVELLTVPEYLQFKEQLVEEGTNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGLSADTPYSEFHHRFQELGLEKGWGDCAKRSQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESRKRLTSLHPEIEELLYSEVDNNEHKFMLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVESMTCGLPTFATAYGGPAEIIVNGVSGFHIDPYQGDKASALLVEFFEKCQEDPSHWTKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSNK >ONIVA03G22620.2 pep chromosome:AWHD00000000:3:17175482:17179835:-1 gene:ONIVA03G22620 transcript:ONIVA03G22620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNW4] MGESAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQAHQIIAEYNNAISEADREKLKDGAFEDVLRSAQEGIVISPWVALAIRPRPGVWEYVRVNVSELAVELLTVPEYLQFKEQLVEEGTNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGLSADTPYSEFHHRFQELGLEKGWGDCAKRSQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESRKRLTSLHPEIEELLYSEVDNNEHKFMLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVESMTCGLPTFATAYGGPAEIIVNGVSGFHIDPYQGDKASALLVEFFEKCQEDPSHWTKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSNK >ONIVA03G22620.3 pep chromosome:AWHD00000000:3:17175482:17179835:-1 gene:ONIVA03G22620 transcript:ONIVA03G22620.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNW4] MGESAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQAHQIIAEYNNAISEADREKLKDGAFEDVLRSAQEGIVISPWVALAIRPRPGVWEYVRVNVSELAVELLTVPEYLQFKEQLVEEGTNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGLSADTPYSEFHHRFQELGLEKGWGDCAKRSQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESRKRLTSLHPEIEELLYSEVDNNEHKFMLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVESMTCGLPTFATAYGGPAEIIVNGVSGFHIDPYQGDKASALLVEYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSNK >ONIVA03G22610.1 pep chromosome:AWHD00000000:3:17167831:17170077:-1 gene:ONIVA03G22610 transcript:ONIVA03G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALRAKEIAERKFESKDLQGAKKFALKAQALFPGLEGIVQMITTLDLYLASEVLISGEKDWYSILSVETSADDETLKKQYRKLVLQLHPDKNKSVGAEGAFKMVQEAWTVLSDKTKRALYDQKRKLMVLKRNTSQTNKASAAPGASNGFYNFAANAAASKVTRGNKQKAGPATSSVRQRPPPPPPPPRQAPAPPPAKPPTFWTSCNKCKMNYEYLKVYLNHNLLCPTCREPFLAKEVPMPPTESVHAVHDPNISGANQNTNGSRNFQWGPFSRTAGAASATASSAAAAQAANVVHHTYEKVRREREEAQAAARREEALRRKYNPPKRQANISENLNLGTGGNSSKKMRTTGNDVGIGSSSILSGSGANYFGVPGGNISFSTNSGAHHFQGVNGGFSWKPRPPTRISLVKTFTQFDVRGILMEKAKSDLKDKLKEMQTKRSQVAANGKKNKKNMFKESGGDDESLASDDSTARQAAHVDPEDNASVNSTDADDENDDPLSYNVPDPDFHDFDKDRTEECFQSDQIWATYDDEDGMPRYYAFIQKVLSLEPFQLKISFLTSRTNSEFGSLNWVSSGFTKTCGDFRICRYETCDILNMFSHQIKWEKGSRGVIKIYPQKGNIWAVYRNWSPDWDEDTPDKVLHAYDVVEVLDDYDEDLGISVIPLVKVAGFRTVFQRNQDLNAIKKIPKEEMFRFSHQVPFYRMSGEEAPNVPKDSYELDPAAISKELLQEITETVESSKATSEC >ONIVA03G22600.1 pep chromosome:AWHD00000000:3:17156363:17164836:1 gene:ONIVA03G22600 transcript:ONIVA03G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTIYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSTVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIRLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKVRSSSERQERPNSPKPDEHLSTTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWHKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPLVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >ONIVA03G22600.2 pep chromosome:AWHD00000000:3:17156363:17164836:1 gene:ONIVA03G22600 transcript:ONIVA03G22600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTIYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSTVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIRLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKGETVLSCSYLSSDKTTELQKNILVRSSSERQERPNSPKPDEHLSTTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWHKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPLVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >ONIVA03G22600.3 pep chromosome:AWHD00000000:3:17156363:17164836:1 gene:ONIVA03G22600 transcript:ONIVA03G22600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTIYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSTVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIRLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKGETVLSCSYLSSDKTTELQKNILVRSSSERQERPNSPKPDEHLSTTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWHKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPLVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >ONIVA03G22600.4 pep chromosome:AWHD00000000:3:17156363:17163955:1 gene:ONIVA03G22600 transcript:ONIVA03G22600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTIYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSTVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIRLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKVRSSSERQERPNSPKPDEHLSTTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWHKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >ONIVA03G22600.5 pep chromosome:AWHD00000000:3:17156520:17164836:1 gene:ONIVA03G22600 transcript:ONIVA03G22600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTIYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSTVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIRLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKGETVLSCSYLSSDKTTELQKNILVRSSSERQERPNSPKPDEHLSTTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWHKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPLVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >ONIVA03G22600.6 pep chromosome:AWHD00000000:3:17156363:17163953:1 gene:ONIVA03G22600 transcript:ONIVA03G22600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTIYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSTVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIRLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKGETVLSCSYLSSDKTTELQKNILVRSSSERQERPNSPKPDEHLSTTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWHKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >ONIVA03G22590.1 pep chromosome:AWHD00000000:3:17152519:17160235:-1 gene:ONIVA03G22590 transcript:ONIVA03G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLECKAIEVSYFWNQLATAALGRIPYQLFSPGEELPGLGPILANYFSVLDDAWRQHEPQLPPYLCTWIQKVQRSQASWELGGMFSSCSLDVFSGHYLSANLNGAEPERLSGSCPTWNTLAM >ONIVA03G22580.1 pep chromosome:AWHD00000000:3:17146257:17146944:1 gene:ONIVA03G22580 transcript:ONIVA03G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSVRPRVPRSSRNGAAANSNLPLAQPRLGSWPAAAEQAANALDRGVQSMEVEGKEKMESRERGEGRNGFFAPIREFIYRLNR >ONIVA03G22570.1 pep chromosome:AWHD00000000:3:17125078:17136995:-1 gene:ONIVA03G22570 transcript:ONIVA03G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFHQILKTFFHALIIAEVILGQSDREVLLELKNFLQFQNPINHGGYNSWPESGTSPCHWQGVGCDASGRVNFLDLSNSNISGPAFQNLSRLSGLTHLDLSANSITGELHDDLKNCLHLQYLNLSYNLISGILNVSNLANLQTLDVSQNRFEGGISANFPAICRNLSAINLSSNNLTGSISGLFNNCLKLQDVDLSWNSFTGNVWNGIARLRQFKAGKNNFAGSISSRTFSTGCKLQLLDLSSNHFYGNFPSSIANCTGLTYLLIWDNHFNGSIPPGIGSIHGLEELVLTSNHFDREIPPELMNCTSLKYLEISDNNFGGEVQQVLGKLTSLTNLVLQENNYSGGIVSSGILGLPKLALLDLSFNNFNGKLPTEIASMGSIKALMLAENNFSGTIPPSYGQLVNLQALDLSYNSLSGEIPPSIGNLTSLLLLMLAGNQLSGEIPREIGNCTSLLWLNLVGNRLSGQIPPEMAGMGRNPSSTFAKNQKNPSLMKSVTSKCLAVYRWVPSSYPEFDYVQSMMFSHKNCRTIWNRLLMGYDILPASSPLRTALGYVQLSGNLLSGQIPSAIGAMKNISLLLLDGNRLSGHLPSEIGSLQLVSLNASNNSISGEIPFEIGNLGSIESLDLSCNNFSGSLPSSLEKLSKLSQFNVSYNPLLTGEVPSSGQLSTFSELSFLGDPLLSWRSAAGHPRPKNGTGFFFNGTEYPTKEDISVSVIAFLVFFSVTFVIRELQIIIFLYNIIMDMLQLAPKLGVREGELGAAERLIDEMVRRGVAPNAATYSLLMQGLCDADLHADAEKLMFDMEYRGCQAEVVNYGVLTSSRARRGDVNGVRELLSAMRKRKLKPDDASYNVLIKCPCDVGRVDEAHRALLDMQLKGTVPGAANVPRPRRRVLQGSRLRAGSTGFQRDVGERTLHLVRGLGEDGKVEEACFVLEQMARREMSLDADGWQAVVTCLGHGGCINVLFETPSGFAIFAYDGIKLLKPNAMQDIWADFVSEYVAKRFKTFEDKLAGINHETGVSEALATMIQKYTAPLQKLPVGNDNYRDIIEKRLHIPCMSGPHVDELMWGLKIQMRAWRNSELIKEDRFPMSVGMTFLLNRHKFGVHPDMLVIKLIIEKAGVMFECDRCVNQHNDPLRSAAEHIKKISRIDTQSWDLMKVAAAFKVICCPGEKNEPEEWLFTRLQLEWFRDDAPKYKDKILKVSWLIVYNEIFRARELRLKTARVLFCLVKRAKEAYEAEQAGEAASDNEIGPDGKEIHPGITPVIIDELTECQDVRKDRFIFPEAEVASESGDVSNMVIEKLGARIQQDAFPFANIYQL >ONIVA03G22570.2 pep chromosome:AWHD00000000:3:17125078:17136995:-1 gene:ONIVA03G22570 transcript:ONIVA03G22570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFHQILKTFFHALIIAEVILGQSDREVLLELKNFLQFQNPINHGGYNSWPESGTSPCHWQGVGCDASGRVNFLDLSNSNISGPAFQNLSRLSGLTHLDLSANSITGELHDDLKNCLHLQYLNLSYNLISGILNVSNLANLQTLDVSQNRFEGGISANFPAICRNLSAINLSSNNLTGSISGLFNNCLKLQDVDLSWNSFTGNVWNGIARLRQFKAGKNNFAGSISSRTFSTGCKLQLLDLSSNHFYGNFPSSIANCTGLTYLLIWDNHFNGSIPPGIGSIHGLEELVLTSNHFDREIPPELMNCTSLKYLEISDNNFGGEVQQVLGKLTSLTNLVLQENNYSGGIVSSGILGLPKLALLDLSFNNFNGKLPTEIASMGSIKALMLAENNFSGTIPPSYGQLVNLQALDLSYNSLSGEIPPSIGNLTSLLLLMLAGNQLSGEIPREIGNCTSLLWLNLVGNRLSGQIPPEMAGMGRNPSSTFAKNQKNPSLMKSVTSKCLAVYRWVPSSYPEFDYVQSMMFSHKNCRTIWNRLLMGYDILPASSPLRTALGYVQLSGNLLSGQIPSAIGAMKNISLLLLDGNRLSGHLPSEIGSLQLVSLNASNNSISGEIPFEIGNLGSIESLDLSCNNFSGSLPSSLEKLSKLSQFNVSYNPLLTGEVPSSGQLSTFSELSFLGDPLLSWRSAAGHPRPKNGTGFFFNGTEYPTKEDISVSVIAFLVFFSVTFVIRELQIIIFLYNIIMDMLQLAPKLGVREGELGAAERLIDEMVRRGVAPNAATYSLLMQGLCDADLHADAEKLMFDMEYRGCQAEVVNYGVLTSSRARRGDVNGVRELLSAMRKRKLKPDDASYNVLIKCPCDVGRVDEAHRALLDMQLKGTVPGAANVPRPRRRVLQGSRLRAGSTGFQRDVGERTLHLVRGLGEDGKVEEACFVLEQMARREMSLDADGWQAVVTCLGHGGCINVLFETPSGFAIFAYDGIKLLKPNAMQDIWADFVSEYVAKRFKTFEDKLAGINHETGVSEALATMIQKYTAPLQKLPVGNDNYRDIIEKRLVIKLIIEKAGVMFECDRCVNQHNDPLRSAAEHIKKISRIDTQSWDLMKVAAAFKVICCPGEKNEPEEWLFTRLQLEWFRDDAPKYKDKILKVSWLIVYNEIFRARELRLKTARVLFCLVKRAKEAYEAEQAGEAASDNEIGPDGKEIHPGITPVIIDELTECQDVRKDRFIFPEAEVASESGDVSNMVIEKLGARIQQDAFPFANIYQL >ONIVA03G22570.3 pep chromosome:AWHD00000000:3:17125078:17136995:-1 gene:ONIVA03G22570 transcript:ONIVA03G22570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFHQILKTFFHALIIAEVILGQSDREVLLELKNFLQFQNPINHGGYNSWPESGTSPCHWQGVGCDASGRVNFLDLSNSNISGPAFQNLSRLSGLTHLDLSANSITGELHDDLKNCLHLQYLNLSYNLISGILNVSNLANLQTLDVSQNRFEGGISANFPAICRNLSAINLSSNNLTGSISGLFNNCLKLQDVDLSWNSFTGNVWNGIARLRQFKAGKNNFAGSISSRTFSTGCKLQLLDLSSNHFYGNFPSSIANCTGLTYLLIWDNHFNGSIPPGIGSIHGLEELVLTSNHFDREIPPELMNCTSLKYLEISDNNFGGEVQQVLGKLTSLTNLVLQENNYSGGIVSSGILGLPKLALLDLSFNNFNGKLPTEIASMGSIKALMLAENNFSGTIPPSYGQLVNLQALDLSYNSLSGEIPPSIGNLTSLLLLMLAGNQLSGEIPREIGNCTSLLWLNLVGNRLSGQIPPEMAGMGRNPSSTFAKNQKNPSLMKSVTSKCLAVYRWVPSSYPEFDYVQSMMFSHKNCRTIWNRLLMGYDILPASSPLRTALGYVQLSGNLLSGQIPSAIGAMKNISLLLLDGNRLSGHLPSEIGSLQLVSLNASNNSISGEIPFEIGNLGSIESLDLSCNNFSGSLPSSLEKLSKLSQFNVSYNPLLTGEVPSSGQLSTFSELSFLGDPLLSWRSAAGHPRPKNGTGFFFNGTEYPTKEDISVSVIAFLVFFSVTFVIRELQIIIFLYNIIMDMLQLAPKLGVREGELGAAERLIDEMVRRGVAPNAATYSLLMQGLCDADLHADAEKLMFDMEYRGCQAEVVNYGVLTSSRARRGDVNGVRELLSAMRKRKLKPDDASYNVLIKCPCDVGRVDEAHRALLDMQLKGTVPGAANVPRPRRRVLQGSRLRAGSTGFQRDVGERTLHLVRGLGEDGKVEEACFVLEQMARREMSLDADGWQAVVTCVRSSRSTQASEIKLLGHGGCINVLFETPSGFAIFAYDGIKLLKPNAMQDIWADFVSEYVAKRFKTFEDKLAGINHETGVSEALATMIQKYTAPLQKLPVGNDNYRDIIEKRLVIKLIIEKAGVMFECDRCVNQHNDPLRSAAEHIKKISRIDTQSWDLMKVAAAFKVICCPGEKNEPEEWLFTRLQLEWFRDDAPKYKDKILKVSWLIVYNEIFRARELRLKTARVLFCLVKRAKEAYEAEQAGEAASDNEIGPDGKEIHPGITPVIIDELTECQDVRKDRFIFPEAEVASESGDVSNMVIEKLGARIQQDAFPFANIYQL >ONIVA03G22560.1 pep chromosome:AWHD00000000:3:17118311:17120403:-1 gene:ONIVA03G22560 transcript:ONIVA03G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSLSPLAAVNTASAAIADAVREAFQPSARHGVDPSTAANVVDSEGVMASNATRKALISFLDKVSLPISSVISGIISRRCYGVYARAVVTTRASDAAAAGRRTDVASAATAATAKRDVAWMRDPKTGCWAPENRVDEVDAVDLRNLLLNYK >ONIVA03G22550.1 pep chromosome:AWHD00000000:3:17114889:17115086:1 gene:ONIVA03G22550 transcript:ONIVA03G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLRPFLLAGSPLYRHKVPQGKPACDDGDGRRHRRVEVHGVPEQGGGHGEVSWVAAKEVVQL >ONIVA03G22540.1 pep chromosome:AWHD00000000:3:17104532:17105065:-1 gene:ONIVA03G22540 transcript:ONIVA03G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNU9] MPTPTTIICLLAFLAVLAAATGDGTTTTTHLHFYIHETFAGDNATTASLAPSPLAVAGSNSSFGSVGALDDELREGSDAASRYLGRVEGLVVQADLGNPAAAWTLLTLAFAGGDYNGSTLVLDGRVDFGAAGDMERAVVGGTGRFRRARGYSLMTKFGNPTPNTDVFEMDVYVTTMA >ONIVA03G22530.1 pep chromosome:AWHD00000000:3:17101734:17101916:-1 gene:ONIVA03G22530 transcript:ONIVA03G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLLAARGNSDGIEGRGRGRHHGGEGRGNVGLVADNGGAVVRTWGRSPTAVQPRWSRL >ONIVA03G22520.1 pep chromosome:AWHD00000000:3:17097696:17098833:-1 gene:ONIVA03G22520 transcript:ONIVA03G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNU7] MLTLAFSLGLSDDGDYKGSTLVLDGRVDFGGGGAAERDVVGGTGRFRRARGYSLTTKFGNPTPSTGFPLLLLEYDPISSGCK >ONIVA03G22510.1 pep chromosome:AWHD00000000:3:17092613:17096460:1 gene:ONIVA03G22510 transcript:ONIVA03G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSLVKLGAWGGDHGGKEYDVTVAPQRLEGFWLRYGKVIDCISFSYLDKDKNLHTIGPWGGQGGLSEETITFEPSEYVKEVHGSVGPIGDYTHVVTSLKLVTSQRTIGPFGNGAGTPFAVPVLNNGSVVGFFARAGPYLESIGIYVHPF >ONIVA03G22500.1 pep chromosome:AWHD00000000:3:17089965:17090540:1 gene:ONIVA03G22500 transcript:ONIVA03G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATNELQFVWVQLQAGSTVAKIGPWGGDYGGRDHDVTVAPRRLRSVSLRHGKIIDSIAFTYDGGDGDGELHSVGPWGGDGAELPEAVARKLAAGERPPGATVAEFTFDAGERVTEVHGTVGPFGDRDSLVTSLKLVTDRRTIGPFGYGAGTPFSVPVRGDGGVVGFFVRAGAYLEAIGVYVNPCIPSEK >ONIVA03G22490.1 pep chromosome:AWHD00000000:3:17078806:17079168:1 gene:ONIVA03G22490 transcript:ONIVA03G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLPARRSLALPAPRHHRCRPRFATVPAPRRRPSPLHRAAPPPAAVPDKSSPPSPHRAGAPRLSTAPPPSPLRRIGLQVSADSTEHDELNTKSSKRGPSPSCSGRPDLGGAEASPWAW >ONIVA03G22480.1 pep chromosome:AWHD00000000:3:17062946:17074616:-1 gene:ONIVA03G22480 transcript:ONIVA03G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMRMQQPMDASDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDKIRVCNFCFKQWEQERAAANKQMMPLLSPSLSEASLFSTKSAITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVCHDMLDTHVPEKSMSTVSNKDETSSVHFGYYTNRSDDEEEECSAYCSDRQVQHQQHNDHYYGPDEFDELESSYNPTISPTVEENVISKEVSSHATDQGFPSTLPVTKMDDEPDPDNSSECGAASSIYALESTDTNPLDFEKNELFWFPPEPEDEEDEMEVGLFDDDDDDEPVADSEQRRIRSSSSFGSGEFRNRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLACGHRSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYQRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVEHTVSRYAQDLLLEKNISLVLNIKRPLLDRIARCTNAHIVPSIDLLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPEIPLESPLTVALPDSRSTADSSISTVPGFTFNVSNSRQTTDGFEHPVAGSIRSTDPGGTDVPPVSNECTTQTRTTFSHSSGTWSANGGSLNSKTVDRIEKATATSATTSGVLMDHSYTYSTLEKNWYSGDYHEYGSTMSDVKTMTTVLANSNGSCHHGTSEASTNITNFANLKEPFDGSIDLANVENVTNSNVVMVQPVPSTAVQNQETNQGHESTSNKEEIMASDHQSILVALSIRCVWKGTICERSHMLRIKYYGNFDKPLGRFLRDCLFNQGYQCISCDKPPEAHVHCYTHQQGSLTISVRKHTEFVLPGERDGKIWMWHRCLKCPWSNGFPPATLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPYKLDFSHQPLDWIQKEANEVIDRAKVLFDEISRALHQHSDKRAHSGSLNMECGNHIVDLEGILRREKLEFEGCLNKVIKKETQKIQPDILEINRLRRQLLFHSYLWDQRLISATRSDRSHQEPYNFKPADKEMVQSIGSIAEQNAIEKPQSEISATEASFKDHKYVECLQESIDGGNSPGVDPCNSCPNHDQQIAISESDLIQRGSKTPLHSSVSINVESVPLESDIVARRTLSEGQFPSLLDVSNALDAKWTGENDPVPSSAIVPDCVASSEDSEEHVTDTPSYASVFLNKLGDSAEDQSNWLGMPFLQLYRALNKQWCRSNRFDALNEYTPVHVSFLRTVERQVGPKFLFPIGVNDTVVGIYDDEPTSIISYALASHEYHLQLSDELESDTTDNSLSVTDLRGASLTESVDETASELLRSFVSTEDNILYMSGGKNPSPSDPLAYRKASHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMKMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIGVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKMRFRKAMSTYFLVVPDQWSPPAVVPSKQGAENNQDND >ONIVA03G22480.2 pep chromosome:AWHD00000000:3:17062946:17074616:-1 gene:ONIVA03G22480 transcript:ONIVA03G22480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMRMQQPMDASDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDKIRVCNFCFKQWEQERAAANKQMMPLLSPSLSEASLFSTKSAITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVCHDMLDTHVPEKSMSTVSNKDETSSVHFGYYTNRSDDEEEECSAYCSDRQVQHQQHNDHYYGPDEFDELESSYNPTISPTVEENVISKEVSSHATDQGFPSTLPVTKMDDEPDPDNSSECGAASSIYALESTDTNPLDFEKNELFWFPPEPEDEEDEMEVGLFDDDDDDEPVADSEQRRIRSSSSFGSGEFRNRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKNVAHRRMTSRIEKPRLLLLAGALEYQRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVEHTVSRYAQDLLLEKNISLVLNIKRPLLDRIARCTNAHIVPSIDLLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPEIPLESPLTVALPDSRSTADSSISTVPGFTFNVSNSRQTTDGFEHPVAGSIRSTDPGGTDVPPVSNECTTQTRTTFSHSSGTWSANGGSLNSKTVDRIEKATATSATTSGVLMDHSYTYSTLEKNWYSGDYHEYGSTMSDVKTMTTVLANSNGSCHHGTSEASTNITNFANLKEPFDGSIDLANVENVTNSNVVMVQPVPSTAVQNQETNQGHESTSNKEEIMASDHQSILVALSIRCVWKGTICERSHMLRIKYYGNFDKPLGRFLRDCLFNQGYQCISCDKPPEAHVHCYTHQQGSLTISVRKHTEFVLPGERDGKIWMWHRCLKCPWSNGFPPATLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPYKLDFSHQPLDWIQKEANEVIDRAKVLFDEISRALHQHSDKRAHSGSLNMECGNHIVDLEGILRREKLEFEGCLNKVIKKETQKIQPDILEINRLRRQLLFHSYLWDQRLISATRSDRSHQEPYNFKPADKEMVQSIGSIAEQNAIEKPQSEISATEASFKDHKYVECLQESIDGGNSPGVDPCNSCPNHDQQIAISESDLIQRGSKTPLHSSVSINVESVPLESDIVARRTLSEGQFPSLLDVSNALDAKWTGENDPVPSSAIVPDCVASSEDSEEHVTDTPSYASVFLNKLGDSAEDQSNWLGMPFLQLYRALNKQWCRSNRFDALNEYTPVHVSFLRTVERQVGPKFLFPIGVNDTVVGIYDDEPTSIISYALASHEYHLQLSDELESDTTDNSLSVTDLRGASLTESVDETASELLRSFVSTEDNILYMSGGKNPSPSDPLAYRKASHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMKMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIGVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKMRFRKAMSTYFLVVPDQWSPPAVVPSKQGAENNQDND >ONIVA03G22480.3 pep chromosome:AWHD00000000:3:17062946:17074616:-1 gene:ONIVA03G22480 transcript:ONIVA03G22480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMRMQQPMDASDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDKIRVCNFCFKQWEQERAAANKQMMPLLSPSLSEASLFSTKSAITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVCHDMLDTHVPEKSMSTVSNKDETSSVHFGYYTNRSDDEEEECSAYCSDRQVQHQQHNDHYYGPDEFDELESSYNPTISPTVEENVISKEVSSHATDQGFPSTLPVTKMDDEPDPDNSSECGAASSIYALESTDTNPLDFEKNELFWFPPEPEDEEDEMEVGLFDDDDDDEPVADSEQRRIRSSSSFGSGEFRNRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKFTYYLKPASNCFFSTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYQRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVEHTVSRYAQDLLLEKNISLVLNIKRPLLDRIARCTNAHIVPSIDLLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPEIPLESPLTVALPDSRSTADSSISTVPGFTFNVSNSRQTTDGFEHPVAGSIRSTDPGGTDVPPVSNECTTQTRTTFSHSSGTWSANGGSLNSKTVDRIEKATATSATTSGVLMDHSYTYSTLEKNWYSGDYHEYGSTMSDVKTMTTVLANSNGSCHHGTSEASTNITNFANLKEPFDGSIDLANVENVTNSNVVMVQPVPSTAVQNQETNQGHESTSNKEEIMASDHQSILVALSIRCVWKGTICERSHMLRIKYYGNFDKPLGRFLRDCLFNQGYQCISCDKPPEAHVHCYTHQQGSLTISVRKHTEFVLPGERDGKIWMWHRCLKCPWSNGFPPATLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPYKLDFSHQPLDWIQKEANEVIDRAKVLFDEISRALHQHSDKRAHSGSLNMECGNHIVDLEGILRREKLEFEGCLNKVIKKETQKIQPDILEINRLRRQLLFHSYLWDQRLISATRSDRSHQEPYNFKPADKEMVQSIGSIAEQNAIEKPQSEISATEASFKDHKYVECLQESIDGGNSPGVDPCNSCPNHDQQIAISESDLIQRGSKTPLHSSVSINVESVPLESDIVARRTLSEGQFPSLLDVSNALDAKWTGENDPVPSSAIVPDCVASSEDSEEHVTDTPSYASVFLNKLGDSAEDQSNWLGMPFLQLYRALNKQWCRSNRFDALNEYTPVHVSFLRTVERQVGPKFLFPIGVNDTVVGIYDDEPTSIISYALASHEYHLQLSDELESDTTDNSLSVTDLRGASLTESVDETASELLRSFVSTEDNILYMSGGKNPSPSDPLAYRKASHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMKMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIGVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKMRFRKAMSTYFLVVPDQWSPPAVVPSKQGAENNQDND >ONIVA03G22470.1 pep chromosome:AWHD00000000:3:17062019:17062442:-1 gene:ONIVA03G22470 transcript:ONIVA03G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGIAEPCIVGFAIGWGVAAGGNITTIYRDEDRSGEGRRQTHPARIYPRPRKIDGACSSRH >ONIVA03G22460.1 pep chromosome:AWHD00000000:3:17048774:17049040:-1 gene:ONIVA03G22460 transcript:ONIVA03G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKEAERLDVPFQFNPVVSRLDALDVESLRVKTGEALAICFSLQLHCLLASDDDATAGAGGDKERRSPESGLSPSKSRADAFLGAL >ONIVA03G22450.1 pep chromosome:AWHD00000000:3:17048104:17048755:-1 gene:ONIVA03G22450 transcript:ONIVA03G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTEQEPHAAGLTERFVEVLNYYAALFDCLEVGGARGSVERTRVERWLLGEEIKNIVVCDGGELRERHERLEGAGFGRVPLSYYALLQARRVAQGLRLRRLQGPGGEGQLLPLLARSRPLLRLRMARPPLRRLAPLAAIDASPLLPRHCYLALLPAASPPYSSRRRLALLSIRTAQSREREDEGREEGKERVGLFGQADRCCLRRSHADSAVT >ONIVA03G22440.1 pep chromosome:AWHD00000000:3:17037927:17041203:-1 gene:ONIVA03G22440 transcript:ONIVA03G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESSTPASSWLGIDEAAPFAGKKATKDAAAADAFVRRWPKVVNATLVAFIMTMPPLLILLGGGRPGAPAVWIKSTVASLAARGEPKKDVLLGGLLLPGFDEQSCVSRYQSVYYRKNMTRPPSPHLLRRLRQQEALQRRCGPGTEPYRRASERLRSGQNAGDDFVATVDGCGYLVLISYRGLGNRILAITSAFLYAMLTGRVLLLDPGKTMADLFCEPFQATSWMLPGDFPLEHFRDLGEDAPESYGNVAVNRSGSVAGLRFVYAHLDHAATPANRLLYCDDHRQFLHRVQWVILRTDSYIVPGIFLNPAYKEELDMMFPNKDAVFYLLSRYLLHPTNDVWGMVTRFYHSYLKNADERLGVQIRVFDGDEPFQHILDQILACTSQEHLLPGVVVSGHGGGVAPPPIARSKAVLTTGLNSWYHDSIRDMYWRSPSATGEVVSVHQPSHELHQHFFRSTHDMKALAEMYLLSLTDKIVTSGWSTFGYVGAGLGGLTPYIMIKPENHTVPNPPCVRAMSMEPCDHGPPYFECTKKEIDKIIDTGNLVPHVRSCEDVPWGRKLADPIS >ONIVA03G22430.1 pep chromosome:AWHD00000000:3:17023557:17023967:-1 gene:ONIVA03G22430 transcript:ONIVA03G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSRKVKPVTSSGKGGAAAAAAEGVDDGKVDILSFEVANAMSRAANLYPLAVGRRGGAAARPRCLGSQAVRALVPGDDSWLLALTLAEKLDTLNRVAAVATRLGRRCMLQVHLGFDSSTTSTPTSLLAAPTPP >ONIVA03G22420.1 pep chromosome:AWHD00000000:3:17020252:17038122:1 gene:ONIVA03G22420 transcript:ONIVA03G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGAAAAAAAVPLRKEKQRSAAGRWPEVEDGVVPATAKRHVRRAWRCGVNVVLAAFVMVVPPMVILLDARGAGAPAVWISSVNAFRRGDGSILQWPAAAAAAARDKLLGGLLADGLDDGSCHSRYQSAMYRRNAVESLRSGKSGGIGSPPEAECRYLVSISYRGLGNRMLAAASAFLYAMLTDRVLLRVELLGERERQEPRVVAWHERTHGLGAEAARASSRAASASDSERIQALQAAGGKPWRPGKMSTVLVAVLMTMPPLVVIFSGRIGDQAMWIKTAVDGIRGGTDDDVSFMKHPTTSHDKLLGGLLVDGFDQESCHSRYQSAAYRRNAGRRPSEHLVSKLRRHEDLQRRCGPGTAAYSAAVEQLKSGKSPAEACRYLVSISYRGLGNRILAAASAFLYAMLTYRVLLVDPSNEMGELFCEPFAGTTWLLPPEFPLVGYQGFYLHTAERYGKMREDRVLRPDGGEAAAAAPPPAFAYIHLDYNQTDYDKLFFCDEDQRLLSSIQWLVMRTDSYIVPGLFLVDAFQDELAALFPERDAVFHHLGRYLFHPTNHVWGLVTRYYRGHLAWARRRVGIQVRVSSWEWESPEILRTITSCTQDEGLLPRVLDDTDQEPPATAAESARRGLRPSAVVITSLKSWYYEKMKGMYWERATESGEVVVFDQPSHEEQQMYGVRAHERKAWAEMYLLSTTDVLVTTGKSTFGYVAQGLGGLTPWVLPRREVNGTAPPCRRDMSMEPCFHVAPLYDCKRWEDAGKIVPHVRHCHDMPAGLKLPAAAMATRVKKLGGVAGGGGAAVRVVGVVCAMAVPLFALLVLGGWASASTVWQSAARLTAVTAGFTNASKPSATGDAATGADELFGGLLAAGGGFDRGACLSRHESPRYYKRSPFSPSPYLLQKLRDYEARHRRCGPGTPGYAKSVEQLRSGHSSEVMECNYLVGLPYNGLGNRMLSLVASFLYALLTDRVFLVHFPDDFADHFCEPFPGGEGETATTWVLPPDFPVADLWRLGVHSNQSYGNLLAAKKITGDPARETPVSVPPCVYLHLAHDLRGDDERFYCNDDQLVLAKVNWLLLQSDLYFVPSLYAIPEFQDELRWMFPAKESVTHLLARYLLHPSNSVWGMVMRYHHSYLASAAEMIGVQIRMFSWASIPVDDMYKQVMACSSQERILPDTDGGDAPAPARTNASGGGATTAILVTSLQAEYYERLKGRYYEHAATASGAGGGRRWVGVFQPSHEEKQEMGKRAHNQKALAEIYLLSFADVLLTSGMSTFGYMSSALAGLRPAMLLTAFNHKVPRTPCVRAVSMEPCFHKPPPADATCQGKLAVSENVTRHIKRCEDLAGGLKLFD >ONIVA03G22410.1 pep chromosome:AWHD00000000:3:17007349:17019195:-1 gene:ONIVA03G22410 transcript:ONIVA03G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKRRRRGLHISRYVTCGPYRLGWKGNVAYATVVYDCRMKESADPARVVPHVQSCHDVPWGVKLDVTVVLILPYPAISPITMVTMRLPAVNGEQLILEVGANLSISYTSTGKPPEQVSCCCSAIIATLPTELVSSPMRRHEATIGGDGAAAPWKEQQLASHRWPEAQEGAPAFPPSTMRRLVYSAANAALVVFIMTVPPMVVLYGARSSSPAVWISSANVGGRGSSSDESILLLHRPAAAHDKLLGGLLADGFDEGSCHSRYQSAMYRRNNAGKEPSPHLVSRLRRHEELQRRCGPGTAAYSNAVESLRSGKSGGIGSPPQTECRYLVSISYRGLGNRMLAAASAFLYAMLTDRVLLVDPSNEMGELFCEPFPGTTWLLPPGFPLTNFTSFSVDTTESYGNMLKNKVITTDAAAGDVPTPHQQLPAFAYIHLDHDYTFHDKFFFCDDDQSVLRNVPWLVMRTDSYIVPGLFLVTGFQAELDSLFPETDAVFHHLARYLFHPNNHIWGLVTRYYDAYLATARQRVGVQVRVFGARQESPKVLEQITACAHMENLLPDVITTGEPAATTRRRLKPKAVLVTSLTSWYYEKLKGMYWERATATGEAVGVHQPSHEEYQRFGSGSHDAKACAEIYLLSLSDALVTSGWSTFGYVAQGLAGLTPRVMYKPANESSAVPDPPCRRDVSMEPCFLTPPYNNCRMKRSAHSGKVVAHVKNCHDVPWGLKLGGIGAGITNRPSQQCSAAAAEDGDDYERPCPWIPGKKKKKKITCLAICLIASPILILLVSRRGSPFPSMSGWALPSRMYASKGSKRDVLMGGLLVPGLDERTCASRYSSAMYRKNTARSPCRHLVKRLREQEALQRRCGPGTAAYWRAAERLGSRRNGTAGADDEGCKYLVLVPYRGLGNRMLAMASAFLYAMLTGRALLVDRGESLADLFCEPFPGTSWLLPPEFPIKNLQDLTGEAPESYRNLVQSDRPATSVSELPYVFVDLDHGCTYHDKLFYCDDERHFLHRAPWLLMRTDGYFPPALFLNPAYQDELDRLFPRKDSVFYLLAHYLLHPTNKVWGLITRFYDSYLRDSDERLGIQVRVFDGDTPFKHILDQITACTSQERLLPEVVEQEPSSFPAPAAAATAARSKAVLMTGLNSWYYDNIRSRYWQSPTATGEVVRVHQPSHEEHQLSGSTTHDMKAMAEMYLLSMTDAIVTSGWSTFGYVGHGLGGLSPWVMFKPENLTTPDPPCRRAVSMEPCLHGPPFYDCRVKRGADTGKLVPHVRHCEDMSWGLKLVHPE >ONIVA03G22400.1 pep chromosome:AWHD00000000:3:17005108:17006754:1 gene:ONIVA03G22400 transcript:ONIVA03G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDKLGEEAAAHPPEAEKRRGVAAPGAATVLVLVALPLMLVSYFFGDLAADTVVRLHRFKESSSSSSSPAAAADRLLGGLLSPEFDEASCLSRYEASSRWKPSPFRVSPYLVERLRRYEANHRRCGPGTARYRDAVARLRSGDGDGDAECRYVVWLPIQGLGNRMLSLVSTFLYALLTGRVVLVHEPPEMEGLFCEPFPGTSWLLPPDFPYKGGFSAASNESYVNMLKNGVVRHDGDGGALPPYVYLHLEQIHLRLQNHTFCEEDHRVLDRFNWMVLRSDSYFAVALFLVPAYRAELDRMFPAKGSVFHHLGRYLFHPGNRAWGIVERFYDGYLAGADERLGIQVRIVPQMAVPFDVMYEQILRCTREHGLLPQVTSTSESAGGRPPPPPTATATKVKAVLVVSLKREYYDKLHGAYYTNATASGEVVAVYQPSHDGDQHTEARAHNERALAEIYLLSFSDAVVTTAWSTFGYVAHALAGVRPWQLAPLDWGKMRADVACARPASVEPCLHSPPPLVCRARRDRDPAAHLPFLRHCEDVPAGLKLFD >ONIVA03G22390.1 pep chromosome:AWHD00000000:3:17003314:17004380:-1 gene:ONIVA03G22390 transcript:ONIVA03G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDDTMVVDGGSTLTSKEVFIWANSNNQGLLHVDDIHRTSKFYICTLCSMWLGAEDMVESASDGDMASYDVEACMRVNLLLLNEQMWEARSK >ONIVA03G22380.1 pep chromosome:AWHD00000000:3:16994142:16995881:1 gene:ONIVA03G22380 transcript:ONIVA03G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRLLLSDLLLLLLIAIAVAGAAAAIAIPRAAPAFGVETGWPPEHCLRCFAPPDAPFVLGAAAAIHLGNTNSCIAGYDDDDAPLGAKRSYYQFCIPSWVALAHDNGTVISGEAAMNRAALSPSTAVSAFMRLLHRRQFPLPSPKFVLGLPDQLGVEDDVVKREIELVPYKFTKMLGWVSVQLDTDAEFSVDHLAGILISHLKHTAEAHLGRHINNAVITLPSRLSYSADGRQVLSSAAKEYSGFRAVKVVDEHIAAAAAYGHHTKQGDRKAILVFHLGGRTSHATIFKFVDGTARLIATRAHHFLGGKIDQCPASFLNTSDDFTARIVDHMVEHIKEQHGRDVRQEEKAMVRLRVACEHAKKALSEQQETLVQMDSLLDDGAVFSATLTRAKFEELNHDLLDRAMALVKEVVVTTGGVEVVDEVLVVGGSARIPKVRQLVKDYFNGNGNGTHPNSRGCKGPVDVEPEDAVLHGAALLSRPLPVAEGTAAARSIDFDHWFRGRHLISLV >ONIVA03G22370.1 pep chromosome:AWHD00000000:3:16982386:16983960:1 gene:ONIVA03G22370 transcript:ONIVA03G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMVRARAAASWLLLLVLLHMRIGASSSQLECKLEYTSLALLSCQETTPTTPTPSCCDALLYSLDIWPVNQREKGLCCLCVYVLARQPSFDLATTYITCRGSYAASVAQWTQQLIRGVPPHDCYEPCSVDTGDHPPPLPSGKKNKTRRKKQKQQLGVGVIIAIVVCSLAAAGLLGYCLYHIFFSPAAKARRSPDPSSNNSSASSRQRRA >ONIVA03G22360.1 pep chromosome:AWHD00000000:3:16979719:16981561:-1 gene:ONIVA03G22360 transcript:ONIVA03G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILEQPQLLLLLLLLVAAAAATGATAADDELECPSSIFDHAVNSQGAIQFPVFHKKHQCLRPWSVRATQASSTGASGAGKGGGLNNLQEEEITSSSSTKIDVIEDSSINDFLFLMAVSLGKPPVVNLVAIDTGSTLSWVQCQPCAVHCHTQSAKAGPIFDPGRSYTSRRVRCSSVKCGELRYDLRLQQANCMEKEDSCTYSVTYGNGWAYSVGKMVTDTLRIGDSFMDLMFGCSMDVKYSEFEAGIFGFGSSSFSFFEQLAGYPDILSYKAFSYCLPTDETKPGYMILGRYDRAAMDGGYTPLFRSINRPTYSLTMEMLIANGQRLVTSSSEMIVDSGAQRTSLWPSTFALLDKTITQAMSSIGYHRTSRARQESYICYLSEHDYSGWNGTITPFSNWSALPLLEIGFAGGAALALPPRNVFYTANPALRSQILGNRVTRSFGTTFDIQGKQFGFKYAAC >ONIVA03G22350.1 pep chromosome:AWHD00000000:3:16963449:16967666:1 gene:ONIVA03G22350 transcript:ONIVA03G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein [Source:Projected from Arabidopsis thaliana (AT4G33350) TAIR;Acc:AT4G33350] MPPPPPNSSSSSSSSSLPLAAAPMSNPSAPSSSSSSSLPPNPLAAASSFLHHHLSRLASRFAAPPRPALAAVTASAAPPGPQGASASLSLALAPDEVARALTGTPVFTVCNSSNEFVLVSDPATGLRSLGLLCFRSEDADALLTHVRMRQPVVGRGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALELKSGLTAFDGVPVFQSDLLVVKKQKKRYCPIYFQKVFTIFAFLAQEDIERELTKASKTSRGSALSKQIMVGSLEDVLKKMEMNERNSGWDDLIFIPPGKSLNQHINEVSA >ONIVA03G22340.1 pep chromosome:AWHD00000000:3:16961738:16962037:1 gene:ONIVA03G22340 transcript:ONIVA03G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRYRALPQGEVTVEEFRAWLGQFDADGDGRISRDELQRALRSLNLWFAWWKARAGVRAADANRDGAVAGDDEVATLFAFAQRHLNVKIAELGASYY >ONIVA03G22330.1 pep chromosome:AWHD00000000:3:16951826:16957895:1 gene:ONIVA03G22330 transcript:ONIVA03G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRFYWAPAPPSPSAAGARGVVVVFGWVWSDEAQLRPFVELYASLGWRCLVCHPDLVALYLSEKAASLASGVISELVKEFKVKPLPTVFASFSGGSKGCMYKVIQLLDGNCEGDATMKDYRLVRNCICGQIYDSGPVDFFSDVGTQFLQNPMIGNSSRPSMLLSWMTKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGPVLMLCSEDDDLAPCHVVCGFARRLIELGTDVKVIKWSDSPHVGHYMLHEAEYRSAVNDTLRKALVTFCHRSQLNATSDQEYKIAHSVCSLHNVAANSNERLRRVANGPSDHFFLPSSKDHNESRDPDSLIDEQRRQLSYPPSMEPQGVLGQILFDVCVPKNVEGWDIKPTVSPNGRPTLASARQLGPFNPIKYFRRSRL >ONIVA03G22330.2 pep chromosome:AWHD00000000:3:16951826:16957895:1 gene:ONIVA03G22330 transcript:ONIVA03G22330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRFYWAPAPPSPSAAGARGVVVVFGWVWSDEAQLRPFVELYASLGWRCLVCHPDLVALYLSEKAASLASGVISELVKEFKVKPLPTVFASFSGGSKGCMYKVIQLLDGNCEGDATMKDYRLVRNCICGQIYDSGPVDFFSDVGTQFLQNPMIGNSSRPSMLLSWMTKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGPVLMLCSEDDDLAPCHVVCGFARRLIELGTDVKVIKWSDSPHVGHYMLHEAEYRSAVNDTLRKALVTFCHRSQLNATSDQEYKIAHSVCSLHNVAANSNERLRRVANGPSDHFFLPSSKDHNESRDPDSLIDEQRRQLSYPPSMEPQGVLGQILFDVCVPKNVEGWDIKPTVSPNGRPTLASARQLGPFNPIKYFRRSRL >ONIVA03G22320.1 pep chromosome:AWHD00000000:3:16947292:16949046:-1 gene:ONIVA03G22320 transcript:ONIVA03G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVFTSIGSSTGSTVVTKTSTPGSSPECRSARTARSGTRLMGPRLLSASHWFARPAVYYQHGRVVGCHDCGDNNGTTILKQPEHAGRRSVLGALVRLDGFNGTAILRTVAPTHYENGGWFDGGECTATRPVNESEDGAAPEMAATEAKFYRAQAEEFAAAEAASRRGNGDARAVAPSSAASALRNGEAVRRRQCSNPAAASPDLAGASTCDSTHTRDVTDVTTLLGTAGI >ONIVA03G22310.1 pep chromosome:AWHD00000000:3:16945132:16949481:1 gene:ONIVA03G22310 transcript:ONIVA03G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRDGAAARRQARVVVGGVRTRAAVTARRVVASAEEGCGLVGRGGGGGGGGDDGEGGCYLRLRSRRLPFVAAAVVSSRREEALGDSVAEAASSSSSRAVELLGCSGEEEAMAEKVCTQAGEDHDEESSVGDSGCGRERSATTPSSRRPPGDADSSDAESNQEAKQQMCRRSSTTSAAAFHAGATTRSFRMMAPPAAAAEIEEFLAAAERSEAERFAAKYNFDVVRGVPLDAGGAGRFEWTARFDSDSNWAALGQRVTRCDAESSRGPIKRVPDLAVLADRHSGELPGVDVFVTTVDPVDEPILYTVNTFLSILTTDYPVDRYVCYLSDDGGTLAMDPWWRSPSSPSCGCPSECKPMFLCVLLNNPSCKPRLRLAASAENPVDFSGVDVRLPMLVYISREKPCAGKRQCSNPAAASPDLAGASTCDSTHTRDVTWLLASESPKNSARRLRVH >ONIVA03G22300.1 pep chromosome:AWHD00000000:3:16939952:16940726:-1 gene:ONIVA03G22300 transcript:ONIVA03G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQLEEVKFSRARLLYLTLVITVLFACLMVEIGNMDVLSCIVLCMYCGSTYAYNSTDIHEQHYWFIAALLAKYLRDGAGYIVTANRLLQVVRHTCITSSSQPLAISKILREEAAGITVEDR >ONIVA03G22280.1 pep chromosome:AWHD00000000:3:16927855:16928365:-1 gene:ONIVA03G22280 transcript:ONIVA03G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPHVNGPLSPPREPWRGAADPRGRGGGGTDGRAHDEDTQPAGDLHQTPQVEEIPDVSDMVTLYGAPELLYRSFYFDSNRLEHIHALAIANNDNENLLSGAPHLRHCLGFGPCVGATDEATVHHGQATLPLPRGVLRYEYMRSAVDYFNVMRAWPSLASTLLIMMWS >ONIVA03G22270.1 pep chromosome:AWHD00000000:3:16923172:16923609:1 gene:ONIVA03G22270 transcript:ONIVA03G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAGAMVAGAAAAAAAILALMTTTQHDRLGEECDAVVAPAAQECAVCLCELAGAAGCSEPEAAAAAVRTLPGCGHGFHAECIGRWLPLRAECPICRRPVVTGADGQAPVAVAEAAAAAPAWSRAARMACEFGDGRVVWTRSPSA >ONIVA03G22260.1 pep chromosome:AWHD00000000:3:16901264:16902490:-1 gene:ONIVA03G22260 transcript:ONIVA03G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAARSSRKRRSPPPRGLGDLHDDVLERVLARLPPATFFRLRAVCRRWSAAAASPTFLRACARVPSRDPWFLMLSGARPRPPLAFDAAGRSWIPCRAAPGGSCGGADAAVPVASSGGLVLYRAPGTGELLVANPLTGASRALPSPPGAHGGAPRLHAIAMYGSPYRVALFAGELPDLSMSVFDSSRGSWEGPVALSRRPDAAALLPDDAPSQGGADDTVYFLSKSGDVVATNMQRSASKQYSSVVVAASSDGGDAVAYFLSHSGTVVACDTARRTFAELPRILPVYFEYSIDVVACDGAAYAVVLAEYLDTASLRVWGFAGGAWRQVAAMPPAMSHAFHGKKADINCVGHGGRLMVCVSSGEANGCFMCDVGSNQWEELPKCVNGDGEVNDFLAAFSFEPRLEISV >ONIVA03G22250.1 pep chromosome:AWHD00000000:3:16893096:16894349:-1 gene:ONIVA03G22250 transcript:ONIVA03G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWFITTLSTVGYGDMHAENTGEMVYTTAYMLFNLGLTAYIIGNMTNPVDHGTSRTRKF >ONIVA03G22240.1 pep chromosome:AWHD00000000:3:16884314:16885705:-1 gene:ONIVA03G22240 transcript:ONIVA03G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPASCLRQPGGRFLVRKKSALPADGARPSGGESAAAVSRWHAHRSAASPSRPSTCIPKKLLLARVASISIRSPSPRVGQGLDGRRRWARAGAGVRGEAEEREETMRLGVVAARVGEEEEEASHGDGGAWRRLALGRLAPPADGARPSSGESAAAVSRWPARQSTASPSRPSTSACGELHEDTRRSAMANSLGRIVAVEGGDHVCRVVAALIRPSSHHQRRRAAFLPRPSHLSAMSVAAAASSREGGAAADEAERRHSPSAVASRGRRTWRRGDAGAGGGISPAGRAPPLPMHLPLSPGRRRSSSQPAPASPLFFSPAGRRPPAAGPLLLSHLSIVVAASPVIAPPDKEQSERKRGKERRGKER >ONIVA03G22230.1 pep chromosome:AWHD00000000:3:16880787:16885734:1 gene:ONIVA03G22230 transcript:ONIVA03G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNR6] MSSDDDDDGSGRTKLIIAAVVMLLAIVLGTVAYVVVDRAGDDDGLSKRGMKSTMRSVDLFCAPTDYRVACKDTLERVLARSSDPADHPHAAAAAAITAVERELARGFDRSSVLEAVRASNDSRVAEALRDCRTLLGDCRGDVSRALTSIAWRGVDAVSQDLQAWLSAVITFQGSCVDMFPQGPIKDQVREAMEKAREISSNAIAIIQQGAAFAAMLDLHASESHAAEGEELDVDHDIQHHVDRHLEDQSLPPVPPWLSDEDRRMLTSGEEFVAGLTPNVTVAKDGSGDFTNISAALDALPEAYAGKYIIYVKEGVYDETVNVTSRMANITMYGDGSKKSIVTGSKNIADGVRMWKTATFAVDGDRFTAMRLGIRNTAGEEKQQALALRVKADKSIFFNCRIEGNQDTLFAQAYRQFYRSCVISGTVDFIFGDAAAMFQRCIILVKPPLPGKPAVVTAHGRRDRQQTTGFVLHHSQVVADEDFAGAGGGSSNTSSSSSGAAPRLAYLGRPWKEHARTIVMESVIGGFVHAQGYMPWEGKDNLGEAFYGEYGNSGQGANSTGRMEMRGFHVLDREKAMQFTVGRFLHGADWIPETGTPVTIGLFGG >ONIVA03G22220.1 pep chromosome:AWHD00000000:3:16865798:16866640:1 gene:ONIVA03G22220 transcript:ONIVA03G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGTSVAAAATMLAAAAAIFITFVVCFYLFLCAKRYRGAAPTIGGGSGGGGRGRARFVFGGPGDGGCGGGRGLDEAAIAALPTKVVAAAAEGGDGGDPAADCAVCITELAAGESARVLPRCGHGFHVECVDMWLRSNSTCPLCRCAVVDEALPPPPAVRPPEADAESPNFPTNVLFFGSQDAVRTGGAAAATPPPPPPSSHHQQQPAFPPQPSAGPIAGVAAVVEAARIAALRRLLGCGGATPPPPPAPAQGDRDVEMGLPGGESSASRPATKPQPGS >ONIVA03G22210.1 pep chromosome:AWHD00000000:3:16859375:16860043:-1 gene:ONIVA03G22210 transcript:ONIVA03G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITATGGSTALGDGEDLAGREGAAATVAMMVASGGGSDNRVGSTRATVTTTTVTAAMTTMMLRACGEHGSGSFFPPLLLPIPDLAKGERQPWRRQQVGGGGSDDGGDDDDCDRGNGRWAVAADMTASVMTTTATVAATTPPPLPAARCIAGGCEYNCIY >ONIVA03G22200.1 pep chromosome:AWHD00000000:3:16844729:16850242:-1 gene:ONIVA03G22200 transcript:ONIVA03G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSSSWFRAASGSGMASVGFTGVVAMLLMATAFLGVTADTSSDDVTALNTFYTSLNSPSQLTNWVAQNGDPCGQSWLGITCSGSRVITIKLPGMGLKGTLGYNMNVMTALVELDASNNNLGGGDIPYNLPPNLERLNLENNSFTGTLPYSISQMASLKYLNLGHNQLSSINVMFNQLTNLATLDLSDNTFSGTLPDSFSNLTSLTMLHLQDNRFTGTIDVLSDLPLTDLNVQNNQLSGAIPDKLKGISNLQISGNSFSNSPVSPAPSSPPSTTSQSPPRQPSTRNPRNRNRNPPIGSNGDNGGNGDGSGGDSGGRSSKIGGGAVAGIVISLVVLGAMVGFFVFKRKSTRHQRGGDPEKNEPLTLRPIASGKFNQLRTISIISPTAKEGLQKTVSMNLKPPSKIDLHKSFDENDLTNKPVLAKNVDLSSIRATAYTVADLQMATESFSADNLIGEGSFGRVYRAEISDESDHKVLAVKKINVSAFPSKPSDFFIDLVAKISKLNHPNLSELDGYCLEHGQYLLAYEFYRNGSLHDFLHLSDGYSKPLSWNSRVKIALGSARALEYMHETCSPSIIHKNFKSSNILLDNELNPHVSDCGFAELIPNQELQESDENSGYRAPEVTMSGQYSQKSDVYSFGVVMLELLTGRKAFDRSQPWPQQSLVRWASPQLHDIDALDQMVDPALEGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRSSMGSVLSGGESISRRYDDSGDYTF >ONIVA03G22190.1 pep chromosome:AWHD00000000:3:16842604:16844082:1 gene:ONIVA03G22190 transcript:ONIVA03G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G20870) TAIR;Acc:AT5G20870] MGSCAAVHALAVAWAVAALLSRATPAGALAANWGTRALHPLPGDVTVRLLRDNGFDKVKLFEADPSALRALGHTGIQVMVGLPNELLAPVSSSVAAAEQWVLHNVSSYISKLGVDIRAVAVGNEPFLKSYKGKFEAATLPAVQNVQAALVKAGLARQVRVTVPLNADVYESLDGRPSAGDFRPDIAGLMVGLVRFLLDNGGFLTINIYPFLSLQADPNFPADYAYFPSPGSPPSQASVQDGGVLYTNVFDANYDTLISALEKHGLGAIAVVVGEIGWPTDGDKSANAANAQRFNQGLFDRILAGKGTPRRPQMPDVYVFALLDEDAKSIDPGSFERHWGVFNYDGSLKYNLRLAGGRSIAPARGVRYLSRQWCVLRPDASPADPAIGGAVGYACQYADCTSLGAGSSCRGLDARGNVSYAFNQFFQAANQMKGSCNFNNLSMITTSDPSQGTCRFQIEIDTGRHDLTVASSASAAATSVAAVLLLALLGLVI >ONIVA03G22180.1 pep chromosome:AWHD00000000:3:16837593:16839311:1 gene:ONIVA03G22180 transcript:ONIVA03G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10580) TAIR;Acc:AT1G10580] MDLLQSSYTPDDEDDDEQQPLSSPDASPVRLPAKSAAPAVDDTALALSAAAASTSRPLDPSLHLVPFNPTADQLWAPVLGPQHPHAPISSASGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYAADPSGLHIVGDAQPSAEPDTVYNLAPSEHKRRRLQSKDEEGANQEPLPPEAKNPASDEWILRNKQSPWAGKKEAPPAELTEEQRQYAEAHAAKKAEKEARGEGKGEKSDMVAKSTFHGKEERDYQGRSWITPPKDAKASNERCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLESRTCMRTYMGHSKAVRDISFSNDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHVLLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYW >ONIVA03G22170.1 pep chromosome:AWHD00000000:3:16832110:16836834:1 gene:ONIVA03G22170 transcript:ONIVA03G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAEKAELGMEELELEEGGGSPSPSPMTAAGKMQALDFEHIGSLAAVAESLSTGSKWRRALTSVRVVILQAKINVLLPFGPLAVMLHYLSANHQGWVFLFSLIGITPLAERLGYATEQLALYTGPTIGGLLNATFGNATEMIISLYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLVHPSRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEVQYGKSEVSLSRFSSCIMLVAYASYLFFQLKSQRSLYSPIGEEEEVTEDEEEEKEITQGEAICWLFVLTIWISILSGYLVDAIQGASESLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWIMGQQMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPDSTGNK >ONIVA03G22160.1 pep chromosome:AWHD00000000:3:16823163:16830597:1 gene:ONIVA03G22160 transcript:ONIVA03G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53710) TAIR;Acc:AT1G53710] MQSVTRLTLLLCAAWAAALLYGEMGAYWAARLSCSWPSSSSSPPSSLSPNNHVKIAVVADPQLMDSTSLGLPPSSIALQAAEFYTDLNMRRSFQSVVLPFKPDMLLFLGDHFDGGPYMSNEEWHESLSRFKHIFSMNEHITNPNIPIYYLSGNHDIGYSAFHKIHPEVISRYEKEFGSRNYQFSAGKVDFVVVDAQTLDGAKESKERSSSWEFIKALSPGNKTNPKVLLTHIPLYRPDNSPCGPHRSSPVINQRVSNAAMDQGITYQNYLSIETSDLLLHLLKPVLVLSGHDHDQCTVVHPTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKLPANSTDIEHEFVVTLLLLILWPTNGLRSLPYVNSFVSFMRSVAAELFSRTKEKDDEEDGEYEMVWDAEGSMHLVKKAVARTPSTSSDSRTTGRGNVVARPTARKNQPEPDSSVLVEMSSEMISEDGGKVPRSSKLKIRRVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDR >ONIVA03G22160.2 pep chromosome:AWHD00000000:3:16823163:16830597:1 gene:ONIVA03G22160 transcript:ONIVA03G22160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53710) TAIR;Acc:AT1G53710] MQSVTRLTLLLCAAWAAALLYGEMGAYWAARLSCSWPSSSSSPPSSLSPNNHVKIAVVADPQLMDSTSLGLPPSSIALQAAEFYTDLNMRRSFQSVVLPFKPDMLLFLGNHDIGYSAFHKIHPEVISRYEKEFGSRNYQFSAGKVDFVVVDAQTLDGAKESKERSSSWEFIKALSPGNKTNPKVLLTHIPLYRPDNSPCGPHRSSPVINQRVSNAAMDQGITYQNYLSIETSDLLLHLLKPVLVLSGHDHDQCTVVHPTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKLPANSTDIEHEFVVTLLLLILWPTNGLRSLPYVNSFVSFMRSVAAELFSRTKEKDDEEDGEYEMVWDAEGSMHLVKKAVARTPSTSSDSRTTGRGNVVARPTARKNQPEPDSSVLVEMSSEMISEDGGKVPRSSKLKIRRVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDR >ONIVA03G22150.1 pep chromosome:AWHD00000000:3:16822789:16823004:1 gene:ONIVA03G22150 transcript:ONIVA03G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEEEDSELLVVDMEMTGEDELLSLNGGGRGHEGQFCLITRWRSENDFENAQKGQNGKVAVSTRFIVAF >ONIVA03G22140.1 pep chromosome:AWHD00000000:3:16810875:16817467:1 gene:ONIVA03G22140 transcript:ONIVA03G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDAEDLPPAITKLGRHFRLTEAHIWDGWYAAGADVSHRSWRSDDIDSGGCCQTDKVQNKPTKQTDEGDLFVEDLELSNLMGSLGLPVSFSTSKEKKNAPNKVKKNGRRVSYEAANTLIDDDSRTCTGTKETESIVQLMACVEQTNPCSSSRITVGYNEVCQGDIEKMDKDIVYANEQEESGDLCSSKVLSSSKAEDNYEHETCQFHANMNNPVKADSPVRENETAEVVLQLNKEMLGQNSVDNESRFSSAEICMEGGLSTIKDQLSGETPSTSHDNKDVDHETCLSSAEPSPVDNNPAQKSDSSFYFEYGDWRVLWDPFYSRYYFYNILTQESTWYPPHGLEDFASHSNTCIPEDLDEFGSQNKSTPAQEHDQAGGDKHLDEQGQACYSELSNLSDIPDGERINQCMVTFTDEARHTDNIHHQNDSSMSEISEMNQEIGRTKKKKRVRRSKSYHSCQDLAGNISNDIAKYWAQRYSLFSLFDSGIKMDEEGWFSVTPELIAKHHASRVGAGIVIDCFTGVGGNAIHFANKCRHVIAIDIDPQKIDCAQHNATVYGVHDHIDFVRGDFIHVAPRLKGETVFMSPPWGGPDYAKVDVYDIKTMLKPCDGYSLFKLGTSIASRVVMFLPRNIDQNQLADMCLSVDPPWAVEVEKNFLNGKLKAITAYFEQQDGSDVQDASDTNPQNPEYHA >ONIVA03G22130.1 pep chromosome:AWHD00000000:3:16805702:16806476:-1 gene:ONIVA03G22130 transcript:ONIVA03G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERRRLRWRTAHGDGERRLRARSTGSGGERRRRGNVQAEVTTAAATGAAHGGLVVLPELRRDLPHSGEEAVGDGEEGVGAEVEGAEAAERLRQHGEVIAEGIEAEVEFGEGREHAEVGADEVAGETAAAGVDGSDAPLAVAGHADPGAAAWVRRACTFARPSLRRRRPPPAPVHRAPVHACRERERD >ONIVA03G22120.1 pep chromosome:AWHD00000000:3:16795703:16796404:-1 gene:ONIVA03G22120 transcript:ONIVA03G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGAAGGGAMLPAAARRGQPPQPPCMTTAPEQQAAAGGAVIWPAAAAAEAKEKMVVDARTMQLFPTRSADGVVVSPAPAPAAAQERRRPEVHVTPSVPATAPTAPLTIVYGGQVLVFEHYTAEAAEKLVQRTQHLLAAAAGGGGGNKNNNVTVVTPPPDEPPMLLPPPQMPAASGVSAGGVMPIARKASLQRFLQKRKQK >ONIVA03G22110.1 pep chromosome:AWHD00000000:3:16793323:16793660:1 gene:ONIVA03G22110 transcript:ONIVA03G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVELLLVQVYRLITFQVVTKALWVRKNEKMRRCEAIRHQHDQLRRGDPLADVAKEEMQRRWRGDFKFFCYCVDFCDA >ONIVA03G22100.1 pep chromosome:AWHD00000000:3:16790126:16792364:1 gene:ONIVA03G22100 transcript:ONIVA03G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRARGGGGCAAERRRLLGGRGVAAAMQPRGGDGRAARGGGGQPARAHLDREEARGGRGGCAQRSPDEGDAAEEQQCERWGGADGGASTPAISNGRPAMPPPPSPSSHPPRHRQSQSVARMVAAGLSLLAHSHGSQGTNKVGALGLAAGRDTGDFGRKPSPFRADSGDALEHHRNPAGGIVVASLPSMVESLGENHTLVSRVADGGTISIETLLNASFGGLSSQTPV >ONIVA03G22090.1 pep chromosome:AWHD00000000:3:16787022:16789265:-1 gene:ONIVA03G22090 transcript:ONIVA03G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33990) TAIR;Acc:AT4G33990] MRTPALLPRGVEAVVVTSGHLRRLDPHVHAPLLLANTLISAFSRASLPRLALPLLRHLLSSSLLPLRPDAFTFPPLLRAAQGPGTAAQLHACALRLGLLRGDAFASGALVHAYLRFGRVRDAYRAFDEMRHRDVPAWNAMLSGLCRNARADEAVGLFGRMVTEGVAGDAVTVSSVLPMCVLLGDRALALAMHLYAVKHGLDDELFVCNAMIDVYGKLGMLEEARKVFDGMSSRDLVTWNSIISGHEQGGQVASAVEMFCGMRDSGVSPDVLTLLSLASAIAQCGDICGGRSVHCYMVRRGWDVGDIIAGNAIVDMYAKLSKIEAAQRMFDSMPVRDAVSWNTLITGYMQNGLASEAIHVYDHMQKHEGLKPIQGTFVSVLPAYSHLGALQQGTRMHALSIKTGLNLDVYVGTCVIDLYAKCGKLDEAMLLFEQTPRRSTGPWNAVISGVGVHGHGAKALSLFSQMQQEGISPDHVTFVSLLAACSHAGLVDQGRNFFNMMQTAYGIKPIAKHYACMVDMFGRAGQLDDAFDFIRNMPIKPDSAIWGALLGACRIHGNVEMGKVASQNLFELDPENVGYYVLMSNMYAKVGKWDGVDEVRSLVRRQNLQKTPGWSSIEVKRSVNVFYSGNQMNIHPQHEEIQRELLDLLAKMRSLGYVPDYSFVLQDVEEDEKEQILNNHSERLAIAFGIINTPPRTPLHIYKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDGYCSCGDFW >ONIVA03G22080.1 pep chromosome:AWHD00000000:3:16783514:16784870:-1 gene:ONIVA03G22080 transcript:ONIVA03G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLLLEKQQQQQQKELCIEEDAGTRQSRQEARARGTSPFSRKGCLLLHETSHVRATQRLKKIVKVEWNSGTWRRVCVPDYLSCPETQIKGGLRRNNPCTLLTEIAATSKT >ONIVA03G22080.2 pep chromosome:AWHD00000000:3:16783882:16784870:-1 gene:ONIVA03G22080 transcript:ONIVA03G22080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLLLEKQQQQQQKELCIEEDAGTRQSRQEARARGTSPFSRKGCLLLHETSHVRATQRLKKIVKVEWNSGTWRRVCVPDYLSCPETQIKGGLRRNNPCTLLTEIAATSVGGFVAKVQC >ONIVA03G22080.3 pep chromosome:AWHD00000000:3:16783945:16784870:-1 gene:ONIVA03G22080 transcript:ONIVA03G22080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLLLEKQQQQQQKELCIEEDAGTRQSRQEARARGTSPFSRKGCLLLHETSHVRATQRLKKIVKVEWNSGTWRRVCVPDYLSCPETQIKGGLRRNNPCTLLTEIAATSVGDVFGFLLGFTGDSTALPLF >ONIVA03G22070.1 pep chromosome:AWHD00000000:3:16779915:16781090:1 gene:ONIVA03G22070 transcript:ONIVA03G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRPPLPHGLPRPPSHAAGLRRLSTRASAPTTPRASPATPSPSSAAAAAPSPSALVAHLAAAGVSVLPGLSDPELAHAEAALGGLQLPPDLRDLLAIGVPSGDGFPDYRSPAGLRLLRFAAEEVPAAVAAALPGRRAGGRGRWCSSSPPPLVPLYGRHYVPAVPCLAGNPVFHVSDTGVAVAGANIAAFLLRAFAAEPPRCAPLRRQLSAPMPPPAPSPAPSTARRSLDSATGKAPRWIEFWTDAAAAGDRFVEVSTCTSTRANAAPQWVRLYLEWAGSVLRRGGWGGGEVEEMTTGSGGGGEEAVALALTVDRCCGELGRAGWGAEEVVEALGALLGPRTRKRPAVALPPDVAARVGRLAEAVSRAVFTGSGSGSGGNPEPAKRPF >ONIVA03G22060.1 pep chromosome:AWHD00000000:3:16766950:16774038:1 gene:ONIVA03G22060 transcript:ONIVA03G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Splicing factor, suppressor of white apricot (InterPro:IPR019147); /.../72 Blast hits to 5479 proteins in 321 species: Archae - 0; Bacteria - 89; Metazoa - 5155; Fungi - 712; Plants - 341; Viruses - 39; Other Eukaryotes - 1336 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G36980) TAIR;Acc:AT4G36980] MWHEARKSERKVHDLMDAARRRAQRRAAYLARRRGDPHQSLQVSGARCRVHRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDSSSRPFRVQEKSEEEEELEEFVNFERYRDLIKHRLSDEAGLQHVSQELEAKAILPFSFEKPQSSQTPASKGTYSQVGYSYKGDGNEESEDLNSDEEDEEEEDEEDEKGFSSDDSSDERMESIAKEFGVKRYNWLVYMDKKAKEEEKRQKEIIKGDPSIKKLSRRERRKVSQIEREREREAARSVGRVSYRDPYREQRRSPSYDSYSRFRRSRSRSRSRSPSYSRRHGRGTHAESNYRSKPKTPRVEYITEFGGSDDTSDLKVAGISPPSSPIRVGIPNRSSGGQILEALHSDPASSLSVEQEKSTKNLKAPTSTSALVKLSKGAAGGPGKTVQTEKKETPQERLKRIMSKQLNKQIRKDTAAEIAKKREQERQRQEKLAEVEGDIITEAVVGVEVQGGTIHDPSLIPVRLLALQGTEAGQGTEYQLHQSPMK >ONIVA03G22050.1 pep chromosome:AWHD00000000:3:16738381:16748680:1 gene:ONIVA03G22050 transcript:ONIVA03G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPGKFVSVNLNRSYGQPAPSHHGARPSRPSASSGGGGGGGGGGGMVVLSRSRGSSVSKPQPPKLSVPPPLNLPSLRKEHERFDGAAAAAGGGAASAPVRSGAPTAGWTKPAPAVEKPLPPASVPLPGGGPRPPPYGFPEKAAAAAVVLRGEDFPSLKAAVAPPPPPPAQRHKDADGVRVATPETRPPLGMRPQVTPSRAAEPLSSTGGTGTGDHVSAEKAQRNDLGPLPLVRLRYDSDWADDERDTGLTLPERDSRERGFGRSEPAVAGRDIYGGMRDPFKKEPFVKDLIASSKEGGQDAAWRSPMSSQQDRERTDGRPYSAGRGSSAQSSYRESMNGDASKDSWNTSREPGVRVYGQNGAEPYRNARVGETPGERYGNISNNWYRGNPFQNSFVSKVQPFPGNKGPLNNEPPANFGREKRLTGTPAKPLIEDGGFDSITAVNLSAIKKKKEAAKPADFHDPVRESFEAELDRILRLQEQERQRVLEEQARAREIVRKQEEERERLIREEEERQRLVEEEARHAAWLAEQERLEAAKRAEEQRIAREEEKRKAAIEEERRKEGARKKLQELEARIARRQAESNIRDGDLASCINDELLPGAVKDKDVPQSANTDDRHDFDRMGERINTSASSESSSNNRYNDTVPRVHTLRDGHSSLVDREHAHFSGRTSFQDQESAHYSPRRETFTARRGNYPKKDSYDGFATVTVRPSSRGRTNDSPWASEEYHHGRAPRWDAPRENDRFDKQSDFDTEFFSSDRFGDPAWLPSSSHEGPNRHQGEKMFHSSEDNEFPFTRPRYSMRQPRVPPPPAVARSTVGPSTQHANSSFVESGLRESSSREEHTMQTEYGRVYQEASHQHGTSAEGIGLDEQQNGDRENPILGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGDRTVMSDIDHAASTLDAANTSRINTSSTVSHLEDDEWPSENNENRQKQDEYDEESNSYQEDEINEGDDENLDLDDEFAEGHSTHIEMEPVILGFDEGVQVEIPPNPEVELVSMKNTHSGVMEQQVGSSSVCPSDLVTEAEKALRNLALDQINALTDETNNEPSNSLVASAPGSKLHQAPSTDPIMPPASAVSGRSEVPVNLQFGLFSGPSLIPTPVPAIQIGSIQMPINLHNQINPSLSQVHPSPAPLFQFGQLRYVRPIAQNVQSVSQAMPSIHSSAPAPYILNQYGSSGLPNEINQHTHQNIPREAAQSSSIEKSVVSAANLSFMLQHSDSQKLGAPAINQMVDAEGFHNLLDRSSLGENMCRVSKPESHRNHDISLKRNYRPTSNNRESSQVNSDAKIVSGPKAPGAVPGGRGRKYGYAVKESNIRSTSSVDHSNKDSRGLQRRSRRNIRRTEFRVRENVEKNHIQDESFSHSEQNEKPYSNGTAREIPLKNPNRREGDKSFRANEAIDLSAGPSTCANYYSKTERSTHKAPSYERSHSGNKKSRAGGAIPEGDVNASSQAAVARVVRQQGIEIPVDADGFIEVRSKRQIMSVRRELREKENRSKMRIAKAPRKQHQVSLHSSSSPNLNKGTVSLAEPAKKASLDSVMAVESRVIDPAESSVALKGDKASMTPIGQPLVNAESHTNYYAKKPINSQPSSDAVNSGKLVTSLSEENNKTMPISTPFNIGTWDNSQLNQQVMPLTQTQLEEAMKPGKFEQAGSGFSLEPNNALSPTLGSEKAFPSSASPINSLLAGEKIQFGAVTSPTVLPPVSRTITSGLGPPGSSRPDMKIDRNLPGDSNSTAILFDKETSTTKEPSPNSDDVEAEAEAEAAASAVAVAAISSDEIVGSGADATAASASDNKSFGNKNLAGLASGGQSSTDEPLSVALPADLSVDTPPMSLWHPLPSPQASGPMLSQFPGAQPSHFSCFEMNTMLGGQIFAFGPSDECAGSQGQQPQRSNALPSAPLGAWPQCHSGVESFYRPPTGFAGPFISPGGIPGVQGPPHMVVYNHFAPVGQFSQMGLGFMGTTYIPGDKQPDWKQNQGPPVVGVSQSDPNNQNMVPGQVSSPSVPTPVQHLRPTSIMPIPSPLTMFDIAPFQSSTDIQMQPCWPHMPVAPLHTVPLSVPLQQHPMDGTAAAQFVHNIQVDNKASSNNRFQEPSASVVPADNSKNIPNASATRFTDDLGLVEQPASTRVSNSNGSQVASMPSKPHQSSSSSGQQYQHQVNNQDRRSRVTQKTGAVNEWQRRSGYQGRNQNSGSDKNLGTGRMKQIYVAKSSSASGHAPSG >ONIVA03G22040.1 pep chromosome:AWHD00000000:3:16723887:16726441:-1 gene:ONIVA03G22040 transcript:ONIVA03G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNTNDHGTARTRDGEETASVPVENPDSSHEMEKKLTHNLTENGQAPTGHTVPSLPDTVSKLKPAQESDDSLKDKTDLPTSTSKTEVNNISENGSTNQSTMLSDELRTKEDKMNHHENIAATTNKKAETDARPESPYRGLIDTAAPFESVREAVTKFGGIVDWKAYRSQTLERRRVMQLELEKAQQEIPQFKQDSEACEMAKLQVVEELGRTRRLVEELKHKLERAEIDVDQAKQDSELAQLRAQEMEQGIDDEASVIAQTQLAVAKERHQKAVDELKLVKEEMRSTHEKHTVLASERDIAAKRAEQAISAAKETEKRVEELTLELIAIKESLESAHAAHHEAEEHKLGAALAKEQDCLAWEKELQQAQEELQQLNMQLVSKTDAKSKIDENTHMLQILSKELAAYTENKMSEEAGVIEEDGSDEAKEISRSIKRALASTRKELEGVRGNIEKAKDETNLIRAIAESIKSEVDKEKASLVTLQQREGMASIAVSSLEAELNRTKEEIEMVYIKEAETREKMAELPKMLQQAAQEAEDAKVAAHSAQEELRKAKEEAEQTKAAAATAEIRLRAVLKEIEASKASEKLALVAAQALQESEETSSVEDSPRTITLPISEYHSLSKRVYEAEELANERVAAALAQIELAKESETRTLERLQQETKEMHKKKDALQIALQRADRAKEGKLGAEQELRKWRAELEQRRKAAKHVANPWTAPPIRSPEQKGSYKEDDAVLTEPNSPMSNSSTDDFVVDQKLRKKKTFFPQMSSILSRKAQT >ONIVA03G22030.1 pep chromosome:AWHD00000000:3:16720955:16721502:-1 gene:ONIVA03G22030 transcript:ONIVA03G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSITLKIPAMRCRAIISSPPPMTLEEFKKWFMKFDTNNDGRISGAELREAIRSKGFGFSAWWKSIVALHQADKDRNGYIDEFEIENLVTFAQKVLGIKITTWQQHLDNVQKAVKGVLAVTSVSVLAVHFDNYNEQKLKLNPFMFFGQYFFIAYW >ONIVA03G22020.1 pep chromosome:AWHD00000000:3:16716544:16720544:1 gene:ONIVA03G22020 transcript:ONIVA03G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGEVDGSGVPLAVLLKRELCNQKVERPDMLFGEASKSKKGEDFTFLLPKCSRRPGQAQADGEDAGGAGDDDTISVFAIFDGHNGSAAAIYTRENLLNNVLAAIPPNLTSEEWTTALPRALVAGFVKTDKEFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGSVYFLSADHRLDTNEEEVERVTASGGDVGRINIAGGAGIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPHVKQVKLSNAGGRLVIASDGVWDALRFQEALNYTRGLPAEAAASRIVKESVSSKGLRDDTTCIVVDILPPEKLSPPLKKHGKGGIKALFRRRPSDELTEDQMDRGCLEPDVVEEIYEEGSAMLAQRLKINYPTGNMFKLHDCAVCQLVMKPGEGISVHGSIPRNSRILNRQSNLFSSSLQRSCHMVSDHKTASPFPRFDSFNMSISFLLSPF >ONIVA03G22010.1 pep chromosome:AWHD00000000:3:16708495:16711271:-1 gene:ONIVA03G22010 transcript:ONIVA03G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) TAIR;Acc:AT2G26550] MPLAAAVAASAVVPPRPPPPPPRRARPLRSFTGLILTRDLAALTVARCAPSPPAPAAEAEAEAVAVDEAPPAKPRPRRYPRQYPGEAVGVAEEMRFVAMRLRNPKRTTLKMDDTGAEEEVGDGVSEDASASEEEEEEEDDDVVEEEEEGAGLEGEWMPSMEGFVKYLVDSKLVFDTVERIVAESTDVAYVYFRKSGLERSARITKDLEWFGGQGIAVPEPSTAGSTYATYLTELAESNAPAFLSHYYNIYFAHTTGGVAIGNKISKKILEGRELEFYKWDSDVELLLKDTREKLNELSKHWSRKDRNLCLKEAAKCFQHLGRIVRLIIL >ONIVA03G22000.1 pep chromosome:AWHD00000000:3:16692158:16695783:-1 gene:ONIVA03G22000 transcript:ONIVA03G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKAEEKPAGGGGGGGGEDWWCYQFGNKDALIVKSSKKSPLALRMVVFAMTMICGIFICTMCMKQLGSDSLSRIVKIEVAEQLCNKSAILHSEVHFVHYPQPITYSRSECKCTPVRFFAIISSQRSGSGWFETLLNSHMNVSSNGEIFSSKERRSNISSITKTLDKVYNLDWNSSASKNECTAAVGLKWMLNQGLVANHADIADYFNRRGVSAIFLFRRNLLRQLVSQLANNHDRYLKQLNGTHKAHVHTAYEASILARYKPRLNTTSLIRSLKQVDDYTRDALENLKSINHITIYYEDLIRNRTKLLDVLDFLKVPRSKLVSRHVKIHTKPLSEQIENWDEVYNALNGTQYESFLNADYRI >ONIVA03G22000.2 pep chromosome:AWHD00000000:3:16692407:16695783:-1 gene:ONIVA03G22000 transcript:ONIVA03G22000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKAEEKPAGGGGGGGGEDWWCYQFGNKDALIVKSSKKSPLALRMVVFAMTMICGIFICTMCMKQLGSDSLSRIVKIEVAEQLCNKSAILHSEVHFVHYPQPITYSRSECKCTPVRFFAIISSQRSGSGWFETLLNSHMNVSSNGEIFSSKERRSNISSITKTLDKVYNLDWNSSASKNECTAAVGLKWMLNQGLVANHADIADYFNRRGVSAIFLFRRNLLRQLVSQLANNHDRYLKQLNGTHKAHVHTAYEASILARYKPRLNTTSLIRSLKQVDDYTRDALENLKSINHITIYYEDLIRNRTVEFCG >ONIVA03G21990.1 pep chromosome:AWHD00000000:3:16689409:16690375:1 gene:ONIVA03G21990 transcript:ONIVA03G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPMWLPFGGAELRETAVAELEPHVRRLPGQLAQPRTRRATPSPPPRDDDGDEFDLRPPPSRVHELEEVPGEFRHVGDAVVRDDANSTRRMTVSLMAPSFLARWHENATDSRSSGPPRKTAAIWVPTFWSTWRFLAAVVSSLVDILLEAGANSARGKLEHLAVELMAMVLAGTPSNLACSAAPAIGFVAGDNLTVPLRCACPSLPQVTVVVAAALDARHGMTCP >ONIVA03G21980.1 pep chromosome:AWHD00000000:3:16685471:16685875:1 gene:ONIVA03G21980 transcript:ONIVA03G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLNLIFVLGIIFFLSSDMIIVCSQGRPLIAEAAAAAAAQQQRHLLSSSSSAPRSGGDVEEAAAGGGKGTTTAMTQGTLSPDAAESSGGGVGIVEDARPTAPGHSPGAGHAFTNKNGVGRRLLVVTISTLI >ONIVA03G21970.1 pep chromosome:AWHD00000000:3:16667411:16667719:1 gene:ONIVA03G21970 transcript:ONIVA03G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKSSNSISQAFFLVLIILASQVMLSHGIPLEMHRRYLLSHAADATKGVMEGTITPTEGEGFAGANDDVRPTNPGHSPGIGHAFTNNKIGRKLLLAADDV >ONIVA03G21960.1 pep chromosome:AWHD00000000:3:16657450:16657998:-1 gene:ONIVA03G21960 transcript:ONIVA03G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKNVANICTMLAILVFSLQLFSSQGRPLPDDDGITSEMQIRRYLLSHGIGVVEGAVSPSSEIGGPMVGASGGVRPTNPGHSPGIGHHVVINGDVDDDDVRPTNPGHSPGIGHHAIVNGADDADDVRPTNPGHSPGIGHAVVNGADDDADDVRPTSPGHSPGIGHAFVNKIDGPAGKKKL >ONIVA03G21950.1 pep chromosome:AWHD00000000:3:16630047:16632001:1 gene:ONIVA03G21950 transcript:ONIVA03G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNN4] MAASYSCRRTCEACSTRAMAGCVVGEPASAPGQRVTLLAIDGGGIRGLIPGTILAFLEARLQELDGPDARLADYFDCIAGTSTGGLITAMLAAPGDHGRPLFAASDINRFYLDNGPRIFPQKRCGMAAAMAALTRPRYNGKYLQGKIRKMLGETRVRDTLTNVVIPTFDVRLLQPTIFSTYDAKSMPLKNALLSDICISTSAAPTYLPAHCFQTTDDATGKVREFDLIDGGVAANNPTMVAMTQITKKIMVKDKEELYPVKPSDCGKFLVLSVGTGSTSDQGMYTARQCSRWGIVRWLRNKGMAPIIDIFMAASSDLVDIHAAVMFQSLHSDGDYLRIQDNTLHGDAATVDAATRDNMRALVGIGERMLAQRVSRVNVETGRYVEVPGAGSNADALRGFARQLSEERRARLGRRNACGGGGEGEPSGVACKR >ONIVA03G21940.1 pep chromosome:AWHD00000000:3:16618303:16622589:-1 gene:ONIVA03G21940 transcript:ONIVA03G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNN2] MDRRYPLLPLALLALLFLCLLHGERAAAADDDLTSGTGDGSELWGYVQVRPKAHLFWWYYKSPQRASSPGKPWPTILWLQGGPGASGVGLGNFLEVGPLDVNLKPRDSTWLQKADLIFVDNPVGVGYSYADDPSALVTTDWQAATDVTELLRALAAKEIPTLQSSPLFLVAESYGGKYAATLGVSLARAIRAGDLKLNLGGVALGDSWISPEDFTLAYTPLLLEVSRLDDNAGDEASKMAATVKEQITAGQLADSQQSWIDLLGFIDKKSASVDMYNFLLDSGMDPVSADLPAASSSSPSSSSAQLMKYSTYLSSQAADSGSNTIEGIMNGVIKEKLKIIPNNLKWQELSDPVYNALVNDFMKPRINEIDELLSYGINVTVYNGQLDVICSTIGAEAWVKKLKWDGLKNFLSLPRQPLKCGSSKGTKAFVRSYKNLHFYWILGAGHFVSLNIHMPHY >ONIVA03G21940.2 pep chromosome:AWHD00000000:3:16618305:16622589:-1 gene:ONIVA03G21940 transcript:ONIVA03G21940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNN2] MDRRYPLLPLALLALLFLCLLHGERAAAADDDLTSGTGDGSELWGYVQVRPKAHLFWWYYKSPQRASSPGKPWPTILWLQGGPGASGVGLGNFLEVGPLDVNLKPRDSTWLQKADLIFVVSDRDRRTRGGILLSCSVSATCVNGGDGEQDNPVGVGYSYADDPSALVTTDWQAATDVTELLRALAAKEIPTLQSSPLFLVAESYGGKYAATLGVSLARAIRAGDLKLNLGGVALGDSWISPEDFTLAYTPLLLEVSRLDDNAGDEASKMAATVKEQITAGQLADSQQSWIDLLGFIDKKSASVDMYNFLLDSGMDPVSADLPAASSSSPSSSSAQLMKYSTYLSSQAADSGSNTIEGIMNGVIKEKLKIIPNNLKWVSISFAVSHHNDQHNCPNHKTLQFQLNCRWQELSDPVYNALVNDFMKPRINEIDELLSYGINVTVYNGQLDVICSTIGAEAWVKKLKWDGLKNFLSLPRQPLKCGSSKGTKAFVRSYKNLHFYWILGAGHFVSLNIHMPHY >ONIVA03G21940.3 pep chromosome:AWHD00000000:3:16618305:16622589:-1 gene:ONIVA03G21940 transcript:ONIVA03G21940.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNN2] MDRRYPLLPLALLALLFLCLLHGERAAAADDDLTSGTGDGSELWGYVQVRPKAHLFWWYYKSPQRASSPGKPWPTILWLQGGPGASGVGLGNFLEVGPLDVNLKPRDSTWLQKADLIFVDNPVGVGYSYADDPSALVTTDWQAATDVTELLRALAAKEIPTLQSSPLFLVAESYGGKYAATLGVSLARAIRAGDLKLNLGGVALGDSWISPEDFTLAYTPLLLEVSRLDDNAGDEASKMAATVKEQITAGQLADSQQSWIDLLGFIDKKSASVDMYNFLLDSGMDPVSADLPAASSSSPSSSSAQLMKYSTYLSSQAADSGSNTIEGIMNGVIKEKLKIIPNNLKWQELSDPVYNALVNDFMKPRINEIDELLSYGINVTVYNGQLDVICSTIGAEAWVKKLKWDGLKNFLSLPRQPLKCGSSKGTKAFVRSYKNLHFYWILGAGHFVSLNIHMPHY >ONIVA03G21930.1 pep chromosome:AWHD00000000:3:16605779:16606656:1 gene:ONIVA03G21930 transcript:ONIVA03G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLLIVLVASILHTVSSATVYDVLQQNNLPRGLIPQGVTSYVLHPDGHLEVTLPGECNFAVTVGGSPYKFLFDSKFVGLSARKKAIVQVDQAGNQLTFKVGTSSISFPISDFTSSPSGSISEVNGVRVQVKYAFEAIIQVDHAGNQLTFKVGTSSISFPISDFTSSPVCG >ONIVA03G21920.1 pep chromosome:AWHD00000000:3:16603768:16604184:-1 gene:ONIVA03G21920 transcript:ONIVA03G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCLLLIVLAASILYTASSATVYDVLQQNNLPRGLIPQGVTSYVLHPDGHLEVTLPGECNFAVTVGGSPYKFRFDSKFVGLIKSGSISEIKCVRVQVKYLFQAIVQVDQAGNQLTFKVGTSSISFPISDFTSSPVCG >ONIVA03G21910.1 pep chromosome:AWHD00000000:3:16593275:16595760:-1 gene:ONIVA03G21910 transcript:ONIVA03G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATSSLSHAPSAPKSLRMATLALFVLTLLQLSGGGVAAISGGTNDGSERWGYVQVRPKAHLFWWYYRSPHLVKVDGGIIVEVEVDVGVDGGIIVEVEVDDSIILNGEVSIDDDIIIEVVDIIGRHRMV >ONIVA03G21900.1 pep chromosome:AWHD00000000:3:16583059:16590506:-1 gene:ONIVA03G21900 transcript:ONIVA03G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAPMPPLSISQPYSQRRWSEWLRSNVGGEGGGNFLEIGPLDVDLKPRNTSWLKKADLIFVVGLAAVALPLLSLLVFQLADSIFDGGHDAGSSCGRRLQLRGRPERAGDDGLAGGQGRRRAHIKALPDEIPALKRSPLYHVGESYGGKLAAMIGVSLTKSIHAGDLDLTLGGVVIGDGWISPTDSSLTYARLLDDVSRLDENAIDDANKLAEKVSEQSAAGQFAASLQTFTGLIDLIDKSSGGVNIFNFLFNTSGVDLRVLAAEDKQKEVRGSPLMRFVGQDLSGSSGPNTIEGIMNGVIKEKLKIIPNNLVWQLATVAVFNALENEFMKPAINEVDELLSLGVNVTVYNGQLDVICSSIGTRKWLKKLKWNGLNDFFRVLREPMHYFCYPGHDSTAFRRIYKNLQYYWILEAGHNVKEARTSA >ONIVA03G21890.1 pep chromosome:AWHD00000000:3:16565900:16566901:-1 gene:ONIVA03G21890 transcript:ONIVA03G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVAFLTVLICHLLADGAALVTKRTDDKSEIWGYVSVRPRAHVFWWHYTSPHRVSSPTRPWPTILWLQGSQLIDQDRSRYKLACRSPAAS >ONIVA03G21890.2 pep chromosome:AWHD00000000:3:16565926:16566901:-1 gene:ONIVA03G21890 transcript:ONIVA03G21890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVAFLTVLICHLLADGAALVTKRTDDKSEIWGYVSVRPRAHVFWWHYTSPHRVSSPTRPWPTILWLQGSQLIDQGIS >ONIVA03G21880.1 pep chromosome:AWHD00000000:3:16559903:16564208:-1 gene:ONIVA03G21880 transcript:ONIVA03G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVRPLISNVIDRADGWIFEDNGACRTTRWVWATATPTTGAGGLATTDVQAAADATELVKALATRILPDLKSSPLFIVGQAYGGKLAAMIGASLAKPIRAGDIDLTLGGVVIGNGWISPADFSSPNASPGELIIFLVANKHVQLHDWHRHGHDANNGRQHTGSCSSPLTRYLGRDISTIMNGVIKRKLKIIPKDLVWQQFSLDVYEAMKNDFMRPAINVVDELLSLGVNVTLYNGQRKPLHFCLPYYIPNGFVKAHKNLQHYSILQAGQAVPVDQPCTALHMIGAIMQSPDV >ONIVA03G21870.1 pep chromosome:AWHD00000000:3:16558416:16558616:1 gene:ONIVA03G21870 transcript:ONIVA03G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVYNDVTLTGEANPSMWPSWVKHERLHARGIRAFFSNTSYTVDADEAACSIGIRRRTTRIQHSC >ONIVA03G21860.1 pep chromosome:AWHD00000000:3:16543531:16547095:-1 gene:ONIVA03G21860 transcript:ONIVA03G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNM2] MHRREFALFLLYLKMDTHALPLLCILCLITLLQLDGGAAISGGTNDGSERWGYVQVRPKAHLFWWYYKSPQRVSSPGGKPWPTILWLQGGPGASGVGLGNFLEVGPLDGDLKPRGSTWLQKADLIFVDNPVGTGYSYVEDDALLVTTDGEAAADLAALLRALAAKEIPTLQSSPLFLVAESYGGKYAATLGVSLARAIRAGGLKLTLAGVALGDSWISPEDFALSYGPLLRQVSRLDSNGADSASKKAQVVKQRIASGQWKLAQYALSSMLTSIVASSGHVDVYNFLLDTGMDPVAAGAAPARSFPPAYSAYLDSKLSVGDSIRSVMNGAIKEKLKIIPKDVVWEEQSYTVYNALINDFMKPRIQEVDELLSYGVNVTVYNGQLDVICSTVGAEAWVQKLKWDGLKNFLRLPRRPLHCGSSEVTKGFVRSYKNLHFYWILGAGHFVPVDQPCIALDMIGSITQSSVQSHP >ONIVA03G21850.1 pep chromosome:AWHD00000000:3:16534746:16535963:-1 gene:ONIVA03G21850 transcript:ONIVA03G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGSGGGGVASGRVQAERWLEIAGKLLAARDLVGCKRFAERAVEADPLLPGADELLAVTDVLLASQSVLPSGQADPLAVLQLPPSTNPADHAAVSRAYRRLALLLRQDTNPHPGADVALSLVHDAYAILSDPNRRPPPPVAVAVPHAHPGAASHPAAPAAAESPEFWTACPFCSYVHQYQRELVGRALKCPNESCRKGFVAIEISTPPTIVPGTEMYHCAWGFFPLGYPTSADLNGNWKPFYKMFPWNNAPAGGGGSGGRGGYGGRGGGSAGRQPQNGSARGGSSRGRVKKTTARKKVGAGLKRRSFGGVESGIEPMDGQDGWGEGEEGGDGQAEEVRGININEEAQSTDGATRGHVHARGNVSGGVVDMGTFHLDVDPSEDILGNLQNLPFLRVDNLGRML >ONIVA03G21840.1 pep chromosome:AWHD00000000:3:16527178:16535984:1 gene:ONIVA03G21840 transcript:ONIVA03G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLAYGLWKYIFNKTEFHVLILGVDKAGKTTLLEKIKSIYLKGEGLTPDRIVPTVGLNIGRIEDANAKLVFWDLGGQVGLRTIWEKYYQEAHAIIYVIDAAAASSFEDAKSALEKVLRHEDLKGAPLLIFANKQDLPGVITDEELARYLQLKELDERPYKFQAVSAYDGRGIKSGIDWVVEQMERSKRTEVLRARAGLTGQI >ONIVA03G21830.1 pep chromosome:AWHD00000000:3:16525576:16525804:1 gene:ONIVA03G21830 transcript:ONIVA03G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVSSCLQIFSASPLPSHGLALPLPGCDVRQARQLGALVSFPRHFACHYAATVSKLLGSSKSNERAPDDDGP >ONIVA03G21820.1 pep chromosome:AWHD00000000:3:16519540:16520456:-1 gene:ONIVA03G21820 transcript:ONIVA03G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLFMRKGSTPRELVALAGAHTVGFSHCGEFAHRLYSFKGARALQSSCTNYRSDPTISIFNDINRIAATALVPSLPPPQLADPRTRLLLPLHEDDLVVELPGLEPEQAVPDLDVEQLWDEGILVSPGAKNGFLSISSSIASSVEVMTVFNLQPP >ONIVA03G21810.1 pep chromosome:AWHD00000000:3:16515147:16518943:-1 gene:ONIVA03G21810 transcript:ONIVA03G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKISRLLAPPRRVAAEQGGDLHRASRAGDRIMMFDDQDLGFFANFLGIFIFVLVMAYHFVMADVKYEGN >ONIVA03G21800.1 pep chromosome:AWHD00000000:3:16509588:16511551:-1 gene:ONIVA03G21800 transcript:ONIVA03G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLCRRRSSAAPAESFSLLCEEDSESVFGSDDDGVEETATMAPELGKMMSLGLSASHHLGDGGGGGEELVGSFMEKEVEQMVETARGEYLTKLSNGGIELSCRIAAIDWICKVQAYYSFGPLCAYLAVNYLDRFLSSVEFSVTNDMPWMQQLLIVACLSLAAKMEETAAPGTLDLQVCNPEYVFDGETIHRMEIIVLTTLKWRMQAVTPFTYIGHFLDKINEGNRITSELISRCTEIILSTMKATLFLRFRPSEIATAVALSVVADGGRVLDFGGVLESSKLPVDKDNVGRCHQAMQEMALVMQNSTASPSGVLDTSCFTSKSDDDNSIPGTSPQVDNNNNQACTPASKRARVEEAPMS >ONIVA03G21790.1 pep chromosome:AWHD00000000:3:16498926:16502019:-1 gene:ONIVA03G21790 transcript:ONIVA03G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLNDPAETQKVLDINNVKYILEHYIMKRWTIDAKALQITRNCNLQEDSKTILSNRYKELCRMFVQIAARAANSKESYFMAANCAEKLAQDVEKCLQIRSNPDMDDLPTSQDATVHASIIPKQNEEVVKPRGIKVKPKTILGSRRHVSDLDKSKRRRTKNKVQSVPEAHHIDTLTPIATTSIPMPEQYDNFMGHFQVPMTQPYMFWPHYNSVPSASPQPFVDITGTK >ONIVA03G21780.1 pep chromosome:AWHD00000000:3:16467221:16468841:-1 gene:ONIVA03G21780 transcript:ONIVA03G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLALSVDIFRKGISLNLYCRSLKFGIEDLVAGIAVWVSVVAWQFFQGERAGVLMVWQPVAQTRFRVFKHENGIAVRVIACFQPSQDCQAEYFRHLLKPKILFAGCFLMGEKVNPWCHWSNPPWTESSANNLHPPDVSLDNTNSVALPTYLNSDGYIYSGVAASMPSIAASGTLDTVPELQGSNETNVTDVGAQNTECMHENTEEIDALLCSDSDEGCLKVQELNNRVRKYPMQNDTMSVESVASAGASQPAKKRRLSSGTDRSVVDTASSARPDHSVDQKHLSHDDDAQSCCIGEVESDHQFALREGEEAEGDDGPDDRKRRRERIQETVAALRKIVPGGIAKDATAVLDEAICYLKYLKLKVKTLGAVSL >ONIVA03G21780.2 pep chromosome:AWHD00000000:3:16467221:16468841:-1 gene:ONIVA03G21780 transcript:ONIVA03G21780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLALSVDIFRKGISLNLYCRSLKFGIEDLVAGIAVWVSVVAWQFFQGERAGVLMVWQPVAQTRFRVFKHENGIAVRVIACFQPSQDCQAEYFRHLLKPKILFAGCFLMGEKVNPWCHWSNPPWTESSANNLHPPDVSLDNTNSVALPTYLNSDGYIYSGVAASMPSIAASVTDRPVSFSSRFVTTLVPSVGLSTAETLRKRPLVFFHNVNNTFTVGPLLSKGTLDTVPELQGSNETNVTDVGAQNTECMHENTEEIDALLCSDSDEGCLKVQELNNRVRKYPMQNDTMSVESVASAGASQPAKKRRLSSGTDRSVVDTASSARPDHSVDQKHLSHDDDAQSCCIGEVESDHQFALREGEEAEGDDGPDDRKRRRERIQETVAALRKIVPGGIAKDATAVLDEAICYLKYLKLKVKTLGAVSL >ONIVA03G21770.1 pep chromosome:AWHD00000000:3:16450122:16455121:1 gene:ONIVA03G21770 transcript:ONIVA03G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNL2] MARMLLHGVIDAKIVEADLSVTSDGQLRPSRKTLMKKKVFSWIKKKLPFCNSCQASVVLYLNDYINAAAAAAAAVQTQQVENAVGLGPLSGKLYATVDIDKARVARTRTVEPTGTPRWKESFHIYCAHDAGDVIFTVKAENPVGATLIGRAYLPVDEGLAAGAPVSDLWLPICGEGRRPIDGGDKIRVQLRFTGVAADPAARWGAGVGSGAYQGVPRTFFPQRRGCRVRLYQDAHIADGFAPRIQLAGRRWYEPRRCWEDVFDAISSARRMVYVAGWSVNTDVVLVRRPSSSSETLGELLKRKAEQGVTVLLLVWNDRTSVGLGPIRRDGLMATHDQDTARYFEGTKVHCVLCPRNPDQGRSYVQDVETATMFTHHQKTVIVDGGGGKTAPGLVSFLGGIDLCDGRYDTQEHPLFRTLDTTHRGDFHQPNFPGASIAKGGPREPWHDIHCRVEGPAAWDVLDNFEQRWRKQAGRGKDSLLVTLDRSMAARDADQADPEHWNVQVFRSIDGGAAAGFPESPDEAAAAGLVSGKDQVIERSIQDAYIHAIRRARDFIYVENQYFLGSSYAWRGGEGGVASVEGINALHLVPRELSLKIASKIAAGERFAVYVVVPMWPEGVPESDSVQAILDWQRRTMEMMYRDVDAAIQAKGIRADPTDYLNFFCLGNRERLPVPGGDSYEPTERPDPDTDYMRAQNARRFMIYVHAKTMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAYQPSHLASVNRPARGQVHGFRLALWHEHLGRAAAASAAGELLRPSSLACVRLVNQAARRHWDAFARGDGDGAPPTEDLPGHLMAYPVRWTGGGGSDGKLVAATEKFPDTKAKVLGAKSDVLPPILTT >ONIVA03G21760.1 pep chromosome:AWHD00000000:3:16444609:16445853:1 gene:ONIVA03G21760 transcript:ONIVA03G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTCQRILLLLLLLLAIARPASSQLFTPAPPPPVQAADGGGSAGFNVATSLLFVGVVIALFLLGFFSAYLRRCSEAATAAHSRRGGGGGGAVHASAAVAAAAAAAFAGSAGRRRGRAGLDVAAMEALPVLTYATARAVKAGRGALECAVCLAEFADGGEKLRLLPGCCHVFHAACIDVWLAAHVTCPVCRADLADPAVAAAGHVLAADLAAQAEAPNHTVVNVDTPESTIGKDSPSDQQPPESLTAEERVDRYTLRLPERLKREIEEAKLLRRSVSAVTAPAAASSGRWASAASRTMSAARPSRRWSALLRALSGPRWSDMDGGGGGGRRVAPLQTHGATGTSHGEGEDVEVVVVHGDAGTDVEKYYAHSLTFAGFVIDGDVTAGDWNPEVFQVSTAVPAAAAAPAPAPQR >ONIVA03G21750.1 pep chromosome:AWHD00000000:3:16438234:16442257:1 gene:ONIVA03G21750 transcript:ONIVA03G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNK8] MTSLPGRGVSPSSSDPLCEGNAAPSSSSSSGQDLKQSKNSILSCVFSSPFSIFEAHQDSSANRSLKPHSGSYAWSRFLRRIACTGSMWRFLGASKALTSSDVWFLGKCYKLSSEELSNSSDCESGNAAFLEDFSSRIWITYRKGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPSQKPYSPEYIGILHMFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLVCTNREHHEAVDGNGNFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKNQSTWSPILLLVPLVLGLDKLNPRYIPLLKETLTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQLWVLSTSQAVDIAADNLEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRASELVDKANGAPLFTVVQSVQPSKQMYNEESSSGDGMDSINVEGLDGSGETGEEEWQIL >ONIVA03G21750.2 pep chromosome:AWHD00000000:3:16438234:16442257:1 gene:ONIVA03G21750 transcript:ONIVA03G21750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNK8] MTSLPGRGVSPSSSDPLCEGNAAPSSSSSSGQDLKQSKNSILSCVFSSPFSIFEAHQDSSANRSLKPHSGSYAWSRFLRRIACTGSMWRFLGASKALTSSDVWFLGKCYKLSSEELSNSSDCESGNAAFLEDFSSRIWITYRKGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPSQKPYSPEYIGILHMFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLVCTNREHHEAVDGNGNFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKNQSTWSPILLLVPLVLGLDKLNPRYIPLLKETLTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQLAVDIAADNLEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRASELVDKANGAPLFTVVQSVQPSKQMYNEESSSGDGMDSINVEGLDGSGETGEEEWQIL >ONIVA03G21750.3 pep chromosome:AWHD00000000:3:16438236:16442257:1 gene:ONIVA03G21750 transcript:ONIVA03G21750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNK8] MWRFLGASKALTSSDVWFLGKCYKLSSEELSNSSDCESGNAAFLEDFSSRIWITYRKGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPSQKPYSPEYIGILHMFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLVCTNREHHEAVDGNGNFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKNQSTWSPILLLVPLVLGLDKLNPRYIPLLKETLTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQLAVDIAADNLEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRASELVDKANGAPLFTVVQSVQPSKQMYNEESSSGDGMDSINVEGLDGSGETGEEEWQIL >ONIVA03G21740.1 pep chromosome:AWHD00000000:3:16432236:16436011:1 gene:ONIVA03G21740 transcript:ONIVA03G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transthyretin-like protein [Source:Projected from Arabidopsis thaliana (AT5G58220) TAIR;Acc:AT5G58220] MATTRGPLPVEDVLRVNGSRRFAAALAAASPFASLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSSSAPKWCKEEQSAALATATDSTAQELADWNARYREKFGFVFMICASGRTTPEVLAELKRRYENRPIVELEVAAHEELKITELRLAKLFASEPVAPPSSTVGGPTSQSDKAADRMRIIGAHLGSHTQHSANKAPEITGSSNRTRPPITTHVLDVARGSPASGIEVHLEMWKDASTPPSFNNKDFNGWVTLGSSVTNNDGRSGQLMDIVNNVAPGFYRISFNTSKYAPSGFFPYVSIIFEIKKNQTTEHFHVPLLHSPFSFTTYRGS >ONIVA03G21740.2 pep chromosome:AWHD00000000:3:16428440:16432271:1 gene:ONIVA03G21740 transcript:ONIVA03G21740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transthyretin-like protein [Source:Projected from Arabidopsis thaliana (AT5G58220) TAIR;Acc:AT5G58220] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONIVA03G21740.3 pep chromosome:AWHD00000000:3:16428845:16432271:1 gene:ONIVA03G21740 transcript:ONIVA03G21740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:transthyretin-like protein [Source:Projected from Arabidopsis thaliana (AT5G58220) TAIR;Acc:AT5G58220] MPAPCDLLMARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONIVA03G21730.1 pep chromosome:AWHD00000000:3:16427360:16427947:-1 gene:ONIVA03G21730 transcript:ONIVA03G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTHPRRQASTCQACLLAVPLSLLPNSLYYQGLPAPIPTQLRYVLLLRLWLRCNDKGQDAPMGSMCT >ONIVA03G21720.1 pep chromosome:AWHD00000000:3:16422189:16426474:1 gene:ONIVA03G21720 transcript:ONIVA03G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKAPSLAEEYSLPPQEAPVEKAVEDKPQEAESIAVTNDETPQADETATAVEVNPETSEVQEVADKSEVEDTNPAAEETTETAEEEAEEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECDKFAKYYRSLCPGEWVERWNEQRENGTFPGPL >ONIVA03G21710.1 pep chromosome:AWHD00000000:3:16406330:16410370:-1 gene:ONIVA03G21710 transcript:ONIVA03G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVMRDIGSGNFGVAKLVRDVATNHLFAVKFIERGLKIDEHVQREIMNHRSLKHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTITRILSVQYSIPDYVRVSEDCRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTDEYQRSMQLADMNTPSQSLEEVMAIIQEARKPGDAMKLAGAGQVACLGSMDLDDIDDIDDIDIENSGDFVCAL >ONIVA03G21700.1 pep chromosome:AWHD00000000:3:16400217:16402265:-1 gene:ONIVA03G21700 transcript:ONIVA03G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNK1] MKFNIANPTTGCQKKLEIDDDQKLRAFYDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLAKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIAQKKQRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSAATKAAATTA >ONIVA03G21690.1 pep chromosome:AWHD00000000:3:16391875:16399645:1 gene:ONIVA03G21690 transcript:ONIVA03G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G78280) TAIR;Acc:AT1G78280] MSQFFSQSAEHSYAKQTVHGFKIWATIKIPHRETTTAAWSPTPLPSPPHAHRSDPPRPSPPLLARLGLRAPAMAAGNGRREAALGGLAALPDEVLCAVVDLLPPTDVGRLACVSSVMYILCNEEPLWMSKCLSVGGLLVYRGSWKKTALSRLNLCSENDEIYQKPRHFDGFNSMHLYRRWYRCFTNLSSFSFDNGHVERKDDLSLDQFRAQYDGKCPVLLTKLAETWPARTKWTVQQLTHDYGEVPFRISQRSPQKIKMKLKDYVSYMELQHDEDPLYIFDDKFGESAPTLLEDYSVPHLFQEDFFEIMDYDQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWAMYPPGRVPGGVTVHVSDEDGDVDIETPTSLQWWLDIYPNLAEHEKPLECTQLPGETIFVPSGWWHCVLNLDMTIAVTQNFVNQSNFKHVCLDMAPGYCHKGVCRAGLLAAPDKSIRDIENLPSITSRWNHSDMARKEKRLKSSEPIRTSNNANQCSAFEFSDVHENLGDQVFSYDIDFLSQFLEKEKDHYSSVWSPTNSIGQREAREWLRRLWVLKPELRELIWKGACLAINVDKWYSCLEEISACHSLPPPSEDEKLPVGTGSNPVFIVSGNVIKIYAEGGLGYSIHGLGTELEFYDLLRKLGSPLINHVPEIIASGFLVYLDGVYKTVPWDGNGIPDVLVKYYSLEVSYANGSFPLGLWSKQLFGLSNSTDAPDRPICPYMVTRKCKGDIFARIRDKLTKTDALNLASSLGVQMRNIHQLPLPHVEHISKSGNEDIKAKENSISDVTHVPPEWKQVVSTLDRRKKSIKKHLSNWGGSIPQVLIEKAEEYLPDDIRFLIKFVKDDDGDSVYVVPSWIHSDIMDDNILIEGTTEPGTSTDFIAVEDLNKMDAIHIIDFSDLSIGDPLCDLIPLHLDVFRGDIDLLRQFLRSYQLPFLRAESNKDIYKSIQNSKFSRASYRAMCYCILHEDNVLGAIFSLWKDLGTATSWEDVEHLVWGELNQYQQSCSVGEIN >ONIVA03G21680.1 pep chromosome:AWHD00000000:3:16388409:16388639:1 gene:ONIVA03G21680 transcript:ONIVA03G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQLEFAADRLDDDDDFLLHATKKTERIHYEAKRHAFAPLPPPQPQALGFLERQCICGRGSCNMEERELGRWAYV >ONIVA03G21670.1 pep chromosome:AWHD00000000:3:16381443:16386898:1 gene:ONIVA03G21670 transcript:ONIVA03G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNJ8] MKSPNYPFSSPLSPFNNRSPTPAASPAPATYKYPSPSTFLLRRHHPPPPPPPLPRRRETSLLLLDPTTTYPHAAMSLATSSSMAGGAAVVPRSATATTASAFVTMKRRATAVRAVHAAEPSKNPPVGVPSAAKTSSPSVAAPEKAPVAAAPAPVAPAPAATKQVAPARWAVDSWRTKKALQLPEYPNAAELEAVLKTIEAFPPIVFAGEARHLEERLADAAMGRAFLLQGGDCAESFKEFNGNNIRDTFRVLLQMSAVLTFGGQMPVIKVGRMAGQFAKPRSEAFEERDGVKLPSYRGDNINGDAFNEKSRIPDPQRMVRAYAQSAATLNLLRAFATGGYAAMQRVTQYRELAHRVDEALGFMSAAGLTVDHPLMTSTDFWTSHECLLLPYEQSLTRQDSTTGHFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPTELVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRHAGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGDRYHTHCDPRLNASQSLELSFIIAERLRRKRIRSSKLNNMLPLPPFGV >ONIVA03G21660.1 pep chromosome:AWHD00000000:3:16363183:16365049:-1 gene:ONIVA03G21660 transcript:ONIVA03G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARPPRGTAWCGGCGAYLAVPPGARSVRCALCRAVTRVERRGHHGGHGGALGFIKGLISAFAPPPPAASYYPRVSGKKRALLVGISYAATGYELKGTVNDVNCMSFLLRERFAFPADCILVLTQEDGDPYRVPTRANLLAAMRWLVEGCSAGDSLVLHFSGHGVQKLDVDGDEADGYDEALCPVDFERAGVILDDEINETIVRPLVAGVKLHAIVDTCHSGTILDLPFLCRLSRTGYWQWENHCRRPELAKGTSGGLAISISGCGDSQTSSDTTAFSGGAATGAMTYSFIKAVETEPGTTYGRLLSAMRSTIRGGGGEVGIPGPLGAFFRRVITFSCAQEPQLCASEPFDIYRKPFLL >ONIVA03G21650.1 pep chromosome:AWHD00000000:3:16362990:16365661:1 gene:ONIVA03G21650 transcript:ONIVA03G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGKNFSWCGGRYITHRGVGGGLAVAAAADGDGEAAAGALGQLRPAAVVLPLPVTGPGEAAEEGEVQDGAAVARVHDGMELHAGDEWPDDGLVDLVVEDDPRPLEVHGAQRLVVAVRLVAVDVQLLHAVAGEVEHQRVAGAAPFHQPPHRRQQVRVRQNRIHIFYI >ONIVA03G21640.1 pep chromosome:AWHD00000000:3:16361427:16361860:1 gene:ONIVA03G21640 transcript:ONIVA03G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSEEEEERVEGDGGGVHDSNNSCTAKSTNTRFRTKCSKNARAHTCAIYAVICKGARTKLDPEAYCFILYYLLWLGAVLGVRDGKADEVQQKGNGDGFECHGTHVGDLTAGADVDVSEVNKPALQIHKWIGP >ONIVA03G21630.1 pep chromosome:AWHD00000000:3:16361116:16362005:-1 gene:ONIVA03G21630 transcript:ONIVA03G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASNPRAPFSSSRRPPLQPLAQNIIAVVLARKREAVAAASAFIHVDICACSEVSHMRAMAFEAIPIAFLLHLICLAVSNTKDGTEPEKDGKNLGKAMAGRAKRLGSIDSVAVGRRRHNFYSAFTPSMQLLLPSSLSREGIEATPPPPVITAIAVITQLFSRQVLVAARHVPPVSSLHTVLERWGRV >ONIVA03G21620.1 pep chromosome:AWHD00000000:3:16334730:16347197:-1 gene:ONIVA03G21620 transcript:ONIVA03G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGRKRALLVGVSYKDDSKSKLTGSAKDVKSMYDLLRDRFNFPKESIHMLTEDLDAEKAPTRENIMKEMRWLVEGCRAGDSLVFHFSGHGRQRKDDNGDEVDGRDEELCPVDYKKSGSILDDDINDAIVKPLTQGWVGQTRWRQSPKKEWAMVPVGGHAISISGCKDYQNSLEPDNTAGGGVMTWSFLEAVRSRRTMTYGELLDSMRAKVHHRLQQSSSCKCLVTSCLGSLAAKCLPRCFLSVQVADEVHHAGGSLMFQSSGHGKQKLELSGDVVDGLDEAICPGGNTRPAATTSARPSSSRCAHCGAGLAVPRPGPGGAAAVTTVRCALCHRMTRVDRRGGRDLGGGGGGGALEAASSSWAPAEASFLRRDAPSGYPFVPGRKRALLVGVSYKGTSYELEGTVNDVDCMRRLLGESFGFPADSILVLTEELGEGDPSRSPTRANLLAAMRWLVEGCDAGDSLVFHFSGHGVQKLDVNGDEVDGYNEALCPVDFERSGKILDDEINETIVRPLVAGAKLHAIVDTCHSGTILDLPFLCRLSRTGYWQWENHCRRPELAKGTSGGLAISISGCSDDQKSADSSGFSSEQAAAAAAIGAMTYSFIRAVESEPGTTYGRLLAAMRATIREGQQGSGVRRLLPGRLGSFVRKMIPSGGVQEPQLCASEVFDIYRKPFLLV >ONIVA03G21620.2 pep chromosome:AWHD00000000:3:16334965:16347197:-1 gene:ONIVA03G21620 transcript:ONIVA03G21620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGRKRALLVGVSYKDDSKSKLTGSAKDVKSMYDLLRDRFNFPKESIHMLTEDLDAEKAPTRENIMKEMRWLVEGCRAGDSLVFHFSGHGRQRKDDNGDEVDGRDEELCPVDYKKSGSILDDDINDAIVKPLTQGWVGQTRWRQSPKKEWAMVPVGGHAISISGCKDYQNSLEPDNTAGGGVMTWSFLEAVRSRRTMTYGELLDSMRAKVHHRLQQSSSCKCLVTSCLGSLAAKCLPRCFLSVQVADEVHHAGGSLMFQSSGHGKQKLELSGDVVDGLDEAICPGGNTRPAATTSARPSSSRCAHCGAGLAVPRPGPGGAAAVTTVRCALCHRMTRVDRRGGRDLGGGGGGGALEAASSSWAPAEASFLRRDAPSGYPFVPGRKRALLVGVSYKGTSYELEGTVNDVDCMRRLLGESFGFPADSILVLTEELGEGDPSRSPTRANLLAAMRWLVEGCDAGDSLVFHFSGHGVQKLDVNGDEVDGYNEALCPVDFERSGKILDDEINETIVRPLVAGAKLHAIVDTCHSGTILDLPFLCRLSRTGYWQWENHCRRPELAKGTSGGLAISISGCSDDQKSADSSGFSSEQAAAAAAIGAMTYSFIRAVESEPGTTYGRLLAAMRATIREGQQGSGVRRLLPGRLGSFVRKMIPSGGVQEPQLCASEVFDIYRKPFLL >ONIVA03G21610.1 pep chromosome:AWHD00000000:3:16325029:16332909:1 gene:ONIVA03G21610 transcript:ONIVA03G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTDAAEDDNDDAAEDDDDADADADTAEDDDDDDAAEDDDDADADANAAEDDDDNGDRFKEEEDTHLRCGDRRYQAASIRCRRATVRQSRHPISSPVSNKRMHLHHKHIQIHRQAARSNAKLLNPQTRSKLAATSSKLPLSSSITASPSVQDGLFIVLRLHATQSPGGKDDTEGDNRRGEAGGGAGCTGTIGERQVDAALHPRRAARRDGAGGQARAVPCCVAAHGVHGAGRCAPPHLTVRETLLFCAMLRLPTSVPATAKAAPAEAVISELGLASCADTIVSNTFVRGVAFYAPCSASQPPRARTPPPPAAAQPRSVPPVGTPRSKEN >ONIVA03G21600.1 pep chromosome:AWHD00000000:3:16309432:16310853:-1 gene:ONIVA03G21600 transcript:ONIVA03G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFGGRALGFGGGGGCGAVRCRHCSASLPAMPGARVIQCAQCYGVTRVGGRGRRRHPNPVEPWRPAVPMPVAGGGFFPGSRGKKRAVLIGITYAGMRRRGSQLMRGPVNDVKCMRYLLCERFGFPNDCVLILTDEEKDPCRLATKENIRMAMNWLVQGCSSGDSLVFHFSGIGVQVPDDDGDEVDGYDEAICPMDSFSQGPILDDEINEAIVRPLVHGAKLHAVVDAEHSSTVLDLPFLCCLSSRSGGWQWEDHRPPTGAYKGSSGGQAMLFSGCSDGNNKHSLLPEASTVGAMTHSFIKAVECEPRATYGSLLTTMRSIMRDGGVTCNLQGPIGAPIRKVANFSGIQEPNLSCSEMFDIYRKPFVL >ONIVA03G21590.1 pep chromosome:AWHD00000000:3:16306671:16308235:1 gene:ONIVA03G21590 transcript:ONIVA03G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPTAAGGAPAPQPAAPRRRPPCVLSFSLARDRFLRRRFFSAGLRPFSIRLPSPAGAGTTVHVWAPPRPARRPVLLLHGFGASATWQWASYLRPLLAAGFDPIVPDLLFFGDSCTLAADRSEVFQATAVKAAMDAIGVRRFDVVGVSYGGFVAYRMAAMYPEAVDRAVMVCAGVCLEETDLAAGLFPVAGVAEAAELLVPSRPADVRRLVHLTFVRPPPIMPSCFLRDYINVMGSDHNQEKTELLHTLINGRKLSDLPKISQPTLIIWGEQDQVFPMELAHRLERHLGEKSRLVVIKKAGHAVNLEKDKEVCKNIVEYLREPILSALNGEK >ONIVA03G21580.1 pep chromosome:AWHD00000000:3:16291534:16292793:-1 gene:ONIVA03G21580 transcript:ONIVA03G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRPPCCDESSVKKGPWTREEDEKLVAYVDQHGGHGSWRSLPKRAGLNRCCKSCRLRWINYLRPDIKRGNFTPEEEQAIITLHSVLGNKWSTIATRLPGRTDNEIKNYWNTRLKKRLIGAGIDPNTHRARPRPPAPGDLATALPQLVALASLAVDLAVGHAAAAGGAWGAVDYQQADAAAAQLQCLQHLLLQPQTTPATSATSGGGGHPTELNAASSFLTQAVASYAAAAATPLPSLVVPGGSQPLELKRWQDHIGGDHVGAVSPFAGAATVTGHHGGGGGGEFLPSELTALLCSANAIGDLQSSNLDF >ONIVA03G21570.1 pep chromosome:AWHD00000000:3:16277379:16287187:1 gene:ONIVA03G21570 transcript:ONIVA03G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRKFLMPNQPPDISQMVLEARKRWLRPTEICEILSNYRSFSLSPEPPNRPGSGSLFLFDRKTLRYFRKDGHNWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEENENFQRRTYWLLEEDFTHIVLVHYLEVKGVKQSFSRAKEEIMQLSGADSPSCSNSITSQNQMTPQIMDAAESPISGQISEYEGAEPAKFGAADNCRASSRYNPLIEMQQPLDGIVMDNILYPSSSAICNQVSGYHGELPPGTSNLNGHTFSHSDIARMFDDSSSGLRDISRTLFDSMPYDEHFSGYANGFMEPTLHSSFSMIEANNLEDSSLLETYTSEALYTNNLSQKEADALSFAGISSPEVNGNKYTEGSTKHPLLKQLSLDLFKIESSGLKKHDSFSRWMSKELGEVVDLGIKSSSDALWSSIEIVNAADGPSAPTNEQLDAYAVSPSLAQDQLFSILDISPSCSYIGLKTKVLVTGTFLASKENVENCKWSCMFGDVEVPAEVLADGSLRCYAPEHQSGRVPFYVTCSNRIACSEVREFEYRDSDAQYMETSHSQANGINEMHLQIRLEKLLTLGPDDNQLLVCGNEKLELINAINSLMLDEKWSDQGSPSGSKDVVTPRNQSLKKLMKEKLHCWLIYKIYDCEKGPNILGKEGQGIIHLAAALGFDWAIRPILVAGVNVNFRDAHGWTALHWAASCGRERTVGVLIANGAAAGALTDPTSEFPSGRTPADLASTNGHKGIAGFLAESALTSHLSALTLKESKDSNAEEACRLTIPEDLPEMNYGQLAVQDSHAESLKDSLSAVRKSAQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDEHTFSLISLQKVKQGQHDTRLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRKNYKKVVWSVGIVEKVILRWRRKGRGLRGFRPEKQLEGQTQIQPAKTEDEYDYLQDGRRQAEGRLQRALDRVRSMTQYPEAREQYRRLTTCVAEMQQSRMMQDEMLSEAAGADGSDFMNGLEDLICRDDPQMSAIW >ONIVA03G21560.1 pep chromosome:AWHD00000000:3:16266018:16266905:1 gene:ONIVA03G21560 transcript:ONIVA03G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIDDQKMRLETEALHESSTMKYHFFYCKFSTSWYLSNMRYHEVPKFYTSWYLFKNRRIALNHECSEVAMVVNTGIQTFGTDRRTATIRSLPTFRCYIYESMHDLISSSNKFGAKFLMGTWCGVCMWKKPNNISVIN >ONIVA03G21550.1 pep chromosome:AWHD00000000:3:16261346:16262867:1 gene:ONIVA03G21550 transcript:ONIVA03G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMADGGDLNARRQRQWRWRAAAAGCVTRDGNGNLDGRR >ONIVA03G21550.2 pep chromosome:AWHD00000000:3:16261381:16264645:1 gene:ONIVA03G21550 transcript:ONIVA03G21550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPAF >ONIVA03G21540.1 pep chromosome:AWHD00000000:3:16258466:16260656:-1 gene:ONIVA03G21540 transcript:ONIVA03G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAVTRLSFVPLAAAARPLLAGFMRPRVFASISSSSSSSSPFSGGGGGRFFGGGGGRGRGGGGGGGGEESGAGAAAAASAAAAVVLGETETADADVILLRVGPEVTSATVDFEKKTAAVWTTPEAKATKDWRKQLGEKLSHHLSTCGFQSHLLGSWCHSPQMKKNLILDLNSENPCSFTIRGFLRAVPTYLPLWKLYIVSEKPLTTTRPRDYAQILIQEIEKFLLDLRFKYRSRIRSLYIVTTDINEHILSIMKADEFATEFI >ONIVA03G21530.1 pep chromosome:AWHD00000000:3:16254522:16256819:-1 gene:ONIVA03G21530 transcript:ONIVA03G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGRYGPPAVRDSYSLLVLNVSFRTTADDLLALFDRCGEVLDIRIPRDRRTGDSRGFAFVRYKYEDEAQKAVDKLDGRTVDGRDIMVQFAKYGPNAERIHKGRIVEEISKPRGRSRSPSPKRRYRADYRDRGYRDDYRDRHYRDDYQDREYRRSRSIERYERERYQEKGYRRRSRSISPDYDRRHRKNGYACGDALPVSFLSYLTASMFKL >ONIVA03G21520.1 pep chromosome:AWHD00000000:3:16252375:16252716:1 gene:ONIVA03G21520 transcript:ONIVA03G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAITSWSAASDAEVTVAPASSERTASRKGRSTAAGEKTRRRNALREETSGWSAAASSATARGWRRLRRMTASTALASADIAQARSASLAAAAAAGLRNQGEEEGKDGNQRRE >ONIVA03G21510.1 pep chromosome:AWHD00000000:3:16243877:16250234:1 gene:ONIVA03G21510 transcript:ONIVA03G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKPHVDISGVIDSDSQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDVYGEQAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASERNCQIIPSGASFAERNLKVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDIAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNTMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRVMHASSVHSPRGQGDPMDAKSCRNN >ONIVA03G21510.2 pep chromosome:AWHD00000000:3:16243877:16250234:1 gene:ONIVA03G21510 transcript:ONIVA03G21510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKPHVDISGVIDSDSQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDVYGEQAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASGSQLSSSQNLLNQKNHLDSTSPSNITSESYPERNCQIIPSGASFAERNLKVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDIAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNTMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRVMHASSVHSPRGQGDPMDAKSCRNN >ONIVA03G21510.3 pep chromosome:AWHD00000000:3:16243877:16250234:1 gene:ONIVA03G21510 transcript:ONIVA03G21510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKPHVDISGVIDSDSQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASGSQLSSSQNLLNQKNHLDSTSPSNITSESYPERNCQIIPSGASFAERNLKVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDIAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNTMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRVMHASSVHSPRGQGDPMDAKSCRNN >ONIVA03G21510.4 pep chromosome:AWHD00000000:3:16243877:16250234:1 gene:ONIVA03G21510 transcript:ONIVA03G21510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKPHVDISGVIDSDSQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDIAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNTMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRVMHASSVHSPRGQGDPMDAKSCRNN >ONIVA03G21510.5 pep chromosome:AWHD00000000:3:16243877:16250234:1 gene:ONIVA03G21510 transcript:ONIVA03G21510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKPHVDISGVIDSDSQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDVYGEQAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASGSQLSSSQNLLNQKNHLDSTSPSNITSESYPERNCQIIPSGASFAERNLKVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDIAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNTMNNNGDSHNVKDMQNAETSGKYVDFSENMLFVPL >ONIVA03G21510.6 pep chromosome:AWHD00000000:3:16243879:16250234:1 gene:ONIVA03G21510 transcript:ONIVA03G21510.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKPHVDISGVIDSDSQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASGSQLSSSQNLLNQKNHLDSTSPSNITSESYPERNCQIIPSGASFAERNLKVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDIAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNTMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRVMHASSVHSPRGQGDPMDAKSCRNN >ONIVA03G21510.7 pep chromosome:AWHD00000000:3:16243879:16250234:1 gene:ONIVA03G21510 transcript:ONIVA03G21510.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKPHVDISGVIDSDSQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDIAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNTMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRVMHASSVHSPRGQGDPMDAKSCRNN >ONIVA03G21500.1 pep chromosome:AWHD00000000:3:16235949:16238450:1 gene:ONIVA03G21500 transcript:ONIVA03G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTSTADLLAWPQQQHQPDGHAASTPSPTRRPHQPSEALRKVVFGGQVTEEEAESLNKRKPCSAPKWKEMTGSGIFAAGADGEAEEPGSAAAPGRAAPRNYQPVTVSHISFAEDGSVPPKKPTSVAEVAKQRELSGTLQSEADSKMKKQISNAKSKELSGHGLFDPQDVRPNGARNTANGTGASHTPVRNANVSSFSFGEANTDSVTKTAKKITGKKFTDLTGNNIFKGDEAPASAEKHLSTAKLKEMTGSNIFAEGQAPTREYHSGNRKPPGGESSIALV >ONIVA03G21490.1 pep chromosome:AWHD00000000:3:16231024:16233143:1 gene:ONIVA03G21490 transcript:ONIVA03G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHLLVVERMGCPEISLHGDNVPTEIDPLPSASPTSRNLHRCLSIEIRQGLREKSTFMRNIGSSDLPCMTTPIGYLLCLYPVISS >ONIVA03G21480.1 pep chromosome:AWHD00000000:3:16211979:16212845:1 gene:ONIVA03G21480 transcript:ONIVA03G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSDSLPSSPSLPTTAGLDAAGGGDQEFSSYQHHQSLFLPSSSSSYLDSPFHGLLHTSSSSSAAMSPSQAPPPHPPPPAPTSNNKPPKKRPRASRRPPTTVLTTDTSNFRAMVQEFTGFPAPPFAAAPPPAVRPRLLGGVGGGHQLPPFLLRPSPLKYTHSHPTPPPPPPLHHQACTTTSFLGNAAAATTSTSSLVDALALFAKSNVMVAPPPPPPTSAAASSSADQYHHHHGMTMGGLFNPFDDYEAAAAAAAAEGDKVVHGGAGHGGFFSPLGAGAGDDKYDRH >ONIVA03G21470.1 pep chromosome:AWHD00000000:3:16209235:16209513:-1 gene:ONIVA03G21470 transcript:ONIVA03G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAFVATDALEGHEGGAASDAGGDHHHLSIRFPLHLERCGVDAEQQAIRAVEEVKMAAAPWIQSPQSSREEEVKMVVALWIQPPRSLHKEE >ONIVA03G21460.1 pep chromosome:AWHD00000000:3:16185437:16200246:1 gene:ONIVA03G21460 transcript:ONIVA03G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38770) TAIR;Acc:AT2G38770] MPKVYGTGVFEFRHPRAAEYPLPADVPVSAASAPDKAIPTAAASTITLLDIQRDRLTRVAAEHWGAPTAGAAFDAALVREIYATELRVEGRGRKTVPLHRVMILEVSQYLENYLWPNFDPAGASFEHVMSMILMVNEKFRENVAAWTCFHDRKDAFKGFLWRVLKLKEEDRELNMAEKTNYLLFMINAFQSLEDELVRETILQLVSLKLWHTLSFGRLQMELCLNPELIKKWTKIKRKEAKEAKKAGQTCDASEMLENRFLRNLIEEFLEILDSKVILSSQDGGDESVLNVSLSGQVDDSCVLYCERFMEFLIDMLSQLPTRRFLRPLVADVAVVAKCHLSALYTHEKGRLFAQLVDLLQFYEGFEINDHSGTQLSDDDVLQAHYSRFQAFQLLAFKQVPKLRDFSLCNIGSIHKRADLAKKLLVLTDVELQDLVCNKLKLISEEDPCSGRRDFLIEVLVAFFEKRQSQKDAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGDTAFRGWSRMAVPIKEFRITEMKQPNIGEVKPSAVTADVTFSISSYKPQIKSEWDALKEHDVLFLLSIRPSFEPLSPEEAAKSTVPERLGLQCVRGCEVIEIRDEEGSLMNDFTGRIKREEWKPPKGEIRTVKIALDTAQYHIDVTEVAEKGAENVYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWINMPDLLENIDFKDTFLDADHVVQSFPDYQVTFINSDGTENLNPSPPFKIKLSKKMRESSHALPGNVNSVLSAKNNMVDDDGPQKEKIMVETYIPADPGPYPQDKPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVAKLASSLHLPEDVSYTCEMAAYFWLLHVYARWEQFLAACAQNQDKPSFVKDRFPFSEFFSDTPQPTFTGESFEKDMHAAKGCFKHLLTIFQELEECRAFELLKSTAERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAELYNWRYRELGDLPYVREEAIFHKANSGFSYDYQLVDVPDFRGRGESAPSPWFYQNEGEAEFIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVINRRCKPWNIEPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLGLNLEECTPFTERPLEETGNIHYVAGIEDIGHLVNFRLEHLRQMQYMQYYAPHANVPPSAVPENADATENGNAGNGMHKANDGMAEENGDAVMRNKMEEDTIDTMQEENKMDGKNPEANDMAMEEKTVDGDDDPKNKMEEGNTEAKNKMEEGNTEAKDKMEEENDEAKNNMEDPSGKLVQIEHALTAVGSGQTSLGIKVVRLRSWDLGFRSWPRVDCGSVCAGSSQRVAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISANNIEIGIIRSDREFKVLSPAEIKDFLEEVE >ONIVA03G21450.1 pep chromosome:AWHD00000000:3:16170702:16183665:1 gene:ONIVA03G21450 transcript:ONIVA03G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNG4] MSKEAFTLRLFFLLLVHGAFADQAARVLEFSRSRMEMRDDQYTTEHTSQRANHQLYMSSQDGLKEADKVSELPGQPGRAGFDQYAGYVTVNATSGKALFYYFAEATDDPSTKPLVLWLNGGPGCSSLGDGAMLEIGPFFVNSDNRTLSINRYAWNNVANMLFLESPAGVGFSYSNTTSDYDNTGDTSTAADAYTFLTNWLERFPEYKGRDFFITGESYGGHYIPQLANAILSNNNITNVTIINLKGVAIGNAYLDDSTNTRATIDYYWTHALISKETHLAVQRNCSFNGTYMAQCQNALAEADTEKGVIDPYNIYAPLCWNASNPRQLHGSVIKIVVTDFIIFPELLFPSHQMVLQAINVDPCSRYYVESYLNHPEVQRTLHANTTGLKQPWSGCSNIITPENWKDAPVSMLPSIQGLISSGVSTWLYSGDIDAVCPVTSTLYSLDILELPINSSWRPWYSDDNEVAGYVVGYRGLVFATVRESGHMVPTYQPQRALTLFSSHQAPMHTLPIKMKRGLLILWSLFCLSVANTAARNKANKPLEFDQLKIPSKYGSEKQDDLREKDRVRAMPGQMEEAEFNQYAGYVTVDAKAGRALFYYFVEAPHDPLKKPLVLWLNGGPGCSSFGAGAMLELGPFSVRSDNKTLYKKQHAWNTVANMLFVDVPAGVGYSYSNTTSDYYNIGDKKTTDDAYIFLINWMKKFPEYQDHDFFITGESYAGHYIPELANLIVSNNRAINSTNIKLKGVAIGNADLHDNVTLRASFDYYWRHAMISDRVYRAIQTSCGFNETYTNDCQNAMNLANKEKGNVDDYNIYAPQCHDASNPSPSGSSDSVAFGDPCTNHYVSSYLNNPEVQRALHANTTGLNYPWMDCSGLIFDNWKDSPETMLPSIKTLISSGTRIWLYSGDMDAVCSVTSTQYALDILGLPVETSWRPWRIDNEPFLLVASPALSRRSSALRLAAFAPRHRATADMEVVGRAAADGVGFAGSSARAGAWWSVGRAGRRERGRWETAARRSSRDEQIERAPPSPGGEERQFAAAPPIRTNGNIGLVEIAIVLFVTLYFRLRQIDRNLGAGDIAHLYHMMHRQLLVAAQVHASQPNEQRPNISLKSNSPIGGTLTQGTPCGVNGEHVDMALVVVHELGEAEVVELTNGGGGVVRDG >ONIVA03G21450.2 pep chromosome:AWHD00000000:3:16170702:16179268:1 gene:ONIVA03G21450 transcript:ONIVA03G21450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNG4] MSKEAFTLRLFFLLLVHGAFADQAARVLEFSRSRMEMRDDQYTTEHTSQRANHQLYMSSQDGLKEADKVSELPGQPGRAGFDQYAGYVTVNATSGKALFYYFAEATDDPSTKPLVLWLNGGPGCSSLGDGAMLEIGPFFVNSDNRTLCTNDRSWMAVANMLFLESPAGVGFSYSNTTSDYDNTGDTSTAADAYTFLTNWLERFPEYKGRDFFITGESYGGHYIPQLANAILSNNNITNVTIINLKGVAIGNAYLDDSTNTRATIDYYWTHALISKETHLAVQRNCSFNGTYMAQCQNALAEADTEKGVIDPYNIYAPLCWNASNPRQLHGSAINVDPCSRYYVESYLNHPEVQRTLHANTTGLKQPWSGCSNIITPENWKDAPVSMLPSIQGLISSGVSTWLYSGDIDAVCPVTSTLYSLDILELPINSSWRPWYSDDNEGSETGFVSWGDCRLLAMLLDTEVWYSQQSENLDIWFLPTNLKEHSPCSPRSCKGYYPLNDHNFASSMP >ONIVA03G21450.3 pep chromosome:AWHD00000000:3:16170702:16179268:1 gene:ONIVA03G21450 transcript:ONIVA03G21450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNG4] MSKEAFTLRLFFLLLVHGAFADQAARVLEFSRSRMEMRDDQYTTEHTSQRANHQLYMSSQDGLKEADKVSELPGQPGRAGFDQYAGYVTVNATSGKALFYYFAEATDDPSTKPLVLWLNGGPGCSSLGDGAMLEIGPFFVNSDNRTLSINRYAWNNVANMLFLESPAGVGFSYSNTTSDYDNTGDTSTAADAYTFLTNWLERFPEYKGRDFFITGESYGGHYIPQLANAILSNNNITNVTIINLKGVAIGNAYLDDSTNTRATIDYYWTHALISKETHLAVQRNCSFNGTYMAQCQNALAEADTEKGVIDPYNIYAPLCWNASNPRQLHGSVIKIVVTDFIIFPELLFPSHQMVLQAINVDPCSRYYVESYLNHPEVQRTLHANTTGLKQPWSGCSNIITPENWKDAPVSMLPSIQGLISSGVSTWLYSGDIDAVCPVTSTLYSLDILELPINSSWRPWYSDDNEVAGYVVGYRGLVFATVRESGHMVPTYQPQRALTLFSSHQAPMHTLPIKMKRGLLILWSLFCLSVANTAARNKANKPLEFDQLKIPSKYGSEKQDDLREKDRVRAMPGQMEEAEFNQYAGYVTVDAKAGRALFYYFVEAPHDPLKKPLVLWLNGGPGCSSFGAGAMLELGPFSVRSDNKTLYKKQHAWNTVANMLFVDVPAGVGYSYSNTTSDYYNIGDKKTTDDAYIFLINWMKKFPEYQDHDFFITGESYAGHYIPELANLIVSNNRAINSTNIKLKDSAVKLDQIGNADLHDNVTLRASFDYYWRHAMISDRVYRAIQTSCGFNETYTNDCQNAMNLANKEKGNVDDYNIYAPQCHDASNPSPSGSSDSVAFGDPCTNHYVSSYLNNPEVQRALHANTTGLNYPWMDCSGLIFDNWKDSPETMLPSIKTLISSGTRIWLYSGDMDAVCSVTSTQYALDILGLPVETSWRPWRIDNEVAGYVVGYRGLVFATVRGAGHMVPYYQPRRALALLSSFLEGKLPPE >ONIVA03G21450.4 pep chromosome:AWHD00000000:3:16170702:16179268:1 gene:ONIVA03G21450 transcript:ONIVA03G21450.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNG4] MSKEAFTLRLFFLLLVHGAFADQAARVLEFSRSRMEMRDDQYTTEHTSQRANHQLYMSSQDGLKEADKVSELPGQPGRAGFDQYAGYVTVNATSGKALFYYFAEATDDPSTKPLVLWLNGGPGCSSLGDGAMLEIGPFFVNSDNRTLSINRYAWNNVANMLFLESPAGVGFSYSNTTSDYDNTGDTSTAADAYTFLTNWLERFPEYKGRDFFITGESYGGHYIPQLANAILSNNNITNVTIINLKGVAIGNAYLDDSTNTRATIDYYWTHALISKETHLAVQRNCSFNGTYMAQCQNALAEADTEKGVIDPYNIYAPLCWNASNPRQLHGSVIKIVVTDFIIFPELLFPSHQMVLQAINVDPCSRYYVESYLNHPEVQRTLHANTTGLKQPWSGCSNIITPENWKDAPVSMLPSIQGLISSGVSTWLYSGDIDAVCPVTSTLYSLDILELPINSSWRPWYSDDNEVAGYVVGYRGLVFATVRESGHMVPTYQPQRALTLFSSHQAPMHTLPIKMKRGLLILWSLFCLSVANTAARNKANKPLEFDQLKIPSKYGSEKQDDLREKDRVRAMPGQMEEAEFNQYAGYVTVDAKAGRALFYYFVEAPHDPLKKPLVLWLNGGPGCSSFGAGAMLELGPFSVRSDNKTLYKKQHAWNTVANMLFVDVPAGVGYSYSNTTSDYYNIGDKKTTDDAYIFLINWMKKFPEYQDHDFFITGESYAGHYIPELANLIVSNNRAINSTNIKLKGVAIGNADLHDNVTLRASFDYYWRHAMISDRVYRAIQTSCGFNETYTNDCQNAMNLANKEKGNVDDYNIYAPQCHDASNPSPSGSSDSVAFGDPCTNHYVSSYLNNPEVQRALHANTTGLNYPWMDCSGLIFDNWKDSPETMLPSIKTLISSGTRIWLYSGDMDAVCSVTSTQYALDILGLPVETSWRPWRIDNEVAGYVVGYRGLVFATVRGAGHMVPYYQPRRALALLSSFLEGKLPPE >ONIVA03G21450.5 pep chromosome:AWHD00000000:3:16175615:16179268:1 gene:ONIVA03G21450 transcript:ONIVA03G21450.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNG4] MHTLPIKMKRGLLILWSLFCLSVANTAARNKANKPLEFDQLKIPSKYGSEKQDDLREKDRVRAMPGQMEEAEFNQYAGYVTVDAKAGRALFYYFVEAPHDPLKKPLVLWLNGGPGCSSFGAGAMLELGPFSVRSDNKTLYKKQHAWNTVANMLFVDVPAGVGYSYSNTTSDYYNIGDKKTTDDAYIFLINWMKKFPEYQDHDFFITGESYAGHYIPELANLIVSNNRAINSTNIKLKDSAVKLDQIGNADLHDNVTLRASFDYYWRHAMISDRVYRAIQTSCGFNETYTNDCQNAMNLANKEKGNVDDYNIYAPQCHDASNPSPSGSSDSVAFGDPCTNHYVSSYLNNPEVQRALHANTTGLNYPWMDCSGLIFDNWKDSPETMLPSIKTLISSGTRIWLYSGDMDAVCSVTSTQYALDILGLPVETSWRPWRIDNEVAGYVVGYRGLVFATVRGAGHMVPYYQPRRALALLSSFLEGKLPPE >ONIVA03G21450.6 pep chromosome:AWHD00000000:3:16179672:16183665:1 gene:ONIVA03G21450 transcript:ONIVA03G21450.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNG4] MEVVGRAAADGVGFAGSSARAGAWWSVGRAGRRERGRWETAARRSSRDEQIERAPPSPGGEERQFAAAPPIRTNGNIGLVEIAIVLFVTLYFRLRQIDRNLGAGDIAHLYHMMHRQLLVAAQVHASQPNEQRPNISLKSNSPIGGTLTQGTPCGVNGEHVDMALVVVHELGEAEVVELTNGGGGVVRDG >ONIVA03G21440.1 pep chromosome:AWHD00000000:3:16157378:16159883:1 gene:ONIVA03G21440 transcript:ONIVA03G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNG3] MTKHGAVVVPEDAVVAAAAVGRHFSFPPPRTGGVGGDSCKKLAAQQIDLGAAVVGSWLDSMKASSPRHRLVAPAVAAAAADAEHDEWMEKHPSALGKFEALAAAAKGKRIVVFLDYDGTLSPIVEDPDRAVMTDEMRDAVRGVAARFPTAIVSGRCRDKVLSFVGLEELYYAGSHGMDIQGPTNAAASKGGEEEEESVLCQPAREFLPMIGEAYAALVEKVEGVIPGAKVENNKFCLSVHFRRVDERRWGAVADQVRAVLRGYPRLRLTQGRKVLEVRPAIKWDKGEALRFLLSALGFSAAGDGEDDGDDDDAFPIYIGDDRTDEDAFRVLRARGHGAGILVSRFPKDTCASFSLRDPGEVKDFLRKLVTCAAA >ONIVA03G21430.1 pep chromosome:AWHD00000000:3:16101963:16107547:1 gene:ONIVA03G21430 transcript:ONIVA03G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLHDDLEFVSGGGGDDDYYLGFNHDSGHGFHTSAATAASQTNKQMDDTSALDYKEGKDMQGIPWERLNYSRNQYREMRLRQYKNYENLTMPRDGLQKECKQVERKDTFYDFHLNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLQRGKEVLNVAGQLAPSQNVRGAMPLSRVQISTMAVKGNLMVAGGFQGELICKYVDKPGVAFCTNLTGNNNSITNAVDIYQAPNGGTRVTAANNDCVVRTFDTERFSLISHFAFPWSVNNTSVSPDGKLLAVLGDSSDCQIADSQSGKEMARLQGHLDYSFSSAWHPDGRVLATGNQDRTCRLWDVRNPSRSVAVLEGRIGAVRGLRYSPDGRFLAVAEPADFVHVYDAAAGYAAAQEIDLFGEIAGVAFSPAGNNGGGDDDGGEALFVSIADRTYGSLLEFHRRRRHGYLDCCV >ONIVA03G21420.1 pep chromosome:AWHD00000000:3:16095889:16097125:-1 gene:ONIVA03G21420 transcript:ONIVA03G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLFASLLRSAAAPRTSSTPGYLFNRAAAAAYSSSAPYNGQGFPPPQSETASRLGLFSRPGDTRQPSYGDRLMQSQQLSQDYRARTQANNAPRFGDTMSRIAGGENSSYFGTPSRIFDEHKQSLVKGKRDFVHVLLKRNKTFVTVTDVRGNKKTGASAGCLEDRKGRSRLSKYAAEATAEHVGRAARKMGLKSVVMKVKGTTFFNKKKKVILSFREGFRGERVREQSPVVLIHDVTQLPHNGCRLPKQRRV >ONIVA03G21410.1 pep chromosome:AWHD00000000:3:16090341:16090688:-1 gene:ONIVA03G21410 transcript:ONIVA03G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCDDLLDSILLRLDSPVCLIRAASVCKRWRRVAAADDAAGFLRRIAPSTVQPSTATTRPDTKIPSKRISILADGRYPSSSRRCRHRSPLAMTSSAPTVRSSTAAAPSSCSGG >ONIVA03G21400.1 pep chromosome:AWHD00000000:3:16082645:16083535:-1 gene:ONIVA03G21400 transcript:ONIVA03G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDHSDFLDLVCDDLLELILLRLDSSTTLARAASVCKRWRRVIACDAFLRRVRPLHPPTIAGHYCTGRRTSFHCEGPAAFVPSPSLSARGRSLFSPEEFIPSDMRVIDSCGGLLLLGWRDTFRRIAVCEPAARLWRRLRPAAVPEVASSRLLGAHLLPGDDGAAATGATNFKVVYTFQRRRDDDDACHSGMLMVTSVNGDSSSWSSTTLDERLDRRNFVGRAGGSLYWLTGDGAVHVLDGGTSEVTTHAFPDTEMWDCFLRREMDPGRRTYHDTGVRVVDSGGGAATTAAAVTR >ONIVA03G21390.1 pep chromosome:AWHD00000000:3:16078937:16081440:1 gene:ONIVA03G21390 transcript:ONIVA03G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARAAAVACLLVVGLAAVAGVDGATASSPAPAPAVDCTAEALKLADCLDYVTPGKTAPSRPSKLCCGEVKGALKDSAAVGCLCAAFTSKTLPLPINITRALHLPAACGADASAFSKCLAPAPSPSVAPGTSSGSGGAAAAPAKGAAAARSPMASTTAVLIVAAAVAAPLLAFFHF >ONIVA03G21380.1 pep chromosome:AWHD00000000:3:16068294:16068713:1 gene:ONIVA03G21380 transcript:ONIVA03G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGSAAVACLLVVLGLAAVAGVDGATASSHPAPAPAPAPAVDCTAAEALKVGACLDYVTPGNPPRSQPSKACCGEVKGVLKDIAGVGCLCAAISTHALPLPINATRVLHLPAACGADASAFTMCLGQSTYFDLLLL >ONIVA03G21370.1 pep chromosome:AWHD00000000:3:16063248:16065438:-1 gene:ONIVA03G21370 transcript:ONIVA03G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEGSNPPPPPPQAAPAARPQPITPAEFLSWKQRKDAEEAARKAEAAQKREADIASGAVQMNGRELFKHEPWVFDNSIY >ONIVA03G21360.1 pep chromosome:AWHD00000000:3:16059024:16061938:-1 gene:ONIVA03G21360 transcript:ONIVA03G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTELTAGGGGGGIMGKEGGGGADRVDLHSSCMDGRHQKGKHRVEERGDEIDKRQAKKARKTSADLLLLNRIGYQDESQDDGGSTWTAPMVTSRGVRFQLSLRPKEPPDVSRMLFKAVVPADILTSYDPNNTANPFIRPLARFVLIVISSNDKAILLQALCAGGIDFFVMDHLDHSPPAMTRLPEMPPCMWGLRNMGLMRRDGSCSYVVAGLERGRSEEGWHVFFLSTSTNIWRRKLVCLAPDHELRDYHWEVSSILTYRGRFWWVDLRRGLLSCSCDSLLLEDDIEATTQQPLDLDFTLLPNVTMEEAKEARLSKYTLQRDRCVDVSSNGLRYVEVRAHRHRRPSKSPVAPPPLCDDCRAGSITSWVLYDYSSAWAEERMLKLADVWRDESYRSTGLPKEVVEFPLIDPFDGNIVYFSINEGKVGDGREFCVHLGTKQIKAYSSSYKGLNDGALEPVFTASLKITRNYDVCFDD >ONIVA03G21350.1 pep chromosome:AWHD00000000:3:16057386:16058191:-1 gene:ONIVA03G21350 transcript:ONIVA03G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARPDGHLCPHALELLHLRFRHQHVVPESPLYGWDWEWDRSMGWECDYKECTDAEEAARKAEAAQKREADRASGAVQMNGRELFKHEPWVFDNNIY >ONIVA03G21340.1 pep chromosome:AWHD00000000:3:16041373:16044242:-1 gene:ONIVA03G21340 transcript:ONIVA03G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGRHRVEDRGDVIDKRQAKRARKTSADLLLLDRLGYQDESEDVGSSTWTAPMATSRGVRFQLSLRPKETPGVSRLLFKAVVQADILTSYDPSNTDSPFIRPSSAKFVLIVTSSNDKAILLQALCAGGIDYFVMDHLDHSSLAMTRLPDMPPRPVRLAPDHELRDCFWEVSSILAYRGRFWWVDLRRGLLSCSYDSLLLEDDDPLDLDFTLLPNIAMEEAKKGHLSEYPLNRDRCVGVSSNGLRYVKVRAHRQQHRPSKSPVAPPPLCDDCRAGSVTSSVLYDHSGAWAEERTLKLADVWRDESYRSTGLPKEVVEFPLIDPFDGNIVYFCINEGKDGDGREFCVHLGTKQIKAYSSSYKGLNNGALEPCSQRV >ONIVA03G21330.1 pep chromosome:AWHD00000000:3:16038395:16038700:1 gene:ONIVA03G21330 transcript:ONIVA03G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHPTATPAVPTAVAPAPGGRIAQHGRRAATTQTSCRCRTYRLQPLPGRLSSSSTPPQPGSGPPHGCTPRLAAPVAPPPGALPADDHTWQGAIGGTEKGE >ONIVA03G21320.1 pep chromosome:AWHD00000000:3:16034594:16034806:-1 gene:ONIVA03G21320 transcript:ONIVA03G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGRGARAAAAHRRRSAGGGTTRDQIQGSAMNGDEQCADDDDGAPQCAEDDTVAIRDGNLTRLLVYPG >ONIVA03G21310.1 pep chromosome:AWHD00000000:3:16029017:16031079:1 gene:ONIVA03G21310 transcript:ONIVA03G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLEYLAGLFSCGDHHHGHKNSKRRQLQTVELKVRMDCDGCELKVKNALSSLKGVESVKINRKQQKVTVSGYVEASKVLKKAQSTGKKSELWPYVPYSAASQPYVAAAAYDRRAPPGHVRNVEASSAAYVSGGGRTEERLTNLFNDEDPNACSLM >ONIVA03G21310.2 pep chromosome:AWHD00000000:3:16029060:16031079:1 gene:ONIVA03G21310 transcript:ONIVA03G21310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLEYLAGLFSCGDHHHGHKNSKRRQLQTVELKVRMDCDGCELKVKNALSSLKGVESVKINRKQQKVTVSGYVEASKVLKKAQSTGKKSELWPYVPYSAASQPYVAAAAYDRRAPPGHVRNVEASSAAYVSGGGRTEERLTNLFNDEDPNACSLM >ONIVA03G21300.1 pep chromosome:AWHD00000000:3:16019322:16023924:-1 gene:ONIVA03G21300 transcript:ONIVA03G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G39680) TAIR;Acc:AT4G39680] MKERAWPRRIRGGWVVPLPSPTSDLCAPGLSATMSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKDELVRRLFESIQSEKEEEQEQDNETVEVNPAANQASEIQSVSQETTVSITEVHKETVVQVTQEATPPITEVSQSLTVSAAEEPPKVNAVATHEAPLSKAPTDKGEEPPIAGDVSTVQNEHLHAENNTEPFVEKTQDVGTNEAIGALDMTSADVESDMTSSDIKIDATEASKVQQHDTVATTVDAIPTDADPMDTDVATEKAVLNDLGDTTSVYDEERKDSELTNEDEKPIAPKPNDQAKPGMVKPSSGITSIGGDLQPLDDDKDLGKNQSSLEYIDSTANVDEGGSPEKLNLDRSSGDESMEEDVMEIKQVESNIKSEGTAELSSDHVKEVSLPDTVVDDSSVDTKEVIADEKTAASTEKRKLEAEETVAATEPIKRQRRWAADGAKVPERQPISHSGSDAPKEIFQPALKRSFGRSDSTASGDSPKERVVPPSQKPATASLRIDRFVRPFTLKAVQELLGKTGSLCSFWMDHIKTHCYVTFSSVEEAVATRDAVYDLQWPPNNGNRLVAEFVDPQEVKLKLEPPPPAAAPISPATTPKEPPFQQAQANQNMPRQATAPREHLPPPPPLTKLPTSDSGSAKERLPPTPKKQPEPPVVTLDDLFRKTHSSPRIYYLPLSEEEVAAKLASQGKGKRE >ONIVA03G21300.2 pep chromosome:AWHD00000000:3:16019322:16023924:-1 gene:ONIVA03G21300 transcript:ONIVA03G21300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G39680) TAIR;Acc:AT4G39680] MKERAWPRRIRGGWVVPLPSPTSDLCAPGLSATMSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKDELVRRLFESIQSEKEEEQEQDNETVEVNPAANQASEIQSVSQETTVSITEVHKETVVQVTQEATPPITEVSQSLTVSAAEEPPKVNAVATHEAPLSKAPTDKGEEPPIAGDVSTVQNEHLHAENNTEPFVEKTQDVGTNEAIGALDMTSADVESDMTSSDIKIDATEASKVQQHDTVATTVDAIPTDADPMDTDVATEKAVLNDLGDTTSVYDEERKDSELTNEDEKPIAPKPNDQVPEVSPDLGSPIKCESISSDDISTNKKNNIKDNLNANNFDLELEAKPGMVKPSSGITSIGGDLQPLDDDKDLGKNQSSLEYIDSTANVDEGGSPEKLNLDRSSGDESMEEDVMEIKQVESNIKSEGTAELSSDHVKEVSLPDTVVDDSSVDTKEVIADEKTAASTEKRKLEAEETVAATEPIKRQRRWAADGAKVPERQPISHSGSDAPKEIFQPALKRSFGRSDSTASGDSPKERVVPPSQKPATASLRIDRFVRPFTLKAVQELLGKTGSLCSFWMDHIKTHCYVTFSSVEEAVATRDAVYDLQWPPNNGNRLVAEFVDPQEVKLKLEPPPPAAAPISPATTPKEPPFQQAQANQNMPRQATAPREHLPPPPPLTKLPTSDSGSAKERLPPTPKKQPEPPVVTLDDLFRKTHSSPRIYYLPLSEEEVAAKLASQGKGKRE >ONIVA03G21290.1 pep chromosome:AWHD00000000:3:16011990:16014484:1 gene:ONIVA03G21290 transcript:ONIVA03G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNE6] MVKPEDDRTLTAELACAIVQRNERLGIPEFRFLLRLISRTGLGDRTYAPRNLLDGREELAAQRDSVDEMVACFDGAVPELLARTGLRARDVDVLVVNVSGFFPEPCLASRVVRRYGMREDVAAYNLSGMGCSATLVAVDVARNAMRARSPRPVVALVVSTESLAPHWYAGKDRTMMLGQCLFRCGGAAVLLSSDPAHRGRAKMELRRLVRSTTADSDDAYSCIMQREDDDGLRGVSISKALPKAALRAFAANLQRLLPRVLPAMEIARLAADLAWQNLLQRRRHRGQTKLKINLKAGVDHICLHAGGVAVIDAVKKSFGLEERDVEPSRMTLHRWGNTSASSVWYVLSYMEAKGRLRRGDKVLMVTFGSGFKCNSCVWEVAGDMADKGAWADCIDAYPPESKPSPFLEKFAWINDEAADESSSPF >ONIVA03G21280.1 pep chromosome:AWHD00000000:3:15998600:16000000:-1 gene:ONIVA03G21280 transcript:ONIVA03G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNE5] MELTPLLAVVLFLPPPLAVAHMAWTAASRRRHTRCYLLDYVCYKPEDDRTLTAELACAIVQRNERLGIPEFRFLLRLISRTGLGDRTYAPRNLLDGREELAAQRDSVDEMVACFDGAVPELLARTGLRARDVDVLVVNVSGFFPEPCLASRVVRRYGMREDVAAYNLSGMGCSATLVAVDVARNAMRARSPRPVVALVVSTESLAPHWYAGKDRTMMLGQCLFRCGGAAVLLSSDPAHRGRAKMELRRLVRSTTADSDDAYSCIMQREDDDGLRGVSISKALPKAALRAFAANLQRLLPRVLPAMEIARLAADLAWQNLLQRRRHRGQTKLKINLKAGVDHICLHAGGVAVIDAVKKSFGLEERDVEPSRMTLHRWGNTSASSVWYVLSYMEAKGRLRRGDKVLMVTFGSGFKCNSCVWEVAGDMADKGAWADCIDAYPPESKPSPFLEKFAWINDEAADESSSPF >ONIVA03G21270.1 pep chromosome:AWHD00000000:3:15972525:15975805:-1 gene:ONIVA03G21270 transcript:ONIVA03G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPRSGVLVFGLVCVFQLSHSSSDDDFTKVRAVNLGGWLVVEGWIKPSLFDGISNGDMLDGTQVQLKSVGLQKYLSANGGGGGNLTVDQDVASTWETFRLWRVSYREYQFRCIKGQFLTASNGDVISATADSPGDTETFYIERNNSMLHIKLLNGGYLQVTNNNQLTSNYPSQPGWDDGMATFEMTIVANNLHGDYQLANGLGPDQAMVVLTEHRKNFITGKDFYFLSKNGINAVRIPVGWWIAYDPNPPAPFVSGSLDTLDRAFYWAHFKSAQYGGSDFDIRIYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSPANIEKTLDVINFLAQRYANNPSLLGIELLNEPSAGAVPLGTLVSYYKTGYQIVRSYSDKAYVIFCQRIGNADPMELYQADLGPTNTVVDLHYYNLFDPFFEKLNATENIQFIYNNRMPQVQALDKANGPLVFIGEWVNEWNVTDASQTEYQLFGKAQLEVYGEASFGWSYWTVRCNSVHWDYEWNKRNRYLIGGSPLESPKYMLLVAGCLLYLLFILT >ONIVA03G21260.1 pep chromosome:AWHD00000000:3:15967047:15968297:-1 gene:ONIVA03G21260 transcript:ONIVA03G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRGRRRGDNGRLLLLAAAAVVIASLVGGGAAQPTTSGPGPNYFNPKSFNPSMAVVIVVLVTAFFFLGFFSIYIRRCAGGPLGGPGGYGVGGGGGGRVGGGFTFAAARSRRVRGLDPAVLGAFPTMAYADVKAHKAGKGALECAVCLSEFDDDETLRLLPRCSHAFHADCIDAWLASHVTCPVCRANLAFDAGVPPPPLAPPHALTTGQDVAATPPQPAAPEQVTVDVVVADAEETEEERIRREEAAELMRIGSVKRALRSKSGRRPPQFPRSHTTGHSLAAAAAAVPATADDAAERYTLRLPDQVLREIVTSARLRRTTSLQSFRTTAAAGGGARAGRSVRLGQSGRWSNVSSLMRTFSARLPAWGSARRGAEADSPGKDGAKVAGDCTASVEQHCDGGGGGGACPRPLGDHV >ONIVA03G21250.1 pep chromosome:AWHD00000000:3:15965210:15965521:1 gene:ONIVA03G21250 transcript:ONIVA03G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKLVGVGFKARTERQGRELFLKLGYSHEVQFTAPPAVRVFCFKPNLICCTGIDKNRVHHFAGAVRNSKPPEVYKGKGILYIDEVIKLKPGKKQKK >ONIVA03G21240.1 pep chromosome:AWHD00000000:3:15963577:15963840:-1 gene:ONIVA03G21240 transcript:ONIVA03G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEENSRGSGTKISDSAKAEPSKWSEAPQLFDVTGEPPPLPAAAGPADGEAFGQSGSAVGGARRRREAVAAAAAARDGRVVLGLGGV >ONIVA03G21230.1 pep chromosome:AWHD00000000:3:15953214:15960841:1 gene:ONIVA03G21230 transcript:ONIVA03G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRTPVSLHSLLVAGGHGKRCVAGAGGRKRGRVQRRHLTQALESFWRHAPRPAPPAAAARGEANRSWQPPPLENPAFEEYYKEQRIVREEEWDDFISVLRKPLPATFRINASSQFFKDICSKLENDFKRYLESEVSDEYGEDAIRPLPWYPGNLAWHLNFSRKQLRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNIQPDHHVLDTPGSKTFQLLEMIHQSKEPGLLPRALVVANDVNAQRCDLLIHNMKRMCTANLIVTNHEAQNFPDCRIANDLSEIYKKDCKPQRLEFDRVLCDVPCSGDGTIRKGHDMWRKWNSGMGNGLHLLQVDISMRGIALLKVGGRMVYSTCSMNPVENEAVIAELLRRSGNSVELLDVSNELPELVHRPGLSTWKVQDKGSWFQNHDDVPCDRKNVILPSMFPSNKSIQEGQSVCDDIEVNTGSNGSFSRNFSIEKTNKVYCDTDGISNSNTTKHSDSTPNSTSSNFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLHECQMVEVTKTEVLDDDESLEEQKKISIDAHTSEDSNLTEVALVTDDVKNDQAESGNRMNKLQDQCKWKGVDPVLFFRDLTVIKSIVSFFAINISFPLEGHLVTRSADPNNARRIYYVSKSVQEILQLNVEVGEQLKIASLGLKMFETHRSKDGCPCAYRLSYEGLPLLLPYISKRILCASPNDFLRLLQYRTVNFAHFINARFGEEAASLIPGCCVVILREGHQNLDLGSITMDPTTIAIVCWRGKATLNAMVSPPDRKELLERITQRFGLKALRVEEENPRRQN >ONIVA03G21220.1 pep chromosome:AWHD00000000:3:15948909:15952884:1 gene:ONIVA03G21220 transcript:ONIVA03G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GND9] MPLAPCPSPPLPSSPSPARAPRRGGLLRARAVRAAPRPPSKWSLGSWRSLTALQQPEYPDKAELDEVLRTVEAFPPIVFAGEARKLEERLAEAAVGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVGRMAGQFAKPRSDGFEERDGVKLPSYRGDNINGDSFDEKSRLPDPHRMIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYMELAHRVDEALGFMAAAGLTMDHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHFLWVGERTRQLDCAHVEFLRGIANPLGIKVSDKMDPKELVKLIDILNPQNKPGRITIITRMGPENMRVKLPHLIRAVRGAGQIVTWVTDPMHGNTMKAPCGLKTRSFDRILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELAFIIAERLRKRRIASWQLNKNSHLGNIPSLGL >ONIVA03G21210.1 pep chromosome:AWHD00000000:3:15940811:15944294:-1 gene:ONIVA03G21210 transcript:ONIVA03G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GND8] MEGNARGGGHSEALKNYNLGRTLGIGSFGKVKIAEHKLTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYEKDHVCESLRNRLQNETIFQATVAYYLLLDNRFRATSGYLGADYQESLERNLNHFASSESASSNTRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALEDLNVCWKKNGQYNMKCRWSVGYPQATDMLDVNHSFVDDSIIMDNGDVNGRLPAVIKFEIQLYKSRDEKYLLDMQRVTGPQLLFLDFCAAFLTKLRVL >ONIVA03G21200.1 pep chromosome:AWHD00000000:3:15937008:15937217:-1 gene:ONIVA03G21200 transcript:ONIVA03G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHEGAHRQVRHNSSARATTPTYPNCVGAAAVPSHASATHHLQRRRGRNRGRDEIGSKGILVISARKKH >ONIVA03G21190.1 pep chromosome:AWHD00000000:3:15935125:15936108:-1 gene:ONIVA03G21190 transcript:ONIVA03G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAASAAAAAAGEEGALPQRRRKSAPGQPWAHMETMHLLDAYEERWTRLRRGQLKAQQWEEVAADVAARCAASGAALRKTGTQCRHKLEKLRKRYRIEGARPVTSLWPYFRRMERLERGPLPVSSAFPPPPPAASPPAAASDEDDEDDDDDEEDDEEEEEVEEPIPRNNTRSINGILRDSGGGGGGGGGGGFSGFAPRPPPQQPPPSFAMLSTAPPRKRVPYEAFQAKVAMADKVKEEEPPPVATRPGGGTNEQLSAVLRDFGQGIMRLERRRMEMQWEIDRGWKETEARHNRMLLDAQRHLHEALAATPPPLKKARREHGGDGS >ONIVA03G21180.1 pep chromosome:AWHD00000000:3:15928381:15932036:-1 gene:ONIVA03G21180 transcript:ONIVA03G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYRGGGPGAQVTRTARVWVWLPSNRIRALLTLFVLTSPHLSSLMPPPAPLAPLRSRAHLRLHCRLPPSPSPSPSPLLSRAPSRRWPPPLRASASGRGGASAAAAPTSSALDALLYAAELLCLAPPAICSVVCAARLVFPPPTTTGAPASGLVGGRMFVVQYVLLVGAVAIGSLIRRRQWGRLCQVGGGGGGGAAARGVDFAGRIGEVEESVRGVVAAVGVLSRTVEKLGVRFRVLRRTLRDPINETATLAQKNSEATRVLAAQEDLLEKELGEIQKVLYAMQEQQQKQLELILAIGEASRILDDKEDLPGNDTSSTIMEKENEQTDIKVETITGGNNRP >ONIVA03G21170.1 pep chromosome:AWHD00000000:3:15924591:15925034:-1 gene:ONIVA03G21170 transcript:ONIVA03G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVEMAGERRKVANGGTNGGGRHAMGRRRGRGDGEGERAQRRRREGCGIEGGGMGRGARPSKRMRLPSSFTVGATSRMHGSTIVDCRRTGPLVPCTRRCLKCARAHRRRRRRRSGAAAAVTVVTRLLPAADFHRYLRLRQIRSLTR >ONIVA03G21160.1 pep chromosome:AWHD00000000:3:15920164:15920469:1 gene:ONIVA03G21160 transcript:ONIVA03G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQGSKSKGRTEDGRRDASSLCPGLSGRGMEHGKEGEDRMRIHPGCAGDKLGRTVAACQPWQGRGREGLPEIKRLGWYGRRPVAARSWGPSDVTALTSEL >ONIVA03G21150.1 pep chromosome:AWHD00000000:3:15918353:15919333:1 gene:ONIVA03G21150 transcript:ONIVA03G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKRGRLVGWVLLLLAVAIAGAAAATPRQLFLVTQAPVTLTNHHGQLLTGNYSVNLLWYGRFTPAQRATVADFLLSMSSPSAAAVSGGPAAPSVASWWATTARYHPGAARLSLGRQVLDASLSLGRRLSETSLAALAARLSPHRGSIAVVITAPDVLVDGFCLSHCGLHASATSAAAAAATASPAATRGRGRFAYAWVGNAAEQCPGECAWPFHQPAYGPQAPPLVSPNADVGMDGIIINLATLLAGAVTNPYGGGYFQGPTEAPLEAVTACTGMFGAGAYPGYPGQLPVDAATGASYNAVGVAGRRFLLPAMWDPKTSQCSTLV >ONIVA03G21140.1 pep chromosome:AWHD00000000:3:15914123:15914828:-1 gene:ONIVA03G21140 transcript:ONIVA03G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDVLAGEDQGGAARRLWNQGGLVAMELLGKAAQLTGGCGAVEVCDDGDHPIPVGSIKRHAPTPLDWANDAADVGGDGYGPSDGEADSDLVAGVPTRRRPPCAPTRRRPPAALPSAVRPHAPPSPAALPSAASHARLLPRRRRRRSPPRCHRSPATAPPGKLRLRLSLSCLPHGELRLHLNRPPPAARGAPPCAVALDKERERERERERWGRGEEEGRRGLG >ONIVA03G21130.1 pep chromosome:AWHD00000000:3:15900911:15902725:1 gene:ONIVA03G21130 transcript:ONIVA03G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRIRRPTEELGARRARRRPAAATLPPRQRCSHIPDPRRICRITGLPSWLEEARSGLLFGGSREVRSASASQSPAASVTQRRRRGEPLGRRVLKWLPVERRWVEHSSAIIEPQQLLVHYQKNQLLYGL >ONIVA03G21120.1 pep chromosome:AWHD00000000:3:15890791:15892194:1 gene:ONIVA03G21120 transcript:ONIVA03G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNC9] MELLALVTVLLLAHAVAYLAWTAAARRRQSRCYLLDYVCHKPSDDRKVSTEAAGAVIERSKRLSLPEYRFLLRVIVRSGIGEETYAPRNVLDGREGEPTHGDSLGEMEDFFGDSIAELFARTGFGPRDVDVLVVNASMFSPDPSLASMIVHHYGMREDVAAYSLAGMGCSAGLISLDLARNTLATRPRALALVVSTESIAPNWYTGTDKSMMLANCLFRCGGAAVLVTNDPVLRGRAKMELGCLVRANIAANDDAHACALQREDDDGTVGISLSKALPKAAVRAFAANLRRLAPRIFPITELARFAAQLLITKKLLRRRATAATATKHTGGDGPRINFKTGVDHFCLHPGGTAVIEAVKHSLGLDDDDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLRRGDKVLMVTFGSGFKCNSCVWEVTGDMADKGAWADCIDAYPPENTANPYMEKYSWINDVDGDSLII >ONIVA03G21110.1 pep chromosome:AWHD00000000:3:15878131:15879165:1 gene:ONIVA03G21110 transcript:ONIVA03G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIEGIKPSLFTMLGVLVIVIAHAAAHVATRDDDEAMRAKDLNEMLLVAEAEAAPPANPRGFVNLLCKQLDHLGNARFDPALFRVDAYGNVLYLHADSASSLAWDIDHWFHVPVRNT >ONIVA03G21100.1 pep chromosome:AWHD00000000:3:15867121:15867627:-1 gene:ONIVA03G21100 transcript:ONIVA03G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSSDGGLSMRGLQSSVTVEGKRREVREACELELAGQPREGGGSSGQWAVEEDGVAAICGGRGGEDLVPQQLRLAGAPTVLRDAGSGLLCRPSCPHKNGKGRAEGGRMQISQLPLCLSRLPLPPQRHLLFAEAIVIGKTLMRMVASCWLYAWLTSSYWKLDAELPI >ONIVA03G21090.1 pep chromosome:AWHD00000000:3:15863089:15863784:-1 gene:ONIVA03G21090 transcript:ONIVA03G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVGDSTAAANGGGGAAASAAPPEAAGKGSATISVTVVLLVLLVASVAAFLMSPQPGGGKPPVEGVSGGGDSDGTRGGGGGLEGVKGAEPVEQAVGPGAAAIPGFNSRLDAFRAWAKLTWMKLRRPHSGEPRRYDDDAGSSGSAADAAKRSLEMTKETVEQAAASAARAAGNAVGKASDKVKGAASPAKRAPSDAEL >ONIVA03G21080.1 pep chromosome:AWHD00000000:3:15860029:15862659:1 gene:ONIVA03G21080 transcript:ONIVA03G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRHSSVTPGNDSGSGRRGRGSGSGSGSGRRSRGSDRSGDGSEDSSSRTRRSRLSAQSTGTRLMPAFDDAAGDGDGRILGSSSSSPSGGLDLGLEEFRRVQHEASRNPNLQRLLFHSSPVRQPTQDDEVIVMDGVLVDTTSGSGASGRYGLNRQFFDGKGDPRVVRPIKRTSYEMEPQIRRPAQGPGFYMQRPPTPPPTPRGFPPPLPPPGAGAPRGGSATPAMIPGHPGAFYPFPPPSLPGVGPPRGGGAIPGLPAGFPFLLRPPPPLPVPGVICRPPPSPPYFAPPPRATPTVSLAGPPPGFNPKRGLIRRGEAITLPESERPTPPPPPLPPTPVAQHKRTEFSWPPKTTAPAVTLLTRAPPLSSAPKQHPESEAPPLAPSSAPSPREEFAWPLTDEEDELIINVLYGPTNRRRLPVFRRICPD >ONIVA03G21070.1 pep chromosome:AWHD00000000:3:15854406:15857138:-1 gene:ONIVA03G21070 transcript:ONIVA03G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRAFRKPLTQVSHRGRIYGFALHLLPPPHGAASYTLPPPPASSPRSSKKNPNPSTPNRHAGREERTTSHRARPNRRSCFPKEKNMSRHPSTKWAQRSDKVFLTIELPDARDVKLNLKPEGQFIFSAKGPADDTPYELDLELFDAVNVEESKAAVAARSICYLIKKAESKWWPRLLKKEGKPPVFLKVDWDKWQDEDDEDIGLGDFGDMDFSKLGMGGPDDDLEDDDEDDTADSANKDDEDIKAEGSGEAAGEAKP >ONIVA03G21060.1 pep chromosome:AWHD00000000:3:15850514:15856733:1 gene:ONIVA03G21060 transcript:ONIVA03G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunits of heterodimeric actin filament capping protein Capz superfamily [Source:Projected from Arabidopsis thaliana (AT1G71790) TAIR;Acc:AT1G71790] MEAAMDLMRRMPPGRAETALNALLSLIPDHSLDLLSQVDLPLQVCMDKESVKEYILCEYNRDADSYRSPWSNKYDPPLEDGTVPSEEMRNLEVEANDVFSVYRDQYYEGGISSVYIWEDEDESFIACFLIKKDGEGKRGHMQIGSWDAIHVIQVGPEEEGAAHYCLNSTVMLSLTTDNKQSGTFNLSGSIRRQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNRRLPDS >ONIVA03G21050.1 pep chromosome:AWHD00000000:3:15842211:15849150:1 gene:ONIVA03G21050 transcript:ONIVA03G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G34150) TAIR;Acc:AT1G34150] MAAAAAGGDGEAAVMRAEVAALRLRVQELERENQRLGKIASRCTCGSSKDDSAASSPVVSESFSSSKQEPQKNVKSHCRGCQVGVVSHCPKQLIALKIMYFGQRFYGFASEAHTEPTVESEIFKALERAKLLVGSRKESCYSRCGRTDKGVSATGQVISLFLRSNIKDAKLDVLDNKSGDFLARFSCLGREYKYLFWKGDLNISEMQKAALKFIGEHDYRNFCKMDAANVSNYRRHITDFNISAYDQRSNYDELWAITIKGSAFLWHQVRCMVAVLFLIGQGLESPCIVDSLLDITKTPRKPQYKMASEIPLILRSCLFDEVNFMCSSEASQALIEHLKDEYHQYMLQAAIFCEALSCLCSPEPDPFEPRHKKRNHIPLMSRQTEPSYEERIAKVKTKSAGSV >ONIVA03G21040.1 pep chromosome:AWHD00000000:3:15836385:15837978:-1 gene:ONIVA03G21040 transcript:ONIVA03G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNC1] MAATSARSVAWQWLAVAAAVAAASLIGGTPAEARRGGKESIGFYELRRGEFSVVVTNWGATILTVNLPDKNGHIDDVVLGYKDIGSYVNDTTYFGALVGRVANRIAGGRFTVKGHAFHTYKNDGNNTLHGGHRGFNQVFWSVRERATGHFPYITFYYRSYDGEQGFPGALDVLVTYKIDGDYSFSVTMYARPVDDGKPTPVNLAQHTYWNLRGHGNGTILDHSVQIFASAVTPVGAGLIPTGAVSPVSGTPFDFRAPAPPGARIADVPGGGYDINYVLDGEADGQGVRKAAVVSEPTSGRVLELWSDQPGLQFYTGNFLKGDEGKGGARYVKHGGLCLETQDYPDAVHNAKFPTEIYRKGQEYKHYMLYKFSLAKK >ONIVA03G21030.1 pep chromosome:AWHD00000000:3:15824223:15827067:1 gene:ONIVA03G21030 transcript:ONIVA03G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFISDSDAVSAFTPPTAYIAAHPGPSASFQSIQLRPHTTTTTTSATGTPAMETEEDDHRLHPRTRTIADLLNQLDQIHSTSATRTTGGGGGVAPASDEAIEALKDVTGDIDQLPAECAICLHGGLDAAAAPAGWKEMPCGHRFHGGCLEKWLRAHGTCPMCRHQMPTTTAPPPPAAEQEDYLDGDEEEDAGDDDEVEAGVGPLPLELRVVVAHSSGGYGGVAAGSGLSRWRDAHISPEMRRIWTLGGQIRPSPSPPSQPLLARERMEVAVGARNGGASWRTTTNTKLHRCI >ONIVA03G21030.2 pep chromosome:AWHD00000000:3:15827069:15833374:1 gene:ONIVA03G21030 transcript:ONIVA03G21030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGRAAPAADDAAETQESLLDMRQFMDMTLNDFNLSMMPSTTYSATSSTTTITSSSAEAAAAAAPTRASSRAAIVEAPRDDVDDGQEQDNCAICLDRDDAAAAEWKETPCRHRFHGGCLDKWLEAAYATCPMCRRHVTPPPIADEDDDDDSEQAAFLLQASNDLLASLLQSTSRGGGGADILSFANQLARQAGAFLQDSNDILASLLQSTSRGAAAGAAAASDEAIQALKDVGGGDVDGGGQKLDCAICLNHDDPSASAAAGWKEMPCGHRFHGGCLEKWLRMHGTCPMCRHQMPAAEVVEGAASEVTTSEPLLLIARVRRSGDGGNEEEHYHHYLYEIQVQYSTNVEINP >ONIVA03G21020.1 pep chromosome:AWHD00000000:3:15804212:15808388:-1 gene:ONIVA03G21020 transcript:ONIVA03G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQSSTRRWATRCPEGKEKPDLDPDLNARVDGAELEHLSADRIGAEYVTAVSFGVTSPFGDIVHHKQKVSTGQFSFTTAEAGNYLACFSADGRNKRLVVKLNLDWRVGIATKDWDSVAKKEKLEGVELELVKLETSVQAIHENLLLLRSKEANMRDTSEKTNARATWLSIISLINASLSCGMLLLLNISRMTASSTDPMPLFFAS >ONIVA03G21010.1 pep chromosome:AWHD00000000:3:15802939:15805787:1 gene:ONIVA03G21010 transcript:ONIVA03G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCLVTTGFEDECREIHDACNQPRRLSVLLAHRSPSERQKIKATYRTVFGEDLAGEVQKILMVNQEDELCKLLYLWVLDPSERDAIMARDAVENGGATDYRVLVEIFTRRKQNQLFFTNQAYLARFKKNLEQDMVTEPSHPYQRQLLVALATSHKSHHDELSRHIAKCDARRLYDAKNSGMGSVDEAVILEMFSKRSIPQLRLAFCSYKHIYGHDYTKALKKNGFGEFEQSLRVVVKCIYNPSMYFSKLLHRSLQCSATNKRLVTRAILGSDDVDMDKIKSVFKSSYGKDLEDFILESLPENDYRDFLLGAAKGSRAS >ONIVA03G21000.1 pep chromosome:AWHD00000000:3:15798424:15801357:1 gene:ONIVA03G21000 transcript:ONIVA03G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQSLAASLGLRCSSASTAAGCRFFQDGGWRPFCMLTSSSRGHAEHHRNGGGGGEHRREAGEGDRPKALPLSAAAGGHSLFLSPAYASSRAQPPSLAVGLLSVLAQGATGSKGGIYGAASLSGSSSSISLGFNPASFLPFLQTSKWLPCSDLATSSSAPPSSPSPSPPPPAPAPSIRPKKALVSSASSSPAIARSSGGSGAAMSRSNWLSRWMSSCSDDTKTAFAAVTVPLLYSSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEILDIVIFRAPPALQDWGYSSGDVFIKRVVAKAGDYVEVRDGKLIVNGVVQDEEFVLEPHNYEMEPMLVPEGYVFVLGDNRNNSFDSHNWGPLPVRNIIGRSVFRYWPPSRITDTIYEPRAEYSVAGLS >ONIVA03G20990.1 pep chromosome:AWHD00000000:3:15791074:15791652:-1 gene:ONIVA03G20990 transcript:ONIVA03G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTNGCGFFGSEATKNLCSKCYRDQLKEAASSAAVAAAAPDVANKEEEASTAAAAADEQLALCASGCGFFSSKETKNMCSKCYRDHLKATSPATATASTPDIIVPMTPAATAPTSSLKGKEEATAAASSSTAPAKPNR >ONIVA03G20980.1 pep chromosome:AWHD00000000:3:15789679:15795877:1 gene:ONIVA03G20980 transcript:ONIVA03G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFASPAPQNPFQTPAQAPSLSPSPFQFNLQQPQQQQQQPPPQQQAAPAAQPQQQQQQQLMLYTTDGKPAGYNTKWEELHAESQKALLQIEDKIREYRDESERLDQCSRLHDSSISTVNFENDASEIAQELGGTTTMMEREKASVQELMTVVNEMMRNTEFAIRSYMMLRPRFIRPGAGANGGGSNPSGPAGAQSNQPVALAPTIDFYSGIPKRPSHFMQQTINRFEKYLGECCKWIAELEQLVQMENNKRQSASLESLPKVMSNVHDYFIYVASKVENLHQYVESLKTEYLHEQRRLGNANDPFLEANRREAAKQEAAARRVHPTLHLPAPVQPTTQIAGTVTSQPQQSLIPSGATSSSAFPSFSTPASAPSSSSLFSTPTTPTLSSNLFGTSGSAQLSTPFGTVSTPTLGSTPAPSGFGNTTPSFASTPALGGTSLFSTPFGGGATASGSSFGGTSNPSVSVSVNVMSCLVASNVEGSFCPRSGLTFLLFGL >ONIVA03G20970.1 pep chromosome:AWHD00000000:3:15780290:15788815:1 gene:ONIVA03G20970 transcript:ONIVA03G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT5G66960) TAIR;Acc:AT5G66960] MGALSDAAAMRHMDVHMEAEEKYAEACLAAAGADRLARKLQLEMASRLASDACTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFISYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLCDKPRADRVSNISWAMDGKALVYIVTNEDRRPYRIIGSSKDDVLMLEEPDENIYLNIRHTKDFRFITLNVYLINASDPLSRMRLVWEGESQVHCIVEHHHGRLYLFTDASREGTPVDSHYLMLSDVESPGPKSWKDVFLEEPGVILEDVDFCDTHMVLVLRQGRKLKLCSVKLPFPEHIRVPARLSDFHPFDLSLPNHVCQILPGPNYDYRSSTMRFTISSPVMPDAVVDYNLPNGKWRIVQQQNMLHERTKALYGNAFAASMVKPSSKGGDLSSEDFGDCDWNELSEYYACEYYDVPSKDGVLVPLTLVYSQKHKQEGNPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAFADVRGGGGYGKKWHQDGARTKKMNSIYDFISCGEFLLEKGIIKENKLAGWGYSAGGLLVASAINTRPDLFRAVVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLSIRKYSPYDNIQKDVPYPAVFVTSSFNTRFGVWEAAKWVAKVREVTRYDPERPVILNLTTDVVEESKYLQTKELALETAFLIKMIHDT >ONIVA03G20970.2 pep chromosome:AWHD00000000:3:15780290:15788318:1 gene:ONIVA03G20970 transcript:ONIVA03G20970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT5G66960) TAIR;Acc:AT5G66960] MGALSDAAAMRHMDVHMEAEEKYAEACLAAAGADRLARKLQLEMASRLASDACTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFISYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLCDKPRADRVSNISWAMDGKALVYIVTNEDRRPYRIIGSSKDDVLMLEEPDENIYLNIRHTKDFRFITLNVYLINASDPLSRMRLVWEGESQVHCIVEHHHGRLYLFTDASREGTPVDSHYLMLSDVESPGPKSWKDVFLEEPGVILEDVDFCDTHMVLVLRQGRKLKLCSVKLPFPEHIRVPARLSDFHPFDLSLPNHVCQILPGPNYDYRSSTMRFTISSPVMPDAVVDYNLPNGKWRIVQQQNMLHERTKALYGNAFAASMVKPSSKGGDLSSEDFGDCDWNELSEYYACEYYDVPSKDGVLVPLTLVYSQKHKQEGNPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAFADVRGGGGYGKKWHQDGARTKKMNSIYDFISCGEFLLEKGIIKENKLAGWGYSAGGLLVASAINTRPDLFRAVVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLSIRKYSPYDNIQKDVPYPAVFVTSSFNTRFGVWEAAKWVAKVREVTRYDPERPVILNLTTDVVEESKYLQTKELALETAFLIKMIHDT >ONIVA03G20960.1 pep chromosome:AWHD00000000:3:15776461:15780186:-1 gene:ONIVA03G20960 transcript:ONIVA03G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77670) TAIR;Acc:AT1G77670] MAHSSLTLVLVPTPRVRSRVSRQVRDASSGAHPWRRDSTRCCCSAPLMNLAGFLATPATATATRHEMPLNPSSSASFLLSSLRRSLVASLRKASPAAAAALSPMASASTVAAENGAAKAAAEKQQQQPVQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIQAINAGKNQYARGYGVPELNSAIAERFLKDSGLQVDPEKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGANVKAITLRPPDFSVPLEELKAAVSKNTRAIMINTPHNPTGKMFTREELEFIATLCKENDVLLFADEVYDKLAFEADHISMASIPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATCTPMQAAAAAALRAPDSYYEELRRDYGAKKALLVNGLKDAGFIVYPSSGTYFVMVDHTPFGFDNDIEFCEYLIREVGVVAIPPSVFYLNPEDGKNLVRFTFCKDDETLRAAVERMKTKLRKK >ONIVA03G20950.1 pep chromosome:AWHD00000000:3:15774460:15775453:1 gene:ONIVA03G20950 transcript:ONIVA03G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASLQPVQTQDSRGPIRRPDHCIRMRTLGNGTCHGRKIWSWRLKPYVQYNTHLCHSFICKVGNQRFNHNTVSADKVSMVQPCPYANHAATANFFSKTQILSTSSTELLIDFDQFTIY >ONIVA03G20940.1 pep chromosome:AWHD00000000:3:15769337:15771938:1 gene:ONIVA03G20940 transcript:ONIVA03G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGGGGGGGAGGVGKLTRTPSSLLRSPTVRNCASFQAVVVEDPEPDDKKEQAQAKPPPHLHPHLLRAAAAAAVPAHPLLLLALPLAVLLLLLLLRDDHHHLLLLATAAAAALAAAAGAARLLRGRLRLRRSSGSGSVQWFIGDEDDKPQKRDKGKGGAHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYSGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGFGMYTFRNGDKRSGDWDSGTLKTPLSPTDPSVQRAVQAAQLAAENAFHLPRVDEQVHKAVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >ONIVA03G20930.1 pep chromosome:AWHD00000000:3:15753647:15757619:-1 gene:ONIVA03G20930 transcript:ONIVA03G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10 [Source:Projected from Arabidopsis thaliana (AT1G25290) TAIR;Acc:AT1G25290] MASSSPPWLLLPSFPWPPPPPPGSSSGRGGGGGGGGDPGDWKPNVVAAFAGAQLGRALRRRLAGLLRSPEARHLGALPRIGDIWFEGSDSFATHSILGVLENALSAPYACSSVLFNGNGSDRRYIGRGKLPSRRPSGINSKKRLWTNILLAVNILAYVAQITTQGRLLIWGAKINSMIDRGELWRLATSSLLHANLAHLAFNCFSLNSIGPMVEMLTGPRRYLAVYFTSALAGSLMSYRYCASPAVGASGAIFGLVGAYAVYTWRHRRFLGHGKESLEHIGRVVILNMGMGLLTRGIDNWGHLGGLLGGMAMAWFLGPAWQYQYVSKDGRAVFKDNAPILQLSNGKWLSPTGTVYMNPYFWSPTGTETNSRIARYNINSTMGLHQVNDWEDLHDQELVEKLEKDMGKEQQMAAGSV >ONIVA03G20930.2 pep chromosome:AWHD00000000:3:15755583:15757619:-1 gene:ONIVA03G20930 transcript:ONIVA03G20930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10 [Source:Projected from Arabidopsis thaliana (AT1G25290) TAIR;Acc:AT1G25290] MASSSPPWLLLPSFPWPPPPPPGSSSGRGGGGGGGGDPGDWKPNVVAAFAGAQLGRALRRRLAGLLRSPEARHLGALPRIGDIWFEGSDSFATHSILGVLENALSAPYACSSVLFNGNGSDRRYIGRGKLPSRRPSGINSKKRLWTNILLAVNILAYVAQITTQGRLLIWGAKINSMIDRGELWRLATSSLLHANLAHLAFNCFSLNSIGPMVEMLTGPRRYLAVYFTSALAGSLMSYRYCASPAVGASGAIFGLVGAYAVYTWRHRRFLGHGKESLEHIGRVVILNMGMGLLTRGIDNWGHLGGLLGGMAMAWFLGPAWQYQYVSKDGRAVFKDNAPILQLSNGKWLR >ONIVA03G20920.1 pep chromosome:AWHD00000000:3:15749814:15755288:1 gene:ONIVA03G20920 transcript:ONIVA03G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPAFLAAIAKGGHVKREKTEGDRDDEDTTALNQQSAHQQLRLEEEEEAPPLTAPRAARAQAVASDGLSGGGGGGGGGGEEEEEEERGECRDARGMGKPVDVELGGAAGLEIAGGGGGGGGGVWAVAGAIGRAASFRCVFVLALSVGVLVSALLLLVPTRGHGFLSDDPDVLGAEIQVGFTLEKPVSFLAAHMDKLGGDIFEEIGIPNSKVSIVSMRSLTSKYSTNVVFSVLPSPKEASISLPALSVLRSSLIAMMLNQVNLSLTEPLFGHPSSVELLRFPGGITVIPEQSGSVWADPLFNFVLNNSIYQILGNITELKDQLKLGLNLRSYEKVYLQFRNEIGSSVNAPATIEASVLDGSSILLPYRLKQLAQLIKEPNARNLGLNHSVFGKVKGVQLVPPSFSPSGSIHYPAPPTYMNPSLPPQASPPLPDTNPCFPCFNCDRFSSAGSPTGKPPCFRRDPKLPPFIHSPQPSVAPSPYLSPAFPPIPGHVDPPNHLPGAVPGPTYQMMSIPSPSLPLSIPEVRLGWCPGLFAYRVTSAQGGCGCKVCFLKSPEAWSCNRERSYCKGSCIKYGFMYTVPVGLQKYGFMYTVPVGLQ >ONIVA03G20910.1 pep chromosome:AWHD00000000:3:15743605:15745736:-1 gene:ONIVA03G20910 transcript:ONIVA03G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEHYNTNQRKNPWERHCQEGHEALTIGPSPRPISPRQPPAAAANPKRARAARRFVEHMEGGGGGGTDMNAVPDGVVQHVLSMLSNVRDVAACACVCRRWRECVPYLPALFFPRNAFDTAAAAGGAADDAIGRMVRSVERLRELVIYCPFSMARLPEWLALRSTSLRVLELRMDAAAADKAEDGGYLDCIGLARGLEELRLWGVSLTAAPAWGRLDKLRVLEIVGAPLEDSAVKDAISACPNLTDLSLLGCDCSGAVSIELALLERCRLDFLGAGNCSLSLAAPRVESLEVQGFTWITLRGGHSLRRLSIAKSTGRVYKVDTGKLPDLEHLSLRGVQWSWAAVSSVLQCARDVKHLVMKIEFSGDFDALQPFPEVDLVDFFNSHPNLRKFDIHGAMFAALCQKNSLKNLDARFCIPCLEEVLITVRSPLNAEQKLNTLESLVKYSVRLRSMVIRISQMKNCHDAADDFFEEICKFKYMNNKKVRVE >ONIVA03G20900.1 pep chromosome:AWHD00000000:3:15738816:15742724:1 gene:ONIVA03G20900 transcript:ONIVA03G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPKSLSLHDTASSPRNPRTMARARRGRQNAAASPPSLALQLQVASLVIVFVFAFSSAITPTRADHVEGHMSHEMFGYENDATGPAKWGSLHKEWAVCGDGKKQSPIDITTVEPQKLKWKDGNSKLTVQGKEYTLKQVHWHEPSEHTINGTRFDAEMHMVHEDPSMARAVVSVLLSTKAGQPNAVLTEMAPHFKNLAGKEKAEEVKEPVDPSTWVDKTSGYYRYDGSLTTPPCTEGVIWTIMSKIGDASKEQIDLLKTVATTVEPNARPAQKLNDRIVRYFEV >ONIVA03G20890.1 pep chromosome:AWHD00000000:3:15735475:15737617:-1 gene:ONIVA03G20890 transcript:ONIVA03G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 3;2 [Source:Projected from Arabidopsis thaliana (AT3G48850) TAIR;Acc:AT3G48850] MAPRNSLLPSFLYDGVGGGGGGAPAVVAGAPSEPPFGMIELFSPAYYSACAFGGAAACGLTHAAVTPLDVIKCNIQIDPTKYKSTTSAFGVVMREQGARGFYRGWAPTFLGYSAQGAFKYGLYEVFKKEYADMAGPEYVARYKTLIYLAGSATAEVAADVALCPMEAVKVRVQTQPGYARGLSDGFPKIVRNESYAGLFRGLVPLWGRQIPYTMMKFATYENIVEMAYKHLIPTPKEQCSKPLQLGVSFGSGYIAGVFCAAVSHPADNLVSFLNNSKGATVGDAVKNLGLWGLFTRGLPLRILMIGTLTGTQWVIYDSFKVMVGLPTTGGAPAPAAIPIGELAELKASA >ONIVA03G20880.1 pep chromosome:AWHD00000000:3:15727771:15732694:1 gene:ONIVA03G20880 transcript:ONIVA03G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGGGATAPMPAPSTHYPTRDRELLADSTSAVAGEEEPSADATVDVEVEREGAALAASLAWSTTSTYLASLSRQRRKRPPATSSDVAWTVEDK >ONIVA03G20870.1 pep chromosome:AWHD00000000:3:15723718:15726414:1 gene:ONIVA03G20870 transcript:ONIVA03G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GNA1] MPPSRSLACLLLPPLLLLLESVRDADAGPLAVEIVRPSFTATSYDYVDTGGAFLVSRNGSFRAAVFNPGKQQASFYLAVLHAPSGTPVWSANRDAPTSSTGKVQLSVGGITVSDANGTVLWSTPPLRSPVAALRLQDTGDLQLLDAGNATLWRSFDNATDTLLPGQQLLAGAYLSSAKGATDFSQGDYRFGVITADVQLTWQGSTYWRLSNDARGFKDTNAAVASMSVNASGLFAVAADGAMVFRVGLAPAEFRMLKLGSDGRLRIISYALVNSSAPVGGDFIAPAGDCDLPLQCPSLGYCSPAGNGSTCTCPPLFAASVTVAGSCTPGDGSTLASPAACQNNDSSSGGASVSYIALKPLTSYFATKFDAPTNTGVNKTACRALCTASCACLGFFHDSVSLSCRLIGGKQLGSLYKGASDTNLGYIKTFNSATKAGSNQIGSSSANHTVPIVLPSVAAFLLLAVLGWYIWWRNKMSKNGKKKKGKSSTMKVYLGRQKSPSRDTGYNADADDDGGGDDDDIVIPGMPARFSYQEITTMTSNFATKVGSGGFGTVYKGELPGGEGLIAVKKLEAAGVQAKREFCTEITIIGNIRHVNLVRLRGFCAEGSRRLLVYEYMNRGSLDRSLFGRTGPVLEWGERMEVAIGAARGLAYLHTGCEQKIVHCDVKPENILLANGGQVKISDFGLAKLMSREQSALFTTMRGTRGYLAPEWISNAAISDRADVYSFGMVLLELIHGRKNRGEQEAAAPANNVAVAAGSGEHSDLPSGWSSAMTSTASGTSGGGDEYFPMVALELHEQRRYLDLVDARLEGRVDEAEAARTVRVALCCLHEDPALRPSMATVVRILEGSVPPPEPRVEALGFLRLYGRSYPLPVPGSLTAMAGGGSHLDESLKDTSAPR >ONIVA03G20860.1 pep chromosome:AWHD00000000:3:15707891:15709135:-1 gene:ONIVA03G20860 transcript:ONIVA03G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAAKTEGGLQVVVVVGQLRRRWGLIRPPLGQIWRPSRWIQAEETGSMRGGGRRCDGNADGATGRPAVPGEVVAGVMVGGMQGGGRPRPGRRSTMTGRPAARGEAVAGVVAATRRPRRRQLLPLLPSTSLKLGLLSQVRWPGRRRGAQREVRRWPMWVVGKEAGGEVGAASLGAMKLGNNNTLQFLRSVGVSCVQEVVLWRLGLMFKVDNRCLARFCDVFGNDDLIAVELELLCR >ONIVA03G20850.1 pep chromosome:AWHD00000000:3:15704966:15706738:1 gene:ONIVA03G20850 transcript:ONIVA03G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCGSWSDCEAAAAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLTEIHRRKTSSASTASPSPPPFFAPPHFPLFHHPGVAAAQHHHAFVGDDGVVAAHGIGMPFPQPHWREPNLPVATRLLALGGPAPSPSSAEAGGAGRAATAAVLMEENERLRRSNTALLQELAHMRKLYNDIIYFVQNHVRPVAPSPAAAAFLQGLGMQARKKPAAANVLNNSGGSTTSSSSLTIAEEPSPPPQQQHLAGEKSGGEAGSSSAARSSAPTKLFGVHLSAAPCGAGSKRASSPEEHPPTSPATKPRLVLECDDLSLTVAPSSSSQQQLSAASSPTSTS >ONIVA03G20840.1 pep chromosome:AWHD00000000:3:15682440:15683150:-1 gene:ONIVA03G20840 transcript:ONIVA03G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLFNSYPESQLDLMSTMLQLEQLTALSDQSLFMAAPTSPPVSPMGTPSPQFSPPPQMSVTTTTAGGGYQDQYNSMPATYGAGAGVHQLDFAMSSPGSDSGAPQGSSSSSSSEAMREMIFHIAALQPVEIDPEAVRPPKRRNVRISKDPQSVAARLRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLERAAAATGAAAHRAAAFGAAYPAALPMQHHAPW >ONIVA03G20830.1 pep chromosome:AWHD00000000:3:15672585:15674120:-1 gene:ONIVA03G20830 transcript:ONIVA03G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEGRLASVVHLPGRSRVSASPSPRRRRSPSRSPSPRRNRRRDRSPSPYRSRRDRSPSPYRDRRRQWSPYHRDRGRDVEREWARDRDHPAPRRGGGGAGGGAWSASDDDDDEQLKGLTYFEYRRVKREKLRKSMKRCIWNITPSPPRREGEDEDYGYSDEEEEEEEKKESPKKVASSDKSEEEDSKGSSESDSGESDSLSDSSKSDDTRRKKKGRKGSHRSSKRSRHRRRHHSSDTEGDDNSKAEEDSEGSYDSEDSMDRRKKKRSRRHKKSKRRGRSSRRKKRKSNDTASEGSSEEEAVAAASASSPSPLRDSKKKSRSSRRKRSKQSDTEDQAPSDADLGVKEIDETNEPEIDPEAIKFKEMLEAQKKAALENEMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVQRTIGNDVGPSHDPFATADG >ONIVA03G20820.1 pep chromosome:AWHD00000000:3:15661942:15669601:1 gene:ONIVA03G20820 transcript:ONIVA03G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSEILVDAGSFRLYKDGHADRTGDMETVPAGFDADTGVTSKDVVIDAVTGVFVRLYLPPIQAATDDDGKTKLPILVFFHGGYFVVGSASCPKRHRNINDIVARARLIAVSVDYRLAPEHLLPAAYDDSWAALNWALSGADPWLSEHGDTGRVFLAGVSAGGNIAHNMTIAVGVRGLDAAVPARIEGTILLHPSFCGETRMEGEPEKFWESVKKRWSIIFPDAKGGLDDPRMNPMAAGAPSLTKLACERMLVCAASEDPIRPRERAYYDAVKRSGWGGEVDWFESEGEGHAFFVRKYGSSKAVKLMDRSTRCRSVGDELQSGMRRGRRRTWLLMTSSRRGWWRTRPPAMSSGVDSGGCGHRRRAVAWCGTWMVEDAVTGDELRRGVGVWTAEDAAAGDKLRRGAWAVEDVATGNELWRSVRRGQRRALQVGDKVPARRGPSMAKDVATSDELRRGVWTAENVATSDDLRRIIHETRRHHDSSHDTQCLDGVTLIHRFFLPIASILRLRLLSMLSSHWHQIISLQNTIQRMFHREAEESTDCEVPLEGSEELSAEARDYEEVSPGCSRRWKEARNRALPRRIRHDLEKPTDGARAGGRKQGIGKPAFGERWSSFSFLSAGFATMRAGGGSSAMDSSSAEILIDLGGFRLYKDGHADRAGGMESVPAGYDDETGVTSKDVVIDAVTGVSARLYLPPCIQPATDDDGKKLPILLFFHAGYFVVGSASWPPVHRYTNSVVASARVVAVSVNYRLAPEHLLPAAYDDSWAALSWAVSGADPWLSAHGDTGRVFLSGASAGGNIAHNMTIAVGVRGLDAVVPEPRIEGTILLHPSFCGETRMEVEPEEFWGGVKKRWAVIFPGANGGLDDPRMNPMAAGAPSLTKLACERMLICSAGFDPRRTRDRAYYDAVKASGWGREVDWFESEGEGHHFFVDKPGSHEASKLMERVAAFIAGH >ONIVA03G20810.1 pep chromosome:AWHD00000000:3:15647133:15651611:-1 gene:ONIVA03G20810 transcript:ONIVA03G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVGGGDTPLGLRGVVEEELEEEVAAVSASGRPMQRQRRRRRRWGEDADDGYSASSTGGGGSSGCGSFGCDSPLAGFVRADGDPDTDLETDGVATPSSNGEHNAVHSSQFVFLPVFLLGWSATLQPLKGVLFSLKPLQHSPSHTTRRRGTRCCVGWWKGTGHSCKNQPRVRQTVPPEMVPGCSFCMLVWCSTECLYQRRRSEAVLLQGRKGLKQRPASLDFGSGSPGFNGAPLSPGFVVGGVGLMNKGLVSSSFIRSDVFPSPRTPNYRRHRSSVFGYQKGWSSERVPLASKGNRRYPGSSMAFPFSNGRTLPSKWEDAERWIFSPNSSDVLEKTSFAPARRPKSKSGPLGPPGKFGGQYSSVSLLDNGRVGHLTANSPFLAGVLIPEHYCGEKDNIGRYMSRTAGEEASIGIGGKSCLANGGSHATQYNRVRRRLDTAIESSPSLPSTQASVQDEQVGITEDSASIITPIILRKDAATQTSPNLSRSSSPSVSTPFIHLLTTHQVREKENCFSDVIRDVHMDDRVTLTRWSKKHVTRASSKNSTNVIDVKKKTVESKSSSWELTEAKSISKVEREQEKITAWEHLQKAKAEAAIQKLVMKIEKKRSSSLDKIWNTLRSAQRRAQGTGLKVILRVINTLVLT >ONIVA03G20800.1 pep chromosome:AWHD00000000:3:15640851:15644572:-1 gene:ONIVA03G20800 transcript:ONIVA03G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRWGGRREAMEFGNGGSSSSERRAAAEGATLARQGSVYSLTFDEFQSALAGGGGGGGGGSGFGKDFGSMNMDELLRSIWTAEESQAMASASGSAAGVGVAVGAPPTSLQRQGSLTLPRTLSAKTVDEVWRNLVRDEPPPVGAADGGDMPPQRQSTLGEMTLEEFLVRAGVVRENPPAAPPPVPPPMPPRPVPVVPKTTAFLGNFPGANDAGAAALGFAPLGMGDPALGNGLMPRAVPVGLPGAAVAMQTAVNQFDSGEKGNSDLSSPTEPMPYSFEGLVRGRRNGGGVEKVVERRQRRMIKNRESAARSRARKQADIMEMQKNEVEEMIKDPFGRRKRLCLRRTLTGPW >ONIVA03G20790.1 pep chromosome:AWHD00000000:3:15637045:15638140:-1 gene:ONIVA03G20790 transcript:ONIVA03G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAAVTSDSVEKGVDVGGGGMGIGQWLWWPNQLVRGARRHVVTSAFPLSSPTIATTSRRVMTPELILPRDLHFPFTHHHRGTSYSGRHGDVTRCNYVRALHPTHPSSHRIGPGQPAQGSTDVLAGSASLPLSSAICCDSLPRQSMHCHPDTDRASLRPFAPATPFWHTNPELCVA >ONIVA03G20780.1 pep chromosome:AWHD00000000:3:15631009:15632199:1 gene:ONIVA03G20780 transcript:ONIVA03G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDSRMAGLAHPAAHAAGLRRLSTRAAAGPPSASASPRHGLHSFDGVAAAVLSHLRKTGVVVLPGLSDAEFARVEAEMGFTFPPDLRAVLAMGLPSGAGFPDWRGRAGLRAAFDLPIAAASLQIAKGALWPRCWGRRPSDPDRARRLARSAIRRAPLLVPLFDRCYLPCRPCLAGNPVFFITDDRVLCCGLDILHFFTRESSFQPLDVSSPSATPSSGICTPHTRRSLDAVCGGQAPRWIEFWSDAASDRRRRDSSSSEASTASSSSSSSGCPSPPPRSTPLWVDNYLDKLGSVLKKGGWRDREVDEMVEVTASGLFDGEEAPPADADAVLDALFLKTDRCSDSLRRAGWTSEDVSDAFGLDLRRRKEQPRPAVQIPPEIAAKVQRLAQAVARP >ONIVA03G20770.1 pep chromosome:AWHD00000000:3:15618834:15619259:-1 gene:ONIVA03G20770 transcript:ONIVA03G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTHRRLRRRERKIPLPSSFLEAAKKKKNRRAVTRTCLPNANSVGPPYARSTAHRNGSPVLFLADSCGDLDPVHGGSVVRRHTTPFGEEASYLDQPGGSLTHADVNARRANPPLARFISRVSSTPSEAEVRRRKRREKLS >ONIVA03G20760.1 pep chromosome:AWHD00000000:3:15611766:15618531:-1 gene:ONIVA03G20760 transcript:ONIVA03G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock factor 4 [Source:Projected from Arabidopsis thaliana (AT4G36990) TAIR;Acc:AT4G36990] MAAAEAAAAVGKQQQKGGGGRGGGGGGPAPFLTKTHQMVEESATDEVISWGKEGRSFVVWKPVEFARDLLPLHFKHCNFSSFVRQLNTYGFRKVVPDRWEFANGNFRRGEQGLLSGIRRRKATTPQSSKSCGSGVNVAFPPPLPPLPPAPSATTSSGNDRSSSSASSPPRADITSENEQLRKDNQTLTTELARARRHCEELLAFLSRFLDVRQLDLRLLMQEDMRAAAGGVGGEQREQEHAREEKCVKLFGVLLDDTHGAATRKRARCEEAAASERPIKMIRIGEPWVSVPSSGPARCGGDN >ONIVA03G20750.1 pep chromosome:AWHD00000000:3:15595073:15595375:-1 gene:ONIVA03G20750 transcript:ONIVA03G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTANPRKTMQHHAEQGQSPCHRHQSGRSQTATGQTEGKGRTEERGKRDGRTHMVNPPTSQRHAPTDMWDHKGVDAHA >ONIVA03G20740.1 pep chromosome:AWHD00000000:3:15584532:15588180:1 gene:ONIVA03G20740 transcript:ONIVA03G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFVIVIVISFFISLAFMCYVHYTSRQRRKLHGYGHEKAVRLPPGSMGWPYIGETLQLYSQDPNVFFASKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTQAHLFKPTYPRSKERMIGPSALFFNQGDYHLRLRKLVQGPLGPDALRALVPDVEAAVRSTLASWDGNVSSTFHAMKRLSFDVGIVTIFGGRLDERRKAELRQNYAIVEKGYNSFPNSFPGTLYYKAIQARRRLHGVLSDIMRERRARGEPGSDLLGCLMQSRAGDDGALLTDEQVADNIIGVLFAAQDTTASVLTWIVKYLHDHPKLLEAVRAEQAAIRAANDGGRLPLTWAQTRSMALTHKVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKVSPRPNTFMPFGNGVHACPGNELAKLEMLVLIHHLVTGYRWEIVGSSDEVEYSPFPVPKHGLLAKLWRDDTVSVETDGCQNGDNDDNGVAMV >ONIVA03G20730.1 pep chromosome:AWHD00000000:3:15535723:15545875:-1 gene:ONIVA03G20730 transcript:ONIVA03G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRYHEYYSKEAAMAKRIASMSSLLLIALLCLSSHLAQAQVLFQGFNWESWKKQGGWYNFLHGHVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTGAELRSLIAAFHSKSIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNTRVQTELSDWLNWLKSDVGFDGWRLDFAKGYSAAVAKTYVDNTDPSFVVAEIWSNMRYDGNGEPSWNQDGDRQELVNWAQAVGGPASAFDFTTKGELQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNEIHPGSKLKILAAEGDVYLAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGNNYCIWEKSGLRHSTTMSCLLFFVLLCLGSHLAQAQVLFQGFNWESWKKQGGWYNFLHSHVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTGAELRSLIAAFHSKSIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNTRVQRELSDWLNWLKSDVGFDGWRLDFAKGYSATVAKTYVDNTDPSFVVAEIWSNMRYDGNGEPSWNQDGDRQELVNWAQAVGGPASAFDFTTKGELQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNGIHPGSKLNILAADGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGNNYCVWEKSGLREESPNRPGWRSPLRAAAEPVEGRGRRRGEDFDAACFGAAGEDRRYGT >ONIVA03G20730.2 pep chromosome:AWHD00000000:3:15545883:15556121:-1 gene:ONIVA03G20730 transcript:ONIVA03G20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMAALCGFLLVALLWLTPDVAHAQTQILFQGFNWDSWKKQGGYMPGRLYDLNASKYGTKAELKSLIAAFHAKGIKCVADIVVNHRCADDKDGRGVYCIFKGGGPRGCLDWGPSMICCDDTQYSDGTGHRDTGADFAAAPDIDHLNPLVQRELSDWLRWLRRDVGFDGWRLDFAKGYSAAVARTYIQNARPSFVVAEIWNSLSYDGDGKPAANQDGQRQELVNWVKQVGGPATAFDFTTKGILQSAVQGELWRMRDKDGKAPGMIGWYPEKAVTFVDNHDTGSTQRMWPFPSDKVILGYAYILTHPGVPCIFYDHVFDWNLKQEINALAATRKRNGINAGSKLRVLAAESDMYVAMVDERVITKIGPRIDVGNIIPSDFHIVAHGNDYCVWEKSGLRVPEPEAAGMPATSNPRQAASSSNLVVDLHHQATTTWLTFTEQKPGRIWRWMRCSLKPLVTYGASSRPHRIAHPHRLLVLIVNCGNLIQVVARRGPSSTSSNKPASFRRWRRAEPRWPWLCAADAAPQAALNHASPPMELVDRLQALGRVLLGRRQTRHLVEVLESANTRVPVLMAAPRRSGPARDELRRPKSRPETIPREALVIEFGGAFNGEVERRHVVRKLTGEGRNMRRGGMDPIY >ONIVA03G20730.3 pep chromosome:AWHD00000000:3:15535723:15545875:-1 gene:ONIVA03G20730 transcript:ONIVA03G20730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRYHEYYSKEAAMAKRIASMSSLLLIALLCLSSHLAQAQVLFQGFNWESWKKQGGWYNFLHGHVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTGAELRSLIAAFHSKSIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNTRVQTELSDWLNWLKSDVGFDGWRLDFAKGYSAAVAKTYVDNTDPSFVVAEIWSNMRYDGNGEPSWNQDGDRQELVNWAQAVGGPASAFDFTTKGELQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNGIHPGSKLNILAADGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGNNYCVWEKSGLREESPNRPGWRSPLRAAAEPVEGRGRRRGEDFDAACFGAAGEDRRYGT >ONIVA03G20720.1 pep chromosome:AWHD00000000:3:15535631:15538626:1 gene:ONIVA03G20720 transcript:ONIVA03G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDEGDSLLRQPANHPRRLAVAILHPPQLTLHRCLQLALGREVERRCRATDGLRPVHQLLPVTVLVPRRLTVAVVTHVAPYLGDDEGRVGVVHVRLGDGRRVSLGEVEAPAVEADVGLEPVEPVGELSLHTRVEVVDVGRRAEVCASIAVTAAVGVLRVVAADHVGTPVEAAVRRATLEDAVDATAILVIRAPVVDDDVGDALDALAVEGGDQRPELCPRAVLGRVQVVEPSRHVSLWRDGVRRRREPDVCDSGRGDVVDVAMEEVVPPTLLLP >ONIVA03G20710.1 pep chromosome:AWHD00000000:3:15534395:15535195:-1 gene:ONIVA03G20710 transcript:ONIVA03G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARQDSCKTKLDERGGSHQAPSSARWISSEQEHSIIVAALRYVVSGCTTPPPEIVTVACGEACALCGIDGCLGCDFFGAEAAGNEEAVMATDYAAAAAAAAVAGGSGGKRVRRRRKKNVYRGVRHRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDRAALEFRGARAKLNFPCSEPLPMPSQRNGNGGDAVTAATTTAEQMTPTLSPCSADAEETTTPVDWQMGADEAGSNQLWDGLQDLMKLDEADTWFPPFSGAASSF >ONIVA03G20700.1 pep chromosome:AWHD00000000:3:15528977:15531418:-1 gene:ONIVA03G20700 transcript:ONIVA03G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLNIEGIPQVPIDPNSVDVLSSSNIDPNSVDILSSSNESKPTISFDLPSSFSVGHTRHSSEDLSSLTINNLRINHREDNYQSQFEEKRIHSHGHTRRFSEDLSSLKINDLCANKEEENYDNQLERKEIYRHNSAGNIFRAAEIAERFIQTIDKRVLVDTAAPIESVKDAVSKFGGILDWKERRKHVQVELDKMQEDAPEYKRRVEVTEVEKSKVLKELYCTRRTIERLKIDLDKSHTEAIQAQQDLELAEIRFEEIQQGIARKERSITKAKIEVANERRATALEDLQSVKMELDQLQKEYTSLISQRDNTETKAREAIVASQEIEKVVEDLTIKVITMKDLITTSQANHVIAEGKKINAALAYQQDMVNWQNELKQIDDEVQKLNDDLSLNNDLESKLQTASMWLMNLRDEFKAHVDGTLPKVPSEAREEERPMIIVCAKLARTRKELENMRIDIDKAKDEVKSLWNAAATLRANVEMEKTNIASLRQKENLAFESALALQEELSKIAFELSMVEERTKAAKMPLELQQATKKLEHAKLNAVFARNEMEKAREEADQAQAEVNVVQLRIEATLREILAVNASREIAVASANALQDYKQEIELEPLANRKNNNVTLSLEEYNVLCKKVQDAEDSAKKQVIRAIEKIKEAKDAEVRSLDRLDQLIKQIDDRRVALREAHEKANVAYDGKLAMENELRKRRAHHDKQRNTGEVSLPIAQISNVKNTSTSFDAVGSSSSNPYKYRMLLPRADTIATTIAESRPRKSFFPRSLVAMFMFRRKTHLK >ONIVA03G20690.1 pep chromosome:AWHD00000000:3:15524087:15526029:1 gene:ONIVA03G20690 transcript:ONIVA03G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPTRPHRRPPPLPSKTSGVWPVALLVVLCFAALPLFLALSRARPTLSDVSQMGVTVTVHDEDPAGTPPESSPANRDRLLGGLLSPDIGESACLSRYKSSLHRKPSPHSPSPYLVSRLRKYEALHRKCGPGTLFYKKSLMQLTSAYSMGLVECTYLVWTPCGGSHLGDRMLSMASAFLYALLTHRVFVVHVTDDMAGLFCEPFPAASWELPAGFLVHNLTQLGRGSEHSYANLLGAKKIKADDPAGVRSESLPSYAYVHLEHDYQQSDQLFFCDDDQTVLAKVNWLILRSNLYFTPGLFLVPQFEDELRWMFPARDTVFHHIGRYLFHPSNKVWELITRYHTSYMAKFEENIGIQITTFAGSKVSSEEYFKQIVACTSQEKILPEIDPNATSSANEAALATTASKAVLVSSAQPSEYAEKLKAMYYEHATVTGEPVSVLQPAGAGKQAPNQKALVEMFLQSYCDVSVVSGRSTVGYVGHGLAGVKPWLLLTPTNRTASANPPCIQTTSMEPCFHAPPSYDCRAKKDGDLGAVLRHVRHCEDVGDGLKLYH >ONIVA03G20680.1 pep chromosome:AWHD00000000:3:15516480:15519828:-1 gene:ONIVA03G20680 transcript:ONIVA03G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASLFLLSAVNFVDGGGNDGLSLPPFCSNSNWVPPSKAGSMHDGSGTAASMRDGISRLDARRRQQPRCVTAAWRPRCATVAAARRPRCTTAATTSMRDGGAMASMGDGGRWKRGDLIFVSPIIPLLNQRSLNSQAGNEPTTISLRLHLPPPPMIPLSLLAVVLVFGIAGAADGLSGYQISCGATSEKVVGDVTWVPDGRFVSVGNVSDMRSPGVLPVLSSLRYFPDTSARKYCYVVPAERKRKYLVRTTYFYGGFDGGSAPPVFDQIIEGTRWSEVDTAGDYARGLATYYEAVVLATEKEVSVCLARNAATKSSPFISALEVSPLEDSVYNSTDFESYALSTIARHSFGHDGSAAVSYPGDRFNRFWEAHSDGMPVVESQASVSQAAFWNKPPEDVFRRGVTTAGGRGESLELQWPPAPLPAASYYLALYFQDNRAPGPLSWRVFDVAVNGETFFAGLNVSTAGSMLYGDKWPLSGRTKITLTPAPGSPVGPVINAAELMMVVPLGGRTHPRDVIGMQALARGFDNPPADWAGDPCLPQGNSWTGVTCTQEPLARVVSLNLTNFKVGGSISDGIANLTAISSIWLVGNNLTGPIPDMSLLHHLVSLHLENNRLTGQIPPSLGSMPRLRELFVQNNALQGAIPISFKNKTGFMFQ >ONIVA03G20670.1 pep chromosome:AWHD00000000:3:15514448:15515238:-1 gene:ONIVA03G20670 transcript:ONIVA03G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein disulfide isomerases [Source:Projected from Arabidopsis thaliana (AT3G19220) TAIR;Acc:AT3G19220] MTPANPTPLLSSPRPNPSLPLPRRPRRPHPPPAANTTGAASTPDWFRPRAPPDADPSTSGGRVAARDPGVRVRAREGAEEEKKGRGRGRRRWWDWWSGDRESYLVDDVEPLPLPLTVPDTEPMSREELDRRLSCDVEIEDCKTVSYEWTGKCRSCQGTGLVIGNREWGYYMYPDLLARNCYCELERV >ONIVA03G20660.1 pep chromosome:AWHD00000000:3:15511571:15512128:-1 gene:ONIVA03G20660 transcript:ONIVA03G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT2G15680) TAIR;Acc:AT2G15680] MSHLSLLTFKYNLEKLKSKAAGRPTGRLLSGRDRQFSDLTRIYKPDDDEMKKVFNKIAGEHDRITRKDLKGLLEKFGKADAAAEARRMICVADFKKNGYMDFEEFMEVHKSGVPLGDMRRAFFVFDQDGDGRISAEEVMQVLHKLGDSCSLEDCREMVKKIDRNRDGFVDMDDFMAMMTRSRKKP >ONIVA03G20650.1 pep chromosome:AWHD00000000:3:15510665:15515047:1 gene:ONIVA03G20650 transcript:ONIVA03G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTVGVQVATGSRQRWRRRSGRGREERRASVAVASIAPHTFARTTALPPQFCHLLDPPTGGARAVGARPLQVDGEYRPCILLLYWVRWFVGSAQTNVSRRAADFFAILRAHDL >ONIVA03G20640.1 pep chromosome:AWHD00000000:3:15510637:15510946:-1 gene:ONIVA03G20640 transcript:ONIVA03G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLYSPSTCNGRAPTARAPPVGGSRRWQNWGGRAVVRAKRCREPVATCTPTVDATIGRMCVGWI >ONIVA03G20630.1 pep chromosome:AWHD00000000:3:15506667:15506984:-1 gene:ONIVA03G20630 transcript:ONIVA03G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGFDLSARARGIGDVYHPFNETAVREKFKEWIRQFDTDGDGRISRDELRRAMRAVGVRFTGIKCRRGMSHADADGDGYIDDSEIDGLIEYWGRRLGLGVAAY >ONIVA03G20620.1 pep chromosome:AWHD00000000:3:15500937:15501233:-1 gene:ONIVA03G20620 transcript:ONIVA03G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSMTVATTTRSLDGDMTVDEFKEWLRRFDVDRDGRISRDELRRAMRAIRARFTGWRSRQGISYADADGDGYIDDGEVDGLIEYAQKSLGLRIVAY >ONIVA03G20610.1 pep chromosome:AWHD00000000:3:15487190:15487998:-1 gene:ONIVA03G20610 transcript:ONIVA03G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVNMYNPEHHHHQSSSFMAPRISFSSDFALEPPPPAQQQPAARAPGDADFEFSVGSHPMMAADQLISKGRLLPLREAPHGHGGADAGGRPLTLRDELRTDSRHGRVPRAPNIRWKEFLGLKKAPKKAPTADAAAGATSSSADTQMDLGGQGSTRD >ONIVA03G20600.1 pep chromosome:AWHD00000000:3:15477061:15478204:1 gene:ONIVA03G20600 transcript:ONIVA03G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAARRPPSLSPPTPAAQPPLHPDTGAGASLSSAIDLLAAPSAAAGECGPPAPARLVPAMLARRKPRIDEAMEPYEYEKVCKNFGLGRLPFDANFSIFIALHTVGWKPGREKFGQELVFKAWQTLVRSQCKPLKRVSLAAGQLRDWPKQPHPTVQ >ONIVA03G20590.1 pep chromosome:AWHD00000000:3:15473585:15474385:1 gene:ONIVA03G20590 transcript:ONIVA03G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNTPRPRSQPPPPHPPLFKPTTPPPPPLLSTSTSTSPPHDFSFAHYLSSPPPSVQRRGRADMSRTPPLGRVGSDLSHNNYSSKANQHRQTGSSSSSSSKEKDREYKAKSKASSPFFSGLGGSWRSGLSRDEEVKRKAKAKTRGLDVGQWVKKYMASMVEHLLASFSRHGGGEREKREQQRRRPHSFSAHGPSALREQRERWRRRRGQLSSAPASLRASPVNSGHLSVGGSVKVSTSSEESTMEELQSAIEAAIAHCKNSITVAK >ONIVA03G20580.1 pep chromosome:AWHD00000000:3:15462273:15467533:1 gene:ONIVA03G20580 transcript:ONIVA03G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAAAGAAVTVPLGVLLRREVTSERMERPDVLCGEAARSRKGEDFTLLLAEAGERVAGDPSTSFSVFALFDGHNGSGAAMYAKKNLLNNLLRAIPSGLSRDEWLAVLPRALVAAFVKTDKDFQAVAETSGTTVTFVVIDEWVVTVASVGDSRCILESADGSLYHLSADHRFDSNQDEVQRVTACGSKVGKLNLVGGPEVGPLRCWPGGLCLSRSIGDMDVGECIIPVPHVKQVKLSNAGGRIIIASDGVWDDLTFEMALECSRGFPSDIAANRIVNEAIQPRGLRDDTTCIVVDILPPEKLAPSPPTKRQGKIVFNNMFRRKHTDVSFILDRGYAEPDEVEEIFDDGSAMLSKRLAAGYALQSMFEPFSCAVCQVQLKAGQGISVHSNPLQHEKLQGWQGPFLCQSCNEKKDAIEGKRPPRDS >ONIVA03G20580.2 pep chromosome:AWHD00000000:3:15462270:15467533:1 gene:ONIVA03G20580 transcript:ONIVA03G20580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAAAGAAVTVPLGVLLRREVTSERMERPDVLCGEAARSRKGEDFTLLLAEAGERVAGDPSTSFSVFALFDGHNGSGAAMYAKKNLLNNLLRAIPSGLSRDEWLAVLPRALVAAFVKTDKDFQAVAETSGTTVTFVVIDEWVVTVASVGDSRCILESADGSLYHLSADHRFDSNQDEVQRVTACGSKVGKLNLVGGPEVGPLRCWPGGLCLSRSIGDMDVGECIIPVPHVKQVKLSNAGGRIIIASDGVWDDLTFEMALECSRGFPSDIAANRIVNEAIQPRGLRDDTTCIVVDILPPEKLAPSPPTKRQGKIVFNNMFRRKHTDVSFILDRGYAEPDEVEEIFDDGSAMLSKRLAAGYALQSMFEPFSCAVCQVQLKAGQGISVHSNPLQHEKLQGWQGPFLCQSCNEKKDAIEGKRPPRDS >ONIVA03G20570.1 pep chromosome:AWHD00000000:3:15456576:15456815:1 gene:ONIVA03G20570 transcript:ONIVA03G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPPPVTRADIPGFSSIPAAGWMGGVRPYGGARAAGEADGDGSWGLGFGGAGERGEATENARMEGRSRQEACLSRPHC >ONIVA03G20560.1 pep chromosome:AWHD00000000:3:15445571:15456628:-1 gene:ONIVA03G20560 transcript:ONIVA03G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) [Source:Projected from Arabidopsis thaliana (AT1G49670) TAIR;Acc:AT1G49670] MELKPGMSALVTGGGSGIGKALCIALAQKGLFVTVVDFSEENGREAASLVQNENKKFHGDLKVPSAMFIKCDVTNAGDLSAAFGKHVDTYGGLDICINCAGIAIKTLVYDDRSDGTSTWKHAVNVNLVAVIDGTRIASQIMRSGKKPGVIINIGSAAGLYPMNFDPIYSGTKAGVVMFTRSLAPLKRHGIRVNVLCPEFVQTNMAEQLSRRIIDVTGGYIKMEDIVNGTFELIKDESKAGACLWITKRRGMEYWPTPEEQRKYMLNLPKSKRMLTQNTFSTVQTPEFYEKIVVHTLSHNFRDATRLERVRLRLPVEPHNALVKIIYAGVNASDVNFSAGRYFSGGAKETAARLPLDAGFEAVGIVASVGDSVNHIKVGSPVALMTFGAYSEFTQVPAKHLLPVPRPDPEVVAMLTSGLTASIALEKAGQMASGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKCKAALLSSLGVDRVINYQNEKIKDVLKKEFPRGADIIYESVGGEMFDLCLNALAVHGRLIVIGMISQYQGEHGWKPRNYTGLCDKILAKSQTVAGFFLIQYAHLWQDHLDKLFDLYASGKLKVSLDPKKFVGVASAPDAVEYLHSGKSVGKVVVCIDPSYSQTLAKL >ONIVA03G20560.2 pep chromosome:AWHD00000000:3:15445573:15456628:-1 gene:ONIVA03G20560 transcript:ONIVA03G20560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) [Source:Projected from Arabidopsis thaliana (AT1G49670) TAIR;Acc:AT1G49670] MELKPGMSALVTGGGSGIGKALCIALAQKGLFVTVVDFSEENGREAASLVQNENKKFHGDLKVPSAMFIKCDVTNAGDLSAAFGKHVDTYGGLDICINCAGIAIKTLVYDDRSDGTSTWKHAVNVNLVAVIDGTRIASQIMRSGKKPGVIINIGSAAGLYPMNFDPIYSGTKAGVVMFTRSLAPLKRHGIRVNVLCPEFVQTNMAEQLSRRIIDVTGGYIKMEDIVNGTFELIKDESKAGACLWITKRRGMEYWPTPEEQRKYMLNLPKSKRMLTQNTFSTVQTPEFYEKIVVHTLSHNFRDATRLERVRLRLPVEPHNALVKIIYAGVNASDVNFSAGRYFSGGAKETAARLPLDAGFEVMDVLAVGIVASVGDSVNHIKVGSPVALMTFGAYSEFTQVPAKHLLPVPRPDPEVVAMLTSGLTASIALEKAGQMASGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKCKAALLSSLGVDRVINYQNEKIKDVLKKEFPRGADIIYESVGGEMFDLCLNALAVHGRLIVIGMISQYQGEHGWKPRNYTGLCDKILAKSQTVAGFFLIQYAHLWQDHLDKLFDLYASGKLKLCMTRCIQQVSLDPKKFVGVASAPDAVEYLHSGKSVGKVVVCIDPSYSQTLAKL >ONIVA03G20560.3 pep chromosome:AWHD00000000:3:15445573:15456628:-1 gene:ONIVA03G20560 transcript:ONIVA03G20560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) [Source:Projected from Arabidopsis thaliana (AT1G49670) TAIR;Acc:AT1G49670] MELKPGMSALVTGGGSGIGKALCIALAQKGLFVTVVDFSEENGREAASLVQNENKKFHGDLKVPSAMFIKCDVTNAGDLSAAFGKHVDTYGGLDICINCAGIAIKTLVYDDRSDGTSTWKHAVNVNLVAVIDGTRIASQIMRSGKKPGVIINIGSAAGLYPMNFDPIYSGTKAGVVMFTRSLAPLKRHGIRVNVLCPEFVQTNMAEQLSRRIIDVTGGYIKMEDIVNGTFELIKDESKAGACLWITKRRGMEYWPTPEEQRKYMLNLPKSKRMLTQNTFSTVQTPEFYEKIVVHTLSHNFRDATRLERVRLRLPVEPHNALVKIIYAGVNASDVNFSAGRYFSGGAKETAARLPLDAGFEAVGIVASVGDSVNHIKVGSPVALMTFGAYSEFTQVPAKHLLPVPRPDPEVVAMLTSGLTASIALEKAGQMASGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKCKAALLSSLGVDRVINYQNEKIKDVLKKEFPRGADIIYESVGGEMFDLCLNALAVHGRLIVIGMISQYQGEHGWKPRNYTGLCDKILAKSQTVAGFFLIQYAHLWQDHLDKLFDLYASGKLKLCMTRCIQQVSLDPKKFVGVASAPDAVEYLHSGKSVGKVVVCIDPSYSQTLAKL >ONIVA03G20550.1 pep chromosome:AWHD00000000:3:15441205:15442109:1 gene:ONIVA03G20550 transcript:ONIVA03G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATVTAVQPAASSCGKRDGDNACVVDMPRKAKKGRSPPEEEVEAFLAAAESSVARRFAAK >ONIVA03G20540.1 pep chromosome:AWHD00000000:3:15438638:15439976:-1 gene:ONIVA03G20540 transcript:ONIVA03G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLDTDMCRCRRTDSRDSYSDMLEGGNFSNQETLYEVLSVRKDATYDEIRAAYKSAVLNTHPDKAQMALNPLVSSSERNEFLSVQKAWEILRYPKSRAEYDKQLQSSRQNLEIVATEIEIDDMIVESTADSVELLYPCRCGDYFSITSRELGQIGISVREDGEMELHTSYSVPASVVLGCGSCSLKARLVTNKT >ONIVA03G20540.2 pep chromosome:AWHD00000000:3:15438638:15440647:-1 gene:ONIVA03G20540 transcript:ONIVA03G20540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWCPGTVTALSDSRDSYSDMLEGGNFSNQETLYEVLSVRKDATYDEIRAAYKSAVLNTHPDKAQMALNPLVSSSERNEFLSVQKAWEILRYPKSRAEYDKQLQSSRQNLEIVATEIEIDDMIVESTADSVELLYPCRCGDYFSITSRELGQIGISVREDGEMELHTSYSVPASVVLGCGSCSLKARLVTNKT >ONIVA03G20530.1 pep chromosome:AWHD00000000:3:15433083:15433936:-1 gene:ONIVA03G20530 transcript:ONIVA03G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLAQEYHPKLPATNHYCKSLSSLIRETYAHCHVPCARIPAAGWSSGDDSDDDDSLLDEVLDTKQVIMNEMRNRQMKKRARCSLDSPMSSPFVWSFTPLDPRSVLEKFPSPKESVTEEEKTTGKEEEEVGGDADDESEAFFSVKSFFSRSTSRGATVASSAFAAVDPPPPLLSPAKWEGLRDCEGWPFGLCRLPGPAVLPLPPLPSTPADSWQWRKRSSNLAGSPAPAYSYKTTPSRSSS >ONIVA03G20520.1 pep chromosome:AWHD00000000:3:15427173:15428226:-1 gene:ONIVA03G20520 transcript:ONIVA03G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding LARQAIRRNRVPAQHNFGPAQPSTYYWDRRTQPALLFAGGGVLSLPIASRSRAPPVSPRPPPPPTPAAAACGAVLSVFSKANNLILHAAYPFTSGGLTELYKDC >ONIVA03G20520.2 pep chromosome:AWHD00000000:3:15427672:15428232:-1 gene:ONIVA03G20520 transcript:ONIVA03G20520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILARQAIRRNRVPAQHNFGPAQPSTYYWDRRTQPALLFAGGGVLSLPIASRSRAPPVSPRPPPPPTPAAAACGAVLSGE >ONIVA03G20520.3 pep chromosome:AWHD00000000:3:15427198:15428232:-1 gene:ONIVA03G20520 transcript:ONIVA03G20520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILARQAIRRNRVPAQHNFGPAQPSTYYWDRRTQPALLFAGGGVLSLPIASRSRAPPVSPRPPPPPTPAAAACGAVLSVEA >ONIVA03G20520.4 pep chromosome:AWHD00000000:3:15427237:15428232:-1 gene:ONIVA03G20520 transcript:ONIVA03G20520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILARQAIRRNRVPAQHNFGPAQPSTYYWDRRTQPALLFAGGGVLSLPIASRSRAPPVSPRPPPPPTPAAAACGAVLSVLQYSAKLII >ONIVA03G20510.1 pep chromosome:AWHD00000000:3:15420983:15427172:-1 gene:ONIVA03G20510 transcript:ONIVA03G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLEAQDGIRMPWNVIPGTREDALSCVVPISAIYTPLKQVPDIPVLPYSPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNHFPQLYSSISESNLPAELFPQYTTVEYISTAETGPVVPPVFMFVVDTCMIEEEIGYLKSALAQVVELLPDNSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDEMLDQMCFFAGKRKPTTGVIEELQKDPWPVPADQRASRCTGAALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLLEPICPHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDVFACAVDQVGVAEMKVAIEKTGGIVVLAESFGHSVFKDSLLRIFQSSDNDLGLSFNGILEINCSKDVKIQGIIGPCASLEKKSPLSSDTVIGQGNTSAWKMCGLDKKTSLCLVYDIAKKDGSNTIGQAASGFDQEAAAAVMARLVSFKMETEADFDPIRWLDRALIRLCSKFGDYQKETPSSFSLSPRISIFPQFVFNLRRSQFVQVFNNSPDETAYFRMVLDREDVTNAVVMIQPSLISYSFQSGPEPVLLDVTAIAADKILLLDSYFTVVIFHGITIAQWRNAGYQDQQDHEVFAQLLKSPHEEADTIIRERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNSSHGGDVIFTDDVSFQVFMDHLMRLAVQ >ONIVA03G20510.2 pep chromosome:AWHD00000000:3:15420983:15427172:-1 gene:ONIVA03G20510 transcript:ONIVA03G20510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLEAQDGIRMPWNVIPGTREDALSCVVPISAIYTPLKQVPDIPVLPYSPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNHFPQLYSSISESNLPAELFPQYTTVEYISTAETGPVVPPVFMFVVDTCMIEEEIGYLKSALAQVVELLPDNSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDEMLDQMCFFAGKRKPTTGVIAGTRDGLSSESIARFLLPASECEFVIEELQKDPWPVPADQRASRCTGAALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLLEPICPHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDVFACAVDQVGVAEMKVAIEKTGGIVVLAESFGHSVFKDSLLRIFQSSDNDLGLSFNGILEINCSKDVKIQGIIGPCASLEKKSPLSSDTVIGQGNTSAWKMCGLDKKTSLCLVYDIAKKDGSNTIGQAASGFDQEAAAAVMARLVSFKMETEADFDPIRWLDRALIRLCSKFGDYQKETPSSFSLSPRISIFPQFVFNLRRSQFVQVFNNSPDETAYFRMVLDREDVTNAVVMIQPSLISYSFQSGPEPVLLDVTAIAADKILLLDSYFTVVIFHGITIAQWRNAGYQDQQDHEVFAQLLKSPHEEADTIIRERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNSSHGGDVIFTDDVSFQVFMDHLMRLAVQ >ONIVA03G20500.1 pep chromosome:AWHD00000000:3:15415588:15419822:-1 gene:ONIVA03G20500 transcript:ONIVA03G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPNPHLSMSINGGATVSAGWAATQPRRRRTVDHSSRSCLLRPSYGSTRGFGTRRIAVRSASQKNSSPPLMTTEQEAEDEVVLESPAHFRIYKSGKIDRLNRPPVLPAGLDEATGVTSKDVVLDADTGVSVRLFLPKLQEPSKKLPVVVFFHGGAFFIESAGSETYHNYVNSLAAAAGVLVVSVDYRLAPEHPLPAGYDDSWAALQWAASAQDGWIAEHGDTARLFVAGDSAGANIAHEMLVRAAASGGRPRMEGAILLHPWFGGSKEIEGEPEGGAAITAAMWYYACPGAAAGADDPRLNPLAAGGPVLEELACERMLVCAGGKDVLAARNRAYYDAVAASAWRGSAAWLESEGEGHVFFLGNSECENAKQLMDRIVAFIADKIQGRKRTCGRRSPSQTYLIISPFAIEPAGGQNAFCGSIHQFAMASETEPDAVVFEAPAHFRIYKSGKMDRLHRPPCLPAGVDEATGVASKDVVIDAGTGLSVRLYLPKIQEPSKKLPVLVFFHGGGFLIESADSSTYHNYVNPFAAAAGVVVVSVDYRLAPEHPLPAAYDDSWAGLLWAASAQDGWLAEHGDVSRLFIAGDSAGGNIVHDMLLRAASNGGPRIEGALLLHPWFGGSTVLEGEPPAAAALTGMIWCYACPGASGGADDPRMNPLAPGAPALEKLACERMLVAAGQTDGLAARDRAYYDAVAASPWRGTATWVESEGEGHVFFLEKPECDKAKQLMDRVVEFISAGSPA >ONIVA03G20490.1 pep chromosome:AWHD00000000:3:15411419:15411679:-1 gene:ONIVA03G20490 transcript:ONIVA03G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTAPAGAPALEKLGCERLLVCTAQEDWLVARGRAYYGAVAASAWRGSAAWHETEGEGHVFFLRDPGCDKAKQLMDRVVAFISGA >ONIVA03G20480.1 pep chromosome:AWHD00000000:3:15408040:15408981:-1 gene:ONIVA03G20480 transcript:ONIVA03G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDADELVFDSSYLRIYKNGKVDRLHRPPLLAAGVDDATGVVSKDVVLDDGTGLFVRVFLPKVQDQELGKKLPVLVYFHGGGFIIESADSATYHNYLNSVSAAAGVLVVSVDYRLAPENPLPAGYDDSWAALQWAVSAHADDWITEHGDTARVFVAGDSAGGNIVHDVLLRASSNKGPRIEGAIMLHPFFGGSTAIDGESDEAVYIASKVWPFACPGAVNGVDDPRMNPTAPGAPALEKLGCERLLVCTAQEDWLVARGRAYYGAVAASAWRGSAAWHETEGEGHVFFLRDPGCDKAKQLMDRVVAFIASA >ONIVA03G20470.1 pep chromosome:AWHD00000000:3:15405160:15406095:-1 gene:ONIVA03G20470 transcript:ONIVA03G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNAADELLLELDSLRIYRSGKMDRLHHPVLAPAGVDAATGVTSKDVVVDADTGLSVRVFLPARPDPSKKLPVLVFFHGGAFVIESAFSTTYHGYAASLAAAAGVVAVSVEYRLAPEHPVPAAYDDAWAALQWAASGKDEWLAEHADNGRLFLAGDSAGGNMVHNVMIRAASSHPAPRIEGAILLHPWFGGNAVIEGESEATARDMAKIWEFACPGAVGGADDPRMNPTAGGAAGLENLRCERVLVCTGEKDWAGARGRAYHAAVAASAWRGSAAWLESEGEGHVFFLEKPECAKAKELMDRVVAFISAS >ONIVA03G20460.1 pep chromosome:AWHD00000000:3:15404375:15404761:-1 gene:ONIVA03G20460 transcript:ONIVA03G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLCRFGGEAPLTSPFAVPHSHAADIVVDSGDEKRGGSGRSSTAHVPPTSQSAALAPPTLLSTVVNQGTRGRVNMATLQPLSFHRPRHPHSTDLAIPRGYFAQHQCQHHLGHPHRCSATSSSPPVS >ONIVA03G20450.1 pep chromosome:AWHD00000000:3:15394398:15395444:1 gene:ONIVA03G20450 transcript:ONIVA03G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILLPILLFLLCSRGGSGAPAARRGMRVPPLGGDPVKFDFSPFLIEYKSGRVKRLMGTDVVAASADVLTGVSSRDVAIDPANDVRARLYLPSFRATAKVPVLLYFHGGAFVVESAFTPIYHAYLNTLAAKAGVLAVSVNYRLAPEHPLPAAYDDSWAALKWVLANAAPGTDQWVSQYGDLSRLFLAGDSAGGNIAHNLALRAGEEGLDGGARIKGVALLDPYFQGRSPMGADAMDPAYLQSAARTWSFICAGKYPIDHPYANPLALPASSWQRLGCSRVLVTVSEQDRLSPWQRAYYATLRSSGWPGQAELYETPGEGHVYFLTKLSTPQAQAEMATLVAFINRN >ONIVA03G20440.1 pep chromosome:AWHD00000000:3:15391677:15392641:1 gene:ONIVA03G20440 transcript:ONIVA03G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVSVMDRKTVSAFQAKKSREVSFVVIEPVQGRSETSVPPNLEVQQDTIVRLMHIQIHYKTAPFTGWFQFGIIGFGGATAQHRWHRRRYLRFINRSCRQYNPMTKEAPNRQMIILGTESLSSTEIFAIGNGDYCINRRSKRGESWSSSKQFVSSETDRTHNY >ONIVA03G20430.1 pep chromosome:AWHD00000000:3:15388015:15389642:1 gene:ONIVA03G20430 transcript:ONIVA03G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTVMLSAALGPSPLTVPPWYGLFLLLPWKPDAINQFVMTIHGIHRGAHDVQGTNSGPTPFCVPCPPGRERGPKEERHPHHRARRKERKRTGPAPAMAMQPPRRKTGPAPTMTTQPLRIRIGRGEEEGRGRGELEVDPRRRLGHTAATVVGGGGEGAAATPLDSRRSEEEERSGGRRGACPRVGQEGRPSKEEIRGVDRSWKKREAGEEGAAARWGERPPDLAAPPPLRPAPSPPRHRSARCRRRSSCHPALYGWKRKRGEREEWKSGIGETYYKFFR >ONIVA03G20420.1 pep chromosome:AWHD00000000:3:15383305:15384264:-1 gene:ONIVA03G20420 transcript:ONIVA03G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATTELRFDTPLLRIYNDGRVERLFGTETTPAGFDGATGVTSKDVVIDDATGVSARLYIPDLPASGPGHHRKKLPIVVYFHGGGMVLNSAASPTYHRYLNSLVSKAGALAVSVNYRLAPEHPLPAAYDDAWAALSWTASAADPWLSEHGDVGRVFLAGDSGGANVVHNVAIMAGAGQSSLPPGAAVEGVIILHPMFSGKEPIDGENAETRELTEKLWPLICADQEAGLDDPRLNPMAEGAPSLQKLGCRKLLVCSAESDIVLARAAAYYQAVMASGWPGMAEWLESKGEEHVFFLNKPDCEESVALMDRVVAFLAGN >ONIVA03G20410.1 pep chromosome:AWHD00000000:3:15381288:15382394:1 gene:ONIVA03G20410 transcript:ONIVA03G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPELSRWLLRVALLAAPLAALLLQLPIPISLKPRSTDTAAAAAMDAAASEIEFDMPGVLRMYKDGRVERFDGTQTVPPSPSGDPANGVVSKDVVLDPAAGISARLYLPPGVEPGKKLPVVLFFHGGAFLVHTAASPLYHRYATSLAAAVPAVVVSADYRLAPEHPVPAAYDDAFAALRAVVAACRPDGAEPWLAAHGDASRVVLAGDSAGANMAHNAAIRLRKEGIEGYGDKVSGVVLLHPYFWGKDPVGGESTDAGYRGSFHGTWEFVSAGKLGLDHPCVNPLASPEEWRQLGAGRVLVTTAEHCWFVERARAYAEGIKKCGWDGEVELHETDGEGHVFFLPKPDCDNAVKELAVVTDFVRRC >ONIVA03G20400.1 pep chromosome:AWHD00000000:3:15374904:15376046:-1 gene:ONIVA03G20400 transcript:ONIVA03G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKIKRQIGGLSLLAKLGLLLLALLLLLAAILLLVFLLPRHHRRPLPPGSPPVNASDPDNIVAFDFSPFLILYKSGRVHRMDGTDRVPAGVDEATGVTSKDVVIDRSTGVGARMYLPPAKGAGKKDLAGALPVLVFFHGGAFVIESAFTAKYHDYLNKVTAKARVVAVSVDYRLAPEHPVPTAYDDSWQALNWVAKNGRSGPEPWLRDRGNMSRLFLAGDSAGANIAHNMAMRAGKDGGQLEGGVAITGILLLDPYFWGKNPVGAETTDPARRRQYEATWSFICDGKYGIDDPLVDPLSMPAPEWRKLACSRVAVTVSDLDDFKERGKAYAAALRDSGWGGEVEEYETAGEVHVYFLDKPSSPKSAKELTFVAGYLSHE >ONIVA03G20390.1 pep chromosome:AWHD00000000:3:15369191:15371865:-1 gene:ONIVA03G20390 transcript:ONIVA03G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGEENVPGVNNVQLQKKVHKKTAKTNQRAGNHKKGKQRCCKKNQEP >ONIVA03G20380.1 pep chromosome:AWHD00000000:3:15362549:15363168:1 gene:ONIVA03G20380 transcript:ONIVA03G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWEIGLEAMIAIATLVLTEVHRMRDKKKVPTDTLEEDVAFIKKDFQLMESFLVDAAEKRRQTATSRSLSTWLRHLWGLSQDVEDCLLEFCLHLERPPRAKSKLLLPLDTITRQIRRLRNEVEHMNKSSATYCNAVNFGPDAAQPMI >ONIVA03G20370.1 pep chromosome:AWHD00000000:3:15349407:15359517:1 gene:ONIVA03G20370 transcript:ONIVA03G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKNIYKLEGLKEDAALALFKNKVFVDSSNIDLDLDMTTQAKLIIKECDGHPLAITNIAGFLVRKQKTATEWKKLNDDFSSGSVSKENLEMISTGLEPSYDDLSYHLKLCLLYLSVFPKGHNIRRKRIVRRWVAEGYISKTHSLSAEEVGESYFAELINRSMIQPSELVPHNADNIEYCRVHNLMHKISVSKSMEENHGFVLEVSSNNEGTVWHLSIVNVGKANKNTLKSVDLTHVRSVTIFGEWRASLDFRKMRMLRILDLEGTSGLKDRDLSQIGNFLHLKYLSLRGCADINHLPNSLGNLWDIQVLDVSGTSVIKLPKTITKLKKLHYLRAGNIPKDDATSFIELKESSDLSKMEHKPIDDLEIPDVEAKSVQFGTEVLDRTTSYCTKTVQNTDNVKKRDIFHKYCKVLLPSILQGLDMYGVKAPKGIGQLNDLHTLGVVNVAAGKVILRELEKLKKLHKLGLTGVNKKNSQAVLSAIAKLALLHSLTLRAEGVPGLQGCLDHTFAPPSKLQSLKIYGNLVTLPIWITQLQNLAKLKLRSTQLKLAPSMEILGKLPHLAILRVRKNSFLQSKKILFDFQQSTFPSLVVMELKDQEGLKSLNFMQGAMPRLELLQINNCMHIDNNGFSGVSSLLSLKEVMLMGDHNKELMKNLRDQLALNQNKPVLKGA >ONIVA03G20370.2 pep chromosome:AWHD00000000:3:15349407:15352315:1 gene:ONIVA03G20370 transcript:ONIVA03G20370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWELGLESTISIATLVLTEVHRMRDKKKKKVPADDDTLEEDVAFIKKDFQLMESFLADAAEKRRQTATTTSRPSRSLSTWLRHLRGLSQDVEDCLQEFCLHLERPPRAKSKLLLPLDTITKQIRRLRNEIEHVNKSSAIYCNAVNFGPDAAQPMFPYRKSYFVGVRTPLPHAERERWRTIWTWKENNACDLCSNRRLGW >ONIVA03G20360.1 pep chromosome:AWHD00000000:3:15341456:15343593:1 gene:ONIVA03G20360 transcript:ONIVA03G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPIRPCLCHGGGGHGNDDPGGPCYSHSYAPLAHPPHVPLSSPGRCTDLKKKKEKEEREGEESGRKGHWRAASLVVDLLLCCLALDGAHAAGAAGGRGEQRRSELRWAAVEVDAERAMAVAGGGRPSWAARRWCGSAQRWWAACSPPAPA >ONIVA03G20350.1 pep chromosome:AWHD00000000:3:15327090:15327723:1 gene:ONIVA03G20350 transcript:ONIVA03G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQSGSRPEARPKRTLWQVMLVRPMATSTTGHHRASANRHRHRKLYCSASPLPPLLYCCRPVAAAPPRRPAVPVSATPSLDLSLGMERSEACGDGVATGRSSLSSELADFGSGRRRCRLTLRREEEDRKEEHR >ONIVA03G20340.1 pep chromosome:AWHD00000000:3:15320065:15325613:-1 gene:ONIVA03G20340 transcript:ONIVA03G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAASPPPPPPAEQRRPDPVRFGIMGCASIARKLARAMLLAPGAAVAAVGSRSEAKARAFAEETGLLLRHAPRLHGSYEALLADPGVDAVYLPLPTSLHVRWATAAAAAGKHVLLEKPTALCAADLDAILAACDAAGVQFMDATMWMHHPRTAKMRELVADEATTGDVRVINSLFSFRANEEFLQNDIRVKPDLDALGALGDAGWYSIRAILWAVDYELPKTVIALRNPVRNQAGVLLACGATLYWADGKIATFNCSFLTNLTMDMTIVGTNGTLHVTDFVIPYEEKYAAFNMASKSKFAELHIGWDPLPSKHVVSTDLPQEALMVQEFSRLVQNIRDAGGKPEGKWPAITRKTQVVMDAEAHLAKLEKTFSRLQQSTSQPLSLLTFLPVLKNF >ONIVA03G20330.1 pep chromosome:AWHD00000000:3:15314413:15314865:1 gene:ONIVA03G20330 transcript:ONIVA03G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHFQWIWSHIQIPSELVGIIETKRCSDYVWVMRHRLWAHWAVISLLGKVSRISAQLITRGDVVLRLQGTNCVDQKPDRVCVMFPLNQ >ONIVA03G20320.1 pep chromosome:AWHD00000000:3:15310877:15311878:1 gene:ONIVA03G20320 transcript:ONIVA03G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRASSRITDDEINELISKLQSLLPESSRRRGATSRSPATKLLKEMCSYIKSLHREVDDLSERLSELMATMDSNSPQADIIRSLLR >ONIVA03G20310.1 pep chromosome:AWHD00000000:3:15286983:15288415:-1 gene:ONIVA03G20310 transcript:ONIVA03G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGATSSSMAGDAVAHRKPKRKRRAKSADEDASGDEPPESKGPNLTRCSAALASEACRVLSNTHHEKLGEIGLDAVACMTLESLKKPNLIW >ONIVA03G20300.1 pep chromosome:AWHD00000000:3:15286147:15286970:-1 gene:ONIVA03G20300 transcript:ONIVA03G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSIDGERKIQITPRTVKLVMGTPLGGHYIVIPPNKVVRSVHDRITQELGIARNGRISAKMLIEVIKNQKDDPTAVRFLVMVLMSKLLLPTTDFYIPKSDVWVAADLDRVAAIDWSKAVFQALSDTIRCSVYILTTSSTSESGGLRSSMSDGGDGHGVDTYPKSSANIVSSASIEGGSGNGFGEGGGGSDIRNSSGELTYIPPHGAPTWY >ONIVA03G20290.1 pep chromosome:AWHD00000000:3:15262339:15269257:-1 gene:ONIVA03G20290 transcript:ONIVA03G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGEKASKLCKEAGGPSLDSNLAPTIVLALTAGPMGWDNRRRKQASTMMNARGRGARNGNGHNRGHEGGRAHSDVVFGANTTVLATRRWVGLEIDSVPGDEGQRDIVNYYLRRATGVGSGERELAVVGTHRSNRRVTYVVHEPFLQSLKELQVAAVVGVERLRWKSRKDVVHWLNMLISNVTSNEVAICNNDGKDAKLANISPTKDSSSSTAGNDSGDFKWLGPKSHSKKGKSYKSFWRRGFTFMVHDFVYILVQHGNKLVAYVEELYEDNHANNMVHIRWFRTLNSAGIQLSPGVNDIEILLSDNLQDIGVECIDGLASVLNEEHFEKFQAIANNTNRQPYLCIRHIDNNSNVKTFDIAQLQGYSEQEIFRTISGTPPVTGHPDASEGNKNTPRSSARGHHHHQTVENPTAGDETNVQATTINVLARNAAPTESASGLINSALEKYLEQYFSPGCLVECLSQDSGIRGCWFIGSVIRRRGDRIKVRYQHLQDPETPRANLERENPSTISVGTVIDGWLYDGWWEGIMLKVNDARRLLAYLPGEKKMVLFRRDQLRHSLEWIGNEWKNFAHQEDIARRIPSAEDLRIRVITAREVPTREEVMRQLECLQTNKGGSNSAKPAAEKRSSSSATKKTTPDLIRHATNDLGSSNFKHVGVPASKEIRTDNKGSQVNLENVLKSDSLKWTERKARGSFGPRITIPLPSPFPSIFFLSVFFLPSLSSSRHRRAGPSRPPPLSGDSAVSQSQVAVAATGCQREKGGDGAVASAVAARTTAVATSAQTCEGRDGAGSSTWKEKGCRGSRAVAPTRRAEGAGARRDGAAVPAPVLRERMEGMAAPAWLPLLQARQLGSERRRCRWRVAADGLAQQWNSVAVMPEQQQRIPAVAATACGTPARVGSGSRSSRTWRLL >ONIVA03G20290.2 pep chromosome:AWHD00000000:3:15262339:15269257:-1 gene:ONIVA03G20290 transcript:ONIVA03G20290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGEKASKLCKEAGGPSLDSNLAPTIVLALTAGPMGWDNRRRKQASTMMNARGRGARNGNGHNRGHEGGRAHSDVVFGANTTVLATRRWVGLEIDSVPGDEGQRDIVNYYLRRATGVGSGERELAVVGTHRSNRRVTYVVHEPFLQSLKELQVAAVVGVERLRWKSRKDVLITTVESSLRIFAYLAFADTNSVSSITDVTSNEVAICNNDGKDAKLANISPTKDSSSSTAGNDSGDFKWLGPKSHSKKGKSYKSFWRRGFTFMVHDFVYILVQHGNKLVAYVEELYEDNHANNMVHIRWFRTLNSAGIQLSPGVNDIEILLSDNLQDIGVECIDGLASVLNEEHFEKFQAIANNTNRQPYLCIRHIDNNSNVKTFDIAQLQGYSEQEIFRTISGTPPVTGHPDASEGNKNTPRSSARGHHHHQTVENPTAGDETNVQATTINVLARNAAPTESASGLINSALEKYLEQYFSPGCLVECLSQDSGIRGCWFIGSVIRRRGDRIKVRYQHLQDPETPRANLERENPSTISVGTVIDGWLYDGWWEGIMLKVNDARRLLAYLPGEKKMVLFRRDQLRHSLEWIGNEWKNFAHQEDIARRIPSAEDLRIRVITAREVPTREEVMRQLECLQTNKGGSNSAKPAAEKRSSSSATKKTTPDLIRHATNDLGSSNFKHVGVPASKEIRTDNKGSQVNLENVLKSDSLKWTERKARGSFGPRITIPLPSPFPSIFFLSVFFLPSLSSSRHRRAGPSRPPPLSGDSAVSQSQVAVAATGCQREKGGDGAVASAVAARTTAVATSAQTCEGRDGAGSSTWKEKGCRGSRAVAPTRRAEGAGARRDGAAVPAPVLRERMEGMAAPAWLPLLQARQLGSERRRCRWRVAADGLAQQWNSVAVMPEQQQRIPAVAATACGTPARVGSGSRSSRTWRLL >ONIVA03G20280.1 pep chromosome:AWHD00000000:3:15253557:15259494:-1 gene:ONIVA03G20280 transcript:ONIVA03G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAWVDVIGEGRSVAKKEQGDIGGGGGGRGCCACGGEAQEAFFRPIPQPSHGVDPSVTPAEITPASPKPRQPKNDFLLKRSYSFSFEHHLLAVEASSMF >ONIVA03G20270.1 pep chromosome:AWHD00000000:3:15251494:15251877:-1 gene:ONIVA03G20270 transcript:ONIVA03G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRSRASSAARITDEQIGDLVSKLQALLPEARLRSNDRVPSARVLQETCSYIRSLHREVDDLSERLAELLAAADVSTAQAAVIRGLLM >ONIVA03G20260.1 pep chromosome:AWHD00000000:3:15240796:15246788:-1 gene:ONIVA03G20260 transcript:ONIVA03G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDMELDGGGDGKGKAPPQISLSGLFLACMVAGGVQYGWALQLSLLTPYVQTLGIPHALTSVMWLCGPIAGLIVQPCVGLYSDKCTSSLGRRRPFILTGCIIICISVIVIGFSSDIGYALGDATEDCKGPRYHAAAAFILGFWLLDFSNNTVQGPARALMADLSGRHGPSAANAIFCSWMALGNILGYSSGSTNDWHKWFPFLMTRACCEACANLKAAFLVAVVFLGLSTAVTMVFAREVALDPVAAAKRNEGEASGPLAVFKGMKNLPIGMPSVLIVTGLTWLSWFPFILFDTDWMGREIYHGRPDGSPAEVTAFQEGVRQGAFGLLLNSIVLGISSFLIEPMCRRLGARAVWVMSSAVVCVAMAAVSVLSAWSLGDFGGSVQDAARAPAEEGGVRASALALFVFLGLPFAVLCSVPFAVTAQLAASRGGGQGLCTGVLNISIVVPQMAIALGAGPWDELFGEGNIPAFAMASVFAAAAAAAGVVLLPKVSVRSVSMAGGH >ONIVA03G20260.2 pep chromosome:AWHD00000000:3:15240796:15246788:-1 gene:ONIVA03G20260 transcript:ONIVA03G20260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDMELDGGGDGKGKAPPQISLSGLFLACMVAGGVQYGWALQLSLLTPYVQTLGIPHALTSVMWLCGPIAGLIVQPCVGLYSDKCTSSLGRRRPFILTGCIIICISVIVIGFSSDIGYALGDATEDCKGPRYHAAAAFILGFWLLDFSNNTVQGPARALMADLSGRHGPSAANAIFCSWMALGNILGYSSGSTNDWHKSWNCIACGRRWFPFLMTRACCEACANLKAAFLVAVVFLGLSTAVTMVFAREVALDPVAAAKRNEGEASGPLAVFKGMKNLPIGMPSVLIVTGLTWLSWFPFILFDTDWMGREIYHGRPDGSPAEVTAFQEGVRQGAFGLLLNSIVLGISSFLIEPMCRRLGARAVWVMSSAVVCVAMAAVSVLSAWSLGDFGGSVQDAARAPAEEGGVRASALALFVFLGLPFAVLCSVPFAVTAQLAASRGGGQGLCTGVLNISIVVPQMAIALGAGPWDELFGEGNIPAFAMASVFAAAAAAAGVVLLPKVSVRSVSMAGGH >ONIVA03G20250.1 pep chromosome:AWHD00000000:3:15238834:15239523:-1 gene:ONIVA03G20250 transcript:ONIVA03G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTADLARVAGCWIRAPEAPAPSAAATPQGGGAASTSTSTSTTARLRPSAAYTSARHRSEGREVSLPAVVKGATPASHRSITTAGRFTNASTDGTATNRFMAVELDAPKQRVCIAVCLSSLSLPLLSDPGADNPPSTNATESLSERRCVPRYDAANSANSSAHAASPMPAFDVNGI >ONIVA03G20240.1 pep chromosome:AWHD00000000:3:15232057:15232653:-1 gene:ONIVA03G20240 transcript:ONIVA03G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGSRVGRTWAGQPIAMRPKQTEESSIQAAASATPGGIERSFGLGGAEAPGGGGDLGGRMELMGEYCSNAPCKWLLDLGKGGAHDTNAHNLFDGMPSQSEMPKEDQRTSKPRRNG >ONIVA03G20230.1 pep chromosome:AWHD00000000:3:15229141:15230033:-1 gene:ONIVA03G20230 transcript:ONIVA03G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKQTEGSSIQAAASATPGGIERSFGLGGAEAPSGSGDLGGRVSRKQKYTTAALALGDVNHEIRRPLISYAGGARYVERHTSLYRALRPWLSDPRADNPPSTNATESLSEWRCAPRYNAANSANSSAHAASPTPAFDFNGI >ONIVA03G20220.1 pep chromosome:AWHD00000000:3:15215660:15216933:-1 gene:ONIVA03G20220 transcript:ONIVA03G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-13 [Source:Projected from Arabidopsis thaliana (AT1G69780) UniProtKB/Swiss-Prot;Acc:Q8LC03] MASNGAAAGAMAPFFPPNFLLQMQQPLPLHHQHLQDHAHGGHGGHHLLPPPPPSLSPFLPDLAMDAPPPPMYEASGGDGGGGGAASEDEEDGCGGGGGGGGGEKKRRLSVEQVRTLERSFESGNKLEPERKAQLARALGLQPRQVAIWFQNRRARWKTKQLEKDFDALRRQLDAARAENDALLSLNSKLHAEIVALKGGAAAAGGGGSSCRQEAASELINLNVKETEASCSNRSENSSEINLDISRPAPPPPPPPANESPVNRGIPFYASIGRGGAGGVDIDQLLLRGGHSPSPAAVTTPPPPKMELGITGNGGGADAVAAGAGSFGGLLCGAVDEQPPFWPWADGHHHFH >ONIVA03G20210.1 pep chromosome:AWHD00000000:3:15208848:15209721:1 gene:ONIVA03G20210 transcript:ONIVA03G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAIAHRVTQVWVVDADDGELVGVVRFLDVLSALADELATNEEGSKIDGEHKPPVPTNFAKFVGRSVTSGLDDACMTRVNSGWWPGKIRRLEGPWLFSFAGAARRGSAKSIHSELIAGVGGGAATVDVLGFGSGCGGGSAVWGAGERPRSRTCVAPHSSMPRSGPSSASPLWRPPAPAPAAVAALRSSSSALPRRPPPQPPDGLDRQEEKIREKERRRKGER >ONIVA03G20200.1 pep chromosome:AWHD00000000:3:15187741:15192772:-1 gene:ONIVA03G20200 transcript:ONIVA03G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTAAAAAAAPDTNNADPSKAASKTTYSSYPSTTKSGSSWTVPSYKDRSDLPTPRTEGEILSSSNLKAFTLSELKNATKNFKPDSLLGEGGFGYVYKGWIDEQTLAPARPGSGMVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHENLVKLIGYCSDGDNRLLVYEYMPKGSLENHLFRRGADPLSWGIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSFGVVLLELLTGRRALDKSKPASEQNLVDWTRPYLGDKRRLYRIMDMKLGGQYPKKGAHAIATIALQCIRSEAKMRPQMSEVLEKLQQLQDPKYNVTSPQVDTRRRSSSGSVPRSPMRMQPSPRRLSASASPLPAAGSPLPACRTAQVH >ONIVA03G20190.1 pep chromosome:AWHD00000000:3:15180290:15180841:-1 gene:ONIVA03G20190 transcript:ONIVA03G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKEEEQHGGGGAVGWMTVPAFGEWDMKNGAVPDYSMDFSKIREMRKQNKRELSRASLGGDDDLLAAQQHKAAAPQPAPNASAAADDHRRPLHAAHDDSPTVTN >ONIVA03G20180.1 pep chromosome:AWHD00000000:3:15172953:15173621:-1 gene:ONIVA03G20180 transcript:ONIVA03G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFVLTVSAVWGRRSSAAVAKVAALVEAAAVVDEAEEAAGVATVLAMVAALAEVVVAVLAEVAALVEAAVVLAEVAAPVDKRRRSWRRWRRRRSWTRRGGGGRGRGNGAQGGGDTRGRTTEFAEVADGTVTPFARPRWEEFVRQFFTDQLVLDLKGIFLSRDQPIPPTPKPNIPKSGFAPITSHLILTTKHYFIGRREYAINFCHLAG >ONIVA03G20170.1 pep chromosome:AWHD00000000:3:15165876:15171327:-1 gene:ONIVA03G20170 transcript:ONIVA03G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELTNIQKATSSDYWSLASNQYPCGKFPKVSVGVTIPRTSSVSRGRDAASTAAFEKNLSQGTDGRSRPPKMDNASLQVSPEAANHGGSAKEVPKPVPAKVYVSQPDDNAIEQTGTFSFGTRREQDSHLDQLDRPPLVSSQGKRQVESADKNKPNSEMLRMKLWEILGGTSQNKEAVASPNPEDIETPCQPKSQIANGPSSGRQKVFTSPVPYNIKTPAQFNSQTANKPSSDPIESDSDSPQVVEVRPITRSLGRKKAPTGSTHQDKSGSAKKPLSTHRSTPKQKILDNVFAFNDKCTPKTVGKSANGESGSLRNLRSLSRRAKVEPKKAHCSDRISDKTTQDDMERKVPSKYIPSEKKGEKTNSFSSLSRTGKTAESCSRSPKRERRVNTMANVGARKMQLSENLLVKTLNDGEHKLSSPQLTSFKSKGKCSSISPQQKENDNTHIPEASDRTAARNSFNSTPSPAANPSPVLRKYSWEHDESPAINGKSGQKDASPLADRFSDMPDDFASPTFAANIKISPHRSKMLDDDLFSSKYPKGVNRSRSTSFTSDPESEPLDKMEKTNELPGSESPNSQEERQNRKQPHLSPLSPIESEGAQISIPSFRKGYKSHKWLSDVDSPDKSSIEHLGRKSHLKEGTQETMSDKEPEKVPENYLTRAFDQLVVVLGRFQTKIKSETRNKSSKILAATGEIIRQHLEGVEGQMQADVDKLVNAGKSKRKRLESTFEEQQEKLRILHEKFKEEVNQQLLGCKNSVEDFEAYHAELKGVADKQRQPLQGFYLYYRSLTHGVLIFASTEASHKKLLQNAEKTVGAQLSDAETKIAEVQKRARKRMKGLKFVLKELIAETAE >ONIVA03G20160.1 pep chromosome:AWHD00000000:3:15160915:15165941:1 gene:ONIVA03G20160 transcript:ONIVA03G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNINLKNGSKITSQKDPCCFGVPGCNPRCVRYNKKSRTCRLVTRAISVDRPQLDFSNSDWKKQFQEDFDRRFSLPHLKDVIDVEPRPTTFSLKSRTPLENVNGSMQGSWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWVHRAGPRKQIYFEPQYVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLAEVPLNRQVVQNINLAGGSFLGVSRGGANISDIARRLDMLFVLGGNGTHAGANLIHEECRKRKLKVSIVGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLQHLEHLIETKGFALICVAEGAGQEHLQQSNATDASGNMILGDIGVHLHQKIKAHFKEIGVHSDVKYIDPTYMVRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGICNTHNVYLPISEVIKSTRFVDPNSRMWHRCLTSTGQPDFH >ONIVA03G20150.1 pep chromosome:AWHD00000000:3:15151114:15151797:-1 gene:ONIVA03G20150 transcript:ONIVA03G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGTGDDDDVHARVKYKGVARTADGRWRGFITNKFGRRYGVGDHGTPEEAALAHDRAILAILGAHASPAALNFRAAFSDTELRFLRGPHAPSRRAAGVVAAVMRGAAFDAALERFAARAYDAHTDPELALDVAAFRLATRDAGTPLAQPDAERAAFVAAARNKVHDAAWLRSYLRRRRLVGATFEDENRWPPVVPPAVADVGDGFAGNELVYLPYGSCHVDEMMI >ONIVA03G20140.1 pep chromosome:AWHD00000000:3:15145530:15147631:1 gene:ONIVA03G20140 transcript:ONIVA03G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKTPCLNERILSSLSKRSVAAHSWHDLEIADADAFSLSKCCIGPGAPQVFNVVVEITKGSKVKYELDKKTGMIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQGEKDDKIIAVCVDDPEFRHFNDLKELSPHRLAEIRRFFEDYKKNENKEVAVNDFLPPATAQEAIKYSMDLYAEYILHSLRR >ONIVA03G20140.2 pep chromosome:AWHD00000000:3:15145594:15147631:1 gene:ONIVA03G20140 transcript:ONIVA03G20140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCSFFFLFFVHDNIFFSCPSIVCFICLWWCRRMAEEKKTPCLNERILSSLSKRSVAAHSWHDLEIADADAFSLSKCCIGPGAPQVFNVVVEITKGSKVKYELDKKTGMIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQGEKDDKIIAVCVDDPEFRHFNDLKELSPHRLAEIRRFFEDYKKNENKEVAVNDFLPPATAQEAIKYSMDLYAEYILHSLRR >ONIVA03G20130.1 pep chromosome:AWHD00000000:3:15138583:15144671:1 gene:ONIVA03G20130 transcript:ONIVA03G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVASRPHGASTASREWSSIGRSDPLWRTNAGFSPPLSRRWEYRINSEGLSYGSQGDSGAAAHYGSSLSSNSKEPSRSWERSDVPPDHHRYSTSEGAISYFNSPDVTFQNHHIMLPMLQDSGIDEYMRVSVAEPIGALLLSEGISGQQNSGGSTSSRSDGSEYDIVPKSYSSTPRNFPSRRSFLSKPIHPLSFPEHALEGQETDSPVANASTSSPMPSEFKAIGEIRPSGLMDYAYASGSHGESANWSAASSMDLTDLSERHDAERSGPLRSNNIMDRTRCDLCERLLSKRSPWGSRRIVRTGDLPVAGVLPCCHVYHAECLERTTPKGQKHDPPCPACDRLSGKDTEQWSICRLRNGFPRLRSLGEGPSRVWSCAQAGDCVAGAVQIPRASSISLLSRSGHKRHHAASKGESGKDWAETSSSSRTACM >ONIVA03G20130.2 pep chromosome:AWHD00000000:3:15139420:15144671:1 gene:ONIVA03G20130 transcript:ONIVA03G20130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVASRPHGASTASREWSSIGRSDPLWRTNAGFSPPLSRRWEYRINSEGLSYGSQGDSGAAAHYGSSLSSNSKEPSRSWERSDVPPDHHRYSTSEGAISYFNSPDVTFQNHHIMLPMLQDSGIDEYMRVSVAEPIGALLLSEGISGQQNSGGSTSSRSDGSEYDIVPKSYSSTPRNFPSRRSFLSKPIHPLSFPEHALEGQETDSPVANASTSSPMPSEFKAIGEIRPSGLMDYAYASGSHGESANWSAASSMDLTDLSERHDAERSGPLRSNNIMDRTRCDLCERLLSKRSPWGSRRIVRTGDLPVAGVLPCCHVYHAECLERTTPKGQKHDPPCPACDRLSGKDTEQWSICRLRNGFPRLRSLGEGPSRVWSCAQAGDCVAGAVQIPRASSISLLSRSGHKRHHAASKGESGKDWAETSSSSRTACM >ONIVA03G20130.3 pep chromosome:AWHD00000000:3:15139420:15144671:1 gene:ONIVA03G20130 transcript:ONIVA03G20130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVASRPHGASTASREWSSIGRSDPLWRTNAGFSPPLSRRWEYRINSEGLSYGSQGDSGAAAHYGSSLSSNSKEPSRSWERSDVPPDHHRYSTSEVSVAEPIGALLLSEGISGQQNSGGSTSSRSDGSEYDIVPKSYSSTPRNFPSRRSFLSKPIHPLSFPEHALEGQETDSPVANASTSSPMPSEFKAIGEIRPSGLMDYAYASGSHGESANWSAASSMDLTDLSERHDAERSGPLRSNNIMDRTRCDLCERLLSKRSPWGSRRIVRTGDLPVAGVLPCCHVYHAECLERTTPKGQKHDPPCPACDRLSGKDTEQWSICRLRNGFPRLRSLGEGPSRVWSCAQAGDCVAGAVQIPRASSISLLSRSGHKRHHAASKGESGKDWAETSSSSRTACM >ONIVA03G20120.1 pep chromosome:AWHD00000000:3:15129553:15132477:1 gene:ONIVA03G20120 transcript:ONIVA03G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECRGGGDGLIKLFGKTIPVQPDAKDVQQHSGSSSSSTESDVQETAAVAVADPSPRSEVVDGESPPQPGGEAASHQQQQKEMKLKKPDKILPCPRCSSMDTKFCYFNNYNVNQPRHFCKHCQRYWTAGGAMRNVPVGAGRRKNKNATAAAHFLHRVRACAAAAAMPAAPHDATNATVLSFGGGGGGHDALPVTLDLADKMTRLGKEGLVAHARNADAAAACSEVSSNRDDEQIGNTVAKPANGLQQHPPPPHHHHHSAMNGGGIWPYYTSGIAIPIYPAAPAYWGCMIPPPGAWSLPWPATVQSQAISSSSPPTSATPSVSSFTLGKHPREGGDHEARDHHGNGKVWVPKTIRIDNADEVARSSIRSLFAFRGGDKVDDNNDDDGTSVHKLATTVFEPKRDGKTAKHPAITSLPLLHTNPVALTRSATFQEGS >ONIVA03G20110.1 pep chromosome:AWHD00000000:3:15114359:15119184:1 gene:ONIVA03G20110 transcript:ONIVA03G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLPAAWAAAVRAGAVAPALRAAVWACLAMSAMLVAEAAWMGLASLAAAAARRLRGYGYRWEPMAAPPDVEAPAPAPAEFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPFVKELVELEYFEPESDFLLKTMPYLLHNPKIALVQTRWEFVNYNVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSMWKKHHLLYSFFFVRRAIAPILTFLFYCIVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPGSVHLMPFWILFENVMAMHRMRAALSGLLETARANDWVVTEKVGDQVKDELDVPLLEPLKPTECAERIYIPELLLALYLLICASYDFVLGNHKYYIYIYLQAVAFTVMGFGFVGTRTPCS >ONIVA03G20110.2 pep chromosome:AWHD00000000:3:15114359:15119184:1 gene:ONIVA03G20110 transcript:ONIVA03G20110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLPAAWAAAVRAGAVAPALRAAVWACLAMSAMLVAEAAWMGLASLAAAAARRLRGYGYRWEPMAAPPDVEAPAPAPAEFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPFVKELVELECKEWASKKINIKYEVRNNRKGYKAGALRKGMEHTYAQLCDFVAIFDADFEPESDFLLKTMPYLLHNPKIALVQTRWEMFLTWPLPGDFAVNYNVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSMWKKHHLLYSFFFVRRAIAPILTFLFYCIVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPGSVHLMPFWILFENVMAMHRMRAALSGLLETARANDWVVTEKVGDQVKDELDVPLLEPLKPTECAERIYIPELLLALYLLICASYDFVLGNHKYYIYIYLQAVAFTVMGFGFVGTRTPCS >ONIVA03G20110.3 pep chromosome:AWHD00000000:3:15114359:15119184:1 gene:ONIVA03G20110 transcript:ONIVA03G20110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLPAAWAAAVRAGAVAPALRAAVWACLAMSAMLVAEAAWMGLASLAAAAARRLRGYGYRWEPMAAPPDVEAPAPAPAEFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPFVKELVELECKEWASKKINIKMFLTWPLPGDFAVNYNVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSMWKKHHLLYSFFFVRRAIAPILTFLFYCIVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPGSVHLMPFWILFENVMAMHRMRAALSGLLETARANDWVVTEKVGDQVKDELDVPLLEPLKPTECAERIYIPELLLALYLLICASYDFVLGNHKYYIYIYLQAVAFTVMGFGFVGTRTPCS >ONIVA03G20100.1 pep chromosome:AWHD00000000:3:15108529:15111028:1 gene:ONIVA03G20100 transcript:ONIVA03G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTRAEALSLFRSLLRTARQFSDYNIREYARRRAADAFRENCALGDAAAAAAVFADGKKQLEVAKRQAVVYSLYAPKAKSIMEMKLQ >ONIVA03G20090.1 pep chromosome:AWHD00000000:3:15089167:15091146:-1 gene:ONIVA03G20090 transcript:ONIVA03G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAAAAAQHLPDDLLRDILLRLPPRSATRCLAVCKGWRSLVSDPSFRRAHAERPAGQRLREVVLFDSFRSRWCRGDVRKAPPLDLTLIPSPYAPAATMVLGSWDGVLCVERGAPPLRRLRWRLFGWPDDGSGRRGYVLWHPFAMACATVSPPPGRGVIIGAYAHPATMRFHLLHAAGEAACLVDPGLYVATAFRLRRVGDGAWREVPLPQLEDADARLKMHGARSIRLHGNLHWLVQRGSGSAGKLQVLVFERARESGKLCAVAVARATSTMEMWVLDDYHHCSDDARISGWRLMERVSLVMWDGDGRRDLSRTFTSETQVEAVHGEVEGEEVIVRNGGEVDAYSLRRGAWLRVRGISSSGGPVLDVALLAHRDSVVHHDGRRD >ONIVA03G20080.1 pep chromosome:AWHD00000000:3:15086466:15087008:1 gene:ONIVA03G20080 transcript:ONIVA03G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGGGGLPPSRAVLASRFRSNSDHLMRLHSTWINSAATPAAAPYSRESLAMLAARLLSVSDDHLQRQQQQHVSMIISTSLPGGTTGGVAPASKEAIEALRDVVVDQLAPAAECAICLHGQDAATAAAGRWKEMPCGHRFHGVCLVKWLRVHGTCPMCRHQMPAEEAAAAAAAEGRRS >ONIVA03G20070.1 pep chromosome:AWHD00000000:3:15082425:15083021:1 gene:ONIVA03G20070 transcript:ONIVA03G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCYVEGEGVAAKVMKELREKECVHQLLNAKTEIQQDEWIFDSAVMFDIPGSSSAQLFMD >ONIVA03G20060.1 pep chromosome:AWHD00000000:3:15063148:15075375:1 gene:ONIVA03G20060 transcript:ONIVA03G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIIGPLVWRLQEMAVGQARALVSVNDDIVRLRDRLMWLQAFLREADAKRRAVSDEVNKMMEGGNEEKLDIGNTTSNNVVLIERETTTTSSCGEHGRILLSSPSPSLFKANVLGSLAYKYITMGIPQWFPRWAQPSMRYVVTFTTQVPMRHILSKKIMAINTRLEEIIQNKDRYKMDDVNKGIEVTWKASTSISESNSELDDLQQGNLTLYEEYQEELEKALTPTDQELQKNDNRPIVVSVSGKSGVGKTTLVRNVYNIMEKKNCFDVHAIMSFAPHLTAPNILHQIVQQLTDDNKNCPRSMVHGMLARVLRYKKYLLVIDGEVSRTEWKNIITMLTTLAVGSTGNRIVHIRFDRPEQSSLYYHHHIRLEPLENNVVMKLFHKRLRNQDKQGDAGGPMVLKLKKLLQFDAQYQKLEEYREDICKITEGLPLAVVLLAGLVQTKEFPHEWTEVFKYLSSKKSKRLDNLLSLCFDDLPHELKCCYLYFAAFPPNVLVEARNLVCMWMAEGFLTPRVGKTSEKVGYIFLNELISRNLVNLVLVDDNSSIGTMFISIQNKVHEFLQSEAHEASFLEVHSGDDIPTLTSARRLSLQNYTDKCAALANPLPKLRSIFSQYEQEPKEQEPKGDQTRQCCTPPQQWVTNKKQKDIRSHIKGLLQGSEFLRVIDLQGIEIGDELPHAIGSVVHLQYLGITSCSLTVIPPSIGSLSGLQTLDVRETNVRKLPLNFWLMIKTLRHVFGFTLKLPKQIGSMKHMQTLDSIELDNCEKDLIGTVGKMVHLENLFVWNITTGNMEALIAALSKLENLRNLALHGHIIPSTVFITISLRRLKSMKLQGKLKFLYEITGMDVCLPNLSMLSLEGTKVSQGFISKLAELPSLETLALYYESYKDEHLLFSSIGFVSLKKIKLYVPTTLKTIEIEQGALHILKEFDILSQRPHVKIIAERRIKKLIV >ONIVA03G20050.1 pep chromosome:AWHD00000000:3:15053000:15055169:1 gene:ONIVA03G20050 transcript:ONIVA03G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKERLDAELKLVGEYGLRCKRELRSVQYALSRSRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLVLTVENFLQHRLQTIVFKNGMAKSIHHARVLIRQCHMRYTAEMPSGKAACQHPLFMVRLDSEKHIGFSLTSPLGGSEPGRVKRKNQKKASGGGGDVYLLMNM >ONIVA03G20050.2 pep chromosome:AWHD00000000:3:15053000:15055169:1 gene:ONIVA03G20050 transcript:ONIVA03G20050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKERLDAELKLVGEYGLRCKRELRSVQYALSRSRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLVLTVENFLQHRLQTIVFKNGMAKSIHHARSGKAACQHPLFMVRLDSEKHIGFSLTSPLGGSEPGRVKRKNQKKASGGGGDVYLLMNM >ONIVA03G20040.1 pep chromosome:AWHD00000000:3:15042501:15045854:-1 gene:ONIVA03G20040 transcript:ONIVA03G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRRALRVPTSASGLRRLSSNRRAPPPSRAAAATATTGDDEWNDAWETSWLPGDSPTSSPAPAAPWESPTSGAATVPAISAEVDPDTKAFVADMDERWAERRAASRRPRPAPRAEGAGGAAAKKAQADEYRTRKQRVHAALWVKEIEKMEEARLGGGGGGADDIDRLLDSCSEIFDSGNTDFGDPKIPSTAEIKTKPDGWETTSRGQDGSIWDISQREDDILLQEFERRIAFSKQQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVQRLPSVTDPATQPYREEPPAIASGQEDASVGYLGCGRATLFGASWSSDGSGSSAGGASRKSFSSSGCLAEGSMTVGCGSGCCKGNTVLVVMGDGCIGCCDGKTVPGEGGTGRGDPVAPWPQPGLPVARILSSFWRFILEGAVFLPERFYLRKQIS >ONIVA03G20030.1 pep chromosome:AWHD00000000:3:15041317:15044758:1 gene:ONIVA03G20030 transcript:ONIVA03G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSERGDVATAIRPAAADKLVHGPISDKKCRKKVPRKVHKSEREKLKRGHLNDLFGELGNMLEADRQSNGKACILTDTTRILRDLLSQVKSLRQENSTLQNESNYVTMERNELQDENGVLRSEISDLQNELRMRATGSPGWGHGATGSPLPVPPSPGTVFPSQQPMQPSPMTTSTVFPLQQPLPQPTVIEPSARQPLELKLFLEAPPAEDPEPSEDQEAPNNVARPQPRYPTEASSWPISLGLPRMEDEQM >ONIVA03G20020.1 pep chromosome:AWHD00000000:3:15034097:15038825:1 gene:ONIVA03G20020 transcript:ONIVA03G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSTESRTRTTVSVVVLFGLCSFFYLLGVWQRSGFGRGDSIAAVVNEQTKCVVLPNLNFETHHSASDLPNDTGSTEVKTFEPCDAQYTDYTPCEEQKRAMTFPRDNMIYRERHCPPEKDKLYCLVPAPKGYAAPFHWPKSRDYVHYANIPHKSLTVEKAIQNWVHYEGKVFRFPGGGTQFPQGADKYIDHLASVIPIANGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSMKLSFPSRVFDMAHCSRCLIPWSGNDGMYMMEVDRVLRPGGYWVLSGPPIGWKIHYKGWQRTKDDLQSEQRRIEQFAELLCWNKISEKDGIAIWRKRINDKSCPMKQENPKVDKCELAYDNDVWYKKMEVCVTPLPEVKTMTEVAGGQLEPFPQRLNAVPPRITHGFVPGFSVQSYQDDNKLWQKHINAYKKINNLLDTGRYRNIMDMNAGLGSFAAALESTKLWVMNVVPTIADTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHANAVFSLYENKCKFEDILLEMDRILRPEGAVIIRDKVDVLVKVEKIANAMRWQTRLTDHEGGPHVPEKILFAVKQYWVVESKSS >ONIVA03G20010.1 pep chromosome:AWHD00000000:3:15029710:15029985:-1 gene:ONIVA03G20010 transcript:ONIVA03G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHTSRLDLFLVCTVVVVVVALHHATAVHGLTRAELVLAPAPAMAPAPAPPANNVVGVDAAKERFAATTAAAQTSKWRVRRGSDPIHNRS >ONIVA03G20000.1 pep chromosome:AWHD00000000:3:15029585:15030049:1 gene:ONIVA03G20000 transcript:ONIVA03G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFFSSSSLDTKVLSLPNPQKPTPSAAEEVAFCSDDDDHHQLRLCIGSEPRRTRHLLVCAAAVVAANRSFAASTPTTLLAGGAGAGAIAGAGARTSSALVRPCTAVAWCSATTTTTTVQTKKRSRRLVCCTMLASAAAAQMETNKSLPWLSL >ONIVA03G19990.1 pep chromosome:AWHD00000000:3:15015729:15016649:1 gene:ONIVA03G19990 transcript:ONIVA03G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKEGVKKGPWTPEEDMVLASYVQEHGPGNWRAVPPRTGLLRCSKSCRLRWTNYLRPGIRRGGFSHHEERLILHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLALTSSSSPPTPTTPLVARGQWERKLQTDIDLARRALRDALSVDDAASPAMISSGPPAPAAAAAYALSERNISVMLSGWAAPPPARKELSACNPAAATTTPGGAAAESASTAGTSSELTADCCSGGGDSSASNCLPSSMLLACDDGDATATAAGEAPLSAIESWLLLDDSGEPQLALDEQLLDVALRNYAF >ONIVA03G19980.1 pep chromosome:AWHD00000000:3:14994743:15001571:1 gene:ONIVA03G19980 transcript:ONIVA03G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring acyl groups [Source:Projected from Arabidopsis thaliana (AT4G17910) TAIR;Acc:AT4G17910] MDDEPINAHKLLKEQFVSNLTGSSLLEIAALSTVVPAVVVLRKWSCGDNTRKNSLKKNDDALPGHKGFVHYFSTLAIDYLSIVLPVLLIFTVLAEWACACALSLVMLISILTMFKRSRSHLKAGPNDLPLLRADISSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGCGIVHVGEYGVHWNFFFTLAAISILTSVIRIHPKYCGLAGLLVLTGFQTWLSFGLNEYLISNERNGGIISQNKEGYWGMYLIGVSLGYNLFFDNSSKGKSRSSQVVKVWVLAASFWIMAIILDSYVERVSRRMVISILTLAGFISYEKNLVLEDAFNQNMLGSFLLANILTGVVNLSVDTLSASSGTAFMIFKLSWNKANC >ONIVA03G19980.2 pep chromosome:AWHD00000000:3:14994743:15001669:1 gene:ONIVA03G19980 transcript:ONIVA03G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring acyl groups [Source:Projected from Arabidopsis thaliana (AT4G17910) TAIR;Acc:AT4G17910] MDDEPINAHKLLKEQFVSNLTGSSLLEIAALSTVVPAVVVLRKWSCGDNTRKNSLKKNDDALPGHKGFVHYFSTLAIDYLSIVLPVLLIFTVLAEWACACALSLVMLISILTMFKRSRSHLKAGPNDLPLLRADISSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGCGIVHVGEYGVHWNFFFTLAAISILTSVIRIHPKYCGLAGLLVLTGFQTWLSFGLNEYLISNERNGGIISQNKEGYWGMYLIGVSLGYNLFFDNSSKGKSRSSQVVKVWVLAASFWIMAIILDSYVERVSRRMVISILTLAGFISYEKNLVLEDAFNQNMLGSFLLANILTGVVNLSVDTLSASSGTAFMILLVYSFTLCMIAALAQFCGIRMKFW >ONIVA03G19980.3 pep chromosome:AWHD00000000:3:14994743:15001669:1 gene:ONIVA03G19980 transcript:ONIVA03G19980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring acyl groups [Source:Projected from Arabidopsis thaliana (AT4G17910) TAIR;Acc:AT4G17910] MDDEPINAHKLLKEQFVSNLTGSSLLEIAALSTVVPAVVVLRKWSCGDNTRKNSLKKNDDALPGHKAEWACACALSLVMLISILTMFKRSRSHLKAGPNDLPLLRADISSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGCGIVHVGEYGVHWNFFFTLAAISILTSVIRIHPKYCGLAGLLVLTGFQTWLSFGLNEYLISNERNGGIISQNKEGYWGMYLIGVSLGYNLFFDNSSKGKSRSSQVVKVWVLAASFWIMAIILDSYVERVSRRMVISILTLAGFISYEKNLVLEDAFNQNMLGSFLLANILTGVVNLSVDTLSASSGTAFMILLVYSFTLCMIAALAQFCGIRMKFW >ONIVA03G19970.1 pep chromosome:AWHD00000000:3:14991909:14994200:-1 gene:ONIVA03G19970 transcript:ONIVA03G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAATSARSSSRAFDWRSVLTASTSALYCFPQCTREDDRERCELDIQGRGCNEENKGNLQLWSIRGKKVIKKKAGSISWTLQNDREN >ONIVA03G19960.1 pep chromosome:AWHD00000000:3:14985949:14986281:1 gene:ONIVA03G19960 transcript:ONIVA03G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDRFAQDEGHYIDNSHRHIPSSGQEEGSCSHDVVVPNNHDGEEDYHDDLDLDILFDCVVVPVPGGHLNSDAAVFIPITGGSQDLYFANATAYRHLHHLVCARSSRSRW >ONIVA03G19950.1 pep chromosome:AWHD00000000:3:14983517:14983798:-1 gene:ONIVA03G19950 transcript:ONIVA03G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPNRHYRHPPKPFVAPWCRYPLLCNQPPFSTTTVMKLHLFLVSSKVPQHRRSLLPPAALLLPSNQLAKMPLLLAPSSTDYAALAAPPLAC >ONIVA03G19940.1 pep chromosome:AWHD00000000:3:14980317:14980631:1 gene:ONIVA03G19940 transcript:ONIVA03G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVSIHYFICHMCRHAAARGSSAFSAASSASSSAAPVLPVVVRPALAEHAADMERLISQLPLFTLVSSLAALPKSSHACCRHPLLLRAVSGEEGDCRHRTEG >ONIVA03G19930.1 pep chromosome:AWHD00000000:3:14968421:14971834:-1 gene:ONIVA03G19930 transcript:ONIVA03G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSDYSDDGEDDVFFDAFDDDDDDNNRSSTEISTSEAGYELWAGEPMSVRERRHRFLKGMGFLEPGPTGTAFPQWLAEIATTDCCSFHDFEERISSICSSFRSCFSDSILAATDNTNDSADNCTRDVDYNSSGRRSTTSHDQGQHDVLSEIVEEAGTSSYEMVTPNAPEIVPGFSKLMRKLLRIRFGHGPKRNEFKSLWEIFMRKKVSDRVLSMDDVHVQPRGLNSGTLYRTKVHQQNKKWMDFTAVYMCQEIQAHEGLIRVMKFSSSGWHLASGGEDCVVRVWQITEVESSPDLYGRDVPEDMNKKKDVKIKPLAIIPKKVFSITETPLHEFQGHTSDVLDLAWSKSDFLLSSSKDTTIRMWKVGCYDCLAVFRHGDYVTCVQFNPVDERYFISGSIDGKVRVWDVSDKRVVDWDDTKYIITAISHRPDGKGFVVGSVKGRCRFYDQSGLFPLGRNIERNKLMRIKRRRCSANKITNIQFSQGHPSRMIITSKDHKIRVSEGHKITQKFQGQWRSKVLVPPSLTPDGRYLISAGRDSKIRIWNFDGGGRRRRVVSSRELFFSEGVTAVAPWARAMGGGGGADAPTLCYDRERCSFGTWFVVPDGAAAAAATTWPEERLLPSLRYVNCAGMDDCRSQVPAAWNMVVVTGSRGGAIRAFHNYGLPVRL >ONIVA03G19920.1 pep chromosome:AWHD00000000:3:14947915:14955972:1 gene:ONIVA03G19920 transcript:ONIVA03G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-metabolising metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT5G63420) TAIR;Acc:AT5G63420] MVALASLSSLSPCGLARRRSASSAASISCCAAPPPPSAKGSQESRTPRRRVRKTEGATKSLEDSVKRKMEQFYEGLDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDFDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSSTPIFASSFTMELIKRRLKEFGIFLSSRLKVFRVRKRFQAGPFEVEPLRVTHSIPDCCGLVLRCADGIIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHISEAKGRVITTQFASNIHRIGSIKAAADLTGRKLVFVGMSLRTYLDAAFRDGKSPIDPSTLVKVEDMDAYAPNDLLVVTTGSQAEPRAALNLASFGGSHALKLSKEDVLLYSAKVIPGNESRVMKMLNRLTELGPKIVMGKDAGLHTSGHAYHDELEEVLQIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVALGKEDLRLMYSDGDKAFGTSTDLCIDERLRIASDGVIFVSMEIFRPQKELASSQSGLKGKFKITTRCLWLDNGRLLDALYKAAYAALSSCPVNCPLSHMERMVSEILRKMAFEQEFRSLEESDKSRPENTEGEAKENLPDVLRTTRDDATTSSNGEAFFSSDLHKPKTLEHFWDSFKSPTAVKIARIVNGSAQGSKSKIGKISITVKGRMVLWEEISSSMLSQGISRTPAQCKSLWTSLVQKYEESKKDEESVKTWPYFLDMDRVLSSQGEMATQ >ONIVA03G19920.2 pep chromosome:AWHD00000000:3:14947915:14955972:1 gene:ONIVA03G19920 transcript:ONIVA03G19920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-metabolising metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT5G63420) TAIR;Acc:AT5G63420] MVALASLSSLSPCGLARRRSASSAASISCCAAPPPPSAKGSQESRTPRRRVRKTEGATKSLEDSVKRKMEQFYEGLDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDFDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSSTPIFASSFTMELIKRRLKEFGIFLSSRLKVFRVRKRFQAGPFEVEPLRVTHSIPDCCGLVLRCADGIIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHISEAKGRVITTQFASNIHRIGSIKAAADLTGRKLVFVGMSLRTYLDAAFRDGKSPIDPSTLVKVEDMDAYAPNDLLVVTTGSQAEPRAALNLASFGGSHALKLSKEDVLLYSAKVIPGNESRVMKMLNRLTELGPKIVMGKDAGLHTSGHAYHDELEEVLQIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVALGKEDLRLMYSDGDKAFGTSTDLCIDERLRIASDGVIFVSMEIFRPQKELASSQSGLKGKFKITTRCLWLDNGRLLDALYKAAYAALSSCPVNCPLSHMERMVSEILRKMVRKYSGKRPDVIAVATENTTVSFVEDSETKSSGKFGSFSAPRHSSRSSGRSLEESDKSRPENTEGEAKENLPDVLRTTRDDATTSSNGEAFFSSDLHKPKTLEHFWDSFKSPTAVKIARIVNGSAQGSKSKIGKISIVGKDSSDPSSAPVKSSKKNKWKPEEIKSLIQMRGEMNEQFQTVKGRMVLWEEISSSMLSQGISRTPAQCKSLWTSLVQKYEESKKDEESVKTWPYFLDMDRVLSSQGEMATQ >ONIVA03G19910.1 pep chromosome:AWHD00000000:3:14942891:14943718:1 gene:ONIVA03G19910 transcript:ONIVA03G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDGRPSPRSRQLQGPRPPRLAVSKDSHKVRKPPVVPQPRGGGGVVAGPSRPQQQQQPRAPVIIYDASPKVIHTRPSEFMALVQRLTGPGSAAVAAVAAPVAAGFHAEASSSSSASASALPPQFQLPQEFMLSPTAALSPAARYAAIERSVRPLPPTTAHYYTADADDPILLDVDGDAAAFAAALGPARPSILSPVPSALPPAASSGLFSPLDQASLSWLSDLSPFLHSAGAAAAPPPPFAPSPRSLLLSTPTMPSPATFSVMEFFSSNFPDL >ONIVA03G19900.1 pep chromosome:AWHD00000000:3:14926784:14931006:1 gene:ONIVA03G19900 transcript:ONIVA03G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQEGEERNEDSGRGEQRERSGTRRVWLDGVLKEANKLITSLWLGLAGRVTDRLTNFNLGLTIQGGRPRLVVYNI >ONIVA03G19890.1 pep chromosome:AWHD00000000:3:14891293:14895280:1 gene:ONIVA03G19890 transcript:ONIVA03G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLTKPPSPRPRRRRCRLCGICLGTALLALLVSSFAHLFSPPQPQPQLQPPRPSPSSSPPRFAVIIDGGSTGSRAHVFATGPGGRPDLARSAVMRVTPGLSSFADEPARAGDSLRPLIDFAREKVGGAAAEVRLMATAGLRLQEGRLQEAILSSCRDVLRASGFRFEDSWAEVIPGSDEGIYAWVAANYALGTLGGDPHKTIGIIELGGASAQLTFVSDEVLPPELSRNFTFGGTTYTLYSNSFLNFGQNAAQESFREILRSKDSKNGTLVDPCAPKGYSRIKEVISRPSSASKSKLENQFADSGDGDFTVCRSSSLALLKKGNEECRYQQCQLGPTFVPELRGHFLATENFYFTSKVLNSTSSLFSLTPTHIIMCQFFGLKQSSSLSDFVLAGEQFCNKDLSTLRQMYPNRIEYSNQVGDIQVEWALGAFISNIKGVIVEPSATGRSAHRSRPLLAVLLGVFLLGGALCLARWRKPKTKIIYDLEKGRYIITRIS >ONIVA03G19890.2 pep chromosome:AWHD00000000:3:14891293:14895280:1 gene:ONIVA03G19890 transcript:ONIVA03G19890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLTKPPSPRPRRRRCRLCGICLGTALLALLVSSFAHLFSPPQPQPQLQPPRPSPSSSPPRFAVIIDGGSTGSRAHVFATGPGGRPDLARSAVMRVTPGLSSFADEPARAGDSLRPLIDFAREKVGGAAAEVRLMATAGLRLQEGRLQEAILSSCRDVLRASGFRFEDSWAEVIPGSDEGIYAWVAANYALGTLGGDPHKTIGIIELGGASAQLTFVSDEVLPPELSRNFTFGGTTYTLYSNSFLNFGQNAAQESFREILRSKDSKNGTLVDPCAPKGYSRIKEVISRPSSASKSKLENQFADSGDGDFTVCRSSSLALLKKGNEECRYQQCQLGPTFVPELRGHFLATENFYFTSKFFGLKQSSSLSDFVLAGEQFCNKDLSTLRQMYPNRIEYSNQVGDIQVEWALGAFISNIKGVIVEPSATGRSAHRSRPLLAVLLGVFLLGGALCLARWRKPKTKIIYDLEKGRYIITRIS >ONIVA03G19880.1 pep chromosome:AWHD00000000:3:14889502:14890855:1 gene:ONIVA03G19880 transcript:ONIVA03G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGGGGEGGGIAGKKRKAVGGGGGGGGGGGSGYGERAAAAVVMRREPRRGLGVAELERIRSVQLEAAQSLFMIPPSLLPSSSSSSSAAAVVATQLRPPPPPPLLPSHVAGVRYGHHQQQQQQQYAITCIKQQQQQQPSLFPTSDQSGCFRQELYRMQLQDYRRRRAQPQMELHGETTAAARRSQSIIPFVNLVDDDDDDDEAAAGDGAGKELDLELRL >ONIVA03G19870.1 pep chromosome:AWHD00000000:3:14886665:14888671:1 gene:ONIVA03G19870 transcript:ONIVA03G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGDKSILLRLLRSSLLLPYARWRRQIHSPEAATVVVAAPICPAMADPCAKYGEKRWKGEVTGGSASPVATDGSTYLESTVIVVVPLSRCFAPPHRRRGIMLTRLCKGRRWGRSNGRHERGSVGGRSAVASLGKGKGSVGGSVQAGQWRGEATRLPVERRRGVSRWQRCSGEAECGYRSRSREGGGTEGRRVIDREVRRRRSAWARGRGTEGGLRVSFGKSKT >ONIVA03G19860.1 pep chromosome:AWHD00000000:3:14879153:14883237:-1 gene:ONIVA03G19860 transcript:ONIVA03G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMLMSVMLVVEATYNSAVSVAARLVGWRPERRFKWEPLGGGAGAGDEEKGEAAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFVNDRVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKLFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVCLFAEFLRTWLHHEKSSKNTPKLSIIKEIFQEHSKIVNHQTPFALGCQEQQQPS >ONIVA03G19860.2 pep chromosome:AWHD00000000:3:14879509:14883237:-1 gene:ONIVA03G19860 transcript:ONIVA03G19860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMLMSVMLVVEATYNSAVSVAARLVGWRPERRFKWEPLGGGAGAGDEEKGEAAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYILYSFFLVRRVVAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPDHCCF >ONIVA03G19860.3 pep chromosome:AWHD00000000:3:14879509:14883237:-1 gene:ONIVA03G19860 transcript:ONIVA03G19860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMLMSVMLVVEATYNSAVSVAARLVGWRPERRFKWEPLGGGAGAGDEEKGEAAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFVNDRVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYILYSFFLVRRVVAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPDHCCF >ONIVA03G19860.4 pep chromosome:AWHD00000000:3:14879509:14883237:-1 gene:ONIVA03G19860 transcript:ONIVA03G19860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMLMSVMLVVEATYNSAVSVAARLVGWRPERRFKWEPLGGGAGAGDEEKGEAAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFGKTSSFDMSLRLPFAVLASGKVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGAHLDCSCFISQKEHGDSLLNTGTLLGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKLFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPDHCCF >ONIVA03G19860.5 pep chromosome:AWHD00000000:3:14879509:14883237:-1 gene:ONIVA03G19860 transcript:ONIVA03G19860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMLMSVMLVVEATYNSAVSVAARLVGWRPERRFKWEPLGGGAGAGDEEKGEAAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFGKTSSFDMSLRLPFAVLASGKVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGAHLDCSCFISQKEHGDSLLNTGTLLGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYILYSFFLVRRVVAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLISVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPDHCCF >ONIVA03G19860.6 pep chromosome:AWHD00000000:3:14879509:14883237:-1 gene:ONIVA03G19860 transcript:ONIVA03G19860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMLMSVMLVVEATYNSAVSVAARLVGWRPERRFKWEPLGGGAGAGDEEKGEAAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFGKTSSFDMSLRLPFAVLASGKVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYILYSFFLVRRVVAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLISVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPDHCCF >ONIVA03G19860.7 pep chromosome:AWHD00000000:3:14879509:14883237:-1 gene:ONIVA03G19860 transcript:ONIVA03G19860.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMLMSVMLVVEATYNSAVSVAARLVGWRPERRFKWEPLGGGAGAGDEEKGEAAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFGKTSSFDMSLRLPFAVLASGKVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGAHLDCSCFISQKEHGDSLLNTGTLLGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYILYSFFLVRRVVAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPDHCCF >ONIVA03G19850.1 pep chromosome:AWHD00000000:3:14878926:14885039:1 gene:ONIVA03G19850 transcript:ONIVA03G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGSSSDKAVAIDWDLGSTCALRLLAATQGNAPDWQEELWDHDRHRDDNIVENKGNSRGNNSPHQEEAIHITDISMHAHTPTCSAGATARDRAPTHASRHHAPPPLPGDVDDPSPTTGNVQIS >ONIVA03G19850.2 pep chromosome:AWHD00000000:3:14878926:14885039:1 gene:ONIVA03G19850 transcript:ONIVA03G19850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGSSSDKAVAIDWDLGSTCALRLLAATQGNAPDWQEELWDHDRHRDDNIVENKGNSRGNNSPHQEEAIHYRVQIS >ONIVA03G19840.1 pep chromosome:AWHD00000000:3:14878134:14878778:-1 gene:ONIVA03G19840 transcript:ONIVA03G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTNGPSSMVSIVTPVTDRDSGRTVAGVPPVLVGASVSSAPELRGRAYLVHHVFYEDGSASADGGGGVAPASRASIAALREVEEEDDDGEEASDCAICLDDGEESRETCGSGRRRKEMPCGHRFHGECVERWLGIHGSCPLCRHEMPPATAAEAEEEEVVVAMVHGERVVMRGRRVVLSVLVMGRAHDDGEGPEQRGTDPIPPLPRVLIDDVD >ONIVA03G19830.1 pep chromosome:AWHD00000000:3:14868530:14877410:1 gene:ONIVA03G19830 transcript:ONIVA03G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPPRSPSSGDPAEAADLAASAAAALASPARVWSSLLARLPSLSDYSRLLSVGRGRGRRRRRAALPLPIRPAAAHSARIAGQMPKAFDILQDVVQHTLSNLHDIQKSLIFWQSKAEGTSSQKLYFMIFERGPRAFVEAAWQTLTRLKSNGSPVPHLLHSASDMVSTKLAVLTSMQHCLAAFLAEVYFEVDKCRKGLTESSDKSLHTLFIVLNSVFSKLEVSFRNAVEGQTLLCTHDGKSPELIFERLPEVDVESSEWTEVLSANAITLIYQNLQKFDDFISDQFSNHKRPRNTTIYWLPYTCGTLGLSACSLWLLRHSSLMGSSDIDNWIQDAKESMVGFWDVHVGQPIISIRDELFETFKQRSKREMEKQEVQQTEESLRRMLLDFCGNTSNEKPPQDMSELAMMEIVMKRYEKEAMHPFKGLSSGKLTCALSIQIEKHKLALLEAMLELDQILRANEINFAILAALPAFGVSLLLLFAVRAWATHGRGAEGRGRTARRQRRLLLADVEKRLMEFQNCMANGMEEEACCKFGLTLYTLDRLYKAVESQARETGEWSSLREDMFDLAKPGVGMEDKLVLLSRLKGMYDCLLPSPSGVLPRL >ONIVA03G19830.2 pep chromosome:AWHD00000000:3:14868530:14877410:1 gene:ONIVA03G19830 transcript:ONIVA03G19830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPPRSPSSGDPAEAADLAASAAAALASPARVWSSLLARLPSLSDYSRLLSVGRGRGRRRRRAALPLPIRPAAAHSARIAGQMPKAFDILQDVVQHTLSNLHDIQKSLIFWQSKAEGTSSQKLYFMIFERGPRAFVEAAWQTLTRLKSNGSPVPHLLHSASDMVSTKLAVLTSMQHCLAAFLAEVYFEVDKCRKGLTESSDKSLHTLFIVLNSVFSKLEVSFRNAVEGQTLLCTHDGKSPELIFERLPEVDVESSEWTEVLSANAITLIYQNLQKFDDFISDQFSNHKRPRNTTIYWLPYTCGTLGLSACSLWLLRHSSLMGSSDIDNWIQDAKESMVGFWDVHVGQPIISIRDELFETFKQRSKREMEKQEVQQTEESLRRMLLDFCGNTSNEKPPQDMSELAMMEIVMKRAMLELDQILRANEINFAILAALPAFGVSLLLLFAVRAWATHGRGAEGRGRTARRQRRLLLADVEKRLMEFQNCMANGMEEEACCKFGLTLYTLDRLYKAVESQARETGEWSSLREDMFDLAKPGVGMEDKLVLLSRLKGMYDCLLPSPSGVLPRL >ONIVA03G19820.1 pep chromosome:AWHD00000000:3:14852763:14860036:1 gene:ONIVA03G19820 transcript:ONIVA03G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPPPPSPSSGDPIEAARHAAAAALAVPARLWGSLLARLPSLSDRRPRRRRRPALPLPFRTAAAHSARATGETPKAFGILEDIVQHTLCSLHGIQKSLLFWQSKAEGTNSQKMYFMIFERGPRAFVKATYQTLTRLRSNESPTQYILHSASDMVSTKLAVLTNMQHCLAAFLAEVYCEVDKFKEGLTENSDKSLHTLFAVLNTVFSKLEVSLQNVCEGHTLLFALDGSPSELLFERLPEIDYDNSEWTEASSTDAICLIYQNLQKLDNLVCSQLSRHKKPRHMTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWVQSAKESIAGFWDVHVEKPVGYFLFMSFYYLSYAFLNDASIISIRGELFETFKNRGKHVMDTQEVQLNEEVLRRILSSSSRYQQTSNEKLPQDISDQALMENFMERYEKEWTHPVKNLFGGELAYAMLIQLQKRTVDIKQAMLELDQILKGNAINFAILAALPAFGVSLLLLTVVQAWIMNDQGAEGRGRIARRQRRLLLLDAERKLMEFKNCMINGMEEEACCKFGLTLYNLDRLYRAVESHAEETGEWSRLRGDILDLAKPKMSMTDKLVVLSRLKGTYDCFP >ONIVA03G19820.2 pep chromosome:AWHD00000000:3:14852763:14860036:1 gene:ONIVA03G19820 transcript:ONIVA03G19820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPPPPSPSSGDPIEAARHAAAAALAVPARLWGSLLARLPSLSDRRPRRRRRPALPLPFRTAAAHSARATGETPKAFGILEDIVQHTLCSLHGIQKSLLFWQSKAEGTNSQKMYFMIFERGPRAFVKATYQTLTRLRSNESPTQYILHSASDMVSTKLAVLTNMQHCLAAFLAEVYCEVDKFKEGLTENSDKSLHTLFAVLNTVFSKLEVSLQNVCEGHTLLFALDGSPSELLFERLPEIDYDNSEWTEASSTDAICLIYQNLQKLDNLVCSQLSRHKKPRHMTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWVQSAKESIAGFWDVHVEKPIISIRGELFETFKNRGKHVMDTQEVQLNEEVLRRMMLEFCQQTSNEKLPQDISDQALMENFMERYEKEWTHPVKNLFGGELAYAMLIQLQKRTVDIKQAMLELDQILKGNAINFAILAALPAFGVSLLLLTVVQAWIMNDQGAEGRGRIARRQRRLLLLDAERKLMEFKNCMINGMEEEACCKFGLTLYNLDRLYRAVESHAEETGEWSRLRGDILDLAKPKMSMTDKLVVLSRLKGTYDCFP >ONIVA03G19820.3 pep chromosome:AWHD00000000:3:14852763:14860036:1 gene:ONIVA03G19820 transcript:ONIVA03G19820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPPPPSPSSGDPIEAARHAAAAALAVPARLWGSLLARLPSLSDRRPRRRRRPALPLPFRTAAAHSARATGETPKAFGILEDIVQHTLCSLHGIQKSLLFWQSKAEGTNSQKMYFMIFERGPRAFVKATYQTLTRLRSNESPTQYILHSASDMVSTKLAVLTNMQHCLAAFLAEVYCEVDKFKEGLTENSDKSLHTLFAVLNTVFSKLEVSLQNVCEGHTLLFALDGSPSELLFERLPEIDYDNSEWTEASSTDAICLIYQNLQKLDNLVCSQLSRHKKPRHMTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWVQSAKESIAGFWDVHVEKPIISIRGELFETFKNRGKHVMDTQEVQLNEEVLRRMMLEFCQQTSNEKLPQDISDQALMENFMERYEKEWTHPVKNLFGGEAMLELDQILKGNAINFAILAALPAFGVSLLLLTVVQAWIMNDQGAEGRGRIARRQRRLLLLDAERKLMEFKNCMINGMEEEACCKFGLTLYNLDRLYRAVESHAEETGEWSRLRGDILDLAKPKMSMTDKLVVLSRLKGTYDCFP >ONIVA03G19810.1 pep chromosome:AWHD00000000:3:14847682:14848777:1 gene:ONIVA03G19810 transcript:ONIVA03G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLPILLVLLSLSSSLSSSSAAAAGRWTDAHATFYGGADASGTMELIEVAAMAPPVVCRWRVRVREHVRAGVRHGHGGAERWCNPPLHHFDLSQPAFLRIARFQSGIVPVSYRRVACRRKGGMRFTINGHSYFNLVLVSNVGGAGDVHAVAVKAGGGGGGGGRKARWQAMARNWGQNWQSGALLDGQALSFTVTTGDRRSVVSYNVAPAGWAFGQTFTGRQFT >ONIVA03G19800.1 pep chromosome:AWHD00000000:3:14831183:14839195:1 gene:ONIVA03G19800 transcript:ONIVA03G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGQQFRYTQTPSKVLHLRNLPWECAEEELVELCNPFGRIVNTKCGVGANRNQAFVEFTSRKGFQKLYLPTNSIIVYTYVLFVINAAHYLCKAIPSLQTDINQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIVNNKSPGETAGNVLLVTIEGVQANDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPRYLLPEHVTSCCLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIDTLQPAVGADGRKVEAEGNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTASVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHSDKSRDYTIPQGAMQAVPQPPGVPTTSAGWQGNPQAGGAYAPPGAAAPNHGTTGQVPNWNPGNSGYAPAPGAYPGQMYSSPMQYGASGGFSAPAAPPQELHTSQQMPPPQYGNQPGPAGAPGTGQPHPYYR >ONIVA03G19800.2 pep chromosome:AWHD00000000:3:14831183:14836512:1 gene:ONIVA03G19800 transcript:ONIVA03G19800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGQQFRYTQTPSKVLHLRNLPWECAEEELVELCNPFGRIVNTKCGVGANRNQAFVEFTSRKGFQKLYLPTNSIIVYTYVLFVINAAHYLCKAIPSLQTDINQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIVNNKSPGETAGNVLLVTIEGVQANDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPRYLLPEHVTSCCLRISFSAHKDLNIKFQSHRSRDYTNPYLPVNSSAIDTLQPAVGADGRKVEAEGNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTASVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHSDKSRDYTIPQGAMQAVPQPPGVPTTSAGWQGNPQAGGAYAPPGAAAPNHGTTGQVPNWNPGNSGYAPAPGAYPGQMYSSPMQYGASGGFSAPAAPPQELHTSQQMPPPQYGNQPGPAGAPGTGQPHPYYR >ONIVA03G19790.1 pep chromosome:AWHD00000000:3:14825902:14829067:-1 gene:ONIVA03G19790 transcript:ONIVA03G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGHRNSHGKRHSDYAENGGGKRRNPGDDTYAPGPDDTVYRYLCPSRKIGSIIGRGGEIAKQMRADTQAKIRIGESVSGCDERVITIFSSSRETNTLVDAEDKVCPAQDALFRVHEKLSIDDDIGNEESDEGLAQVTVRLLVPSDQIGCIIGKGGHIIQGIRSDTGAHIRVLSNENLPACAISGDELLQISGDSTVVRKALLQVSSRLHDNPSRSQHLLASSMTQPYPVGSHLGSSSTAPVVGITPLISSYGGYKGDVAGDWPSIYQPRREESSAKEFSLRLLCAASNVGGVIGKGGGIIKQIRQESGAFIKVDSSNTEDDCIITVSAKEFFEDPVSPTINAAVHLQPRCSEKTDPESAIPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGDLDVVRHALLQITTRLKANFFEREGALSGFPPVIPYHPLPVGVSEGPKYLGRDTKPLGHDYPYSSGYRGSDDISPIDSYASYGSSQVSGGGYGAYGGYSGRSGSSGLSGPSSFSYGKRHGY >ONIVA03G19780.1 pep chromosome:AWHD00000000:3:14819341:14821069:-1 gene:ONIVA03G19780 transcript:ONIVA03G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTALSPHLLPLPSTSSNPASSSLSFLSKPLLPALAVAGWPRRRTSPFVPVAVAVSEEVETEEDEEEEEEGSGGEEFSDDLRVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSSVEEVEAAVEQFNGYILDGRSLRVNSGPPPPREQSSRRAPRGEANRVYVGNLSWGVDNAALANLFSGEGEVLEAKVIYDRESGRSRGFGFVTYGSAEEVENAVSNLDGADMDGRQIRVTVAESKPPRRQY >ONIVA03G19770.1 pep chromosome:AWHD00000000:3:14803941:14807136:-1 gene:ONIVA03G19770 transcript:ONIVA03G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSLPSPAFLAADPAAALPSATILRFPPNFVRQLSTKARRNCSNIGVAQIVAAAWSDPARPASLPGGGAGGRRGASSRAAATPAAAAAASAAAEATAEVGAIPNAKLGQPSAAALAEQALLGSDASLAVHAGNHDQSPLRSIAFPPLLRFFSDPFRAFDIPIEGERLGRRIATDAITTPVVNTSAYWFNNSQELIDFKEGRHASFEYGRYGNPTTEALEKKMSALEKAESTVFVASGMYASVAMLSALVPAGGHVVTTTDCYRKTRIYMETELPKRGITMTVIRPADMDALQNALDNNNVSLFFTETPTNPFLRCIDIDLVSKMCHSKGALLCIDSTFASPINQKALTLGADLVIHSATKYIAGHNDVIGGCISGRDELVSKVRIYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTAMRMAQFLEEHPKIARVYYPGLPSHPEHHIAKSQMTGFGGVVSFEVAGDFDATRRFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQREIYGIKDNLIRFSIGVEDFEDLKNDVVQALDKI >ONIVA03G19760.1 pep chromosome:AWHD00000000:3:14797131:14800295:-1 gene:ONIVA03G19760 transcript:ONIVA03G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLHHLLLLLFLAGFLSLSLSPAAALRFDLQSGHTKCISDDIKVGAMAVGKYHVVVPEGGGSTSSSSSSSSSAQPLLPESHRVSLRVTSPYGNSLHYSENVQSGNFAFTASEAGDYLACFWAPDHRPTATVGFEFDWRSGVSARDWTNVAKKGQMMEVELKKLEDTINSIHEEMFYLRAREEEMQELNRRTNSRMAWLGFFSLAICLSVAGLQLWHLKNFFERKKLL >ONIVA03G19750.1 pep chromosome:AWHD00000000:3:14789369:14792159:-1 gene:ONIVA03G19750 transcript:ONIVA03G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAHRLADASATAPPKNAAAVDGVAVAAPAAGGGHGRAPGNKLSMVPLIFLIFFEVAGGPYGAEPAVQSAGPLFALLGFLVFPFIWAVPESLVTAELATAMPGNGGFVLWADRAFGPFAGSLMGTWKYVSGAINGAAFPALCADYVARVAPAVSGGGARVAAIVAFNVAISVLNYTGLSIVGWTAVALGVASLSPFALMFGAALPKIRPRRWRATAADKDWKLFFNTLFWNLNYWDSASTMAGEVERPGRTFPRALLSAVAMTTLGYLLPLLAATGAIDAAPEDWGNGFFADAAGMIAGGWLKYWIEVGAVLSTIGLYSATLSSAAFQLLGMADLGLLPRAFALRAPVFDTPWVGILATAAITLAMSFTSFDTIVASANFLYSLGMLLEFAAFVRLRARLPAMPRPYAVPLRGLPAAAALCTVPSAFLVFVMAIAGWKVYAISAVFTAAGVAVYYLMDLCKARGWLTFSAAAADRGGSGGDAMMYRRQGSTASEVV >ONIVA03G19740.1 pep chromosome:AWHD00000000:3:14785319:14786078:1 gene:ONIVA03G19740 transcript:ONIVA03G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRTETNIAFSATVAGFGGEGGRRMQKKGHLHHHRHRCRLADLEEEKGEDECRERATSTTTIVAATTLGHRQRPWPPPPRGGLLVPPAAAILALGHRRGHLARREEGEEKDTLPEEKKEKRRTERDSAMLLSGKREWSESAKMRIKR >ONIVA03G19730.1 pep chromosome:AWHD00000000:3:14770446:14771714:1 gene:ONIVA03G19730 transcript:ONIVA03G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPNPQTLLSPIQSKNLACWVASGGSARQGGAAARASARWSRARAGPGGAAARASARWSRARAGAARWPRGAAAPSTRRRGGPAEWRFRRRGGPAGCKRREARQPAAPRLRSVGKERRGSVGVSARRLRRRGPAVLHAQETRQPAALRPRSSGEERRLRRRGPAVLHAQGDATAGGPAASELASSEQEVCLQRASKQRAEIIAEILSLIVCCYLL >ONIVA03G19720.1 pep chromosome:AWHD00000000:3:14751630:14758330:-1 gene:ONIVA03G19720 transcript:ONIVA03G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGATDAPPEAWENGYLADAAATKLVRNLKGPAISIIGGRWLKYWTEAGSVLSSVGLFEAQLSSGAFQLLGMAELGLLPSVFARRGPGRSATPWVAVAASAAVSVAVSFLGFDDVVATANLLYSLGALLEFAAFLRLRAREESPSSLKRPYRVPLPLPALAAMCLVPSAFLAYVVAVAGWRVSAVAAALTALGVGWHGAMRVCRSRKWLRFNTAVAADHRLQLQDAPPPPAGRV >ONIVA03G19720.2 pep chromosome:AWHD00000000:3:14751630:14758330:-1 gene:ONIVA03G19720 transcript:ONIVA03G19720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGATDAPPEAWENGYLADAAGIIGGRWLKYWTEAGSVLSSVGLFEAQLSSGAFQLLGMAELGLLPSVFARRGPGRSATPWVAVAASAAVSVAVSFLGFDDVVATANLLYSLGALLEFAAFLRLRAREESPSSLKRPYRVPLPLPALAAMCLVPSAFLAYVVAVAGWRVSAVAAALTALGVGWHGAMRVCRSRKWLRFNTAVAADHRLQLQDAPPPPAGRV >ONIVA03G19710.1 pep chromosome:AWHD00000000:3:14749457:14751154:-1 gene:ONIVA03G19710 transcript:ONIVA03G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVWPWLAGLAGEEPPPPQAVVALAAFADGTTSIVLQADHVALAEGDEEEKTLVDFSLALNSTNGGAARVLWTSGRFEAASGVALQRQLLARLLDEVITLSPSVSCLSGNLGLGVGGAPESKLDEEIVAGIGNDSAASFFSLTLLLRLFWLCATEAPADTGFLFFQALGADIQRALVDCRPALALFLASLGPDVEERFMRSLGYMLAKLCLLREMQADADQPAPAATRRPRALPAACLSYATEVHGLWVLRGYAPVLAMPRVTCAASTTAPITALPHEAPEEPALRYGLVHQQLEVVAQLEYAVRARRGERFMTVAVRVDNVRVRVARLGFRRDDADADADGGDAHDDAMDGERHFPSRLRLWVGPRFGASYATGPSLGRSTGNPEQDVETTRTVKGAFAAAGATKLANGSVPPRIKAKTRSSARARNRSWRWEQEAEGSAGVFEGVLCDPATGTEISAWRGDNNNNNGGAGDPRNGMRRRYGGPGRAFSKMRGLVVAGDELPEEVTWRVGREAEGRTLPWRVGLKAWLTYLPNQVRSRHFETRCVEWAHEVDLPLAAVNGDER >ONIVA03G19700.1 pep chromosome:AWHD00000000:3:14744289:14744558:1 gene:ONIVA03G19700 transcript:ONIVA03G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLGQSCNSGRSQSGEGGQMGNPPSAKDDAEAAARKMEDDFNAFTVSKADDLAKPLKDAGIPYKIHISAVCPSPSAALPLLTRGGGR >ONIVA03G19690.1 pep chromosome:AWHD00000000:3:14732343:14732621:-1 gene:ONIVA03G19690 transcript:ONIVA03G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIQQEAFVVAGLRGSNGEAEVVPHEAKAAGEEAVAKCMVEASSEEATSPEMEGPLGDIIVRLCEVEATEIEGAGVLPCVIGGRASLHVWA >ONIVA03G19680.1 pep chromosome:AWHD00000000:3:14723331:14727216:-1 gene:ONIVA03G19680 transcript:ONIVA03G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQIDGEVQDIFRALQNGFQKMDKIKDSSRQAKQLEDLTAKMKECKRLIKEFDRILKDEESNNPPEVHKQLNDRKQYMIKELNSYVTLRKTYQSSLGNNNKRVELFDMGAGSSEPAAEDNIQIASAMTNQQLMDAGREQMTQTDQAIDRSKMVVAQTIETGTQTASALSQQTEQMKRIGNELDTVHFSLKKASQLVKEIGRQVATDKCIMALLFLIVCGVIAIIVVKIVNPHNKNIRDIPGLAPPAQNFQISNRRLLSVEIIRDMKSVDSRV >ONIVA03G19670.1 pep chromosome:AWHD00000000:3:14721495:14723048:1 gene:ONIVA03G19670 transcript:ONIVA03G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G28690) TAIR;Acc:AT1G28690] MQQRNNADSHRCAPRAPGYLRTAAALAAVVQSLLDEPRPRPRPGSQTLHAQLLESGLRPTDDLSVKLLLLHLRCGSHHNARAVFDGMPAPTHAAHNYLAAGYSRLGLPEEALGIVRRLARCTGRLNVFVLSMALKLSAALALPRAVREVHARVVRLVVESDDVLFAALVDAYVKNASLRYARRVFDVMPVRTVVSSTALIVGCMNEGLYEDAEEIFNTMDEKDVVVYNAMVEGYSKTEETAESSMEVFKSMHRARFRPTVSTFVSVLGACSLLSSPEIGEQVHCQVIKSSLSSDIKAGSALLDMYSKCGRVDDGRRIFDRMAERNVITWTSMIDGYGKNGLSDEALQLFEQMLQRRRRRHDDAIRPNHATFLSALSACARAGLLSRGQEVFQSMEREHALRPRMEHYACMVDLLGRFGSVRRAHDFIRGMPARPSSDVWAALLGAATLHGDVETAGLAAREVFELSRAAGRQRPGAYMAMSNTLAAAGKWDGVRQVREMMRRRGVLKDAACSWVGSE >ONIVA03G19660.1 pep chromosome:AWHD00000000:3:14716932:14717637:-1 gene:ONIVA03G19660 transcript:ONIVA03G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMERDACRRNGRLDGVVALWTIQKKQNCGKNNEKAVKRKAPSPDSGSNEGNTSAEEQNNNSTGVKKGDKERKGKKKKKKKKKKKKKKKKKKKGNNPP >ONIVA03G19650.1 pep chromosome:AWHD00000000:3:14697442:14698331:1 gene:ONIVA03G19650 transcript:ONIVA03G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQLLGLFLVLAIMVAVVWGDPSGGCDQDRQDMIRECKKYEGWPAEPKIEPSEACCAVWQRANIPCLCAGVTKEKEKVWCMEKVVYVAKFCKKPFQPGYQCGSYTVPSSLGQ >ONIVA03G19640.1 pep chromosome:AWHD00000000:3:14686391:14688209:1 gene:ONIVA03G19640 transcript:ONIVA03G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNKLAASVVVVTFAVLMAAVTTTCQAAYGPPNPASCGLKVGYYYAKCPHAEEIVKNVVGAAILHNPGVGAGLIRMLFHDCFVEGQH >ONIVA03G19630.1 pep chromosome:AWHD00000000:3:14675414:14679264:1 gene:ONIVA03G19630 transcript:ONIVA03G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLPLVTCALLLLLAVACQAHPYWPLELAYYRDKCPQAEAVVKAVVGEAVRQNPGNGAAVIRMLFHDCFVELASMKWVYVIISF >ONIVA03G19620.1 pep chromosome:AWHD00000000:3:14660878:14662375:1 gene:ONIVA03G19620 transcript:ONIVA03G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIDVIAMELQGLEQEAAVQPPASAAFPSSAEEAAAAASPTSGGKRIVLRIRLPPAWTPEEDANGAVSGD >ONIVA03G19610.1 pep chromosome:AWHD00000000:3:14658669:14658902:1 gene:ONIVA03G19610 transcript:ONIVA03G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTIKLAVAVTCTLLLAAACSGLEVGYYKKSCPRVETIVREEVKKFVYKNAGIGAGLIRLLFHDCFVEVHTWLNL >ONIVA03G19600.1 pep chromosome:AWHD00000000:3:14652895:14653431:1 gene:ONIVA03G19600 transcript:ONIVA03G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARATVAANLSPPSSRPPDSSGAGSTTGVARFATPTPSPRHLHRHNHSHYCPSIPAAISPSSRTLSPSSSSDDTAQTARRGSPRCCRRELVATTVTNARPPGAGSAAGAARFTSPTPSPRRRRLLHRQIRLGGTDLAGSNAPEQVLLPCPSARTKPRRRPPSLLVLAGLRPSRSSSE >ONIVA03G19590.1 pep chromosome:AWHD00000000:3:14651025:14651822:1 gene:ONIVA03G19590 transcript:ONIVA03G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIDVIAMELQGLEQEAAVQPPASAAFPSSAEEAAAAASPTSGGKRIVLRIRLPPAWTPEEDACLARLAAENGFRHWRRVAESMAAARSGRRPRRSPGQCRDRWRDHLARDCRDRWRDHLARDVYHRPFTADDDAELARLLLKRDGDGERSWKDISRAAYCRTSRGMRRRWGELRDSDAFLRKLWCPPPPPMQPSC >ONIVA03G19580.1 pep chromosome:AWHD00000000:3:14649528:14650751:1 gene:ONIVA03G19580 transcript:ONIVA03G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVACALALASACHGLQLGYYKQSCPRVEAMVRDEVKKFVYKDAGIGAGLIRLVFHDCFVEYPSKTTMTMNCT >ONIVA03G19570.1 pep chromosome:AWHD00000000:3:14640221:14641518:-1 gene:ONIVA03G19570 transcript:ONIVA03G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGMKLAVAVACALALASACHGLQLGYYKQSCPRVEAIVRDEVKKFVYKDAGVGAGLIRLVFHDCFVEASVT >ONIVA03G19560.1 pep chromosome:AWHD00000000:3:14635997:14639756:1 gene:ONIVA03G19560 transcript:ONIVA03G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSGVTVHVTGFKKFHGVAENPTEKIVRNLESFMEKRGLPKGLTLGSCTVLETAGQGGLGPLYEVFESAIVDKEYGLNDQGQVILPIYCLIRKYEALLYSSFILKLHFGVNSGTTRFALENQAINEATFRCPDELGWKPQRAPIVSSDGSISNLRKTTVPVNEVNKSLQQMGFDVAPSDDAGRFVCNYVYYQSLRFAEQRGIKSLFVHFPLFTTISEEVQMNFVASLLEVLASQNYAQ >ONIVA03G19560.2 pep chromosome:AWHD00000000:3:14635525:14639756:1 gene:ONIVA03G19560 transcript:ONIVA03G19560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSGVTVHVTGFKKFHGVAENPTEKIVRNLESFMEKRGLPKGLTLGSCTVLETAGQGGLGPLYEVFESAIVDKEYGLNDQGQVILPIYCLIRKYEALLYSSFILKLHFGVNSGTTRFALENQAINEATFRCPDELGWKPQRAPIVSSDGSISNLRKTTVPVNEVNKSLQQMGFDVAPSDDAGRFVCNYVYYQSLRFAEQRGIKSLFVHFPLFTTISEEVQMNFVASLLEVLASQNYAQ >ONIVA03G19550.1 pep chromosome:AWHD00000000:3:14632572:14633422:1 gene:ONIVA03G19550 transcript:ONIVA03G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLASHRVTGHGATRLSCPTPATTTTTSSSNLCSFLNRVVSAWLWFYPVDAPWNAAFWSVVTSGELGKHPSMAGRGAEARCDYSEGRWVAPIVLAFLLLAARLVLPKNAAKEVAYSDLLTGLRAGAVTAFAFEEDSRRIYFRRATDDGGCGEDTDAGAGEPRRSASAARWPCYTRRVPHDEGFLLGLMQDGGVDYRSAPRPAGRLLVYILSTLLALWVERRCRASAAMTGEAAVRLKEADRLRAVREREKDKEEKKKMKKKIKG >ONIVA03G19540.1 pep chromosome:AWHD00000000:3:14628381:14636357:-1 gene:ONIVA03G19540 transcript:ONIVA03G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCLKKSKSPHSPSKSFQESTKPSKNPSSPGAWPPELSHLGAATTATAEAKQAPLRAPAAEEVDGTEEKRRPENRKRGEVRSIFIIIVKIYDLRASIITLKRFKTS >ONIVA03G19530.1 pep chromosome:AWHD00000000:3:14627057:14630473:1 gene:ONIVA03G19530 transcript:ONIVA03G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT5G37055) TAIR;Acc:AT5G37055] MGRSSRPAQVRTRSSPRPLRSRISTSLAIRRRRRRRSSLAVAGMEGEEEENVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALESDNAGPEVVDLNDDDEYGSADEEDHVLMQRKQSKNMKRKTRQGKALEKKAARSFMDVLQEANLESLPPHVPTYSRAAVGPPSTSSRRHYCSVCGSTANYTCVRCGTRFCSCRCQVKLEWQTAQACLNGP >ONIVA03G19530.2 pep chromosome:AWHD00000000:3:14627057:14631184:1 gene:ONIVA03G19530 transcript:ONIVA03G19530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT5G37055) TAIR;Acc:AT5G37055] MGRSSRPAQVRTRSSPRPLRSRISTSLAIRRRRRRRSSLAVAGMEGEEEENVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALESDNAGPEVVDLNDDDEYGSADEEDHVLMQRKQSKNMKRKTRQGKALEKKAARSFMDVLQEANLESLPPHVPTYSRAAVGPPSTSSRRHYCSVCGSTANYTCVRCGTRFCSCRCQVIHNDTRCLKFVA >ONIVA03G19520.1 pep chromosome:AWHD00000000:3:14625115:14626876:-1 gene:ONIVA03G19520 transcript:ONIVA03G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAAAPSSGPAGKEMATAGALPDDLLDEILLRLPARSILRCRAVCKAWRSRTSHPYFLRAHAARSRIIAAAVVYTAAFSLAQFRTTVSIRPLSDSDGDNDGDSSGAADPPRVVSSSSSATMWFVTCPFVLGSWDGVVCLVPRPSASFACTSVPPPATRGIVICGYAHPTTSRYHLLHADDAYPYNHTAAAATVRILRVGDDNNAWRRIVIRHPASVESRNYIRFGGAPPVILHGCLHWLVDSLSARPLLSVFDMEREEFRLMDAPEQWARHANPLDIKSVQIARRSGKLCAFVNEPSACALGMWTLEDYSVPSSWRLERRIDYSRHGAGSRNVARTFRNKFSAATTAVEVLPDGVNDVDGGGDSEEEIMFQFFNQFDMREAVYNVGRGAWRWRRILSPRRVMTHKECMLPREVSFGGAAHFVEESVICGYRCFCLRDRQYICEQIFS >ONIVA03G19510.1 pep chromosome:AWHD00000000:3:14617748:14619103:-1 gene:ONIVA03G19510 transcript:ONIVA03G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAPPPSSGAAGKEMATAEALPDDLLDEILLRLPARSILRCRAVCKAWRSRTSQPYFLRAHAARSRIIAAAVVDTTVIPDGEVCTTISIRPLGDGDGDSSGAAMSSSSSSFASVRFDSRPFVLGSWDGVVCLVPGATAGVVRPRSRIDRYVLVNPLTKACTSVPPPATRGIVISGYAHPTTSRYHLLHADDVYPYHHTAAATIRILQVGDNNAWRKIVISHPTGVESRSCIRFPGAPPVSLHGCLHWLVASSSSASASARPLLSVFDMEREEFRLMDAPEQWACHANPPSDMVSVQIARCSGKLCAFVNEPSASALGMWMLEDYSDPSSWWLERRIDYSRHGAGSLNVARTFRNQFSATTTAVEMLPDGVNDSDGGGGGEEIMFLFKKFYMREAVYNVGRAAWRWRRILPTTRRVMAHKECVLSREVNFGGAAHFVEESDIGGHRCFCI >ONIVA03G19500.1 pep chromosome:AWHD00000000:3:14613595:14614930:1 gene:ONIVA03G19500 transcript:ONIVA03G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDWEWRRGEKGPRGGAAGQPVCKAWLSRTSQPDFLRAHAAARSCTATVTATATVETRTTTPRGRSCTTVRIRQLGRKCSGAVAASLVVSFVSASEPVRSMTAIIGFWDGILCAAHILFGPGRGVERYVLCNPLTEACTIVPAPATDGFLVGGYAHPTTSRFHIMHANFFTTMETFWILRLGENSAWREVRRPALATTRVCIKFLSAPPVRLHGCLHWLASSASSAQFLVAVFNMEREELRLMEAPGGQGVRDDNLSHSHMMMGIHITHCHDKLCALAGEPGTNALGMWRKIDYYYSCGAAAGAALDDDPHAAAAQTFRARFSTADVVEVLPNGVDDDDEGEEILLQLSDEEVMYNVGRVAWRRLRILPLTTRRLMMHRHCILPREVSFGDASQVPWEKDIGGHCFYRIY >ONIVA03G19490.1 pep chromosome:AWHD00000000:3:14608505:14608786:-1 gene:ONIVA03G19490 transcript:ONIVA03G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAAATAETLPDDVVVDEIHRAPPSASNGSATKARRSSRLPHAPRPAAVSFVSLRSRSMISVVGFWDGVGLVTASHGRLRHGTGGVPADGDP >ONIVA03G19480.1 pep chromosome:AWHD00000000:3:14606202:14608501:-1 gene:ONIVA03G19480 transcript:ONIVA03G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGVQARELARRAIHITRHRGKLCALADEQLAAGAADAFGGVGARGLLRPKKQLAAPWKIDYSCGAAGVLGGPDAAVVEVLPDGIDGGEEILLQLGCEEVVYVQRSARGVAQPQDIAAGSWTPATGVYAGRIATRDFRMRIGDPSAPKDQKLIEDWSEKVLLKLQNLKPGNWLPAEGL >ONIVA03G19470.1 pep chromosome:AWHD00000000:3:14604217:14604978:-1 gene:ONIVA03G19470 transcript:ONIVA03G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFWILRLGENSVWREVRRPALTTTRVCIKFLRAPLVRLHGCLHWLASSASSAQFLVAVFNMEREKFWLMEAPGGQGVRDGNLSHSHTMMGIHITHWHGKLCALADEPGANALGMWVLDDYSDPTSWRLQRKIDYYYSCGAAGAALDDDPHAAAAQTFRARFSTAAVVEVLPNGVDRNDDEEGEEILLQLGDEEVVYNVGRAAWRRRGTLPLPTRRLMMHRHCILQREVSFGDASQVPWEKDIGSHCFYRIY >ONIVA03G19460.1 pep chromosome:AWHD00000000:3:14599650:14601378:1 gene:ONIVA03G19460 transcript:ONIVA03G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAPPPSSGAAGKEMATAEALPDDLLDEILLRLPARSILRCRAVCKAWRSRTSHPYFLRAHAARSRIIAAAVVYTAAFSLAQFRTTVSIRPLSDSDGDNDGDSSGAADPPRVVSSSSSATMWFVTCPFVLGSWDGVVCLVPRPSASFACTTVLAPETRGIVFCGYAHPTTSRYHLLHADDAYPYNHTAAAATVRILRVGDDNNAWRRIVIRHPASVESRNYIRFGGAPPVILHGCLHWLVDSLSARPLLSVFDMEREEFRLMDAPEQWARHANPLDIKSVQIARRSGKLCAFVNEPSACALGMWTLEDYSVPSSWRLERRIDYSRHGAGSRNVARTFRNKFSAATTAVEVLPDGVNDVDGGGDGEEEIMFQFFNQFDMREAVYNVGRGAWRWRRILSPRRVMTHKECMLPREVSFGGAAHFVEESDIGGHRCFCLW >ONIVA03G19450.1 pep chromosome:AWHD00000000:3:14595055:14599356:-1 gene:ONIVA03G19450 transcript:ONIVA03G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEEIVGPFHRISARTRRMATRMASALASSDNRTQTQRIAKEMWTLKVYFDGPIGQCP >ONIVA03G19440.1 pep chromosome:AWHD00000000:3:14588067:14595117:1 gene:ONIVA03G19440 transcript:ONIVA03G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELAALCTDPVVLSAAFLCLLLHLSLRSYRPPSPGGGRRLPPGPPGLPVLGALPLVGPAPHAGLASLARKYGPVMYLKMGTCGVVVASSPCAARSFLKALDARFANRPAVASAVDITYNYQNMVFANYGARWKLMRKLASVHLLGARALADWAAVRRDEARRLLRGVAEASAAGRPVVIPEVLVCALANIVGQITVSKRVFDVQGDESNSYKDMIVSLLTGAGLFNISDFVPALAWLDLQGVQAKLRRIHDQFDVLITKLLADHAATAADRARAGRTDFVDRLRAAVGVDDEDGETISEVNIKGLIFDMFTAGTDTSSIIVEWAMAEMMKNPAVMARAQEEMDRVVGRGRRLEESDIASLPYLQAVCKEAMRLHPSTPLSLPHFSFDECDVDGYRVPANTRLLINIYAIGRDPSAWEDPLEFRPERFMPGGAAERVDPLGNYFELIPFGAGRRICAGKLAGMVFVQYFLGTLLHSFDWRLPDGEDKVDMSETFGLALPKAVPLRALVTPRLAPAAYA >ONIVA03G19430.1 pep chromosome:AWHD00000000:3:14567166:14579152:1 gene:ONIVA03G19430 transcript:ONIVA03G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G54010) TAIR;Acc:AT3G54010] MAHADADAGDLPPPPAKKKKSPTEEEAEKRRKKLTPGSLMKGLIRSGGGDATPAEGDQVIVHCTTRTIDGIIVNSTRREHGGKGVPLRFVLGKSKMILGFAEGFPTMLMGEIAMFKMKPQIHYAEEDCPVTAPDGFPKDDELQFEIEMLDFFKAKIVAEDLGVVKKIIDEGKGWETPREPYEVTARITARTGDGKELLPSKEEPYFFTIGKSEVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYKGMLLDEPKSIFYDTRVDNHGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRLPANVPEGAHVQWEIELLGFEMPKDWTGFTFQEIMDDAEKIKTTGNRLFKEGKFELAKAKYEKVLREYNHVHPQDDDEGKIFANSRSSLHLNVAACYQKMGEYRKSIDTCNKGVYQRGWCMLVWGLSLDLFRTCHWMSLVLEANPVHVKALYRRGMSYMLLGDFDDAKKDFEKMIAVDKSSEPDATAALNKLKQTIQETEKKARKQFKGLFDKKPGEISEVGVGEPEGNKTDTTGSGEAASTADRDTDAKEAIPRADSGLAFEEERPGLLARIWPSASRIFSSLGLNRFKVVGVSTVDVQVKAYANAAWPAAAGIPVDLLVSSPYSAHISLLVLVMAISNNVTYQSLYYLRNLLI >ONIVA03G19430.2 pep chromosome:AWHD00000000:3:14567166:14579152:1 gene:ONIVA03G19430 transcript:ONIVA03G19430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G54010) TAIR;Acc:AT3G54010] MAHADADAGDLPPPPAKKKKSPTEEEAEKRRKKLTPGSLMKGLIRSGGGDATPAEGDQVIVHCTTRTIDGIIVNSTRREHGGKGVPLRFVLGKSKMILGFAEGFPTMLMGEIAMFKMKPQIHYAEEDCPVTAPDGFPKDDELQFEIEMLDFFKAKIVAEDLGVVKKIIDEGKGWETPREPYEVTARITARTGDGKELLPSKEEPYFFTIGKSEVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYKGMLLDEPKSIFYDTRVDNHGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRLPANVPEGAHVQWEIELLGFEMPKDWTGFTFQEIMDDAEKIKTTGNRLFKEGKFELAKAKYEKVLREYNHVHPQDDDEGKIFANSRSSLHLNVAACYQKMGEYRKSIDTCNKVLEANPVHVKALYRRGMSYMLLGDFDDAKKDFEKMIAVDKSSEPDATAALNKLKQTIQETEKKARKQFKGLFDKKPGEISEVGVGEPEGNKTDTTGSGEAASTADRDTDAKEAIPRADSGLAFEEERPGLLARIWPSASRIFSSLGLNRFKVVGVSTVDVQVKAYANAAWPAAAGIPVDLLVSSPYSAHISLLVLVMAISNNVTYQSLYYLRNLLI >ONIVA03G19430.3 pep chromosome:AWHD00000000:3:14567166:14579166:1 gene:ONIVA03G19430 transcript:ONIVA03G19430.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-type peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G54010) TAIR;Acc:AT3G54010] MAHADADAGDLPPPPAKKKKSPTEEEAEKRRKKLTPGSLMKGLIRSGGGDATPAEGDQVIVHCTTRTIDGIIVNSTRREHGGKGVPLRFVLGKSKMILGFAEGFPTMLMGEIAMFKMKPQIHYAEEDCPVTAPDGFPKDDELQFEIEMLDFFKAKIVAEDLGVVKKIIDEGKGWETPREPYEVTARITARTGDGKELLPSKEEPYFFTIGKSEVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYKGMLLDEPKSIFYDTRVDNHGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRLPANVPEGAHVQWEIELLGFEMPKDWTGFTFQEIMDDAEKIKTTGNRLFKEGKFELAKAKYEKVLREYNHVHPQDDDEGKIFANSRSSLHLNVAACYQKMGEYRKSIDTCNKVLEANPVHVKALYRRGMSYMLLGDFDDAKKDFEKMIAVDKSSEPDATAALNKLKQTIQETEKKARKQFKGLFDKKPGEISEVGVGEPEGNKTDTTGSGEAASTADRDTDAKEAIPRADSGLAFEEERPGLLARIWPSASRIFSSLGLNRCTIL >ONIVA03G19420.1 pep chromosome:AWHD00000000:3:14563672:14566570:-1 gene:ONIVA03G19420 transcript:ONIVA03G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT1G07130) TAIR;Acc:AT1G07130] MDSLHLVHVKLLAADLLSLASRHTSPPSFARCGRTVTRAEIVGVVVSRDRREKFLRFLVDDGTGCVPCVLWLNHHYLSAASSSSRASDSDPTAEMALRMSEVVSLGTLLRVRGRIVLYHGAIQIAVRDVVLEKDPNVEDQAELGEEKIWATALSVSKNWARYGKSLGPNEGTRGIYQYTLDLSFFPDCIARIYAST >ONIVA03G19410.1 pep chromosome:AWHD00000000:3:14548976:14552199:1 gene:ONIVA03G19410 transcript:ONIVA03G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVGLGLPFLPPFLRGKTAEDFWHGANFAVGGATALSRDFFKEKGFDVTNIPPYSLDVQMEWFKGLLDSLATTDKERMEIMSKSLFLMGDIGGNDYGYLFTKNRSFTKEIKPLVPKVTAKIENAIKVLINLGAKTIVVPGVFPVGCLPHYLAMFQSKSAPEDYDAFGCIMWLNDFSEYRNCALKRMLQQIPRNPTVTILYGDYSNNILEIIRHPVIHGFKRETVLVPCFMNGNLCPDPSIYISWDGLHLTEAAYKFVAHHFLHDPFVESSICPI >ONIVA03G19400.1 pep chromosome:AWHD00000000:3:14542832:14543654:-1 gene:ONIVA03G19400 transcript:ONIVA03G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNREKTNSSDEYNNCTLHDEWSLLGQEISTKSNFVATPRAFQNSMILTTSRTEDIPFDMDYATTNQIEDEDMEDNELENWNLDMFNLSNGQNVRFTKNFSLLKILHLKNVIQI >ONIVA03G19390.1 pep chromosome:AWHD00000000:3:14535591:14544060:1 gene:ONIVA03G19390 transcript:ONIVA03G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLYMLLLFLILCGTPHAATTTAGDGLGSGSFSRMFSFGDSATDTGNGATVNPSSSSNMLPYGETFFGHPTGHFSDGRITVDFLVMGHRKRSKVDISSESNSDNDCSLSSDNHDGDVHLSNHDESSDNHDQHFEENDPLLHLTKEELMKKLKIKLGKRPFTDDHKKKRHKVESDSIIDDALEKPLRKITNKGNDLMKHPSKTNFGMVQKSFSGASLARPIEICDMLFFPILHLRHWFLFVVDLKDESFVFIDSLFEEEEDYQYNARCRLISKFSIVWRKFVPEHPINFASFKIIYPPRPRQTNRLDCGIFTLKFMEIWRPRVLLTNQFSQKDIPNIRIQYVNKLFFHPCNIVLNSATKKLVTDYYAKLQ >ONIVA03G19380.1 pep chromosome:AWHD00000000:3:14519541:14521862:1 gene:ONIVA03G19380 transcript:ONIVA03G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTPTPSPSPSPSLDYWRGFFSGARASIFDAIDAAIRVAAADHPDALRARRDGIAERLYTALVVLPPPPPAARAPVPAVAAAEAATRADRRLLLPDAARGVPSLCSSDRAEAVVTDDDDGAAAAVAPRGDCHDSVAAEAFRVKAALSNAQEKTEAELLELLRALQQLEFTVDAIRVTEIGTAVKPLRKHGSKQIRQLVRSLIDGWKAVVNDWVNNGGAIVDHTPQSMDGSCLEQEEGGLPSPPMDEAAFFATPCTSIQLSEVLLCASDIRTNGEESAQQHYPANQEPAKKQLPMGQRYDPEQNWKLDQSAMRQSQPYEPSNWQKKQQSVTGARQRPSAAAHGPWTPQKMHLEPKFSEMRPKQQQDTSVAQRRPKPTMADQLSSQVDQNSVQVNAKLEATKRMLQEGYQEFNNDTKSSTSALIETYYFLNLDSRVTDVVNSTRIAAKKQRTIQVVDPQDLPKQRNRNLQPSCKPRNSSSNSLRNRLGIRR >ONIVA03G19370.1 pep chromosome:AWHD00000000:3:14517896:14518720:1 gene:ONIVA03G19370 transcript:ONIVA03G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRILRYPPFPPLLFLSPPFPLPLLSTKEFLCRHGDDRAAPKINLESKVIRNWEDKAQRVLLNLLLQRALSMVMKGQRDGEPSCSSPDCVQVSCLILVVSRLIHRYQTRYPVSRLVSGLVPGIWVSWLGVRPGTRRYQAWYLVSGYHGWYSHVSDLVLRYQG >ONIVA03G19360.1 pep chromosome:AWHD00000000:3:14371089:14378169:-1 gene:ONIVA03G19360 transcript:ONIVA03G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVTLDYGGKVQTRDYGGKAQTETLRPGPLRPANIIRAKFPTYKNGLNGIVVKLADGPEMPSLKETVTKETADMLDHRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKTVLESLRGRVTGKTKAELEDSISMVDILAVQLSKREAELLQQKAEVTELAKSLKLASEDAKKIVDEERASAHTEIESARSSVQRVQQALQEHEKMSRTTGKQDMEELKKEVREARRIKMLHYPSKAMDLENEIKILRKTFVERSTDCVNLLKELELHKRPEGNDIPLFDLEGLQCLGSILRIVSQSSTTMDFSNISIQWFRVHPKESNKEIISGATRSVYAPEPHDVGRYLEAEINYGGEIAIAKTAGPIDPDAGLVDYVETLVRKRETEFNVVVLQLNGIDQPKESVHVLNIGKLRMRLSKGKTVVAKEFYSSSMQLCGVRGGGEAASQAMFWRPRNDLSMVLAFETTRERNTAIMLTRRFAIDCNIILAGPGDKTPW >ONIVA03G19350.1 pep chromosome:AWHD00000000:3:14364371:14366786:1 gene:ONIVA03G19350 transcript:ONIVA03G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G61590) TAIR;Acc:AT1G61590] MPPRQWRPMLASATKCCAAEDAVVAVDGSGGGGGLARCRPARSEFSRRLASFRKLSSMTNSPASSVAGAAEGGKDDGEEGGGGGGGVSGPLQLYSFSFSELRSITHDFSSSYLLGEGGFGAVHKGFVDAGMRPGLLPQPVAVKQLDIAGLQGHREWLLKSMSSMADMACTVQAEVIFLGQFRHPHLVKLLGYCCEDEERLLVYEFMPRGSLENHLFKSTHLAIASSGHISVSAPPPPPPPAQTDRFDPCPYCAGISATVPWGTRLKIAIGAAKGLAFLHGASTPVIYRDFKASNILLDSEFTAKLSDFGLAKMGPEGSETHVTTRVMGTHGYAAPEYVMTGHLNIKSDVYSYGVVLLELLTGRRAMEHVRGRSLHADQVVKIVDWTRPYLGSSRRLRCIMDPRLAGHYSVKAARAVAHLAVQCTSPQPRDRPRMAAVVDALERLQGFKDMAVTVGLWPTNAPVAGRNAISAKIRAEVRGAGSGGGAASRRRSASAKLP >ONIVA03G19340.1 pep chromosome:AWHD00000000:3:14362893:14363545:-1 gene:ONIVA03G19340 transcript:ONIVA03G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLPILVALSGHLLRVIVAELAIQATPTPPQHEHRKNNHRRRGEPDLEPDTGVDRVRRRSSRPDLEAGQPNLATPEPEPRRAPPPCRRRVLAVPPPSSPHPRRGEMEPREMAPPPPSQRVAQLCRRRAMATAKQGGGRRRGGGG >ONIVA03G19330.1 pep chromosome:AWHD00000000:3:14357210:14357731:1 gene:ONIVA03G19330 transcript:ONIVA03G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENGAGRREKLDDGGRGPTWLPTAGSARAVQLGLYCNGNAVFTYAGEGWGFCLVTLAKLLSSNLKATPAKLLKSRCVQVSPTPSSASPPRRSTRGSAASCSRPTPSTPRCGRIVLNAYALLPTLATIKLLDSCKPSPHPSPVIAVGLLPTAAAQRPPHSSLSVTGHRTPFP >ONIVA03G19320.1 pep chromosome:AWHD00000000:3:14354329:14356976:-1 gene:ONIVA03G19320 transcript:ONIVA03G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCIWPIGLGSRALHGPAPHHDRPPAPQSIASPRPPPSTTPVSSPAAGADLHPASRGGAGVLTIAHRKRLMQAMYIRVKRNKTTYFIQCDPTETTLSIKQKLHSLVDQPPGNQQLILLATTEVVLDDSKTLADQKVENDAVVALTLRKDDNVFEEVSIARPEDFMSSS >ONIVA03G19320.2 pep chromosome:AWHD00000000:3:14353762:14355202:-1 gene:ONIVA03G19320 transcript:ONIVA03G19320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRVKRNKTTYFIQCDPTETTLSIKQKLHSLVDQPPGNQQLILLATTEVVLDDSKTLADQKVENDAVVALTLRKAIMKGEPGNRVNEDIT >ONIVA03G19310.1 pep chromosome:AWHD00000000:3:14346399:14349834:-1 gene:ONIVA03G19310 transcript:ONIVA03G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GMQ5] MSQVRSSPVLGPRELSSRFGIVTELSFSNPSVYALKSPKGPLFPLRSILVFLIALFGFYVCYFSFNQIDLENKENLISGEEQIRTLCRRHTIPNELMQYVHFPKPTSYSRGECACTPVRFFVIISMQRSGSGWFETLLNSHPNVSSNGEIFSIRERREDISSILRTLDKLYNLDWHTSAAKNECTAAFGLKWMLNQGIMEHYHDIVNYLNKKGVMVIFLFRRNTLRRIISVLANDYDRKTKQLNGTHKAHVHSREEADILARFKPKLDVPTLIPNIRSAEQSITTCLDHFSSTRHMILYYEDVIRDQNALSRVQEFLGVPAMRLSSRHVKIHTSPLPDLVDNWEEVSENLNGTEYARFVDGADYDK >ONIVA03G19300.1 pep chromosome:AWHD00000000:3:14341290:14347067:1 gene:ONIVA03G19300 transcript:ONIVA03G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT3G04970) TAIR;Acc:AT3G04970] MDVPWLLVAHGSVTALVVVSFLCGQWPIFEGTFVQRINHFLTFGAYHHLLRLVHAACGNGARDLVLGVESYCCDRPNPILQIFYVAIIGVTYFIIVQTSFQYIPGYYVSGLHRYLSIVAVAIGALLFVLTSFSDPGTVTAENVSQYLSAYPYDGIIFEEKECSTCKITRPARAKHCRICDKCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLICLYGAVILGFILAGELKERKVVYILTVYYGIDNSFSGLFPHVAQWLLAVHNTQILLAVFLAIIALLLGGFCAYHTHLCLTNTTTNETFKWQDYIMWRKKVNEEKAAANGEVRKSPPSKWKVFFSRSHTEADETIVKNNIYDRGMIRNMCEVFVPLSERQSFSRKKSD >ONIVA03G19290.1 pep chromosome:AWHD00000000:3:14334094:14338950:1 gene:ONIVA03G19290 transcript:ONIVA03G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SC35-like splicing factor 28 [Source:Projected from Arabidopsis thaliana (AT5G18810) TAIR;Acc:AT5G18810] MAGYRSRSRSYSPQPRRRYSRSPPRYKRYDDPRDRYPRGGGGGGGGGEGPRRGYGRPPAPTGLLVRNISLTARPEDIRIPFEQFGPVKDVYLPRNFHTRELRGFGFVKFRYPEDAAVAKQELNHQVIGGREISIVFAEENRKTPQEMRMRTRTSGRYMDGSHRRRSVSRSPRSRYHWITVMIIHLGNHSLHMVRTSGTTDQMVDLLAQMSSSVMYLHPIMDMVLQLMGKADKDLAPRRGKRLKFGVLGSRVGSWN >ONIVA03G19280.1 pep chromosome:AWHD00000000:3:14332223:14333917:-1 gene:ONIVA03G19280 transcript:ONIVA03G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPVRHSVQRLCSTSTSAAAAAADANNPPPATFLAAAATAAANILGAARYESRLLSLLPGDLLFHPACVRLTLSHLLPSPEPSLRFLRFLSSHLPAAPDAAPAEPEHEHEHEPPLLPGVDGFLIQLWPPDAADAAEVLASRLGIHPSLRALNFAMRSALRAARPDLVFRLFSAFSSSPDFPGDAATVAFLVRACSAEGRPLDGLRLLRDGARRGVPPQLDAVADLVAAFSAAANFGKVSETLHLMIAAGSVPDTVIYQRIIHGLFAHKMGSEALRVFNEIKLRGYNVDAVTYTTAIDGLCKMGCIDEARQIWNEMVDKGMEPNEYAYCSLVAYYCKAGDFEMARKVYDEMLGKGLKESTVSCNILVTGFCTHGRVDEALGMFEEMVKKGIEHDVITYNILIQGLCKAGRLSEAIQVYEQLLSSGLEPSVSTFTPLIDTMCEEGQVDAAVELLKVMHAKGLEPLARINDSIINGFCKARRPEDGMAWLAGMLKKNLKPREHTFNSLVELLSSSGRVDDALLVLNTMFKIGHELGSLACTMLVEQLCTGKLCYSHELENILVANK >ONIVA03G19270.1 pep chromosome:AWHD00000000:3:14323603:14327957:-1 gene:ONIVA03G19270 transcript:ONIVA03G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRAAEDVEGAVVAGAAGGGGGGGGGEVTAPLLLRQHKQGRGDEEKIQDDAGGDGGGRRGGGGGGSMSMLMLSTAVAVCGSFEFGTCVGYSAPTQSGIVDEVGLSISQFALFGSVLTIGAMIGAVTSGRLADFLGRKMTMRISATICIFGWLSLHLAKGVIMLYFGRILLGFSTGILSYVVPVFIAEIAPKNLRGGLATSNQLLICSGSSATYIIGALVAWRNLVLVGIVPCVLLLTGLLFIPESPRWLANVGREKEFHASLQMLRGEDADVSEEAVEIKEYIESLHRFPKARVQDLFLRKNIYAVTVGVGLMIFQQLGGINGVGFYASSIFTSAGFSGKLGTILIGIIQIPITLFGAILMDKSGRRVLLMVSASGTFLGCFLTGISFYLKAQGLFSEWVPELALTGILVYIGAYSIGMGPVPWVVMSEIFSIDMKAIGGSLVTLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLITILFVVMVVPETKGRTLEEIQDSLIDSRSRLRDP >ONIVA03G19270.2 pep chromosome:AWHD00000000:3:14315812:14327957:-1 gene:ONIVA03G19270 transcript:ONIVA03G19270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRAAEDVEGAVVAGAAGGGGGGGGGEVTAPLLLRQHKQGRGDEEKIQDDAGGDGGGRRGGGGGGSMSMLMLSTAVAVCGSFEFGTCVGYSAPAQAGIVNDFGLSNSEYGVFGSVLTIGAMIGALTSGRLADSLGRKTTMGLAAIIGIVGWFTIYFANGATMLYLGRVLLGYCTGVLSYVVPVFISEIAPKDLRGGLASSNQLFICSGCSAAYIIGALLSWRSLVLVGLVPCAFLLVGLLFIPESPRWLVSASGTFLGCFLTGLSFYFKAQGVYAQLVPTLALYGISVYYAAYSVGMGPVPWVIMSEIFSIEIKAIAGSLVTLVSWIGSFAISYSFNFLMDWNSAGTFFLFSAASLVTVLFVAREGTRRDPRIVHMTARGGFVCRTLVILSNYLYQCVHITIYILTSVFCWHFNSFFCFSWCVTVLCLRLLIYWSSARM >ONIVA03G19270.3 pep chromosome:AWHD00000000:3:14316005:14323413:-1 gene:ONIVA03G19270 transcript:ONIVA03G19270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTMKKGKIYHYPHSNSANTQLYRNHRRPARRVHRAASASAAARRRYACCALRPPAAARGGAAAAQGQAGAAPHGGAGEGSLWMVFLATAVAVCGSFEFGTCVGYSAPAQAGIVNDFGLSNSEYGVFGSVLTIGAMIGALTSGRLADSLGRKTTMGLAAIIGIVGWFTIYFANGATMLYLGRVLLGYCTGVLSYVVPVFISEIAPKDLRGGLASSNQLFICSGCSAAYIIGALLSWRSLVLVGLVPCAFLLVGLLFIPESPRWLVSASGTFLGCFLTGLSFYFKAQGVYAQLVPTLALYGISIFSIEIKAIAGSLVTLVSWIGSFAISYSFNFLMDWNSAGTFFLFSAASLVTVLFVARLVPETKGKALEEIQESFT >ONIVA03G19260.1 pep chromosome:AWHD00000000:3:14308015:14316709:1 gene:ONIVA03G19260 transcript:ONIVA03G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGAGGASGGGGESQPPKTLVDWALEILGTADPDEKARLGDLAATEWLRGAIPLPYDPSRPARPPPDRPARSAAVRLLPPSRAPKLGKGGSAQSRLALLHSLAHTESWAVDLSWDIVARFGAPLRMPREFFDDFARVAQDEGRHFAVLSARLRELGSHYGALPAHDGLWDSATRTSHSLLARLAVEHCVHEARGLDVLPTTISRFRTGGDEQTAKLLEDVIYPEEITHCAAGVRWFRYLCLRSRNGDPTASSIPQAITQCSELPRDGTGDIHKVEEVEGDGPKAELAQASNGDDKTVQQVEDELAKCKLVDIGDDVEAAVIRTFHSVVREYFRGPLKPPFNTEARKAAGFEPAWRKTKQARGRAHHLRLCDNRGPLQHGRSLSTEAILAVQSLKRITSFDRSPAAAAPSTSLGRLKSDLLAAMAELRRQGYWFLALSPLHVARIEPWYYPNPTLYATFVSSSPVTEDGTAAAVDVLVEAFLKKKERGGGFVEGEEDVYKLMRLVRMLVAKERACAAWRGYKAEVRMGGCEVHEYIYRVMARGMKWLGFEAEEAKVEGDFREWEARILPPAKDVLDEMRPQLRGGETKKKKKKPDARTAAEAAQGLQRHEVERRKKPPPPKQEKAKRVVRWKCAAGCGACCKLDKGPDFPSPEEIFAEHPEDLKLYKSMIGADGWCINYDKSTRTCNIYEERPVFCRVEPKVFEEYFGVPSRPSTFDREACSACVDTIKMVYGEESAELTNFKRVIREESKFRRHQWPIM >ONIVA03G19250.1 pep chromosome:AWHD00000000:3:14304372:14307000:1 gene:ONIVA03G19250 transcript:ONIVA03G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGHDVPPSIKVDIGECHGGGIGNGDGGSASLFEPRPEETPVSRRNDRGGGGGGRESPEKRLTLFALRLAVLEKAASGLGKLDFVWATVVLLGGFASTLSTTDFWCVTVILVGSGARVFGRSHELEWQHRSTLTSSTAGGALRSTSCRLLRRLGHSPAANPTDDGGARGSASATQFHRQIVACTKRRALHGPDVALLPYTGRLFVCKNIGRLFSWLQVLSALACVALSVMRLWRHDFGDQPNKRPALLLFYTLAFIEALVFLLEQAYWTWMFSGQNLLETVNGDCKLGECGQFSLPRFFFDAYSRCITGSILDGINMDLVTFAEELILSEFPDEQLIGVRILQRFTSTLDTVRKVGTSARSIERLVEMINWKSPEEEAHRLWAAEVLSKLADKRQNALRVSGIPGAIESVMSLLYTDESAPDSAAPHDVSPAARSYDHQQFKLLGLLILKRIARDHDNCGKIGNTRGLLSKIIELTDASPELLHNTRAPESPVRIVRRALKVVKILVSATSSTGKMLRQEVADNVFTVSNLRGVLQHGQQHTALQKLATEILSHLAMDAKGKQVIVGTGGVVKLLLSIFVNGEKELGAEAGEALAMLALESQASCAAILKQDDVLDHLMSALEGDGGPRRLNAARVLRNLCAYAGEKHRRRLSTVTKAMPMVLKATMTGSERTLEVFVGLTVQICKFIDGVRFAGELRGAGIDERSYVERLASILREHRYPDITVPRMRRFVVQQAIWLMTSSSAAAAAAAGGADYVSLLREAGMERLLESIADTTSELECYHAFSGSVGISKHRESFSAAVDAALELLGGDGARAEA >ONIVA03G19240.1 pep chromosome:AWHD00000000:3:14280350:14284201:1 gene:ONIVA03G19240 transcript:ONIVA03G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRKGVAGESNDDGGSTKRGRDATPSIQVEIAEYHGGGGGGGGGLGNGGGGSASFFEPWREATPGSGSGHGSSGRGGGGREPPEKRLTLFALRLAVLEKAASGLGMLDFMWATVVLLGGFASALRITDFWCVTVILVGEGARVFGRSHELEWQHHSTLTSTAGSALRSSSRLFRRLVHALADPAAATVTGGARGQDARDRAAQFQRQIVAFMKQRAWHAPDVSLLPYTGWVFVSKKIGRLLNWLQVLSAFTCVALSVMRLWRHDFGDEPNKRPALLLFYTLALIEALLFLLEKAYWTWKISVRKLLEQVSRECELGAYGHVSLTRFFYDAYSRCINGSIFDGIKMDLVTFAEELILSEFLDEQLIGVRILQQFADAKGSTRDTLRKVGTSARSIERLVEMLNWKRPEEEEVRWCAAQVVSKLAGKRQNALRVSGIPGAIESVMSLLYAGWSAPASAAPHDVSPAARRSYDHPQFNLLGLLILKRLARDHDNCGKIGNTRGLLAKIIEFTHASPALLRNTLAPESQVRAVSLALKVVKILVSTTGSTGKMLRQEVAENVFTVSSLRVVLQHGQQHRALQKLATDILSGLAMDDKGKQVIVGTGGVVKQLLSIFVDAETELGGEAGEALAMLALESEASCAAILKRDDVLDHLVSALEGDGGARRLNAARVLRNLCAYAGEKHRPRLSAVIKAMPVVLKATMTGSDKILEVSVGLTTQICKFIDGDRFADELRGAGIDERAYVERLASILREHRYPVIRVPRMRRFVVQQVIWLMTSSCSAAAGGGGGGGADYVSLLREAGMERLLESIADTTSELECYHVFSGSVGISKHRESFSAAVDAALELLGGDGARAE >ONIVA03G19230.1 pep chromosome:AWHD00000000:3:14259736:14263938:-1 gene:ONIVA03G19230 transcript:ONIVA03G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMDFNYSCICFQRSEKWSREQVDHLKRQVHCKLLKATSMAYTVMLVDMLERLHIDDHFRDEITTALQHVLLHHEEQNSVVTVDQLHLESLRFRLLRQHGFWVSADVFDKFKDNTGCFKESLSTDARGLLSLYNAAHLAMPGETALDNAIAFSRRSLQSLQGKLRSPMAEQVSRALDIPLPRTPKLLETMRYITEYEQEEAHDSVVLDLVRLDFELIRSLYLKELKTLSLWDKSAVCILPEYLRLFYIKMLNNFDEMKDSLEPDEKYRMSYVKTSLKQLSEYFLREAQWSSDKHMPSFAEHLDVSCMSSGYPTMALVAVLLCVRDGDGVAASMEASEWTPSLVRAGGEVTRFLNDVASYKTGKSGKDGASTIECYMAERGVGGEEAVAAVAALVESAWRTINRACVEMDPNLLPAARLLVNLATTPEVIYFGGRDGYTVGADLKGLVTALFLDPLPVNQPQQS >ONIVA03G19220.1 pep chromosome:AWHD00000000:3:14253591:14256243:-1 gene:ONIVA03G19220 transcript:ONIVA03G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVASPSCSTRARRRHRSLAVLLHSYTSSSSQSGPPPAIVAEPPLPEVRRSSPPDPATQVSSPADPVARRELSPPSSSISSPPCQAQTLSLAPLHVRNEVKEEVVAQADMYGKKSSMHYGKEACWDQSDISAVSSDKHNVFAFWDPYEG >ONIVA03G19210.1 pep chromosome:AWHD00000000:3:14247754:14253206:1 gene:ONIVA03G19210 transcript:ONIVA03G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVNGGTGTPQQTASATAAAQTFAPSRSEEWTRGRAEGLKVQVRSKLLKAKSGSSAADMVMLVDTLERLGIDNHFRHEIAAMLHRVHREQQGCTAGSDDDDDLHITSLHKGSFRASLSSDTRGLLSLYNAAHMAMPGEEALDDAIAFARHHLRSIQGKLRSPMAEQVSRALDIPLPRAPRRLETVRYIAEYEHEPAFDGVALELAKLDFELVRSLHLRELKALTLWWKDMYNSVKLSYARNRIVETYFWTCGIYHEEEYSRARIIFTKVFGLMSLMNDTYDAHATLEECHKLNKAIQRWDKSAVSILPEYLHVFYIKLLNNFDELEDCLEPTEKYRMSYAKTGYRQLSEYYLREAQWSSDKYTPSFAEHLDLSAMSSGFPQLAPVVLLGVRDGDGAATAEAFGWAAAVPALVRASAELARFLNDTASYKTGKSGRDMASTVECYMAERGVGGEEAVAAVAAMAESAWRTINRECVEMDRALLPAARLVVNLTRMLEVIYLGGRDGYTAGADIKDLLLVNLTSTMEVVYLGGKDGYTFGSGLKGVIADLLVDPVHED >ONIVA03G19200.1 pep chromosome:AWHD00000000:3:14242674:14245566:-1 gene:ONIVA03G19200 transcript:ONIVA03G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Rhodanese-like (InterPro:IPR001763), Serine hydrolase (InterPro:IPR005645); BEST Arabidopsis thaliana protein match is: Rhodanese/Cell cycle control phosphatase superfamily protein (TAIR:AT2G40760.1); Has 5925 Blast hits /.../2 proteins in 1592 species: Archae - 0; Bacteria - 2946; Metazoa - 156; Fungi - 408; Plants - 229; Viruses - 0; Other Eukaryotes - 2186 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G09280) TAIR;Acc:AT1G09280] MDAAAPPPRGQEGQGDRSGGDEGGRYGVLLYYKYAEVPDAAALAAFYESHCRALALVGRVRVGPDGVNATLGGRMAALEKHVAEMSSNALFDGTDFKLASCDDPVDERVARECGFTSLSVRLVKELVTLCANPSLATPQITCAGRHLSAAEFHSVLQSVGATSDSEATVEKSEVVVLDARNVYETRIGKFRVPNVETLDPEIRQYSDLPLWIDEHAEKLRGKSIMMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLERFPDGGYFEGKNFVFDHRISVGSLKENILGTCLLCGSSFDDYSPRCRCSHCRMLVLVCSTCQDSTKDYVCELCQKNGKQCCQTSPRQGCKTESELIDSSDFGIPMIINQSATSTIPRSNGSEQLKKLKILCLHGFRQNASNFKGRTSALAKKLKHIADLVFIDAPHELSFVYKPNPDHCSGRSSLPSGTPKRKYAWLVAPNSIFYAEHDWKIADAPFDPLQYQQQTDGFEESYAYLEHAISQMGNIDGILGFSQGAAMAALFCRQQQKTCGSLKFRFGIFCSGYPAPIISDFDGEPIKLPSLHCFGNSEDHDRQIANRASTELANRFDKSCRSVIEHDMGHIIPTRPPFIDKIKEFLSNFI >ONIVA03G19190.1 pep chromosome:AWHD00000000:3:14236565:14241476:-1 gene:ONIVA03G19190 transcript:ONIVA03G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT1G09300) TAIR;Acc:AT1G09300] MATAVRLLRRSLRGGEAAPRFLSASQNLVRLVSNNTRSVDVGQPTPRSHPELLAEGEITPGITSDEYIFRRKKLLEVLPEKSLAIIASAEQQMMTDVVPYSFRQNGDYLYITGCAQPGGVAVLSEETGLCMFMPDTSKEDVVWQGQTAGVEAAENFFKADKAFPLSEMQKILPEMIERSKVVYHNVKTLSPSYKNLDSFRRASLNNKVKDIAYYTDELRWIKSKSEIGLMRESASIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVIHYSRNDGRVKAGELLLMDVGCEYHGYLSDLTRTWPPCGRFSPAQEELYSLILETNKECIKLCKPGASLNEIHNHSVKMLIKGFQELGILEKGKSIQYNYLNPTAIGHSLGMDIHDSVKLSKDKLLEPGVIITIEPGVYIPPVPILKENAPDRYRGIGIRIEDEVLITESGHEVLTASVPKEISHITTLMNMGSNSMMDAHELRAACS >ONIVA03G19180.1 pep chromosome:AWHD00000000:3:14231567:14232178:-1 gene:ONIVA03G19180 transcript:ONIVA03G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIPDDVRAKAEVYVGDEAGQEKTRLLLEETGLPSGLLPLRDIIECGYVEETGFVWLKQRRKVDHFFAKAGRHVSYAAEVSAVAEKGRLRKITGVKAKELLIWVTLHEIAVDDPPTGKLTCKAIGGLSRSFPVDAFEAPPPPPKNPSPAAGDTTKVDEEKKKEEEVAGDAAAAAIDEIEGKMKEMNSKEVQVQAEGVAAKN >ONIVA03G19170.1 pep chromosome:AWHD00000000:3:14225524:14228584:1 gene:ONIVA03G19170 transcript:ONIVA03G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GMN9] MEIITPSSSSNNNSPVLATFLVVLVVLLASLRPASSQNQQSTFTINPGGAAAAAVRPGGGKGGGGGGGGPGSFSDFVTQNVQHYVLSEQKYAGKVKALDAELSAAEAGAARYVVSGDGKGKFRTITDAIKAVPEYNKKRVILDIRPGTYKEKLLIPFTKPFITFVGNPRSPPTIMWDDRAATHGKDGQPMGTMLSATVAVEADYFMASGIIFKNHAPMAAPGAHGGQAVALRVFGSKVAMYNCTIDGGQDTLYDHKGLHYFKNCLIRGSVDFIFGFGRSLYAEVAVVTAQQRSKNIAEAIDTGFSFLRCKISGIGQIYLGRAWGDSSRVVYSYTTMGKEVVPIGWDGWEVQKPEHGPGALPSKRIGWSLVLSDIQAKPFTGSHFVYGDSWILPPPKSM >ONIVA03G19150.1 pep chromosome:AWHD00000000:3:14203561:14206745:-1 gene:ONIVA03G19150 transcript:ONIVA03G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAVTAGGRRPPCRRVPPAASPPARLSCRCATHTVPRRNVLSTMLSTSTVFLFGPKQITLAETTGGTFREYIDTFDGYSFLYPKSWIQVRGAGADIFFRDPFFLDENMSVEISSPSSSKYMTVEDLGPPEKAAERVLQQYLTEFMSTRLGVRRTSNILSASSKVADDGKLYYEVEVNIKSFASNNELAVMPQDRVQRLEWDRRYLSVLGVENKRLYELRLQSPEKVFKEEEGDLRRVMDSFRVNKTA >ONIVA03G19150.2 pep chromosome:AWHD00000000:3:14203561:14206745:-1 gene:ONIVA03G19150 transcript:ONIVA03G19150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAVTAGGRRPPCRRVPPAASPPARLSCRCATHTVFLFGPKQITLAETTGGTFREYIDTFDGYSFLYPKSWIQVRGAGADIFFRDPFFLDENMSVEISSPSSSKYMTVEDLGPPEKAAERVLQQYLTEFMSTRLGVRRTSNILSASSKVADDGKLYYEVEVNIKSFASNNELAVMPQDRVQRLEWDRRYLSVLGVENKRLYELRLQSPEKVFKEEEGDLRRVMDSFRVNKTA >ONIVA03G19140.1 pep chromosome:AWHD00000000:3:14198527:14203361:-1 gene:ONIVA03G19140 transcript:ONIVA03G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKDCSREEDTFTVFMALRKVKELQGSQEPRIPSLFLLLNHASKGIPTHSICKGNTDSYNCCIHIL >ONIVA03G19130.1 pep chromosome:AWHD00000000:3:14195772:14204367:1 gene:ONIVA03G19130 transcript:ONIVA03G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G49930) TAIR;Acc:AT5G49930] MVKARMTTADVAAEVKCLRRLIGMRLSNVYDITPKTYLFKLMNSSGITESGESEKVLLLMESGVRLHTTQYVRDKSTTPSGFTLKLRKHIRSKRLEDVRMLGYDRIILFQFGLGSNAHFVILELYAQGNILLTDSEYTVLTLLRSHRDDNKGLAIMSRHRYPVEACRVFERTDFTKLKDTLMMNAVDDKESSQVTPGSIDAQELSVIPSDGVPVTDKSEEPSTTTGKKSASKNKQSSSNAKASNNAQSNKSTLKTLLGEALAYGPALAEHIILDAGLLPSTKVGKDPESSIDDHTIQSLVESISKFEDWLVDVMSGQRIPEGYILMQNKAAAKKNLTPLEGSSASQKIYDEYCPILLNQFKSREFDEFETFDAALDEFYSKIESQRVNQQQKSKEESAAQRLNKIKLDQENRVHTLRKEVDHSIKMAELIEYNLEDVDAAIVAVRVSLANGMSWDALARMIKEEKKAGNPVAGLIDKLSFERNCITLLLSNNLDDMDEEEKTAPVEKVEVDLSLSAHANARRWYELKKKQESKQEKTVTAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLPLRFSWKLLVYFAYLDSYVHAELHGASSTIIKNHKPDNPIPPLTLNQAGSFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEEALPDVESQKPESNAELDGELDSDSETGKEKHDDESSLDNINVKKIDNPIPSNAPYVKDNADSSEQLSEIRTVVNSTTSTSKGQTSDRTVSSQLEDLLDKNLGLGPTKVLGRSSLLSSNSARVAEDTDDLDTKKTSVRDKPYISKADRRKLKKGQNVGDSTSDSPNGEAAKKPVNSQQEKGKTNEKLANPKVSRGQKGKLKKIKEKYGEQDEEEREIRMALLASSGRASQKDKPSEDVDGATATQSKPSTGEDDRSKICYKCKKSGHLSRDCPESTSEMDPADVNVGRAKDAMDRSSAPAGSSVTMDEDDIHDLGDEEKEKLIDLDYLTGNPLSSDILLYAVPVCAPYNALQAYKYRVKITPGTAKKGKAAKTAMSLFLHTADATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKEN >ONIVA03G19130.2 pep chromosome:AWHD00000000:3:14195772:14204921:1 gene:ONIVA03G19130 transcript:ONIVA03G19130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G49930) TAIR;Acc:AT5G49930] MVKARMTTADVAAEVKCLRRLIGMRLSNVYDITPKTYLFKLMNSSGITESGESEKVLLLMESGVRLHTTQYVRDKSTTPSGFTLKLRKHIRSKRLEDVRMLGYDRIILFQFGLGSNAHFVILELYAQGNILLTDSEYTVLTLLRSHRDDNKGLAIMSRHRYPVEACRVFERTDFTKLKDTLMMNAVDDKESSQVTPGSIDAQELSVIPSDGVPVTDKSEEPSTTTGKKSASKNKQSSSNAKASNNAQSNKSTLKTLLGEALAYGPALAEHIILDAGLLPSTKVGKDPESSIDDHTIQSLVESISKFEDWLVDVMSGQRIPEGYILMQNKAAAKKNLTPLEGSSASQKIYDEYCPILLNQFKSREFDEFETFDAALDEFYSKIESQRVNQQQKSKEESAAQRLNKIKLDQENRVHTLRKEVDHSIKMAELIEYNLEDVDAAIVAVRVSLANGMSWDALARMIKEEKKAGNPVAGLIDKLSFERNCITLLLSNNLDDMDEEEKTAPVEKVEVDLSLSAHANARRWYELKKKQESKQEKTVTAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLPLRFSWKLLVYFAYLDSYVHAELHGASSTIIKNHKPDNPIPPLTLNQAGSFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEEALPDVESQKPESNAELDGELDSDSETGKEKHDDESSLDNINVKKIDNPIPSNAPYVKDNADSSEQLSEIRTVVNSTTSTSKGQTSDRTVSSQLEDLLDKNLGLGPTKVLGRSSLLSSNSARVAEDTDDLDTKKTSVRDKPYISKADRRKLKKGQNVGDSTSDSPNGEAAKKPVNSQQEKGKTNEKLANPKVSRGQKGKLKKIKEKYGEQDEEEREIRMALLASSGRASQKDKPSEDVDGATATQSKPSTGEDDRSKICYKCKKSGHLSRDCPESTSEMDPADVNVGRAKDAMDRSSAPAGSSVTMDEDDIHDLGDEEKEKLIDLDYLTGNPLSSDILLYAVPVCAPYNALQAYKYRVKITPGTAKKGKAAKTAMSLFLHTADATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKEN >ONIVA03G19120.1 pep chromosome:AWHD00000000:3:14181718:14192856:1 gene:ONIVA03G19120 transcript:ONIVA03G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSGAAAPLAGLLQSTPYPQTERGMEAVVCASHGAIGSLLWKLSALLSDEYNLLTGVKSNIMFLKAELESIDVFLKKMYEFEDPDEQSLFWMKEFRELSYDIEDIIDASMFSLGHESNRRPRGFKGFAGRCMDFLTNVKTRHWIAKKIQCLKCCVIEASNRQTTRLVGIDGPRDKLIKMLVEGDDALVHQLKVVSIVGFGGLGKTTLANEVCRKLEGQFKYQAFVSVSQKPDIKKILRHILSQICWRECISDEAWDEQQLIHTIRQFLKDKRYFIVIDDIWSTSAWRTIKCAFPENNCSSRILTTTRIIAVARYCCSPHHDNVYEINPLGAIHSKSLFFKQTFGSEDKCPLHLREVSNAILRKCGGLPLAIITVASLLANKASTKVEWESIQNSIGSALEKDTDMEEIKKILLLSYDDLPYHLKTCLLYLSIFPEDYEIKRDRLIRRWIAEGFIPTEGVHDMEEVGDCYFNDLINRSMILPVNIQYDGRADACRVHDMILDLIISISVKENFVTLHGDQNYKIVQQNKVRRLSLNYHAREDIMIPSSLIVSHVRSLTIFGYAEHMPPLSNLQFMRVLDVENKMVLEHSFLKHIHRLSQLKYLRLNVRRITALPEQLGELQNLQTLDLRWTQIKKFPSSIVRLQRLVCLRVNSLQLPEGIGNMQALQELSEIEINHNTSVYSLQELGNLKKLRILGLNWSISDSNCDIKIYADNLVMSLCKLGMLNLRSIQIQSYHSFSLDFLLDSWFPPPHLLQKFEMSINYYFPRIPKWIESLDYLSYLDIYIDPVDEETFQILADLPSLIFLWISSRAATPKERLIISCNGFQCLKELYFTCWESKTGLMFEAGAMPKLEKLRVPYNACDICSLHGGMDFGIQHLCSLKHLHVEIVCHGAKLQEVEALENAIKSAAGLLYDELTFEVSRWDEKEIIDMDHEQAEVNFAMESLVSASEGAMGSLLVKLGSLLSNQYKLVARAKDEVVLLKAELESMHAFLKTMSAVEDPDAQNRCWTKEVRELSYDIEDSVDEFMLRADQERNNSFKPSGLRGLMERTMSLLTSIRTRHRIAMEIQNLKGRVVQVSDRRARYKVDHSAVSKPVSATGVDPRLPALYADVAGLVGIDGPRDEIVDLLMEKDVGNRLKVVSIVGFGGLGKTTLAGEVYRKLEGRFQCQAFVSMSQKPDMRKILMNILSQVSQQERVGNEAWNEQQLIDALRKFLKDKRYFIVIDDIWSASWPSPVAHLNMIVCEINPLSAAESEDLFFKRTFGSAGRCPHHLQEVCDEILKKCGGMPLAIVTIASLLADKASNREEWMRVQFEEMKKILLLSYNDLPYHLKTCLLYLSVFPEDYVIKRDRLVRRWIAEGFINTDGEHDLQEIGQCYFAELINQGMIQPVGIQHDGQADACCVHDMILDLIISKSAEENFVTLVGDQNHQSAWQDKVRRLSIDCRSSRHIMLPPMTVASHVRSLTIFGSADKVPPPSNFRSLRVLDLESKDQLENKYLKHIGRLFQLKYLRLSIRRITALPEQLGDLHHLETLDLRGTMVKKLPTSIVRLQWLACLFADGLELPEGVGNMKALQELSFIEINQCTSACSLQELGSLSRLKFLGMNCFFSIYTDHLVMSLSKLGMCSLRSLKVQIDSCCYLDPLFSSWSPPPHLLQEFQMTTDYYFPKIPMWMASLDHLSYLDINVNPVQESVFQILGNLPALAFLWISSKEATTRGRGRLTISSDKFQCLKEFNFTCWNSREGLVFEAGAMPKLKTLHIPYNAFDAGSMHGEFDFGIQHLSSLKHLYVEIVCYDAKVWVVEALEHAIRNAAGQLPGISTLQVRRWGSKDMEMSSAFIIAGQQCPLDTMTLSKDYEL >ONIVA03G19120.2 pep chromosome:AWHD00000000:3:14181718:14192809:1 gene:ONIVA03G19120 transcript:ONIVA03G19120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSGAAAPLAGLLQSTPYPQTERGMEAVVCASHGAIGSLLWKLSALLSDEYNLLTGVKSNIMFLKAELESIDVFLKKMYEFEDPDEQSLFWMKEFRELSYDIEDIIDASMFSLGHESNRRPRGFKGFAGRCMDFLTNVKTRHWIAKKIQCLKCCVIEASNRRARYKVDGSVSKLSRTSLDPRLPAFYTETTRLVGIDGPRDKLIKMLVEGDDALVHQLKVVSIVGFGGLGKTTLANEVCRKLEGQFKYQAFVSVSQKPDIKKILRHILSQICWRECISDEAWDEQQLIHTIRQFLKDKRYFIVIDDIWSTSAWRTIKCAFPENNCSSRILTTTRIIAVARYCCSPHHDNVYEINPLGAIHSKSLFFKQTFGSEDKCPLHLREVSNAILRKCGGLPLAIITVASLLANKASTKVEWESIQNSIGSALEKDTDMEEIKKILLLSYDDLPYHLKTCLLYLSIFPEDYEIKRDRLIRRWIAEGFIPTEGVHDMEEVGDCYFNDLINRSMILPVNIQYDGRADACRVHDMILDLIISISVKENFVTLHGDQNYKIVQQNKVRRLSLNYHAREDIMIPSSLIVSHVRSLTIFGYAEHMPPLSNLQFMRVLDVENKMVLEHSFLKHIHRLSQLKYLRLNVRRITALPEQLGELQNLQTLDLRWTQIKKFPSSIVRLQRLVCLRVNSLQLPEGIGNMQALQELSEIEINHNTSVYSLQELGNLKKLRILGLNWSISDSNCDIKIYADNLVMSLCKLGMLNLRSIQIQSYHSFSLDFLLDSWFPPPHLLQKFEMSINYYFPRIPKWIESLDYLSYLDIYIDPVDEETFQILADLPSLIFLWISSRAATPKERLIISCNGFQCLKELYFTCWESKTGLMFEAGAMPKLEKLRVPYNACDICSLHGGMDFGIQHLCSLKHLHVEIVCHGAKLQEVEALENAIKSAAGLLYDELTFEVSRWDEKEIIDMDHEQAEVNFAMESLVSASEGAMGSLLVKLGSLLSNQYKLVARAKDEVVLLKAELESMHAFLKTMSAVEDPDAQNRCWTKEVRELSYDIEDSVDEFMLRADQERNNSFKPSGLRGLMERTMSLLTSIRTRHRIAMEIQNLKGRVVQVSDRRARYKVDHSAVSKPVSATGVDPRLPALYADVAGLVGIDGPRDEIVDLLMEKDVGNRLKVVSIVGFGGLGKTTLAGEVYRKLEGRFQCQAFVSMSQKPDMRKILMNILSQVSQQERVGNEAWNEQQLIDALRKFLKDKRYFIVIDDIWSASWPSPVAHLNMIVCEINPLSAAESEDLFFKRTFGSAGRCPHHLQEVCDEILKKCGGMPLAIVTIASLLADKASNREEWMRVQFEEMKKILLLSYNDLPYHLKTCLLYLSVFPEDYVIKRDRLVRRWIAEGFINTDGEHDLQEIGQCYFAELINQGMIQPVGIQHDGQADACCVHDMILDLIISKSAEENFVTLVGDQNHQSAWQDKVRRLSIDCRSSRHIMLPPMTVASHVRSLTIFGSADKVPPPSNFRSLRVLDLESKDQLENKYLKHIGRLFQLKYLRLSIRRITALPEQLGDLHHLETLDLRGTMVKKLPTSIVRLQWLACLFADGLELPEGVGNMKALQELSFIEINQCTSACSLQELGSLSRLKFLGMNCFFSIYTDHLVMSLSKLGMCSLRSLKVQIDSCCYLDPLFSSWSPPPHLLQEFQMTTDYYFPKIPMWMASLDHLSYLDINVNPVQESVFQILGNLPALAFLWISSKEATTRGRGRLTISSDKFQCLKEFNFTCWNSREGLVFEAGAMPKLKTLHIPYNAFDAGSMHGEFDFGIQHLSSLKHLYVEIVCYDAKVWVVEALEHAIRNAAGQLPGISTLQVRRWGSKDMEMSSAFIIAGQQCPLDTMTLSKDYICTSQKKPEMKPLLVCSAADS >ONIVA03G19120.3 pep chromosome:AWHD00000000:3:14181718:14192856:1 gene:ONIVA03G19120 transcript:ONIVA03G19120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSGAAAPLAGLLQSTPYPQTERGMEAVVCASHGAIGSLLWKLSALLSDEYNLLTGVKSNIMFLKAELESIDVFLKKMYEFEDPDEQSLFWMKEFRELSYDIEDIIDASMFSLGHESNRRPRGFKGFAGRCMDFLTNVKTRHWIAKKIQCLKCCVIEASNRRARYKVDGSVSKLSRTSLDPRLPAFYTETTRLVGIDGPRDKLIKMLVEGDDALVHQLKVVSIVGFGGLGKTTLANEVCRKLEGQFKYQAFVSVSQKPDIKKILRHILSQICWRECISDEAWDEQQLIHTIRQFLKDKRYFIVIDDIWSTSAWRTIKCAFPENNCSSRILTTTRIIAVARYCCSPHHDNVYEINPLGAIHSKSLFFKQTFGSEDKCPLHLREVSNAILRKCGGLPLAIITVASLLANKASTKVEWESIQNSIGSALEKDTDMEEIKKILLLSYDDLPYHLKTCLLYLSIFPEDYEIKRDRLIRRWIAEGFIPTEGVHDMEEVGDCYFNDLINRSMILPVNIQYDGRADACRVHDMILDLIISISVKENFVTLHGDQNYKIVQQNKVRRLSLNYHAREDIMIPSSLIVSHVRSLTIFGYAEHMPPLSNLQFMRVLDVENKMVLEHSFLKHIHRLSQLKYLRLNVRRITALPEQLGELQNLQTLDLRWTQIKKFPSSIVRLQRLVCLRVNSLQLPEGIGNMQALQELSEIEINHNTSVYSLQELGNLKKLRILGLNWSISDSNCDIKIYADNLVMSLCKLGMLNLRSIQIQSYHSFSLDFLLDSWFPPPHLLQKFEMSINYYFPRIPKWIESLDYLSYLDIYIDPVDEETFQILADLPSLIFLWISSRAATPKERLIISCNGFQCLKELYFTCWESKTGLMFEAGAMPKLEKLRVPYNACDICSLHGGMDFGIQHLCSLKHLHVEIVCHGAKLQEVEALENAIKSAAGLLYDELTFEVSRWDEKEIIDMDHEQAEVNFAMESLVSASEGAMGSLLVKLGSLLSNQYKLVARAKDEVVLLKAELESMHAFLKTMSAVEDPDAQNRCWTKEVRELSYDIEDSVDEFMLRADQERNNSFKPSGLRGLMERTMSLLTSIRTRHRIAMEIQNLKGRVVQVSDRRARYKVDHSAVSKPVSATGVDPRLPALYADVAGLVGIDGPRDEIVDLLMEKDVGNRLKVVSIVGFGGLGKTTLAGEVYRKLEGRFQCQAFVSMSQKPDMRKILMNILSQVSQQERVGNEAWNEQQLIDALRKFLKDKRYFIVIDDIWSASWPSPVAHLNMIVCEINPLSAAESEDLFFKRTFGSAGRCPHHLQEVCDEILKKCGGMPLAIVTIASLLADKASNREEWMRVQFEEMKKILLLSYNDLPYHLKTCLLYLSVFPEDYVIKRDRLVRRWIAEGFINTDGEHDLQEIGQCYFAELINQGMIQPVGIQHDGQADACCVHDMILDLIISKSAEENFVTLVGDQNHQSAWQDKVRRLSIDCRSSRHIMLPPMTVASHVRSLTIFGSADKVPPPSNFRSLRVLDLESKDQLENKYLKHIGRLFQLKYLRLSIRRITALPEQLGDLHHLETLDLRGTMVKKLPTSIVRLQWLACLFADGLELPEGVGNMKALQELSFIEINQCTSACSLQELGSLSRLKFLGMNCFFSIYTDHLVMSLSKLGMCSLRSLKVQIDSCCYLDPLFSSWSPPPHLLQEFQMTTDYYFPKIPMWMASLDHLSYLDINVNPVQESVFQILGNLPALAFLWISSKEATTRGRGRLTISSDKFQCLKEFNFTCWNSREGLVFEAGAMPKLKTLHIPYNAFDAGSMHGEFDFGIQHLSSLKHLYVEIVCYDAKVWVVEALEHAIRNAAGQLPGISTLQVRRWGSKDMEMSSAFIIAGQQCPLDTMTLSKDYEL >ONIVA03G19110.1 pep chromosome:AWHD00000000:3:14178480:14180506:-1 gene:ONIVA03G19110 transcript:ONIVA03G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRPKGRRSPPDLVHGWIILDRFVHSSDGDVDAADDVTASEIALTCSGRRVRASLCVADPPAVSRLRIHRLDQPWPDAYILRHAQVVAAHRGSILFWARVPFADDEFVVPGYFPVDYFVYTAGASGAASSSLTRLPPCFIGGAAPDDEDHYFKPYRKQHQRIMLDENVGFLSGDSDGEFTVADITIVDCTSLTYASSTNHHERWPSPVHGGCTVWRCKQMRRKLSKWVNDVVLPLHDGRHLCLVDYYRGILLVDAAKELRHFRYIPLPEEAMMHGCRHYEEDDPDPARCASATCAGSITLVCIYNGSGRKKNKSASPATFIIKSWTLIDIHKCIWLHDFTMEADEFWSICARENERRLPLVTPSFPVDGDNGLYWLVEVDMRNKAMISPAALYINEEEEEDAAVTTRSGSLLMAITSSPAGSPLICTRIPSRVQLVELFICRQLSQMMQKTKYERTMHKIAR >ONIVA03G19100.1 pep chromosome:AWHD00000000:3:14158569:14169711:-1 gene:ONIVA03G19100 transcript:ONIVA03G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTGVMTSVINKLTALLGKEYMKLKGVQGEVEFMIDELSSMNALLHRLAEEDQHLDVQTKEWRNQVREMSYDIEDCIDDFMHHLGHTDIAESAGLVHRMAQHLKTLRVRHQIANQIEKLKARVEDASKRRLRDVVIKLLTEGEGASSQKLKVISIVGPGGLGKTTLANEVFRKLESQFQCRAFVSLSQQPDVKKIVRNIYCQVSQQEYGNIDIWDEEKLINATREFLTNKRYFVVIDDIWSTQAWKTIRCALFVNNCGSRIMTTTRNMAIAKSCCTPDHDRVFEIMPLSIDNSKSLFLKRIFGSKDVCIPQLDEVCYEILKKCGGSPLAIITIASLLSNKANTKEEWERVRNSIGSTLQKDPDVEEMRRILSLSYDDLPQHLKTCLLYLSIFPEDYEIERDRLVERWVAEGFIITEGGHDLKEIGDCYFSDLINRSMIELVKIQYNGRVFSCRVHDMILDLLTCKSTEENFATFMGGQNQKLVLQGKQSSHIADLSIFGYAEQKPPLSMFPVLRVLDIENGEDMESSYTKHIRKLIQLKYLRLNVRSVAELPEKLGELQHLQTLDLRRTNIRKLPESFVRLQNLTYLRVNNLDLPEGIGHLHALQELTEIRISQDCLASSLLELRNLTKLRFLGLRWCISQAHIDYKVFADNLVSSLRKLGRLNLRIMCILGYCACSIEFLLDSWFPSPHLLQSFAMGMNYHFPRVPSWIASLDNLTCLEINIDLVDEKVIQILGDLPVLIFLWLTSKEAGPNERLVIRSNMFVCLKEFHFTCWRNWEGLMFEAGAMAKVEMLRVSFDAGGSVLDFGIQQLASLRHLIVEIVCGGATLREVEALEDAIRHSADLLPCHPAVEVRTWDEEKMVKEESKSKLAAMWGQSATAAVDFAANPLHSVPAARWPRNPSKKKKILTSSSMRAASHRRRRPNDGHLLAPSPPSPAAALGDRRPLSPGPIGACSLDLEMASALTGAMTSVIIKLSALLGEEYAKLKGLQREVEFMKDELSSMNALLHRLAEVDSDLDVQTEEWRNQVREMSYDIEDCIDGFTHRLGHIGIAEAAGPVQRVAQQLKVLVRRQIACQIQELKGRVEDASKRRMRYKLDDRIFEPSIARAIDPRLPSLYAESDGLVGIETPRAVLVKLIMEGDDASFQQLKVISIVGPGGLGKTTLANEVYRRLEGQFQCRAFVSLSQQPDVKRILRNIFCQVSQQVYDSTSVWDEENLIDAIRGFLKDKRYFIVIDDIWSIQAWKTIKCALLMNNLGSRIITTTRSVTIAKSCCSPQHDHVYEIMPLSTANAMNLFLKRIFGTEDICPPQLEEISCKILKKCSGSPLAIITIASLLTNKASTKEEWERVHNSIGSTLEKDPSVEEMQRILSLSYDDLPHHLKTCLLYLCIFPEDCEIERDQLVKRWIAEGFINTGSGQDLEKIGESYLNDLISRSMIQPVKVRYDGQVDSCRIHDMILDLLMSKSIKENFATFLGEQNQKLVLQGKVRRLSLSYYSQENVMVPSTAIISSCRSLSIFGYAEEMPSLSEFRVLRVLDIEHGEDMDSNYLEHVRRLSQLKYLRLNVRSIDALPEQLGELQHLQTLDLVSTKLRKSPKSIVRLQNLTCLRINNLELPEGIGCMRALQEVSEIKISRNSSASSLQELGNLTKLKILGLCWCISDIHGGTKTLVNNLVSSLRKLGRLNLRSLCIQSSFKYSIDFLLDSWLPTPHLLQKFQMGMCYYFPRIPVWIASLENLTYLDINLNPVKEEVLEILGNLPALLFLWLTSKSADPKQGLIINSNMFMCLKELYFTCWSIESGLMFQEGCMAKLEKLHLPFHAATALEFGIHHLSSLRLLVVEIICSGATIRQVESLEETIRKTADLLPYRPTVEIRTWDEENMVEEQKEKDMGEEGTQTSC >ONIVA03G19100.2 pep chromosome:AWHD00000000:3:14158569:14169711:-1 gene:ONIVA03G19100 transcript:ONIVA03G19100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTGVMTSVINKLTALLGKEYMKLKGVQGEVEFMIDELSSMNALLHRLAEEDQHLDVQTKEWRNQVREMSYDIEDCIDDFMHHLGHTDIAESAGLVHRMAQHLKTLRVRHQIANQIEKLKARVEDASKRRLRYKLDERTFNLSTAGAIDPRLPSLYAESDGLVGIEQPRDVVIKLLTEGEGASSQKLKVISIVGPGGLGKTTLANEVFRKLESQFQCRAFVSLSQQPDVKKIVRNIYCQVSQQEYGNIDIWDEEKLINATREFLTNKRYFVVIDDIWSTQAWKTIRCALFVNNCGSRIMTTTRNMAIAKSCCTPDHDRVFEIMPLSIDNSKSLFLKRIFGSKDVCIPQLDEVCYEILKKCGGSPLAIITIASLLSNKANTKEEWERVRNSIGSTLQKDPDVEEMRRILSLSYDDLPQHLKTCLLYLSIFPEDYEIERDRLVERWVAEGFIITEGGHDLKEIGDCYFSDLINRSMIELVKIQYNGRVFSCRVHDMILDLLTCKSTEENFATFMGGQNQKLVLQGKQSSHIADLSIFGYAEQKPPLSMFPVLRVLDIENGEDMESSYTKHIRKLIQLKYLRLNVRSVAELPEKLGELQHLQTLDLRRTNIRKLPESFVRLQNLTYLRVNNLDLPEGIGHLHALQELTEIRISQDCLASSLLELRNLTKLRFLGLRWCISQAHIDYKVFADNLVSSLRKLGRLNLRIMCILGYCACSIEFLLDSWFPSPHLLQSFAMGMNYHFPRVPSWIASLDNLTCLEINIDLVDEKVIQILGDLPVLIFLWLTSKEAGPNERLVIRSNMFVCLKEFHFTCWRNWEGLMFEAGAMAKVEMLRVSFDAGGSVLDFGIQQLASLRHLIVEIVCGGATLREVEALEDAIRHSADLLPCHPAVEVRTWDEEKMVKEESKSKLAAMWGQSATAAVDFAANPLHSVPAARWPRNPSKKKKILTSSSMRAASHRRRRPNDGHLLAPSPPSPAAALGDRRPLSPGPIGACSLDLEMASALTGAMTSVIIKLSALLGEEYAKLKGLQREVEFMKDELSSMNALLHRLAEVDSDLDVQTEEWRNQVREMSYDIEDCIDGFTHRLGHIGIAEAAGPVQRVAQQLKVLVRRQIACQIQELKGRVEDASKRRMRYKLDDRIFEPSIARAIDPRLPSLYAESDGLVGIETPRAVLVKLIMEGDDASFQQLKVISIVGPGGLGKTTLANEVYRRLEGQFQCRAFVSLSQQPDVKRILRNIFCQVSQQVYDSTSVWDEENLIDAIRGFLKDKRYFIVIDDIWSIQAWKTIKCALLMNNLGSRIITTTRSVTIAKSCCSPQHDHVYEIMPLSTANAMNLFLKRIFGTEDICPPQLEEISCKILKKCSGSPLAIITIASLLTNKASTKEEWERVHNSIGSTLEKDPSVEEMQRILSLSYDDLPHHLKTCLLYLCIFPEDCEIERDQLVKRWIAEGFINTGSGQDLEKIGESYLNDLISRSMIQPVKVRYDGQVDSCRIHDMILDLLMSKSIKENFATFLGEQNQKLVLQGKVRRLSLSYYSQENVMVPSTAIISSCRSLSIFGYAEEMPSLSEFRVLRVLDIEHGEDMDSNYLEHVRRLSQLKYLRLNVRSIDALPEQLGELQHLQTLDLVSTKLRKSPKSIVRLQNLTCLRINNLELPEGIGCMRALQEVSEIKISRNSSASSLQELGNLTKLKILGLCWCISDIHGGTKTLVNNLVSSLRKLGRLNLRSLCIQSSFKYSIDFLLDSWLPTPHLLQKFQMGMCYYFPRIPVWIASLENLTYLDINLNPVKEEVLEILGNLPALLFLWLTSKSADPKQGLIINSNMFMCLKELYFTCWSIESGLMFQEGCMAKLEKLHLPFHAATALEFGIHHLSSLRLLVVEIICSGATIRQVESLEETIRKTADLLPYRPTVEIRTWDEENMVEEQKEKDMGEEGTQTSC >ONIVA03G19090.1 pep chromosome:AWHD00000000:3:14153724:14158107:1 gene:ONIVA03G19090 transcript:ONIVA03G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAESDNNGSEVSPGGGGRDSASAAAAVASPVKAKARALLELETASAAAAASESSEARVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPSLSEKPPSKRSNKLRSDSSNSGSQHAASLVLEAIYLKSMSLQKLGKAVEAAQQCRSVLDAVESIFQRGIPDVMVEQKLQETVSKSVELLPELWKQAGAYQEALASYRRALLSQWNLDDDCCTRIQKRFAVFLLYGGVEASPPSLASQTEGSYVPKNNLEEAILLLMIILKKWYLGKTHWDPSVMEHLTFALSLCGQTSVLAKHLEEVLPGIYPRTGRWYSLALCNYAASHNEAALNLLRKLLNKNESPGDIMALLLAAKLCSSDYSLASEGVEYARRAVTNVDSSDGHLKSAALHFLGSCLAKKSRIATSDHQRSLLQTESLKSLSEAISLDRHNPDLIYDMGIEYAEQRNMQAALKCAKEFIDATGGSISKGWRLLSLVLSAQQRYSEAEVVTDAALDETTKWEQGPLLRIKAKLKVAQSLPMEAVEAYRALLALVQAQRKAYGTVKNGTEEVDNKVSEFEVWQGLANLYASLSYWRDAEICLQKAKALKSFSAITLHAEGYTREVREQTQDALAAYFNAVSTEVEHVPSKVSIGALLSKQGPKYLPVARSFLSDALRHEPTNRMAWFYLGKVHKHDGRLADAADCFQAASMLEESDPIESFRSL >ONIVA03G19080.1 pep chromosome:AWHD00000000:3:14128418:14128786:-1 gene:ONIVA03G19080 transcript:ONIVA03G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRKRRRGRRGGEAQHPAHVAGADGGHRISLPPTPPLSPPATNASSLTARHRCLLSRPPPTPPLSYRQRHPPHPQVEDDNDELGRDGSDGVSEARSGRAESATPRGRATGPSPCAARPSA >ONIVA03G19070.1 pep chromosome:AWHD00000000:3:14125152:14127698:-1 gene:ONIVA03G19070 transcript:ONIVA03G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGCKILKTINVPYTVMLVDVLERLHIDNHFRDEIATALQHVFQHDEQEKAAAGFDDGDQLHLQSLRFRLLRQHGFWVSADVFDKFKDSTGCFRESLSTDARGLLSLYNAAHLAMPGEAALDDAIAFSRRSLQSLQGALRSPMAKQVSRALDIPLPRAPKLLETMHYITEYEQEEAHDGMVLELARLDFELVRSLYLKELKALSLWWRQLYDSVQLSYARDCLVESYFWTCAMFHGEDYSRARIIFAKVFQLMTMTDDIYDIHATLEECYKFNEAVQRWDKSAVSILPEYLRNFYIRILNDFDEMEDSLEPDEKHRMSYVKSSFKQQSEYYLREAQWSSDKHMPSFAEHLDVSFMSIGYPTMAVVVLLCARDGNGAAASMEASEWAPSLVRAGGEVTRFLNDIASYKTGKSGKDAASTIECYMAERGVGGEEAVAAVAALVESAWRTINRACVEMDPNLLPAARLLVNLATTPEVIYFGGRDGYTVGADLKGLVTTLFLDPLPV >ONIVA03G19060.1 pep chromosome:AWHD00000000:3:14118183:14124141:1 gene:ONIVA03G19060 transcript:ONIVA03G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEENSDGGSSGRGTRWRRQRKRNTAAAAAAVEENEHSGSEKQPALRSEECRRERAEALKGQVRRKLLKATSRISSVAEMVVLVDTLERLGIDNHFRHEIAAMLHRVHSEEHGGGGGAAGSDVADDDLHFRDNGGSFRASLSSDARGLLSLYNAAHLAMPGEEVLDDAIAFSRRHLRSMKTAGKLRSPMAEQVSRALDIPLPRTPRRLEAMRYIHEYGDEPGFDGVVLELARLDFELVKSLHLRELKALTLWWKDFYDNVKLSYTRDRIAEVFFWVSGVYYEEEYSRARIMLAKVFGLITLMDDTYDVQATLDECCRFNEAIQRWDNGAVSLLPEYMHAYYIKLLNNFDEMENSLEPNEKHRVSYAITMYKQLSEYYLQEARWSSHRYLPSFAEHLYVSSISSGIPALAPAVLMGVHDGDGVATKEALEWACAIPDLLLASGEVGRLLNDIAAWKVGKNRKDVQSLVETYMTEHGAGGDAAVAAVAAASERAWRRINRACVEAVEPALLPAAQLLVNLTSTMEVVYLGGKDGYTSGSGLKGVITDFLLGPVHDD >ONIVA03G19050.1 pep chromosome:AWHD00000000:3:14096772:14099234:-1 gene:ONIVA03G19050 transcript:ONIVA03G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKWMREHVDQLKRQVRCKLSKATSMAYTVMLVDVLERLHIDDHFRDEITVALQHVLLLHHKEHADSVAAADLLHLESLRFRLFRQRGFLVSADVFDKFKDSTGCFRESLSTDARGLLSLYNAAHLAMPGEEAALDDAIAFSRRSLKSLQGKLGSPMAEQVSRALDIPLARAPKLLETMRYITEYEREEAHDGVVLELARLDFELIRPLYLKELKTLSLWWRQLYDCVKLSYARDRLVESYFWSCAIFHGEKYFRSRIIFTKVFQLMTLMDDTYDIHATLEECYKLNEAIQRWDKSAVSILPEYLRIFYIKLLNDFDEMEDSLEPDEKYSMSYAKTTFKQMSEYYLREAQWSSDKYMPSFAEHLDISLMSSGFPAMAPVLLLGVRDSGGAAATTKEAFEWATSVPVPALVRAGGELARFLNDTASYRIGKSGGDMASTVECYMAERGVGGGEAVAAVAALAERAWWTINGECAAVGTMDAALLPAARLMVNLARTVEVIYLGGRDGYTVGGDLKGLVSNLFLDPLPVY >ONIVA03G19040.1 pep chromosome:AWHD00000000:3:14088261:14090859:1 gene:ONIVA03G19040 transcript:ONIVA03G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAAANQPLEMNAVVNGGAGFDPSMWRDFFVVEERMRARAERLAGEVRRRMFAAGGGNGDGEGGVTMSVAEAATLVDTLERLGLDGHFRHEIGVLLGRLRREEADFAGSDDDLYTVALRFRLLRQHGVWVSAGTCVRDKFRDGTCSFSSSLRDDPRGLLSLYNAAHMAAPVEIALDDIIAFARCHLEALSMEGELKSPLAEQVSRAPDIPLPRFPRRLETMSYLVEYEQEDEHDDMLLELARLEFELTRCLHLEELKALSLWWRELYESVKLSYARDRLVESYFWTCGVFHEEEYSRARIMFAKVFGLLSLMDDTYDVHATLEECYKLNEAIQRWDEGAISILPEYLRMFYIKLLSNFDELEASLEPHEKFRVSYAKNAFKLSSEYYLREAKWSNTKYTPSFAEHLEVSVMSSGFPMLAPVVLMGVHDDAAVATAAAFEWATAAGVPDVVIAASGEVARFLNDIASHRVGKNEKDVPSSVESYMAEHGVGEEAALAAVAAMAEHGWRTINRALMEMDPGLLPAARLIVNLTRTLEVIYLGGRDGYTFGGDIKGLVVSLFLDPVAVIRI >ONIVA03G19030.1 pep chromosome:AWHD00000000:3:14075339:14079057:1 gene:ONIVA03G19030 transcript:ONIVA03G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVRRAFRCLLDGLRSLPPRRRRAGAGGGAARRSSKAAPRVVVIRRFSGKLDRRRASASGGPPTPPAAAAAAPVTIRVATFNAAMFSMAPAVPSPPDHDDYDGDGEGCSTWRAPASSSSGSRLARRPKKGILKAQSRSSAPPPPPSPDVELLRRRRHVSISLPDDDDEIAPHHRRNSSSSSSSASARLVTRPAAATSGSGRWRSVFGAVWEHQNHYQQRRQKQKQKQEREQATARHRSSSGAARRRSVAEALREAGADMVALQNVRAEEGRGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWNARRLADHFDFRNVMRATIEVPGAGEVNLYCTHLDHLDEGLRMKQYHEEIGKPPPKSEVMRHLKAKRYVDAKDFAGGRDAGLVVVPNGQDVQGTCKYGTRVDYILASPNSPYSFVPGSYAVVPSMGTSDHHIVMVDVAVAAHDGGGGGGAARRRRRRRVVKVTNKSSARGIFAD >ONIVA03G19020.1 pep chromosome:AWHD00000000:3:14070118:14074048:1 gene:ONIVA03G19020 transcript:ONIVA03G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDSSGKQRSDEEWRAVLSPEQFRILRLKGTELPGTGEYNKFYGDGVYNCAGCGTPLYKSTTKFDSGCGWPAFFEGLPGAINRTPDPDGRRVEITCAACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFTPAS >ONIVA03G19010.1 pep chromosome:AWHD00000000:3:14066248:14069779:1 gene:ONIVA03G19010 transcript:ONIVA03G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT2G36000) TAIR;Acc:AT2G36000] MEEVLLRHARLPRPTVPTARRSRRLRVVAVALRTRPTSLAVPGFPPAPAPAPEHVLLPSPSVAAGAAEVLLAAGVPPADLRRAAGMCPELLSVPVGTITAALRFLTDEAGVPAEDLPRVLRRRPRLLVSPVAARLRPTLYFLRALGVPDLPRRADLLSFSVEDKLLPRIEFLESLGLPSRAARSMARRFPALFYYGIDGNMRPKAEYLLGDMARDADDLFEFPEYFSYALATRIAPRHEACAARGVRMPLPAMLRPGDDKFRATLAGCVGSTPPRRRSPLWHAYWVDDAGEVEEIGAASQPNGN >ONIVA03G19000.1 pep chromosome:AWHD00000000:3:14060921:14063856:-1 gene:ONIVA03G19000 transcript:ONIVA03G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Uncharacterised conserved protein UCP017207, transmembrane protein 85 (InterPro:IPR016687), Protein of unknown function DUF1077 (InterPro:IPR009445); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bac /.../- 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G10780) TAIR;Acc:AT5G10780] MEKGKGLARRWAVELHDASSSSSSHSSIPDPPGFTRSAPDADDAAGVRQRKDSETAWKAQKAWEVAQAPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPISALRSVGKVFEPFKDPRVDTLAPKLVFIALNLAAMGLGVWKLNTLGLLPTNASDWVFSLAPAREVEYAGGGIPLH >ONIVA03G18990.1 pep chromosome:AWHD00000000:3:14056028:14056642:-1 gene:ONIVA03G18990 transcript:ONIVA03G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEKKESAGAAGEKPPSFCDRLQRAFHARPAFRPLRRLGVRHGQDDDGGGGAPGSTVDMQPATTTTHGGGPPRPVLPPAAGHAPAPVVLPPAVVKPAAKPAGGNAPAPVVLPSAPAARPPPPSRRHGHAHASTTGSAPAAEKVAATTTRPPPGIPVPVPPPAAAAAADVTTAAVDAKEGGGDKEQQGKGKTRVSSRVRKAFSK >ONIVA03G18980.1 pep chromosome:AWHD00000000:3:14053634:14053919:-1 gene:ONIVA03G18980 transcript:ONIVA03G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADNCLSVLTFGLRGGHQGRKRRGHGHQEMSRCARDPRTTRGRGRKEGSVMTQRKPVQAVAVRVRSAVKRRHAE >ONIVA03G18970.1 pep chromosome:AWHD00000000:3:14048327:14048554:-1 gene:ONIVA03G18970 transcript:ONIVA03G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRAGAASSRGAPRAQRRVPRRVVPLPDAPFFLAPEADVDIRPHVDAVRNAGAPRPHRRAFAPHLRSRESTPPA >ONIVA03G18960.1 pep chromosome:AWHD00000000:3:14039519:14039842:-1 gene:ONIVA03G18960 transcript:ONIVA03G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVIRSLGDVKALLRTHGDRIGLTVSIGCLWRIRHARRMRALLRQQMKIDGMHWEVEGIEHLNAMVRVHRVIATAAPLLDQIISPSRHASSTPGRSCAACTSAAPP >ONIVA03G18950.1 pep chromosome:AWHD00000000:3:14032267:14043150:1 gene:ONIVA03G18950 transcript:ONIVA03G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRMASRLRPSTPEEVVRSIKDSFQALHTKNGARALEEVEKNLSSLRQMLSGDGEAEPNQEQVLQITLEICKEDVLSLFVQNLPSLGWGVRKDLVHCWCILLRQKFDESYCCVKYIENHLELLDFLVGCYKNLDVALNCGNMLRECIKYPTLTKYILDSSSFELFFEYVELPNFDIASDALNTFKDLLTKHETVVAEFLSSHYEQFFELYTRLLTSPNYVTRRQSVKFLSEFLLEAPNARIMKRYITEVRFLNIMITLLKDSSKNIRICAFHVFKVFVANPNKPRSIIEALIENRRELLKLLQNLPTSKGEDELDEERNLIIQGIQKLACSSA >ONIVA03G18950.2 pep chromosome:AWHD00000000:3:14032267:14043150:1 gene:ONIVA03G18950 transcript:ONIVA03G18950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRMASRLRPSTPEEVVRSIKDSFQALHTKNGARALEEVEKNLSSLRQMLSGDGEAEPNQEQVLQITLEICKEDVLSLFVQNLPSLGWGVRKDLVHCWCILLRQKFDESYCCVKYIENHLELLDFLVGCYKNLDVALNCGNMLRECIKYPTLTKYILDSSSFELFFEYVELPNFDIASDALNTFKDLLTKHETVVAEFLSSHYEQFFELYTRLLTSPNYVTRRQSVKFLSEFLLEAPNARIMKRYITEVRFLNIMITLLKDSSKNIRICAFHVFKVFVANPNKPRSIIEALIENRRELLKLLQNLPTSKGEDELDEERNLIIQGIQKLACSSA >ONIVA03G18950.3 pep chromosome:AWHD00000000:3:14040252:14043150:1 gene:ONIVA03G18950 transcript:ONIVA03G18950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRKKRKAPITSRPSNVEKVAFPRHATPRDARGIGARPPTPTLLPVYHARRIRVPDDETTTTAAATRPSRHPNGNRFSPRIPAACTAPRAGRLNVPSSNPGEPAATSAHRPWFGSSAFAGGAQRGEGARSLRQNPWMRRRRRRETGRGAYWPRAEAERHLFPPPPPPPPVRVVVFPSGGVAWRGAPRFVASPSTAPAPSPPDPASGATRPSPARTPSTRRGFHLGVCT >ONIVA03G18940.1 pep chromosome:AWHD00000000:3:14027751:14028794:1 gene:ONIVA03G18940 transcript:ONIVA03G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GML0] MARCGSAIAAVAALLVLLGGHAAARIRVEHSGMVIRRPSSSIPSFREAPAFRNGEECGGGGRVDVAMTLDANYLRGTMAGVLSILQHTACPESVSFHFLAAGMDADLAAAVRATFPYLDLRVYRFDPSRVRGRISRSIRHALDQPLNYARIYLADTLPPDVRRVIYLDSDVVVVDDIRALASVDLGGHVVGAPEYCHANFTNYFTDAFWSDPALNGTFAGRRPCYFNTGVMVMDVGKWRAGGYTRRVERWMEVQKQTRIYHLGSLPPFLLVLAGDIQAVDHRWNQHGLGGDNVKGRCRGLHPGPISLLHWSGKGKPWIRLDARRPCAVDYLWAPYDLFRPSSPVLEE >ONIVA03G18930.1 pep chromosome:AWHD00000000:3:14010662:14025151:1 gene:ONIVA03G18930 transcript:ONIVA03G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSMQQVTTAAAAAAAAATATATATATAGGECDCGGGGGVRQHCKTKHVTKSAKTKINEKDGEEFLVLRRTKVTDGDEYLVVKRTRVKVGTEHRPTKRVRDIDVEQWVHGTNVVSSTKNEVLWYLEDATGRLHVVEAHKATGFTLNRESSVFEENKQLCSRCQVCGQEGSVKVVGLERTERVLPTGTTFTVVSEAYKDRGTVLIKRPRELGRFYVSRRGIDQIISDLKEASTGKDATAAIFAFCGGVLLAFHALL >ONIVA03G18920.1 pep chromosome:AWHD00000000:3:13999121:14006136:-1 gene:ONIVA03G18920 transcript:ONIVA03G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPEPEPEPVVIHAWSAPRSLSTSLMYSFAQRDDMEVLDEPLYANFLRVTGVDRPYREELLSNMDPDGNKVISEVIFGPGKRKYRYCKHIAKQRLPNLSSDLMKKGKHFILIRNPLNILPSFDKVVPPSFFELGIAELVSIYSELCELGSPPPVIDADDLQRDPEAVLSGLCEDLGIPYQPQMLQWEAGPKDFDGIWAPWWYRSVHKSTGFSMPRRYPLIIPSNFLQVVFFTIVLNMLWFLQTFPFALYDLLEQSLPFYNVLKRHVSKTIGSPQPTLPDPPLPVPENKKILVWVGDELLPRDSAKVSVFDSVVQGGDAVWEGLRIYDGKVFKLDEHLDRLFDSAKAMAFSNVPSRDWIKDAIFRTLNANGMFNNAHIRLTLTRGKKVTSGMSPAFNLYGCTLIEWKPPVYDNSHGIKLVTATTRRNSPNSIDSKIHHNNLINNILAKIEGNLAQAEDAIMLDKDGFVSETNATNIFMVKKGIVLTPHAEYCLPGITRATVMDLVVKESLVLHERRISLSEFHAADEVWTTGTMGEITPVVMIDGREIGDGKIGPVTRQIQNAYKVITAGSGVTIPRNADE >ONIVA03G18910.1 pep chromosome:AWHD00000000:3:13978023:13985070:-1 gene:ONIVA03G18910 transcript:ONIVA03G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVNFIIRPPRAEYSPNDDLLEQEFMLKGRWFQRKDLEVVNGQGKKLQCSHYMPVVIPEGKALPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSEGEHVTLGWNEREDLKAVVNHLRTDGNISCIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKVVKRKASFDIMELDTIQVAKRCFVPALFGHATEDDFILPHHSDKIYESYVGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPDVPDDHYFLTPHGSLGQGHWDTEHDIEYRFAQSPTARTATTEDAIAQLRSRRLMSRMEVVPTGATAEDRADRNEVMDSDNGPSSSSVSTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTEEDEDRMLMQAIMESLKDLELSNTKAAQSAASDAASKENKEENGCNGATVTVLEPDASSTSARPTPTDAPGKDVTTCSTKAKSPEVQSASNHTTNNAASANTSSSLESNASTHVTNGKSTSSESQKPTQNANGEDGTRATLVVQKSRTGSLMDGLTHKWGSLFKNND >ONIVA03G18900.1 pep chromosome:AWHD00000000:3:13973014:13974000:-1 gene:ONIVA03G18900 transcript:ONIVA03G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCNGIAAVGRWLSTKPKVIFVLGATATGKSKLAIRLAARFDGEVINSDKIQAHDGFPVITNKVTDEERAGVAHHLLGGVSPDADFTAEDFRREAAAAVARVHAAGRLPVVAGGSNIYVEALVAGGGGAFLAAYDCLFLWTDVAPDLLRWYTAARVDDMVRRGLVGEARAGFDAGADYTRGVRRAIGLPEMHGYLLAEREGGAGAEDDDDLLAGMLEAAVREIKDNTFRLTVSQVAKIRRLSALPGWDVRRVDATAVVARMAEGAPHGETWREVVWEPCEEMVSRFLETPAAAAAVVANGKVDVNVGDAAAGLPEAAAAAVVAAGVV >ONIVA03G18890.1 pep chromosome:AWHD00000000:3:13966407:13968602:1 gene:ONIVA03G18890 transcript:ONIVA03G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GMK5] MAAAHFVFVPLMAQGHLIPAVDTALLLATHGAFCTVVATPATAARVRPTVDSARRSGLPVRLAEFPLDHAGAGLPEGVDNMDNVPSEFMARYFAAVARLREPVERHLLLRADEGGAPPPTCVVADFCHPWASELAAGLAVPRLTFFSMCAFCLLCQHNVERFGAYDGVADDNAPVVVPGLARRVEVTRAQAPGFFRDIPGWEKFADDLERARAESDGVVINTVLEMEPEYVAGYAEARGMKLWTVGPVALYHRSTATLAARGNTAAIGADECLRWLDGKEPGSVVYVSFGSIVHPEEKQAVELGLGLEASGHPFIWVVRSPDRHGEAALAFLRELEARVAPAGRGLLIWGWAPQALILSHRAAGAFVTHCGWNSTLEAATAGLPVVAWPHFTDQFLNAKMAVEVLGIGVGVGVEEPLVYQRVRKEIVVGRGTVEAAVRSAMDGGEEGEARRRRARALAAKARAAAREGGSSHANLLDLVERFRPRHNKSKEG >ONIVA03G18880.1 pep chromosome:AWHD00000000:3:13960973:13964670:-1 gene:ONIVA03G18880 transcript:ONIVA03G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPAPLPHPPPEDLEVAGGGVAFEDAEKLIRQWDATPELLVFKGPEEDVAGYLDAVDVAVDPLLSGVGATTEAEAAGVVEVAAGGGGSGDGEPLETEKTIGWGHLVATTLWRKRAGRWGGKGRRLAGEDLAGAVGVFRLAGEAGRPCGGAVVAQEHKLRPRALGVVIFVRDGEYKVCRKAPCRWVNYTSIPNAKKNSKINKRGNPHLHIIYKNNSQIYASIDDENDESMASYRMEILGANPNGASCIWRSKQASMPTVNTSTATERSVIGDEEEGYRSRGWVTGEKTWSGQHHLPRVRLKGKPHTRRNFVMPLAQSAKGEPKGYW >ONIVA03G18870.1 pep chromosome:AWHD00000000:3:13955143:13957738:1 gene:ONIVA03G18870 transcript:ONIVA03G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLGNVTATNDMWKSHRGTVIRIEALALLAIALSFFLIALCSFRRRSNHWFVQKGVLATNVLSLSLSTYSIGLMQSSSVKSEVYPIWVVSMLTLFGCIDSITTYGHDYMGQLWKILYQLCLYSGYVLLISISVISSDVGYIAIGILSAITFIKGFHRSLALMLPSLQRDMIKMIAEVMAAEVISYSTKTDHLDQLNCPDLIGYHYVVHWPLDKSKAKFLPTSSPDDVITIDKILQCNEVHFLSDVCLSFSLSQLLQQRFYRLRCAESKHLVARKFFFEGLLMSRDAAIDYRGNAFLHYYESKGTTIWGLASVMGICFVRVATAIHGRMSTHTRSPDGTIIVDTTAVDLIITLVILLSLALLQFLHLLHCWSSNWARVAFACDYIKKGKRLSRWMRLRRWILKRIDCDNSYLWQNKLGQYSLIESISTRECKLFSTLGGFLYQIYSWLLGILGLQYIEQVFRGMWGIKTGDSVELHDDVKAAIVDFLISSNCKLQNWPSSLDDDGWSGTSFLFLPDHVVTIMRFHIATCYCELVMHKEGFSVQDEDVEEIVKKNHGVATTLSKYCAYLMVSAPRLLHRHEIGTKSVYSQVAQAARISLYGAKDKLDAMRRLGQDDEPSEGACIFQEGVAFGKQLETMPKRWEVLANFWIKALVYAAPSDNVEEHIEHLAKGGEFITHLWALLSHAGILKWRVNQQMMTVNQKMNKMDQQPILIQQMLEVAPSKIRWW >ONIVA03G18850.1 pep chromosome:AWHD00000000:3:13936204:13940000:-1 gene:ONIVA03G18850 transcript:ONIVA03G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSASTLPPVGKSGFTKLCKGLAVVLFLGHIVIRLFPSAVTYLALIPSRTIPFAWNLVTSGYIEQTIPGVIVSIVGLLVLGKVLEPLWGAKELLKFIFLVNLSTSACVFVTAIILYYITQQEIYLYTPLSGFYGVLSGFLVGIKQILPDQEITLFLLNIKAKWIPSLVAFISVSLSFFMKDSVSYIPIILFGIYLSWIYLRYFQKRLEAGLKGDPSDEFSFSSFFPEFLRPVLDPIASVFHTLVCGRSERSEANDQTLDGLLPGSYSIEANRRRERGQRALEQRLAEKLAAVRSSEATLHHHQQDEDDASDKLEQRCAVLSGDSSKFAERIVDSFSCHRHELKIAM >ONIVA03G18840.1 pep chromosome:AWHD00000000:3:13931543:13935446:1 gene:ONIVA03G18840 transcript:ONIVA03G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GMK1] MGAAESVPETSIHEFTVKDCNGKEVSLEMYKGKVLIVVNVASKCGFTETNYTQLTELYQKHRDKDFEILAFPCNQFLRQEPGSDQQIKDFACTRFKAEYPVFQKVRVNGPDAAPLYKFLKASKPGLFGSRIKWNFTKFLIDKNGKVINRYSTATSPLSFEKDILKALED >ONIVA03G18830.1 pep chromosome:AWHD00000000:3:13923737:13925965:-1 gene:ONIVA03G18830 transcript:ONIVA03G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARKKRAAAAAAAAAAAAAAAAAQWKVGDLVLAKMKGFPAWPAMISEPEQWGQTSVKKKILVYFYGTKQIADRSKPPAPGTWVEEWV >ONIVA03G18820.1 pep chromosome:AWHD00000000:3:13919558:13920039:-1 gene:ONIVA03G18820 transcript:ONIVA03G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWRLWSGLADVVADHVNAKMHVGVIVGAIHGGRG >ONIVA03G18810.1 pep chromosome:AWHD00000000:3:13910353:13913888:1 gene:ONIVA03G18810 transcript:ONIVA03G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKAWKLCDPDAENVFKALRKAGVKTAVVSNFDTRLRPLLHVLKCDHWFDAVAVSAEVAAEKPNPTIFLKACESLGVKPEEAVHVGDDRRMIYGELEMQAAMPGYGEVMFTLSRRLQRGSGLRWPSDGQWFSGALIDIYGLGVRS >ONIVA03G18800.1 pep chromosome:AWHD00000000:3:13907095:13907591:1 gene:ONIVA03G18800 transcript:ONIVA03G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTVAARLDPTSMTPDLSSKHDVGSTPESSSAADPVALCHMELDVGDLFTTMNWAGDASSTM >ONIVA03G18790.1 pep chromosome:AWHD00000000:3:13903424:13906193:-1 gene:ONIVA03G18790 transcript:ONIVA03G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GMJ6] MDSHVGKFFDSVGSIFRGSDTLPWCDRDIIAGCENEVAEAANEEQKNESLMRLSWALVHSRQPEDVNRGIGMLQASLDRSTSPLQTREKLYLLAVGHYRTGDYTRSRQLLERCLEIQPDWRQALTLQRLVEDKTRRDGMIGMAIVTGAFGLVGLVAGGIIAAASSSSSSSRKK >ONIVA03G18780.1 pep chromosome:AWHD00000000:3:13893637:13898854:-1 gene:ONIVA03G18780 transcript:ONIVA03G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSSGGPAHNASLGPARTWTSSLFARRAGDPSAAIAGFQRRLYGGHRRPDVPLAGGSLADSYAERRVEIPKSFVILEDILQHTLSNMHSIQEIILFWQSNALRTNSQKAYFMIFQRGPRAFVATTCQTLAKLQNKGSPVQGLLDSASSMFSTKLAILTRMQHCLASFLAEVYNETDKRRSVLIRRSEQSLHSLFVALNNIFSNLEVELRNAGEPGAVLANHDNNSFELFQRLPEADVQSSAAISLIYENLQKLDIFLSSRLSSHKKPRKMTKYWLPYTFGALGLSAFTLWLLRHSSLMGSSDIDNWLHGAKKLLVWCWDENVQKPVSSIRYLTNTLQQSKGVTGKQYIQFPEDTLSKCRNISSSLCERYEMEPGSPEELACLMINQVAFFSPTLKFQTKKFLRDFKEVMPELEHVLRVQQVTLAFGRWLLLPVLLKPARVVHGQVTQRRDRIAHLKRCQLLSGVQEMLAEFQYCMDKGMKEEAQCSLGLLLYSIYRFYRGVESSSKETGEWIYMKEHIFCLADPQLGVAIKRDILSSLRNYKCLSPPSSLYGF >ONIVA03G18770.1 pep chromosome:AWHD00000000:3:13877643:13881021:-1 gene:ONIVA03G18770 transcript:ONIVA03G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GMJ4] MASVTYIDDSGSEVIDPPKTEVLDVTELAGDPVPYSPKPNVVVSSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCVGIYPYYCKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDLEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQ >ONIVA03G18760.1 pep chromosome:AWHD00000000:3:13875010:13875621:1 gene:ONIVA03G18760 transcript:ONIVA03G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGGGSVGPFSKKPRHLSRSLTYHHHHHPYQGQGRSPSFNARRQHHPQQQDHAVVLYTTSLRGVRRTFADCAAVRAVLRGLRVAVDERDVSMDASLRRELQSLLAARGRPFSLPQLLVGARLVGGADEVRQLHEAGELRRLLEGAAGQDPAFVCGGCGGVRFVPCPACDGSRKVFVQEEGCARRCGDCNENGLVRCPNCCS >ONIVA03G18750.1 pep chromosome:AWHD00000000:3:13871380:13873790:-1 gene:ONIVA03G18750 transcript:ONIVA03G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPSLHLPCNSRTGFAGKTQGIRLRVIPAGRVGFVRTTVECKESRIGKKPIEVPSNVTLTLEEQFIKAKGPLGELSLNYPGEVKVVKEESGKLRVSKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGKDLVMNLGFSHPVRMAVPEGLKVKVEENTRIIVSGYDKSEIGQFAASIKKWRPPEPYKGKGIRYADEIVRRKEGKAGKKK >ONIVA03G18740.1 pep chromosome:AWHD00000000:3:13853520:13856042:-1 gene:ONIVA03G18740 transcript:ONIVA03G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G31530) TAIR;Acc:AT4G31530] MAMAMACLNPLALSPRLAYGGRAAPRRCCSVVVPTSSARAAAGRCRWRLAAVAEEPQAVRQQEQQQRTEGSGEAGAEAAADASSKLVLVVGGTGGVGQLVVASLLSRNIKTRLLLRDPAKAVTLFGEQDESVFQAYKADTRNAAELDPEIFEGVTHVICTTGTTAFPSKRWDGDNTPERVDWDGTRNLVSAMPRTIKRLVLVSSIGVTKYNELPWSIMNLFGVLKYKKMAEDFVQNSGIPFTIIRPGRLTDGPYTSYDLNTLLQATAGERRAVVMGEGDKLVGEASRLVVAEACIQALDIEFTEGQIYEINSVKGEGPGSDPEKWKELFRAVQ >ONIVA03G18730.1 pep chromosome:AWHD00000000:3:13850437:13852405:-1 gene:ONIVA03G18730 transcript:ONIVA03G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole (Corrin/Porphyrin) Methylases [Source:Projected from Arabidopsis thaliana (AT4G31790) TAIR;Acc:AT4G31790] MLYIVGLGLGDERDITVRGLDAVRRCAKVYMEAYTSLLSLGLDPSALSNLEKMYGKEITVADREMVEERADQMLREAADADVAFLVVGDPFGATTHTDLVVRAKNMGVEVKVIHNASVMNAVGVCGLQLYRYGETISIPFFTETWRPDSFYEKIQNNRRLGLHTLCLLDIRVKEPTLESLCRGKKVYEPPRFMSVNTAISQLLEVEELRGGSAYGADSLCIGVARLGSDDQKIVTGPMKKLLDVDFGPPLHCLIIVGETHPVEEEMIEFHMIKS >ONIVA03G18720.1 pep chromosome:AWHD00000000:3:13846177:13851926:1 gene:ONIVA03G18720 transcript:ONIVA03G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRRGWLERIKRLFVSEPKQKPKPDKKVKSKRWMFAGKLKTQHSFALPAPAPAVEEEQIRQAEDEQSKHAMAVALATAAAAEAAVAAAHAAAEVVRLTGKTAALAPAPATTTTPTPYGHEHAALMIQSVYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMKIQARQRARASSAAAAGGDHNAANSPAPDGMDALLRRGRELYYAAAAAVHEQQLSKGWDSSTLSKEEMSAMSRSREEAALKRVRALQYASLHQSEKVGVRRQPMSREEMETLNQRWSWLEEWVGSQPPFDKDIPVAHQSPSRDAAGAAMNDDERPPPPPVLRSRSRADRLACVGGDDDDADRQLGYSARRSFTRAGRRTPARDDDGGGAAAFPGYMASTASAKAKFRSMSTPKERSGAGAADAYSEQCFPFADRLLSPIPSMSPIPSIASDIVFARSSRPAAAQRSPRVKGPMTPTRSRSRRSPGRHSFGSEAALHQLQMEQYTPIR >ONIVA03G18720.2 pep chromosome:AWHD00000000:3:13846525:13851926:1 gene:ONIVA03G18720 transcript:ONIVA03G18720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRRGWLERIKRLFVSEPKQKPKPDKKVKSKRWMFAGKLKTQHSFALPAPAPAVEEEQIRQAEDEQSKHAMAVALATAAAAEAAVAAAHAAAEVVRLTGKTAALAPAPATTTTPTPYGHEHAALMIQSVYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMKIQARQRARASSAAAAGGDHNAANSPAPDGMDALLRRGRELYYAAAAAVHEQQLSKGWDSSTLSKEEMSAMSRSREEAALKRVRALQYASLHQSEKVGVRRQPMSREEMETLNQRWSWLEEWVGSQPPFDKDIPVAHQSPSRDAAGAAMNDDERPPPPPVLRSRSRADRLACVGGDDDDADRQLGYSARRSFTRAGRRTPARDDDGGGAAAFPGYMASTASAKAKFRSMSTPKERSGAGAADAYSEQCFPFADRLLSPIPSMSPIPSIASDIVFARSSRPAAAQRSPRVKGPMTPTRSRSRRSPGRHSFGSEAALHQLQMEQYTPIR >ONIVA03G18710.1 pep chromosome:AWHD00000000:3:13830841:13837336:-1 gene:ONIVA03G18710 transcript:ONIVA03G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSTRPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDDLLANISTLSSVYHKPPEAFVSRVKTAPRADDEEFADTAETGYSESPSQGVDGASPSSSAGTSSNVPVKQPAAPAAPAPMPDLLGDLMGMDNSIVPVDEPTAPSGPPLPVLLPSTTGQGLQISAQLVRRDGQIFYDISFDNGTQTVLDGFMIQFNKNTFGLAAGGALQVSPLQPGTSARTLLPMVAFQNLSPGAPSSLLQVAVKNNQQPVWYFNDKIPMHAFFGEDGKMERTSFLEAWKSLPDDNEFSKEFPSSVVSSIDATVEHLAASNVFFIAKRKNSNKDVLYMSAKIPRGIPFLIELTAAVGVPGVKCAVKTPNKEMVALFFEAMESLLKFELLNLNKALLTTN >ONIVA03G18710.2 pep chromosome:AWHD00000000:3:13831551:13837294:-1 gene:ONIVA03G18710 transcript:ONIVA03G18710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSTRPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDDLLANISTLSSVYHKPPEAFVSRVKTAPRADDEEFADTAETGYSESPSQGVDGASPSSSAGTSSNVPVKQPAAPAAPAPMPDLLGDLMGMDNSIVPVDEPTAPSGPPLPVLLPSTTGQGLQISAQLVRRDGQIFYDISFDNGTQTVLDGFMIQFNKNTFGLAAGGALQVSPLQPGTSARTLLPMVAFQNLSPGAPSSLLQVAVKNNQQPVWYFNDKIPMHAFFGEDGKMERTSFLEAWKSLPDDNEFSKEFPSSVVSSIDATVEHLAASNVFFIAKRKNSNKDVLYMSAKIPRGIPFLIELTAAVGVPGVKCAVKTPNKEMVALFFEAMESLLK >ONIVA03G18710.3 pep chromosome:AWHD00000000:3:13831551:13837336:-1 gene:ONIVA03G18710 transcript:ONIVA03G18710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSTRPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDDLLANISTLSSVYHKPPEAFVSRVKTAPRADDEEFADTAETGYSESPSQGVDGASPSSSAGTSSNVPVKQPAAPAAPAPMPDLLGDLMGMDNSIVPVDEPTAPSGPPLPVLLPSTTGQGLQISAQLVRRDGQIFYDISFDNGTQTVLDGFMIQFNKNTFGLAAGGALQVSPLQPGTSARTLLPMVAFQNLSPGAPSSLLQVAVKNNQQPVWYFNDKIPMHAFFGEDGKMERTSFLEAWKSLPDDNEFSKEFPSSVVSSIDATVEHLAASNVFFIAKRKNSNKDVLYMSAKIPRGIPFLIELTAAVGVPGVKCAVKTPNKEMVALFFEAMESLLK >ONIVA03G18700.1 pep chromosome:AWHD00000000:3:13829701:13830660:1 gene:ONIVA03G18700 transcript:ONIVA03G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVYDSQALGPHKLVFFSEIVASPPSSAAPAVTLRLLVLMAYRSSYGDDDDDDGGGDVDTMEDVSCRVPLRDLTMARGGDGDDVGAVRAAAAERAFGELVAGLEHPTLRPEVETEVPRAAARVLARCEGRAEEEVAELEIRMHVVLIAHDAPREEGDGEDDESGSDMDFSDVCGRRGDWGDGDDADAFLSDDDDDEGAQFAARPYGGAMLREGGPSDGTLLLSGFATRSDGPELDDQLELTPRDIRRLVRMALKGKNVERDEAYQRALDGGTPVSPESLAAMLDQALQSVRQQPPQQQQNCQNTTRDGGVVRRMHTGF >ONIVA03G18690.1 pep chromosome:AWHD00000000:3:13825126:13829156:1 gene:ONIVA03G18690 transcript:ONIVA03G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPVPPPIAPIPAPPPRAPAPAPAAAAAVASTSAAGGGGGGGGEADYEVSDDHRAARERHERAVQELLQRRRAFAMAVPTNDSAVRARLRRLGEPVTLFGEREMERRDRLRALMVRLEADGHLDRLLRAQEEEQGAAGAEEEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRCHDDPDEDPEAEANLVVKQAEDFVLECSEIGDDRPLTGCSFSRDFSMLATSSWSGMIKVWSMPQVTKIATLKGHTERATDVAFSPVDDCLATASADKTAKLWKTDGSLLLSFDGHLDRLARLAFHPSGGYLATASFDKTWRLWDVSTGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDAYARVWDLRSGRLWGTLMGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRTKRMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTKAALWSARDYKPIKSLVAHESKVTSLDISGDGQQIVTVSHDRTIKIWSCRSRAQDNAMELD >ONIVA03G18680.1 pep chromosome:AWHD00000000:3:13820259:13821686:-1 gene:ONIVA03G18680 transcript:ONIVA03G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGRTVLPSYVLLDRIVRSEEEAVEEESEWAAMECADWKSYGCHPGDKRYPRNAARVKGMLLLARLAEPPGLSELSIRLSAAAAVPRPPPASFPPGAAVPPIPNLPDASPYDDPPLTYVEAAGDGLIALTSCFRDGCSCYLVYDVVGKSLSMIPGLPESCLTYCSMRPLPLRTAAAGGYALRSSYSLAIVAKDRRFDMEAGGDVYRDVLCLCPPRPSSSSSSRGGITPWQFKDAIFPSQMPGSFHGDKVFSFGGHAFWADLAKGVLFCRCDDALSGRNDDAVQFRYIPLPVECHLKISFAMRGDLQLCRTMSCVDGGGDSIKFVCISDGGSSSAHTGDRAITMWTLTLATGEWLKDAQLMVADLWELEGFDKARLPKAIPISPVLNPQEDGVLSFMLNDADAELYMVSLNMHSKKLLSSLTLSSCPDDIVPPLGLDLSKDLQNLSLRPIAAESVPAKTQGRPIATKRRRSSLP >ONIVA03G18670.1 pep chromosome:AWHD00000000:3:13786409:13788604:1 gene:ONIVA03G18670 transcript:ONIVA03G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTDDFVHAGIITAAVFAVLLVALSTYGRRFRHPAIRFVMLGACTIFLPLTSSIISVLLRRSTESKCDGTAPAKGKSNPDIQNMWTLLLWIALIILIKGNADVASAGVAMSAAFPASGDVSIDGQRVRPPLELLAQYAWLAYLIYLCIPVAGWLGIVNKAIFIAFCVLGLAKMALKLAAFWSASFSFALGKNARLISGYMAQLVEDGGNHGGVPRYIVAGEKEEHVEENPKGYRIKGDALTNKKSDLVTLDRVWQMAAPDSDSLLATRPELRDLCLSYSLFKSLRRRLSGYPLADEGSPNALDFVLRGMGQGGGGGSAERLFRVLIDELWFASDFYYSPISLSSFSGWCAVLNHLFSALIVVGAVTVGWIYRTKQVVIFDGSQAFYYIVTVVLLLSVVFIEIWEIVADVCSNWTKMALLAQYIRHDSPWRRFRFVHSALDAVLRWFRPARRWRDKIGQNSVLEPRRFRKRNGFLAEKFYGRAGLMESVGVSLIVIEAMYRSFRNIYGLRTNELAADATANRPVGGNADRVRYSSLRRFQFEFDTVTDKILAWHVATRLFEIAHARTSPDNKIVACHLSYYCAYLVAAVPELLPDCPAWTQKRYKKVATDVRAVLGSHGIAGGSTASASDAQLSQLGDRDKVLRDGVAIVGRLVEEFAEGEGVDEELAWQFLANFWSEMVIYVAPSENVKGHVEAMGRGGEFVTLVWALLLHAGITTKPPAQAVLYRRETV >ONIVA03G18660.1 pep chromosome:AWHD00000000:3:13760959:13761486:-1 gene:ONIVA03G18660 transcript:ONIVA03G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPHVNLLFPPLSTSLSSPLLSPPLFSVSIHSLPKDQPSRGERSSAAGGGGGGDEAWVDDREEGARVSARPHASSASSGRHHVRLPKLAYSTDPVGCSSSQAMHAASSSHRLSPAAPPPTRLFARSAPSGPMVYTRGNSSLSTSKTSDSRSSNESVEDEPRRPGAAVRLVLVHA >ONIVA03G18650.1 pep chromosome:AWHD00000000:3:13744436:13747311:-1 gene:ONIVA03G18650 transcript:ONIVA03G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVEVEEGDERAAELARKKAAAAAAAAAKKAEEAAVEEEEVVNGEEEVEEEAVDGEEGDGDEEGDGEEEGDDEEEDAEEGEKGAAGHTVVEISDEEDEEDGEEGDGGDDDDDDDDDDDDDDDDEEEEVEGDEQEELGTEYLVKPLGDAEDEEHSSDFEPDENGEGAEDEEIDEDDDGDDDSAKAQSSSKRKRSGGDDEDDDDDDDDGDDDDDDGRPSKR >ONIVA03G18640.1 pep chromosome:AWHD00000000:3:13738218:13741820:-1 gene:ONIVA03G18640 transcript:ONIVA03G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GMH8] MLQYLFPPPNTELVVDTESSALSFTEESRTEQEEENKKKKEKNPMASCASPRLKSLCHHPLFIILLALSLLQTITAEDEQEADRVAFLPGQPRSPQMSQFSGYITVNSQNGRALFYWFFEAQALPSKKPLLLWLNGGPGCSSVGYGAASELGPLMVNGNGTGLEFNKFAWNNEANLLFLESPVGVGFSYTNTSSDLESIDDRFVAEDTYNFLVNWFKRFPQYKNHDFYISGESYAGHYVPQLADVVYERNKHVETNQHINLKGFIVGNAETDDYYDYKGLVEFAWSHSVISDQLYKHVNNVCDFRLSPRSNECNHVMGYIYDQYDMIDIFNVYAPKCNTDDSSLFSTSYSTADMNAKKRLKGTRMYSGYDPCYSSHIEDYMNKMDVQKSLHANTSGLIKDRKWSICSYSIFDNYDITVFSVLPIYSKLIKAGLRIWVYSGDVDGRVPVIGSRYCVEALGLPVKSQWQPWYLNNQVAGRFVEYQGLTMATVRGAGHAVPQDKPEQALVVINSFLSGRRLPTKNNR >ONIVA03G18630.1 pep chromosome:AWHD00000000:3:13725011:13732436:-1 gene:ONIVA03G18630 transcript:ONIVA03G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFVIHIGTWASWATTHTQPNRSVAHRRRGGVGRQRAQARKPAVKHRDDGLTLEQRRERDAKALQETAARKAVQGLTGSRQPEGAGQVEASACNKVRWWRKQRSVGRGFGQITILPAIKILNF >ONIVA03G18620.1 pep chromosome:AWHD00000000:3:13723771:13726319:1 gene:ONIVA03G18620 transcript:ONIVA03G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GMH6] MATEAAATARWLLLPLLSPLQSPHKQQQLIFAALLLLFFFSSLQSLHCYAAAGYNEQQEADRVAFLPGQPSSPKVSQFSGYITVNRQNGRALFYWFFEAQALPSQKPLLLWLNGGPGCSSVGYGAASELGPLRVSRNGAGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSSDLTKLNDGFVAEDAYNFLVNWLDRFPQYKDHEFYISGESYAGHYVPQLADLVYERNKDKKANRYIKLKGFIVGNPLTDDQYDSKGLVEYAWSHAVVSDGIYERVKKVCNFKISNWTNDCNEAMSSVFRQYQEIDIYNIYAPKCNLAQTSRVAAFDHALEASDQEQFSRRIRMFSGYDACYSSYAEKYFNKPDVQKAFHANANGMLPGKWKVCR >ONIVA03G18610.1 pep chromosome:AWHD00000000:3:13699774:13706000:-1 gene:ONIVA03G18610 transcript:ONIVA03G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSVTSVFQVVNDYVEAVRQLACHVLDLLGEGLGLRDPTSLTRLITATDNDSLIRINHYPPSCAAAAGDHKSGGGPAPTAAIGFGEHTDPQILSVLRANDADGLQLLLPDAAAAGDSVWVPVPPDPSAFFVNPRLSTIYFAAPPLHARISALPETVAAGAPRRYRAFTWAEYKRTMYTLRLSHNRLDLFHAGDGDGDAGGLLRTSSGRLNVTLNLSPFAATENGVLVQFAK >ONIVA03G18610.2 pep chromosome:AWHD00000000:3:13699774:13712920:-1 gene:ONIVA03G18610 transcript:ONIVA03G18610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAQQHKCRSSIRSSHSSNINAMVVPAAAAPECGRREAVAAAAAAVFCRRGRGVVVPTVDMSAPAGRGELSRQVARACAGSGFFRAVNHGVPPRVSAAMDAAAAAFFARAGAEKQLAGPPDPLGYGSRSIGANGDVGELEYLILHASPDAVARKASAIDREDPRRFSQVVNDYVEAVRQLACHVLDLLGEGLGLRDPTSLTRLITATDNDSLIRINHYPPSCAAAAGDHKSGGGPAPTAAIGFGEHTDPQILSVLRANDADGLQLLLPDAAAAGDSVWVPVPPDPSAFFVNPRLSTIYFAAPPLHARISALPETVAAGAPRRYRAFTWAEYKRTMYTLRLSHNRLDLFHAGDGDGDAGGLLRTSSGRLNVTLNLSPFAATENGVLVQFAK >ONIVA03G18610.3 pep chromosome:AWHD00000000:3:13706908:13712920:-1 gene:ONIVA03G18610 transcript:ONIVA03G18610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAQQHKCRSSIRSSHSSNINAMVVPAAAAPECGRREAVAAAAAAVFCRRGRGVVVPTVDMSAPAGRGELSRQVARACAGSGFFRAVNHGVPPRVSAAMDAAAAAFFARAGAEKQLAGPPDPLGYGSRSIGANGDVGELEYLILHASPDAVARKASAIDREDPRRFRILKA >ONIVA03G18600.1 pep chromosome:AWHD00000000:3:13695835:13700684:1 gene:ONIVA03G18600 transcript:ONIVA03G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQTPMGLAAAAAAAVRPCRRRLLSSATAAAAATVATATPLFPRCPHPHHHLHGRRLPFLASAASQQQQQSGQTAASPATPPIPSDPRAAVSGNLPFFDRVLFPDTFPVETPPPSSAAASAAAAADEEVASALKVREETEAEREAWRLLRRAVVSYCGEPVGTVAAEDPECTETLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRAVPLDDNNEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYCKITGDNALQERVDVQTGIKLILSLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVMNDGSKNLLRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAITSSLTTPKQAEGILSLIDEKWDDLIANMPLKICYPAMEDDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEEKLAADKWPEYYDTRSGRFIGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCACCLSKKRTRCSRRAAKSHVVE >ONIVA03G18580.1 pep chromosome:AWHD00000000:3:13680926:13685682:1 gene:ONIVA03G18580 transcript:ONIVA03G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi apparatus membrane protein TVP23 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GMH1] MDQHQVVGENYANPKTCFFHVLFKAGALAFYILSALFVTNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIVLGIFSLIRLHADYLLVVGVCLSLSIANIVGFTKCNKDAKKNVADWTRTTLLSSGVRSTIQSAFGV >ONIVA03G18570.1 pep chromosome:AWHD00000000:3:13611541:13612976:1 gene:ONIVA03G18570 transcript:ONIVA03G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDAPCGELLPVLPSSSAPPSQPRGHGFLAGELLPVLPSSSSPADYECYVHYTE >ONIVA03G18560.1 pep chromosome:AWHD00000000:3:13606940:13607257:1 gene:ONIVA03G18560 transcript:ONIVA03G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAVFLAGWRHYQYRVLEGSPLTPLVHVTSHGGRAAGWFGKDLNSSRLDLFYWLLACIGIANLVFYVVVATRYSYKTVMAGGKVVDDKAGDIECAAAAAAAY >ONIVA03G18550.1 pep chromosome:AWHD00000000:3:13575786:13585828:1 gene:ONIVA03G18550 transcript:ONIVA03G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDRGGGRGEQQPRYGDPAGCSAPGEGGGGEPMAPYRPTATGFVWAPLRMSGRPQQHEPPPLVDVVVYYGARAAIPAPHDQAAYELGGAVLWRSAPLMEESTLPPPAAAGGEAVAVAASDIDPVRPGCGASNGKKVMIEENDLFCDFVINTKTTSSQTSRILPDELIKLHGFTTLGGKRPAYDGRESLYTNGSLPFESKESVVKVFDPEKNAKERAQKEFKITIEIVGKTNLYHLQRFLLGKHRGIAQEIIHVFDVILSDKLSRNHVTGPRSFLCTQIGHQGYIGDGLDSWRDGFLNISYTSRPLLERNRVQLKKVLCHVCIETNHHDDQIGRYKITGITPIPMSNNICPVGEEGTTMTVLQYFCDMEKTGVPSVGHWNIAEEKIINGGALDNWTSLNLSRMRPEEVQRFCSDLIQMCNATGMSFYPRPVVDFRSSHPNNIQNALRDVHRPMHSYVDCDENHFYADAHCNCTVSVVPSSSNDKVAVEQEELQFASTPGNSGQGKFQVGFLLNRQTILVDTENDDSVSAVIQRAIEKTNYWPKDVYYTYSMGMIDKKKMVKESHLYKGSLIFVNSRSRGGGEPPAGCERIVDRMIKANKIPLLDHIHSVKGHDPWAEWFERVNLPRSLLTSSQEWGGVLLQDAAAKVGQMLALCLERAHCSGKCFGGFDIRDVYYIEYYQLIDINAPMTDFTSRRYINDWLSFNKIIDDHFRYTDPTSGYLSYTLYVEDFMFRISLLEDVPSTGRSWRERAVFFKNISLESSERRVQIVEGLVGFYRERLTSDRELFEEVLQDCGYWKRKTRTVPRMSSALTYSVKNELQDWVPANHYFENCGYSQLYFCRCFFGHYTVPGRITKKELDTAVGILLPGHIPRAQKRLMVNYEETQVDAAVLSPSGNTARFSVHHIFGIGSVGNDLALPQIKKALPPSQRKRKQTCDNLTSLLYTCSCFSANFPLVAESSDGSSGQAVEIWKWHSDQYGQPVKNR >ONIVA03G18540.1 pep chromosome:AWHD00000000:3:13569131:13569633:1 gene:ONIVA03G18540 transcript:ONIVA03G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSYDGVVALWLLRYYDEEAWECVCTRVAAGFLDRRRGRDDGVLRRPPTTAAAGTRSLLLSSQGHRYGVYNLRRGEVVAAGRELGGGDDVILATQYVYQESFASPVARRGVGDGAPSPRGELAAGAGEASSSSLIRNSPGFYGVLIFSLLSLKYGNGCSWSSV >ONIVA03G18530.1 pep chromosome:AWHD00000000:3:13551458:13567790:1 gene:ONIVA03G18530 transcript:ONIVA03G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVGLVQLLVAFVVAWEAVELVLRHGLLLSLFKFALAAALAVAASFVAALFLARVVAWVLRRTAKLSIGCRSFSFNYLRDITIKSPKGAVDSICVGEIRLGLRRPLTQLGFTILNHGPILQLQISDLDIVLRQPAKSAKKKKPPPRKSTSTSPAKGKGKPKGQGKWRLITNIASLLSLSIVELRFKAPKAALGIKDLKIDLSKTGGLDPILNVEIHILPLFVQALEPDGIDNSTSVFSKLDWWVSGQYCSAMDTADCSSFLFEDIVLLCDLHQRGKGVGVKNLDLVIGPIVVNLEEKLFTKKKLSAPTVAEKTDEPAADVKSDTKSEGSKLSSLNKKIDLLPEKVSFNMSKLDLKFLPKDHGLLINNEIGGISVRFVKSQPHSDFGEATHLQLETDVSDIHLLMDGATSVLEVVKVATVVSANIPIQSTSPIRAEAGIKISGSQCNIIISRIKPLIPLNSAQKKPTVPRESSTQEKTPKEKLALDLVFTLSAPELTIVLYSLDDIPLYHCCLLSTHFAASKTVNQGTELHAVLGELKLIVAGKPQQSIKDRISGTLLQISRSTIDLEQKVPDKDNCIDNPKSSLSLNISGVRMNVCFYYLELLCTTAMSYKVFLKSIRPPKKRPAQGTSQKTTKNAKGAQIVKISVEQCAVLYVGDMILEDMSIQDPKRVNFGSQGGRVVIINDADGSPRMAYVNSTSLPDHKHVNFFTSIEINQIGLCLNKEKQSVQVELGRSRLTHKEDLLDDKPVEEVTLFDVQKVKFVRRSGGSNDSAVCALINVTEVAVWWEPDPYLELLEVATRLKSIMHRIKHQNSANEIKDDTVYTDTLAKKDSLTEHGQQEKPQKKQELVIAVDVESLKISGELADGVEAMIHVGSIFSENAKIGVLIEGLVVSFCGARIFKCSRTQLSRIPVSISDSLPDKKLQSAATCDWVIQCRNAYVCLPFRLQLRAIDDAVEDTLRAIKLISAAKMSVLFPEKKSSGSSSSSSSSKKSKSKSTEFRYVRVIVRDLVAEIEEEPIQGWLDEHIDLMKSVFNESTVRLDLLDELASVKHKDSPKAKLDGSSSEKNNGCPEVDGDAPGVCSFEKLREDIYKQAFQSYYLACQALKVSEGSGACSSGFQSGFKMSTRRSSVMSVCAKDVDVSLSKIDGGDEGMIGFIKTMDPVCAKNDIPFSRLYGSNFTLKAKSLSAYLRDYTFPLFSGTSAKCNGRLVLAQQATCFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKSYVDLPLHFQKGEVSFGVGYEPVFADVSYAFTCALRRANLAKRWFFERPEPPRRERSLPWWDDMRNYIHGKFRLDFTKTTWHLPAKTSPYEKLDQMLITSDYLEICYVDGYVSLYSKYLKVYLTSLESLAKKCSLETPHHEVIPFLETPSFFMDIAIQWGCDSGNPMDHYIFALPAEGKPRDKVLDPFRSTSLSLKWSFSLKPSTTEPVKHQQNIQAVSNNSPTVNVGAHDFVWLMKWVNIFFLPPHKLRLFSRFPRFGVPRFIRSGNLPLDRVMTEQFIRFDASLLQINNMPLQVDDPANGLTLHFTKFRLEIAFSRGKQIFTFECKREPLDLVYQGIDLHLLKVSIKKTPEPSISKDAQVENKSLHMKATDSPGKNKTSSTEKSRDDGFFLYSDYFTIRKQTPKADAARLSAWQEDGRKKSEMPLAKSEFDGGEESDHAQSGSDEEGFNVVVADSCQRVFVYGLKILWNLENRAAIVSWVGDLTQAFQPPKPSPSRQYTQRKILEKKQSTKEAEMSNDGTLSSSPLASQSSDPPKQTKSSEPPSSGPSKLESTSTSDTAMKTSNSSDSEEEGTRHFMVNVVQPQFNLHSEEANGRFLLAAGSGRVLVRSFHSIVHVGQEMFEKALGSSNVAIGETRPEMAWSRYEVSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYFRYTRHKGGNPELKVKPLKELAFNSPDITAGMTSRQFQVMMDVLTNLLFARAPRTKKSNLSYPLDNDDDDDTGEESDAVVPDGVEEVELAKIDVEIKEREWKILLDDIRTLSVGSEISADETQTPKSDDATWIVTGSRASLVKCLKKELVNVRNGRKEASSMLRVAMHKAAQARLMEKEKNKSPSFAMRVSLKINKVVWSMLADGKSFAEAEINDMIYDFDRDYKDIGIAHLTTKLFVLKNGLANAKSDTVLAPWNPPSEWGKNAMLRVNARQGAPTGGNSVIESLLVDIYPLKIYLTEAMYRMMWGYFFPGDEQHPQKRQELFKVSTTAGTRRVKKSTSIAETNSPSKQSLKDSMLLQKPELRRTSSFDRTWEETVAESVANELVTQFQSQSNAPPESQDAPKEAKLVRSARSTREEKKNMDPNEVKQTRPQKMMDFRNINISQVELQLTYEGLPFAVSDGKKFKAKSTSQKEPTASLIAASDFNLSDSDGDEAGGSDQLPAFLKKPNDGAGDGFATSVKGLFSSQRKKAKAFVLKTMKGDADHDFQGERSENEIEFSPFARQLTITKTKKLIRRHTKKIKSKVPKGAATSQELGSELPPRGPSGNQTDSSSSDDNDSSPTETNPKD >ONIVA03G18520.1 pep chromosome:AWHD00000000:3:13547216:13549699:1 gene:ONIVA03G18520 transcript:ONIVA03G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleolar protein 12 (InterPro:IPR019186); Has 2484 Blast hits to 1934 proteins in 262 species: Archae - 0; Bacteria - 90; Metazoa - 921; Fungi - 378; Plants - 144; Viruses - 18; Other Eukaryotes - 933 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G11240) TAIR;Acc:AT1G11240] MAWEEEAAVEEEEYEYGEEMEGSGSEAEDVVVGQMPTVMVPKHINKRALKNKALSVSLDEKALKDFVTGFHKRKKKRRKEAQKILQEKERKKRIEERKRRKQEKEIALYGRVLSSDNADGEDVENDGDEMETDDLPEPEVKTYEDGGTKITVTTSEITPEDDDEDLGPKRITPASTGYANKSVSKKSASLGVKKKPSKRTFRNKSKSKKGDKKRGAAKGKRKNKGRK >ONIVA03G18510.1 pep chromosome:AWHD00000000:3:13541257:13543072:1 gene:ONIVA03G18510 transcript:ONIVA03G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVSSLNPDAPLFIPAAFRQVEDFSPQWWDLVKTTAWFRDHWFHEHQQLDEMADSLALHDAAADDDDLAGLLPDDAFDDDDDDDDLFFDQTHNLLVDPPQPPAALKTDAVLKALSLVSPKGGDAPRGLREKPRHSEKPTKYAGSPRSGGAPRVIHQPR >ONIVA03G18510.2 pep chromosome:AWHD00000000:3:13541068:13543072:1 gene:ONIVA03G18510 transcript:ONIVA03G18510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVSSLNPDAPLFIPAAFRQVEDFSPQWWDLVKTTAWFRDHWFHEHQQLDEMADSLALHDAAADDDDLAGLLPDDAFDDDDDDDDLFFDQTHNLLVDPPQPPAALKTDAVLKALSLVSPKGGDAPRGLREKPRHSEKPTKYAGSPRSGGAPRVIHQPR >ONIVA03G18500.1 pep chromosome:AWHD00000000:3:13530433:13532961:1 gene:ONIVA03G18500 transcript:ONIVA03G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPVDGFSADANLPSPRRSDCEVALGVAGRRRLRFPGGVGADDGVISDDDIYGHDDIPDGGYEIGRVYSFRVETTRWRADQLHRWCAALQRGRVREVTLANLTMEGHPELPQGIRDCATSLKGLHVFFFTMEADHIDSLVNLRVLGLYGCPGMILRALRPESEIRVLTIDFSRLVDVLVQTTRLRSLEMHNNVVQGTVVVHDAIQLRKLHLLPPTRPSKIFIGEAPSLRSIGYLDLFNTVFVIKGIVIQAGMVLHPPKMRSVRILGLRVNYTEMGHRVPREIEQILKCFPCLEKLEIMRYDEVAPEEGLLKADDEHIYQGNNFFRDLGCFSHHLRWIYLTAFRGGKYELALGKAILDEARAGTMFKMLHPQGSNQLWRALEHFRMTTPNHAVRDRHVSVILRLRKAGDLPG >ONIVA03G18490.1 pep chromosome:AWHD00000000:3:13526930:13528337:1 gene:ONIVA03G18490 transcript:ONIVA03G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAGVPMVKVRGGDGVEFSVQARRLAELAPGYIWDLPAIESGDIYDTVQVYRMNAELFTSRATGELLPQGVLRVQSIFAERVHDLDTLGHLTRAAIALDMEDLKDECYKRMLQDHQMSPQEVKLFLQNVLGHL >ONIVA03G18480.1 pep chromosome:AWHD00000000:3:13521684:13534446:-1 gene:ONIVA03G18480 transcript:ONIVA03G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GMF9] MAGARSLLLRHLRVAVAPSSSSPVASLSPVVVLREALCGRQWMSSEEAKGSFLDKAEVTERIVKPIYNRKENIHTIILYQKRASIAISSLISSPHSAAAANPSLLSRRRRRSPPGSSEPPPPPQFLSRMAGARSLLLRHLRVAVAPSSSSPAASLRPVVALREALCARRWMSSEEAKGSFLDKAEVTERIVKVVRNFQKIDDPAKVTPDAHFKNDLGLDSLDAVEVVMALEEEFGFEIPDNEADKIDSIKPAILYGDFAGYQRMHKSQAEEQLVWTGTATRLNLATTSAIELVHECVMSMP >ONIVA03G18480.2 pep chromosome:AWHD00000000:3:13521684:13534446:-1 gene:ONIVA03G18480 transcript:ONIVA03G18480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GMF9] MAGARSLLLRHLRVAVAPSSSSPVASLSPVVVLREALCGRQWMSSEEAKGSFLDKAEVTERIVKVVRNFQKIDDPAKVTPDAHFKNDLGLDSLDAVEVVMALEEEFGFEIPDNEADKIDSIKPAILYGDFAGYQRMHKSQAEEQLVWTGTATRLNLATTSAIELVHECVMSMP >ONIVA03G18470.1 pep chromosome:AWHD00000000:3:13521149:13521457:-1 gene:ONIVA03G18470 transcript:ONIVA03G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFAWRGSAAVVRGKKKPVPLLRRVVTGGAAPVTAPPRSSGCGWFIAGGGAGRRTMVFLGPPVMTCHGAAGAGNGSRLFPTSRRCSGGVAATLGRPAPARA >ONIVA03G18460.1 pep chromosome:AWHD00000000:3:13520935:13521782:1 gene:ONIVA03G18460 transcript:ONIVA03G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRCCVYMHEMDDVHRWLPSEVLRDIGIADADERRRLAIVEDLARPSTLSSLVTIDHRDHHALAGAGRPRVAATPPLHLRLVGNSRLPLPAPAAPWHVMTGGPRNTMVLRPAPPPAMNHPHPLLRGGAVTGAAPPVTTRRSSGTGFFLPRTTAADPRHANHHMTAAAARPPYYQCSTEAVSGTKAPARQRGSGCGDDHGEEAARAHASNGGKHAAARRCSRGNHQPVPRARSTTGMDSLGHGHDALMHQPAVITHYNYMHGHLYAPYHWC >ONIVA03G18450.1 pep chromosome:AWHD00000000:3:13505782:13506330:1 gene:ONIVA03G18450 transcript:ONIVA03G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRPHPPAPPSPVQAPAPAAPPPVSVAARPQAPYYYYCHGWKEGVAESRCPTTDAAAAAAAANGGVIELSSSDSSGGAGRWDDDDDGCSSCVDGDDGAAAGGGGAGRRRDEEEKGRSQQFGSWWSRHDSSSSSSFLWPPPPNDNGDRAGGGDDEDPAAAAARRQEEDRKFWEACLASGLP >ONIVA03G18440.1 pep chromosome:AWHD00000000:3:13497851:13501542:1 gene:ONIVA03G18440 transcript:ONIVA03G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:copper/zinc superoxide dismutase 1 [Source:Projected from Arabidopsis thaliana (AT1G08830) TAIR;Acc:AT1G08830] MVKAVVVLGSSEIVKGTIHFVQEGDGPTTVTGSVSGLKPGLHGFHIHALGDTTNGCMSTGPHYNPAGKEHGAPEDETRHAGDLGNVTAGEDGVANIHVVDSQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >ONIVA03G18440.2 pep chromosome:AWHD00000000:3:13498249:13501542:1 gene:ONIVA03G18440 transcript:ONIVA03G18440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:copper/zinc superoxide dismutase 1 [Source:Projected from Arabidopsis thaliana (AT1G08830) TAIR;Acc:AT1G08830] MVKAVVVLGSSEIVKGTIHFVQEGDGPTTVTGSVSGLKPGLHGFHIHALGDTTNGCMSTGPHYNPAGKEHGAPEDETRHAGDLGNVTAGEDGVANIHVVDSQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >ONIVA03G18430.1 pep chromosome:AWHD00000000:3:13478376:13481868:-1 gene:ONIVA03G18430 transcript:ONIVA03G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQEMRDEFGSISRHSLRSRSHRGGGGAPRVAAVGPAEAAAMQQSCWAQLPPELLREVLVRIEESEVWWPSRRDVVACAGVCRSWRGITKEIVRVPEASGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDMSDMSKGSNTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRVPAGNYPVSHISYELNVLGARGPRRMNCIMDSIPASAVQEGGKAPTQTEFPLSGLDSFPSISFFRSKSARIDSATSQLSTQKEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPTNQEQDKVILQFGKIGKDLCWMKDEPWKHDKLDRQA >ONIVA03G18430.2 pep chromosome:AWHD00000000:3:13478420:13481868:-1 gene:ONIVA03G18430 transcript:ONIVA03G18430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQEMRDEFGSISRHSLRSRSHRGGGGAPRVAAVGPAEAAAMQQSCWAQLPPELLREVLVRIEESEVWWPSRRDVVACAGVCRSWRGITKEIVRVPEASGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDMSDMSKGSNTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRVPAGNYPVSHISYELNVLGARGPRRMNCIMDSIPASAVQEGGKAPTQTEFPLSGLDSFPSISFFRSKSARIDSATSQLSTQKEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPTNQEQDKVILQFGKIGKDLFSMDYRYPISAFQSFAICLSSFDTKIACAG >ONIVA03G18430.3 pep chromosome:AWHD00000000:3:13478672:13481868:-1 gene:ONIVA03G18430 transcript:ONIVA03G18430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQEMRDEFGSISRHSLRSRSHRGGGGAPRVAAVGPAEAAAMQQSCWAQLPPELLREVLVRIEESEVWWPSRRDVVACAGVCRSWRGITKEIVRVPEASGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDMSDMSKGSNTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRVPAGNYPVSHISYELNVLGARGPRRMNCIMDSIPASAVQEGGKAPTQTEFPLSGLDSFPSISFFRSKSARIDSATSQLSTQKEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPTNQEQDKVILQFGKIGKDLFSMDYRYPISAFQSFAICLSSFDTKIASV >ONIVA03G18430.4 pep chromosome:AWHD00000000:3:13479207:13481868:-1 gene:ONIVA03G18430 transcript:ONIVA03G18430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQEMRDEFGSISRHSLRSRSHRGGGGAPRVAAVGPAEAAAMQQSCWAQLPPELLREVLVRIEESEVWWPSRRDVVACAGVCRSWRGITKEIVRVPEASGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDMSDMSKGSNTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRVPAGNYPVSHISYELNVLGARGPRRMNCIMDSIPASAVQEGGKAPTQTEFPLSGLDSFPSISFFRSKSARIDSATSQLSTQKEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPTNQEQDKVILQFGKIGKDLFSMDYRYPISAFQSFAICLSSFDTKIACE >ONIVA03G18420.1 pep chromosome:AWHD00000000:3:13475235:13477528:1 gene:ONIVA03G18420 transcript:ONIVA03G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GME9] MEAVLMQQAAAAAAVVARPARRRCAGEEVVGGRRPGLVRLGFARRRWSRLRLSPARAHLAVDRSREAGEEAAAVVEEEGEAAVRLFVGLPSDVVTADGRAVNRGKAVSAGLRALKLLGVDGVELPVSWAVAQPGPTGDELGWAGYLAVAAMVRDAGLCLRVSLDTHGSALPAWVAAAAAADPDILFADRSGNRRDGCLSFAVDELPVLGGKSPLQAYEAFFRSFAAAFHDFLGSTVTDVTVSLGPNGELKYPSYPPGSDGAGGYGGAGEFQCYDRHMLARLKRHAVAAGQPLWGLSGPHDAPRYGESPESSTFFRSPGGSWETAYGGFFLSWYAGELLAHGDRVLAAARRVFDGEPVELSAKVPLPRSRPAEATAGLHGGYGPVAEMFARRGCTVIASGMDGSAAAAAVLAQVKAACAEHGARLAGESASLAVARDGDGAPGAWGGLLAAERTRPCHFTYQRMGAEFFSPDHWPLFVQLVRAMECPEEAHEDDLPAAAGDGGRLAVPSGGRAAEDATAKQAQTV >ONIVA03G18410.1 pep chromosome:AWHD00000000:3:13469416:13470633:-1 gene:ONIVA03G18410 transcript:ONIVA03G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G18660) TAIR;Acc:AT5G18660] MAALLLSSHLTAASSSSTTSPTARPAPSFVSFRAANAAPKGARRGCPVLASSVEPPPAASAAQPFRSLAPSETTVLVTGATGYIGRYVVRELLRRGHPVVAVARPRSGLRGRNGPDEVVADLAPARVVFSDVTDAGALRADLSPHGPIHAAVCCLASRGGGVRDSWRVDYRATLHTLQAARGLGAAHFVLLSAVCVQKPLLEFQRAKLRFEGELAAEASRDPSFTYSIVRPTAFFKSLGGQVETVKNGQPYVMFGDGKLCACKPISEEDLAAFIADCISDEGKANKILPIGGPGKALTPLEQGEMLFRLLGREPRFIKVPIQVMDAAIWVLDALAKVFPGVEDAAEFGKIGRYYASESMLVLDPDTGEYSDEMTPSYGSDTLEQFFERVIREGMAGQELGEQTIF >ONIVA03G18400.1 pep chromosome:AWHD00000000:3:13466232:13470121:1 gene:ONIVA03G18400 transcript:ONIVA03G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDEVEVGAEKKDQELPEVEVVEEEEEEGSKKAAAGCDYCGDAAAVVYCRADAARLCLPCDRHVHGANGVCSRHARAPLCAACAAAGAVFRRGAGGFLCSNCDFSRHRHGGERDPAAPLHDRSTVLPYTGCPSALDLAALLGISYSDKAAAATAAAGGDDGGWWAIWEEPQVLSLEDLIVPTTSCHGFEPLLTPSSPKIQNSPDGKVNEEVIRQLTELANSDGGGAQIWAHREAAQAGDHQLPSWGTTTQHNTGHGNFGTANSNEVATMPTPGYENGGWDNSDYPALNDPCKVEFTYEQPPASSAEACISSFVQMSELCPSMSNGSSMEETHQTNPGNGTPMQVLPKMPEFVPCPDRNLVISRYKEKRKTRRFDRQVRYESRKARADSRLRIKGRFAKVNQI >ONIVA03G18390.1 pep chromosome:AWHD00000000:3:13451366:13453139:1 gene:ONIVA03G18390 transcript:ONIVA03G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPCERRPVSLSDDMVAEILLLVPANSVGRLAAVCKQWRRVAADPTFLAARERRAPPLQLLRVSRRPSDSNGRQYDDAELSVYRVLSKGPDPSRKHSSSYFVISAGGGGEPRRLGGATADQLVERHPCSHLGHVAAGGKLYWMGDLVEPGRHPHLNPYAPAKLVAFDTVSEAFRLVAPPPETAANNGDDDDVLMFELDGALAVLKGGAMSTLKLWVLDDDVGGGGGGDAGEQWAPVWECKYSCMLPVSTPASVAVGDDDGGGGDDAGGATFTRRRITLYGVDETASRGRALHVFACGARNGGLLQVAFRENTVAHAFFKTHPSPAVRTFGFL >ONIVA03G18380.1 pep chromosome:AWHD00000000:3:13436674:13448222:-1 gene:ONIVA03G18380 transcript:ONIVA03G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQVSPAPSYKNSPQAQALKPSRRRHPLSRRIPQHLALNPRGGGGGGGAYSPSPPSRRSRTFASRSPPPIVDSRPIEPRCRCSRAVREMLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGDRTNAESLDFSEILSDDEVEAQLKEVAVISMGTEVSELDLLNIRELCDQVLALSEYRAQLFDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRVKLETRLRVLEGKELGRSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADLVLGQSTEETPKKPEGASKKRKHQEAEPAGAEETIQEDGDQEGQKKKKKKKSKDSEDSPVADADGGKKKKKKSKESEEPPVATAEGEKEKKKKKKSDSQDAEDVAMETEASDCWPRQYRLVCMMRYRWLNF >ONIVA03G18380.2 pep chromosome:AWHD00000000:3:13437113:13444382:-1 gene:ONIVA03G18380 transcript:ONIVA03G18380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISFSQLLLMSLSRTPRAKPSPRRRRRRRHLLSIPVSPQPGTYASRFPLPSSNRIDPSCGTRAVREMLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLRIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGDRTNAESLDFSEILSDDEVEAQLKEAAVISMGTEVSELDLLNIRELCDQVLALSEYRAQLFDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRVKLETRLRVLEGKELGRSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADLVLGQSTEETPKKPEGASKKRKHQEAEPAGAEETIQEDGDQEGQKKKKKKKSKDSEDSPVADADGGKKKKKKSKESEEPPVATAEGEKEKKKKKKSDSQDAEDVAMETEASGKKDKKKKKKKHGDE >ONIVA03G18380.3 pep chromosome:AWHD00000000:3:13436674:13448222:-1 gene:ONIVA03G18380 transcript:ONIVA03G18380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQVSPAPSYKNSPQAQALKPSRRRHPLSRRIPQHLALNPRGGGGGGGAYSPSPPSRRSRTFASRSPPPIVDSRPIEPRCRCSRAVREMLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGDRTNAESLDFSEILSDDEVEAQLKEVAVISMGTEVSELDLLNIRELCDQVLALSEYRAQLFDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRVKLETRLRVLEGKELGRSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADLVLGQSTEETPKKPEGASKKRKHQEAEPAGAEETIQEDGDQEGQKKKKKKKSKDSEDSPVADADGGKKKKKKSKESEEPPVATAEGEKEKKKKKKSDSQDAEDVAMETEASDCWPRQYRLVCMMRYRWLNF >ONIVA03G18380.4 pep chromosome:AWHD00000000:3:13444471:13448222:-1 gene:ONIVA03G18380 transcript:ONIVA03G18380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQVSPAPSYKNSPQAQALKPSRRRHPLSRRIPQHLALNPRGGGGGGGAYSPSPPSRRSRTFASRSPPPIVDSRPIEPRCRCSRAVREMLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGDRTNAESLDFSEILSDDEVEAQLKEVAVISMGTEVSELDLLNIRELCDQVLALSEYRAQLFDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRVKLETRLRVLEGKELGRSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADLVLGQSTEETPKKPEGASKKRKHQETEPAPAEKTTKEDGDQEGEKKKKKKKSKESEESPAADADGGKKKKKKSKESEEPPVATTEGEKKEKKKKKKKSDSQDAEDVAMETEESGKKEKKKKKKHHSDE >ONIVA03G18370.1 pep chromosome:AWHD00000000:3:13436057:13436476:-1 gene:ONIVA03G18370 transcript:ONIVA03G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEGREKRGRTGGYLRRSTAVSQRRGGEPTEGSGGDRLTAVRGPFDRRRPTEGRGAEGRRPGSSRPCRRPCRPLAAACLLHSSSARLRSFAAAPCPPASLSVDAQCPATWCSGASRSEAMAAAVGGGGEGDSAREEKS >ONIVA03G18350.1 pep chromosome:AWHD00000000:3:13421849:13425419:-1 gene:ONIVA03G18350 transcript:ONIVA03G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKCYMHQLLSGLEHCHNNGVLHRDIKGSNLLLDNNGMLKIADFGLASLFDPNKNQPMTSRVVTLWYRPPELLLGSTDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRISETYKDFPQSALRLIETLLAIDPADRLTATSALRSDFFTTEPYACEPSSLPAYPPSKEMDAKRRDEEARRLRAAGGRTNDGAKKTKTRDRPRAVPAPEANAELQINIDKRRLITHANAKSKSEKFPPPHQDGAVSLVSTNHMDPLYEPQDPSSFSTVFTQEKSSVPTWSGPLADLSAVGKQKWKHKFGRSSKQPSTARAR >ONIVA03G18340.1 pep chromosome:AWHD00000000:3:13408100:13419822:-1 gene:ONIVA03G18340 transcript:ONIVA03G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGSSSGGGDVEMGGWSELLHSSTKLLEQAAPTPHFPPLQRNLDQLEVLSTKLKAKTVRAEAPVQSLSATRLLAREGINAEQLARDLKSFELKLHEMAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNLMASGISRPALMPSSASSPQSSSGLPSKEVMPIPNKTIIENKSSVYAAVVRDLNDARGRSLPFGPATAFRAAYESLSVGAVGTKSVTMHKVWHLIQALVGEGSTHRNISRKMSLVIGARRHLEWGHEKYILETINSHPALAALGGSVGNLQKIHAFLRVRLRDHGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAFNFAPLLVEWITTNGAVSPETALTASEECDKMLRMGDRPGRPGYDRKKLLLYAMICGCRRQIDRLLKDLPTLFNTIEDFLWFKLSALREYTSASSSNVSNEGLVPYTLEDLQSYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAILYLSKEVGEEGYHVDAVHISIALSDHGVLPDGVGSGQKMGIMDACAEAASIIRQYGSIYLRNGNLDLALEYYAQSAAAMGGGEVSWIGRGNADQQRQRNLMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAHQCHEAGLYDKTINKCLSDAICAMARSMLDGESRAAALIHSGNEIMETARYSEASVQDKDLISEQQTVLRQLEAILHIYRLARAGQTVDALRETIKLPFLHLDPQAPNVTVDVFRNLSPHVQACVPDLLKVALNCMDNVRDTDGTLRAVKSKVICLVCDTEQPVAQVCYNCGVCMGEYFCSACKFFDDDVRCRCCLLCFCWIWLTGNTSTAKIAASAGSCYSVSLRDKHCCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSMPIFDMDKFLRALDAEIEANMLHIDYMVKGWIVCNDCRDTTQVYARVAGHKCCHCQSHNTCRVAAPVLPA >ONIVA03G18330.1 pep chromosome:AWHD00000000:3:13401623:13405677:-1 gene:ONIVA03G18330 transcript:ONIVA03G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRRPAAAAAAAAMLLVLIPAASRPPHGIVAATESSVQNSEQSFGFNLTLAKTIVEYASAVYMTDLTELYTWTCSKCNDLIQGFEMRRLIVDVQNCLQAFVGVDHNLNAIIVSIRGTQENSVQNWIKDMLWKQSDLNYPDMPDAKPSQTPFTRRGRHSMGGALASFCALDLAITHGGNNVYLMTFGQPRVGNAAFASYFTKYVPNTIRVTHEHDIVPHLPPYFFIFPDQTYHHFPTEVWEHEVDGSTVYQVCDGSGEDPDCSRSVLVLFWSASDHLTYLGVDMEADDWSTCRIVLGRSAAETLLLQHARQLAGGDDESGGVNVVVRDHGVQMFLPQSLVRLSATPASGACRCHRGIVPKCSNRIVAKLVLAGLSDMTSCTQPFPLDHAMALSSLFSSSSPQVQAGV >ONIVA03G18330.2 pep chromosome:AWHD00000000:3:13401623:13405677:-1 gene:ONIVA03G18330 transcript:ONIVA03G18330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRRPAAAAAAAAMLLVLIPAASRPPHGIVAATESSVQNSEQSFGFNLTLAKTIVEYASAVYMTDLTELYTWTCSKCNDLIQGFEMRRLIVDVQNCLQAFVGVDHNLNAIIVSIRGTQENSVQNWIKDMLWKQSDLNYPDMPDAKVHTGFYSSYNNTLLRPAIANAVHKARKLYGDISIIVTGHSMGGALASFCALDLAITHGGNNVYLMTFGQPRVGNAAFASYFTKYVPNTIRVTHEHDIVPHLPPYFFIFPDQTYHHFPTEVWEHEVDGSTVYQVCDGSGEDPDCSRSVLVLFWSASDHLTYLGVDMEADDWSTCRIVLGRSAAETLLLQHARQLAGGDDESGGVNVVVRDHGVQMFLPQSLVRLSATPASGACRCHRGIVPKCSNRIVAKLVLAGLSDMTSCTQPFPLDHAMALSSLFSSSSPQVQAGV >ONIVA03G18320.1 pep chromosome:AWHD00000000:3:13400423:13401142:1 gene:ONIVA03G18320 transcript:ONIVA03G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKCRSARVVGLNQVSPRVPAGNYPVSHVPYELNVLGARGPRRMSCIMDSIPASAVQEGGKAPTRTEFPLSGLDSFPSISFFRSKSPRIEIVQLYNYLLRRKKSWFRRINLLGGMSNCNDGASTSVDGHGLLLPL >ONIVA03G18310.1 pep chromosome:AWHD00000000:3:13373098:13385267:-1 gene:ONIVA03G18310 transcript:ONIVA03G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSKQRRWHSTENKSEGRTRQHGEGQTTHTNSWPLQVVQLPRQHLSFTPQFGRPEKWMRERSDQLRKDVSQLFDAFDGVAEKMNLVDTLQRLGIDHLFEEEIATTLNTIHGAEFDSPSLHDVALRLYNAANLLTHNEEALQEAILFSRHHLELMKSNLKSPLAEQVSRALQIPLSRNLKRVEALSYILEYNVHEQTYNPSILELAKLDFNLLQHIHQRELKTITQWWEDLSNDIGLDYIRDRIVECYFWSYSMYFEEEYTRARMILAKFFMLTSLLDDTYDTHATLEECRNLNVAIQSWDESDISVLPDYLKKFFLKVMSNFVEFENELEPHIRHRNAYNRKVFQLLSGYYLQEAEWFHHNYVPSFKEQIEVSVMSAGIQALSVCILVGMGNIVTEETLEWAIGNNDAVRAGGEVARFMDDMAAFKNGRNKLDVASSVECYIKEYNVTSEVALAKIGSLVEDAWKTINQAHIDRRELLPFVHRVTNLSRSMAILFLDKRDAYTYIPHVADGVGTSGPTSPTYHVPENICTLKFSLGIGEVITMYAFFKPSRTPNTTVTCDQGNK >ONIVA03G18300.1 pep chromosome:AWHD00000000:3:13349935:13357674:-1 gene:ONIVA03G18300 transcript:ONIVA03G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRADQLKEEVTLLFETCKDVEEKLKLVDVLQHLGIDHHFERRIAVALSDIHGAEFNSSSLHDVALRFRLLRQHGLWVSPDEFNKFKGPDGRFNAEVIDDPMGMLSLYNAAHLLIHGEVELEDAILFSRHQLETIIARNLKSSPLSQQVTRALRIPLPRTLKRIEALNYIAEYNQEQACNPSVLELARLDFNLLQLLHLRELKEFSRWGNNLYGAVELTYSRDRIVECYFWSYTIYYEQKYAQARIILAKIFVLATLLDDTYDMHAILEEGQKLNEAIQRWDESAISVLPEYLKNYYAKLMSTFKEIEDELKSEEKYYITYAVKAYQRLCKSYLQEAVWFHQNYIPSFQEHLDVSIISSGSSMLSVVLFVGAGDLATKEALEWAFGCTDAVKACGEIGRFQDDLAAFKHGKGKLDMATSVESYMKEHNVTGEEATAVISNLVEDAWKTINQARFERSSLVPAVNRVANLAMSIMFFYQGSEDAYTFNKLSMNIIKQLFVKPIPII >ONIVA03G18290.1 pep chromosome:AWHD00000000:3:13345769:13351204:1 gene:ONIVA03G18290 transcript:ONIVA03G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G19830) TAIR;Acc:AT5G19830] MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVLIRVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >ONIVA03G18290.2 pep chromosome:AWHD00000000:3:13345769:13350152:1 gene:ONIVA03G18290 transcript:ONIVA03G18290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G19830) TAIR;Acc:AT5G19830] MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVLIRVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >ONIVA03G18290.3 pep chromosome:AWHD00000000:3:13345769:13351204:1 gene:ONIVA03G18290 transcript:ONIVA03G18290.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G19830) TAIR;Acc:AT5G19830] MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVLIRVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >ONIVA03G18290.4 pep chromosome:AWHD00000000:3:13345769:13350152:1 gene:ONIVA03G18290 transcript:ONIVA03G18290.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G19830) TAIR;Acc:AT5G19830] MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVLIRVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >ONIVA03G18290.5 pep chromosome:AWHD00000000:3:13345769:13351204:1 gene:ONIVA03G18290 transcript:ONIVA03G18290.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G19830) TAIR;Acc:AT5G19830] MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVLIRVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >ONIVA03G18290.6 pep chromosome:AWHD00000000:3:13345769:13350152:1 gene:ONIVA03G18290 transcript:ONIVA03G18290.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G19830) TAIR;Acc:AT5G19830] MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVLIRVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >ONIVA03G18290.7 pep chromosome:AWHD00000000:3:13346083:13351204:1 gene:ONIVA03G18290 transcript:ONIVA03G18290.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G19830) TAIR;Acc:AT5G19830] MRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVLIRVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >ONIVA03G18290.8 pep chromosome:AWHD00000000:3:13346083:13350152:1 gene:ONIVA03G18290 transcript:ONIVA03G18290.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G19830) TAIR;Acc:AT5G19830] MRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRVLVLIRVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >ONIVA03G18280.1 pep chromosome:AWHD00000000:3:13338952:13341271:-1 gene:ONIVA03G18280 transcript:ONIVA03G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTELRQSFSGKRALRPTLTSRHANEWPPTDVSSDLTVEVGTSSFALHKLLAQFPLVSRSGKIRRAVAEAKDGKLARLGLHGTPGGAAAFELAAKFCYGVGVDVTVGNVAMLRCAAHYLQMTEDFSDKNLELRAEAFLRDAVLPSIAGSVAVLRSCEALLPAAEDVNLVPRLIAAIANNVCKEQLTSGLSKLDQLKPPPPPQAVVVAAAAAGDLDSPGDWWGKSVAGLGLDFFQRLLSAVKSKGLKQETVTRILINYAQNSLHGLMARDIAAAAKCGGGGGDTDAVKKQRAVEAERYRMCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQLRLRSAIQATGTTTTTTNTSIGGAHDAALFFGCAAAAAAPRSGSGVGSGAMSPRDSYASVRRENRELKLEVARMRMRLTDLEKDQVSMRRELVRVGPANRLLRGLARRLGSLFHFRGAAAEPGLQQLGAKATADAKVLFQRRRRHSIS >ONIVA03G18270.1 pep chromosome:AWHD00000000:3:13319766:13322385:-1 gene:ONIVA03G18270 transcript:ONIVA03G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein [Source:Projected from Arabidopsis thaliana (AT2G39060) TAIR;Acc:AT2G39060] MVQALVFAVGIVGNILSFLVILAPVPTFYRVYKKKSTESFQSVPYAVALLSAMLWLYYALLTSDLLLLSINSIGCLVESLYLTVYLLYAPRQAMAFTLKLVCAMNLALFAAVVAALQLLVKAADRRVTLAGGIGASFALAVFVAPLTIIRQVIRTKSVEFMPFWLSFFLTLSAVVWFFYGLLMKDFFVATPNVLGLLFGLAQMMLYVVYKNPKKNSAVSEAAAAQQVEVKDQQQLQMQLQASPAVAPLDVDADADADLEAAAPATPQRPADDDAIDHRSVVVDIPPPPQPPPALPAVEVA >ONIVA03G18260.1 pep chromosome:AWHD00000000:3:13305124:13316448:-1 gene:ONIVA03G18260 transcript:ONIVA03G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRGRQEEGFRVMGHGDVELEEGEAFPDDDGGGGGALCLDPEVAFSYIDEKIQHVLGHFQKDFEGGVSAENLVILSGKNFLTGSKYGGYGSFLPTYQRSPPPLPQSRSPPKVANVGTSRSPYQQSAESMGQYPSTVANESISRNNGSTAPSSGDLCKREICSSTNGEKDSVACSDSLDSSFNGSDQKTLKVRIKVGSTNTLARKNASIYSGLGLDISSSSSMEGSPDGHEGQSPVCSNVPYESPRTILQIMTYFSVPGGFLLSPLHGNILKLTNKVTPLVNKWETNLDLKNVPRAVDGHSELSLSSGHVKAHVAKKMKPDGKKKKSIDTKTRKDANNTSAILGKEANVEIPGSRVILSDTHDITCSSGAPTTELKGVSQFTEESTKDARPKQQMGCNDLGTVKSEAVKTEVTKHIEENSSFDSSGNGCLAPRGKVKLKASKVDRTSEDMNISSHKFSPYDRKKESKVKPMRTFEPAMDDFEGNVDKDWGAGSSDDLKTIHGKETFASERTVEDNSRTEVKRMQKEHKANFVAPSSFLEDGNFTHSSVLVNDGATDSHLKSNHFENKSKAKSHKDLRENLPKRSIGNKEGASLESVSVQGHRKEKMMNSDNEKELHITGPAKKEIPSSVKHGTFPGSEEQQLHMPSNGGIIPGPANAASLPAPVLIEDNWVCCDMCHKWRLLPYGTNTSMLPKKWICSMLDWLPGMNRCDISEDETTNALNALYVTQIPAAGVSSGGPHTAHASVAASSTYNISGQLGQSRKRKNALKDENCYEHDQQAPAKMTLTSNQQAPAKNREVVDSEHYTNDRDPVSTHDLVPQSKSASERHKSKHKSRSSHSDGGDLTEKSNKHSKSKNRRGIDRDEHKTSKKTKKEDRHYFNKDWKNEYDLAGNEVRDETKALSAKAKMSKDSCEQDEFSLRKEKASRFDILEKTKRINDDDVAFHEKMKEHRAGIETLDLSGKKKTVKEWEDNRLSSMDHTSKEGDNENLNERLSKIKKSEARPEEVQDANALFSSAGRRQDNELVADNKFVTCKEGPSELWDNQPPRQVLNLAEPTRRDVACLQSSTVATSSSSKVSSSRRNKNSREAKGSPVESVSSSPLKNSNTDKISKARKTGKDGELNADSSILHTPMKYPTHEVGLLHTGQQAVGEAILHGSTNNSGMGRVDNQLYPGDKKILDMHGPTLQPDQQDCFNPRATADSTGHKSKNSAPSRQGRNGSSNLISEGNKQIEMSSRKEKLRPSIDNQDMQKSIGQDNHSHMKEGKSEVHTTRVKPGASKNHTQLRSNVENGDSASPIRRDGNMVAFALKEARDLKHKANHLKEKGLELESMGLYFEAALKFLHVASLWETPNLDNSRSGDVAQSMKMYSETAKLCSFCAHAYERCNKMASAALAYKCVEVAYLKAAYYKHPSASKDRQELQSVVQIAPGESPSSSASDIDNLNSHGLSKALSTKGGNSPQVAGNHLPLAVRNQAHLLRLLAYTNDVNCAFDATRKSQVAIASAASSQERGKTVDDGLASVRTVLDFNFNNVNELLRLVRLSMELINT >ONIVA03G18250.1 pep chromosome:AWHD00000000:3:13290700:13303208:1 gene:ONIVA03G18250 transcript:ONIVA03G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G13540) TAIR;Acc:AT2G13540] MSAGWRTLLLRIGDRCPEYGGSADHKEHIETCYGMLCREYEHSKDAMFEFLLQCADQLPHKIPFFGVLIGLINLENEDFSKGIVDTTHANLQDALHNENRDRIRILLRFLCGLMCSKVVLPNSIIETFEALLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGSELFEQVPDEFERVLVGIQSYISIRRHFDDIAFSVFETDEGNSPNKKDFIEDLWERIQVLSRNGWKVKSVPKPHLSFEAQLVAGVSHRFSPISCPPPTISQSSSEIVKGQEKHEADLKYPQRLRRLHIFPTNKAEVTARPAPAESPVVAAAEVTAWRAHTESSPVMARRPGPDACVGWRKQTFTHRRQLEEGEEDAGGMVMGAQHSRKECAFYLVSLPVPFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPGAFPSVVVGAVHALFDRISNMDMECRTRLILWFSHHLSNFQFIWPWQEWGYVKDLPKWAPQRVFVQEVLEREIRLSYFDKIKQSIEDAVELEELLPPKAGPNFRYHSDEGKESTDGHRLSKELVAMVRGRKTQGDIISWVDEKIIPVNGAKFALDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPNEEMQLLLMDEVSAYWKNSTQMIAIAIDRMMGYRLLSNLAIVKWVFSPANVDQFHVSDRPWEILRNAVSKTYNRIFDLRKEIQTLRKGLQAAKEASEKAARELEEAKSIIEIVDGQPVPSENPGRLRRLQARADKAKEGEVTTEESLEAKEALLARGLEESKELLRLLFKSFVEVLTERLPPISADGDVPNLRAGDPNVNSSARDPEATTMEIDNENGGDNDSQLNGQNKKISHNVGELEQWCLCTLGYLKSFSRKYATEIWSHIAMLDQEIFVGNIHPLIRKAAFSGLCRPTSEGSHL >ONIVA03G18250.2 pep chromosome:AWHD00000000:3:13290700:13303208:1 gene:ONIVA03G18250 transcript:ONIVA03G18250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G13540) TAIR;Acc:AT2G13540] MSAGWRTLLLRIGDRCPEYGGSADHKEHIETCYGMLCREYEHSKDAMFEFLLQCADQLPHKIPFFGVLIGLINLENEDFSKGIVDTTHANLQDALHNENRDRIRILLRFLCGLMCSKVVLPNSIIETFEALLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGSELFEQVPDEFERVLVGIQSYISIRRHFDDIAFSVFETDEGNSPNKKDFIEDLWERIQVLSRNGWKVKSVPKPHLSFEAQLVAGVSHRFSPISCPPPTISQSSSEIVKGQEKHEADLKYPQRLRRLHIFPTNKAENMQPVDRFVVEECILDVLLFFNGCRKECAFYLVSLPVPFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPGAFPSVVVGAVHALFDRISNMDMECRTRLILWFSHHLSNFQFIWPWQEWGYVKDLPKWAPQRVFVQEVLEREIRLSYFDKIKQSIEDAVELEELLPPKAGPNFRYHSDEGKESTDGHRLSKELVAMVRGRKTQGDIISWVDEKIIPVNGAKFALDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPNEEMQLLLMDEVSAYWKNSTQMIAIAIDRMMGYRLLSNLAIVKWVFSPANVDQFHVSDRPWEILRNAVSKTYNRIFDLRKEIQTLRKGLQAAKEASEKAARELEEAKSIIEIVDGQPVPSENPGRLRRLQARADKAKEGEVTTEESLEAKEALLARGLEESKELLRLLFKSFVEVLTERLPPISADGDVPNLRAGDPNVNSSARDPEATTMEIDNENGGDNDSQLNGQNKKISHNVGELEQWCLCTLGYLKSFSRKYATEIWSHIAMLDQEIFVGNIHPLIRKAAFSGLCRPTSEGSHL >ONIVA03G18240.1 pep chromosome:AWHD00000000:3:13288617:13289826:-1 gene:ONIVA03G18240 transcript:ONIVA03G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIATNIFLQTLVIEKAFVEAVAIGKGKDDLTGFVLDTSKPNQVLSIQPGIPAGKDCSSLASRDIIEFLTGDFKRSKTRSNNLFGEAVWPRLLARGWHSEKPNDVSTTKNCLVYSLRLVFKGTHYFDFASDDLKKVVADLVCLKLEVDEMGNGVNAKKKGFDIDIKLNQDVPLDGYHELPKFTVIDTNMVQGKEALQSFDIDPTVKPIPFISV >ONIVA03G18230.1 pep chromosome:AWHD00000000:3:13276488:13281293:-1 gene:ONIVA03G18230 transcript:ONIVA03G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEAAKTLCWFASCKPYCCRQYNAICTRVSESFGARKYILDAKRWCIIGKNMESLEPEDGDGHQSDATDDDLPPRICTGKAYQAEIPNLATEDECRQYMSNTTDSCMALGYDCPIPIMWTLPSEFNKKEEEIQKQHSSETKAIENSRDGDSQTTSICPTSNNTSGQCSTSQDPHPEIPDQIVSDSHQAHDDKLAPCSTQEGLNFTDKAMADQGEIEQFIPVPNSSTSIWSDQEAELLLLGLYIFGKNLHVLSRFVGSKTVGDVLSYYYGKFYKGEAYKRWSACRKAKIRRCILGERIFIGWRRQELISRLKSKIPKEAHDLLDEMFKSFNDSQTSLMDFVFHLKSVVGIEAFVEAVAIGKGKDDLTGFVLDPSKPNQVLSVQPGMPAGKDCSSLASEDIIKFLTGDFRRSKTRSNDLFWEAVWPRLLARGWHSEKPNDVSTTKNCLVFIVPGIQRFSRSELTKGTHYFDSVSDVLKKVVADPVLLEIEVDEMGNGVNAEKNGFDTAMKLNQDVPFDGYHELPKFTIIDTSLVQGEEPSQVRELRNLPADANISFGPLRHTHNMVSDSSSDEHDTDDRSSDYKEGYAGVTADENGTEMVSSKNADNESQVDSFRNMAATSCSVFPVNGHSSNGNGDTIGATSFFPQKTKIEKRKYLSPVTKRRRLTSCSNDQTSRRSFSFSKGPGLEKEKVKLPSTSSKPTAIDVGGSFQSKSLASCSGKEKPCQQIKDASNSHANDRSNEKMNVARPKEKPSGHKVDTLASVHSKTAVEDTKPAKGVAQSSDLVANQVKLETPQDDKTVTIAHAPSSDNHGSILKNKETTSSSNTEIVHDAPEATRGGPANPQPDLQASSQAMNPRRQGTRVRPPTARALEAVAFGLLGSGKRKADPTGSSRPRQRARKSTKEAASVSTSSDTEKSSMDSGARQ >ONIVA03G18230.2 pep chromosome:AWHD00000000:3:13276488:13281293:-1 gene:ONIVA03G18230 transcript:ONIVA03G18230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEAAKMESLEPEDGDGHQSDATDDDLPPRICTGKAYQAEIPNLATEDECRQYMSNTTDSCMALGYDCPIPIMWTLPSEFNKKEEEIQKQHSSETKAIENSRDGDSQTTSICPTSNNTSGQCSTSQDPHPEIPDQIVSDSHQAHDDKLAPCSTQEGLNFTDKAMADQGEIEQFIPVPNSSTSIWSDQEAELLLLGLYIFGKNLHVLSRFVGSKTVGDVLSYYYGKFYKGEAYKRWSACRKAKIRRCILGERIFIGWRRQELISRLKSKIPKEAHDLLDEMFKSFNDSQTSLMDFVFHLKSVVGIEAFVEAVAIGKGKDDLTGFVLDPSKPNQVLSVQPGMPAGKDCSSLASEDIIKFLTGDFRRSKTRSNDLFWEAVWPRLLARGWHSEKPNDVSTTKNCLVFIVPGIQRFSRSELTKGTHYFDSVSDVLKKVVADPVLLEIEVDEMGNGVNAEKNGFDTAMKLNQDVPFDGYHELPKFTIIDTSLVQGEEPSQVRELRNLPADANISFGPLRHTHNMVSDSSSDEHDTDDRSSDYKEGYAGVTADENGTEMVSSKNADNESQVDSFRNMAATSCSVFPVNGHSSNGNGDTIGATSFFPQKTKIEKRKYLSPVTKRRRLTSCSNDQTSRRSFSFSKGPGLEKEKVKLPSTSSKPTAIDVGGSFQSKSLASCSGKEKPCQQIKDASNSHANDRSNEKMNVARPKEKPSGHKVDTLASVHSKTAVEDTKPAKGVAQSSDLVANQVKLETPQDDKTVTIAHAPSSDNHGSILKNKETTSSSNTEIVHDAPEATRGGPANPQPDLQASSQAMNPRRQGTRVRPPTARALEAVAFGLLGSGKRKADPTGSSRPRQRARKSTKEAASVSTSSDTEKSSMDSGARQ >ONIVA03G18220.1 pep chromosome:AWHD00000000:3:13269624:13274681:1 gene:ONIVA03G18220 transcript:ONIVA03G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT2G47830) TAIR;Acc:AT2G47830] MGFRLAHLAACVARAAASSSRLRGPRPAASALVAPLLASPWEPSGGGQPHWLVPSRGHVGHSHHHHHGEEVGGEASERIFRLGLAADVVLTVGKAVTGYLSGSTAIAADAAHSLSDIVLSGVALLSYKAAKAPRDKEHPYGHGKFESLGALGISSGIAWHAFDVLQGVMSSAPDIIGNVLHAHHSHGSSGHHHGIDLEHPILALSVTAFAISVKEGLYWITKRAGEKEGSGLMKANAWHHRSDAISSVVALLGVGGSILGVPYLDPLAGLVVSGMILKAGVHTGYESVLELVDAAVDPSLLQPIKETILQVDGVKVYPFLSVSAAHDIGETVRHQIQKSHNQVAEVFIHIVESNMDQRGIWENIERRNSDAIPRQQTAEAIVSCIISSHFSKVEVSMSPEIMIRDAMDIAKQAEEEILKADSSISQVSVQLRLGQQIKQLKLPGGKNRAKNQQAQMQ >ONIVA03G18210.1 pep chromosome:AWHD00000000:3:13260469:13267617:1 gene:ONIVA03G18210 transcript:ONIVA03G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type;Transcription factor jumonji/aspartyl beta-hydroxylase [Source:Projected from Arabidopsis thaliana (AT1G09060) TAIR;Acc:AT1G09060] MEAAAAAAAVPEELRCKRSDGKQWRCSAPSMPDKTVCEKHYVQAKKRAASSALRASLRRSSASASAARGTTPPARMAVARPIYGRVAGEPVYVAEPALPPPPPPRRRQPVHGLPMGNAAGARTAAELVGRGSAGLVACSSAAGAATAATCHQCRRVANTICCTSCDRRGYCTNCISRWYSDIPIDDVRKARVQEISAVDKLEYLHSILASVLPVLKQIYSDQCFEIGVDTKAYGLRTDIIRVKVNPDEQIDFCKVPVFDYHRHCPRCLYDLCLDCCRDIRRSRTSVARGEYAEGRVVDRSKDTSNKRARMEPSAESANDKSVPQRRDIKNIDIRSLFPTWRVNNDGSITCGPHEAGGCGSSKLVLRRIFKINWISKLVKNSEEMVNGCKVHVLENGCSSCNDGRTLELTGHRNFGVSTCSNNGGIDRFCVFSPVLEDLKSEGIIHFRKHWIKGEPVVIRNAFEPSLSSSWDPLNIWRGIQEIMDEEVDDDVIVKAVDYSNQAEVDIELKQFIKGYSDGHKGEDGELMMLKLKEWPPPSVLEEFLLCQRPEFIVNFPLVDFIHSRWGLLNLSAKLPPDTLQPEVGLKLLIAYGRHQEAGKGDSVTNLMINMADVVHMLMHTAKGHDVCPKRLQPEQSEKIANGMTMHVNAHAPVQNLNVDMGQQSPDHVSSKFDERAHASALRLQEKSSDAKLNCGFEGSSTELSCSSHSEEPKVNGSERSQAGAVWDVFRRQDISKLNEYLTANWEELAASSQVKNPIYEQSIYLNKYHKRILKDQYGIEPWTFQQHIGEAVFVPAGCPFQVKNLQSTVQLALDFLSPESLGESARMAQEIRCLPNDHDAKLKMLEIGKISLYAASSAVREIQRITLDPKFNLDLKFEDQNLTQAVSENLARVTKQRNVPCS >ONIVA03G18200.1 pep chromosome:AWHD00000000:3:13256945:13257223:-1 gene:ONIVA03G18200 transcript:ONIVA03G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVKVVLAVAFIDIVVFVVGGLGGSLPLADGQAAAPANVTPRGFICIDGNGDDDGDGDGHDNGGRTANYTRSDGRNERANNWITAPRNEER >ONIVA03G18190.1 pep chromosome:AWHD00000000:3:13253424:13253900:1 gene:ONIVA03G18190 transcript:ONIVA03G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEIGTTAVGVCWGMSGDNLPPTSKVTEMLRENGFTVVRLYVPDSAVLAALGCTGIRVVVGAPNYDRPALAHGGTAAAAAWIRENIQAYPTVLFRFVVVGNEVASADMQLLVPAMENVHAALAAAGLGHIKVTTTSAYARLPSCSCSPAQLFLRWSP >ONIVA03G18180.1 pep chromosome:AWHD00000000:3:13248835:13249176:-1 gene:ONIVA03G18180 transcript:ONIVA03G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGEERADLDSYLSSLRPSRASTGILLPSRAAASIAAAVAAPPPSGFERRRVVAQRGESCAPPPPASSHHAAATFAAPPPSASPLLCGERREELERGEKGEELASREEENG >ONIVA03G18170.1 pep chromosome:AWHD00000000:3:13243822:13244148:-1 gene:ONIVA03G18170 transcript:ONIVA03G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGVWVFKRDGVMQLEADVEGGTGRRQRRLVYVPANETMGSLQALERRLAGLGWERYYEDRAVVQLHRRDGGADLISLPRDFARFRSTHMYDVVLKNRDHFKVLDN >ONIVA03G18160.1 pep chromosome:AWHD00000000:3:13234933:13235145:-1 gene:ONIVA03G18160 transcript:ONIVA03G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHYHHLVINGESAHYGTGTHWCPSATLSFRRPPMVDCPSRCRLLVAPIVGVKESRWMRGEKMGERAME >ONIVA03G18150.1 pep chromosome:AWHD00000000:3:13234182:13234894:-1 gene:ONIVA03G18150 transcript:ONIVA03G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVGCKYSQAGLLRRPPVKMDFHKRVYIGSPNTEEAPGSKLHLSYSPTQQQSAGKSIPEVPYLVTVLPSYKIVPEPKNQIKRILQFLKPLQTRSLT >ONIVA03G18140.1 pep chromosome:AWHD00000000:3:13213689:13215041:-1 gene:ONIVA03G18140 transcript:ONIVA03G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADAVSPAPATSAAAQQQLRTVVLRVSIHCLGCKKKVRKVLRSIEGVKDVKVDAAMHKVTVTGTVDGDTLVKRLYKSGKQAVPWQHPHVAPAPEAVKAIEAAPPQPEAAPAGDDDGGKGSDAAAAAAKEAAAQAESSEEKKTEEKPEAEKEAEKKEEEQEAKPSDEAKKDAGGESEAAPEAKAKGDDVGAEPAKEAVPAAAVKEASNDDEGAKDEKSKPKDAGDAAPPAAATTTERSLHFSPPPTPAAHKQHEEHYPYPYYGAPQPVMSYHMAQPTTSVSYYAPRPEPAYSMQQHPPPPAYSAPPPQQQQYPPPSPSPQPQAMQQQWSPSYLYMPYPHSSPDTYYRDYYSPPGTAHAPPLQDEYRMFDDENPNACSVM >ONIVA03G18130.1 pep chromosome:AWHD00000000:3:13191519:13193304:-1 gene:ONIVA03G18130 transcript:ONIVA03G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRICCSALLVLLLVSSCNAGDHPACPAAWSAAVGAELFHGGVGGGGGGEAQCSAAAPHTPVAVFAHDVDPVRFALNLEFAEAEFFLHAAFGVGLDHLAPNLTLGGPPPVGARKAGLDELTWRVCAEFAYQEIGHLRAIQRTVGGIPRPLIDLSAHNFARVMDEAVGYHLDPPFDPYANSLNFLLAVYVIPYLGINGYTGTNPLIDGYATKRLVAGLLAVESGQDAVVRGLLFERRRETVSPYGATVAELTDRVSALRNKLGQCGVKDEGLIVPEQLGAEGKICTNILSANVDSLSYSRTPAELLRILYLTGDEHVPGGFYPEGANGRIARMFLKKPPRINHGV >ONIVA03G18120.1 pep chromosome:AWHD00000000:3:13188456:13190722:1 gene:ONIVA03G18120 transcript:ONIVA03G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPWTPKLGFFSPEAIIYIALAPRVLLPPSNAAAAAALFGLASLLREIQSLLWCLLHPPPPCRTRRVRWWTSTSPGSARPRTGSSPPRTTPRSRSTLGMWMRMGCTMAASPPLLSLGSSVLREMLTVLWIGCGRRGRLRSSSSRSCY >ONIVA03G18120.2 pep chromosome:AWHD00000000:3:13188469:13190722:1 gene:ONIVA03G18120 transcript:ONIVA03G18120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFIRAQGDADSALDRLWQKRKAEVKQQ >ONIVA03G18110.1 pep chromosome:AWHD00000000:3:13184525:13188468:-1 gene:ONIVA03G18110 transcript:ONIVA03G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) TAIR;Acc:AT3G05480] MGPPLLGHVRGEAQNDSAEKAHASPDRGGALRTFTRCVTCLARVGSDLVLQAHPAKLELHTLNSSRSAYASISLARDFFDEFSLSAAAAADSAPSSTPLQCSKTYWIACSAESEVQTLALDRSNFSSRLAIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGVDGEIEGKAVELRSYIDPTKDDCDTRLHTQLWIDPTEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVDILLFFQKAGEPVLLVPKFGLDDGSSSDFDATLVLATMLVSQLTDSSVAQQPTTSAQRAEEPRVAAAPPPVPENVSNHTKIWSELSGSAPKSFEVNREKYTQKERNANSNALNDTSMLHSVNARYKPPVADNANDTMQPMQMDHLEEPPDVVSDNPRSQHHPSNWVGADEDDDDDEDEELFVQTTPHYMD >ONIVA03G18110.2 pep chromosome:AWHD00000000:3:13183749:13188289:-1 gene:ONIVA03G18110 transcript:ONIVA03G18110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) TAIR;Acc:AT3G05480] MELSMSGGALRTFTRCVTCLARVGSDLVLQAHPAKLELHTLNSSRSAYASISLARDFFDEFSLSAAAAADSAPSSTPLQCSVLLKSVLSVLRTPTAALDRIAASLPNPDAPKLQLTLHCLNGVKKTYWIACSAESEVQTLALDRSNFSSRLAIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGVDGEIEGKAVELRSYIDPTKDDCDTRLHTQLWIDPTEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVDILLFFQKAGEPVLLVPKFGLDDGSSSDFDATLVLATMLVSQLTDSSVAQQPTTSAQRAEEPRVAAAPPPVPENVSNHTKIWSELSGSAPKSFEVNREKYTQKERNANSNALNDTSMLHSVNARYKPPVADNANDTMQPMQMDHLEEPPDVVSDNPRSQHHPSNWVGADEDDDDDEDEELFFCFFRASCIGILPCAFCFDGA >ONIVA03G18110.3 pep chromosome:AWHD00000000:3:13184525:13188289:-1 gene:ONIVA03G18110 transcript:ONIVA03G18110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) TAIR;Acc:AT3G05480] MELSMSGGALRTFTRCVTCLARVGSDLVLQAHPAKLELHTLNSSRSAYASISLARDFFDEFSLSAAAAADSAPSSTPLQCSVLLKSVLSVLRTPTAALDRIAASLPNPDAPKLQLTLHCLNGVKKTYWIACSAESEVQTLALDRSNFSSRLAIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGVDGEIEGKAVELRSYIDPTKDDCDTRLHTQLWIDPTEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVDILLFFQKAGEPVLLVPKFGLDDGSSSDFDATLVLATMLVSQLTDSSVAQQPTTSAQRAEEPRVAAAPPPVPENVSNHTKIWSELSGSAPKSFEVNREKYTQKERNANSNALNDTSMLHSVNARYKPPVADNANDTMQPMQMDHLEEPPDVVSDNPRSQHHPSNWVGADEDDDDDEDEELFVQTTPHYMD >ONIVA03G18110.4 pep chromosome:AWHD00000000:3:13184525:13188289:-1 gene:ONIVA03G18110 transcript:ONIVA03G18110.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) TAIR;Acc:AT3G05480] MELSMSGGALRTFTRCVTCLARVGSDLVLQAHPAKFSLSAAAAADSAPSSTPLQCSVLLKSVLSVLRTPTAALDRIAASLPNPDAPKLQLTLHCLNGVKKTYWIACSAESEVQTLALDRSNFSSRLAIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGVDGEIEGKAVELRSYIDPTKDDCDTRLHTQLWIDPTEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVDILLFFQKAGEPVLLVPKFGLDDGSSSDFDATLVLATMLVSQLTDSSVAQQPTTSAQRAEEPRVAAAPPPVPENVSNHTKIWSELSGSAPKSFEVNREKYTQKERNANSNALNDTSMLHSVNARYKPPVADNANDTMQPMQMDHLEEPPDVVSDNPRSQHHPSNWVGADEDDDDDEDEELFVQTTPHYMD >ONIVA03G18100.1 pep chromosome:AWHD00000000:3:13181585:13182010:-1 gene:ONIVA03G18100 transcript:ONIVA03G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRALPFVLLVAAAAAALVVVPATAATAEALVAHWGMRAAPCLECTVEEECVADGEVGVVGLRRWRRRRRLFQLMDDEGGGDYGGGGVGAAAAAQYISYAALMRNSVPCSIPGASYYNCRPGADANPYTRGCSAITQCRD >ONIVA03G18090.1 pep chromosome:AWHD00000000:3:13173647:13178278:-1 gene:ONIVA03G18090 transcript:ONIVA03G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT1G09130) TAIR;Acc:AT1G09130] MASASLSLRLPTPSTAPSSGASSSFLSLPPTLLRQARGGAAASSALVARAASGGSPNPLFNPRADPFLSTLAAASPEELQAAAAGGGRRGDDHLPFLEIFQNAKLMASPAQVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKTEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVRLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMADMLSPEEWDKVAGVRRPDIILGG >ONIVA03G18080.1 pep chromosome:AWHD00000000:3:13155694:13166385:-1 gene:ONIVA03G18080 transcript:ONIVA03G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRPAAEEDQEEEKAAPAAAAAAAGEGAGAPASAGRRPAKRGKAVAAEVDSGKEDEEAASASGVASAADATPVVVGGGGAAVPPLDTAGLQALTGAVDRLEAILRPGEAVSNSAGHKRSALAKDLQAKLKEVKDLADGVAKKRLPPVANRRQEPWCRLISQHAKNPSIPINASHFTVGYGAHHNLRLEGSYTNSLVCRLKHAKRGALLEIYESKVVRVNGKSFDKTNKVTLCGGDEVVFNTPVRHAYIFEQLPEEKSSTSPFSSTWCSVQPGQHSLIKDFKDIFSSKEAKVTSFYFGKSRPPLMPVGSSSDPDLVSSLCKTMEDQFNSEENTPFAWCQLLEEDLKNATIDPSEISETFDSCPYYLSENTKSALQSSAYVNLHCKDYIKFTKDISSLSQRVLLSGPAGTDIYQQYLVKALAKHFGARLLTIDSSMLFGGKTTKESDSYKKGDRVRYIGSLQSTGIILDGESPPDFGSQGEICLPFEENRSSKVGVRFDEQIPGGIDLGGNCEVDHGLFCSVDSLCLDTPGWEIRSKHPFDVIIQFISEEIEHGPLVLFLKDTERICGNNDSYRALKSKLQYFPAGAFIIGSHVHPDDHKEKANASSLLLSKFPYSQAILDFAFQDFDRGTDKNKETSKATKHLTKLFPNKVTIQPPKDEIERSKWNQMLDRDVEILKGNANISKIRSFLLKMGLESSDLETVCVKDRLLTNECIDKIVGFALSHQLKHSTIPDPSSDVRFTLSSESLKHGVDMLESVESNPKSSNIRKSLKDIATENEFEKRLLADVIPPDEIGVTFEDIGALESVKETLKELVMLPLQRPELFSRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRRKILSVILAKEDLADDVDLEAVASLTEGYSGSDLKNLCITAAHLPIKDILEKEKKEKALAEAENRPLPQSFSSNDVRALRLSDFKHAHEQVCASVSSDSTNMNELIQWNDLYGEGGSRKKTTLSYFM >ONIVA03G18080.2 pep chromosome:AWHD00000000:3:13155694:13166385:-1 gene:ONIVA03G18080 transcript:ONIVA03G18080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRPAAEEDQEEEKAAPAAAAAAAGEGAGAPASAGRRPAKRGKAVAAEVDSGKEDEEAASASGVASAADATPVVVGGGGAAVPPLDTAGLQALTGAVDRLEAILRPGEAVSNSAGHKRSALAKDLQAKLKEVKDLADGVAKKRLPPVANRRQEPWCRLISQHAKNPSIPINASHFTVGYGAHHNLRLEGSYTNSLVCRLKHAKRGALLEIYESKVVRVNGKSFDKTNKVTLCGGDEVVFNTPIFEQLPEEKSSTSPFSSTWCSVQPGQHSLIKDFKDIFSSKEAKVTSFYFGKSRPPLMPVGSSSDPDLVSSLCKTMEDQFNSEENTPFAWCQLLEEDLKNATIDPSEISETFDSCPYYLSENTKSALQSSAYVNLHCKDYIKFTKDISSLSQRVLLSGPAGTDIYQQYLVKALAKHFGARLLTIDSSMLFGGKTTKESDSYKKGDRVRYIGSLQSTGIILDGESPPDFGSQGEICLPFEENRSSKVGVRFDEQIPGGIDLGGNCEVDHGLFCSVDSLCLDTPGWEIRSKHPFDVIIQFISEEIEHGPLVLFLKDTERICGNNDSYRALKSKLQYFPAGAFIIGSHVHPDDHKEKANASSLLLSKFPYSQAILDFAFQDFDRGTDKNKETSKATKHLTKLFPNKVTIQPPKDEIERSKWNQMLDRDVEILKGNANISKIRSFLLKMGLESSDLETVCVKDRLLTNECIDKIVGFALSHQLKHSTIPDPSSDVRFTLSSESLKHGVDMLESVESNPKSSNIRKSLKDIATENEFEKRLLADVIPPDEIGVTFEDIGALESVKETLKELVMLPLQRPELFSRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRRKILSVILAKEDLADDVDLEAVASLTEGYSGSDLKNLCITAAHLPIKDILEKEKKEKALAEAENRPLPQSFSSNDVRALRLSDFKHAHEQVCASVSSDSTNMNELIQWNDLYGEGGSRKKTTLSYFM >ONIVA03G18080.3 pep chromosome:AWHD00000000:3:13155694:13166385:-1 gene:ONIVA03G18080 transcript:ONIVA03G18080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRPAAEEDQEEEKAAPAAAAAAAGEGAGAPASAGRRPAKRGKAVAAEVDSGKEDEEAASASGVASAADATPVVVGGGGAAVPPLDTAGLQALTGAVDRLEAILRPGEAVSNSAGHKRSALAKDLQAKLKEVKDLADGVAKKRLPPVANRRQEPWCRLISQHAKNPSIPINASHFTVGYGAHHNLRLEGSYTNSLVCRLKHAKRGALLEIYESKVVRVNGKSFDKTNKVTLCGGDEVVFNTPIFEQLPEEKSSTSPFSSTWCSVQPGQHSLIKDFKDIFSSKEAKVTSFYFGKSRPPLMPVGSSSDPDLVSSLCKTMEDQFNSEENTPFAWCQLLEEDLKNATIDPSEISETFDSCPYYLSENTKSALQSSAYVNLHCKDYIKFTKDISSLSQRVLLSGPAGTDIYQQYLVKALAKHFGARLLTIDSSMLFGGKTTKESDSYKKGDRVRYIGSLQSTGIILDGESPPDFGSQGEICLPFEENRSSKVGVRFDEQIPGVDSLCLDTPGWEIRSKHPFDVIIQFISEEIEHGPLVLFLKDTERICGNNDSYRALKSKLQYFPAGAFIIGSHVHPDDHKEKANASSLLLSKFPYSQAILDFAFQDFDRGTDKNKETSKATKHLTKLFPNKVTIQPPKDEIERSKWNQMLDRDVEILKGNANISKIRSFLLKMGLESSDLETVCVKDRLLTNECIDKIVGFALSHQLKHSTIPDPSSDVRFTLSSESLKHGVDMLESVESNPKSSNIRKSLKDIATENEFEKRLLADVIPPDEIGVTFEDIGALESVKETLKELVMLPLQRPELFSRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRRKILSVILAKEDLADDVDLEAVASLTEGYSGSDLKNLCITAAHLPIKDILEKEKKEKALAEAENRPLPQSFSSNDVRALRLSDFKHAHEQVCASVSSDSTNMNELIQWNDLYGEGGSRKKTTLSYFM >ONIVA03G18080.4 pep chromosome:AWHD00000000:3:13155694:13164140:-1 gene:ONIVA03G18080 transcript:ONIVA03G18080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQFNSEENTPFAWCQLLEEDLKNATIDPSEISETFDSCPYYLSENTKSALQSSAYVNLHCKDYIKFTKDISSLSQRVLLSGPAGTDIYQQYLVKALAKHFGARLLTIDSSMLFGGKTTKESDSYKKGDRVRYIGSLQSTGIILDGESPPDFGSQGEICLPFEENRSSKVGVRFDEQIPGGIDLGGNCEVDHGLFCSGWEIRSKHPFDVIIQFISEEIEHGPLVLFLKDTERICGNNDSYRALKSKLQYFPAGAFIIGSHVHPDDHKEKANASSLLLSKFPYSQAILDFAFQDFDRGTDKNKETSKATKHLTKLFPNKVTIQPPKDEIERSKWNQMLDRDVEILKGNANISKIRSFLLKMGLESSDLETVCVKDRLLTNECIDKIVGFALSHQLKHSTIPDPSSDVRFTLSSESLKHGVDMLESVESNPKSSNIRKSLKDIATENEFEKRLLADVIPPDEIGVTFEDIGALESVKETLKELVMLPLQRPELFSRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRRKILSVILAKEDLADDVDLEAVASLTEGYSGSDLKNLCITAAHLPIKDILEKEKKEKALAEAENRPLPQSFSSNDVRALRLSDFKHAHEQVCASVSSDSTNMNELIQWNDLYGEGGSRKKTTLSYFM >ONIVA03G18080.5 pep chromosome:AWHD00000000:3:13164154:13166385:-1 gene:ONIVA03G18080 transcript:ONIVA03G18080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRPAAEEDQEEEKAAPAAAAAAAGEGAGAPASAGRRPAKRGKAVAAEVDSGKEDEEAASASGVASAADATPVVVGGGGAAVPPLDTAGLQALTGAVDRLEAILRPGEAVSNSAGHKRSALAKDLQAKLKEVKDLADGVAKKRLPPVANRRQEPWCRLISQHAKNPSIPINASHFTVGYGAHHNLRLEGSYTNSLVCRLKHAKRGALLEIYESKVVRVNGKSFDKTNKVTLCGGDEVVFNTPVRHAYRRNQAHRHFPLLGVVFSRGSIRLSKILRIFFHLRRPKIIIGSRSGQ >ONIVA03G18070.1 pep chromosome:AWHD00000000:3:13153245:13153961:1 gene:ONIVA03G18070 transcript:ONIVA03G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLRLPSVKLWRAMVRVHSSCSSPPSPSPAEQLEEAAGAPPAAAASTTARRHWSEQREVFTIWMKSLVLNGSGCTVYDSGGRIVYRVDNYGSRRAADVCLMDLAGNVVVQILSKARLGHLVGRWDGYRPCRGGGAGGEQRPWFKAASEAWRGGGGPRCEFRSDGGRAVQRYRMEGRLREAARAARIVDGATGAAVAEVRRKTTAGGVALGDDVLTLLVEPNVDRSLILSLLLLHHDW >ONIVA03G18060.1 pep chromosome:AWHD00000000:3:13149369:13149832:-1 gene:ONIVA03G18060 transcript:ONIVA03G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAGGQGEAAAGGVRGGVGWTRGHGPMDGGVRLWRCCARRKPVVVTGSSGGWLPLVTSVAYGSTQEALDLRGGRLSLGVKGMAWWSGDGRCAGWLCGVLMGNHWRKPYQAMGRHDDGDAIWRRSPPWRCRPGVDPS >ONIVA03G18050.1 pep chromosome:AWHD00000000:3:13143095:13147034:-1 gene:ONIVA03G18050 transcript:ONIVA03G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALASPFLLPHRRRKRPLDDSHFHGPQRHRRRRLCLCPAAFPSPPIPPEAASSPAFDMGGFLSFLRGKPRHDDAGLGVYRGWVDVRSRDLSVATAMEDDDAGFGPRLVVRRRVGDPRKAALEAAAPRPRVKREPYYKEALERMRSHDKRLGELASLVNLEEEKLAELRKAAEPPKEDLSELFTPLTAEEENEVHNCLFGRGSSTEILALHEPSNIEVSREKFRCLRLTAWLNDEVINLYLELLKEREAREPKRFLKCHFFNTFFYKKLACGKNGYDYKSVKRWTTRRRLGYELIECDKIFVPVHKDVHWCLAVINMKERTFQYLDSLGCVDHHVPRVLARYIAEEVKDKSNKEIDTSTWHEELVDDIPLQQNGWDCGMFMLKYIDFHSRGLSMSFSQENMEYFRKRTVMEILRLKAD >ONIVA03G18040.1 pep chromosome:AWHD00000000:3:13138899:13139892:-1 gene:ONIVA03G18040 transcript:ONIVA03G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDAATGDATTATTTVLVGVDYSEHSYHALEEAARLAAARFPPGAAEVVAVHARRPLAPAFVAIGAVAAVMSVEAAEQRAVEKLIGEKAGQLSAQYKVEVKVEVKDGEAKRVLCDAVGEHGAGLLVVGSHGYGPVLRALLGSVSDHCCRHASCPVMVVKMP >ONIVA03G18030.1 pep chromosome:AWHD00000000:3:13133482:13138608:1 gene:ONIVA03G18030 transcript:ONIVA03G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAEEACAGRDGYNFDGHRLRVEPAHGGRGNGGSSFDRPSNFGGGGRRGVSRHSEYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDSEFRNAFSKGHIRVKEYDGKRARSYSRSRSPSRSRSKSRSLSKSPRTRRSASRSRSRSVSSRSRSASKGRSPSSIYFLGNFLLKYSFYYKLYELLSLICFVKTRLSLHFIWDCAAIWGLRIRILRMGFPQFALGCMRSPARSKSPNASAKSE >ONIVA03G18030.2 pep chromosome:AWHD00000000:3:13133482:13138608:1 gene:ONIVA03G18030 transcript:ONIVA03G18030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAEEACAGRDGYNFDGHRLRVEPAHGGRGNGGSSFDRPSNFGGGGRRGVSRHSEYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDSEFRNAFSKGHIRVKEYDGKRARSYSRSRSPSRSRSKSRSLSKSPRTRRSASRSRSRSVSSRSRSASKGRSPSSIYFLGNFLLKYSFYYKLYELLSLICFVKTRLSLHFIWDCAAIWGLRIRILRMGFPQFALGCMRSPARSKSPNASAKSE >ONIVA03G18020.1 pep chromosome:AWHD00000000:3:13131185:13131571:1 gene:ONIVA03G18020 transcript:ONIVA03G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATAVAASLSVAGGLGRPLARVSSPAAPMKAASPAAARRAVVVRASSSAEPVRREKASAAAAAAGIAAVAAVAAALAVPEVAEAAPALSPSLKNFLLSIASGGVVLVAIVGAVVAVSNFDPVKRT >ONIVA03G18010.1 pep chromosome:AWHD00000000:3:13128216:13128623:1 gene:ONIVA03G18010 transcript:ONIVA03G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMADEYNQYGGGGGGPRGGAAPHGLLLAVVVGLVVAGPLFLGDGGEAVTEAVAELLSPVGLLLLPVCLLLLIRLLSSDRGAAALADAFAFGGSPDAVHRVGGSPVGVALMLLLILALLYYRTALFGGDGGDDE >ONIVA03G18000.1 pep chromosome:AWHD00000000:3:13121340:13124531:1 gene:ONIVA03G18000 transcript:ONIVA03G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PETER PAN-like protein [Source:Projected from Arabidopsis thaliana (AT5G61770) TAIR;Acc:AT5G61770] MARVHHKNGRGGGGGGGGGKGKGKGKWKMPASVARKQQAAMANVDQVTGDKIPKSFVFSRGKLPSTLRHLQQDLRKVMLPYTALNLKEKKRNNLKDFVNVSGPLGVTHFFILTNPKSSPHLRMAKTPQGPTYTFQIKEYALAADIANSQKRPRCPPEIFKNSPLTVLSGFGGLGEPFKSLVEYFRHMTPAIDPVTVKLSTCQRILLIHFDREKEMINFRHYSIKLQPVGVTRKIRKLMQNNQVPDLRDLNDVSDYVTKAGYGSESEVDDEAATVSLASDVDKLNRASRKSAIRLQEIGPRMKLHLVKVEAGLCSGDVLYPQPVGKEGLGKKGKEVEEETEGQEDEDLMESDDDPEDESVE >ONIVA03G17990.1 pep chromosome:AWHD00000000:3:13117675:13118854:-1 gene:ONIVA03G17990 transcript:ONIVA03G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAAAAGGKGGAGKKKGSVTFVIDCAKPVDDKIMEIASLEKFLQERIKVAGGKAGNLGESVTVSRDKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >ONIVA03G17980.1 pep chromosome:AWHD00000000:3:13113719:13118898:1 gene:ONIVA03G17980 transcript:ONIVA03G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photolyase/blue-light receptor 2 [Source:Projected from Arabidopsis thaliana (AT2G47590) TAIR;Acc:AT2G47590] MAAAASDSDSPAAAAARHHDDPTLPAFASFSLSLSLRTPASPSPAALASVPSTIHLPTQISTLAVCLHPSASASASPSSRRRLNAAAAASSLLAPLPASTPGLSRSFPSGAPAAAGRRRTLVWFRADLRLHDHEPLHAAVGASSSLLPVFVFDPRDFGKSPSGFDRTGPYRAGFLLDSVADLRRGLRARGGDLVVRVGRPEVVIPELARAAGAEAVFAHGEVSRDECRAEEKVSKAVEKEGIEVKYFWGSTLYHLDDLPFRLEDMPSNYGGFREAVKGLDVRKVLDAPEEVKCVPMKNVLEPGEIPTLAELGLTAPPAMAHGSKAAVGSTLIGGEAEALERLKKFASECCMQPNKGDKDSTRDSIYGANFSCKISPWLATGCLSPRFMYEELKKHASRAIPSGSTPKNGDGTSDAGTNWLMFELLWRDFFRFITKKYSSAQKTSEVAPATGCTPTPALA >ONIVA03G17970.1 pep chromosome:AWHD00000000:3:13107608:13113225:1 gene:ONIVA03G17970 transcript:ONIVA03G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKPRGKPKSRALVAGAAHGGKEKKGVTGGAGKRGDRRGGRHGPRLPTALRRQLDALGPGTSRGSDEDEEAGSDDEGAHDVYEYEEGVPEEEAGKNGRYDAVEKYEYEFDSDASNADEDVPSDEGEDMEEDDAGEDEDEEKQIRILQETTGMPREAFDGGKRKKQPLELPFQPGVGDGPVTIHDLLNNIQGKPGYSKLRKRLQQQEKKPMVVQAPLAKVEREKLERGVVYQQSKKEVTKWEPLVKRNREAPTLYFENDLNLGVNTVGAIASEFKPRNEFEKQMAEIMRSTEMMEAHKNDGVKILELNKIDMEDVRERQNRLAKMRSLLFRHEMKAKRIKKIKSRTYHRMLKKDKLKAASADFEADPEAAKEHAMKQEFKRAEERMRLKHKNTSKWAKRILKRGLDVQDEGTRAAIAAQLQQNALLTRKMNSTKDDSSSSEESSDDEEDDDESEANILNKGKEKILKILGDDNEIPTSGVFSLPFMERAMKKHEEATYEEARLALEECDESLRKLEDGNTEENGDSVKVTGKRTFGPAEDTNKVTNKKQKLDDGDKNSDSEYESDSAQHLDDNEVHKIDDVQIGTALLDDDEPQDDLFKSFDDIIKNPGCKTTVEVGMLADNSWKKFKSSKGNDGSNTNGDIDKSTVKVSYMADQKLKQLDHNSDSDSEDEMVEGLLTISDAKENYKIPSQADLIRQAFAGDDVEAEFEKDKLDVLNEENPEPEKPALVPGWGQWTDIQQKKGLPSWMVKEHENAKRKREEALKRRKDAKLKHVIISEHVDKKAEKLLVRNLPFPYTSKDVYEQSIRMPIGPDFNPAISVAALNRPAIVKKPGVVIKPIQYEEVDPHEKPDEPKRVIQRAVPNPKAKRTSAKQAKAIASNKRK >ONIVA03G17960.1 pep chromosome:AWHD00000000:3:13105605:13105922:1 gene:ONIVA03G17960 transcript:ONIVA03G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRQVDLAWDLMRAWFACASSPEPSSGYFVAVVVDGKMVLNANNMAEEAYRKTKRAARPQPRYRPYLPAPMSVTVDGKRVLHIRRLRWKFRGTEKIDLGGGDGV >ONIVA03G17950.1 pep chromosome:AWHD00000000:3:13085521:13087218:-1 gene:ONIVA03G17950 transcript:ONIVA03G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy/auxin associated family protein [Source:Projected from Arabidopsis thaliana (AT1G56220) TAIR;Acc:AT1G56220] MGLLDKLWDDTVAGPRPDTGLGRLRKHAAARPAAVKINDPAGDAAMVAVPPTTPAGAEEAPVKVTRSIMIKRPAGYPASPRSAASTPPASPAGSTPPISPFAGADTTGTLLNLEKLNSPGGRFRRKSSSDAYERATPGTTSHPPPFEV >ONIVA03G17940.1 pep chromosome:AWHD00000000:3:13081743:13083929:-1 gene:ONIVA03G17940 transcript:ONIVA03G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKAATACAASAQGKLGRLVVAGVVPCNTGTLIDVATSPPFPDPSPPPPPPAAVQIRRRLRDRCAPAVQISRRRHRACRRRGRDAAAIADPAVAAPDGKLGKGGRRRSRCRARCAPAIQTLSSSSPCPLPPPPAAVVVANGSFAMEADVASAAVAAVAGACELVVDTPLARCDARLPAAGRLVSDVQQGGTLIGGLLDGALRLAPAGVSFRMA >ONIVA03G17940.2 pep chromosome:AWHD00000000:3:13081743:13083929:-1 gene:ONIVA03G17940 transcript:ONIVA03G17940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKAATACAASAQGKLGRLVVAGVVPCNTGTLIDNGSFAMEADVASAAVAAVAGACELVVDTPLARCDARLPAAGRLVSDVQQGGTLIGGLLDGALRLAPAGVSFRMA >ONIVA03G17930.1 pep chromosome:AWHD00000000:3:13077155:13079572:-1 gene:ONIVA03G17930 transcript:ONIVA03G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGARVVSPASAHSTDEELHFKKLKNGERGGITCLLARDSSPASVRGGNSCHGRLRAAAAGSRASMCGDGSSSESSTMTQGG >ONIVA03G17920.1 pep chromosome:AWHD00000000:3:13073051:13073668:-1 gene:ONIVA03G17920 transcript:ONIVA03G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSKNLLLVLVVAATAVGIVAPHGSEAAAGRAVTGLVTGVVPCSAGSSINAASVPAFPDAGVQMVCGGRVVGGATADGTGAFTINMGALNATMLMAMAGNQCKVVVTTPLAACDASLAAVAGTLTAPVQLLGGTGGLGGLGGLITLITQILSGLLGEILNIIPLPFSLV >ONIVA03G17910.1 pep chromosome:AWHD00000000:3:13065181:13068985:-1 gene:ONIVA03G17910 transcript:ONIVA03G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAYPAVAVKSTETGRDDHREISCVEMNPFMGRQQIVGQPRPGSPATATPINRAIDAAA >ONIVA03G17900.1 pep chromosome:AWHD00000000:3:13064054:13065071:-1 gene:ONIVA03G17900 transcript:ONIVA03G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSSSIRSLLLAAALLVAVGISPHAAEASSGGAVMGLVTGVVPCSAGSSINAASVPGFPNAAVQLECGGRAVAGATADGSGAFAINLGKLTAATLTPLLNDRCRVVVTTPLAACDASLAGVAGTLAAPVQLLGDGGAGGGGALGGLGGLIGGITGIIGQIISGVLGNIISIVPSAFSVV >ONIVA03G17890.1 pep chromosome:AWHD00000000:3:13054120:13056569:1 gene:ONIVA03G17890 transcript:ONIVA03G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFFVGIVGNVISILVFASPIATFRRIVRSKSTEEFRWLPYVTTLLSTSLWTFYGLHKPGGLLIVTVNGSGAALEAIYVTLYLAYAPRETKAKMVKVVLAVNVGALAAVVAVALVALHGGVRLFVVGVLCAALTIGMYAAPMAAMRTVVKTRSVEYMPFSLSFFLFLNGGVWSVYSLLVKDYFIGIPNAIGFALGTAQLALYMAYRRTKKPAGKGGDDDEDDEEAQGVARLMGHQVEMAQQRRDQQLRKGLSLSLPKPAAPLHGGLDRIIKSFSTTPVELHSILHQHHGGHHHHHRFDTVPDDDDEAAAAVAAGGTTPATTAGPGDRH >ONIVA03G17880.1 pep chromosome:AWHD00000000:3:13044184:13051290:-1 gene:ONIVA03G17880 transcript:ONIVA03G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVARLPRSGSVGCGGGCSASVRLHRCRRRRHRRQPPGTSTVAGTIEGAAGVDEDGSGDAGEGVPMKNPTTKLAGLPRDASKGDSPPATTATPVMSASAAAAYGTPPSQKPPPPTAESVLRVASRDPSAAAPLLQALPPDGLDDVLSSLSPASPPNHLALLPAVLTLSPSPTAAAAALSALLSAPSWPSPTLLAVASLLRDLPAAYHHRVPAFVAKVLSLLPAADAQDLPALAYQLLLLASKPLHPRAVLSGLLRFFGGHRGARLRAPPSIARQVEGTVLMHVAFAVKQDPALAREVVAAVKADAAGTLSGFAVAVLLSVARVRRFNDAAVGVLRDAVITSRRDYRISRRCKWLPECLKGECARAANCVEKALLKAVGESIGGREHVVPSIVQVGFLLLEASDSDRKEEVGSNEGVMSTEEVGVNMLKSLFDIHGMARTEIIEQCKFRILSVKPSQSLPVIRLLGGLVRTHPFQMLEYISHLKELLDYFAFLNDKISIGLINCILPLTKFSRDLKDYIILVIRKAMFKREDAVRIAATNAIVELIIAENKHKRTEANPFQDSSSQPSSSQQPETHLEIGGGLFQELSGLLRRCFMQQARVKEVLYNGLIQIVTSDPSIAENVLDFLWPHFLNYYTEHAECPLKIDSCFKIENAKVSIVEPIDCLLSCISCILQVQQNSKCEQPRDAYWKCFGFAPSQDNEVGRLSSSDLFVKALSNTQKYLRKCLAEDQRGQTQETCSLSSHLDTAHCHNFAMIGIIEVFIGYTASKLEKVADEQKEMLEKEILDLIDAHSSFERKKSKNKEKIAQRAGNSSDSTAKQTNGPKEYYSATLQKLNERRETFMDSSLYELVRVCVKQCDADNLEKCSQRPTQSKLNQCHSLLSFVLKACYRMFKSLAAKGSGATTGNVRAVLYEDVKKLVGPMMQLIWWIMLDSKQENGGTKRNLTQGKKHMDSKKDQLYLALTCLTEMSKLSVPEDHPGDIIDVLVSSAPPNIEDMVHCSQLLGRNDTDPNTGSVHVFLNILKMLYVRVLSQSLPRESEAVTELILGISRKLHHEQSHLVGHWAASLCQKTILQNPSIAQEMVKVAIHLMIAPDDLVLVHEMTAELKLITTGEEDSRDSSETFPVINCKTKNSLAAVFLQMVESSLTELDWVIGKLKVMLALAYDSANIDEDDQPADERTQRLYLEEALYSRSTSVVHVLSSFAHTSLKDSQAEQFLKLTAKLYKLLARMAKSQIAPKGYKQVMPGLKFQKLAEVTCRMLTAPLYVFVALVQENQQASKRGILARIKRESKCIPDLIFQIEDYEKYLIQLSKLTKVNLLRHAKRSVARDFKIQSKDELERNSTAARAASSENMPEEDAEGPDAPLETNGDEDPQASARSDNTVEDSESDEEEERVLARRKRAKTNSIVQDSDEEAEDE >ONIVA03G17870.1 pep chromosome:AWHD00000000:3:13041141:13043319:-1 gene:ONIVA03G17870 transcript:ONIVA03G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPKSDDVYLKLIVKLYRFLVRRTKSPFNAVILRRLFMSKTNRPPLSLRRLVRFMEGKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETARARIVNTGGECLTFDQLALRAPLGQNTYIAMPEILTIDNFALLQVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >ONIVA03G17860.1 pep chromosome:AWHD00000000:3:13038305:13039559:1 gene:ONIVA03G17860 transcript:ONIVA03G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIPLISSRGPGGKRSLSAADELWPPPPQHASDDPAEQAAADEEEQEQQPAARRQRRGERRTLYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEAAARAYDRAARRIRGTKAKVNFPNEDNAFAAAPPPYHLAAYYGDASSTSYLYPMAMTPAAAGLRQQQLMTTTAVEYSVNDAVDVASVYFQPPPPAVACEFSAVGGGAVVVPVSAVAPAMTYGQSQEVAAPLMWNFDDITAMPM >ONIVA03G17850.1 pep chromosome:AWHD00000000:3:13020648:13031915:1 gene:ONIVA03G17850 transcript:ONIVA03G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RELA/SPOT homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G02260) TAIR;Acc:AT4G02260] MQPPTGAVSGSSSSSLECVSSCRASWRGGGRPYECSVLSCAWNAPRALTGALASTTAQCSSCSHAEAGAGWRRRGRSRRSNNSLLHITWAEGINRGKFGYGSSAHSFPTGNFFKSWSTSVDPTWRVFCYSSSESFNHISPETLWEDLKPAISYLQPEELNFVHDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDMVTFERIENEFGVTVRRIVEGETKVSKLGKLQCKNEGNSKQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYMNPGDFAELKKRVEDLYKAHEQELEEANQILGEKIAEDQFLDLVSVETQVRSVCKELYSIYKTALKSKSSINEINQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSNGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMVAAKVNGNLVSPIHVLANAEVVEIIIYDKLSAKYAFQRHQQWLQHAKTRSARHKIMKFLREQAALSAAEITADAVNNFVADLEDESDYEQSIPSSENKDYTFNWQKILNSDKLSFGNKKSDCFLPVKNVSVPKVNGKHNKTVKELGIKINGSTFRGDSFTDFIHPGVSSSKEVLPSVDNWKAGKICAWHNTEGSSIQWLCIAERDKRRGIGVMLFHFEGAYENVVSACSGVDMILGVLGWSVGCSCNPLGVLEC >ONIVA03G17850.2 pep chromosome:AWHD00000000:3:13020648:13031915:1 gene:ONIVA03G17850 transcript:ONIVA03G17850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RELA/SPOT homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G02260) TAIR;Acc:AT4G02260] MQPPTGAVSGSSSSSLECVSSCRASWRGGGRPYECSVLSCAWNAPRALTGALASTTAQCSSCSHAEAGAGWRRRGRSRRSNNSLLHITWAEGINRGKFGYGSSAHSFPTGNFFKSWSTSVDPTWRVFCYSSSESFNHISPETLWEDLKPAISYLQPEELNFVHDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDMVTFERIENEFGVTVRRIVEGETKVSKLGKLQCKNEGNSKQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYMNPGDFAELKKRVEDLYKAHEQELEEANQILGEKIAEDQFLDLVSVETQVRSVCKELYSIYKTALKSKSSINEINQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSNGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMVAAKVNGNLVSPIHVLANAEVVEIIIYDKLSAKYAFQRHQQWLQHAKTRSARHKIMKSIPSSENKDYTFNWQKILNSDKLSFGNKKSDCFLPVKNVSVPKVNGKHNKTVKELGIKINGSTFRGDSFTDFIHPGVSSSKEVLPSVDNWKAGKICAWHNTEGSSIQWLCIAERDKRRGIGVMLFHFEGAYENVVSACSGVDMILGVLGWSVGCSCNPLGVLEC >ONIVA03G17840.1 pep chromosome:AWHD00000000:3:13018671:13019152:-1 gene:ONIVA03G17840 transcript:ONIVA03G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYATYEEAARSSSYTYYKIRVAISTESVPQLVKKTLGFGTLCWDGSFVKDSAPSQDVTLLLPRHSSVWRAN >ONIVA03G17830.1 pep chromosome:AWHD00000000:3:13014653:13017153:1 gene:ONIVA03G17830 transcript:ONIVA03G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16760) TAIR;Acc:AT3G16760] MNSYSGDRSSSSSSSRPTTTSFDSYQFDFGVNSSRSSASRSLRPGPGATSGGAAAGGIGGGGSAWTHQPAKTTSWTHQPSPASAAAGAGSGPTSMVGDIFGRSWSSAAPSSGLGIPQANNPGLFSDLLGSALGSSSRGQPNAPLRSSAPQTYKPANANPNPSGSPFSMGGMASTLPKTATGSPMSSGGGGYGVGGRPMKPAGMASAAAAQPMMGQKKDPFGSIDPFAAKPGSMNAAKKANPVKPDQGFGAFQGVNSGGIAGLSGFQTADSGFGSFQSSGAVKPSSFTPLAPAPAPAPAAAAANSGVDHLDSLFASTTAAPTAVSNGGGGGDMFGEMDGWVDVEADFGSGDSGGATTELEGLPPPPSGLTASAAKSKGMDNYKGGQYADAIKWLSWAVVLIEKSGKDADIVEVLSSRASSYKEVGEYKKAIADCSKVLEKDKDNVSVLVQRALLYESSEKYRLGAEDLRLVLKIDPGNRLARSMIHRLNKMAD >ONIVA03G17820.1 pep chromosome:AWHD00000000:3:13000232:13006088:-1 gene:ONIVA03G17820 transcript:ONIVA03G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GM66] MSGPKLDRTPSIRDRVEDTLHAHRNELVALLSKYVSQGKGILQPHHILDALDEVQSSGGRALVEGPFLDVLRSAQEAIVLPPFVAIAVRPRPGVWEYVRVNVHELSVEQLTVSEYLRFKEELVDGQYNDPYILELDFEPFNASVPRPNRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLLDFLRGHRHKGHVMMLNDRIQSLGRLQSVLTKAEEHLSKLPADTPYSQFAYKFQEWGLEKGWGDTAGYVLEMIHLLLDVLQAPDPSTLETFLGRIPMIFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVLRLKKQGLDFTPKILIVTRLIPEAKGTSCNQRLERISGTQHTYILRVPFRNENGILRKWISRFDVWPYLEKFAEDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWTKYDEKYHFSCQFTADIIAMNNADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRIVHGIDVFDPKFNIVSPGADMSIYFPYTEKAKRLTSLHGSLENLISDPEQNDEHIGHLDDRSKPILFSMARLDRVKNITGLVEAYAKNARLRELVNLVVVAGYNDVKKSKDREEIAEIEKMHELIKTYNLFGQFRWISAQTNRARNGELYRYIADTHGAFVQPAFYEAFGLTVVEAMTCGLPTFATVHGGPAEIIEHGISGFHIDPYHPDQAANLIADFFEQCKQDPNHWVEVSNRGLQRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMRRLYLLQ >ONIVA03G17810.1 pep chromosome:AWHD00000000:3:12994595:12995122:1 gene:ONIVA03G17810 transcript:ONIVA03G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQMSLGGDLRFRAYAAAAAVGVVAVLAVCFWRLYRLTVSARPQDMLPVSAVSSGAGAGGGKAALGELDISALPVFVHVAGCEAAAAVECAVCLGEVRDGERGRLLPRCGHRFHVECIDRWFRANSTCPLCRAAVVAGEPGGAAAAAGDKGDAVAVAVVGVPDVVVHVQVEEG >ONIVA03G17800.1 pep chromosome:AWHD00000000:3:12971840:12972442:1 gene:ONIVA03G17800 transcript:ONIVA03G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCLVTSSDTAAPPPPAAAGAAATQAPHLPRRGLHEPAAMKVAIAGNVVVAVLFVAVIVWRLFFFGGRDRASGAAASAAADADGESSSAGSSPCASPRAGGGLGREDLMALPVYVHGASAAADGGAKAEECAVCIGELRDGDTGRLLPRCGHRFHAECVDKWFRSHATCPLCRAAVAAADGDSGGEADTKVAVVQQDV >ONIVA03G17790.1 pep chromosome:AWHD00000000:3:12968386:12994492:-1 gene:ONIVA03G17790 transcript:ONIVA03G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSAARDDKKMCTVYEIELLDDDMDREIQPGNGSPPRSHTDNPYENVARATTVEKNYPGCRPS >ONIVA03G17780.1 pep chromosome:AWHD00000000:3:12963411:12968059:-1 gene:ONIVA03G17780 transcript:ONIVA03G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPQRLAGGRTRGTAAEARGSGRTSGVALRRRWLVVSSDRGAVERGQRAKEDDGNTNFPPPPNPTAYMLRHPVAAPVGLRRGAALRRPPAASFMASELGGGRRAWSRGRHPPRPPLRPTSVDGRGVGGGDVGGEVDSDTDAALGAAGAPACPRHRRPHHSRPRRPRASSPSFVPLPPLSPPLHLFPPIPNPAAAADTPTCRRAEGKAARGGVGGGGGELHRLRRVPLCPAHEAGSEPRRACRLLGILHRRRRRRHQQWREPEQQQQPADPPSPRAPRAGTTPGPSPRRQVMAETAAVGTVEEPLLVSAIEGEEGRETAGLAYEAAGRGSNLLAIVIVFQSYQLLCERHPSFCERSELVDLVVEISLQPWKVFTANGAILFSDILTPLPRMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNILREEINNEATVLSFVGAPFTLASYCVEGGSSKNFSKIKKMALSEPEIVDSVKETHPELPLILYASGSGGLLERLPLTGVDVVSLGTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISNRIFHTAESW >ONIVA03G17770.1 pep chromosome:AWHD00000000:3:12957760:12958359:-1 gene:ONIVA03G17770 transcript:ONIVA03G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYSQGKLSGARSMLTTPLPAAANVPAHLPLAGKWCSPWAIEEDDGLEAGRRGGAAVLAGCHYRLAHLPWGVLRRSHRSPWESGRRKEPWSPWRLRAGRGFPAPEPDSLDGPCSQQTL >ONIVA03G17760.1 pep chromosome:AWHD00000000:3:12952562:12953917:-1 gene:ONIVA03G17760 transcript:ONIVA03G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GM60] MVEQKGNILMKRYEIGKLLGQGSFAKVYHGRNIKNSQSVAIKVIDKEKILKCELMDQIRREISVMNLVRHPCIVQLYEVMATKTKIYFILEYVKGGELFNKVRRGRLKEEVARKYFQQLISAIDFCHSRGVYHRDLKPENLLLDENRNLKISDFGLSALAECKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADVWACGVILYVLLAGYLPFQDKNVINMYKKICKAEFKWPSWFSSDIRKLLRRILDPNPATRISVSEIMEDPWFRVGLDSYLLNKTIPTDKVDKVVHVDMDSTFGNLSNNINEGKQEAENLTSLNAFDIISLSSGFDLSAMFEDENNKEESKFTSTNTATTITKKLEDVAKNLRLKFLKKNGGLLKMEGSKPGRKGVMSINAEIFQITPDFHLVEFTKINGDTLEYQKVKQEMRPALKDIVWAWQGEQPQPQSLNKQS >ONIVA03G17750.1 pep chromosome:AWHD00000000:3:12947345:12950407:1 gene:ONIVA03G17750 transcript:ONIVA03G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEAECAAAAVGGGGRAEIDTSAPFESVREAVDRFGGSAAWSSHLIRRMFAPPNPKEQSEESKQPVDIKEQAAQLEHDLIIKEKETLDVLKELESTKKIIADLKQRIQKESNETSPSAVKSDDQSEIPITESEEQKPENVNIDMDMEGLDEHPQPLSGSVLLELEQAKANLNRTTGDLAAVRAAIELLHNSIAKEKLLLERSREKLSSNTALASSLEDELDQTTQKLQTLKDLQARREDPSDIFIEIKKMASEVQQLRGMANASKSEAMMLAAEIEQTKASIGTAEIRCIAAKKMEEAARAAEALALAEIKALLSSESSSECGSSVCDGVTLSAEEYFTLCSKAQEADENSRKKVEEAMLQVDVANSSETDSVKKLDDARLEVEECKRALQEALKRVEAANRGKLAVDEILRRWKSENGHKRRSIGGSPKFKNAAQRRKDSHSMDIISDASTNSCKQTLSIGQILSMKLMGPEGYDKTIWDDKTSEMPNVSLGQILNRGRVLSREETAVRKRVSGKRKKFALTGLSVLLAKQAKNKKKRESL >ONIVA03G17740.1 pep chromosome:AWHD00000000:3:12944950:12945850:-1 gene:ONIVA03G17740 transcript:ONIVA03G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCRRCLVLSLSFSSLWLAMQRERTTTTTGLLSAADEVNVAERKRTACLVDTGGGSTTRATGRLNNVAAAREEGRCRQPPLCVVVCRGEPVCTIVCEGEPVCAVDGEEGRARW >ONIVA03G17740.2 pep chromosome:AWHD00000000:3:12943876:12944946:-1 gene:ONIVA03G17740 transcript:ONIVA03G17740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMAGREEQGRSTMSKSQRRLMLPSSSSSGAGHHRVKRRRRRQLPIHAPPRLKPPPHHPPIGKRAADAKWHVTGLRQRKKPYELQQIETARNRQRVSHRRSGRWRWMQNHDNNTTFSLEAEKPKREPHHPRRSKENHDELWMKTTTTIPEWSSKGG >ONIVA03G17710.1 pep chromosome:AWHD00000000:3:12920090:12929700:-1 gene:ONIVA03G17710 transcript:ONIVA03G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIASDDEAAEADRCGTIVRLPREGRCPFYLTTLWLQSVPSHILPAKVAPILRADAEPSSGNAGSGTLSPDWPRSRAGRLQLAVAQPHWGNIKFFTALYSAFT >ONIVA03G17700.1 pep chromosome:AWHD00000000:3:12919392:12928414:1 gene:ONIVA03G17700 transcript:ONIVA03G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEPVEPQSLKKLSLKSLKRSHDLFAPTHSLLFTPDPESKQVRVGCKVNAEYSAVKNLPTDQGRGQVKSAAAPSTALALPGTQDVKDADNKGSSTAIVPAPHMLPKAPDSTIPGKNTTITIPGSSDRFSTSALMERIPSRWPRPVWHAPWKNYRVISGHLGWVRSIAFDPSNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDSTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINSMAVNEDGVLATGGDNGSLWFWDWKSGHNFQQDQTIVQPGSLESEACIYALSYDVSGSRLVTCEADKTIKMWKEDLSATPETHPINFKPPKDIRRY >ONIVA03G17700.2 pep chromosome:AWHD00000000:3:12919392:12928414:1 gene:ONIVA03G17700 transcript:ONIVA03G17700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEPVEPQSLKKLSLKSLKRSHDLFAPTHSLLFTPDPESKQVRVGCKVNAEYSAVKNLPTDQGRGQVKSAAAPSTALALPGTQDVKDADNKGSSTAIVPAPHMLPKAPDSTIPGKNTTITIPGSSDRFSTSALMERIPSRWPRPVWHAPWKNYRVISGHLGWVRSIAFDPSNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDSTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINSMAVNEDGVLATGGDNGSLWFWDWKSGHNFQQDQTIVQPGSLESEACIYALSYDVSGSRLVTCEADKTIKMWKEDLRVKS >ONIVA03G17700.3 pep chromosome:AWHD00000000:3:12919392:12928414:1 gene:ONIVA03G17700 transcript:ONIVA03G17700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEPVEPQSLKKLSLKSLKRSHDLFAPTHSLLFTPDPESKQVRVGCKVNAEYSAVKNLPTDQGRGQVKSAAAPSTALALPGTQDVKDADNKGSSTAIVPAPHMLPKAPDSTIPGKNTTITIPGSSDRFSTSALMERIPSRWPRPVWHAPWKNYRVISGHLGWVRSIAFDPSNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDSTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINSMAVNEDGVLATGGDNGSLWFWDWKSGHNFQQDQTIVQPGSLESEACIYALSYDVSGSRLVTCEADKTIKMWKEDLSATPETHPINFKPPKDIRRY >ONIVA03G17690.1 pep chromosome:AWHD00000000:3:12911942:12915510:-1 gene:ONIVA03G17690 transcript:ONIVA03G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G30320) TAIR;Acc:AT2G30320] MAAAAAATWRLWRPYSSALLSRRVNPRFLRTTPCVSYPGGAAASAAPPSPPLATTCSDDGGGGMRWESARKKRVVLRVGYVGTEYRGLQKQRELSADSTIESVLETAIFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWENDPDGIALSNFINSNLPDNVRVFSVLPAQRSFDVRRECLYREYLYLLPAEIIGIKGGCSSEEVMEHLSEFNSILKGFEGNHPFHNYTARAKYRKVLAGRHRKVKGASSAVNSMPTEMSLDQSSSDDGTTSDHDEEDLNSSSIIGSSVPEDSYKDNPEFSEKQVQIRARWLHEPDENDRLNASHFRDILTFSCGELQISSGIQFVELTISGVSFMLHQIRKMVGTSVAVKRGLLPKDIIALSLAKFSRIVLPIAPSEVLVLRDNSFCLRNKQGTIVRPGIQSMNESEEVKKGVMEFYRAALVPELANFLDASMPPWKEWVENLDRFTSIPDPQLEEVRSAYRVWKADYDRVKMARKSASSD >ONIVA03G17680.1 pep chromosome:AWHD00000000:3:12907378:12910184:1 gene:ONIVA03G17680 transcript:ONIVA03G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRIQQYGHLSNTSIIMDASKYIKELKQKVVRLNQEIACAQDALRQNRVTVETLGHGFLVNVFSGKSCPGLLVSILEAFDELGLSVLEATASCTDTFRLEAIGSENLMEKVDEHVVKQAVLRAIRSCSGSGGDHHDDDDDDDDE >ONIVA03G17670.1 pep chromosome:AWHD00000000:3:12905928:12907484:-1 gene:ONIVA03G17670 transcript:ONIVA03G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEMKATTTPYVYSGFSPRPWPLTARENDPTPNPDNMLELDGDRLPSGGGAVWPASGWKEERSKQAEEW >ONIVA03G17660.1 pep chromosome:AWHD00000000:3:12889743:12892959:1 gene:ONIVA03G17660 transcript:ONIVA03G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTALLTYGCQIHPRPTSLSRSQTRVLSPSAVRPKFPPTAAPPPTISRIPPPLALPGPHLTAAAARDKPSLPIPPDPSAHRRHPIPQPTAAIAPPSLRLADLLRQRAAASSTTRRLSESHSRECLVVWDNKLNWIRQGHQFPPRRSCGAVDTVERLFHLRCPCCHPRPHCISVLDLRLSLTTIQEKIFLLRITKISYYSDNDAEISYINGVLYSVTRRVWCLRLDYILLIYIRG >ONIVA03G17660.2 pep chromosome:AWHD00000000:3:12889743:12892959:1 gene:ONIVA03G17660 transcript:ONIVA03G17660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTALLTYGCQIHPRPTSLSRSQTRVLSPSAVRPKFPPTAAPPPTISRIPPPLALPGPHLTAAAARDKPSLPIPPDPSAHRRHPIPQPTAAIAPPSLRLADLLRQRAAASSTTRRLSESHSRECLVVWDNKLNWIRQGHQFPPRRSCGAVDTVERLFHLRCPCCHPRPHCISVLDLRLSLTTIQESSIFRNSPRLVSTFGLYLTDLH >ONIVA03G17650.1 pep chromosome:AWHD00000000:3:12879111:12881259:-1 gene:ONIVA03G17650 transcript:ONIVA03G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRIARRGLSRLAAAVETAAVAPPRMPDFNHVPLPYDGPSAAEIARKRAEFLSPSLFHFYSKPLNIVEGKMQYLFDERGRRYLDAFAGIATVCCGHCHPDVVGAIAAQAGRLQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELAIMMARLYTGSHDIISLRNSYHGNAAGTMGATAQKNWKFSVVQSGVHHAVNPDPYRGAFGSDAEKYARDVQEIIEFGTTGQVAGFISEAIQGVGGIVELSPGYLPLAYEAVRSAGGLCIADEVQAGFARVGSHFWGFETHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRCYFNTFGGNPLCTAGGLAVLRVLEKEGLQANAHAVGSYLKDRLRALQDKHEIIGDVRGTGFMLGVELVTDRQLKTPAKDEICRAMEHMKEMGVLVGKGGFYGNVFRITPPLCFTKEDADFFVAVMDSALSKL >ONIVA03G17640.1 pep chromosome:AWHD00000000:3:12868316:12874048:-1 gene:ONIVA03G17640 transcript:ONIVA03G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLRRLAGASAGGAPSPAAAAAAAALLLRPALARPISTGFREERDTFGPIRVPNDKLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVAEGQLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRFVPSLQQLHKSLDSKSVEFQDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRIVCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETELPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTVGGSNGHFELNVFKPMIAAGLLRSLRLLGDASVSFEKNCVRGIQANHKRISQLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALDLGVLTESEFHELVVPEKMIGPSD >ONIVA03G17630.1 pep chromosome:AWHD00000000:3:12864562:12866571:-1 gene:ONIVA03G17630 transcript:ONIVA03G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GM45] MVSLKLQKRLAASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAAAPAQTAAAPKKAKK >ONIVA03G17620.1 pep chromosome:AWHD00000000:3:12855154:12862047:-1 gene:ONIVA03G17620 transcript:ONIVA03G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLDGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYFLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDSCIQYGEDADVKRTSE >ONIVA03G17620.2 pep chromosome:AWHD00000000:3:12855944:12862047:-1 gene:ONIVA03G17620 transcript:ONIVA03G17620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLDGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYFLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDSCIQYGEDADVKVRDFDPED >ONIVA03G17620.3 pep chromosome:AWHD00000000:3:12855944:12862047:-1 gene:ONIVA03G17620 transcript:ONIVA03G17620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMEYPLFLKKTIGTLKTIWMIGWMSNWMVIWMMTILCLIAQIELFTHVPVLRNFVEHLKRKNFNVCAVYFLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDSCIQYGEDADVKVRDFDPED >ONIVA03G17610.1 pep chromosome:AWHD00000000:3:12851087:12854645:1 gene:ONIVA03G17610 transcript:ONIVA03G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACLPLSLQPAYLSGRGYGGDGGGRDGGGAAAGERDQGEEGRETAGLAHEAGRESYQLLCERHPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNILREEVNNEAAVLGFVGAPFTLASYCVEGGSSKNFSKIKKMAFSEPEILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKETHPELPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISKRIFDTVQKAGNSGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >ONIVA03G17600.1 pep chromosome:AWHD00000000:3:12845788:12850879:1 gene:ONIVA03G17600 transcript:ONIVA03G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0GM39] MDLEFGRGMRSPQRDSWKTTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECSSERTDKSCIKVWLEKHKKLHTALLIMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSVEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHQVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDASYRCSDASGGGGDHEPEEERGARLAVIGSSHASYDIQDSVQHSSAASVETTTTRRRSGGGDDDGSPGGGGGRAKQVRFFIDSHVASPEAADSKQVAEELEALAAARDAGTAFILGHSHVQCKPGSSLLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >ONIVA03G17600.2 pep chromosome:AWHD00000000:3:12844412:12850879:1 gene:ONIVA03G17600 transcript:ONIVA03G17600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0GM39] MDLEFGRGMRSPQRDSWKTTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECSSERTDKSCIKVWLEKHKKLHTALLIMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSVEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHQVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDASYRCSDASGGGGDHEPEEERGARLAVIGSSHASYDIQDSVQHSSAASVETTTTRRRSGGGDDDGSPGGGGGRAKQVRFFIDSHVASPEAADSKQVAEELEALAAARDAGTAFILGHSHVQCKPGSSLLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >ONIVA03G17600.3 pep chromosome:AWHD00000000:3:12845933:12850879:1 gene:ONIVA03G17600 transcript:ONIVA03G17600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0GM39] MDLEFGRGMRSPQRDSWKTTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECSSERTDKSCIKVWLEKHKKLHTALLIMVLIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSVEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHQVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDASYRCSDASGGGGDHEPEEERGARLAVIGSSHASYDIQDSVQHSSAASVETTTTRRRSGGGDDDGSPGGGGGRAKQVRFFIDSHVASPEAADSKQVAEELEALAAARDAGTAFILGHSHVQCKPGSSLLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >ONIVA03G17590.1 pep chromosome:AWHD00000000:3:12838405:12841653:-1 gene:ONIVA03G17590 transcript:ONIVA03G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKERRGLLALPRRLLACAGRRSGRRRRKGDMRWRRFLLGGGEGAIGGGLEVAAHGPWAGCAGTRAQDQGRWTSSMLADKDTNNIEHQLMRSESNKRD >ONIVA03G17580.1 pep chromosome:AWHD00000000:3:12836984:12837250:1 gene:ONIVA03G17580 transcript:ONIVA03G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAAAAAALLLVAAVVAAAAVGAGAEGEETTGDAGELDCFCDCMKNQCMTLGAAPNKFDCADACTQGCTQIGKPGQPSDKDFCGF >ONIVA03G17570.1 pep chromosome:AWHD00000000:3:12832696:12835489:-1 gene:ONIVA03G17570 transcript:ONIVA03G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLYPSIDGAHLAVTHFTVHDHHRVVLQSDPIAPTHRSTPIGTRNLYKTFLHRKLFAKVITS >ONIVA03G17560.1 pep chromosome:AWHD00000000:3:12831676:12832584:-1 gene:ONIVA03G17560 transcript:ONIVA03G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSSSSSRWSWLHKLKLRRRRKKKSKRASPASTARPSDASAVPPAAPRQPPAAAAPAGGLSPCCCYCPNRESYYLNSADRARQEDRQDMLLPCDDEVEEEEALDVGVDVVHRRADGLDAPPATPELKLRPIVTSRRHAAAGKNEASDSSSTSAATTPSTRARGFHVRPTAASRRLRRVGSSGGGHDSNNAGTPVSAPAPASSSSSVSAGRPSRRPRRRRMWLRESEAVVLESTEPELELVDSMIEMLCTNGVRRLEDLQDLLACYLSLNAAEHHRTIVALFRRVVLVWIHLGSQRLLPGQ >ONIVA03G17550.1 pep chromosome:AWHD00000000:3:12814873:12821874:1 gene:ONIVA03G17550 transcript:ONIVA03G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGGGGGGGGTLAAAMARAAPGAAAIPAGAVARAEEAAGEVVRLVRPTEASERRRAAVVGYARRLVGTALGCEVFAYGSVPLKTYLPDGDVDLTVLGNTSYGSTLIDDIYHILQSEEQNCDAEFEVKDLQLINAEVRLIKCTIENIVVDISFNQTGGICALCFLELVDRKVGKNHLVKNSIILIKAWCYYESRLLGAHHGLISTYALETLILYIFNLFHKSLHGPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNQIVEATNTPGSDLLFDKEFLNNSVQKTDSNACNTEFRSKYLNIIDPLKEHNNLGRSVNKASFNRIRTAFSYGAQKLGQVLLLQPELIPDEIYGFFKNTLNRIGSGVRPDIGDESYNDAFRCESFLGPGKALWDEMSSMKISCNNQDENRGPHHLSKCLVNNDSYATLNVPTHFHGDHMVASSTDLSLKSSCFIQETPNQYPLFYLEDGNGSSEQYLDHEMVEQASCCTAETCHANEEPSMHPQVYPNNTLHTFYSSLANNLEYSKSGQSDMTNSSINVAHEEKQKFSPSPLSLVDLSGDLDLQLRCLRQVQYHLEYMFDGFLQSVEEASSDCKVARDSFEIPAVNITSNSDVVLPGLLSPSSTETDERRLSPVSSSHSTEDSSQQSHDESNWDNSVQLYDSSDDISNMHETDQHILQKHMVSLGQNKTLINRQVRVKSNQASVPKGKFSICKEQITQDTATKDIKLSRHLRVKDSEHEYISTAKKISSYNCDTCLECVKPESEAMIPRHYKHARSSKNSFEHRIYDIDMGFARSGSSRNQMPKYQSLKNQDMSSLNVQKEHEINWPRKHMPSELLKLQNSLRGRACSNKKLAAKQINNNHKEHLSFVRDPEQMPYNQVNSNKEFETVGKSSQLLPRVQLSLHNDRSLTASTCQSSFPVTKGSTQFNDLEMPSLENIEFGTLGSFSLTLVSPKSNKNPNTHSTSHQDSTKLEMKITSHLSVLGLAETFGTYTDDTVDHRFRELKVRPRRLMGSN >ONIVA03G17540.1 pep chromosome:AWHD00000000:3:12803177:12805120:-1 gene:ONIVA03G17540 transcript:ONIVA03G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein [Source:Projected from Arabidopsis thaliana (AT3G10915) TAIR;Acc:AT3G10915] MEAAAGAGAGLAEAANGGIESSAADPSTSGTASRLSVHRIAGGGKAADIILWKRGRVTIGVIFGATMAWWLFEKSGLSFLTVCSDVLLILIVVQFIRIKVAGLLNKQPRPLPELVLSEEMVSNAAASFRVKVNNMLMIAHDITLGKDFRLFFQVVLLLWLLSVIGNFCSSITLAYFGTIALVTIPALYSKNQEQVDRYAGMVHRNISRHYKIVDENVMSRLPRSFIRDKED >ONIVA03G17530.1 pep chromosome:AWHD00000000:3:12800095:12802416:1 gene:ONIVA03G17530 transcript:ONIVA03G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:appr-1-p processing enzyme family protein [Source:Projected from Arabidopsis thaliana (AT2G40600) TAIR;Acc:AT2G40600] MSRAAARIFLTPSRLPLPLPLPLLPKRRPPPSSGATRASFAMAAAPGRGGGEAFRLSADAGAGALKLQKGDITLWSVDGATDAIVNAANERMLGGGGVDGAIHRTAGPELVEACRKVPEVKSGVRCPTGEARITPAFKLPVSRVIHTVGPIYDMDKQPEVSLNNAYTNSLKLAKQNGIQYIALPAISCGVYRYPPKEASKIAVSTAQRFSNDIKEVHFVLFSDELYDIWRETAKEFLSQFEK >ONIVA03G17520.1 pep chromosome:AWHD00000000:3:12791794:12792687:-1 gene:ONIVA03G17520 transcript:ONIVA03G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLLASLLLVAARRALGLGLGQWQPGHATFYGGGDASGTMGGACGYGNLYSQGYGTSTAALSTALFNRGLSCGSCYELRCAGDHRRSCLPGGATVTVTATNFCPPNYALPSDGGGWCNPPRRHFDLAEPAFLRIARHAAGIVPVSFRRVACARKGGVRFTVNGHAYFNLVLVTNVGGAGDVRSLAVKGSGSGSRAGGRWQPMSRNWGQNWQSNAYLDGKALSFRVTAGDGRSLTCADVAPAGWQFGQTFEGRQF >ONIVA03G17510.1 pep chromosome:AWHD00000000:3:12780515:12791159:1 gene:ONIVA03G17510 transcript:ONIVA03G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein [Source:Projected from Arabidopsis thaliana (AT1G06900) TAIR;Acc:AT1G06900] MAAAAAAWRDDELVIKSPSDHRSYRLLRLPNGLCALLVHDPEIYPDGYPDPHASKPHEDEDMGEEDDEEEDGDEDDDDEEYSDEEGEDDEDDEGEEDEEDGSEPKRRKEKGSSEPLVKKAAAAMCVGMGSFADPPKAQGLAHFLEHMLFMGSSEFPDENEYDSYLSKHGGSSNAFTETEYTCYHFEVKREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQSDSCRLYQLQSHTCSQGHPLNRFTWGNKKSLVDAMGSGINLREEILQMYKTNYHGGMMKLVIIGGEPLDILESWTMELFSKVKGGPLLDMSPKTDMPFWRSGKLHRLEAVRDVHSLCLSWTLPCLHKEYMKKPEDYLAHLLGHEGKGSLLCFLKAKGWASSLSAGVGTDGTQRSSYAYIFEMSIRLTDSGLKNLYEVISAVYQYIKLLKQSEPQEWIFKELQDIGYMEFRFAEEQPPDDYAVDLAENMLYYSEKHIVSGEYIYEGWDPELVKHVLSFFHPDNMRVDVLSKSFDKQSQAIQCEPWFGAQYIEEDIPSSFMESWRNPAQIDDAFHLPRKNEFIPGDFNLRNANMPKPLSDDNPRCIVDEPFIKLWYKMDMTFNVPRANTYFLISVKDGYSNLENSVLTDLFVNLLKDELNEVLYQAYVAKLETSMSVVGSNLELKLYGYNDKLSTLLSSILAASQSFSPKSDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQVLREIFWDVDEKLEVLMKLTFSDLVAYVPKLLSQLHIEGLCHGNLSEDEAMNISKIFQNTLSAQTLPDEARHEERVLCIPDDTNFVRSVRVKNELEENSVVEVYFPVEQDIGKDATKLRAITDLFSNIIEEPCFDQLRTKEQLGYTVDSSPRMTYRVLAYCFRVMSSKYSPVYLQSRIDSFIDGVSALLDGLDEETFEHHRSGLIADKLEKDPSLSYQTGDYWSQIVDKRYMFDMSKLEAEELRTVRKEDVISWYNTYIKPSSPKRRRLAIHVYGCNSDIAEAAKLKEQSWITIDDVKSLKKSSQFYSSLC >ONIVA03G17500.1 pep chromosome:AWHD00000000:3:12774071:12778545:1 gene:ONIVA03G17500 transcript:ONIVA03G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPKHTDPAAMRGAHHRRARSEVAFRLPDDLDLGGGGAGAFDEIGSEDDLFSTFMDIEKISSGPAAAGGSDRDRAAETSSPPRPKHRHSSSVDGSGFFAAARKDAAASLAEVMEAKKAMTPEQLSELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQELERLKLATGEMTNSNETYSMGLQHVPYNTPFFPLAQHNAARQNGGTQLPPQFQPPRPNVPNHMLSHPNGLQDIMQQDPLGRLQGLDISKGPLVVKSESSSISASESSSTF >ONIVA03G17500.2 pep chromosome:AWHD00000000:3:12774071:12778577:1 gene:ONIVA03G17500 transcript:ONIVA03G17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPKHTDPAAMRGAHHRRARSEVAFRLPDDLDLGGGGAGAFDEIGSEDDLFSTFMDIEKISSGPAAAGGSDRDRAAETSSPPRPKHRHSSSVDGSGFFAAARKDAAASLAEVMEAKKAMTPEQLSELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQELERLKLATGEMTNSNETYSMGLQHVPYNTPFFPLAQHNAARQNGGTQLPPQFQPPRPNVPNHMLSHPNGLQDIMQQDPLGRLQGLDISKGPLVVKSESSSISASESSSTF >ONIVA03G17490.1 pep chromosome:AWHD00000000:3:12773025:12773619:-1 gene:ONIVA03G17490 transcript:ONIVA03G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSASLASQTSLSTQAKQAATVSFHWAWSSSSMFMLSSPYSSLRNRTFSANTMFIYSRYASVTWDPTFPSYAAKNPSSLPSPRPITDTAPCDMRTDHLLVSSPYPLGTSQFHRPCSQSIQPLSPIGSPKSNDPIPSLVEIQSSLEPFSPTLAPHGSNARLSLLVMVGAKSPLQFLPLCSGLRHYLSRLC >ONIVA03G17480.1 pep chromosome:AWHD00000000:3:12769093:12771709:-1 gene:ONIVA03G17480 transcript:ONIVA03G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28490) TAIR;Acc:AT2G28490] MGRRRVAAAVAPLLMLLLLLLSRCSAASRRGGKGWDWEEEHEGEWRPEEEEEGGKGGGGGGDHPGPKPRPAERGLFVLDRGEKVVESEGGHVRVVRGRPWPPAAVPDPWQRGWSAASGCCREGLMHIGFITMEPKTLFVPQYVDSNLILFVQLGEVKVGWMHKDELVEKNLKMGDVLHIDAGSTFYMVNSGKGQRLKIICSIDASDNIGFGPYQAFFLGGGGGGGSRHPQSVIAGFDPKTLVIAFNTTFEDLDQTLLVDTGRGPIMYYTTEPVMSGGQGGVGVGYSGARRGAAAGQWRPVGRGEEEEEEEEEELVVDEASSTWSWTKLVGRLLGVVGGGAPSNSVAAQPKKKKDKTVRAPEPYNLYEQGTGFRNAYGSSVAVDKHDYEPLGHSDIGVYLVNLTAGSMMAPHVNPRATEYGVVLSGTGCIEVVFPNGSKAMSATVRAGDVFYIPRYFPFCQVASRGGPFVFFGFTTSARRNHPQFLVGGSSVLRALLGTELAAAFGVPEKAMRKLVLAQNEAVILPSWPEKKKKKKWEEEPEDERWEEKKKAAKQRKPWVIEQVPAKSQQATEASYSLTGE >ONIVA03G17470.1 pep chromosome:AWHD00000000:3:12767150:12768787:1 gene:ONIVA03G17470 transcript:ONIVA03G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFHSSFPLSPPSSCHGGGVLQFATRAATSPFASYCRAPAARDGGDDHDHDAGILQALAFNGNGSVHGVLDPGVEEEEEAGDGGGGGRRGTRIRARDCAKRIMGLPVEERVKVLDLLQRDDGALTVSDYNDILSALAMAGDHDSAVALFRALRPNGVTPDAQSYATAVQCLCRKGAPDEAKEALDEMVARGFRPTVATFSAVVGCLCKRGRVTRAMEVFDTMRAVGCEPTIRTYNSLIGGLCYVGRLEEALDLLNKLKESPKQTPDIYTFTIVLDGFCKVGRTDEATPIFHDAVRNGLSPTIFTYNALLNGHCKEGNPLKAYSLLMEMCGNAACPPDRISFSIVLQALLRAGETSAAWQAYKRMERAGFEADGRALDTLARGLCRQCAANVAALADAREVFGKLVASGHEPVSYTYCLMAQALARGGEVDAAVSLLGEMARRGYALRKRAYTDVVRALCERGRARDALRVLALVIARDFVPGRNAFDALLGELARQGRWPDAMAVYAAAVKRGVLVSLKRHSKEALLVQEQTETRESSVQPCN >ONIVA03G17460.1 pep chromosome:AWHD00000000:3:12762772:12764819:1 gene:ONIVA03G17460 transcript:ONIVA03G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDKNDEVQIYLLVLEVEGEHPTSVFRLSNPLEKLPCQDSSICRALFSQDQVPIQVSTKPDSIQLKFQRANDLVECVELELTNGEHERTSNLELIIEPLKATAILTSYDTAQGMSVLWRSTTIYSVVSMLDPKVKSVVLSNSDALKMIFGATVIRFRGHISKDSFYPLAIDFKRDFVPTGILGKGAHGSVYRCSRGIMPLAVKKVSKERKGNPCSEVEAMAKLSGANHVVQMYCAWSENVVSGLGYVYIGMEVFESNLDEYLDARKGVNLQKSTTIFAEIMAGVKEIHEAGIIHRDLKPLNILIDNDDHIYITDFGISKIKPYPSANVRYPGGPQYGTQFYCDPILNSTHLQHDEKVDFYSCGIIYFEMHLLGITKRRAYEKPQVADSEDREALE >ONIVA03G17450.1 pep chromosome:AWHD00000000:3:12758525:12760051:-1 gene:ONIVA03G17450 transcript:ONIVA03G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWRPIAATAAALDEGFGDPTVENLAAEMLSFGQKMAERAVSHCRANTPVAYRSMVLLPSLHMAVVQSLTVLRRTRVKLRGAGGDDDSGEADGVVGTAKACSEPCIGKVVSRNGSLFISLFSFPALCQGDHLFHGEVTLDLPSSLLAPTRGQSYLDFAPANATSACAAREHGDGRKGGQEVGRGLGGFELMSTTSTRPVYGLLDRQCGLIDMKNYRQRWRAPLSGAQGREGGEWGACRCGLLDQSPLLDLSTASSTADAVSLI >ONIVA03G17440.1 pep chromosome:AWHD00000000:3:12755378:12755695:1 gene:ONIVA03G17440 transcript:ONIVA03G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDLAWRFRPAEDTNGRTTYYKDNRRFTLTCDVNTCNLVVGNVGEYHSSTGAKCSGRRKGKKGKKGKREAPVTDFVPAKTQMRLDENAANADTTAASEPGASCR >ONIVA03G17430.1 pep chromosome:AWHD00000000:3:12742461:12754771:-1 gene:ONIVA03G17430 transcript:ONIVA03G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPQMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGPDNFVCEVLKWKERYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTKTSLGICSRTNDIVEPMIKSPQWFVNCNTMAKVALDAVRSKRIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKILETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLVKRLEEGNLDPDELNLERKLTDYPDGIAECGTDALRFALISYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPATVDVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKERRPAFALCRSQDVTATIQCHQSLIILTENDETPADCAIAIVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVTHMMDASGYREKAPQSVQEGDMRKHIALLQELEVVILHDYSFGINL >ONIVA03G17430.2 pep chromosome:AWHD00000000:3:12742461:12754771:-1 gene:ONIVA03G17430 transcript:ONIVA03G17430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPQMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGPDNFVCEVLKWKERYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTKTSLGICSRTNDIVEPMIKSPQWFVNCNTMAKVALDAVRSKRIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKSYPGKKFILNQDPDVLDTWFSSALFPLAVLGWPDDTADLRSFYPTSVLETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPATVDVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKERRPAFALCRSQDVTATIQCHQSLIILTENDETPADCAIAIVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVTHMMDASGYREKAPQSVQEGDMRKHIALLQELEVVILHDYSFGINL >ONIVA03G17430.3 pep chromosome:AWHD00000000:3:12742461:12754771:-1 gene:ONIVA03G17430 transcript:ONIVA03G17430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPQMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGPDNFVCEVLKWKERYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTKTSLGICSRTNDIVEPMIKSPQWFVNCNTMAKVALDAVRSKRIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKSYPGKKFILNQDPDVLDTWFSSALFPLAVLGWPDDTADLRSFYPTSVLETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLVKRLEEGNLDPDELNLERKLTDYPDGIAECGTDALRFALISYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPATVDVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKERRPAFALCRSQDVTATIQCHQSLIVYNVLENQAKVKGIIQILTENDETPADCAIAIVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVTHMMDASGYREKAPQSVQEGDMRKHIALLQELEVVILHDYSFGINL >ONIVA03G17430.4 pep chromosome:AWHD00000000:3:12742461:12754771:-1 gene:ONIVA03G17430 transcript:ONIVA03G17430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPQMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGPDNFVCEVLKWKERYGGTILNQLHRLGASLDWSRELPANFSHVADDLFLFRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTKTSLGICSRTNDIVEPMIKSPQWFVNCNTMAKVALDAVRSKRIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKSYPGKKFILNQDPDVLDTWFSSALFPLAVLGWPDDTADLRSFYPTSVLETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLVKRLEEGNLDPDELNLERKLTDYPDGIAECGTDALRFALISYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPATVDVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKERRPAFALCRSQDVTATIQCHQSLIVYNVLENQAKVKGIIQILTENDETPADCAIAIVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVTHMMDASGYREKAPQSVQEGDMRKHIALLQELEVVILHDYSFGINL >ONIVA03G17420.1 pep chromosome:AWHD00000000:3:12740111:12742244:-1 gene:ONIVA03G17420 transcript:ONIVA03G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVETAAADGDPGSLSSRTAVEMRVETATTAPTSGGGYGVGAERRRPRRERWQARGEPEVVGGTDEAGEELTVDNEERGMKDLARRKRQKKANMIMGHEWAA >ONIVA03G17410.1 pep chromosome:AWHD00000000:3:12730254:12734520:1 gene:ONIVA03G17410 transcript:ONIVA03G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVVLVGLACLAFVAEAKGGGAASAAALDDDVLGLIVFKADVVDPEGRLATWSEDDERPCAWAGVTCDPLTGRVAGLSLAGFGLSGKLGRGLLRLESLQSLSLSGNNFSGDLPADLARLPDLQSLDLSANAFSGAIPDGFFGHCRNLRDVSLANNAFSGDVPRDVGACATLASLNLSSNRLAGALPSDIWSLNALRTLDLSGNAITGDLPVGVSRMFNLRSLNLRSNRLAGSLPDDIGDCPLLRSVDLGSNNISGNLPESLRRLSTCTYLDLSSNALTGNVPTWVGEMASLETLDLSGNKFSGEIPGSIGGLMSLKELRLSGNGFTGGLPESIGGCKSLVHVDVSWNSLTGTLPSWVFASGVQWVSVSDNTLSGEVFVPVNASSMVRGVDLSSNAFSGMIPSEISQVITLQSLNMSWNSLSGSIPPSIVQMKSLEVLDLTANRLNGSIPATVGGESLRELRLAKNSLTGEIPAQIGNLSALASLDLSHNNLTGAIPATIANITNLQTVDLSRNKLTGGLPKQLSDLPHLVRFNISHNQLSGDLPPGSFFDTIPLSSVSDNPGLCGAKLNSSCPGVLPKPIVLNPDSSSDPLSQPEPTPNGLRHKKTILSISALVAIGAAVLITVGVITITVLNLRVRTPGSHSAAELELSDGYLSQSPTTDVNSGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQDEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSTANCLSWKERFDIVLGIARSLAHLHRHDIIHYNLKSSNILLDGSGDAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGALALEILTGRTPVQYMEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPETELG >ONIVA03G17400.1 pep chromosome:AWHD00000000:3:12720934:12727745:1 gene:ONIVA03G17400 transcript:ONIVA03G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLAVGVWLAFAWTEQPAGDCGDSDSSHARTHAAVEIAPARLLPPHPHPLDLAGFRRSLDLAASSSWESPSPPQDSNREAAGRRRSSLWWSSLTNRCGRMRGPVRWAVVVVVMAMVEAAAGRFVVEKNSLRVTSPEGIKGKYECAIGNFGVPQYGGTLHGWVEYPKSNQKACKSFEDFDISFKSTRSGGRPKFVLIDRGQCYFTTKAWNAQNAGAAAVLVVDDKSEPLITMDNPDDAGTEHLENITIPSVLITKKLGDDLKKSAENGDMVSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKSFRGTAQILEKKGYTQFTPHYITWYCPEAFVVSKQCKSQCINHGRYCAPDPEQDFSQGYDGKDVVVQNLHQICVFKAANESGKPWLWWDYVHDFSIRCPMKEKKYTPECAVHVIKSLGLDVEKIKKCVGDPEADEENPVLKAEQDAQIGHDKRGDVTILPTLVINNRQYRGKLDKSAVLKAVCAGFEETTEPAICLSEDVQTNECLENNGGCWQDRDNNVTACKDTFRGRVCECPVVKGVKFVGDGYTNCEASGIGRCEIKNGGCWKETRNGKTISACSNEVSEGCKCPPGFKGDGIKSCEDIDECKEKLYCQCKGCSCENTWGSYECSCGGKEATSAVGWSFLWVIFFGLVLAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEAANQHHVAHAGDDI >ONIVA03G17400.2 pep chromosome:AWHD00000000:3:12720934:12727745:1 gene:ONIVA03G17400 transcript:ONIVA03G17400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLAVGVWLAFAWTEQPAGDCGDSDSSHARTHAAVEIAPARLLPPHPHPLDLAGFRRSLDLAASSSWESPSPPQDSNREAAGRRRSSLWWSSLTNRCGRMRGPVRWAVVVVVMAMVEAAAGRFVVEKNSLRVTSPEGIKGKYECAIGNFGVPQYGGTLHGWVEYPKSNQKACKSFEDFDISFKSTRSGGRPKFVLIDRGQCYFTTKAWNAQNAGAAAVLVVDDKSEPLITMDNPDDAGTEHLENITIPSVLITKKLGDDLKKSAENGDMVSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKSFRGTAQILEKKGYTQFTPHYITWYCPEAFVVSKQCKSQCINHGRYCAPDPEQDFSQGYDGKDVVVQNLHQICVFKAANESGKPWLWWDYVHDFSIRCPMKEKKYTPECAVHVIKSLGLDVEKIKKCVGDPEADEENPVLKAEQDAQIGHDKRGDVTILPTLVINNRQYRGKLDKSAVLKAVCAGFEETTEPAICLSEASGIGRCEIKNGGCWKETRNGKTISACSNEVSEGCKCPPGFKGDGIKSCEDIDECKEKLYCQCKGCSCENTWGSYECSCGGKEATSAVGWSFLWVIFFGLVLAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEAANQHHVAHAGDDI >ONIVA03G17390.1 pep chromosome:AWHD00000000:3:12713632:12716034:1 gene:ONIVA03G17390 transcript:ONIVA03G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSEGGVPAERVAAAVNDLVEVRDGLARLRGFLPPPPQAEQSSSRPPCAAELMDATMSKLMSAMATLGGSGDIAGEVDAAGRWTSVAESADPMVVRREGESSAGRTRRRRGGGSRSGRGRSSNKRVAATLEDGHVWRKYGQKDIQNSPYPRSYYRCTHKLDQGCGARRQTQRCEADPSNYDITYYGEHTCRDPSTIIPTAIANAAGAASDGPNNNIISFATGGVVVANSSRLAREGTTATTTSAATQLSSSWGTSGGGGGGDDVFSSSGERFMQWDELAAAVGHVSSVGVTSSTVGSAPAAENDGGNGDTAAGGGGDGGGAGSFPSSPSAGSLGFVVGPLGSIEDVDDFFPFDP >ONIVA03G17380.1 pep chromosome:AWHD00000000:3:12700032:12704435:-1 gene:ONIVA03G17380 transcript:ONIVA03G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRGLAALAVLLALAARGADASVHEYSGGGFAPRANSFFFHGGSEGLYASDPTSNSSASFIRHEAMQQKTGLVEAIIVEIQDRSKIGGSYLHSDAICCTPELDKEKSCKVGEVIIQPNPDNPDWPKRVQTFFSGRDEEASMVTQVVSINKTGMYYLYFMFCDPQLKGLKITGRTVWRNPQGYLPGKMAPMMTFYGFMSLAYLVLGLLWFLQFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGNRPMAITLWAVTFTAVKKTISRLLLLVVSMGYGVVRPTLGGVTSKVGALGVVYFIASEGLELVENLGNINDFSGKTRLFLVLPVAILDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLALSVLISIAWIGYELYFNATDPLSELWRRAWIIPAFWNVLSYVLLAIICILWSPSRNPTGFAYSEDTADEADEEGLSLVGSAVKGTGDIVNMHVFPEDKPVLLAECYVKYRFPLHSWQAYDF >ONIVA03G17380.2 pep chromosome:AWHD00000000:3:12700032:12704435:-1 gene:ONIVA03G17380 transcript:ONIVA03G17380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRGLAALAVLLALAARGADASVHEYSGGGFAPRANSFFFHGGSEGLYASDPTSNSSASFIRHEAMQQKTGLVEAIIVEIQDRSKIGGSYLHSDAICCTPELDKEKSCKVGEVIIQPNPDNPDWPKRVQTFFSGRDEEASMVTQVVSINKTGMYYLYFMFCDPQLKGLKITGRTVWRNPQGYLPGKMAPMMTFYGFMSLAYLVLGLLWFLQFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGNRPMAITLWAVTFTAVKKTISRLLLLVVSMGYGVVRPTLGGVTSKVGALGVVYFIASEGLELVENLGNINDFSGKTRLFLVLPVAILDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLALSVLISIAWIGYELYFNATDPLSELWRRAWIIPAFWNVLSYVLLAIICILWSPSRNPTGFAYSEDTADEADEEGLSLVGSAVKGTGDIVNMHVFPEDKLKYRFPLHSWQAYDF >ONIVA03G17370.1 pep chromosome:AWHD00000000:3:12692328:12703247:1 gene:ONIVA03G17370 transcript:ONIVA03G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GM05] MSTGEPLLLSSSGTSDSPSKRQAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMLFWYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYQTFWPSDIQIAREAELLKKLPRQLGSRPASDIS >ONIVA03G17370.2 pep chromosome:AWHD00000000:3:12692690:12699332:1 gene:ONIVA03G17370 transcript:ONIVA03G17370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GM05] MSTGEPLLLSSSGTSDSPSKRQAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGYPGSWVQGLQVISADNNGSAQLLCDPSLRKRLKDEMRRLWKKMET >ONIVA03G17370.3 pep chromosome:AWHD00000000:3:12692303:12699503:1 gene:ONIVA03G17370 transcript:ONIVA03G17370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GM05] MALNRARMRWAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGRNV >ONIVA03G17370.4 pep chromosome:AWHD00000000:3:12692687:12703247:1 gene:ONIVA03G17370 transcript:ONIVA03G17370.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GM05] MSTGEPLLLSSSGTSDSPSKRQAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYQTFWPSDIQIAREAELLKKLPRQLGSRPASDIS >ONIVA03G17370.5 pep chromosome:AWHD00000000:3:12692328:12697167:1 gene:ONIVA03G17370 transcript:ONIVA03G17370.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GM05] MSTGEPLLLSSSGTSDSPSKRQAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGEIIFV >ONIVA03G17360.1 pep chromosome:AWHD00000000:3:12690884:12691168:-1 gene:ONIVA03G17360 transcript:ONIVA03G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKQTGQHKGGASRKVRRCAMPEEEPKVEDRAAKTSESSMFPTRSVAEASPPRIVGLCGKPPLATATTTFSFTPNTEKSWGEQSSRRQLGGGT >ONIVA03G17350.1 pep chromosome:AWHD00000000:3:12678342:12678797:-1 gene:ONIVA03G17350 transcript:ONIVA03G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVSRTAPPARPTSLRGRGAGAVAAGHGQATFGRRAVHGGWLVAIRLRARCRCGGGTEPVEARKEREGGPGKGEEEAAAAEELEVLEEEAMGGGDEGRRPTDYDRRAHIFEESSRVFSALKHRHDDGHGVDGDHGAAAAEVARHGDTGR >ONIVA03G17340.1 pep chromosome:AWHD00000000:3:12673754:12677626:1 gene:ONIVA03G17340 transcript:ONIVA03G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWREVNTPIHAVHTSEAKARCGEVSPPGRPVHTAEQQLRRNDGEKRPRRLSGRCNIRAQRTPLTSRGIRFYINLINCASCDVLGVSCFMASVNTGGLRLVRCPKCYNILPEPPNVEVYKCGGCDTILRVKIRPSNGQNVATKQVRQDSDDFSVATTASNGVHPQKKDIAFSGATMDRSRTPDAPSTDTEHASNGTSSNDNGHAMSVENNASEVADTDNKEDCNLDGQNTSGRIEGPSEEIPPNANGMDIDSDKEETYNVEGIAENSEDCRVRGGGDIDTECNLSLPEHELPLHQESKSDSELKEATKTEDEATKKGHLVRVQSRSCDLRESHRASAGSSMDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSEFFSKPEEEGEDDDDRKPKTAAYPPRPSKQDGYSKPRAPFTSSVPLTAYHPAAKHSGHVSRLSRSGQVPPPPHHRRELSSLRYRRRGRAYSCCHSDQMETMRRPCSHDCCHYHSCRPPPCHHHDRPWKSQEGAMQRPPVQETTRRRAPPRHHCRPVLRGAPFVVCSGCNRLVQLPTDFAVPSKGTRRLQCGSCSEILSYSYRDPAKKKLQSPSGDGEECQYSTDDYEIHQAAGDADPFSYSEEYGVISYSTEEEQQPLHVSRNSSFDTVDDERSAKLHRLMGYSSASELLRLRRSPDLYESFGERTPAARTSDTKGKAICVADEEEHPSAKVRRGRGLPLPGILKKGIHGLESLKLR >ONIVA03G17340.2 pep chromosome:AWHD00000000:3:12674180:12677626:1 gene:ONIVA03G17340 transcript:ONIVA03G17340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSAGVRTPLTSRGIRFYINLINCASCDVLGVSCFMASVNTGGLRLVRCPKCYNILPEPPNVEVYKCGGCDTILRVKIRPSNGQNVATKQVRQDSDDFSVATTASNGVHPQKKDIAFSGATMDRSRTPDAPSTDTEHASNGTSSNDNGHAMSVENNASEVADTDNKEDCNLDGQNTSGRIEGPSEEIPPNANGMDIDSDKEETYNVEGIAENSEDCRVRGGGDIDTECNLSLPEHELPLHQESKSDSELKEATKTEDEATKKGHLVRVQSRSCDLRESHRASAGSSMDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSEFFSKPEEEGEDDDDRKPKTAAYPPRPSKQDGYSKPRAPFTSSVPLTAYHPAAKHSGHVSRLSRSGQVPPPPHHRRELSSLRYRRRGRAYSCCHSDQMETMRRPCSHDCCHYHSCRPPPCHHHDRPWKSQEGAMQRPPVQETTRRRAPPRHHCRPVLRGAPFVVCSGCNRLVQLPTDFAVPSKGTRRLQCGSCSEILSYSYRDPAKKKLQSPSGDGEECQYSTDDYEIHQAAGDADPFSYSEEYGVISYSTEEEQQPLHVSRNSSFDTVDDERSAKLHRLMGYSSASELLRLRRSPDLYESFGERTPAARTSDTKGKAICVADEEEHPSAKVRRGRGLPLPGILKKGIHGLESLKLR >ONIVA03G17330.1 pep chromosome:AWHD00000000:3:12666607:12672342:1 gene:ONIVA03G17330 transcript:ONIVA03G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: PRC-barrel-like (InterPro:IPR011033); Has 300 Blast hits to 300 proteins in 81 species: Archae - 0; Bacteria - 135; Metazoa - 0; Fungi - 0; Plants - 37; Viruses - 0; Other Eukaryotes - 128 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G38570) TAIR;Acc:AT2G38570] MCSCARFPHPALQPAPAPAPPPPNLKPKPAPTTRGPCPSPRTLVARAAPRWDDSTAPPPPPPSTFDFLALKRELEEEEEEEVVAVEPRDGGGGDGLASEDDGDGEAKRSGGGGESSGGRKRRQMARRSGLLAKQVISVSSARSLGFVSQLWVDAASWIVALVEVRPSLLSGEAEKFLFEDIYQVGDVVLVEDETVVENEFKLVGLHSLVGYSVVTSRRRNVGKVRGFTFDINTGAMESLELDSFGISIVPSSLVSTYCLFVEDVLDIVSDTIVVHEDAISRVQRLTQGIWGTQNIQGPGGQMDDNGRYRRRKARRVQRQNGLRNSSGRKLHRKMRDRDGDWELPMDY >ONIVA03G17320.1 pep chromosome:AWHD00000000:3:12659615:12661563:-1 gene:ONIVA03G17320 transcript:ONIVA03G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEISSVTTTHAQGPKLFRGKILLPMGPPDVVPSENVEFDFSDVFGPTAVQTPTDLSILTPDSPAPLTESSEGIYNDPLVIVKRSHSLVGPSSLVSQSLPLSKLTLHESDSALDLLECTKEKKSNQEALSDEELDDTKNENGVVGLDDFEVLKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFVGGNRDKVQQKIVKEKIKLPAYLSSEVHSLLKGLLHKEAGRRLGCGPGGSNEIKNHKWFKSVNWKKLDSRQIQPSFRPNVAGKTCIANFDECWTSMPVLDSPVASPVAADSNFVGFSYVRPAPFLQRPSPLG >ONIVA03G17310.1 pep chromosome:AWHD00000000:3:12649683:12656435:-1 gene:ONIVA03G17310 transcript:ONIVA03G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQEPWAILAAIPNVVGYKEAKRIFPPGTDISVARKEVPRASVLTVPRHISLPACLGLYPYVVAADRSGLLLLLGTHPVTSASAMVSYHICDAHTGEVVSLRDCKPMRPMTFYGAANVGLIIKDDGCMVAELQPGCKGTSTWYHSAQLQGGGWRERELTCSPPLPLDWYPEGVVSHGGMLWGVDLSYGLLACDPFAEEPNLIHVPLPQVPDELPVDDQVNRGAHRCVKVSGGRLMYVQIHGNPVVPVVSTWLLDESTCSPGEWEWNPQLSAPLAELWIDQSYVDTMLPLTIPALALPHPTDPNRVYFFLKSCIFAADLRLRKLVSFNSFEMLDPPCELWMKRSSHLVHAWQYDPSSSRSDFVLACLRQDKAIASKSSFSGIIPVTRRTAKSLKRVWDSVTRQQQKQEQQLQKQAMHAT >ONIVA03G17310.2 pep chromosome:AWHD00000000:3:12654049:12656435:-1 gene:ONIVA03G17310 transcript:ONIVA03G17310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQEPWAILAAIPNVVGYKEAKRIFPPGTDISVARKEVPRASVLTVPRHISLPACLGLYPYVVAADRSGLLLLLGTHPVTSASAMVSYHICDAHTGEVVSLRDCKPMRPMTFYGAANVGLIIKDDGCMVAELQPGCKGTSTWYHSAQLQGGGWRERELTCSPPLPLDWYPEGVVSHGGMLWGVDLSYGLLACDPFAEEPNLIHVPLPQVPDELPVDDQVNRGAHRCVKVSGGRLMYVQIHGNPVVPVVSTWLLDESTCSPGEWEWNPQLSAPLAELWIDQSYVDTMLPLTIPALALPHPTDPNRVYFFLKSCIFAADLRLRKLVSFNSFEMLDPPCELWMKRSSHLVHAWQYDPSSSRSDFVLACLRQDKAIASKSSFSGIIPVTRRTAKSLKRVWDSVTRQQQKQEQQLQKQAM >ONIVA03G17300.1 pep chromosome:AWHD00000000:3:12647775:12649556:-1 gene:ONIVA03G17300 transcript:ONIVA03G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFVEIRSRDLHVLPHSLTRGTHGDYCHRHSFCFFARTAIATATLAWLCARKQRSDPVTVTSSSPDHNTNPTTQELGDWGSQGENPTPSRRHPTPKAGDSFGSIAGKKGCTKIAGGDRCRDPRRPEREEPDQGTPREKPAVETTAKFFACSICRHPLPRIHRMPANDVLQLLRRLPPLELNGVQSVDQFKRFQAFVRKNEEEKEKRDEEEAELNTSLPIFLLPQTDLARICATRGEELDELGIVEEYDDDDDDDGDEHYVYGGLDMFEPATTDEEDANAQVDADDLYYLGVSDDENEEVGSDGGTDDDGGDDAGGDGGRAGPLRWDNLWDDDPPQ >ONIVA03G17300.2 pep chromosome:AWHD00000000:3:12647775:12649166:-1 gene:ONIVA03G17300 transcript:ONIVA03G17300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPANDVLQLLRRLPPLELNGVQSVDQFKRFQAFLAIVTSQTDLARICATRGEELDELGIVEEYDDDDDDDGDEHYVYGGLDMFEPATTDEEDANAQVDADDLYYLGVSDDENEEVGSDGGTDDDGGDDAGGDGGRAGPLRWDNLWDDDPPQ >ONIVA03G17300.3 pep chromosome:AWHD00000000:3:12647775:12649061:-1 gene:ONIVA03G17300 transcript:ONIVA03G17300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMVTVQFLDLRIHRMPANDVLQLLRRLPPLELNGVQSVDQFKRFQAFLAIVTSQTDLARICATRGEELDELGIVEEYDDDDDDDGDEHYVYGGLDMFEPATTDEEDANAQVDADDLYYLGVSDDENEEVGSDGGTDDDGGDDAGGDGGRAGPLRWDNLWDDDPPQ >ONIVA03G17290.1 pep chromosome:AWHD00000000:3:12644158:12645475:-1 gene:ONIVA03G17290 transcript:ONIVA03G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWRSNGVPFGLTHHANHSTTAQCMTALPTRCLPRRATCQPAPPAGAHWAIPLRRDRQTRPWSSLHDDEELIRFTTYKQDTRMAPYVFRVTLTILLLRIVSSPNRSSAKFPPNVAGKTCIANFDECWTTCPCLTLQWPALSLQLCEASALPSEAESSRLKTSS >ONIVA03G17280.1 pep chromosome:AWHD00000000:3:12635437:12642134:1 gene:ONIVA03G17280 transcript:ONIVA03G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQVERKEEVSELTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTESLTVAETGEPSFTGMKKKKKKHVEHDTSLTEAGDGEDAIDDQIGEDEEGEGIVLGGATRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTPAFISEKINYHELTEIIVYTSRMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKAGT >ONIVA03G17280.2 pep chromosome:AWHD00000000:3:12635437:12642134:1 gene:ONIVA03G17280 transcript:ONIVA03G17280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQVERKEEVSELTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTESLTVAETGEPSFTGMKKKKKKHVEHDTSLTEAGDGEDAIDDQIGEDEEGEGIVLGGATRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKAGT >ONIVA03G17270.1 pep chromosome:AWHD00000000:3:12628418:12631099:1 gene:ONIVA03G17270 transcript:ONIVA03G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSRFVAVFLLVALAPAARGQGGGGGNSSAPAATPPGPFVPRDNILLDCGATGQANDTDGRLWTGDTGSKYLPANLAAAAATAQDPSVPQVPYLTARFSAAPFTYSFPVGAGRKFLRLHFYPANYSNRNAADALFSVSIPDPNITLLSNFSAYQTALALNFDYLVREFSVNVTASTLDLTFTPEKGHPNAFAFVNGIEVVSSPDLFGSSNPMEVTGDGSGTPFPIDAGTAMQTMYRLNVGGNAISPSKDTGGYRSWEDDTPYIPFASFGVSYANDTNVPINYPDSIPQYVAPADVYSTARSMGPDNNVNLQYNLTWAMQVDAGYQYLVRLHFCEIQSGISKINQRTFDIYINNQTAFSGADVIAWSTGLGIPVYKDFVVFTMGSGPMDLWVDLHPNVKNKPQYYNAILNGMEVFKLQLTNGSLAGLNPVPSIVPTASGGNSGKKSSVGPIVGGVIGGLVVLALGCCCFFVICKRRQRAGKDSGMSDGHSGWLPLSLYGNSHTSSSAKSHTTGSHASSLPSNLCRHFSFVEIKAATNNFDESLLLGVGGFGKVYRGEIDGGATKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQNAPLSWRQRLDICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTMDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPHLKGKIAPQCFKKFAETAEKCVSDQGIDRPSMGDVLWNLEFALQMQESAEESGSLGCGMSDDSTPLVIVGKKDPNDPSIESSTTTTTTTSISMGEQSVASIDSDGLTPSAVFSQIMNPKGR >ONIVA03G17260.1 pep chromosome:AWHD00000000:3:12616082:12626938:1 gene:ONIVA03G17260 transcript:ONIVA03G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06720) TAIR;Acc:AT1G06720] MAPADGGGEQPHKAHRQHKSGAKARKKKGKGKGGGGDDDGGERKNPKAFAFQSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLVDRFEDVTPPESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVTSLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDTDAVYININPHLVQFSKTGENDASKKQGKGQDVGVTLVKTLQNPRYSLNEKLDQSFINLFGRKPAAQSEDISGNQNDQGDANILEEADGNNICNANTLESNDHSYSECSSDSEHDNDEATQQNDHEVGLREEVEFCNGRMRRKAVSANFKDDDDDEGAEEDDVDSENSGDDQLSEGSADDSEESLDSDDETENNSKWKESLLARTLSRRSANLMQLVYGQASKKLDEGNDSSAEESSDEEFFVPKGQKKQAKNESTSFDDMDAEDYSKFFKTELRDWSDEDLIKSIRDRFVTGNWSKAALRGQEINENDVDDEEVDGDFEDLETGEVHTSKAYENTSGNGGTHKQDDLAMEERRLKKLALKAKFDAEYPFLHFSMGIAFMEVDNDTKKSKQEETNGGGYFDKLKEEIEIRKQMNISELNDLDEDTRVEIEGFRTGSYIRLEVHGVPFELVEYFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQHLSNSQVPFRITATGFVQEFNNTARIMKKIKLTGVPCKIFKKTALVKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDMPRRKGESIDGIARCTFEDRILMSDIVFMRAWVNVEVPTYCNLVTTALQPQDETWQGMRTTAELRRAHNIPIPHNTDSVYKPIERKVRKFNPIEIPAKLQHLLPFKSKPKDTPKHRKTPVENRVPVLMQPSEKKTHAAIQQLRLLKHEKARKKKLQDEKKKKAYEAEKAKSELLTKKRQREERRVRYREEDKQKKRARR >ONIVA03G17260.2 pep chromosome:AWHD00000000:3:12616082:12626938:1 gene:ONIVA03G17260 transcript:ONIVA03G17260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06720) TAIR;Acc:AT1G06720] MAPADGGGEQPHKAHRQHKSGAKARKKKGKGKGGGGDDDGGERKNPKAFAFQSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIPLSWRMAHPYLLVDRFEDVTPPESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVTSLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDTDAVYININPHLVQFSKTGENDASKKQGKGQDVGVTLVKTLQNPRYSLNEKLDQSFINLFGRKPAAQSEDISGNQNDQGDANILEEADGNNICNANTLESNDHSYSECSSDSEHDNDEATQQNDHEVGLREEVEFCNGRMRRKAVSANFKDDDDDEGAEEDDVDSENSGDDQLSEGSADDSEESLDSDDETENNSKWKESLLARTLSRRSANLMQLVYGQASKKLDEGNDSSAEESSDEEFFVPKGQKKQAKNESTSFDDMDAEDYSKFFKTELRDWSDEDLIKSIRDRFVTGNWSKAALRGQEINENDVDDEEVDGDFEDLETGEVHTSKAYENTSGNGVDNDTKKSKQEETNGGGYFDKLKEEIEIRKQMNISELNDLDEDTRVEIEGFRTGSYIRLEVHGVPFELVEYFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQHLSNSQVPFRITATGFVQEFNNTARIMKKIKLTGVPCKIFKKTALVKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDMPRRKGESIDGIARCTFEDRILMSDIVFMRAWVNVEVPTYCNLVTTALQPQDETWQGMRTTAELRRAHNIPIPHNTDSVYKPIERKVRKFNPIEIPAKLQHLLPFKSKPKDTPKHRKTPVENRVPVLMQPSEKKTHAAIQQLRLLKHEKARKKKLQDEKKKKAYEAEKAKSELLTKKRQREERRVRYREEDKQKKRARR >ONIVA03G17250.1 pep chromosome:AWHD00000000:3:12611428:12615359:-1 gene:ONIVA03G17250 transcript:ONIVA03G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKRNKKKKGNQGKNTGDVTSSTAEAAIQSHNHETAPNDHHNGTDADDAMSTVGEEAPQYQNHEPDRQANHDSTNTDDVMSSVGEGIPFQNLDPSMTHENHKVSSTAHADQRSVEMSDSTVELDMHRLYEAKLDKLHDTIKKLEDEKSLWHQKMSSMEIEVEKLHNKVDYHAQNEVRLEEKLNNLQNGYDVLIKKEVALDNKVRSIEVINDALTHQETSLKERLSGLEETNKVLLVQVKVLEEASNNTVEESQRLVKGFDELASRLGVFEAKSALTEASVTKKGNELIVDRSVNSSAAITSVDNYSPINSSPSNAYVSNHLEEAPMQLPETTINDVSSEGLIDMNAHQRSKQDFDEPRTSEEILPVALDDIQIHEEDPQPPVADDEAEEVPFSDAPIVGAPFRLISFVARYVSGADLVNQK >ONIVA03G17240.1 pep chromosome:AWHD00000000:3:12605887:12612690:1 gene:ONIVA03G17240 transcript:ONIVA03G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKIFEGSLVLLSLLLFTSLAVADIASEKQALLAFASAVYRGNKLNWDVNISLCSWHGVTCSPDRSRISALRVPAAGLIGAIPPNTLGRLVSLQVLSLRSNRLIGSIPSDITSLPSLQSIFLQDNELSGDLPSFFSPTLNTIDLSYNSFAGQIPASLQNLTQLSTLNLSKNSLSGPIPDLKLPSLRQLNLSNNELNGSIPPFLQIFSNSSFLGNPGLCGPPLAECSLPSPTSSPESSLPPPSALPHRGKKVGTGSIIAAAVGGFAVFLLAAAIFVVCFSKRKEKKDDGLDNNGKGTDNARIEKRKEQVSSGVQMAEKNKLVFLDGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKKEFEQQMEQIGRVGKHANLVPLRAYYYSKDEKLVVYEYVATGSFSAMLHGIKGIVEKTPLDWNTRMKIILGTARGIAHIHAEGGSKLAHGNIKATNVLLDQDHNPYVSDYGLSALMSFPISTSRVVVGYRAPETFESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQDDVVDLPRWVHSVVREEWTAEVFDVELMKYLNIEDELVQMLQLAMACTSRSPERRPTMAEVIRMIEELRQSASESRDSSNENARESNPPSA >ONIVA03G17240.2 pep chromosome:AWHD00000000:3:12607394:12612690:1 gene:ONIVA03G17240 transcript:ONIVA03G17240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKIFEGSLVLLSLLLFTSLAVADIASEKQALLAFASAVYRGNKLNWDVNISLCSWHGVTCSPDRSRISALRVPAAGLIGAIPPNTLGRLVSLQVLSLRSNRLIGSIPSDITSLPSLQSIFLQDNELSGDLPSFFSPTLNTIDLSYNSFAGQIPASLQNLTQLSTLNLSKNSLSGPIPDLKLPSLRQLNLSNNELNGSIPPFLQIFSNSSFLGNPGLCGPPLAECSLPSPTSSPESSLPPPSALPHRGKKVGTGSIIAAAVGGFAVFLLAAAIFVVCFSKRKEKKDDGLDNNGKGTDNARIEKRKEQVSSGVQMAEKNKLVFLDGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKKEFEQQMEQIGRVGKHANLVPLRAYYYSKDEKLVVYEYVATGSFSAMLHGIKGIVEKTPLDWNTRMKIILGTARGIAHIHAEGGSKLAHGNIKATNVLLDQDHNPYVSDYGLSALMSFPISTSRVVVGYRAPETFESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQDDVVDLPRWVHSVVREEWTAEVFDVELMKYLNIEDELVQMLQLAMACTSRSPERRPTMAEVIRMIEELRQSASESRDSSNENARESNPPSA >ONIVA03G17230.1 pep chromosome:AWHD00000000:3:12600344:12600719:1 gene:ONIVA03G17230 transcript:ONIVA03G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPRCMEFVSQITNWGCQRTARRWGEPINVSLDEIIHGKGMNTKHAMKMSIDKDDEEAKMTMAAAAVGSSGGGGGEQ >ONIVA03G17220.1 pep chromosome:AWHD00000000:3:12596001:12599344:-1 gene:ONIVA03G17220 transcript:ONIVA03G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 7 [Source:Projected from Arabidopsis thaliana (AT3G10670) TAIR;Acc:AT3G10670] MAPPLAAAAAAAAAVSSSSPLFSSSSSRPLPRRHLPPSSVSLRPRRRSIAAASAAVESAGRPLLEARDLVACVKENGQEILSGVNLTIREGEIHALMGQNGSGKSTLMKVLAGHPEYEVTGGTVLFKGENLIDMEPEERSLAGLFMSFQAPVEIPGVNNYDFLLMALNARREKKGLPALEPLQVYPIIEEKVNALNMNAEILNRAVNEGFSGGERKRNEILQLSVLGADLALLDEIDSGLDVDALEYVAKAVNGILTPNSSLMMITHYQRLLDLIKPSYVHIMEKGKIVKTGDRALANYIDESGYKAIATA >ONIVA03G17210.1 pep chromosome:AWHD00000000:3:12590706:12592963:-1 gene:ONIVA03G17210 transcript:ONIVA03G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGLQDFPIKCTHTQVVARGIIDRTISCPGPAGLAAANSAIAWHIELIDRGRSRLAKLRPMAFSRATAAAVVALVVLLPHLVVAVVLDVGGGVAARPCHSASVDGDAGCLSWRVMVEANNARGWRTVPAACVAYVRGYMTRGQYGRDLSSVMDQVAAYVDTVEADGDGLDAWILDIDDTCLSNLLYYEAKQFGAYDPSAFKTWASKGACPGIPAVLELFATLQAKGFKVFLLSGRDEETLATCTSENLESEGFLGYERLIMRSPEYRGQSSSVFKSAMRKRLVEEEGYRIRGNVGDQWSDLQGDYVGDRVFKIPNPMYYVP >ONIVA03G17190.1 pep chromosome:AWHD00000000:3:12586823:12587344:-1 gene:ONIVA03G17190 transcript:ONIVA03G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLLDEIQSMEDEVESMDVGSYDGLVGNYLSQRDSTMAVTTSYTKGFGKSSFENRGSNDTCAVPDLISVQYQT >ONIVA03G17180.1 pep chromosome:AWHD00000000:3:12582279:12585467:-1 gene:ONIVA03G17180 transcript:ONIVA03G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10850) TAIR;Acc:AT3G10850] MKIIPVACLEDNYAYLIVDESTKSAAAVDPVEPEKVLAAAAEVGVRIDCVLTTHHHWDHAGGNEKMAQSVPGIKVYGGSLDNVKGCTDQVENGTKLSLGKDIEILCLHTPCHTKGHISYYVTSKEEEDPAVFTGDTLFIAGCGRFFEGTAEQMYQSLCVTLGSLPKPTQVYCGHEYTVKNLKFILTVEPDNEKVKQKLEWAQKQREANQPTIPSTIGEEFETNTFMRVDLPEIQAKFGAKSPVEALREVRKTKDNWKRTFGVVGVTLNGWEDEPANWLKPSCRPGMTSLGGTNGPNSTAAGLLALPFFFPGLAAVTPAFAPPSSSPALVTAGF >ONIVA03G17180.2 pep chromosome:AWHD00000000:3:12582956:12585467:-1 gene:ONIVA03G17180 transcript:ONIVA03G17180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10850) TAIR;Acc:AT3G10850] MKIIPVACLEDNYAYLIVDESTKSAAAVDPVEPEKVLAAAAEVGVRIDCVLTTHHHWDHAGGNEKMAQSVPGIKVYGGSLDNVKGCTDQVENGTKLSLGKDIEILCLHTPCHTKGHISYYVTSKEEEDPAVFTGDTLFIAGCGRFFEGTAEQMYQSLCVTLGSLPKPTQVYCGHEYTVKNLKFILTVEPDNEKVKQKLEWAQKQREANQPTIPSTIGEEFETNTFMRVDLPEIQAKFGAKSPVEALREVRKTKDNWKS >ONIVA03G17170.1 pep chromosome:AWHD00000000:3:12579900:12582537:1 gene:ONIVA03G17170 transcript:ONIVA03G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGKRRSARLLKLEEQKNDDTTATGCLLDPWQIIRNSIAGVRGKRKRNEEIQHLQGEASSSQALCATTDINNLSSKSSAVQIIEYILDTLEMRDTHELFAMPDDIQVTDYAERVNRPGDFATLRQKNKDGMYNTLEQFENDVYMVFQKAMSINSEDTIPYREAMSLLHQAKQVFLSLKSNQMYSESELAAWRQKNLVSQSPAKLNGKFDGSKVGSGSGAGGAAPTTPQRPSAPARKKIAAKTGAVAAATSVKSTTRQRAARESNGAPGRRARKAASVTPGTAEHGGAGASATVEQRRLAYADEADHGGWRPVPVVSTGQHATLVYRPQTAAHTYQDSLRRFVRHAGLKARVAAEFRSLECDVRARQAAPAPGYWPNCGFASSSGAGTASRSFLPHGRCPPPSPPSAAFRGGGAAAASSADAAGNKAPPRCRLETDEVLKLLVLIGRPAFMERARRVLGHERQESSSKQGHDQKPAVTRAGDDDGGAKAGVTAAKPGKKKGSASKPAAVEFGPFVPPKLVIPGRQLGFSQFAGSSSQPFKVTPTTPNVPDKKKKKRG >ONIVA03G17160.1 pep chromosome:AWHD00000000:3:12575395:12576090:1 gene:ONIVA03G17160 transcript:ONIVA03G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGGADLGRASSSSSPSPPHVFLTAGALSSSPNRCLSYPLLSTPPSRRADEFNGAARGNNDELSSNEPTSFSSPTAFPIEPCRLLQRRSRWAVRAPSTAQQAPFTHPQPPSLTEKICIHHLHSPSGTHLPSPLDGDSEIHKTVTTSCGWAEGLRRPPLGYCGGRVEATATSSSSYSSSTAVMLPTRPKCSTTMRMTPGHPPLLANEVGGVERRLAMRSLSSLL >ONIVA03G17150.1 pep chromosome:AWHD00000000:3:12554333:12569392:-1 gene:ONIVA03G17150 transcript:ONIVA03G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMVVVVAAKVLVSLWCVGACCLAAYLYRVVWVAPRRVLAEFRRQGIGGPRPSFPYGNLADMREAVAAARHQLAEARRRRRARDSGDGGSGAGIVHDYRPAVLPFYEKWRKDYGPIFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWAHQRKIIAREFFLDKVKGMVDLMVDSAQTLLKSWEEGIDKNGGTIDIKIDDDIRAYSADVISRTCFGSSYIKGKNIFLKIRELQKAVSKPNVLAEMTGLRFFPIKRNKQAWELHKQVHKLILEIVKESGEERNLLRAILLSASSSKVELAEAENFIVDNCKRYESTAVTAAWCLMLLGLHPEWQDRVREEVQEVCAGQPVDSQSLQKMKNLTMVIQETLRLYPAGAFVSRQALQELKFGGVHIPKGVNIYIPVSTMHLDPNLWGPDVKEFNPERFSNAQPQLHSYLPFGAGARTCLGQGFAMAELKTLISLIISKFLLKLSPNYEHSPTLKLIVEPEFGVDLSLTRVQGKMSMDKWFMSTSYGYVVSTKRQHILETLKVNACQISEEPEIVCQERGSQHTCMMNML >ONIVA03G17150.2 pep chromosome:AWHD00000000:3:12554333:12569392:-1 gene:ONIVA03G17150 transcript:ONIVA03G17150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMVVVVAAKVLVSLWCVGACCLAAYLYRVVWVAPRRVLAEFRRQGIGGPRPSFPYGNLADMREAVAAARHQLAEARRRRRARDSGDGGSGAGIVHDYRPAVLPFYEKWRKDYGPIFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWAHQRKIIAREFFLDKVKGMVDLMVDSAQTLLKSWEEGIDKNGGTIDIKIDDDIRAYSADVISRTCFGSSYIKGKNIFLKIRELQKAVSKPNVLAEMTGLRFFPIKRNKQAWELHKQVHKLILEIVKESGEERNLLRAILLSASSSKVELAEAENFIVDNCKRYESTAVTAAWCLMLLGLHPEWQDRVREEVQELTMVIQETLRLYPAGAFVSRQALQELKFGGVHIPKGVNIYIPVSTMHLDPNLWGPDVKEFNPERFSNAQPQLHSYLPFGAGARTCLGQGFAMAELKTLISLIISKFLLKLSPNYEHSPTLKLIVEPEFGVDLSLTRVQGKMSMDKWFMSTSYGYVVSTKRQHILETLKVNACQISEEPEIVCQERGSQHTCMMNML >ONIVA03G17140.1 pep chromosome:AWHD00000000:3:12550352:12552250:1 gene:ONIVA03G17140 transcript:ONIVA03G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFWPFLEGLLILANALAILNEDRFLAPRGWSMSEVSGNGRSKSLKGQIVGLIYATQFFRMPLIALNVLIIVVKLMSG >ONIVA03G17130.1 pep chromosome:AWHD00000000:3:12545342:12547750:-1 gene:ONIVA03G17130 transcript:ONIVA03G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVDRKLEKEKELQRFTKVPLAVERKEERGEREAPEKVKLGGVCARRRRVVSAQGRKTTGEEDSGVASPRVGSVGGGKLRAREAILSMPLSPPSHQQRRPPPSLASRTNSSPLNFA >ONIVA03G17120.1 pep chromosome:AWHD00000000:3:12544572:12545144:-1 gene:ONIVA03G17120 transcript:ONIVA03G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGVAAKPSLSRKPSPSFRLRNGSLNALRLRRVFDLFDRNGDGEITLDEMASALDALGLGADRAGLEATVGGYIPAGAAGLRFGDFEALHRALGDALFGPVEEEEPGKQGEDDDEGDMKEAFRVFDEDGDGFISAAELQAVLKKLGLPEARNLATVQEMICNVDRDCDGRVDFGEFKCMMQGITVWGA >ONIVA03G17110.1 pep chromosome:AWHD00000000:3:12538757:12545445:1 gene:ONIVA03G17110 transcript:ONIVA03G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYIHDTRGEDEGRRPRSPPSSAPHGDPLHHALELAEVDAAVAVAVDVADHLLHRGEVPGLGEAELLEHGLQLGGGDEAVAVLVEHPERLLHVALVVVLPLLPGLLLLDGAEERVAERAVECLEVAEAEPRGARGDVAPDRGLQPRAVGAEAERVERGRHLVERDLAVAVAVEQVEHPAQPQRVQAAVPEAERRRGLPRQRRLCGDASCVHLARFPWRLHLSSRGVY >ONIVA03G17100.1 pep chromosome:AWHD00000000:3:12530382:12532838:1 gene:ONIVA03G17100 transcript:ONIVA03G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHHKGYNLFPILYKRHWFVFIVHLKDEMFVFLDSLHEEGSEYQDEVKNRLTSNFALAWNSIMEEYQINFDAFKIVYPPVPRQNNLVFTLKYMELWGPRVQLTNHFSQKDIQNIRIQYVNRLFFHPDNSVLGTGTKKLVIDFAQGN >ONIVA03G17090.1 pep chromosome:AWHD00000000:3:12524098:12537948:-1 gene:ONIVA03G17090 transcript:ONIVA03G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEEKEERKRGSKSQMEGESTMSESKSSSRGKKKSKTTSEQKVEIHIKETQNLENTKKKQKEGKIKRNKKAPVQGIIGNEVGRSNALVEPNTGTKFSTLYFCCESKCISVSAPASPTRGRRLEHPDTIPECDKSDVSTVDSGRWFSFQMATMALTSRTYNLVNPALVKSSIRVLPVHARKGAVP >ONIVA03G17080.1 pep chromosome:AWHD00000000:3:12502454:12507633:-1 gene:ONIVA03G17080 transcript:ONIVA03G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTAVTFKLSLSGNAKGSSWFTKSTIARFLNTINSPDASKSANGILHEISQLEETRKFHQSLYSKEQRNPMGGALSGGVFGTIGAEQQGNVGPNSSEATKNELLRALDLRLTVLKEEIFALLNRAVVSNMSTRDVSDLSSFVQHFGASEFSWLMRCLLLIPDCQPSEVSQQQSFPAEKDDKGENALHTRNISSHTIIQRPITNNVSPAKLAQIERESSTESDDSSESSAEDEAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESGSCNGETDQPSRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNNSEEKTIDSTSQSKSNNTGSDSEKDGAETQAEPGSASASNTVVTPSVEGFHTNMQGVAMPETETAVSSHTEISAEQTKSGQEENSDRAMASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGITSTNEKKGGLQGQHKEKRDSKVRTEKGGRRPAKEASTRPLKETVGQKKAAITPKTGTAAEKRNSPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPAPVTRSSWSGGSLTKATTAQKTKSSPGTVSAPTATSRRRTPVAPSPSQPTSKVERSAQPVKNKKETVAASKPAIKGIEEKKTKTATKTSRLAKSTPISDEKSSAATRPNLYNKVAKKSSVVPLESKPSKKATGISHSAGTDAVKSKMPQLDDSSNDIGNITQAEDKEHSAVTTQPKTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTENSAPSLATTEMDSSEQVEPHTEVQPPPEEDMGISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDSNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDCPKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >ONIVA03G17080.2 pep chromosome:AWHD00000000:3:12503556:12507633:-1 gene:ONIVA03G17080 transcript:ONIVA03G17080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTAVTFKLSLSGNAKGSSWFTKSTIARFLNTINSPDASKSANGILHEISQLEETRKFHQSLYSKEQRNPMGGALSGGVFGTIGAEQQGNVGPNSSEATKNELLRALDLRLTVLKEEIFALLNRAVVSNMSTRDVSDLSSFVQHFGASEFSWLMRCLLLIPDCQPSEVSQQQSFPAEKDDKGENALHTRNISSHTIIQRPITNNVSPAKLAQIERESSTESDDSSESSAEDEAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESGSCNGETDQPSRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNNSEEKTIDSTSQSKSNNTGSDSEKDGAETQAEPGSASASNTVVTPSVEGFHTNMQGVAMPETETAVSSHTEISAEQTKSGQEENSDRAMASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGITSTNEKKGGLQGQHKEKRDSKVRTEKGGRRPAKEASTRPLKETVGQKKAAITPKTGTAAEKRNSPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPAPVTRSSWSGGSLTKATTAQKTKSSPGTVSAPTATSRRRTPVAPSPSQPTSKVERSAQPVKNKKETVAASKPAIKGIEEKKTKTATKTSRLAKSTPISDEKSSAATRPNLYNKVAKKSSVVPLESKPSKKATGISHSAGTDAVKSKMPQLDDSSNDIGNITQAEDKEHSAVTTQPKTTKKIRPQV >ONIVA03G17080.3 pep chromosome:AWHD00000000:3:12502454:12507633:-1 gene:ONIVA03G17080 transcript:ONIVA03G17080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTAVTFKLSLSGNAKGSSWFTKSTIARFLNTINSPDASKSANGILHEISQLEETRKFHQSLYSKEVSLVPLAQNNRNELLRALDLRLTVLKEEIFALLNRAVVSNMSTRDVSDLSSFVQHFGASEFSWLMRCLLLIPDCQPSEVSQQQSFPAEKDDKGENALHTRNISSHTIIQRPITNNVSPAKLAQIERESSTESDDSSESSAEDEAVVERSRPLMRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESGSCNGETDQPSRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNNSEEKTIDSTSQSKSNNTGSDSEKDGAETQAEPGSASASNTVVTPSVEGFHTNMQGVAMPETETAVSSHTEISAEQTKSGQEENSDRAMASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGITSTNEKKGGLQGQHKEKRDSKVRTEKGGRRPAKEASTRPLKETVGQKKAAITPKTGTAAEKRNSPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPAPVTRSSWSGGSLTKATTAQKTKSSPGTVSAPTATSRRRTPVAPSPSQPTSKVERSAQPVKNKKETVAASKPAIKGIEEKKTKTATKTSRLAKSTPISDEKSSAATRPNLYNKVAKKSSVVPLESKPSKKATGISHSAGTDAVKSKMPQLDDSSNDIGNITQAEDKEHSAVTTQPKTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTENSAPSLATTEMDSSEQVEPHTEVQPPPEEDMGISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDSNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDCPKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >ONIVA03G17080.4 pep chromosome:AWHD00000000:3:12502454:12508794:-1 gene:ONIVA03G17080 transcript:ONIVA03G17080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPHRPTPAHAQTPRPSVSRLTSSSPPHSKLGSTSPLHQWQGTPRSSRRRGAGGERRPPPLDPENSDRAMASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGITSTNEKKGGLQGQHKEKRDSKVRTEKGGRRPAKEASTRPLKETVGQKKAAITPKTGTAAEKRNSPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPAPVTRSSWSGGSLTKATTAQKTKSSPGTVSAPTATSRRRTPVAPSPSQPTSKVERSAQPVKNKKETVAASKPAIKGIEEKKTKTATKTSRLAKSTPISDEKSSAATRPNLYNKVAKKSSVVPLESKPSKKATGISHSAGTDAVKSKMPQLDDSSNDIGNITQAEDKEHSAVTTQPKTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTENSAPSLATTEMDSSEQVEPHTEVQPPPEEDMGISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDSNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDCPKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >ONIVA03G17080.5 pep chromosome:AWHD00000000:3:12507691:12508794:-1 gene:ONIVA03G17080 transcript:ONIVA03G17080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPHRPTPAHAQTPRPSVSRLTSSSPPHSKLGSTSPLHQWQGTPRSSRRRGAGGERRPPPLDPGFEVDEELLLWWEVVV >ONIVA03G17080.6 pep chromosome:AWHD00000000:3:12502454:12503544:-1 gene:ONIVA03G17080 transcript:ONIVA03G17080.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEQVEPHTEVQPPPEEDMGISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDSNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDCPKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >ONIVA03G17070.1 pep chromosome:AWHD00000000:3:12496826:12501605:1 gene:ONIVA03G17070 transcript:ONIVA03G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRWELAAHRRLEKGKVVGVVVLDGWGEAAPDTFNCIHVADTPTLDALKKGGPERWRVIKAHGTAVGLPTDDDMGNNMALASGKIYEGEGFKYIQQSFENGTLHLIGLLSDGGVHSRIDQLQLLLKGASEHGAKRIRVHILTDGRDVLDGSSVKFVELIENDLAKLRDKGNDWQVVKRGWDAQVLGEAPHKFQNALEAVKKLREDPKANDQYLPPFVIVDERGRPIGPIMDGDAVVTFNFRADRMVMLAKALEYESFDKFDRVRFPKIRYAGMLQYDGELKLPSHFLVAPPEIERTSGEYLARNGIRTYACSETVKFGHVTFFWNGNRSGYFHPNLEKYEEIPSDIGIPFNEQPKMKAVEIAKKTRDAILSRKFDQVRVNIANGDMVGHTGDIEATIVGCKATDEAVKIVLDAIEQVGGIFVVTADHGNAEDMVKRDKSGKPLRDKDGNVQPLTSHTLNPVPIAIGGPGLQPGVRFRSDLPSAGLANVAATVMNLHGFEAPDHYEPTLIEVVDK >ONIVA03G17060.1 pep chromosome:AWHD00000000:3:12484732:12489292:-1 gene:ONIVA03G17060 transcript:ONIVA03G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GLW1] MKATPPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPAVYVTDERPQQEIDLPSFGRMGLERSGGVTVVKPEDGSGANAETKDTPEMIHRGGINSHHHSDNVPSKVSANPKVPPPPKIEPLKPKAKSVPVPVQRTEVISGNNMKPAKVQNADDVEKAKACQLEFGSYCLWSIEHKEVMKDTIVKRLKDQLFVARSYYPSIAKLKGKEALTRGLKQNIQEHERVLSESIVDADLPSFIKSKIEKMDQTIGRAKACTVDCNNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSAPLDSDDSAVHKFNVPDHRHYVILSKNVLAASVVINSTVSNSEETENVVFHILTDAQNFYAMKHWFGRNSYRESAVHVINYEHIILENLPEFSSQQLYLPEEFRVFISNLERPSEKTRMEYLSVFSHSHFFIPEILKDLKKVIVLDDDVVVQRDLSFLWNIDMGDKVNGAVKFCGLRMGQLRNLLGKATYDPQSCAWMSGVNVIDLEKWREHNVTENYLQLLKKFQHNDDEASVRAAALPISLLAFEHLIYPLDERLTISGLGYDYAIKEELVRNSVSLHYNGNMKPWLELGIPDYRKYWKRFLTRDERFMDECNDNAVDNDDEC >ONIVA03G17050.1 pep chromosome:AWHD00000000:3:12477226:12482423:1 gene:ONIVA03G17050 transcript:ONIVA03G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPILPKSLKDIPRSHNTQNILMPGQLPNDSMPLHQSATQSSISHPRASVVRSSYSAMLGYAANPIDSVSSHEGHFMAAPFISQSSNAEMLQSLCNNNTHGGHTVPTFFPAPACGAPDYMDTITVPDNHTQSGSSTVTSDAAKQNEWWADIMNDDWKDILDATATDSQSKSMAQPSNSAASQPAFNQSTSSHSGDICPVTSPPPNNSNASASKQRMRWTPELHESFVHAVNKLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGKTQEGKTTDELSLDLKASMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQKMFEKQCKSSTQSVQDPSSGDTATPSEPSNSVDKDSEAALDPNRIGDNHPKNSTNVGANLKTAATESPDSPVIATDGSELPQEKRRRVHES >ONIVA03G17050.2 pep chromosome:AWHD00000000:3:12477178:12478632:1 gene:ONIVA03G17050 transcript:ONIVA03G17050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESAARVRLTGPRTRFSLPPSPSLPSPQRNEISINLSSIPASSLSLPPHPHLIVATPRGKKKAIAPIRFPPPPHFPRRLRKAKPLPAAPIAPPQPQNCSEGSLI >ONIVA03G17040.1 pep chromosome:AWHD00000000:3:12468783:12474278:1 gene:ONIVA03G17040 transcript:ONIVA03G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPGGCARMRRVVLGVVLLLSVCVRASHAVTDSQDTSVLRALMDQWQNAPPTWGQSDDPCSDSPWDGVQQQSDLHVSALLLCSITLHVSNYKVYVCPLLPDCFSKISTMGIKGVLAADIGQLTELQSLDMSFNKDLGGVLTPNIGNLKQLTTLILAGCSFHGNIPDELGSLPKLSYMALNSNQFSGKIPASMGNLSNLYWFDIADNQLSGPLPISTNGGMGLDKLFKTKHFHFNKNQLSGPIPDALFSPEMTLIHLLFDGNKFTGNIPDSLGLVTTLEVVRLDRNSLSGPVPENLNNLTKVNELNLANNQLTGPLPDLSQMTQLNYVDLSNNTFDPSPSPQWFWRLPQLSALIIQSGRLYGTVPMRLFSSPQLQQVILDGNAFNGTLDMGKYISSELSIVSLKDNQLSSVTVTASYNGTLSLAGNPVCDRLPNTQYCNVTQRAAAAPYSTSLVKCFSGTCNVVGESMSPQSCACAYPYQGVMYFRAPFFGDVTNGTAFQELESRLWTKLDLTPGSVFLQDPFFNADAYMQVQVKLFPSGSAYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFIASPYPFPEERSSSRSKGAIIGIAAGCGVLVVALVGAAVYALVQRRRAQKAREELGGPFASWKRSEERGGAPRLKGARWFSYEELKRSTNNFAEANELGYGGYGKVYRGMLPTGTFIAIKRAQQGSMQGGHEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMSAGTLRDSLSGKSGLHLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVSDTERGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLELIIAKQPIEKGKYIVREVKRAFDAGDAEFCGIKDMIDARIMNTNHLAAFSKFVQLALRCVEEVAGARPSMSDVVKEIEMMLQSEGLSSASTSASTSATEFDVTKGAPRHPYNDPLPKKDKDMSTDSFDYSGGYSFQSKIEPK >ONIVA03G17030.1 pep chromosome:AWHD00000000:3:12461459:12461956:-1 gene:ONIVA03G17030 transcript:ONIVA03G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTPAVTEARENPGEVCGRWSTPGQGGRGGGGALGRRGSWWERGDEAHMRLPVEVDGLFQAPALLLYGYKVIAAGVRLHLHCCCAARRSQGRSRKEMRLVRGARARQRGPSASPDGNQWPPPSLALLPHGGDVRLRLHCCCGGEIGGRQGRGAERGGGVAPMR >ONIVA03G17020.1 pep chromosome:AWHD00000000:3:12455315:12459204:-1 gene:ONIVA03G17020 transcript:ONIVA03G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GLV6] MSMYGRDPWGGPLEICHDSATDDDRSRNLDLDRGALSRTLDETQQSWLLAGPGDQGRKKKKYVDLGCLVVSRKLFVWTVGVLLAAAVFAGLVAGIAKAIPRHHRPPPPPDDFTVALRKALMFFNAQKSGKLPKNNNVHWRGNSCMKDGLSDPAVGRSLVGGYYDAGDAVKFNFPAAFSMTLLSWSVIEYSAKYEAIGELGHIRDTIKWGADYFLKTFNSTADTIDRVVMQVGSGATSPGSTQPNDHYCWMRPEDIDYPRPVVECHACSDLAAEMAASLAAASIVFKDNKAYSQKLVHGATTLFKFARQNRGRYSAGGSDAEKFYNSTSYWDEFVWGGSWMYLATGNSSYLQLATHPKLAKHAGAYWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIIMCSYLPIFKSFNRTKDTPGWYCGPHFYPIETLRNFARTQIEYILGKNPLKMSYVVGYGNRYPKRVHHRGASIPKNGVHYGCKGGWKWRETKKPNPNIIVGAMVAGPDRHDGFKDVRKNYNYTEATLAGNAGLVAALVALSGEGHGVDKNTMFSAVPPMFPSPPPPPAPWKP >ONIVA03G17010.1 pep chromosome:AWHD00000000:3:12438635:12439645:1 gene:ONIVA03G17010 transcript:ONIVA03G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGSTTRRRFEVAQQRGRRDAEATARRSPPAAIFSSVSTQHFPLSPPLPKLGSELRRLSMAMQNVITLWRLEEGNLGFKLYDFSDIKDATNNFSSESLLGKGGFGSVYKVSSDFRVTLLPVASKQVFSVFKAELYFHTF >ONIVA03G17000.1 pep chromosome:AWHD00000000:3:12428854:12432612:1 gene:ONIVA03G17000 transcript:ONIVA03G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLHSVMAQARKELALLPPPQAASSSPTVPAAHSPFLLSRQNSGRCPAPSPSSWAQAQPFSRSNSMGNGGAADEMVGAGEELMSPLNGGGGAAANAPPFFPRGGDALLDDFELQEQLAFLHDGAGGVNPGHALQAFDGAECRSPGPGESGGMLPYGLAWANGGPGHRRSASVNELCLGGDGFGWKPCLYYARGFCKNGSTCRFVHGGLSDDAAMDAATAEQQQCQDFLLRSKSQRLGPAAFPFTPTGSLPASPSATSKCLSLLLQQQQQHNDNQRAAAAALMLAGGDEAHKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFRYIMRCCNDASSFFHGGLGRTRSDWHFCIAVLGNAPRCSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQQGDFCCMSPTGLDARDPFDFHQLGARMLQHSNSANELMLRRKLEEQQQAAELQQAIDLHSRRLIGLQLLDLKSSAAVHAAETTTMSLPTPITNAFTSGQPGATTIVESPPSSTGQLMASCGSPSEGKVVNGGNKADSAGEVTRNADSDQSGEHNLPDSPFASSTKSTAFSTATAATAIGSEGDFTTGSSCNIGGSAVGGANPLRPPTLDIPSPRTCFFPMPRNT >ONIVA03G16990.1 pep chromosome:AWHD00000000:3:12407235:12411721:1 gene:ONIVA03G16990 transcript:ONIVA03G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLHSVMAQARKELALLPPPPPPSSSSPTVPAAHSPFLLSRQNSGRGPAPSPSPLSASSPSSWAQAQPFSRSNGSVDEVVGAGEELISPANSGGGAAANAPPFFPRGGDVLLDDFQLQEQLAFLNEGGVNPSHPLQGFDGAECRSPGPGEGGGMFPYGLGWANGGPGHRRSASVNELCLGGGSSDGFGWKPCLYYARGFCKNGSSCRFVHGDDAAALTGAAMDAATAEQQQCQDFLLRSKSQRLGPAAFPYSPTGSLPGSPSAATKCLSLLLQQQHNDNQRAAAAAALMLGGSDEAHKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKHQGDFSGCTTPTGLDGRDPFDLHQLGARMLQHSNSTNEMILRRKLEEQQQAAELQQAIELHSRRLMDLQLLDLKNRAAAAVTTAMAMTIPTANAFGSSQPLATTMVESPPDSGEQLKGTGYFTEERKMVNGGGDKEESAGEASLNADSDQSFHDLMHIEAEKLGAQFAGQPVCFAD >ONIVA03G16980.1 pep chromosome:AWHD00000000:3:12388883:12390552:1 gene:ONIVA03G16980 transcript:ONIVA03G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRRSSTPAVRRNSCLLWRTWVMAAAVGLPMAATPPDAAAMAVRPSTERTAVAVVPASGLNGERVDEGAASFVIRDTGVVDDEQHDAVLGLEGPGVGVPAARTRCCRPWPAPPWRAWHAARRPGRRQAWRGTPRWSKKKEEKKERGKRDDVYHADMWGPRETHADSAAT >ONIVA03G16970.1 pep chromosome:AWHD00000000:3:12388826:12395925:-1 gene:ONIVA03G16970 transcript:ONIVA03G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFRCSQPCADVPVPVQRVSSRIRATDRRSWPRSATTGPSGWDSYSWAFKAKHSVVLFIVHNPGVSDDEACGPLIDSFAIKTLQPPQRTKGNMLKNGGFEEGPYIFPNTSWGGTNWGVLVPPMDEDDHTPLSPWTILSTTKSVKYIDAAHYAVPGGARAVELVSGMETAMVQEVSTVPGRSYRLEFSVGDAGDGCSGSLTVQAYACGDERGGRRGGGRRRAALLACCWRPSAEEKTDAGTTATAVRSVDGRTAIAAASGGVAAMGNPTAAAITQVLHNKQEFRLTAGVDDLLVVSIGSGSSSAAPSATPSSRGLLLGPVGEADAELAAAQEVAVEVAASAAGRPDGWRGREKRGTPSMRRGHRAAAGPGRGGGGDEEDADNHHGNADDHHAHRPPRARTPPSPPAAARSCYSPAAKRSKKRREKKKRERERDDVAR >ONIVA03G16960.1 pep chromosome:AWHD00000000:3:12382610:12387983:-1 gene:ONIVA03G16960 transcript:ONIVA03G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSAAAGARQQQQQGEAVSDRVLRFRGVLVVVLAPVLLISLVLLLMPRAPASATVEGSAGELVAAAGRRWGPRAVSGLGDGSTRYAVIFDAGSSGSRVHVYCFDGNLDLLPIGKEIELFKQKKPGLSAYAMDPQEAAKSLVSLLEEAEKVVPVELREQTPVRVGATAGLRALGTEKSEEILQAVRDLLQDKSSFRSQPEWVTVLDGSQEGAFQWVTINYLLGNLGKPYSHTVGVVDLGGGSVQMAYAISEKDAGKAPPVAEGEDSYVKELLLKGTTYYLYVHSYLRYGLLAARAEILKAGEGNDYRNCMLEGHHGQYRYGDDIFEASGLSSGASYSKCRAVAVRALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVNPKAPFAKVKPSDFEEAARRVCKLNVKDAQATYPDVSEENVPYLCMDLVYQYTLLVDGFGVDPYQDITLVKKVPYSNSFVEAAWPLGSAIEVASSS >ONIVA03G16960.2 pep chromosome:AWHD00000000:3:12382610:12387983:-1 gene:ONIVA03G16960 transcript:ONIVA03G16960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSAAAGARQQQQQGEAVSDRVLRFRGVLVVVLAPVLLISLVLLLMPRAPASATVEGSAGELVAAAGRRWGPRAVSGLGDGSTRYAVIFDAGSSGSRVHVYCFDGNLDLLPIGKEIELFKQKKPGLSAYAMDPQEAAKSLVSLLEEAEKVVPVELREQTPVRVGATAGLRALGTEKSEEILQAVRDLLQDKSSFRSQPEWVTVLDGSQEGAFQWVTINYLLGNLGKPYSHTVGVVDLGGGSVQMAYAISEKDAGKAPPVAEGEDSYVKELLLKGTTYYLYVHSYLRYGLLAARAEILKAGEGNDYRNCICINLRFLGKMVPDSNCPCKLYTGQYRYGDDIFEASGLSSGASYSKCRAVAVRALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVNPKAPFAKVKPSDFEEAARRVCKLNVKDAQATYPDVSEENVPYLCMDLVYQYTLLVDGFGVDPYQDITLVKKVPYSNSFVEAAWPLGSAIEVASSS >ONIVA03G16950.1 pep chromosome:AWHD00000000:3:12379659:12382029:1 gene:ONIVA03G16950 transcript:ONIVA03G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASRSLLAVILLAATAADVDALVFDVPSGGSKCLTEELRRGALSHASYRVAEATSAASSAVSARVAGPRGEELHLTEGVEAGEFRFEAAEDGRYTACFWTPRYLRGAIVSIDVQWTTEVRDHAGGAGSPPGVAAAKEGHIDCVQVILMFHFIVIHTSIMGIRNVDAHASSMIGELKKLEVSTRLIHEEMISLRRSEGEMQKLNEDTTMKIHSFTQLSLAVCVGVAGLQLWHLKTFFQKRNIL >ONIVA03G16940.1 pep chromosome:AWHD00000000:3:12369156:12372886:-1 gene:ONIVA03G16940 transcript:ONIVA03G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKSSPPAIRRRGVGMLRWAVRVASSIVLWTALLHLSSLLGLPRPPLLAARPSCLGGGGGSGGGSNSSASSAVTVAAADEVGRLAPPAVPRRRVYKSNGYLLISCNGGLNQMRAAICDMVTVARYMNLTMVVPELDKQSFWADPSDFGDIFYVNHFINSLQDEVKIIRELPQKFSRKVPFSMQPISWTDSRLANNGLPLKLQKLRCRVNYNALQFAPSIEALGKKMISALRKTGSFIVLHLRYEMDMLAFSGCTHGCSDEETAELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTRIYIASGEIYGGEKRLTELKTEFPNIIRKEMLLSADELRPFQKHSTQMAALDYLVSIASDVFIPSNDGNMAKVVEGHRRFMGFHKTIQLDRKKLVELIDLLEDQELSWDEFSTAVKELHEGRMSEPTRRKAIAGQPKEEDYFYANPHECLGAARKRREKLKHTEI >ONIVA03G16940.2 pep chromosome:AWHD00000000:3:12369158:12372886:-1 gene:ONIVA03G16940 transcript:ONIVA03G16940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKSSPPAIRRRGVGMLRWAVRVASSIVLWTALLHLSSLLGLPRPPLLAARPSCLGGGGGSGGGSNSSASSAVTVAAADEVGRLAPPAVPRRRVYKSNGYLLISCNGGLNQMRAAICDMVTVARYMNLTMVVPELDKQSFWADPSDFGDIFYVNHFINSLQDEVKIIRELPQKFSRKVPFSMQPISWTDSRLANNGLPLKLQKLRCRVNYNALQFAPSIEALGKKMISALRKTGSFIVLHLRYEMDMLAFSGCTHGCSDEETAELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTRIYIASGEIYGGEKRLTELKTEFPNIIRKEMLLSADELRPFQKHSTQMAALDYLVSIASDVFIPSNDGNMAKVVEGHRRFMGFHKTIQLDRKKLVELIDLLEDQELSWDEFSTAVKELHEGRMSEPTRRKAIAGQPKEEDYFYANPHECLGAARKRREKLKHTEI >ONIVA03G16930.1 pep chromosome:AWHD00000000:3:12354087:12368222:1 gene:ONIVA03G16930 transcript:ONIVA03G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:guanyl-nucleotide exchange factors;GTPase binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT4G16340) TAIR;Acc:AT4G16340] MDSAAAAGEGQRFKRIPRQSLAGNLELDPLLNENLDQWPHLNELVQCYKADFVKDDCKYGRYESVAPPSFQNQIFEGPDTDLETELQLSNDRQSKPDEVTEDDMPSTSGRQLYETEVPASSSKKHCSLSPLPAYEPAFDWENERSLIFGQRVPESVPAINSSGLKITVKVLSLSFQAGLVEPFSGTICLYNRDRREKLSEDFYFHILPTEMQDAQISLDCRGVFSLDAPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTDKEKQKLQVWSQIMPYRESFAWAMIPLFENNQAGGAASPSSPLAPSMSGSSSQDSIVEPISKLTLDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHNGHNDMDNISEGGSMANDLNDAGELNNGRYNRSSFDGIHGSLNSSAVAQKDAHQNGQASNTESGENFQAFDFRMMTRSEPFSQLFHCLYVYPLTISLGRKRNLFVRVELRKDDSDIRKPPLEAVHPRDRNTTLQKWAHTQIAVGTRMACYHDEVKISLPALLTPQHHLLFTFYHVDLQMKPEAPKPVCFLFLITKVVVGYAVLPLSTHIQLLSDVSLPILRELVPHYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEVAAFRAMVNILTRVQQESSDGAERNRFLVNYVDYAFDDFGDRQAPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKDGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSILHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIIRNLDDMTLIKAWQQSIARTRLFFKLLEECITHFEHNKTGDSLLLGSSSRSPDAERPASPKYSDRLSPSVNAYLSEASRHEIRKNISDGNMPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGIIDKFSVAAASRSITTDYAKLDCVTSVLMGLLSRSQPLAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVVGLQILVRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSLEESGETRRLRKSLEEMADVRSKDLLKDCGLPVNALEAAPEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSEMTLDRYAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLCKICPIVNTDVSSEASAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLKDIYESILEQEASPIPFIDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMEKLSHIYEAKMDGNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >ONIVA03G16920.1 pep chromosome:AWHD00000000:3:12335202:12336991:-1 gene:ONIVA03G16920 transcript:ONIVA03G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNPAMLPPGFRFHPTDEELIVHYLRNRAASSPCPVSIIADVDIYKFDPWDLPSKANYGDREWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHSSGGAATNESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAAADAHAANTYRPMKFRNTSMRLDDWVLCRIYKKSSHASPLAVPPLSDHEQDEPCALEENAPLYAPSSSSAASMILQGAAAGAFPSLHAAAAATQRTAMQKIPSISDLLNEYSLSQLFDDGGAAAAAPLQEMARQPDHHHHHQQQHALFGHPVMNHFIANNSMVQLAHLDPSSSAAPSTSAGAVVEPPAVTGKRKRSSDGGEPTIQALPPAAAAAKKPNGSCVGATFQIGSALQGSSLGLSHQMLLHSNMGMN >ONIVA03G16910.1 pep chromosome:AWHD00000000:3:12318661:12320000:-1 gene:ONIVA03G16910 transcript:ONIVA03G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHGHHGQDQPPQHHGGGGGGAHQPTFKIFCRADEGYCVAVREGNVVLAPTNPRDEHQHWYKDMRFSAKIKDEEGNPAFALVNKATGLAIKHSLGQGHPVKLAPFNPEYPDESVLWTESGDVGKSFRCIRMLNNIRLNFDAFHGDKDHGGVHDGTTIVLWEWAKGDNQCWKILPWGDEAYAGGSANAPRGGNEPTVRIFCKADEGFSVTVRGGSVCLAPTNPRDEYQHWIKDMRHSNSIKDEEGYPAFALVNRVTGEAIKHSQGEGHPVKLVPYNPGYQDESVLWTESRDVGHGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTVALWKWCEGDNQRWKIVPWCKLPHPNIARCNLFTSTIINFLDEE >ONIVA03G16900.1 pep chromosome:AWHD00000000:3:12298236:12299546:-1 gene:ONIVA03G16900 transcript:ONIVA03G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVMAEVEETAAAAPLDLPPGFRFHPTDEEIVSHYLTPKALNHRFSSGVIGDVDLNKCEPWHLPAMAKMGEKEWYFFCHKDRKYPTGTRTNRATESGYWKATGKDKEIFRGRGILVGMKKTLVFYLGRAPRGEKTGWVMHEFRLEGKLPSQLPRSAKDQWAVCKVFNKELALAAKNGPMAVTGATADDAGIERVGSFSFLSDFIDPAELPPLMDPSFVADIDGVDDAKVSASTSGQAAIAAGFHVASQVMSYQQVKMEEPLPLPYLHQQPPRMLHSGQYFSLPAVHPGDLTPSAIRRYCKAEQVSGQTSALSASRDTGLSTDPNAAGCAEISSAPTSQPFPEFDDAILGLDDFWN >ONIVA03G16890.1 pep chromosome:AWHD00000000:3:12286821:12289940:-1 gene:ONIVA03G16890 transcript:ONIVA03G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G09230) TAIR;Acc:AT1G09230] MASFPPPPPPPHVRPAPATPSLAPPPPPQQQQQQPPGSAPPAATLLVRHLPEAIPQEMLSRLFSHYGATSVRPCAGGKLRNCAFVDFRDEVVASQAQSLLNRLRFLGKVLIVERANQPNSKNANEKQQEHEAQGALQVPSMNSLNQKNPISSAEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSQVPAPPPPPPPPQPSAANKPHLTDLSSDESELESSDEDVDKRKVKRTKHEAIVGPAVDKSIAHEAVGVKPAALVSSELQVIKKKNPVLQINIAPKAAQKELTVQSTTDKELVPTAEQLQGKHFVTTQDIEKEKLPPEEILSLPMFKNYTPGNPASVLYIKNLAKDVIQDDFYYVFGETAGN >ONIVA03G16880.1 pep chromosome:AWHD00000000:3:12283953:12284216:1 gene:ONIVA03G16880 transcript:ONIVA03G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVVGACSMAVGDFASIYTQLNIELAEQAAPWPDWPASSNMTGEYHGVQSRKNGSEAAISIIKIGYVQSGEKQEEKSTNKHGHYS >ONIVA03G16870.1 pep chromosome:AWHD00000000:3:12280620:12281522:-1 gene:ONIVA03G16870 transcript:ONIVA03G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAQAVAVKGSVAVPPCGSRGRRRGAVASVRMAAAAATSALRIGRRSPFLGGRLAVGPRRSRPVPRNLVAPVQMNLAFAKATKWWEKGLQPNMREVESAQDLVDSLTNAGDNLVIVDFFSPGCGGCRALHPKICQIAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATVRS >ONIVA03G16860.1 pep chromosome:AWHD00000000:3:12263495:12268394:-1 gene:ONIVA03G16860 transcript:ONIVA03G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVAVNLNLTQSFNIAKPKSPVSSPPLLAPSRAEQGGATISFWRAEQGRGGEGILTGALCCVAARPHGTKSNLASRDCSMDPNEPCWRMNSSFSPPMSRRWDCRNPSDGLPHRIHDAPPYVSSLSSHSKGSRSAFGSDQYLNHQHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGTHFSLVTYAPEEAVVEDLSVAATVVVLQQSDVGSRPDTSGYPQSSERQLTAVSSFSSASPFSESSQLASSSKPPYLHLPRNHLGRRSFMSKPVYPLVFRNPVSEAEASGMPEASNIGRTTPSDDSPVWRRSLASPELKFHNALSEFRKVEASPEPNTSSRREGFRWSNASSYDFGYDGDAIDISDHISVESQRSPTSSVRFLKCGLCERFLRQKSPWTSNRIVQNSDMPVAAVLPCRHVFHADCLEESTPKSQVHEPPCPLCTRGTDDEGCVSFSEPLHVALRSARRNQGNSFSLGGSGGSTSANPSRSDHGLKRNQSALVARRSGTMFRNRFKKQFSFKGRFGKDLFGGRVFKKVGSSSSSGQQDDRQQPKA >ONIVA03G16860.2 pep chromosome:AWHD00000000:3:12263495:12268394:-1 gene:ONIVA03G16860 transcript:ONIVA03G16860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVAVNLNLTQSFNIAKPKSPVSSPPLLAPSRAEQGGATISFWRAEQGRGGEGILTGALCCVAARPHGTKSNLASRDCSMDPNEPCWRMNSSFSPPMSRRWDCRNPSDGLPHRIHDAPPYVSSLSSHSKGSRSAFGSDQYLNHQHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGSRPDTSGYPQSSERQLTAVSSFSSASPFSESSQLASSSKPPYLHLPRNHLGRRSFMSKPVYPLVFRNPVSEAEASGMPEASNIGRTTPSDDSPVWRRSLASPELKFHNALSEFRKVEASPEPNTSSRREGFRWSNASSYDFGYDGDAIDISDHISVESQRSPTSSVRFLKCGLCERFLRQKSPWTSNRIVQNSDMPVAAVLPCRHVFHADCLEESTPKSQVHEPPCPLCTRGTDDEGCVSFSEPLHVALRSARRNQGNSFSLGGSGGSTSANPSRSDHGLKRNQSALVARRSGTMFRNRFKKQFSFKGRFGKDLFGGRVFKKVGSSSSSGQQDDRQQPKA >ONIVA03G16850.1 pep chromosome:AWHD00000000:3:12258475:12261419:-1 gene:ONIVA03G16850 transcript:ONIVA03G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDPPRRGILVLDAHGTHSMHVVYSLVALAFSMDDRSNDAEASNQGHLIFYCNHTPSPLGTLVARGT >ONIVA03G16840.1 pep chromosome:AWHD00000000:3:12254967:12258237:-1 gene:ONIVA03G16840 transcript:ONIVA03G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GLT5] MDVVQARLPDPVPSPILRHSPSTSRSLRSVGDMPSVTFAGDMRSGSCRAESTASSFESFRRAGSRPQPVGAVARMPTRRSASERAGSQRDLRDEDARFVYVNDAARTNAPPAKFPDNSVTTTKYSVLTFIPRNLYEQFHRVAYVYFLILAALNQVPQLGVFSPVASVLPLAFVLGVTAVKDAYEDWRRHRSDKTENNRTASVLVDGVFQPKPWREIQVGELVRVVANETLPCDMVLVSTSDPTGVAYVQTINLDGESNLKTRYAKQETMSTPPEALAGLIKCEKPNRNIYGFLATVDLDGRRAVSLGTSNIMLRGCELKNTAWAIGVAVYTGRDTKVMLNNSGAPSKRSRLETHTNRETIVLAVVLTLLCTLVSLLAGIWLSDHSDELGVIPYFRKKDFSNPNEAEKYKWYGTGAQVVFTFMMAVIQFQVMIPIALFISMELVRVGQAYFMVQDEHMFDDKRQAKFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGGDFSETDGGDADGHAVAADGVVLRPKTAVKTDPKLMAMLKDGTGAKADAARDFFLTLVTCNTIVPIIVDDDEDNDDPAAAAEKLVEYQGESPDEQALVYAAAAYGYTLVERTSGHIIIDVFGTRQRIIMGYLGFSMIAGTNECGTRAKQQIRIKVVANVTKSWALTADDPLLNALHPISKKYSQLLHLATSQTCVAAGC >ONIVA03G16830.1 pep chromosome:AWHD00000000:3:12244093:12248353:-1 gene:ONIVA03G16830 transcript:ONIVA03G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GLT4] MAEEHDHHGSSRHMSASQKELGDEDARVVRVGDAERTNERLEFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLTVTAVKDAYEDWRRHRSDRAENGRLAAVLLSPGAGTHFAPTKWKQVRVGDVVRVYSDESLPADMVLLATSDPTGVAYVQTLNLDGESNLKTRYAKQETLTTPPEQLTGAVIRCERPNRNIYGFQANLELEGENRRIPLGPSNIVLRGCELKNTTWAIGVVVYAGRETKAMLNNAGAPTKRSRLETQMNRETLFLSAILVVLCSLVAALSGVWLRTHKADLELAQFFHKKNYVSDDKNANYNYYGIAAQIVFVFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTTLYDASSNSRFQCRALNINEDLGQVKCVFSDKTGTLTQNKMEFRCASVGGVDYSDIARQQPVEGDRIWVPKIPVNVDGEIVELLRNGGETEQGRYAREFFLALATCNTIVPLILDGPDPKKKIVDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADNSMFGVIDKTMNPDVVRATEKHLHAYSSLGLRTLVIGVRELSQEEFQEWQMAYEKASTALLGRGGLLRGVAANIEQNLCLLGASGIEDKLQDGVPEAIEKLREAGIKVWVLTGDKQETAISIGFSCKLLTREMTQIVINSNSRESCRKSLDDAISMVNKLRSLSTDSQARVPLALIIDGNSLVYIFDTEREEKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGTVFFSRQIFRNYLIYYIILNLKRGTFPGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVDLLLVHGHWNYQRMGYMILYNFYRNATFVFVLFWYVLHTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGAGQREESYNLRLFIFVMLDSIWQSLAVFFIPYLAYRKSTIDGASLGDLWTLAVVILVNIHLAMDVIRWNWITHAAIWGSIVATLICVMVIDSIPILPGFWAIYKVMGTGLFWALLLAVIVVGMIPHFVAKAIREHFLPNDIQIAREMEKSQDSHDVTHPEIQMSTVARA >ONIVA03G16820.1 pep chromosome:AWHD00000000:3:12229585:12241798:1 gene:ONIVA03G16820 transcript:ONIVA03G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMFRIQCRASDVLRLTIVNGEVILGKSDPSDDRQARPLHFPSASLFHRLRHAHHVLALCTFVSMVWHKDAKYSAGIKDEAGRPAFALGNKATGDALKHSLGYCCPSRFKHEDDVCISPNHLVRVIKFEPGYLDESVLWTASVDVADGYRRIHMMNNADYIFDAEEGTPQYGGARDGTRLILFRWNEGLNQIWRMVPCGGGVLEHEKPLRVVCHSNQALCLSVRDGVVVLADIDIKSRRQWIVSFQNTGRVTDAEGHRSFVLVNWSSGKVMKRSGDGEPVELVGHSLDSVDVALLWTRGDDLGEEFNCIRTVSDVGLVLDAAGGVPEFGGAHDCTQIIVFPCHGGANQRRLWCEEEAAANAAWLGGIVWRTAQVVRIRGEVGMGDGRVGFSLVGYWVVHDISGLQVLTSANRNHPPVIFQVSTKPICTSACTRRGSPSPSPGMEHAFRIQCRASDDLSLAIVNGEVILAKSDPRDDRQARPSSLLLRFCVWHKDVRYSAGLKDEAGRLAFALVRLVKFEPGYLDESVLWTESEDTGDGFHRIHMINNADYIFDAEEAVPPCDGARDGTRLILFRWNGGDNQLWRMAPCIGAEPDHEPPVHVVCLTVRHGAVVLARIDHKDPKQEPVSRCHWTVSFRNTGRVTDEEGHRSFLLLNPSTGKAMKRSADKEQPVELVGHGPDSVDVALLWTRSDNVGEGFHCIRTVSDVSLVLDAAGGGRHDGTPIIVFPWNGGANQRWSMLPLD >ONIVA03G16810.1 pep chromosome:AWHD00000000:3:12207793:12210884:-1 gene:ONIVA03G16810 transcript:ONIVA03G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNSPDRQSSGGSPPEERGGGGSGGGGGRSAAGEPVRSRWTPKPEQILILESIFNTGMVNPPNDETPRIRKQLQKYGADANANDCYQNHNPRSRPRHRQSQTHAAAAAAAASSSSPSANTSPAAASAATVQVGLPPVAVVHTMAMGGSACQYEQQASSSSSSGSTGGSSLGLFAHGAGASGAGGYLQASCGASASASSALAPGLMGDVVDSGGSDDLFAISRQMGFVGSPRCSPASSPATPSSAATAAQQQFYSCQLPAATITVFINGVPMEMPRGPIDLRAMFGQDVMLVHSTGALLPVNDYGILMQSLQIGESYFLMHEPAGVQLVLS >ONIVA03G16800.1 pep chromosome:AWHD00000000:3:12198952:12203906:-1 gene:ONIVA03G16800 transcript:ONIVA03G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAAAGRFLAGRSMPSRSPLTSADKMERKFSIELYGRHAIPEGGVRESDADKAERNRDAGSFFLRKDEEEYITS >ONIVA03G16790.1 pep chromosome:AWHD00000000:3:12197857:12204150:1 gene:ONIVA03G16790 transcript:ONIVA03G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQQQLQSHNQLLPSRQSFPSERHLLMQGGSVSGESGLVLSTDAKPRLKWTPELHERFVEAVNQLGGPEKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNLHAQANAGNVKNALVCTTATEKPSEANGSPVSHLNLGTQTNKSVHIGEALQMQIEVQRRVHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETAKMELSELVSKVSTECLQHAFSGFEIESSQMLQGHTMHLGDGSVDSCLTACDGSQKDQDILSISLSAQKGKEIGCMSFDMHVKERGSEDLFLDKLNRRPSNHPERCERRGGFSMSCQTANLDLNMNDTYDGPKHCKKFDLNGFSWA >ONIVA03G16780.1 pep chromosome:AWHD00000000:3:12193497:12196374:-1 gene:ONIVA03G16780 transcript:ONIVA03G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDFLPVRFHYGGEFMFSRGQLHYVAERIALSYIELDKISLPEIIGFLSDHMPVSGLLHLHWLYPGKQLSDGLRFLLDDNACIEMANHMSNNGQVAEIYVEHVAIDEGQDDNQIADWGYDMVEADDEAKSDSEAEAELHPTVVLCIDKKGKLKPRRASSQILEKPEDVDGPETNSNPEGQVSNSSDSDYRQPIEQNSSGDDEEAEQLRKFAKEIKRNIRARKLGGDVGTQ >ONIVA03G16770.1 pep chromosome:AWHD00000000:3:12189135:12192541:-1 gene:ONIVA03G16770 transcript:ONIVA03G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVQTLK >ONIVA03G16760.1 pep chromosome:AWHD00000000:3:12185049:12185403:1 gene:ONIVA03G16760 transcript:ONIVA03G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYHICDARTCKVVSLRERDLTRRPMAFYGAANVGLIVKDDDGGGSPVRDATGGATLHTATRSGSAASGARGSSPPARPCSSGTGTPIEGVVSHVGTMLWWIDLS >ONIVA03G16750.1 pep chromosome:AWHD00000000:3:12181627:12185518:-1 gene:ONIVA03G16750 transcript:ONIVA03G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GLS7] MAAAVRPTVKGSCSSGGHAGAGRGAGWAPRRRDRRRGGPRRGRSTRASSRRGRRRPRSGYQSRMSRAEQVGPEIRTGFLKDGKPIQLKQGKEITITIDYSIKGDENLISMSYHKLAIDLKPGSTILCADGTITLTVLSCDCEQGLVRCRCENSAMLGERKNVNLSGVIVDLPTLTEKDKVDILQWGVPNKIDMIALSFVRKGSDLMLVRSVLGEHAKSILLMSMVENQEGVANVDEIIANSDAFMVARGDLGMEIPIEKIFYAQKVMIHKCNIHGKPVVTATQMLESMIKSPCPTRAEATDVANAVLDGTDCVMFSGETAAGAYPELAVQTMANICLRAESYLDYPFIFKKLSSEAPVPLSPLESLASSAVQTANISKASLILVLTRGGTTARLIAKYRPAMPVLFVVVPELKADDSFNWTCSDEAPARQSLIVRGLIPMLSTATPKAFDIESTDEAILSGIDYAKKLGLCNSGDSVVVLHRIGGYSIVKIVTVN >ONIVA03G16740.1 pep chromosome:AWHD00000000:3:12178338:12180431:1 gene:ONIVA03G16740 transcript:ONIVA03G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELYSLVIEQRIGGCSWFLEENHLPCQLSTSRRKKTTRQYRGSMEEEPSATCRYFCHMCSLIVRPEMGIEEVKCPHCHSGFVEEMVGGDDDDGRRSGNAAAGGRGAASEENADDEATPAPPPWAPMLIDLLGVSSRRHGLDDGSSDLAAFARRQYRNIAFLQLLSALQDDDEAGGDTPGDSGRERLVLVTPADGNGAAATSGFTLGDLFLGPGLDLLLDYLADTDPNRQGTPPARKEAVAALPTVRVHDAAGATCPVCLDEFEAGGEAREMPCKHRFHDGCILPWLEAHSSCPVCRYQLPTDDEPTAGNVVVAAEGGDELIGNARGGGGDGDGDGGSSGRRRWLSWPFGGLFSHRSSGSSSSS >ONIVA03G16730.1 pep chromosome:AWHD00000000:3:12171484:12174897:-1 gene:ONIVA03G16730 transcript:ONIVA03G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised conserved protein UCP022280 [Source:Projected from Arabidopsis thaliana (AT4G26410) TAIR;Acc:AT4G26410] MAPPDTSRAPAQGEEAASTSPWPLRKLQSFTSGLCSQYKAYENAFVDMAKGTISDAMVLVNEHQTEAIGCATVAGFILLRGPRRFLYRNTLGRFKTEKDLLNDAEQSMMEYKTSIEQLKKDSKYTLDKIAVGESDLQRGQTDLRSTGKQIRSLIGSIYKAESTATGLMDRLRTIPTRQSLELRAEVASMASDLKNQRCVLQERINKISEYGVRV >ONIVA03G16720.1 pep chromosome:AWHD00000000:3:12167818:12170723:1 gene:ONIVA03G16720 transcript:ONIVA03G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASASCRRRPIAWFFAIAALLFFFSWYLLLDSAAVTPEPLLAARGQGLRVGSSGRKCDPATAALRVFMYDLPAEFHFGLLDWEPQGGGGGGGGVWPDVRGGGVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCGAVRVRHAAAADVVFVPFFASLSFNRHSKVVPPARASEDRALQRRLLDYLAARPEWRRSGGRDHVVLAHHPNGMLDARYKLWPCVFVLCDFGRYPPSVAGLDKDVIAPYRHVVPNFANDSAGYDDRPTLLYFQGAIYRKDGGFIRQELYYLLKDEKDVHFSFGSVVGNGIEQATQGMRASKFCLNIAGDTPSSNRLFDSIVSHCVPIIISDEIELPFEDVLDYSKFCIIVRGADAVKKGFLMNLINGISREDWTRMWNRLKEVERHFEYQYPSQNDDAVQMIWKAIARKAPSIRLKVNRLRRFSRFETNRTDETPTRSSWLENQPS >ONIVA03G16710.1 pep chromosome:AWHD00000000:3:12161043:12164264:-1 gene:ONIVA03G16710 transcript:ONIVA03G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQQLKPLSDVADKIGGFLFNLAWSKGTRLWNVEEEAEKLRRTEKRIRALLRDAEERRYIDDESVKLWLLELKSVAYDAETLLDRLTTFTAVARLESAEPARKRKRSWLNLQLGPRQRWGLDAKITEINERLDEIARGRKRFKFQPGDAARRAQPGQRPRFVEVAACHDESSQIFGRAKEKEEVVQALLSDHTIPLPVISIYGAAGIGKTTLARLVYNNAEVQSSFPTRIWVCLSDKCDVTKATKMIMEAITKVKCDALSLDILQQQLQEHLSTTKFLLVIDNLWAEDYNFWELLRCPLLAGEKGSKVLITTRNERVWRRTTSTILPVHLKGLDDEECWLLLKKYAFLHGQGRENDALSKTGRMIAADCRGSPLAAKSLGMLLSDTNGEEEEWLNISNQMRILNEDNNRILPSLQISYHHLPYHLKQLFTLCCLFPVGHEFEKDEVIRLWIAEGLIQCNARRRLEAEAGRFFDELLWRSFFETSGSSTNQRYRVPSLMNELASLVSKSECLCIEPGNLQGGINRDLVRYVSILCQKDELPELTMICNYENIRILKLSTEVRISLKCVPSELFHKLSCLRTLEMSNSELEELPESVGCLTHLRYIGLRKTLIKRLPDSVSTLFNLQTLDLRECYRLTELPEELSRLVNLRHLDLHLEWDRMVPIPMPRGIDKLTSLQTLSRFTVTADAEGYCNMKELKDINIRGELCLLKLESATHENAGESKLSEKQYVENLMLQWSYNNNQAVDESMRVIESLRPHSKLRSLWVDWYPGENFPGWMGESSFTYLENLRICDCRNSRLLPSFGELPKLKKLHLGGMHSLQSMGTLLGFPSLEVLTLWDMPNLQTWCDSEEAELPKLKELYISHCPRLQNVTNLPRELAKLEINNCGMLCSLPGLQHLHDLVVRRGNDQLIGWISELMSLTSLTLMHSTETMDIQQLQQLSALKRLKIGGFKQLSSVSDNSGMEALSSLEFLEISSCTELQRFSVVGLQSLKDFKLRHCTKLEALPTGLGNLGSLRCVEIHDIPNLRIDNTGTVLPDSVSYLTLSGCPDLESWCRNTGAQRVKKIPNVKIGF >ONIVA03G16700.1 pep chromosome:AWHD00000000:3:12158436:12158849:1 gene:ONIVA03G16700 transcript:ONIVA03G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRLPFVPGVADGGVQVLGPDLVDTFACPTLLLRRDRSAEFGVVERTCPCLETDLGSKKASLECSTDDDSSEHGGIVSICPCMDRISGIGWSNKKPTTPSVGVMGDGRRKAVPDLDQPKGLGGVVHAEGSVLRLW >ONIVA03G16690.1 pep chromosome:AWHD00000000:3:12154868:12158150:-1 gene:ONIVA03G16690 transcript:ONIVA03G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVRPRQEAGRCSDSVAVPKPHLRHPSLGSISVVRELELELLLSLGATSTRPVFRRIGFYQRLGKKRLRGSLAVDDIDHDDSTTVCSWKKVLFLPDERVEAVREKRHAGTDFTGALYHCAQHSHTTCDLPSSSQYY >ONIVA03G16680.1 pep chromosome:AWHD00000000:3:12149630:12151552:1 gene:ONIVA03G16680 transcript:ONIVA03G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLVMDQGMMFPGVHNFVDLLQQNGGDKNLGFGALVPQTSSGEQCVMGEGDLVDPPPESFPDAGEDDSDDDVEDIEELERRMWRDRMKLKRLKELQLSRGKDPAGGVVGDPSKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAIAKYQADNAVPGCESELASGTGSPHSLQELQDTTLGSLLSALMQHCDPPQRRYPLEKGVPPPWWPTGDEEWWPELGIPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEISTWLAVVKQEEELYLKLNPGARPPAPTGGITSAISFNASSSEYDVDVVDDCKGDEAGNQKAVVVADPTAFNLGAAMLNDKFLMPASMKEEATDVEFIQKRSASGAEPELMLNNRVYTCNNVQCPHSDYGYGFLDRNARNSHQYTCKYNDPLQQSTENKPSPPAIFPATYNTPNQALNNLDFGLPMDGQRSITELMNMYDNNFVANKNLSNDSATIMERPNAVNPRIQIEEGFFGQGSGIGGSNGGVFEDVNGMMQQPQQTTPAQQQFFIRDDTPFGNQMGDINGASEFRFGSGFNMSGAVEYPGAMQGQQKNDGSNWYY >ONIVA03G16670.1 pep chromosome:AWHD00000000:3:12133323:12135078:-1 gene:ONIVA03G16670 transcript:ONIVA03G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQYMDSVAAGLRSSLIPLHPGVGVKLPFRLPLRWDVWVEEIEKAAVDSARAAGGGRLGERCFGGGQGIGGLSSSVSQLQRRPTRMEMGGFGGIVAGGASMVGESKSGSGARRWGLELRPVVDGDAMALTRAKPPWVAMFPFSIATDRYMRPLRLRSTLYMASFHLMQQHGVDDQDGALVVVAPAAGLERG >ONIVA03G16660.1 pep chromosome:AWHD00000000:3:12130154:12131830:1 gene:ONIVA03G16660 transcript:ONIVA03G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIMLPAASAAAAASVLALLVLTSLQPHAARAQVASSPWAAPAPWPGELDCTGALLNLSSCLTYVEYRSTLTRPDKGCCGALAGVVDGEAACLCGLVGGYGAYGVRVDAVRALALPTICRVDAPPPRLCAALGVPVAEPPGGAVPEESGLSGGMPANAPSTAATGSSGGGGPATHRPTRRHLILLLLLLVFPASLLLL >ONIVA03G16640.1 pep chromosome:AWHD00000000:3:12120441:12126065:1 gene:ONIVA03G16640 transcript:ONIVA03G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASAAAPPPPPTAQPHMAAPPYGAGLAGILPPKPDGEEEGKKKEVEKVDYLNLPCPVPFEEIQREALMSLKPELFEGLRFDFTKGLNQKFSLSHSVFMGSLEVPSQSTETIKVPTSHYEFGANFIDPKLILVGRVMTDGRLNARVKCDLTDDLTLKINAQLTHEPHYSQGMFNFDYKGTDYRAQFQIGNNAFYGANYIQSVTPNLSMGTEIFWLGHQRKSGIGFASRYNSDKMVGTLQVASTGIVALSYVQKVSEKVSLASDFMYNHMSRDVTSSFGYDYMLRQCRLRGKIDSNGVVAAYLEERLNMGVNFLLSAEIDHSKKNYKFGFGMTVGE >ONIVA03G16630.1 pep chromosome:AWHD00000000:3:12117669:12120635:-1 gene:ONIVA03G16630 transcript:ONIVA03G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVLDGLLGEGERARRGLSSPTSRRGGDLQSLLLPLRRMATNIKENISAVGGWLRRQLRRWLHQRWGRKHQDAGGGCEVGGLSRLRQSWGLKRQDAGGGGKVGGWSP >ONIVA03G16620.1 pep chromosome:AWHD00000000:3:12108887:12109384:-1 gene:ONIVA03G16620 transcript:ONIVA03G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCARPVGVGYLVGGAASSWSQRWGRGVAAPRARVRVSASASTVAPERRAATMYEVLAVEETAGAEEIKAAYRRAARRWHPDACPGGAERFMLAREAYEVLSDPERRRGYDIQLRCCGAGAGAQAARRAGFADWEAQLAGLQWRAASRETWGSRMRHRQPSPS >ONIVA03G16610.1 pep chromosome:AWHD00000000:3:12102925:12109878:1 gene:ONIVA03G16610 transcript:ONIVA03G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASRKEEERNERVVRGLLKLPPNRRCINCNGLGPQYVCTSFWTFVCISCSGIHREFTHRVKSVSMSTFTTQEVEALQNGGNQRARESFLKEFDAQKMRLPDSSNVDSLREFIKAVYVERRYAGGRFSERPPRDKQNQKNNEQEHRRASSYHSFSQSPPYDYQYEERRNGKQSVMLTRKPGSDRGHDGKMSGFAYSPQSLHERMSEDRFANENSGPRISDCSGSSISNTFRTTPHSPNFLDKGCSSPSMQQNQSNIQASSGITQSEVRTISTGNINSSSTKSGKSSLADMFFESDIAHRTQQTKDCITPSFTAFSDVANIAQKDLLNEPVAQQQPVTGLDQPVDFFASMPLATPSTDRMLTAAPSMDNAGWATFDTPPEEKQPGVIGLSGISVMDKHALSGDLFSFEPNNDQPTWLQSSKTSKNNASVTDQSDVPCKYTSSDASNSQAWSAFEAKSVSTQQASPDLSLMSSIEPKEPIDENKLQLWHSFDDASETMTLNLSNAQLQTNEHKNVDNNSLTTSNPFTCSITSKESRGNDSQEIFMGGLAPSEPFAPFPEPSLFATTSVGEASVQQMPLNPFDLPFDADSDSPDMFMDVTALQAVLPNADLSTSFVDGLPETWFSNNASAYVPPGSHGGPPCLVEQIPNSALRRNIVKGTARRNFAHIWYKPRRNIMKGTIRIG >ONIVA03G16600.1 pep chromosome:AWHD00000000:3:12098824:12099539:-1 gene:ONIVA03G16600 transcript:ONIVA03G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIISDEESRMVKDSIHRLCWHQPLHLFLHEGSRAMEPDADERNGVPIGAQENSYWLYIVPWGINKAVTYVKETYGNPMMILSENEWTNLATSISPRVSMILQESDITEIT >ONIVA03G16590.1 pep chromosome:AWHD00000000:3:12090695:12097945:1 gene:ONIVA03G16590 transcript:ONIVA03G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSTPFTTATGVQKKLGAPVPLHSFLLSRRQPAAGAGRGRAAAAAIRCAVAGNGLFTQTKPEVRRVVPPEGDASRRGVPRVKVVYVVLEAQYQSSVTAAVRELNADPRRAAGFEVVGYLVEELRDEETYKTFCADLADANVFIGSLIFVEELALKVKDAVEKERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKNSGGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAVSYVPALKGADIKYDDPVLFLDAGIWHPLAPTMYDDVKEYLNWYGTRRDTNDKLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPTQRYLVDPITGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKDISRFLLVSLTYFAGKSHALHKRVEQLCTRAIRWAELKRKTKEEKKLAITVFSFPPDKGNVGTAAYLNVFNSIYSVLQDLKKDGYNVEGLPDTAEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQSLTSYASLLEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVPLPEEGVELPPNERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIASLDRPEDEIYSLPNILAQTVGRNIEDVYRGSDKGILADVELLRQITEASRGAITAFVERTTNNKGQVVDVTNKLSTMLGFGLSEPWVQHLSKTKFIRADREKLRTLFTFLGECLKLIVADNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAALKSAKIVVDRLLERQKVDNGGKYPETIALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSVKMVAELDEPEEMNYVRKHAQEQARELGVSLREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDCDAPGAGMREQRKTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANATFIEDEAMRKRLMDTNPNSFRKLVQTFLEASGRGYWETSEENLEKLRELYSEVEDKIEGIDRLLKHGGCLRRNGTGGRSDPYVYMGKFTATVAVRNLGT >ONIVA03G16580.1 pep chromosome:AWHD00000000:3:12083262:12084349:-1 gene:ONIVA03G16580 transcript:ONIVA03G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQDRREARAEADARRAAEEIARARDERVMQAEVDARSAADEIARARADRGAATMGADTAHHAAGGGGILESVQEGAKSFVSAVGRTFGGARDTAAEKTSQTADATRDKLGEYKDYTADKARETNDSVARKTNETADATRDKLGEYKDYTADKTQETKDAVAQKASDASEATKNKLGEYKDALARKTRDAKDTTAQKATEFKDGVKATAQETRDATKDTTQTAADKARETAATHDDATDKGQGQGLLGALGNVTGAIKEKLTVSPAATQEHLGGGEERAVKERAAEKAASVYFEEKDRLTRERAAERVDKCVEKCVEGCPDATCAHRHGKM >ONIVA03G16570.1 pep chromosome:AWHD00000000:3:12075655:12079372:1 gene:ONIVA03G16570 transcript:ONIVA03G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTNLRRRLHHGDVDGRKNEHVDISSVDSLNEPLLGKSSSDTGGSEVYDPRRQDLWDDDRKKEQLHWSFLFSNLIAQWAQWLANIIVGSGSLFGRLFPFSLDNQNSSPVYLSPLQEDRLNTLRSRLQIPFDGSRVEHQDALRQLWRLAYPNRDIPPLKSELWKEMGWQGTDPSTDFRGGGFISLENLIFFARNYPGSFQALLNKVQGQRADWEYPFAVAGINISFMLIQMLDLQSSVPSSKSGVRFVELLGRDENAFDHLYCVAFRLLDAQWLVKRASYMEFNEVLKSTRTQLERELVLEDVLEVKDLPSYTMLDK >ONIVA03G16560.1 pep chromosome:AWHD00000000:3:12061201:12066860:-1 gene:ONIVA03G16560 transcript:ONIVA03G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFLSRAGADSGSGGGGGADGARWARAHHHHVGRPVPRPLGLGAGPVLDALYHDGPVSGSKRAPAAGEGAAAEKTVERRKKRMIKNRESAARSRARKQAYTNELENKISRLEEENKRLRMHKAPEPVVQYVPQQEPKNQLRRTQDLGRKPLADRKAALTGDPISRRRNPQHSLAHDILLRWCDIR >ONIVA03G16550.1 pep chromosome:AWHD00000000:3:12055654:12064832:1 gene:ONIVA03G16550 transcript:ONIVA03G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEAALAAVPNDNPTIFDKIIKKEIPSTVVFEDEKVLAFRDINPQAPTHIVIIPKVKDGLTGLSKAEERHVEILGYLLYVAKVVAKQEGLEDGYRIVINDGPSGSGDSLGEEVLRMFLAERQAHGDFVTKISDMVWRRNGGDLGVLEAAAEQENSADVAPPQPEEASNTENHPVVQDVMGEGMLRIAATRDWVSGESSLPVSKRLSAKDRQDERERRKELNLLRYEALKDELLLLTTGIGAACSLYCLLVFSLEAAVSYAFGVAFSCLYLQLLYRHTDNLSKKDVPEIFMKKKVKRIGIRSEDLKNTIEKVLGGISVALSSPRLVIPAIFFGLSTLSDHFQNSILNFELVPGMMGFFAYKAAALVQVYRDNEDLRLILPEEDADSS >ONIVA03G16540.1 pep chromosome:AWHD00000000:3:12054456:12054916:1 gene:ONIVA03G16540 transcript:ONIVA03G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEDDTCENKDCRVGAERKAMAIEEDDTCENKDFRVGARRKATTVGENGACENKDCRVGAGRKASAVEEDNACENKEKRRKMMLGVVVIATVDGEDVLDLDARWLVGASTLADYHS >ONIVA03G16530.1 pep chromosome:AWHD00000000:3:12051189:12054309:-1 gene:ONIVA03G16530 transcript:ONIVA03G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGEPPDVGIPVLGLATVSTRSGGEAIGSGSPVTVEEEEEAVVREGDEETKQRVVVVRNYPVQLAFLSHH >ONIVA03G16520.1 pep chromosome:AWHD00000000:3:12050599:12050952:-1 gene:ONIVA03G16520 transcript:ONIVA03G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSPVMTERERRAYRHGQASSPTARAVGQLGCIRKSWSNDSLSSYGGGGRAGGGGAQACVCAPTTHPGSFRCKHHRQNASNLGAAAAAAAAPAQVVDVDADAKHQDAQEQEEKAT >ONIVA03G16510.1 pep chromosome:AWHD00000000:3:12049194:12050166:1 gene:ONIVA03G16510 transcript:ONIVA03G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEGSVVDEGGGTTVELIHLGERLDGLGVTIDRGLRGNECRETHSERRKRNGSSARSNDRSVERSTWMVSTMSSLMAREWGSTHLCNATLFLASSPAATCTDAATRLIELHNQIYFDRVISATIDAAVHLVLPMESPPYLSCLQHRARRLRLLRRFLVSSPAKVENREERKKRWQLRPAGCLTAVNATIRDI >ONIVA03G16500.1 pep chromosome:AWHD00000000:3:12043329:12047145:-1 gene:ONIVA03G16500 transcript:ONIVA03G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFPFRSFTSNAGNGKASAGHDKKNENKLDGGTSCASHSPDTRAFRSRSRHGKPSSEESSTPQLRRCMSLTSSAIDRSLNERTMNFSGDIPCSFSNSSDAPRHIGDVEPSKLTNLSSKNEVLDLYIDGEQESNSLNEKHKLKLPVRSSSSYLGRGRPPRPHSTAPSSPKSCKEIVESYSYSNIDMIDACQLAQEETKGIWKVASVCAEPGDDAQMLEASSEKFSHIEECKSQSIATLEDIYDRLEDAQPPCFCDTSMDYISGTTSRCFDADVCCRDDSHGFHGNNLEQDTDEKLLRRAKELDECFMVPLEENNELNMLRDNSLSSTDMLQLIQTLIEDRRQLALQLSSQIKARLTERFAAKEQHKRSKVELNTRTRRLEKEKSDVQSTLEMELDRRSNDWSVKLAEFQSEEQRLRERVRELAEQNVSFQREVTLLESNRIDVSNKITSLELQNKQLNDELQKVKKEHDTLLKSSVELNDNLTKTAEERNQILECLKEKGGDNKALHKVIARLQRISNEQEKTITGLRQGFNAELENKSLGTSESISRMQMELIRLTGVEQKLRREIQSCNREVESLREENIAVLNRLQSSDNKLSISSVRLEQELNTRVDNLQLQGLSLLDDTSQLCAKLLDSMKSKRSESFGSVDALASIEYTLSYQSIQERIKNLKQCLRAIRSMLTEKHNEEEKIGERTESCILKQDHLSKDDIEFKLKEESMLCRVLKEKLLSRERDIEQLQSDLASSVRIQDVMQNEIQRVQDELCCLTHKSKHLEMQVLKKEENISQIQQDFQESSKELTALRCTLKTETKQLRKTISALQNDVASLKQKMKSLDEDILLKEGEILLKEGEISILRDSIGRPSDIICSPRPSKLFESE >ONIVA03G16490.1 pep chromosome:AWHD00000000:3:12033724:12039611:1 gene:ONIVA03G16490 transcript:ONIVA03G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPSPHQSHHLGHGSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNCNFPRYYYRCTYKDNMNCPATKQIQQKDYSDPPLYSVTYYNEHTCNSAFLPLSPSEFQLQTASGKAVSICFESSGAQEPMTNASSPSSSAARRSTPSENKNQPLPRHSEAYSWGVGVVEQKPSCTELQSCSTECQDAFSAGTIPEETVDAGRFGSIRFFHFL >ONIVA03G16490.2 pep chromosome:AWHD00000000:3:12033724:12041070:1 gene:ONIVA03G16490 transcript:ONIVA03G16490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPSPHQSHHLGHGSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNCNFPRYYYRCTYKDNMNCPATKQIQQKDYSDPPLYSVTYYNEHTCNSAFLPLSPSEFQLQTASGKAVSICFESSGAQEPMTNASSPSSSAARRSTPSENKNQPLPRHSEAYSWGVGVVEQKPSCTELQSCSTECQDAFSAGTIPEETVDAGRFGSIRFFHFL >ONIVA03G16490.3 pep chromosome:AWHD00000000:3:12033724:12039611:1 gene:ONIVA03G16490 transcript:ONIVA03G16490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPSPHQSHHLGHGSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNCNFPRYYYRCTYKDNMNCPATKQIQQKDYSDPPLYSVTYYNEHTCNSAFLPLSPSEFQLQTASGKAVSICFESSGAQEPMTNASSPSSSAARRSTPSENKNQPLPRHSEAYSWGVGVVEQKPSCTELQSCSTECQDAFSAGTIPEETVDAGRFGSIRFFHFL >ONIVA03G16490.4 pep chromosome:AWHD00000000:3:12033724:12041070:1 gene:ONIVA03G16490 transcript:ONIVA03G16490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPSPHQSHHLGHGSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNCNFPRYYYRCTYKDNMNCPATKQIQQKDYSDPPLYSVTYYNEHTCNSAFLPLSPSEFQLQTASGKAVSICFESSGAQEPMTNASSPSSSAARRSTPSENKNQPLPRHSEAYSWGVGVVEQKPSCTELQSCSTECQDAFSAGTIPEETVDAGRFGSIRFFHFL >ONIVA03G16480.1 pep chromosome:AWHD00000000:3:12024995:12036954:-1 gene:ONIVA03G16480 transcript:ONIVA03G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGARRVLEAWRLGVVRYGDALGLQERLVTDRRAGRVPDLVLSLQHPPTYTLGKRRTDHNLLLPEADLRALGADIHRTERGGDVTFHGPRQAVLYPILSLRAIGLGARRYVEGLESAMIEVAALYGVQARPGAAGETGVWVGDRKIGAIGVRISSGFTCHGLAFNIDPDLGFFEHIVPCGIADKEVTSLRREAAVELPPDEVIHDQLVQSLARTFCFSDVEFKDESECADMESYQLKMHPDIRCCKIGAQCRTAFVLQHQPPKNRLPNAVEEADSCSLKVCYAVLRLKKVTEYNGEDKVDKNEQMPTLSRRYTDADTRNEEIYCKYIIFHPNLGKLQLFIFFSPYFLHWWPSLCGAKDLYWTTSMLFTAAPKSPVLYGEQIQHIMEECTTLSFEYISVTGILILEHENTIFTLNNYRSVVKLRSVLIRLDDCPASYCLT >ONIVA03G16470.1 pep chromosome:AWHD00000000:3:12011708:12014700:-1 gene:ONIVA03G16470 transcript:ONIVA03G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESERPSWWWPRRRALEKLQLSLQSRRTAPHRTAPLLLLLIISPKLLISSPLLLLLLPLATSHALPRRRHDHCLPPRGRAKRRDPAAAFQFAAGA >ONIVA03G16460.1 pep chromosome:AWHD00000000:3:12006242:12010394:-1 gene:ONIVA03G16460 transcript:ONIVA03G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRSKNEDDKAIVLCHERKRYVREALDGRCAFAAAHFAYIQSLRHTGFALRKFLEPEVPTDSSLYTSTSATPEPPTIRQKSRNLSPSISHQASDSFSPVPSPLSSGRFRINHMKSGGNPVMTIEEKVPVPVTATLQTSSLVPKAVHDLDDSSTFEAPPGTPPWDYFGLFNPVENQFSFHDEKEPGHDFENADDIRRLREKEGIPELEEEGEKTPVHPDNVRRFRDEKTSDLKEAEKSPINGREDDFAESEDDFDNPSSEPLVRVFQNRNDMPVENTVMNQTPEHVASEKLASENSVSFSRKQENSDSFSRKQENSDSFSRKQENSDSFSRKQENSDSQIDRPNNDKEVLDISMFESDDESPVASPVKEVRSSIAALPMNGKSKEPFHDVRNGAKDLHSCMKEIEILFIRASDSGKEVPRMLEADKVNFRPLLPEEKAHGSKASGFFATFFACCGGEEIPIPQPPPEAEVKYLTWHRSVSSLSSSSRIPLGVTSKDDADGLTGNIFSGVYMNSGSHASTLDRLYAWERKLYDEVKASGAICRQYDEKCRQLRHQESKAESQMSIDRTRATVKDLHSRIIVAIQRIDMISKNIEDLRDKELQPQLEELIGSLTRMWSTMLECHKHQREIIKSSSGNTKVLIRSESQFQAALLLQVELNTLYSTFLKWIAYHRSYLHSLNSWLLKCVKPLRGKKSSRRKKEADTPITKFAVAPMFKTCESWIKLLDDLPTKDLEDAIKGLVADINHSVPRQEKRRGTSKLTSSLSRSGSTKTISSFSRNGELNGEMSEIHRNSHTTDLQSSLETFLEGFAEFSDVSLKKYMELAVDINKAKEQYENVKLKNMGK >ONIVA03G16450.1 pep chromosome:AWHD00000000:3:11998650:12004346:-1 gene:ONIVA03G16450 transcript:ONIVA03G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWNKLRSLDAYPKVNEDFYSRTLSGGLITIASSLAILLLFLSEIRLYLYSATDSKLTVDTSRGERLHINFDVTFPALPCSLVAVDTMDVSGEQHYDIRHDIIKKRIDNLGNVIESRKDGVGAPKIERPLQKHGGRLDHNEVYCGSCYGSEESDDQCCNSCEDVRDAYRKKGWALTNIEEIDQCKREGFVQRLKDEQGEGCSIHGFVNVNKISHKINKLSFGVEFPGVVNPLDGVEWIQEHTNGLTGMYQYFVKVVPTIYTDIRGRKINSNQFSVTEHFREAIGYPRPPPGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHRAIKKKMEIGKLG >ONIVA03G16440.1 pep chromosome:AWHD00000000:3:11989670:11990824:1 gene:ONIVA03G16440 transcript:ONIVA03G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRHRVFAEDLLLPREAEGEDHFDRVPDSLVLLIFNRLADARSLGRCSAVSKRFNSLVPLVDDACLRIDRVITDAADADDALGLAGPRPAGRGVLSHLLKAMLLAVLKPFGHCDAGVRGGGGGERAGKHGGGGGGCGAQQHHSPAQVLKNFSSIRNLRMELPVSDVGTDDGVLLRWKAVFGSTLQSCVILGGTRVDRAAAPPAAAATATAAGDSEASQGDDTGSIPESFYTNGGLKLRVVWTISSLIAAATRHYLLREIVKEHPTLERVALTDAHGQGTLSMGRDQIREFRDKPLAAAAAANRTQVPACNMKLRYAPMLELSDGTRIQGATLVVIKPVGEAGGIGGGRKELDEFVADAFDGPYREAVRALSKRRTYLLEMNGF >ONIVA03G16430.1 pep chromosome:AWHD00000000:3:11976201:11979468:1 gene:ONIVA03G16430 transcript:ONIVA03G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKDHIPCLLGGMQLGPLH >ONIVA03G16420.1 pep chromosome:AWHD00000000:3:11971838:11975458:1 gene:ONIVA03G16420 transcript:ONIVA03G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06200) TAIR;Acc:AT3G06200] MLLTRRFSSALARSPLLPRSLPPPRAVPATPPAPRPPPRRLMSSSSGWHHSSRPPPPPPSGADKDQLFRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIQRLQEEREGMHFVVTATSRAKRPGEVDGKDYYFVTKEEFLTMIERKELLEYALVYGEYKGIPKQQIRDYMAKGYDIVLRVDIQGAATLREILGESAIFIFLVAESEEALVKRLIHRKTETSDMLLVRVATAREEVKRMNNFDYVVVNSEGNLEGAVKQVESIIDAEKARVHKRTVNI >ONIVA03G16410.1 pep chromosome:AWHD00000000:3:11963197:11989408:-1 gene:ONIVA03G16410 transcript:ONIVA03G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQQRILYKSKLWMKLAYTLVTKYGIRVRVRLRLPSLLDTLISSAPIDSSPAADSVSSSAAALTSTVPPATTEVPAAPTLPAPLDLAALLANAQLQAGSQLKTRSLVAWSSHNLEYSYRQRERELREDGARNIQSKYTVGRVAILSCAESHTLPRATGTDRRPSGEENKPNQTKPNREEEHDEVGCGRSLFPHDTARLEECGWRYLRAARGRAPKLRRSKITMGTQALTQPESGASTLFKINLDIGISSKAMTIINSFINDIFKKFTKANKTSHQCLMLGLDLGTSSATLTAPGLVQDLLQAVYAGWWAQRLDGRDYNSITFKKEVARGNQAGRVTRRNSDRATCRALVAVWDHSLVMSTGELFGREHYKIVKKMLSNRGIGSLSNNAPDSILWLTFKY >ONIVA03G16400.1 pep chromosome:AWHD00000000:3:11961655:11966707:1 gene:ONIVA03G16400 transcript:ONIVA03G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G27060) TAIR;Acc:AT2G27060] MRLLILCLSIWAASAAAAMAGTDMEALLEFGRGIRQDSSGHRATPWNPTSALDSDGCPLDWHGVQCSNGQILSIAFDGAGLVGNVSLSALASMPMLQNLSLSNNKLVGVLPRDLGSMTSLQLLDLSNNMFSGQIPAELTKLANLGHLNLSSNGFGGALPLGLRNLRKLKYLDLRGNGFTGKLDDIFAELQSPVHVDLSCNRFSGSLISISDNSSVVSTLQYLNVSHNMLSGALFESDPMPLFDSLEVFDASYNMLEGNIPPFNFVISLKVLCLQNNNFSGSIPEALFRQTSMVLTELDLSCNQLTGPIRRVTSMNLKYLNLSSNSLQGTLPITFGSCSVVDLSRNMLSGNLSVIRTWGNYIETVDLTSNRLTGTWPNETTQFLRLTSLRISDNLLAGELPTVIGTYPELISIDLSLNQLHGPLPGNLFTAVKLTYLNLSGNSFAGTLPLPNSEAKSSTFIDLLVLPVQTSNLSFVDLSNNSLNGSLPSGIGALSGLALLNLCQNNFSGQIPREITKLKHLMYIDLSKNNFNGTIPEDLPDDLVEFNVSYNNLSGSVPSNLLKFPDSSFHPGNELLVLPHSASQNGPDSSGGGRRGMKRGILYALIVCVVVFVTGIIVLLLVHWKISSWKSSEKGTSQSKQPATVGECSQRQGEAPTPEMQEVSLESSSSTEYVGNPLPGKERQHEAQDVSVHADQIGSSSTLKDNMASLMPPLTSSPPDVRAQHQHSVLRVHSPDKMVGDLHLFDNLVVFTAEELSRAPAEIIGRSCHGTSYKATLDNGYALTVKWLKEGFAKSKKEFSREIKKLGTVKHPNLVSMRGYYWGPKEHERIIISDYVDSTSLSSFLSEFEERNIPPLSVDQRLNIAIDIAHCLDYLHNERVIPHGNLKSSNVLIQNASPSALVTDYSLHRLMTPIGMAEQVLNAGALGYSPPEFASSSKPCPSLKSDVYAFGVILLELLTGKIAGEIVCVNDGVVDLTDWVRMLAREERVSECYDRRIVEAHGSGDAPKALEDMLRIAIRCIRSASERPEIRTVFEDLSSLSS >ONIVA03G16390.1 pep chromosome:AWHD00000000:3:11944623:11945270:-1 gene:ONIVA03G16390 transcript:ONIVA03G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASCVGVAPAALLSRSFSDAAAIARALHFSLCDSSPLPEPTTHQYVAATDVDLGACGVAVAAPTPAVSSENAVVLKTRASLSPSARCRLGPAGGGRAGKRRPRPSKRAPTTYISTDAATFRIMVQQVTGAQVEPQDDACLGLLMPPPPFDVVDPAALLPADTAACAGAAHVATCVPHPLHAAAAAAAAVAAAEQPCFPTLDSWNVMYGKDEVV >ONIVA03G16380.1 pep chromosome:AWHD00000000:3:11935701:11939737:1 gene:ONIVA03G16380 transcript:ONIVA03G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHFLRLDATHLLSPSPIVSLPHPLPVRTCLVHKDHTPSPSPSIYLLLPNRSPTILLHTHPCTSSSSPSSSSSSFHGAFLLSIPLCHLPPSTHRAPSCYPSPQDTSPSPRPTPIASPLHPAHHPVASLHPLLETPPLSPISSYPITSRPRSDTPPLVTSLYPSHLLHQGHHSPLSSSPQPPRVCTMESNQHKALDPQDSMVHLDLDEDKIMVTSALPCPSMSVGKSVMRKRGRPSRHARGTSLSSVTPEGCKKMEGRSYNLRSDSTILSRNSCLLIADGSTKQKPSWGLDKDDLHIPFFQISDNPREAVDDILMTFGGLHQRIMQLIDVKMASKQLVFQALNLMRKAGYHVNKDKRVGEVPGVKIGDIFYSRIEMLLVGLHSNINGGIEFMSGAFVNKEDKIATCIVSSGMYENGDDDPYTLVYNGQGKVHHKLERGNYSLNQSFIRRNHIRLIRSEPNPLVRLGSKEKIYIYDGLYKIEEKYRQTTKSRSNLKFKLVRELGQPNGIVVWKNTQKWRENPSCRDHVIMPDMSNGAEIARVCVVNNIDSEDAPNNFTYSTKLDNGNHMVSANKMCVCKCTSSCLGEDNCSCLKTNGSYLPYNSSGILVCRKTMIYECNDSCACTINCSNRVVQRGSYLHFEVFKMMDRGWGLRSWDPIPAGAFVCEYVGVVIDKDSLVEEDEYIFEPVMYDHGDEGYPHIAFFAMKNIPPMTELTYDYGQSNGSGCRRPKICICQSHMCKGTFG >ONIVA03G16380.2 pep chromosome:AWHD00000000:3:11935886:11936286:1 gene:ONIVA03G16380 transcript:ONIVA03G16380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLIPFLVIFVVPRTHLHPHALPLLPLPFTLHTTLLLPYIRYLRLLPYHLSLPTPSHPVLDQIHLLLSLPSIHPISFTKATTPPYHHLLNHPVCAQWKVTNTKH >ONIVA03G16370.1 pep chromosome:AWHD00000000:3:11917319:11925606:-1 gene:ONIVA03G16370 transcript:ONIVA03G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARCPLSPQSGIDEVAPRPSKRMGVRRGCLDSSLFHMLVLVCALSQVLFVGLVTGQTAQLSVDASSQNGRTIPDKMFGIFFEELNHAGAGGLWAELVSNRGFEAGGINTPSNIDPWLIIGDESNIIVETDRSSCFASNPIALRMEVLCGATGTNACPSGGVGIYNPGYWGMNIEKTKVYKVSMYIRSSDSVDLAVSLTSSDGLQNLATHTITAEKGDFAGWTKVEFDLQSSERNTSSRLQLTTTKNGIIWFDQVSVMPSDTYMGHGFRKDLATMLANLKPRFLKFPGGNYVMGNYLLNAFRWSETIGPWEERPGHFNDVWNYWTDDGLGFFEFLQLAEDLDACPVWVINDGASLNEQIPSATIAAFVKDVIDGIEFARGDPKTTWGSVRAAMGHPEPFPLYYISVGNQECSKPYYKEKYVKFYSAIKASYPDIKIISSCDISSISAVNPADLYDVHVYTSSGDMFSKTRMFDSTPRSGPKAFVSEYAVTGNDAGRGTLVAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRGFSPDAIVFNSWQHYGCPNYWMLHFFKDSSGATLHPLTIQVSNYDQLAASALTWQNSNDGNTYLKIKVVNFGNKAVNLNIAVAGLENGIQEFGSIKTVLTSGWLRDENSFQQPDKVVPAASPITNAGEQMGVIVDPYSLTSFDLLLDTNTDKYPLLESSFHSSM >ONIVA03G16370.2 pep chromosome:AWHD00000000:3:11917319:11925606:-1 gene:ONIVA03G16370 transcript:ONIVA03G16370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARCPLSPQSGIDEVAPRPSKRMGVRRGCLDSSLFHMLVLVCALSQVLFVGLVTGQTAQLSVDASSQNGRTIPDKMFGIFFEELNHAGAGGLWAELVSNRVQVTGFEAGGINTPSNIDPWLIIGDESNIIVETDRSSCFASNPIALRMEVLCGATGTNACPSGGVGIYNPGYWGMNIEKTKVYKVSMYIRSSDSVDLAVSLTSSDGLQNLATHTITAEKGDFAGWTKVEFDLQSSERNTSSRLQLTTTKNGIIWFDQVSVMPSDTYMGHGFRKDLATMLANLKPRFLKFPGGNYVMGNYLLNAFRWSETIGPWEERPGHFNDVWNYWTDDGLGFFEFLQLAEDLDACPVWVINDGASLNEQIPSATIAAFVKDVIDGIEFARGDPKTTWGSVRAAMGHPEPFPLYYISVGNQECSKPYYKEKYVKFYSAIKASYPDIKIISSCDISSISAVNPADLYDVHVYTSSGDMFSKTRMFDSTPRSGPKAFVSEYAVTGNDAGRGTLVAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRGFSPDAIVFNSWQHYGCPNYWMLHFFKDSSGATLHPLTIQVSNYDQLAASALTWQNSNDGNTYLKIKVVNFGNKAVNLNIAVAGLENGIQEFGSIKTVLTSGWLRDENSFQQPDKVVPAASPITNAGEQMGVIVDPYSLTSFDLLLDTNTDKYPLLESSFHSSM >ONIVA03G16360.1 pep chromosome:AWHD00000000:3:11914067:11916287:-1 gene:ONIVA03G16360 transcript:ONIVA03G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:small and basic intrinsic protein 2;1 [Source:Projected from Arabidopsis thaliana (AT3G56950) TAIR;Acc:AT3G56950] MSPAPPPSRGRIRPWLVVGDLVVAAMWVCAGALVKLAVYGVLGLGGRPEADAVKVALSLVYMFFFAWLEGFTGGASYNPLAVLAGALASRAGPSLYLFAAFVFGSILGVKLIRAALPKVGKGAPLSVGVHHGALAEGLATFMVVIVSVTLKKKEMKGFFMKTWISSIWKMTFHLLSSDITGGVMNPASAFAWAYARGDHTTFDHLLVYWLAPLQATLLGVWVVTLLTKPKKIEEEADESKTKKE >ONIVA03G16350.1 pep chromosome:AWHD00000000:3:11908802:11909226:1 gene:ONIVA03G16350 transcript:ONIVA03G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVDQKVPLPASISGLQSSARTDLRPATSRYRRIKCSDFTSRSQKLAKQHHGTRICPTIWADCNMLTSSTAGQNCNGQLDKTAATFSEAMYENPIMAFNYTINMERPSILRYVTLIN >ONIVA03G16340.1 pep chromosome:AWHD00000000:3:11894329:11898096:-1 gene:ONIVA03G16340 transcript:ONIVA03G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GLN2] MYRAKRAALSPKVKRRVGKYELGRTIGEGTFAKVRFAKNTENDEPVAIKILDKEKVQKHRLVEQIRREICTMKLVKHPNVVRLFEVMGSKARIFIVLEYVTGGELFEIIATNGRLKEEEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDASGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDRGYDGAAADIWSCGVILYVLLAGFLPFEDDNIIALYKKISEAQFTCPSWFSTGAKKLITRILDPNPTTRITISQILEDPWFKKGYKPPVFDEKYETSFDDVDAAFGDSEDRHVKEETEDQPTSMNAFELISLNQALNLDNLFEAKKEYKRETRFTSQCPPKEIITKIEEAAKPLGFDIQKKNYKMRMENLKAGRKGNLNVATEVFQVAPSLHVVELKKAKGDTLEFQKVPFFDTGKFAISNLLFTAKFNQNQFCCETTVLQNPVDPAQGRGLEVRRRGRRQRRRGVNVVFAMAFGAPVLRVHSCSAIINGVFAVE >ONIVA03G16330.1 pep chromosome:AWHD00000000:3:11890245:11892875:1 gene:ONIVA03G16330 transcript:ONIVA03G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >ONIVA03G16320.1 pep chromosome:AWHD00000000:3:11885636:11887395:1 gene:ONIVA03G16320 transcript:ONIVA03G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPESAHRHGASSSSSGAPRRRSVGCMAGLLRLLSPYHRSHHRKRLTAKNAAPPVVCTPPSPPPPFRQKVPVATYSPSSQGQPPQQQMHPAPTPVRRRRSCDAPRSPTIAPEHRRASCDSPRPTPPAIVARLMGLEESAPPSPAATTPRPLPTRPPPPPPETAAEKRRKLLGALEKCDEDLKTLRRIIAAVRAAEMRAAAASDAPPTPEATGKESDNRWKDDGSRDVDPSPSPTPQKPRSEEHYPSPDSVLDAITSPRFPCRKRSSPCTDLDADRKLSCGTPAVGSKIVKPSRTLVFSGDYCKIKPCNELHAVAMYHHPVVAIEAIPRWIPPPPPPSSEISWRHRRRWGLEAAAASGRSRAMAESVGEVWGHGADEERHEAGRVGAALERAILHDLVGDVVAEMLAQSAAPTPHPFVHGAGAAMCRKRLVF >ONIVA03G16310.1 pep chromosome:AWHD00000000:3:11875547:11880381:1 gene:ONIVA03G16310 transcript:ONIVA03G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGREEDPAAAAGEGDVEDSSVRSSERGFPPYGGGGNHVRRACSVGVVGGGGGAGSPPGSPGRSLSPRMFVPQTPVPPLQRAADVTPVFNRILMNEQEEEFDGPPQKEIPVLIVWTLGGKNVSVEGSWDNWKSRKPMQKSGKDHSLLLILPSGVYRYRFVVDGERKCLPDLPCETDIMGNAVNLLDVHDFVPESVESVAEFEPPPSPDSSYSIQAPEEKDFSKEPPVLPSQLHLGVLNSQNSDESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFESKYVTVVLYKPIER >ONIVA03G16300.1 pep chromosome:AWHD00000000:3:11865875:11866405:1 gene:ONIVA03G16300 transcript:ONIVA03G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSMDRLSVHHNGGGISKPCHGGGKGGGGGGKGSRGGGGKGIKVVYISSPMKLTASAEEFRAVVQELTGRDSNVADHDLDHHHHHHQQQRYHSSSSSFGRASMMMPAAAAGGVVPRSCMPPTMATANAAGAGRMMMATADATGGGAAGVMATAAPKPFQSVYDHGSLLYGQDYW >ONIVA03G16290.1 pep chromosome:AWHD00000000:3:11845196:11850187:-1 gene:ONIVA03G16290 transcript:ONIVA03G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVLPQGKPIYNSLLPRLSSVPLLTKPHCSVGPWTHLSATRPFSPTPPSFNPLTRAHAPSPVVAFLASPLSLPSDQPDLCASPHFSLSLFALPSRPRLHRRSRPRRRPPAAGLLRIRTRRGLSPRSPRRGGDSGISVIIGHLKVACIPVTKPGMADASSRTDTSTVLDTDDKNQMVDGQSGAIVPSNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQEINKARQQGIYISSSGDQTHAMSGNGAMTFDLEYARWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLLGLSNLQQSSQQAEDALSQGMEALQQSLADTLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >ONIVA03G16290.2 pep chromosome:AWHD00000000:3:11845196:11849030:-1 gene:ONIVA03G16290 transcript:ONIVA03G16290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSTVLDTDDKNQMVDGQSGAIVPSNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQEINKARQQGIYISSSGDQTHAMSGNGAMTFDLEYARWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLLGLSNLQQSSQQAEDALSQGMEALQQSLADTLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >ONIVA03G16280.1 pep chromosome:AWHD00000000:3:11837520:11844382:-1 gene:ONIVA03G16280 transcript:ONIVA03G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase 4 [Source:Projected from Arabidopsis thaliana (AT1G09420) TAIR;Acc:AT1G09420] MAGLAVSASPAPAPASFRPAAAASRFGPCAQVAGPAANFRTRVCGLRCLIAAKLKFRKTLKRHGWQLRRNLEVRANDKVPDWLEATPLTENIMSRDVQLAYDSGGETSNTCPDTLDSSVIEQSSMHHKLNPSETHPPVLKEDPVLFDDPPESAAPLCIAVIGATGELAKNKVFPALFALYYSGFLPQNVGIFGYSRKTLTDEDLRSMIEANLTCRVDHHENCDEKLNEFLKRTYYIDAGYDNKDGMVKLNSKMSQIEGNCAANRIFYLAVPQEALLDVALSLADSAQTMQGWNRIIIEKPFGFTGLSSHRVTQSLLSRFEEEQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETAAEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRQVNLEDVVLGQLKDTSVKVDRYTKSLTPTYFAAAMYIDNSRWDGVPFLIKTGIGLMENRAEIRIQFRHVPGNIYRERFGHDIDLDTNELILRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDRYNVELPDSYEHLLLDVLDGDSHLFMRSDEVAAAWNVLTPLIHEIDQNRIAPELYEAGGRGPVNAYYLAAKHGIVKIVSPDCTTRGSGGGEMHVTPKSCSNPNYSAITNYGQSNARLKFVALSRDEGQLAILQIIEYVHVLLLEIAYGGHLSAFNNGKAEDTAARVLDLEHFSRGRSIVAPSKCSTSFGTSGFARSGADKQK >ONIVA03G16280.2 pep chromosome:AWHD00000000:3:11837948:11844382:-1 gene:ONIVA03G16280 transcript:ONIVA03G16280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase 4 [Source:Projected from Arabidopsis thaliana (AT1G09420) TAIR;Acc:AT1G09420] MAGLAVSASPAPAPASFRPAAAASRFGPCAQVAGPAANFRTRVCGLRCLIAAKLKFRKTLKRHGWQLRRNLEVRANDKVPDWLEATPLTENIMSRDVQLAYDSGGETSNTCPDTLDSSVIEQSSMHHKLNPSETHPPVLKEDPVLFDDPPESAAPLCIAVIGATGELAKNKVFPALFALYYSGFLPQNVGIFGYSRKTLTDEDLRSMIEANLTCRVDHHENCDEKLNEFLKRTYYIDAGYDNKDGMVKLNSKMSQIEGNCAANRIFYLAVPQEALLDVALSLADSAQTMQGWNRIIIEKPFGFTGLSSHRVTQSLLSRFEEEQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETAAEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRQVNLEDVVLGQLKDTSVKVDRYTKSLTPTYFAAAMYIDNSRWDGVPFLIKTGIGLMENRAEIRIQFRHVPGNIYRERFGHDIDLDTNELILRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDRYNVELPDSYEHLLLDVLDGDSHLFMRSDEVAAAWNVLTPLIHEIDQNRIAPELYEAGGRGPVNAYYLAAKHGIVKIVSPDCTTRGSGGGEMHVTPKFTWQGI >ONIVA03G16270.1 pep chromosome:AWHD00000000:3:11829379:11837426:-1 gene:ONIVA03G16270 transcript:ONIVA03G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPESMIVPPVPFFRVNSDSGTGSLVDPTVMPFSERSIVAPSKCSTSFGTSGFACDGAEKQV >ONIVA03G16260.1 pep chromosome:AWHD00000000:3:11828603:11829285:-1 gene:ONIVA03G16260 transcript:ONIVA03G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPESMIVPPVPFVSANADSGTGSLVDPTVMPFSERCGLDGAAAILVEIGQQWEKLPTLSCDGRDSGPRPNPAMPVSLDLKTPLLKRPQPNATPGTDAPAPNVNLSTSSKPVVTDLSLPWQSVESQEVSKSVEDVDRSK >ONIVA03G16250.1 pep chromosome:AWHD00000000:3:11817406:11818638:1 gene:ONIVA03G16250 transcript:ONIVA03G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITHVDAGCGLAGRELMQRMALRSRARAARLLSGSASAPVSPGAYDNGVPTTEYLVHLAIGTPPQPVQLTLDTGSDLIWTQCKPCVSCFDQPLPYFDTSRSSTNALLPCESTQCKLDPTVTVCVKLNQTVQTCAYYTSYGDNSVTIGLLEADKFTFVAGTSLPGVTFGCGLNNTGVFNSNETGIAGFGRGPLSLPSQLKVGNFSHCFTTITGAIPSTVLLDLPADLFSNGQGAVQTTPLIQYAKNEANPTLYYLSLKGITVGSTRLPVPESAFALTNGTGGTIIDSGTSITSLPPQVYQVVRDEFAAQIKLPVVPGNATGHYTCFSAPSQAKPDVPKLVLHFEGATMDLPRENYVFEVPDDAGNSIICLAINKGDETTIIGNFQQQNMHVLYDLQNNMLSFVAAQCDKL >ONIVA03G16240.1 pep chromosome:AWHD00000000:3:11789871:11791193:1 gene:ONIVA03G16240 transcript:ONIVA03G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQPIKMQKLVLLIPALLAALAINSCCNAAAAPVRMQLTHVDAGRGLSGRELMRRMALRSKARAPRLLSSSATAPVSPGAYDDGVPMTEYLLHLAIGTPPQPVQLTLDTGSDLVWTQCQPCAVCFNQSLPYYDASRSSTFALPSCDSTQCKLDPSVTMCVNQTVQTCAFSYSYGDKSATIGFLDVETVSFVAGASVPGVVFGCGLNNTGIFRSNETGIAGFGRGPLSLPSQLKVGNFSHCFTAVSGRKPSTVLFDLPADLYKNGRGTVQTTPLIKNPAHPTFYYLSLKGITVGSTRLPVPESAFALKNGTGGTIIDSGTAFTSLPPRVYRLVHDEFAAHVKLPVVPSNETGPLLCFSAPPLGKAPHVPKLVLHFEGATLHLPRENYVFEAKDGGNCSICLAIIEGEMTIIGNFQQQNMHVLYDLKNSKLSFVRAKCDKL >ONIVA03G16230.1 pep chromosome:AWHD00000000:3:11786623:11786805:-1 gene:ONIVA03G16230 transcript:ONIVA03G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGTESVEGAKAAAVVPCRLVAAMARCPAVTAAVSPRSVPLGQIWRVAGGSWQRRRR >ONIVA03G16220.1 pep chromosome:AWHD00000000:3:11785135:11786537:-1 gene:ONIVA03G16220 transcript:ONIVA03G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRLLATCWWRATAQWRFAEAVISVGCSGDGSHDCGSGGDVGGGEGGVAVMSHPSRVFAGRKPSLGSFESRRAAVAWRLVTLSGSRFGVSLLLGLCVGDVGVWVVNGTSKDGQMDHLALPDINQADTADRHAMLCPATRFTYSPSMAQ >ONIVA03G16210.1 pep chromosome:AWHD00000000:3:11783380:11784726:1 gene:ONIVA03G16210 transcript:ONIVA03G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLEKMLLLLSLLAVIAVVARSDAAALRLHATHADAGRGLSTRELLHRMAARSKARSARLLSGRAASARVDPGSYTDGVPDTEYLVHMAIGTPPQPVQLILDTGSDLTWTQCAPCVSCFRQSLPRFNPSRSMTFSVLPCDLRICRDLTWSSCGEQSWGNGICVYAYAYADHSITTGHLDSDTFSFASADHAIGGASVPDLTFGCGLFNNGIFVSNETGIAGFSRGALSMPAQLKVDNFSYCFTAITGSEPSPVFLGVPPNLYSDAAGGGHGVVQSTALIRYHSSQLKAYYISLKGVTVGTTRLPIPESVFALKEDGTGGTIVDSGTGMTMLPEAVYNLVCDAFVAQTKLTVHNSTSSLSQLCFSVPPGAKPDVPALVLHFEGATLDLPRENYMFEIEEAGGIRLTCLAINAGEDLSVIGNFQQQNMHVLYDLANDMLSFVPARCNKI >ONIVA03G16200.1 pep chromosome:AWHD00000000:3:11773710:11778650:1 gene:ONIVA03G16200 transcript:ONIVA03G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPVRFLPSSAAPAVVAANARIAHLARAGNIEGARAAFEAMPLRTTASYNALLAGYFRNRLPDAALGLFRRMPSRDLASYNALISGLSLRRQTLPDAAAALASIPFPPSVVSFTSLLRGYVRHGLLADAIRLFQQMPERNHVSYTVLLGGLLDAGRVNEARRLFDEMPDRDVVAWTAMLSGYCQAGRITEARALFDEMPKRNVVSWTAMISGYAQNGEVNLARKLFEVMPERNEVSWTAMLVGYIQAGHVEDAAELFNAMPEHPVAACNAMMVGFGQRGMVDAAKTVFEKMRERDDGTWSAMIKAYEQNEFLMEALSTFREMLWRGVRPNYPSVISILTVCAALAVLDYGREVHAAMLRCSFDMDVFAVSALITMYIKCGNLDKAKRVFHTFEPKDIVMWNSMITGYAQHGLGEQALGIFHDMRLAGMSPDGITYIGALTACSYTGKVKEGREIFNSMTVNSSIRPGAEHYSCMVDLLGRSGLVEEAFDLIKNMPVEPDAVIWGALMGACRMHRNAEIAEVAAKKLLELELGNAGPYVLLSHIYTSVGRWEDASKMRKFISSRNLNKSPGCSWIEYDKRVHLFTSGDVLAHPEHAAILRILEKLDGLLMESGYSADGSFVLHDIDEEQKSHSLRYHSERQAVAYGLLKIPEGMPIRVMKNLRVCGDCHSAIKLIAKITSREIVLRDANRFHHFKDGFCSCRDYW >ONIVA03G16190.1 pep chromosome:AWHD00000000:3:11763747:11769295:1 gene:ONIVA03G16190 transcript:ONIVA03G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSAAAAAAERQLAMPGFGTSSSMGSASHPARIEYNTSSNVSSQYIYEQGLYYPATNGYAYYAGFEPPVEWSDHTNFVGVDGQNLQLSNENLPYVYCTPGYGFSYYSPDQYTYMPGMVMGVDGSFVGSQQYFASPYQLPGSPSGFLPMSIQPTTDFSSTVSAEPPLLSTGTGTSAVASRLANTSMKNKYQMSGNTAPASQTAPSGSPAVGRPQQAYENESTNKPSNPPDANMSRRDKSSTSLVTVPVDASSIDKDGKSDEGNQSKEHVQSIQVTSGPMSGESGQGKATSNSTLEKIMIHPDQYNKVHFPVDHPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKRLDAAYSDVNASGQFCGVAEMVGPVDFHKDMDFWQQDKWSGSFPVKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPFKSGTNMLKLFKDGPLTTSILDDFSFYEGRQKAMLEEKCRRSGRNFDVRMYVPAFIAKSSVVAVGEPSEVGKGQFSSKDLHSGDVEQDNGACEQPDKLNQMKDILATEALKTDGGAFVGQLEHAKTNQGSLDARVDHQSEHCSCSNPPENGERKPDSLSELVKLNGKSQRDSEAQPGINLSEPNYSSVKKGLPEEFCGQNPSNFMKEGGAGTVEDRKSTKFVTKSQGFPSSRVNKEAKGNGNEIARITTTSVVKVGSVHIKVNVAGEPSSEIIGDENGLP >ONIVA03G16190.2 pep chromosome:AWHD00000000:3:11764298:11769295:1 gene:ONIVA03G16190 transcript:ONIVA03G16190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPICSEMPGFGTSSSMGSASHPARIEYNTSSNVSSQYIYEQGLYYPATNGYAYYAGFEPPVEWSDHTNFVGVDGQNLQLSNENLPYVYCTPGYGFSYYSPDQYTYMPGMVMGVDGSFVGSQQYFASPYQLPGSPSGFLPMSIQPTTDFSSTVSAEPPLLSTGTGTSAVASRLANTSMKNKYQMSGNTAPASQTAPSGSPAVGRPQQAYENESTNKPSNPPDANMSRRDKSSTSLVTVPVDASSIDKDGKSDEGNQSKEHVQSIQVTSGPMSGESGQGKATSNSTLEKIMIHPDQYNKVHFPVDHPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKRLDAAYSDVNASGQFCGVAEMVGPVDFHKDMDFWQQDKWSGSFPVKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPFKSGTNMLKLFKDGPLTTSILDDFSFYEGRQKAMLEEKCRRSGRNFDVRMYVPAFIAKSSVVAVGEPSEVGKGQFSSKDLHSGDVEQDNGACEQPDKLNQMKDILATEALKTDGGAFVGQLEHAKTNQGSLDARVDHQSEHCSCSNPPENGERKPDSLSELVKLNGKSQRDSEAQPGINLSEPNYSSVKKGLPEEFCGQNPSNFMKEGGAGTVEDRKSTKFVTKSQGFPSSRVNKEAKGNGNEIARITTTSVVKVGSVHIKVNVAGEPSSEIIGDENGLP >ONIVA03G16180.1 pep chromosome:AWHD00000000:3:11757010:11760800:1 gene:ONIVA03G16180 transcript:ONIVA03G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 9 [Source:Projected from Arabidopsis thaliana (AT5G02270) TAIR;Acc:AT5G02270] MAPTVEISHLSFTYPGIDGRPPPGAPPLIEDVCFSLDAGHRCLLLGSNGAGNSTTLSGPSVLSFLSPVRVLWSRGLILGGCWGVGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALTSSGDLCYLGGEVGAGVLRSYISMFWRWRRDVAFAGYQLISLGEAWVNVTVVLQRIHILDIDLAWRMHKASDGQRRRVQICMGLLKPFKVCFILLVMEKMCHVCNLYPNNKVLLLDEITVDLDVLARANLLTYLKKECEERGATIIYATHIFDGLDDWPTHIVYIARGKLQLALPLEKVKEMSQLSLMRTVESWLRKERDEDRRRRKERKEKGLPEFDKVTEGSRVIGDPAARAVNNGWAAGRLASTVAGCKYHIVWWTFAVFACNMANKITRMSQQVTCKSYIDEDL >ONIVA03G16170.1 pep chromosome:AWHD00000000:3:11729345:11731188:1 gene:ONIVA03G16170 transcript:ONIVA03G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GLL2] MAPPQLAGKMTAKAAAAVKPATRAYVTFLAGDGDYWKGVVGLAKGLRKVGSAYPLVVAVLPDVPESHRRILISQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDELFELPKGPFYAVMDCFCEKTWSHTPQYQIGYCQQCPDKVAWPTAELGPPPALYFNAGMFVHEPSMATAKSLLDTLRVTTPTPFAEQDFLNMFFREQYKPIPLIYNLVLAMLWRHPENVQLEKVKVVHYCAAGSKPWRYTGKEANMDREDIKMLVKKWWDVYNDGSLDFKGLPPIAAADDADEVEAAAKKPLRAALAEARTVKYVTAPSAA >ONIVA03G16160.1 pep chromosome:AWHD00000000:3:11722499:11722750:-1 gene:ONIVA03G16160 transcript:ONIVA03G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERSIDVRGRSEIWMGSRKFFLDLYRNAGREVNSDILFVVPDHDCDFVYCRACKEKSISGLAEAEGTTA >ONIVA03G16150.1 pep chromosome:AWHD00000000:3:11719017:11719223:-1 gene:ONIVA03G16150 transcript:ONIVA03G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEDSGGADGPRGGGELGVQEGQEDPKDDSGSRGGKGLGIHEASGSGSCQLMFGRLVLAEHRRMKVG >ONIVA03G16140.1 pep chromosome:AWHD00000000:3:11713865:11717814:-1 gene:ONIVA03G16140 transcript:ONIVA03G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein S1 [Source:Projected from Arabidopsis thaliana (AT5G30510) TAIR;Acc:AT5G30510] MASLAQHEERERERMCQLFDEASERCRTAPMEGVSFSPEDLDSAVESTDIDTDIGSLIKGTVFMTTSNGAYVDIQSKSTAFLPLDEACLLDVNHIEEAGIRAGLVEEFMIIDENPGDETLILSLQAIQQDLAWERCRQLQAEDVVVTGKVIGGNKGGVVALVEGLKGFVPFSQVSSKSTAEELLDKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDTPSEGSGEGQTTDE >ONIVA03G16140.2 pep chromosome:AWHD00000000:3:11713865:11717814:-1 gene:ONIVA03G16140 transcript:ONIVA03G16140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein S1 [Source:Projected from Arabidopsis thaliana (AT5G30510) TAIR;Acc:AT5G30510] MASLAQHVAGLASPPLSGAPRRRPAAPTRPSALVCGTYALTKEERERERMCQLFDEASERCRTAPMEGVSFSPEDLDSAVESTDIDTDIGSLIKGTVFMTTSNGAYVDIQSKSTAFLPLDEACLLDVNHIEEAGIRAGLVEEFMIIDENPGDETLILSLQAIQQDLAWERCRQLQAEDVVVTGKVIGGNKGGVVALVEGLKGFVPFSQVSSKSTAEELLDKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDTPSEGSGEGQTTDE >ONIVA03G16130.1 pep chromosome:AWHD00000000:3:11706704:11707039:1 gene:ONIVA03G16130 transcript:ONIVA03G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRKRDSHPCDVAEAINARPSPTVATPVPHRRRQRPSPPRPSPAAAIPIPCRRHHTRTHRRRRRPSPPPPRPSPAAAVAVAVLIYRGWLQVAGRASGCSSPNGMHSYIY >ONIVA03G16110.1 pep chromosome:AWHD00000000:3:11674404:11675101:1 gene:ONIVA03G16110 transcript:ONIVA03G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGLVLLGTPILAWLSLCLYAGPCTAVRDAPMHLHIHVHYYFDFFVNSAASCSVLMLEGEGHVALIWTYGIYDLVYTVAFQDYFLRVCDMVNANLATSCAKCSASCAASAGGLHEPHSNLSSDASRDTLLRRGHRCVTRCSTAASPSSSCGTMDLPSAQDALRASAGAAAPGAAT >ONIVA03G16100.1 pep chromosome:AWHD00000000:3:11645783:11651875:-1 gene:ONIVA03G16100 transcript:ONIVA03G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISHLRRGAPRHARALLYLSTRRFSSSAAGVAPLAAVAASARRLLSTSVDSGASSTGESYKPPLFDPFRAASLASSAPPLESPPIEELPDDATPPPEEEPGLPAPEKDPVATACQHELEGLKAWVETVRSRKESTEEKEAWSLLGRSVVSYCGTAVGTVAANDPSTANQMLNYDQVFIRDFVPSAIAFLLKGEGDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSIPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQSLFYSALRCAREMVSVNDGSNSLIRAINYRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIISSLATQRQAEGILNLIEAKWEDIIANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLAQRAIEVAEKRLSEDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLDCPELASILICEEDLELLEGCACSVNKSARTKCSRRAARSQDQLNTVVGEQKSIQQNLEDVKKKKLKYDAKDMDH >ONIVA03G16090.1 pep chromosome:AWHD00000000:3:11635512:11637351:1 gene:ONIVA03G16090 transcript:ONIVA03G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 1 [Source:Projected from Arabidopsis thaliana (AT4G01940) TAIR;Acc:AT4G01940] MEASLTVAGAGAAALSLRPQIRLRITQATPLMPPRRLQSGPSKIQTSGARAHLAAAPASTPPAAGGGLYSAETYELTAENVDRVLDDVRPYLIADGGDVTVASVEDGVISLKLEGACGSCPSSTTTMKMGIERVLKEKFGDAVKDIRQVFDDDQQPAETTPQAVNGHLDILRPAIANYGGSVEVVAVDGEDCLVRYEGPESIGSGIKAAIKEKFPDITNVVFLQ >ONIVA03G16080.1 pep chromosome:AWHD00000000:3:11634439:11634809:1 gene:ONIVA03G16080 transcript:ONIVA03G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCPSQFMLDTELWLLLSCRHVFYAACVDRWLRTTLIMLAMPLSCFHICRSPSSSPLEPRSTLGASESRWAMSATAARPQPSCGNNLPIYSLDSFEYHIDEEVEAIVSCAMPMSTKTAVL >ONIVA03G16070.1 pep chromosome:AWHD00000000:3:11630529:11633805:1 gene:ONIVA03G16070 transcript:ONIVA03G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIARRIMGESRGSIAFFASYRPPVALDIFCCPAPPSSPKDELHLTDGDSYNYNCQPIPPAALKTVVERLGVSRGDAIEGDIDSGRITGLVFVSEREHNLETLHIALRFNDDGEVQVFSLADAYGGDGLFSGARMEDSGCIAGGYEVDGRTVDHYLVYVSTKEPVRERRSPWNVAYKTNLRTGETERLTPPGTFDISPSVSPSGKKVAVASYQGKKWDGEIKNLNTNIYVMSLENPSQDRERVIENGGWPSWGSEDVIFFHRKDGDGENSCWGVFRHTLSTGETVRVTPAAFDAVTPAAIDETRVAVAAIRQKSEFSDVRVEAQYRHIEVFDMRSPEQPMQITRNTRPKADHFNPFVMDGGKFIGYHRCKSELLQHGDDLPRKFHKLQSPHEDVGVFRVSGVFPTFSKDGSQLAFVDNEFKSVWLADSQGMRVVFKTDGPDSVFSPLWNSKKDILYVCMGPSFKASETLEIHSIHNVSTGDRKSRQLTFGGFNNAFPSTNPDGTKFVFRSTRDGGAKYSKNLYIMEDADAGEGEDGSQSTVTRMTAGEWTDTHCQWSPNGKWIVFSSNRDRPADAPERDHGLDPGYFAVYLMDVASRSVVRVIRSGYDVAGHVNHPVFSPDGRSIAVTSDLAAVTADPMSLPLFLHSVRPYGDIFTVDIDPDDMARNEDVEGFVRVTHSRYENSTPAWTVFSTHDPHAQWNLLVVEDEHVPSCPYAHPDGGESWHMTGQICIPKRHC >ONIVA03G16060.1 pep chromosome:AWHD00000000:3:11612395:11625955:1 gene:ONIVA03G16060 transcript:ONIVA03G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTLLDAHLARCSSARHLLQIHAQFVASGLLADAFAASRLILFTTSTRLLPLPFHHSLRLLHVVHRPNAFSCNMVLKAAREHGLPHLCLPLYASMSAAPDCYTHTILAAACATRRAIEEGRQVHCHAVRHGFGRNLYLANALMSVRRGSCLGRRFLEHDPGRAEDVDQAVGVFARMPERGAAAVSSMVSLFGRRGMVDEARKVFDGVERKDVFTWTAMISCFQRNGKFAEALALFSDMRGEGWPVDEAVMVCVVAACARLEVTRNGEMCHGLAFRAGLGSRLNVQNALIHMYSSFLNVVAARRLFDSGQCLDQFSWNSMIAGYLKNGSVKDAKELFTVMPDKDNVSWTTMISGCVQNDQSSEALTIFNNMQAQGIKPDEVTLVSVISACTNMSSLEQGKSMHEYIREHQYTITVILGTSLIDMYMKCGCLESALEVFDTMEERGTPCWNAVIVGLAMNGLVMKSLDMFSEMESSSTATPNEITFTGVLSACRHAGLVEEGQHFFKLMQHKYHIIPNIRHYGCMVDLLGRAGYVKEAENLIESMPMSPDVPAWGALLGSCWKHGDNEVGERVGRKLVNLDPHHDGFHTMLSNIYASEGMWQHVKDLRGSMKQWHVPKIPGSLVKGGGKNKEARMACYL >ONIVA03G16060.2 pep chromosome:AWHD00000000:3:11612395:11625955:1 gene:ONIVA03G16060 transcript:ONIVA03G16060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTLLDAHLARCSSARHLLQIHAQFVASGLLADAFAASRLILFTTSTRLLPLPFHHSLRLLHVVHRPNAFSCNMVLKAAREHGLPHLCLPLYASMSAAPDCYTHTILAAACATRRAIEEGRQVHCHAVRHGFGRNLYLANALMSMYSACGCLGDARKVFDAGPVWDAVSWNTILAAYVQAEDVDQAVGVFARMPERGAAAVSSMVSLFGRRGMVDEARKVFDGVERKDVFTWTAMISCFQRNGKFAEALALFSDMRGEGWPVDEAVMVCVVAACARLEVTRNGEMCHGLAFRAGLGSRLNVQNALIHMYSSFLNVVAARRLFDSGQCLDQFSWNSMIAGYLKNGSVKDAKELFTVMPDKDNVSWTTMISGCVQNDQSSEALTIFNNMQAQGIKPDEVTLVSVISACTNMSSLEQGKSMHEYIREHQYTITVILGTSLIDMYMKCGCLESALEVFDTMEERGTPCWNAVIVGLAMNGLVMKSLDMFSEMESSSTATPNEITFTGVLSACRHAGLVEEGQHFFKLMQHKYHIIPNIRHYGCMVDLLGRAGYVKEAENLIESMPMSPDVPAWGALLGSCWKHGDNEVGERVGRKLVNLDPHHDGFHTMLSNIYASEGMWQHVKDLRGSMKQWHVPKIPGSLVKGGGKNKEARMACYL >ONIVA03G16050.1 pep chromosome:AWHD00000000:3:11602608:11607322:-1 gene:ONIVA03G16050 transcript:ONIVA03G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G06550) TAIR;Acc:AT3G06550] MAEAIASAGGIAMAASTSLTPGQVSALLGFLWVFTAWAYAEVLYYRKNAASIKAHSDVNLAVMDSSSNKGEDQVMLLEEGVQAPVQKPVYASLTSQMFRSEFGGHLLYFYICDRTNLLGESAKNYSRDMFLFLYFLLIIVAAMTSFKVHQDKSSFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYTLYYICPMHTLFTLMVYGALGILNKYNEIGSVMAIKFVACFLVVILIWEIPGVFEIVWSPFTFLLGYTDPSKPDLPRLHEWHFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEEAETKTKLYIKALIVSIALTAGCLWYEYIYKLDKITYNKYHPYTSWIPITSKVPNGQPKWLLTIIPNYPMLNFMLTTAIYVAVSHRLFELTNTLKIAFVPSRDNKRLSYNFVAGIAISVALYSLSFLIVGVAGY >ONIVA03G16040.1 pep chromosome:AWHD00000000:3:11598052:11603470:1 gene:ONIVA03G16040 transcript:ONIVA03G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPSREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTPLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSGMSNKTSCRHMVCQLNYIVRNNNTRTILLASIAHCRKLTSFLS >ONIVA03G16040.2 pep chromosome:AWHD00000000:3:11597879:11603470:1 gene:ONIVA03G16040 transcript:ONIVA03G16040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSVSAKLGRAATTNGSAAAAAANPSINRWTGRPYSARYLEILEKRRTLPVWQQKDDFLAVLRDNQTLILVGETGSGKTTQIPQFVLEAEGLSNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPSREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTPLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPQWCYENFINARALKSADNVRQQLVRIMTRFNLKMCSTDFNSREYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTTRNFIRTVTDIRGDWLIDVAPHYYDLSNFPSCEAKRVLERLYNKRERERAANRN >ONIVA03G16040.3 pep chromosome:AWHD00000000:3:11597884:11603470:1 gene:ONIVA03G16040 transcript:ONIVA03G16040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPSREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTPLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSGMSNKTSCRHMVCQLNYIVRNNNTRTILLASIAHCRKLTSFLS >ONIVA03G16040.4 pep chromosome:AWHD00000000:3:11597879:11600213:1 gene:ONIVA03G16040 transcript:ONIVA03G16040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSVSAKLGRAATTNGSAAAAAANPSINRWTGRPYSARYLEILEKRRTLPVWQQKDDFLAVLRDNQTLILVGETGSGKTTQIPQFVLEAEGLSNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPSREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTPLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSDCNQQLSCT >ONIVA03G16030.1 pep chromosome:AWHD00000000:3:11583778:11584254:-1 gene:ONIVA03G16030 transcript:ONIVA03G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCSPSSSSPYSLPFGMRAWLIPLPSEDSHTPIDKLAATVQSCRFAACKHRPLMPIPPPQRMTAATKSSSSTYGGGGGGGNGGGVLQRRQRRRDEVAPSGEVELAEDTCAGMSSSAMTTASLAHPSAELGGSKLKLYSMSSGLASIRLWSVRPASTS >ONIVA03G16020.1 pep chromosome:AWHD00000000:3:11576009:11576642:-1 gene:ONIVA03G16020 transcript:ONIVA03G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWPPDLGVPSLAALLPSSAAGHYAGAPALRGWHWQHWWPERLGSAVRRWPELVQDFSPVVDAVLWGLVTAIESVALFSMSSEAEEQQAPLIVLGDEMFGERD >ONIVA03G16010.1 pep chromosome:AWHD00000000:3:11565477:11569990:1 gene:ONIVA03G16010 transcript:ONIVA03G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GLJ2] MSAPPLLKAPAAAAASLVAAAAAHRATAAAAAPSGASRVPPRRLRCSASGAAAVEVRAPMDWATRSLEEMQRAEDFDSFCLMGLSPLDGRYERFTRDLKPFFSEFGLIRYRVIVEVKWLLKLSQIPEINEVPPFSKEAQLFLDAIIQDFSVADAKEVKKIEKTTNHDVKAVEYFLKQKCSSNPEIAKVLEFFHFGCTSEDINNLSHALALKEGVNTVMFPVMMDICKAMCSLATQNSTIPMLSRTHGQPASPTTLGKEMANFAARLSSIGNSFSEVKILGKFAGAVGNYNADVVAYPEVDWPKVAEDFVKSLGLEFNPYVTQIEPHDYISKLFNLFVQFNNVLTDFDRDMWTYISLGYFKQIVKAGEVGSSTMPHKVNPIDFENSDGNLTIANGTLSSLSMKLPISRMQRDLTDSTVLRNLGVGLGHSLLAYKATLRGINKVQVNESRLSEDLDQTWEVLAEPIQTVMRRYGIPEPYEKLKEMTRGQAVTKDSIRQFIEDLDLPEAARSSLLKLTPHSYIGEAEFLARNIEEVVDLKSGFKIE >ONIVA03G16000.1 pep chromosome:AWHD00000000:3:11554759:11559845:-1 gene:ONIVA03G16000 transcript:ONIVA03G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSRGGRQSGSSATRMASRARPGFPVAPPPPMGPPPPPPMPPVPVMYLRGVPPPPPWLPQHLIICGLDPAAAERTDAFRSKSLLNFISRTGVLPSPEEELKRQVVVRELDKIVMGWAKRVAYDQREQYWNTTATVLTFGSYALGAYGPESDIDAVCVGPCIASLQHHFFIVLRQMLEERPEVSDLHSIENAKAIHAFDPRLLAVVNEPSWRCLSGVRVNRQIMQLLPNIKKFQILLRCLKLWARKRGLHCHLLGFFAGIHLAILAAFVCIMHPHATLSSLFNSFFDIFSHWHWPLPVSLFDQPTPWRPHCCSFMPIVMPCSPPEFCASSITRSTFNKIKEELQRGFALTKGDRNGDINWTELFAPFPYTVRYKHFLRIVLSAPVAEELRDWVGWVKSRFRNLLLKLESIGVDCDPDPSEQADHSMIEPNVVFFWGLMYRTSTNICIDSVKEDFMKSVTNDIYGKEKCTHSDITMSIVWPTHLPKCVYAHSVYSQNRQNPRQFMMGNQLMNQDCNAVR >ONIVA03G16000.2 pep chromosome:AWHD00000000:3:11554759:11559845:-1 gene:ONIVA03G16000 transcript:ONIVA03G16000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSRGGRQSGSSATRMASRARPGFPVAPPPPMGPPPPPPMPPVPVMYLRGVPPPPPWLPQHLIICGLDPAAAERTDAFRSKSLLNFISRTGVLPSPEEELKRQVVVRELDKIVMGWAKRVAYDQREQYWNTTATVLTFGSYALGAYGPESDIDAVCVGPCIASLQHHFFIVLRQMLEERPEVSDLHSIENAKAIHAFDPRLLAVVNEPSWRCLSGVRVNRQIMQLLPNIKPTPWRPHCCSFMPIVMPCSPPEFCASSITRSTFNKIKEELQRGFALTKGDRNGDINWTELFAPFPYTVRYKHFLRIVLSAPVAEELRDWVGWVKSRFRNLLLKLESIGVDCDPDPSEQADHSMIEPNVVFFWGLMYRTSTNICIDSVKEDFMKSVTNDIYGKEKCTHSDITMSIVWPTHLPKCVYAHSVYSQNRQNPRQFMMGNQLMNQDCNAVR >ONIVA03G16000.3 pep chromosome:AWHD00000000:3:11554759:11559845:-1 gene:ONIVA03G16000 transcript:ONIVA03G16000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSRGGRQSGSSATRMASRARPGFPVAPPPPMGPPPPPPMPPVPVMYLRGVPPPPPWLPQHLIICGLDPAAAERTDAFRSKSLLNFISRTGVLPSPEEELKRQVVVRELDKIVMGWAKRVAYDQREQYWNTTATVLTFGSYALGAYGPESDIDAVCVGPCIASLQHHFFIVLRQMLEERPEVSDLHSIENAKVPLMRFKFNGMLVDFPYVQLPVINAAEAMMPCSPPEFCASSITRSTFNKIKEELQRGFALTKGDRNGDINWTELFAPFPYTVRYKHFLRIVLSAPVAEELRDWVGWVKSRFRNLLLKLESIGVDCDPDPSEQADHSMIEPNVVFFWGLMYRTSTNICIDSVKEDFMKSVTNDIYGKEKCTHSDITMSIVWPTHLPKCVYAHSVYSQNRQNPRQFMMGNQLMNQDCNAVR >ONIVA03G15990.1 pep chromosome:AWHD00000000:3:11552054:11552848:-1 gene:ONIVA03G15990 transcript:ONIVA03G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAMSVDGSRRVGVICVATRGGGARSDTTGSCLSVSLVNGARDGGSRVVSPFSMVMMAGGGAKWVCSAPTCWYLKGRAGIARLILQPQVLVVLDVKRGGLSISSSISSMSGVHGGVTSGNTIALRGSGHLAICSRKLVLLRFGRELLGKPLLSPIKPKKNLRLNIKP >ONIVA03G15980.1 pep chromosome:AWHD00000000:3:11539250:11544066:-1 gene:ONIVA03G15980 transcript:ONIVA03G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTNGAISLAFPPMGPLPADALIYPFDGLSYDDFVLPVAAAPQHPLPVAVADPAPLLLLPPPSSCTCNGASSGMGAVAPRTLALGATTDGSVMTPTSWGSDGGGGGSSSARAVRSPSPVLPLVQGTGQRTSCYRGVTRHRWTGRYEAHLWDNTCRREGQKRKGRQVQWFLFFFLRKDYQWFHDSDTMTCCFFAFSGYDIEDKAARAYDLAALKYWGASATTNFPKESYVKEIEEMQKMSKQELVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDVAALKFRGANAVTNFEPSRYNLEAISQSDLPISVSGRRHNSSSNSNNPAPEAGGQITLMSSPPISQQSSSAPPYLIHNLLQFQPCGPPYAPPPPPPPPPPQALPLPGSYNFAEPVGFYWPYGDGEEQKVQLNSNMVGMASGGFLRLANAAN >ONIVA03G15970.1 pep chromosome:AWHD00000000:3:11535760:11538795:1 gene:ONIVA03G15970 transcript:ONIVA03G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILSGGGGVAALRAARAVKETTGIVGLEVVPNAREVLVGLYTRTLKEIEAVPKDEGYRKAVESFTRHRLQICQEEDDWKRIEDRIGCGQVEELIEEAQDELKLIAKMIEWDPWGVPDDYECEVIEDDTLIPKHVPQHRPVALPEEFFKTLDAVKSDPALQGESPPQVKP >ONIVA03G15960.1 pep chromosome:AWHD00000000:3:11526249:11532720:1 gene:ONIVA03G15960 transcript:ONIVA03G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21800) TAIR;Acc:AT4G21800] MDVDSDPAAAGKPTQMDLEDQTDAKGKGKSEELADSIGSLSIGPERTNFKKKPVIIIVIGMAGTGKTTFMHRLVCHTQASNMRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKEYGLGPNGGILTSLNLFSTKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTSPVTFMSNMLYACSILYKTRLPMILTFNKVDVAKHEFALEWMEDFEAFQTALDSDSSYSSTFTRSLSLVLDEFYKNLRSVGVSAVSGAGVNSFFEAIEASAKEYMENYRADLDKRIAEKERLEAERRKENMERLQRDMENSKGQTVVLSTGLKDKNRATDMMDDADEEEEEAALEDFRISEDDEDEDEDEGEDEEVEHFGL >ONIVA03G15960.2 pep chromosome:AWHD00000000:3:11526249:11529489:1 gene:ONIVA03G15960 transcript:ONIVA03G15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21800) TAIR;Acc:AT4G21800] MDVDSDPAAAGKPTQMDLEDQTDAKGKGKSEELADSIGSLSIGPERTNFKKKPVIIIVIGMAGTGKTTFMHRLVCHTQASNMRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKEYGLGPNGGILTSLNLFSTKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTSPVTFMSNMLYACSILYKTRLPMILTFNKVDVAKHEFALEWMEDFEAFQTALDSDSSYSSTFTRSLSLVLDEFYKNLRSVGVSAVSGAGVNSFFEAIEASAKEYMENYRADLDKRIAEKERLEAERRKENMERLQRDMENSKGQTVVLSTGLKDKNRATDMMDDADEEEEEAALEDFRISEDDEDEDEDEGEDEEVEHFGL >ONIVA03G15950.1 pep chromosome:AWHD00000000:3:11516763:11519212:-1 gene:ONIVA03G15950 transcript:ONIVA03G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRTRHCLVLLLVSLALPPLGMATDPIGGYCSESGTSDAEINGKTRRRSINSVVSDLVAKAASNGGFATSSAGKGNNVFYGLAQCRGDVSASDCKACLVEAANYTLSFCHYASDSRMWYDYCFMRYKNANFIGQVDTRVDASVRIRKEENMDNPKTFQKVVAKTMDKAIAQAVATAGSAALGRVKEKYMTFVNIYGLSQCTRDLAPLACAQCLSTAVSDFGDICNAAEGCQIEYSTCWVRYEIYPFYFPLKTDGRATTDMTKYTKVVVH >ONIVA03G15940.1 pep chromosome:AWHD00000000:3:11512168:11512548:1 gene:ONIVA03G15940 transcript:ONIVA03G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASPVLAGCCAPASLARCWAREKRRTEEAVSRADQEEEDRRMKGRHSGKAAAAAARSMAGGSERGGVVEDPRLVKQVRELRRLVPCRREPCGLGELFQDAASHIEDLQVQVKLMRMLLEKLSEE >ONIVA03G15930.1 pep chromosome:AWHD00000000:3:11495506:11498438:-1 gene:ONIVA03G15930 transcript:ONIVA03G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEKKGREARSPGREDAGEIQAYSTTTAVAATSPRQPSRCRHREERQPRGAATSPRQPSRCHHREERQPRGVSLLQPSAATPASLLHHRTVSSPASVGLLHCRATLEEERTGEGPQAERGPPRHPSPSAAATTPSPSPRNGRGGASEREREGGRSEVDGWIKMRLALWVVKKSRLGRILTKAFNGSACCT >ONIVA03G15920.1 pep chromosome:AWHD00000000:3:11484947:11485564:1 gene:ONIVA03G15920 transcript:ONIVA03G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGSAAAVEERGNSERKRKRGATGESEGSEAQPSKWRTRRVHEIYSSKLLDAIRLVRSGSPSSSAAAPPRSRAVREAADRALAVSARGRTRWSRAILASHRRRIQAARRARLREATSPPSRHPSSSSGKGPKAPALARKAKVLGRLVPGCRKLPFPALLAEASDYIAALEMQVRAMTALAEVLSTVSGSGSASSSGGSSSPA >ONIVA03G15910.1 pep chromosome:AWHD00000000:3:11477137:11478017:-1 gene:ONIVA03G15910 transcript:ONIVA03G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSVAWRRRGSGAATGGGCGRPFVGAVAASNSHRRLGGDAVRLWAFLATVASRVSTTGPLAALAGSGRRWLKPCRAFGRFDDDDAVGTVFLLEGVVMALSHLPHKSPGVNLAPASDERRWRYTSVAAVVKSALLGRLGG >ONIVA03G15900.1 pep chromosome:AWHD00000000:3:11456346:11474641:-1 gene:ONIVA03G15900 transcript:ONIVA03G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGPKPWILKGTIGKSNLRWTGDCLFPEFDIEGNRLNDEDDIAISCTNHIIKRLLKEAAAAATAVDPEGGGYDLQWRRLLPKVVAATCCGNECTPTTVNCTGTSNIILNSSKCVFYHNALAINISHKHSDATIYSIGIKSMLRGLRLAITTIAKGSQKISNGSGDTKPTTSREIPGNIMSTIGSY >ONIVA03G15890.1 pep chromosome:AWHD00000000:3:11455529:11473760:1 gene:ONIVA03G15890 transcript:ONIVA03G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G56500) TAIR;Acc:AT1G56500] MARHLAPPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHNERTENTENISSLKPSTEILNGATNAESAPSTNSASSIDDSREGLVGSRRNILRYGSLGIAVSCLIYTTRNWKAMQFVSPKGLLNYFTGGNSSIFASNEGEQLTSRVQQIKKYLADFETGGSATCVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWREMERKALITEEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSSIRVVNLKSGGSRSLAGGDPMIPENLFRFGDHDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDDTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRHLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >ONIVA03G15890.2 pep chromosome:AWHD00000000:3:11455529:11473760:1 gene:ONIVA03G15890 transcript:ONIVA03G15890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G56500) TAIR;Acc:AT1G56500] MARHLAPPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHNERTENTENISSLKPSTEILNGATNAESAPSTNSASSIDDSREGLVGSRRNILRYGSLGIAVSCLIYTTRNWKAMQFVSPKGLLNYFTGGNSSIFASNEGEQLTSRVQQIKKYLADFETGGSATCVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWREMERKALITEEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSSTRTSFAQPSGISLAPDHDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDDTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRHLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >ONIVA03G15890.3 pep chromosome:AWHD00000000:3:11455529:11473760:1 gene:ONIVA03G15890 transcript:ONIVA03G15890.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G56500) TAIR;Acc:AT1G56500] MARHLAPPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHNERTENTENISSLKPSTEILNGATNAESAPSTNSASSIDDSREGLVGSRRNILRYGSLGIAVSCLIYTTRNWKAMQFVSPKGLLNYFTGGNSSIFASNEGEQLTSRVQQIKKYLADFETGGSATCVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWREMERKALITEEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSLEIMMGLARMCYSSIHWVLSMLIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDDTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRHLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >ONIVA03G15890.4 pep chromosome:AWHD00000000:3:11455529:11473760:1 gene:ONIVA03G15890 transcript:ONIVA03G15890.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G56500) TAIR;Acc:AT1G56500] MARHLAPPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHKILNGATNAESAPSTNSASSIDDSREGPCSLYLPKGCLIISRVEIVRYLLVMKDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWREMERKALITEEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSSIRVVNLKSGGSRSLAGGDPMIPENLFRFGDHDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDDTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRHLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >ONIVA03G15870.1 pep chromosome:AWHD00000000:3:11444806:11445327:1 gene:ONIVA03G15870 transcript:ONIVA03G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT5G49480) TAIR;Acc:AT5G49480] MCPGGRYAGLDVPACAAAGDLRPAFDVLDADRDGRISREDLKSFYASGAATSERFDDEDIAAMIAAADADNDGFVQYDEFERLLGRAAAGAGCRPAMEDVLRVMDRDGDGKVGFDDLKAYLGWAGMPAADEEIRAMIRVAGGGDGDGCVGLEELAIVLGCSPTNWTASSQTLH >ONIVA03G15860.1 pep chromosome:AWHD00000000:3:11441511:11441720:-1 gene:ONIVA03G15860 transcript:ONIVA03G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTGRFTPTPRIEASLVLGGAATTVLHQASMESAVAAIPCTATGNGKKTDAREAWGRRRRRRNRTGGV >ONIVA03G15850.1 pep chromosome:AWHD00000000:3:11436116:11438330:-1 gene:ONIVA03G15850 transcript:ONIVA03G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSPIYGKLEPCHYNFAKFEICHPNPHSADDPEYYHYNDIIETSPHCLHEIKCFFEDCKTILIARCMTRRMRKKVVVDAFLPTNTAYDAIQYFQ >ONIVA03G15840.1 pep chromosome:AWHD00000000:3:11432081:11433343:-1 gene:ONIVA03G15840 transcript:ONIVA03G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGSDARVVESMQRLLDAVPPGADDPYTIFRLPAAVRERHRDLYEPKLVSVGPYYHGRDGLGAAQRHKWRLLRDFLSRQSDDKAGLGAYVRAARAVEADARQCYAEGFDDVGADEFAEMLVLDGCFLLEFFLRKSEGQLAAPGGAKWAWQHMYHDVLLLENQIPFFVVERLHGVAFAGDDDGAADRDALLDIFCKAFAGDLPSSRVIRPPSDKTIHHLLHLHYECNVRNPAADSDKARNGGDAANGGASSLAIWKQPPVPSPRSSDGAIKGRMTSMIPPAAKMEEAGVTFKRKATPRDVFDMSFRYGVLHMPAFVVDEGAKVLLANLVAFEQGGGRAARKLEGGNLATGFVALLGSLVNSRRDVEVLRRCGILHCMVTDEEAVAYFSHVVQYTTMDYDRHLLACLFRDIREHCHWNR >ONIVA03G15830.1 pep chromosome:AWHD00000000:3:11422337:11432664:1 gene:ONIVA03G15830 transcript:ONIVA03G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLRLLLLLAVVVATSAAARREAFRRDPGHPQWHHGAFHDVEDSVRADVRRMLHTRAEVPLEVNVVLIGFNGDGGYRYSLDGHRLEEFLKMSFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMVPAGTAREFMELNILSLQSEYGREFPLFEVDATMVEPVFQRLYSFIFDMEPGYSSTEMDRPAPVAIFVVNFDKVRMDPRNNETDLDSLMYGAIGRLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSLPRLLNIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDVRFETVDMAMRLLVPIIVLQNHNRYNILQAGHNYSIDVQAIEREVKRMVHAGQEVIIISGSHALHQHEKLAVAVSKAMRSHSIHETKTDGRFHVRTKPYLDGAILREEMERSADVLSAGLLEVANPSLSSRFFLKQHWLNEQDDTHDSIKHKPIWESYMPRNKKEKRGTGKKKHGDLYRTYGTRVIPVFVLSLADVDAELLMEEENLVWTSKDVVIVLEHNNEKVPLSYVSETTRQFAFPSLAQRHILAGLASAVGGLSAPYERASHIHERPVVNWLWAAGCHPFGPFSNSSKISQILQDVALRTTIYAQVDAALHKIRDTSEFVQSFASEHLKTPLGEPVKGKQNKSNTELWVEKFYKKVTTMPEPFPHELVERLEEYLDRLEGQLVDLSSLLYDHRLVDAYQNSSDILQSTIFTQQYVERVLSAERDKMKCCTIEYNHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >ONIVA03G15830.2 pep chromosome:AWHD00000000:3:11422337:11432664:1 gene:ONIVA03G15830 transcript:ONIVA03G15830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLRLLLLLAVVVATSAAARREAFRRDPGHPQWHHGAFHDVEDSVRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYSLDGHRLEEFLKMSFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMVPAGTARESEYGREFPLFEVDATMVEPVFQRLYSFIFDMEPGYSSTEMDRPAPVAIFVVNFDKVRMDPRNNETDLDSLMYGAIGRLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSLPRLLNIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDVRFETVDMAMRLLVPIIVLQNHNRYNILQAGHNYSIDVQAIEREVKRMVHAGQEVIIISGSHALHQHEKLAVAVSKAMRSHSIHETKTDGRFHVRTKPYLDGAILREEMERSADVLSAGLLEVANPSLSSRFFLKQHWLNEQDDTHDSIKHKPIWESYMPRNKKEKRGTGKKKHGDLYRTYGTRVIPVFVLSLADVDAELLMEEENLVWTSKDVVIVLEHNNEKVPLSYVSETTRQFAFPSLAQRHILAGLASAVGGLSAPYERASHIHERPVVNWLWAAGCHPFGPFSNSSKISQILQDVALRTTIYAQVDAALHKIRDTSEFVQSFASEHLKTPLGEPVKGKQNKSNTELWVEKFYKKVTTMPEPFPHELVERLEEYLDRLEGQLVDLSSLLYDHRLVDAYQNSSDILQSTIFTQQYVERVLSAERDKMKCCTIEYNHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >ONIVA03G15830.3 pep chromosome:AWHD00000000:3:11422337:11432664:1 gene:ONIVA03G15830 transcript:ONIVA03G15830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLRLLLLLAVVVATSAAARREAFRRDPGHPQWHHGAFHDVEDSVRADVRRMLHTRAEVPLEVNVVLIGFNGDGGYRYSLDGHRLEEFLKMSFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMVPAGTARESEYGREFPLFEVDATMVEPVFQRLYSFIFDMEPGYSSTEMDRPAPVAIFVVNFDKVRMDPRNNETDLDSLMYGAIGRLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSLPRLLNIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDVRFETVDMAMRLLVPIIVLQNHNRYNILQAGHNYSIDVQAIEREVKRMVHAGQEVIIISGSHALHQHEKLAVAVSKAMRSHSIHETKTDGRFHVRTKPYLDGAILREEMERSADVLSAGLLEVANPSLSSRFFLKQHWLNEQDDTHDSIKHKPIWESYMPRNKKEKRGTGKKKHGDLYRTYGTRVIPVFVLSLADVDAELLMEEENLVWTSKDVVIVLEHNNEKVPLSYVSETTRQFAFPSLAQRHILAGLASAVGGLSAPYERASHIHERPVVNWLWAAGCHPFGPFSNSSKISQILQDVALRTTIYAQVDAALHKIRDTSEFVQSFASEHLKTPLGEPVKGKQNKSNTELWVEKFYKKVTTMPEPFPHELVERLEEYLDRLEGQLVDLSSLLYDHRLVDAYQNSSDILQSTIFTQQYVERVLSAERDKMKCCTIEYNHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >ONIVA03G15820.1 pep chromosome:AWHD00000000:3:11419289:11421846:1 gene:ONIVA03G15820 transcript:ONIVA03G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase/isomerase A [Source:Projected from Arabidopsis thaliana (AT4G16210) TAIR;Acc:AT4G16210] MQYCSVKRSLPKKRDTSTRYSHPHSRRSSVYQTEKLVNPTPPDPMAATSPDSGDLILVEPAKPGSRVAVVTINRPKALNALTRPMMVSLAAAFRRLDADDGVAAVVLAGRGRAFCSGVDLTAAEEVFKGDVKDPAADPVVQMERCRKPIVGAIAGFAVTAGFEIALACDILVAGRSAKFIDTHAKFGIFPSWGLSQKLSRVIGPNRAREVSLTCMPITAEMAEKWGLVNHIVDDTQVLSKAIEVCEAIARNNRNLVVLYKSVINDGLQLDLEHARALEKERAHDYYNGMTKEQFASMQKFIQGRSSKPPSKL >ONIVA03G15810.1 pep chromosome:AWHD00000000:3:11410087:11413125:1 gene:ONIVA03G15810 transcript:ONIVA03G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16230) TAIR;Acc:AT4G16230] MVLIRLTMLIFIAILLAGRTCVLLVAGRGMPATFVFGDSLVDAGNNNYLVSLSKANYPPNGIDFDGHQPTGRYTNGRTIVDILGQEMSGGFVPPYLAPETAGDVLLKGVNYASGGGGILNQTGSIFGGRINLDAQIDNYANNRHELIKRHGELEAVTLLRGALFSVTMGSNDFINNYLTPIFGVPERAVTPPEVFVDALISKYREQLIRLYLLDARKIVVANVGPIGCIPYLRDTTPTVGTACAEFPNQLARNFNRKLRGLVDELSANLTGSRFLYADVYRVFSDIIANYKSHGFEVADSACCYVSGRFGGLLPCGPTSQYCADRSKYVFWDPYHPSDAANALIARRIIDGEPADIFPINVRQLITS >ONIVA03G15800.1 pep chromosome:AWHD00000000:3:11406722:11407021:1 gene:ONIVA03G15800 transcript:ONIVA03G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKLTLRCAQGSGTDSLSDDGARHVAAVLPSERLARLKLRGLRQLSDDGFASLAGVTPVIRKLSVASISFGPKAFVAVLRSCPLLEDLSVKRLCGLL >ONIVA03G15790.1 pep chromosome:AWHD00000000:3:11398571:11403841:1 gene:ONIVA03G15790 transcript:ONIVA03G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06430) TAIR;Acc:AT3G06430] MGRTLGPSPTCFISSSILSSLLFASAAPRIRNRIAHSISMALAAAATTFRPRLVVTAKADNTDTRRRHWKAGEFPFPTSSDTPRRSARTAERPPDKPRDEDGDSSRRTGRRHWKAGEFPGTPEDSRRPRRSPIKNVKKRLDARAEAKAWACTVTEALADRIDAKNWQEALQVFQMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARYLFDEMLQQGCQPTPELYTALIAAYCRNGLLDDAFRLLADMKASPVCQPDVYTYSTIIKACVDASRFDIVDAMYKDMADRSIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLDSTTSKPDVWTMNIILSLFGNRGQIESMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFADAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFGNAGLFHKVVGMVKLAERLGVPMDTTFHNAVLMACVKAEDLMEMERVFMRMKQIQCVPDATTYSILVGAYRKEGMTDKIYVLQQENPTLVPTDLVHV >ONIVA03G15790.2 pep chromosome:AWHD00000000:3:11398571:11403834:1 gene:ONIVA03G15790 transcript:ONIVA03G15790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06430) TAIR;Acc:AT3G06430] MGRTLGPSPTCFISSSILSSLLFASAAPRIRNRIAHSISMALAAAATTFRPRLVVTAKADNTDTRRRHWKAGEFPFPTSSDTPRRSARTAERPPDKPRDEDGDSSRRTGRRHWKAGEFPGTPEDSRRPRRSPIKNVKKRLDARAEAKAWACTVTEALADRIDAKNWQEALQVFQMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARYLFDEMLQQGCQPTPELYTALIAAYCRNGLLDDAFRLLADMKASPVCQPDVYTYSTIIKACVDASRFDIVDAMYKDMADRSIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLDSTTSKPDVWTMNIILSLFGNRGQIESMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFADAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFGNAGLFHKVVGMVKLAERLGVPMDTTFHNAVLMACVKAEDLMEMERVFMRMKQIQCVPDATTYSILVGAYRKEGMTDKIYVLQQENPTLVPTDLVHV >ONIVA03G15790.3 pep chromosome:AWHD00000000:3:11398571:11403841:1 gene:ONIVA03G15790 transcript:ONIVA03G15790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06430) TAIR;Acc:AT3G06430] MGRTLGPSPTCFISSSILSSLLFASAAPRIRNRIAHSISMALAAAATTFRPRLVVTAKADNTDTRRRHWKAGEFPFPTSSDTPRRSARTAERPPDKPRDEDGDSSRRTGRRHWKAGEFPGTPEDSRRPRRSPIKNVKKRLDARAEAKAWACTVTEALADRIDAKNWQEALQVFQMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARYLFDEMLQQGCQPTPELYTALIAAYCRNGLLDDAFRLLADMKASPVCQPDVYTYSTIIKACVDASRFDIVDAMYKDMADRSIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLDSTTSKPDVWTMNIILSLFGNRGQIESMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFADAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFGNAGLFHKVVGMVKLAERLGVPMDTTFHNAVLMACVKAEDLMEMERVFMRMKQIQCVPDATTYSILVGAYRKEGMTDKIYVLQQENPTLVPTDLVHV >ONIVA03G15790.4 pep chromosome:AWHD00000000:3:11398571:11401271:1 gene:ONIVA03G15790 transcript:ONIVA03G15790.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06430) TAIR;Acc:AT3G06430] MGRTLGPSPTCFISSSILSSLLFASAAPRIRNRIAHSISMALAAAATTFRPRLVVTAKADNTDTRRRHWKAGEFPFPTSSDTPRRSARTAERPPDKPRDEDGDSSRRTGRRHWKAGEFPGTPEDSRRPRRSPIKNVKKRLDARAEAKAWACTVTEALADRIDAKNWQEALQVFQMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARYLFDEMLQQGCQPTPELYTALIAAYCRNGLLDDAFRLLADMKASPVCQPDVYTYSTIIKACVDASRFDIVDAMYKDMADRSIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLDSTTSKPDVWTMNIILSLFGNRGQIESMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFADAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFGNAGLFHKVVGMVKLAERLGVPMDTTFHNAVLMACVKAEDLMEMERVFMRMKQIQCVPDATTYSILVGAYRKEGMTDKIYVLQQENPTLVPTDLVHV >ONIVA03G15780.1 pep chromosome:AWHD00000000:3:11396316:11396528:1 gene:ONIVA03G15780 transcript:ONIVA03G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHASVSIAFRVMLVMVDEDVELWEALEKGDVTVEAKLDGVEYRTMELSKEDRTVEFIAQVTNLIRGER >ONIVA03G15770.1 pep chromosome:AWHD00000000:3:11365981:11366310:-1 gene:ONIVA03G15770 transcript:ONIVA03G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELSSSSTTVASSPASPLLGRCVVRIRLPPAWTPEEDAVLRLAMENGSRHWRRVAAQMPRRRRSPAQCRDRWRDHLARDVFHRHFTSADDTELARLCLHLDDAGHRW >ONIVA03G15760.1 pep chromosome:AWHD00000000:3:11362860:11363243:1 gene:ONIVA03G15760 transcript:ONIVA03G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALQADCFTRNLSQLIIADVMSRSVPLDASTSISGRVTILGVFKPDKINCSPMPTHPILQWMVNDLLTINFISAPVEGDAMGDELVVMIGLARELTATQRARAMT >ONIVA03G15750.1 pep chromosome:AWHD00000000:3:11359811:11361434:-1 gene:ONIVA03G15750 transcript:ONIVA03G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17060) TAIR;Acc:AT3G17060] MARPRLLLLPLLAVAAAVLSSPLGRALAKTAKKSNDIVNGPLLTSKINAKRTLIVGPEDEFKTVQSAIDAVPVGNTEWVIVHLRSGIYREKVMIPETKPFIFVRGNGKGRTSINHESASSHNAESAAFTVHADNVIVFGLSIRNSARAGLPNVPEVRTVAAMVGGDKIAFYHCAFYSPHHTLFDVAGRHYYESCYIQGNIDFIFGGGQSIFQCPEIFVKPDRRTEIKGSITAQNRKQEDGSGFVFIKGKVYGVGQVYLGRANEAYSRVIFADTYLSKTINPAGWTSYGYTGSTDHVMLGEFNCTGPGSEATKREPWSRQLTQEEADKFINIDFINGKEWLPAYYY >ONIVA03G15740.1 pep chromosome:AWHD00000000:3:11357270:11359732:-1 gene:ONIVA03G15740 transcript:ONIVA03G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRPAATTGTPTKAASCTTTKSTASASTTTKPTSGTTTTTTGSTAKSSSSTTTKSSTSTCTTTKSTASASTTTKPTSGTTTEASSNTTTTTGSTAKSATSTCTTTEATTSSAT >ONIVA03G15730.1 pep chromosome:AWHD00000000:3:11346220:11354213:1 gene:ONIVA03G15730 transcript:ONIVA03G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPITAGAAVAQYTLDARLHAVFEQSGASGRSFDYTQSLRASPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAYSENTADLLDLSPHHSVPSLDSSAVPPPVSLGADARLLFAPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRSNLEPYIGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPALTQPLCLVGSTLRSPHGCHAQYMANMGSIASLVMAVIISSGGDDDHNIARGSIPSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTEVQIKDIIEWLTMCHGDSTGLSTDSLADAGYSGAAALGDAVSGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDSAEGTSNSKAIVNGQVQLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLSVEEAMGKSLVNDLIFKESEETVNKLLSRALRGDEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRGEVVGKLLVGEVFGNCCRLKGPDALTKFMIVLHNAIGGQDCEKFPFSFFDKNGKYVQALLTANTRSRMDGEAIGAFCFLQIASPELQQAFEIQRHHEKKCYARMKELAYIYQEIKNPLNGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQVMIQLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLCDFLLSMVRFAPAENGWVEIQVRPNIKQNSDGTDTMLFLFRFACPGEGLPPEIVQDMFSNSRWTTQEGIGLSICRKILKLMGGEVQYIRESERSFFHIVLELPQPQQAASRGTS >ONIVA03G15730.2 pep chromosome:AWHD00000000:3:11346058:11354213:1 gene:ONIVA03G15730 transcript:ONIVA03G15730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRATPTRSPSSARPAAPRHQHHHSQSSGGSTSRAGGGGGGGGGGGGGAAAAESVSKAVAQYTLDARLHAVFEQSGASGRSFDYTQSLRASPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAYSENTADLLDLSPHHSVPSLDSSAVPPPVSLGADARLLFAPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRSNLEPYIGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPALTQPLCLVGSTLRSPHGCHAQYMANMGSIASLVMAVIISSGGDDDHNIARGSIPSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTEVQIKDIIEWLTMCHGDSTGLSTDSLADAGYSGAAALGDAVSGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDSAEGTSNSKAIVNGQVQLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLSVEEAMGKSLVNDLIFKESEETVNKLLSRALRGDEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRGEVVGKLLVGEVFGNCCRLKGPDALTKFMIVLHNAIGGQDCEKFPFSFFDKNGKYVQALLTANTRSRMDGEAIGAFCFLQIASPELQQAFEIQRHHEKKCYARMKELAYIYQEIKNPLNGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQVMIQLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLCDFLLSMVRFAPAENGWVEIQVRPNIKQNSDGTDTMLFLFRFACPGEGLPPEIVQDMFSNSRWTTQEGIGLSICRKILKLMGGEVQYIRESERSFFHIVLELPQPQQAASRGTS >ONIVA03G15730.3 pep chromosome:AWHD00000000:3:11346058:11353684:1 gene:ONIVA03G15730 transcript:ONIVA03G15730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRATPTRSPSSARPAAPRHQHHHSQSSGGSTSRAGGGGGGGGGGGGGAAAAESVSKAVAQYTLDARLHAVFEQSGASGRSFDYTQSLRASPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAYSENTADLLDLSPHHSVPSLDSSAVPPPVSLGADARLLFAPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRSNLEPYIGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPALTQPLCLVGSTLRSPHGCHAQYMANMGSIASLVMAVIISSGGDDDHNIARGSIPSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTEVQIKDIIEWLTMCHGDSTGLSTDSLADAGYSGAAALGDAVSGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDSAEGTSNSKAIVNGQVQLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLSVEEAMGKSLVNDLIFKESEETVNKLLSRALRGDEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRGEVVGKLLVGEVFGNCCRLKGPDALTKFMIVLHNAIGGQDCEKFPFSFFDKNGKYVQALLTANTRSRMDGEAIGAFCFLQIASPELQQAFEIQRHHEKKCYARMKELAYIYQEIKNPLNGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQVMIQLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLCDFLLSMVRFAPAENGWVEIQVRPNIKQNSDGTDTMLFLFSIENLMTRQGGPQTDRLPSIWFCRFACPGEGLPPEIVQDMFSNSRWTTQEGIGLSICRKILKLMGGEVQYIRESERSFFHIVLELPQPQQAASRGTS >ONIVA03G15720.1 pep chromosome:AWHD00000000:3:11333919:11337720:-1 gene:ONIVA03G15720 transcript:ONIVA03G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme binding [Source:Projected from Arabidopsis thaliana (AT3G62370) TAIR;Acc:AT3G62370] MRILALVVAAAALLAAAATAHEHHGEAPTCAGGGSGRVLAEFRPGEVTLDGHPADWDGVEASEFALLPALDPDEDKAYAGGKVFVKAVHDGVNIFFMLKVDGDYTYTKGCVVLTSEQVTCAFDWLVEHYSENKKCPSVALMFQIGEKATYYNMGGCKDMPGSCTSKSCRGQEVDIMHFSVGNAIPGRLYGGNHIDNADGNGGDRFGHLVDLYSWNPHCRYLDGIGPKENNSNAQNDWHGAWWHSSLTFHSGFVDDDSPYGKQDEKGTYYFEFSRPLRTMDRLQQDAQFTIGGPNSMAVAFWYPNDGKPWSKSEHYSASCDWLVLDIQPSMEAAHYRPAPNRSWDAATAFALLLSVVAICISVFVGYGASKNRSSVQFTPLEQI >ONIVA03G15710.1 pep chromosome:AWHD00000000:3:11325036:11332540:-1 gene:ONIVA03G15710 transcript:ONIVA03G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEDAAAAGNEDDVEDLYADLDDQVAAALAAAGESGGSNPATDGEAEAEAPGAHHTEADANEAVDLGDGTAGYISSDEESEDDLHIVLNEDGAAPPPPPPAGRCEEGSEEGEVSGSCVKGLSTDGGRGKLGELHRKGLFEKTTAPITGQGDRSHQHAFQKEFNFFLPRNRTVFDVDIEAFQEKPWRQHGVDLTDYFNFGLDEESWRKYCFDMEHFRHGTRTLANELSGLQQEFHYNLGLSKSVPKSEIYSVLKEGNGIAKPKGRAIHVEGGMHERLPSADMWPPRQRDSDVIQVNMMFPPSNRSSSDDRSTVNDKCITTKRCGPSNNHPGVDEYLKETSSVVDRVVDKEVHKRGSSECTRSKTVLGDSACAGAQSSTPDNSDMLSEESTEDFHFKRKRGKSNSNAFYVETNRKDEHVLSDFCRHASKSDQESSKGESHRYTPSPADDRYHKATKRQRMDEAGACISSRSLNNCQSDHHLHESGHRAKKELKRQSLAGGKHALFERQENTTDNYSSRYARKHKHKRSSSTFLGTNYRVHNQLCEKQEYLPLGRAALRNDEQCSADYNQRHRRSWREINDDEDIVGCYSARRWQQRHDDLHGSHSMLKAEVCDDIDGHMYRERRYEETRKIRHDRNGDDEFFHYTDYRFGKVLDPEDRRRCRSQSAESCDEHFRRSEHLVFDHFTHPDQLMLSHQANDNHRKSEKGWPGPAASLTFMRSRNRFIDNERIQNGKMKYNHDGYYEKKRQHDSVFDVDDIQQPALYTGSVAETGQCIRPVKRRVHADHSMNRKDRFNSSYQKGRRLMHGWSMISDRDLYVAEMHNSPKDIDVEAMCSPNDMRNSNNIPNIYDKIRHEVVNLQPRDTDNMLLIHRKRKFKRQGIEIRRVVESDSEGCLPADSDLHGSKHKNIHQKVRKPRAFRISRNQASEKSEQQKQQHVSNNQEYEEIEEGELIEQDHQDTASRSKSNHQRKVVLKSVIEASSACQGGVINATSKDADCSNGATGECDNKHILEVMKKMQKRSERFKASIATQKEEDEDRKESLAVTCDVDDIKNQRPARKRLWGCSG >ONIVA03G15700.1 pep chromosome:AWHD00000000:3:11319218:11323553:-1 gene:ONIVA03G15700 transcript:ONIVA03G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) TAIR;Acc:AT3G17040] MAMRLFPSSSPLPPPPPLLPSPSAKAPPSAPFSLSLRLRRARVAASAAAAAGGPERGAGGYEGDAEGEGSSGAFDRGMSEIARKVPLFEPARGGERPLPINLELWLYRAKVHTRKYEFADAEKLLNQCIMYWPEDGRPYVALGKLYSKQSRFDKARAAYERGCQAAQGENPYIWQCWAVLEHKGGNIRRARELFDAATVADAKHIAAWHGWAILEIKQGNIKKARNLLAKGLKYCGGNEYIYQTLALLEARAERFEQARTLFQQATQCNPKSCASWLAWAQVEIRAENNAMARKLFEKAVQASPKNRFSWHVWALFEAEQGSIDKARKLLKIGHAVNPRDPVILQSLALLEYNYSSPNTARVLFRKASQIDPRHQPVWIAWGWMEWKEGNARTARTLYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLNINSQSEVTWMTWAALEDEQGDPVRAEEIRNIYFQQRTEVVDDASWVMGFLDIIDPALDSVKKLLNIDQPSGPATRDNPKSTGEPSNTATVRTSADAEFSGGSRAEGSDASDLANADDKESDDAAETPESDFDVDGFIRRRLALDPAELDAVLEGSDPRGVVSRRRTQRLPRKPLPLLPVP >ONIVA03G15690.1 pep chromosome:AWHD00000000:3:11317081:11320062:1 gene:ONIVA03G15690 transcript:ONIVA03G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGRGREMQGGPLTLERYHRFFVDPWGTRLTIDHLNHIISMHGFVKLHRSNKVALCVTRRSCEQGDIMRRLVGQVDLQPPRRSTLHRAAEGPPSDAVIAADVVSADVDAIGWTECPIGSVAVLAASPGDAPEPVEPDPRPADFVLAGRRARSKRSRSSAYGHRPPEPDDGGGEGRWGVVAATAVDSAAVDAFSNSASAASLATTRDAAASSASATTRDGGTAAASSPTVLRPAHVGAASSSSPTVLRPPDVGAASSPTPTLRRVARGGAMAVVGPAVAGGGATGAAAVPGSACVRAASPGGTATFLEPTDGAAVLPGTILGRAVLGCSTSAAAAVAAAALGPHQIAASSSAASATSSHLAAAAAAIAAAAAGSFLGQAGVLGRECIAKLVLLQSFEPTQIYTAPEE >ONIVA03G15690.2 pep chromosome:AWHD00000000:3:11317081:11320062:1 gene:ONIVA03G15690 transcript:ONIVA03G15690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGRGREMQGGPLTLERYHRFFVDPWGTRLTIDHLNHIISMHGFVKLHRSNKGDIMRRLVGQVDLQPPRRSTLHRAAEGPPSDAVIAADVVSADVDAIGWTECPIGSVAVLAASPGDAPEPVEPDPRPADFVLAGRRARSKRSRSSAYGHRPPEPDDGGGEGRWGVVAATAVDSAAVDAFSNSASAASLATTRDAAASSASATTRDGGTAAASSPTVLRPAHVGAASSSSPTVLRPPDVGAASSPTPTLRRVARGGAMAVVGPAVAGGGATGAAAVPGSACVRAASPGGTATFLEPTDGAAVLPGTILGRAVLGCSTSAAAAVAAAALGPHQIAASSSAASATSSHLAAAAAAIAAAAAGSFLGQAGVLGRECIAKLVLLQSFEPTQIYTAPEE >ONIVA03G15680.1 pep chromosome:AWHD00000000:3:11315128:11316348:1 gene:ONIVA03G15680 transcript:ONIVA03G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLPMDQRPRLTLEDYILFFTTRSGHGLTMDHLNQIVFMHGFIKFHRQNKPVIVDALNKFDLMRPRRSTVGINAAAPPRGAAKPSDALLTMDEARDDIEDLGWRECPVGSLLSIRAGGGDAAPSAAHMPIAAIRPGSTAVERVSPPSILSACSPAPPGAVVIRKRCKKGQGKAAMRGRKRRVVQLLTLPSVENLAATA >ONIVA03G15670.1 pep chromosome:AWHD00000000:3:11310245:11314535:1 gene:ONIVA03G15670 transcript:ONIVA03G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G47330) TAIR;Acc:AT2G47330] MSKRPKLGGFSIPRPTSYSFERSQPPQRLYVPADDPDLDDIAFSDDAAAPSDAPPAGGGGAAGDEEEIDPLDAFMAEIQEEIRAPPPAPKPEALRRADSDDEDDPVESFLRAKKDSGLALAADAMHAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKKKIEPIPPLDHSTIEYEPFNKDFYEEKPSVSGMSEQEVADYMKSLAIRVSGFDVPRPIKSFADCGFPVQLMNAIAKQGYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVVCAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEIVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGSANEDIKQVVNVLPSDAEKMPWLLEKLPGMIDDGDVLVFAAKKARVDEIESQLNQRGFRIAALHGDKDQASRMETLQKFKSGVYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEVRFAGQDVPNELMDLAMKDGRFRANRDSRKGGKKSGKGKGGGGGGGGGGGGGSGARGRGRGVRGVDFGLGIGYNAESGSVPAPRSAAVNSLKTGMMQNFKSSFVSASSSNTPSNSAPSRGAPSSFVRPALRGFVSGGTIGGDANQARAVQPAPSFVPASRPAENTVENANPNPESSRDRTRERKRPSGWDR >ONIVA03G15660.1 pep chromosome:AWHD00000000:3:11297158:11305369:-1 gene:ONIVA03G15660 transcript:ONIVA03G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT5G26850) TAIR;Acc:AT5G26850] MGFMSAKLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPIAKFLEQRSHKELRSAHVNFIKIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLAKFIYSQVDNTYARNIESLVRKVCVLSRQQGVLLRAASLQCLSAMIWFMKEHSYIFADFDEIVQSVLENYRVEESAAGDEERHAPQHNWVDEIVRREGRAGLGGGNDVNCNSTAIRLQSARDSSALTREERESPEVWAHICVQKLAELAKESTTMRRILDPMLSYFDKKKQWAPRQGLALLVLSDMSYLEKSSGNEQLILTSVIRHLDHKNVLYDPQIKSDMIQTATLLARQLRSRGIAAELVVAGDLCRHLRKTLEAMESASIEELNLNESLQNFLQDCLLEVVTGINDVRPLYDMMAITLENLPSMPVVARASIGSLLILSHIISLTSMSSNAPMLFPEALLQQILKSMVHPDVDTRVGAHHMFSAVIVRGPSRQRSESDFLYETKKWQSRTTSVFASATALLEKLRREKESLGSDKTGNMDDEKEKSISEEENKHVWARKNSAYFSKLVFSFTDRYAALTSSAEEANIVMLTEDQKNQLLSAFWVQANQTDNTPFNYEAIGHSYSLTVISSRLKDSRNSNNIQFFQLPLSLRSVSLTSNGVLSPSCQRSIFTLSTSMLAFAGKVCHITELVDVLRCFTSCNMDPYLRIGEDLQLYVRLQSDLGNYGSDSDQEIARSVLSDCRTKVGINDQRVLDVVACALCNLTEMDKDVLVKELTEMFTPEEVPLFGSNSAFDWANFHVQAFSDESLSFDEECSRTSSVDGGLHESPITNTGSSISKTTMPQSVPRVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGSGTRKKLSSWLVNGHDSTPDNPAPSLPSAQHFIIPKVNSCGFESSIRTTLEPCSAVKLPPASPFDNFLKAAYRAQ >ONIVA03G15660.2 pep chromosome:AWHD00000000:3:11297158:11305369:-1 gene:ONIVA03G15660 transcript:ONIVA03G15660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT5G26850) TAIR;Acc:AT5G26850] MGFMSAKLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPIAKFLEQRSHKELRSAHVNFIKIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLAKFIYSQVDNTYARNIESLVRKVCVLSRQQGVLLRAASLQCLSAMIWFMKEHSYIFADFDEIVQSVLENYRVEESAAGDEERHAPQHNWVDEIVRREGRAGLGGGNDVNCNSTAIRLQSARDSSALTREERESPEVWAHICVQKLAELAKESTTMRRILDPMLSYFDKKKQWAPRQGLALLVLSDMSYLEKSSGNEQLILTSVIRHLDHKNVLYDPQIKSDMIQTATLLARQLRSRGIAAELVVAGDLCRHLRKTLEAMESASIEELNLNESLQNFLQDCLLEVVTGINDVRPLYDMMAITLENLPSMPVVARASIGSLLILSHIISLTSMSSNAPMLFPEALLQQILKSMVHPDVDTRVGAHHMFSAVIVRGPSRQRSESDFLYETKKWQSRTTSVFASATALLEKLRREKESLGSDKTGNMDDEKEKSISEEENKHVWARKNSAYFSKLVFSFTDRYAALTSSAEEANIVMLTEDQKNQLLSAFWVQANQTDNTPFNYEAIGHSYSLTVISSRLKDSRNSNNIQFFQLPLSLRSVSLTSNGVLSPSCQRSIFTLSTSMLAFAGKVCHITELVDVLRCFTSCNMDPYLRIGEDLQLYVRLQSDLGNYGSDSDQEIARSVLSDCRTKVGINDQRVLDVVACALCNLTEMDKDVLVKELTEMFTPEEVPLFGSNSAFDWANFHVQAFSDESLSFDEECSRTSSVDGGLHESPITNTGSSISKTTMPQSVPRVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGSGTRKKLSSWLVNGHDSTPDNPAPSLPSAQHFIIPKVNSCGFESSIRTTLEPCSAVKLPPASPFDNFLKAAYRAQ >ONIVA03G15650.1 pep chromosome:AWHD00000000:3:11293109:11295735:-1 gene:ONIVA03G15650 transcript:ONIVA03G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear encoded CLP protease 5 [Source:Projected from Arabidopsis thaliana (AT1G02560) TAIR;Acc:AT1G02560] MATTTTTPSSSLTAPLLRPSSNANPAPRSLPLLRSRRCARAVATAAAAAAGHGAAHQRSGIWDDLVVPRSPYFPVEYASGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPNKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPASS >ONIVA03G15640.1 pep chromosome:AWHD00000000:3:11289005:11292388:1 gene:ONIVA03G15640 transcript:ONIVA03G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 32 [Source:Projected from Arabidopsis thaliana (AT3G17000) TAIR;Acc:AT3G17000] MEATAKYNRGNPAVKRILQEVKEMQSNPSPDFMAMPLEEDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTPGGGALGSLDFKKEDRRALAIKSRETPPKFGSAERQKVIDEIHEQMLGRAPPVPQLLTNETNEETNQLPASDASDEHAHKAVGGVNTAGSNSDSVNNDLPRPDSESEIVQHIVEGRTEGVSNHSRANLSRENIPRVAPTPQNPVVAIQKPKHDRLLTLAAFGLTLAIMALVIKKFFKINGLAGYIEGKF >ONIVA03G15630.1 pep chromosome:AWHD00000000:3:11287395:11287924:1 gene:ONIVA03G15630 transcript:ONIVA03G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMGQGAEALKLYKDMLDANLIPTNATFLLVLYACSHIRLAEEGRKVFQSMTDHFAIIPTGQLKEVFKLISEFPKTAVGPGLILLGACMVHKDSDLAQIAPPENSGYYVLLSNLLVVKKQISEAAAKGRKLVKLIEIVDKPNFLMAGDCAHPQCEAILGKS >ONIVA03G15620.1 pep chromosome:AWHD00000000:3:11287112:11287358:1 gene:ONIVA03G15620 transcript:ONIVA03G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALFEQMLELNLGALSLGKWLHRIIAEEDLGPNVYVMTVLIDIVEAFPKLGASSLQQYG >ONIVA03G15610.1 pep chromosome:AWHD00000000:3:11286222:11286644:-1 gene:ONIVA03G15610 transcript:ONIVA03G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILRQPSLLCKRHPPQFPIVISAAGKTVASVVVSDRTDSALAILTNDSRASEPGSPAGHQRVPHNGIRRLHSVEHLACVVTSGQHEAYRLQLGQCGRHERVGGVTRACSAPRAGCGVGWRRHAAAMRAMSEVAAKAKEK >ONIVA03G15600.1 pep chromosome:AWHD00000000:3:11278602:11286021:-1 gene:ONIVA03G15600 transcript:ONIVA03G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G02020) TAIR;Acc:AT4G02020] MRRRAPAKSGAADSGRRRRRRGLIRLETDWEGGGELRTLAPLAPPHPHRHGVVLVQGLRFLFPTPQGPSGKDAAGLVALHGKLAQLKRQERVEANRKALQVHTCALFDVAAAAEVASRGAEGGNALSRGAAEGHRRFVGWDSASGPGERELVHVQEENLVAGTLVLSSSGGSGASHRTVVQLVKLPVVDKIPPYTTWIFLDKNQRMADDQSVGRRRIYYDPIVNEALICSESDDDVPEPEEEKHVFTEGEDQLIWKATQDHGLSREVLNVLCQFVDATPSEIEKYEKQSQSSYKTDFQLFLDKTMDVALDSFDNLFCRRCLVFDCRLHGCSQNLVFPSEKQPYGHELDENKRPGEKYIKIRAMMTEMLVQHIIWIQDLPHSKSKITNSEYADKSVTPPPGDASETENVSPDMPLRTLGRRKISKHASKSNDHSPDKRQKIYSSPFPFAMSVLNKQSVPEIGETCPDSIESAVDQLPSLDDPNKKISTKDMCAGSTTNTTENTLRDNNNNLFISNKEHSISHWSALERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVASYMYNNGAAMAKRPLSGKSILGDFAEAEQGYMEQDLVARTRICRRKGRARKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCGCQQMCGKDCACVENGTCCEKYCAQRAAKIGLEDVIAQKVNAEADSARVLLPVVNVIQMFAETAGCGDGSLGEPLARGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKNPVNRNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKDRIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHHRAHKVAR >ONIVA03G15600.2 pep chromosome:AWHD00000000:3:11278602:11286021:-1 gene:ONIVA03G15600 transcript:ONIVA03G15600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G02020) TAIR;Acc:AT4G02020] MRRRAPAKSGAADSGRRRRRRGLIRLETDWEGGGELRTLAPLAPPHPHRHGVVLVQGLRFLFPTPQGPSGKDAAGLVALHGKLAQLKRQERVEANRKALQVHTCALFDVAAAAEVASRGAEGGNALSRGAAEGHRRFVGWDSASGPGERELVHVQEENLVAGTLVLSSSGGSGASHRTVVQLVKLPVVDKIPPYTTWIFLDKNQRMADDQSVGRRRIYYDPIVNEALICSESDDDVPEPEEEKHVFTEGEDQLIWKATQDHGLSREVLNVLCQFVDATPSEIEERSEVLFEKYEKQSQSSYKTDFQLFLDKTMDVALDSFDNLFCRRCLVFDCRLHGCSQNLVFPSEKQPYGHELDENKRPGEKYIKIRAMMTEMLVQHIIWIQDLPHSKSKITNSEYADKSVTPPPGDASETENVSPDMPLRTLGRRKISKHASKSNDHSPDKRQKIYSSPFPFAMSVLNKQSVPEIGETCPDSIESAVDQLPSLDDPNKKISTKDMCAGSTTNTTENTLRDNNNNLFISNKEHSISHWSALERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVASYMYNNGAAMAKRPLSGKSILGDFAEAEQGYMEQDLVARTRICRRKGRARKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCGCQQMCGKDCACVENGTCCEKYCAQRAAKIGLEDVIAQKVNAEADSARVLLPVVNVIQMFAETAGCGDGSLGEPLARGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKNPVNRNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKDRIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHHRAHKVAR >ONIVA03G15600.3 pep chromosome:AWHD00000000:3:11277586:11286021:-1 gene:ONIVA03G15600 transcript:ONIVA03G15600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G02020) TAIR;Acc:AT4G02020] MRRRAPAKSGAADSGRRRRRRGLIRLETDWEGGGELRTLAPLAPPHPHRHGVVLVQGLRFLFPTPQGPSGKDAAGLVALHGKLAQLKRQERVEANRKALQVHTCALFDVAAAAEVASRGAEGGNALSRGAAEGHRRFVGWDSASGPGERELVHVQEENLVAGTLVLSSSGGSGASHRTVVQLVKLPVVDKIPPYTTWIFLDKNQRMADDQSVGRRRIYYDPIVNEALICSESDDDVPEPEEEKHVFTEGEDQLIWKATQDHGLSREVLNVLCQFVDATPSEIEERSEVLFEKYEKQSQSSYKTDFQLFLDKTMDVALDSFDNLFCRRCLVFDCRLHGCSQNLVFPSEKQPYGHELDENKRPCGDQCYLRRREVYQDTCNDDRNACTTYNMDSRSSSLKVSATILSESEDSNRDEDNIKSTSIVETSRSKITNSEYADKSVTPPPGDASETENVSPDMPLRTLGRRKISKHASKSNDHSPDKRQKIYSSPFPFAMSVLNKQSVPEIGETCPDSIESAVDQLPSLDDPNKKISTKDMCAGSTTNTTENTLRDNNNNLFISNKEHSISHWSALERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVASYMYNNGAAMAKRPLSGKSILGDFAEAEQGYMEQDLVARTRICRRKGRARKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCGCQQMCGKDCACVENGTCCEKYCAQRAAKIGLEDVIAQKVNAEADSARVLLPVVNVIQMFAETAGCGDGSLGEPLARGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKNPVNRNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKDRIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHHRAHKAPALHECH >ONIVA03G15600.4 pep chromosome:AWHD00000000:3:11278602:11286021:-1 gene:ONIVA03G15600 transcript:ONIVA03G15600.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G02020) TAIR;Acc:AT4G02020] MRRRAPAKSGAADSGRRRRRRGLIRLETDWEGGGELRTLAPLAPPHPHRHGVVLVQGLRFLFPTPQGPSGKDAAGLVALHGKLAQLKRQERVEANRKALQVHTCALFDVAAAAEVASRGAEGGNALSRGAAEGHRRFVGWDSASGPGERELVHVQEENLVAGTLVLSSSGGSGASHRTVVQLVKLPVVDKIPPYTTWIFLDKNQRMADDQSVGRRRIYYDPIVNEALICSESDDDVPEPEEEKHVFTEGEDQLIWKATQDHGLSREVLNVLCQFVDATPSEIEERSEVLFEKYEKQSQSSYKTDFQLFLDKTMDVALDSFDNLFCRRCLVFDCRLHGCSQNLVFPSEKQPYGHELDENKRPCGDQCYLRRREVYQDTCNDDRNACTTYNMDSRSSSLKVSATILSESEDSNRDEDNIKSTSIVETSRSKITNSEYADKSVTPPPGDASETENVSPDMPLRTLGRRKISKHASKSNDHSPDKRQKIYSSPFPFAMSVLNKQSVPEIGETCPDSIESAVDQLPSLDDPNKKISTKDMCAGSTTNTTENTLRDNNNNLFISNKEHSISHWSALERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVASYMYNNGAAMAKRPLSGKSILGDFAEAEQGYMEQDLVARTRICRRKGRARKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCGCQQMCGKDCACVENGTCCEKYCAQRAAKIGLEDVIAQKVNAEADSARVLLPVVNVIQMFAETAGCGDGSLGEPLARGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKNPVNRNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKDRIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHHRAHKVAR >ONIVA03G15590.1 pep chromosome:AWHD00000000:3:11275556:11277866:1 gene:ONIVA03G15590 transcript:ONIVA03G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 6 [Source:Projected from Arabidopsis thaliana (AT3G62390) TAIR;Acc:AT3G62390] MDRQRSSGSSSTSHYGVVSPKGLILLSFASSSLLFSFLFSLFALRFGRPLHLPFVASSLAGNASAIARGPVLAAGGGGRSTSGAAVDVLPGRGRSGSLGEAARRSDAGGFPSAGGVGSAMEVKKAALGSENGGAPANGDSGSAMGAEGAPAGGGDGNSAEGENTTKEVADSAMETNLLVSNASASQGAAAPAEEPKKPKSVQDVDSSMGDSDLGSNGEFLQGESGNSSAGAHTSQRVDQGEHSAHSTVRNSSGAAPLSSSKQKTDLVQETVDSKVDAARSDAALCNVYDGRWVFDESYPLYTSDSCPFIDEGFSCEANGRMDGSYRKWRWQPTHCSIPRFDARKMLEMLRGKRLVFVGDSINRNQWESMMCLLRGAVSDPARIHEARGRRITKERGDYNFKFLDYNCSVEYHVTHFLVHEGKARIGSKRTRTLWIDTVDRTSSKWRGADVLVFNTAHWWSHHKTKAGVNYYQEGDHVYPHLDASTAYLKALTTWASWVDHYINPRKTRVFFRSSSPSHFSGGEWNSRGHCRESTLPLSDTRVRPVPEINMILEQVAQQMKTSVTILNITNLSGLRIDGHPSVYGRKAVVGLTASSVQDCSHWCLPGVPDTWNELLFYHLVSSQQKGVTS >ONIVA03G15590.2 pep chromosome:AWHD00000000:3:11275556:11277866:1 gene:ONIVA03G15590 transcript:ONIVA03G15590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 6 [Source:Projected from Arabidopsis thaliana (AT3G62390) TAIR;Acc:AT3G62390] MDRQRSSGSSSTSHYGVVSPKGLILLSFASSSLLFSFLFSLFALRFGRPLHLPFVASSLAGNASAIARGPVLAAGGGGRSTSGAAVDVLPGRGRSGSLGEAARRSDAGGFPSAGGVGSAMEVKKAALGSENGGAPANGDSGSAMGAEGAPAGGGDGNSAEGENTTKEVADSAMETNLLVSNASASQGAAAPAEEPKKPKSVQDVDSSMGDSDLGSNGEFLQGESGNSSAGAHTSQRVDQGEHSAHSTVRNSSGAAPLSSSKQKTDLVQETVDSKVDAARSDAALCNVYDGRWVFDESYPLYTSDSCPFIDEGFSCEANGRMDGSYRKWRWQPTHCSIPRFDARKMLEMLRGKRLVFVGDSINRNQWESMMCLLRGAVSDPARIHEARGRRITKERGDYNFKFLDYNCSVEYHVTHFLVHEGKARIGSKRTRTLWIDTVDRTSSKWRGADVLVFNTAHWWSHHKTKAGTNHMGIMGRPLHQSKENSSVLPKLIPVAFQFSGGEWNSRGHCRESTLPLSDTRVRPVPEINMILEQVAQQMKTSVTILNITNLSGLRIDGHPSVYGRKAVVGLTASSVQDCSHWCLPGVPDTWNELLFYHLVSSQQKGVTS >ONIVA03G15580.1 pep chromosome:AWHD00000000:3:11270219:11273569:1 gene:ONIVA03G15580 transcript:ONIVA03G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIHHSSCDIFKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNESDNGKEMASKTLNHLIHKSDTGTIRSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDGQTDKILMAASSCLAAIFLSIKQNKDVAAIGRDALAPLVSLANSTVLECRPINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKLPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSEIPGCISSVARRVIGSNMLKVKVGGCSLLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRHSKENNNSDETVCRTAVISGNMIPLWLLAVFARHDSKTRAELLEAGAVEMLMEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLFRSDEQAYRYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDDIRSEESLSKHGSRVKAKNGIGRELVYAWNQG >ONIVA03G15580.2 pep chromosome:AWHD00000000:3:11265126:11270248:1 gene:ONIVA03G15580 transcript:ONIVA03G15580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDCCGRGAGGAAEAGAAGAGGGRKRGCAGTALALVALAAAAAVAVLEGTAGGVSYVGDGWLHECAKWDADGRRLLVSAYSAVAAYDLASWRRLFLTRLDGPGDSTLADDVAVDEEGNAYVTDAKGNKIWKVSPDGEPLSVIKNATFFQRPGWINNFVGLNGIVYHPNGYLLVIHTSGGDLFKVCPKTGSVHVVKVKGSLKTGDGLALLSPTRLVAAGLVSRLVESDDDWETAVVTGRYVGPAHRIGSSATVKDGDVYINHIIGFGLGKKTHVISKAAFAPL >ONIVA03G15570.1 pep chromosome:AWHD00000000:3:11258176:11258800:-1 gene:ONIVA03G15570 transcript:ONIVA03G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATGRGQEGRRDPRSGRAQAQKPFLQRAAVEAAAVEAGGARQRRRRGGGSGGLNA >ONIVA03G15560.1 pep chromosome:AWHD00000000:3:11255790:11256770:-1 gene:ONIVA03G15560 transcript:ONIVA03G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNQEVAALVEKIAGLHAAISKLPSLSPSAEVDALFTDLVTACVPASPVDVAKLGPEAQAMREELIRLCSAAEGHLEAHYADMLAAFDSPLDHLARFPYYGNYVNLSKLEYDLLVRYVPGIAPTRVAFVGSGPLPFSSLVLAAHHLPDAVFDNYDRCGAANERARRLFRGADEGLGARMAFHTADVATLTGELGAYDVVFLAALVGMAAEEKAGVIAHLGAHMADGAALVVRSAHGARGFLYPIVDLEDIRRGGFDVLAVYHPDDEVINSVIVARKADPRRGGGLAGARGAVPVVSPPCKCCKMEAAAGAFQKAEEFAAKRLSV >ONIVA03G15550.1 pep chromosome:AWHD00000000:3:11252560:11253558:1 gene:ONIVA03G15550 transcript:ONIVA03G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNQEVAALVEKIAGLHAAISKLPSLSPSAEVDALFTDLVTACVPASPVDVAKLGPEAQAMREELIRLCSAAEGHLEAHYADMLAAFDSPLDHLARFPYYGNYVNLSKLEYDLLVRYVPGIAPTRVAFVGSGPLPFSSLVLAAHHLPDAVFDNYDRCGAANERARRLFRGADEGLGARMAFHTADVATLTGELGAYDVVFLAALVGMAAEEKAGVIAHLGAHMADGAALVVRSAHGARGFLYPIVDPEDVRRGGFDVLAVCHPEDEVINSVIVARKVGAAAAAAAARRDELADSRGVVLPVVGPPSTCCKVEASAVEKAEEFAANKELSV >ONIVA03G15540.1 pep chromosome:AWHD00000000:3:11244234:11251935:1 gene:ONIVA03G15540 transcript:ONIVA03G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTILLQRACLRFALLPVPPLRAPLRSPRRPLGLPRRSAMSSSAASRLSHIVAAAGGAAGESSEPPAAAAAASGLAQEDDDLSSAMMGYRLPPKEIQDIVDAPPLPVLSFSPSKDKILFLKRRALPPLSDLAKPEEKLAGVRIDGYSNTRSRMSFYTGIGIHKLMDDGTLGPEKVVHGYPEGARINFVTWSQDGRHLSFSVRVDEEDNTSGKLRLWIADVESGEARPLFKSPEIYLNAIFDSFVWVNNSTLLVCTIPLSRGAPPQKPSVPSGPKIQSNETSNVVQVRTFQDLLKDEYDADLFDYYATSQLVLASFDGTVKPIGPPAVYTSIDPSPDDKYLMISSIHRPYSYIVPCGRFPKKVELWTVDGEFIRELCDLPLAEDIPIATSSVRKGKRSIYWRPDKPAMLYWVETQDCGDAKVEVSPRDIVYMENAEPINGEQPEILHKLDLRYAGTSWCDESLALVYESWYKTRKTRTWVISPDKKDVSPRILFDRSSEDVYSDPGSPMLRRTAMGTYVIAKVKKQDENTYILLNGMGATPEGNVPFLDLFDINTGSKERIWQSDKEKYYETVVALMSDKTDGELPLEKLKILTSKESKTENTQYYLQIWPEKKQVQITDFPHPYPQLASLYKEMIRYQRKDGVQLTATLYLPPGYDPSQDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGATSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVTSAEAAVEEVVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWETDRWLQKYCLSGSSKTDSDSVADTENKTVSASGGGAPCEGPEAEGFSSMQRSLLWEDGLTTY >ONIVA03G15530.1 pep chromosome:AWHD00000000:3:11239736:11243001:1 gene:ONIVA03G15530 transcript:ONIVA03G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIQKRRVQLLLFIVGVLALSMTAEKFRELVGKEEASKSGQFTFMNCFDMGSGSLACAVKEGIKLYVYNLQTAHTERVRHRAIEKALADAVTEGLSAAEAAKQAQKVGAKAAKVAARQAKRILGPIISSGWDFFEAMYFGGSMTEGFLRGTGTLFGTYVGGFHGEERLGRFGYLTGSHLGSWVGGRIGLMIYDVINGLKYMLQFVKPEYEASAYYSKESTEYAYSYRSGEREEPTYYETSEENQEESQGFSLF >ONIVA03G15520.1 pep chromosome:AWHD00000000:3:11237894:11239503:-1 gene:ONIVA03G15520 transcript:ONIVA03G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haem oxygenase-like, multi-helical [Source:Projected from Arabidopsis thaliana (AT3G16990) TAIR;Acc:AT3G16990] MDGGGVEAATTAAWMARHRGMYERATRHPFTVSIRDGTVDLSAFRRWLCCRQSDGSDMEIILGGLASLSDELSWFKKEAEKWSVNLAEVSPLKSNTEYCRFLQSFSEPEISYVVAITTFWIIETVYQDSFAFCIEEGNKTPPELLGTCQRWGSPEFKQYCQSLQRIADRCLAEASADAARSAEEAFLRVLELEIGFWDMSSSRS >ONIVA03G15510.1 pep chromosome:AWHD00000000:3:11236611:11237006:1 gene:ONIVA03G15510 transcript:ONIVA03G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILAVAAMATFQAPTTLPGPLAPTLPVRRNVVSFAGRRQGRALGRLAVVVAAGSPTPPELAQKVSESIKQAEETCAGDPEGGECAAAWDEVEELSAAASHARDRKKDSDPLEEYCKDNPETDECRTYED >ONIVA03G15500.1 pep chromosome:AWHD00000000:3:11232230:11232751:1 gene:ONIVA03G15500 transcript:ONIVA03G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPATEGSARRSAAAAAEEEEERQRNRKRSNRLSAQRSRMKKQQYVDGLAVEAEQLRRENDAMRAGAGAVLQRCRLVEQENRVLAAHARELCSALQLRASQLRLLGEVAGVPLDVPDVADHLVQLYGGDLGMTPLSPPPLLPPLPPQIEMLYFQPDSVMDPVSMLQGYENI >ONIVA03G15490.1 pep chromosome:AWHD00000000:3:11226958:11229500:1 gene:ONIVA03G15490 transcript:ONIVA03G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRSEHIWIELLKGSRKRGSLGFLAVGASSYLGKNIISVLPSQQILFFPQGVVMSFYGIAGLFISAYLWCTILWNVGSGYDRFDRKEGVVCIFRWGFPGIKRRVFLRFLMRDIQSIRIQVKEGLFPRRILYMEIRGQGAIPLTRTDEKFFTPREIEQKAAELAYFLRIPMENWWNTRQSQTLLTAIQEKRVLERFMELEDLFILDEMIKEKPNTHVQNPPIGIRKEIIQLAKIDNEVTDFFVGFHSTRGWELLIRWVYNDLGWVPNELIFTIFQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVLRIPYDMQLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLSFQSYRPNKKNILVIGPVPDKKYSEIVFSILSPDPAMKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNTLRTSIVNFKS >ONIVA03G15480.1 pep chromosome:AWHD00000000:3:11213201:11224361:-1 gene:ONIVA03G15480 transcript:ONIVA03G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0GLB8] MLRAAAATTAGFLPPRLAAETAPAARVVAAAGAEVRSSPLLRALGVPRGGAGIGSATPGRRMYFASGSDSSGSAADSDSEASSAAGAGEEESEGNRSSSAVAPAIIRPEDCHTDPKLLKALVENHKRSFPYAGAFLVKNEEDTDSNTVTRSDPKKSIHGLKGKELLKHLHEIGTLAKITSIQGDQVLLLGHCRLRITEMVEEDPLTVKVDHLKEKPYDKDNDSIKAIYFELLSTLRDVLKTSSLWKDHAQIYTQHMVDFNYQRLADFGAAISVTNKLLCQGVLEELDVSKRLMLTLELVKRELEITKLQQSIAKTIEEKITGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRKRIESRKEKCPSHILQVIEEELTKLQLLEASSSEFSVTSNYLDWLTVLPWGDYSDENFDVLRAQRILDEDHYGLTDVKERILEFIAVGKLRGSSQGKIICLCGPPGVGKTSIGRSVARALNRKFYRFSVGGLSDIAEIKGHRRTYVGAMPGKMVQCLKSVGTSNPLVLIDEIDKLGRGYSGDPANALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIEMIPGPLLDRMEIITIAGYITDEKMHIARDYLEKNTREACGIMPEQVEVTDSALLALIENYCREAGVRNLQKQIEKVYRKIALQLVRQGVSNEPAREITLVEPSEQPTGVSIATEVENKSQRDSLAEDVSVHVIPTDFSCEKINVVSLTTKSEVDHYEHPKGVSKETSADDKATPTNTSGKMEANEHTEEVMEALVDEALVDKTVEKVVVDASNLDNFVGKAVFQPERIYDQTPVGVVMGLAWNAMGGSTLYIETAKVEDGEKKGALVVTGQLGDVMKESAQIAHTICRSILHEKEPNNTFFTKSKLHLHVPAGATPKDGPSAGCTMVTSMLSLAMGKLVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSGIKTIIFPAANRRDFDELAPNVKEGLEVHFVDKYSEIYDLAFPSDSQALAS >ONIVA03G15480.2 pep chromosome:AWHD00000000:3:11213201:11224361:-1 gene:ONIVA03G15480 transcript:ONIVA03G15480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0GLB8] MLRAAAATTAGFLPPRLAAETAPAARVVAAAGAEVRSSPLLRALGVPRGGAGIGSATPGRRMYFASGSDSSGSAADSDSEASSAAGAGEEESEGNRSSSAVAPAIIRPEDCHTDPKLLKALVENHKRSFPYAGAFLVKNEEDTDSNTVTRSDPKKSIHGLKGKELLKHLHEIGTLAKITSIQGDQVLLLGHCRLRITEMVEEDPLTVKVDHLKHMVDFNYQRLADFGAAISVTNKLLCQGVLEELDVSKRLMLTLELVKRELEITKLQQSIAKTIEEKITGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRKRIESRKEKCPSHILQVIEEELTKLQLLEASSSEFSVTSNYLDWLTVLPWGDYSDENFDVLRAQRILDEDHYGLTDVKERILEFIAVGKLRGSSQGKIICLCGPPGVGKTSIGRSVARALNRKFYRFSVGGLSDIAEIKGHRRTYVGAMPGKMVQCLKSVGTSNPLVLIDEIDKLGRGYSGDPANALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIEMIPGPLLDRMEIITIAGYITDEKMHIARDYLEKNTREACGIMPEQVEVTDSALLALIENYCREAGVRNLQKQIEKVYRKIALQLVRQGVSNEPAREITLVEPSEQPTGVSIATEVENKSQRDSLAEDVSVHVIPTDFSCEKINVVSLTTKSEVDHYEHPKGVSKETSADDKATPTNTSGKMEANEHTEEVMEALVDEALVDKTVEKVVVDASNLDNFVGKAVFQPERIYDQTPVGVVMGLAWNAMGGSTLYIETAKVEDGEKKGALVVTGQLGDVMKESAQIAHTICRSILHEKEPNNTFFTKSKLHLHVPAGATPKDGPSAGCTMVTSMLSLAMGKLVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSGIKTIIFPAANRRDFDELAPNVKEGLEVHFVDKYSEIYDLAFPSDSQALAS >ONIVA03G15480.3 pep chromosome:AWHD00000000:3:11213201:11224361:-1 gene:ONIVA03G15480 transcript:ONIVA03G15480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0GLB8] MLRAAAATTAGFLPPRLAAETAPAARVVAAAGAEVRSSPLLRALGVPRGGAGIGSATPGRRMYFASGSDSSGSAADSDSEASSAAGAGEEESEGNRSSSAVAPAIIRPEDCHTDPKLLKALVENHKRSFPYAGAFLVKNEEDTDSNTVTRSDPKKSIHGLKGKELLKHLHEIGTLAKITSIQGDQVLLLGHCRLRITEMVEEDPLTVKVDHLKVSKRLMLTLELVKRELEITKLQQSIAKTIEEKITGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRKRIESRKEKCPSHILQVIEEELTKLQLLEASSSEFSVTSNYLDWLTVLPWGDYSDENFDVLRAQRILDEDHYGLTDVKERILEFIAVGKLRGSSQGKIICLCGPPGVGKTSIGRSVARALNRKFYRFSVGGLSDIAEIKGHRRTYVGAMPGKMVQCLKSVGTSNPLVLIDEIDKLGRGYSGDPANALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIEMIPGPLLDRMEIITIAGYITDEKMHIARDYLEKNTREACGIMPEQVEVTDSALLALIENYCREAGVRNLQKQIEKVYRKIALQLVRQGVSNEPAREITLVEPSEQPTGVSIATEVENKSQRDSLAEDVSVHVIPTDFSCEKINVVSLTTKSEVDHYEHPKGVSKETSADDKATPTNTSGKMEANEHTEEVMEALVDEALVDKTVEKVVVDASNLDNFVGKAVFQPERIYDQTPVGVVMGLAWNAMGGSTLYIETAKVEDGEKKGALVVTGQLGDVMKESAQIAHTICRSILHEKEPNNTFFTKSKLHLHVPAGATPKDGPSAGCTMVTSMLSLAMGKLVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSGIKTIIFPAANRRDFDELAPNVKEGLEVHFVDKYSEIYDLAFPSDSQALAS >ONIVA03G15470.1 pep chromosome:AWHD00000000:3:11192250:11208421:-1 gene:ONIVA03G15470 transcript:ONIVA03G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKHQPSGNAASISMVPLDFPSQELEKVNSVNPVVATDVPPEADVDVDMREVYFLIMHFLSHGPFKRTVGELCNELLEHQLLPRRYHAWYSRGGFHSGEENDDGISLPLGYLKLVERYPHIGKDHLVKLLKQLIFSSCHPHGLVGGVSPNAADVPTLLGSSSFSLLASTFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGLPISVLKGHTGVVTAIAFSPRPGAAFQLLSSHKCDFHTMKNAYACKGGDASSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSVDTTHTIKEENNLKLRNSCSFSYLTYCANCAFLVSTVSLQLKFSAFARFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIQDTNGNVIDQETQLAPYRRNMQDLLCDSGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQIIPVIDPDRWEPLPEITDFIELEPENEVISDDTDSEYDGLDEHSSEGEHEALNGDSSGASYSSGEIDGDNLTDSALRRSRRKKKKSDGGVVTSSGRRVKKRNFDERDVPQVSRPHKSRKSRNDRSSKRKKSPKSKGLRPQRRAARNALSFLSKIGASTEEDEDDSESSFSDSELNTESTEAEHLAWNGQLRLGRESNSRYDLDDVTQPSQFTETHGNSGSNRKLVLRIPRRDLKVQFTMGNRKTECSTQDREGLALSPTNREAVETKPNFEPGSSSALKAELTDGVQTEISDPHDVSALHNNSTIKWGEVKVRSSKRFKFGDSSAGDTWPTSNNAVPQNVDQPDSKKMLNGDEIQQTFELNSQEIQHAVNLENHKIDDCSEDNLLDKERIASDNNAHVDEGYEGEHDQQVHSTPQTISLKLKFRSRSFADGAGSSDKSRITTAVGNDMNSEHDKGHMLHDEGSALNQHTNDGILTVSKSLPERTDKSTSLDDSKKWHLDPAKTYSAVYKRSKTNKHKKNLDSDAYGNGESTSVSNDDDGYQPTDYSPVKPDSATLRRSARRSYAYTDDTTQAKNSYSSHEASTSGRRIVTDVRDVMWKSNSKTVGLRSTRNKRESSNFPGTHLLEKRKQVSMKYSWLMLLEHEDSYRYIPQLGDEVMYLRQGHEEYLKGSRQLDDCPWNRIKGLKDVELCKIQGLDYTTFRGSGESCCKLTIEFIDDTSRGFGRTFMITLPELVNFPDFLVERTRFEASVDRNWTNRDKCKVWWRNELEEGGSWWEGRVSAVKPKSLDFPESPWEKYVIQYKNDGSDHPHSPWELHDTGNLWVPWKHPHIDLGIKDKLLSELDNLLELSHRNQDRYGVLKLNSVAEKSDFINRFPVQFSIEVIRIRLENNYYRTLEAIRHDATVMLANAQSYFSKSTDMTKKIRRLSDWIEQTFSSL >ONIVA03G15460.1 pep chromosome:AWHD00000000:3:11190074:11191423:1 gene:ONIVA03G15460 transcript:ONIVA03G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKHGGKVTSDRRAGRRQHGQRCSASDAAPLVVVVILIVGALFLILGPTGSSSFTVPRIRVVFNEPVHVAVAAPPPPPPPAQMQAGANASSEEDSGLPPPRQLTDPPYSLGRTILGYDARRSAWLAAHPEFPARVAPAGRPRVLVVTGSAPARCPDPDGDHLLLRAFKNKVDYCRIHGLDVFYNTAFLDAEMSGFWAKLPLLRMLMVAHPEAELIWWVDSDAVFTDMLFEIPWERYAVHNLVLHGWEAKVFDEKSWIGVNTGSFLIRNCQWSLDLLDAWAPMGPRGPVRDRYGELFAEELSGRPPFEADDQSALIYLLVTQRQRWGDKVFIESSYDLNGFWEGIVDRYEELRRAGRDDGRWPFVTHFVGCKPCRRYADSYPAERCRRGMERAFNFADDQILKLYGFAHESLNTTAVRRVRNETSEPLDAGDEELGRLLHPTFRAARPT >ONIVA03G15450.1 pep chromosome:AWHD00000000:3:11176022:11177473:-1 gene:ONIVA03G15450 transcript:ONIVA03G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQDRHGGEAAADVGRRARHQRLLLSFPVFPIVLLLLAPCTIFFFTSGDVPLPRIRIEYARRDAPTITAVAADTSPPPPSPPSSSPPPLSFPPPPPPPSSPPPPALPVVDDHSDTQRSLRRLRQLTDSPYTLGPAVTGYDARRAEWLRDHTEFPASVGRGRPRVLMVTGSAPRRCKDPEGDHLLLRALKNKVDYCRVHGFDIFYSNTVLDAEMSGFWTKLPLLRALMLAHPETELLWWVDSDVVFTDMLFEPPWGRYRRHNLVIHGWDGAVYGAKTWLGLNAGSFIIRNCQWSLDLLDAWAPMGPPGPVRDMYGKIFAETLTNRPPYEADDQSALVFLLVTQRHRWGAKVFLENSYNLHGFWADIVDRYEEMRRQWRRPGLGDDRWPLITHFVGCKPCGGDDASYDGERCRRGMDRAFNFADDQILELYGFAHESLDTMAVRRVRNDTGRPLDADNQELGRLLHPTFKARKKKTSRAARPM >ONIVA03G15440.1 pep chromosome:AWHD00000000:3:11172600:11178415:1 gene:ONIVA03G15440 transcript:ONIVA03G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 2 [Source:Projected from Arabidopsis thaliana (AT1G56350) TAIR;Acc:AT1G56350] MASRLLTRSTAARLLSHLRSSGALSPTHHHHHHHLDHGAALASLLGLGRGGLPAAAGPWSPRDPPTRWFSSPATVAEAPMTADGLTVDSIAGKGWTILPEAESDWRSHAAAVAQSVKLIKKRLKWGWILERSRQLSVVLERPDLWDDPVFAGKVSREHGELMGKIKSVNQFEQELMEHIDMLRLAREEDDNELETETMRALAEMRRSAKEKELNALLSGDNDSCSCFIEVQAGAGGTESMDWAAMVMNMYSSWAQRRGYTVSIIEEMPGEIAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVVPILGDGSTRYQIKDSDLRIERFRSGGPGGQHANCTESAVRIVHIPTGITATCQNERSQHMNKASAMAVLQSRLDQLEIARQAQMNADHTQSLSEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLNGDLDDFILNFLSTSLDEAD >ONIVA03G15430.1 pep chromosome:AWHD00000000:3:11170242:11171869:1 gene:ONIVA03G15430 transcript:ONIVA03G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSNSSLETRTFLDEVRGLEKNWMVDLGHPLLNRVAESFVKAAGIGAVQAVARESYFMAMEGTCLFAAATGEGGGTGAVSDSTGARKRSFPDLNGGNSSKSAEAMVKSVSKESLQWGLAAGLHSGLTYGLTEARGTHDWKNSVVAGALTGAAVALTSDRASHERVVQCAIAGAALSTAANVLSGIF >ONIVA03G15420.1 pep chromosome:AWHD00000000:3:11163288:11169680:1 gene:ONIVA03G15420 transcript:ONIVA03G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVATPRGRSSQRRTRCKCAGGGGGDRAAACCFNPLRSLFRCPGRGRGRRSRSRSRHTTPSKVRDASVAGGVEQQSEEPSFFVYAMPNQGGGDGVTADHSKKKKKKKHSKPRLPSIRSCFRGKKNKERKANAAAVVARRQALTPAPSLVTHPPHSPSTPEKTQAATPSATQPPSPAVTENGRTNSPATPNRIIPATPRPGKHSTSSASAPSPFPPQWQQPKQVEGLEIVEVATGERLSAHDVGLIEMVGSSADVSAESSVKSSLDYANDPPQQLTVSSKRKPAVVKVTEPTRVWLNGNAVKGKAGERFTGPPVAGEADELWAHDIACSRVHAVMLAETSWAKRTPSATILMAAATSQSFLSPAPNPLLRPRILPFPAGGSVSLRGRRPAFPSVAAASTSMASSESEERKETKLWGGRFEEGVTDAVEGFTESISYDWQLYKYDIMGSKAHASMLAAQGLITAGDKDIILEGLDQIEKLIQDGKFEWRTDREDVHMNIEAALIEKVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILFRIKQFQVSLVLLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVNCRERLNFCPLGACALAGTGLPIDRFKTAKDLKFTAPMKNSIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVFGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAVLGMLEVCTEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVALCVSKNCQLAELGLDDLKSVHPVFEGDVYEYLGVENAVNKFISYGSTGSEQVKKQLEDWRTQLGISS >ONIVA03G15410.1 pep chromosome:AWHD00000000:3:11161685:11162554:-1 gene:ONIVA03G15410 transcript:ONIVA03G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62550) TAIR;Acc:AT3G62550] MDRATEEETAATGRRILVAVDEGDESVHALKWCLASFAKRGGGGGAAPPDTIILLYVRPPPPTYSVLDASGYVFSDEVAAAIDGYSKEVAEAVVEKAQKLCTLYGKEVGGDGEAGHEMKVEVKVAVGDARSVICQMADKLGADVLVMGSHGYGLFKRSLKRSRFQSQKLALLGSVSDYCVRNANCPVLIVKS >ONIVA03G15400.1 pep chromosome:AWHD00000000:3:11151843:11152475:-1 gene:ONIVA03G15400 transcript:ONIVA03G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLISSGAAVGASGGGKVVMADGSVRALSEPVSVAELMMDHPRHFVVDARDLQQQQRRHKGKAGAPPPPGGKVAPLPADHVLGAGGVYVLLPATTRGKVSAEEARRALTASRSLERSRSMPGRLRRKLSSKKMTQEADNDGNASENHAAAAEAERREETTAAARPPPADGFEEHRPEFLSRELSSRGWKPSLITIEERVAPKKVSHWLF >ONIVA03G15390.1 pep chromosome:AWHD00000000:3:11144641:11147734:-1 gene:ONIVA03G15390 transcript:ONIVA03G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGHGDAAERDIDDLPRNDANYTALTPLWFLERAAVVHPDRAAVVHGPVRYTWAETYRRCRRLASALAQRSVGPGCTVAVIAPNVPALYEAHFGVPMSGAVVNCVNIRLNAETIAFLLDHSVAEVVMVDQEFFTLAEESLKILAEKKKWSFRPPILIVIGDPTCDPKPLQYALGRGAIEYEEFLKTGDPEFAWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYVMALGVAMVWGMPEGAVYLWTLPMFHCNGWCYTWAMAAMCGTNICLRQVSSKAIYSGIVNHGVTHMCAAPVVFNNLINAPASETFLPLPRVVNIMVAGAAPTPSLLAALSIRGFRVTHTYGLSETYGPSTVCAWKPEWDRLPLEERSRLHCRQGVRYGALEGLDVVDPKTMAPVAADGKSYGEIVMRGNAVMKGYLKNPKANAEAFAGGWYHSGDLGVKHPDGYIEVKDRMKDIIISGGENISSLEVEKVLYAHPAVLEASVVARADEQWGESPCAFVTLKEGADSSDEAAVAGDIMRFCRERMPGYWVPKSVVFGPLPKTATGKIKKHELRTKAKELGPVKKSRM >ONIVA03G15380.1 pep chromosome:AWHD00000000:3:11136651:11140636:-1 gene:ONIVA03G15380 transcript:ONIVA03G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERDIDDLPRGGANYTALTPLWFLERAALAHPARASVVHGPVRYTWADTYRRCRRLASALARRSVGHGSTVAVIAPNIPAVYEAHFGVPMAGAVVNCVNIRLNAPTVAFLLEHSSAEVVMVDQEFFSLAEDSLRIIAEQKKGAFKQPLLIVIGDQTCDPVSLKSALSKGAIEYEEFLETGDPEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGALVWGMNEGAVYLWTLPMFHCNGWCYTWTLAALCGTSICLRQVTAKAIFSAIANQGVTHFCGAPVVLNTIVNAPPADAILPLPRVVNVMTAGAAPPPSVLASMSKLGFRITHTYGLSETYGPSTVCAWKPEWDELPDDERARLHARQGIRYVGLEGLDVVDPKTMAPVPADGSTLGEIVMRGNGVMKGYLKNPRANAEAFENGWFHSGDLGVKHTDGYIEVKDRAKDIIISGGENISSLEVEKAVYQHPAVLEASVVARADEQWGESPCAFVTPKDGADSSDEAALAGDIMRFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRM >ONIVA03G15370.1 pep chromosome:AWHD00000000:3:11135310:11135810:1 gene:ONIVA03G15370 transcript:ONIVA03G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVELLPLRHCLRAVAANMLPSPEVDLKPPLSIRATNVISPPATRQPGEGDVRGPREVRMSSALSGSPPDPPRSPAERELSPSQICLELQSGGRIGARRRLGVASTGARRRLGAAATGARAQLGVAAIRCEGGEAAAPQPRRMDLAGPSLLCPVPSPLGPLRPAP >ONIVA03G15360.1 pep chromosome:AWHD00000000:3:11124457:11126661:-1 gene:ONIVA03G15360 transcript:ONIVA03G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCDEAKSLASMEEEVCIEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSNGSKAAVETLKPKLKNASSPAPSSQANLRIKLSREAYQNAAAAGDLEATRGQVD >ONIVA03G15350.1 pep chromosome:AWHD00000000:3:11116705:11116932:-1 gene:ONIVA03G15350 transcript:ONIVA03G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTLASYALLRSCGCLLAATAMLTAALQHILVVLDAAGMESAALEGKLASYSHGHWPLLQQNTHRAKLQGTPL >ONIVA03G15340.1 pep chromosome:AWHD00000000:3:11091962:11094540:-1 gene:ONIVA03G15340 transcript:ONIVA03G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPTSAPEKKRKWLLSNRKVIDKYLREARAILATAPEAGGGDAVAALGLVDAALELSPRMESALELRGRALLSLRRYRDVAEMLRDYIPSCAKTCSGDDTLSSSTSSSLSSSGSGDLGTISRAKLLSPDRHRSDAAAEPGAAAARSFRCFDISELKRRVLASLSKNPNTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVCLSEDSFSSSSPAAAVAPIPSGNTTKSGSAFIIPAMESEAVSQLLAHVKLLLRRRTAAMAALDAGLPAEAVRHFSKILEARRGVLPHPFAAACLVGRAAAFQAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALADCLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLTARIQGLRSRVAAGEACNIDYYALLGVRRGCTRSELERAHLLLTLKLKPDRAVVFGERLELVDEHRDLEAVRDQARMSALLLYRMLQKGYSFIMSAVIDEEAAERQRAREAAAAAAAAAAAAAAAAAAALAVKQEATKQELAAPPMPEKPRQTESAPCAKRSMASKAKPKAKPAATVPSMMSRKAATTTTTAKAAMSKAAVTAPKAAAATAVAATAVAAAATAASTSTAAPVYQGVFCRDMAVVGTLLSRGGFVDRAMPVKCEAMSC >ONIVA03G15330.1 pep chromosome:AWHD00000000:3:11072348:11091949:-1 gene:ONIVA03G15330 transcript:ONIVA03G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSISRTSPARSDAEGTDHAGMDGTEDPARWRETGEKWEVRSEHQRQRRENPREAENSPLLSTPLQLPVHAGPRVTHGTGSRELQRPRFVYPLICLGLDAEEPVHRVETSPVLSPPNHVTPRPPCSCSNRDQPRRRDELFPFASGESFTHIHTDTFTRVQDASCSVSHGRVSRRCSDSRRRWAVGEPVKQMRNDSLPAIPFKSRTGQYITWKKNNQCCSAASKT >ONIVA03G15320.1 pep chromosome:AWHD00000000:3:11071442:11078895:1 gene:ONIVA03G15320 transcript:ONIVA03G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPISGSDDDDEHLFAGVRFFLVGFDPLSESQYRSEMVRRSGVDAGRFGSGCTHVIVCGLVYDDPACVAARAEGKKVVTELWVEASLDRGMLADADRVMYWPVRDLNGIAGSESLRICLTGYQRSDREDIMSMVSLMGAQFSKSLNPDVVTHLICYKFEGEKYEAAKKAKLKFNFNIKLVNHRWLEDCLKCWKILPVDDYSKSSWELEIMEAQAKDSEDEEDVGQRSFRNKIVRSTLNPKGSAGTSANPVVNAPIRSPTISSGNIGMVLEKQPNIPGEIRKAEDAVNRIHDVAAQGTPRTRLAMSANTDFSTPSQIPFIHSDSRGDAAVRDLKNADQIQGNKHKDVGTKTLDVTSGASGTPCSSKMVVSANHNVHSLNKTNFVEDHGDTDASKADLTTPSREILPANVLDSSNVARGRSQEDYGATCAPDAAAGQSTINDNVTNNNIGLKSGNNASLNINNKSSLKPLEKSVLPEQYSANRIGPLQGAEGSMMRADSSISTARKGDKIIADLAGVQVLKGGENIQDENVLDGAYSQKKKCSISPVLFKVQNGDTGKETGALNSPSANRLSDTSEPAIWSSVGTNPSEANNVDLGKQQSGSSKSRSRTALKHGNLVDGIKLPEYSSSETNAQPPPKAKELLATSLSATVHDVKRCPDFSFQSKDGDYAQDSGNALNQDGSPLMRKTENVLAKVRTSDISLHSSRKSKLVPSSGNGDTEMSDALDIEKNKAAVASNCKPEKVVPDENIKADQLKDFPGTSNNVLRQTGYLKKVASRKGMKASTKRPRSASKVVDEPVVDDGKTKTVVSESEPDKMIAHKHIGETAKDGPDSVNAAEHRTNSSDKVLTDGASRISRRLQNVHTMKNDRHAASNLESSKMISEENTGIGITPKKFVSNATTEGHQTNSPKMLPNTSMRNTFAKRSRVSDTKMTGESSADKTETVAGKSLFDDLFPSQNIDHPKKLSSSASADGCGSLSCKNASPARVRNAVAKRKIKALEDKSDSKLGKIGGAIVSAAKAVASRGIEESSCNINKVSSDQNSVKTDGMRDVSGLFSSDTSVIDRSENLNNSKLRCSKRNKSLSLDHEKENMQDNGTLSSKSNGRTAIMISNLDANSMKHGANMFNEPNRIKGNGPGTLITPEPTCFILSGHRQQRKDYRSILRRLKARVCRDSHHWSYQATHFIAPDPLKRTEKFFAAAAAGKWILKTDYLTSCNEVGKLLDEEPFEWSGTGLNDGETISFEAPKKWRVLRQQMGHGAFYGMQIIVYGQLVSPSLDTVKRAVRSGDGTILATSPPYTRFLNSGVDFAVVSSAMPSADAWVQQFISHDIPCITADYLVEYVCKHGHPLDRHVLFNTNDLANKSLKKLLQNQQEVATDVLKPQEDGDPDDLSCSACGSTDRGEVMLICGNEDGSTGCGVGMHIDCCDPPLEAVPEHDWLCPQCEMPKATKKSASRVASKSRVSKRKS >ONIVA03G15310.1 pep chromosome:AWHD00000000:3:11069025:11069968:-1 gene:ONIVA03G15310 transcript:ONIVA03G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQCPSKHFLLAAAVIFCMTIPSCKAQDAVEIVAKAALCFDNHTVINKCLQQIGIDSNARASTQGAGGAVLDASANASAALCDTPCFEHMLMMTDCMDDILSNFQGYSAGLIKGYRAVFQMSCRVVTAAAAGGGGSSSSSNGTANATVAGGGDADDRHSPSHGAAKGNSLVSRTGSAVANGAGGRRLRVGNLVWAAILAVTV >ONIVA03G15300.1 pep chromosome:AWHD00000000:3:11067542:11068365:-1 gene:ONIVA03G15300 transcript:ONIVA03G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAARLSRFAVVVLLLCLCAPFCKPEPDGDVPIDPLPGLPSRSLRCFDDGQVYSCCEGAYRLNPSGVIAVPPGEVDSYCGGACVVETEDVLNCVASALDGFRFFNGASVEDARYALRRGCSHTIKRAGSFRAGDFNDLEPQMGDYPDIYGDNSSDGGEDNASSPRLLAFLGAAAAAAWLLLFGR >ONIVA03G15290.1 pep chromosome:AWHD00000000:3:11061834:11062088:1 gene:ONIVA03G15290 transcript:ONIVA03G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLFPYPLLLLTARCIRDGGGGGGGRTDPIPSRRRASSHGRAGIGQWLERGRAERHAAGRGLKARLGGGSIGGGRAPAGEQG >ONIVA03G15280.1 pep chromosome:AWHD00000000:3:11041693:11047515:-1 gene:ONIVA03G15280 transcript:ONIVA03G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTTEEDEKLAGYIAKHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRAGVKRGNISNQEEDVIIKLHATLGNRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTYRRTYTAPSEAAVTIDVTKLQAAGKRRGGRTAGQSRKGDKKRAEDDPPKETAAADTPLPESSPRRAQSDEARSGSVVVDPEEPSSQPNNGSSGGGGGTPDGPCSEETATGPTSLDPMEMGLWEAESEFAEMEALLCGGVAPDGPGIPGLEPLDVAAQADDLLDMDWDGFAADLWGDPAQRGGLVQDAGEPNDSMGCSSDELESFASWLLSDSC >ONIVA03G15270.1 pep chromosome:AWHD00000000:3:11028363:11032345:1 gene:ONIVA03G15270 transcript:ONIVA03G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGLPGDRKPGDGGAGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVVSQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKAIRSDGAHSVPANDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >ONIVA03G15270.2 pep chromosome:AWHD00000000:3:11028363:11032345:1 gene:ONIVA03G15270 transcript:ONIVA03G15270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGLPGDRKPGDGGAGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVVSQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >ONIVA03G15260.1 pep chromosome:AWHD00000000:3:11022907:11025803:1 gene:ONIVA03G15260 transcript:ONIVA03G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GL95] MAMVPADADAAAKPPPDVEKPDYSSQNGAPNSAAAAAGGGGGGVVDSVVARWRREDMLDKSPLALHAAAAAFAFVALVLVASNQHGDWMEFDRYQEYRYLLAIAALAFAYSLAQALRHALRMRRGVDPVPTASGRLLDFASDQVVAYLLMSALSAATPITNRMRSAVINRFTDTTAAAISMAFLAFVSLALSAIVSGYKLSKQTYM >ONIVA03G15250.1 pep chromosome:AWHD00000000:3:11019488:11020989:-1 gene:ONIVA03G15250 transcript:ONIVA03G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGREVAGSGVGAAGEGERASRRDGCGGRKEEEAVGARAAAGEEAVGAVERLWDAADDAEQDGGGLVTIFLVVVAVDGCRAEQFGREGEAASKPVRACPLLPRSAALARRPSAHRCFACHSHLPRLRPSLPLSCFFPVRRRAHSTTGRRARICPAARECAADMWAPCWFQLNFDGSVYHDGSGSASIGGAIRGPASVAFAETTDHWSIGVRGGGPRGALIRGLRLVSLSPVSWRGWWLRATTVQLLRGDWRGDTDADPGRPARRDRHLARLLRRGWRAACLPGEQPQVADDVLCPRRTGIPESGRRRPPSPRRPTMTAAASRTTRMRYSDNQEGFLEMARSCGCSRRCTHKRRAVAVRPLVQRTWRQRSSPWPKSVHGHAAGSINSTDMANSSLSLLFV >ONIVA03G15240.1 pep chromosome:AWHD00000000:3:11018165:11018701:1 gene:ONIVA03G15240 transcript:ONIVA03G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGSFDVVRIVHLNGHIEEYARPVTAGEVVAAHPSHVLSRPCSQGGARRILIVSPESELKRGCFYFLVPASSVPEKKIKRKPSSSSAPPRPRPQQKKAPRPPPTPETSVLARDATVAAKNGGDSYLAEVLAEGKATGCKRRRSVRAAVWRPHLQIIAEEEDAAHE >ONIVA03G15230.1 pep chromosome:AWHD00000000:3:11010985:11013684:1 gene:ONIVA03G15230 transcript:ONIVA03G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTIGPVTMRLCFFSAAALFLLCFLLPAAVAEERFYEFVVQETLVKRLCNTQKIITVNGQFPGPTIEVYDGDTVAIRAVNMARYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGGSYTYRFAIQGQEGTLWWHAHSSWLRATVHGALLIRPRPGVPYPFPKPHSEFPIILAEWWRRDPIAVLRQSMITGAPPNVSDAILINGQPGDFLECSAQVPDLVKPTKATFGLEKSIPTVVYSIGRRDERCIVLFRCLQRDKMKRQRFSRLLTMHAVAVTETSIIPVAAGETTLLRIINAAMNTELFVSLAGHKMTVVAADAMYTKPFETTVVLLGPGQTTDVLVTAHAAPGRYYLAARAYASAQGVPFDNTTATAIFQYKGGAGCPTTAGGAGAAGAVAGAGVGAGAAGGAGAVAGAGAGTFNGSLGRSKYSGGNPGRAGPAPMLPYLPAYNDTNTATAFSNSIRNPAPVKVPGPVTQEVFTTVGFGLFNCMPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHHIPGVFTDDFPPMPPVFFDFTSQNVPRALWQPVKGTKLYRVRYGAVVQIVFQDTGIFAAEEHPMHIHGYHFYVLATGFGNYDPVRDAHKFNLVDPPSRNTIGVPVGGWAVVRFVADNPGVWLVHCHIDAHLTGGLGMALLVEDGEAELEATMAPPLDLPLCAL >ONIVA03G15220.1 pep chromosome:AWHD00000000:3:11005500:11008328:1 gene:ONIVA03G15220 transcript:ONIVA03G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inflorescence meristem receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT3G51740) TAIR;Acc:AT3G51740] MDVCRSRNQCDVGSRGGFVKMLMVMLVAAAMAAVPAGGQPSDGVVIAQADLQGLQAIRQALVDPRGFLRGWNGTGLDACSGGWAGIKCAQGKVVAIQLPFKGLAGALSDKVGQLTALRKLSLHDNALGGQLPASLGFLPELRGVYLFNNRFAGAVPPQLGGCALLQTLDLSGNFLSGAVPASLANATRLLRLNLAYNNLTGAVPSSLTSLPFLVSLQLSSNNLSGEVPPTIGNLRMLHELSLSYNLISGSIPDGIGSLSRLHSLDLSNNLLSGSLPASLCNLTSLVELKLDGNDIGGHIPDAIDGLKNLTKLSLRRNVLDGEIPATVGNISALSLLDVSENNLTGGIPESLSGLNNLTSFNVSYNNLSGPVPVALSSKFNASSFAGNIQLCGYNGSAICTSISSPATMASPPVPLSQRPTRKLNRRELIFAVGGICLLFLLLFCCVLLFWRKDKQESESPKKGAKDATAKAAAGKSGGGGGGSGGAGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMENGTFVAVKRLREKIAKNQKEFEAEVNALGKLRHPNLLALRAYYLGPKGEKLLVFDFMTKGNLTSFLHARAPDSPVNWPTRMNIAMGVARGLHHLHAEASIVHGNLTSNNILLDEGNDARIADCGLSRLMNATANSNVIAAAGALGYRAPELSKLKKANAKTDIYSLGMIMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAAGSETGEELVKTLKLALHCVDPSPAARPEAQQVLRQLEQIKPSVAVSASSSFTGEPSQTTATATTITDDTKSTITE >ONIVA03G15210.1 pep chromosome:AWHD00000000:3:10996777:11001975:1 gene:ONIVA03G15210 transcript:ONIVA03G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62350) TAIR;Acc:AT1G62350] MLRRLLPSRHHCVLLQTLPPPATAAREILRRRQCSSVVSSSPSLSIWRRKKEMGKEGLMVVGQLKRLAALPPAGGSPRLEQFMRSHVSRLLRNDLLAVLAELLRQDHVLLSMKIYSVVRKEIWYRPDMYFYRDMLYMLARNKKIEETRQVWADLKSEDVLFDQHTYGDIVRAFCDAGLIDLAMEFYEDMRSSPDPPLSLPFRVILKGLVPYPDLREKIKQDFLELFPDMIVYDPPDSLSDVDDEFKF >ONIVA03G15200.1 pep chromosome:AWHD00000000:3:10986445:10987134:-1 gene:ONIVA03G15200 transcript:ONIVA03G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIPASSPGIPHQHQHQHHRALAGVGMAVGCAAEAAVAAAGVAGTRCGAHDGEVPMEVARHHEHAEPGSGRCCSAVVQHVAAPAAAVWSVVRRFDQPQAYKRFVRSCALLAGDGGVGTLREVRVVSGLPAASSRERLEILDDESHVLSFRVVGGEHRLKNYLSVTTVHPSPSAPTTATVVVESYVVDVPPGNTPEDTRVFVDTIVKCNLQSLAKTAEKLAAGARAAGS >ONIVA03G15190.1 pep chromosome:AWHD00000000:3:10968470:10971802:-1 gene:ONIVA03G15190 transcript:ONIVA03G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics;transferases;[acyl-carrier-protein] S-malonyltransferases;binding [Source:Projected from Arabidopsis thaliana (AT2G30200) TAIR;Acc:AT2G30200] MTTSPPAGCSFLKGPHTGIQPNAATSRRSPPPTEFHLQPRELRLHLAAAPPASSRHPPRLQSSRPRRCMLRCPPPRRLCLRLRLRLGSPVSTMASTLAFLRPSAPAPLAASRGAARGVPAAVRVPCRSRVSAAGVSLGSEVAVGSDALFADYKPTTAFLFPGQGAQTVGMGAEAVNVPAAAKLFDKANDILGYDLLDFCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRSRDGGQNVIDSVDVTCGLSLGEYTALAFAAAFSFEDGLKLVKLRGEAMQDASDAASSAMVSVIGLDSEKVQQLCDAANEEVDEKERVQIANFLCPGNYAVSGGVKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALAETEIKTPRIPVISNVDASPHSDPDTIKKILARQVTSPVQWESTVKTLMGKGLEKSYELGPGKVIAGILKRIDKGASIENIGA >ONIVA03G15180.1 pep chromosome:AWHD00000000:3:10961084:10967685:1 gene:ONIVA03G15180 transcript:ONIVA03G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNADVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDMYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDCILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >ONIVA03G15180.2 pep chromosome:AWHD00000000:3:10961084:10967685:1 gene:ONIVA03G15180 transcript:ONIVA03G15180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNADVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDMYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >ONIVA03G15180.3 pep chromosome:AWHD00000000:3:10961084:10967685:1 gene:ONIVA03G15180 transcript:ONIVA03G15180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDCILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >ONIVA03G15180.4 pep chromosome:AWHD00000000:3:10961084:10967685:1 gene:ONIVA03G15180 transcript:ONIVA03G15180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDCILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >ONIVA03G15180.5 pep chromosome:AWHD00000000:3:10961084:10967685:1 gene:ONIVA03G15180 transcript:ONIVA03G15180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDCILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >ONIVA03G15170.1 pep chromosome:AWHD00000000:3:10959396:10960016:-1 gene:ONIVA03G15170 transcript:ONIVA03G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIMNKLGGYWLGQKANKEISSAGDDIEVRFFFLSFDRILFLCRCPDDDRRRRRRRRRRRVVVQSLSTSVGEGAKWLVNKLKGKMQKPLQELLREHDLPEGLFPREATNYEFSPETRRLTVHIPAACEVGYRDGSVLRFDATVSGTLEKGRLAEVEGLKTKVLVWARVTAVRADAAKVHFTAGIRKSRSRDAYEVVRGGIIVEEF >ONIVA03G15160.1 pep chromosome:AWHD00000000:3:10948818:10958663:1 gene:ONIVA03G15160 transcript:ONIVA03G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADYRTPDRLLSAAAPGEEQAQDPPKPVLAVAATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQAGAPPCRPVLSLGAALRAGVSGEGGVRALYRGLPAMALGAGPAHAVYFSVYEFAKSRLSERLGPNNPAAHAASGVLATIASDAVFTPMDTVKQRLQLTSSPYTGVSHCVRTVLRDEGLGAFFASYRTTVVMNAPYTAVHFATYEAAKRMLGDMATNEDSLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCERFSSSSIGDVFRTIIKRDGYAGLMRGWKPRMLFHAPAAAICWSTYEASKSFFERFNEKRRK >ONIVA03G15160.2 pep chromosome:AWHD00000000:3:10948818:10958663:1 gene:ONIVA03G15160 transcript:ONIVA03G15160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADYRTPDRLLSAAAPGEEQAQDPPKPVLAVAATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQAGAPPCRPVLSLGAALRAGVSGEGGVRALYRGLPAMALGAGPAHAVYFSVYEFAKSRLSERLGPNNPAAHAASGVLATIASDAVFTPMDTVKQRLQLTSSPYTGVSHCVRTVLRDEGLGAFFASYRTTVVMNAPYTAVHFATYEAAKRMLGDMATNEDSLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCERFSSSSIGDVFRTIIKRDGYAGLMRGWKPRMLFHAPAAAICWSTYEASKSFFERFNEKRRK >ONIVA03G15160.3 pep chromosome:AWHD00000000:3:10948818:10958663:1 gene:ONIVA03G15160 transcript:ONIVA03G15160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADYRTPDRLLSAAAPGEEQAQDPPKPVLAVAATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQAGAPPCRPVLSLGAALRAGVSGEGGVRALYRGLPAMALGAGPAHAVYFSVYEFAKSRLSERLGPNNPAAHAASGVLATIASDAVFTPMDTVKQRLQLTSSPYTGVSHCVRTVLRDEGLGAFFASYRTTVVMNAPYTAVHFATYEAAKRMLGDMATNEDSLAVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCERFSSSSIGDVFRTIIKRDGYAGLMRGWKPRMLFHAPAAAICWSTYEASKSFFERFNEKRRK >ONIVA03G15160.4 pep chromosome:AWHD00000000:3:10948818:10953901:1 gene:ONIVA03G15160 transcript:ONIVA03G15160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRGQRPPPLQLAVACGFVHLICLAAVAGGGGPCEFSVARGGKLYSFSLASPTTAHRHGVLSEDGFYKVAVNNSVLWFQLCDQMIFNFDPPVCLNCEDCGGPQRCGTQCSALVSNNRGGYDVCTTIGRVSKSHISLIDDINPQKGVVVKMFSSKCSISVYIYCDSTVAHATTLRHPSGCAQSMSASGNGWGWLATSFVTILCLLGGYILIGSIYRYYFLGIHSVEAIPNLEFWISLPQTIKSMLLPAAQGRNRQSRDTYAPVDH >ONIVA03G15160.5 pep chromosome:AWHD00000000:3:10948818:10953392:1 gene:ONIVA03G15160 transcript:ONIVA03G15160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRGQRPPPLQLAVACGFVHLICLAAVAGGGGPCEFSVARGGKLYSFSLASPTTAHRHGVLSEDGFYKVAVNNSVLWFQLCDQMIFNFDPPVCLNCEDCGGPQRCGTQCSALVSNNRGGYDVCTTIGRVSKSHISLIDDINPQKGVVVKMFSSKCSISVYIYCDSTVAHATTLRHPSGCAQSMSASGNGWGWLATSFVTILCLLGGYILIGSIYRYYFLGIHSVEAIPNLEFWISLPQTIKSMLLPAAQGRNRQSRDTYAPVDH >ONIVA03G15150.1 pep chromosome:AWHD00000000:3:10947729:10948330:1 gene:ONIVA03G15150 transcript:ONIVA03G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1278) [Source:Projected from Arabidopsis thaliana (AT1G76750) TAIR;Acc:AT1G76750] MACSGSFLPIMLLPLLLAGAAVAGGAPPGLGLAQRLADGVGQQQQQCWEVLMEIKSCTGEILLFFINGEAYLGPGCCRAIRVIEQSCWATDAMLSVIGFTPEEGDMLKGYCDAGDEHKPSPPPASPAVGYVAVGENAAAPAGRKSLALQHR >ONIVA03G15140.1 pep chromosome:AWHD00000000:3:10944275:10947110:1 gene:ONIVA03G15140 transcript:ONIVA03G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G58480) TAIR;Acc:AT5G58480] MRPSRRRHPLPPLAPGLLLLLLLHALAPPPAAAAVGVNWGFSSSHPLPAAQVVRGLLLPNSVPRVRLAAASPDALAALSGTGVAVTVGVPNELLRPLATSRKAAAAWVHDNVTRYASGVRYVAVGDESFLLNHGQQNQSFLVSAAANIQRALVDAKLSNKMKVVVPCSSDVYLNTSALPSKAYFRPEVNETIAELLSFLANHSSPFMVELNPFSSFQHKKNLSLDYYLFQLMSHPVSDGHIKYDNYFDASIDALVTSLTKAGFSNMDIIVGRVGWPSDGAVNATPAIAQSFMTGLVNHLARKSGTPLRPKVPPIETYLFSLLDEDQRSIASGSYERHHGIFTFDGQAKYYVNLGQGSKALENAPDVQYLPSKWCVLDNNKDISNVSSSFSAACSNADCTALSPGGSCSGIGWPGNVSYAFNNFYQQHDQSEEGCSFNGLGLITTVDPSVDNCMFNLAIDTSTAASFHPILAMLQILVLFFCTYNLL >ONIVA03G15130.1 pep chromosome:AWHD00000000:3:10940398:10943725:1 gene:ONIVA03G15130 transcript:ONIVA03G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEAHACEDRYNKSKLVHSIMRHVAETLGIDLEPLYQRIGWPLYRKYGHAFEAFKLIVADPDAILDSLTYEEKETGADGQEVTKVVPAVTPEVKDALVKNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKQAMRKAEAAGNDNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTDAIKACTAEIEKHKGKLIVKEAPRAVSEREDKLFNEHIETLNEQNAEVDGDADSEEEEDTGMGDIDLTNSGVNAD >ONIVA03G15120.1 pep chromosome:AWHD00000000:3:10936955:10939950:-1 gene:ONIVA03G15120 transcript:ONIVA03G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPAAGADGASASQAAVVEPIRLPTPEEIKGQDIWNNCAVRSVVSGVMGGGLGVLMGLFFGALENPITAEEMTARQQIVYQAKQMGRRSISNAKTFAVMGLIFSAAECVIEKARAKHDTTNSAVAGCVTGGALAAKGGPKATCVGCVGFATFSVMIEKFLDRHS >ONIVA03G15110.1 pep chromosome:AWHD00000000:3:10934491:10936343:1 gene:ONIVA03G15110 transcript:ONIVA03G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVGEEERREQQQEETMSMAQGKERGGEEDAGGGGESGFLTTMASKIGAAVSGADGSGGAEEEGGEGDGDVNVGGGVETDGDGGFLTTMASKIGAAMSGGNGNGRAEEEEGGEHNGDENVVAASGGGEEERKRKRDGNGGGGIFSKLMSGSPDSLPASVEAEENEREGGDQGGEKAGILSTVASKIGIAMSGTDGRENHGNEDDAKIRNGNAADHGKAEEKRDEPNGGGIVKQIMSNLPADDQAPDAEEASLLIAIIDD >ONIVA03G15100.1 pep chromosome:AWHD00000000:3:10930577:10932843:-1 gene:ONIVA03G15100 transcript:ONIVA03G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G28470) TAIR;Acc:AT3G28470] MGRPPCCDKANVKKGPWTAEEDAKLLAYTSTHGTGNWTSVPQRAGRLKRCGKSCRLRYTNYLRPNLKHENFTQEEEELIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMQSIGTLAIRPPPAAGAAPPPCLPVFHDAPYFAALQHQHQQQQVVTHVDADAPASPDSQHLQLNWSDFLADDAAGHGADAPAPQAALGQYQEGSAPAATAVVGGGRAFGDVDGASAGVGAGTDDGAGAASAFIDAILDCDKEMGVDQLIAEMLADPAYYGGGGGSSSSELGWGC >ONIVA03G15090.1 pep chromosome:AWHD00000000:3:10926688:10929035:-1 gene:ONIVA03G15090 transcript:ONIVA03G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNPLRRWKPFLAAFASVDGAIEAADPGGLSRDEFRRARGRIVEMLRGAEGEAEAEGLCLVLDDVMAESLLTLRLVPVTARTLATTDLAGIVGALRRHESERIRGLATDIVRGWRVAVRRELVRIGIAMEKLSQTPERIEADRRVRASSDLDTKVKHATPTSLPKRIVIEADQRVRASPDLDMKVKHASPAPPFKKKATADCSSRVDLAKTSKPSLTKTSAPPVVAGARVKAPDMGSATKANPPKKLPAVTGRAGGRRDGIKPYHIDGEKLTVAAKRLDVYQEAEEAQKRHKSADMGAAAKPKDPALPPKKSPAVVACAGRLESIELRNDDEKIAAAKRKLHEGYREAEEAKKRRKMADMGAAAKPKEPALPPKKLPAVVASAGRREGIELRNEDEKIAAAKRKLREGYQEAEEAKKRRKIHVIEDPEILKQRQKKMHPILSLRSRASHEDETREHEREPASAELDLAMAAGPRGRLLLVALVSAAAVVAGALLPGCAAAAAAGEKVPLALYYETLCPYCSRFIVNHLAGIFEDGIVDAVDLRLVPYGNAHVVGANNTISCQV >ONIVA03G15080.1 pep chromosome:AWHD00000000:3:10923687:10925122:-1 gene:ONIVA03G15080 transcript:ONIVA03G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07080) TAIR;Acc:AT1G07080] MAAIRRFRPALLLAAILLLAAGAVEGKKSGKVDVALYYESLCPYSAMFVVGSLAKVFRDGLLDAVDLSLVPYGNARVKDGKISCQVEHGSEECFLNTVEACAIDAWPDLRVHFRFIYCVEDLVVNHKQREWESCFGKLNLDPKPVTDCYKGERGHQLSLKYGRQTDALQPPHKYVPWVVVDGQPLYEDYENFEAYICKAYKGHPPKVCEGLARPPTPTVLEVAEAGNRVSYYDSGDIRLKPDEDGHAKIKKVVPDDDD >ONIVA03G15070.1 pep chromosome:AWHD00000000:3:10910256:10925483:1 gene:ONIVA03G15070 transcript:ONIVA03G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGSNAGVVTELLSGAGSGAITKLNDATSTSTRGERGLERFDDFTIASSWERFISEIEAICRQWLADGPNILTQKGAESVPSFDNLYVVKRELKHGKRVYCMEYHFMKSAKGKHSYWDDDTHSMQLSFGVYEFLIIAPLSASGVVLDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAYIGIQNLGTVFTRRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSSTDFPARVKVIFSMKLTYRTPEYDYYNEETLVSEANESIAESEVANHPKKQWDDDCSWAEWYSAEDPVKGFELTAIWGERVFEETLEMAEVENASSFDADRWLLHPIVSPYMVDDSIGKFVGFASQLQLLVKAFESSAEAQFLEDFVADTSGQENSKSTVTVPPPSVVDRVMKDLFNDEVGNSNYVEAENKYGRAMKGAPSDSLFAQFCLHALWFGNCHIRAIAVLWIDFVREIRWCWEESERLPRMKSTSSIDLCTCLIHQKLHMLAICIERKKSLSREKGTDHAHEDGISNSVAQNKTRKGSAGVVPSMMLLNTFQEMHAPYTQDALLMTEDMHEERLHAAEAFGNAVGLSGQLERDVLSSDMSAFKAANPDAVFEDFIRWHSPGDWVSEDGAEGSSGWPPKGRLSQRMSEHGNMWRKIWNDAPALPVSEQKFLLDPIREGEKVIHYLETLRPQQLLEQMVCTAFKSSADILNRTTYGGFKLMKTKMDQLYATLASTLKSLQGKSDISDLSDDLKRLCQVFEHIEKLLILAASVHRKLIDAPRLSQAIFADYYNYYLPKMGTSLESVCYEKEFTAKEKVGMHERDAVSNLFPPPTANQSWRKVLSMGNLLNGHEPIQREIVFSVIERISNGHYSSPTPLSTDEQIETHRMYISGTSNDLWVALSVTSWD >ONIVA03G15070.2 pep chromosome:AWHD00000000:3:10910256:10925483:1 gene:ONIVA03G15070 transcript:ONIVA03G15070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGSNAGVVTELLSGAGSGAITKLNDATSTSTRGERGLERFDDFTIASSWERFISEIEAICRQWLADGPNILTQKGAESVPSFDNLYVVKRELKHGKRVYCMEYHFMKSAKGKHSYWDDDTHSMQLSFGVYEFLIIAPLSASGVVLDDPESTKLLSSVAIALSNCGRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSSTDFPARVKVIFSMKLTYRTPEYDYYNEETLVSEANESIAESEVANHPKKQWDDDCSWAEWYSAEDPVKGFELTAIWGERVFEETLEMAEVENASSFDADRWLLHPIVSPYMVDDSIGKFVGFASQLQLLVKAFESSAEAQFLEDFVADTSGQENSKSTVTVPPPSVVDRVMKDLFNDEVGNSNYVEAENKYGRAMKGAPSDSLFAQFCLHALWFGNCHIRAIAVLWIDFVREIRWCWEESERLPRMKSTSSIDLCTCLIHQKLHMLAICIERKKSLSREKGTDHAHEDGISNSVAQNKTRKGSAGVVPSMMLLNTFQEMHAPYTQDALLMTEDMHEERLHAAEAFGNAVGLSGQLERDVLSSDMSAFKAANPDAVFEDFIRWHSPGDWVSEDGAEGSSGWPPKGRLSQRMSEHGNMWRKIWNDAPALPVSEQKFLLDPIREGEKVIHYLETLRPQQLLEQMVCTAFKSSADILNRTTYGGFKLMKTKMDQLYATLASTLKSLQGKSDISDLSDDLKRLCQVFEHIEKLLILAASVHRKLIDAPRLSQAIFADYYNYYLPKMGTSLESVCYEKEFTAKEKVGMHERDAVSNLFPPPTANQSWRKVLSMGNLLNGHEPIQREIVFSVIERISNGHYSSPTPLSTDEQIETHRMYISGTSNDLWVALSVTSWD >ONIVA03G15060.1 pep chromosome:AWHD00000000:3:10901294:10903994:-1 gene:ONIVA03G15060 transcript:ONIVA03G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEEMVEQVLMKPHVRSDDSLPTCADAAFAAGEPTTAQAAPKKWQRLGRNFAGAIAAFKSSLNLDNGSLPRDPSPRAVGERPPLLVRGFQQLYSRGGATQQLPEKLVADLRRHFDALPNSYAQAGFDMKDVLLHARLVEQAAGEDQPAVSIEEVPGSNGRGGANEGTVFQLTFACSAPLSWQSMSGSLDSPSFCCKRIQIFEKRGLTLGVVMILVQPGNEVFFKNRVDAALKSAIKKQRKNSSGVKLPFGLCGCQEEGSRNFDEESMFDPDDGQVLDNEPTCKPQLPTPLPQSSVFVSIDEWQTIRSGGEELGRWMLSSEEIEFIDWVGPNSFKGVFRGRKVWVNKMRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNENHGLCIITRMMEGGSVHDIIMQRSKRLSLRDILKIAIDVAEGLAFMNSYAITYRDLNARRILLDRQGNACLGDMGIVTPCNNAGEVTEYETSGYRWLAPEIIAGDPESVSETWMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPHFLRSLMTRCWDNNPLKRPQFSEIISMLQRQNVR >ONIVA03G15050.1 pep chromosome:AWHD00000000:3:10892843:10898468:1 gene:ONIVA03G15050 transcript:ONIVA03G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSAPVDASGDPIPTSSVLMAASKHIAVRCRPENVAFLNCKKKDPNPQKCLEKGRQVKRCVFDLLKELHQKCPKEMDAYAGCMYYYTNEFDFCRKEQQDFESACPVSE >ONIVA03G15040.1 pep chromosome:AWHD00000000:3:10888421:10892572:-1 gene:ONIVA03G15040 transcript:ONIVA03G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKGTKSRKGTDDEPKQEEKNKLPEHLEVQRTRVVCKGDAPTEGFQYAGAFAAMGIDNSVSADKFCKNFKVVVNRLTEDDMEFDMIGIDASMANAFRRILIAEVPTMAIEKVLMADNTSVIADEVLSHRLGLIPLDADPRLFEYISENDVPTERNTIVYKLHVSCKKGSPRLTVKSGDLEWLPEGSQLPLASPAQSGDKQKTYTSFSQSQKDILEKPLGVKFKDITIARLGPGQAIELEVHAVKGIGKVHAKWSPVATAWYRMLPEVVLREEIKDADAEMLVAKCPVNVFDIEDLGNGEKRAVVARPRACTLCRQCVMGPTGEVMGPTVEQVELRRVRDHFIFTIESTGALPPEMLFTEAVRILEEKCERVISELS >ONIVA03G15030.1 pep chromosome:AWHD00000000:3:10883342:10885399:-1 gene:ONIVA03G15030 transcript:ONIVA03G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGCTNMLSQSQLSKIKMLASRPDICKRNSHLKLCYNLRNRNVFVPNTNKPQLGPLHTNKPQLGSLQYKKGKEHVYDVSVQTPTCAKDEYEMVKALDSVSSFFNGVWVHVNFLAKLKGATQCPDLVPKFFFAEEVQRQHQFVVVGFA >ONIVA03G15020.1 pep chromosome:AWHD00000000:3:10880905:10882377:1 gene:ONIVA03G15020 transcript:ONIVA03G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSFRRLRDSPWTAHFYGAHAAVESFESRARIKLAKRGIGGFPTLSIQHMIDILRDQLDDAPNLNQKRLVMMEENAFRILKNIGVTTKEAYDAAPLGTGFVKNVEGLPNHKIENYRVLYLKSNCWHEGASSEIIDAIHCGGPVYGWFAYDDSFQDAKGEIYRVPSAPSTMISPIVRTHALLLYGYGAQCRTGLFDYQNNWGPEYHNGGRGIMENANIIGTIVPDVTFAGIAF >ONIVA03G15010.1 pep chromosome:AWHD00000000:3:10867842:10875363:-1 gene:ONIVA03G15010 transcript:ONIVA03G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMKGIADAGSESAGGGGGVSGVVGGEILRGSGAIGRERGGKGRGKGGPSRYMLPTKRADGAEAESSSDAPAKKARVGGSASEAEAMVAGEAGGGGGGVSGNGSEVAEIDEDLHSRQLAVYGRETMRRLFASNVLVSGLNGLGAEIAKNLALAGVKSITLHDMGNVEMWDLSGNFFLSEDDIGKNRAVACTAKLQELNNAVLISTLTEDLTNEHLSKFQAVVFTDISLDKAFEFDDYCRNHQPSISFIKAEVCGLFGSVFCDFGPKFTVLDVDGEEPHTGIIASISNDNPAMISCVDDERLEFQDGDLVVFSEVQGMTELNDGKPRKIINARPYSFCIQEDTSKFGIYAKGGIVTQVKEPINLEFKSLRDSIREPGNFLLSDFSKFDRPPLLHFAFLALDKFRKEFGRFPGAGCDQDAQRFIEFVASVNEATIDYKMDELDGKLLQNFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPQYQFFYFDSAESLPTYPLDSKDLKPLNSRYDAQISVFGSKLQKKMRDANVFVVGSGALGCEFLKNLALMGVSCGLKGKLTITDDDIIEKSNLSRQFLFRDWNIGQAKSTVAAAAASAINSSLHINALQNRACPETEHVFHDKFWEGLDVIINALDNVNARMYMDMRCLYFQKPLLESGTLGPKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFISNPAQYAAAMRKAGDAQARELLERVCECLDKERCDGFEDCIAWARLKFEDYFANRVKQLTFTFPEDAVTSTGAFFWSAPKRFPRPLQFSTVDSSHIHLILAASILRAVSFGISIPDWAKNTSNLVDAVSKVVVPEFEPKSGVKIETDEKASNISSASVDDASVIEDLLTKLEASAKKLPPGFQMKAIQFEKDDDTNFHMDLIAGLANMRARNYGIQEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIKHQDMRWTVWDRWSIEGNITVAELLKWLSDKGLSAYSVSCGTSLLYNTMFPRHKDRVNKKLVDVAKEVAKVDVPAYRRHLDVVVACEDDDGNDVDIPLISIYFR >ONIVA03G15010.2 pep chromosome:AWHD00000000:3:10867842:10875363:-1 gene:ONIVA03G15010 transcript:ONIVA03G15010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMKGIADAGSESAGGGGGVSGVVGGEILRGSGAIGRERGGKGRGKGGPSRYMLPTKRADGAEAESSSDAPAKKARVGGSASEAEAMVAGEAGGGGGGVSGNGSEVAEIDEDLHSRQLAVYGRETMRRLFASNVLVSGLNGLGAEIAKNLALAGVKSITLHDMGNVEMWDLSGNFFLSEDDIGKNRAVACTAKLQELNNAVLISTLTEDLTNEHLSKFQAVVFTDISLDKAFEFDDYCRNHQPSISFIKAEVCGLFGSVFCDFGPKFTVLDVDGEEPHTGIIASISNDNPAMISCVDDERLEFQDGDLVVFSEVQGMTELNDGKPRKIINARPYSFCIQEDTSKFGIYAKGGIVTQVKEPINLEFKSLRDSIREPGNFLLSDFSKFDRPPLLHFAFLALDKFRKEFGRFPGAGCDQDAQRFIEFVASVNEATIDYKMDELDGKLLQNFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPQYQFFYFDSAESLPTYPLDSKDLKPLNSRYDAQISVFGSKLQKKMRDANVFVVGSGALGCEFLKNLALMGVSCGLKGKLTITDDDIIEKSNLSRQFLFRDWNIGQAKSTVAAAAASAINSSLHINALQNRACPETEHVFHDKFWEGLDVIINALDNVNARMYMDMRCLYFQKPLLESGTLGPKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFISNPAQYAAAMRKAGDAQARELLERVCECLDKERCDGFEDCIAWARLKFEDYFANRVKQLTFTFPEDAVTSTGAFFWSAPKRFPRPLQFSTVDSSHIHLILAASILRAVSFGISIPDWAKNTSNLVDAVSKVVVPEFEPKSGVKIETDEKASNISSASVDDASVIEDLLTKLEASAKKLPPGFQMKAIQFEKDDDTNFHMDLIAGLANMRARNYGIQEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHPVEDYRNSFANLAIPMFSMAEPLPPKVIKHQDMRWTVWDRWSIEGNITVAELLKWLSDKGLSAYSVSCGTSLLYNTMFPRHKDRVNKKLVDVAKEVAKVDVPAYRRHLDVVVACEDDDGNDVDIPLISIYFR >ONIVA03G15000.1 pep chromosome:AWHD00000000:3:10861526:10864074:-1 gene:ONIVA03G15000 transcript:ONIVA03G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCPRLLLLLRLLVFLVAVSWPLCGAGTEGLGVGEEGLSSIGASSGSRNVRRLLQTGGVNQGAPAPLPLEQSPSASGPVSSPSPSPWVSPPKGSPSPSPSSKIIAHRSPHHPFTTPPQLVRPKPTTRRAEHDHSVETTGRSWFKRSWTTYGFITAGIAALLIISAAGAFYCRAKKMGTVRPWATGLSGQLQKAFVTGVPALKRSELETACEDFSNIIGCTSTCTLYKGTLSSGVEIAVASSLVTSADDWSKECESRYRRKITSLSKVSHKNFMNLLGYCEEEQPFTRVMVFEYAPNGTLFEYLHVREAEKLDWMTRLRISMGIAYCLEHMHQLKPPVVPRNFDSTTIYLTDDFAAKVSDLEFWSGAKEPNPATSNSSSSSDLENTVRKYGMVLLEMLTGRVPDSEEDGPLERLASRYFDGETRLAELIDPSIGSFSEEAARSLCEVVRSCIDPDPKRRPTMAEVAARMREITALGPDGATPKVSPLWWAELEIMSSES >ONIVA03G14990.1 pep chromosome:AWHD00000000:3:10855733:10860537:1 gene:ONIVA03G14990 transcript:ONIVA03G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFLTTIRSLKLIEGCKAAQIYAFSSAGGASTSGSGDGAGKPLPPPQPRSLSVRSASVCYPHAPSTSGAFVADSPLPCGLPVAAALEPALDACLRPVDHVKALAASFRRMSSAEAEGDDLCDVFLEQHALFHALGDARLLRRALRAARVHATDPHRRVVLAAWLRYERREDEFDPMPPPLAPCTPTTPLLECPRAAVFAGESPGVDPICPCRRPPPPPPTPPSSRFRRNTSSIDQMVEDDGDVETNDLWFVIGEEEVACERSCIAALSKPLNTLLYGGFAEAQRDRIDFTRDGITPCGMRAVSAYSRHGRLDDFSTDTILELLAFSNKFCCEGLKSACDNKLATMVSGVEDALSLVDLGLEEAAHLLVAACLQAFLRELPKSLSNPDVARLLCSPDGRERLDIAGNASFALYYFLSSVAMEEDIRSNTTVMLLERLCESAERPWQKQLALHQFGCVMLERGEFKDAQGWFEDAIAEGHMYSLAGVARSKFKRGHKYSAYKMMNSIMEDYEPAGWMYQERSLYCVGKEKMADLHIATELDPTLTFPYKYRAVVFLEEDMVESAVAEISKVLGFKLVTDCLELRAWFYLALEEYEAAVRDIRAILTLDPSYMMFHGKVHGEQLIEILRGYVQQWDMADCWMQLYDRWSEVDDIGSLAVVQQMLTREPGNSSLRFRQSLLLLRHAAMRSLRFARNCSAHEHERLVYEGWILYDTGHRDEALAKAEQSIKIQRSFEAFFLKAYALGDSSLDTESSLSVVQLLEHANSCASDNLRKGQAYNNMGSIYVDCDLLDEAAECYNIALNIKHTRAHQGLARVHYLKNRKKAAYGEMSELIKVAKDSASAYEKRSEYGERDEARGDLNMATLLDPTRTYPYRYRAAVLMDESKEDEAIGELSQAIAFRADLQLLHLRAAFFDSMGDNANTLRDCEAALCLDPTHGDTLELYRKASTKSEPQS >ONIVA03G14980.1 pep chromosome:AWHD00000000:3:10855201:10855731:-1 gene:ONIVA03G14980 transcript:ONIVA03G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTSPLPRSSRAAPHLPITTTPAIIPQRRRRRNGPCGVRARASASAPTSPRGRALRSPAVRACASIACVARLRS >ONIVA03G14970.1 pep chromosome:AWHD00000000:3:10848842:10852795:-1 gene:ONIVA03G14970 transcript:ONIVA03G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITQVLLAAQSHDGQLRTVAEENLKQFQQQNFPHFLQTLSVELSNDEKPPESRRLAGILLKNSLDAKESTRKEEFVQRWMNVDPAIKSQVKESLLITLGSPVFEARRSSSQVIAKVAAIEIPHQGWPELIVNLLTNMTKPDAPPCLKQATLDCLGYVCEEISPEDLEQDQVNAVLTAVVQGMNHVENNSDVRLAAVKALYNALDFAETNFQNELERNYIMKVVCETAMCKEADIRKAAFECLVSIASIYYDLLEPYMQTIFELTSNAARVDEEPVALQAVEFWSTICDEEIARQEESKESGVFSSSCHFHFIEKALPSLVPMLLETLMKQEEDQDQDDGIWNISMSGGTCLGLVAITVQDAIVPLVIPFIEGNITKPDWHSREAATFAFGSILEGPSVQKLTPLVHAGFDFLLNATKDQNNHVKDTTAWTLSRIFEFLHSPTSGFSVVTDANVPYVIQILLTSIKDSPNVSEKICGAVYFLAQGYEDAGSISSVLTPYLGEIISALLATADRSDSNNSRLCASAYETLNEIVRCSHISENLNMIVQLLQEILKRLNQTFEIQITSSDDKEKQSDLQALLCGVAQVILQKFSSCHEKSAILHFADQMMVLFLRVFSCNSSNVHEEAMLAIGALAYATGPEFVKYMPEFHKYLEMGLQNFGAYQVCCVSVGVVGDICHALDDKVLPYCDGIMSALLKDLSSPELHRSVKPPILSCIGDIALTIGEHFEKYVPYTMPMLQGAAELCFRMDAPDDDSIEYQNELSRSIFEAYSGILQGFKNSKSELMVPYAGNIFQFVELVLKDNLLRNESVTKAGVAMVGDLADALGPNIKLLFKDSKFHSELLGQCCQSDDEQLRETASWVQGVITRVLVS >ONIVA03G14960.1 pep chromosome:AWHD00000000:3:10845741:10846508:1 gene:ONIVA03G14960 transcript:ONIVA03G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRAAAPPQPPAWTPEPWSDGETSALLDAWGPRHIRAAGGPLRTADWRACAAAVTARRAAAGRAPRTVDQCKNRLDYLKKRLKAERSRSKGAPAPPPPPPSVDRLRALLRLAPSVPPGFTSRGGAMPKVGEEEQEEEEEKAESFAAPLPRSWPSVPKRPRTAVALLPLSSSSGHQHGDGGGTPCTEVAAALDRLAGTYERVEVAKQKEATRLEERRLEAMRDLEIERMRILVDVAISASAVADTATAASSSW >ONIVA03G14950.1 pep chromosome:AWHD00000000:3:10843576:10844220:1 gene:ONIVA03G14950 transcript:ONIVA03G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPSPQQHQQQRANEWWSDGETAALIDAWGPLHVARSRGPLPAKDWRAAASAVNARRAAAGRRHNRTRAQCRARVQTLKERYKRELAKPPPSGWRHFSRLQEFLLAGPPPGFPPKTMPPASVKKEEEEECQDEAVGGGGGSGGLLGRWVVPTRPRNGAAAWCPVGVVVTKLAEVYERVELARLEVEKEKVAMEMEKAMQEAVKLKEEKLDT >ONIVA03G14940.1 pep chromosome:AWHD00000000:3:10840425:10843561:1 gene:ONIVA03G14940 transcript:ONIVA03G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAADGQTPAGHGLKSLLLCVGLRLFASDRSDNEACVLWVAPAAAIARNANSFVQLQTTLHQAVYPQAS >ONIVA03G14930.1 pep chromosome:AWHD00000000:3:10839981:10840466:-1 gene:ONIVA03G14930 transcript:ONIVA03G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRLAVCGGASHGMHACGDGSGCGWLMDLISDGSGCLGMAASMGVEVVMAMDGDGGDFWKRIARAMFALL >ONIVA03G14920.1 pep chromosome:AWHD00000000:3:10827194:10839718:1 gene:ONIVA03G14920 transcript:ONIVA03G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSSGGMSDQLFVSIKLECPRLAEMGLVPHVFGSHPVAGAWDPSKALLMEQEEAAVWGLSCIVPSHRETLDFKFLLKPKDNCSHCIVEEGPDRSLVCGSNEVEIRNALFKFNDETGVVECKIFVETEILSPFDLAASWKAHQEHLQPRVRGAHDVIMNADSESRAKNGFASGLELDLEKYVVPTPNMGSDVVYAANLTENPRSLLTTGISSNNDTTKGFLHNSIKGDASPNLYASENKGADGRHVPPQEEQRAIFVDRGVGSPEFARPTNETISMSNIKFDSEAKDMPAAEGAVAAAAVADQMYGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGINQTSLSASNSVEQTADFFRGDNKEGVEARNEVAALAMEDMLSWMQEGGQVGIFDATNSTRNRRNMLMKMAEGKCKSPKLIIVPIMNINRKRRTYKAYSCTIIFLETICNDQNVLERNMRLKVQRSPDYAEQTDFEAGVRDFKERLAYYEKVYEPVEEGSYVKMIDMVSGTGGQLQINDISGYLPGRIVFFLIWTSTLQRSILTAQPIIGFPKIQWRALDEINAGICDGMTYDEIKKIKPEEYESRSKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQIPLHTIIEIQMGVAGVQEKRYKLMDAVNSTAGI >ONIVA03G14910.1 pep chromosome:AWHD00000000:3:10823884:10826512:1 gene:ONIVA03G14910 transcript:ONIVA03G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast envelope; EXPRESSED IN: inflorescence meristem, petal, leaf whorl, flower; EXPRESSED DURING: 4 anthesis, petal differentiation and expansion s /.../BEST Arabidopsis thaliana protein match is: Tetratricopeptide repeat (TPR)-like superfamily protein (TAIR:AT4G18570.1); Has 288 Blast hits to 260 proteins in 50 species: Archae - 0; Bacteria - 8; Metazoa - 27; Fungi - 15; Plants - 163; Viruses - 0; Other Eukaryotes - 75 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G07120) TAIR;Acc:AT1G07120] MMREGDACVALLRSKLHGLVERNRSLEEENKQLRHQVSRLKGQVSSLEGQDTDRKMLWKKLDNSSTGNSYLKEKQFVPNNDAKEAMDLNSTSCYSRQQFSRAPLVRSRAPRVPNPPPSPTYTQPIVNARKEGGMAPPPPPPPLPSRLLKSTKAVQRVPDVVELYRLLVRREGKNDAKSGSMGIPAATNSREMIGEIENKSAYVLAIKSDVENQSEFINFLAVEVKNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADTMREAAFTYRDLKNLESEASSFHDDRRVATPMALKRMQALQDKIEQGIHNTERARDSASGRYKDLKIPWEWMLDSGIISQLKMASLKLAREFMNRVVNALKSDPFTNDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKMYASKSD >ONIVA03G14900.1 pep chromosome:AWHD00000000:3:10820611:10822273:-1 gene:ONIVA03G14900 transcript:ONIVA03G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHVEMWALCASASGFSSEVWAVLDLKIVLAWLLVSETQNIRDFFTKGDTLVLHQLAVHLSV >ONIVA03G14890.1 pep chromosome:AWHD00000000:3:10803309:10813861:-1 gene:ONIVA03G14890 transcript:ONIVA03G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRPVAPKDCLRPQPPVAVLSSLALVAPASLLAHNAELEGKWWFRRSRTAIVIEQPKPKAPVYQLTEGTNTLFQDKPHDMLPKTWSCQGQILTPGKKLGQLRPSNAGKDGKEWLLLEELQGQIARFGTLMTE >ONIVA03G14890.2 pep chromosome:AWHD00000000:3:10809240:10813717:-1 gene:ONIVA03G14890 transcript:ONIVA03G14890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQPLPSGFGRPVAPKDCLRPQPPVAVLSSLKIEDSECKVSYNAMNIKYENSIKASVINPAKPKPKAPVYQLTEGTNTLFQDKPHDMLPKTWSCQGQILTPGHYKIFDIAGVLEFFMGYI >ONIVA03G14890.3 pep chromosome:AWHD00000000:3:10803309:10808961:-1 gene:ONIVA03G14890 transcript:ONIVA03G14890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRHFELAWPSRGRDAWTRAGKRWDSASSCVRVGPGKKLGQLRPSNAGKDGKEWLLLEELQGQIARFGTLMTE >ONIVA03G14890.4 pep chromosome:AWHD00000000:3:10810373:10813717:-1 gene:ONIVA03G14890 transcript:ONIVA03G14890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQPLPSGFGRPVAPKDCLRPQPPVAVLSSLKIEDSECKVSYNAMNIKYENSIKASVINPAKVRRCMLQNAA >ONIVA03G14880.1 pep chromosome:AWHD00000000:3:10786037:10788627:-1 gene:ONIVA03G14880 transcript:ONIVA03G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHIGSVDGAAAAADNGAVGCPASAVGCPMTSARPAPVSAGEASLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVTNLEDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFSRDPVPFFLAPRLSNKMGLEAAVEATVEFLNKAVKPVLVGGPKLRVAKAGKAFVDLVDASGYAYAVMPSAKGLVPETHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAIIVQPERVIVGNGPAFGCVMMKEFLYELAKRVNKNTTAYENYKRIFVPEGQPLESEPNEPLRVNVLFKHVQKMLNSDSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGAKDKRVIACIGDGSFQVTAQDVSTMIRCAQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTSKVKCEEELTEAIGMALGEKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >ONIVA03G14870.1 pep chromosome:AWHD00000000:3:10780375:10783845:1 gene:ONIVA03G14870 transcript:ONIVA03G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APRATAXIN-like [Source:Projected from Arabidopsis thaliana (AT5G01310) TAIR;Acc:AT5G01310] MDPDAGGSTAASLPPASTAGASAASATVAEEGGGKQVMVVLVGPPGSGKSTFAEAVLGGSAAGRTWARVCQDTIGNGKAGTKIQCLKAAADALKEGKSVLLDRCNLEREQRADFMKLGSHVHVDVHAVVLDLPAKVCISRSVSRTGHEGNLQGGRAAMVVNRMLKNKETPLLTEGFSRIMFCKDNNEIKKAVDMYSALGPSDSLDSGVFGQNSKGPVQVGIMKFLKKPGSSAEKSGGHKVTPNESIPQMQNHISEQQNLEVGGTCTVESVKELSNSKKIEDQSRESVLSDISSRTLAFPSISTADFQFDLDRASDIIVDAVADILQKYDNIRLVLVDLSHKSRILSLVKEKAAKKNINSSRFFTFVGDITQLQSKGGLRCNVIANAANWRLKPGGGGVNAAIYNAAGEDLQRATKECADTLRPGSSVAVPLPSTSPLHQREGVTHIIHVLGPNMNPMRPDCLKNDYTKGSKILHEAYTSLFENFVAIVQSCMGKQNTEPALEKPATAVTSPNDSKTKRECNHDSERTKKHKLVQPNTSSNQAREGDSKRSGVTTTKTWGSWAQALYELAMHPENYKNSDSLLEISDDFVVLNDLYPKAKRHVLVVSRKDGLDSLADVKKEHLPLLRRMHSAGVKWAQKFLEEDSSLVPSMRQLHLHIISQDFNSASLKNKKHWNSFTTTFFLDSVDVIEEIDQRGSATISSDDRVLAMELRCHRCRSAHPNIPKLKSHIASCKSSFPSHLLQKDRLLSSSTMHMDCT >ONIVA03G14870.2 pep chromosome:AWHD00000000:3:10780259:10783845:1 gene:ONIVA03G14870 transcript:ONIVA03G14870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:APRATAXIN-like [Source:Projected from Arabidopsis thaliana (AT5G01310) TAIR;Acc:AT5G01310] MKLGSHVHVDVHAVVLDLPAKVCISRSVSRTGHEGNLQGGRAAMVVNRMLKNKETPLLTEGFSRIMFCKDNNEIKKAVDMYSALGPSDSLDSGVFGQNSKGPVQVGIMKFLKKPGSSAEKSGGHKVTPNESIPQMQNHISEQQNLEVGGTCTVESVKELSNSKKIEDQSRESVLSDISSRTLAFPSISTADFQFDLDRASDIIVDAVADILQKYDNIRLVLVDLSHKSRILSLVKEKAAKKNINSSRFFTFVGDITQLQSKGGLRCNVIANAANWRLKPGGGGVNAAIYNAAGEDLQRATKECADTLRPGSSVAVPLPSTSPLHQREGVTHIIHVLGPNMNPMRPDCLKNDYTKGSKILHEAYTSLFENFVAIVQSCMGKQNTEPALEKPATAVTSPNDSKTKRECNHDSERTKKHKLVQPNTSSNQAREGDSKRSGVTTTKTWGSWAQALYELAMHPENYKNSDSLLEISDDFVVLNDLYPKAKRHVLVVSRKDGLDSLADVKKEHLPLLRRMHSAGVKWAQKFLEEDSSLVPSMRQLHLHIISQDFNSASLKNKKHWNSFTTTFFLDSVDVIEEIDQRGSATISSDDRVLAMELRCHRCRSAHPNIPKLKSHIASCKSSFPSHLLQKDRLLSSSTMHMDCT >ONIVA03G14860.1 pep chromosome:AWHD00000000:3:10772812:10778559:1 gene:ONIVA03G14860 transcript:ONIVA03G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVRSLLVASIVLSSIALHLAAAKNLDPYKVLGVDKSASQRDIQKAFHKLSLKYHPDKNKSKGAQEKFAEINNAYDILSDEEKRKNYDLYGDEKGNPGFDGGNFGNREGYTYFTGGGAKTSHFSSGDGWQTMGGQGNTKTFSFSFGGGNPGAGGGNPFNFDFGDVFSNIFSGGSMGGSQHTGSAGKARRGTKSSGHDSSSVNIQEVTMQIFNKETADQGITWLLLFYTPNTKGQFVLESVVEDVARSLDGALRAGKVNCDHEKALCKKAGVSIGKSARLFIYSYTTTEKGSLHEYSGDYDSKSLKTFCQEHLPRFSKRVDINQFSFPSNIIPNLPQVLLLSAKKDTPAMWRAVSGMFRSRLIFYDAEVQDVSHPLLKSLGVKNIPALIGRSVNGEEQLLKDGISVKDLRSGIKELKNLLENFEKKNKKLASNQAKKPAHTDQPKENKIPLLTASNFEEICGEKTSVCILGIFKSSKAKENLEAVLSEISQKTLIRGQNYNSGNTVAYALLDGNKQSAFLSTFDKSAFKSSDKLLLAYKPRRGRYAVYDNEVTMEEAERFVVSVLNGDVQLSAAGRKPVLSLAVTEYMLLVRDRTLVESLLLQQAGLCRLLAHTFGPAQRVVGSKHSSPGPDLRMASRSLSPLSSASKLCSRCGQADEPKMAATTFSSSPSKVTTAKLGGGVTRSSSPYTQLTFCSRHHFQKAATTAAAFHHKPPPAELSLLLSPARSKHARTCPAADNDRAAPAAQEATNTPPPPAAPSANGSPPQEPPKRAPLTARERLRAARVLGKYAEPGGSSPKGKASAASAAAAGAAGKKPEFGSRVLDALRETDGKAGKKRSSRLPEAPSNMFDDSKRGLPKEGWTFEALPFGTDVIVIAASFTLITVVMFGTTYLVWKLGAIHFNEF >ONIVA03G14860.2 pep chromosome:AWHD00000000:3:10772812:10778559:1 gene:ONIVA03G14860 transcript:ONIVA03G14860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPVRSLLVASIVLSSIALHLAAAKNLDPYKVLGVDKSASQRDIQKAFHKLSLKYHPDKNKSKGAQEKFAEINNAYDILSDEEKRKNYDLYGDEKGNPGFDGGNFGNREGYTYFTGGGAKTSHFSSGDGWQTMGGQGNTKTFSFSFGGGNPGAGGGNPFNFDFGDVFSNIFSGGSMGGSQHTGSAGKARRGTKSSGHDSSSVNIQEVTMQIFNKETADQGITWLLLFYTPNTKGQFVLESVVEDVARSLDGALRAGKVNCDHEKALCKKAGVSIGKSARLFIYSYTTTEKGSLHEYSGDYDSKSLKTFCQEHLPRFSKRVDINQFSFPSNIIPNLPQVLLLSAKKDTPAMWRAVSGMFRSRLIFYDAEVQDVSHPLLKSLGVKNIPALIGRSVNGEEQLLKDGISVKDLRSGIKELKNLLENFEKKNKKLASNQAKKPAHTDQPKENKIPLLTASNFEEICGEKTSVCILGIFKSSKAKENLEAVLSEISQKTLIRGQNYNSGNTVAYALLDGNKQSAFLSTFDKSAFKSSDKLLLAYKPRRGRYAVYDNEVTMEEAESLAVTEYMLLVRDRTLVESLLLQQAGLCRLLAHTFGPAQRVVGSKHSSPGPDLRMASRSLSPLSSASKLCSRCGQADEPKMAATTFSSSPSKVTTAKLGGGVTRSSSPYTQLTFCSRHHFQKAATTAAAFHHKPPPAELSLLLSPARSKHARTCPAADNDRAAPAAQEATNTPPPPAAPSANGSPPQEPPKRAPLTARERLRAARVLGKYAEPGGSSPKGKASAASAAAAGAAGKKPEFGSRVLDALRETDGKAGKKRSSRLPEAPSNMFDDSKRGLPKEGWTFEALPFGTDVIVIAASFTLITVVMFGTTYLVWKLGAIHFNEF >ONIVA03G14850.1 pep chromosome:AWHD00000000:3:10760228:10770188:-1 gene:ONIVA03G14850 transcript:ONIVA03G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDVQFIYSVLANSLSADAATRQPAEALLAQCEARQGFCSCLLAIITSRGEESDDDVRLLAAVHLKNCVTRCWRNSVDSPAIDNEEKVYIRKSLLLNMREENGKIALQLAALIARIVYFDYPKEWSDVFSVLAQQLQTSDVFTSYQVSTVLFRSLKKLSKKRLAFDQRNYSEITVYLFDYIWNLWKSNAQIVLQNFSVLSQHNSSLDQSNDLLLIYERWLVCLKIIRELICSGYASDSTTMQEVCQIKEVCPVLLGAIQSILPYYPFFKERQAKPWSHAKRACIKLMKVLIILQDKYPYSFAHETVLPAAVDFCLTMITNPEQADTSFEEFLVQCMVLVKLVLECQEYKPGQIGFEAVGSSEHAIFDQRKNNLSATASSMVMSVLPADRIMLLCDILIRRHFIYTATDMNEWHSNPESFHHEQNLLQCTEKRRPCAEALFIILFDNYGVQLAPFVASIIHDVKAVSPPLEIEITAGMLLKEAAYTAAGHVFDELSKYLSFDEWFCGYLSIDLSNGNPNMCIIRRRIALLLGQCAFEIKGVIQKEVCDVLVGLLGDQDMAVRLAACSSLCYAFRVFGIWEVDLLECIPTCWAMCFKLIGAVQEFDSKVQVLSFILVLLNYVGDDRIIPFVSELSQFFLKTWEESSGECLLQIELLDAIRTFISSLGYNSPLCYGMVLPILQYGMDVDSPNALNLLEDTVLLLEATLSNAPSIVPQLLDCFPYLVGIMNGSFNHLEIMIKIIEHYIVFAGSDLLQSHATSLESILDTIVGNADDKGLLTTLPIIDLLVLMFPQEVPPLISSALQKLVFISLSGGDEHYPSRTAVCVTSAAILARLLLLNRDFLAQLLSEPALIARFQQAGINQNLLLLLVDWWINKVDDASSIEKKVYAMALSVILTANIPGVIEKLGDILRLCTSVIIGGHGRTTSDDSSDDTISSLPLSDDPEYSNTSKEFKKAQIRELDPIRKASLVDMLRENLKECAALHGDAVFNAAISRIDPLVIAQLWQALEIE >ONIVA03G14840.1 pep chromosome:AWHD00000000:3:10755896:10758276:-1 gene:ONIVA03G14840 transcript:ONIVA03G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHREEEEEAAAAAAGEDEDTGAQVAPIVKLEEVAVTTGEEDEEVLLDMKSKLYRFDKEGNQWKERGTGTVKLLKHKETGKVRLVMRQAKTLKICANHLVATTTKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVENCKKFREMVEEIAEQQGKNEEKENEEVSSTAGLVEKLSVTETKKEENAEKEETPAEEDKKDAKE >ONIVA03G14830.1 pep chromosome:AWHD00000000:3:10752645:10755544:1 gene:ONIVA03G14830 transcript:ONIVA03G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRGKCVGRGAFGAVHVAVDRATGRAFAVKSVEAKGGAPAAAMACLESEIRILRRLSSPYVVEYLGDDGDAATTRNLHMELVPGGSAAEAAAAAVMGGLGERGARGVVRRVAAALRYLHDVAGVVHGDVKGRNVLVGCDGDGRGAKLADFGAARLVSDAAVSRGPRGTPAWMAPEVARGGAPTPASDVWSLGCTAVELITGKRPWSELGGASEVGELLFLIGFGGKRPELPACASDSCRDFLDKCLRRDAGERWTCDQLLRHPFLSATDVHDGGEPSPFPSPRAVLDWAAASMSDSDSDDSGGAEARSEHEVMARAKGRLAELASNASWGREWGAGPTWEAADTWAPPSSPDTTATNAPVPSNPAAVADAGGPPAVIAGGRDSVLAVATAGAGRDRCDSQHGHYKCELARTRRARLAVASVAAVITTGNHHEQTSSNEYEYIAIPAIGKIRRSMGAYHDLRSAYASMLGVNPDPRNMGTDSMCVTFAGCSSKNAHIQLDNSSPKRRRASHRI >ONIVA03G14820.1 pep chromosome:AWHD00000000:3:10739853:10742143:1 gene:ONIVA03G14820 transcript:ONIVA03G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G01340) TAIR;Acc:AT5G01340] MAAAAASPSSSPPPPPPMSPAPQAASPAEDRRGGRAPVPPYVKAAAGSVGGVMEACCLQPIDVIKTRLQLDRSGAYRGIAHCGTTVVRSEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPGTGKVSAHGRLASGFGAGVLEALLIVTPFEARSPLHLLQQQKGLSPDLLRYKGPIHCARTIVTEEGLFGLWAGALPTVMRNGTNQAAMFTAKNTFDIVLWKKHEGDGKVLQPWQSMISGFLAGTAGPICTGPFDVVKTRLMAQGRTGDIKYKGMVHAIRTIYAEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGLYERSYLQPAHM >ONIVA03G14810.1 pep chromosome:AWHD00000000:3:10731439:10733828:1 gene:ONIVA03G14810 transcript:ONIVA03G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTVAIPSSPVFSPSRRPLSCKAASASASPESVSVAASSPAQAAPPAGSPLRPFALRAHLREEATPSPQPSAAAAAAVSAPAGSVLKRRRPAPLVVPVCGGAAAAAAAAAVAAVESDPRNEVEEDGEEFAVYCRRGKGRRRVEMEDRHVAKVALGGDPKVAFFGVFDGHGGKSAAEFVAENMPKFMAEEMCKVDGGDSGETEQAVKRCYLKTDEEFLKREESGGACCVTALLQKGGLVVSNAGDCRAVLSRAGKAEALTSDHRASREDERERIENLGGFVVNYRGTWRVQGSLAVSRGIGDAHLKQWVVSDPDTTTLGVDSQCEFLILASDGLWDKVENQEAVDIARPLCISNDKASRMTACRRLVETAVTRGSTDDISIVIIQLQQFSR >ONIVA03G14800.1 pep chromosome:AWHD00000000:3:10715278:10721317:1 gene:ONIVA03G14800 transcript:ONIVA03G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKSVFASAPFAMKQAALGAGVAARRNGAPLSLAAVVFALFVFATFLYNEDIKSIADFPFGAGALRAKSPDLHVLQETVGAAHLAAGSIAKRGEEVIVRVLDAPASTAMAAAAGSSSNNSTIEVAKANANANANAADAGVKVDEGQERERDVTLPSVKEGGADEARRREDEEAAEKESSAKAAAATAALRTVVSVPDTCDLYRGNWVYDEVNAPVYKESQCEFLTEQVTCMRNGRRDDSYQKWRWQPTDCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGKKTLTKFVNGGNSNIFYAHEYNATVEFYWAPFLVESNSDNPQVHSVPDRVIQWHSIAKHAHNWLGVDYLIFNTYIWWLNTLDMKVLKGSFDQGATEYVEVDRPVAYKEVLKTWAKWVDRNIDPNRTTVFFMSMSPNHITPEAWGNYGGIKCAMETLPITNRTTSLDVGTDWRLYAGAQEVLQTFRRVPVHLVDITALSELRKDAHTSVHTLRQGKLLTPEQQSDPKTYADCIHWCLPGLPDTWNQFLYARIASAPWSSDQ >ONIVA03G14790.1 pep chromosome:AWHD00000000:3:10689289:10693166:-1 gene:ONIVA03G14790 transcript:ONIVA03G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamine-dependent asparagine synthase 1 [Source:Projected from Arabidopsis thaliana (AT3G47340) TAIR;Acc:AT3G47340] MCGILAVLGAADWSQAKRAHHRGPDWSGLYQCEGNFLAQQRLAIVSPLSGDQPLYNADRTIVVVANGEIYNHKKIRKQFASKHTFSTGSDCEVIIPLYEEYGEDFVDMLDGVFAFVLYDTRTKTYMAARDAIGVNPLYIGRGSDGAVWISSEMKALNEDCVEFEIFPPGHLYSSAAGGLRRWYKPQWFAENVPATPYQPLLLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTKRHLIKTEAAEKFGAELHSFVVGLEGSPDLIAAREVADHLGTIHHEFHFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFINVAMSMDPEWKMYNADLGRIEKWVMRKAFDDEEHPYLPKHILYRQKEQFSDGVGYNWIDGLKAFTEQQVSDEMMKNAAKVYPHNTPVNKEAYYYRMIFERLFPQESARETVPWGPSIACSTPAAIEWVEQWKASHDPSGRLIASHNSASANHTNHANANANGNSNGKANGNCAMAANGTNGVGLVVANGTANGKMEA >ONIVA03G14790.2 pep chromosome:AWHD00000000:3:10689289:10693166:-1 gene:ONIVA03G14790 transcript:ONIVA03G14790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamine-dependent asparagine synthase 1 [Source:Projected from Arabidopsis thaliana (AT3G47340) TAIR;Acc:AT3G47340] MCGILAVLGAADWSQAKRAHHRGPDWSGLYQCEGNFLAQQRLAIVSPLSGDQPLYNADRTIVVVANGEIYNHKKIRKQFASKHTFSTGSDCEVIIPLYEEYGEDFVDMLDGVFAFVLYDTRTKTYMAARDAIGVNPLYIGRGSDGAVWISSEMKALNEDCVEFEIFPPGHLYSSAAGGLRRWYKPQWFAENVPATPYQPLLLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTKRHLIKTEAAEKFGAELHSFVVGLEGSPDLIAAREVADHLGTIHHEFHFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKKEQFSDGVGYNWIDGLKAFTEQQVSDEMMKNAAKVYPHNTPVNKEAYYYRMIFERLFPQESARETVPWGPSIACSTPAAIEWVEQWKASHDPSGRLIASHNSASANHTNHANANANGNSNGKANGNCAMAANGTNGVGLVVANGTANGKMEA >ONIVA03G14780.1 pep chromosome:AWHD00000000:3:10685436:10689049:1 gene:ONIVA03G14780 transcript:ONIVA03G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT5G01360) TAIR;Acc:AT5G01360] MVQLPAMKRVKGRAPLSVVVAIIGGLALAGIIFTEDLRGLTEVKEKVTDKEKKRTSLRTVMRTSALLSADQPPPPAVLSVEPATATPPPAPKMAFNATRCSVTDGYWAYDRSKKLPYTDQTCPYVDRQDSCQRNGRPDSDYLYWDWHLDDCLLPRFDPVSMLEKLRGKRIMFVGDSLQLGQWLSFVCLVNSAVPDTPGAKSMERSRTLSVYTVKEYNASIEFYWAPFLVESNSDRNIALGAGGRVLHVDAIEEHGKHWRRADILVFDSYVWWMTGYRIKSVWGSFGDDGYEELDAWVAYRLGLKTWANWVDSNVDPATTRVFFMSEDWGREGGIRCYNETWPITQRGYRGSGSDRRMMEVMSDVLGRMRTPVTLLNITQLTEHRVDAHVSVYTETGGLLVTDEEKADPQRYTDCIHWCIPGVPDTWNRLLYAHL >ONIVA03G14770.1 pep chromosome:AWHD00000000:3:10677933:10689160:-1 gene:ONIVA03G14770 transcript:ONIVA03G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLARSVVLPVYIGSPTTIPLPVSELEEREKDIGEKEENEMMLSVVERKEAKRERKERRWDLRDRRPSPPSPSLLPLPSSSSPSPLDLLWPDPRSTDLLAIGIEDDEGEEGEAAGSAQLEAEPAAAARPHRRHRCSRSLLSPRCHRRSFLSPARKQGTKHIVSLVFFFRNAARFVKWQESARNPEREPARRGSTETSCAGDCGAAACSRQMSDSHWFRPSESPTNITVFPFIRVKKSAPSNL >ONIVA03G14760.1 pep chromosome:AWHD00000000:3:10677538:10679581:1 gene:ONIVA03G14760 transcript:ONIVA03G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFCKHGAGFLAVAVSTSIVFLLLLHAFSLPASALSFGLARRHRKDAAVSCDVFSGSWVRDDGGGGAAAYTGYNCPVIDAEFNCQLYGRPDSDYLRYRWKPAGCELPRFDGADFLTRMKGKTVMFVGDSLGRNQWESLICLLHAAAPQSPAQLVSVDPLYTYKFLEYGLVVSFYRAPYLVDIGVAQGKKVLWLDDISENGEAWRGADVLSFNSGHWWTHTGTLQGWDYMGADGRYYEDMDRMVAFQRGMATWANWVDANVDPAKTRVFFQSMSPTHYSSKEWANPVSKNCYGETAPAAAAAAGLNATTAQATSGQDQVIQATLRSMKSPVRLLDISALSALRKDAHPSVYSGDLSPAQRANPGGGSADCSHWCLPGLPDTWNQLFYALLFYQ >ONIVA03G14750.1 pep chromosome:AWHD00000000:3:10672273:10675075:-1 gene:ONIVA03G14750 transcript:ONIVA03G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQGHQNMILVFSGKEVRAADEARTLEPARSAEAAMAGERRSHEAGSLGVGAGCDLGLLFVQRREERMTEERARLGLVNIYYPIPYQRTKQGIKTES >ONIVA03G14740.1 pep chromosome:AWHD00000000:3:10670897:10671493:1 gene:ONIVA03G14740 transcript:ONIVA03G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEAAWLWGRRLPRFLHRDQVHVGADVVLALLDGFLFAAAPTGFASTLRSSTLARRVLDLGGSRRGRWPAGEKNGGGVRRAEVGETGGRRRRRAPPVLFDLPPCSRRRHPSLLPRRRPPDILSSLALATVSPPASRLPIEVKSERERRKGEERRERGGVTWQPDKWGPRGFHADSVAPSVKTGIKTTEGSKLND >ONIVA03G14730.1 pep chromosome:AWHD00000000:3:10658734:10667888:-1 gene:ONIVA03G14730 transcript:ONIVA03G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC domain-containing protein 8 [Source:Projected from Arabidopsis thaliana (AT3G51830) TAIR;Acc:AT3G51830] MATDAADEAPLLAEEPLRPGACSRELELREFRDRYVIRSVDGGGAFAVSRSNGSLRPLSAEEAAAGSDCRVSKIYGVAGVIRLLAGSYVLVITSQRDAGSYQGSPVYNVNSMKFLCCNEAIKHLTAQEKRDEAYFMSLLKIAETTHGLYYSYDRDLTLNLQRASKLPAGRVHKPLWKQADPRFVWNKNLLEEFIEAKLDEFIIPLTAQFSLKEAPVRITLFSRTRMWRRGANLEGATANFVETEQLAEYEGLMSSFIQVRGSIPLLWEQIVDLSYKPCLNIIEHEETPKVVQRHFHDLSQRYGDTVVVDLTDKQGDEGNLSNAFAAEMERIPNIRYVHFDFHHICRGGNFDNLQVLYNQIEEAIQKQGYFLINSKGEIFLEQSGIVRSNCIDCLDRTNVTQSFLARKSLDLQLQRMGALSSSGSISQSGDISDTFKKMWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSKGISSPFQNGGFESATYLPVASAIIVGGITATTFTLSQAVGRNAQHFITSIICAGLTAGVVALVKANGKQFCSKPPAGSLRLLWHHKRNQEAERKLRWINLRLTMKQDQHQHQPQETEREKLFDGSSDVEKPRMKSTQEILTKYKFGGDAAAAAAHAKDKLKERGEKLARISQESAELQNESENFASLAHQIAKSMENKKWWKP >ONIVA03G14730.2 pep chromosome:AWHD00000000:3:10658734:10667888:-1 gene:ONIVA03G14730 transcript:ONIVA03G14730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC domain-containing protein 8 [Source:Projected from Arabidopsis thaliana (AT3G51830) TAIR;Acc:AT3G51830] MATDAADEAPLLAEEPLRPGACSRELELREFRDRYVIRSVDGGGAFAVSRSNGSLRPLSAEEAAAGSDCRVSKIYGVAGVIRLLAGSYVLVITSQRDAGSYQGSPVYNVNSMKFLCCNEAIKHLTAQEKRDEAYFMSLLKIAETTHGLYYSYDRDLTLNLQRASKLPAGRVHKPLWKQADPRFVWNKNLLEEFIEAKLDEFIIPLTAQFSLKEAPVRITLFSRTRMWRRGANLEGATANFVETEQLAEYEGLMSSFIQVRGSIPLLWEQIVDLSYKPCLNIIEHEETPKVVQRHFHDLSQRYGDTVVVDLTDKQGDEGNLSNAFAAEMERIPNIRYVHFDFHHICRGGNFDNLQVLYNQIEEAIQKQGYFLINSKGEIFLEQSGIVRSNCIDCLDRTNVTQSFLARKSLDLQLQRMGALSSSGSISQSGDISDTFKKMWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSKGISSPFQNGGFESATYLPVASAIIVGGITATTFTLSQAVGRNAQHFITSIICAGLTAGVVALVKANGKQFCSKPHDIKIDDEARPAPASTPRSLFKTEREKLFDGSSDVEKPRMKSTQEILTKYKFGGDAAAAAAHAKDKLKERGEKLARISQESAELQNESENFASLAHQIAKSMENKKWWKP >ONIVA03G14720.1 pep chromosome:AWHD00000000:3:10657840:10658398:-1 gene:ONIVA03G14720 transcript:ONIVA03G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQLTIQPLPLSRLSESAGCTRRRRDERRRERTRYRSSYRLLLAPSDWTPIDKCGNVVWIW >ONIVA03G14710.1 pep chromosome:AWHD00000000:3:10650624:10653459:-1 gene:ONIVA03G14710 transcript:ONIVA03G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLSECCGLTPLRLRGRGAIALPAPPSLAAGPRRPVSAAAAGGAIHREWALRVSAPTRLTSVVEEDNRGEEVVEEEARGSLAAAEAAAGEVGGDGDGFDPGAPPPFGLAEIRAAIPKHCWVKDPWRSMSYVLRDVVVVLGLAAAAARVDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSSNAKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWQPLSERLYNSLDYMTKKLRFTMPFPMLAFPLYLFARSPGKKGSHFNPSSDLFQPNEKKDVITSTASWLAMVGILAGLTFVMGPLKMLKLYAVPYVIFVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNVHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYKEPEKSAPLPFHLLGVLAKSLNSDHYVSDAGDVVYYQTDLKTSSSAQSSD >ONIVA03G14700.1 pep chromosome:AWHD00000000:3:10628852:10629433:1 gene:ONIVA03G14700 transcript:ONIVA03G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGTTGDCCSTASSSLAGKGHSAVYTADGALFEVPLVYLGMMVFGELLAMSQEEFGFAGDNGRITLTCDASVMEYVMCLISIDASEEVERVFLSFMASSCHCVGGISHQFYGVGERRAVRDRLPRLAEVGLHAAAGRGAVEEVIVGVDAAVLEREAGGAGLGGDGVLLAVEGRRMTMSQCWKTAVMSPKMS >ONIVA03G14690.1 pep chromosome:AWHD00000000:3:10610672:10615261:-1 gene:ONIVA03G14690 transcript:ONIVA03G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFNGGAGWPEPVVRVQAVSDSCGATIPERYVKAPSERPSSLDGGGGLNNIPVVDMSMPDGEETARAVAAACREWGFFQAVNHGVRPELLRRARAAWRGFFARPAEAREAYANSPATYEGYGSRLGTAKGGPLDWGDYYFLHLLPAALKSHDKWPTSSVPAGGGGLREATEEYAEEVVRLCRRVMRLLSRGLGLDDGRLQAAFGGVGGEGACLRVNFYPRCPQPELTLGVASHSDPGGMTMLLVDDHVRGLQVKNAGHWITVDPVPDAFIVNIGDQIQVLSNAVYKSVEHRVTVSAAEERLSLAFFYNPRSDLPLAPMPELVAPPARPALYPPMTFDEYREHIRRCGLSGKAQLQSQQMATAICGAPPAATASSSSSSSASSLAR >ONIVA03G14680.1 pep chromosome:AWHD00000000:3:10591825:10597742:1 gene:ONIVA03G14680 transcript:ONIVA03G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:A0A0E0GL20] MHARCAFRLTRLRREPDAEPGRAGKQGKSVCAAKVHEGGAGAGDAQMGTYKCCIFFTRRFALSDASTPGDVRMLFTRHAGGAPYMGIDELRRYLAASGEAHVDADTAERIIDRVLQERSRTPRFGKPSLTIDDFQYFLFSEDLNPPICHSKEVHHDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDIPIIKALQIGVRVIELDMWPNSSKDDVDILHGRTLTAPVSLVKCLKSIKEYAFVASPYPVIITLEDHLTSDLQAKVAKMVLEVFGDTLYYPESKHLQEFPSPEALRGRVILSTKPPKEYLESKGGTMKDRDIEPQFSKGQNEEAVWGTEVPDIQDEMQTADKQHENDILYTQRDVEEDDEKKMCQHHPLEYKHLITIKAGKPKGAVVDALKGDPDKVRRLSLSEQELAKVAAHHGRNIVSFTHKNLLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQGYGRSLWLMHGFYKANGGCGYVKKPDFMMQTCPDGNVFDPKADLPVKKTLKVKVYMGEGWQSDFKQTYFDTYSPPDFYAKVGIAGVPSDSVMQKTKAVEDSWVPVWEEEFVFPLTVPEIALLRVEVHEYDVSEDDFGGQTALPVSELRPGIRTVPLFDHKGLKFKSVKLLMRFEFV >ONIVA03G14670.1 pep chromosome:AWHD00000000:3:10583896:10587188:1 gene:ONIVA03G14670 transcript:ONIVA03G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:A0A0E0GL19] MAKEFPVPPVVFTPSTPTHRRHPPPGTGPSPPPAFAPPRPSTSSGANPLPFMSFDVGNAATSSSPPLFAGPIGVGGSGASFEDEPPLLEELGINTRQIWRKTLSILHPLRSADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAISLFLPRGGGLIFGVGMGFVLWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLVIMFRILLPYEVESCQSTSRWSGLGVFCWTTDYHASWEILCNDLFLFHPVVPVAW >ONIVA03G14660.1 pep chromosome:AWHD00000000:3:10574070:10579569:1 gene:ONIVA03G14660 transcript:ONIVA03G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GL17] MLTRTITYCMVSVTHRIHHPSIMNKLFSTAWILRHVLRWFIKVKMDGNAKGGGHSEALKNYNLGRTLGIGSFGKVKIAEHKLTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYGKDHVCESLRNRLQNEERNFNRFASSESASSNTRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALQDLNVSWKKNGQYNMKCRWSVGTQATDMLDVNNSFVDDSIIMDNGDVNGRLPAVIKFEIQLYKTRDEKYLLDMQRVTGPQLLFLDFCADFLTKLRVL >ONIVA03G14660.2 pep chromosome:AWHD00000000:3:10573377:10579569:1 gene:ONIVA03G14660 transcript:ONIVA03G14660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GL17] MDGNAKGGGHSEALKNYNLGRTLGIGSFGKVKIAEHKLTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYGKDHVCESLRNRLQNEERNFNRFASSESASSNTRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALQDLNVSWKKNGQYNMKCRWSVGTQATDMLDVNNSFVDDSIIMDNGDVNGRLPAVIKFEIQLYKTRDEKYLLDMQRVTGPQLLFLDFCADFLTKLRVL >ONIVA03G14650.1 pep chromosome:AWHD00000000:3:10568796:10570887:-1 gene:ONIVA03G14650 transcript:ONIVA03G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDEFTIRFEVLLRFLESTYVTFKERAYERANVILLTMVANNGVLHCLTNSYQRVKCCKAKEVWRENKDGGEVQCNGLLYRCRQSNSISAITSLRSPTPAEALPDDIRLDDKALLEKDFLEEGQLDVSLFDELLPNGDFLSSGLTDDKRFADKLRADGDNLSNGLSEESCL >ONIVA03G14640.1 pep chromosome:AWHD00000000:3:10562150:10566772:1 gene:ONIVA03G14640 transcript:ONIVA03G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Cytochrome B561-related, N-terminal (InterPro:IPR019176); Has 215 Blast hits to 213 proteins in 79 species: Archae - 0; Bacteria - 6; Metazoa - 131; Fungi - 22; Plants - 42; Viruses - 0; Other Eukaryotes - 14 (source: NCB /.../k). [Source:Projected from Arabidopsis thaliana (AT1G07970) TAIR;Acc:AT1G07970] MEFQQGGGGGCGGGKARDKFSVYRNPSLARALASRSVRPSLPVLVLLALSPIASASSILVLSSWEGQLVKVAGRAGLSMAAAVLVFRLIEAALGLVALFTLPAFFRAVMLYNGKRALAKEDKVVLSERQLGLLGLKMTGSEAGGTSEKTKRPPKAKPSTPSEPIVPIRRSSFSYTPSRSLGQSRIGSSNLSPGGERLTTSVQISPSTPLQKPISSPSTPWSRKSSGSAKGIQTEAMLEQFLAGLDENIDTITDSASKIATPPATITSFGAASPVSVITSTTPSGAARSTPLRAVRMSPGSHQKYSTPPKKGEGELPPPMSLEQAVNAFENLGVYPEIEQWRDNLRQWFSSVLMNPLVQKIKTSHIQVKQTTATVGASVTVSQVGSDLPSTTAPVGLSPFGGTKDWQPTITVDEDGILNQLRTALLHSRDTPVAQTFGSPQQPQQNPLLPSIQACIDAITEHQRLNTLMKGELIKGLLPQSSVRADYTVKRVQELAEGTCLKNYDYMGHGDGCGKLEKKWISELPTDSLLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPPKERFPEKYVALISGVPAVIHPGALILAVGKQSPPIFALYWDKKLQFSLQGRTALWDAILLLCHQINVGYSGVVRGIHIGSSALNILSVLDSDMES >ONIVA03G14640.2 pep chromosome:AWHD00000000:3:10566651:10570192:1 gene:ONIVA03G14640 transcript:ONIVA03G14640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Cytochrome B561-related, N-terminal (InterPro:IPR019176); Has 215 Blast hits to 213 proteins in 79 species: Archae - 0; Bacteria - 6; Metazoa - 131; Fungi - 22; Plants - 42; Viruses - 0; Other Eukaryotes - 14 (source: NCB /.../k). [Source:Projected from Arabidopsis thaliana (AT1G07970) TAIR;Acc:AT1G07970] MTSCCRDGGLLDGEARSGGPDLARAHCGRRWPGPPKGAPWSSERSVRFKKFQTHAPNGNSPTSPTFPTATSQSQSNPFVSLPQFPPIPIERLVSSPPRLPRSLVVVVVAMDPHPTPFAGKRRSVAAASAKIAAPKPKSIASTRTKTTRKSPPAPPPPRPRRAFGTVRSSNAHDAPEKPPPLQKAPKVSPPPPQKPDKVSPPPAQKPSKVSPPPPPPQKSAKVSPPPAAKPPKLSPPNLAKATKPSRLAAKPPKKAAPGPELDPKPRKKAQRVSFQEDAAMSVAPGSGEKVKVSTDDAAGHTPMVAVRALEKKVSVVASAETPFFSAQNCSNCSLDPLEESTYWLAHIHLAESVGKHRVAAAFFQLAFECQAQPIHRIQSELRNYTVRHESASTLTTLFDELLLAHGGIPVNQPKFETDGFEVVDTPLTTDSDDKRLDSTTTQVDERCSECDCGGDIVDVAVPSIVKPLEEGMDQPSFERKLNDGFEFDDCEAVIVDKLVGGHSDLEKIVDVNGPSDSETMQSACRSSIDRLSLKGSPVVSGLSQRQLSSDSPLDKLSPSARSLSAKRLSSVSPLDKKSPFGSSSSKRLTSSCPSSKKSFSSKALSSKRMSSGNASAGVGDLNEVIADMEFDCPASDDQLELKEHGDSEIN >ONIVA03G14630.1 pep chromosome:AWHD00000000:3:10558442:10560360:-1 gene:ONIVA03G14630 transcript:ONIVA03G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein [Source:Projected from Arabidopsis thaliana (AT5G03080) TAIR;Acc:AT5G03080] MAEFQEMAAEVPPSLKAITLTHVRYRRGDTLGLFLAWVSLVPVFISLGGFVSHFLFRRELQGICFAAGLLASQLLNELIKHSVAQSRPVYCELLEACDSHGWPSSHSQYTFFFATYLSLLTLRRSPSSRVVASLAWPLAFLTMLSRVYLGYHTVAQVFAGAVVGLVFGAIWYWIVNTMLVEYFPMIEESAIARWLYIKDTSHIPDVLKFEYDNARAARRKVATD >ONIVA03G14620.1 pep chromosome:AWHD00000000:3:10548510:10560533:1 gene:ONIVA03G14620 transcript:ONIVA03G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Taxilin (InterPro:IPR019132); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G50840) TAIR;Acc:AT5G50840] MTDAAAPAAAGEIPAEDAPPPGALYSFGTPWPEFNEGISYIDTFRCADAGATTTLIEFYSTSYKSSAPLPGWIKRIRDGQITVCGEVATDPDIILREGSKLVYHRLPWQEPCAPHLLDVLYEDDDMIALNKPSGLQVLPKGLFQQRTVLAQLQLKDWKMPSSFCSKRKDAQSHPVPVHRLGRGTSGLLLCAKTKLAKAQLAAYFAEGATNAGKSRDETDICKARKISKFYRALVTGILENDEVMITQPIGLVRYPGVAEGLYAACSSGKPAMSKVRVLERLKIHNHTLIQVEIHSGRPHQIRIHLAYIGHPLVEVMRDLCNLSLEIVGTTYMHIG >ONIVA03G14620.2 pep chromosome:AWHD00000000:3:10554511:10560533:1 gene:ONIVA03G14620 transcript:ONIVA03G14620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Taxilin (InterPro:IPR019132); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G50840) TAIR;Acc:AT5G50840] MEGSPATRLPEADSLPDGFVESSAADQAPPPPADAGDPASRSLGLDQADATVGGGGGDETLGAPPSTLASVAQDTLDAYSAADALQSLTVGGSAAEPERALGEPAVDAGGDLSLSPSLSHAAVRSKMSHLILGDLAVPVVADAKESSKESSVVEQVESMADQKVVIAEGSGEQKRKVVKKSKVEKDRELFELAQAYHKVVAERDAGKLLSSIAVKEKLESLCREFQRQNKMLKEECRRVSTEGQNMRMELSDKFNNAIKDVSVKLDEQKNECIAQLEENNLLRSKLKDLADQYNITQQKYAHQLKEKMLELELADLKMQQHQEKTAQEQTQMQLYADQVSQLMSTEKNLRLQLAADGERFQQFQDALTKSNEVFETYKKEMEKMVKLIKDLKKDNEFMKSKCENSDVALVKLIEERELMKKQVDKFKNQKEKLESLCRSLQAERKQSPSGGTPDATSNETNLATIES >ONIVA03G14610.1 pep chromosome:AWHD00000000:3:10546093:10548379:1 gene:ONIVA03G14610 transcript:ONIVA03G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHLSL >ONIVA03G14600.1 pep chromosome:AWHD00000000:3:10537364:10542628:1 gene:ONIVA03G14600 transcript:ONIVA03G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATMTWHEELATLVGDTGVRFPGAGGGSAANVAAAVGGGWYRGEEEDGEGRAVEEEGWAQQARGFLESTAEMLRVLGRGLNILYAVLGVGSVDDTPVELPKKLYIGPPSAKTIQLPDGRHLAYKEQGVTADRARFSLIAPHSFLSSRLAGIPGIKPSLLEEFGARLVTYDLPGFGESDPHPGRDLNSSAHDMLHLAGALRIVDKFWVVGYSAGSIHAWSALRHIPDRVAGAAMFAPMANPYDSKMTKEERRKTWERWSTKRKLMHILARRFPALLPLFYHRSFLSGKQGQPESWLSLSLGKKDKTSLESPMFNAFWEKDVAESVRQGDAQPFVEEAVLQVSDWGFSLSDIQMQKREDLSFFELIKSLFRQAEREWVGFLGPIHIWQGMDDRVVPPSVTEYVRRVVPGATVHKLLDEGHFSYFCFCDECHRQIFSTLFGIPQGPINPVPEPIEVASELTEETTVPDKAKEEEQDISDLA >ONIVA03G14590.1 pep chromosome:AWHD00000000:3:10525862:10537022:-1 gene:ONIVA03G14590 transcript:ONIVA03G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESETAAQADAREQTVATGWTGLAETDPDQASHTAFSQPQVVPTPEQQQHGPQFCPKSLAPQFLTMLTIICAQELKY >ONIVA03G14580.1 pep chromosome:AWHD00000000:3:10525231:10530538:1 gene:ONIVA03G14580 transcript:ONIVA03G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSSPTTPVTPSTAAWSPPPCRSPPQLGLLVQGSVGATAPSPTSGSAFRQCRCSIVLRWSSLPSRLRSCRHYEGHMHDWLDGDASGGGWPDRSI >ONIVA03G14580.2 pep chromosome:AWHD00000000:3:10525231:10529643:1 gene:ONIVA03G14580 transcript:ONIVA03G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSSPTTPVTPSTAAWSPPPCRSPPQLGLLVQGSVGATAPSPTSGSAFRQCRCSIVLRWSSLPSRLRSCRHYEGHTNHPNRLDEKNAAF >ONIVA03G14580.3 pep chromosome:AWHD00000000:3:10529801:10530453:1 gene:ONIVA03G14580 transcript:ONIVA03G14580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVVQLQQLVVVVGGVALNARMLLPRRLFSIRIFRYSDIPLVDHKRIPQGYQTTNDSHTN >ONIVA03G14570.1 pep chromosome:AWHD00000000:3:10525131:10525400:-1 gene:ONIVA03G14570 transcript:ONIVA03G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHWRKAEPLVGDGAVAPTEPWTSRPNCGGERHGGGDQAAVEGVTGVVGEDGSGIGDDRSSGSGDRRQEPMRQWWREAPRGRGRRRR >ONIVA03G14560.1 pep chromosome:AWHD00000000:3:10521390:10523037:1 gene:ONIVA03G14560 transcript:ONIVA03G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCGCGSNCTCGKMYPDLEEKSSSAQATVVLGVAPEKAHFEAAAESGETAHGCGCGSSCKCNPCNC >ONIVA03G14550.1 pep chromosome:AWHD00000000:3:10516175:10525039:-1 gene:ONIVA03G14550 transcript:ONIVA03G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRWDRGSAVGRCGWAGEAQAADAVPPSPGFSFGQNWRGGRRVVEQRGLGPALRGGGSMKSADEGASGPRRKPSPVVHRAGSGYVFGRRNLFGALSRLEPQPQPWAVSPDSAAASKCAFSGATPSTTVAWALLLFSSSLQSLSGPNSTGRTHPVIPFRASPTNAGPTSFRMARPK >ONIVA03G14540.1 pep chromosome:AWHD00000000:3:10514060:10516078:-1 gene:ONIVA03G14540 transcript:ONIVA03G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) TAIR;Acc:AT1G07960] MDLAPGRRARLLVALALVVLVALAARSGAEVITLTEETFSDKITEVGRARRVQIKEKDTVWFVKFCVPWCKHCKNLGTLWEDLGKVMEGADEIEIGQVDCGVSKPVCSKVDIHSYPHSRCFMKVKRLQNIKLKHARILIVSYNCPRNVESLKNFVSDEAEKAGEAKLQDS >ONIVA03G14540.2 pep chromosome:AWHD00000000:3:10514060:10516078:-1 gene:ONIVA03G14540 transcript:ONIVA03G14540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) TAIR;Acc:AT1G07960] MDLAPGRRARLLVALALVVLVALAARSGAEVITLTEETFSDKITEVGRARRVQIKEKDTVWFVKFCVPWCKHCKNLGTLWEDLGKVMEGADEIEIGQVDCGVSKPVCSKVFYEGEEVAKYKGPRNVESLKNFVSDEAEKAGEAKLQDS >ONIVA03G14540.3 pep chromosome:AWHD00000000:3:10514060:10516078:-1 gene:ONIVA03G14540 transcript:ONIVA03G14540.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) TAIR;Acc:AT1G07960] MDLAPGRRARLLVALALVVLVALAARSGAEVITLTEETFSDKIKEKDTVWFVKFCVPWCKHCKNLGTLWEDLGKVMEGADEIEIGQVDCGPRNVESLKNFVSDEAEKAGEAKLQDS >ONIVA03G14530.1 pep chromosome:AWHD00000000:3:10507334:10513431:1 gene:ONIVA03G14530 transcript:ONIVA03G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKZ9] MGSSTDHGGAGGRGKKGSGSQLWKKALLHSSLCFVMGFFTGFAPSSVSDWTSAAVSAGGVGSSHVVRSLHATGGAAVNRSLLAQAAAGAVDAGPQPLLVVVTTTESTPSAAGQRAAALTRMAHTLRLVPPPLLWVVVEANPDVAATARLLRTTGLMYRHLTYKDNFTVADAAAGKERHHQRNVALGHIEHHRLAGVVLFAGLGDTFDLRFFDQLRQIRTFGAWPVATMSQNERKVVVQGPACSSSSVAGWFSMDLSNATSPVAVGGAGYGAAAARPRELDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSVKFVQQVVLEDYSKVRGIPSDCSEVMVWHVNTTPLPSSQPSPQNKSLYRSDFPQTEPETLICLIHDHASHYIYGGRFLSGDFC >ONIVA03G14520.1 pep chromosome:AWHD00000000:3:10494534:10501811:1 gene:ONIVA03G14520 transcript:ONIVA03G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLGHRYKECRPRRNNSPTKILVGKDLLKELEHRRSSPSVIAKLMGIDVLPPAYVTHNRHQDFKDVFEVSEEPQEAIVKERSHHFPKGLPSLKRSALKLKKLMPSMSPYGDGPFDNNVVCRDGFDRLNSLEINNPLFEKRPHDMNFSAKHQYEKETSSTFRKYPAGLGNTSLKDIRNSSRGKLEDFNSIVVLEPGLGKVQESGKAFCTPDPSHINKNFRREMQQADFSMQNRGRVSPNLLDTEDVDVSRIKRERYLSRNAVDSLLEGKESSFDHHNVPDTSSSGSSQNCVSGEVNSRQSNRPSSNSSPRKNRQKYEEGSVGSKTLAEMFALSDSERLKRDSDSHAQIRHNKLNRGNSNGKEGCFIVLPKHAPRLHPHSSLDKNSPHSNFIPNTSNTYHSGQSHFNSFCDISRLQQIGSPSQDNLRNACAKHQTLRQHRSASPSHDNQNHSRCSTDNFSTFDCINEKILFTTDEDLVKKPAETVHSSFESCGEEKVSASPFNCRDYESITVSDHSYVAKSHKSLKEVGQPSPVSILEPPTDEDSCCSGYFKCNLQDMPNVEKLIDDCELRYEQVSLSSDDDSGSSYRSLEAFQVEEERGFSYLLDMLINSGMIVADSQLLCKSWYSPGCPVGPQVFDRLERKYNKISAWPRPERRLLFDLANTVLSEILAPWKSSRRCCPVWGPEGPVEVVWQTMVRRQEELAVGHPDNKVLDPEWLEVGEDINTVGKQIAKMLHGDLLDEIILEFLSGCVAS >ONIVA03G14520.2 pep chromosome:AWHD00000000:3:10495008:10501811:1 gene:ONIVA03G14520 transcript:ONIVA03G14520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLGHRYKECRPRRNNSPTKILVGKDLLKELEHRRSSPSVIAKLMGIDVLPPAYVTHNRHQDFKDVFEVSEEPQEAIVKERSHHFPKGLPSLKRSALKLKKLMPSMSPYGDGPFDNNVVCRDGFDRLNSLEINNPLFEKRPHDMNFSAKHQYEKETSSTFRKYPAGLGNTSLKDIRNSSRGKLEDFNSIVVLEPGLGKVQESGKAFCTPDPSHINKNFRREMQQADFSMQNRGRVSPNLLDTEDVDVSRIKRERYLSRNAVDSLLEGKESSFDHHNVPDTSSSGSSQNCVSGEVNSRQSNRPSSNSSPRKNRQKYEEGSVGSKTLAEMFALSDSERLKRDSDSHAQIRHNKLNRGNSNGKEGCFIVLPKHAPRLHPHSSLDKNSPHSNFIPNTSNTYHSGQSHFNSFCDISRLQQIGSPSQDNLRNACAKHQTLRQHRSASPSHDNQNHSRCSTDNFSTFDCINEKILFTTDEDLVKKPAETVHSSFESCGEEKVSASPFNCRDYESITVSDHSYVAKSHKSLKEVGQPSPVSILEPPTDEDSCCSGYFKCNLQDMPNVEKLIDDCELRYEQVSLSSDDDSGSSYRSLEAFQVEEERGFSYLLDMLINSGMIVADSQLLCKSWYSPGCPVGPQVFDRLERKYNKISAWPRPERRLLFDLANTVLSEILAPWKSSRRCCPVWGPEGPVEVVWQTMVRRQEELAVGHPDNKVLDPEWLEVGEDINTVGKQIAKMLHGDLLDEIILEFLSGCVAS >ONIVA03G14510.1 pep chromosome:AWHD00000000:3:10477268:10478082:-1 gene:ONIVA03G14510 transcript:ONIVA03G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAGNTVANVTVAQQPHYGRSVSPPSRVSSCSPPPPPVGTASLLVGNTSSSSSPTTTVVLSPCAACKVLRRRCADGCVLAPYFPPTEPTKFTTAHRVFGASNIIKLLQELPESARADAVSSMVYEAEARLRDPVYGCAGAVCRLQKEANELKVQLARAQADLLNARAQHDNLVALVCVELAHRRRDDDQQLEYQAPAPPLPHPAEYCSGGAGFGATVYQPFYDSDLDSAAWGEPQLWT >ONIVA03G14500.1 pep chromosome:AWHD00000000:3:10465799:10467896:-1 gene:ONIVA03G14500 transcript:ONIVA03G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKEFRIVMPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTVHEESWCAYPNSRTVIKCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLAAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDQIREMERQTDLLLKKTLKKPAKAGSKHDGKRKTLKEEIVAVGSCT >ONIVA03G14500.2 pep chromosome:AWHD00000000:3:10465799:10467896:-1 gene:ONIVA03G14500 transcript:ONIVA03G14500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKEFRIVMPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLAAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDQIREMERQTDLLLKKTLKKPAKAGSKHDGKRKTLKEEIVAVGSCT >ONIVA03G14500.3 pep chromosome:AWHD00000000:3:10465799:10467896:-1 gene:ONIVA03G14500 transcript:ONIVA03G14500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKEFRIVMPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTVHEESWCAYPNSRTGFLIICYQCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLAAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDQIREMERQTDLLLKKTLKKPAKAGSKHDGKRKTLKEEIVAVGSCT >ONIVA03G14500.4 pep chromosome:AWHD00000000:3:10465799:10467735:-1 gene:ONIVA03G14500 transcript:ONIVA03G14500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTVHEESWCAYPNSRTVIKCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLAAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDQIREMERQTDLLLKKTLKKPAKAGSKHDGKRKTLKEEIVAVGSCT >ONIVA03G14500.5 pep chromosome:AWHD00000000:3:10465799:10467735:-1 gene:ONIVA03G14500 transcript:ONIVA03G14500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTVHEESWCAYPNSRTGFLIICYQCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLAAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDQIREMERQTDLLLKKTLKKPAKAGSKHDGKRKTLKEEIVAVGSCT >ONIVA03G14500.6 pep chromosome:AWHD00000000:3:10465799:10467735:-1 gene:ONIVA03G14500 transcript:ONIVA03G14500.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLAAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDQIREMERQTDLLLKKTLKKPAKAGSKHDGKRKTLKEEIVAVGSCT >ONIVA03G14490.1 pep chromosome:AWHD00000000:3:10461039:10461327:-1 gene:ONIVA03G14490 transcript:ONIVA03G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFLEVLLAIFLPPVGVFLRYGLGIEFWIDLLLTILGYIPGIIYAVYVLVA >ONIVA03G14480.1 pep chromosome:AWHD00000000:3:10458692:10459147:1 gene:ONIVA03G14480 transcript:ONIVA03G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWPGLGWFTTAGNSGNIMVVALGACSRADNGMAAFSSLQHDEENRECIRDGDGDGLAMNEDAIFFAADLSCSFHQRSCRAHEVATAAPPPSPAACPQLEPQQPRRGCNVTPARLPISFGPGLPPPHDSAATTVFAR >ONIVA03G14470.1 pep chromosome:AWHD00000000:3:10454122:10457943:1 gene:ONIVA03G14470 transcript:ONIVA03G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45620) TAIR;Acc:AT3G45620] MAVASEAACRRAASCFFEVGRQEIGSSTPRASSRRISGSEGLVMRMHQYGKLRGHDGCVNTVSFNPAGNLLVSGSDDMDIILWDWLAKTQRLIYPSGHQENVFHARVMPFTDDSTIVTVAADGQVRVGQLNEGGEVTTKQIGVHDDRAHKMAIEPGSPYIFYSCGEDGLVQHFDLRNDSPMKLFSCYSFSNSRRRVRLNTIAIDPWNPNYLSIGGSDEYVRVYDLRRIQLGASNDMNQPVDTFCPKHLMGGKVHITGIAYSYAREILVSYNDEHIYLFQNNMGLGPNPESAQAEFLDRLEQPQAYTGHRNFRTVKGVSFFGPNDEYVLSGSDCGNVFVWRKKGGELLRMMHGDKSVVNCIEPHPHFPFLATSGIDKTVKIWTPSANKVMSLPKNAKQIIASNKRAREIDASRPELTLSSDLIMHVLRLQRRQSELYREHEPATADLASDDDESFFAGFDDANRNGLANDATRGTQILMIRAGKIKRLGLESVTTYLDSIVNQECYWVFSSHV >ONIVA03G14460.1 pep chromosome:AWHD00000000:3:10451396:10452276:-1 gene:ONIVA03G14460 transcript:ONIVA03G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLQDNFGWLVGFGVPALCTVLAMANFLLGSAMYRSSSPPSVRGTSRCLTTASSSTTVRQSAGRSWRTQTSSGSWTTRRGCGGGGDVIVGAAEPWRLCTVTQVDELKVIVRMLPVWTRATGIVYCMVLVQQPLFTVQGRAMRRRLGFGAGAFAIPAASLHSVYAAAMLILVPLYDAAVVPAVRRLTSNKRGLTEMQRIGAGMTLSVAAMAAAATVEGRRLAVGKAAARLGSGKRRAEQQFGGGGDGAVSATTSSPRRQRGAGCWKRRARPWRRTS >ONIVA03G14450.1 pep chromosome:AWHD00000000:3:10439336:10441579:-1 gene:ONIVA03G14450 transcript:ONIVA03G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA-binding protein ARP1 [Source:Projected from Arabidopsis thaliana (AT3G54770) UniProtKB/Swiss-Prot;Acc:Q9M1S3] MTMMGGQPQQTPPPPQVVQVQQPAAAFGDTTLTKVFVGGLAWETHKDTLREHFERFGDILEAVIISDKLTGRSKGYGFVSSTTPRSHSRFRVATCARFGFLTVVWLQVTFKEADAAKKACEDATPVINGRRANCNLASLGAKPRAQPPHLLRPSPPATPGPHAPALPSPHHHQPAPAIAVGSRGVSPVPWYYHPSTTPPPPPPPAAHYAAHGGHHQQYHGVLPFYPAATTYGYSPNYVADLSYNAKLGQAAAAHGTNGAYLQGHFSYPAAAQGGMLAANGMMPVYPYYQYHYHGAQGLGVPAAHFFPPVSAAAVTTVPAIISKPTVMAPPKVEQVTGCS >ONIVA03G14430.1 pep chromosome:AWHD00000000:3:10432784:10437537:1 gene:ONIVA03G14430 transcript:ONIVA03G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGGAAPSPGGAGGLPNGRFFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALLSYAAIAWLRVVPMQLVRSRVQLAKIAALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWVTYLTLVPVVTGVMIASGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPIAVILLLPATIFMEDNVVGITIELAKKDTTIVWLLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVIGVILYSESKKRNKP >ONIVA03G14420.1 pep chromosome:AWHD00000000:3:10424685:10429729:1 gene:ONIVA03G14420 transcript:ONIVA03G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKY3] MDSTACLRLPFLPARTRPSSSSSSSPRRAARASSIKCCAAASDAGASSASISSASPRRPDVVNGVGPAGVDGLAGPPVPVPDSPAPASRDLHWLPRPLTSADLMGVSGEGLKVAYQGCPGAYSEAAAKKAYPNCQTVPCEHFDTAFKAVENWLADRAVLPLENSLGGSIHRNFDLLLRHRLHIVGEVRLAVRHCLLANPGVKIENLKSAMSHPQALAQCEHTLTEFGIEHREAVDDTAGAAKTVAEQNLPDTGAIASSLAAELYGLNVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALGVFALREINLTKIESRPHKKRPLRITDDSFSTPSKQFDYLFYMDLEASMADPKTQNALGNLKEFATFLRVLGSYPTDDYQGWIERGLSISQRVGKSSIISRLMLI >ONIVA03G14410.1 pep chromosome:AWHD00000000:3:10421460:10421726:1 gene:ONIVA03G14410 transcript:ONIVA03G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADYFFGPELDDLMQWLGDGDIGRKGTLPTKKEAREAMPTVEVTAGHSASAFATASTVCREDYAAGEHATGTPYRHRFHTSVLCHG >ONIVA03G14400.1 pep chromosome:AWHD00000000:3:10413916:10416111:-1 gene:ONIVA03G14400 transcript:ONIVA03G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDHYGSTRLYVGRLSSRTRSRDLEYLFGRYGRSCVFDLNDLVEGFSVFYTTREWKLQVGDAFEYLSHDGLLFGNHWCRIREVELKRDYAFIEFSDTRDADEARYNLDGRDVDGSRILVEFAKGVPRGAAGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRNLSRSRSRSLSGSPRGRRDRDDRRSRSLSYSRSPRRSISPAANGKERSPSPNGRRSPRSPQDRVSPPPKDNDEHNGDSPRGRENSRSPSDGYRSPVAANGRSPSPKNNGSPSPMDNNSRSPRDNGSPSPRDGNGDGGSRGGSRSPRASESPEA >ONIVA03G14390.1 pep chromosome:AWHD00000000:3:10408819:10411101:-1 gene:ONIVA03G14390 transcript:ONIVA03G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G45640) TAIR;Acc:AT3G45640] MDGAPVAEFRPTMTHGGRYLLYDIFGNKFEVTNKYQPPIMPIGRGAYGIVCSVMNFETREMVAIKKIANAFNNDMDAKRTLREIKLLRHLDHENIIGIRDVIPPPIPQAFNDVYIATELMDTDLHHIIRSNQELSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVIGTPTDDELGFIRNEDARKYMRHLPQYPRRTFASMFPRVQPAALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPICLEPFSFDFEQKALNEDQMKQLIFNEAIEMNPNFRY >ONIVA03G14390.2 pep chromosome:AWHD00000000:3:10408819:10411101:-1 gene:ONIVA03G14390 transcript:ONIVA03G14390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G45640) TAIR;Acc:AT3G45640] MDGAPVAEFRPTMTHGGRYLLYDIFGNKFEVTNKYQPPIMPIGRGAYGIVCSVMNFETREMICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVIGTPTDDELGFIRNEDARKYMRHLPQYPRRTFASMFPRVQPAALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPICLEPFSFDFEQKALNEDQMKQLIFNEAIEMNPNFRY >ONIVA03G14380.1 pep chromosome:AWHD00000000:3:10404101:10410388:1 gene:ONIVA03G14380 transcript:ONIVA03G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 1 [Source:Projected from Arabidopsis thaliana (AT1G07890) TAIR;Acc:AT1G07890] MAKNYPVVSAEYQEAVEKARQKLRALIAEKSCAPLMLRLAWHSAGTFDVSSKTGGPFGTMKTPAELSHAANAGLDIAVRMLEPIKEEIPIISYADFYQLAGVVAVEVSGGPAVPFHPGREDKPAPPPEGRLPDATKGSDHLRQVFGAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTRNPLQFDNSYFTELLSGDKEGLLQLPSDKALLSDPAFRPLVEKYAADEKAFFEDYKEAHLKLSELGFADA >ONIVA03G14370.1 pep chromosome:AWHD00000000:3:10393847:10394050:1 gene:ONIVA03G14370 transcript:ONIVA03G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQDHDERKKSHGNPYPRRGDIKRKIVQDVFGKSSDPPATSKPAGAGNGDGGDDAAAAGSYYGHY >ONIVA03G14360.1 pep chromosome:AWHD00000000:3:10389030:10389209:1 gene:ONIVA03G14360 transcript:ONIVA03G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQDTRRPLPRRGQVKAGIFASLFRCIFPGEKEASQKLKEGNSGGGGGGGRRVVPRG >ONIVA03G14350.1 pep chromosome:AWHD00000000:3:10383848:10388119:1 gene:ONIVA03G14350 transcript:ONIVA03G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLGSGRFLARRPPLALAPRCSRGSPEKGGGSDKGDTSSTDWDKAWSTFKKKGKKTLFSEFSPNKYVSWNPRRSEYPLSEEVDPIRRTERSNLMLWTSPKFTLVMAIVIVSTLLIYTIVVPPKTGWTGHECSL >ONIVA03G14350.2 pep chromosome:AWHD00000000:3:10383848:10388350:1 gene:ONIVA03G14350 transcript:ONIVA03G14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLGSGRFLARRPPLALAPRCSRGSPEKGGGSDKGDTSSTDWDKAWSTFKKKGKKTLFSEFSPNKYVSWNPRRSEYPLSEEVDPIRRTERSNLMLWTSPKFTLVMAIVIVSTLLIYTIVVPPK >ONIVA03G14340.1 pep chromosome:AWHD00000000:3:10365083:10383081:-1 gene:ONIVA03G14340 transcript:ONIVA03G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02830) TAIR;Acc:AT5G02830] MALAMTSTSPQPPPPSPRRRWRRRPLLRPGVSSPPSRCRPSPSPKAKAALPLLSDVGRDPTAIKYYSRVASNLVGAGRLREFLLAAEGLRAASGDAGFEGRISRRLLSRGVAAALRDQGLPHVLEFLRDAGRVGIRAAVMLDADAYDTVAAACRLLLAERSMTEFVEAVEALAQCGFFVQGIVDPMDVLKIFVKKRDPNMAIRYARIFPQSQLLLCNTMEAFGKRKELKHALTVFGALKDQFGGINMFACRSIIDICGHCGSSVQARIIFEGLLADKITPNVYVFNSLMNVNAHSFSYNFSVYKHMQNLGVPPDLTSYNILLKTCCNAKEYKLAQEIYEEIKKKEQNGLLKLDVFTYSTMMKVFADAKMWKLASDIKQDMQSAGVRLNLVTWSSLINAYANSGLVDRAIEILEEMTRDGCQPTAPCFNIILTGCVKSCQYDRAFRLFYDWKEYGVKISLSPEQKGCFGDNFSYCEEHTSNSSTLLVVPFRPTVTTYNILMKACGTNGERAKSVMNEMRRNGLCPDLISWSILMDIYGSSQNRDGAIQALRRMQRVGMKLNVTAYTVAIKACVENKDLKLALHLFEEMKAHQLKPNLVTYKTLLTARNKYGSLQEVQQCLAIYQEMRKAGYQANDYYLKNLIVEWCEGVLSSGNGNREYYQLDQRKESFKLVLEKVTTFLQKDVDQNQTVDVRGLSKVESRVVVLSVLRKIKEKYLLGRAVQDDVVIITGHGKASSAKAETSVVEVEHAIVAVLTDELGLEVLIGPGSRPASSKPTVPARSRSHLDLASKHFSRRPQGMIKIPINSLNHWLKRKAVRTVHDINPTRFHKKEFVDGHPDTCTAQ >ONIVA03G14330.1 pep chromosome:AWHD00000000:3:10360713:10365032:1 gene:ONIVA03G14330 transcript:ONIVA03G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit A [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKX2] MAAAAALNRLLPLVLLAAVVGRHGASGDGGFPIVFTETKCTPAPTWSRANDSAYRANVRALLGGLPSAAAPTGFASTDRSGGAGRDRAFARGICFGDPPPALSPQYCLRCLSVAAKELANGCPAKRRAAVWTDGCFASFADTSALSPDEAAFHYKIAVGALVEDDESSARFTATLAALAERLAPRAAANASRMLATATVDVPRVVAGSSRTVQVHSLAQCMPDRPAASCARCVQESARELGKCCWNMQSGGVATVIGYNCHLRLDVSVPMTPCTDGLRSFGSPHHFTNPSGPLTTGTILLFRTLPNHAAATRAQTLVEFSTSAPPPSPPLKAPKPKSPRFPHAPASKSLDMSEKKRRGGAGAGAASGSASKKPRVSTAASYAESLRSKLRPDASILATLRSLASACSKSKPAGSSSSSSSASKALAAEDDPAASYIVVADQDSASVTSRINRLVLAAARSILSGRGFSFAVPSRAASNQVYLPDLDRIVLVRRESARPFANVATARKATITARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQAQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLTFADDGDRIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTRQKAEIQALSSFGFQYLTEVYLPLKLQQQDWI >ONIVA03G14320.1 pep chromosome:AWHD00000000:3:10354762:10358442:1 gene:ONIVA03G14320 transcript:ONIVA03G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37240) TAIR;Acc:AT2G37240] MAARAPLPVPHAAATSPRPAAASSLLRARGPCASLLYPRRLRFSVAPVAAAKPEAVGRAGEAAAAPVEGLAKSLQGVEVFDLSGKAVPVVDLWKDRKAIVAFARHFGCVLCRKRADLLAAKQDAMEAAGVALVLIGPGTVEQAKAFYDQTKFKGEVYADPSHSSYNALEFAFGLFSTFTPSLYPQAGLKIIQLYMEGYRQDWELSFEKTTRTKGGWYQGGLLVAGPGIDNILYIHKDKEAGDDPDMDDVLKACCS >ONIVA03G14310.1 pep chromosome:AWHD00000000:3:10350626:10354993:-1 gene:ONIVA03G14310 transcript:ONIVA03G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrolase family protein / HAD-superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45740) TAIR;Acc:AT3G45740] MKGFRAALARASARSRAELQVARRRRHPSDPARRLSHSGTAPARPSFGIAFDIDGVILRGRSPIGGSPQAIRRLYSEDGGGVPEHKRAQELSELLGVNISPAQVVHGSSPYKELVNRFENDLIIAVGKGEPAAVMVDYGFRKVLSIDEYSSYFGDIDPLAPFKKWIVQQPDNINLMSEKVHPSYDVFEERVKGVFVVSDPVDWGRDLQVLCDILSTGGLLPGSGRGDQPPLYFASDDLEYQAAFPSERLGMGAFRIALESIFNQVNDHRLKYISYGKPNPFVFKNAANILEKLAICMHPSSLPTKEVEEHRFSTIYMVGDNPKVDINGALKAGPPWSPVLTRTGVFRGKDNDPQYPADLVVDTVEDAINCILEKECIQ >ONIVA03G14300.1 pep chromosome:AWHD00000000:3:10348349:10349011:-1 gene:ONIVA03G14300 transcript:ONIVA03G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSFLRTTLPTAKPLPAFQTLAPRPRPRPLRRSTIRAAITRGRKEDTVAAVREQLEGCYLLAGIRYEGLTVKQLQGIRDTLPETCRLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPAALKPYRAFQKEERLEETNDFVGAVFEGKFYAPGDFKALETMPSRAEVYAKLLGALQGPATSVVTTLQAPARDVVSVLSAYVRKLEQEVGAA >ONIVA03G14290.1 pep chromosome:AWHD00000000:3:10327030:10336075:-1 gene:ONIVA03G14290 transcript:ONIVA03G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSSSGRRKNAAISSDLKRSGPHPHTLPTSTCSTSPPPVSSPVIYRLLLLRLPPLTTTATSSSSALSSRLPALAVAAAARARGCLCPPLLTAPRRRLVPPIRELPTGVRGGLETGGSRPLAAGVRHGACEAGTDEPSRDDVKGTGNGILENGHSHKPEEEEWRNGMGEDLPNGHSTPPEPQQTDEQKEHQVRIVRWERFLPVKTLRVLLVENDDSTRQVVSALLRKCCYEVIPAENGLHAWQCLEDLQNHIDLVLTEVVMPRLSGIGLLSKITSHKICKDIPVIMMSSNDSMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIRTQKCTKPKVDDEYENNSGSNNDNEDDDDNDEDDDDLSVGHNARDGSDNGSGTQSSWTKRAVEIDSPQQMSPDQPSDLPDSTCAQVIHPTSEICSNRWLPTANKRSGKKHKENNDDSMGKYLEIGAPRNSSMEYQSSPREMSVNPTEKQHETLMPQSKTTRETDSRNTQNEPTTQTVDLISSIARSTDDKQVVRINNAPDCSSKVPDGNDKNRDSLIDMTSEELGLKRLKTTGSATEIHDERNILKRSDLSAFTRYHTTVASNQGGAGFGGSCSEALKTDSNCKRQTSPANVVGKDKVDEGIANGVNVGHPVDVQNSFMQHHHHVHYYVHVMTQQQQQPSIERGSSDAQCGSSNVFDPPIEGHAANYSVNGSFSGGHSGNNGQRGPSTAPNVGRPNMETVNGIVDENGAGGGNGSGSGSGNDLYQNGVCYREAALNKFRQKRKVRNFGKKVRYQSRKRLAEQRPRIRGQFVRQSGQEDQAGQDEDR >ONIVA03G14290.2 pep chromosome:AWHD00000000:3:10327030:10336075:-1 gene:ONIVA03G14290 transcript:ONIVA03G14290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSSSGRRKNAAISSDLKRSGPHPHTLPTSTCSTSPPPVSSPVIYRLLLLRLPPLTTTATSSSSALSSRLPALAVAAAARARGCLCPPLLTAPRRRLVPPIRELPTVRRPAGVRGGLETGGSRPLAAGVRHGACEAGTDEPSRDDVKGTGNGILENGHSHKPEEEEWRNGMGEDLPNGHSTPPEPQQTDEQKEHQVRIVRWERFLPVKTLRVLLVENDDSTRQVVSALLRKCCYEVIPAENGLHAWQCLEDLQNHIDLVLTEVVMPRLSGIGLLSKITSHKICKDIPVIMMSSNDSMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIRTQKCTKPKVDDEYENNSGSNNDNEDDDDNDEDDDDLSVGHNARDGSDNGSGTQSSWTKRAVEIDSPQQMSPDQPSDLPDSTCAQVIHPTSEICSNRWLPTANKRSGKKHKENNDDSMGKYLEIGAPRNSSMEYQSSPREMSVNPTEKQHETLMPQSKTTRETDSRNTQNEPTTQTVDLISSIARSTDDKQVVRINNAPDCSSKVPDGNDKNRDSLIDMTSEELGLKRLKTTGSATEIHDERNILKRSDLSAFTRYHTTVASNQGGAGFGGSCSEALKTDSNCKVKSNSDAAEIKQGSNGSSNNNDMGSSTKNAITKPSSNRGKVISPSAVKATQHTSAFHPVQRQTSPANVVGKDKVDEGIANGVNVGHPVDVQNSFMQHHHHVHYYVHVMTQQQQQPSIERGSSDAQCGSSNVFDPPIEGHAANYSVNGSFSGGHSGNNGQRGPSTAPNVGRPNMETVNGIVDENGAGGGNGSGSGSGNDLYQNGVCYREAALNKFRQKRKVRNFGKKVRYQSRKRLAEQRPRIRGQFVRQSGQEDQAGQDEDR >ONIVA03G14290.3 pep chromosome:AWHD00000000:3:10327030:10334717:-1 gene:ONIVA03G14290 transcript:ONIVA03G14290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACEAGTDEPSRDDVKGTGNGILENGHSHKPEEEEWRNGMGEDLPNGHSTPPEPQQTDEQKEHQVRIVRWERFLPVKTLRVLLVENDDSTRQVVSALLRKCCYEVIPAENGLHAWQCLEDLQNHIDLVLTEVVMPRLSGIGLLSKITSHKICKDIPVIMMSSNDSMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIRTQKCTKPKVDDEYENNSGSNNDNEDDDDNDEDDDDLSVGHNARDGSDNGSGTQSSWTKRAVEIDSPQQMSPDQPSDLPDSTCAQVIHPTSEICSNRWLPTANKRSGKKHKENNDDSMGKYLEIGAPRNSSMEYQSSPREMSVNPTEKQHETLMPQSKTTRETDSRNTQNEPTTQTVDLISSIARSTDDKQVVRINNAPDCSSKVPDGNDKNRDSLIDMTSEELGLKRLKTTGSATEIHDERNILKRSDLSAFTRYHTTVASNQGGAGFGGSCSEALKTDSNCKVKSNSDAAEIKQGSNGSSNNNDMGSSTKNAITKPSSNRGKVISPSAVKATQHTSAFHPVQRQTSPANVVGKDKVDEGIANGVNVGHPVDVQNSFMQHHHHVHYYVHVMTQQQQQPSIERGSSDAQCGSSNVFDPPIEGHAANYSVNGSFSGGHSGNNGQRGPSTAPNVGRPNMETVNGIVDENGAGGGNGSGSGSGNDLYQNGVCYREAALNKFRQKRKVRNFGKKVRYQSRKRLAEQRPRIRGQFVRQSGQEDQAGQDEDR >ONIVA03G14290.4 pep chromosome:AWHD00000000:3:10334791:10336075:-1 gene:ONIVA03G14290 transcript:ONIVA03G14290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSSSGRRKNAAVLLLTNHSQGPGLNARGPRRLLAPHPILGPTSPTKAQSAATQQRTRPRGRRRSPLRGWIPGQLRERAALDFVGSQAERAPPPHPPHLHLLHVTSPRLLPRYLSPPPPPPSASHHHRHLLLLRSLLAASSSGRSSSSARARVPVPAAAHGSSPPACASDTGCEGVWKPADLGRSPPESGTGGGIQVADWVQHMLFLTLGV >ONIVA03G14280.1 pep chromosome:AWHD00000000:3:10323577:10330171:1 gene:ONIVA03G14280 transcript:ONIVA03G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongator protein 6 [Source:Projected from Arabidopsis thaliana (AT4G10090) TAIR;Acc:AT4G10090] MEEYGGGDLLSEAMGSGARVVVVEDRVEARGAFALHLLLKRALAGGGAAALLALAQPFSHYDRVLRGAKGGAISDSFVRLYGDIQRAIDASRTGDNTGRFTLMIDDVSLLEVAAGGSVDDVLDFLHYCVTLTSEMNCSLVFLIHEDIYSSEEGVGVLLHLRYIADLVIRAAPLSTGLAADVHGQLSVVNKCTFREQRLKAQRIWNFHFRVKENGADFFYPGSRY >ONIVA03G14270.1 pep chromosome:AWHD00000000:3:10322681:10323749:-1 gene:ONIVA03G14270 transcript:ONIVA03G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARDGHASVDGPFRPTCSASSPRTAQELHRPGRVDLGTTGQKQHGSPLPVSFDIAAPLH >ONIVA03G14260.1 pep chromosome:AWHD00000000:3:10319176:10322555:-1 gene:ONIVA03G14260 transcript:ONIVA03G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26940) TAIR;Acc:AT3G26940] MGSCFSSEGGNESRKESAERPQITPDETAASEMDVNLNTTNADSISNHGMERLIHGQSFTYGELYAATGGFSDDRFLGEGGFGQVYRGVLDNSQEVAIKILNLQGNQGDREFITEASVLSKLHHTNLVKLIGCCQDGDQRLLVYEYMPLGSLKSHLHDLSPDKKPLDWNTRIKILVGAAKGLQHLHVNVDPPVINRDVKSENILLGDGYHPKLSDFGLAKMGPTGDDTHISTRVMGTLGYCAPDYLESGKLTVQSDIYSFGVVMLEVITGQKVIDDSRAKPERNIVEWAIPKINKKDFPKLADPVLNGQYHMRSLFRALTVAALCVDRTANRRPDITAVVDALTQISESQSSRKRWSSRLQSSVGSSASTEPRIEDWNQAKDQGEGS >ONIVA03G14250.1 pep chromosome:AWHD00000000:3:10310079:10318199:1 gene:ONIVA03G14250 transcript:ONIVA03G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERADRWKDFLDRLAESADDITTSISPSKEDSAAGDVNGGEHLDGAENLENSNRGGIKCNNEEEEGEEDAEKSDTPENSKEIDGNNQSQEANGEADDINDVSANSENLKQESIANSVESDKAPEELKEVSGCSEELLNDENGDSEGLKDSHGALEGLGEANNDNSEKLEELFLDKGLLDELKPIRVESGKRVRASIRIIEKMMSSRVGKIRNTANDMCGNGEAQLASIEEEERAADKSCRGDPAEESSNPDKVEQAQDREQGDSASAALEGGNGESYFPWREELESLVRGGVPMALRGEMWQAFVGVGARKITGYYNKLLDEGTEELDEKNPEDQELKDQTNAQKKPPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEEHAFWALVGVIDEYFDGYYTEEMIESQVDQLVLEEVVRERFPKLGGMGDWTMVSFNFHQYASMGKWSVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQAVREIGLQELRKKHRPDIISAMEERSKDRHSWKDKKGLATKLYSFKHDPLCPQVNSKEGEDDLQVNGEMQFLDSGSANLETYLTSSALDNELEEGIDLQDQVTWLKVELCKLLEEKRSAELRSEELETALMEMVKQDNRHMLSAKVEKLEAEVSELRKSFADKQEQEQAMLQVLIRMEQEQKVAEDARIAAERDAADKKYAAQLLQEKYDAAMAALRQMEKRAVMAETMLEATKQYQAGQFKANQSFNPSSPRAAPQSGKPNQDPNQDAPNRRLGLLSRGLGWLEKSKGKSSSTETPEG >ONIVA03G14250.2 pep chromosome:AWHD00000000:3:10310695:10318199:1 gene:ONIVA03G14250 transcript:ONIVA03G14250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGECRDAYGFAVRPQHLQRFREYAKIYKEEEEERADRWKDFLDRLAESADDITTSISPSKEDSAAGDVNGGEHLDGAENLENSNRGGIKCNNEEEEGEEDAEKSDTPENSKEIDGNNQSQEANGEADDINDVSANSENLKQESIANSVESDKAPEELKEVSGCSEELLNDENGDSEGLKDSHGALEGLGEANNDNSEKLEELFLDKGLLDELKPIRVESGKRVRASIRIIEKMMSSRVGKIRNTANDMCGNGEAQLASIEEEERAADKSCRGDPAEESSNPDKVEQAQDREQGDSASAALEGGNGESYFPWREELESLVRGGVPMALRGEMWQAFVGVGARKITGYYNKLLDEGTEELDEKNPEDQELKDQTNAQKKPPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEEHAFWALVGVIDEYFDGYYTEEMIESQVDQLVLEEVVRERFPKLGGMGDWTMVSFNFHQYASMGKWSVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQAVREIGLQELRKKHRPDIISAMEERSKDRHSWKDKKGLATKLYSFKHDPLCPQVNSKEGEDDLQVNGEMQFLDSGSANLETYLTSSALDNELEEGIDLQDQVTWLKVELCKLLEEKRSAELRSEELETALMEMVKQDNRHMLSAKVEKLEAEVSELRKSFADKQEQEQAMLQVLIRMEQEQKVAEDARIAAERDAADKKYAAQLLQEKYDAAMAALRQMEKRAVMAETMLEATKQYQAGQFKANQSFNPSSPRAAPQSGKPNQDPNQDAPNRRLGLLSRGLGWLEKSKGKSSSTETPEG >ONIVA03G14240.1 pep chromosome:AWHD00000000:3:10289319:10301214:-1 gene:ONIVA03G14240 transcript:ONIVA03G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVATHASLLLKAAAAAAHLHPKPFFSPRAAPPRIPSAPAPPAAGGSRYRPTTTTTAAATATSATAACRWFRWPPPAQAPVRGLCSLPHSGGGGGGGEGMGSEGVGRRRRVVAPAVNGVAKDGAPQPPPPKLLTLPTVLTIGRVAAVPLLISTFYMEGPWAATATTGIFLAAAVTDWLDGYIARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLEISLLRDGPWLLTVPAIAIIGREAVAVNNLGKWKTATQMTALTILLASRDKSLPAQDALVTSGVALLYVSAGLAIWSLVKIVTVYEYKTMRFECDSGVSIVSTILCAESGHLAAARQIHARLVAASVTPSNFLASKLISLYSRADRLRDARRVFDSIPQPSLFAWNAILISLSLHSPDPSAAVRLFASSAVSPDEITLSTLLRSLAASGPALSPLVTGELHAVAFLRGFGSDLFVSNALITAYANAGDMRSARAVFDEMPRRDVVSWNSLISACARAGWYRECLDLFQEFVRVRCSDGDGVGPNGVTVTSVLHACAQLKVVDFGIGVHRFAAESGLDMDMAVWNSIIGFYAKCGRLQYARQLLDGMTRKDSISYSAMITGYMNNGHVEEGMQLFRQASARGISMWNSVIAGLVQNGRQSDVLRLLQEMIASKVLPNSATLSIVMPSVPSFSTLLGAKQAHGYAIRNDYDQSIRLVSALIDAYAKAGFLDTARKVFKLTEHRSTIVWTSIISAVAAHGEAVEALSLFNQMITAGAKPDTVTFTTVLSACAHSGKVAEARKVFNSMQAVFGISPVIEQYACMVSALSRAGMLKEAVKLVNKMPFEPNAKVWGALLNGAAVVGDVEFGRYAFDRLFIIEPKNTGNYIVMANLYSNAGKWEEAETIRSMLWGVGLEKEVPPQVKSQCLMKHQKSSIQKLKVAAISTCTDRPNEAGDSITSGNIDVNSNVQYTSPYRDEAPHLTPQPSDIRRTPSASSLHSYYLPPIQQPSAPQAQKQRRMQRQKQPQPSHIVRPSLTPNLGPLDTTTTTRNVDERREAKLGEMAATAMQCRGGERSEDGGGGGMRTVECLRGRLLAERVASKAAKEEADSLAKRVSSLSHIPYCYNLLDRKCVILFLSFFWWLVMIWSQLDELEKKLSDEVKIRNKAERRLRRAIKKLESLKILDVELSDSSIGSLSSNSCSGHCAPETEADVNNPGSSAGSCTQVNSSQEGSWCSVVSEQSPSVHCKEEEENGLDPEDAKNCGSGEEAGDHDSERTHGKLPCSRDDEPVHVPSEFGSSKSQDNQRDEDDDRLALVLVDPQPNAETGNEDDMRIDIQARKAQAEPREGDGEMEEANELAIVLVDPQPEPKAEPAATARPRNDVQSVLLALRQVKEQLRYTIERRSELVAHQELCGHC >ONIVA03G14230.1 pep chromosome:AWHD00000000:3:10285680:10291582:1 gene:ONIVA03G14230 transcript:ONIVA03G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFQQTYQTIIYNIDRWRTNCTQLNYLSLSPVYVTQPREQNLIPISLQILYYTITVKNSSSIVANTSKSRCSKLTRCSALNSGRKAPGGRRAPTSSRSCTAAAPSPAAARGARTARRCAASPSPQAPPWARVAGPRARSRAHSPPPFRRLPLWARPEPSVLGYRYAYRLRCLFLHSAAGLPAPALACRRPRRVGYLGILMSRTRMAHEQVHHPCYMGAFHVFCISNTGC >ONIVA03G14220.1 pep chromosome:AWHD00000000:3:10281396:10283664:-1 gene:ONIVA03G14220 transcript:ONIVA03G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRSSGKEALPAALGSASEPPRLFDGTTRLYICYFCPFAQRAWITRNFKGLQDKIELVGIDLQDKPAWYKEKVYEQGTVPSLEHNGKIMGESLDLIKYIDSHFEGPALLPEVRQRNSVILRRDSLLMSLLLAALDKIEAALSKFGDGPFFLGQFSLDVSKIYYSHIRKYEITNGRPNLEKFIEEINRIEAYTQTKNDPLYLLDLAKTHLKARPLPETNAQPPQL >ONIVA03G14220.2 pep chromosome:AWHD00000000:3:10281396:10283664:-1 gene:ONIVA03G14220 transcript:ONIVA03G14220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRSSGKEALPAALGSASEPPRLFDGTTRLYICYFCPFAQRAWITRNFKGLQDKIELVGIDLQDKPAWYKEKVYEQGTVPSLEHNGKIMGESLDLIKYIDSHFEGPALLPEIEAALSKFGDGPFFLGQFSLDVSKIYYSHIRKYEITNGRPNLEKFIEEINRIEAYTQTKNDPLYLLDLAKTHLKARPLPETNAQPPQL >ONIVA03G14210.1 pep chromosome:AWHD00000000:3:10272204:10281182:-1 gene:ONIVA03G14210 transcript:ONIVA03G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCKSAERLDEPDLPRPNQLLTYRLRHYHLTLSLPMNSLAFPRGRCSPSPLTRPSTSRSSSVPSSRTVKIRPSSRSVARCNLRDAKNLSPSRTVAMAAAAAAPASSEKEVLPPSLTSSSEPPPLFDGTTRLYVAYHCPYAQRAWIARNYKGLQDKIKIVPSLEHNNQVKGESLDLVKYIDTNFEGPALLPDDSEKQQFAEELLAYTDAFNKASYSSIVAKGDVSDEAVAALDKIEAALSKFNDGPFFLGQFSLVDIAYVPFIERFQIFFSGIKNYDITKGRPNLQKFIEEVNKIHAYTETKQDPRLPEDVTKDEPTTTCDGDRELVQKYAICNVHNHLDLHLSNHLDLANGLQEKIKLVPMDTNDRPAWYKEVYPKNTLPSLEHNNKIIGESLDLIKYIDINFAGPRLTPDVDIAYAPFIDGFQTLFAGIKNYDITEGRANIQIFIKELNKIDAYMHTKQDPSEVIALTKKKLGGRIHRWGLSLSSISAEPPALHAEQQPHGFGREAAYCISADQPGRKEGANKDNISRSMGD >ONIVA03G14210.2 pep chromosome:AWHD00000000:3:10272204:10281336:-1 gene:ONIVA03G14210 transcript:ONIVA03G14210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQRLKIRPSSRSVARCNLRDAKNLSPSRTVAMAAAAAAPASSEKEVLPPSLTSSSEPPPLFDGTTRLYVAYHCPYAQRAWIARNYKGLQDKIKIVPSLEHNNQVKGESLDLVKYIDTNFEGPALLPDDSEKQQFAEELLAYTDAFNKASYSSIVAKGDVSDEAVAALDKIEAALSKFNDGPFFLGQFSLVDIAYVPFIERFQIFFSGIKNYDITKGRPNLQKFIEEVNKIHAYTETKQDPRLPEDVTKDEPTTTCDGDRELVQKYAICNVHNHLDLHLSNHLDLANGLQEKIKLVPMDTNDRPAWYKEVYPKNTLPSLEHNNKIIGESLDLIKYIDINFAGPRLTPDVDIAYAPFIDGFQTLFAGIKNYDITEGRANIQIFIKELNKIDAYMHTKQDPSEVIALTKKKLGGRIHRWGLSLSSISAEPPALHAEQQPHGFGREAAYCISADQPGRKEGANKDNISRSMGD >ONIVA03G14210.3 pep chromosome:AWHD00000000:3:10272204:10278740:-1 gene:ONIVA03G14210 transcript:ONIVA03G14210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLAFPRGRCSPSPLTRPSTSRSSSVPSSRTVKIRPSSRSVARCNLRDAKNLSPSRTVAMAAAAAAPASSEKEVLPPSLTSSSEPPPLFDGTTRLYVAYHCPYAQRAWIARNYKGLQDKIKIVPSLEHNNQVKGESLDLVKYIDTNFEGPALLPDDSEKQQFAEELLAYTDAFNKASYSSIVAKGDVSDEAVAALDKIEAALSKFNDGPFFLGQFSLVDIAYVPFIERFQIFFSGIKNYDITKGRPNLQKFIEEVNKIHAYTETKQDPRLPEDVTKDEPTTTCDGDRELVQKYAICNVHNHLDLHLSNHLDLANGLQEKIKLVPMDTNDRPAWYKEVYPKNTLPSLEHNNKIIGESLDLIKYIDINFAGPRLTPDVDIAYAPFIDGFQTLFAGIKNYDITEGRANIQIFIKELNKIDAYMHTKQDPSEVIALTKKKLGGRIHRWGLSLSSISAEPPALHAEQQPHGFGREAAYCISADQPGRKEGANKDNISRSMGD >ONIVA03G14200.1 pep chromosome:AWHD00000000:3:10267569:10271215:1 gene:ONIVA03G14200 transcript:ONIVA03G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S24e family protein [Source:Projected from Arabidopsis thaliana (AT5G02740) TAIR;Acc:AT5G02740] MTRLAAAALRRATAASGIPSSSSRSSVLAPFAPRLFSTEASGETPAAGAAAQGSQDEPFFKPSDEGVAYGRFYSVIPGGSRLPKSMLKTDIIHHLDKSELSLDDVKIDYNRGYYPVGALLRFSSVPLFNTAVRQTREGRQYRLEMISREEFDLKQSYDGKAILLQGVPRNAVPEDIERFLCGTNVEPPPFESFLRPGVPDPIRVVLVKFRSRTDAANAFITKNRGFCLNNPVSMRVLQ >ONIVA03G14190.1 pep chromosome:AWHD00000000:3:10264960:10267151:-1 gene:ONIVA03G14190 transcript:ONIVA03G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPRPISPGRRLLPVVVLFVALCSIPGIFSQRLVTLDSIDIFTTHEWFPSKPTVYFLCNGEDKVYLPDVKDANNIYTFKGEESWQPLTQLPEKKCKRCGLYEEDTFKHDLYDEWELCSSDFKKGKYTHFKEGQFNATFLCPNCTASAGDSANHDSSSEVETKKSSVTVIIIVSVLSSVLVIIALFGGYKYWLKKKRERDQLRFLKLFEEGDDIEDELGLGNEL >ONIVA03G14180.1 pep chromosome:AWHD00000000:3:10263381:10264595:1 gene:ONIVA03G14180 transcript:ONIVA03G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNQEHEAESWPPAIYHQMPAILEYLEDHRVVVVSAAPGSGKSSVLPRCLAESGYGPVLCAQPRHLAAFVAMAKVGEEWDSDIEFTTTRQLLDRFSSPAPVLAGYGAVVIDEAHDRTLGTDVLLGMVKAALATGTTMGGRCKVVVCTAGGPADGMLSAFFGGAPVVSIPRAAHQVEVRYSRGPVLDMAAAVADEVAAIHASQPPGDVLVFLPENADIIGVHARLLGLPVPGLAVRYVHDNLPAELIDIMLINSPVPDGRRRVVLATDVAETAVLVHGITYVVDTGLVSEQPPVRISKEAAAARAAIAGFSGPGRCHRLYQPEEYDDLDEHTIPHIRQDGAAVRFALMVKRHAADGIPGFEIFDPALEPAVLKNVFGQLVNGGYLDKLGNLSDKGEREAYDED >ONIVA03G14170.1 pep chromosome:AWHD00000000:3:10259140:10259859:1 gene:ONIVA03G14170 transcript:ONIVA03G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLRLPVVPAIRVGSQLHLAAAYTAPGAGRRGSSGAAGPPPALMADVRVVVRRHFPVGPNPTKGAGGAVIVRKVAEDIAVRRQPSRGLRSPESVERVLAERVLPLVGHPFDRRAVAVASKQICAYVSAACADPRVAHGGVRVLVLVDTFACGTLFHPAPPRKQCSGDASSLEVGAVVRTCPCMEIVGPGSKKDPQLACPCMDIGARMPSKKPRSVGVIGDGRPAKDSGEDRLKGWLPW >ONIVA03G14160.1 pep chromosome:AWHD00000000:3:10244892:10248609:-1 gene:ONIVA03G14160 transcript:ONIVA03G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVAEAGCSKDTFLNRSVSEETSAIAKGNGMFNPQDQRHAKRSFIQSLTEKKGLSLDGSSGIPSRIESGTGNVAPVDDTQSQKFLSLGLRSASRLNGKINSSSNVKEEKVDQGLSSFPSADFQKDAGATNEPKSSSDSSFGRLPNLDLNVPLDPHDPAESLPIVQDSSNILYHETVQLQKAHVPPVPPVSTVSNGLRRNIDSTLNLSNAYGLSNKRGAADVTLDLQLKPPARPELGINWKGLAPVPGLSLSLSSKHVEESENNAGLNLSLFGKHVNESENNAPNVAVRSEPAKEPEEQSKRHVQNDVEKEQPLESQSVGLANNRAEIEKPDGAHQVPGKAALDLNSGIFPNVATANVPLSTERLRDAIRTEAMHADHEVKKSIKCEETTAAIPSPATASVSSRCSPLMATKQLPLGDRDASRAGLRVSASQPSLPTEPACCNPDEANVDCKPTMSHVNSHNAVEVCGSLQSSSNPIPEPSISNSRNRFGFDGMSQGSAEMDCSEDDDNIVSHLSTTNKPHGGTLGNNQTSDSMGSGRNLQKEHDSNTHQNCSFVTNKIDMQGISDDKRINVKDGVFPHSCQNSHQSGNVVNEESKNKQLLGSDKNTPMNNNDSTIRVKTITGSSTADTRRTTSVQNERDGQVDDPHWRGMGHPYVNVNSKRDWVSSSIWNETWERLIQSKREKNKGEYHGGRHAPDTFNQRRPDYRYGGRGVGSRGNPRNFRGPRMNESELYFDDEPMARRRRPFEDYLGHMQRIPHRRHRSPPMNNQLQGGLMRDMDIDGFSGRDVPDPRLLAHEHMEDLSDDMMEERFYVPHSRRHHIQGDHAFIHRNRSHSPGQRRGAPMHLHRGWSPETMGRSPPLIRTDRPYLPHRHHTRRHGSPFDRIEHDDRGMQRNMRRCGMHHGGVEGDSFEPHLHPAQLAELHAEAELTERRKFGERRGHHLRPFEGSPDDDEVILSYGADGDMDFAEGGSGGLPPGELDGRFRRHMGRDEEEEDHMCHGPHGWRDGSSNGSRAKRRRY >ONIVA03G14150.1 pep chromosome:AWHD00000000:3:10237457:10239390:-1 gene:ONIVA03G14150 transcript:ONIVA03G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPKQSRKPGAHCGGAQWSHRFKTVGGGGGEDGSSTTVTSTLIGSSQSNDTRLLDDDEAELLATSLTLLPSPPSAPPTFLPSTETSGLQHNARQCLAMMVMKKKMREGRNLRRPQRRPES >ONIVA03G14140.1 pep chromosome:AWHD00000000:3:10226445:10228742:1 gene:ONIVA03G14140 transcript:ONIVA03G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFRSRRTLPIEEDGEDAPRADLLPGHQRSDRRSASSTNLDQHVVLGSHSPGHVVVDLRPTAANVDGGGKLELDPVGRMPVHFVLAFHDLTYNVGRPRRMAFWRRSRHVETDATTARGGAARAREGALLLDGVSGEAREGEIMAVLGASGAGKTTLIDALADRIQRDSLRGAVTLNGEPLGGRMLKVISAYVMQDDLLYPMLTVAETLMYSAEFRLPRSLSASKKATRVQELIDQLGLRAAANTIIGDEGRRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQGIAKSGSVVIMSIHQPSYRIIGLIDRLMFLSRGRTVYYGPPASLPLFFSEFGHPIPDGQNPVEFALDHVRQLEAIPEGTDELVEFSKAWREKALARAVSSAACTGRHDKPSLPLKEAIRMSIARGKLVSGATTSTGDNGTAAAAASTAKVATYANPWWAEVWVLARRAFTNTRRTPELFLIRLATVVVTAFILATVFWRLDNTPKGVNERFGFFAIAVSTMFYTSADALPVFLVERYIYLRETAHNAYRRSSYTVSNAIVAFPPLVALSLAFTAITFFAVGLAGGAGGFLFFALIVLASFWAGSGFVTFLSGVVPHVIIGYTVVVAGLAYFLLLSGFFITRDRIPSYWIWLHYLSLIKYPYEAVMHNEFGAEAGRCFMRGVQMFDGTPMARLPVETKVRVLEAMSRSMGLELNSGSCITTGPDILARQAVTELGKWSCLWVTVAWGFLFRALFYLTLVLGSRNRRR >ONIVA03G14130.1 pep chromosome:AWHD00000000:3:10220097:10221359:-1 gene:ONIVA03G14130 transcript:ONIVA03G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTQVREKSTPDVNCLHRAHGDMGMSAKSARARMLDPDDIVVMFSRAGGQITADGGVSAAQRALRRAGKKRERGRRGGRRQRRGKAAGAREGDRGGRPDRPRRRERRPAAASPHPEPWRVRGRAATLLWPATPPPRRHAPPRRDTGGGCRGERPGWMRMPMGALGEGSTASRRMGRPPMLRRALVRRRRRFTCLWNAPRNPLRNARLSNQRIASGVRSFGGTVCLRPSSSS >ONIVA03G14120.1 pep chromosome:AWHD00000000:3:10216207:10218570:1 gene:ONIVA03G14120 transcript:ONIVA03G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVDKLPLFDRRPSPMEEAEGLPRSGYLGQLHHHQYYQPHSNMLPLEQSPPTSTKHTSVTLAQLLKRVNDARSGSSTPISSPRYTIELGGSKPESVSSESDDHHSDDGGSEGQPRALVLKFTDLTYSVKQRRKGSCLPFRRAAADEPELPAMRTLLDGISGEARDGEIMAVLGASGSGKSTLIDALANRIAKESLHGSVTINGESIDSNLLKVISAYVRQEDLLYPMLTVEETLMFAAEFRLPRSLPTREKKKRVKELIDQLGLKRAANTIIGDEGHRGVSGGERRRVSIGVDIIHNPIMLFLDEPTSGLDSTSAFMVVTVLKAIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGKTVYYGPPSELPPFFLDFGKPIPDNENPTEFALDLIKEMETETEGTKRLAEHNAAWQLKHHGEGRGYGGKPGMSLKEAISASISRGKLVSGATDGTVSVAASDHSAPPPSSSSVSKFVNPFWIEMGVLTRRAFINTKRTPEVFIIRLAAVLVTGFILATIFWRLDESPKGVQERLGFFAIAMSTMYYTCSDALPVFLSERYIFLRETAYNAYRRSSYVLSHTIVGFPSLVVLSFAFALTTFFSVGLAGGVNGFFYFVAIVLASFWAGSGFATFLSGVVTHVMLGFPVVLSTLAYFLLFSGFFINRDRIPRYWLWFHYISLVKYPYEAVMQNEFGDPTRCFVRGVQMFDNTPLAALPAAVKVRVLQSMSASLGVNIGTGTCITTGPDFLKQQAITDFGKWECLWITVAWGFLFRILFYISLLLGSRNKRR >ONIVA03G14110.1 pep chromosome:AWHD00000000:3:10208770:10213437:-1 gene:ONIVA03G14110 transcript:ONIVA03G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPLLASTSRATASVASSSSANCPDPMDEGPSVSVPGLPFAEQLRAAGRGASSGSPGGPEAQVQALASISRGIYPLARAEALRGLAAVLETADAPGGVVELCHGCAVGLMRDEDEGVRLASVRLIALCADKLNTREGSDGNGDNQTDIMFLQRVSEGVLLQSLSKKVIKPNTGSGSIIKGEKVPPKLIYPCAAGIFVHGIEDEFHQVRTAACKSLGALSKLSAQYAQKALDLLMGMMNDDTEAVRLQTLQALFHMATYGCLTVQEMHMHMFLGLLVDMNASIRDATRKILGLVNLPKLQMFKSAIDVLITSLEKHQEEQEIYSVLFSVGKNHGNFSANIAKHLAKEISMPSDGELILDKPRIKALLIVSISVAFSDDKHNKRDIPEVIFSHAISLLGKISCAIGEVVDQNSLLSYFCQRTGIPFWETKLPSRESEGCSVETVADIRPRIEKTVKSTKCLDEVLTMQSVKSIIETVERTWTIRKSCNIRDVRNILRTCKEELRILASNSSGSTGAFLSFLCEYLDAVQFIVEILRSFQLDNSYDLGPTSPDILLEKLDTSIRRMKCCYAGFNRGMEIQVCELALLANLFGLSKVGIQSKLVLDKLHCVINRLDCLCADGSCELSYFSREIKKAFDANFVGHDIFTLLELFHPKPTTDYGMLKTISADLQVRDNDPENSSTYVCGLPVAVSLYISLCNISSQDRLWLRMIVGESIQHTFLELSSFGGNDEVKSCSTIIPFYATPMACSFVLRACLVMECPYGSVSIRQECNRGPSGSIIELSDELDVYFVCTKRR >ONIVA03G14100.1 pep chromosome:AWHD00000000:3:10207376:10208377:1 gene:ONIVA03G14100 transcript:ONIVA03G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFTRSARGPSPPAEEAYDEVDKSRRTTATIKRQRRPVTEVSSRQTWNPFSGAVSRYKVHVALTEAFVYEFGRRRWFGFGQTRRLLVAETTTTTTTAKGRVRRAGAPAGHRGRIRSKPSDGGGTAAAVVDGREEESTNVHLHLLVEDEIRMSSSPPALPAVDQKEKTWFPPGGYNEQCKPPARITYADRCGPESLEAFLESVVAARGGGGSASSHGVHGNRWAEIAEAKARRQRYLRDYCPFQRDEETTEAAGYDHATVKQPANCPGSEGGDDITTGVREKDARAVRGTAEYHVMRQEFLKSYQIRTFGEKETRVPALRRLLPRRKTARIL >ONIVA03G14090.1 pep chromosome:AWHD00000000:3:10198054:10203743:-1 gene:ONIVA03G14090 transcript:ONIVA03G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQEEGKRRDGSDASGSEPAAAAFPAWARTPSECLAELGVAADRGLSSEEAAARLRRYGPNELERHAAPSVWKLVLEQFDDTLVRILLAAAVVSFVLALYDGAEGGEVGATAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVPGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETASVNKTSHKIELEDTDIQGKECMVFAGTTIVNGSAVCVVTGTGMDTEIGKIHAQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICALVWLINVKYFLTWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVKLVAIGRWPDTLRSFKVDGTTYDPSDGKINEWPSLSMDENLQMIAKIAAVCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGYTPSLDSSDLLRCCQWWNNAAKRVATLEFDRTRKSMGVIVKKADSGKNLLLVKGAVENLLERSGYIQLLDGSVVLLDEGAKALILSTLREMSASALRCLGFAYKEDLAEFATYDGEEHAAHKYLLDPSYYSSIESNLIFCGFVGLRDPPREEVHKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFGSTEDISSKSFTGKEFMSLSDKKKLLRQTGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYDNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGMYVGIATVGVFIIWYTHGSFLGIDLAGDGHSLVSYSQLSNWGQCSSWEGFKVSPFTAGARTFNFDANPCDYFQGGKIKATTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVIAVAFPVVLIDEVLKFVGRCLTARARKQSGKRKED >ONIVA03G14080.1 pep chromosome:AWHD00000000:3:10194489:10197980:1 gene:ONIVA03G14080 transcript:ONIVA03G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLEMLVQLAVVVTVLCAAVRAYTPADSYLFLCGTSGNATVDGRTFVGDAGLPASVLMAPQSTEANMPANQVTGAGDDSPALYQSARVFTAPANYAFSAKPGRHFVRLRFFPFRYQSYDLAADAAFNVSVQGVVFVDGYTPKNGTAVVREFSVNITGRALVITFTPTGKKVAFVNAIEVVSHPDELIGDTAPMVNPRNQSQYTGLTAKALETVHRINMGEPKVTPNNDTLWRTWLPDWTFLHESSFAAHNQVSPAMIKYQSGYATSLTAPSAVYTTVTELNTTAAMVGNTQAQLNLTWKFDAPAVSDYLLRLHLCDIVSKATLGVVFNVYVGQWRVLQDYESSGDTFSLLATPLYKDFVLAASDAAKGTITVSIGSSTATNALPGGFLNGLEIMRIVGSTGSIDGATSPRGSKIKTGIIAGSAVGGAVLAIALGCVAVRMLRRKKKPVKQPSNTWVPFSASALGARSRTSFGRSSIVNVVTLGQNGAGAGAGYRFPFAALQEATGGFEEEMVIGVGGFGKVYRGTLRDGTQVAVKRGNRLSQQGLNEFRTEIELLSQLRHRHLVSLIGYCDERGEMILVYEYMAKGTLRSHLYGSDLPPLPWKQRLEACIGAARGLHYLHTGSAKAIIHRDVKSANILLDDGFMAKVADFGLSKTGPELDKTHVSTAVKGSFGYLDPEYFRRQMLTEKSDVYSFGVVLLEVLCARAVIDPTLPREMVNLAEWATRRLRDGELDRIVDQKIAGTIRPDSLKKFADTAEKCLAEYGVERPSMGDVLWCLEYALQLQVASPDSSVTTLQRSSSISSVVTDATVSANLGDLDGMSMKRVFSKMLKSEEEGRRKMH >ONIVA03G14070.1 pep chromosome:AWHD00000000:3:10193567:10194748:-1 gene:ONIVA03G14070 transcript:ONIVA03G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDLLFRNLQLDDDDVKLGTGKATATNRRTEPLGNPKVSFSEDPKYSTTWGKEGRKEGRAEERVTNYQAAEQVISCCTE >ONIVA03G14060.1 pep chromosome:AWHD00000000:3:10190704:10191189:1 gene:ONIVA03G14060 transcript:ONIVA03G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAADAIGVVYSSGSTTRLLISDTLRTPGRTIRNFVVGCSLMSVYQQSSGLTGFSCGVPSVPSQLGLTKFFYFLLARRFDDNATASDELILGGAGGKDDDVRMQYIPLARSASTRPLCSVYYYLALIAITVRRKSVQLPKRAFVAEELEEAPLSRRRDSA >ONIVA03G14050.1 pep chromosome:AWHD00000000:3:10180682:10189892:-1 gene:ONIVA03G14050 transcript:ONIVA03G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRNAVHRAVEASGGPLLTRTVRSSLGTVVHHAGQAVAGGARLINDRIGSRSYKSMRLTAKRLEDAALSYRGEDRVQLLRRWLVMLRETQRAAAAEKEAKRAGHPDQHLPVLDLYMDYETGAEPMNFIHVFLYSQALECLVLSMIMEFPTEEEASLLSEAKRAELLQFAQCAISGLKINPEISRLDDEILQLQQRINGMDALRSNSTSRRSKASQTVAEGFRTAVNEIRLCSRMEELVNKLKVLSESLANSAAKAERRIMENRLQKEESLIFRVTKTNEVSVTEKVNNILNAAVMKLKKTREERDQFDEASNQIVLHLKAKEEELSRSIASCKVESSTVGAWIIFLEDTWKLQSLYEELRKKQANDELDKCATCFAKLINHHLYARVEELSTCIDSIKTFVDNLKIFDNRSVSAEDGNNGSSKQSNPRKYLEEEYLEAEKKVVAAFSLVDNIRAIYLSNQDYQARRDDPDVKKLFANIDKLRVEFESVPRPLLQIEIKEREERAKQSRSLQAARSSRQAGHESPIPAQLRTRLPSESDSELAKSDPEYREYSADDISGWEFDDLEDDGARLSVKSI >ONIVA03G14040.1 pep chromosome:AWHD00000000:3:10178283:10179221:-1 gene:ONIVA03G14040 transcript:ONIVA03G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASAQCFGEEEYIDLDLSSCRGFEFRVCRSAAAPPPCGDEMLFRGSRLHKAKHQQEADAGGGGGGGGGCGGGRRSTATVAPWHAAAAVAGIRNAQPAARMQRQPDGGATGRRKKGAAGSVHAKLQASRAFFRSLFARTSCSDEQCHGVGVRATTRSSRAATAASGAAGSVKPAPFGQIRNSYGSCSGRGAAAAPTTLRSSIEQEKLMDEEEHAASVRQRKSFSGVIKWRPPPAPAAAAARPPPPPAFPTRRSSSAASDPPLKRCSSARSESEGLIQGAIAYCKRSQQQLVLARKSVSDAALCSLQFQTQL >ONIVA03G14030.1 pep chromosome:AWHD00000000:3:10170875:10174428:1 gene:ONIVA03G14030 transcript:ONIVA03G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNQQSGDGQQRPAQQAAGMDPAKAAAQAATRRKKMTKQLTGKRDDTALHGAARAGQLVAVQQTLSGAPPDELRALLSKQNQAGETPLFVAAEYGYVALVAEMIKYHDIATACIKARSGYDALHIAAKQGDVEVVNELLKALPELSMTVDASNTTALNTAATQGHMEVVRLLLEADASLAVIARSNGKTALHSAARNGHVEVVRALMEAEPSIAARVDKKGQTALHMAAKGTRLDIVDALLAGEPTLLNLADSKGNTALHIAARKARTPIVKRLLELPDTDLKAINRSRETAFDTAEKMGNTESVAVLAEHGVPSARAMSPTGGGGGNPGRELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKQINKLHDEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVDDAGSLTPGQALGEANISHQTAFLIFFVFDSVALFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLVSVAFLALSFVVVGKAERWLAVGVTIMGATILVTTIGTMLYWVIAHRIEAKRMRSIKRSSLSRSRSFSASGMSEAEWVEEEFKRMYAI >ONIVA03G14030.2 pep chromosome:AWHD00000000:3:10171707:10174428:1 gene:ONIVA03G14030 transcript:ONIVA03G14030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNQQSGDGQQRPAQQAAGMDPAKAAAQAATRRKKMTKQLTGKRDDTALHGAARAGQLVAVQQTLSGAPPDELRALLSKQNQAGETPLFVAAEYGYVALVAEMIKYHDIATACIKARSGYDALHIAAKQGDVEVVNELLKALPELSMTVDASNTTALNTAATQGHMEVVRLLLEADASLAVIARSNGKTALHSAARNGHVEVVRALMEAEPSIAARVDKKGQTALHMAAKGTRLDIVDALLAGEPTLLNLADSKGNTALHIAARKARTPIVKRLLELPDTDLKAINRSRETAFDTAEKMGNTESVAVLAEHGVPSARAMSPTGGGGGNPGRELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKQINKLHDEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVDDAGSLTPGQALGEANISHQTAFLIFFVFDSVALFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLVSVAFLALSFVVVGKAERWLAVGVTIMGATILVTTIGTMLYWVIAHRIEAKRMRSIKRSSLSRSRSFSASGMSEAEWVEEEFKRMYAI >ONIVA03G14020.1 pep chromosome:AWHD00000000:3:10165799:10170379:1 gene:ONIVA03G14020 transcript:ONIVA03G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSDKGEGDLEIGLASPEAEGGGPGGPALDLSPPRAVRRPGLVMSHSGKRLDQSPAASPSPSRPVLVMSHSSNRLDQSPARPVLVMSRSSNRLDQSPASSPASSRGPVLVMSGSGNRLDSSGPSPSPSPTAAAAAAPVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLEAVRQIIAEINAQMTGTGEEFDSEVAEIRAAVVNEPNEVEETALLIAAEKGFLDIVVELLKHSDKESLTRKNKSGFDVLHVAAKEGHRDIVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHIEVVNLLLERVSGLVELSKGNGKNALHFAGRQGHVEIVKALLDADPQLARRTDKKGQTALHMAVKGTSAAVVRALVNADPAIVMLPDRNGNLALHVATRKKRSEIVNELLLLPDMNVNALTRDRKTAFDIAEGLPLSEESAEIKDCLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVSGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDNNGVAIAVHAVSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEIINKLMWLASVCTTVAFISSAYIVVGKHFQWAALLVTLIGGVIMAGVLGTMTYYVVRSKRTRSIRKKVKSTRRSGSNSWQQNSEFSDSEIDRIYAI >ONIVA03G14010.1 pep chromosome:AWHD00000000:3:10163128:10164238:1 gene:ONIVA03G14010 transcript:ONIVA03G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRREVVDDDDAVPAGKQLVDEVAADEARSPRDDDAEGWLADADGEAARGGGGGGDDDGRRATAAAAATTDSGGDGGGERGEGGVDEEAGGGEEEAEEDEEEAVLAEEVGGEGAGEGEAVVVRAVLLAEGRLAVELLHCRWRRRRGAVAGIGGGGGAVARGE >ONIVA03G14000.1 pep chromosome:AWHD00000000:3:10161624:10164739:-1 gene:ONIVA03G14000 transcript:ONIVA03G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREIDQRREIPKERFHARAASAGNRPPQQEASHPTPPRATLSDSLTVSLPPRHSSSSASDSGDRAPPPPPPTMKQLNRQPTLSKQHRPHHHRLPLPRSLASYLLREHRLLFVLLGFLLASSCFLIYPSFTPLSSSSSPRDTPPLRVVVTGGAGFVGSHLVDELLARGDSVIVVDNFFTGRKENVARHLADPRFELIRHDVVEPILLEVDQIYHLACPASPYKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTLRKQPMTVYGDGKQTRSFQYVSDLVDGLITLMESEHIGPFNLGNPGEFTMLELAQVVKETIDPSARVEFKPNTADDPHMRKPDISKAKSLLHWEPKISLKQGLPRMVSDFQKRIMDEKR >ONIVA03G14000.2 pep chromosome:AWHD00000000:3:10161624:10164163:-1 gene:ONIVA03G14000 transcript:ONIVA03G14000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNRQPTLSKQHRPHHHRLPLPRSLASYLLREHRLLFVLLGFLLASSCFLIYPSFTPLSSSSSPRDTVAARIRRGGGGGGGASSVVVSAAAAASRRLPVGVRKPPLRVVVTGGAGFVGSHLVDELLARGDSVIVVDNFFTGRKENVARHLADPRFELIRHDVVEPILLEVDQIYHLACPASPYKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTLRKQPMTVYGDGKQTRSFQYVSDLVDGLITLMESEHIGPFNLGNPGEFTMLELAQVVKETIDPSARVEFKPNTADDPHMRKPDISKAKSLLHWEPKISLKQGLPRMVSDFQKRIMDEKR >ONIVA03G14000.3 pep chromosome:AWHD00000000:3:10161624:10164163:-1 gene:ONIVA03G14000 transcript:ONIVA03G14000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLNRQPTLSKQHRPHHHRLPLPRSLASYLLREHRLLFVLLGFLLASSCFLIYPSFTPLSSSSSPRDTVAARIRRGGGGGGGASSVVVSAAAAASRRLPVGVRKPPLRVVVTGGAGFVGSHLVDELLARGDSVIVVDNFFTGRKENVARHLADPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPIKTIISFPLQTLLHIFYKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTLRKQPMTVYGDGKQTRSFQYVSDLVDGLITLMESEHIGPFNLGNPGEFTMLELAQVVKETIDPSARVEFKPNTADDPHMRKPDISKAKSLLHWEPKISLKQGLPRMVSDFQKRIMDEKR >ONIVA03G13990.1 pep chromosome:AWHD00000000:3:10160051:10161118:1 gene:ONIVA03G13990 transcript:ONIVA03G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKS8] MQCTTAAAAAAAASDLGTVRSCDSCPVSSGSGERAASMVDKAAVWLVVIALAAAANGAFAGRVLEEQPAAAPAPAEAPVAPVDPLPAPTDPPADTVVAPAAVPAGGAAATGNAGVGAAGGGAGAGDHHQLTFFMHDILGGSQPSARIVTGVVASAAANGQLPFARPNDNIFPIQGAMPLPQGASNLVNGNNVPYVAGLGGTSSAAIVQGNGNGNGGNKNIPFVNAGDLPSGATLQNLLFGTTTVIDDELTEGHELGAAVVGRAQGFYVASSQDGTSKTLVLTAMFDGGGVEAHGDTLSFFGVHRMAAPESHVAVIGGTGKYENAKGFAVIQTLHPGDEHTTDGVETLLQFSIHLI >ONIVA03G13980.1 pep chromosome:AWHD00000000:3:10152379:10159553:1 gene:ONIVA03G13980 transcript:ONIVA03G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGLVAAASSSRGLQTTPGMAGIGRGRSRRRGEAGEGWMERNAAAGSTSPAAVDHRGTVLRKQTSNPGMLSDSMLAAGNWRSKSKKASGTPMKTLIDEEFSKDVNARHTSPGVVGRLMGLDSLPSFGANNQHRYAQSHAEKSSPCCAHERRSFSEYIPHRRSTDEMPEVKDVFEVMEATRMKIHRSPRSKNGNVTSTFGKTSSPDLDQMRQKLMDAKRLSTDESLQISEELSETLDVLASNKDLLLQFLQKLDPIVKRDLHDHDSPSSTANCITILKPSRRNQFTDTDNIYSQDKGAESYFYKQKEVEHSQSRPYTKLPNQSPKEDSGSLRQKLSRSSHQEISDKRVCSTRIVVLKPSLDKAQDIEGAFALRNELSRFDFRRHKPCHGDAMWSPCTEEYIGPLRDSETFDDVAKGSKEIARGVMKQMRAARGVGTRKHIFKSETSTFVSDERSQPLSSRSNVKSSEVFHRSSELHDGYASSSFTSSPSYSTETKVSREAKKHLSNRWKATHRYHHQADKNNGFSMLGDMLALSDQEASKVATQKTSNRKYPKGESQKDRMTSTCNSPLGISSNDGWRDVATGSLPRSKSLPTPFNRGVQKSNNRKRTGRHNEFSMLKDVLKVGPYDSEHACNSRNRKSLFQDATFHSDGADRVSSDNEERAIIEREIHVNSEEPINGIALANSSKGTLLHPSNPDNELDTVYYLDTSPVVPGQKKELCSPDRQNQQIHQQSPIESDDHLLVPRLNISMTQAEGIEQHQCDDNPQCNFEEKSVSAMRIDDHQSDGNQVPWMIPQTGSESPVSSDKDDQQSPVSVLESSLDAEDIYSGLRMQLRLLKMEATDSADDTELISSDDELTTESQPLPDKEISPTFRDEEERDFSYVLDMLIVLGINTANRDQLLDMCYLSECPAGSDVFDVLENKYNSLILWPSPERKLLFDLTNDVIADIITSVMQHSSKGLSWSCSSRLDQEGFVEVVWQRVVELRQEMEYAHEGLFMDLGWVGSEDGIDLVASEVGKMVHEDLLQETISEFLGVTKSAMICGWNEP >ONIVA03G13970.1 pep chromosome:AWHD00000000:3:10138662:10139314:1 gene:ONIVA03G13970 transcript:ONIVA03G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHREEDEATVVGRGHLRLSHTIIKLKKVIITTSEDDEEVVLDMKSKLYRFNREGNRWKERRIGTAKRRGGDNDRGRRRPENAACRCHCRSSPPLFANDQRRRGRGKKERDIRQREEKEGNNNCCCNCYSLQGLHLIVYLSLRINIPWTGYMS >ONIVA03G13960.1 pep chromosome:AWHD00000000:3:10135558:10137625:1 gene:ONIVA03G13960 transcript:ONIVA03G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDDSPASYIHMVQHLIEKCMTFGMSMEECMETLSKRADVQPVVTSTVWKELEKENKEFFDKYKQLRSEKGGVSSS >ONIVA03G13950.1 pep chromosome:AWHD00000000:3:10133059:10133373:1 gene:ONIVA03G13950 transcript:ONIVA03G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDLCRRAPPPPAPSAEPASGSSTTGSRAASPPRPTSGSSAASTLCRARLGVVHRRLPCGVAAEARLLVVRRRCPPPSHLGVIRRRLPCCFAAEARLRVAAG >ONIVA03G13940.1 pep chromosome:AWHD00000000:3:10118839:10126959:-1 gene:ONIVA03G13940 transcript:ONIVA03G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPAGDAGVGAREGEGLGPGEEEVEDDEVEPPPSAVSFWRLFEFADGIDWALMVAGALAAAAHGAALVIYLHYFGRSLNLLDSERVESALHGRSDELLHRFKEHALYIVYIAGGVFAAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLVVGLINCWQITLLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAIAYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIARGKADGGQVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSTNQEGSTLPLVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQEPALLSLSIRENIAYGRSATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGMALSDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRCEEATKLPKRMPTKNGKERKSLQIEDLSASQSFQESSSPKMAKSPSLQRTHGMLQFWRSDTNKNSHDSPKDQSPPSEQTIDNGIPLVATERVPSIKRQDSFEMKLPDLPKVDIHPIQRQSSKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSERDDTSSEQSEPEELQHHKPPSFWRLAALSIAEWPYALLGTIGAAIFGSFNPLLAYTIALIVSAYYRIDVSDMHHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAILRNEVGWFDKEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVISAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLHLCKILKQSLLQGLAIGFGFGFSQFLLFACNALLLWYTAISVDKQRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLISVFQIIDREPKIDPDDNTGLKPPNVYGSIEFKNVDFSYPARPEILVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVTGQVLLDGRDIKSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTAGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDLNGLYVRLMQPHFGKGLRQHRLM >ONIVA03G13930.1 pep chromosome:AWHD00000000:3:10114073:10122764:1 gene:ONIVA03G13930 transcript:ONIVA03G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoinositide binding [Source:Projected from Arabidopsis thaliana (AT1G61620) TAIR;Acc:AT1G61620] MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIHPLCCPKGHTFCKECILECLLAQKKDIKRKLAAHEAQKKLEKEEEDEKRMLQKSKELDAFDQQNHGAVPQYHDRSGSEDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEATAKVEAPSTDTICPEGQEKLKLKSLFPISFTEENTDQKNKKSVEKSYMCPSCKSTLTNTMSLVTISTCGHVFCKKCSDKFLVTDKVCLVCNKPCKERNFVPLEKGGTGFAAHDERLEARDFKHLGSGSGLGLVKPAPKNY >ONIVA03G13930.2 pep chromosome:AWHD00000000:3:10114073:10122764:1 gene:ONIVA03G13930 transcript:ONIVA03G13930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoinositide binding [Source:Projected from Arabidopsis thaliana (AT1G61620) TAIR;Acc:AT1G61620] MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIHPLCCPKGHTFCKECILECLLAQKKDIKRKLAAHEAQKKLEKEEEDEKRMLQKSKELDAFDQQNHGAVPQYHDRSGSEDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEATAKVEAPSTDTICPEGQEKLKLKSLFPISFTEENTDQKNKKSVEKSYMCPSCKSTLTNTMSLVTISTCGHVFCKKCSDKFLVTDKVCLVCNKPCKERNFVPLEKGGTGFAAHDERLEARDFKHLGSGSGLGLVKPAPKNY >ONIVA03G13920.1 pep chromosome:AWHD00000000:3:10105268:10111744:1 gene:ONIVA03G13920 transcript:ONIVA03G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT2G37420) TAIR;Acc:AT2G37420] MAHHPESPEAFHSYDATCPISAGACTTGAHSLSLIESPEFKFLRHEEKAANSISISPNPPPNRRPNHSPTAAKKPRDLPAPAAATTCGMAQTPNPSRRSLVGPPPHPFLTPRPERRQLELRWADGGSQSSARRSGVGLTGGGGGGGGGSEMKDCEANVQVVLRCRPLSEEEQRANVQSAISCDDLKREVTVLHSLFKQADKTFTFDKVFGPKAQQRSIYDRAVKPIVKDVLEGYNCTVFAFGQTGTGKTYTMEGEMRQKASELSATAGVIPRAVRDIFDILEERKADYSMKVTFLELYNEEITDLLALEDQSRFPEDRQKRAISLMEDRKGGAVIRGLEEVVVYSASEIYNLLEHGSARRRTADTALNKQSSRSHSVFSIYIHVKETTVGNQELLKCGRLNLVDLAGSENIARSGAREGRAREAGEMNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVSPSIHCLEETVVTLDYAYRAKSIKNKPEANQKVCKSVMLKDLYQEMERMKQGSQVYITKLSDVKAAREKNGIYIPQERFALEEAEKKTMRDKIEYLETQNKELKMNIESCKKEYLDLEEAHSRANISLKEKEFIISNLLHAEQSIVERAKDIRGALENASGDISALVDKLGRQSNTEAENKGLLFDFRSQLDHGLDLLHDTVVGCVCEQRQFLESMNEQNKIYFSAKSESTSQLERRIAKAKDIYASGVQCMNQLANTLHQRSIAHSEQMGLNILSHATRAANFLAVMVSEAEQVSNDVFKSISELKELLAFSADQQEVMLKRDLVSAQVMSKTSIDFFEDIRGHASRLIEHMEQSQAESSSQLLKFEEDFKELSVREEQAALDKIAGILAGLTAKKSTMVLDCVGQLNGKCREEQKHLKLQISNLQKVSDSGGKEAAAYAAKVESQFSEDKETRKENESILQKMLIVSTQNDAKFAAITSDMLTAVKDSHLRDSESRMRIETVFATSSDHLEMLDTKHSQGTESIRSMTAKCLERDYKANSPVRRRPGELMTNAYSLESIEQLRTPVPDLVVKFRSENNLDEVDKGKRYVDQGTRTPRSPLMPVNHYNK >ONIVA03G13920.2 pep chromosome:AWHD00000000:3:10105268:10111744:1 gene:ONIVA03G13920 transcript:ONIVA03G13920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT2G37420) TAIR;Acc:AT2G37420] MAHHPESPEAFHSYDATCPISAGACTTGAHSLSLIESPEFKFLRHEEKAANSISISPNPPPNRRPNHSPTAAKKPRDLPAPAAATTCGMAQTPNPSRRSLVGPPPHPFLTPRPERRQLELRWADGGSQSSARRSGVGLTGGGGGGGGGSEMKDCEANVQVVLRCRPLSEEEQRANVQSAISCDDLKREVTVLHSLFKQADKTFTFDKVFGPKAQQRSIYDRAVKPIVKDVLEGYNCTVFAFGQTGTGKTYTMEGEMRQKASELSATAGVIPRAVRDIFDILEERKADYSMKVTFLELYNEEITDLLALEDQSRFPEDRQKRAISLMEDRKGGAVIRGLEEVVVYSASEIYNLLEHGSARRRTADTALNKQSSRSHSVFSIYIHVKETTVGNQELLKCGRLNLVDLAGSENIARSGAREGRAREAGEMNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVSPSIHCLEETVVTLDYAYRAKSIKNKPEANQKVCKSVMLKDLYQEMERMKQDVKAAREKNGIYIPQERFALEEAEKKTMRDKIEYLETQNKELKMNIESCKKEYLDLEEAHSRANISLKEKEFIISNLLHAEQSIVERAKDIRGALENASGDISALVDKLGRQSNTEAENKGLLFDFRSQLDHGLDLLHDTVVGCVCEQRQFLESMNEQNKIYFSAKSESTSQLERRIAKAKDIYASGVQCMNQLANTLHQRSIAHSEQMGLNILSHATRAANFLAVMVSEAEQVSNDVFKSISELKELLAFSADQQEVMLKRDLVSAQVMSKTSIDFFEDIRGHASRLIEHMEQSQAESSSQLLKFEEDFKELSVREEQAALDKIAGILAGLTAKKSTMVLDCVGQLNGKCREEQKHLKLQISNLQKVSDSGGKEAAAYAAKVESQFSEDKETRKENESILQKMLIVSTQNDAKFAAITSDMLTAVKDSHLRDSESRMRIETVFATSSDHLEMLDTKHSQGTESIRSMTAKCLERDYKANSPVRRRPGELMTNAYSLESIEQLRTPVPDLVVKFRSENNLDEVDKGKRYVDQGTRTPRSPLMPVNHYNK >ONIVA03G13910.1 pep chromosome:AWHD00000000:3:10083714:10085057:1 gene:ONIVA03G13910 transcript:ONIVA03G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLVLLCLCVFLASGGEGRSPAGTVLPLQVRVQEVELEAPAANRLRFRHNVSLTVPVAVGTPPQNVTMVLDTGSELSWLLCNGSYAPPLTPAFNASGSSSYGAVPCPSTACEWRGRDLPVPPFCDTPPSNACRVSLSYADASSADGVLATDTFLLTGGAPPVAVGAYFGCITSYSSTTATNSNGTGTDVSEAATGLLGMNRGTLSFVTQTGTRRFAYCIAPGEGPGVLLLGDDGGVAPPLNYTPLIEISQPLPYFDRVAYSVQLEGIRVGCALLPIPKSVLTPDHTGAGQTMVDSGTQFTFLLADAYAALKAEFTSQARLLLAPLGEPGFVFQGAFDACFRGPEARVAAASGLLPVVGLVLRGAEVAVSGEKLLYMVPGERRGEGGAEAVWCLTFGNSDMAGMSAYVIGHHHQQNVWVEYDLQNGRVGFAPARCDLATQRLGAGA >ONIVA03G13900.1 pep chromosome:AWHD00000000:3:10071650:10072489:1 gene:ONIVA03G13900 transcript:ONIVA03G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVSYWCYHCSRFVRVSPSTVVCPECDGGFLEQFPQPPPRGGGGSGRRGAMNPVIVLRGGSLSGFELYYDDGSGDGLRPLPGDVSHLLMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVTVAGSGAHCAVCQEAFELGASAREMPCKHVYHQDCILPWLSLRNSCPVCRRELPAAAAPESEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVYTELDGGFSNGVGPRRVTWPEGDGHVDGGEGRIRRVFRNLFGCFGRSSRPESSSSQSRSG >ONIVA03G13890.1 pep chromosome:AWHD00000000:3:10066885:10069419:1 gene:ONIVA03G13890 transcript:ONIVA03G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHPLSLAVLPSSPAQATPLPLFLRYAALRRGGRCGGATRPLRLTRLRRGRAAVAAAGEVDAPMEQTEAMMRVAADDDSVTATVVSVLLTVAFVGLSILTIGVIYLSVTDFLQKREREKFEREEAERQKEEARKKRAKARGRKRKDSEGYIMSQDLTRAGSMYR >ONIVA03G13890.2 pep chromosome:AWHD00000000:3:10066885:10068813:1 gene:ONIVA03G13890 transcript:ONIVA03G13890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHPLSLAVLPSSPAQATPLPLFLRYAALRRGGRCGGATRPLRLTRLRRGRAAVAAAGEVDAPMEQTEAMMRVAADDDSVTATVVSVLLTVAFVGLSILTIGVIYLSVTDFLQKREREKFEREEAERQKEEARKKRAKARGRKRNMEHHRS >ONIVA03G13880.1 pep chromosome:AWHD00000000:3:10063626:10066537:-1 gene:ONIVA03G13880 transcript:ONIVA03G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fes1B [Source:Projected from Arabidopsis thaliana (AT3G53800) TAIR;Acc:AT3G53800] MAGDRLSWSGLLKWSLSYADGTRPSRAISCVADGGELVGGVWVVVRGSEEERRWLAEAVERHMMVDVVSRMREIALLMSTPLSVLEAHGITPDDIEGLLAELQVHVESIDMANDLHSVGGLVPVIKYLRNSNARIRARAADVVTTVVQNNPTSQQLVMEASGFDPLLSNFTSDPDLTARIKALGALSSLIRNNKPGVSAFRLANGYAGLRDALTSESARFQRKALNLTNYLLSESHSDCSVFAQLGFPRLMMHLVSSDDLGVREAALGGLLELARDTTLGSRSLLADHDRLRRLLQARIERIRMMAPEDLDAAREERQLVDSLWITCYHEPSTLHVEGLLVLPGEECFEQPPDVAGRFFEPLRRSSARRAPSNERSDPGDGTGGGMMLLLGPSPGSRSNSGSN >ONIVA03G13870.1 pep chromosome:AWHD00000000:3:10055673:10063124:1 gene:ONIVA03G13870 transcript:ONIVA03G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSQSLFFSPLAAGPSRRVRGRGRSTSVSAAASASSHNSQPHGHPQQPLAVASSSSKSESKGSKTFALASAITAAASGAFLLASSGGGFGGGAGGPLGGGGGGWGAGGGGGGGGGGGGGGGGGFWSRIFSGGAAHADEKSSGDWDPHGLPANINVPLTKLSGLKRYKISELKFFDRAAGGGGAFTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEGKAKPDGTLGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVRGAKPCILPDNVRGEVLGMMKKQEKVSARLLQRIRDHVQKWYHNEGFVCAQVVNFGNLNTSEVVCEVVEGDITKVEYQFQDKLGNFVEGNTQIPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEVSTEWSIVPGREGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRNKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWVDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGIDRMAFLQANITRDNTEFVNGAVIGDRCIFQLDQGLGIGSKNPFFNRHQLTLTKFVNLNKQEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFTLGGPYSVRGYGMGELGASRNVLEVASELRIPVRNTYVYGFVEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGLGVKLGLPQRYTGSDQRQMCPAKTSSWMRQLTSSSRQGHHGDVLRLFFTGVRLQAGSRGTVDPWPGAVPTALRACAHLADVASGRLIHALVLTRPALASDAVAATALLDMYSKCGLVASARKVFDEMASRGDPVVWNALLACYARHGLPEHALALAVKMRGIGLCPDLVTWNIVVSGFALAGDDLMAGDLVGAMKDDGFQPDVVTWTSRVSGSVLNFQYGRARALFRAMMVAGGRVLPSSATISTILPAFANVADVKHGKEVHGYSVVAGVEQELTVSSALVDMYAKCGLVLEARRLFDKMPQRSTVTWNSMIFGLANSGHCQEAVGLFDRMLRDGAKPDHLTFTAVLTACSYGGMVEVGKILYRAMQVEYGVEPRLEHYACMVHLLGRAGRLDEAYGFIRAMPLEPDRFVWGALLGACRSHGNIELAELAASRLLAVEPDNAASCLLLSSALANAGKQDDVFKIKRLVKRRRLKKLDSYSWVETSL >ONIVA03G13860.1 pep chromosome:AWHD00000000:3:10052136:10055573:1 gene:ONIVA03G13860 transcript:ONIVA03G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKR2] MACLAPQLKWPLSTRAAAFREHGGAGIGGFRTVRLHCAVSTTALVEAESSDSLAPGARRLVVYDGAVAPPPLPGGFGEAILNQEAVVAAAAAEAVALARAAAEVAGEVVRMSQTEQRHRPDFVTTHDTEDNYLAREILRAEAGLGARYADACLSEDAGFSSIFSDESEVDDDEQCVQGVAVKSVRQSERRARRVRAAMKAAKSFSGRNPVAASSSARKKRLKGCRSPLGCFYKMTGRRLLTAKQEVEFSQGIQDLLKLEAIQKELAHYNGGEPTFSQWAEAAGTDENTLRKRLNYGISCKNTMVKSNVRLVISIAREFEGPGMEFSDLIQEGIQGLVRGAEKFDASKGFRFSTYSHWWIKQAIRKSVLEQTQIIRLPAHMAEASSRVKECRRRLRRQLKRLPTNEEIASDTGMPLRRVEAAMSLPKYTVSLTSKVGCTDMTYQEITPDTSTETAEEMLHRWLMKEDVDRALDGLSPRERQVIRYRFGMDDGRLRTLHDIGRLMGVSRERIRQIELVAFRKLRGRKKVQSLQHYLQPVESW >ONIVA03G13850.1 pep chromosome:AWHD00000000:3:10044930:10045397:-1 gene:ONIVA03G13850 transcript:ONIVA03G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKPSASDKKVKRPPSRLQKHAPATLRLEPPSTPSPTGAWGDGRMPIPLLSPLVVSPSAAWEPDDQAAAAAGAPRREGGVQGGAGREGSSGAAARSPVCGGDRQAADDAAKSPAPAPCGGGWLHPALSTPVAEPASLVSFFQSQCALEVHNAPQ >ONIVA03G13840.1 pep chromosome:AWHD00000000:3:10040519:10045509:1 gene:ONIVA03G13840 transcript:ONIVA03G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGSFPPVTSKSWRPRKEKGKKRKEKRKETRKKKKAKGEKAPVHLTLSRSLAYISLAHLLACLRLSRTLPLFSCSSSLIFPLSLPLLFSPAASACGELFVQPVHPRSLGRILGSVGETLLGFRRIKGYSRGATGAVVARGSSSSTRPANGAVAINAAAPAVGSAPAEVARFHSQPPQQDRKGGKRIVPAARIPDGNASTSRGNAHQSAMDLKTAGKDHNMPYLSTAYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEVPTAQWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSRDKQDAEEVEAYRASFGFSADEIVTTQAYVEIPDALDEGFSISPFGNNAPATEVDKPLFNVKVTTSPKKSADQLSNDSPHNVVHLDIFKGTKGGDLSEDEGVVKDCHPFRKAMDEISLKPIEVRKKVQPGQSSSSDAEIEYRRARSLREANGVLSWRSTLARQLQ >ONIVA03G13840.2 pep chromosome:AWHD00000000:3:10040519:10045509:1 gene:ONIVA03G13840 transcript:ONIVA03G13840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGSFPPVTSKSWRPRKEKGKKRKEKRKETRKKKKAKGEKAPVHLTLSRSLAYISLAHLLACLRLSRTLPLFSCSSSLIFPLSLPLLFSPAASACGELFVQPVHPRSLGRILGSVGETLLGFRRIKGYSRGATGAVVARGSSSSTRPANGAVAINAAAPAVGSAPAEVARFHSQPPQQDRSRWAGCFSALSCFGSQKGGKRIVPAARIPDGNASTSRGNAHQSGSNSNQNVALNLSLLAPPSSPASFSNSAIPSTAQSPNRFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELTHATTPSSPDVPYARFLFSAMDLKTAGKDHNMPYLSTAYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEVPTAQWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSRDKQDAEEVEAYRASFGFSADEIVTTQAYVEIPDALDEGFSISPFGNNAPATEVDKPLFNVKVTTSPKKSADQLSNDSPHNVVHLDIFKGTKGGDLSEDEGVVKDCHPFRKAMDEISLKPIEVRKKVQPGQSSSSDAEIEYRRARSLREANGVLSWRSTLARQLQ >ONIVA03G13830.1 pep chromosome:AWHD00000000:3:10032927:10034339:-1 gene:ONIVA03G13830 transcript:ONIVA03G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGARSWLADLRARFGGGAREEAGLGILAFEAAAAMSRLVSLHRSLSDVEVRRLRADALRAEGIARVTSTDQSLLLRLACGEFVADLDHAAGTAARLGARCCAGAPFLHDFDRVYAEAKRGNGLARLDATVGFYRGAAKRFRKMERHVAATAKLYAEMDALSELEASERRMEQWMRHSGPIPAQPGPSAKRQVPEPGEKLIRELNSQRQKVRRLMESSLWSVAAHKVSKLMAKSVLAVLARISITFGAYVPGLPLLTVGRAWALRRTSGPLEQAASPAAAIRHSAPIFRQKDTAFSASESIKPPASTVGGSGMELRYANMIVCAEMLLRQLWPTIHSNEVDAGMDLSKRDELYKMLPVTIRTAVKAKLRESWRGQPVDEAAAAASMDAVDRMLRWLGPMAHDTVRWHDEHSMERAQRFSMRPRVLMVQTLHFADRHKAENAIVEVLIGLSCVCWYDDERRRPADWDDDD >ONIVA03G13820.1 pep chromosome:AWHD00000000:3:10023185:10028578:-1 gene:ONIVA03G13820 transcript:ONIVA03G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPELEHLVDMTKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQKKAEESRIAQEIQKKREKDGREQELEKQKLMDGDAGNSRLGPDRADAEEEKELDSKHSSRTKSRESLRSRSISLSPRGRRRSVSPRRRSPSPSRNSISFGRQQRSSRRSTQAKVTISRKTEIPLSSRLSAVEEIANFTQKSFCKPSTSPHDRSPIHSRRSLSRDIEKGTNGIPSSNDVDVLQRNKERSHNDNRNDTEVSGHLSSDSEHRKLTKSLNSPNKPERNLTRDSSLKGTDKHLPSQVRTDSSGEEEGSRARENARKANSSRRKIKDFSADLELKKAHDDLSPGEKSPSQHSGKETRRKQNNQLSESSEDERDGRRMKHTVDSPDDSQQKQHTPSRVGMHNSYSKDGMNSEDAIKGLRDGSEESGKHRSHSEKRKHKKSRKHKRRYDDSSDESNSESDDKESKRRRKEEKRLRKEERRRRREERHRRKADRQASKLKLKHAETVDMASDLEKDRESDSDADARKKGSYNGRDESDQQKLEIELREKALESLRAKKAINH >ONIVA03G13820.2 pep chromosome:AWHD00000000:3:10023185:10028578:-1 gene:ONIVA03G13820 transcript:ONIVA03G13820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPELEHLVDMTKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQKKAEESRIAQEIQKKREKDGREQELEKQKLMVLIVLMLRRKKNWILSIAQEQRAGKALGPGASPCRLAVGGDLFLLGGVLHLLPEIPFLLGDSNDLQGAQFHLGVLFLHESVLQEVPLRCRGGDHHIPEDHLQYQDIDLRHLIVDLIFAGNLHLLCVGDHHLHTIAGLQVVHQDHHLLHVIDLLGAGRRRPRSPSPGRRRPWSPSPGRRRPRSRSPGRRRSPSPRGSPRLRSPKRPRRSPISPRSRSANRRPSPQRRRSTSPHDRSPIHSRRSLSRDIEKGTNGIPSSNDVDVLQRNKERSHNDNRNDTEVSGHLSSDSEHRKLTKSLNSPNKPERNLTRDSSLKGTDKHLPSQVRTDSSGEEEGSRARENARKANSSRRKIKDFSADLELKKAHDDLSPGEKSPSQHSGKETRRKQNNQLSESSEDERDGRRMKHTVDSPDDSQQKQHTPSRVGMHNSYSKDGMNSEDAIKGLRDGSEESGKHRSHSEKRKHKKSRKHKRRYDDSSDESNSESDDKESKRRRKEEKRLRKEERRRRREERHRRKADRQASKLKLKHAETVDMASDLEKDRESDSDADARKKGSYNGRDESDQQKLEIELREKALESLRAKKAINH >ONIVA03G13820.3 pep chromosome:AWHD00000000:3:10023185:10028578:-1 gene:ONIVA03G13820 transcript:ONIVA03G13820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPELEHLVDMTKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQKKAEESRIAQEIQKKREKDGREQELEKQKLMVLIVLMLRRKKNWILSIAQEQRAGKALGPGASPCRLAVGGDLFLLGGVLHLLPEIPFLLGDSNDLQGAQFHLGVLFLHESVLQEVPLRCRGGDHHIPEDHLQYQDIDLRHLIVDLIFAGNLHLLCVGDHHLHTIAGLQVVHQDHHLLHVIDLLGAGRRRPRSPSPGRRRPWSPSPGRRRPRSRSPGRRRSPSPRGSPRLRSPKRPRRSPISPRSRSANRRPSPQRRRSTSPHDRSPIHSRRSLSRDIEKGTNGIPSSNDVDVLQRNKERSHNDNRNDTEVSGHLSSDSEHRKLTKSLNSPNKPERNLTRDSSLKGTDKHLPSQVRTDSSGEEEGSRARENARKANSSRRKIKDFSADLELKKAHDDLSPGEKSPSQHSGKETRRKQNNQLSESSEDERDGRRMKHTVDSPDDSQQKQHTPSRVGMHNSYSKDGMNSEDAIKGLRDGMASKKYPAKIDDDSESEDGSPFRKDKRKAHGNNNIDSGSSGSEESGKHRSHSEKRKHKKSRKHKRRYDDSSDESNSESDDKESKRRRKEEKRLRKEERRRRREERHRRKADRQASKLKLKHAETVDMASDLEKDRESDSDADARKKGSYNGRDESDQQKLEIELREKALESLRAKKAINH >ONIVA03G13810.1 pep chromosome:AWHD00000000:3:10016388:10017164:1 gene:ONIVA03G13810 transcript:ONIVA03G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook protein of GA feedback 2 [Source:Projected from Arabidopsis thaliana (AT3G55560) TAIR;Acc:AT3G55560] MGSIDGHSLQQHQGYSHGGGAGGSNEEEEASPPPGGGSATGSAGRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVEAIAGFSRRRQRGVSVLSGSGAVTNVTLRQPAGTGAAAVALRGRFEILSMSGAFLPAPAPPGATGLAVYLAGGQGQVVGGSVMGELIASGPVMVIAATFGNATYERLPLDQEGEEGAVLSGSEGAAAQMEQQSSGGAVVPPPMYAAVQQTPPHDMFGQWGHAAVARPPPTSF >ONIVA03G13800.1 pep chromosome:AWHD00000000:3:10005732:10010834:1 gene:ONIVA03G13800 transcript:ONIVA03G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKWKVVEGGAGAGAGGGRGDQRRRCVTASLSMLIAATLAFLAYVAFFPYDGAGGLYRWWRCEGCGDAAGGFAFDEAAMAQGPTAGGARRRSPTTLSHIVFGVGASARTWDKRRGYAELWWRPGEMRGHVWLDEQPVGPWPAATCPPYRVSADASRFGDRASASRMARIVADSFLAVTAEMANGTTDSPEARWFVMGDDDTVFFPDNLVAVLNKYDHEEMYYVGAPSESVEQDVMHSYGMAFGGGGFAVSYPAAAELAKAIDGCLERYRLFYGSDQRVQACLSELGVPLTREPGFHQVDVRGDAYGMLAAHPLAPLVSLHHLDHIEPISPGGQHGSPLDAARRLVRASRLDQARSLQQAFCYKRGPRYTWSVSVSWGYTVQLYPWAVAPHELEVPLRTFKTWRSWADGPFVFNTRPLSRDDACAQRAVFFLSAARNDTSSRGRGRSRATMTEYTRRVAKPGAKECDRPSFLAASTVHTVRVFAPKMSPNEWTRAPRRHCCSTKRTRFGTELEVRIRYCGRGELTTP >ONIVA03G13790.1 pep chromosome:AWHD00000000:3:9988621:9991588:1 gene:ONIVA03G13790 transcript:ONIVA03G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGISRNVAAMANVFPDADGKNERCCWLKRSLCALVLFSASYFAYFSFFSGNNVVRDLRQCPFCEPSPPSPPVVAGAAARSPTTLAHIVFVIGASNATWAKRRVYTGLWWRPGAMRGHVWLDDEPSGQWRPSWPPYRVLRPDEARFGKEHAAAARMARAVAEAFQAAEAGREGDGEVRWLVMGDDDTVFFPENLVAVLDKYDHREMYYVGSTSESVGQNVVHSYSMAFGGGGYAISYPAAAALAGIMDGCLDRYNEFYGSDHRVQACLAELGVPLTTEPGFHQLDLKGHVYGLLAAHPVAPLVSLHHLDRLNPISPNWLKRLPAVRSLVSASRHDPSRTLQQAICYHHDARGGGRRRRRRRRQFTLSVSVSWGYMVHLYPAAVPPHELQTPLRTFRAWSGSPAGPFTVNTRPEATPNATALPCHREPIMFYLDRVTAMSTSTTNWTLTEYVPEVLSGERCNTTGFDAATKVQMIQVIALKMDPAIWKRAPRRQCCKVQNANEGDKLIVKIHECKPDEATTSV >ONIVA03G13780.1 pep chromosome:AWHD00000000:3:9985985:9986566:-1 gene:ONIVA03G13780 transcript:ONIVA03G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSAVCAEEALKDQAGLCRLNDLVWRCRRRGGNRERAASPPPPPPRSFPTDVARATVGGTAAGGRAGAGEGWRRASSGGGGRAAAAAGFAGSTTSCSAAADEEATGSGRRLHLLLHRLPPPRIWRRRGACDGGSWGGRAVAAGGVVSGGGAEAGEQWRRRWEARWLAGGGRRPPVSSSFAAAASEVTSSMS >ONIVA03G13770.1 pep chromosome:AWHD00000000:3:9980669:9983842:-1 gene:ONIVA03G13770 transcript:ONIVA03G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARFWYSKPAERARPAAFVPPPPPPTPPQYVPPEEPSAFAKLYAVAGDVVGRAKALLTTGGPFTPSDDGQRVRRALAQLTAPPSDPAPAAPQKDSSSGLSSTAVVWIIVAAGVVGAVLALCVLTLWICRCRRQRRRRRQAQPFPLPPPIYNPNPYYKGDLPPQPFVAQQPPSDHYFMQHQHPTPPQTSGTFSDAGSELPHSIDILTELPTGGSLSYDQLAAATDGFSPDNVIGQGGFGCVYRGTLQDGTEVAIKKLKTESKQGDREFRAEVEIITRVHHRNLVSLVGFCISGNERLLVYEFVPNKTLDTHLHGNKGPPLDWQQRWKIAVGSARGLAYLHDDCSPKIIHRDVKASNILLDHDFEPKVADFGLAKYQPGNHTHVSTRIMGTFGYIAPEFLSSGKLTDKADVFAFGVVLLELITGRLPVQSSESYMDSTLVAWAKPLLSEATEEGNFDILVDPDIGDDYDENIMMRMIECAAAAVRQSAHLRPSMVQILKHLQGETHGEDLNSIFRITYAEDTYSSIMESGESIGPRSRRAPRSQRNTSSDYSSEQALTDKANRSPAKGSRPRGARAGAAASGGKTGGGRRELKQ >ONIVA03G13760.1 pep chromosome:AWHD00000000:3:9947942:9949244:-1 gene:ONIVA03G13760 transcript:ONIVA03G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVASGAAGRWTLRGKTALVTGGTRGIGLGATLALKFIEHLSYFEKCVTLTTLSSTVVNNVGTNFSKQTTEYSADDYSFIMATNLESAYHLCQLAHPLLKSSGSGSVVFISSVSGVVAVSSGSVYAMTKGAMNQLAKNLACEWAKDNIRTNSVAPWYMKTSLVEDELARKDFADSVVRRTALKRVGEPEEVSSLVAFLCMPGASYITGQTISVDGGMTINGLYPTQD >ONIVA03G13760.2 pep chromosome:AWHD00000000:3:9947942:9949209:-1 gene:ONIVA03G13760 transcript:ONIVA03G13760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPRQDGPRHRRHPRHRVNNVGTNFSKQTTEYSADDYSFIMATNLESAYHLCQLAHPLLKSSGSGSVVFISSVSGVVAVSSGSVYAMTKGAMNQLAKNLACEWAKDNIRTNSVAPWYMKTSLVEDELARKDFADSVVRRTALKRVGEPEEVSSLVAFLCMPGASYITGQTISVDGGMTINGLYPTQD >ONIVA03G13750.1 pep chromosome:AWHD00000000:3:9940549:9942875:-1 gene:ONIVA03G13750 transcript:ONIVA03G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALFAPTANPRNPLRLIAAAPHRQWRRVAAAASSSAAPGVDLKALQAAIDKKDSEEVKQAMDQLKELGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGSTGFLGVLAGQLPGDWGFFVPYLIGSISLIVLAIGSISPGLLQAAIGAFSTVFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDDQLQKLIYSGQLDQKEIDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFINRTKPPLTKDQQQNLTRWAVLFSASLLKNNKAAHEALMSAMSQKASVLGCIEAIENAS >ONIVA03G13750.2 pep chromosome:AWHD00000000:3:9940549:9942875:-1 gene:ONIVA03G13750 transcript:ONIVA03G13750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALFAPTANPRNPLRLIAAAPHRQWRRVAAAASSSAAPGVDLKALQAAIDKKDSEEVKQAMDQLKELGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDDWGFFVPYLIGSISLIVLAIGSISPGLLQAAIGAFSTVFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDDQLQKLIYSGQLDQKEIDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFINRTKPPLTKDQQQNLTRWAVLFSASLLKNNKAAHEALMSAMSQKASVLGCIEAIENAS >ONIVA03G13740.1 pep chromosome:AWHD00000000:3:9938234:9939978:1 gene:ONIVA03G13740 transcript:ONIVA03G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGAAEGGGGGAGGAGAAAGDDETGRWEDHAPATPLHAPVVRRRQRRGGAEAGGGGGGERRRRRGVLPVLRVARRLHREHPQEPGLRDHLRPPRHGGVRDGGGGGVDDGELAVQEAGHAGDRGGGRGVPRRGRRRRRVRVGVQRAHQDRPDVHAGLQRLRRLAHRQHRRGSLLRGRAAGLVRRRMNRRHQQFSIDRNDIHV >ONIVA03G13730.1 pep chromosome:AWHD00000000:3:9936038:9937098:1 gene:ONIVA03G13730 transcript:ONIVA03G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAAQLKDMFFGLVERVTGYGRGEDKDVSAGVDEPSKLASEEVAVSSEEVVIVQRNEIRSRGADPSVSGGKQPGINAAGI >ONIVA03G13720.1 pep chromosome:AWHD00000000:3:9933891:9934599:1 gene:ONIVA03G13720 transcript:ONIVA03G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFAAQLKDRFLGLVERVAGCGRGAGDKGVKEPTKLSTVQRVEIRSRDPNVSGGEKPPNN >ONIVA03G13710.1 pep chromosome:AWHD00000000:3:9926339:9927244:1 gene:ONIVA03G13710 transcript:ONIVA03G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAVVVDDGGSRRMRPASLSSSSDAEDDDAGSKKQFLRAAGRALEAAPPVRRRPGRPSRPVRMFHTMCRSLPVLTPGCGRLQPAGAACRIAAPSRLSPSASLMSKLVASASTGAAGASRRRMTGTLFGYRDARIALSLQDNPRCQPTLVVELALPTHALLRDLGTTAGARIVLESEKRAADGGDGAGAGASSRREREQQDGWVLEESMWTMSCNGKKVGYAVRRDPTDDDIAVLETLWAVSMGGGVLPGISDMDGKDGEMAYMRGSFEHIIGSRNSESLYMISPHGGDCPELAVFFVRL >ONIVA03G13700.1 pep chromosome:AWHD00000000:3:9921875:9938083:-1 gene:ONIVA03G13700 transcript:ONIVA03G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVWAAPNVAGFSDADGAFARQDKGAFSTLGSPREGQPLSSRQQCRSPASISNGTAGPADRQGDRGSGRQLNFADRTRCLKKTGSSSFKNKRTRSSVTWVIAGQLKWNPAVMRIKTKAQREAEPFSISLMKTPPIFSSVAFSNLTLILRK >ONIVA03G13690.1 pep chromosome:AWHD00000000:3:9920623:9921527:-1 gene:ONIVA03G13690 transcript:ONIVA03G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVEEKEGNRFQEPASDRCEDNEDKEQDNSEESSSVDQRKEEEEEEKEGCEEATPAAAAAAAAPSFFAHPCSLLQYIARVCACCLGLSDSFCDPKASTVLVPEPEPAAADPSQEGEEDMKSSVRGDHSGAGSAAKAEAAGQSERRERRQWRTPSLGSLLRSYARKKEAKSDDV >ONIVA03G13680.1 pep chromosome:AWHD00000000:3:9918212:9918793:1 gene:ONIVA03G13680 transcript:ONIVA03G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFSLDGYSDGGDAYVAEPASPIRSSANRPRRPSASRDEVPRYVLRVIRTAAAASASLPPVPGYSPRMSIDMACTHSWAPYTAVIPALRSLSFLSLRDEHSPGVAKKTIAELYGHATPFDAAGRRFPAGEVYVCLDRAPLASYIQSIQRNVTVSDVSYGDKTKACDSYLSAVSSAIDELTRDDHYTPVSSAV >ONIVA03G13670.1 pep chromosome:AWHD00000000:3:9898810:9916450:-1 gene:ONIVA03G13670 transcript:ONIVA03G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNIVDVSYVAVPATAALPPEPIKLTAMEALWLPFPVLQHVLFYEAAGLTPFDSVVDSLRSSLGATLATFAPLAGKLVHLEDTGDVAIACSASDAVRFVEAECDADVRRVAGDEAHDLRTFEQLVPELDMSKLPTSVLAVQATRLQGGLAVGVTLHHGVADGKSFWTFVEAWASACRCRGEAPAATPCFDRSVIKWPGGEEIARSVLRVRAGLACRGTQAFHPPDIHRGRAATRTPKAARITRDGEVHGKTLHRPPSSFVAVVAMASAFFARCKTTAADEDAGGDVFLFFFADVRERLDDPPVDAGYYFGACLTGCLARLPARDVHGDGALAAAASAIQEEILELAEDPLAGWNFMSLAGKIPSDRVMNVSGSPGFRPYDVGDFGWGKPRRTEPIRMNHDGQVAEDPLAGWDFLRLMETLVPVMERAMNVSGSPAFRPYDVGDFGWGKPRRTEPIRMNHDGQVALVRAKDGRAVQVSVSLLRSAHMQVQQGRGRMSAAVTVRVVGVSHVAVPAKAALPTEPMKLTATEALWLRIPLLQNVLFYESAGSSWPPFDGIVDSLRSSLGATLATFAPLAGRLVHLEDTGDVAIVCSASDAVRFVEAECDADVRSVAGGGGDAPDDDLRLLEQLAPELDMGELPTSVMAVQSTRLVGGVAVGVTVHHGVADGKSFWMFVEAWAASCRGETPAATPCFDRSVIKLPDGEALARSVLRKYTPNLPVVSPPSSGEESKWYSRRTFTVGAEQLERLKKPLTGSKKHFSTSERIVRDGEAHGARRPPSSFVALVATVWTLLVRSKSKTSAADDAEAFLFFFADFRERLDPPVDARYFGTCLTGCFVALPARDLLHGDGALAAAASAIQEEIRRMADDPLALWDFFSLNSRAA >ONIVA03G13670.2 pep chromosome:AWHD00000000:3:9898810:9911008:-1 gene:ONIVA03G13670 transcript:ONIVA03G13670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSIVDVSYVAVPATAALPPEPIKLTAMEALWLPFPVLQHVLFYEVAGSPPFDSVVRSLRSSLGATLASFAPLAGKLVYLEGTGDVAIACSASDAVKFVAAESDADVRRLAGDELHDLATFQKLVPELDMGKLPTSVLAVQATRLEGGLAVGVTVHHGVADGKSLWMFLEAWAAACRGEATPVATPCFDRSAIKLHLGEEIARTVLRKYAPKLPQVAELEIFVEQRNRFTRRTFTVDAQQIERLKQRIARDGEARGAPLRRPPSTFVAVVATAWTCFARCKTTAADDGEVFLLFIADVRERLDPPVGAGYFGSCLTVRVARLPVRDIHGDGALAAAASAIQEEIAKVAEDPLAGWDFLRLMETLVPVMERAMNVSGSPAFRPYDVGDFGWGKPRRTEPIRMNHDGQVALVRAKDGRAVQVSVSLLRSAHMQVQQGRGRMSAAVTVRVVGVSHVAVPAKAALPTEPMKLTATEALWLRIPLLQNVLFYESAGSSWPPFDGIVDSLRSSLGATLATFAPLAGRLVHLEDTGDVAIVCSASDAVRFVEAECDADVRSVAGGGGDAPDDDLRLLEQLAPELDMGELPTSVMAVQSTRLVGGVAVGVTVHHGVADGKSFWMFVEAWAASCRGETPAATPCFDRSVIKLPDGEALARSVLRKYTPNLPVVSPPSSGEESKWYSRRTFTVGAEQLERLKKPLTGSKKHFSTSERIVRDGEAHGARRPPSSFVALVATVWTLLVRSKSKTSAADDAEAFLFFFADFRERLDPPVDARYFGTCLTGCFVALPARDLLHGDGALAAAASAIQEEIRRMADDPLALWDFFSLNSRAA >ONIVA03G13670.3 pep chromosome:AWHD00000000:3:9914508:9916450:-1 gene:ONIVA03G13670 transcript:ONIVA03G13670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNIVDVSYVAVPATAALPPEPIKLTAMEALWLPFPVLQHVLFYEAAGLTPFDSVVDSLRSSLGATLATFAPLAGKLVHLEDTGDVAIACSASDAVRFVEAECDADVRRVAGDEAHDLRTFEQLVPELDMSKLPTSVLAVQATRLQGGLAVGVTLHHGVADGKSFWTFVEAWASACRCRGEAPAATPCFDRSVIKWPGGEEIARSVLRVRAGLACRGTQAFHPPDIHRGRAATRTPKAARITRDGEVHGKTLHRPPSSFVAVVAMASAFFARCKTTAADEDAGGDVFLFFFADVRERLDDPPVDAGYYFGACLTGCLARLPARDVHGDGALAAAASAIQEEILELAEDPLAGWNFMSLAGKIPSDRVMNVSGSPGFRPYDVGDFGWGKPRRTEPIRMNHDGQVALVRAADGHGVQVSVSLLQSAHMEAFKSQMRLLELK >ONIVA03G13660.1 pep chromosome:AWHD00000000:3:9896669:9897694:-1 gene:ONIVA03G13660 transcript:ONIVA03G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYLVSKCGLSPAAAAKAAPRFAHLDSASRPDAALAFLRSQGLTRAQVREVVSWKPELLLSDVDATLDPKFRAVRALGLGRADVARLFALYPPALTYGIHTNLLPRVLFWIDFLGSAKLLMKWLAKTWLLRYSVDALLRNLSTLRSLGVQQSRITTTVRMQPTLITQTPARFQKLVGRVEACGVPPSSGMYMWAFFALHNVSEGSFRAKKAAVVGAAGCTEEEFDAMFRRAPCLVFVPAALLRRKVEFLMAEAGCDATHIVTNPVLLTLSLGKRMAPRCRVVEALRSRGVGIGKKANLGSVMRYPEDKFVERYVLRYKEEVPELLELYPPRLCKGSSQTR >ONIVA03G13650.1 pep chromosome:AWHD00000000:3:9889910:9898167:1 gene:ONIVA03G13650 transcript:ONIVA03G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHSGSISHIRNVLIVRQDYSHSSSGLGVTDNSAFKIGVYTNFNVQSNAQEWLEESKRISSIKTRNNEFFITNLWKITKVPTILHCTMSSGSELKTQWQPDSKIDASVLKISKVETSMQFDDKARDGYDGDEHECTAKNTVQDSPAKAPMSKESKDARKALATVYDKVLVVDNVKSARSVVQLLTSKYKNFIHACDTEVANIDVKQETPVSHGEVICFSIYSGNSDAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIRKVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSSRRIDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGWKKIKKDGSAGKIISMEPVEVLQRDDREMWICYSSLDSMSTLRLYESLKSKLEKKHWTFDGCPRGSMYDFYEEYWRPFGALLVKMETAGMLVDRGYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGETLPKSRTIKVPNDGSLIAEGKKTPKYRTIELFSIVEDLKTDLFTASGWPSVSGDALRSLAAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCDEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLASCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTPVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQMKQKELAQEKCEVYTLLGRSRRFPNMAHATSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNARLKELGWRLLLQVHDEVILEGPTESADLAKSIVVECMSKPFYGTNILNVELAVDAKCAQNWYAAK >ONIVA03G13650.2 pep chromosome:AWHD00000000:3:9889910:9898167:1 gene:ONIVA03G13650 transcript:ONIVA03G13650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHSGSISHIRNVLIVRQDYSHSSSGLGVTDNSAFKIGVYTNFNVQSNAQEWLEESKRISSIKTRNNEFFITNLWKITKVPTILHCTMSSGSELKTQWQPDSKIDASVLKISKVETSMQFDDKARDGYDGDEHECTAKNTVQDSPAKAPMSKESKDARKALATVYDKVLVVDNVKSARSVVQLLTSKYKNFIHACDTEVANIDVKQETPVSHGEVICFSIYSGNSDAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIRKVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSSRRIDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGWKKIKKDGSAGKIISMEPVEVLQRDDREMWICYSSLDSMSTLRLYESLKSKLEKKHWTFDGCPRGSMYDFYEEYWRPFGALLVKMETAGMLVDRGYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGETLPKSRTIKVPNDGSLIAEGKKTPKYRTIELFSIVEDLKTDLFTASGWPSVSGDALRSLAGKLPTDLVYTTDDVEDDDSGDSEISEHDLNDTASYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCDEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLASCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTPVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQMKQKELAQEKCEVYTLLGRSRRFPNMAHATSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNARLKELGWRLLLQVHDEVILEGPTESADLAKSIVVECMSKPFYGTNILNVELAVDAKCAQNWYAAK >ONIVA03G13650.3 pep chromosome:AWHD00000000:3:9889715:9898167:1 gene:ONIVA03G13650 transcript:ONIVA03G13650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVVKWLLSMWHSGSISHIRNVLIVRQDYSHSSSGLGVTDNSAFKIGVYTNFNVQSNAQEWLEESKRISSIKTRNNVGNTIYKGSTHLRTGILHHEPLEDHKSSNYSSLYNVRERMTPNSLANRHANMELAKHNTRNQAASAVSALTSVVNDDIKPLNRSSGSELKTQWQPDSKIDASVLKISKVETSMQFDDKARDGYDGDEHECTAKNTVQDSPAKAPMSKESKDARKALATVYDKVLVVDNVKSARSVVQLLTSKYKNFIHACDTEVANIDVKQETPVSHGEVICFSIYSGNSDAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIRKVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSSRRIDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGWKKIKKDGSAGKIISMEPVEVLQRDDREMWICYSSLDSMSTLRLYESLKSKLEKKHWTFDGCPRGSMYDFYEEYWRPFGALLVKMETAGMLVDRGYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGETLPKSRTIKVPNDGSLIAEGKKTPKYRTIELFSIVEDLKTDLFTASGWPSVSGDALRSLAGKLPTDLVYTTDDVEDDDSGDSEISEHDLNDTASYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCDEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLASCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTPVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQMKQKELAQEKCEVYTLLGRSRRFPNMAHATSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNARLKELGWRLLLQVHDEVILEGPTESADLAKSIVVECMSKPFYGTNILNVELAVDAKCAQNWYAAK >ONIVA03G13640.1 pep chromosome:AWHD00000000:3:9887347:9887962:1 gene:ONIVA03G13640 transcript:ONIVA03G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHATLADARGHHRILLILAVVLLLAATGDGIRSPPYDVVTPVHGAQDEKISATATLVISPQQATAGDNVGRGVLQEEVRATGSSLPDCSHACGACSPCNRVMVSFKCSIAEPCPMVYRCMCKGKCYPVPSS >ONIVA03G13630.1 pep chromosome:AWHD00000000:3:9875223:9884624:1 gene:ONIVA03G13630 transcript:ONIVA03G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPGYLEDHFNIHKLSISSASPPEYMTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTTDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >ONIVA03G13630.2 pep chromosome:AWHD00000000:3:9875223:9884624:1 gene:ONIVA03G13630 transcript:ONIVA03G13630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPGYLEDHFNIHKLSISSASPPEYMTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTTDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >ONIVA03G13630.3 pep chromosome:AWHD00000000:3:9875223:9884624:1 gene:ONIVA03G13630 transcript:ONIVA03G13630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPGYLEDHFNIHKLSISSASPPEYMTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTTDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >ONIVA03G13620.1 pep chromosome:AWHD00000000:3:9864543:9869707:-1 gene:ONIVA03G13620 transcript:ONIVA03G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKADPAESPVAASEAGAGTNHHQETPSSELKPRGTIYFPITDDPPEPSAEGGAEGEDGAGGDDDEEDIAKLLEPLSREQLVALLRTAAETTPATMAAVRRAAEADPASRKLFVHGLGWGAGADDLRSAFSRFGELEDCRVISDKQSGRSKGYGFVLFRSRRSALRALRRPQLQIGGRLAFCHLAASGPAPPTSQSQNPSSNTNANSGAANNAGSASSSQPDNMQRKIFVGNVHADVDVDRLYEYFSQFGEIEEGPLGFDKTTGKPKGFALFVYKSVESARRALEEPTRNFDGKMLNQVPPLFQMQRVFKLLLVFRVRLGSRLLLGFRLAKQLHKRVLLRLLPPVLLVIRLLLLGRAKCPERKLGVLVFRVDFEITSLASAGRDSFVWFCIRMDFESMLVPKYCERVPAVGFYNQMAFESGNFVALCILAAETTTMPYILVVSSANCNRLTLKSERAERAKMRLQFCHSLRFDTGTGGAPEKTGETGEYTSTSEVSVSI >ONIVA03G13620.2 pep chromosome:AWHD00000000:3:9865342:9869707:-1 gene:ONIVA03G13620 transcript:ONIVA03G13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKADPAESPVAASEAGAGTNHHQETPSSELKPRGTIYFPITDDPPEPSAEGGAEGEDGAGGDDDEEDIAKLLEPLSREQLVALLRTAAETTPATMAAVRRAAEADPASRKLFVHGLGWGAGADDLRSAFSRFGELEDCRVISDKQSGRSKGYGFVLFRSRRSALRALRRPQLQIGGRLAFCHLAASGPAPPTSQSQNPSSNTNANSGAANNAGSASSSQPDNMQRKIFVGNVHADVDVDRLYEYFSQFGEIEEGPLGFDKTTGKPKGFALFVYKSVESARRALEEPTRNFDGKMLNQVPPLFQMQRVFKLLLVFRVRLGSRLLLGFRLAKQLHKRVLLRLLPPVLLVIRLLLLGRAKCPERKLGVLFHW >ONIVA03G13620.3 pep chromosome:AWHD00000000:3:9864543:9865338:-1 gene:ONIVA03G13620 transcript:ONIVA03G13620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPYILVVSSANCNRLTLKSERAERAKMRLQFCHSLRFDTGTGGAPEKTVGETGEYTSTSEVSVSI >ONIVA03G13610.1 pep chromosome:AWHD00000000:3:9860912:9864510:-1 gene:ONIVA03G13610 transcript:ONIVA03G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFALHGSTPPPITSLTDHHTAARPGLSCAHHLPCPSCPRCPPLYPPLSLSRSSPFSAPPFLLCTSPLLSWSSPSCVLCSSLKKPSDLWFLAFLSFLNTAVQMASLTPEAEAPGGGALLAAGDDVAAANLLAAAVATEGPVFDMPDFKMGGKKSDDAAPTDAGDEDGGDDDGDEDGDFGEGEEDVSEDWDFSSTN >ONIVA03G13610.2 pep chromosome:AWHD00000000:3:9860832:9863626:-1 gene:ONIVA03G13610 transcript:ONIVA03G13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPEAEAPGGGALLAAGDDVAAANLLAAAVATEGPVFDMPDFKMGGKKSDDAAPTDAGDEDGGDDDGDEDGDFGEGEEDVSEDPRKVHPYYEYKGAKPD >ONIVA03G13600.1 pep chromosome:AWHD00000000:3:9856419:9859106:-1 gene:ONIVA03G13600 transcript:ONIVA03G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSAFSEEILAEKLAKLNNTQQCIEKQIVQTWAKQFHSSGNEQKTPFLYLANDILQNSKRNGTEFVEEFWKVLPGALKDVTENGDERGKKVVSRLVEIWQERRVFGSRAGGIKDVMLGTAPLPVLDMTKKRSHGSSIKIVKRDSRSVKLRLGVGGTAEKIVSALHTVLSEQADEDSDLEKCKTSMRRVGKMQKDVSSACSKGDDPRRETLCTELKEEEDSMTECIEKLKVVEANRATVVSELKEALQEQESELEKVRTQLQLAEAMVEEAANMQRKLKNEPVIPSSKHLSSVEPGKPLSNGQAKDQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRQDKRLKIEHSSQVPSVANAAAFVPMPQMTTTTAQQPQAILVQQTPMQNQPPAPQPQYNIYQAPPHQFVQQPGGVMMGMAYNMSTMAPPPPPPPQMMNLARPSPSTPQPPMGIMPQTQPPPPAPTMLQQQMPMNVGPPMQFALQQSGAPSFRPLQPPPGMQFFHPQSQ >ONIVA03G13590.1 pep chromosome:AWHD00000000:3:9848097:9855904:-1 gene:ONIVA03G13590 transcript:ONIVA03G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: mitochondrion; EXPRESSED IN: 26 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane protein Mit /.../ (InterPro:IPR019133); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G39690) TAIR;Acc:AT4G39690] MYRPTPLPHLSGSFHSRSRTTQRNAALPPLHPPPPSSPACRRRRGREAETLRDDRSNVPLHPSPSAAARRTRGPPAMLRRCVRDLYPLRPLRRIPRPISSEVQSPAFLRPRSKSTKASQQSSTQNTVPGPQGEPSQSGSNVPKVLLGTLMVGAAAMAAYQAGYIDDQFKDIIFPSTMKEKNIRKIYDDLKAPSEQKVDEKQVVSDPNVDIVQNSNNEAHPQKDLPTEGMGPPEIPTTDEQTVSSEEKEKETLAQGTPQIPDEHGAAAKPLSQDIPVIDINPSVDDKATGEVLPEQTDKTTTSVSPVQSSLATAGPSHHVHTDTDGPKDPSSAGAVEHKSLAETYLLQEPDNSKDMGAKESKHDGVISTGTSDDGKIVLDIIEAIHAAERKQADADAYMYSEEKRKLKEKYEKELKDTRARELMYAEEAAILDKELKKEKLKSAAVIKELQENAEQKLRDELQQKDEETSQQVEKVRELAKAELAAALAKERASQIEQIAEANLNIDALCMAFYARSEETRQSHSVHKLALGTLALEDALSTGSPIRTEVDQLRKSLEGIDKDSLLELALSSIPEDVLEYGSDTPMDLKQKFNSLKETVRHFSLIPAGGGGMLTHAVAHVASSIKIKEDQSGDGIESLLNRVENLIIHGDLSAAAEALERGLQGSEAAEIASEWVKQARKRAIAEQTLTLLHSYASSITFS >ONIVA03G13590.2 pep chromosome:AWHD00000000:3:9848097:9853690:-1 gene:ONIVA03G13590 transcript:ONIVA03G13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: mitochondrion; EXPRESSED IN: 26 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane protein Mit /.../ (InterPro:IPR019133); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G39690) TAIR;Acc:AT4G39690] MVGAAAMAAYQAGYIDDQFKDIIFPSTMKEKNIRKIYDDLKAPSEQKVDEKQVVSDPNVDIVQNSNNEAHPQKDLPTEGMGPPEIPTTDEQTVSSEEKEKETLAQGTPQIPDEHGAAAKPLSQDIPVIDINPSVDDKATGEVLPEQTDKTTTSVSPVQSSLATAGPSHHVHTDTDGPKDPSSAGAVEHKSLAETYLLQEPDNSKDMGAKESKHDGVISTGTSDDGKIVLDIIEAIHAAERKQADADAYMYSEEKRKLKEKYEKELKDTRARELMYAEEAAILDKELKKEKLKSAAVIKELQENAEQKLRDELQQKDEETSQQVEKVRELAKAELAAALAKERASQIEQIAEANLNIDALCMAFYARSEETRQSHSVHKLALGTLALEDALSTGSPIRTEVDQLRKSLEGIDKDSLLELALSSIPEDVLEYGSDTPMDLKQKFNSLKETVRHFSLIPAGGGGMLTHAVAHVASSIKIKEDQSGDGIESLLNRVENLIIHGDLSAAAEALERGLQGSEAAEIASEWVKQARKRAIAEQTLTLLHSYASSITFS >ONIVA03G13590.3 pep chromosome:AWHD00000000:3:9853690:9855942:-1 gene:ONIVA03G13590 transcript:ONIVA03G13590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: mitochondrion; EXPRESSED IN: 26 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane protein Mit /.../ (InterPro:IPR019133); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G39690) TAIR;Acc:AT4G39690] MRVSAKVMFGTHSCIDPLRYPTSPEAFIREAAQHNATPRFRLSTRRRLPRRLAAAAAVARRRPCGTIGATSLYTPPPPQRREEQGGRPPCCAGACGICTRCGLLGGSLGRSPARSKVQHFFDPEASRQKLPNRVQHRILSLALKENLLSQEAMFRKFCLEL >ONIVA03G13580.1 pep chromosome:AWHD00000000:3:9843174:9847355:1 gene:ONIVA03G13580 transcript:ONIVA03G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKVLGSKPADCFQFQDPNSWTCMTELVSDVVVEVGDFSFHLHKFPLMSRSGTLQKLISEAAAGADDGEPCSVELHDVPGGAAAFELAARFCYDVRAELDAGNVVALRCAAEHLGMTEDHGGEGNLVEQAEAFLRDVLGSWDDALRALRSCDGALLPLAEELLVVPRCIDALASKACADPTLFGWPMVEYYTARGLEETVMWNGIATTGKPRSPGPDWWYKQASSLKLPVYKRLITVMRSKGMSPENIAGSLTHYAKRHLSGLTRHSGYVGGGGASGTVLSDVEQRALLEEIVALLPVERGVATTRFLLGLLRTATILNAGAACRDALERMAGNQLEEAALEDLLIPNTGYAVETLYDVDCVQRMLEQFVAANTSAFAASPEITDEAQLVDGPSGELMPISTVAKLVDGYLAEVATDTNVKLSKFQSIAELVPDYARAIDDGIYRAIDIYLKAHSWLTASEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTTVAGWFFVADNVDQGSPIAAGRYAPERSGELDFGAGPPEEDGDDDDDEARNNVRSSSSATMGVDDIRQRVVELEEECSSMREEIHRIGKPKGALSRLFRKLGLGGRSAARRQQQQPPPPPTSSGDERRKSMSLEC >ONIVA03G13570.1 pep chromosome:AWHD00000000:3:9840313:9842526:1 gene:ONIVA03G13570 transcript:ONIVA03G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bifunctional nuclease i [Source:Projected from Arabidopsis thaliana (AT1G11190) TAIR;Acc:AT1G11190] MASDKCSSFLLAAAAAAVLVLASAPVAHSWSKEGHMLTCRIAQDLLEPAAAHAVRNLLTEEADGDLSALCVWPDQVRHWYKYRWTSPLHFIDTPDKACSFVYSRDCHGADGAEDMCVAGAIANFTSQLMHYNHGSADRKYNMTEALLFLSHFMGDVHQPMHVGFTSDQGGNTINLRWFRHKSNLHHVWDREMILTAIAEFYGKDMDAFQKDLVHNFTTGTWSDDVSSWGDCEDLLSCSTKYATESINLACKWAYNDVHEGETLSDDYFDSRLPIVTRRIAQGGVRLAMFLNRLFGEHNRDVASPA >ONIVA03G13560.1 pep chromosome:AWHD00000000:3:9833890:9840121:-1 gene:ONIVA03G13560 transcript:ONIVA03G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAAAAAVSPLVAWPCSAPASRGGRRAARASAFHPDVSRAVESLQAEFREVDRALALNSARVSAAFHAAHVAPHHFGGSTGYGHDDGGGREVLDSVFAQIVGAEAAIVRPQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVAYREVPQMVALIGMLLQMLSDLRPDAPSYKDLVMQNPNCMVMVDNCYGEFVETSEPPMVNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSTPGHVMRALFQGLFLAPQMVGEAVKGGMLIAEVMSTKGYRVQPLPRVPRHDIVQAVELGNRERLIAFCEIVQQTCPVGSFIKPTAGETPGYASEVIFADGTFIDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLSDETNMAHVFAQDTGRVSIRGGMDSSKYRSRGYTMASSNNKRPPPYLLLLLLALGAAALSVGILHKMRERRVFSILLQERDQQLISLQALLQKEQEISKEMRRKMDELEAKTSVLSIERTELKNKLMDSETTTTYLTNTQKELEAALVEKEGHINQMKENAAASGPEQMAAIKELLQQKEAELEEIKTKLHDYKKSDTNISESILVGTNNENTTSDTAVPENSANPGDSAPAEEHHSYDNSASESNQDESTGASTNNENATVDTVVVDKYANSSDSTPATTEEPHPYNTTASESNPQENSSPEQHFIKLRTNREDDEPQDKTTGDANDNSNDALEGSHLGKSELPQWSPKLADSQDNSTEELDSTRQLENSQGEANYESRGSNLLEKEVEASNEVEPMKETSPETELETSKDSLSEANQNSTQAVEPVADPADVKPSMPIYNDETKETSKRRRRRKFRSRRKKRTNAAATNVDGEVTKVR >ONIVA03G13560.2 pep chromosome:AWHD00000000:3:9833890:9840121:-1 gene:ONIVA03G13560 transcript:ONIVA03G13560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAAAAAVSPLVAWPCSAPASRGGRRAARASAFHPDVSRAVESLQAEFREVDRALALNSARVSAAFHAAHVAPHHFGGSTGYGHDDGGGREVLDSVFAQIVGAEAAIVRPQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVAYREVPQMVALIGMLLQMLSDLRPDAPSYKDLVMQNPNCMVMVDNCYGEFVETSEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSTPGHVMRALFQGLFLAPQMVGEAVKGGMLIAEVMSTKGYRVQPLPRVPRHDIVQAVELGNRERLIAFCEIVQQTCPVGSFIKPTAGETPGYASEVIFADGTFIDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLSDETNMAHVFAQDTGRVSIRGGMDSSKYRSRGYTMASSNNKRPPPYLLLLLLALGAAALSVGILHKMRERRVFSILLQERDQQLISLQALLQKEQEISKEMRRKMDELEAKTSVLSIERTELKNKLMDSETTTTYLTNTQKELEAALVEKEGHINQMKENAAASGPEQMAAIKELLQQKEAELEEIKTKLHDYKKSDTNISESILVGTNNENTTSDTAVPENSANPGDSAPAEEHHSYDNSASESNQDESTGASTNNENATVDTVVVDKYANSSDSTPATTEEPHPYNTTASESNPQENSSPEQHFIKLRTNREDDEPQDKTTGDANDNSNDALEGSHLGKSELPQWSPKLADSQDNSTEELDSTRQLENSQGEANYESRGSNLLEKEVEASNEVEPMKETSPETELETSKDSLSEANQNSTQAVEPVADPADVKPSMPIYNDETKETSKRRRRRKFRSRRKKRTNAAATNVDGEVTKVR >ONIVA03G13560.3 pep chromosome:AWHD00000000:3:9833890:9838888:-1 gene:ONIVA03G13560 transcript:ONIVA03G13560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFWNSLQMQNPNCMVMVDNCYGEFVETSEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSTPGHVMRALFQGLFLAPQMVGEAVKGGMLIAEVMSTKGYRVQPLPRVPRHDIVQAVELGNRERLIAFCEIVQQTCPVGSFIKPTAGETPGYASEVIFADGTFIDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLSDETNMAHVFAQDTGRVSIRGGMDSSKYRSRGYTMASSNNKRPPPYLLLLLLALGAAALSVGILHKMRERRVFSILLQERDQQLISLQALLQKEQEISKEMRRKMDELEAKTSVLSIERTELKNKLMDSETTTTYLTNTQKELEAALVEKEGHINQMKENAAASGPEQMAAIKELLQQKEAELEEIKTKLHDYKKSDTNISESILVGTNNENTTSDTAVPENSANPGDSAPAEEHHSYDNSASESNQDESTGASTNNENATVDTVVVDKYANSSDSTPATTEEPHPYNTTASESNPQENSSPEQHFIKLRTNREDDEPQDKTTGDANDNSNDALEGSHLGKSELPQWSPKLADSQDNSTEELDSTRQLENSQGEANYESRGSNLLEKEVEASNEVEPMKETSPETELETSKDSLSEANQNSTQAVEPVADPADVKPSMPIYNDETKETSKRRRRRKFRSRRKKRTNAAATNVDGEVTKVR >ONIVA03G13560.4 pep chromosome:AWHD00000000:3:9838966:9840121:-1 gene:ONIVA03G13560 transcript:ONIVA03G13560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAAAAAVSPLVAWPCSAPASRGGRRAARASAFHPDVSRAVESLQAEFREVDRALALNSARVSAAFHAAHVAPHHFGGSTGYGHDDGGGREVLDSVFAQIVGAEAAIVRPQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVAYREVPLAADGGLDWDALANAVRPETGCAFIQRSCGYSWRKSLSISDIQRAIDLIKAR >ONIVA03G13550.1 pep chromosome:AWHD00000000:3:9830840:9835162:1 gene:ONIVA03G13550 transcript:ONIVA03G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 10 [Source:Projected from Arabidopsis thaliana (AT2G20810) TAIR;Acc:AT2G20810] MRSCERQVTRSKPVVEQSSRARRERQARQRNEGEAACLCRAAPREIWAEGKARRDATRRTRETKGKGRGGGDKNLRRTKRLPRPPRSRRRAVPCPALAPLDTGGAPARPRDPMRRRPFLDQRRPSSFKRRWQQRPWWFRLAVTLLLALACLFLLLALRGSPDPDPVVLPSTDSSRSAATTSPLLHQRSYLDGVTDAFNMTDEMLSAHSFSRQLMDQISLAKTYLVVAKEANNLQFAAELSSQIRRAQSILAHAAAHGGTVTEQDAEKAIRDMSVLFFQAQQFRYDSAVTIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKTTELQRKFTERSPAVQSNLRDNSLYHYCVFSDNILAVSVVVNSTTLNSMHPEKIVFHLVTDEVNYAPMRAWFALNDYRGATVEIQKVEDFTWLNSSYVPVLKQLQDAATQNYYFSGSGNRGTPVKFRNPKYLSMLNHLRFYIPEIYPELRKVVFLDDDIVVQKDLSELFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVMWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEPLDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKGFWDNYVDYSHPLLQRCFTH >ONIVA03G13540.1 pep chromosome:AWHD00000000:3:9826084:9829104:-1 gene:ONIVA03G13540 transcript:ONIVA03G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEWEEEEAARSPPPKRPRRDGDAPPEPQPARVGLNPADCDLGTHGSSFAGCNRSRALLTTPSSVAVWRPDFDVGGGGLRGEALHDGGFAYCWSGARATVGVRGGGKYCFGCKVVAEQAVEMEDTAADQQHLCRVGVSRGGDPVGALGETGHSFGFGGTGKFSHQGKFVEYGVKFGVGDTVVCAVDLDSKPLASIGFSRNGEWLGIAKHFDASDKGLGLVESPVSSMQWESAIFPHVLLKNVVVEMQFSKEDGLQLVDGYEPWPSACVDGNAVSGPVFAEQKECEIMMMVGLPASGKSTWAEKWIKEHKEKRFILLGTNLALVQMKVPGLLRKNNYGERFERLMDRATMIFNTLLTRAAKIPRNYIIDQTNVYKNARSRKLRPFANYRKTAVVVFPSPSELKVRAAKRFKEMGKDVPADAVNEMTANFVLPLSKDMPDSKEPFDEVIFVELSRDEAQRNLDEMKRLLPKASTPSYANFSNQNVSSTYSGTIAGTMPSLSPGYHRQMDSPYGSGVQTPRALTHQQAAWGVQGFQSPAGINHHQVHLSSYPNTPYQHQQIQSSHPSTPYQHQAQSTSYPSTPYQDQIHSSYPSNPTQHQIHLNYPSTPNQYQSHSTYPNTPFPGHGNSVYDSNGGPGPYNPNPYSMNTDMQQRIQAPIGDRNQSYAVVSNEAYGRSGYEAANSVGRPINTHPAVYNSGSGNYMPYMQHSHDVHNSGSQYSAPVPRPPYGAPPPNYMNPQTSIWHI >ONIVA03G13530.1 pep chromosome:AWHD00000000:3:9819956:9827499:1 gene:ONIVA03G13530 transcript:ONIVA03G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESNTLRVLVATDCHLGYMEKDEIRRFDSFEAFEEICSLAEQNKVDFVLLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPVKFQVVSDQTINFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGEIAVYPVLVKKGTTFVALYGLGNIRDERLNRMFQTPHAVQWMRPETQDGMSVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFIVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKGNQYRPTKIPLRSVRPFHYAEVVLKDEVDVDPNDQASVLEHLDKIVRNLIKKSSQPTASRPETKLPLIRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKSAKKRQTTGVGNIDDSEKLRPEELNQQTIEALVAENNLKMEILPVDDLDIALHDFVSKDDKMAFYACLQRNLEETRTKLNSEADKFKIEEEDIIVKVGECMQDTGGRSVTAQSNLNSFSDDEDTSEMLLGARTTNAGRKASGFTRPSKDATDVAKTGTSRRGRGRGTASMKQTTLNFSQSRSSAAIRSEEVQSSSDEENETNEANEVVESSEPEESPQQTGRKRAAPRGGRGRGRGATAKRGRKADISSIQSMLMSKDDDDDDEDDRPKKPPPRVTRNYGAVRRR >ONIVA03G13520.1 pep chromosome:AWHD00000000:3:9815664:9819835:1 gene:ONIVA03G13520 transcript:ONIVA03G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVMVVLLGLRLPQRLAHVVGVLAVGVVLGLDVVDLVPERGGVEVEGRAVGAADVEGDVLGAEHLVHGGLRGGHELGGEAELAVGAEHGEGGDVAVARLRGVLLHLREHVADDPAAVILRHEQQLRPRQHVVEVVLHLVVLRQAHQVARLHRQQVVDRRLPYAHHLRLRRRRRRLLLRRDVVMGDRDADGGGGGGLSCGFNRGEEGKRP >ONIVA03G13510.1 pep chromosome:AWHD00000000:3:9815664:9819698:-1 gene:ONIVA03G13510 transcript:ONIVA03G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13780) TAIR;Acc:AT5G13780] MVCIRQATIDDLLAMQACNLMCLPENYQMKYYLYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDVEAKYYADGEDAYDMRKPLRQPQPKKHHHHHHHHHGPGGCCSHDAPPAASGSSPPSSNSPEKKTDS >ONIVA03G13500.1 pep chromosome:AWHD00000000:3:9813699:9815242:-1 gene:ONIVA03G13500 transcript:ONIVA03G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRRAEKAEEGLRTVISLKVLLKQLKVIRHAWILEESDVQSGTIAQDRRLLLVLPANAGSREHWRVQKVRSCISLIYGATFSCRLCQLSRASEYSLASHNTGDPLTCSYLVPSYGTAFGIKGCDRYCLLLQQLWLQELKMAAAAAADSSSDQALNPSPT >ONIVA03G13490.1 pep chromosome:AWHD00000000:3:9811026:9811289:-1 gene:ONIVA03G13490 transcript:ONIVA03G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANARAGVSRGKKLPASAAAVAERRRAEKAEEGLRTVMYISCWSTN >ONIVA03G13480.1 pep chromosome:AWHD00000000:3:9809287:9809547:-1 gene:ONIVA03G13480 transcript:ONIVA03G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRRAEKAEEGLRTVMYISCWSTN >ONIVA03G13470.1 pep chromosome:AWHD00000000:3:9803679:9803939:-1 gene:ONIVA03G13470 transcript:ONIVA03G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRRAEKAEEGLRTVMYLSCWGPN >ONIVA03G13460.1 pep chromosome:AWHD00000000:3:9801391:9804350:1 gene:ONIVA03G13460 transcript:ONIVA03G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLYSSSRIFHHHRDILRSAGNNRPITSSAMAATSRSRSIRANTNGLANWDPSS >ONIVA03G13450.1 pep chromosome:AWHD00000000:3:9798530:9801839:-1 gene:ONIVA03G13450 transcript:ONIVA03G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRRAEKAEEGLRTVIDLR >ONIVA03G13440.1 pep chromosome:AWHD00000000:3:9796712:9797005:-1 gene:ONIVA03G13440 transcript:ONIVA03G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGKAKASSWAAAMSVGTVEALKDQAGLCRWNYAFRTLQQRGRQQAVAGTSGAKSGGGARALQPAAAAAARRKAQQQEEELRTVMYLSNWGPNN >ONIVA03G13430.1 pep chromosome:AWHD00000000:3:9795242:9795514:-1 gene:ONIVA03G13430 transcript:ONIVA03G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYASRVLLRAAAQAMRAARQPAPAVAKPVPAAKPSAVAPGASQRQQARRLSGGVAEPAEKAAVAERMRRRRREKSENVMQLVCWGPS >ONIVA03G13420.1 pep chromosome:AWHD00000000:3:9794043:9794327:1 gene:ONIVA03G13420 transcript:ONIVA03G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHMSRVGARAAQAVREGAGRSVKDKAQSATTSTSSAAARSSGGGSRAPAAGSVEKGRVSAAAAARAAEEKRRRAEQSLRTVMFLSVWGPNT >ONIVA03G13410.1 pep chromosome:AWHD00000000:3:9785759:9790757:1 gene:ONIVA03G13410 transcript:ONIVA03G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKJ6] MDGHTNGTNGSCSKPCEPLTDYCIPDYILNPDSEQVLVDQAPCCPVVVFINSRSGGQLGSSLIKTYRELLNKAQVFDLSEEAPEKVLHRLYCNFEKLKSNGDPIAFQIQSNLRLIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPTTDQEAVKSFLGQVKKAREMNIDSWHIIMRMRAPQEGPCEPIAPLELPHSLHAFHRVSGSDSLNMEGYHTYRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQRTNQSTYAKLGLKQGWFAASLTHPSSRNIAQLAKVRIMKRPGGQWEELKIPRSIRSIVCLNLPSFSGGLNPWGTPGTRKVQERDLTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLRQVTMLASDPCKSKSVNDPSSPMCCSNHDDDERNSLEDEDEWEEGRKKFGAADTFKFPDEVDVAHLS >ONIVA03G13410.2 pep chromosome:AWHD00000000:3:9786361:9790757:1 gene:ONIVA03G13410 transcript:ONIVA03G13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKJ6] MAVVFSNPMVHARRFLQTGLDIFSFGNCEDYGIVYQEKLLHCDMMDGHTNGTNGSCSKPCEPLTDYCIPDYILNPDSEQVLVDQAPCCPVVVFINSRSGGQLGSSLIKTYRELLNKAQVFDLSEEAPEKVLHRLYCNFEKLKSNGDPIAFQIQSNLRLIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPTTDQEAVKSFLGQVKKAREMNIDSWHIIMRMRAPQEGPCEPIAPLELPHSLHAFHRVSGSDSLNMEGYHTYRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQRTNQSTYAKLGLKQGWFAASLTHPSSRNIAQLAKVRIMKRPGGQWEELKIPRSIRSIVCLNLPSFSGGLNPWGTPGTRKVQERDLTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLRQVTMLASDPCKSKSVNDPSSPMCCSNHDDDERNSLEDEDEWEEGRKKFGAADTFKFPDEVDVAHLS >ONIVA03G13410.3 pep chromosome:AWHD00000000:3:9786361:9790757:1 gene:ONIVA03G13410 transcript:ONIVA03G13410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKJ6] MAVVMDGHTNGTNGSCSKPCEPLTDYCIPDYILNPDSEQVLVDQAPCCPVVVFINSRSGGQLGSSLIKTYRELLNKAQVFDLSEEAPEKVLHRLYCNFEKLKSNGDPIAFQIQSNLRLIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPTTDQEAVKSFLGQVKKAREMNIDSWHIIMRMRAPQEGPCEPIAPLELPHSLHAFHRVSGSDSLNMEGYHTYRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQRTNQSTYAKLGLKQGWFAASLTHPSSRNIAQLAKVRIMKRPGGQWEELKIPRSIRSIVCLNLPSFSGGLNPWGTPGTRKVQERDLTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLRQVTMLASDPCKSKSVNDPSSPMCCSNHDDDERNSLEDEDEWEEGRKKFGAADTFKFPDEVDVAHLS >ONIVA03G13410.4 pep chromosome:AWHD00000000:3:9785759:9790757:1 gene:ONIVA03G13410 transcript:ONIVA03G13410.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKJ6] MDGHTNGTNGSCSKPCEPLTDYCIPDYILNPDSEQVLVDQAPCCPVVVFINSRSGGQLGSSLIKTYRELLNKAQVFDLSEEAPEKVLHRLYCNFEKLKSNGDPIAFQIQSNLRLIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPTTDQEAVKSFLGQVKKAREMNIDSWHIIMRMRAPQEGPCEPIAPLELPHSLHAFHRVSGSDSLNMVRIMKRPGGQWEELKIPRSIRSIVCLNLPSFSGGLNPWGTPGTRKVQERDLTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLRQVTMLASDPCKSKSVNDPSSPMCCSNHDDDERNSLEDEDEWEEGRKKFGAADTFKFPDEVDVAHLS >ONIVA03G13400.1 pep chromosome:AWHD00000000:3:9779255:9782549:-1 gene:ONIVA03G13400 transcript:ONIVA03G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRIQAPRLITKEAGPPADEAIFRSDSVKSAVLSSPLVEFSTIYSATNNFSNKLGGGGFGFVYKGVLPDGQEIAVKRLSNRSSQGLEEFKNEVIVLSKLQHRNLVRLFGCCVHGEEKMLLYEYMPNKSLDSFIFDESKRLIFGWKLRYKIIQGIGRGLLYLHQDSRLKIIHRDLKASNILLDDDFNPKISDFGMARIFGEHQLQALTHRIVGTYGYISPEYAMEGKFSEKSDIFSFGVLILEIVSGRRNSSFVDEEWSMNLLGYAWTLWKEGSVSELIDPLMGTICSYDEELPGDRPSMPLVLRMLSGDVTLPAPKQAAFFVGRVPLDDNNTGSGNQLTFACNMWNCKRIWELECRLFIHLGIRASEKFLNVTWPGGLNFCLFGLPPLPEKGKSDLGLIIH >ONIVA03G13390.1 pep chromosome:AWHD00000000:3:9774553:9778044:-1 gene:ONIVA03G13390 transcript:ONIVA03G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKJ1] MRSTRQSSNLLRLVAFFFLLSGQTSAAAAAGVADKLDKGQNLTDGQTLVSSGGGSYTLGFFSPGKSTKRYLGIWFTVSGDTVYWVANRDRPLDGKSGVLLLNDDGSQLVLLDGGSRRTVWSASFLAASAAVVQLLDSGNLVVRNGSGGDAYLWQSFDQPSDTLLPGMKMGKSLWSGQEWFITAWRSADDPSPGDYRRTLATDGLPELVLWRGGGGGGGGATKVYRTGPWNGRFFNGVPEASNYSDKFPLQVTSSAREVTYGYGSVATAGAAPLTRVVVNYTGVVERLVWDASSRAWQRFFQGPRDPCDSYARCGPFGLCDADAAATSFCGCVDGFTAASPSAWALRNTSGGCRRGVALDCAAGGGGSRTTDKFKVVRGVKLPDTRNASVDMGATAAECERRCLGNCSCVAYAAADINGGGCVIWTDDIVDVRYVDRGQDLYLRLAKSEFVETKRSLIVLVVPPVAATIAILLIAFGVWAIWCKKNHGILDNPSMGVASVNLATIKSITENFSENCLIGEGGFSTVYKGVQSDGRMVAVKRLKQSALTNKGKKDFAREVAVMAGLHHGSLLRLLAYCNEGNERILVYAYMKNKSLDNHIFGPLPRRANLHWRRRLDIIQAIAKGVAYLHEGPDGSVIHRDLKLSNILLDDELKPKIADFGTAKLFVADQSGQTLVVSQGYASPEYALRDEMTLKCDVYSFGVVLLETLSGVRNGSMQTLLPQAWRLWEQGNLMDLLDPAMARPAPDDAELLYDLERCIHIGLLCIQDMADDRPTMSEIVAMLTSRTSQMEQPKRPTLDSRAAMRPLRQSDVQGSTTTDLT >ONIVA03G13390.2 pep chromosome:AWHD00000000:3:9774553:9778044:-1 gene:ONIVA03G13390 transcript:ONIVA03G13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKJ1] MRSTRQSSNLLRLVAFFFLLSGQTSAAAAAGVADKLDKGQNLTDGQTLVSSGGGSYTLGFFSPGKSTKRYLGIWFTVSGDTVYWVANRDRPLDGKSGVLLLNDDGSQLVLLDGGSRRTVWSASFLAASAAVVQLLDSGNLVVRNGSGGDAYLWQSFDQPSDTLLPGMKMGKSLWSGQEWFITAWRSADDPSPGDYRRTLATDGLPELVLWRGGGGGGGGATKVYRTGPWNGRFFNGVPEASNYSDKFPLQVTSSAREVTYGYGSVATAGAAPLTRVVVNYTGVVERLVWDASSRAWQRFFQGPRDPCDSYARCGPFGLCDADAAATSFCGCVDGFTAASPSAWALRNTSGGCRRGVALDCAAGGGGSRTTDKFKVVRGVKLPDTRNASVDMGATAAECERRCLGNCSCVAYAAADINGGGCVIWTDDIVDVRYVDRGQDLYLRLAKSEFDNPSMGVASVNLATIKSITENFSENCLIGEGGFSTVYKGVQSDGRMVAVKRLKQSALTNKGKKDFAREVAVMAGLHHGSLLRLLAYCNEGNERILVYAYMKNKSLDNHIFGPLPRRANLHWRRRLDIIQAIAKGVAYLHEGPDGSVIHRDLKLSNILLDDELKPKIADFGTAKLFVADQSGQTLVVSQGYASPEYALRDEMTLKCDVYSFGVVLLETLSGVRNGSMQTLLPQAWRLWEQGNLMDLLDPAMARPAPDDAELLYDLERCIHIGLLCIQDMADDRPTMSEIVAMLTSRTSQMEQPKRPTLDSRAAMRPLRQSDVQGSTTTDLT >ONIVA03G13390.3 pep chromosome:AWHD00000000:3:9774553:9778044:-1 gene:ONIVA03G13390 transcript:ONIVA03G13390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKJ1] MRSTRQSSNLLRLVAFFFLLSGQTSAAAAAGVADKLDKGQNLTDGQTLVSSGGGSYTLGFFSPGKSTKRYLGIWFTVSGDTVYWVANRDRPLDGKSGVLLLNDDGSQLVLLDGGSRRTVWSASFLAASAAVVQLLDSGNLVVRNGSGGDAYLWQSFDQPSDTLLPGMKMGKSLWSGQEWFITAWRSADDPSPGDYRRTLATDGLPELVLWRGGGGGGGGATKVYRTGPWNGRFFNGVPEASNYSDKFPLQVTSSAREVTYGYGSVATAGAAPLTRVVVNYTGVVERLVWDASSRAWQRFFQGPRDPCDSYARCGPFGLCDADAAATSFCGCVDGFTAASPSAWALRNTSGGCRRGVALDCAAGGGGSRTTDKFKVVRGVKLPDTRNASVDMGATAAECERRCLGNCSCVAYAAADINGGGCVIWTDDIVDVRYVDRGQDLYLRLAKSEFDVIPDNPSMGVASVNLATIKSITENFSENCLIGEGGFSTVYKGVQSDGRMVAVKRLKQSALTNKGKKDFAREVAVMAGLHHGSLLRLLAYCNEGNERILVYAYMKNKSLDNHIFGPLPRRANLHWRRRLDIIQAIAKGVAYLHEGPDGSVIHRDLKLSNILLDDELKPKIADFGTAKLFVADQSGQTLVVSQGYASPEYALRDEMTLKCDVYSFGVVLLETLSGVRNGSMQTLLPQAWRLWEQGNLMDLLDPAMARPAPDDAELLYDLERCIHIGLLCIQDMADDRPTMSEIVAMLTSRTSQMEQPKRPTLDSRAAMRPLRQSDVQGSTTTDLT >ONIVA03G13380.1 pep chromosome:AWHD00000000:3:9753346:9762618:-1 gene:ONIVA03G13380 transcript:ONIVA03G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATTGICLVDVILFSFFLVAPRAFAAAAAVTDTLRGGRNITDGETLVSADGTFTLGFFSPGVSAKRYLGIWFTVSPDAVCWVANRDSPLNVTSGVLAISDAGSLVLLDGSGGGHVAWSSNSPYAASVEARLSNSGNLVVRDASGSTTTLWQSFDHPSNTLLPGMKMGKNLWTGAEWDLTSWRSPDDPSPGAYRRVLDTSGIPDVVLWQDGVERYRSGPWNGRWFSGNPEAATYTTNLITFQVTVSPGEISYGYVSKPGAPLTRSVVLDTGVVKRLVWEATSRTWQTYFQGPRDVCDAYAKCGAFGLCDANAPSTSFCGCLRGFSPTSPAAWAMKDASGGCRRNVPLRCGNTTTTDGFALVQGVKLPDTHNASVDTGITVEECRARCVANCSCLAYAAADIRGGGGGSGCVIWTGGIVDLRYVDQGQGLFLRLAESELDEGRSRKFMLWKTVIAAPISATIIMLVLLLAIWCRRKHKISEGIPHNPATTVPSVDLQKVKAATGNFSQSHVIGQGGFGIVYKGQLPDGRMIAVKRLHQSTLTKKGKKDFTREVEVMARLRHSNLLRLLAYCSEGSERVLIYDYMSNRSLDLYIFGDSGLRLMLNWRKRLGIIHGIANGVAYLHEGSGECVIHRDLKPPNVLLDDSFRPKIADFGTAKLFTADQPEPSNLTVVVSPGYASPEYAWRGEMTLKCDVYSFGVVLLETLSGQRNGPMYSLLPHAWELWEQGRVMSLLDATIGLPLSVSGPDHTEMEDELARCVQIGLLCVQDAPEERPAMSAVVAMLTSKSSRVDRPKRPGVHGGRSRPPLRETTTGAELGDTLGKGRNITDGERLVSAGGSFTLGFFSPASSSSSSTSRRYLGIWFSVSDDVVCWVANRDRPLTDTSGVLVITDAGSLLLLDGSGHVVWSSNTTTGGGASMAAQLLESGNLVVSDRGNGGAGAVVVWQSFDHPCDTLLPGMKIGKNLWTGAEWYLSSWRSSGDPSPGNYRYRTDTKGVPENVLWDGDGEVYRTGPWNGLWFSGIPEMGTYSDMFSYQLTVSPGEITFGYSANAGAPFSRLVVTGVGEVQRLVWEPSSRAWKNFFQGPRDLCDDYGKCGAFGLCDAGAASTSFCSCVEGFTPASPSPWKKMRDTSAGCRRDAALGCATDGFLTVRGVKLPDAHNATVDKRVTVEECRARCLANCSCVAYAPADIGGGGGGGAGSGCIIWADDLVDLRYVDGGQDLYVRLAKSELGKDGIRQRRPPAAVVIGASIASVVGVLLIILLVLLYVIRRRQRPRVSGNFSESNIIGRGGFGIVYQGKLPSGRKVAVKRLTQSLVTDKRKEDFIREVEMMSNTRHAYLVELLCYCQEGGEMILVYEYMENMSLDLYIFGEDRRLRASLNWVQRLDIIRGIAIGVEYLHNVKVIHRDLKPSNILLDDNWRPKVADFGTAKLFINDQTDPTLVLSAGYIAPEYAAQGNLTLKCDVYSFGVVLLEIISGKRNRTLPTFLRDTWESWKQHEIEDILDLGLIKPEPDLLLGLDRCIQIGLLCVQQSPDDRPTMNQVVSMLTKYSSQIAMPKNPMINSRCEPSVSQVVSDTEPASHDRPGPSLN >ONIVA03G13370.1 pep chromosome:AWHD00000000:3:9747876:9752575:-1 gene:ONIVA03G13370 transcript:ONIVA03G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMACLPFLICLLLISFCKCDDQLTQAKQLHPGDVLGSKSGVFALGFFSPGTSNKSLYLGIWYHNIPQRTYVWVANRDNPISTPSSSVMLAISNSSNLVLSDSEGRTLWTTNITITGGDGAYAALLDTGNLVLQLPNKTIIWQSFNHPTDTILPNMKFLLRYTTSDGSANARIMLDYMGTFRFLSWDDSSSSWTVHLQRPASTIDCYTYASCGPFGYCDAMLAIPRCQCLDGFEPDTTNSSRGCRRKQQLRCGDGNHFVTMSGMKVPDKFIPVPNRSFDECTAECNRNCSCTAYAYANLTIAGTTADQSRCLLWTGELVDTGRTGFGDALGRDVTTSIYLVRKWQTKGKQRNDENKKRTVLGNFTTSHELFEQKVEFPNINFEEVATATNNFSDSNMLGKGGFGKVYKGKLEGGKEVAVKRLGTGSTQGVEHFTNEVVLIAKLQHKNLVRLLGCCIHGEEKLLIYEYLPNRSLDYFLFDDSKKSMLDWRTRFNIIKGVARGLVYLHQDSRMTIIHRDLKASNILLDEEMSPKISDFGMARIFGSNQHQANTKHVVGTYGYMSPEYAMEGIFSVKSDTYSFGVLVLELISGSKISSPHLTIDFPNLIARAWSLWKDGNAEDFVDSIILESYPISEFLLCIHVGLLCVQEDPSARPFMSSVVAMLENETTARPTPKQPAYFVPRNYMAEGTRQDANKSVNSMSLTTLQGR >ONIVA03G13360.1 pep chromosome:AWHD00000000:3:9745904:9746215:1 gene:ONIVA03G13360 transcript:ONIVA03G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPHGVGSTQSASKNRRFGGEGGGCPEPGLLRELAQPPPPASRPLALPPRRAIVGNISATSPAPSACSGGSPPRVGRPSAGSVGSYSTDGLTPAGGGLIEAH >ONIVA03G13350.1 pep chromosome:AWHD00000000:3:9741376:9745531:1 gene:ONIVA03G13350 transcript:ONIVA03G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMLCPVSRCDDQLRHAKRLISPSDMLISKGGDFALGFFSPATSNQSLFLGIWYHNISERTYVWVANRDDPIAASSSATLSISNNSALVLSDSKGRTLWTTMASPNSIVTEDDGVYAVLLDSGNLVLRLSNNTTIWQSFDQPTDTILPNMKFLVRSYGQVAMRFIAWKGPDDPSTGDFSFSGDPTSNFQIFIWHETRPYYRFILFDSVSVSGATYLHNSTSFVYETVVNTKDEFYLKYTISDDSPYTRVMIDYMGNFRFMSWNSSLSSWTVANQLPRAPGCDTYGSCGPFGYCDLTSAVPSCQCLDGFEPVGSNSSSGCRRKQQLRCGDDHFVIMSRMKVPDKFLHVQNRNFDECTDECTRNCSCTAYAYTNLTATGTMSNQPRCLLWTGELADAWRDIRDTIAENLYLRLADSTGVRQNKEKTKRPVIQQLSTIHDLWDQNLEFPCISFEDITAATDSFHDTNMLGKGGFGKVYKGTLEDGKEIAVKRLSKCSEQGMEQFRNELVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFLFSIILTVFWADHTTEATLDWLTRFNIIKGVARGLLYLHQDSRMKIIHRDLKASNILLDGEMNPKISDFGMARIFGGNEQQESTRRVVGTYGYMSPEYAMEGTFSVKSDTYSFGILLLEIVSGLKISSPHHLVMDFPNLIAYDSPNARPLMSFVVSMLENEDMPHPIPTQPIYFVQRHYESEEPREYSDKSVNNAELIYVQKLNRYSSNRIMIRDPHK >ONIVA03G13350.2 pep chromosome:AWHD00000000:3:9741376:9745531:1 gene:ONIVA03G13350 transcript:ONIVA03G13350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMLCPVSRCDDQLRHAKRLISPSDMLISKGGDFALGFFSPATSNQSLFLGIWYHNISERTYVWVANRDDPIAASSSATLSISNNSALVLSDSKGRTLWTTMASPNSIVTEDDGVYAVLLDSGNLVLRLSNNTTIWQSFDQPTDTILPNMKFLVRSYGQVAMRFIAWKGPDDPSTGDFSFSGDPTSNFQIFIWHETRPYYRFILFDSVSVSGATYLHNSTSFVYETVVNTKDEFYLKYTISDDSPYTRVMIDYMGNFRFMSWNSSLSSWTVANQLPRAPGCDTYGSCGPFGYCDLTSAVPSCQCLDGFEPVGSNSSSGCRRKQQLRCGDDHFVIMSRMKVPDKFLHVQNRNFDECTDECTRNCSCTAYAYTNLTATGTMSNQPRCLLWTGELADAWRDIRDTIAENLYLRLADSTGSNSTVNRKKKRHMVGTLEDGKEIAVKRLSKCSEQGMEQFRNELVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFLFNHTTEATLDWLTRFNIIKGVARGLLYLHQDSRMKIIHRDLKASNILLDGEMNPKISDFGMARIFGGNEQQESTRRVVGTYGYMSPEYAMEGTFSVKSDTYSFGILLLEIVSGLKISSPHHLVMDFPNLIAYDSPNARPLMSFVVSMLENEDMPHPIPTQPIYFVQRHYESEEPREYSDKSVNNAELIYVQKLNRYSSNRIMIRDPHK >ONIVA03G13350.3 pep chromosome:AWHD00000000:3:9741376:9745531:1 gene:ONIVA03G13350 transcript:ONIVA03G13350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMLCPVSRCDDQLRHAKRLISPSDMLISKGGDFALGFFSPATSNQSLFLGIWYHNISERTYVWVANRDDPIAASSSATLSISNNSALVLSDSKGRTLWTTMASPNSIVTEDDGVYAVLLDSGNLVLRLSNNTTIWQSFDQPTDTILPNMKFLVRSYGQVAMRFIAWKGPDDPSTGDFSFSGDPTSNFQIFIWHETRPYYRFILFDSVSVSGATYLHNSTSFVYETVVNTKDEFYLKYTISDDSPYTRVMIDYMGNFRFMSWNSSLSSWTVANQLPRAPGCDTYGSCGPFGYCDLTSAVPSCQCLDGFEPVGSNSSSGCRRKQQLRCGDDHFVIMSRMKVPDKFLHVQNRNFDECTDECTRNCSCTAYAYTNLTATGTMSNQPRCLLWTGELADAWRDIRDTIAENLYLRLADSTGSNSTVNRKKKRHMVGTLEDGKEIAVKRLSKCSEQGMEQFRNELVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFLFNHTTEATLDWLTRFNIIKGVARGLLYLHQDSRMKIIHRDLKASNILLDGEMNPKISDFGMARIFGGNEQQESTRRVVGTYGYMSPEYAMEGTFSVKSDTYSFGILLLEIDSPNARPLMSFVVSMLENEDMPHPIPTQPIYFVQRHYESEEPREYSDKSVNNAELIYVQKLNRYSSNRIMIRDPHK >ONIVA03G13340.1 pep chromosome:AWHD00000000:3:9715587:9736626:-1 gene:ONIVA03G13340 transcript:ONIVA03G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIINVPRSTLRSFWSSGLFGNNVNAKIFQSESNTPLESGVVLFWPGSFAVPGKSSCLACPKKKNKGQMNMAYLPVNRLISPSGKLVSKSGVFALGFFSPATSNQSLFLGIWYNNIPERTYVWVANRDNPITTPSSAMLAISNSSDLVLSDSKGRTVWTTMANVTGGDGAYAVLLDSGNLVLRLSNNATIWQSFDHPTDTILSNMKILLRYKEQVGMRLVAWKGLDDPTTGDFSCSGDPSSDLQVFVWHGTKPYYRSIVLDSVWVSGKAYGSSTSFMYQTYVNTQDEFYVIYTTSDGSPYMRIMLDYTGTFRLLSWNVNSSSWAIYSQRPAAIGDCDPYGSCGPFGYCDFTSVIPRCQCPDGFEPNGSNSSSGCRRKQQLRCGEGNHFMTMPGMKLPDKFFYVQDRSFEECAAECSRNCSCTAYAYTNLTITGSPGTTASQSRCLLWVGELVDMARNNLGDNLYLRLADSPGHKKSRYVVKVVVPIIACVLMLTCIYLVWKWISKGEKRNNENQNRAMLGNFRASHEVYEQNQEFPCINFEDVVTATNNFSDSNMLGEGGFGKVYKGKLGGGKEVAVKRLSTGSTQGLEHFTNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDHFLFDPASKFILDWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKTSNILLDADMSPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPEYAMDGVFSVKSDIYSFGVILLEIVSGLKISLPQLMDFPNLLAYAWRLWKDDKTMDLVDSSIAESCSKNEEMQGKKESTVMEAATTNIFYRPVIFFSVLLCFQYRAAGVASDTLSNGRNLTDGDTLVSANGSFTLGFFSPGLPSRRYLAIWFSESADAVWVANRDSPLNDTAGVVVIDGTGGLVLLDGAAGQAAWSSNTTGSSPSVAVQLLESGNLVVRDQGSGDVLWQSFDHPSNTLIAGMRLGRNPRTGAEWSLTSWRAPDYPATGGCRRVMDTRGLADCVSWCGAGKKYRTGPWNGLWFSGVPEMASYSSMFANQVVVKPDEIAYVFTAATAAAPFSRLVLSEAGVIQRLVWDPSSKGWNTFAQAPRDVCDDYAKCGAFGLCNVNTASTLFCSCMAGFSPMFPSQWSMRETSGGCRRNAPLECGNGSTTDGFVPVRGVKLPDTDNAMVDTGATLDECRARCFANCSCVAYAAADIRGAGGGSGCVMWTGDVIDVRYVDKGQDLYLRLAKPELVNNKKRTVIKVLLPVTAACLLLLMSMFLVWLRKCRGKRQNKVVQKRMLGYLSALNELGDENLELPFVSFGDIAAATNNFSDDNMLGQGGFGKGMLGDNKEVAIKRLSKGSGQGVEEFRNEVVLIAKLQHRNLVKLLGCCIHGDEKLQIYEYLPNKSLEAFIFGTVQKHTMRSNKLHSMLTDREILLFLKKYLKIPKFYTKIFGTLRYLVSEDPASKYALDWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSYGVILLEIVSGLKISLPRLMDFPNLLAYAWSLWKDDKAMDLVDSSIAESCSKMEVLLCIHIGLLCVQDNPNNRPPMSSVVFMLENEAAALPAPIQPVYFAHRASGSKQSGGNTSSSNNNMSLTKNSPVMEAATANIFHLSLTFFFMVLLTLGTSAAGVASDTLSNGRNLTDGNTLVSAGGSFTLGFFSLGLPSRRYLAIWFSESADAVWVANRDSPLNDTAGVLVNNGAGGLVLLDGSGRAAWSSNTTGKSSSATAAQLLESGNLVVRERDQLNTGVFIWQSFDHPSNTLIAGMRLGNNRQTGDAWFLSSWRAHDDPATGDCRRVLDTRGLPDCVTWCGGAKKYRTGPWNGQWFSGVPEMASYESIFSSQVVVTPDEIAYVFTAAAAAGSPFSRLVLDEAGVTERLVWDPSSKVWIPYMKAPRGVCDDYAKCGAFGLCNEDTASTLFCSCMAGFSPVSPSRWSMRDTSGGCRRNAPLECGNGSTTDGFVTVRGVKLPDTDNATVDTGATLDECRARCLANCSCVAYAAADISGRGCVMWIGDMVDVRYVDKGQDLHVRLAKSELVNNKKRTVVKIMLPLTAACLLLLMSIFLVWLYKCRGKRHQNKVVQKRGILGYLSASNELGDENLELPFVSFGEIAAATNNFSDDNMLGQGGFGKVYKGMLDDGKEVAIKRLSKGSGQGAEEFRNEVVLIAKLQHRNLVRLLGYCIYGDEKLLIYEYLPNKSLDAFIFDHANKYVLDWPTRFKIIKGVARGLLYLHQDSRLTVIHRDLKPSNILLDVDMSPKISDFGMARIFGGNQHEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIVSCLKISLPRLTDFPNLLAYAWNLWKNDRAMDLMDSSISKSCSPTEVLLCIQIGLLCVQDNPNNRPLMSSVVSMLENETTTLSAPIQPVYFAHRAFEGRQTGENSISLLEGRTKLGLPPIAGKPLPCLLDFVPTNLGMLGGNKEVAIKRLSKHSGQGVEEFRNEVVLIAKLQHKNLVRLLGCCIHGEEKLLIYEYLPNKSLDYFLFGIFSVKSDTYSFGVLVLELISGSKISSPHLIMGFPNLIACAWSLWKNGKAEDLVDSIILQIYSLNEFLLCIHVGLLCVQEDPNARPLMSSVVAMLENEATTLPTPKQPAYFVPRNCMAGGAREDANKSVNSISLTTLQGR >ONIVA03G13340.2 pep chromosome:AWHD00000000:3:9715587:9736626:-1 gene:ONIVA03G13340 transcript:ONIVA03G13340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIINVPRSTLRSFWSSGLFGNNVNAKIFQSESNTPLESGVVLFWPGSFAVPGKSSCLACPKKKNKGQMNMAYLPVNRLISPSGKLVSKSGVFALGFFSPATSNQSLFLGIWYNNIPERTYVWVANRDNPITTPSSAMLAISNSSDLVLSDSKGRTVWTTMANVTGGDGAYAVLLDSGNLVLRLSNNATIWQSFDHPTDTILSNMKILLRYKEQVGMRLVAWKGLDDPTTGDFSCSGDPSSDLQVFVWHGTKPYYRSIVLDSVWVSGKAYGSSTSFMYQTYVNTQDEFYVIYTTSDGSPYMRIMLDYTGTFRLLSWNVNSSSWAIYSQRPAAIGDCDPYGSCGPFGYCDFTSVIPRCQCPDGFEPNGSNSSSGCRRKQQLRCGEGNHFMTMPGMKLPDKFFYVQDRSFEECAAECSRNCSCTAYAYTNLTITGSPGTTASQSRCLLWVGELVDMARNNLGDNLYLRLADSPGHKKSRYVVKVVVPIIACVLMLTCIYLVWKWISKGEKRNNENQNRAMLGNFRASHEVYEQNQEFPCINFEDVVTATNNFSDSNMLGEGGFGKVYKGKLGGGKEVAVKRLSTGSTQGLEHFTNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDHFLFDPASKFILDWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKTSNILLDADMSPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPEYAMDGVFSVKSDIYSFGVILLEIVSGLKISLPQLMDFPNLLAYAWRLWKDDKTMDLVDSSIAESCSKNEEMQGKKESTVMEAATTNIFYRPVIFFSVLLCFQYRAAGVASDTLSNGRNLTDGDTLVSANGSFTLGFFSPGLPSRRYLAIWFSESADAVWVANRDSPLNDTAGVVVIDGTGGLVLLDGAAGQAAWSSNTTGSSPSVAVQLLESGNLVVRDQGSGDVLWQSFDHPSNTLIAGMRLGRNPRTGAEWSLTSWRAPDYPATGGCRRVMDTRGLADCVSWCGAGKKYRTGPWNGLWFSGVPEMASYSSMFANQVVVKPDEIAYVFTAATAAAPFSRLVLSEAGVIQRLVWDPSSKGWNTFAQAPRDVCDDYAKCGAFGLCNVNTASTLFCSCMAGFSPMFPSQWSMRETSGGCRRNAPLECGNGSTTDGFVPVRGVKLPDTDNAMVDTGATLDECRARCFANCSCVAYAAADIRGAGGGSGCVMWTGDVIDVRYVDKGQDLYLRLAKPELVNNKKRTVIKVLLPVTAACLLLLMSMFLVWLRKCRGKRQNKVVQKRMLGYLSALNELGDENLELPFVSFGDIAAATNNFSDDNMLGQGGFGKGMLGDNKEVAIKRLSKGSGQGVEEFRNEVVLIAKLQHRNLVKLLGCCIHGDEKLQIYEYLPNKSLEAFIFGTVQKHTMRSNKLHSMLTDREILLFLKKYLKIPKFYTKIFGTLRYLVSEDPASKYALDWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKSSNILLDVDMSPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSYGVILLEIVSGLKISLPRLMDFPNLLAYAWSLWKDDKAMDLVDSSIAESCSKMEVLLCIHIGLLCVQDNPNNRPPMSSVVFMLENEAAALPAPIQPVYFAHRASGSKQSGGNTSSSNNNMSLTKNSPVMEAATANIFHLSLTFFFMVLLTLGTSAAGVASDTLSNGRNLTDGNTLVSAGGSFTLGFFSLGLPSRRYLAIWFSESADAVWVANRDSPLNDTAGVLVNNGAGGLVLLDGSGRAAWSSNTTGKSSSATAAQLLESGNLVVRERDQLNTGVFIWQSFDHPSNTLIAGMRLGNNRQTGDAWFLSSWRAHDDPATGDCRRVLDTRGLPDCVTWCGGAKKYRTGPWNGQWFSGVPEMASYESIFSSQVVVTPDEIAYVFTAAAAAGSPFSRLVLDEAGVTERLVWDPSSKVWIPYMKAPRGVCDDYAKCGAFGLCNEDTASTLFCSCMAGFSPVSPSRWSMRDTSGGCRRNAPLECGNGSTTDGFVTVRGVKLPDTDNATVDTGATLDECRARCLANCSCVAYAAADISGRGCVMWIGDMVDVRYVDKGQDLHVRLAKSELVNNKKRTVVKIMLPLTAACLLLLMSIFLVWLYKCRGKRHQNKVVQKRGILGYLSASNELGDENLELPFVSFGEIAAATNNFSDDNMLGQGGFGKVYKGMLDDGKEVAIKRLSKGSGQGAEEFRNEVVLIAKLQHRNLVRLLDHANKYVLDWPTRFKIIKGVARGLLYLHQDSRLTVIHRDLKPSNILLDVDMSPKISDFGMARIFGGNQHEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIVSCLKISLPRLTDFPNLLAYAWNLWKNDRAMDLMDSSISKSCSPTEVLLCIQIGLLCVQDNPNNRPLMSSVVSMLENETTTLSAPIQPVYFAHRAFEGRQTGENSISLLEGRTKLGLPPIAGKPLPCLLDFVPTNLGMLGGNKEVAIKRLSKHSGQGVEEFRNEVVLIAKLQHKNLVRLLGCCIHGEEKLLIYEYLPNKSLDYFLFGIFSVKSDTYSFGVLVLELISGSKISSPHLIMGFPNLIACAWSLWKNGKAEDLVDSIILQIYSLNEFLLCIHVGLLCVQEDPNARPLMSSVVAMLENEATTLPTPKQPAYFVPRNCMAGGAREDANKSVNSISLTTLQGR >ONIVA03G13330.1 pep chromosome:AWHD00000000:3:9711886:9714917:-1 gene:ONIVA03G13330 transcript:ONIVA03G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKI2] MNGMACFPLFIFSFCKCDDQLTQAKKLYPGDVLVSQNGVFALGFFSPATSNQSLFLGIWYNNIPERTYVWIANRDKPITAPSSAMLAISNSSNFVLSDLEGHTFWTTMANINTRGDRAYAVLLDSGNLVLRLPDNTTAWQSFDHPTDTLLPNKKFFLRYKAQVAMRLVAWKGPNDPSTGDFSYHSDPRSNLQAFIWHGTKPYYRFIALSLNRVLVSGEAYGSNIATLMYKSLVNTRDELYIMYTTSDGSPYTRIKLDYMGNMRFLSWNGSSSSWTVISQQPAAAGDCNLYASCGPFGYCDFTLAIPRCQCLDGFEPSDFNSSRGCRRKQQLGCGGRNHFVTMSGMKLPDKFLQVQNRSFEECMAKCSHNCSCMAYDYAYGNLTKADTMSDQSRCLLWTGDLADMARASLGDNLYLRLADSPGHTSEDKKKNRYLVMVLVTIIPCLLMLTCIYLVRKWQSKASVLLGKRRNNKNQNRMLLGNLRSQELIEQNLEFSHVNFEYVVAATNNFSDSNILGKGGFGKVYKGKLEGGREVAVKRLNTGCTQGIEHFTNEVVLIDKLQHKNLVRLLGCCIHGDEKLLIFEYLRNKSLDYFLFDDSKKPILDWQTRFNIIKGVARGLVYLHQDSRMRVIHRDLKASNILLDEEMSPKISDFGMARIFGGNQHQANTKHVVGTYGYMSPEYAMEGIFSVKSDTYSFGVLVLELISGCKISSTHLIMDFPNLIACAWSLWKDGKAEKFVDSIILECYSLNEFLLCIHVGLLCVQEDPNARPLMSSVVAMFENEATTLPTSKQPAYFVPRNCMAEGAREDANKSVNSISLTTLQGR >ONIVA03G13320.1 pep chromosome:AWHD00000000:3:9701399:9711310:1 gene:ONIVA03G13320 transcript:ONIVA03G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGCYMWANPSVAVYAGPNQTQLGRRYKTLPRGVQTTEKRAPTTEPAAGGANREGGSSATASARGGAAAASAPVLPGERASGSACPGSRLLRCCGSTLVWRPSAKRRWTFRDLNKHSRMTFIRPDFRASDESETVEVLHRAAAERHWHGPRRGNTRTNSAHQSATGTDGISRVIEALPYVGAVGNRRTKFPVSSSTAEAPVTLILVVQRMWPWESDSTMPELLVMARVADEGVVIGLSRLAIHTTLAGEKKPRAKMPPLEMSISLGKIGFPSVSWSSLEFNSLAVTSSEDQEKCEMAKS >ONIVA03G13320.2 pep chromosome:AWHD00000000:3:9701399:9711310:1 gene:ONIVA03G13320 transcript:ONIVA03G13320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGCYMWANPSVAVYAGPNQTQLGRRYKTLPRGVQTTEKRAPTTEPAAGGANREGGSSATASARGGAAAASAPVLPGERASGSACPGSRRITDSPHRGRAVLRCCGSTLVWRPSAKRRWTFRDLNKHSRMTFIRPDFRASDESETVEVLHRAAAERHWHGPRRGNTRTNSAHQSATGTDGISRVIEALPYVGAVGNRRTKFPVSSSTAEAPVTLILVVQRMWPWESDSTMPELLVMARVADEGVVIGLSRLAIHTTLAGEKKPRAKMPPLEMSISLGKIGFPSVSWSSLEFNSLAVTSSEDQEKCEMAKS >ONIVA03G13320.3 pep chromosome:AWHD00000000:3:9701399:9715534:1 gene:ONIVA03G13320 transcript:ONIVA03G13320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGCYMWANPSVAVYAGPNQTQLGRRYKTLPRGVQTTEKRAPTTEPAAGGANREGGSSATASARGGAAAASAPVLPGERASGSACPGSRLLRCCGSTLVWRPSAKRRWTFRDLNKHSRMTFIRPDFRASDESETVEVLHRAAAERHWHGPRRGNTRTNSAHQSATGTDGISRVIEALPYVGAVGNRRTKFPVSSSTAEAPVTLILVVQRMWPWESDSTMPELLVMARVADEGVVIGLSRLAIHTTLAGEKKPRAKMPPLEMSISLGKIGFPSVSWGRNVEHTRRVG >ONIVA03G13320.4 pep chromosome:AWHD00000000:3:9701399:9711310:1 gene:ONIVA03G13320 transcript:ONIVA03G13320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGCYMWANPSVAVYAGPNQTQLGRRYKTLPRGVQTTEKRAPTTEPAAGGANREGGSSATASARGGAAAASAPVLPGERASGSACPGSRLLRCCGSTLRPDFRASDESETVEVLHRAAAERHWHGPRRGNTRTNSAHQSATGTDGISRVIEALPYVGAVGNRRTKFPVSSSTAEAPVTLILVVQRMWPWESDSTMPELLVMARVADEGVVIGLSRLAIHTTLAGEKKPRAKMPPLEMSISLGKIGFPSVSWSSLEFNSLAVTSSEDQEKCEMAKS >ONIVA03G13320.5 pep chromosome:AWHD00000000:3:9701399:9711310:1 gene:ONIVA03G13320 transcript:ONIVA03G13320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGCYMWANPSVAVYAGPNQTQLGRRYKTLPRGVQTTEKRAPTTEPAAGGANREGGSSATASARGGAAAASAPVLPGERASGSACPGSRRITDSPHRGRAVLRCCGSTLRPDFRASDESETVEVLHRAAAERHWHGPRRGNTRTNSAHQSATGTDGISRVIEALPYVGAVGNRRTKFPVSSSTAEAPVTLILVVQRMWPWESDSTMPELLVMARVADEGVVIGLSRLAIHTTLAGEKKPRAKMPPLEMSISLGKIGFPSVSWSSLEFNSLAVTSSEDQEKCEMAKS >ONIVA03G13310.1 pep chromosome:AWHD00000000:3:9696425:9700364:1 gene:ONIVA03G13310 transcript:ONIVA03G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVANEGVVIGLSRLATQTYVRSDSEMLWYHMPRKKLWLEAVGEKKPSAKTLPLEMSTSPGEISRFACVSWSSPLQEEMRNSKEMKTGRQAIIW >ONIVA03G13310.2 pep chromosome:AWHD00000000:3:9696425:9698820:1 gene:ONIVA03G13310 transcript:ONIVA03G13310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRSRLGCFPSGGAPMEGWGLVPFRLGLGLSMNKAAPACFAALDNAAADALQSSNSSPKSPIK >ONIVA03G13310.3 pep chromosome:AWHD00000000:3:9696425:9700396:1 gene:ONIVA03G13310 transcript:ONIVA03G13310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRSRLGCFPSGGAPMEGWGLVPFRLGLGLSMNKAAPACFAALDNAAADALQSSNSSPYGGPTK >ONIVA03G13300.1 pep chromosome:AWHD00000000:3:9691857:9711156:-1 gene:ONIVA03G13300 transcript:ONIVA03G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFLMFLLLLSIPLCKTDDQLTLGKPIFPSEMLISKGGIFALGFFSPANFSNSLYVGVWFHNIPQRTVLRHGAVGLPRPHSLDNQDQRHRSLRRAARHGELRSPVANGTDIWQSFDHPTDTILAGMMFLMSYKSEIVGRLTAWRSHDDPSTGDFSFSLDPSSDLQGMTWNGTKPYCRNGVRTSVTVSGAQYPSNSSLFMYQTLIDSGNKLYYSYTVSDSSIYTRLTLDSTGTMMFLSWDNSSSSWMLIFQRPAAGSCEVYGSCGPFGYCDFTGAVPACRCLDGFEPVDPSVSQSGCRRKEELRCGEGGHRFVSLPDMKVPDKFLQIRNRSFDQCAAECSSNCSCKAYAYANLSSGGTMADPSRCLVWTGELVDSEKKASLGENLYLRLAEPPVGKKNRLLKIVVPITVCMLLLTCIVLTWICKHRGKQNKEIQKRLMLEYPGTSNELGGENVKFPFISFGDIVAATDNFCESNLLGRGGFGKVYKRFPIYIDDNMKGILEGGTEVAVKRLNEGSGQGIEEFRNEVVLIAKLQHRNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDATRKYVLDWPTRFKIIKGIAKGLLYLHQDSRLTIIHRDLKASNILLDTEMNPKISDFGIARIFHGNQQQANTTRVVGTYGYMSPEYVLGGAFSVKSDTYSFGVLLLEIVSGLKISSSKLTPNFFSLTAYAWRLWKDGNATELLDKFFVDSYPLHEAFSFCQSDDRLTPAKPLIFPGGDKLISDGGVFAVGFFSLTTTNSTPSLLYLGIWYNNIPERTYVWVANRDNPITTHTARLAVTNTSGLVLSDSKGTTANTVTIGGGGATAVLQNTGNFVLRLPDHPTDTILPGLPGFKLWTNYKNHEAVRVVAWRGRRDPSTCEFSLSGDPDQWGLQIVIWHGASGAAVSGTARRPPASPGHGCLHYGACGPFGYCDITGSFQECKCLDGFEPADGFSLNSSRGCRRKEELRCGGQDHFFTLPGMKVPDKFLYIRNRTFEECADECDRNCSCTAYAYANLRTILTTGDPSRCLVWMGELLDSEKAGAVGENLYLRLAGSPAVNNKNIVKIVLPAIACLLILTACSCVVLCKCESRGIRRNKEVLKKTELGYLSAFHDSWDQNLEFPDISYEDLTSATNGFHETNMLGKDHAMKSVIDWQTRFNIIKGVARGLLYLHQDSRMMIIHRDLKTSNILLDAEMNPKISDFGMARIFGNSEQQAWNLWKDGMAEAFVDKMVLESCLLNEVLQCIHIGLLWPPYSLLERCQGNCLQNSRSLFTRGADKSRSGVTSLAHRTKFTVQKRVVYGLVAKIKGANCSRFIATGEPRTRPYEIYQIMACLPVFISLLFLISSCKGDDQLTQANRLISPGDVLISKGRVFALGFFSPTASNQSFFLGIWYHNISESERTYVWVANRDNPITTPSFATLAISNSSNLVLSDSGNHTLWTTNVTATGGDGAYAALLDSGNLVLRLPNGTTIWQSFDHPTDTLLMGMRFLVSYKAQVAMRCIAWKGPDDPSTGDFSISGDPSSNLQIFLWNGTRPYIRFIGFGPSSMWSSVFSFSTSLIYETSVSTDDEFYIIYTTSDGSPYKRLQLDYTGTLKFLAWNDSASSWTVVVQRPSPTIVCDPYASCGPFGYCDATAAIPRCQCLDGFEPDGSNSSSRGCRRKQQLRCRGRDDRFVTMAGMKVPDKFLHVRNRSFDECAAECSRNCSCTAYAYANLTGADQARCLLWSGELADTGRANIGENLYLRLADSTVNKKKSDILKIVLPVITSLLILMCICLAWICKSRGIHRSKEIQKKHRLQHLKDSSELENDNLELPFICLEDIVTATNNFSDHNMLGKGGFGKVYKGVLEGGKEVAVKRLSKGSQQGVEEFRNEVVLIAKLQHRNLVRLISYCIHEDEKLLIYEYLPNKSLDTFLFDAKRKSVLDWTTRFMIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDTNMSPKISDFGMARIFEGNKQQENTTRVVGTYGYMSPEYALEGSFSVKSDTYSFGVLLLELAWSLWKDGNAMDLVDSSIRESCLLHEVLRCIQIALSCVQDDPTARPLMSSIVFMLENETAALPTPKEPAYLTAMVYGTKDTRENKERSVNNLTPAKPLHPGDMLISDGGVFALGFFSPTKSNATLYVGIWYHKIPNRTVVWVANRDNPITAPSSAMLFISNSSDLVLSESGGRTLWEARNNITTGGSGATVVLLNSGNLVLRSPNHTILWQSFDHLTDTILPGMKLLLKYNGQVAQRIVSWKGPDDPSTGNFSLSGDPNSDFQVLVWNGTSPYWRSGAWNGALVSAMFQSNTSSVTYQTIINKGNEIYMMYSVSDDSPSMRLMLDYTGTIKMLIWNSNLFAWSVLFSNPSYTCERYASCGPFGYCDAAEAFPTCKCLDGFKPDGLNISRGCVRKEQMKCSYGDSFLTLPGMKTPDKFLYIRNRSLDECMEECRHNCSCTAYAYANLSTASMMGDTSRCLVWMGELLDLAKVTGGGENLYLRLPSPTAVKKETDVVKIVLPVVASLLILTCICLVWICKSRGKQRSKEIQNKIMVQYLSASNELGAEDVDFPFIGFEEVVIATNNFSSYNMLGKGGFGKVYKGILEGGKEVAVKRLSKGSGQGIEEFRNEVVLIARLQHRNLVKLVGCCIHEDEKLLIYEYLPNKSLDAFLFDATRKTVLDWPNRFKIIKGVARGLLYLHQDSRLTIIHRDLKAGNILLDAEMSPKISDFGMARIFGGNQQQANTTRVVGTYGYMSPEYAMEGIFSVKSDIYSFGILLLEIISGFRISSPHLIMGFPNLIAYSWSLWKDGNARDLVDSSVVESCPLHEVLRCIHIALLCIQDHPDDRPLMSSVVFMLENNTAPLPQPKQPIFFVHKKRATEYARENMENSVNGVSITALEGR >ONIVA03G13290.1 pep chromosome:AWHD00000000:3:9685431:9688499:1 gene:ONIVA03G13290 transcript:ONIVA03G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHQMMHAAPAAMYNGGGGGGTTSSHGVWWSNAVGVPAAATCSTTTELAGYTAWSSALAAGYDGMVADNGGKQAKSTTTASSESPGNNSSVTFQEPASIPDPAAVAAVPQPGLAGFTDWTQPFMNNGAGLHEFLQDGHHDMSASSLMNHSSNNLALQQAGHHHELLSSFGSDLLLSPTSPYGGFQSSLLRSLMEPTAKQQQQQPALAGLQQYHQYQQQMGHTPTAAAKFAQAVGARDSLQFTNDAPFWNPSAGFGMPAAVAVAAAAVQDQASVRSAKRSSPAPPRAAATLALKTAMEGVGDSSSVITKKETAFKKPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNGAHQVPHLKNSSPDKSKHGEIPLKGRGLCLVPISSTFAVASEVPVELWTPFGANFIR >ONIVA03G13280.1 pep chromosome:AWHD00000000:3:9675046:9677157:-1 gene:ONIVA03G13280 transcript:ONIVA03G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVFRLLPLQPFLALAATVAAAASRIDHTLNSSNAVPYDGTRYEQVSGSPVFCEAKLRGPARNDPLQPWDRISQCDMIRPLITLWPTDNLWANPDQAKPGKERSSTAVGSIHTEMIRAVNHALAHRSCVINQA >ONIVA03G13270.1 pep chromosome:AWHD00000000:3:9671009:9673682:1 gene:ONIVA03G13270 transcript:ONIVA03G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 9 [Source:Projected from Arabidopsis thaliana (AT4G03210) TAIR;Acc:AT4G03210] MASLAVVVVVVMVVCAATAGVAAAGKFDDVVEPSWANDHVVYEGDLLKLRLDSSSGGGFASKSKFLYGKATADLKLVAGDSAGVVTAFYLSSGGDKHNEFDFEFLGNVTGEPYLVQTNLYIDGVGNREQRIDLWFDPTADFHTYAVLWNPSQVVFLVDDTPIRVYENKNATAVVKGHHRHAAAANATSNATSASAPPFPSPQPMSVYSSIWNADDWATQGGRVKTDWSHAPFVATFRDVRVEGCAWAANATDSDAGEVARCTGSSWGKEGRYWWKEKDMEELTVHQSHQLVWARAHHLVYDYCVDTDRFPVQPPECAGR >ONIVA03G13260.1 pep chromosome:AWHD00000000:3:9667880:9668119:-1 gene:ONIVA03G13260 transcript:ONIVA03G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLAMAGKWMHGEEAGYVVASISEFLQMATCVSSGHAFRIGMGGRSRSILGWLFS >ONIVA03G13250.1 pep chromosome:AWHD00000000:3:9663952:9667714:1 gene:ONIVA03G13250 transcript:ONIVA03G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:organic cation/carnitine transporter4 [Source:Projected from Arabidopsis thaliana (AT3G20660) TAIR;Acc:AT3G20660] MSTEALLAGGGGGGGGGEVKRRVSIDDALAWHAGEFGRWQLRHFVLVSSAWLLEALHTMVIIFADREPAMVCAAGDGRCGDRCAGAGAAAGTGWEWASGRASSTVSEWGLVCGERYKVGLVQAIFFAGCMIGAGVFGHLSDSFLGRKGSLQVVCVLNGVFGMLTAMAPNYWAYAALRLLTGFSAGSVGLCSFVLATEPVGPSRRGAAGMSTFYFFSGGIAALAGIAALFPTSWRMLYVVTSLPSLVFVVAVLPFVSESPRWYLVRRRADDAMRVVRAIASSNGRSIPDDVSLKLDDEGDDDNGAGAGKVVDSSASASGSIIDVFRSRTTRFRLVLSVVINLLASVVYYGLSLNVVNLKTNLYVSVLVNSLAEMPAYLLTALLLDRFGRKPLAIGTMLLSGISCSAGSLIAGAGAMRVARLACGVVGIFGMAATYNLLFIYTAELFPTAVRNAALGCTSQASQMGAILAPLVVVLGERVPFALFGVSGLVGGFLVFCLPETKNKPMYDTMAGLEEGEKTLLK >ONIVA03G13240.1 pep chromosome:AWHD00000000:3:9661078:9661888:1 gene:ONIVA03G13240 transcript:ONIVA03G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAACKFAEENNISLITVFPVFTLGAAPTPTAATSVSAMLSLLSGDEMQLKTLKGLAATGPIPTVHVDDLCRAEVFVAEKESASGRYICSSLSTTVVAFTRFVAGKHPRYNVKTDGFQGFPEKPRVCYSSEKLVREGFEFKWTDLDEVFDDLIEYGKVLGILPQ >ONIVA03G13230.1 pep chromosome:AWHD00000000:3:9660183:9660371:1 gene:ONIVA03G13230 transcript:ONIVA03G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRSCVRAGTVKRVILTSSAPAVSGRPLQGDGHVLDEDSWSDVEYLTKEKPPAWVMFYLF >ONIVA03G13220.1 pep chromosome:AWHD00000000:3:9646638:9658965:-1 gene:ONIVA03G13220 transcript:ONIVA03G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDARIKIASSRKSASVSRRQRLSFASDMGLLGLSTSDQDDSSRTWPTPPCSGRRATAAADDVRITRFTVPALRHDLITFRVEGGGRGSIATPNLLAIDPH >ONIVA03G13210.1 pep chromosome:AWHD00000000:3:9644126:9646526:-1 gene:ONIVA03G13210 transcript:ONIVA03G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAETSAKVGAPRRWSLQGKTALVTGGTRGIGRAVVEELAALGATVHTCSRKEEELSERLKEWEARGFRVTTSVCDLSVRDQRERLLRQVADLFGGKLDILVNNVGTNIRKPTTEFSAEEYSFMMATNLESAYHLCQLSHPLLKASGSGSIVFISSVCGLVAVFSGSLYAMTKGAINQLTKNLACEWARDNIRSNSIAPWYIRTSLTEGLLANKDFEGAVVSRTPLRRVGEPEEVSSLVAFLCMPGSSYITGQTISVDGGMTINGLYPS >ONIVA03G13200.1 pep chromosome:AWHD00000000:3:9638851:9639444:-1 gene:ONIVA03G13200 transcript:ONIVA03G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAHASPVLVVVLLLRPHHPCHLRRRAALAAQPIGDGGAALRLAADMVPAAGGAAGARPPLGVGDGGGRDEEVDLAALQLRLRRHDVYPAHRPLLRRALPAQHVVGVRRQHLAGGHGMAVRVDTAGSVSRVSLRIAAAGEETRRGEAAAQLLGGGTGEARRGGDAVVVGGAGVTAASLLDFSRSVTRLKRREERV >ONIVA03G13190.1 pep chromosome:AWHD00000000:3:9630344:9632916:-1 gene:ONIVA03G13190 transcript:ONIVA03G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETSGTVAAPGRWSLHGKMALVTGGTRGIGRAVVEELAALGAAVHTCSRNEAELGERLKEWEARGFRVTISVCDLSARDQRERLIGDVADRFGGKLDILVNNVGTNIRKPTTEYSADEYSFLMATNLESAYHLCQLGHPLLKASGSGSIVFISSVAGIVALFSGTIYAMTKGAMNQLTKNLACEWAKDNIRTNCVAPGYILTSLSEGILANKEFEGSVKSRTPLRRVGEPAEISSLVAFLCMPGSTYITGQTIAVDGGMTVNGLYPS >ONIVA03G13180.1 pep chromosome:AWHD00000000:3:9624464:9653774:1 gene:ONIVA03G13180 transcript:ONIVA03G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVERKTACVTGGNGYIASAHMRICCQDDDDMAKNSHLKDLQALGPLKVFRADIGEEGSLDDAVAGCDYAFLVAAPMNFNSENPEKDLVEAAVNGTLNAMRSCAKAGTVKRVIITSSDAAISRRPLQGDGHVLDEESWSDVDYLRTEKPLAWAYCVSKVLLEKAACKFAEENNTSLVTVFPVFTLGAAPAPVARTSVPGILSLLSVLKPLQSFTGYVSIVHVDDLCRAEIFLAEKEESSTAAARYICCSFNTTVLALARFMAGRYPQYNVKTDRFDGMPEKLRVCCSSEKLIREGFEFKYTNLGDILDDLVDSSSSSTPPGAGAQPNSFSSVKTGSGVGWSSLTKCTRVMELGLGSSTTPLQTQLLELYIGVGAVPNRPSVRRYPQRNPRYRAGRIDAYGHAVSAGEVLAAHPNHVLSRQCSSQQGAVGRIHIVSSESKLERGEIYFLIPAASVPDAKRRTSTGSAAGRGHHVRSKSEGSAAVADWLGSQGSASPEMTRMMRAQKQHHHQHRRRMSTGSHASPWQPHLSDRSTTTMSAVERKTACVTGGNGYIASALIKMLLEKGYAVNTTVRNPDDMAKNSHLKDLQALGPLKVFRADMDEEGSFDDAIAGCDYAFLVAAPMNFNSENPEFXAAVNGTLNAMRSCAKVGTVKRVIITSSDAAISRRPLQGDGYVLDEESWSDVDYLRTEKPPAWAYSVSKVLLEKAACKFAEENNMSLVTVFPVFTLGAAPAPVARTSVPGILSLLSGDETHLEVLKPLQWVTGSVSIVHVDDLCRAEIFLAEKEESSTAAARYICCSFNTTVLALARFMAGRYPQYNVKTDRFDGMPEKPRVCCSSEKLIREGFEFKYTNMGDILDDLEDVVEPGGDEVAGGTAMSAVGMKTACVTGGNGYIASALIKMLLQKGYAVNTTNSHLKGLEALGTLKVFRADLDEDGSFDEAVNGCDYAFLVAAPVNLQSENPEKEMIEAGVQGTLNVMRSCLRAGTVKRVILTSSAAAVALRPLQGGVGHVLDESSWSDVDYLTREKPPSWAYGVSKVLLEKAACKFAEENDISLITVLPVFTLGAAPTPLTTTSIPTTLSLLSGDEAQLKTLKGLAATGSIPVVHVDDVCRAEIFLAEKESASGRYICSSLSTTVMALARFAAAKYPQYNVQTDCFEGFPEKPRVCYSSEKLTREGFEFKWTDLDEIFGDLVEYGKALGILPH >ONIVA03G13180.2 pep chromosome:AWHD00000000:3:9642527:9653774:1 gene:ONIVA03G13180 transcript:ONIVA03G13180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMHEKPAVNGTLNAMRSCAKVGTVKRVIITSSDAAISRRPLQGDGYVLDEESWSDVDYLRTEKPPAWAYSVSKVLLEKAACKFAEENNMSLVTVFPVFTLGAAPAPVARTSVPGILSLLSGDETHLEVLKPLQWVTGSVSIVHVDDLCRAEIFLAEKEESSTAAARYICCSFNTTVLALARFMAGRYPQYNVKTDRFDGMPEKPRVCCSSEKLIREGFEFKYTNMGDILDDLEDVVEPGGDEVAGGTAMSAVGMKTACVTGGNGYIASALIKMLLQKGYAVNTTNSHLKGLEALGTLKVFRADLDEDGSFDEAVNGCDYAFLVAAPVNLQSENPEKEMIEAGVQGTLNVMRSCLRAGTVKRVILTSSAAAVALRPLQGGVGHVLDESSWSDVDYLTREKPPSWVTFYSFLFWSSIKSFIYIFYRADENDGWLMLAHPIAYGVSKVLLEKAACKFAEENDISLITVLPVFTLGAAPTPLTTTSIPTTLSLLSGDEAQLKTLKGLAATGSIPVVHVDDVCRAEIFLAEKESASGRYICSSLSTTVMALARFAAAKYPQYNVQTDCFEGFPEKPRVCYSSEKLTREGFEFKWTDLDEIFGDLVEYGKALGILPH >ONIVA03G13180.3 pep chromosome:AWHD00000000:3:9642527:9653774:1 gene:ONIVA03G13180 transcript:ONIVA03G13180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIMHEKPAVNGTLNAMRSCAKVGTVKRVIITSSDAAISRRPLQGDGYVLDEESWSDVDYLRTEKPPAWAYSVSKVLLEKAACKFAEENNMSLVTVFPVFTLGAAPAPVARTSVPGILSLLSGDETHLEVLKPLQWVTGSVSIVHVDDLCRAEIFLAEKEESSTAAARYICCSFNTTVLALARFMAGRYPQYNVKTDRFDGMPEKPRVCCSSEKLIREGFEFKYTNMGDILDDLEDVVEPGGDEVAGGTAMSAVGMKTACVTGGNGYIASALIKMLLQKGYAVNTTNSHLKGLEALGTLKVFRADLDEDGSFDEAVNGCDYAFLVAAPVNLQSENPEKEMIEAGVQGTLNVMRSCLRAGTVKRVILTSSAAAVALRPLQGGVGHVLDESSWSDVDYLTREKPPSWAYGVSKVLLEKAACKFAEENDISLITVLPVFTLGAAPTPLTTTSIPTTLSLLSGDEAQLKTLKGLAATGSIPVVHVDDVCRAEIFLAEKESASGRYICSSLSTTVMALARFAAAKYPQYNVQTDCFEGFPEKPRVCYSSEKLTREGFEFKWTDLDEIFGDLVEYGKALGILPH >ONIVA03G13180.4 pep chromosome:AWHD00000000:3:9624464:9642237:1 gene:ONIVA03G13180 transcript:ONIVA03G13180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVERKTACVTGGNGYIASAHMRICCQDDDDMAKNSHLKDLQALGPLKVFRADIGEEGSLDDAVAGCDYAFLVAAPMNFNSENPEKDLVEAAVNGTLNAMRSCAKAGTVKRVIITSSDAAISRRPLQGDGHVLDEESWSDVDYLRTEKPLAWAYCVSKVLLEKAACKFAEENNTSLVTVFPVFTLGAAPAPVARTSVPGILSLLSVLKPLQSFTGYVSIVHVDDLCRAEIFLAEKEESSTAAARYICCSFNTTVLALARFMAGRYPQYNVKTDRFDGMPEKLRVCCSSEKLIREGFEFKYTNLGDILDDLVDSSSSSTPPGAGAQPNSFSSVKTGSGVGWSSLTKCTRVMELGLGSSTTPLQTQLLELYIGVGAVPNRPSVRRYPQRNPRYRAGRIDAYGHAVSAGEVLAAHPNHVLSRQCSSQQGAVGRIHIVSSESKLERGEIYFLIPAASVPDAKRRTSTGSAAGRGHHVRSKSEGSAAVADWLGSQGSASPEMTRMMRAQKQHHHQHRRRMSTGSHASPWQPHLSDRSTTTMSAVERKTACVTGGNGYIASALIKMLLEKGYAVNTTVRNPDDMAKNSHLKDLQALGPLKVFRADMDEEGSFDDAIAGCDYAFLVAAPMNFNSENPEVQF >ONIVA03G13170.1 pep chromosome:AWHD00000000:3:9613888:9614169:-1 gene:ONIVA03G13170 transcript:ONIVA03G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLASGNATTMAAVSGDDDHDEMGIWGTTLQAFPLQAHFQPVEPHIRLPARLGSDMEP >ONIVA03G13160.1 pep chromosome:AWHD00000000:3:9609546:9611118:-1 gene:ONIVA03G13160 transcript:ONIVA03G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEVVAGSSSEGKGPECDTGSRAARRRRMEIRRLRVVAERGAEEETSGKRRRLDGGGGEASTDEEDREVERARYGFTSVCGRRRDMEDSVSACPGFLPGHHFFGVFDGHGCSHVATSCGQRMHEIVVDEAGAAAGSAGLDEEARWRGVMERSFARMDAEAVASSRGSVAPAPTCRCEMQLPKCDHVGSTAVVAVLGPRHVVVANCGDSRAVLCRGGAAIPLSCDHKPDRPDELERIHAAGGRVIFWDGARVFGMLAMSRAIGDSYLKPYVICDPEVRVMERKDGEDEFLILASDGLWDVVSNEVACNVVRACLRSSGRRERNRSSPTSNLSPRQSSSSGDEAPNDGAPSAAAAAAGSESDEESAAEEDKACAEASVLLTKLALARQTSDNVSVVVVNLRRRKL >ONIVA03G13150.1 pep chromosome:AWHD00000000:3:9604419:9604955:-1 gene:ONIVA03G13150 transcript:ONIVA03G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFVYRAIVHKRDGHRAIGNPFLNDDPAAAATAYKRLATCDSGTYSRPATTVDAPFLGGAVVTLLGLVFPKGKIYCVKVVYWLLCGDCAPCATGKPYRSSFQISW >ONIVA03G13140.1 pep chromosome:AWHD00000000:3:9599108:9600537:-1 gene:ONIVA03G13140 transcript:ONIVA03G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWQVATSQGLIRIETCVKHVNFMHLLEGREAIGGGIKSARVAGSRSATRPTLSSSSSSIIFPPLSLSLSLTSSFSIPATPARPLSSARRKFFGCLKGEEELS >ONIVA03G13130.1 pep chromosome:AWHD00000000:3:9597084:9599094:-1 gene:ONIVA03G13130 transcript:ONIVA03G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFGNKPMILHQIEALKEVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALARDKLADGSGDPFFVLNSDVISEYPFAELIQFHKSHGGEATIMVTKVDEPSKYGVVVMEEETDKVERFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADNGLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPAKLASGAHVLGNVLVHETAVIGEGCLIGPDVAVGPGCVVEAGVRLSRCTVMRGARVKKHACISSSIIGWHSTVGMWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >ONIVA03G13120.1 pep chromosome:AWHD00000000:3:9590333:9593552:-1 gene:ONIVA03G13120 transcript:ONIVA03G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASGDGNLHTQRPNLKRHPLHGTRLPLLPPLRLLPSTSAAAPIAQLCAVGVVSVPMARKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKAGDWEVTLVVPWLSKGDQLLVYPNKMKFSVPGEQEGYVRRWLEERIGLLPKFEIKFYPGKFSTEKRSILPAGDITQTVSDDKADIAVLEEPEHLTWYHHGRRWKNKFRKVIGVVHTNYLEYVKRERNGYIHAFLLKHINSWVTDIYCHKVIRLSAATQEVPRSIVCNVHGVNPKFIEIGKLKHQQISQREQAFFKGAYYIGKMVWSKGYTELLQLLQKHQKELSGLKMELYGSGEDSDEVKASAEKLNLDVRVYPGRDHGDSIFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYNTEKEFVRLTMKALAEEPIPLSEELRHELSWEAATERFVRVADIAPIMSIKQHSPSPQYFMYISPDELKKNMEEASAFFHNAISGFETARCVFGAIPNTLQPDEQQCKELGWRLQE >ONIVA03G13110.1 pep chromosome:AWHD00000000:3:9583742:9588986:-1 gene:ONIVA03G13110 transcript:ONIVA03G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAGSGGGGGGGSGVRRVGDYVLVRQIGSGAYARVWLGKHRTRGTEVALKEIAVERLSSKLRESLLSEVDILRRIRHPNVIALHESIRDGGKIYLVLEYCRGGDLHSYLQQHKRMLRENNVVHRDLKPQNILLVANNENSLLKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGIILYQLVTGSPPFTGDSQIQLLRNILNTREMRFPSDCDLSHGCIDLCRKLLRINSVERLTVEEFVNHPFLAEHALERTLSRTPSDIRDGFPFINSSPTRPSSQSSQEDCMPFPLDDESTGQDEGPVSDSKSAIKSYGFATSKRLDKTSGQSPTKHASLVSKYIRGNNYASSSQRLDHPRRIKENKGDEGHNPKGGYPEDSPIIDSLEFVDQEYVFVHPEGSSSSMNDSRQRTMPSKLDSSSLSPPKLLTAVSAPRPIHGMAINRQQSGGTGSLDSHCSPVSGTSQGSADLNDAMDQPPSDCLTRVRLLEQYASTIAELVKEKIKDAKHLEGFSIQLVVLATWKQAIYICTSYASSATRESPSHDVTAKGFGSNAPHLLANSQLLYDTCMEIESQFLVQMEYAEELANTIGQTIDATEMPDAIEIIFQTALNLGRHGGVDEMMGKSASAMVLYSKAVSMLRFLLTEAPSLALNPALSLTRDDRRRLRTYIEAVNARLVPLQYQRH >ONIVA03G13110.2 pep chromosome:AWHD00000000:3:9583742:9588986:-1 gene:ONIVA03G13110 transcript:ONIVA03G13110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAGSGGGGGGGSGVRRVGDYVLVRQIGSGAYARVWLGKHRTRGTEVALKEIAVERLSSKLRESLLSEVDILRRIRHPNVIALHESIRDGGKIYLVLEYCRGGDLHSYLQQHKRVSETVAKHFIQQLGKHFLRTSMLRENNVVHRDLKPQNILLVANNENSLLKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGIILYQLVTGSPPFTGDSQIQLLRNILNTREMRFPSDCDLSHGCIDLCRKLLRINSVERLTVEEFVNHPFLAEHALERTLSRTPSDIRDGFPFINSSPTRPSSQSSQEDCMPFPLDDESTGQDEGPVSDSKSAIKSYGFATSKRLDKTSGQSPTKHASLVSKYIRGNNYASSSQRLDHPRRIKENKGDEGHNPKGGYPEDSPIIDSLEFVDQEYVFVHPEGSSSSMNDSRQRTMPSKLDSSSLSPPKLLTAVSAPRPIHGMAINRQQSGGTGSLDSHCSPVSGTSQGSADLNDAMDQPPSDCLTRVRLLEQYASTIAELVKEKIKDAKHLEGFSIQLVVLATWKQAIYICTSYASSATRESPSHDVTAKGFGSNAPHLLANSQLLYDTCMEIESQFLVQMEYAEELANTIGQTIDATEMPDAIEIIFQTALNLGRHGGVDEMMGKSASAMVLYSKAVSMLRFLLTEAPSLALNPALSLTRDDRRRLRTYIEAVNARLVPLQYQRH >ONIVA03G13110.3 pep chromosome:AWHD00000000:3:9583742:9588986:-1 gene:ONIVA03G13110 transcript:ONIVA03G13110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAGSGGGGGGGSGVRRVGDYVLVRQIGSGAYARVWLGKHRTRGTEVALKEIAVERLSSKLRESLLSEVDILRRIRHPNVIALHESIRMPQAKENSLGLTFIWVDGGKIYLVLEYCRGGDLHSYLQQHKRVSETVAKHFIQQLGKHFLRTSMLRENNVVHRDLKPQNILLVANNENSLLKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKVRAFFCFLVSFIMYFYLFLTLERLTVEEFVNHPFLAEHALERTLSRTPSDIRDGFPFINSSPTRPSSQSSQEDCMPFPLDDESTGQDEGPVSDSKSAIKSYGFATSKRLDKTSGQSPTKHASLVSKYIRGNNYASSSQRLDHPRRIKENKGDEGHNPKGGYPEDSPIIDSLEFVDQEYVFVHPEGSSSSMNDSRQRTMPSKLDSSSLSPPKLLTAVSAPRPIHGMAINRQQSGGTGSLDSHCSPVSGTSQGSADLNDAMDQPPSDCLTRVRLLEQYASTIAELVKEKIKDAKHLEGFSIQLVVLATWKQAIYICTSYASSATRESPSHDVTAKGFGSNAPHLLANSQLLYDTCMEIESQFLVQMEYAEELANTIGQTIDATEMPDAIEIIFQTALNLGRHGGVDEMMGKSASAMVLYSKAVSMLRFLLTEAPSLALNPALSLTRDDRRRLRTYIEAVNARLVPLQYQRH >ONIVA03G13100.1 pep chromosome:AWHD00000000:3:9579561:9582633:-1 gene:ONIVA03G13100 transcript:ONIVA03G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT5G59210) TAIR;Acc:AT5G59210] MEANHASRGRRTLEEIRQKRAAERMQQQHNPAAAASLVDPYGNPGAGAELLGRVRELENGNIALERENQMLLSKIAEKEVEKDSLVNRLNDLERNIVPSLKKAVNDISLEKDAAAVAKEDALAQLRSMKKRLKEAEEEQYRAEEDSASLRAQLNTLQQQVMSNSYTGFPVGVSNEHILAMEKEVENLQAQLKQESLLRQQEQQKLSEESLLRQQEQQKLTGEQSRAASLVAEKKELEEKIAALTKKASDEASEFAARKAFSMEDREKLESQLHDMALMVERLEGSRQKLLMEIDSQSSEIEKLFEENSALSTSYQEAVAVTMQWENQVKDCLKQNEELRSHLEKLRLEQATLLKTSNTTIQPDGQNETSISFPPEFVTENLSLKDQLIKEQSRSEGLSAEIMKLSAELRKAVQAQNNLARLYRPVLRGIESNLMKMKQETYATIQ >ONIVA03G13090.1 pep chromosome:AWHD00000000:3:9571570:9577609:1 gene:ONIVA03G13090 transcript:ONIVA03G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKE5] MAAAPGAGGQGGGGMDAVLLDDIIRRLLEVRTARPGKQVQLSESEIRQLCTVSREIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLTHLDEIKSLPRPTDVPDTGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKGKFMASNKM >ONIVA03G13090.2 pep chromosome:AWHD00000000:3:9571570:9577680:1 gene:ONIVA03G13090 transcript:ONIVA03G13090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKE5] MAAAPGAGGQGGGGMDAVLLDDIIRRLLEVRTARPGKQVQLSESEIRQLCTVSREIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNSLPRPTDVPDTGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKGKFMASNKM >ONIVA03G13090.3 pep chromosome:AWHD00000000:3:9571570:9577680:1 gene:ONIVA03G13090 transcript:ONIVA03G13090.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GKE5] MAAAPGAGGQGGGGMDAVLLDDIIRRLLEVRTARPGKQVQLSESEIRQLCTVSREIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLTHLDEIKSLPRPTDVPDTGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKGKFMASNKM >ONIVA03G13080.1 pep chromosome:AWHD00000000:3:9557918:9562679:-1 gene:ONIVA03G13080 transcript:ONIVA03G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related protein 2 [Source:Projected from Arabidopsis thaliana (AT2G39830) TAIR;Acc:AT2G39830] MAYSSRSCDQCSHGSVRWDVALHISVLAMSEILLCIVPEALNLSERRSGFMKWLCAFLKGTKDGEANRRRPRVTAGEETTLWEEPVRPKKEEPPRHNNEEMDHALALALADDAKNTKERNHDKGENDEELARAIQDSLNMNPYQPYNPCAPSQIQARSRGYRVCGGCKHEIGHGHYLSCMGMYWHPQCFRCSSCRHPIRETEFTLLGTDPYHKLCYKELHHPKCDVCLQFIPTNRTGLIEYRAHPFWGQKYCPLHEHDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLDSAIMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGESKGPHHMPETRGLCLSEEQTVTSILRRPRIGANRLLDMKTQPQKLTRRCEVTAILVLFGLPRLLTGSILAHELMHGWLRLKGYRNLKAEIEEGICQVMSYLWLESEILPSTSRYGQASTSYASSSSSSYRPPPSKKGGISHTEKKLGEFFLHQIANDTSSAYGDGFRAAYAAVNKYGLRQSLNHIRLTGGFPV >ONIVA03G13080.2 pep chromosome:AWHD00000000:3:9557918:9562679:-1 gene:ONIVA03G13080 transcript:ONIVA03G13080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related protein 2 [Source:Projected from Arabidopsis thaliana (AT2G39830) TAIR;Acc:AT2G39830] MAYSSRSCDQCSHERRSGFMKWLCAFLKGTKDGEANRRRPRVTAGEETTLWEEPVRPKKEEPPRHNNEEMDHALALALADDAKNTKERNHDKGENDEELARAIQDSLNMNPYQPYNPCAPSQIQARSRGYRVCGGCKHEIGHGHYLSCMGMYWHPQCFRCSSCRHPIRETEFTLLGTDPYHKLCYKELHHPKCDVCLQFIPTNRTGLIEYRAHPFWGQKYCPLHEHDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLDSAIMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGESKGPHHMPETRGLCLSEEQTVTSILRRPRIGANRLLDMKTQPQKLTRRCEVTAILVLFGLPRLLTGSILAHELMHGWLRLKGYRNLKAEIEEGICQVMSYLWLESEILPSTSRYGQASTSYASSSSSSYRPPPSKKGGISHTEKKLGEFFLHQIANDTSSAYGDGFRAAYAAVNKYGLRQSLNHIRLTGGFPV >ONIVA03G13070.1 pep chromosome:AWHD00000000:3:9553363:9556854:1 gene:ONIVA03G13070 transcript:ONIVA03G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGMAAATMDSGAAAAAARRYSTQQQQQQPPPPQLHHHQPQLGTVPHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATMRKTSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYTYERYKNLLQMIPGLDRNGGFGADVGVRLIGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALYAICRDEGVKGLYKGLGATLLGVGPSIAISFCVYETLRSHWQIERPYDSPVLISLACGSLSGIASSTITFPLDLVRRRMQLEGAAGRARVYQTGLFGTFGHIVRTESLRGLYRGILPEYCKVVPSVGIVFMTYETLKSILTELASDD >ONIVA03G13060.1 pep chromosome:AWHD00000000:3:9542199:9544994:-1 gene:ONIVA03G13060 transcript:ONIVA03G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKGQFSRERNVKATLGRDGRQRGNSQDQSVQVPKEKIEVVGGNIDGKFEDRIRVVKNEKFRRQREPRSADAGGSLKGSKPWPGRKATTVDELVKHMSNVPSYLQRKETSGHLQDKALNVGVLEWGLLARWSHQQKHEFSSSHGASPSNTSRSLIFSSPSQSSASPSSKSLESNQSPTLNDHQHCSMEFQQSDLEDKYHGKARYSPSPNSAVLNLLPVHGKHIPENTGKYGDLNLRNISPLSDPLLTATGSSMRHEMVDDEETTRNIEEAVHHCSRRLFTDDDNIGQSFFTSHNNDSACGDFQQSSGVTGEVFETLVSSAVMEMERNASLSPVGFSKDIGQHHEFPRIPYSCPLPIMDSSEELGTSRTGTQGDSVGAAVTIGENRNQKQISRGASERTPRISAKFSDMDASPHRHLVSGLNRVNRCSSLKDGPCPRQPEASTSVDKINGDKSSGNKGSRRSPLRRMLDPILKPRQSSTSGPIQPSFVPKCHLPGHIDKQSLSLGGSALQNVQRRSVDSVVNSNCRTETNTNQPPQVLNSERYLQQDIDSTTTRHALLQLAWKNGLPFFMLSCGSDILVATVRRKGISDNNDLESTYTLFGVEEPKKRGGAWIKAGNKNKKDQLVYNIVGEMRVSHRKSRCYQAEKNHLHREFVLVGSEQLPSSEESGDSHVSREFAAFISAVSQQEPETSCHSSSQHSSRSMSTPTDCSYPLGNFHPNTRDDSCASSSVLAVLPNGFHGTSTSGQPLPLIERWKSGGACDCGGWDEGCMLSVLSDDARENKGDKSTQANQTTDGSQRFDLFVQGRSREDKHAFSMVSFREGLYTVEFRSSIALLQAFAMCIVMLHGRRPTRTQAGVHASQEHASLADHKLNKIMAASQGRAQASYVPHRPPLSPVGRA >ONIVA03G13050.1 pep chromosome:AWHD00000000:3:9538642:9545094:1 gene:ONIVA03G13050 transcript:ONIVA03G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLERDDALDTVLEVPIPEEMFSRGSGGGGSRGSRFGCTNVKSWVRPNASDRSGGAGEPCSMSRGELQLMLGVIGAPLIPLPVDHAKQSPCSVLCEQLKADPIESSTAKYIIQQYIAASGGEWALNKVTSMYAMGKVRMTAAELNSSDADGGGGGGGGGHRGGKKSSKNGGEVGGFVLWQKKPELWSLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPMLTASLFADAVCIGERSVDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSSGHGSVFWETTMESHLHDYRAVDGVNIAHAGRTAVSLVRFGDSSDGNTRTRMEEVWNIEEVDFNIWGLSMDCFLPPSDLKDSKEDKDVSAAVKPARPPPIRIPAVAVRVGPSQVAAVNMDDSDSLIARS >ONIVA03G13040.1 pep chromosome:AWHD00000000:3:9528743:9530268:-1 gene:ONIVA03G13040 transcript:ONIVA03G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT3G54050) TAIR;Acc:AT3G54050] MAAAATTSSHLLLLSRQQAAASLQCGLSFRRQPGRLAGGSSAPSVRCMAAVDTASAPAATEASKKSSYEITTLTTWLLKQEQAGTIDGEMTIVLASISTACKQIASLVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLKSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIADDQNLDQVEQRCIVSVCQPGSNLLAAGYCMYSSSVIFVLTIGTGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKSYMDSLKEPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDQKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIMPTEIHQRVPLYIGSVEEVEKVEKFLA >ONIVA03G13040.2 pep chromosome:AWHD00000000:3:9528743:9530268:-1 gene:ONIVA03G13040 transcript:ONIVA03G13040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:high cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT3G54050) TAIR;Acc:AT3G54050] MAAAATTSSHLLLLSRQQAAASLQCGLSFRRQPGRLAGGSSAPSVRCMAAVDTASAPAATEASKKSSYEITTLTTWLLKQEQAGTIDGEMTIVLASISTACKQIASLVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLKSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIADDQNNETPLSARVCLQLDQVEQRCIVSVCQPGSNLLAAGYCMYSSSVIFVLTIGTGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKSYMDSLKEPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDQKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIMPTEIHQRVPLYIGSVEEVEKVEKFLA >ONIVA03G13030.1 pep chromosome:AWHD00000000:3:9525481:9525960:-1 gene:ONIVA03G13030 transcript:ONIVA03G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRGNAFDPFSLDLWDPFDGFPFGSGGSSSSSGSLFPRANSDAAAFAGARIDWKETPEVHVFKADVPGLKKEEVKVEVDDGNILQISGERSREQEEKSDKWHRVERSSGKFLRRFRLPENTKPEQIKASMENGVLTVTVPKEEPKKPDVKSIQISG >ONIVA03G13020.1 pep chromosome:AWHD00000000:3:9523511:9525447:-1 gene:ONIVA03G13020 transcript:ONIVA03G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLISSFGAPATPSPNPSLYFAPNTDPPYVIDPTALAVPSLPRHPLIPLYIVGPSPSTIIPLSHLPLLSQPQPKPKPICRSLGCYQCCRNK >ONIVA03G13010.1 pep chromosome:AWHD00000000:3:9522440:9522966:1 gene:ONIVA03G13010 transcript:ONIVA03G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFDGFPFGSGSGSLFPRANSDAAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERSKEQEEKTDKWHRVERSSGKFLRRFRLPENTKPEQIKASMENGVLTVTVPKEEPKKPDVKSIQVTG >ONIVA03G13000.1 pep chromosome:AWHD00000000:3:9514463:9521510:1 gene:ONIVA03G13000 transcript:ONIVA03G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKQPSFLLILLILHLGAREARALSSDGEALLAFKKAVTTSDGIFLNWREQDVDPCNWKGVGCDSHTKRVVCLILAYHKLVGPIPPEIGRLNQLQALSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGHIPSEFGDLVELGTLDLSSNTLSGSIPPSLDKLAKLTSFFIGNRGLCGKQINSVCKDALQSPSNGPLPPSADDFINRRNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDIHGFRVELCGGNHVIEYAMRIIFNLCGPYNLARFLLGSSIVMFHGDLPYSTKEILKKLETMDDENIIGVGGFGTVYKLAMDDGNVFALKRIMKTNEGLGQFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLPGGNLDEVLHEKSEQLDWDARINIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDDKSHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLLLEILSGKRPTDASFIEKGLNIVGWLNFLVGENREREIVDPYCEGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >ONIVA03G12990.1 pep chromosome:AWHD00000000:3:9507160:9512770:1 gene:ONIVA03G12990 transcript:ONIVA03G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G31440) TAIR;Acc:AT1G31440] METLRKQASKLREHVAKQQQAVRKQFSARYNQDPSLVDEAELECHQNLQRLYNSTRAAKHFQRSIVRGVEGFIAVSTKQMEIVKKLAEDCCRYGNDNQNFGFILARASVEFGNSHSQMEKERENLLKFLGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNADNSVKLQHAESKLSELRTTLSALGREATAAMEAVEVQQQQVTFDRLLAMVDAERAYHQNAADILNKLHDEMVQAKHHDEPENHYDETSSDPKTAATHEHSRSTSEDHIFTNTSEPTRTETSEPTRTETSEPTRNGQEVHYVGEVIHPFDAQADGELSISVGDYVVVRQVAPNGWSEGECKGKAGWFPSAYVEQRDKAPASKVIEPGLLTT >ONIVA03G12980.1 pep chromosome:AWHD00000000:3:9503419:9506143:1 gene:ONIVA03G12980 transcript:ONIVA03G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGHICAICDDGGNLIRCEGACRRYFHRTISNDADFNCETLNMSQEQVESSKFICKNCVYKQHQCFGCGELGSSDMSSGSAEVYQCSKSRCRRFYHPKCLAEFDSSKNPPVFECPLHECFACKNKGEKYNEETCKNKGQESIKKKQGAENNKKMHLALCRRCPIAYHRKCLPRKHTMIEHLRSATRDHLKFPKVMEEHMQKYVPKREVENKKLIVYVRKRHRGASKKQGASMVEEVDHGTMESDHVQRSRDINLRAHEQTEAPRNYMSDRNTSTGFVLSFAPKSLFPLPYPGNCGWLDD >ONIVA03G12970.1 pep chromosome:AWHD00000000:3:9497469:9497936:-1 gene:ONIVA03G12970 transcript:ONIVA03G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTEEKIASARLMRQQAQVEFGRARSLRLHAVLMRRRAQVELGRVRALRDAAVRMRQEAQMDIAHARTLRVHALRMRLRAQAELVRCCNTDDGKGDNGEKAGDAGIREGSVVDSLAGADRFTDSDNIGYAVDSLANNTFVADSLEETDAEEKNN >ONIVA03G12960.1 pep chromosome:AWHD00000000:3:9493842:9494327:1 gene:ONIVA03G12960 transcript:ONIVA03G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFDGFPFGSGGSSSGSIFPSFPRGASSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVDDGNILQISGERNKEQEEKTDQWHRVERSSGKFLRRFRLPDNAKPEQIKASMENGVLTVTVPKEEAKKPDVKSIQISG >ONIVA03G12950.1 pep chromosome:AWHD00000000:3:9488810:9493012:-1 gene:ONIVA03G12950 transcript:ONIVA03G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytosolic enolase [Source:Projected from Arabidopsis thaliana (AT2G29560) TAIR;Acc:AT2G29560] MSVQEYLEKHLLSRKIEEAVNAAVRAKAPDPVLFISNHMRRAAPAVITSVRARQILDGRGEPAVEVSLHTNKAVHRASAAAADAPEGAAADAVRDAEKRKLLARAVADAVRVINDKVSEALVGMDPQQQSQIDQAIMDLDKAHHKAEIGVNSMLAVSIAACKAGAAEKEVPLYKHIAELVGKSATTLPIPAITVINGGTHAGNSLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKYGSNSCNIGLDLVIEAINRAGYNGRIKLAIDVAATDFCMGNKYDMEFKFAEKSGQGFKTADDLIEIYSQLCSEYPLVSIEQPFDKDDWEHSKKFTTLELCQVVGDDLLMSDPERIKRAVNEYTCNALVLKANQVGTVTEAIEVVRQAKDAHWGVMVSHRSGDTDDSFIADLAVGAAAGQIKAGAPCRGECLSKYNQLLRIEEELGSDGVYAGENWRTTASTS >ONIVA03G12940.1 pep chromosome:AWHD00000000:3:9485816:9489265:1 gene:ONIVA03G12940 transcript:ONIVA03G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTQQKCKVCTKTVYPMDQLSTDGVVFHRSCFKCQHCKSTLSLGNYSSIEGVPYCKPHFEQLFKETGSYNKSFQSPAKPASEKLTPELTRSPSKAARMFSGTQEKCATCSKTAYPLEKVTVEGQAYHKSCFKCSHGGCAISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASVKRAEAQPAPPPAAADSS >ONIVA03G12930.1 pep chromosome:AWHD00000000:3:9478404:9484798:1 gene:ONIVA03G12930 transcript:ONIVA03G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38025) TAIR;Acc:AT2G38025] MAQAVRPCNATLLARLRDGEARFELLEDSAAAAAASPAPAPVWPGLSCFSRVATSLRGGWSGALNKVEHYGVQRVTGDGRCMFRALVKGMAKNKGILLTSREEVQDADDLRMAVKEVICDDETERQKYEEAVIAITVDESLRRYCHRIRRSDFWGGESELLVLSKLCRQPIIIYIPEREYHGRGNGFIPIAEYGLEFSKDSKQWKKKVPVRLLYSGRNHYDLLV >ONIVA03G12930.2 pep chromosome:AWHD00000000:3:9478404:9483317:1 gene:ONIVA03G12930 transcript:ONIVA03G12930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38025) TAIR;Acc:AT2G38025] MAQAVRPCNATLLARLRDGEARFELLEDSAAAAAASPAPAPVWPGLSCFSRVATSLRGGWSGALNKVEHYGVQRVTGDGRCMFRALVKGMAKNKGILLTSREEVQDADDLRMAVKEVICDDETERQKYEEAVIAITVDESLRRYCHRIRRSDFWGGESELLVLSKLCRQPIIIYIPEREYHGRGNGFIPIAEYGLEFSKDSKQWKKKVPVRLLYSGRNHYDLLV >ONIVA03G12930.3 pep chromosome:AWHD00000000:3:9478404:9483588:1 gene:ONIVA03G12930 transcript:ONIVA03G12930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38025) TAIR;Acc:AT2G38025] MAQAVRPCNATLLARLRDGEARFELLEDSAAAAAASPAPAPVWPGLSCFSRVATSLRGGWSGALNKVEHYGVQRVTGDGRCMFRALVKGMAKNKGILLTSREEVQDADDLRMAVKEVICDDETERQKYEEAVIAITVDESLRRYCHRIRRSDFWGGESELLVLSKLCRQPIIIYIPEREYHGRGNGFIPIAEYGLEFSKDSKQWKKKVPVRLLYSGRNHYDLLV >ONIVA03G12920.1 pep chromosome:AWHD00000000:3:9476466:9476861:1 gene:ONIVA03G12920 transcript:ONIVA03G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF962) [Source:Projected from Arabidopsis thaliana (AT3G09085) TAIR;Acc:AT3G09085] MGRGEQEAAASHGFGSMEEFWGFYLTQHSKPGTRRWHFLGTLAALACALLAAVSGRAAPLLAAPVLGYGMAWYSHFFVEGNRPATFGHPVWSFLCDLRMFALILTGRIDAELARLRLQPPHDAAAASAHRD >ONIVA03G12910.1 pep chromosome:AWHD00000000:3:9476190:9478130:-1 gene:ONIVA03G12910 transcript:ONIVA03G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPARSDAHLSPEGEAAMEAEVREYYDEAAPKRHSKPSRSEPSAVYTDALVPDDSHPELDRFQQLEAHTEKLVCEGGKAGDEFVETEYYKDLGCVGKQHHTTGTGFIKMDKPSADASFHLSDDPDASERHASCKGNPATNEWIPSADTVYPASDKPNRSHDRGGWRRSKEFAGSQEHAPDNTTMSTRTYAGILTRD >ONIVA03G12910.2 pep chromosome:AWHD00000000:3:9476030:9478130:-1 gene:ONIVA03G12910 transcript:ONIVA03G12910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPARSDAHLSPEGEAAMEAEVREYYDEAAPKRHSKPSRSEPSAVYTDALVPDDSHPELDRFQQLEAHTEKLVCEGGKAGDEFVETEYYKDLGCVGKQHHTTGTGFIKMDKPSADASFHLSDDPDASERHASCKGNPATNEWIPSADTIEGVCWLTRTRARQHDDVHEDLCWDINPRLIGFAEGRSSAVLRTPKDYSLLNKIKHRMPLLNKICLWDVNFYFSRGLLLIVV >ONIVA03G12910.3 pep chromosome:AWHD00000000:3:9477309:9478130:-1 gene:ONIVA03G12910 transcript:ONIVA03G12910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPARSDAHLSPEGEAAMEAEVREYYDEAAPKRHSKPSRSEPSAVYTDALVPDDSHPELDRFQQLEAHTEKLVCEGGKAGDEFVETEYYKDLGCVGKQHHTTGTGFIKMDKPSADASFHLSDDPDASERHASCKGNPATNEWIPSADTVYPASDKPNRSDS >ONIVA03G12900.1 pep chromosome:AWHD00000000:3:9463465:9474316:1 gene:ONIVA03G12900 transcript:ONIVA03G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G07360) TAIR;Acc:AT2G07360] MASGQDSSSTTLMDLITSDPSAVPAGGASSHQQSSSAAAGGALGRPAPAPADRKSKRGTLSQIQNETISAAKALNKALPQRNRKKKATRLKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDNGAQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESTSDDVEFHARRLAALKALTSSTSNSEMLEKLYEIVFGILEKVADTKQKRKKGIFTKQGGDKESIIRSNLQYASLSALRRLPLDPGNPAFLHRAVQGVEFSDPVAVRHALSIASEIAVRDPYSVAMALGKNAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDERPDIKSQYSSLLYQLLLDPSDRVCFEAILCVLGKVDNTESTEERAGGWIRLTREILKLPEAPSVASKGILSKSEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYSLGAYDEAANLQSYSDNVESLDSDLNENSQPEATRKANPLSNGHGGMDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKSIIACELADPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFASVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPASSRNPTLASALTRLQRCAFSGSWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALALGGVQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLARDIRQHDNSKQEWNDDELKKLYETHERLLDFVSLFCFVPRAKYLPLGPTSAKLIDIYRNRHNISTSAGLSDPAVATGISDLMYEPKDVPKEATLIQTGIDPDLAMAWAAGLEDDVWENNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSIREEPPSYSTSVLQKRESFENPLAGRGGRSFGSHEDEDRSSGNPQSGKALYDFTAGGDDELSLTAGEDVEIEYEVDGWYYVKKKRPGRDGKTAGLVPVLYVNS >ONIVA03G12890.1 pep chromosome:AWHD00000000:3:9460340:9460965:-1 gene:ONIVA03G12890 transcript:ONIVA03G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDSGGATAAAAPKPSCHARALTPPLLPPPLALDPTFLLLRRAAAFSSAPSQGCPLHAALACRCAPAAATLTLYSRICEASPPTPSTLSLLLAALASSSSPPPSPSAGFACLAAACLTHAQAFKYDALAHPSSPH >ONIVA03G12890.2 pep chromosome:AWHD00000000:3:9460094:9460331:-1 gene:ONIVA03G12890 transcript:ONIVA03G12890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAGVCDDCACARAVEVRRQEKYWRGGGDRVSDEEGKGRRTRERDPVVTNSLIKFYCSRREEEDEVGNGDEEGGRG >ONIVA03G12880.1 pep chromosome:AWHD00000000:3:9455228:9458549:-1 gene:ONIVA03G12880 transcript:ONIVA03G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MAPRSAMCEGVKRKAEFVRATDSSTRFEKLSQPSPFHTSIYSPNRTRSDAQKGSAVTENKRGRHRETLSARARIVATKEDVVLQRIKSDSYSTSPRRSSRSRYRSRSRSVDSSDVENPGNNLYVTGLSARVTDRDLEKHFSAEGEVIDASIVLDPWTRESRGFGFVTMATVKEADLCIKYLDRSVLEGRVITVEKAKRRRGRTPTPGRYLGTKSSCVTPARRYSPSYSPVERDRYSSRYSPERERSYSPYGRRRSYSPYNRRRSYSPYERRRSYSPHERRRSYSPYGRSPSSYGRRRSYSPYDTRGSRRRSYSSYRGSRYRSRSPYRYRRERSCSYDHSVSPYYRRCYSPSARGRSYSRSVSPQRSYSHSCSPDSQRSGSYSPRKRYSERKPSRSRSSGKRHSRESYSHSRSSYSRQTQLVQAVRLGFVLLWANQY >ONIVA03G12880.2 pep chromosome:AWHD00000000:3:9455685:9458549:-1 gene:ONIVA03G12880 transcript:ONIVA03G12880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MAPRSAMCEGVKRKAEFVRATDSSTRFEKLSQPSPFHTSIYSPNRTRSDAQKGSAVTENKRGRHRETLSARARIVATKEDVVLQRIKSDSYSTSPRRSSRSRYRSRSRSVDSSDVENPGNNLYVTGLSARVTDRDLEKHFSAEGEVIDASIVLDPWTRESRGFGFVTMATVKEADLCIKYLDRSVLEGRVITVEKAKRRRGRTPTPGRYLGTKSSCVTPARRYSPSYSPVERDRYSSRYSPERERSYSPYGRRRSYSPYNRRRSYSPYERRRSYSPHERRRSYSPYGRSPSSYGRRRSYSPYDTRGSRRRSYSSYRGSRYRSRSPYRYRRERSCSYDHSVSPYYRRCYSPSARGRSYSRSVSPQRSYSHSCSPDSQRSGSYSPRKRYSERKPSRSRSSGKRHSRESYSHSRSSYSRSVSRERSE >ONIVA03G12870.1 pep chromosome:AWHD00000000:3:9449572:9455145:1 gene:ONIVA03G12870 transcript:ONIVA03G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAGERRLGRAMSFGIPDVALGLVMGFVEDPWDRDAISLVCRHWCRVDALSRKHVTVAMAYSTTPDRLFRRFPCLESLKLKAKPRAAMFNLIPEDWGGSASPWIRQLSASFHFLKALHLRRMIVSDDDLDVLVRAKAHMLSSFKLDRCSGFSTSSLALVARTCKKLETLFLEDSIIAEKENDEWIRELATNNSVLETLNFFLTDLRASPAYLTLLVRNCRRLKVLKISECFMLDLVDLFRTAEILQDFAGGSFDDQGQVEESRNYENYYFPPSLLRLSLLYMGTKEMQVLFPYGAALKKLDLQFTFLSTEDHCQLVQRCPNLEILEVRDVIGDRGLEVVAQTCKKLQRLRVERGDDDQGGLEDEHGMVTQVGLMAVAQGCPHLEYWAVHVTDITNAALEAIGTYSSSLNDFRLVLLDREANITESPLDNGVRALLRGCTKLRRFAFYVRPGALSDVGLGYIGEFSKTIRYMLLGNVGESDQGLLQLSTGCPSLQKLELRGCFFSERALAVAVLQLKSLRYLWVQGYKASPNGTDLMAMVRPFWNIEIIAPNQDEVCPDGQAQILAYYSLAGMRSDYPHSVIPLYPSV >ONIVA03G12870.2 pep chromosome:AWHD00000000:3:9449572:9455145:1 gene:ONIVA03G12870 transcript:ONIVA03G12870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAGERRLGRAMSFGIPDVALGLVMGFVEDPWDRDAISLVCRHWCRVDALSRKHVTVAMAYSTTPDRLFRRFPCLESLKLKAKPRAAMFNLIPEDWGGSASPWIRQLSASFHFLKALHLRRMIVSDDDLDVLVRAKAHMLSSFKLDRCSGFSTSSLALVARTCKKLETLFLEDSIIAEKENDEWIRELATNNSVLETLNFFLTDLRASPAYLTLLVRNCRRLKVLKISECFMLDLVDLFRTAEILQDFAGGSFDDQGQVEESRNYENYYFPPSLLRLSLLYMGTKEMQVLFPYGAALKKLDLQFTFLSTEDHCQLVQRCPNLEILEVRDVIGDRGLEVVAQTCKKLQRLRVERGDDDQGGLEDEHGMVTQVGLMAVAQGCPHLEYWAVHVTDITNAALEAIGTYSSSLNDFRLVLLDREANITESPLDNGVRALLRGCTKLRRFAFYVRPGALSDVGLGYIGEFSKTIRYMLLGNVGESDQGLLQLSTGCPSLQKLELRGCFFSERALAVAVLQLKSLRYLWVQGYKASPNGTDLMAMVRPFWNIEIIAPNQDEVCPDGQAQILAYYSLAGMRSDYPHSVIPLYPSV >ONIVA03G12860.1 pep chromosome:AWHD00000000:3:9441238:9448304:1 gene:ONIVA03G12860 transcript:ONIVA03G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVASTLLLSLSSSSSPFLSPTSVSFLPSAAAAASSSSPRVAVAAGKQKAAVSVLRALRAEAATLPVLSFTGEKVGEVALDLKSAPPSTARAVVHRAIITDRQNKRRGTASTLTRGEVRGGGRKPYQQKKTGKARRGSMRTPLRPGGGVIFGPKPRDWSIKINRKEKRLAISTALASAAVADDAFVVEEFDEEFAAGPKTRDFVAALQRWGLDPKEKAMFFATDFADNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAINYLNSRYGATVFDEYEDDTNGEDDGEEEAEELQEGEGSAEEAAQVRRENALLLWHMRLQRLRLIAIPREGGVDRTTYLQDGFADPVFEDAYKGRDPKAPSCPFQMDGTDRICAPRVLPGLFRDETTQNRPIARQPCDHLPSRSHRKPGNRTRAGTSS >ONIVA03G12860.2 pep chromosome:AWHD00000000:3:9444250:9448304:1 gene:ONIVA03G12860 transcript:ONIVA03G12860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHWSSIARTTYLQDGFADPVFEDAYKGRDPKAPSCPFQMDGTDRICAPRVLPGLFRDETTQNRPIARQPCDHLPSRSHRKPGNRTRAGTSS >ONIVA03G12860.3 pep chromosome:AWHD00000000:3:9441238:9443822:1 gene:ONIVA03G12860 transcript:ONIVA03G12860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVASTLLLSLSSSSSPFLSPTSVSFLPSAAAAASSSSPRVAVAAGKQKAAVSVLRALRAEAATLPVLSFTGEKVGEVALDLKSAPPSTARAVVHRAIITDRQNKRRGTASTLTRGEVRGGGRKPYQQKKTGKARRGSMRTPLRPGGGVIFGPKPRDWSIKINRKEKRLAISTALASAAVADDAFVVEEFDEEFAAGPKTRDFVAALQRWGLDPKEKAMFFATDFADNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAINYLNSRYGATVFDEYEDDTNGEDDGEEEAEELQEGEGSAEEAAQDEAAETEADSNS >ONIVA03G12850.1 pep chromosome:AWHD00000000:3:9437405:9440866:1 gene:ONIVA03G12850 transcript:ONIVA03G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT2G39970) TAIR;Acc:AT2G39970] MSDALINGVAGAGGGIIAQLLTYPLQTVNARQQTERDPSKPAFKDGAVRQMCLVVKHEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRSRAEAAALQRSRRGIGDGSVGMLQSLTVAALSGCVNVLLTNPIWVIVTRMQTHRKANKQQSPLDLTCVLDKALQAPAVENIPHKTIHVIQDLYKEAGFLGFWKGVVPALIMVSNPAIQFMLYETLLKKLKKRRASNLKGADGLTALEIFLLGAVAKLGATVVTYPLLVVKARLQVKQIIDDDKRHRYKGTFDAITKMIRYEGLSGLYKGMSTKIVQSVFASALLFMIKEELVKGARLLVTGNTSLVKKLPSKPLR >ONIVA03G12840.1 pep chromosome:AWHD00000000:3:9429300:9431796:-1 gene:ONIVA03G12840 transcript:ONIVA03G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPKSEAKQDEEGSSAMGGGGGSSRSSKVYHERQRLQFCLLHALNNLMQEKESFTRAELDGIAGNLVQIDPNKEHWTPMSLIFKPHHNVFTGNYDVNVLITALEARKKKLNCRPIQGYRSHFCWWNRLNTLPSSQSA >ONIVA03G12840.2 pep chromosome:AWHD00000000:3:9429300:9431796:-1 gene:ONIVA03G12840 transcript:ONIVA03G12840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPKSEAKQDEEGSSAMGGGGGSSRSSKVYHERQRLQFCLLHALNNLMQEKESFTRAELDGIAGNLVQIDPNKEHWTPMSLIFKPHHNVFTGNYDVNVLITALEARKKKVIWHDHRKGASSIDLDADALFGLMINVPVRRFRGLWTGRHWVAIRSINGTWFNLDSDFSAPKEFQDKEKLIAFLDSILSQGGEVMILNCRPIQGYRSHFCWWNRLNTLPSSQSA >ONIVA03G12830.1 pep chromosome:AWHD00000000:3:9424881:9429218:1 gene:ONIVA03G12830 transcript:ONIVA03G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQAAEAQPLLLQGDQVDAEWGCRPHRIVLFVEPSPFAYISGYKNRFQNFIKHLREMGDEVLVVTTHKGAPEEFHGAKVIGSWSFPCPLYQNVPLSLALSPRIFSAVAKFKPDIIHATSPGVMVFGARFIAKMLSVPMVMSYHTHLPAYIPRYNLNWLLGPTWSLIRCLHRSADLTLVPSVAIAEDFETAKVVSANRVRLWNKGVDSESFHPKFRKHEMRIKLSGGEPEKPLIIHVGRFGREKNLDFLKRVMERLPGVRIAFVGDGPYRAELERMFTGMPAVFTGMLQGEELSQAYASGDLFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKIEQLLSSKVLRESIGRAAREEMEKCDWRAASKTIRNEHYCTATLYWRKKMGRTN >ONIVA03G12820.1 pep chromosome:AWHD00000000:3:9416449:9416964:1 gene:ONIVA03G12820 transcript:ONIVA03G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETVVEVGSNEWLEEHHGLQLLVLLPHRHVVDLWRHRPAPPPHSLPSCDYVAVAMAATHPKNERCVVPAAALDCAMELLSCSKESMCTAICTGSYSFCAVCKILCRCSAGG >ONIVA03G12810.1 pep chromosome:AWHD00000000:3:9408186:9415853:-1 gene:ONIVA03G12810 transcript:ONIVA03G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04730) TAIR;Acc:AT1G04730] MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPAKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATGDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDPQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTGMVSFAPFTIVRSSAYTVVTSNLSNCKQENQEKIDLYIAQSMPSFILLNMRRLIEQSLPAECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKGYQSEHIGLSLAMKQVLVHEVEKQKIMKDSAGILLNQANEGDKRNEVSVSEKKSALVSTKSTSKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEGFTNAVKRPVKVRDLLL >ONIVA03G12810.2 pep chromosome:AWHD00000000:3:9408186:9415853:-1 gene:ONIVA03G12810 transcript:ONIVA03G12810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04730) TAIR;Acc:AT1G04730] MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPAKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATGDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDPQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKGYQSEHIGLSLAMKQVLVHEVEKQKIMKDSAGILLNQANEGDKRNEVSVSEKKSALVSTKSTSKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEGFTNAVKRPVKVRDLLL >ONIVA03G12810.3 pep chromosome:AWHD00000000:3:9408186:9415853:-1 gene:ONIVA03G12810 transcript:ONIVA03G12810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04730) TAIR;Acc:AT1G04730] MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPAKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATGDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDPQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTGMVSFAPFTIVRSSAYTVVTSNLSNCKQENQEKIDLYIAQSMPSFILLNMRRLIEQSLPAECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKVEKQKIMKDSAGILLNQANEGDKRNEVSVSEKKSALVSTKSTSKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEGFTNAVKRPVKVRDLLL >ONIVA03G12810.4 pep chromosome:AWHD00000000:3:9408186:9415853:-1 gene:ONIVA03G12810 transcript:ONIVA03G12810.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04730) TAIR;Acc:AT1G04730] MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPAKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATGDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDPQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKVEKQKIMKDSAGILLNQANEGDKRNEVSVSEKKSALVSTKSTSKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEGFTNAVKRPVKVRDLLL >ONIVA03G12800.1 pep chromosome:AWHD00000000:3:9406172:9407617:-1 gene:ONIVA03G12800 transcript:ONIVA03G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G09030) TAIR;Acc:AT3G09030] MEAAGAGGRRGTVKQLNVGGKLFALEASSLPISLSLSPSPNPIFVDRDPALLSAILSAIRAPSAAPAFPAGVLLDEAHSYGLHDQLLAALSPPPLLGFSASRASTLSPPSEPFPTALAPRHDGSLCLAHGAGLLTHYSPALEHLTTFRTHLHRITSLRQLPPGLAVAGSSLSPGLHVYDLLKGRHVASVQWSDPTDLRVQKAKVIAIAARPAADAADKNSPILATFECPHRENCILVFDPVTLKPIQEIGRQTGSAAKSSAPGRVVHLQELGLVFAASVSSGAFGYSGYMRLWDIRSGDVVWETSEPGGVGRSSRFGDPFADVDVDVKQQILYKVCSKSGDIGAADLRCLGKDPWVYMSSGPRGSGGGHGSVLHCYKSQVFVSRKDGLEVWSRLEEQSNGTANLAEQTRAKDNINNEGINENCFRSCYVDTEEDADRGMIHIMEGGGDRLFVTREEMQGVEVWETSQLAGAISLSLSSLLV >ONIVA03G12790.1 pep chromosome:AWHD00000000:3:9400765:9402129:-1 gene:ONIVA03G12790 transcript:ONIVA03G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT2G29660) TAIR;Acc:AT2G29660] MAGGGRCSSLLRGFVSLFFLLFIHIGHAGCCFSTGSSTQTLEEDDTGHGADGRGGGGGGSKRRKISPLAFSPSVSSSTVADERSRARRRQVSSLATSVRFYLHRIFSYSSGAKNGAGAPAAEEEDEAVTTTVSSPLAQSSSCLPQRQASSSVVLSTPSSPCASPFLSPLSPQSLSITPAVPSSPHNRQIPQATTRQSSFRSFAARGDVFPCKVCGEVLSKPQQLELHQAMKHSLSELSSLDSSMNIIRMIFLAGWKPAVMPGAGEPPSVRRILRIHHNPRVLTRFEEYRDLVRARAARRCAGAGAAAVEERCVADGNERLRFYCSTMLCTLGAGVCGSPYCCTCSILRHGFAGKQADVDGIATYSSGRAAHASLPDDVEREFAFLQVRRAMLVCRVVAGRVGRGAADDKVAYDSMVPLLPTSSFAAATRGDDDVELLVFNPRAVLPCFVIIYSC >ONIVA03G12780.1 pep chromosome:AWHD00000000:3:9394999:9396856:-1 gene:ONIVA03G12780 transcript:ONIVA03G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALVHGEVWVGGSPLFLSIPSHFGQSKIGDLDTAIDILLYNGTVSLSPSRKSKPKNPSR >ONIVA03G12770.1 pep chromosome:AWHD00000000:3:9390762:9394754:-1 gene:ONIVA03G12770 transcript:ONIVA03G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAAATFTPSRLAARPATPAAAAVAAGGRRRTSRRGGVRCSAGKPEASAVINGSAAARAAEEDRRRFFEAAERGSGKGNLVPMWECIVSDHLTPVLAYRCLVPEDNMETPSFLFESVEQGPEGTTNVGRYSMVGAHPVMEVVAKEHKVTIMDHEKGKVTEQVVDDPMQIPRSMMEGWHPQQIDQLPDSFTGGWVGFFSYDTVRYVEKKKLPFSGAPQDDRNLPDVHLGLYDDVLVFDNVEKKVYVIHWVNLDRHATTEDAFQDGKSRLNLLLSKVHNSNVFYILFHFHSRPKLSPGFVKLHTRQFGTPLNKSTMTSDEYKNAVMQAKEHIMAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQARGCVLVASSPEILTRVRKGKIINRPLAGTVRRGKTEKEDEMQEQQLLSDEKQCAEHIMLVDLGRNDVGKVSKSGSVKVEKLMNIERYSHVMHISSTVSGELDDHLQSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGLGGISFDGDMLIALALRTIVFSTAPSHNTMYSYKDTERRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKE >ONIVA03G12760.1 pep chromosome:AWHD00000000:3:9385719:9390298:1 gene:ONIVA03G12760 transcript:ONIVA03G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09010) TAIR;Acc:AT3G09010] MGVSASCLWGGSESRGNQNGSAAVTSPRSGQVISRAGSNVRVFSLKELKLATRNFHMMNCIGRGGFGAVYKGNLKDGTQIAIKKLSAESKQGANEFLTEINIISNVRHPNLVKLIGCCDEGTNRLLVYEYAENNSLAHVLLGPRSRCIPLNWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDTITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLVLEVISGESSSKSTWGQDMNVLVEWTWKLREQGRLLEIVDPELEEYPEEEMLRFIKVALVCTQATSQQRPSMKQVVDMLSNPTEISLENLVAPGVLKEPRHHSSSSGLTPDTTSNRSTKANPADSYSTQTRDMNSYQLSTIEVSPR >ONIVA03G12750.1 pep chromosome:AWHD00000000:3:9371776:9374851:-1 gene:ONIVA03G12750 transcript:ONIVA03G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAG1 homologue 2 [Source:Projected from Arabidopsis thaliana (AT3G19260) TAIR;Acc:AT3G19260] MAIRGPEASSFFPLTLVFSVGFFCARFFLDRLVYKPLAAYCFSSKASKLMIDEVRQAKIVKFSESIWKLTYYGSVQAWVLLIIKQEPWSLDTMQYFEGWPNQYMTSSLMLFYMCQCGFYIYSIFALVAWETRRKDFAVMMSHHVVTSVLIGYAYLTGFFRIGTIILALHDASDVFLETAKLCKYTEKELGASLFFGLFALSWLLLRLIYFPFWIIKTSSYQSIISLRKLEKFPTTLYYIFNTMLLTLLVFHIYWWKLICLMIMKQLNNKGQVGEDVRSDSEDEE >ONIVA03G12750.2 pep chromosome:AWHD00000000:3:9371187:9374851:-1 gene:ONIVA03G12750 transcript:ONIVA03G12750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAG1 homologue 2 [Source:Projected from Arabidopsis thaliana (AT3G19260) TAIR;Acc:AT3G19260] MAIRGPEASSFFPLTLVFSVGFFCARFFLDRLVYKPLAAYCFSSKASKLMIDEVRQAKIVKFSESIWKLTYYGSVQAWVLLIIKQEPWSLDTMQYFEGWPNQYMTSSLMLFYMCQCGFYIYSIFALVAWETRRKDFAVMMSHHVVTSVLIGYAYLTGFFRIGTIILALHDASDVFLETAKLCKYTEKELGASLFFGLFALSWLLLRLIYFPFWIIKTSSYQSIISLRKLEKFPTTLYYIFNTMLLTLLVFHIYWWKLICLMIMKQLNNKGQVGEDVRSGPIFWKPYNCKSQLLSKLIVPHPL >ONIVA03G12740.1 pep chromosome:AWHD00000000:3:9366880:9370893:1 gene:ONIVA03G12740 transcript:ONIVA03G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASAAASAGRGARAEKVGRIFERFDANGDGGLDRDEMAALVVAVNPRVKFSEDQISAILDEVFRTYAEFILPGGRGLSLPGLLRTYDDGAGDVDRDFLALSLPPLESGESSPEIAAGDPAAASSPPSGAAAAASLLDDHIKPLGAAGGAPSSSSRAAAATPAWATSPSHGIAFDSSWALLDDLEILVKRLRSKQLRRTSSIDTINGSGGAGNNNFDSFSEAGWSREISGQADSASTGAPWDETSRDYLTFVKELAVLRTRADASRSREEAFDNHMVIGRALSEHRLFRDALSSFRRACELQPTDVRPHFRAGNCLYALGRHSEAKEEYLLALEAAEAGGSQSADILPQIHVNLGIAMEAEGMVLGACEHYREAAILCPSHARALKLLGSALFGVGEYRAAEKALEEAIFLKPDYADAHCDLGSALHAIGDDDRAVQEFQKAIDLKPGHVDALYNLGGLNMDAGRFVRAAEMYTRVLSIRPNHWRAQLNKAVALLGQGESEEAKKALKEAFKMTQRVEVYDAISHLKTLQKKKPKPPKGKDDSQGEEAFVVVEPSKFKRVGRKTTLRQDLANALDIRAFERTTKLGRCDTELLRKEMNETDVPISYSGAGNPEKSIRKAALEVILHRLLSFLKPDTFQGSVKVINERILSVLDASGSGRVDLGMFFAIIAPICSGPVDKRKRVVFDALLWRPASEGSRGQIRRSDALSYIKLLRAVYIPTHGASDMLEMHGESDPTMVSYTEFLEMFNDPDWGFGILSTLVKLEDSDHIRHGRHTCSICRYPIIGSRFKETKHSFSLCNRCYSEGKVPSAFKLDEYRFKEYGNESEALIDKCMCFNLHSKKLEADT >ONIVA03G12730.1 pep chromosome:AWHD00000000:3:9361317:9364593:1 gene:ONIVA03G12730 transcript:ONIVA03G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-ribonuclease binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G45976) TAIR;Acc:AT1G45976] MAFFSHHHLQQPHPQAPPPPPPPPQQQQQPVPPSFRNALPVPVDGQIPAPLPFFNPPPAFQDQPAQPPLVDAMGLTAAAGLGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGSGAGAGNSSGDSPLLLLPMLDDDISREVQRLDADMDRFIKAQSERLRQSILEKVQAKQFEALASVEDKILRKIRDKEAEVENINKRNSELEDQIKQLAVEVGAWQQRAKYNESMINALKYNLEQVCAHQSKDFKEGCGDSEVDDTASCCNGGAANLQLMPKENRHSKDLTACSFCKSSEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIYM >ONIVA03G12720.1 pep chromosome:AWHD00000000:3:9355622:9358504:1 gene:ONIVA03G12720 transcript:ONIVA03G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKDKRTIWRLSIISDFFRAIVNFIRMFFLTMFSTAIGKGMALVRNGMVGLVGEVLVVALMGAAAAVEAAPEVLAHYLTSDLTIREQV >ONIVA03G12720.2 pep chromosome:AWHD00000000:3:9355656:9358504:1 gene:ONIVA03G12720 transcript:ONIVA03G12720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRRKARDPLTGVVKDKRTIWRLSIISDFFRAIVNFIRMFFLTMFSTAIGKGMALVRNGMVGLVGEVLVVALMGAAAAVEAAPEVLAHYLTSDLTIREQV >ONIVA03G12720.3 pep chromosome:AWHD00000000:3:9355727:9358504:1 gene:ONIVA03G12720 transcript:ONIVA03G12720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKDKRTIWRLSIISDFFRAIVNFIRMFFLTMFSTAIGKGMALVRNGMVGLVGEVLVVALMGAAAAVEAAPEVLAHYLTSDLTIREQV >ONIVA03G12710.1 pep chromosome:AWHD00000000:3:9352126:9353695:-1 gene:ONIVA03G12710 transcript:ONIVA03G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59530) TAIR;Acc:AT3G59530] MEEKKQQQQRPQRGRDGILQYPHLFFAALALALLLTDPFHLGPLAGVDYRPVRHELAPYREVMARWPRDNGSRLRHGRLEFVGEVFGPESIEFDRHGRGPYAGLADGRVVRWMGEDAGWETFAVMSPDWSEKVCANGVESTTKKQHEMERRCGRPLGLRFHGETGELYVADAYYGLMSVGPNGGVATSLAREVGGSPVNFANDLDIHRNGSVFFTDTSTRYNRKDHLNVLLEGEGTGRLLRYDPETKAAHVVLSGLVFPNGVQISDDQQFLLFSETTNCRIMRYWLEGPRAGQVEVFADLPGFPDNVRLSSGGGGGRFWVAIDCCRTAAQEVFAKRPWLRTLYFKLPLTMRTLGKMVSMRMHTLVALLDGEGDVVEVLEDRGGEVMRLVSEVREVGRKLWIGTVAHNHIATIPYPLEEQSSSSSSNVLGD >ONIVA03G12700.1 pep chromosome:AWHD00000000:3:9348058:9350560:-1 gene:ONIVA03G12700 transcript:ONIVA03G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT2G32600) TAIR;Acc:AT2G32600] MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGRYCLPPAKTLAFFFLDSVCSLALIPLDFVSSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKFAPRKSVKIGRPGYQVTKQYDPDMKQHSFLFEIGYPEIEENSKPRHRFMASYEQKVESWDKKYQYLLFAAEPYEIIGFKIPSAEIDKSADKFFNYWDPDKKQYILQLYFKTRQPEANKPPAAPGTLPNGSGGPPRPPPPQVPPPPPPNAPMGMPPRIPPPPVGGTQPPPPPPPLANGPPRSIPPPPMTGGAMANFTPGAPPPRPPMQGFPGPQQ >ONIVA03G12690.1 pep chromosome:AWHD00000000:3:9343848:9348698:1 gene:ONIVA03G12690 transcript:ONIVA03G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQRAHHRHCTARAQRPEEAVGFLSRVRAHHIRNSAPESRGVASSDLSPQKTEKGKKKTAKPPPRGAQRLSVSERAAARPPRHARRTRLLRSSRRFGFASGRRRARAFGETRRPLSPSCTPPRPCASPPTLLSRRAPPSSSSACPRMASPDKVGCSPEPLPLDRLLAELAANAERLGRRWEAALRKRGREGARAAGVGLEEGRKADSAAMQLHTPLFYATCALGGLLSTGLTHLAVTPLDLVKCNMQVDPGKYRDISSGFGVLLQEQGLGGFFKGWMATLVGYSSQGACKFGFYEFFKKCYSDIAGPEHAEKWKTFIYLAASASAEMIADVALCPMEAVKVRVQTQPGFARCLTDGFPKIVQSEGFIKDYFLSGVAKFLIGPHLLKYTMVKFACFETIVELVYKHAVPKPKDECSKPLQLAVSFAGGYIAGVFCAAISHPADNLVSFLNNAKGGTMADAVRTLGVWGLLTRGLPLRIIMVGTLTGAQWATYDAFKVFVGLPTSGGFIPSPAATDLRQVDHEKRS >ONIVA03G12680.1 pep chromosome:AWHD00000000:3:9339085:9340824:1 gene:ONIVA03G12680 transcript:ONIVA03G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor [Source:Projected from Arabidopsis thaliana (AT4G08250) TAIR;Acc:AT4G08250] MEVTMEDVAGDFEFSGCGSTTTTSSASSLDDGTGMCYAWGELSPVADWANFCCSDDDGGHDLHGLIESMLCDDTLVVVDDDGQAGLHHADMFRDDLYCYGNGSNPSSTTTTNPGSPVFDDPTQGCPEKGLRLLHLLMAAAEALSGPHKSRELARVILVRLKEMVSHTAGANAAATNMERLAAHFTDALQGLLDGSHPVGGSGRQAAAAASHHHAGDVLTAFQMLQDMSPYMKFGHFTANQAILEAVSGDRRVHIVDYDIAEGIQWASLMQAMTSRADGVPAPHLRITAVSRSGGGGARAVQEAGRRLSAFAASIGQPFSFGQCRLDSDERFRPATVRMVKGEALVANCVLHQAAATTTIRRPTGSVASFLSGMAALGAKLVTVVEEEGEAEKDDDGDSAGDAAAAAAAGGFVRRFMEELHRYSAVWDSLEAGFPTQSRVRGLVERVILAPNIAGAVSRAYRGVDGEGRCGWGQWMRGSGFTAVPLSCFNHSQARLLLGLFNDGYTVEETGPNKIVLGWKARRLMSASVWAPPPLPVPSSPPEGVCQPVVGMAPVATGGFARTEFDYIDSFLVEPAYALV >ONIVA03G12670.1 pep chromosome:AWHD00000000:3:9329432:9329668:1 gene:ONIVA03G12670 transcript:ONIVA03G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERVVDDVPHQQHRALTDDVDTSSMSGRERESSWSGWRMLMDEAEESKRPSMPIRRRHPVALEAPLTQQQGRGAAK >ONIVA03G12660.1 pep chromosome:AWHD00000000:3:9323891:9324667:-1 gene:ONIVA03G12660 transcript:ONIVA03G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNTSNKCIAAAGATAAAGLGGGAASCSGGGGDGKVTTAAAAALAVRPYKGVRMRSWGSWVSEIRAPHQKRRIWLGSYATPEAAARAYDAALLCLKGSDAILNFPSSASSRRRLDIHRGSTDSAAGDMSPRSIQRVAAAAAAAFDAAAAGVVVDESCSCSAEAMSSTPTSGATSLSTLGSSGGGDVLDHATTPSSSSSAAANVCSPPLEGDHELWTELDAFASPKFMDLMAAGGTAFSSPWEEPEEDGELMRLWSFC >ONIVA03G12650.1 pep chromosome:AWHD00000000:3:9317271:9322224:1 gene:ONIVA03G12650 transcript:ONIVA03G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGDAFGSATAPLAWHDFLERMRQPSAADFVKSIKGFIVTFSNRAPDPEHDSAAVQEFLENMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQSETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLLNASIVSNENPPGADEFLPVLIYVTIKRYRRQSRLVSEAQYFFTNILSAESFIWNIDGESLSMDERDFQKKMDLARERLLGLSASSENQDNQNNLDVREQKSQTLKASRDSDVNLSLKDNFQGPGLEMRRDSDASSNPVERVQSISDLEKKGAAELLKDDDLNKKIQEYPFLFARSGDLTVADVENLLNSYKQLVLKYVALSQGMGINLENPPVQSMQTVSDLVESEEPKNVKNAVNFSEGSSKTSDDIKNDTLYSEVDNTGTQQTAVDPSYQKAQQDEASDQPEHA >ONIVA03G12650.2 pep chromosome:AWHD00000000:3:9317271:9322783:1 gene:ONIVA03G12650 transcript:ONIVA03G12650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQANPPQLHSNLLYIQRYRRQSRLVSEAQYFFTNILSAESFIWNIDGESLSMDERDFQKKMDLARERLLGLSASSENQDNQNNLDVREQKSQTLKASRDSDVNLSLKDNFQGPGLEMRRDSDASSNPVERVQSISDLEKKGAAELLKDDDLNKKIQEYPFLFARSGDLTVADVENLLNSYKQLVLKYVALSQGMGINLENPPVQSMQTVSDLVESEEPKNVKNAVNFSEGSSKTSDDIKNDTLYSEVDNTGTQQTAVDPSYQKAQQDEASDQPEHA >ONIVA03G12640.1 pep chromosome:AWHD00000000:3:9315569:9315876:-1 gene:ONIVA03G12640 transcript:ONIVA03G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGAFDMWGKAADRKVSLSALKNTNSQHSLSWSHHKLQKAKGLQVMQIIGYAARIREQ >ONIVA03G12630.1 pep chromosome:AWHD00000000:3:9311127:9311846:1 gene:ONIVA03G12630 transcript:ONIVA03G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family [Source:Projected from Arabidopsis thaliana (AT2G01080) TAIR;Acc:AT2G01080] MTTRSNGEHHHGPPSYQQRRPHYGGGYGGGSASFRGCCCCIFLLLTFLALLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVTPPSSAASAVGGTVAAAVPAAAYLSLNITLLFTAVNPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQADAQDLVRDAAISDRVDLRITGDVGAKILVLGFSSPKVQVNPTPPKPNFPLPPPQAADYARPDRESCV >ONIVA03G12620.1 pep chromosome:AWHD00000000:3:9299629:9303897:1 gene:ONIVA03G12620 transcript:ONIVA03G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSRLLLPPLFLCRPLPLPPLLHRRRRVVLNPSPRTLTTRAEALFSRHSHLLPADDRSPSPSTRGSVQPPPDYGGGGGGAGPGTIAAIVTSLGGGPAAVGIVRLSGPDAAAVAGRVFRPARRAAPPWRPRSHFVEYGVALDRDGGVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPAENVSRLISAKSAAAADSALAGIQGGFSALVKSLRSRCIELLTEIEARLDFEDELPPLDLTMLVSKINGMRQEVQDALDTANYDKLLQSGLQVAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPITLLDTAGIRETDDIVEKIGEILSWKYSMLHSAYLYNGVERSEAAALGADLIIMTISAVDGWTEDDTKLIEHVLISKKSSGAPVPMVLVINKVDCAPFISGEQFEQFHGVFKKHVQTCAVTGKGISELEKAIIEVRGLEPVPSEGRRWTVNQRQFEQLLRTQQAFTRLESSINEQLPMDFWTIDLREAALALATISGEDISEEAVTYIGA >ONIVA03G12620.2 pep chromosome:AWHD00000000:3:9299629:9303897:1 gene:ONIVA03G12620 transcript:ONIVA03G12620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSRLLLPPLFLCRPLPLPPLLHRRRRVVLNPSPRTLTTRAEALFSRHSHLLPADDRSPSPSTRGSVQPPPDYGGGGGGAGPGTIAAIVTSLGGGPAAVGIVRLSGPDAAAVAGRVFRPARRAAPPWRPRSHFVEYGVALDRDGGVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPAENVSRLISAKSAAAADSALAGIQGGFSALVKSLRSRCIELLTEIEARLDFEDELPPLDLTMLVSKINGMRQEVQDALDTANYDKLLQSGLQVAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPITLLDTAGIRETDDIVEKIGVERSEAAALGADLIIMTISAVDGWTEDDTKLIEHVLISKKSSGAPVPMVLVINKVDCAPFISGEQFEQFHGVFKKHVQTCAVTGKGISELEKAIIEVRGLEPVPSEGRRWTVNQRQFEQLLRTQQAFTRLESSINEQLPMDFWTIDLREAALALATISGEDISEEAVTYIGA >ONIVA03G12610.1 pep chromosome:AWHD00000000:3:9294556:9294987:-1 gene:ONIVA03G12610 transcript:ONIVA03G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTASSTTSPPHTTTMSTHSTELVKGSHEFTVAGYSLQKRNGAGHRISSGFFEVGGYSWAVRFYPAGSTKDQEEGHVSVFLELGSTVVEKVTARFRFRVNGATASSWGQFNDFTLSSKTWGYQKFMEIETVESEYSTTA >ONIVA03G12600.1 pep chromosome:AWHD00000000:3:9294158:9294553:-1 gene:ONIVA03G12600 transcript:ONIVA03G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCDVEVVKELKTGATMSRFITVPPPAICCHLEQLLESKEGCDVTFQVDRSDYDAHRVVLSARSPVFRAQFFGPMADTGGGGRYVRILDMKPTVFEAVLRFIYTDRLPLVEDGEAAASSCWREDVREMARS >ONIVA03G12590.1 pep chromosome:AWHD00000000:3:9286045:9289681:-1 gene:ONIVA03G12590 transcript:ONIVA03G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRESRDATVGSSAVPPLSPSPSPTSSLCVGRGGERSVGRGGRSVLKKRSEDVEKRRRLEEAQVRGPWSKSETWGLFCSAHHMGNCRMGATAGNGAVDACGERWEAGRLYVCNSSVLPTAVGVNPMITI >ONIVA03G12580.1 pep chromosome:AWHD00000000:3:9284338:9285522:-1 gene:ONIVA03G12580 transcript:ONIVA03G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSTVPQSSSTSSTPQNTISTHSTELVRGSHEFTVAGYSLQKRKGAGHSIRSGSFEVGGYRWVVQFYPAGESKEEEGHISVYLELRSTVVDKVTAWFTFGVNGASGSSLHMRGSFDDYTPTSKSWGYPKFMEIETVESEYLINDCLTLLCDVEVVKTVKTGATISCFITVPPPAICRDLELLLESKEGSDVTLQLEQSEYDAHRAVLAARSPVFSAQFFGPMADEDAAAAGSRRNVRIHDIKPAVFEAVLHFVYTDTLPPATTSWSASHRDKRPKLSDVAAASCSEEEVRVMIGERLAAADRFDLERMRLLCEDALWETIDVANAASTLRLADRHHCPQLKELCMEYIASAGVLAAVMTTEGFRELKLDCPSLLIEILENFGKRSEADEE >ONIVA03G12570.1 pep chromosome:AWHD00000000:3:9279185:9280363:-1 gene:ONIVA03G12570 transcript:ONIVA03G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASNVPPSSSTSSSSPHNTTSTHSTELVKGTHRFTVAGFSLQKRNGAGHFAKSGSFDVGGYSWAVMFYAAGEKEEDQGHVSVFLELQSTGVEKVTVKYTFNISGSSLLSVGWGDFKPSSKCRLGFNKFMEIETVEDVYLMNDCVTIHCAVEVVREKKARATVSRRIAVPPPAICRHLEQLLESKKGSDLTVQVGESKYYVHRAVLAARSPVFRAQFFGPMAAANRRASGGGGRCVRVHDMRPAAFEAVLHFVYTDTLPPVKEEEGFLTKNSASRRHLVNLRDAAAGCSKGEVRVMVREWLAAADRFGLERMRLLCEDALCESIGVANAAATLRLADRHHCALLRALCMEYIASPGMLAAVMATEGFKELKVACPSLLIEILEKVGCCRSE >ONIVA03G12560.1 pep chromosome:AWHD00000000:3:9269500:9276300:1 gene:ONIVA03G12560 transcript:ONIVA03G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQHDESTANEVSTTTQLPSYGSSEEPRVYKVGCPPQKNFAREFRDTLRETFFHDNPLRQYKDQSGLAKFMMALQFLFPIFEWGRCYNLRKFKGDLIAGLTIASLCIPQDIGYSKLANLDAQYGLYSSFVPPLIYAAMGSSKDIAIGPVAVVSLLIGSLLQNEVDPVKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIKSFTKKTDIISVMRSVWTSAHHGWNWQTIVIGITFLAFLLLAKYIGKKNRKFFWVPAIAPITSVILATLFVFITRADKQGVQIVNHIKKGINPSSVHKIYFTGPFVAKGFKIGVISAMIGLTEAVAIGRTFAALKDYQLDGNKEMVALGTMNIAGSMTSCYIATGSFSRSAVNFMAGCQTPVSNIIMSAVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAVILIWKVDKMDFIACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTVLLGNLPGTTIYRNTDQYPEARHIPGVVIVRVDSAIYFSNSNYVRERTLRWLTEEEEKAKAEGQSKINFLIIEMSPVIDIDTSGIHALEDLYKNLKKRDIQLILANPGSIVMEKLLSSKLNEHIGSNNIFLTVADAVRFCTRKSMQEP >ONIVA03G12560.2 pep chromosome:AWHD00000000:3:9269923:9276300:1 gene:ONIVA03G12560 transcript:ONIVA03G12560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQHDESTANEVSTTTQLPSYGSSEEPRVYKVGCPPQKNFAREFRDTLRETFFHDNPLRQYKDQSGLAKFMMALQFLFPIFEWGRCYNLRKFKGDLIAGLTIASLCIPQDIGYSKLANLDAQYGLYSSFVPPLIYAAMGSSKDIAIGPVAVVSLLIGSLLQNEVDPVKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIKSFTKKTDIISVMRSVWTSAHHGWNWQTIVIGITFLAFLLLAKYIGKKNRKFFWVPAIAPITSVILATLFVFITRADKQGVQIVNHIKKGINPSSVHKIYFTGPFVAKGFKIGVISAMIGLTEAVAIGRTFAALKDYQLDGNKEMVALGTMNIAGSMTSCYIATGSFSRSAVNFMAGCQTPVSNIIMSAVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAVILIWKVDKMDFIACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTVLLGNLPGTTIYRNTDQYPEARHIPGVVIVRVDSAIYFSNSNYVRERTLRWLTEEEEKAKAEGQSKINFLIIEMSPVIDIDTSGIHALEDLYKNLKKRDIQLILANPGSIVMEKLLSSKLNEHIGSNNIFLTVADAVRFCTRKSMQEP >ONIVA03G12550.1 pep chromosome:AWHD00000000:3:9228067:9229098:-1 gene:ONIVA03G12550 transcript:ONIVA03G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKTAHTVWISEDSAVLKNKTPQEKKDYIERLHKRKMAEVGNYLATSFLAHSDKRVIMVPYYFGEHYILFLVYPTDQTVVVLDPADYDKDAYMEFLCLLNLAHDRYKKRGGYVKNPSREKLYIRGHWPCYKQPSLTNLCGYYVCEMLRVNGRYKTKFTDLPSIPYSASRFDKKTLINLCADLCRYIRRNICNHLGEFHDPHSELATDPKFKNLR >ONIVA03G12540.1 pep chromosome:AWHD00000000:3:9221373:9225722:1 gene:ONIVA03G12540 transcript:ONIVA03G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGMLCRSQAATAVCVPGDARSMIVSRRADRTIAEDARLAHDVRYARLGAAASAGGARVPSRRFAAPRQALTPPPPPPPPPQPPKQHRRPRRGAGVAVTLPMVTKSPKETPAREMAAAAAAAKRAPLAAASPGDQVLQVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDLESKKVTVMGHVSPAGVLESISKVKKAELLFL >ONIVA03G12530.1 pep chromosome:AWHD00000000:3:9214016:9214618:-1 gene:ONIVA03G12530 transcript:ONIVA03G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVRRPGIRDASWALVRHQRGRPRGPGQAVAAWALGASVVVAPAQRGSGAVAQPPHGGQRGHGAAAGQHSTALQRHVFRFLFFITFFFVFLSLPLFLSQANTFTKCGDTADRLRTGAPATAWAPAPGAKWPRRVASSAPRWGDGRERRTETPHCSALERRSRSQPWRWSARRGDGHGRANGWRRRRSLLLPPEREEEQT >ONIVA03G12520.1 pep chromosome:AWHD00000000:3:9202404:9203361:-1 gene:ONIVA03G12520 transcript:ONIVA03G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDAMESGARDVLFLCARMNAHFSVGSGSPSCRLDSEPVVTVDSAYVEAAAMDHAIITVDAGVGTMPIHKKFTDNNIHIHRAAHKTDNNTDNLLGQQQQIPVNIFNLQQQLPNARK >ONIVA03G12510.1 pep chromosome:AWHD00000000:3:9198413:9198664:-1 gene:ONIVA03G12510 transcript:ONIVA03G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVEEDRCNGGELAKEEAATMRASLRRRKLAAARLFTESGEAPMRRGEMSEAAIFVGGDDCAKAIYNDRIREKHSYECLAL >ONIVA03G12500.1 pep chromosome:AWHD00000000:3:9195436:9195714:1 gene:ONIVA03G12500 transcript:ONIVA03G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSTEWMDEDVQGCARRPCEGMRMGTRGSMARMAACDKASGEGKVRRRLEESEAAAAEGETGEEKGERFRGRVLWCYLGQLSTGPVCSRW >ONIVA03G12490.1 pep chromosome:AWHD00000000:3:9189124:9194248:-1 gene:ONIVA03G12490 transcript:ONIVA03G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEERRKGSRWMGVEGRRKGRRGGGEGRGGEARSDLREGVGEERYEVMIGLGRPAGESPGPTI >ONIVA03G12480.1 pep chromosome:AWHD00000000:3:9183277:9183531:-1 gene:ONIVA03G12480 transcript:ONIVA03G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSGEEEDGSGGGRGGFGTSVDVAPERKFRGVRKRPWGKYGAEIHVSQQSAHMWLGMFDTAEEATRVYDHAALRLRGPSGMTN >ONIVA03G12470.1 pep chromosome:AWHD00000000:3:9175385:9176245:-1 gene:ONIVA03G12470 transcript:ONIVA03G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKSDKDAPAPAEIRGHPFHPAHKLKLITADDAGAGRFVCDGCKELGGAGCARYECEEAGCDFDLHAPCALAPDVLPAGRALFKGGAASFVLLHEPPPTAAPDDGDVRVCDACGDDVRGFVYHCFDRDLDLHPCCAHLPGRVALGGAAFELSSGGTAPRRCLLCTEEGSRPHLRRNYWTYSSDDLDGEAVHLHVACVKRMAYESSSAGSSSSHRTDGGGGGRNMPVIRAPVQAAVALRKKNGRPRSKLKKLLKIVVFVLRVIAGVLFGDPTAMAVAVVGLVFPNG >ONIVA03G12460.1 pep chromosome:AWHD00000000:3:9172242:9173705:-1 gene:ONIVA03G12460 transcript:ONIVA03G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYQRFARAVAAVAAAAGRHLPDDAVISDISRSLSSRAAHRLAAACPRWRAILSQPTFLCRHLSPRPLAGERPRALIVQPRKLGFTHLSLVAVDPADELAVHVPIRNKYKRPTRLYHESHRRSFIPNASAADEPLPEPEPDRFADHLAPGLEVVDADDDDDHVAFFERTVPALDISIVAAHGRLLLARGRSCYYVCDPAANRWVELPPSTLPPEHGINSGLHYDDLDDDASSGTGRLDFTVVLIGCRHRRVVVETFTSATGRWETKELPEQGTQGLARSVGGGPASPGIHVGGCFYWLTHRRNRGRILRYDVAGGRVTVVREPARAEGSIGRAERSLGSTGGRLRMCAFDVRDDSDESGSPYPHDGGVGVHGVWVMTTDDGVAAPAWRRVHEATVDDVGFYYFHMLFERERPVDFAGACGDFVVLDDSGYKLWRYDYLESGDNRRVELWNLNNPKDDNLRDLYERNQVSFVFEELYDRYHVFPFFG >ONIVA03G12450.1 pep chromosome:AWHD00000000:3:9163366:9164184:-1 gene:ONIVA03G12450 transcript:ONIVA03G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGTPAKIKHKFHQHELKLVTAAADDKERLKCDGCDGSIGAACARYTCNSCDFDLHTSCALAPLVLPEHRLFDGCKFRLLREPPPPGPGNRRVCDACGGDVTGRGFVYHCSDRDLDIHPCCATLPESVALDGAEFVLCDGGGRNVPRQCAFCKRDDGGCSCSRTLRRKVWTYRSCYDGEAMYLHVACVKEMVQEILAAGHGGGGGDGGGRSIISVSILEKTMKKRKRSRTGKAVKCFLNFAISVIVSVLFGDPTGLAVPLVGAFISNVVYG >ONIVA03G12440.1 pep chromosome:AWHD00000000:3:9157564:9158170:1 gene:ONIVA03G12440 transcript:ONIVA03G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSSINHTLVKVSVEVLDTPLLRTSSQVNFSQPSSSTQPSHALVPSNTYLENPYPMAKHVGVDEQGMYLDDGDEHVVMLRKLDFKGLSVKNPSMNLRMDVHEDKSQDGLEDESQDDCVDESMV >ONIVA03G12430.1 pep chromosome:AWHD00000000:3:9153678:9154487:1 gene:ONIVA03G12430 transcript:ONIVA03G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFEEPPAKLTHWAHPEHELTLAATAGAPFRCDGCQEPGGDGPRYRCAPCNFDLHTDCALPPATLQHPLLFKGGGCTFVFLREPPAPAAASRQCDACGDDVRGFVFHCADRDLDLHPCCASLEDRIVTGGGGDGDGRVFELTKAASSSSSRRRCGVCGDKSRRTFWFYRGRFDGEDVFIHVACVKELAVRRWEASYRRRSGAGQIALAGAPLMEGALQSLPRRTRRSGGFERFSKIVGVIVSAIIAVIFGNPMGLIAAVAGPDGLLRG >ONIVA03G12420.1 pep chromosome:AWHD00000000:3:9146291:9153645:1 gene:ONIVA03G12420 transcript:ONIVA03G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLQSVPRRRTPRSGGFERFCKIVNVVVSVIIAVIFGNPMAMISAVAGPDGLLRGAA >ONIVA03G12410.1 pep chromosome:AWHD00000000:3:9143173:9146262:1 gene:ONIVA03G12410 transcript:ONIVA03G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGNAKPGGGGSGAYTINLDNFSKRLKVFYDHWKEHNSDLWGSSNAIAIATPPPSEDLRYLKSSALDVWLLGYEFPETIIVFMHKQIHFLCSQKKANLIGTLKKAANDAVGADIVLHVKAKNDSGVGLMEDIVRAVCAQSKSDDPIVGHIAKEAPEGKLLEAWADKLSSSSVQLTDITNGFSELFAMKDTSEIACVKKASYLTSSVMKNFVVPKLEKVIDEERKVTHSSLMDETEKAILDPLKVKVKLKAENVDICYPPVFQSGGKFDLKPGASSNDDYLYYDSASVIICAIGARYGNYCSNMARTFLIDATPTQSKAYETLMKAHEAALEALKPGNRMSAVYQAAVDVIEKNAPELLPNLTKSAGTGIGLEFRESGLNLNPKNDRIIKAGMVFNVSLGLHNLQAETKSEKTKQYSLLLADTCLVPLENLTASCSKLLKDVAYSFNDEDEVLPVKKVEVNAKEALPPTKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGVGSGSGDGRGPSRSSNELVAYKNVNDVPYARELVIQVDQKNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDSNLKSQGEIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQLASNRNKPVRLSDVWIRPAFGGRGRKLTGTLESHVNGFRYSTSRADERVDIMYGNVKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGNRRSALDPDEIEEEQRERDRKNRINMDFQNFVNKVNDHWSQPQFKGLDLEFDVPLRELGFHGVPYKASAFIIPTTNRDTLPPPPLRGSSVEHLSAHELKLVTTGGAPFRCDGCMQPGDGGAGYRCGGATSATSTCARAARSRRPPWSTTCSRSARSRSSPSRRRRPPPRAAGSATPAATACAASSTTPTTPTSTSTHAARSCAGASWCRTAATSSSASARRRAGLCGERSGRRHNFWAYRTYDDDGEPVYLHIACVKDGYRGRCAAAVWR >ONIVA03G12400.1 pep chromosome:AWHD00000000:3:9137239:9139085:1 gene:ONIVA03G12400 transcript:ONIVA03G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALGELPALHCFPEKAIRSFPLYPEDVLAVLANGHNKQKVEPRNIIIRQRGTHFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHVLHPVYASDSTPAAEMEPL >ONIVA03G12390.1 pep chromosome:AWHD00000000:3:9123514:9127822:-1 gene:ONIVA03G12390 transcript:ONIVA03G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADWQKLAVEISIKPVPFKHPGPTSSAHEAISGTDTLRSLPVGSSAPGKADKKCALFYGVTISEEQARSGIVVRVNSAAQSEFKLLFFEQEFDGGYGLALQASKLRENRLHQASVKDQSCHSYCCAFVVD >ONIVA03G12390.2 pep chromosome:AWHD00000000:3:9123021:9127822:-1 gene:ONIVA03G12390 transcript:ONIVA03G12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADWQKLAVEISIKPVPFKHPGPTSSAHEAISGTDTLRSLPVGSSAPGKADKKCALFYGVTISEEQARSGIVVRVNSAAQSEFKLLFFEQEFDGGYGLALQASKLRENRLHQASVKRKTST >ONIVA03G12380.1 pep chromosome:AWHD00000000:3:9116606:9119790:-1 gene:ONIVA03G12380 transcript:ONIVA03G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel cap-binding protein [Source:Projected from Arabidopsis thaliana (AT5G18110) TAIR;Acc:AT5G18110] MEPAAEKREAEQEELQQQHDEPAVPSADDDEAEAEENERRNRELKAGFHPLRRRFVLWYTRRTPGARSQSYEDNIKKIVDFSTVESFWVCYCHLTRPVSLPSPTDLHLFKEGIRPLWEDPANRSGGKWIIRFKKTVSGRFWEDLVLVLVGDQLDYSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHSYLMEYKPHDASLRDNSSYRNTWLRG >ONIVA03G12370.1 pep chromosome:AWHD00000000:3:9101667:9115891:-1 gene:ONIVA03G12370 transcript:ONIVA03G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEAPTPTPSPSPSPSPSPAKATPSPASADGNRLRRCVQSKLSWGPPKAGGGGGEAGGAGLPPLAAGDGAPEKVKKRGRPRKSEAGKKPSSNRETTGLEQDSKDEVILVDESPQKKQRKGRGKNQGAALKVPNRKHCKALESTVGHESCQQLRSSQTQAVLPQKSPTSVDIDSVTGPSEASPVNDNVDALDNEDKPQLIVDLRSEANIAAEENRRLSSGKKMHPFFASRKIHKGAGQDILNVEDEDMDSLCAFERDPPLCPVHVLYELEVTMPIHWSNKWLIADKSFLGTSTTEQNSAEHADPGKHLANFHDKQNKSKFSSQDVIDVDDECLLASSSCFHASLFESKQHERVQHELPEVTPKGCQTANLWTDKYRPETAAQVCGNSEHVKFLNEWLKGWDERGHRNKQNIVTNGSMNGRSCQDGSDTDYSEDASDYENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGAYVRQKFEEATKSHGLEKWSQEEIIGLPISNSLDPASGTPGTAEYKQVINKTLILFEDVDTVFDEDRGFISTILKMVETTKWPIILTSNKKDPPLPHLLAQLVLDFTYPSSAELLSHVDMICKSEGVEITVPQQKHIIDAFLGRLNKCLSCPSLLDLDAVHSTVPRIMPWDFPCKLSETIYMEIDKTIVTAEQKKKQMEVSEFEGLELQIMTPLTKGRSAGKTRKPKKSKLKHGRSADCNDASPCKNDLDDFHDSPDIPLPSNHQRMRNRRGVVLFAESDDDLADAHAAKDATFTVQEGRLLPQSSELPCLYGHGISNIVPESVFFQQSSVPHLHREVISNQLCFPSESRAFEPASSFQNQLESNMPGSISQICDTFMSQGISCVPESSFMVGGTSASISSDDLLSSLVSNGLSALRNESTYTASVVALEDTNKVENQMTDEPQKCMEDEVGETCEAYVELADRNDHASCSITGYQLMDECSRAESVWLLSGKKNNDSCKVEHVQDTWNRLRRCHPVLPCDMNHNRSVSGALKRVSRVSDLISESDLMLISCHPFSNDISDPSLTPYTESDGFSYSKQLEMGSIYAQHGLCIFLQDSQATDDGFVDLLQELLFSGTTTTSLGKFVSSGISCGDGSGNISHVKYPTSCISKRRERQARLREVLLPVVPPKLSQSLRGPAFVDYLSSMSQISQLENMQLSECKASSKQRRCRQPRHYLSSGALSLSAEDIGLLAQCSTFSDRRESETIIEQAIS >ONIVA03G12360.1 pep chromosome:AWHD00000000:3:9093176:9097188:-1 gene:ONIVA03G12360 transcript:ONIVA03G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPAAPPSPSSSSGGSSRRRRRLERRNAAKHIGYDASNFCAYPQSPPAASAPASGSPSLACSPACSLDLTSFRIGGSGDGCRDVQLLCSSLGLSGVDDFAVPVADWEAHKAGRSSFSSSASTPKPREEPPARDSPVRREVAAEEEPPSLPAPAAAPVLPAKETPRSVAIEAPAPLLRVDPWEPARPDVRKASGEGGIKGVRPPPVVLKPPPSMVRPAVCVVESTWDILRSFAPEEDSHAHAPASRSGGDSACQDAGEEEDDAAAVLTLEELRLGETSEEFTGTSSLSTTNDDETSSTTTESMFYISPNGRFRRKIRSWNRGMLLGSGSFGTVFEGISDEGVFFAVKEVCLCDQGSNAQQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILNGLTYLHERNIVHRDIKCANILVHANGSVKLADFGLAKEVVNPKTTYGPEADIWSLGCTVLEMLTRQLPYPGLEWTQALYRIGKGEPPAIPNCLSRDARDFISQCVKPNPQDRPSAAKLLEHPFVNRSMRSIRSMRTSSRSNSSILVLLIMWSWPGRTIRYREAILSTAQSSTPTIAFHLLILSHSLLRGDMSWFSQRLIFSPENRLNVHSSA >ONIVA03G12350.1 pep chromosome:AWHD00000000:3:9088231:9091989:1 gene:ONIVA03G12350 transcript:ONIVA03G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of Y14-MAGO [Source:Projected from Arabidopsis thaliana (AT1G11400) TAIR;Acc:AT1G11400] MATASDGSGGEQRRLLSIPKEGERIIAPTRRPDGTLRKAIRIRAGYVPQEEVAIYQSKGAQMRKSGPDVPPGYDPALDAKPKTKAAKRNERRKEKRQQASTTNDKGKGLHIEDDAGETDNPKDAVDSVTKQISGIAISESLVVATSSTDATDNSKSESSAPDIDKKIRALKKKIRLAEAQVQGDPENLKPEQLEKMKKIEGWKEELKLLENKSSPAAS >ONIVA03G12340.1 pep chromosome:AWHD00000000:3:9078703:9082762:1 gene:ONIVA03G12340 transcript:ONIVA03G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQQGECCRHGWLGVGEAAVGGGGEEPFYVPLRKRLSVDGKASTAPRICIWECDGEAGDITCDIVAAPLRRSCSAKAMPPPAPLFRMMTPPPPRPQRGDGEEARRPGEAIRKGHRSYSLMLNLQLGISYSVGKSSALPFQKLAASDFDPREKVWTRFPPEGSKFTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPAEYMLAICGNDTLRELASPGKSGSCFFITQDDRFMIKTVKKSEVKVLIRMLRSYYEHVRQYKSTLLTRFYGTHCIKQAGCPKIHRRFDLKGSSHGRTIDKTERKIDETTTLKDLDLQYAFRLQRFWYEELMKQIQMDCTFLETQGIMDYSLLLGVHFRNDYSVSKIGISQHMAFPKSTGKRKSFEGGSSFCELCFVESGCKDRDLIDSRKPFIQLGINMPAQAERSSKKILDNFLLNERHLFITPPSGGSCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPDYISAVDPKLYSRRFQDFIRRVFIKEQ >ONIVA03G12330.1 pep chromosome:AWHD00000000:3:9067345:9076201:-1 gene:ONIVA03G12330 transcript:ONIVA03G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27640) TAIR;Acc:AT4G27640] MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKQALIDSITIDHSHLVRRASANVVSIIAKYAVPAGEWPELLPFIFQCSQSPQEDHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDEASSRVRIAALKAVGSFIEYVNDGGDVVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQELEINIRQQAIQIISWLVKFKASFLKKHKLVIPILQVMCPLLTETADEDGDSDLAADRSAAEVIDTMAINLPRHVFPPVLEFASVSFRHINPKYREAAVTSLGVVSEGCCEHLKDKLEDCLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGENILPYLDPLMCRLVMSLQGSPRNLQETCMSAIGSVAAAAEQAFMPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRARMETILPPFIEAAISGFVLDYSELREYTHGFFSNVAEILDDSFAQYLPHVVPLAFSSCNLDDGSAVDIDDADSVDNGFSGVSSDDDVNDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDVRLQAIISLKHILTAIRAIPPAHADVLEKQKDILDTVMNIYIKTMREDDDKEVVAQACTSLADIVRDCGFAIIEPYITRLAEATLILLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFTKLFDSLMKFAKSPHPPQDKTMVVATLAEVAQGMGAPISAYVDKIMPLVLKELASSEATNRRNAAFCVGEMCKNGGAAALKYYGDILHGLHRLFADSEPDDAVRDNAAGAIARMIIVQPQSIPLNQVLPVFIKALPLKEDHEESMVVYSCVCNLLLSSHPQILPLVPDVINAFAQVVVSPNESDEVKIVVAKAVSHLISVYGQQMQPILSALPPAHANALASFANRR >ONIVA03G12330.2 pep chromosome:AWHD00000000:3:9067345:9076201:-1 gene:ONIVA03G12330 transcript:ONIVA03G12330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27640) TAIR;Acc:AT4G27640] MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKQALIDSITIDHSHLVRRASANVVSIIAKYAVPAGEWPELLPFIFQCSQSPQEDHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDEASSRVRIAALKAVGSFIEYVNDGGDVVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQELEINIRQQAIQIISWLVKFKASFLKKHKLVIPILQVMCPLLTETADEDGDSDLAADRSAAEVIDTMAINLPRHVFPPVLEFASVSFRHINPKYREAAVTSLGVVSEGCCEHLKDKLEDCLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGENILPYLDPLMCRLVMSLQGSPRNLQETCMSAIGSVAAAAEQAFMPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRARMETILPPFIEAAISGFVLDYSELREYTHGFFSNVAEILDDSFAQYLPHVVPLAFSSCNLDDGSAVDIDDADSVDNGFSGVSSDDDVNDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDVRLQAIISLKHILTAIRAIPPAHADVLEKQKDILDTVMNIYIKTMREDDDKEVVAQACTSLADIVRDCGFAIIEPYITRLAEATLILLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFTKLFDSLMKFAKSPHPPQDKTMVVATLAEVAQGMGAPISAYVDKIMPLVLKELASSEATNRRNAAFCVGEMCKNGVRDNAAGAIARMIIVQPQSIPLNQVLPVFIKALPLKEDHEESMVVYSCVCNLLLSSHPQILPLVPDVINAFAQVVVSPNESDEVKIVVAKAVSHLISVYGQQMQPILSALPPAHANALASFANRR >ONIVA03G12330.3 pep chromosome:AWHD00000000:3:9067345:9076201:-1 gene:ONIVA03G12330 transcript:ONIVA03G12330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27640) TAIR;Acc:AT4G27640] MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKQALIDSITIDHSHLVRRASANVVSIIAKYAVPAGEWPELLPFIFQCSQSPQEDHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDEASSRVRIAALKAVGSFIEYVNDGGDVVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQELEINIRQQAIQIISWLVKFKASFLKKHKLVIPILQVMCPLLTETADEDGDSDLAADRSAAEVIDTMAINLPRHVFPPVLEFASVSFRHINPKYREAAVTSLGVVSEGCCEHLKDKLEDCLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGENILPYLDPLMCRLVMSLQGSPRNLQETCMSAIGSVAAAAEQAFMPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRARMETILPPFIEAAISGFVLDYSELREYTHGFFSNVAEILDDSFAQYLPHVVPLAFSSCNLDDGSAVDIDDADSVDNGFSGVSSDDDVNDEPRKSPHPPQDKTMVVATLAEVAQGMGAPISAYVDKIMPLVLKELASSEATNRRNAAFCVGEMCKNGGAAALKYYGDILHGLHRLFADSEPDDAVRDNAAGAIARMIIVQPQSIPLNQVLPVFIKALPLKEDHEESMVVYSCVCNLLLSSHPQILPLVPDVINAFAQVVVSPNESDEVKIVVAKAVSHLISVYGQQMQPILSALPPAHANALASFANRR >ONIVA03G12320.1 pep chromosome:AWHD00000000:3:9050924:9052111:-1 gene:ONIVA03G12320 transcript:ONIVA03G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVFETAEQRLPCHAAAAELGADGGKKVAVDDDASGGGPGQAVLLLLQETDHGGDDDDRPERDDVWNMIQSQRPPVAAGKQQQAAAPYVHPLVRRSSSLLSQKSLEICTESLGSETGSDGFSDADGSTDRSCPASDDDSDGGAEEVAARASPPRAFPPPLPSLARRTVGAVQMTQDRRDGRLVVKAVPVPSATLFRAQRCGGRLLLSFADTAAPSSDEDDENNYQEEPEQQADEVAHEEEEEDDDEDDEEEVEVVDRGTIVEVKVSTQPQARSNGGGSVGPRVHRSSLVINKFVNAEPGIAASDISDTAATAPNPPRRPTGSTTTAAAALVAASSLSATSAPSGSDNPGGESKLLMTTCRRRRSKEELMNHMRRCGQLSGKLFIWEPRIATSS >ONIVA03G12310.1 pep chromosome:AWHD00000000:3:9040651:9041235:-1 gene:ONIVA03G12310 transcript:ONIVA03G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGQVVTGCGHGPGGRPFGAVKGMPGWSLVMLVKPGFFQDETMPLLDVWQWDTMTAAKGRTAQRESSDTACRREQLEPLFFDEPFPTEIDGGSTMRVLENFRISQGAAAMPD >ONIVA03G12300.1 pep chromosome:AWHD00000000:3:9034988:9039377:1 gene:ONIVA03G12300 transcript:ONIVA03G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22080) TAIR;Acc:AT5G22080] MSLVSSEHKKSGPSVPSPTPVSPLSVALSLHATRSLVSSRRPPGATAFRPPSMASTDADEDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSADEVKKQYRKLSLLVHPDKCKHPKAQEAFAALAKAQQLLLDPQERGYILDQVTAAKEELRAKRKKELKKDSASKIKSQVDEGKYEEQYERSEEFQKQLIIKVREILTDKEWRRRKMQMRISEEEGRLKKDEEETKEMWKKKREHEEKWEETRDQRVSSWRDFMKTGKKARKGEIKPPKLKTEDPNKSYVQRPVKRA >ONIVA03G12290.1 pep chromosome:AWHD00000000:3:9022509:9024880:1 gene:ONIVA03G12290 transcript:ONIVA03G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARRLLHGARPLRRHPQRRLPEHDVQREPAELHRPGERGDVPGEQVQRRPDGVRHQGGHRDGRVRRQDPPQARRRPIASHHVSHIHTRYSESVIVQRSLEVIKPRGGVHRWPWRGGAATRTRHARACWQLDHLWFVGVGIGPRLLVRQWRWPLATRRSNIMLC >ONIVA03G12280.1 pep chromosome:AWHD00000000:3:9012061:9015172:1 gene:ONIVA03G12280 transcript:ONIVA03G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHGDHRHHHHHQEAGVLVDEEEEEVIEQACGGPTSGVVEQEVGGDGGGVCQDAAGMVFEATSSVGSVSATMGPPPIMCWPPPAQPVHGAIHHHHNLGGGGGQQSPFFPLLPPLPPQPPPPPPFFADFYARRALQYAYDHSGGASSSSDPLGLGGLYMGHHGSHVAGMMMPPPFAPSPFGDLGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEITEEACPLPTESDELTVDASSDEDGRLVVRASLCCDDRTDLLPDLIRALKALRLRALKAEITTLGGRVKNVLVVTGDDSAAAAACAGTDGDGEQQEEAMQAPMSPQHTVASIQDALRAVMERTASATEESGGSGAGGGLKRQRTTSLSAILENRSI >ONIVA03G12270.1 pep chromosome:AWHD00000000:3:9000617:9000877:-1 gene:ONIVA03G12270 transcript:ONIVA03G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRRQRPAAAAAGGLLGPWLLVAVLVYSSFLGSTVFLAVDAARTSAFVAVAPLPMAPSPSPTAAELVGDSKRKVPTGANPLHNR >ONIVA03G12260.1 pep chromosome:AWHD00000000:3:8991901:8997317:-1 gene:ONIVA03G12260 transcript:ONIVA03G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRSPLVLLLLLALHRLCAPWLVVADDGASVARSAFSMDGDVAWVVQVSDLHISAYHPDRADDLASILGPALRAIRPHLLLVTGDITDNGNVLHLRPLPVGTQPKNLDVNRKVKKDAKNRRKTTSRQDEREWITYKKAIDAIVGIGGIDKSRIFDIRGNHDTYGVPYRGGKLDFFSTYSVNSQLDRLSTISSIVLQGRRNYLFLGIDDTMSIGIRYPANLFGHPTDKRIDAVNSELQYWSNHSNVPITKVVFGHYPMSFTTSSQKGQRYESIFAKQSISAYLCGHLHAKISKQLWRFHEMSATTQEQKSSYWEWELGDWKESRLMRILAIDGGAISFIDHTLKQAFQTSILITYPTDSRSMNTLESMNWSTRNDINVIRNVSARVFDSHNEFKIVEEIPLQLVATPSVHKPLFHAEWNAENYKSPSPTRYWLQVFAQDSQGGKTSSERRPFSVEGKVQIQSRPCLNYLIFEVQWEDMYQVLLWSNFAFIIVLLFAPKLLYHFMKKSLTYQRWTVSVMLSPIQPRKAYFWLVWFLMEGARSRPIWFSLLIYVIWLIEMPWFWGHATSEKGEIAQMYLSGWSIPSLGEDLTWNKSSNPDVLVITLPFLYLVVVPVVVVIYSLFAEKAVACLRHSRRTENTVNPTNSNPESRYLLPSASVEPLRQENSLNGNEILWRLDKEGAPIVVLYHCGDTFEAFLKANVSLWSQTSSLFSSSNVDAVVIIKCYCLLYNAQHILVLSAIFEARIY >ONIVA03G12260.2 pep chromosome:AWHD00000000:3:8991901:8997317:-1 gene:ONIVA03G12260 transcript:ONIVA03G12260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRSPLVLLLLLALHRLCAPWLVVADDGASVARSAFSMDGDVAWVVQVSDLHISAYHPDRADDLASILGPALRAIRPHLLLVTGDITDAKNRRKTTSRQDEREWITYKKAIDAIVGIGGIDKSRIFDIRGNHDTYGVPYRGGKLDFFSTYSVNSQLDRLSTISSIVLQGRRNYLFLGIDDTMSIGIRYPANLFGHPTDKRIDAVNSELQYWSNHSNVPITKVVFGHYPMSFTTSSQKGQRYESIFAKQSISAYLCGHLHAKISKQLWRFHEMSATTQEQKSSYWEWELGDWKESRLMRILAIDGVEEIPLQLVATPSVHKPLFHAEWNAENYKSPSPTRYWLQVFAQDSQGGKTSSERRPFSVEGKVQIQSRPCLNYLIFEVQWEDMYQVLLWSNFAFIIVLLFAPKLLYHFMKKSLTYQRWTVSVMLSPIQPRKAYFWLVWFLMEGARSRPIWFSLLIYVIWLIEMPWFWGHATSEKGEIAQMYLSGWSIPSLGEDLTWNKSSNPDVLVITLPFLYLVVVPVVVVIYSLFAEKAVACLRHSRRTENTVNPTNSNPESRYLLPSASVEPLRQENSLNGNEILWRLDKEGAPIVVLYHCGDTFEAFLKANVSLWSQTSSLFSSSNVDAVVIIKCYCLLYNAQHILVLSAIFEARIY >ONIVA03G12260.3 pep chromosome:AWHD00000000:3:8991901:8997317:-1 gene:ONIVA03G12260 transcript:ONIVA03G12260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRSPLVLLLLLALHRLCAPWLVVADDGASVARSAFSMDGDVAWVVQVSDLHISAYHPDRADDLASILGPALRAIRPHLLLVTGDITDAKNRRKTTSRQDEREWITYKKAIDAIVGIGGIDKSRIFDIRGNHDTYGVPYRGGKLDFFSTYSVNSQLDRLSTISSIVLQGRRNYLFLGIDDTMSIGIRYPANLFGHPTDKRIDAVNSELQYWSNHSNVPITKVVFGHYPMSFTTSSQKGQRYESIFAKQSISAYLCGHLHAKISKQLWRFHEMSATTQEQKSSYWEWELGDWKESRLMRILAIDGGAISFIDHTLKQAFQTSILITYPTDSRSMNTLESMNWSTRNDINVIRNVSARVFDSHNEFKIVEEIPLQLVATPSVHKPLFHAEWNAENYKSPSPTRYWLQVFAQDSQGGKTSSERRPFSVEGKVQIQSRPCLNYLIFEVQWEDMYQVLLWSNFAFIIVLLFAPKLLYHFMKKSLTYQRWTVSVMLSPIQPRKAYFWLVWFLMEGARSRPIWFSLLIYVIWLIEMPWFWGHATSEKGEIAQMYLSGWSIPSLGEDLTWNKSSNPDVLVITLPFLYLVVVPVVVVIYSLFAEKAVACLRHSRRTENTVNPTNSNPESRYLLPSASVEPLRQENSLNGNEILWRLDKEGAPIVVLYHCGDTFEAFLKANVSLWSQTSSLFSSSNVDAVVIIKCYCLLYNAQHILVLSAIFEARIY >ONIVA03G12250.1 pep chromosome:AWHD00000000:3:8989132:8991168:1 gene:ONIVA03G12250 transcript:ONIVA03G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLLPIMATKSAKDLVGDSKALVAASDVVTGSAIAESYNDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSADSPKLQLEYSNGRVVTTSEAKVADAINAATAEIAGSGKGISDAPITLVVRKRGVPDLTLVDLPGITRVPVQGQPDDIYDQIAKIIKEYIAPKESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKADKAPEGLLEKVTMDDVNIGLGYVCVRNRIGEETYDQARIEEERLFKYHPLLSKIDKSMVGIPVLAQRLMQIQATIIAKCLPDIVKQINDRLSRHSSELDQMPPDLNNVADAVRAFFHIVKQVCASLEKVLVRGEFDEFPDDRHFHGTARIAEKMDGYKRRLPAECPKSSDDDAMFLMEEVRVLEETKGINLPNFLQRSAFLVLLKKKVETVMHVPHELVNEVWGYVEDVVMNILLKHSENFPQVQPSCRRAVQTLMDKARARSAQHVKELIEMELVSDYTANPDYMRTWTEIMEGHDSFMEAVEDCSKPARITLEGFGEVDVSHLRAHAGLAGQAFDLRARLTAYWRSIVLRLVDGLALHVLRVVKRLVEHDLEAELADELLGNKMAGVERMLAPSPSTGAKRERLKKSIVLLRQSKEVVANIMDRISAAGEV >ONIVA03G12240.1 pep chromosome:AWHD00000000:3:8987964:8988432:-1 gene:ONIVA03G12240 transcript:ONIVA03G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSRNRPTQDPGGGGGSSTPATRGEGNNGGRDRVVSEAFAPRGTPTSTPRGFHELKSEDSSKPDFKVSIIGKARLPS >ONIVA03G12230.1 pep chromosome:AWHD00000000:3:8983584:8988204:1 gene:ONIVA03G12230 transcript:ONIVA03G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASRFAAALFHRRTRRVTSALAYAVLEWTLIALLLINGLFSYAIARFAAYFGLRPPCLLCSRVDRLFEKATEEEDGARWLRSVLCGAHAAEISGLGYCLHHGRLADAGDMCEACLSSSKEESIKDAGEESATVCSCCYAVVKTSSRELPDKGQGQSEEKTTEEDRNQGYVPLAQEEHGEEEDQGYVILAQEEHDEENEEEHGEFQEEERQEEVDDKDEQEEKMAAVQDESLEVMAVGEEIELDGERLLSVAAIDEMTIADESGLHQACCEKEKEMDHIDGEHELRDLDIGVVLEEKRMLDSSAATADVAIEDDFVVPVPCAEPVTSPPDPHENIIPHDDELVIEDVAQIGDATAEEETVEEEEVETAEEEEIVVPEVIEEVSEDDNQLSSLVIWYASLIVFLSVTEAVPEDDNRSAEVDTNCEVSIGSEICEREQDDHVVPFHESAEFEEPTDPLAYPDEQPLPLESLHETDPTAQEASETEQEEEATASQRLDQPPNEQNEVEDDKAPETPTYSVATQISDKKFLLERKRSLSLSLDGSVASEMELSEPSTVDQLKSALQAERKALSALYSELEEERSAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELDMCRQKVLHYEDKERRRMASFKANGHSPNGNGTSVSSSGEDSDGHSDEYCELGESPDGSNLQSPSDAAFSPRTDQENKKHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDINDTSAAVGRSSDEYELSADGLHSPGNGDITSDKAKFEGRNSICRGKSLLPLFDAAGDESSDQTPSARVGDVQVDNSTKPVSVLVKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDRGMDLLQEILQHLRELRSVELHVKHAGDALAANSA >ONIVA03G12230.2 pep chromosome:AWHD00000000:3:8983584:8987668:1 gene:ONIVA03G12230 transcript:ONIVA03G12230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASRFAAALFHRRTRRVTSALAYAVLEWTLIALLLINGLFSYAIARFAAYFGLRPPCLLCSRVDRLFEKATEEEDGARWLRSVLCGAHAAEISGLGYCLHHGRLADAGDMCEACLSSSKEESIKDAGEESATVCSCCYAVVKTSSRELPDKGQGQSEEKTTEEDRNQGYVPLAQEEHGEEEDQGYVILAQEEHDEENEEEHGEFQEEERQEEVDDKDEQEEKMAAVQDESLEVMAVGEEIELDGERLLSVAAIDEMTIADESGLHQACCEKEKEMDHIDGEHELRDLDIGVVLEEKRMLDSSAATADVAIEDDFVVPVPCAEPVTSPPDPHENIIPHDDELVIEDVAQIGDATAEEETVEEEEVETAEEEEIVVPEVTEAVPEDDNRSAEVDTNCEVSIGSEICEREQDDHVVPFHESAEFEEPTDPLAYPDEQPLPLESLHETDPTAQEASETEQEEEATASQRLDQPPNEQNEVEDDKAPETPTYSVATQISDKKFLLERKRSLSLSLDGSVASEMELSEPSTVDQLKSALQAERKALSALYSELEEERSAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELDMCRQKVLHYEDKERRRMASFKANGHSPNGNGTSVSSSGEDSDGHSDEYCELGESPDGSNLQSPSDAAFSPRTDQENKKHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDINDTSAAVGRSSDEYELSADGLHSPGNGDITSDKAKFEGRNSICRGKSLLPLFDAAGDESSDQTPSARVGDVQVDNSTKPVSVLVKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDRGMDLLQEILQHLRELRSVELHVKHAELFVQR >ONIVA03G12230.3 pep chromosome:AWHD00000000:3:8983584:8988617:1 gene:ONIVA03G12230 transcript:ONIVA03G12230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASRFAAALFHRRTRRVTSALAYAVLEWTLIALLLINGLFSYAIARFAAYFGLRPPCLLCSRVDRLFEKATEEEDGARWLRSVLCGAHAAEISGLGYCLHHGRLADAGDMCEACLSSSKEESIKDAGEESATVCSCCYAVVKTSSRELPDKGQGQSEEKTTEEDRNQGYVPLAQEEHGEEEDQGYVILAQEEHDEENEEEHGEFQEEERQEEVDDKDEQEEKMAAVQDESLEVMAVGEEIELDGERLLSVAAIDEMTIADESGLHQACCEKEKEMDHIDGEHELRDLDIGVVLEEKRMLDSSAATADVAIEDDFVVPVPCAEPVTSPPDPHENIIPHDDELVIEDVAQIGDATAEEETVEEEEVETAEEEEIVVPEVTEAVPEDDNRSAEVDTNCEVSIGSEICEREQDDHVVPFHESAEFEEPTDPLAYPDEQPLPLESLHETDPTAQEASETEQEEEATASQRLDQPPNEQNEVEDDKAPETPTYSVATQISDKKFLLERKRSLSLSLDGSVASEMELSEPSTVDQLKSALQAERKALSALYSELEEERSAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELDMCRQKVLHYEDKERRRMASFKANGHSPNGNGTSVSSSGEDSDGHSDEYCELGESPDGSNLQSPSDAAFSPRTDQENKKHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDINDTSAAVGRSSDEYELSADGLHSPGNGDITSDKAKFEGRNSICRGKSLLPLFDAAGDESSDQTPSARVGDVQVDNSTKPVSVLVKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDRGMDLLQEILQHLRELRSVELHVKHAGDALAANSA >ONIVA03G12220.1 pep chromosome:AWHD00000000:3:8974425:8977535:-1 gene:ONIVA03G12220 transcript:ONIVA03G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPSVSLRRDGAAGEEEAAPDPAALARWARAFCVIRFDLERGQLVEACYPPGALASATGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPSPSASVPGSRRGFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDVGPSALSMVASHVAAWPAPVPGRPMELPIGSAALRVHLPPAADDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPPQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQSHGSGNGINGTPGKLKLDKLAINKFSPTGLLNSIKLRREGPLCLMTEHKEALWSTYVSTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGAGKFLSKRMKSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDIEKLMSKLSELERIDSFNAIERYLLREMENSRTGATESVTVCEKLKGDLRAAFSVLPKDMQQLLLSNPKRALLLQGSNEKIPGLDGIVSQTSL >ONIVA03G12210.1 pep chromosome:AWHD00000000:3:8970380:8972017:1 gene:ONIVA03G12210 transcript:ONIVA03G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIHPRDQRFVFNARQLDDNQSLADCNITHNSTIHFVFGIPCFYATPAYEQFNRLPRSESSDSSSTSKGDRSDSSSTSKRDIAPANVKTVHCPDCQVQANVYYCNTEEDNEGCVFYRCPYFSAGGCQFVQCADTVDEGLQKRVQHATQETGFFTFWSF >ONIVA03G12190.1 pep chromosome:AWHD00000000:3:8963043:8964486:-1 gene:ONIVA03G12190 transcript:ONIVA03G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQELLLQEVPQPRRTGAALIVGATGYIGRFVAEACLDSGRDTFILVRPGNACPARAASVDALRQKGAVVIEGCVGGKEGRKSVEAALRARGVEVVISVMGGASILDQLGLIEAIRAAGTVKRFLPSEFGHDVDRARPVGAGLRFYEEKRLVRRAAEASGVPYTFICCNSIAGWPYHDSTHPSELPPPLDRFQIYGDGDVRAFFVAGSDIGKFTIRAAYDARSINKIVHFRPACNLLSTNEMASLWESKIGRTLPRVTLTEEDLIAMAADDIIPESIVASLTHDIFINGCQTHFYIDGPRDIEISSLYPDIPFRTIDECFDDYIHVLNLAEEAKEEEEKKNAPTVGRLAIPPTCA >ONIVA03G12180.1 pep chromosome:AWHD00000000:3:8955017:8962177:1 gene:ONIVA03G12180 transcript:ONIVA03G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRRRSRPVVPTPMARVRHRHLLLLVAAVAAAASALLPCASAVRPFVLVLSRDDFLKDTAGAHPSLPSADADSDEWDDFDDESPATDPLLSPSSWVPLLDPASASPSGDEPDSPSDALFVAGVRAMLSAASAGDDAAFATAAAQIEAAATGGHPGAQSALAFLSGAGMTRPASRSRAFLLHKFAADAGDLQSKMALAYSFFRQEMYEEAVTLYAELAEAALTSSLISKEPPVIEPVRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNTVAMHKLGLLYYYGLRGVRRDYGKAYHWFSKAVEKGDTRAMELLGEIYARGAGVERNYTEAYKWLTLAAKQQQYSAYNGLGYLYVKGYGVEKKNLTKAKEFFEIAAEHKEHGGYYNLGVLYLKGIGVKRDVMTACNFFLRAVNAGQPKAIYQVAKLFQKGVGLKRNLQMAAVMYKSVAERGPWSSLSRWALESYLKGDIGKALLLYSRMADLGYEVAQSNAAWILDRYGDESICMGESGFCTDMERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGVGRDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHLAKRYYDQAVEVDPAAKLPVMLALTSLWIRKNYDGSFLVHFIDSLPEVYPVVEEWVEDVLMDEGNATIFTLFACLVTVLYLRERQRRQAAAANPQQPDGAPN >ONIVA03G12170.1 pep chromosome:AWHD00000000:3:8953444:8954502:1 gene:ONIVA03G12170 transcript:ONIVA03G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAQGLALGLLLVCLLVGSDVAAAATYNVDWSFGADSWSKGKNFRAGDVLVFSYDPSVHNVVAVDAGGYSGCRESGTKYSSGNDRITLGRGTSYFICSFSGHCGAGMKMAVTAS >ONIVA03G12160.1 pep chromosome:AWHD00000000:3:8943559:8944065:-1 gene:ONIVA03G12160 transcript:ONIVA03G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAPACLTASHSSSFTSLPALSWWLRRPEVEEAGQWRAAATVEEEGDSGEEAERQWRRRSSGGGGGRGGEAASNGLLAAEEEAEWRRWRPSNSEGAPRHGGYEGGGPHDGERRTGGRDEEQTRGGGIGEKGREDREEVEKEENDRAALLACHKVEKKRSCLSPMIKN >ONIVA03G12150.1 pep chromosome:AWHD00000000:3:8941257:8942945:1 gene:ONIVA03G12150 transcript:ONIVA03G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLLGNCIHHPVLLVILACAGIAPASGAGGRWDVLQRSIGVSAMHMQLLHNDRVIIFDRTDFGLSNLSLPDGRCRRNPRERVVPMDCTAHSAEYDVASNTFRPLFVFTDTWCSSGTVAPDGTLVQTGGWNDGYRNVRTMAACEAGDDTCDWDETQDALAANRWYATNQILPDGRAFIVGGRRQFTYEFYPTADSSGASAISLPFLVQTKDPEENNLYPFVHLNIDGNLFIFAKNRAILFDYKKNKVVRTYPELAGGDPRNYPSSGSSVLLPLKPSPTEAEVLVCGGAPAGSYTSTKDGTFSSALVTCGRIKITDTAPAWVIETMPSPRVMGDMILLPNGAEVVIINGAMDGTAGWESAKTPAYAPVIYRPDHSPGDRFEEQSSTDIARLYHSSAVLLRDGRLLVGGSNPHIYYNFSNVQYPTELSLEAYSPEYLDPSNDALRPTIVDPSPNGAAVSVTYGASLTLQFAVPAARRARGGGGGGIGLVSVTMVAPSFTTHSFAMNQRLLLLDAVKTAALARASTYQTSVVMPATAALAPPGYYMVFVVNGHIPSEGIWVHIQ >ONIVA03G12140.1 pep chromosome:AWHD00000000:3:8931794:8934859:1 gene:ONIVA03G12140 transcript:ONIVA03G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAAAAAGNRAGGVDPSIPRFKCQECHRALVVVGVDSFATDKLPAQATSGHVSSVHGSIMGASRMDNSYVVLSKQNKSHGHGIPPRPPSAAAPHIEPNQPTRAMEGSYIVLPPAAASIYKTSTSEGGGAQLPPPSINSSSLLTGNSFHSNVTVLKRAFEIATSQTQVEQPMCLGCMRLLSDKMDKEIEDVNADIKAHEVCLQHLEQESYNVLSDAGFQEEKLKIEEEEKKLNAAIEEAEKQYSAISSEMKDLEIKSKEFEELEERYWHEFNSFQFQLTSHQEEREAILAKIEVSQVHLELLKRRNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKFEYRIKIHPMGSYARVTDIHKNTYELYIMLTRFGPVDLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDKIGSHTIFLSFNKVENWTKALKYTLCNLKWVLYWFIGNTSCNRALHCSGAHRPGRQQLPDRGIVLFVREI >ONIVA03G12130.1 pep chromosome:AWHD00000000:3:8921979:8923067:1 gene:ONIVA03G12130 transcript:ONIVA03G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALHVGEPRVSFQQQQQQQVGKNGQQGHGHGAVVEEIHGLIKVYRDGFVERIPAIPDVPCTWGTTASVPGVVIARDAVVDRATGVWARLYAPAAAAAAGRVPVVVYFHGGGFCVGSAAWSCYHEFLAKLAARAGCAVMSVDYRLAPENRLPAAFDDGVTAVRWLRQQAAISSAADELSWWRGRCRFDRVFLAGDSAGATIAFHVAARLGHGQLGALTPLDVKGAILIQPFFGGETRTASEKTMPQPPGSALTLSTSDTYWRMSLPAGATRDHPWCNPVTGRGAPRLDSLPLPDFLVCISEQDILRDRNLELCSALRRADHSVEQATYGGVGHAFQVLNNYHLSQPRTQEMLAHIKAFVRAR >ONIVA03G12120.1 pep chromosome:AWHD00000000:3:8906509:8911199:-1 gene:ONIVA03G12120 transcript:ONIVA03G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNSASSLLLLLKLSHLAIVAALADGAGFTFNGFSAANLSLDGMAAVAPGGLLMLTNGSMVMKGHASYPTPLRFHGSRDGRSAALMSFSTAFVFAIVGQYADVSSQGMPFFISPSKNLSTALPGHFLGLVNAGDNGNASNHLFAVELDTVLNGEFQDIDDNHVGVDINSLTSIEAATAGYYDDEDMGLFRNLSLISRKAMQVWIDYDGLTMELNVTMAPVEITKPKKPLISTIVNLSAVVTEPAYVGFSSSTGIIFSHHYVLGWSFKMNGTAPPLNISILPALPLMISERRSQVLVIVLPIVSLVLVLASAAMAIAVAKQRAKFAELREDWDVPFGTHRFSYKDLFYATEGFKESQLLGMGGFGKVYMGMLPKSKMMVAVKRISHESRQGMKEFVAEVVSLGRLRHRNVVQLLGYCRRKGELLLVYDYMPMGSLDKYLYDQDKPTLEWARRLQIIKGVASGLLYLHEDWEKVVIHRDIKASNVLLDAEMNGRLGDFGLARLYDHGTEPNTTHVVGTMGYLAPELGHRAKATPYTDVFAFGAFLLEVTCGRRPVEQEAPMVLVDWVLDYWRSGSIMETVDPRLRNGYAEEEVELVLKLGLLCSHPLASARPSMRQVVQYLNGDSDFPELRAAQMGFSMATLLKNKGLNPDAMSYAMTSSSSIGTMSSTLWGGRPISSFLVLTVFHCIKLVAPSASENQFAFEGFAGANLSLDGAAAVTPSGLLKLTNDKHIKGHAFYPTPVSFHLTPNSSATASFSATFVFAIVSEHAELSDHGLAFLVAPSKNLSATTGAQHLGLMNISDNGKASNHVFAVKLDTVLSPELHDKDSNHVGIDVNSLQFIQSHTAGYYDDSTGAFMNLTLISRKAMQVWVDYNGQAMVLNVTLAPLAQEPTGLDLSRVVEDIAYIGFSSATGLSIAYHYVLGWSFSLNGAAPALNPSKLPVLPKLEQRHHRSEILVVVLPIATAALVIGLLLVGFMIVKRWFRHAELREDWEVEFGPQRFSYKDLFDATQGFGSKRLLGIGGFGRVYRGVLSVSNSNSEIAVKRVSHDSRQGVKEFIAEVVSMGRLRHKNLVQLLGYCRRKGELLLVYEYMSNGSLDKHLHDKNNPVLDWNLRFHIIKGIASGLLYLHEEWEQVVVHRDIKANNVLLNNEMNGCLGDFGLARLYDHGTNPRTTHIVACGRRPLEHDLQDNQVVLLDWVLENWNRGQILDVVDPRLSSEYVAEEANLVLKLGLLCLQPLPSARPSMQQVLQYLNGTVLAPEMLPTDLDYDTLMFLQNERVESYAMLEASSLATTVGPGSDLSGGR >ONIVA03G12110.1 pep chromosome:AWHD00000000:3:8903806:8909039:1 gene:ONIVA03G12110 transcript:ONIVA03G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDGEHEGGREGCSSAAVRRQVETDRSRIEGVAFDVLVVGELEQATRRHGGGAVEAEVCSGEAFEGELVFASRWRHQLDAVEDSEYKEAGDGPDDHHGYRDA >ONIVA03G12110.2 pep chromosome:AWHD00000000:3:8903806:8910720:1 gene:ONIVA03G12110 transcript:ONIVA03G12110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDGEHEGGREGCSSAAVRRQVETDRSRIEGVAFDVLVVGELEQATRRHGGGAVEAEVCSGEAFEGELVFASRWRHQLDAVEDSEYKEAGDGPDDHHGYRDA >ONIVA03G12100.1 pep chromosome:AWHD00000000:3:8896900:8899078:-1 gene:ONIVA03G12100 transcript:ONIVA03G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGGKSASSKQTAKLKSLIKLAAARVAVARRPRLGRRSIARGDVAQLLSIGHLDRALLRVCPCHAFALPDTRRDSSANSSRRVLFLQAEQVIDEDNMLEVLDIVELYCKILIEQATQLDKPKECGEEIKEAAAGLMFASARCGDLPELLDARAILADKFGRDFAAAAKDGAHGVVDPTLVRKLSGAPASTEQKQRLTKVIAAENDILLEFPENTGDTDQGKQNEQAKNVRAEQFVEQNEVKREHHEVQGRQRFVDEEVNPRLARLSVQEQYADARMAAEAAFKSASFAAMAARAAVELSRSESQGKGSRGGGGGGGYEKVHPVQNSVAGEKEAPPSWKPHKPPSPSTSPSWSDRSTATSVWSEGTQKGKGVVFDGSDEEVDDVAWTPQLRRPPYRRASTMGIGGGAWNGEAGRVGADPQPFQDGVYNNSQHPRPPHRRHASELGAGAGAPREPLVSLAPQRGQYRDPPYRRDPAAYRDINAGAAQRRQPDGAGARPYESSDHVHPPYARIVSALERSNEHIARHEEVRRIGTGERVLQERVYGAGGAPGRAPLNQEQGRLNSVRTRR >ONIVA03G12090.1 pep chromosome:AWHD00000000:3:8894943:8895805:-1 gene:ONIVA03G12090 transcript:ONIVA03G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHRKTSKQTSKLKTLLGLAVLRIATARRPHLARKSIATDDVRQLLTLDHLDRAIHRAEQVIAEDNMLEAFEMMEMYCKRLIEHAAKLDKPGECTDEIREAAASVMFAAGWFSELPELLFARTILADKFGSDFTEAAKDGTGIVDPMLVWKLSSDAKSMELKRKVTKEIAMENNIIVDFSELQDAIKDEED >ONIVA03G12080.1 pep chromosome:AWHD00000000:3:8891842:8893012:-1 gene:ONIVA03G12080 transcript:ONIVA03G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAATANIVSASPLHVRQHNDGNDYLHICNQKALTTRVAQALHGLVTQGEMGVVFDESDEEV >ONIVA03G12070.1 pep chromosome:AWHD00000000:3:8886494:8891120:-1 gene:ONIVA03G12070 transcript:ONIVA03G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHRKTSKQTGKLKTLLGLAISRIAAVRRPRLARKSIATDDVRQLLTLGHLDRAIHRVSRTSHREGNMLEAFEMIEMYYKRLIENAAKLDKPGECTEEIREAAAAVMLVAGWCGELPELPFARTILADKFGSDFAEAAKDGTGIVDPMTYREL >ONIVA03G12060.1 pep chromosome:AWHD00000000:3:8884122:8886391:1 gene:ONIVA03G12060 transcript:ONIVA03G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEACPGEDWRVMPNLPEATAYINGKLVTLNAVVVGTSIYAGILVGIGLYRPRYRNHHAFVRLLIYGANTLFLPIISYLLSLDGSMESNLRPYPGFLRVTSYYIERHSLTELLLIWACLIQLLQTVGISNAATVAADDREAGRNTGLPVAPLLQTIWAAYVVLSQAYYLSGPAGRSLALRLNPRLIVGYTKQLLQEDSQSHGQPPPLIVMGEEREQVDEQPRGFYLKRLMMMMPRPSSQQEASMNKDNHLVTIDKIWQLEELEETSKDLCLSFALFKMLRCRFAGYTADETGYARAHDFIWQLLRSTSHDRLLGVITNELSFLHDFYFSSVPISYSKRWLPILSLLISLFTICYCLLVGIRVNYRYSYPDPGTDPDNFWSQLVIERDCRNGTTGGDSSSSSDFVFAGSSLYDLVPIFALLALVMLAEVREVASCICCNWGKVALICRQLYSNKQLLMLYPAVLRFRCNLLNPLEDKMRQCSIILPFYSRKHIITALHHLLIGKFTKNVKVPAAVKAAIVNALGSNNGQLSNGVGFLLQLQDHGGREFLWACIDGKATSDVILAWHIATSILEMKLVQGQQNANSSDNQIIAATHLSRYLAYVVKCWPELLPDDDKWSKRLYDDVSKDSMRVLAMARRGGDGGYKKVMELLTEKSEHEVVKNGVRLGRELMELEDEAAAWRLLAGVWSEMILYVAPSENLRAHSDAIARGGELITVVWALLMHLGIYTRPSADANSVLSIIHV >ONIVA03G12050.1 pep chromosome:AWHD00000000:3:8876833:8877726:1 gene:ONIVA03G12050 transcript:ONIVA03G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSWSTSRKTNGSGGNYCKGCQDRDRLIDELEDERRRLVRMTTDNKLGGMTSPKTEAPTGRRWGNYFEYDPPKNFDELVKRYEERRSFNRMVITGAVSAYCIALFILSSMRNEVKEDLRNLCNNYD >ONIVA03G12040.1 pep chromosome:AWHD00000000:3:8874754:8876136:1 gene:ONIVA03G12040 transcript:ONIVA03G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFSSRLLCFLLLIDVAVGVVNDGGFVYNGFSSSSSNLLLDGAAYIGADGILTLTNTSLYNGQGHCFHPEPVPLFNSSSKQRSSNRTLYTTFIFSITPRRSSISVSGQGMAFVVSPSHDFSRASPGIQLGLVAYTEESNTNNPFLAVELDSVHRMDDLNNVRVDLDSLQAPIDYASAGYRVYPYNEDNFQPVSLTSGDLMQAWIDMAYDGASFRVEVTLAPFPMNTPSRPLLSCKVNSSTIVGDDGYVYVGFSASTGYAKIAAHQVHGWSFMVDEPAKPLDYQSMMAHVHRSRANQYLYRSLLRWLPATLSFLLLLIAGSVIWYKKWRKNRAQEEEDHRECEWEDGLGPRRFHYKDLGRATDGFSSHRLLGKGGFGRVYLGVLANEIFAVKMISSTDSDQGMAQFTAEVITLGRLRHRNLVRLLGYCRHKGQLLLVYDYMPNGSQGRTYEFEAPVRNTI >ONIVA03G12030.1 pep chromosome:AWHD00000000:3:8856578:8858493:1 gene:ONIVA03G12030 transcript:ONIVA03G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLSLKMPVAPRPAGAGAGVHPSTSPCYCKIRLNKLPYQTADAPLLLPPSPEASAAPAPAPATGALAAAFHLSKADLDRLTAKPSLFGSRTARLKIVVYAGRRGTTCGVGGGSGRLLGKVVIPLDLKGSSAKPVVYHSSWICIGKRGRKPSSVSAANAQLNITVRAEPDPRFVFEFDGEPECSPQVLQVQGSMKQPMFTCKFSCRSNSDLRSRSMPADMGSGGRNWLTAFGSDRERAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSKSNPGAWLVLRPGDGTWKPWGRLECWRERGAGAAAGDSLGYRFELVLPDPTGMGVGVSVAESTIPASKGGRFAIDLTATQQFGRSGSPACSPCGSGDYGMWPFGSCRGFVMSAAVQGEGKCSRPAVEVGVQNVGCAEDAAAFVALAAAVDLSMDACRLFSHRLRRELSASRSDLLR >ONIVA03G12020.1 pep chromosome:AWHD00000000:3:8848413:8851659:-1 gene:ONIVA03G12020 transcript:ONIVA03G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G13410) TAIR;Acc:AT5G13410] MDLVRALAAIPARHPLPPSSLTKARRHGPQPSTTVLAPVPGGMLDRRRLLLIPAISISIGSFDKGAAKAEFADMPALRGKDYGKTKMKYPDYTETESGLQYKDLRVGDGPSPKKGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKIGSGQVIPAFEEAISDMAPGGVRRIIVPPDLGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPNDKDPFH >ONIVA03G12020.2 pep chromosome:AWHD00000000:3:8849324:8851659:-1 gene:ONIVA03G12020 transcript:ONIVA03G12020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G13410) TAIR;Acc:AT5G13410] MDLVRALAAIPARHPLPPSSLTKARRHGPQPSTTVLAPVPGGMLDRRRLLLIPAISISIGSFDKGAAKAEFADMPALRGKDYGKTKMKYPDYTETESGLQYKDLRVGDGPSPKKGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKIGSGQVIPAFEEAISDMAPGGVRRIIVPPDLGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPSQ >ONIVA03G12010.1 pep chromosome:AWHD00000000:3:8845174:8848057:1 gene:ONIVA03G12010 transcript:ONIVA03G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGNYRVKKNVVWNFKWDYGRTRRRRQREELALGGAGYAWDETAELNEAQEDTPPREEAALVGVRRQQTEEPELGGAGNARDEAAKLNGAQETRLRGRRWRHGTSSLSSVLC >ONIVA03G12000.1 pep chromosome:AWHD00000000:3:8843733:8847331:-1 gene:ONIVA03G12000 transcript:ONIVA03G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQICQFGTFLEVNNQHSATPKGKTIPVKCTDCLPGVASRSLTVIPLEVPHDVLLSAREAKTQYNSTFEHNLSETNFVTGTDNGAHHANVKDVPPDIYETEFRHQFPRIETSFSIIGAHFLSSMVRRGRRKGVRYIEEDRDRSLTLSKRRDGLFKLANDLSLLTDASVAICLHDSNKAQFFGAPSVKPVVDAFVSEAEPFADEQLKAKLTSMQSELVQLENEEEEKDKKTEESIQRFKEAQEESLGMGMAKHLFSRLEDLSHDDMRELLDVLLPLQQDFKKRLPPLRRGSKLQIGGSSAWAHQQPSCSRFLASHRPFTPLLPGGTSGVPMIPPPPVPGSPWSQIFPLRPPLFPSPELVPSQQLPPVSPPQNTVAPPPMHAPLVQQPLTNQSSAVPLLTQWQMRFGDQPPAEVQACTPVEQPQNDNAVHTPTFSDSFLLELLADVSDDGIATAEPLCSPPIDDQFLADIDWLAELDTIDGNL >ONIVA03G11990.1 pep chromosome:AWHD00000000:3:8834874:8841236:1 gene:ONIVA03G11990 transcript:ONIVA03G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERQETKVATTTAAAFNLAESGYGDRPDLDDDGREKRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPAVLVAFSVITWFCSSLLADCYRSPDPVHGKRNYTYGQAVRANLGVAKYRLCSVAQYVNLVGVTIGYTITTAISMGAIKRSNCFHRNGHDAACVASDTTNMIIFAGIQILLSQLPNFHKIWWLSIVAAVMSLAYSTIGLGLSIAKIAGGAHPEATLTGVTVGVDVSASEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSSPAENEVMKKASFIGVSTTTMFYMLCGVLGYAAFGNRAPGNFLTGFGFYEPFWLVDVGNVCIVVHLVGAYQVFCQPIYQFAEAWARSRWPDSAFVNGERVLRLPLGAGDFPVSALRLVWRTAYVVLTAVAAMAFPFFNDFLGLIGAVSFWPLTVYFPVQMYMSQAKVRRFSPTWTWMNVLSLACLVVSLLAAAGSIQGLIKSVAHYKPFSVSS >ONIVA03G11990.2 pep chromosome:AWHD00000000:3:8835182:8841236:1 gene:ONIVA03G11990 transcript:ONIVA03G11990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERQETKVATTTAAAFNLAESGYGDRPDLDDDGREKRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPAVLVAFSVITWFCSSLLADCYRSPDPVHGKRNYTYGQAVRANLGVAKYRLCSVAQYVNLVGVTIGYTITTAISMGAIKRSNCFHRNGHDAACVASDTTNMIIFAGIQILLSQLPNFHKIWWLSIVAAVMSLAYSTIGLGLSIAKIAGGAHPEATLTGVTVGVDVSASEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSSPAENEVMKKASFIGVSTTTMFYMLCGVLGYAAFGNRAPGNFLTGFGFYEPFWLVDVGNVCIVVHLVGAYQVFCQPIYQFAEAWARSRWPDSAFVNGERVLRLPLGAGDFPVSALRLVWRTAYVVLTAVAAMAFPFFNDFLGLIGAVSFWPLTVYFPVQMYMSQAKVRRFSPTWTWMNVLSLACLVVSLLAAAGSIQGLIKSVAHYKPFSVSS >ONIVA03G11990.3 pep chromosome:AWHD00000000:3:8834933:8841236:1 gene:ONIVA03G11990 transcript:ONIVA03G11990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERQETKVATTTAAAFNLAESGYGDRPDLDDDGREKRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPAVLVAFSVITWFCSSLLADCYRSPDPVHGKRNYTYGQAVRANLGVAKYRLCSVAQYVNLVGVTIGYTITTAISMGAIKRSNCFHRNGHDAACVASDTTNMIIFAGIQILLSQLPNFHKIWWLSIVAAVMSLAYSTIGLGLSIAKIAGGAHPEATLTGVTVGVDVSASEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSSPAENEVMKKASFIGVSTTTMFYMLCGVLGYAAFGNRAPGNFLTGFGFYEPFWLVDVGNVCIVVHLVGAYQVFCQPIYQFAEAWARSRWPDSAFVNGERVLRLPLGAGDFPVSALRLVWRTAYVVLTAVAAMAFPFFNDFLGLIGAVSFWPLTVYFPVQMYMSQAKVRRFSPTWTWMNVLSLACLVVSLLAAAGSIQGLIKSVAHYKPFSVSS >ONIVA03G11980.1 pep chromosome:AWHD00000000:3:8813575:8817667:1 gene:ONIVA03G11980 transcript:ONIVA03G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHYHHLAVVLLAAVAAAATAAAGGEADALLAVKAALDDPTGALASWTTNTTSSPCAWSGVACNARGAVVGLDVSGRNLTGGLPGAALSGLQHLARLDLAANALSGPIPAALSRLAPFLTHLNLSNNGFNGTFPPQLSRLRALRVLDLYNNNLTGALPLEVVSMAQLRHLHLGGNFFSGGIPPEYGRWGRLQYLAVSGNELSGKIPPELGNLTSLRELYIGYFNSYSGGIPPELGNMTDLVRLDAANCGLSGEIPPELGNLANLDTLFLQVNGLAGGIPRELGKLASLSSLDLSNNALAGEIPATFADLKNLTLLNLFRNKLRGDIPEFVGDLPSLEVLQLWENNFTGGIPRRLGRNGRFQLLDLSSNRLTGTLPPDLCAGGKLETLIALGNSLFGAIPASLGKCTSLTRVRLGDNYLNGSIPEGLFELPNLTQVELQDNLISGGFPAVSGTGAPNLGQISLSNNQLTGALPAFIGSFSGVQKLLLDQNAFTGEIPPEIGRLQQLSKADLSGNSFDGGVPPEIGKCRLLTYLDLSRNNLSGEIPPAISGMRILNYLNLSRNQLDGEIPATIAAMQSLTAVDFSYNNLSGLVPATGQFSYFNATSFVGNPGLCGPYLGPCHPGAPGTDHGGRSHGGLSNSFKLLIVLGLLALSIAFAAMAILKARSLKKASEARAWKLTAFQRLEFTCDDVLDSLKEENIIGKGGAGTVYKGTMPDGEHVAVKRLPAMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKVAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKKPVGEFGDGVDIVQWVKMMTDSNKEHVIKILDPRLSTVPVHEVMHVFYVALLCVEEQSVQRPTMREVVQILSELPKPTSKQGEEPPSGEGAVSDLVVPAESAEANEAKEQQQQQLNSPSSPPPDLISI >ONIVA03G11970.1 pep chromosome:AWHD00000000:3:8797893:8800819:1 gene:ONIVA03G11970 transcript:ONIVA03G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRRTAAPMAAAAVFRLSAPPRHAARLARFLGGASAASSDAAVASGEFVPWHNGGGVLHRAASVDPTAVVEAGAVVHSGAVLGKDVVVGSGAVVGPSVSIGQSTRIWYNVVLSNCSVGEFCTLHNGACIGQDGFGFFVGDDGQMLHVKIGNHVEIGANTCIDRGSWRDTVIGDETKIDNLVQIGHNVVIGKCCMICGQAGIAGSATLGDYVTLGGRVAIRDHVSIASKVRLAANSSVTKDIQKPGDYGGFPAVPINEWRRQTANLRIFSKKDGGRRYYKVF >ONIVA03G11960.1 pep chromosome:AWHD00000000:3:8785145:8793068:-1 gene:ONIVA03G11960 transcript:ONIVA03G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLFTLLVCSSSSSLTRHEPDHTSLLRSRRRRPRPPLAVPPPQFSSPSRRALVRRHAGCVGVSLAQIASARLFFPDIASPPFLPAAAHSSDHKSGTFLHDSGSHISMGREKLRKQRSGRLIETLKMERVRTILTHRYPYPHEHSRHLMIAVFAIWLFFVSSDNLQTLIMKLDKNFKWWSILSNRAIQILVVGKQPLESVRRYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIVFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVLYSHCGNKTITRDKSIDRRTASWVAFSLWKKHDDNSLISKLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYEELASNGSGHSNDISPVYSLWATFIGLYIANYVVERSTGWALTHPLTMSEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLFYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLAIKSDGSRQTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGESDSVILITHEPNWLLDWYWGDKTGTNVEYLIREYLKGRCKLRMAGDLHHYMRHSFIESKEPVHVQHLLVNGCGGAFLHPTHVFENFREFYGNKYETKIAYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILREDSWADRVNSFFTAMWNVVFEILEHSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAVAHLTSAVILMLLMELAIEICIRNNLLATSGYHTLYEWYRKVESEHFPDPTGLRTRLEQWTLGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTFAVDKVPKDWMLDPDWDMEPKEPFQMSYTRKFPSKWRAASGSDPTNAVRIVDHFVIPRTPPDSPTSGSAS >ONIVA03G11960.2 pep chromosome:AWHD00000000:3:8785145:8793068:-1 gene:ONIVA03G11960 transcript:ONIVA03G11960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLFTLLVCSSSSSLTRHEPDHTSLLRSRRRRPRPPLAVPPPQFSSPSRRALVRRHAGCVGVSLAQIASARLFFPDIASPPFLPAAAHSSDHKSGTFLHDSGSHISMGREKLRKQRSGRLIETLKMERVRTILTHRYPYPHEHSRHLMIAVFAIWLFFVSSDNLQTLIMKLDKNFKWWSMYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIVFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVLYSHCGNKTITRDKSIDRRTASWVAFSLWKKHDDNSLISKLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYEELASNGSGHSNDISPVYSLWATFIGLYIANYVVERSTGWALTHPLTMSEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLFYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLAIKSDGSRQTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGESDSVILITHEPNWLLDWYWGDKTGTNVEYLIREYLKGRCKLRMAGDLHHYMRHSFIESKEPVHVQHLLVNGCGGAFLHPTHVFENFREFYGNKYETKIAYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILREDSWADRVNSFFTAMWNVVFEILEHSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAVAHLTSAVILMLLMELAIEICIRNNLLATSGYHTLYEWYRKVESEHFPDPTGLRTRLEQWTLGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTFAVDKVPKDWMLDPDWDMEPKEPFQMSYTRKFPSKWRAASGSDPTNAVRIVDHFVIPRTPPDSPTSGSAS >ONIVA03G11950.1 pep chromosome:AWHD00000000:3:8777774:8782087:-1 gene:ONIVA03G11950 transcript:ONIVA03G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRARLRRLLVVVILVVVEVVVAQQQQQERLASGSDLAGLFSLRASLGIRAREWPARVDPCGGGWAGVTCRGGRVVGVTVAGFRRTRVGARAPRFAVDGVRNLTALEVFNASGFPLPGEMPAWFGRGLPPPLAVLDLRSAAVNGTLPPDLGVSGNLTSLLLSGNSLSGAVPGSLLSVAGLRFLDLSGNNFTGGLPNVTPVAGGGAASLFNVSGNSLYGVVSDAIGALKGRFQVVDLSSNYFDGVWNVSDGNVDVRMNCFSGAPGQRNRVDCEEFYRRAGVRFGDALAPAPSPETSPGTTTKNNNSRISKGVLIGVIAAAATLMVVFFGALVFCLARQKAGRRSARGRGVDTNEESTRGVRRRDSSVNPVTSPPVAVSPSANSGHKDPVVVSGEFTFEQLVHATGGFGDDNLLKHGHSGDIYHGVFESGSQVVVKKVNAQSVNKHAGELDFYKMYSHERIVPLLGHLAKDEEEFMAYKYMPKGDLTNALHKKPVDTEDGLPSLDWITRLKIATGVAEAMCFLHDECRPPLVHRDIQATSVLLDDKFEVRLGSMSDVCAQQSGGSQSVFSRLLRSSRSLDKNISGPPATCSYDVYCFGKVMLELVTGNFGVSGSNDAASEEWMTNTLNRIDMNDKESISRIIDPLLIVDEDHLEEVWAMAIVAKTCLNSKPSRRPSARYVLRALENPLKILRMASRSNSARLRSSSSRSSWQSAFLQGNRYQSLETASSSGQMLDRKHSTRSHGSGGETSFSFKRASREIAPEPEGFEENVVV >ONIVA03G11940.1 pep chromosome:AWHD00000000:3:8773084:8776967:-1 gene:ONIVA03G11940 transcript:ONIVA03G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT2G21710) TAIR;Acc:AT2G21710] MAAATLPPSHHRLHLHLHLHTPKPSPPRRLRLAAAAAASRLQNPTTTHYPNLPPPAPPPSTSLVAAEEASLAPRRTYRFPGSVPSSPTLPDTADGGLAAAAAVADDAVLRRALEVRRAVAGEVLVAALRGGKVGGLTYIRNLTSRMAPFVDRVVVEAAAMRRDRPELAHQSFNARARVYIEESGLVALVKWFKHNSMTYPQIAKVVCSSSGNLEKVRRMIKWLRSIHVKGEYLGRVLAKGDTFLSRSFEELEEIIYYMESCGVRKDWIGHVVGRCPQLLNLSMDELETRVRFYTDMGMNDNDFGTMVYDYPKALGFFSLQEMNSKVQYLKEFGLSTDELGKLMAFKPQLMACSIEERWKPLVKYLYHLNISRDGMKRMLVVQPTIFCLDLETVIAPKVQFLQDIGVRSDAVGGVLVKFPPVLTYSLYKKIRPVVIFLMTKAAVKQEDIGKVIALDPQLLGCSIVRKLEVSVKYLRSLGIYHFVLGQMVTDFPTLLRYNVDVLRPKYQYLRRVMVRPLIDLVEFPRFFSYSLEDRIVPRHQTLVENRINMKLRYMLTGSDEDFSQRVREAVERRARFEAGNVEASDSQATQDGAEATAPASQDGWEADT >ONIVA03G11930.1 pep chromosome:AWHD00000000:3:8767074:8774790:1 gene:ONIVA03G11930 transcript:ONIVA03G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:succinate dehydrogenase 1-1 [Source:Projected from Arabidopsis thaliana (AT5G66760) TAIR;Acc:AT5G66760] MWRGCVSRGLRSLSKGKGSSSSAPVSAAARLFSTASSSYTVVDHSYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLIMDSEGTCQGVIALNMEDGTLHRFRATNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTMKGDNPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAETAKPGEKQKPLQKSAGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCKLITKAWESYHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDEQWMKHSLGYWENEKVRLAYRPVHMNTLDSEVESFPPKARVY >ONIVA03G11930.2 pep chromosome:AWHD00000000:3:8767074:8774357:1 gene:ONIVA03G11930 transcript:ONIVA03G11930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:succinate dehydrogenase 1-1 [Source:Projected from Arabidopsis thaliana (AT5G66760) TAIR;Acc:AT5G66760] MWRGCVSRGLRSLSKGKGSSSSAPVSAAARLFSTASSSYTVVDHSYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLIMDSEGTCQGVIALNMEDGTLHRFRATNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTMKGDNPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAETAKPGEKQKPLQKSAGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCKLITKAWESYHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDEQWMKHSLGYWENEKVRLAYRPVHMNTLDSEVESFPPKARVY >ONIVA03G11920.1 pep chromosome:AWHD00000000:3:8754675:8758577:-1 gene:ONIVA03G11920 transcript:ONIVA03G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMALRSLARKVMPAPATLHRAPPPSRSLHRHSLEGTTSPKTTTPTTKSWEENNPELLAELSL >ONIVA03G11910.1 pep chromosome:AWHD00000000:3:8752125:8753281:-1 gene:ONIVA03G11910 transcript:ONIVA03G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAMRTLARKGTASPKTTTPTGKGRASTLEEDIRFLAELAKRHADEARYYRIMALSAVSASGAVFFTLLALRNHVNHLSEY >ONIVA03G11900.1 pep chromosome:AWHD00000000:3:8749431:8750471:1 gene:ONIVA03G11900 transcript:ONIVA03G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLNAAKQGRTTAAARALVAQTARGLATEKSSGFPCTAGARERALVTLDLVPKALLFAPPGAPCTAGARERALVTVDPPVPKAPPQSGSPAKASGFPYAGAAGGSSLHLVPKPKALLFAPTSMAGPTAKKLALEGKAAPSLVKKKAAAITEGVRSYVTRRCWPCQAPPEAWDDQEKAIAKVIAAHLNKMEEALGERITKCWVGNQKLLIEQTRRSHWRLALLAAALIAFAVESLVLGLEICMKLFRMRFLGFLDCPELFAVLRRHQDEAQNQLLEFSREPEACELRRVVAAEEWDAAVKDIFTARSLRQMIKSLFWSSKGAGARDKKSPVAIVPAGAQGNKKQ >ONIVA03G11890.1 pep chromosome:AWHD00000000:3:8747074:8748182:-1 gene:ONIVA03G11890 transcript:ONIVA03G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPIKGSGVPGELECSSSMEEWSTGCDVAIIGSDCGGGVAAAVLAGVGHKVVVIEKGNYFTSRDYTSFEGPSMNQLYKSGGFVTTMNGGGLLLAGSTVGLPQDARVRAQGVGGRARAPAAQAGTGEASVAGTAGCGGGGGGARAVGGGSGGGPGGG >ONIVA03G11880.1 pep chromosome:AWHD00000000:3:8745657:8745998:-1 gene:ONIVA03G11880 transcript:ONIVA03G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLALPLAPPHCLCFTVLRVARRPTFCRAERINGGDHDEELDGVPNLSRPPPDRMDGQICVLLPRAAAAMAGDDDEDLDFEARHAAAGLSIRVFRGGEYRGHGPFPWRGG >ONIVA03G11870.1 pep chromosome:AWHD00000000:3:8735793:8743320:-1 gene:ONIVA03G11870 transcript:ONIVA03G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNWINIAVALGTHRTPFQCLARYQQSFNHCILSKDCAKEEDLQLKAAVNTFGINWWRKTLNPDSSRVGRWSLDDDKRLMLNKIAQFIPGHARSQCNEDLWEWRPEEDSKVLVSVDEFGPCWSKIAGMKIPHCTHNMCLRRWRKLCQDKLPSVKAAQQMKKAIFQSNFLIEKQSDLLNPKGLNPISSYLMSLIHSEVDGSDENAAIVETMGCSISVYNEVAMKQKTGSPSVGVEGTAKKRMRGSLSLMSQAARMTTVSKPSLSALWRETLNPESSKVGSWSLDEDKRLMVPVKLFGSGSWNKILLSSFLVAQSQCNESFYESLFLNLLMVRLVNTATTSSRRKSSRSGSKKQSDESLAVSDDVNNSSNCSCGVRKRKRSKKKMRGSISDDHEVVIENASISVGEGTAKKRRRGTISLW >ONIVA03G11860.1 pep chromosome:AWHD00000000:3:8734807:8735515:-1 gene:ONIVA03G11860 transcript:ONIVA03G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAFELELQTMASEERDADMRNCQAVKQHGPNKWELLMMGQLLPFLLEQIPFLLRIATLLKLLMNIRSELWIRYAFLW >ONIVA03G11850.1 pep chromosome:AWHD00000000:3:8729875:8731680:-1 gene:ONIVA03G11850 transcript:ONIVA03G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALRSLAGKLRPLVAATLRRAPASRSLHPEDKLSGNTNPKIKPLTFHNAEEDARIMFENINERARVVAEPMVAFRRRQNRIVIAAAASGCVVGTVVMGVFLCQD >ONIVA03G11840.1 pep chromosome:AWHD00000000:3:8716709:8724597:1 gene:ONIVA03G11840 transcript:ONIVA03G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQNKVRVNAKAKVSAQHGGSARIDLQAIVPHSQSSTSASVRVTSGSASICVSAEEPAYAKSRRKTSVLPNCCKLSPCFFNPIRILYQTLPALLYLLP >ONIVA03G11830.1 pep chromosome:AWHD00000000:3:8713968:8716040:-1 gene:ONIVA03G11830 transcript:ONIVA03G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRSLAGKLRPPVAATLRRAPASRSFHPEEKLSSMTSSKTKTPVDDNCFELLGDKYFEERSRIFAQLVKEDARRTEEQIAAYKKLKRAMVASSLGAAIGIFALLIRYNE >ONIVA03G11820.1 pep chromosome:AWHD00000000:3:8710852:8712282:1 gene:ONIVA03G11820 transcript:ONIVA03G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPPPSPSPVPEHKPPLLGVDGFLIQLWPPDAAEVLASRLGIHPSLRALNFAMRSALRAAHLDLVFRLFSPDFPGDAAITIVFQ >ONIVA03G11810.1 pep chromosome:AWHD00000000:3:8706381:8708775:1 gene:ONIVA03G11810 transcript:ONIVA03G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFYSPSHASRRLSTSITFAGGLDVTSELVIAAVAAALLLVLLFAACACCSWCCRRRSATQRWQNHHAAAFGYQGNTTAYYYHHTGGARPQWAATKTGAPSTPPNMMMHPTNMTGPHVVVRPPLVPPPPPPVPAGLDENAFGYDELAAATGGFSEGNMLGQGGFGYVYRGVLGDGKEVAVKQLSAGGGQGEREFQAEVDMISRVHHRHLVPLVGYCIAGAQRLLVYDFVPNRTLEHHLHEKGLPVMKWTTRLRIAVGSAKGLAYLHEECNPRIIHRDIKSANILLDNNFEPLVADFGMAKLTSENVTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSYGVMLLELLTGRRPADRSSYGADCLVDWARQALPRAMAAGGGGGYDDIVDPRLRGEYDRAEAARVAACAVACVRHAGRRRPKMSQVVKVLEGDVSPEELGDGARPGQSAMSSSSGDSSSGSGSYTAQMERVRRTAASPASPEYYSSEYQGYGCPSPASSAGDNASSGEHDNQWRKAHR >ONIVA03G11800.1 pep chromosome:AWHD00000000:3:8693669:8694451:1 gene:ONIVA03G11800 transcript:ONIVA03G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDEEWIYVDEEWVYVDDFSKEAAAPVEEASPVDSSGDKDDDDGKSGSTAADVAAVDGDLPGGATASEAVGADDDSSTGVTSDEEEVSSDDDAYSDTDLDKYLEYYEDDIADGLDSLKIGGDAIPPIFLDDPPPPIDGDAAAAEEKEPEHSPPINSAAVEEEPAYATKPQQLCFDGQFGYLTGGGYSYGYGGGGAYYGDLYGGVYRYPATYFPSYYLPRQPGAGVYQPPTYMSYPDGFDPYAGDIFAPPPPPPPPEN >ONIVA03G11790.1 pep chromosome:AWHD00000000:3:8693473:8704266:-1 gene:ONIVA03G11790 transcript:ONIVA03G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G42950) TAIR;Acc:AT5G42950] MAATPDRANADLRRRLAVDAPPPPPQIAKDKQGLDTEMPLSPQWLMKVGENKEPSLLGVRSDGSKIPGNDEDTSFSVKRKDVFRASVLDGETGRRERWRDDEREPNSAPRWNRWRETDKEHGDTRKLERWSDDSSKYSVDGRRPPQERWSDSGNKEGNYDQRRDNKWSARRGPNDKESENWRDRWGDSGKDSDAAREKGFSHYIAHGKDGNSHEKDAERDDNISRSWKSSYPVGRGRGDSSHHPSQNTQKSSATYGYGRGKPDNEIASFPGSRGKFTSGSTNTASSGSSRPFHLGLLSDRPGGTSGDRTAFRYSRMKLLDIYRTSHVTDFKMPLGGCEELSAFMQEETLEPLALSAPTTDEAAILKAIDKGDIINSGVHQASKDGPVGKNGREDQQGGMEDVKGETAASLRGFPGNTDLPARADSLRPETSAYVVPQRSRLIGEHRPGPTADYIQQMPFALDQESKVAVITGVDGFATPTYPNPESLSLYYKDPQGQVQGPFSGADIIGWFEAGYFGIDLLVRVANAPPDAPFLLLGDVMPHLRAKARPPPGFAATKPSDMLMPETLPTGNFVSSSNTHAGSASVGAFDSGLSRKDGAVEAQNRFLESLMSNSVRDPSAEMLAMTAGMTEYGSSGIGNITVSGGETGNSMNYLLAQKRLLERQKSLQNPGSFWSGDSIPAAQVQNKDIEASALHTKLHPPMADPPRQALQSQNVDLLAMLHSAEKPQAPASNSGLPAWSNYPEAKNLDPRGHGVDLTQGSLNMHGASVQSSQQATTAIQQQNFMPLNMSQIAHLGPEKLRAEISQDPQLLTRLQHQYLLSQLQLQPQLPVTPQPQPQPQLSMLDKMMLLKQQQQQQMQQQMQQQQQMQQQQKQRLQLLQLEQQQQQQLLLQQQHLLSQVIPHGHSSQQPDDLYGSQRTSLPTGDSSNLGLQKMKEVLEADRVLTAHGTQEGQQPSDPSIMNKKGMEGVGPSQSSVPSLLPHEIFGGVPSKDRYSHPQKLEDKVNVNTQLKASIVNPMLTEVANRREDDSDQQEVRSHERGIGIGKTGIMSENILGSGSSEVIGAAPSAPKEFPEAPFDPKPETLSSHISNQVQDLKISSENILGGSEPTVATEVKASDTQDTKKSEKKKKQKKKQAGADVAKGATKTVTSQQPRQETLVGSDQGGAKHDLQDDAEELFWGSPIQVESSSKSADPPLGLESSLVLPAKSFSEDYDTNKGEWEPNAAAVNQRGWKPTQGPRPKSLLEIQAEEQLRAQRGLAMENAKPAVSAASVPSIPWNGMLTTSDQQLMAVSKSVDGLESVGDSRNRRSQLHDLLAEEVLARSSNTDNEDMGNTNDMAFPPLSSAVVQPDAPAFDDTDFIEAKDSKKSKKKATKAKGSAVKAPAPVVSFDSSTVSVPTEKGKPSKQSHQEKEILPAPPSGPSFGDFVPWKSDQASAVPAPAWSSESAKVQRPLSLRDIQREQERRSAVAQQQPPSPTPAKVSINQRNHANVPSWQASGSSPSKAVAPVQMSSNASSRSKSNAEDDLFWGPSEHAKQDKKQSEFPTLSSQTRSSSIKDQSPMNRQKSQASRLPLSTAPTANHAGKGKAEAANRQTEAMDFRDWCESEWARLTGTNDTSFLEFCIKQPTVEAEMLLRENLGSFDRNGEFIDKFLNYKAFLSTDVIEMAFQLPARAVRGDGAGRANHASAAKGASSTETELDGGKKKGKKGKKFHFDSIVDSVRIGSATWRGAHGIRLWQAKAET >ONIVA03G11790.2 pep chromosome:AWHD00000000:3:8695417:8704266:-1 gene:ONIVA03G11790 transcript:ONIVA03G11790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G42950) TAIR;Acc:AT5G42950] MAATPDRANADLRRRLAVDAPPPPPQIAKDKQGLDTEMPLSPQWLMKVGENKEPSLLGVRSDGSKIPGNDEDTSFSVKRKDVFRASVLDGETGRRERWRDDEREPNSAPRWNRWRETDKEHGDTRKLERWSDDSSKYSVDGRRPPQERWSDSGNKEGNYDQRRDNKWSARRGPNDKESENWRDRWGDSGKDSDAAREKGFSHYIAHGKDGNSHEKDAERDDNISRSWKSSYPVGRGRGDSSHHPSQNTQKSSATYGYGRGKPDNEIASFPGSRGKFTSGSTNTASSGSSRPFHLGLLSDRPGGTSGDRTAFRYSRMKLLDIYRTSHVTDFKMPLGGCEELSAFMQEETLEPLALSAPTTDEAAILKAIDKGDIINSGVHQASKDGPVGKNGREDQQGGMEDVKGETAASLRGFPGNTDLPARADSLRPETSAYVVPQRSRLIGEHRPGPTADYIQQMPFALDQESKVAVITGVDGFATPTYPNPESLSLYYKDPQGQVQGPFSGADIIGWFEAGYFGIDLLVRVANAPPDAPFLLLGDVMPHLRAKARPPPGFAATKPSDMLMPETLPTGNFVSSSNTHAGSASVGAFDSGLSRKDGAVEAQNRFLESLMSNSVRDPSAEMLAMTAGMTEYGSSGIGNITVSGGETGNSMNYLLAQKRLLERQKSLQNPGSFWSGDSIPAAQVQNKDIEASALHTKLHPPMADPPRQALQSQNVDLLAMLHSAEKPQAPASNSGLPAWSNYPEAKNLDPRGHGVDLTQGSLNMHGASVQSSQQATTAIQQQNFMPLNMSQIAHLGPEKLRAEISQDPQLLTRLQHQYLLSQLQLQPQLPVTPQPQPQPQLSMLDKMMLLKQQQQQQMQQQMQQQQQMQQQQKQRLQLLQLEQQQQQQLLLQQQHLLSQVIPHGHSSQQPDDLYGSQRTSLPTGDSSNLGLQKMKEVLEADRVLTAHGTQEGQQPSDPSIMNKKGMEGVGPSQSSVPSLLPHEIFGGVPSKDRYSHPQKLEDKVNVNTQLKASIVNPMLTEVANRREDDSDQQEVRSHERGIGIGKTGIMSENILGSGSSEVIGAAPSAPKEFPEAPFDPKPETLSSHISNQVQDLKISSENILGGSEPTVATEVKASDTQDTKKSEKKKKQKKKQAGADVAKGATKTVTSQQPRQETLVGSDQGGAKHDLQDDAEELFWGSPIQVESSSKSADPPLGLESSLVLPAKSFSEDYDTNKGEWEPNAAAVNQRGWKPTQGPRPKSLLEIQAEEQLRAQRGLAMENAKPAVSAASVPSIPWNGMLTTSDQQLMAVSKSVDGLESVGDSRNRRSQLHDLLAEEVLARSSNTDNEDMGNTNDMAFPPLSSAVVQPDAPAFDDTDFIEAKDSKKSKKKATKAKGSAVKAPAPVVSFDSSTVSVPTEKGKPSKQSHQEKEILPAPPSGPSFGDFVPWKSDQASAVPAPAWSSESAKVQRPLSLRDIQREQERRSAVAQQQPPSPTPAKVSINQRNHANVPSWQASGSSPSKAVAPVQMSSNASSRSKSNAEDDLFWGPSEHAKQDKKQSEFPTLSSQTRSSSIKDQSPMNRQKSQASRLPLSTAPTANHAGKGKAEAANRQTEAMDFRDWCESEWARLTGTNDTSFLEFCIKQPTVEAEMLLRENLGSFDRNGEFIDKFLNYKAFLSTDVIEMAFQLPARAVRGDGAGRANHASAAKGASSTETELDGGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNVED >ONIVA03G11780.1 pep chromosome:AWHD00000000:3:8688305:8693340:-1 gene:ONIVA03G11780 transcript:ONIVA03G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSTVVGEMESSLERVRRQLSSTSSRHLLQGPLLKRSDTLRKWNERWVILDPATGKMEYKIRRSDAAVRGIIVFDSTSTVTLSPMNFHASQLVLQAHKEAVNSLGGNGPTKLGKVATVVAVANATAIEASKEVEAAMKVSLRAALGSTTNKLSKGQLDDLTIMMETLRVKDDELHQLLQDIRARDATIREITDKLQETAEAAETAASAAHSIDEQRRFLSSELERLKQDQEKQIEFSLLRLRESEEKAKLLSEEREHLLKERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADMRINDAASRLDSATKEKEELVALVDALQLQIRSQDTSTKQVCEERSELCSTSSKHVDMEDDNVDKACLSDTDPIPITENIVDLDDDGVDIPTIGVTEWNNPHSSEVSDVREVTTEPEDNSLDIPVDSQPVSENAFHG >ONIVA03G11770.1 pep chromosome:AWHD00000000:3:8675971:8677881:-1 gene:ONIVA03G11770 transcript:ONIVA03G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGCEDELGAGDVILRGVEEVEEEEDDDLVLPGFRFHPTDEELVTFYLRRKIAGKRLSIEIIKEMDIYKHDPSDFLKTSTVGSEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPICSAAGGGGGDCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPPPADDLAAGRSSPPPSLQEAEVWTICRIFQRNITHKKQPQPQLAVAAAAVPAPVPDATSSITGSLESDSAGDDVVEYMNTLQPPPASNVNGGYSNQHYFQEQWNSSSNDNTTVFHQHAAAAPPPEPSPATAMAGFGHDQSVLSSPAPSDFYYKDGCNDDIYRMVMELADPSLFYDHIYA >ONIVA03G11760.1 pep chromosome:AWHD00000000:3:8667138:8668246:-1 gene:ONIVA03G11760 transcript:ONIVA03G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSPEISARLFVGRVAPGTGKAELRRHFKVYGDVADIWLRHDRPFAFVYIRVAQPTKSSGGLANEMSKYLCQRVCRIVDKSDEANKAVHGNISSTRLASETTSARFCGYCQRAVTPGGNCDGLVHTDACLIYQESFVHYPYCVAVADEWFPVGCFIGDVSNAE >ONIVA03G11750.1 pep chromosome:AWHD00000000:3:8664256:8665422:1 gene:ONIVA03G11750 transcript:ONIVA03G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNGRRRLPTGDPDQANASPSRRVSAVDGVTGGEGRPTYSHFPPGAYEPTDVAYGIRDAANDERARGRARARHDQGGGAHDHHHDRPRQDQRGEAHLHDLPGEKSEVPDVGPSDQQGKEASDTDMAPLAALAKRSYDVNFPPLHEHSAAPFPAPAPASAPAPAGTMGSSSAQVQGDGAPDNHDHDPRHLPRQDQSGGAHPDDLHGEKTIGSGSDILDDSKRGMINAGPQHGRITTSNGGSGSGSDKGKGVSYAGDKPASSSSSSSSAGQQGSDTDKTPSAAAASSYAVNFPPLLPASAPVPAPAPAPAVAGAMGVANAHHKIALCSKWRKGRCHNGAACRYSHGEEEQRIVPEMRVGGGGRPCPELAAAKGWCRYGLNCKYCHGGV >ONIVA03G11740.1 pep chromosome:AWHD00000000:3:8653134:8656958:-1 gene:ONIVA03G11740 transcript:ONIVA03G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSDPQANGDHRPPAAEMEDTGVKVEEADAGETMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTPISCERECSRGEDYRLIKLSIIDFKNKREKVAVVECRGHDAARLQNIDHLHGWEDDIVGLVEKKHGNRKFSISFECETLKADKAADEHISKYMPNLSGMDAIVNIGKMSISGINLDEDDEPSGDN >ONIVA03G11730.1 pep chromosome:AWHD00000000:3:8640089:8651656:-1 gene:ONIVA03G11730 transcript:ONIVA03G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEGMDDDTEHSSGGSECDNNIKTSVSDDKVKSNNSGTASNGNDQGLQPPKKAARTGHGAVREDTCQIESNDPSNRKQELYESNGSTDQIEKLNVRSSSARAKFMEKSSSSRNKSKKTLWGRTSARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKTKADLEEVATAEADILNLKQKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILAKLRFGSPARASSSSSEERLHMRSENFNMASLSGYGTLHCLVSNVSNAHMMDVT >ONIVA03G11730.2 pep chromosome:AWHD00000000:3:8640089:8651656:-1 gene:ONIVA03G11730 transcript:ONIVA03G11730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDTVPTLQMHILSPKTVILTRNIPQTTMALKRMTLMILVKITLKKAWMMILSTHLVAVNARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKTKADLEEVATAEADILNLKQKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILAKLRFGSPARASSSSSEERLHMRSENFNMASLSGYGTLHCLVSNVSNAHMMDVT >ONIVA03G11730.3 pep chromosome:AWHD00000000:3:8640089:8651656:-1 gene:ONIVA03G11730 transcript:ONIVA03G11730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEGMDDDTEHSSGGSECDNNIKTSVSDDKVKSNNSGTASNGNDQGLQPPKKAARTGHGAVREDTCQIESNDPSNRKQELYESNGSTDQIEKLNVRSSSARAKFMEKSSSSRNKSKKTLWGRTSARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILAKLRFGSPARASSSSSEERLHMRSENFNMASLSGYGTLHCLVSNVSNAHMMDVT >ONIVA03G11730.4 pep chromosome:AWHD00000000:3:8640089:8651656:-1 gene:ONIVA03G11730 transcript:ONIVA03G11730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEGMDDDTEHSSGGSECDNNIKTSVSDDKVKSNNSGTASNGNDQGLQPPKKAARTGHGAARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKTKADLEEVATAEADILNLKQKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILAKLRFGSPARASSSSSEERLHMRSENFNMASLSGYGTLHCLVSNVSNAHMMDVT >ONIVA03G11720.1 pep chromosome:AWHD00000000:3:8625571:8626495:-1 gene:ONIVA03G11720 transcript:ONIVA03G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMTCGAPLFLCVNYMLVPRIFVFVFILKCHISDTSTTRRTETGSTLPRKRYVSQNRF >ONIVA03G11710.1 pep chromosome:AWHD00000000:3:8620248:8625260:-1 gene:ONIVA03G11710 transcript:ONIVA03G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS HF [Source:Projected from Arabidopsis thaliana (AT4G26900) TAIR;Acc:AT4G26900] MAAATSINAVPCSAGRPKRRSQRRGASTVSVRASGDASTVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDVLTRSGMADALREYIRRDRPFLGICLGLQLLFDSSEENGPISGLGVIPGVVRRFDSSKGLIVPHIGWNALEITKDTQLLKGAEGHHVYFVHSYHALPSDENKEWISSVCNYGESFISSISMGNIQAVQFHPEKSGATGLSILKNFLSPNSSGSKVPSRRKASNLAKRVIACLDVRSNDSGDLVVTKGDQYDVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVFAAEAYLQTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYVKNPEEVQFKTVKVSNKGPLGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDDLVKMVSDAVTIPVIASSGAGTVEHFSEVFEKTNASAALAAGIFHRKEVPISAVKEHLVDAGVEVRV >ONIVA03G11710.2 pep chromosome:AWHD00000000:3:8620248:8625260:-1 gene:ONIVA03G11710 transcript:ONIVA03G11710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS HF [Source:Projected from Arabidopsis thaliana (AT4G26900) TAIR;Acc:AT4G26900] MAAATSINAVPCSAGRPKRRSQRRGASTVSVRASGDASTVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDVLTRSGMADALREYIRRDRPFLGICLGLQLLFDSSEENGPISGLGVIPGVVRRFDSSKGLIVPHIGWNALEITKDTQLLKGAEGHHVYFVHSYHALPSDENKEWISSVCNYGESFISSISMGNIQAVQFHPEKSGATGLSILKNFLSPNSSGSKVPSRRKASNLAKRVIACLDVRSNDSGDLVVTKGDQYDVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGKSSLEQISRVYGNQAVVVSIDPRRVYVKNPEEVQFKTVKVSNKGPLGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDDLVKMVSDAVTIPVIASSGAGTVEHFSEVFEKTNASAALAAGIFHRKEVPISAVKEHLVDAGVEVRV >ONIVA03G11700.1 pep chromosome:AWHD00000000:3:8615214:8617519:1 gene:ONIVA03G11700 transcript:ONIVA03G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVEDDGARSFNLHVRIERPKYERPIYEGSKIRVSSDVWPSRCVLHAYVGGEHLGWCRLPEGRDHPLRVEGWSAAFELPLQREQWGRLSLDLEVERRDVYYDEDDGVVRVFHREDPQTSSRTAVIGRARVALVDALLRDGDEDEDEDRGRKRREKDGLPALVKGTREVGEWVKLQGWRFPARGPPANVVRGRLAVHMSLEARGGRGNYTVDSCVALPWGGTGGDEPGRPSLPPPPSRHRPSAKPASRKDGGGGASHRPRFRRAGERPRWGGGDRQFRAAPAGSGGVAAAKAVVVEGGGDGVGRGREGDGGGPCAATARCSAATVAVSPRSVPLGRIWRVARDDGRLVMACDGGGSGSRGSWRRRRRLMMPVEDLAAARRRATAKWPLAFAEAMAGIGGSDDGVRLAVAVTVETVATSVEAGRWRKQVEAGKDSVTNANKNI >ONIVA03G11690.1 pep chromosome:AWHD00000000:3:8606832:8607506:-1 gene:ONIVA03G11690 transcript:ONIVA03G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHPCAHRDPGGVEMGVPAVYPPLPNPNGQAMDQERLGGGGPNHWVGNDANTLLVVATLITTLTYQLGTNIPGGYWQDTKDDHRAGDPIMRDLHRPRYWVFMGASWMGFASSMVMTLSLLVRMPVDSRNVRWSFAVAYSTLVLTFIVSQPRTHLSLDILVWVAVLAFLWFTISLRPERRAKIAQAICCGHNS >ONIVA03G11680.1 pep chromosome:AWHD00000000:3:8598862:8605489:-1 gene:ONIVA03G11680 transcript:ONIVA03G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPWEQAERWCRKSTGRRQAKWVTAAGATTARKAEGAKQGGGERSSSNPLSAPISTMEVCVLLFLLLEPIAIVFVIFNGHNGGEAGGKRELTRCRPRRGRCQGQRAARRMVKVGARAAMVMRKMEENIRIQSKEDKSGTSDPKATKQKGLRTLFFLIAWKIWKERNARIFRAKEATRDSSRTVTTRPKVVGLVSTQRALVQIFMLKDPMF >ONIVA03G11670.1 pep chromosome:AWHD00000000:3:8584031:8588723:-1 gene:ONIVA03G11670 transcript:ONIVA03G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPNGLARIETHGAKTKKHENGICHDDSSAPVRAQTIDELHSLQRKRSAPTTPIKDGASSPFAAALSEEERQRQQLQSISASLASLTRETGPKVVRGDPARKGEAAAKGAPSPHPQPVHHHHPHVTPTIAVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRIVKDEASAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDTENRIKVRIISARAYHSLFMHNMCIRPTEEELEEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLSRREMVILGTQYAGEMKKGLFGVMHYLMPRRGILSLHSGCNMGKQGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDTGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLPQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGKRIRLPYTRKIIDAIHSGELLTANYKKTEVFGLEIPTEIDGVPSEILDPINTWTDKGAYKETLLKLAGLFKKNFEVFANYKIGGDSSLTDKILAAGPNF >ONIVA03G11660.1 pep chromosome:AWHD00000000:3:8577973:8583992:-1 gene:ONIVA03G11660 transcript:ONIVA03G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRRYEIGTASIGAANNLYWPSAFQENGKMSGEHSNGSPINEINKILERKFPTINLIPLQA >ONIVA03G11650.1 pep chromosome:AWHD00000000:3:8577138:8582292:1 gene:ONIVA03G11650 transcript:ONIVA03G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVTSSVGEPNQDNEPRDSSIELPRVITDMETSAMHDPEAQKLIGHEADTELTPYEGMEFESEDAARDFYSKYARHAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETRDCENLHVDQKQQARVGTRVGCKAMLMIKKFGPDKWVVTKFIKIHNHGPVPPRKPHAGEHHDCDLMENPHSIEVDPIDEPVEGMEFESEEAAKLFYINYARVNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRARKDITDGGKTTKRPRMITRVGCKAMIVVKRMNSGKWMVSKFEKEHNHSLLSSRAVPITSNDSSREVIDFAATSNDPNEVKAEGCSTGIQCNSTDSLTVLYNHLCQEAIKFAKEGSVTEEIYHVAMNALKEAAEKVSEVKRSHPTMSQSKHEVMQMETMSASQCSNDDKQKTMTPQLKFLQEPSPSLVLIPTNLLTHSSSNCADNIPLSCDLTINETTVAIPAIPLTLYMPIMKNPTGDSAECSPDIFPFSWNADGQYRLLAAPIEAVPISYRPAEPIQQPHGSFPNSGPLPGFLPKLYKRGKGPNSLVHATALACGARVVPPEEAASLIKAIESKIRSGGATIAKLPSSSLTPLIPEVASMSSSSEDDEENDHSEPLMASVEHNCHDQSSEEMKLEADPPSELETEAENCSAQPENENNGPTHC >ONIVA03G11640.1 pep chromosome:AWHD00000000:3:8571156:8576867:-1 gene:ONIVA03G11640 transcript:ONIVA03G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT3G51140) TAIR;Acc:AT3G51140] MSGYFEGVECTLGTCLFVGLQQGTYTKYSTPFCYAPRNTRITPLATASFGDTADSSTPIFPRIHVKDPYQRLGISREASEEEIRAARNFLINKYAGHKPSVDAIESAHDRIIMQSFSDRKKPKVDLKKKYRELTQSRPVKAIQGRFQTPSSKVIWQTAITFVLLGVLTLVFPTEEGPTLQVAISCAANIYFIYQRLKSGWRTFFYGFGSFFASWFLATFLMVSVIPPILPGPRNLEIPY >ONIVA03G11630.1 pep chromosome:AWHD00000000:3:8561356:8566906:-1 gene:ONIVA03G11630 transcript:ONIVA03G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) TAIR;Acc:AT2G28470] MHHHPHPRERDTAAFAPAAPLASLVAAAECVPFISVPPRHSNQQLLFFFFFFFFFFFFFFFSFASPTEQLCSSFLLLLLVIVRTSAGDNRTTPNGATAAVMAAATVGVLLRLLLLPVVVVVSLLVGASRAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKSKDGGLDVIETYVFWDIHEPYDFEGRKDLVRFVKAVADAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNEAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPAEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALIAAEPSYSSLGQNTEATVYQTADNSICAAFLANVDAQSDKAVKFNGNTYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLGSSIQDTDDSLITPELATAGWSYAIEPVGITKENALTKPGLMEQINTTADASDFLWYSTSIVVKGDEPYLNGSQSNLLVNSLGHVLQVYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKIDLLSTTVGLSNYGAFFDLIGAGVTGPVKLSGPNGALNLSSTDWTYQIGLRGEDLHLYNPSEASPEWVSDNAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGAYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSMISFTTRQTSSICAHVSEMHPAQIDSWISPQQTSQTPGPALRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMTNCSVPVSSNNFGDPCSGVTKSLVVEAACS >ONIVA03G11630.2 pep chromosome:AWHD00000000:3:8561356:8566906:-1 gene:ONIVA03G11630 transcript:ONIVA03G11630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) TAIR;Acc:AT2G28470] MHHHPHPRERDTAAFAPAAPLASLVAAAECVPFISVPPRHSNQQLLFFFFFFFFFFFFFFFSFASPTEQLCSSFLLLLLVIVRTSAGDNRTTPNGATAAVMAAATVGVLLRLLLLPVVVVVSLLVGASRAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKSKDGGLDVIETYVFWDIHEPVRGQAQQYDFEGRKDLVRFVKAVADAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNEAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPAEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALIAAEPSYSSLGQNTEATVYQTADNSICAAFLANVDAQSDKAVKFNGNTYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLGSSIQDTDDSLITPELATAGWSYAIEPVGITKENALTKPGLMEQINTTADASDFLWYSTSIVVKGDEPYLNGSQSNLLVNSLGHVLQVYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKIDLLSTTVGLSNYGAFFDLIGAGVTGPVKLSGPNGALNLSSTDWTYQIGLRGEDLHLYNPSEASPEWVSDNAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGAYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSMISFTTRQTSSICAHVSEMHPAQIDSWISPQQTSQTPGPALRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMTNCSVPVSSNNFGDPCSGVTKSLVVEAACS >ONIVA03G11630.3 pep chromosome:AWHD00000000:3:8561356:8566906:-1 gene:ONIVA03G11630 transcript:ONIVA03G11630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) TAIR;Acc:AT2G28470] MHHHPHPRERDTAAFAPAAPLASLVAAAECVPFISVPPRHSNQQLLFFFFFFFFFFFFFFFSFASPTEQLCSSFLLLLLVIVRTSAGDNRTTPNGATAAVMAAATVGVLLRLLLLPVVVVVSLLVGASRAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKSKDGGLDVIETYVFWDIHEPVRGQAQQYDFEGRKDLVRFVKAVADAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNEAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPAEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALIAAEPSYSSLGQNTEATVYQTADNSICAAFLANVDAQSDKAVKFNGNTYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLGSSIQDTDDSLITPELATAGWSYAIEPVGITKENALTKPGLMEQINTTADASDFLWYSTSIVVKGDEPYLNGSQSNLLVNSLGHVLQGSASSSLISLQTPVTLVPGKNKIDLLSTTVGLSNYGAFFDLIGAGVTGPVKLSGPNGALNLSSTDWTYQIGLRGEDLHLYNPSEASPEWVSDNAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGAYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSMISFTTRQTSSICAHVSEMHPAQIDSWISPQQTSQTPGPALRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMTNCSVPVSSNNFGDPCSGVTKSLVVEAACS >ONIVA03G11630.4 pep chromosome:AWHD00000000:3:8561356:8566906:-1 gene:ONIVA03G11630 transcript:ONIVA03G11630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) TAIR;Acc:AT2G28470] MHHHPHPRERDTAAFAPAAPLASLVAAAECVPFISVPPRHSNQQLLFFFFFFFFFFFFFFFSFASPTEQLCSSFLLLLLVIVRTSAGDNRTTPNGATAAVMAAATVGVLLRLLLLPVVVVVSLLVGASRAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKSKDGGLDVIETYVFWDIHEPVRGQAQQYDFEGRKDLVRFVKAVADAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNEAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPAEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALIAAEPSYSSLGQNTEATVYQTADNSICAAFLANVDAQSDKAVKFNGNTYKLPAWSVSILPDCKNVVLNTAQGSASSSLISLQTPVTLVPGKNKIDLLSTTVGLSNYGAFFDLIGAGVTGPVKLSGPNGALNLSSTDWTYQIGLRGEDLHLYNPSEASPEWVSDNAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGAYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSMISFTTRQTSSICAHVSEMHPAQIDSWISPQQTSQTPGPALRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMTNCSVPVSSNNFGDPCSGVTKSLVVEAACS >ONIVA03G11630.5 pep chromosome:AWHD00000000:3:8561356:8566906:-1 gene:ONIVA03G11630 transcript:ONIVA03G11630.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) TAIR;Acc:AT2G28470] MHHHPHPRERDTAAFAPAAPLASLVAAAECVPFISVPPRHSNQQLLFFFFFFFFFFFFFFFSFASPTEQLCSSFLLLLLVIVRTSAGDNRTTPNGATAAVMAAATVGVLLRLLLLPVVVVVSLLVGASRAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKSKDGGLDVIETYVFWDIHEPVRGQAQQYDFEGRKDLVRFVKAVADAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNEAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPAEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALIAAEPSYSSLGQNTEATVYQTADNSICAAFLANVDAQSDKAVKFNGNTYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLGSSIQDTDDSLITPELATAGWSYAIEPVGITKENALTKPGLMEQINTTADASDFLWYSTSIVVKGDEPYLNGSQSNLLVNSLGHVLQEVLRAVLAARSSHCRHQLHLYHVPRSFLQPGSNDLVLFEQFGGDPSMISFTTRQTSSICAHVSEMHPAQIDSWISPQQTSQTPGPALRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMTNCSVPVSSNNFGDPCSGVTKSLVVEAACS >ONIVA03G11610.1 pep chromosome:AWHD00000000:3:8547613:8551267:-1 gene:ONIVA03G11610 transcript:ONIVA03G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSNGGGGSPGHRRRGSAAQGHGHHQVHGHHHQPSSPPPPPPPESSPSHYVFAAATPYPPPQYTNPNLPRYYPQYGNYYPPPPSLQVPLPAPYDHHHRGGGAGVPTGGEFPPSAHPQHYPGWPGVSGRPHPCGLQPAMPTPYVEHQKAITIRNDVNLKKETLRIEPDEECPGRFLVAFTFDATLAGSMTVYFFAKEELNCNLTAVKEDLIKPVTVSFKEGLGQKFRQPSGTGIDFSVFEDSELLKQGDMDVYPLAVKAETTMPVDQKLEGEDQKMKTPNSQITQALFEKKESGDYQVRVASQILWVNGTRYELQEIYGIGNSVEGDADANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNNKAEEQQQPSPDSPIKVNSKAEEHQQQPSQSLPIPHREEV >ONIVA03G11600.1 pep chromosome:AWHD00000000:3:8542927:8546602:-1 gene:ONIVA03G11600 transcript:ONIVA03G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G48850) UniProtKB/Swiss-Prot;Acc:P57720] MAAPTSSQPVARVLPRGGGGGFRAFPESAPASLRFSVGRRRAARLEVKASANVFGNYFQVATYGESHGGGVGCVISGCPPRIPLTEADMQVELDRRRPGQSRITTPRKETDTCKILSGTHEGMTTGTPIHVFVPNTDQRGGDYSEMAKAYRPSHADATYDFKYGVRAVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVVLPEDVVDYDTVTMEQIESNIVRCPDPEYAQKMIDAIDKVRVRGDSIGGVVTCIARNVPRGIGSPVFDKLEAELAKAMLSLPASKGFEIGSGFAGTDYTGSEHNDEFYMDGAGNVRTRTNRSGGVQGGISNGEIIYFKVAFKPTATIGKKQHTVSREHEDVELLARGRHDPCVVPRAVPMVESMAALVLMDQLMAHIAQCEMFPLNLALQEPVGSASSVPAFAPDLS >ONIVA03G11590.1 pep chromosome:AWHD00000000:3:8530521:8539318:-1 gene:ONIVA03G11590 transcript:ONIVA03G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHAPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAAILKHPRTPTTANPSMDYPSGDSDHVSKRTRPVGMSEEVNLPVNMLPVTYPQSHSYPQDDFHKNVARTLSQGSTPMSMDFHPVQQTLLLVGTNVGDIGLWDVGTKERLVLRNFKVWDLTKCSMALQASLVKDPTVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTSLLTTIDADGGLPASPRVRFNKEGTLLAVSTHENGIKILANADGVRLLRTLENRSFDASRSASETVTKPLMNPLTAAAAAAASAAAAGTSSGNAAPPAITALNGDSRSLVDVKPRIADEPLDKSKVWKLMEITESSQCRSLKLTDNMRTSKISRLIYTNSGVAILALASNAVHLLWKWPRNDRNSSGKATASVSPQLWQPPSGILMTNDITDNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKKITGLAFSNVLNVLVSSGADAQICVWSTDGWDKLKSRMLQIPSSRPSSIILDTRVQFHQDQLHFLVVHETQIAIYETTKLEPVKQWPVRENSSPITHAMFSCDSQLIYASFLDATVCIFNASSLRLQCRILPASYLPQNISSNVYPVVVAAHPSEANQFALGLTDGGVYVLEPLESERKWGNPPPAENGSTSALRCTSPLQLPFRRAGSKG >ONIVA03G11590.2 pep chromosome:AWHD00000000:3:8530521:8539318:-1 gene:ONIVA03G11590 transcript:ONIVA03G11590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHAPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAAILKHPRTPTTANPSMDYPSGDSDHVSKRTRPVGMSEEVNLPVNMLPVTYPQSHSYPQDDFHKNVARTLSQGSTPMSMDFHPVQQTLLLVGTNVGDIGLWDVGTKERLVLRNFKVWDLTKCSMALQIYSYHGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTSLLTTIDADGGLPASPRVRFNKEGTLLAVSTHENGIKILANADGVRLLRTLENRSFDASRSASETVTKPLMNPLTAAAAAAASAAAAGTSSGNAAPPAITALNGDSRSLVDVKPRIADEPLDKSKVWKLMEITESSQCRSLKLTDNMRTSKISRLIYTNSGVAILALASNAVHLLWKWPRNDRNSSGKATASVSPQLWQPPSGILMTNDITDNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKKITGLAFSNVLNVLVSSGADAQICVWSTDGWDKLKSRMLQIPSSRPSSIILDTRVQFHQDQLHFLVVHETQIAIYETTKLEPVKQWPVRENSSPITHAMFSCDSQLIYASFLDATVCIFNASSLRLQCRILPASYLPQNISSNVYPVVVAAHPSEANQFALGLTDGGVYVLEPLESERKWGNPPPAENGSTSALRCTSPLQLPFRRAGSKG >ONIVA03G11580.1 pep chromosome:AWHD00000000:3:8528738:8529788:-1 gene:ONIVA03G11580 transcript:ONIVA03G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFESDRAHCRVGVGVVVARTHALGGQGSQIRDAPGINQTGPLGKGMQRTGWCFGMHG >ONIVA03G11570.1 pep chromosome:AWHD00000000:3:8515928:8518449:1 gene:ONIVA03G11570 transcript:ONIVA03G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJV1] MEEAEEMQVERMQEEVEGGGADTDKLSYEIFSILESKFLFGYTDPHQLWLPKAPAAQASAATAVPSGKAAQRGKVCVLCVDGGGGGLRALLAGRALAHLEAALRRASGDPDARVADYFDLVAGTGAGGVFAAMLFSTHSRGAPLFHADDTWRLVADHAPRLFRKAVGGSTSLFCRPKKRPLAAPTAALDAAMKTAFGEELTLRDTIKPVLISCYDLKSSAPLVFSRADALESESYDFRLCEVGRAAWSEAGRFEPAEVASVDGATSCAAVDGGPIMGSPAAAAITHVLHNKHEFPFVRGVEDLLVLSIGGCSAGGTGAAADADLRRLRRWGPKDWARPIARIAADGAADLVDHAVARAFGQCRSSNYLRIQAKRESMPPCGPDGEYDPTPANVHALLAAADEMLKHRNVESVLFEGRRVGEQTNAERLDCFAAELVAEHRSRGSRIGPTVAFKQAPRKQPPAAAVAAMG >ONIVA03G11560.1 pep chromosome:AWHD00000000:3:8503863:8505104:-1 gene:ONIVA03G11560 transcript:ONIVA03G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTAAGGQPLELRIRRLDLPLASFLLSIDCAMAVAAVLPTVAGELAMDETKHSQISPGLAAWAQGRSLLHARLRRRREGVINGAARVGGAVATAAGDASLRRMMNAVLVTPTPIVAASTELRLAMPAALKMLGPYNTTASMPVRCWKKWIPRAAMTMRCTGGVGCRKAEGADADAGDAAAGEERGEVGGEAHEDGAREEIQAARWRREEREVEEGIRDNDMWDLQWVP >ONIVA03G11550.1 pep chromosome:AWHD00000000:3:8499195:8503214:1 gene:ONIVA03G11550 transcript:ONIVA03G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAITRARLQHRPARFHRAPYRCIPVTTTTCLPERRVHRGGGVQWCGSSAATGERARAVSEVRAAGARGGDARPPPQGEGDVAAGVAEAPSSGGGAKRGSVVGAAALVVGTSIGSGILAVPQRTAPAGFVPSAACMVTCWAFLVIEALLLVEINVHLRRKKGKDAGGDGDGGGGGQLEVISLKSMAQETLGEWGGNLAAAAYLFLSYTSMVAYTSKSGEVLSRVVAGVPEPVSGGAFTAALALLIAAGGTGVTDKVNQLLTFVMIGLLLTIEVSAVAFGAGLTLPANTNWEQVPATLPVIIFTLVFHDIAPVICAYLGGDLVRIRLSILVGSIVPLLSLLVWDDIALSISTDLDGFDILDMLNTEWSYTVVETFSLLAVGTSLIGTLLGASQFFIEQMTNLVSSSAQGHEEEALRHRGAKTAVDNNRLSYIAAGAVVAPTVLIAATVPNSFSIATDIAGGYCMTILYGVLPPLMAWAIASRMSDSRAGSVEAESSVAGGSGNVDLTSAKPVLVGMGVFSVLMVFEQMSQDFVSFQSYLLAWTG >ONIVA03G11540.1 pep chromosome:AWHD00000000:3:8491122:8494506:-1 gene:ONIVA03G11540 transcript:ONIVA03G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQLAAVLASLALGGALLVLVFGKWWQPLADADRRVKELADAVEALLQLRSELLKVEPAPPESDQLARAWLRRVQEAQDEVASLKARHDGGQLYVLRLVQYFVSTAPVAGSAEKQLKAVRALREQGEALLEAALSTPQAPPPLLRQPEELELPPGTSLTRPYLNEALRFLGDCDAALGVWGAGGVGKTTVLTHVRDACGLVAPFDHVLLVAASRDCTVAKLQREVVGVLGLRDAPTEQAQAAGILSFLRDKSFLLLLDGVWERLDLERVGIPQPLGMVAGRVRKVVVASRSEAVCADMGCRKKIKMECLSEEDAWNLFEANAREETIHRHPRIPALSRQVASECKGLPLSLVTVGRAMSSKRTPKEWGDALDALKKTKLSSAPGPDKIAHPLVKFCYDNLENDMARECFLACALWPEDHNISKDELVQCWTGLGLLPELADVDEAHRLAHSVISVLEASRLVERGDNHRYNMFPSDMHVRLHDVVRDAALRFAPGKWLVRAGAGLREPPREEALWRDARRVSLMHNGIEDVPAKTGGALADAQPETLMLQCNRALPKRMIQAIQHFTRLTYLDMEETGIVDAFPMEICCLVNLEYLNLSKNRILSLPMELSNLSQLKYLYLRDNYYIQITIPAGLISRLGKLQVLELFTASIVSIADDYIAPVIDDLESSGAQLTALGLWLDSTRDVARLARLAPGVRARSLHLRKLQDGTRSLPLLSAQHAAEFGGVQESIREMTIYSCDVEEIVADARAPRLEVIKFGFLTKLRTVAWSHGAASNLREVAIGACHAVAHLTWVQHLPHLESLNLSGCNGMTTLLGGAANGGSAAGELVTFPRLRLLALLGLPKLEAIRGDGGECAFPELRRVQTRGCPRLRRIPMRPAASGQCKVRVECDKHWWGALQWASDDVKSYFAPVLI >ONIVA03G11530.1 pep chromosome:AWHD00000000:3:8479509:8485065:-1 gene:ONIVA03G11530 transcript:ONIVA03G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: cultured cell; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane translocase complex, subunit Tim21 (InterPro:IPR013261) /.../35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G00026) TAIR;Acc:AT4G00026] MASRIARLLQHQNRRLLSTAAEASSRRPPRAPLGGAIPKHEVAKAEASSLKKSRWYMIKSNPSSPLTTQCESHKVSTHLVRPSASYSTQTSEQNPKEGTKDLKTVEDPFDSPTYNIPEKPVTFAEGASYSLVIVAGLGIAAVAGYAVFKELIFEPKEYKIFGKALARVQNDSQVTAKIGYPVTGYGNESRNRAARQRIPNKVWTDEDGVEHVEVNFYIRGPHGAGKVYSEMFKDKNDRSWKFTYLIVEIVSPHRAQLIQSQR >ONIVA03G11530.2 pep chromosome:AWHD00000000:3:8479944:8485065:-1 gene:ONIVA03G11530 transcript:ONIVA03G11530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: cultured cell; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane translocase complex, subunit Tim21 (InterPro:IPR013261) /.../35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G00026) TAIR;Acc:AT4G00026] MASRIARLLQHQNRRLLSTAAEASSRRPPRAPLGGAIPKHEVAKAEASSLKKSRWYMIKSNPSSPLTTQCESHKVSTHLVRPSASYSTQTSEQNPKEGTKDLKTVEDPFDSPTYNIPEKPVTFAEGASYSLVIVAGLGIAAVAGYAVFKELIFEPKEYKIFGKALARVQNDSQVTAKIGYPVTGYGNESRNRAARQRIPNKVWTDEDGVEHVEVNFYIRGPHGAGKVYSEMFKDKNDRSWKFTYLIVEIVSPHRAQLMLESYVPA >ONIVA03G11520.1 pep chromosome:AWHD00000000:3:8474753:8475760:-1 gene:ONIVA03G11520 transcript:ONIVA03G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYDQMLAPLLGAGRSAWTAHDGGGGGGEAVVRQILKCTRWQLEETTDFVTCPYHYYCDSSYPGDYHAAVGVLVAAFAAYCFLSTLAFTVLDLARSGGGGGGAGGVRGIRRKYLLPSGPFLLPLVLLVLAKGQRINAVFPLAQLGPALLLLLQASALAFRNEADGDIRYAVLEASTVSGVLHASLYLDAVVLPYYTGLEALRWSQFSGECATCLCRMEPLVVGGTAVRYRGLSKTALAIIFALCSRMVCRIYGEERLSAWTRSALEAAGWVFVAADAVYLVGWVAIEGGAVAVLAYSLVAGLVFLSVFGKVYRFLAWLETRQSQWKSSLCHSAV >ONIVA03G11510.1 pep chromosome:AWHD00000000:3:8469112:8470398:-1 gene:ONIVA03G11510 transcript:ONIVA03G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKLSFSIPSKARPPRPASRPAATAAAAAASDDGEYSARAPAPQFVTEFDPTQTLATGAAPSVIAPLQNSGHFLNHRSRKPSSLPTAEEEAALSASAAGGPSFVLDTSTAPDNPSSHIAYGLTVRNAAAELEKAAEPEKTPPPPPAAGAPSGDLMLRRYKEDMASLPDHRGLDEFRDVPVEGFGAALLAGYGWSEGKGIGRNNKGDTKVVEYDRRAGTQGLGYNPSEADPKKTRAGEWVVGGNKETQNGNAKKRDRDSRGRTEDRDSSSRQKRSGERRAEREVQEKDRNSRHTKQVKIGGGGGDKMRWLHSDIKVRVVSERLSKKLYLKKGRVLDVVGPTTCDIIMDDQSELVQGVEQDMLETVLPRTNGLVLLLAGEHKGLCGHLVEKNSEEETGVVELSNTKDMIRVKYDQIAEYIGDPESLEY >ONIVA03G11500.1 pep chromosome:AWHD00000000:3:8465052:8465528:-1 gene:ONIVA03G11500 transcript:ONIVA03G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCRARTTRKKIEIKRGDKKVRDACFSKRHTTIFNKANELAILCGVMVAVVFVSPNANGGIFSFGYPSVSSVANRFLANAPNNTSVSSSTQSGRDVEIHELEREERELKEHLQASTDQNKLLQEAIAARDGGQLMLLLQSDCSELGPKGLVRGGTKY >ONIVA03G11490.1 pep chromosome:AWHD00000000:3:8454676:8456172:1 gene:ONIVA03G11490 transcript:ONIVA03G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDHAPPSPLSSDILPHFPPSLADAGAGALDLSFTSTASASTSSFTTATTFSARSSLSLPSFSSSTSLSPRPHSSSSSPHWALLAAARAATPDGVLRLAHLHLIRELGHGHLARVFLCRLKSSPPASPLFALKVVDLRDDDPSRVTHVLAESRVLSSLDHPFVPTLYARLDAGRYACFLMDYCSGGDLHAVLRRRPGGRLPVAAARFYAAEVLLALEYLHALGFVYRDLKPENVLLRGDGHVVLSDFDLALPASVEPAVRRRQVRKLSRRKNRIVPSCFSANGGSGDDGDEVNAKEQFEFVAEPTTANSKDCVGTHEYLAPELVSGSGHGNGVDWWAFGVFLYELVYGRTPFKGHAKDATLKNILAKQVTYPQLDGEADAAQLRDLIGRLLERDPRRRMGSARGAAEIKRHPFFAGVDWALIRCVAPPVVPDKDSAAAGAGDKKAKLGSWNSMGGKKRSSFRRKSNYEERQGVFRKLMSWSQESRSKKAKTNKVKL >ONIVA03G11480.1 pep chromosome:AWHD00000000:3:8445864:8446606:-1 gene:ONIVA03G11480 transcript:ONIVA03G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSHCFPHCHRSSLDPASHEPDLESSVKKEAGGGEGTTEGEREGELLRPGEVRDAAVGLGRSGAPVREERRGASQGCEASALEEVATVGKGSRRNHV >ONIVA03G11470.1 pep chromosome:AWHD00000000:3:8436088:8437068:-1 gene:ONIVA03G11470 transcript:ONIVA03G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYLNIRFNPDGSLTRNGAARLLPPAPAGEPVDGVNGPARRIVHSNDAPLNDANGTTVRLFVPSGPCVGADGGGRLPLVLYFHGGGYVLFRAASEPFHNTCTALAATIPAVVASVDYRLAPEHRLPAAFEDAADAVRWVRSYAAGCRPLFLMGSHAGASIAFRAALAAVDEGVELRGLILNQPHHGGVKRTAAEESSVDDRVLPLPANDLLWELALPLGADRDHEYCNPETMLAGVDAARLRRLPPCLVLGRMKDPPRDRQRTLVEALQKAGVTVEAKLDGAGYHAMELFKEDRAAEFIAQVTDFVRRHTGAGSDVHAGRSRL >ONIVA03G11460.1 pep chromosome:AWHD00000000:3:8425783:8429943:-1 gene:ONIVA03G11460 transcript:ONIVA03G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGIPLPQRDSFPSTSISCKSTRHKGRAGGGGRAAAVAGAREPGEGAAVVAGAEGESGGGGRSQGAGGWSQRAEGWGGGGGRSQRAEGGGGSGGRSRGRGRRRWPEPEEGATTVAGARGGRGNGGGSRIQGMGGGGGGASLEPEEGAAAVAGAREEGALGRQWSAASMSVKH >ONIVA03G11450.1 pep chromosome:AWHD00000000:3:8416182:8420478:-1 gene:ONIVA03G11450 transcript:ONIVA03G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFVCTPSHLHARRSGGHARDPPRFLRLRQRPRKAAARVVVLLSAARHPAFPQLRIASPQLSMEVVASAPGKVLVAGGYLVLERPNAGLVLSTSARFYAIVRPLRDELSHDSWAWAWTDVKVTSPQLSREATYKLSLKKSTLQLTESANPFVEQAVQFSVAAAKVTVTDKEGKEALDKLLLQGLHITILGCNDFYSYRKQIEARGLPLTPEVLLSLPPFCSITFNSEVANGTMTGENCKPEVAKTGLGSSAAMTTSVVAALLHYLGTVNLSCLGQSSSGNAAGRDLDLLHAIAQSAHCIAQGKIGSGFDVSAAVYGSQRYTRFSPEILSSAQVTGGHCMADVVADIVTQSWDHEKAKFSLPPLMSLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKSKETWSKLGIANSVLENQLRNLNKLAEDHWEAYESVLRSCSCLTCSKWTEVATNQHQELIVRSLLAARDAFLEIRLHMREMGIAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVILGEASDAVAKAWSSAGVLPLLVREDPRGVSLEAGDPRTREVSTAVSSIQIN >ONIVA03G11440.1 pep chromosome:AWHD00000000:3:8412845:8413594:1 gene:ONIVA03G11440 transcript:ONIVA03G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNSGGSGSHARRRRRPLAAGGGGGLLRAATSTTKGTAIGVGVSSASVTAMAMASIASTAAAAMEGTSAVAAREVHPRRQRQRCLVPSHLSIVVPHQRRLGSSLVNHQSSAGWCGGPLTRGSPAGAGTGDGIHPTISAGPGPGEKSGSGGGGVLAQPAPLPTLLSYFLSTPWANKAAARCSSASSFSFIYPWQVQPPIALPDGASRSAAASPPVCAASSVAPGGGSDGLVVATMRFLTAAMASLSWRR >ONIVA03G11430.1 pep chromosome:AWHD00000000:3:8409616:8410209:-1 gene:ONIVA03G11430 transcript:ONIVA03G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSRAENARFEQALAMYDRDTPGRWERVAAVVGGGKTADDVRRHFDLLVDDCGSIESGNYGYPGTGAGAGRGSGNGNGNGRDKNNDGNTNRRQSRANGPQT >ONIVA03G11420.1 pep chromosome:AWHD00000000:3:8395506:8400772:-1 gene:ONIVA03G11420 transcript:ONIVA03G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPIVNATAAVLARVSAAFNAPFARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEIDELCDEWEPEPLCPPIKDGARIDTPMLESAAAPHTTIDGKEVINFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLANTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGQSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVSYLEGNPSVLADLRSNISFLHKELSGTPGLEISSHVLSPIVFLKLKKSTGSSNTDIDLLETIAERVLKEDSVFIVASKRSPLDRCKLPVGIRLFMSAGHTDSDISKVSSSLKRIGCANGEKTPNSQIGTNRFQVSSYTIAPSLQIQPEQPY >ONIVA03G11420.2 pep chromosome:AWHD00000000:3:8395506:8400772:-1 gene:ONIVA03G11420 transcript:ONIVA03G11420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPIVNATAAVLARVSAAFNAPFARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEIDELCDEWEPEPLCPPIKDGARIDTPMLESAAAPHTTIDGKEVINFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLANTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGQSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVSYLEGNPSVLADLRSNISFLHKELSGTPGLEISSHVLSPIVFLKLKKSTGSSNTDIDLLETIAERVLKEDSVFIVASKRSPLDRCKLPVGIRLFMSAGHTDSDISKVSSSLKRIGCANGEKTPNSQIGTNRFQVSSYTIAPSLQIQPEQPY >ONIVA03G11420.3 pep chromosome:AWHD00000000:3:8395917:8400772:-1 gene:ONIVA03G11420 transcript:ONIVA03G11420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPIVNATAAVLARVSAAFNAPFARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEIDELCDEWEPEPLCPPIKDGARIDTPMLESAAAPHTTIDGKEVINFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLANTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGQSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVSYLEGNPSVLADLRSNISFLHKELSGTPGLEISSHVLSPIVFLKLKKSTGSSNTDIDLLETIAERVLKEDSVFIVASKRSPLDRCKLPVGIRLFMSAGHTDSDISKVSSSLKRVSASVLSDYI >ONIVA03G11420.4 pep chromosome:AWHD00000000:3:8395917:8400772:-1 gene:ONIVA03G11420 transcript:ONIVA03G11420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPIVNATAAVLARVSAAFNAPFARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEIDELCDEWEPEPLCPPIKDGARIDTPMLESAAAPHTTIDGKEVINFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLANTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGQSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVSYLEGNPSVLADLRSNISFLHKELSGTPGLEISSHVLSPIVFLKLKKSTGSSNTDIDLLETIAERVLKEDSVFIVASKRSPLDRCKLPVGIRLFMSAGHTDSDISKVSSSLKRVSASVLSDYI >ONIVA03G11410.1 pep chromosome:AWHD00000000:3:8388322:8395273:1 gene:ONIVA03G11410 transcript:ONIVA03G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFNRFHSIHQHKPKTLQEHRLDTRRTQPYSLLSPPGALLLVPGYLEAGLGAQAMDEKDGNNGRCNFSTEDPGEDKAFAASLVDQDQDPDPTDAALLDNECSRVVDQDPPPDAAALLYDECSAAYGAHVKGANVENWACVNFSLMGTTETQKFASELKKACVAIGMDLKSVHAVFVDLNSPNKLESTLHDVQELFGGQGVEVNQINLLIVILPEFRRSDAKVKDICKNLGFLYQCCLPEHARTPSKLYLNNVAHNIRSKEKRVNGDSEKVYGSDEMREDSESDLSDLEDMGDADSDIIYLEAFPTSDEEMDDDDDYGDDDEYVPVSPDEEMDDDGSVQQMRENDELCKQLQRLEENDGHEQAANSAEGCLKTYKEIETHTALAGSTYSELSEPPSFEAFLCPGEREHILKTGWETQHPYKKPSGVSWDEYSKVFDTNGYYKLSNMPVEDKDLVAAECNTPEVRRWENHVSHDYDELQEFGTLPKMDVDVPLTSLHIRRTLLDVPKKIDILIVIMADDRSYDGEIEEMCESLRIDYVFCLPCLSKRNLKRVARQIQLKKSQRFFGAHTIHYDLGEDSEAGVDSEGIASQVLSVLLQNDKRKTQEDNLLQCNILHRGGLMEGEMERICLQEIDAIKQACAYSYKEDQPSLTYVVVVPTASIGTEADTAKYKFFCRHTTHKSTSRVVRYHVVHDDNNFLAGELQSLTLKLFTFRHRREYPKIDAVVPAYYAERAAFKAYRAECAAFKASRDKCAASKAS >ONIVA03G11410.2 pep chromosome:AWHD00000000:3:8388322:8395273:1 gene:ONIVA03G11410 transcript:ONIVA03G11410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFNRFHSIHQHKPKTLQEHRLDTRRTQPYSLLSPPGALLLVPGYLEAGLGAQAMDEKDGNNGRCNFSTEDPGEDKAFAASLVDQDQDPDPTDAALLDNECSRVVDQDPPPDAAALLYDECSAAYGAHVAKKNKSEAKTQKFASELKKACVAIGMDLKSVHAVFVDLNSPNKLESTLHDVQELFGGQGVEVNQINLLIVILPEFRRSDAKVKDICKNLGFLYQCCLPEHARTPSKLYLNNVAHNIRSKEKRVNGDSEKVYGSDEMREDSESDLSDLEDMGDADSDIIYLEAFPTSDEEMDDDDDYGDDDEYVPVSPDEEMDDDGSVQQMRENDELCKQLQRLEENDGHEQAANSAEGCLKTYKEIETHTALAGSTYSELSEPPSFEAFLCPGEREHILKTGWETQHPYKKPSGVSWDEYSKVFDTNGYYKLSNMPVEDKDLVAAECNTPEVRRWENHVSHDYDELQEFGTLPKMDVDVPLTSLHIRRTLLDVPKKIDILIVIMADDRSYDGEIEEMCESLRIDYVFCLPCLSKRNLKRVARQIQLKKSQRFFGAHTIHYDLGEDSEAGVDSEGIASQVLSVLLQNDKRKTQEDNLLQCNILHRGGLMEGEMERICLQEIDAIKQACAYSYKEDQPSLTYVVVVPTASIGTEADTAKYKFFCRHTTHKSTSRVVRYHVVHDDNNFLAGELQSLTLKLFTFRHRREYPKIDAVVPAYYAERAAFKAYRAECAAFKASRDKCAASKAS >ONIVA03G11410.3 pep chromosome:AWHD00000000:3:8388322:8395273:1 gene:ONIVA03G11410 transcript:ONIVA03G11410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFNRFHSIHQHKPKTLQEHRLDTRRTQPYSLLSPPGALLLVPGYLEAGLGAQAMDEKDGNNGRCNFSTEDPGEDKAFAASLVDQDQDPDPTDAALLDNECSRVVDQDPPPDAAALLYDECSAAYGAHVAKKNKSEAKTQKFASELKKACVAIGMDLKSVHAVFVDLNSPNKLESTLHDVQELFGGQGVEVNQINLLIVILPEFRRSDAKVKDICKNLGFLYQCCLPEHARTPSKLYLNNVAHNIRSKEKRVNGDSEKVYGSDEMREDSESDLSDLEDMGDADSDIIYLEAFPTSDEEMDDDDDYGDDDEYVPVSPDEEMDDDGSVQQMRENDELCKQLQRLEENDGHEQAANSAEGCLKTYKEIETHTALAGSTYSELSEPPSFEAFLCPGEREHILKTGWETQHPYKKPSGVSWDEYSKVFDTNGYYKLSNMPVEDKDLVAAECNTPEVRRWENHVSHDYDELQEFGTLPKMDVDVPLTSLHIRRTLLDVPKKIDILIVIMADDRSYDGEIEEMCESLRIDYVFCLPCLSKRNLKRVARQIQLKKSQRFFGAHTIHYDLGEDSEAGVDSEGIASQVLSVLLQNDKRKTQEDNLLQACAYSYKEDQPSLTYVVVVPTASIGTEADTAKYKFFCRHTTHKSTSRVVRYHVVHDDNNFLAGELQSLTLKLFTFRHRREYPKIDAVVPAYYAERAAFKAYRAECAAFKASRDKCAASKAS >ONIVA03G11400.1 pep chromosome:AWHD00000000:3:8371791:8373671:-1 gene:ONIVA03G11400 transcript:ONIVA03G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSIRQALSEYQMCTLCIIARFDRRCGGRGWRSAGEELGGGAQARAVASLSSTGRPHLLDGDAWREGLAGWCAAVGDVVTELNRSPDREELGVEDRCGGHGRRPAGEELGGGAQAREAMSPSSTGRPHLLDDGAWGEGPAGRCARRRHRARPAIPIFSPRNTQEGQSLSPVSSRELGESSDGGLPRPPDELGTIAAPRSVALSPGWSRSWSGRDRWRGPLHIGVGVQRPW >ONIVA03G11390.1 pep chromosome:AWHD00000000:3:8367586:8370945:-1 gene:ONIVA03G11390 transcript:ONIVA03G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSADGLVLKAACERCGSSSDLHGTGCRHATLCVSCGSAMARSGDCCPVCAAPIASLIREYNVLVDTTGEKQYTIGKFTTGVPPFSDRENAGSRSWSLHPEGQQGRQPTGNIWENCSNRKSCILEDDTGDYQYQGQIQGLQSAASTYYLLMMHGKDVHAVPADSWYNFSKISQYKQLTLEEAEEKMSRRRSTATGYGRWMMKAATNGAAAFSSDVTQLDDANEGETDQVHLKKGNKNGDENKSDKGSGEERAHVPMTKGREEEGSKDRDFDLDDEIEKGDDWEHEEIFTDDDEAVDVDPEEGGDLADPEIPAPPEIKQDDNEKHGGVGLSKSGKELKKLLRRAAGQNESDDDDEDTDEDESPSPVLAPKQQDQLKSEPQEDNHSKPTVLGHPYSTPHVSKSNQKRRQRGDDSKTCATPKKPKIEPDTKKIVVKEETSCSLEPTSEPFASARSDTNVSPITVEEIRVVLRLYAPIAMRDFSKDFMPRFSPRLRSPEDREVFLANLRKISHLQKINGQKYIILLEEYK >ONIVA03G11380.1 pep chromosome:AWHD00000000:3:8362446:8364476:1 gene:ONIVA03G11380 transcript:ONIVA03G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRLLAAAASPPPAAEEKAVVDGLRGSHGARRHAAGSAVGAGVAAVVALVLVALGLGLFVWLRRGRKRGAGAGGGAAAGMGVGVGVGARTQPAPALRRLSCQQLRRATGGFAAGSKLGQGGFGPVFRGALPRSGQAVAVKVMDAAGSLQGEREFHNELSLASHLLGCGHGHGSPSILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKRCPELVSEWPRRLAVARDVAAALHYLHSVAQPPVIHGDVKPSNVLLDGELRARLSDFGLAQIKSEEGDELESAAIEGNGKESSNPCGGCDDDMSVADENATAVAVNGEDNAAKSPEDDEGFTMASPAEAASTSGCDKTSVGSGLNGRSCNGGGAAASGAGNDWWWRQDNGGGSGGVKDYVMEWIRSEIKKERPKNDWIAGASATTPATSTERKKTKRRAREWWREEYADELTKKQKRRALAKSRSEIGPMASMQWWERDCDLEEKGRSRWRMMKSWSRRSSNGNGSIDWWIDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQVTASPMSEFEKASLISWARHLARVGRLLDLVDPALRDVNRDQALRCITVALLCIQRSPSRRPSSEEVLEMLSGEGEPPNLPVEFSPSPPGGFRFRSRKKGR >ONIVA03G11370.1 pep chromosome:AWHD00000000:3:8354051:8358910:-1 gene:ONIVA03G11370 transcript:ONIVA03G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLAQVVGNTGHRHKSFSFQLGEFLQSLKKGDRGTELSFAAAATVAVVHGRGGGRCPCIMGGRRRGEMGFISGVVMGMIIGVALIAGWSRAMARRAAKRNVNALASLDREDVKKICGENLPEWVSFPEYEQVKWLNKQLSKLWPFVEEAATMVIRDSVEPILDDYRPAGISSLKFSKLSLGTVPPKIEGIRIQSFKKGQITMDVDFRWGGDPNIVLAVDTLVASLPIQFKNLQVYTIIRVVFQLCDEIPCISAVVVALLAEPKPRIDYILKAVGGSLTAMPGLSDMIDDTVASLIADMLQWPHRIVVPLGGVDVDVSDLELKPHGKLTVTVVRAESLKNKELIGKSDPYVVLYIRPMFKEKTSVIDDNLNPEWNETFSLIAEDKETQHLILQVFDEDKLKQDKRLGIAKLPLNDLEMESVQEINLQLLSSLDTTKVKDKKDRGVLTIKVLYHPFTKAEALEALELEKKTVEERRKTKEETAAVSGAADAASGVTSTVTPAAGAGVAAGAAAPGAGATAAGSGVGLVGTGIGAVGSGIGAFGTGLSKAGKFVGRTVTGPFSSARRSASSVPTIDE >ONIVA03G11360.1 pep chromosome:AWHD00000000:3:8346591:8354678:1 gene:ONIVA03G11360 transcript:ONIVA03G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJS4] MARGGGGGCCPPMDLMRSEAMQLVQVIIPTESAHLTVSYLGELGLLQLKDLNADKSPFQRTYAAQIKRCGEMARKLRFFKEQMSKAGISTSAQLTEISLDFDDLEIKLGELEAELAEVNANNEKLKRTYNELLEYSTVLQKAGEFFYSAQRSAAAQQREMEANQSGESSLESPLLEQDTLTDASKQVKLGSLSGLVPKEKAMAFERILFRATRGNIFLRQESVDEPVTDPVSGEKVAKNAFVIFYSGDRAKAKILKICDAFNANRYPFPEDVARQLHAVQEVSAKISELKATIDMGLAHRDNILKNIASEFENWNRLANKEKIIYHTLNMLSVDVTKKCLVGEGWSPVFATTQIQDALQRATLDSKSQVGSIFQVLNTTESPPTYFQTNKFTSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICILVSTLYLIIREKKFASQKLGDIMEMMFGGRYVIIMMALFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDAATEGLLKVRRTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVISYFNAKFFRNSVNVWYQFIPQLIFLNSLFGYLSMLIIIKWSTGAKADLYHTMIYMFLSPTDELGENQLFPGQKTVQLVLLLLALVSVPWMLIPKPFFLKMEHERRHQGQQYAMLQSTDDSVVAEMGHHNGSNHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAFGYNNILIRIAGITIFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALISEEED >ONIVA03G11340.1 pep chromosome:AWHD00000000:3:8341364:8342386:-1 gene:ONIVA03G11340 transcript:ONIVA03G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNEALEHSTHSYKSTVIKVTMDNQQLPYAGQPAAAGAGAPVPGVPGAGGPPAVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSALGFAAGGPAGAVGAAGPAAGLPYYYPPMGQPAPMMPAWHVPAWDPAWQQGAAPDVDQGAAGSFSEEGQQGFAGHGGAAAGFPPAPPSSE >ONIVA03G11340.2 pep chromosome:AWHD00000000:3:8341364:8343525:-1 gene:ONIVA03G11340 transcript:ONIVA03G11340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILHSTHSYKSTVIKVTMDNQQLPYAGQPAAAGAGAPVPGVPGAGGPPAVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSALGFAAGGPAGAVGAAGPAAGLPYYYPPMGQPAPMMPAWHVPAWDPAWQQGAAPDVDQGAAGSFSEEGQQGFAGHGGAAAGFPPAPPSSE >ONIVA03G11330.1 pep chromosome:AWHD00000000:3:8329000:8329806:-1 gene:ONIVA03G11330 transcript:ONIVA03G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQAMNSALFSALVVVVLASAVNGQLNPCQAPAPTPTGTPAPAPAPVVTPTPAPTPVPTPSPAPTPSPTVAPVNPPSPTPPPKCPLALINLNACISVGLGNPLLNQACCSQLSSLPSDTAAVCLCEAIKVNALVNLKVKIPDILKI >ONIVA03G11320.1 pep chromosome:AWHD00000000:3:8328918:8329834:1 gene:ONIVA03G11320 transcript:ONIVA03G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILTFRLTRALTLMASQRQTAAVSLGRLESCEQHAWFKSGLPRPTLMHALRLINASGHLGGGVGDGGLTGATVGEGVGAGDGVGTGVGAGVGVTTGAGAGAGVPVGVGAGAWHGFSCPFTAEAKTTTTNAENKAEFIACLLAIVAYVCVCV >ONIVA03G11300.1 pep chromosome:AWHD00000000:3:8323164:8323610:-1 gene:ONIVA03G11300 transcript:ONIVA03G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKWAMNSALFFASVILVLASAVNGGLVLHGHSPCLATAPTPTETPAPAPALVVPPTPAPTPVPTPSPAPPPKCPLPLADLGVCLNVALGNQLLNQQCCSQLSSLPSDTAAFCLCEAIKVKALVNLKVNVPNILKACGKVSAVTCVN >ONIVA03G11290.1 pep chromosome:AWHD00000000:3:8310233:8310619:-1 gene:ONIVA03G11290 transcript:ONIVA03G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLSIASFFLATALLLLAFASGQTTNAPPPPPPPNTQCPGGVISDLANYTRCITAILFGRPNPEQFCCPAISELPNNVAARCVCAALRATGLSIGITASNNVTGSILKICNKAPLDLLTVNCSRA >ONIVA03G11280.1 pep chromosome:AWHD00000000:3:8302912:8303649:-1 gene:ONIVA03G11280 transcript:ONIVA03G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAFVLVAIVLPISPFAHAQRPTQPPIVAPTMPPTPPPTQPPSPGPMAPMPSPPPPTQPPSPGPMPPMPPPPAPMPSPPPMQPPSPGPMPPMPPPPAPMPSPPPPTQPPSPGPMPPMPPPPAPMPSPPPPTQPPSPGPMPPTPPPPAPMPSPPPPNQPPPPGRCPLIKIVILKECTKLSLFDLVLNPSKARQQCCPPLEDLSSSGATDCLCRALKGPIGVLPPPIRVILGLCGKTVELNLFCH >ONIVA03G11270.1 pep chromosome:AWHD00000000:3:8294363:8299118:-1 gene:ONIVA03G11270 transcript:ONIVA03G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJR5] MFQEGVRLVGARADGGRARLFSGSLSLLLLLISAKPPPHCRTSEKMMGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALMTVMERFGDFTISWLPFYSEAKLMFFIYLWYPKTKGTTYIYGTFFRPYISQHENEIDRNLLELRARATDVVVLYFQKAATVGQNTFFDVLKYVASQSPSQRSRQQPSQNSGDIDLSSFRSNYSVLDTMIGLKIHVTKKTSTVWVFIVYIETTHVFKNLKSCSDSLQEPQQPKQQQAPVQQQPTQKQAPTVLRRSASIAARQAAMAQQSQDAKTKNEAAPASLQVPTPATKADVPASEPSAPLPEAEEADKMAIDEADDAVEGTEEGDPVPGETVEERPMEETIRVTRAKLRRRTASEDPAGN >ONIVA03G11270.2 pep chromosome:AWHD00000000:3:8294363:8299118:-1 gene:ONIVA03G11270 transcript:ONIVA03G11270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJR5] MFQEGVRLVGARADGGRARLFSGSLSLLLLLISAKPPPHCRTSEKMMGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALMTVMERFGDFTISWLPFYSEAKLMFFIYLWYPKTKGTTYIYGTFFRPYISQHENEIDRNLLELRARATDVVVLYFQKAATVGQNTFFDVLKYVASQSPSQRSRQQPSQEPQQPKQQQAPVQQQPTQKQAPTVLRRSASIAARQAAMAQQSQDAKTVPSSPKIKRQASTKAAPVASTKLTGAAAPSTPKSDADAPKKNEAAPASLQVPTPATKADVPASEPSAPLPEAEEADKMAIDEADDAVEGTEEGDPVPGETVEERPMEETIRVTRAKLRRRTASEDPAGN >ONIVA03G11260.1 pep chromosome:AWHD00000000:3:8282937:8286814:-1 gene:ONIVA03G11260 transcript:ONIVA03G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRSWFGRRRRRKARGEGGGGGGEEGEGEKVVVDGSEIRELVEDREAFGMFAESKFRELDADGDGRLSVSELQPAVEGIGAALGLPARGSSPNADHIYSEAISELTHGKKEEVSRTEFQEVLSDILLGMAAGLKRDPIVILRIDGEDLRDFVDSPRYEPEAAAIFSKIGSEDMSLRQCLLAALQLLNVDNGMPPASDPWVAENIIEPALQKLPAGQLEQPASQDIFLEQLKKLLSNIAERLQEQPVIVAHTENTFDGSCVKRLLDNKFELDKLLDSVWKDVLIEHKNKGSRECLIAALDKMADAAGLPPYGAVNQVDAVVNEALKTVNADERTAVDEAGFKKLLTDILRAVMMQLNRQPIFVSNSTVVHEPLFSSSAILSSPPVKSSPSEKTIVIPELSNRWNF >ONIVA03G11250.1 pep chromosome:AWHD00000000:3:8280415:8285935:1 gene:ONIVA03G11250 transcript:ONIVA03G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDAAAAASAGAAAVQRRPKVVEEDDDEDDFVPLSHARGRKKASGAAAPKYKEEEEDEDDDEEDNVPLAVSRAKKAGNAGASKAKKDEDDSGDDDDDYHVPLSRSNKGKEKQKSTVKAKVKKEETDSDDERKPKAQKKSSAVTKTSKVKKIKDEDLEIDTKKIKKGAATRKGDAEKVKKEKKVYDLPGQKHDPPQERDPLRIFYESLYEQVPTSEMAAIWLMEWGLLPVDVAQKVFEKKQGQKLKSPVKTTSAKRRPDTPTKKPQLSSATKTNSAVKDSGKTTAQKKRRASSDTDDDDDDFIVSKTKTKMQKMNS >ONIVA03G11240.1 pep chromosome:AWHD00000000:3:8275442:8275834:-1 gene:ONIVA03G11240 transcript:ONIVA03G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRGETSSVVEAFTLSPLPYPVILILLMVMLLLGVSWFFTYEDFMEEAAEQLSWALLLVPVALVLLIRWISSVDTFDGYFSFYPTERRWNRYDPGPAEGSSPWGVAMVVLLLLVLASFHSTFQDMWKP >ONIVA03G11230.1 pep chromosome:AWHD00000000:3:8264994:8269879:-1 gene:ONIVA03G11230 transcript:ONIVA03G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLVACLPWVCFILLSLYVFQLFADARRRLPPGPWPPKPLIGDLLALGKGDQQHRSLARLADRYGPVMSLRLGTVLTVVVSTPDAMREIFHKNKDNLAGRPTADAFNAMGHSANSLLGLEHPGVRWRAIRRFSTAELLAPRRLAALQPLCRDKVRGLVRGVSELAARGEPVHVRRVALDMALSLMLSAIYSVDLDPESTAVFRSVVEEAMLLIGTANLSDLFPAIAALDLQGVRRRVAELFTITYRQYDEQVARRRPERDAGEAGKNDLLNVVLDMEREWQQKGSVLSHDAMRVLFTDLYGAGASTTSVLIEWAIADLLQNPESMRKIKEEITNVIGTNAQIQESDIARLPYLQAVVKETLRLRAVAPLVPRRAEATIEVQGFTIPKGTNVILNLWAINRDARAWNDPDKFMPERFIGNDINYLGQNFQFVPFGVGRRICLGLPLAQKVMYLVLGTLVHQFEWTLPEELKETGIDMTEKCGMVLCLANPLKVMAKKM >ONIVA03G11220.1 pep chromosome:AWHD00000000:3:8252874:8258932:1 gene:ONIVA03G11220 transcript:ONIVA03G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGGMKGGKLGVEEAHELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADFHHFHHHRLATRHHHPSPTSLLSKLAFWSVCSLSLLLAFLLLSPSAAPAPRAAPDSPRRSLHTSSPSAAATWGGAAWEKKVRASARVRRANGRGLTVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDPALKRGRAALLARSGVYVVDGDIADAELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVGGFVALLEAARMANPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHAYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESAGGGTHQTTISRDFTYIDDIVKGCVGALDTAGRSTGSGGKKRGPAPFRTYNLGNTSPVLVTQLVDLLEKLLKVKAVRKIVKMPRNGDVPYTHANISLAQRELGYRPSTDLQTGLKKFVRWYLEYYMPGFAGKQKQHGSSNSKSSRGRSGNTSSAR >ONIVA03G11210.1 pep chromosome:AWHD00000000:3:8249274:8252724:1 gene:ONIVA03G11210 transcript:ONIVA03G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLQRFTDIAGDGGPRLDAASGEELLRMDRAASVALGRRAPEPPGTLFVTTRRVIWLSETEKGQGYAVDFLAITLHAVSRDLEAYPSPCIYTQIDAEDGSDEEAGGSDFEANGDLQLAKVSEMRIILSDPGQLDALFDVFCHCAELNPDPNAVRNEENGWSGGENMAEGGWIHGDEDMIDGNDLEAHMFFTNLIGQNGVHDLGRSVRELQIDDQRFEDAEEEDEIQENGH >ONIVA03G11200.1 pep chromosome:AWHD00000000:3:8241654:8245811:1 gene:ONIVA03G11200 transcript:ONIVA03G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I peptide chain release factor [Source:Projected from Arabidopsis thaliana (AT1G62850) TAIR;Acc:AT1G62850] MATAMRSTTFLRLGFRQVSSLLFHGPSSPAPTLGLALGVGRGALVRLRCSAAEAGDDGGRKVSARLALTQQVLRDAEERAASAGSDPAPKITLDHVTLNFARSGGAGGQNVNKVNTKVDMRFNVKEAQWLGERIKERILQMEKNRINKDGELVISSTKTRTQKGNIEDALQKIQAIIDAASYVPPPPSEEQKKKVEKIAAAAERKRLQKKKVLSQKKEFRRNRTSWD >ONIVA03G11190.1 pep chromosome:AWHD00000000:3:8238651:8240524:1 gene:ONIVA03G11190 transcript:ONIVA03G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPSPSSPFPAAAVSDARRAGGGRTTASEVDEKYAHVATPLHNHRGGSGGAKKTPRRAKSEGGGGADPAAYVAAVSCSDCRFKQRLHAPASPGPGAVIRSLFVSLTRRSTPRSSPSPTSASGGDGGESEQWRLAAADLSRRLAAATRTRDEALEETTRLKHSLTELEMKLARLEARVLPTPTAAAFPVESFLRAVSTARAAVRSLTRALSNHLRSPANPGPNLESFLNRAFHADFELDTEGDVHTADPAGRCEANLAAYHSIAALTWEEVLLHGTKHYSEGLSRFCDAKMSEVVSSLGWARARAWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRVDRGARFDSRFMEDAAAGRAGKLEPASVKMMVAPGFHVYVACAGVVKCKVVCFYSSSSSSRTGGHRDGGSIANGSVGLGSSCSDVNGSATDAVDGCNNQSSSVVT >ONIVA03G11180.1 pep chromosome:AWHD00000000:3:8216040:8220353:-1 gene:ONIVA03G11180 transcript:ONIVA03G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQSVKARQIFDSRGNPTVEVDICCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVDNVNSIIGPALIGKDPTEQTVIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLALCKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYTEEQTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGDQVQIVGDDLLVTNPTRVAKAIKDKACNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKIEEELGAAAVYAGAKFRAPVEPY >ONIVA03G11180.2 pep chromosome:AWHD00000000:3:8216040:8220353:-1 gene:ONIVA03G11180 transcript:ONIVA03G11180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQSVKARQIFDSRGNPTVEVDICCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVDNVNSIIGPALIGKDPTEQTVIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLALCKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYTEEQTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGDQVQIVGDDLLVTNPTRVAKAIKDKACNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQARICSTLFCYTSVLLLLRIEEELGAAAVYAGAKFRAPVEPY >ONIVA03G11170.1 pep chromosome:AWHD00000000:3:8212895:8213368:-1 gene:ONIVA03G11170 transcript:ONIVA03G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTVELIALWASSSSPSLLAFCVSHLIIALLLLAGSGAGAAPEISSRADGDRSLEADAVVQGGEMNPGGHKGPVAGVNGRAEEWLVRAGDGDNVETLASENGRSAAREEEPAATDASQEKHGDDVELEDELMLRAEEFIRRMNRVWMAENLRVLC >ONIVA03G11160.1 pep chromosome:AWHD00000000:3:8211994:8212618:1 gene:ONIVA03G11160 transcript:ONIVA03G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDRSRRLQVAASHADSRLPLACRVPIPVVGHPVVVRKEKQPIAVLPAQEGEGEEPGAVAGAAKPSAMPIDYLSLSGVGRLTYIVAFDSLPLRRWPLRHHLSAASGEPWSVDRDYGVERLWREGEEEGKIWMEEKNI >ONIVA03G11150.1 pep chromosome:AWHD00000000:3:8209290:8211484:-1 gene:ONIVA03G11150 transcript:ONIVA03G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLYAPCVILLVSSLYLLRLFSDARRNLPPGPRPLPLVGNLLELGAKPHRSLARLAERHGPLMTLRLGAVTTIVASSPDAARDILQRHDAAFSTRPVPDIVRACGHDRFAMPWLPPSSPQWRALRKVCSAELFAPRRLDAQQRLRREKARRLVSHVARMAREGAAVDVRRVVFTTLLNMLSCTLFSADLADLDEGRAGSAGELADTVAEFAGTVGVPNVVDYFPAVATFDPQRLRRRLSRVFTRLFAEFDEQIERRMRERDAGEPPKNDFLDVLLDYRTTEDGRQFDRQTLRSRFTDLFSAGSDTSAVTVEWAMAQLLQSPSSMMKAREELTRVIGSKPEIDESDIDSLEYLQAVVKETFRLHPPAPLLLSHRAETDTEIGGYTLPKGATVMVNIWAIGRDSKVWFEPDKFIPERFLQKEVDFRGRDFELIPFGSGRRICPGLPLAVRMVHLMLASLLHRFEWRLPPEVERNGVNMEEKFGIVMTLATPLQAIATPI >ONIVA03G11140.1 pep chromosome:AWHD00000000:3:8200385:8202019:-1 gene:ONIVA03G11140 transcript:ONIVA03G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLCTPCVILLLSSLYLLRLFVDARRNLPPGPRPQPLIGNILDLGSQPHRSLARLAGRYGPLMTLRLGTVTTVVASSPGAARDILQRHDAAFSARSVPDAARACGHDGFSMGMLPPSSALWRALRRVCAAELFAPRSLDAHQRLRRDKVRQLVSHVARLARDGAAVDVGRAAFTASLNLLSSTIFSADLADFGDARAESSVGDLRDLISEFTIVVGVPNVSDFFPAVAPLDPQRLRRRVARVFERLQAVFDGHIERRLRDRAAGEPPNNDFLDALLDYRSPEDGRGFDRPTLQFLFTDLFSAGSDTSAVTVEWAMAQLLQNPPAMAKAREELARVIGSKQEIEESDISQLKYLEAVVKETLRLHPPAPFLLPHQAETTTQVGGYTVPKGTRVLVNVWAIGRDSKVWSDPDKFMPERFLQSEVDLRGRDFELIPFGSGRRICPGLPLAVRMVHLMLASLLHRFEWRLLPEVEKNGVDMAEKFGMILELATPLRAVAIPV >ONIVA03G11130.1 pep chromosome:AWHD00000000:3:8196698:8197554:-1 gene:ONIVA03G11130 transcript:ONIVA03G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKQAPENESPPPPPHCWIYPECTLVTRSCCLLLHLKLLRHGHPLHLGSHGGRGQPVSREAVGKDVDQRGLLELLDALLMVMEGRDHEASRSRAFIGGDAMVSNLIPGTCEVSSIMASCETSGIMAGYEVSGIMAGREIGSMVQDVGGAIPRILEEEGTGAPACCDSALPMRYHA >ONIVA03G11120.1 pep chromosome:AWHD00000000:3:8188382:8195766:-1 gene:ONIVA03G11120 transcript:ONIVA03G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJP9] MLNVLFMVCCIGFFFLIVDWNALGHLKCGVEALESGEKPCDLMQVVKHNPLVPFTFPKMITIGSMVILTTYGLINFLKFFVQLRSTLNIRDFYCNSLKITDLEIQTISWPKIIEKVVLLQKSQKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGIISFPIRPWVPGAGPTVKSHLQNRRNHLILPKALEWTLNWCIFQSMFDSKFCVRKDFLTSPAVLKKRLVFVGISMLILSPCLVIFPLVYLILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNSAVHSLNYLKQFPTPLISIMAKFISFVSGGLAGALIIIGFLGESVLEGHIFGRNLFWYTIVFGTIAAISRKVVADELQVIDPEGAMCNVVQQTHYMPKRWRGKEDSEVVRREFETLFQFTIVMLLEEMASIFISPYLLIFEVPKRVDDILRFISDFTIYVDGVGDVCSLSLFDFRRHGNRNYASPFDALKTLRSSQGKMEKSFLSFQSVYPSWEPNAEGKQFLTNLQKFKEKQIRQQALAQYQAMEASGFVASTRGHRDDIFHQLLPSDIHNRAEAVSPAVYNLGPLGLLDTDQRSHPYILDWYYVCYPPHLDRTEAPYFNEVFPETSENTGSAAFKASEIEEARGWDSDTVPPPRADRDEWNFNHERVRSHMDASTSSNLFHHAPVEHRDTKGNIIDWWDQAPEHSTGQQGSFLEPPEFGNRYVAGNRSSYHSGDVSDGSVEELERSYNRSSSSWRRPQDLSTTRYMDDSDIEEGLNLPFADLPQKDEDARHGTSDTNDPTPVGLPVRIIPRSSDPV >ONIVA03G11110.1 pep chromosome:AWHD00000000:3:8182456:8186277:1 gene:ONIVA03G11110 transcript:ONIVA03G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYHPSEVYELFVRHMNTPRVVVDSGVCATATLVQVHSARKHGMLLEAVAALSEHGVCVRKGYISSDDGRWFMDVFHVTDAAGRKVADADALLARLESSLSAEALPRAAAGGPAAEGLTLLELVGADRPGLLSEVFAVLHDLRCNTVEARAWTHGGRVAALVFVRDEETGAPIDDAARVRRIESRLRHVLRGGARCARTVLADPSAAGNLDRRLHQLLNEDGEADSRGAAPMTAVAVQDWGERGYSVVTVSCRDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRSDGRPISSEAERQHVIRCLQAAIERRASEGVRLELRISDRRGLLAYVTRVFRENGLSVTHAEITTRDDMAMNVFHVTDVAGRPADPKTIDEVIQRIGTESLRVDEERWPRLCSAEGDAAGRGGGGGLFSLGSLVKKNLFSLGLIRSCS >ONIVA03G11100.1 pep chromosome:AWHD00000000:3:8174322:8174750:1 gene:ONIVA03G11100 transcript:ONIVA03G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAKSGVIAVSMVLVMCAASAAAGDNDMLPAAFDILQQPAKEATNLGHGCYTRCFAGCFAAGFDGDYCSDFCSKECGDDVRKFLSRLSPENSAIVGDICNIPRCISSCVEAKIDPPYCKIWCEDMCGDDVRKNQIGLSP >ONIVA03G11090.1 pep chromosome:AWHD00000000:3:8168001:8169950:1 gene:ONIVA03G11090 transcript:ONIVA03G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTSSHSPTVSAMNPLLPSSSFPKFPHPPDPNLSSPNPSPCSYLLHADADDEALIQIPCPNPSSGAASSSSVVLPPIDPAPHISSQFYTFTAESHALMLRCVLAGRPAAADEVRAATPPSVLASWRAVWKDRNEDTAYLTAWKRIQDKLGASADGRSLHFKSNPGQRVSHVGQWRDIVSEAHADPDLLRHLGLKDTVDRIKQSWTVGAKFYGIPESFVRVCVSACAVCKAAPAGQPDFAMSSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRHKPFMAEVKDYACHRAGVPTSSGVNATSSSGSVPDGKKTRVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKSFVYEEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGALEFDPDIYGVSEEGDPNFTIKGDFDVETDDSHLAVLQQIRDLKTEVGLLEGKVGKMHPELLGSLSNELSECLHRIRKFNFDGNVCQPEETLMIGNEEVPGWGPADVSHHLDQHDGAFCRDDEMLDDDDTDFGSSLGPIVSWDGMTAECEDRKMLMSDSPKCDKWMLKEDVGDFVEKSILNCGDDDGVEDSKIIKPLMHDETMVADPGLVGIHVEGFYSGAKWYDSPVGLDSSGDADVSFRHGGLV >ONIVA03G11080.1 pep chromosome:AWHD00000000:3:8159717:8165322:-1 gene:ONIVA03G11080 transcript:ONIVA03G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQAAAAAFLSFLSSSPPHHTAPSSSVSFLAARVLPASLRAAAAGSPTSASRSRGRGVAAVVAQLPTANPEVASGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSDAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAINEKLKSDGEVTANHLLLGIWSDKESAGHKVLASLGFDDERANSLAKTVMLYFLSKCICFKERICTISWFLTSLNLVQAGEEAAMSPR >ONIVA03G11070.1 pep chromosome:AWHD00000000:3:8151143:8153785:-1 gene:ONIVA03G11070 transcript:ONIVA03G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGASSALGGGGGGGGSGGGGGGPSGGGGGGGGPCGACKFLRRKCVSGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPAHKRPDAVVTICYEAQARLRDPVYGCVAHIFALQQQFLRRKCVSGCIFAPYSDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELTYLQAHLATLELPSPPPLMPAPPQMPMPAPFSISDLPSSTSVPTTVDLSALFDPPPQPQWASPLQQQQQHHHQHHHHQQQQHQLRQPSYATLARAPSGMTAAAESSGGGGGGGGGDLQALARELLDRHRSAVKLEQPPPPHSRS >ONIVA03G11060.1 pep chromosome:AWHD00000000:3:8139587:8148211:-1 gene:ONIVA03G11060 transcript:ONIVA03G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAPPPTPPESDPRLVEAFVPFLEKLIKNASWRNKAHSKLSHTAKSILDRLQNPPPPPAAAQAPSTPTSPTTPTSSSSQPGPLRSLSLADSELLLGPINSALGSGSAKLAEAGLELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALHLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSVVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADVIELPEAASGASPAADANFVQGFISKIIGDIDGAITPLARTTSSAAAGAGGAAAHDGAFETRAAEEGAHPADLLDSTDKDMLDAKYWEINMYKSALEGRKDEIGVEGAVVGALDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASAHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKLIVLRFLEKLCADSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPAGVSTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPDSPKVESEQNDNDGGHEISHTEDNGDECSEASDSHSEMSNGVSEAASLEQRRAYKMELQEGISLFNRKPRKGIEFLINANKVGESPEEIAAFLKSSSGLNKTMIGDYLGEREDLSLKVMHSYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYERIWKKEIKMKEEEFVPQQQKSTSSNKILGLDNILNIVVRKRDSRMETSDDLIKHMQEQFKEKARMSESVFYPATDVVVLKFMVEVCWAPMLAAFSVPLDQSDDEIVISQCLEGFRSAIHVTAAMSMKTQRDAFVTSLAKFTSLHSAADIKQKNIEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPDLDKSKQAKSSILPVLKKKSPNTVPASKRGSYDSAGVGGKASGVDQMNNVVTSLLEQVGMAEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLAMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVSHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFTDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSSRLKENPPSPRLTKDGKQESAVLVDKDDTIHFWFPLLADSTNNVSPTFQNLAGLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSSGSPQGQNVESDPSELDQDAWMYETCTLALQLVVDLFVKFYDTVNPLLRKILLLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSVFVDEKWLEVVLSLKEAATETLPDFSYIASGAYLENVPIENGGSSEKTEDESRPLEDGTGEASRSRNLYFAIGDAKCRAAVQLLLIQAVMEIYNMYRARLSSQNTVILFEALHTVATHAHKINSDNDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNICLDRSPNERSVEVESHLVGLCKEVLEVYLSTANPSQLSGAPQPLGHWLIPVGSSKRRELAARAPLVVSTLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSSEVQVALSDMFSTWVGPVVLQTC >ONIVA03G11050.1 pep chromosome:AWHD00000000:3:8135298:8136159:-1 gene:ONIVA03G11050 transcript:ONIVA03G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVAEASKRWRRPRLQRWRHPRRRSGGGGVPNVDELGVDLAKASAGRRRRWGNPAGGSSSAAAEPALPLSSPSRRRDASPAAVAGAPAGDIPGCCGGGGHHCPRHRSSSQSVAPPRLPRRGEEGARRRRTHCTQRRGGGGGVDSAVGHHHRPRRRVGCPVPLLVAVHGLLLGLLRRDGDFADSISHACARAWQLGDTTFTAQAWVDFPPPRVLEVVEELVSQLRSEGLRRDAVLRDTSAFFLVGTRKQRSTSSHCERPKFIPFSVTDKTHAQVRVSLGCACVR >ONIVA03G11040.1 pep chromosome:AWHD00000000:3:8125533:8138243:1 gene:ONIVA03G11040 transcript:ONIVA03G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYGAVSWPWKKKSSDKSSSADASQNSNQAEQDDKAPKYVQISPETYAHLTESEEQVKTLNEKVKALNEDLSAAQSEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKLQLETVTLAKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAEFEAKLLEFEQELIRAGAENDALSRSLEERGDLLMKIDEEKARAEAEIEVLKNTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHMEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGRDYGESRLRRSPAKNSSFHRPMSPMSPVPDYAFENLQHMQKENEFLTARLLSMEDETKMLKEALAKRNSELQTSRNMYAKTAGKLRGLEVQMLTGSQRKSTSNPNMDIHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHIKKEKGAKSSVTEGSNRLELMDDFLEMEKLACLSSEANGHVSTVEKMKIDDTEASLSGITERDGVKDSQSVLALPGTPSNKLQLSDSSPLLKLQSRISSLLDSESPQNNAGNILDSIRNILKDIEDEADSSNDSKTHHGDMVEVADNGSLMKHSSSGSKHAMDQELVNAILKIQDFVKSLDQEVSKFQGQSSDCDGLCDKIQQFSALVEKALSNENVLNDIVMTLSLILSGTSEIKFMMLKENTKEADNNNLDYVDKVTLLENKVQLEPLKDSISGPCLPRSSSDPEIEGPTDSGCDVKTAVQICSSEEFEQLKSEKLNLEAELSKCNEVIEETKFRFKELEKSLEELTSKLVASEKSNSLAETQLKCMAESYKSLESRKAELENEIKVLQSKIEVLTAELDDERQNHQEDITRYRDLEEKIERYENERNSMCEKEIAAAAEKLAECQETILILGRQLQSMRPPAESMGSSPNQRMEDFLQDAAGTTEGVEYSQKPTGQLDTDQEMHASGNESPVNGYKTHNAPSEADGSPFLSPNGSKRPKHRSRSSSSISNQLPEKQNRGFSRFFAKEKI >ONIVA03G11040.2 pep chromosome:AWHD00000000:3:8125533:8138243:1 gene:ONIVA03G11040 transcript:ONIVA03G11040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAEFEAKLLEFEQELIRAGAENDALSRSLEERGDLLMKIDEEKARAEAEIEVLKNTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHMEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGRDYGESRLRRSPAKNSSFHRPMSPMSPVPDYAFENLQHMQKENEFLTARLLSMEDETKMLKEALAKRNSELQTSRNMYAKTAGKLRGLEVQMLTGSQRKSTSNPNMDIHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHIKKEKGAKSSVTEGSNRLELMDDFLEMEKLACLSSEANGHVSTVEKMKIDDTEASLSGITERDGVKDSQSVLALPGTPSNKLQLSDSSPLLKLQSRISSLLDSESPQNNAGNILDSIRNILKDIEDEADSSNDSKTHHGDMVEVADNGSLMKHSSSGSKHAMDQELVNAILKIQDFVKSLDQEVSKFQGQSSDCDGLCDKIQQFSALVEKALSNENVLNDIVMTLSLILSGTSEIKFMMLKENTKEADNNNLDYVDKVTLLENKVQLEPLKDSISGPCLPRSSSDPEIEGPTDSGCDVKTAVQICSSEEFEQLKSEKLNLEAELSKCNEVIEETKFRFKELEKSLEELTSKLVASEKSNSLAETQLKCMAESYKSLESRKAELENEIKVLQSKIEVLTAELDDERQNHQEDITRYRDLEEKIERYENERNSMCEKEIAAAAEKLAECQETILILGRQLQSMRPPAESMGSSPNQRMEDFLQDAAGTTEGVEYSQKPTGQLDTDQEMHASGNESPVNGYKTHNAPSEADGSPFLSPNGSKRPKHRSRSSSSISNQLPEKQNRGFSRFFAKEKI >ONIVA03G11030.1 pep chromosome:AWHD00000000:3:8103480:8106379:-1 gene:ONIVA03G11030 transcript:ONIVA03G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSCPAMVTETKARNDQGPVVDHVVHRTTWRTIRKTSEHKASMIFSIKFAAPIAFWSFSSKLFIRSERRPQQASVNISIQYEISRKPTKMIVMTNATITQDLSFSPAGLTYAYNHTL >ONIVA03G11020.1 pep chromosome:AWHD00000000:3:8098833:8100444:1 gene:ONIVA03G11020 transcript:ONIVA03G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICPHTHQVPNISNSPSPCANPGHYIALVTLRVAEEMRPPPPPPARAERHSTGTVQVTRVKLLKPRDTLLLGQAYRLITVDEVTRMQLVVRQVLEICQVRCQHLNSSSPAMNKISVGRMPECERKSPKFHGREPHKSTHVHHGGVVFLLELGDDGHVQVAHAARRW >ONIVA03G11010.1 pep chromosome:AWHD00000000:3:8093683:8094982:-1 gene:ONIVA03G11010 transcript:ONIVA03G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSCLLRVGWLPAALCALALALAPASDATSASLVGINYGRVGSNLPPPQAVLPLLEGLGIGRVRLYDADPAVLHAFAKTGVELFVGVPDQSLAGLADPGGADSWLRSNVMPFLPDTKIAALTVGNEVLTGNNSAVTRALLPAMQSLHGALAKLGLDKQIAVTTAHNLGVLGTSYPPSSGAFRRDLLPYICPILDYHARTGSPFLVNAYPYFAYSGDPKGIHLEYALLEAGYAGVPDPNSGLRYPNLLVAQVDAVYHAIAAANTAAARVVEVRISETGWPSSGDPGETAATPQNAARYNSNAMRLVAEGKGTPLKPTVAMRAYVFALFNENLKPGLASERNYGLFKPDGTPVYELSYKLPRDNSTFGGAGNSGWRFPGGGGGNVSGGYDNNGVNSGYYDISAASPDSAALTCR >ONIVA03G11000.1 pep chromosome:AWHD00000000:3:8080700:8082323:-1 gene:ONIVA03G11000 transcript:ONIVA03G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTTFTKLFVGGLPWETRGDAVRRHFEQFGEIVEAVVIADKHTSRSKGYGFVTFRDPDGAARALQDPTPVIDGRRANCNLAAFGAARRVHPVAAPFGMARLRPAMIASSSSYQGSAPSYFPQVLYAYPYCYGYHGGYSPEITHQAHMGFYGGYGVSAAQAQQQQAQLHAYYAAARPAGAYQFQAAGEQTRSALAPTVQYLQMCEKSGMTTAGSVSAVESGSHPPE >ONIVA03G11000.2 pep chromosome:AWHD00000000:3:8081184:8082323:-1 gene:ONIVA03G11000 transcript:ONIVA03G11000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTTFTKLFVGGLPWETRGDAVRRHFEQFGEIVEAVVIADKHTSRSKGYGFVTFRDPDGAARALQDPTPVIDGRRANCNLAAFGAARRVHPVAAPFGMARLRPAMIASSSSYQGSAPSYFPQVLYAYPYCYGYHGGYSPEITHQAHMGFYGGYGVSAAQAQQQQAQLHAYYAAARPAGAYQFQAAGEQTRSALAPTVQYLQMCEKSGMTTAGSVSAVESGASEGSSDQRPAS >ONIVA03G10990.1 pep chromosome:AWHD00000000:3:8079949:8080671:1 gene:ONIVA03G10990 transcript:ONIVA03G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 21 [Source:Projected from Arabidopsis thaliana (AT4G27670) TAIR;Acc:AT4G27670] MAAPFALVSRVSPAARLPIRAAWRSARPTVGLPSSGRARQLAVASAAQENRDNTAVDVHVNQDGGNQQGNAVQRRPRRSSALDGISPFGLVDPMSPMRTMRQMLDTMDRMFDDVALGFPATPRRSPATGEVRMPWDVMEDDKEVRMRFDMPGLSREEVKVMVEDDALVIRGEHKKEEGEGAEGSGDGWWKERSVSSYDMRLALPDECDKSKVRAELKNGVLLVTVPKTEVERKVIDVQVQ >ONIVA03G10980.1 pep chromosome:AWHD00000000:3:8069924:8071522:-1 gene:ONIVA03G10980 transcript:ONIVA03G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJN3] MEAAVMERERLTAEMAFRDDARAAGGEWAPSIVIKIRRRLPDFARSVNLKYVKLGIRHGGSVTSYLPMLCVPLLASAAYSFVRLDVIYRSIDLLTCVAWLGTAVLLLTVYYFKRPRPVYLVEFACYKPDDQHKISKEGFLEMTESTGCFNDAALDFQTKITNRSALGDETYLPPGVQARPPRLNMAEARMEAEAVMFGCLDALFESTGINPKRDVGILIVNCSLFNPTPSLSSMIINHYEMRADVKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNRRADAGRAKYRLLHTVRTHKGATDECFNCVYQREDEDGKVGVSLARELMAVAGDALKTNITTLGPLVLPLTEQLKFLKSLMMRRVFRVKGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLSLEDRDMEPSKCSLHRFGNTSSSSLWYELAYAEAKGRVQRGNRVWQIGFGSGFKCNSAVWRALRDVPAVSPPPKGKKSCNPWVDCVAKYPPKAYV >ONIVA03G10970.1 pep chromosome:AWHD00000000:3:8064598:8065542:-1 gene:ONIVA03G10970 transcript:ONIVA03G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASCVAVCLAFAVLLPWHATATSPTGTIQRETKQQILASIPPHWQENPVLFLTSPSGKYTAYFLRSQTAPGAGGLGADFCYVEVLDTSDPGAEGRSVWESECLAVSTVNTCSLVFSWKGLEVFDGSNSVWHTHDTQSDSQNFLETLELVDEGDMRILDKGGELAWKASDEPRAAQHCGMPGSPGLASAFPPFAQPIGHGSSDLPFGFGNGDHVAGNGIGGGAVAQPELPVAPVPQPELPLAPVPQEADLGGAAGVEPQGQGVGQTSFGFGAQPLVDNSPYDSGAWKQVGGCSLTAIGVGFILNVAIAMGLGH >ONIVA03G10960.1 pep chromosome:AWHD00000000:3:8058798:8061862:-1 gene:ONIVA03G10960 transcript:ONIVA03G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLVPRETAALLGAFVALLAVSFGAVAAPAPLVVGSIKCLDCSPDDVKAEDAFRGLQVGIMCNSGAGEAYETKMLSGLDENGGFSIPLAADLLRVDGELDKDCFAQLHSAPETPCAGQTPPRIAKAGPGNDTIAAAAADAAPTYLAVSDDTLFSPVACKCGKYKKKFMFAPPPPPPPRPPAPEYKPPTPTLTPIPTPEPSYGPPAPKPPAPPVEDEPQPFFHKHPKLKFMHKKKPCPPLVDLLLGVCGAVVLVAGLAHAAYAGTAPVVVGLAMCSGCTRKNMNAEAAFKGLQVAVKCKNSRGEYDKMAVGKVDKSGAFSVPLAADLVGEDGRVERALPGQEPSKIVAAQQPGHDGAKTFVALAGKVHRPSAECASAFLCDPFHKHHHHIVLHPPVIVPPKHDHDHSLPPVHEPPVTVPDHKPAPVTVPDHKPPSTTTPVYAPPKPTPIYGPPTQQKNKH >ONIVA03G10950.1 pep chromosome:AWHD00000000:3:8054314:8058453:1 gene:ONIVA03G10950 transcript:ONIVA03G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWRRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAATSSGLHLVPISFSSRDTLDRTVRVGHTDVRIYGPSAKEDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLAAMEIMAEQFPGAFSGYHLEVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMRATSFPINHEYM >ONIVA03G10950.2 pep chromosome:AWHD00000000:3:8054314:8059652:1 gene:ONIVA03G10950 transcript:ONIVA03G10950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWRRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAATSSGLHLVPISFSSRDTLDRTVRVGHTDVRIYGPSAKEDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLAAMEIMAEQFPGAFSGYHLEVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMR >ONIVA03G10950.3 pep chromosome:AWHD00000000:3:8054212:8059652:1 gene:ONIVA03G10950 transcript:ONIVA03G10950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWRRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAATSSGLHLVPISFSSRDTLDRTVRVGHTDVRIYGPSAKEDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLAAMEIMAEQFPGAFSGYHLEVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMR >ONIVA03G10950.4 pep chromosome:AWHD00000000:3:8054212:8059652:1 gene:ONIVA03G10950 transcript:ONIVA03G10950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWRRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAATSSGLHLVPISFSSRDTLDRTVRVGHTDVRIYGPSAKEDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMR >ONIVA03G10940.1 pep chromosome:AWHD00000000:3:8052682:8054326:-1 gene:ONIVA03G10940 transcript:ONIVA03G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRGWTPETRRTQFTIQRPGFRASGNQWKALCHAKEGELIDLLTEKMKRVENMTHLHNSGVEASPLSKQNGVIPVKQPAKMEPELQPAAATIEKPRVARQTAGAAHRKKRLTRRAAHGAPAFIKTSQSHPLPKPPPHHFPPCKWPRVSCLRLPPRQAATMISPRPALSSSSFLAFRPAAASPTTNPSPPPSPRLHAAPPPLSASFSPSTSVVAPDHVAAASFYDVLGLHAGASAREIKDAYRRLARAVHPDASPHPAAASSDDFIRVHAAYSTLSDPDKRADYDRRLLLLAAGRRRATPSLGRSPTFPARRRRTWETDQCW >ONIVA03G10930.1 pep chromosome:AWHD00000000:3:8050724:8053257:1 gene:ONIVA03G10930 transcript:ONIVA03G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGDEQLQPRVGQGIPLLRVRYRGPGAGSLSHIAHVSIRVCDGVSLSSTSWITARAAAAPQLQREGSEVVDSWLEGKGVCPCFQLLYGVKSGITRNYWYHVWPVMYNSSTITEPQYYQNAQRYLFVIVIDRTIQSPQTAHTICIHHTLKKKKKKKKKKKKTRRNTGGWLFTSPALVGLPRAAAARGERRRAAEGRGGAAAPGGEEEEAAVVVGALVGVGEGGVGGVDADEVVGGRGGGVRGGVRVDGACEAAVRVLDLPRAGPRVEAEDVVERGGGHVVRRDDGGRRGEGGRERRRRGVEARGGRRRGVRRGRRRRGTEREEAGGGQRGARGYHGCCLPRRETETRDARPLARWEVVGGRFG >ONIVA03G10920.1 pep chromosome:AWHD00000000:3:8039337:8041277:-1 gene:ONIVA03G10920 transcript:ONIVA03G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKAMLAKPIQLADQVAKQAGWQCFRAECMDLRSRAEKLAALLRQAARAELYERPAARIMADTVRALNKAAGMAARCFQSHSRLRRFFTLNPVSGLPRTLAMLDTALEDVAWLLRISSPHADAGGGGDDDDYDLHGLPNIAQNEPILFLIWDHIARLHTGNLAARADAAHNLASLARDNPHFAKLIIEEDGVAPLVKLLKDGTDDGQEAAATALGLLARDEESVDKLLLSGVCSVFAAALKVPPMRVQAAVAESVAALAHHNQKCQDLLAQTNAVRHLVGHLAAGTIQEHSRYYVAWTGSRNMNMTSLHSVVLAKTLSVHQGGSGSPANEPPSSSEYPGSQQQAGKNQMQSVVQSAMAAKTTANGATVPPGCRHQLTPNGSSGRGSREAEDPATKAHMKAMAAKALWKLARGHVGVCKSITESRALLCFAKLLEQGDGGAGTDLQFYSAMAIMEITRVAEHNLALRQSAFKPSSPAAKAVVEQLLRIVCKGDDDDLLRPCITSLGCLSRTFTASETRVVRPLVELLDERELPVTKEAVVALTKFACTENHLHVSHCKAIVDGGGARHLVQLVYLGDQVQIEALILLCYIALHVPENEELAQAGVLAVLLWASKQPHMVQDLRVDALLPDAKGRLELFQSRASR >ONIVA03G10910.1 pep chromosome:AWHD00000000:3:8029413:8033290:1 gene:ONIVA03G10910 transcript:ONIVA03G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAADGSLANEKAPAETVGVGRYVEMEQDGGGPSTAKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLLGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAVASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLMATLYVLTLTLPSAASVYWAFGDELLTHSNALALLPRTAFRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSAHARENAVEPPPRFVGRWTGTFIINAFVVAWVLVVGFGFGGWASMTNFVRQIDTFGLFTKCYQCPPPPLPPAGAAPNATWPPFPATPFNATTAGLAPAPAPSPAHFFGRHHRHHSHGL >ONIVA03G10900.1 pep chromosome:AWHD00000000:3:8010032:8013412:1 gene:ONIVA03G10900 transcript:ONIVA03G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCPTHVALRLLALLFLLPAAWSATFTMTNNCGYTVWPGLLSGAGTAPLSTTGFALAHGASATVDAPASWSGRMWARTLCAEDATGKFTCATGDCGSGGIQCNGGGAAPPATLVEFTLDGSGGMDFFDVSLVDGYNLPMIIVPQGGGAAAPAGSGGGSGGKCMATGCLVDLNGACPADLRVMAASTGTGAAAPGGGPVACRSACEAFGSPQYCCSGAYGNPNTCRPSTYSQFFKNACPRAYSYAYDDSTSTFTCTAGTNYAITFCPSTTSGKYSGGENPQAAGVPSTNDTMVVLGAEQLSTASSAAAHAAPQLTLPLLPLVVVAALVAAMI >ONIVA03G10890.1 pep chromosome:AWHD00000000:3:7999002:8000429:1 gene:ONIVA03G10890 transcript:ONIVA03G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G75690) TAIR;Acc:AT1G75690] MATSSSPLTALYSSFLSYTPSTPSMAALPRRRRAGCRYPRIQAVDLDQNTIVAISVGVVSVAIGIGIPVFYETQIDNAAKRDNTQPCFPCSGSGAQVCRFCTGKGTVTVVIGGGETEVSNCVNCDGVGSLTCTTCQGSGIQPRYLDRSKHLINMIVE >ONIVA03G10880.1 pep chromosome:AWHD00000000:3:7995158:7997079:-1 gene:ONIVA03G10880 transcript:ONIVA03G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIQRICIVLGMLFILVREGGAVTFTFVNRCTGTVWPGILSNAGSARMDPTGFELPPGAARAVPAPTGWSGRLWARTGCTQDGTGKVVCATGDCGSGTLECAGRGAAPPATLAEFTLDGGGRNDFYDVSLVDGYNLPLLVEPSGALGATATTCAAAGCAADLNARCPAELRAVGGAACRSACDAFGKPEFCCSGAYANPNTCRPTAYSQVFKSACPRSYSYAYDDPTSTFTCAGGRDYTITFCPVATPSLKSAGGTTTPTTTVPGVTTDAPPDMARPIGSQGGGGGGGAGQGVMLGDNSWLASLAMGDASSSRRASRLALLAAPLALLTLHLPRL >ONIVA03G10870.1 pep chromosome:AWHD00000000:3:7992681:7995100:-1 gene:ONIVA03G10870 transcript:ONIVA03G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTSHDCTGHHQSTNTSLPPRARERRNGVRFGRFCCCRRGAGGSGWPCARVVCLPVSCTVWGQRARCVGAIGRGRPIIAATLLQKTRPMATLQVLGHNNPNMTCKITSTLN >ONIVA03G10860.1 pep chromosome:AWHD00000000:3:7982989:7992108:1 gene:ONIVA03G10860 transcript:ONIVA03G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSLHAVRYLVLWMLLRCGRELVAAVPPDGWYDYTAYTDCRGQPEPALYNGGILRFGNSDDPTGYRTTETGVFSPAFVVYNLNKTTMYTFSSWVKLEGASSALITARLALDNAGARCIGTVLARNDCWAFLKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQPFTSDQWSMHQKDTIRKRRKRMATIHVADQQGGRVVGASVSVRQTAKDFPFGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPMSGQLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPSWVKGLSPDDLRAAVNGRIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGANASVEFFSVAQDADPLATLFMNEFNVIETCDDVSSTVDTYVAKLKDLRAGGAVLEGIGLEGHFLKPNIPLMRAVLDKLATLGLPIWFTEIDISNRYDAQTQAVYLEQVLREAYSHPAVTGVMLWTALHPNGCYQMCLTDWNLNNLPVGDVVDRLLQEWQTGQAAGPTDAHGAYSFSGFLGEYIVSVTYANSTSQATFSLSPGDETRHINIQI >ONIVA03G10860.2 pep chromosome:AWHD00000000:3:7982495:7991048:1 gene:ONIVA03G10860 transcript:ONIVA03G10860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFKAHTDPAIHQCREKTTGETSRPAVQASTIPMARERYETTEQGEKLFTGPELVLLGLPQATASLARSVSHSSHVNHQANRRCWLGPVFEHHKGTAPNRFLEEAASAEDQVHAHGGRPCHGAGQPVNPRHANGIAPIQLAIAKPESFLRSALGSWKMRRFSLHAVRYLVLWMLLRCGRELVAAVPPGQSRNHGWYDYTAYTDCRGQPEPALYNGGILRFGNSDDPTGYRTTETGVFSPAFVVYNLNKTTMYTFSSWVKLEGASSALITARLALDNAGARCIGTVLARNDCWAFLKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQPFTSDQWSMHQKDTIRKRRKRMATIHVADQQGGRVVGASVSVRQTAKDFPFGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPMSGQLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPSWVKGLSPDDLRAAVNGRIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGANASVEFFSVAQDADPLATLFMNEFNVIETCDDVSSTVDTYVAKLKDLRAGGAVLEGIGLEGHFLKPNIPLMRAVLDKLATLGLPIWFTEIDISNRYDAQTQAVYLEQVLREAYSHPAVTGVMLWTALHPNGCYQMCLTDWNLNNLPVGDVVDRLLQEWQTGQAAGPTDAHGAYSFSGFLGEYIVSVTYANSTSQATFSLSPGDETRHINIQI >ONIVA03G10860.3 pep chromosome:AWHD00000000:3:7982495:7991048:1 gene:ONIVA03G10860 transcript:ONIVA03G10860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFKAHTDPAIHQCREKTTGETSRPAVQASTIPMARERYETTEQGEKLFTGPELVLLGLPQATASLARSVSHSSHVNHQANRRCWLGPVFEHHKGTAPNRFLEEAASAEDQVHAHGGRPCHGAGQPVNPRHANGIAPIQLAIAKPESFLRSALGSWKMRRFSLHAVRYLVLWMLLRCGRELVAAVPPDGWYDYTAYTDCRGQPEPALYNGGILRFGNSDDPTGYRTTETGVFSPAFVVYNLNKTTMYTFSSWVKLEGASSALITARLALDNAGARCIGTVLARNDCWAFLKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQPFTSDQWSMHQKDTIRKRRKRMATIHVADQQGGRVVGASVSVRQTAKDFPFGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPMSGQLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPSWVKGLSPDDLRAAVNGRIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGANASVEFFSVAQDADPLATLFMNEFNVIETCDDVSSTVDTYVAKLKDLRAGGAVLEGIGLEGHFLKPNIPLMRAVLDKLATLGLPIWFTEIDISNRYDAQTQAVYLEQVLREAYSHPAVTGVMLWTALHPNGCYQMCLTDWNLNNLPVGDVVDRLLQEWQTGQAAGPTDAHGAYSFSGFLGEYIVSVTYANSTSQATFSLSPGDETRHINIQI >ONIVA03G10860.4 pep chromosome:AWHD00000000:3:7982989:7991048:1 gene:ONIVA03G10860 transcript:ONIVA03G10860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSLHAVRYLVLWMLLRCGRELVAAVPPDGWYDYTAYTDCRGQPEPALYNGGILRFGNSDDPTGYRTTETGVFSPAFVVYNLNKTTMYTFSSWVKLEGASSALITARLALDNAGARCIGTVLARNDCWAFLKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQPFTSDQWSMHQKDTIRKRRKRMATIHVADQQGGRVVGASVSVRQTAKDFPFGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPMSGQLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPSWVKGLSPDDLRAAVNGRIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGANASVEFFSVAQDADPLATLFMNEFNVIETCDDVSSTVDTYVAKLKDLRAGGAVLEGIGLEGHFLKPNIPLMRAVLDKLATLGLPIWFTEIDISNRYDAQTQAVYLEQVLREAYSHPAVTGVMLWTALHPNGCYQMCLTDWNLNNLPVGDVVDRLLQEWQTGQAAGPTDAHGAYSFSGFLGEYIVSVTYANSTSQATFSLSPGDETRHINIQI >ONIVA03G10850.1 pep chromosome:AWHD00000000:3:7975128:7980848:1 gene:ONIVA03G10850 transcript:ONIVA03G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYELLLTAAASLLVAFLLARLLASAATASDPRRHAPDHAAVIAEEEAVVVEEERIIEVDEVEVKSARARECVVSEGWVEVGRASSVEGKLECLPEEEEAPAKAARELVLDAVLVEREEEGQVGEERCDLAAAVAEVVGVKPHELGVEAAPGEVSDVTLEEGKVQDVGVEQHDLVAEAAPREALDTGLEKQGVPIIEAVEIKRQDDLGAEVAPSDVPEVEFEQQGVRIIEAIDVNQHHRVALAAPAEVVDAGLEERVQAIEAGSSGLTSETVPEEVLDELSEKQEEQVIEEKEHQLAAATAPVAIPGVALAETEELKEEQSSEKAVNVHEEVQSKDEAKCKLHLVDQQEGSASKVELVGRNTDNVEISHGSSSGDKMIAELTEEELTLQGVPADETQTDMEFGEWEGIERTEIEKRFGVAAAFASSDAGMAALSKLDSDVQLQLQGLLKVAIDGPCYDSTQPLTLRPSSRAKWAAWQKLGNMYPETAMERYMNLLSEAIPGWMGDNISGTKEHEAGDDAVGSVLTMTSNTINQHDSQGNEDNTGMYEGHLTSSPNPEKGQSSDIPAE >ONIVA03G10840.1 pep chromosome:AWHD00000000:3:7969169:7970186:-1 gene:ONIVA03G10840 transcript:ONIVA03G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRFVFMVAKGSWRGNEHFYILRRINMSRFFYPGQVPRLLVPGIEEAPLPPTRARFCARELVNGHMDFMLLARDKVLAVEATGRTTIYDDSFRVVRSGPVLKAPLYWPISVPVDDSGVYVLDSKHCFQKLVHGNSSFEDWTCEALPAAPREVRGGSRPEWALPFSGRAEYVSEHKLWFGLARNSTGNPMCACDLAAAAEQGSPPVQRNIWQQDVRPRKGWVPRYSNLLHLGSARFCIVRIFAKPSPETEYKSEWDGPKREEVFAVLTAVEVVRSGELGKGLRMVKHKSVRYSLGDGYCKVQPLMVY >ONIVA03G10830.1 pep chromosome:AWHD00000000:3:7963321:7966936:-1 gene:ONIVA03G10830 transcript:ONIVA03G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGETDDDKPEKLEALISAVNSSDSSHIVHVPPGENALSDVLISTPIFTGEEGGSGFAASAAAAAATGAAGFEFDVDPNVDPELALALRLSMEEERARQEAIAKKAAEESSGAENKDHASSSNADSVMAEAEPASNAADDKKDQPKEDDDAQLLQQALAMSMEEGSSGAAAADAAMAEAAVDDQDLALALQMSVQDAGGSSQSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGEQEKKEDKSDKPEDEKK >ONIVA03G10830.2 pep chromosome:AWHD00000000:3:7963927:7966936:-1 gene:ONIVA03G10830 transcript:ONIVA03G10830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGETDDDKPEKLEALISAVNSSDSSHIVHVPPGENALSDVLISTPIFTGEEGGSGFAASAAAAAATGAAGFEFDVDPNVDPELALALRLSMEEERARQEAIAKKAAEESSGAENKDHASSSNADSVMAEAEPASNAADDKKDQPKEDDDAQLLQQALAMSMEEGSSGAAAADAAMAEAAVDDQDLALALQMSVQDAGGSSQSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGEVCFSLSF >ONIVA03G10820.1 pep chromosome:AWHD00000000:3:7957035:7961139:-1 gene:ONIVA03G10820 transcript:ONIVA03G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Beta-Casp domain (InterPro:IPR022712); BEST Arabidopsis thaliana protein match is: cleavage and polyadenylation specificity factor 73 kDa subunit-II (TAIR:AT2G01730.1); Has 624 Blast hits to 615 proteins in 160 species: A /.../- 54; Bacteria - 6; Metazoa - 333; Fungi - 44; Plants - 93; Viruses - 0; Other Eukaryotes - 94 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G07530) TAIR;Acc:AT3G07530] MKLVSAKLLPSPSLSRAPQAPDANAHVTSQTCLDTGVDGGYYTPASHLLEVEGLRILLDCPIDLSALTAFSAVPLGASSSSGDAEDLIRGVPYYRSPTAVAAAKAGRIDAVLVSSATGLLGLPFLTRLPGFANTKVYVTEVAARMGSLMMRELVEMHREFVRCYGPDRDQSPVWMEGEKLKKLMSVLQKITTEDEENNNLAALVSLYSLDNIEECMQKTQYVKYGEEVCFNGMLMLKASSSGLELGNCVWTIKGPRASMTYLPSSIFVSAHALDFDYSSLKGNDVILFSDFSSLNGMYDDNKKMGEHIVDETDILLASNSVFSTEKSFRDDGMDEDETIKFLCSNDDIAEEIERISFICSCIIDAINSGGSVLIPIGRIGIILLLLEHMSETLHSSNMKSQVPIFMISETAEEIITFTNALPEWLCKSRQEKQGVDAELTLKPFMPLAIQVLGCSFLSGIKVGKIDPLLGLLKPKLILFPESQKSLCPATDKQPWSFLYYSKGKTIEVPNMREEFEVRMTTEVAFGLQPRQLDKTTAVARLKAKLLLSNGQYVLAAAKSELDRSERHLLHWGTVDASCIPSALQEKGIVCSFSADADYSAPSDRERVISITSPGEALVKVTSERTTIYCDDEETAERVYDAFRSICNWI >ONIVA03G10810.1 pep chromosome:AWHD00000000:3:7949388:7951255:-1 gene:ONIVA03G10810 transcript:ONIVA03G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYKMATEGMNVKEECQRWFMEMKWKKVHRFVVYKIDERSRAVLVDKVGGPGEGYEELVAALPTDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPTASRIRAKILYATSKQGLRRVLDGVHYEVQATDSSEMGYDVIRGRAQ >ONIVA03G10800.1 pep chromosome:AWHD00000000:3:7943225:7945567:-1 gene:ONIVA03G10800 transcript:ONIVA03G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subtilisin-like serine protease 2 [Source:Projected from Arabidopsis thaliana (AT4G34980) TAIR;Acc:AT4G34980] MAAAPHCLLLLLVLIPTLLRGVVGGSGAGGEARKTYIFRVDHSAKPSVFPSHAHWYSSAAFASGADGAPLEPLHVYDTVFHGFAASVPASRADALRRHPAVLAAFEDQVRTLHTTRSPQFLGLRARLGLWSLADYGSDVVVGVLDTGVWPERRSLSDRNLPPVPSRWRGGCDAGPGFPASSCNRKLVGARFFSQGHAAHYGLAATASNGSVEFMSPRDADGHGTHTATTAAGSVAYDASMEGYAPGVAKGVAPKARVAAYKVCWKGAGCLDSDILAGFDRAVADGVDVISVSIGGGNGVASPFYLDPIAIGAYGAVSRGVFVATSAGNEGPTAMSVTNLAPWLATVGAGTIDRNFPAEIVLGDGRRMSGVSLYSGKPLTNTMLPLFYPGRSGGLSASLCMENSIDPSVVSGKIVICDRGSSPRVAKGMVVKDAGGVAMVLANGAANGEGLVGDAHVLPACSVGENEGDTLKAYAANTTNPTATINFKGTVIGVKPAPVVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLESDPRRTEFNILSGTSMACPHASGAAALLRSAHPGWSPAAIRSALMTTAVATDNRGEAVGDEAEPGRVATPFDYGAGHINLGKALDPGLVYDIGDDDYVAFMCSIGYEANAIEVITHKPVACPATSRNPSGSDLNYPSISVVFYGGNQSKTVIRTATNVGAAASATYKPRVEMASSAVSVTIKPENLVFSPTAKTQRFAVTVASSSSSPPASAPVYGHLVWSDGGGHDVRSPIVVTWLQSM >ONIVA03G10790.1 pep chromosome:AWHD00000000:3:7921805:7922491:-1 gene:ONIVA03G10790 transcript:ONIVA03G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVLLDRRSSGSFEFPRRGGGFRPGHLGGGATPAMAPRQQYKGGAWSHASLPALPYARPPLYSSPSLPLLPSNQPPLLPLPPAATKYATFPYPPPPQQPPRSGRASTPSTHQRDRRRKPSRPPPSTETTKGGTQKKKPLERATPLPPAPAVAEALDDLEQEVARNFVQDLLHVLAPPPSSLPLPRFVITSSSSSPAAGNKVVPPPPPAPSCNAEAAAADSLRRVLRL >ONIVA03G10780.1 pep chromosome:AWHD00000000:3:7915429:7919090:1 gene:ONIVA03G10780 transcript:ONIVA03G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDNGGRSSGGGGGLEQNGVGPFGQVRVLVVGDSGVGKSSLVHLILKGSAIARPAQTVGCAVGVKHITYGSAGGSSNNIISDVQRNFFVELWDVSGHERYRTCRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYVVIANKVDLVPRDGSRVSSGSLVDFARQWVEKQGLLPSSEELPLTDSFPGNSGLLSAAKEARYDKEAVIKFFRMLIRRRFFSNEPAAPSPWSLTPREDSILPVETLKDGVDSFQRKSGEDFMYKGVNPLPAQRNLASPPDLSPQQPVFSLDNYRYHRYSSPSLPDVSSSRTSREDIDV >ONIVA03G10780.2 pep chromosome:AWHD00000000:3:7915429:7919090:1 gene:ONIVA03G10780 transcript:ONIVA03G10780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDNGGRSSGGGGGLEQNGVGPFGQVRVLVVGDSGGSSNNIISDVQRNFFVELWDVSGHERYRTCRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYVVIANKVDLVPRDGSRVSSGSLVDFARQWVEKQGLLPSSEELPLTDSFPGNSGLLSAAKEARYDKEAVIKFFRMLIRRRFFSNEPAAPSPWSLTPREDSILPVETLKDGVDSFQRKSGEDFMYKGVNPLPAQRNLASPPDLSPQQPVFSLDNYRYHRYSSPSLPDVSSSRTSREDIDV >ONIVA03G10770.1 pep chromosome:AWHD00000000:3:7904511:7921591:-1 gene:ONIVA03G10770 transcript:ONIVA03G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFGWMLALHSSMALFLNPLTSKINKTSTANSRTISRNKIHFISNYNVRHWKPTWSTRSEWSRKGLPHRPRIGRRRRHARTERLEESPDQKNPPTHVGRRRNPIRPWRGRRERGLTPPLTRGGFEHRQRGLARDGAFVWGIVAESGSITLPDRLACRTVSPPLACPDPRRRHSRGRAWVPVVAARAWVPVAAAPDARGRVLVANASERRRNCLCYSRRSGRRPPRQLRHRRLIGNDCLERRPARLSAVCTIHAVATLVFLVDYATAASCMQAATSPAYSRISGGRLPGEREKTATAAAAGCGFGGVAQGAIMSGSITLPDRLACRTVSPPLACPDPRRRHSRGRAWVPVVAARAWVPVAAAPDARGRVLVANASERRRNCLCYSRRSGRRPPRQLRHRRLIGNDCLERRPARLSAVCTIHAVATLVFLVDYATAASCMQAATSPAYSRISGGRLPGEREKTATAAAAGCGFDFKRDLPVPTHQAGFTRRAFRRPGDGYRRRRVFRITASADDRPPTAEVIGNACPRRRRCGRLAASAELFPVANPLTAGIQNPRHFLLLEAVVSPVPFPA >ONIVA03G10760.1 pep chromosome:AWHD00000000:3:7897583:7904418:-1 gene:ONIVA03G10760 transcript:ONIVA03G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RADIATION SENSITIVE 17 [Source:Projected from Arabidopsis thaliana (AT5G66130) TAIR;Acc:AT5G66130] MGKRPPVVVLSSSSDEDEGGGRRAATRGPSARRARTPATAPAQAHAASGPRKKPRRVSSAERGRRRATGAAPSGSLKAEFDMLSEDFSECLNDLGMPGSICQTEELWVDKYKPHSLAELSVHKKKVEDVKKWLEEKLRAPKVGTFGGWTLVLTGQAGVGKSATIKAIAAELGVEICEWTAPVPTLWTEHLHANSGLGYISKLEEFENFVEKIRKYSLLSPTNFGSQRKHTIILIDDIPVTSGKVSFARLGKCLTGLIQSTQVPTVISLTQYHKSENNDTAMWNSEDLESLLQSAGAHKISFNPVTVNSIKKILVRICKQEGSDLTDDLVHQIATSSGGDIRHAIMSLQYYCLNPRRLNSALARTAILPGLKSGGSLVPGQDSYGCSSVIPTACGRDETLTLFHALGKFLHNKRETYSEVDVDVDSFPMKEKLRRNPLKMDIPEKVLSQAHGKVRTVADFLHENVLDFIDNDAIDDAWSVASYLSEADCLLAGSPISSTRWMVNESYEAENMTQLIAASVAARGILFGNAHVSSSRWHTIRSPRVWQIEQSFRSRKDLILKERYDCSSTSGSRNFSDVVTEFKPFERWISPHNDMPRSNSFNHNIEASSSEEDEDEIEDW >ONIVA03G10750.1 pep chromosome:AWHD00000000:3:7888376:7891676:-1 gene:ONIVA03G10750 transcript:ONIVA03G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQSLYPEVNQSHPDLNTAFLANPNRAATASPGGSLYPSVDPQQLAENLFPDAADDAAPPPPTTEEALVAVPGAQLHLVDPDRSMDLGAGTLSVVRLRQGDHSVAVLARLVPEKRSQRRGGLFGFLSGGGKAGDGAAQEPVQWPLTRDVAAVKLDTAHYFFSLHVPHTDHEDDDAEGAEAEKDADGEAALSYGLTVASKGQEAVLAQLDKVLEEYTTFSVKQVEPAAKEKSEVMDTKAVTEITPEEAVGDKKEVVEEQSAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRCGEAVVKKSVGPSGKPSQVKPSTIRRMKRARRVTKMSNRVANSILSGVLKVSGFVTSTVLNSKPAQKFFKLMPGEVILASLDGFGKVWDAVEVSGKNVMRTSSVVTTSVVTHRYGDQAGQVTQDYLHASGNALGVAWAVFKIRKALDPKGNLKKSSLASAAAHAVAKESICRQRRK >ONIVA03G10750.2 pep chromosome:AWHD00000000:3:7888378:7891648:-1 gene:ONIVA03G10750 transcript:ONIVA03G10750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQSLYPEVNQSHPDLNTAFLANPNRAATASPGGSLYPSVDPQQLAENLFPDAADDAAPPPPTTEEALVAVPGAQLHLVDPDRSMDLGAGTLSVVRLRQGDHSVAVLARLVPEKRSQRRGGLFGFLSGGGKAGDGAAQEPVQWPLTRDVAAVKLDTAHYFFSLHVPHTDHEDDDAEGAEAEKDADGEAALSYGLTVASKGQEAVLAQLDKVLEEYTTFSVKQVEPAAKEKSEVMDTKAVTEITPEEAVGDKKEVVEEQSAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRCGEAVVKKSVGPSGKPSQVKPSTIRRMKRARRVTKMSNRVANSILSGVLKVSGFVTSTVLNSKPAQKFFKLMPGEVILASLDGFGKVWDAVEVSGKNVMRTSSVVTTSVVTHRYGDQAGQVTQDYLHASGNALGVAWAVFKIRKALDPKGNLKKSSLASAAAHAVAKESICRQRRK >ONIVA03G10740.1 pep chromosome:AWHD00000000:3:7884006:7887318:-1 gene:ONIVA03G10740 transcript:ONIVA03G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRSLFPELPPAGHALLRLVDSCRAPAHLRSLRAAHARLLFLLRLPSHPASAAVRVKLIQAYAACAALPAARAVLYASPDRTTVFFNVLLRGLTAASLHRDALLLFASMRPQGHACFPDHYTYPLALKSCAATDGLVLGRQIHSSTARLGLDGNVFVAHSAISMYARCGRPDDAYQMFEEMQYRDVVSWNAMISGFAHAGLFGRAMDVFRELVALQCPKPDAGTMASILPSMGKARVEDIALLKGVFDEMRFKGLISWNAMLAVYTNNEMHVEAVELFMRMQKDGIEPDAVTLATVLPSCGEVSALSLGKRIHEVIKRRRMCSSMLLENALMDMYANCGCLKEARDVFDSMGTRDVVSWTSIISAYGRHGHGREAIDLFEKMCGQGLEPDSIAFVAILAACSHAVACITDSQHGYYLDNQQWRTIILANKLRVMEGKNYVNSSYYASFILSQIQANFQKDICLILGHQQGAVVLIMHFGLSFDRAVRHLALHFSNERHHERYGDEDRMECTNSGKWRWR >ONIVA03G10730.1 pep chromosome:AWHD00000000:3:7869161:7874908:-1 gene:ONIVA03G10730 transcript:ONIVA03G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHGDSVGRCILVGLHMDGVGKELLQWALNEAARSGDRVVAVHIYRKSDNCKTNTLSLIRTLDDYLAEYEALCSKKDIILVGRVTPGSSIQKVLVKEAKLCAAMVVVIGANKKYSFGGSTCLAKYCAKKLPATTTIVAIENGKAIFVREAPKPPLGAEPKPVLRTVLHPSVGLEPKVIIPNPNRSARSMDFDAMGCGHDGAAPVSSYDDATKVGGGGERTAEQRLGWPLLRRPLPAADGAVQPPPKDDGPRKQSVVQWVMSLPRRSSPSTSPEPQAGLVAELKRMLDAVPSRCRWFRYEELYDSTNHFSSENLIGKGAHSRVYRGSLASAQPVAIKLSKASAVASNDFLREVDIITKLRHHRIVPLIGVCVEGPNLISVYSYLHRGSLEDNLHGKRSKPALSWEKRYTAAIGVAEALSYVHSGHSRPVIHRDVKSSNILLNDEFEPQTLNSVSWQLSDFGLAIWAPSNPGSLTHSDVVGTFGYLAPEYFMYGKVTDKVDVYAFGVVLLELLTGRKPISDGSPKGQESLVMWASPILDSGDISDLLDADLDVKHDDAEVKRMALAASLCLRRSARLRPKISQVLSVLRGESEVSLDDLKAEPADCVDDETYPAANVRTHLGLALLDVEDAESISSTEHSNLSPLEEYLRERSLRLFLRSDLDCFSTDTEAASAFLPEEQGSSLGCEVMKALDYLESSPSGDNNTQIQRHNQN >ONIVA03G10720.1 pep chromosome:AWHD00000000:3:7868608:7873989:1 gene:ONIVA03G10720 transcript:ONIVA03G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRNPVVAVAAAEAQATTKNSHGEKSLIWKVRITFLYIVVLPDDGCKKLLMLDKIVDLQKEREKAEKKKEKRSDRKALPHGEISKHSKRTHKKRKHEDINNADQKSRKVSSMEPGEQLEKSGLSEEHGAPCFTQTVHGSPESSQDSSKRRKVVLPSPSQAKNGNILRIKIRRDQDSSASLSEKSNVVQTPVHQMGSVSSLPSKKNSMQPHNTEMMVRTASTQQQSIKGDFQAVLKQGMPTPAKVMPRVDVPPSMRASKERVGLRPAEMLANVGPSPSKAKQIVNPAAAKVTQRVDPPPAKASQRIDPLLPSKVHIDATRSFTKVSQTEIKPEVQPPIPKVPVAMPTINRQLIDTSQPKEEPCSSGRNAEAASVSVEKQSKSDRKKSRKAEKKEKKFKDLFVTWDPPSMEMDDMDLGDQDWLLGSTRKPDAGIGNCREIVDPLTSQSAEQFSLQPRAIHLPDLHVYQLPYVVPF >ONIVA03G10710.1 pep chromosome:AWHD00000000:3:7868329:7868661:-1 gene:ONIVA03G10710 transcript:ONIVA03G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNRVAGGEEEKKGSLFEGAGGEAIFIAGEEEPESCGEPTGGLLHLPGDARDGITGTRDREDSGPYVSGRKGKAGGFGRQRSGGGEAAGPDWPVVWDPPVSEGEGEVE >ONIVA03G10700.1 pep chromosome:AWHD00000000:3:7864469:7866746:1 gene:ONIVA03G10700 transcript:ONIVA03G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEVVNPKAYPLADAQLTMTILDLVQQASNYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKTPIQNLKDAIEKLLI >ONIVA03G10690.1 pep chromosome:AWHD00000000:3:7857811:7863786:1 gene:ONIVA03G10690 transcript:ONIVA03G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSAPARQWAAWTRQEEQNFFNALRQVGKNFEKITLRVQSKSKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTIAAMLCWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDVCLSSSSSAVNRTPGNESFSVKLLAVDVSNGSKVGSSKGSFFKKVTEPNCSNKSGATKGDLSATRTVKQKRRAGGVVASAAYKKWERAAMAGVSLVADAAEELERNTVNADARMLSPSSSNACTVDGLGTNHIKEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSARKKISSVLEHLNRKWGNSNIASGELLLFPYCAHQEDLATYQRWTTKDTVAVADVFRSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFENCLIPEDIHAKSPSEACVQKDGNSLSSCAPEQHPCGSKDQSALLLAMPSSTGKSAQVPEQCIDVLPSQFGRQNQDQVTTNQVFEVDQGMDCAAVSEGEWADTLTDISVGYLLTEASRGANTDCPGTSVVKNTLLLENPCSYDSFDAAVALHASRYKSAEQPALASHSTIWGAEETCDAFSFNLPASRKREGSNNSASSSPDSDSDVHPSNSEGFQCFLQDLAGAAVAHNPCIDDAKDIESLCAESPPRSDHDSAPKDQSLADLYWPDSLGPLDLDIPSATYHADDLLLGDSQNSWNRMMANSLDAFRNLSFFTADKNDSIPSIM >ONIVA03G10680.1 pep chromosome:AWHD00000000:3:7856066:7857082:-1 gene:ONIVA03G10680 transcript:ONIVA03G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNHHVSNAFMPLATSPVFAKSPEKAATATPLPAAVPDLSLSSPACPAAPASVTSSAVTDVARVQRRRQQQQQQQAVQRKARRCWSPELHRRFVATLQRLDGPQGLMKVDGLTDDEVKSHLHVVQSQLLLLQPKKIAICRWQQFDTPLLEKKNSRISKQIVKKYRLHTRRASDCGGDSGGLWTPPPEQYTTSQHNMSPALTVSSSHAVSVTAGDSCDGGEEEEEEEDDGKSESYSWEMQNGARASLSS >ONIVA03G10670.1 pep chromosome:AWHD00000000:3:7848584:7851403:-1 gene:ONIVA03G10670 transcript:ONIVA03G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPSHLHLLILFFAIAASSLLSTVNSTRASPADTDVGGAVYTKVCDAARFAGLGLNVTEFRYCDASLPYADRVRDLIGRMTVEEKVGALGDWTDGAARIGLPAYRWWSEALHGLSSTGPTTKFDDLATPHLHSGVSAVYNATVFANVINSAASFNETLWKSIGQAVSTEARAMYNMGKGGLTYWSPNINVVRDPRWGRALETPGEDPYVVGRYAVNFVRGMQDIPGHEAVAAGGDPNTRPLKTSACCKHYAAYDLDDWHNHTRFEFDARVDERDMVETFQRPFEMCVRDGDVSSVMCSYNRVNGIPACADARLLSQTIRRDWGLHGYIVSDCDAVRVMTDNATWLGYTGAEASAAALKAGLDLDCGESWKNDTDGHPLMDFLTTYGMEAVNKGKMRESDIDNALTNQYMTLMRLGYFDDIAQYSSLGRQDICTDQHKTLALDGARQGIVLLKNDNKLLPLDANKVGFVNVRGPHVQAPEKIMDGDYTGPPCRYVTPRQGVSKYVRFSHRANTTIYFGGLNLNIEREGNDREDILLPKNQTEEIIRVAKASPNPIILVILSGGGIDVSFAQNNPKIGAILWAGYPGGEGGNAIADVIFGKHNPSGRLPLTWFKNKYIYQLPMTSMDLRPVAKHGYPGRTYKFYDGPDVLYPFGYGLSYTKFLYEMGTNGTVLTVPVAGGHCKKLSYKSGVSSAAPACPAINVNGHACPETVSFNVSVTNGGDTSGSHPVIVFSKPPAEVDDAPIKQVVAFRSVFVPAWSTVSVSFELNVCKAFGIVEKTAYTVVPSGVSTVLVENVDSSVSFPVKISFSV >ONIVA03G10660.1 pep chromosome:AWHD00000000:3:7838500:7847778:-1 gene:ONIVA03G10660 transcript:ONIVA03G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVPWRGRRRRRVPLAASPPPLLLLLAAPFKSSSAVAAAAAKVREEGEVSSGADDDDVRRAPDGDDDDDDGDEVRRERSHPAAAAVRRARWRPAARRRCAAALGIARRRGGGKRRRQIHPTDDLHKSGRVFAAGLNDFGQLGIGSSVTHPLEWDLS >ONIVA03G10660.2 pep chromosome:AWHD00000000:3:7838500:7847778:-1 gene:ONIVA03G10660 transcript:ONIVA03G10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVPWRGRRRRRVPLAASPPPLLLLLAAPFKSSSAVAAAAAKVREEGEVSSGADDDDVRRAPDGDDDDDDGDEVRRERSHPAAAAVRRARWRPAARRRCAAALGIARRRGGGKRRRQIHPTSGRVFAAGLNDFGQLGIGSSVTHPLEWDLS >ONIVA03G10650.1 pep chromosome:AWHD00000000:3:7832620:7838285:-1 gene:ONIVA03G10650 transcript:ONIVA03G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATGAMGSLLSKLGELLNEEYNLQRSVKKDIKFLSRELTMMQAALCRVGEVPLDQLDDLVKIWAREVRELSYDMEDVVDTFLVRVEQNPEQAEPGSSKGFTRKMVNLYKKGRSRHQIADEIKDIKDRVKEVAERRDRCRVDSVFASYATTIVDPRLSALFKKVTELVGINEARDELIRRLSKGSNASEKLKIVSVVGAGGLGKTTLAKTVYDKLVLGKEFDSYGFVPVGQNPDMKKIFKDILLELDKHKYMNIGSVILDERQLINELLQFLDKKRYAFSSSYSNCGSKIITTTRIVKVAEEVGDIYNLQPLSDDNSEKLFYTRIFGADGKCSDNQSIEVSKKILKKCGGVPLSIITIASLLASKPKDDWSKVYDSIGFGQEDNMDVRNTRKILSFSYYDLPIHLRTCLLYLTIFPEDYLIKKDQLIWRWIAEGFIQEENGLGSFEQGERYLNELINRSMIQPIERYHSGIIDDCRIHDMVLDLIRSLSSEENFSTVLDKEQHILSQSNIRRLAIHKRILEHNPQAKVGMTQVRSFNAYLCGRMDWMPPLSSFNVVRVLVLDSCHFVESAHLEHIGKLLHLRYLGLVNTAIAELPKEVGHLKFLQTLDIWGSGIEELPLTVGKLRQLIYLRTDSNTRVPAEVMGKLTSLQQLHLHSVNKSLDAIVELRKLEELRELGIWFDKMDHSSRRVLVESVCNLRKIQVLGVWYKSGDEWTWLNGWEAWVPHPRLRQFFLNAVFLPRIPVWINSSRVAHLSYLHLGVDFIDVQDLETVGRLPALRCLYICSSKIMLSYIVAGDDGLFQNLRNCATNLQLTFRQGAMPMLEHLQYSVSVVGGKKDDVAPSGGFCAPTGLGHLPFLDNIILFLLCSNASAAEVEEVEAMLREEVSVHPNHPSLRLKKT >ONIVA03G10630.1 pep chromosome:AWHD00000000:3:7820531:7828759:-1 gene:ONIVA03G10630 transcript:ONIVA03G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASSPTATRLPKRSTILLGVEVVHPPEAAALACPIEPFMDIEAHIFERGIHVTGQGPTLISSCHFIGLIIKYVEIILGSMRSDGYAPGDDNVACRLMWRRTIR >ONIVA03G10630.2 pep chromosome:AWHD00000000:3:7820531:7828759:-1 gene:ONIVA03G10630 transcript:ONIVA03G10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASSPTATRLPKRSTILLGILRNSQSLVVCQGSTHFRERHSCHRPGSMRSDGYAPGDDNVACRLMWRRTIR >ONIVA03G10630.3 pep chromosome:AWHD00000000:3:7820531:7828759:-1 gene:ONIVA03G10630 transcript:ONIVA03G10630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASSPTATRLPKRSTILLGKGPTLISSCHFIGLIIKYVEIILGSMRSDGYAPGDDNVACRLMWRRTIR >ONIVA03G10630.4 pep chromosome:AWHD00000000:3:7820531:7828759:-1 gene:ONIVA03G10630 transcript:ONIVA03G10630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASSPTATSKGPTLISSCHFIGLIIKYVEIILGSMRSDGYAPGDDNVACRLMWRRTIR >ONIVA03G10620.1 pep chromosome:AWHD00000000:3:7814319:7815307:1 gene:ONIVA03G10620 transcript:ONIVA03G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRRSSATAVFLLLSLLLLLQSAAAYPQGKRQNVAVFWGRNKAEGSLSSICDSGDYNIVIISFLSVFGHGKYWLDLSGHDLRDVGADIRHCQSKGVYMLLSIGGDGDQYSLPSSKSAADVAESLYYSVLGGDRPGAFHPFGGDTIVNGVDFFIDNGPADHYDDLANRINDYNQNIHDPIGIMLTATVRCSYPDPRMKAALDTKLFRRIHVRFYDDPSCSYNHAGLAGVMAQWNRWSAAYPDGQIFLGLVAANLTGKNDMVGVGELRDKLLPAVQNTDTYGGVMLWNSYYDSLTHYGRYVKDLA >ONIVA03G10610.1 pep chromosome:AWHD00000000:3:7810055:7811071:1 gene:ONIVA03G10610 transcript:ONIVA03G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNLITDYTQQQHNPTINHTTPEHNNGVPTPAIIRRHHRRLLLPPLLVSGVLPAGRRLLPPSGKRQTVAVFWGRNKAEGSLRQTCDTGDYNIVIISFLSVFGHGKYWLDLSGHDLRDVGDDIRHCQSKGVYMLLSIGGDGDGYSLPSSKSAADVAYSLYHSFLGRPRAGIFRPFGDDTIVNGVNFFIDHGPADHYDDLANLINDYNQNIHDPIGIMLTATVRCSYPDPRMKKALDTKLFTQIHVRFYDDPRCSYNHAGLAGVMAQWNRWSARYPNSRIFLGLAAANVTGKNDMVGVGELRRKLLPAVQKTESYAGVTLWNSYYDSLTHYGRYVKHLA >ONIVA03G10600.1 pep chromosome:AWHD00000000:3:7807836:7808699:-1 gene:ONIVA03G10600 transcript:ONIVA03G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALLPLAAAALLLLAAAPPPATADDPGLAVYWGRHKEEGSLREACDTGRYTTVIITFYNAFGHGRYSLDISGHPLAAVGADIKHCQSRGITVLLSIGGQGGAYSLPTNASAADVADNLWNAYLGGHRAGVARPFGDDAAVDGIDFFIDQGGADHYDDLARRLDGYNKYYRGRVGVLLTATTRCSYPDHRLEKALATGVFARIHVRMFGDEQCTMSPRYSWEKWAAAFPGSKVYIGLVASPEQDSAWMFQKDLYYKMLQFVRSLPNYGGLAIYDRYFDKKANYTGEG >ONIVA03G10590.1 pep chromosome:AWHD00000000:3:7800878:7801756:-1 gene:ONIVA03G10590 transcript:ONIVA03G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRRSLFLPVVGVAAILLLAAGHATAVNNGETVVFWGRNKDEGSLREACDTGLYTSVIISFLAVFGHGRYSLDLSGHDVSAVGADIKHCQSKYIPVLLSIGGQGGAYSLPTNASAADVADHLWDTFLGGGRAGVPRPFGDAVVDGVDLFIDQGGAEHYDELARRLFSHYKFEMLLTATTRCSYPDHRLDMALATGLFTHIHVRVFGGGGDAGCTTRHRASWERWAAAYPGSLVYLGVVASPEQDANAYLPRKVLFSDVLSHIVEKPNYGGLMIWDRYYDKKTGYSAGKVF >ONIVA03G10580.1 pep chromosome:AWHD00000000:3:7794481:7798924:-1 gene:ONIVA03G10580 transcript:ONIVA03G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRRSCIPAALAVFFLLLAGQSTAGEDQTAVIWGRHGDEGTLREACDTGHYNTVIISFLSVFGHGRYSLDLSGHDFRRVGNDIKHCQRKGIVVLLSIGGQGGDYSLPSSRSAADVADNLWNAFLAGRRKGVLRPFGNAAVDGIDFFIDRGSGDHYDELARKLYSYRNNKGKGVMLTATPRCRFPDRRLEKALATGVFARIHVRMFGDDVNCTAAPRESWEKWAAAYPASQVYLGLVASSEQDPGYLSPKPLYYTLVMYIRDRLNYGGKMIWDRSCKSHTHNDYVRVRCCNMKMKALLPVAAMLLLVSGQLAAPVTADGYVGQLAVFWGRHKEEGSLREACDTGRYNIVVITFYNVFGYQRGRYGLDFSGHPVAAVGADIKHCQSKGVQVLLSIGGQGGEYSLPSSQSASDVADNLWNAYLGGRRAGVPRPFGDAVVDGIDFFIDQGGADHYEQLARQLHGRGVLLTATVRCAYPDSRMEAALATGVFARIHVRIFGDDQCTMFPKDAWEKWAAAYPRCTVFLTVVASPEQDEGYMFQKDLYYGVQQFIDKEPNYGGIAIWDWYYDKKANYSGEG >ONIVA03G10570.1 pep chromosome:AWHD00000000:3:7784952:7785791:-1 gene:ONIVA03G10570 transcript:ONIVA03G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLLLVVVSCLAAPATADWYGPLAVYWGRHKDYEGSLREACDTGRYNTVIITFYSVFGYVKGRYGLDISGHPVAAVGADIKHCQSKGVQVLLSIGGQGGGYSLPSSQSAADVADNLWNAYLGGRRAGVPRPFGDAAVDGIDFFIDQGGADHYDELARQLHGRGVALTATVRCSYPDSRLQKALATGLLGRIHVRIFGDNQCTMSPLDAWEKWAAAYPRSKVWLALVASWEQDEVGYMFQKDLYYGVLQFILNKPNYGGIAIWDRYYDKKANYSGEG >ONIVA03G10560.1 pep chromosome:AWHD00000000:3:7779645:7783785:-1 gene:ONIVA03G10560 transcript:ONIVA03G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVLEVLGSGLIRAEPVFLFGLVHPYRCRAAFVLLVKKTGTFVGLSTGTHLYFRGSANQ >ONIVA03G10550.1 pep chromosome:AWHD00000000:3:7778997:7785609:1 gene:ONIVA03G10550 transcript:ONIVA03G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNSLVSSAFFILGSGNAQRTVAVNLTGLPGELVVVVGAALVDEEVDAVHRRVAEGPWHAGAAAAEAFQRLSATSAADWDDGSEYPPPWPPMERRTWTPLDWQCLMSAPTAATGWPEMSRPYLPLT >ONIVA03G10540.1 pep chromosome:AWHD00000000:3:7778708:7779622:-1 gene:ONIVA03G10540 transcript:ONIVA03G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALGRRSWLVPLAMVLAVSSCLAGPAMAAGKTGQMTVFWGRNKNEGTLKETCDTGLYTTVVISFYSVFGHGRYWGDLSGHDLRVIGADIKHCQSKNIFVFLSIGGAGKDYSLPTSKSAADVADNIWNAHMDGRRPGVFRPFGDAAVDGIDFFIDQGAPDHYDDLARNLYAYNKMYRARTPVRLTATVRCAFPDPRMKKALDTKLFERIHVRFYDDATCSYNHAGLAGVMAQWNKWTARYPGSHVYLGLAAANVPGKNDNVFIKQLYYDLLPNVQKAKNYGGIMLWDRFYDKQTGYGKTVKYWA >ONIVA03G10530.1 pep chromosome:AWHD00000000:3:7745982:7748720:1 gene:ONIVA03G10530 transcript:ONIVA03G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTNQLLLGNPCFACCFSFWTTGVLIPEQPVETMNWIGAGCLGGVAAACGREPSALVASHPTGAATAARLRHHGGVRCTVAVTRFVVGSTKPCLGSSSVHVVLFSDGCDKGGPADLGGHRGLYFERLEEVGSVALDELVWEEAEQGRPATVVLYDTFMPDVPCSRRGGEASPSVDMGAPAGAMFLTQTCAVDMVYTHARSGENRGDGDATATARCLVTEQVAVSARWLSRRSRPTMRSERKKRRPRRCQRGGHRTRRCEVWPWRRHRCQSAPVLVAV >ONIVA03G10520.1 pep chromosome:AWHD00000000:3:7742109:7745009:-1 gene:ONIVA03G10520 transcript:ONIVA03G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJH5] MAMARCSLLPILAAVLLAASLSLPPSAAAYAAMVDSLLPASATALSFEEGYTQLFGDSNLMLHGDGKRVHISLDERTGAGFASQGAYHHGFFSASIKLPADHTAGVVVAFYMSNGDVYERTHDELDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYGLWFDPTQDFHRYAIRWSHDTIIFYVDETPIREVVRTASMGAQFPSKPMSLYATIWDGSSWATSGGRYKVNYKYAPYVAEFTDLLLHGCPAGSPPPCEGAAASATMPPGQRSAMERFRTRHMTYGYCYDRVRYHAPLPECSVGAEAEAFLPSGEARSTDRRGGRHGKRHRRAGGGVDSAL >ONIVA03G10510.1 pep chromosome:AWHD00000000:3:7738784:7742076:-1 gene:ONIVA03G10510 transcript:ONIVA03G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARVLDCTIVPCVMSYWYSTVVAVGLGPVLFPDPVVVPPQQMTCQKRNTDRNSQAMDRHVY >ONIVA03G10500.1 pep chromosome:AWHD00000000:3:7732107:7737190:-1 gene:ONIVA03G10500 transcript:ONIVA03G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVIPSSAPGAAAAGAAAVESAGWVVDERDGFISWLRGEFAAANAIIDLLLLHLRSVGEPGEFEHVAAAVQQRRHHWAPVIHMQQFFPVGDVAYALQQAGWRRRAPPHHQQQGPGASPSPPPPPPRGRPSFSASHSHHRHGGHHHRSDSVRGGGTGATAGSDKDGREVHNKEEKGMKEAENVVEAKSSQLESLVSHEGEKTPRPQAVAEGSSKVVPTPVEYTVNDIIDGKTVNAVEGLKVYEGLVNENEKNKILSLLNETKASFRRGGLEAGQTVIIGKRPMKGHGREIIQLGIPIVEGPPEDDYPRETKVEAVPGLLHDLFDRLCQKEIIPTKPDYCVIDYYNEGDYSHPHQSPPWYGRPFCTFCLTDCDMVFGRVISGERGDHRGPLKLSLSTGSLLVLHGKSADVAKRAIPAACKQRILLSFGKSLSRKQVPSESVSRFTTPLTPPPMPWGPPRPANMVRHSSSPKHFGYAPNSGVLPAPAIGAHHIPPSDGMQPLFVAPAPVAAAAMPFPSPVPLPNSTTAWMAEAAPRSAPQRLPVPGTGVFLPPGSGHALPHQMMTASQSPAEPISSTDSSAYVHNKSTSTMGEMANGDVSPKSSPAKQSDAVEQKPECNGSSNGGSSLVDKKSAVSKEQQNGGMKKVGSNKVQPNAAK >ONIVA03G10490.1 pep chromosome:AWHD00000000:3:7724874:7731190:-1 gene:ONIVA03G10490 transcript:ONIVA03G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68080) TAIR;Acc:AT1G68080] MSAAAASPPPPAAAGEHLRFHLRGFLSPETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPVRERLRDAVESAFSCHFDLFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTDVVIYTADNSNTHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLSFLSQTSLNYEPVHQSSYIPLPASDIMYWFAYDHSGFDVRCARVHILGFSFHSSIDEDNKSVAPAEDDPIELLGKPVRLGRGDDVFDKVFANGLHALQFYYWKAPELSAKRKQTSGGSGTVCCPVSQSRGTKLPLPCNHGLEQTIFGSHKNVEIDFEWSDFELAVSMWENYSEELQRKLLTFLPYWLSSEAIFIVSSCSPQAVVVPIDVEVDAIDLKFHETSPTTSRLSDPSLLAGCSTRTSPDAVAVAASSAKTAAVAMAAHARLLLLLAMASDTCTALSLSLSLSVTRGSGLGGYLYWSM >ONIVA03G10490.2 pep chromosome:AWHD00000000:3:7725800:7731190:-1 gene:ONIVA03G10490 transcript:ONIVA03G10490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68080) TAIR;Acc:AT1G68080] MSAAAASPPPPAAAGEHLRFHLRGFLSPETCKELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPVRERLRDAVESAFSCHFDLFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTDVVIYTADNSNTHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLSFLSQTSLNYEPVHQSSYIPLPASDIMYWFAYDHSGFDVRCARVHILGFSFHSSIDEDNKSVAPAEDDPIELLGKPVRLGRGDDVFDKVFANGLHALQFYYWKAPELSAKRKQTSGGSGTVCCPVSQSRGTKLPLPCNHGLEQTIFGSHKNVEIDFEWSDFELAVSMWENYSEELQRKLLTFLPYWLSSEAIFIVSSCSPQAVVVPIDVEVDAIDLKFHETF >ONIVA03G10480.1 pep chromosome:AWHD00000000:3:7724603:7728821:1 gene:ONIVA03G10480 transcript:ONIVA03G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJH0] MARRSSSRACAAMATAAVFALLAATATASGLVRVEHPAKSDGSLSLLVVGDWGRKGTYNQSRVAEQMGKVGEKLNIDFVISTGDNFYEDGLTGVDDQAFEESFTDIYTAKSLQKPWYLVLGNHDYRGDVLAQLSPVLRKIDQRFICMRSFIVNAEIVDFFFIDTTPFQLKYWTRPKDHHYDWRGVAPRQKYITNLLKDMDEAMKKSTAKWKIAVGHHTIRSVSDHGDTKELLQLLLPVLKVNGIDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVLQPNSDKLQFFYDGQGFMSLQINQDQADFIFYDVSGNILYKWSKSKANYLQPSTYITEA >ONIVA03G10480.2 pep chromosome:AWHD00000000:3:7724603:7729627:1 gene:ONIVA03G10480 transcript:ONIVA03G10480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJH0] MARRSSSRACAAMATAAVFALLAATATASGLVRVEHPAKSDGSLSLLVVGDWGRKGTYNQSRVAEQMGKVGEKLNIDFVISTGDNFYEDGLTGVDDQAFEESFTDIYTAKSLQKPWYLVLGNHDYRGDVLAQLSPVLRKIDQRFICMRSFIVNAEIVDFFFIDTTPFQLKYWTRPKDHHYDWRGVAPRQKYITNLLKDMDEAMKKSTAKWKIAVGHHTIRSVSDHGDTKELLQLLLPVLKVNGIDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVLQPNSDKLQFFYDGQGFMSLQINQDQADFIFYDVSGNILYKWSKSKANYLQPSTYITEA >ONIVA03G10470.1 pep chromosome:AWHD00000000:3:7707848:7710918:-1 gene:ONIVA03G10470 transcript:ONIVA03G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRGTGDSFCLSLSALLAYLSRLPLPRLKFLPFVLVSSTSPPVHPYLPPYGLVAMLENQRQAEVLWPRLVANKLFRKPSGSHAFVADFPMAVDDDFDGEAVPAAVESFDDDGCSPDADACRSVKRPRPRPQQRASNKTLKYRLFASTWNVGGVAPPDDLDLSDWLDTRNAAYDIYVLGFQEVVPLSARNVLGADKKRVGMRWNELVRAALNRSSPSAPNSSRDQREAKGTGGGAAAAAAGGGEIKQQAAQQKVHPVRDGIGSGGGELACRDYRCVVSKQMVGILLTVWVRADLARFVRRASVSCIGCGVMGCLGNKGAVSVRFWLHDTSFCVACCHLASGGRDGDEAHRNADATEILSRTTFPRGHSLNLPQKILDHDRVILLGDLNYRISLPEAKTRLLLRSEVESEGGAFHGWNEGAIAFSPTYKYYPNSDTYYGCASHGRKGEKRRAPAWCDRILWRGAGLKQKRYDRCESRLSDHRPVRALFEVEVGAPRRNLNSLRSFFLSERFDGGRSAAADLLREDGTASSARFGDTI >ONIVA03G10460.1 pep chromosome:AWHD00000000:3:7698435:7704348:-1 gene:ONIVA03G10460 transcript:ONIVA03G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNPLPPAATTTTYRRRSKPNPMRKATKPPSPSPLPKRQARGDLPLPHTGPLTCVGHHSPLNPGPAPEDAPQLHGGEREAPPCAQIEAAKLSPVSEEVEAALLRGAGVHVVPSFGVKPPDSISWIAGSSSLSLFA >ONIVA03G10450.1 pep chromosome:AWHD00000000:3:7690822:7695772:-1 gene:ONIVA03G10450 transcript:ONIVA03G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEVATRSKSQKSSATQNEQSTPTNPPTAYPDWSQFQAYYNPAGTAPMTPPGFFHPNVAPSPQGHPYMWGPQMMPPYGTPPPYAAMYAQGTPYQQAPMLPGSHPYNPYPGQSPNGTVQTPTSAGGTETDKSGKSKRKTPLKRSKGSLGNLDVVATKNKKAPAKPSASSSNEGSSHSESGSGSSSEGSSTNSKSGSRTKDGSEHGQGNDASNKGATAQSSAVEPVQASTGPVVLNPMMPYWPVPPPMAGPATGVNMGMDYWGTPTSVPMHNKVIAAPASAPSSNSRDVVLSDPAIQDERELKRQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKCNSLTSENTTLHEKLKELEGEKSNGNWYKE >ONIVA03G10440.1 pep chromosome:AWHD00000000:3:7685565:7686289:-1 gene:ONIVA03G10440 transcript:ONIVA03G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSQEAGEEVSEISSQAASNNNETCNSSSGKVSLDLSLTVAAAAAAAASATESSTTDSGGTRPAATATATAREPARVFTCNYCQRKFFSSQALGGHQNAHRRERTLARRAVRLDAFPYGYADVASLPLYGAGLYPIGIQAHASVHHHHPGVAAPAGRAELRSARALLGPMPFFVQAAGDEDASFGWPGSFRPPPTAATTIPAAAAAPVAVNSGSSNSNHGGSVVVQAAADEPDLTLRL >ONIVA03G10430.1 pep chromosome:AWHD00000000:3:7679768:7684009:1 gene:ONIVA03G10430 transcript:ONIVA03G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1423) [Source:Projected from Arabidopsis thaliana (AT1G14740) TAIR;Acc:AT1G14740] MFGDSDGSKDANPGAPPSTTDPPFPNRELTLSSYLCEKPTLASAAAGGGGGAGPSSPPNPAAAAAGDDGKHCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLNSDGPAAPPSSQPPLASLLQPVPATDGDLRGAAAAAAAVPAAPARRTYSATTARTRSINSDDMSYSYSIFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFATPPLKEGTSSFFPTELPARMAAAAAAAAASAGGSFDGGRGGLHASRPDKILRDIVSDSVTAMAQVLQDFPSERLELLREAVRGMIDSHEKRDELASLQRKLERRSDLTTETLGRANRTQLEILVAIKTGIATFVTGKGRVPSSELVEMFLMTRCRNLNCKSALPVDDCDCKICSTKKGFCSACTCSVCHKFDCAANTCTWVGCDVCGHWCHVACALERNLIRPGPTLKGPIGTTEMQFQCLACNHSSEMFGFVKEVFNCCAENWNAETLMKELDFVRKIFAGCEDFEGKGLHAKAEEVLSLLGKKIISPLDATNSILQFFKYGVTDYSVTGSTSKGILAAQASQSTDMRSLQTPTITPPKSSFNFKTTTSILDTDALKPSPKPLSIEPHFSTASKEDDSSLETIVKCKEAEAKLFQKLADDARKEVDSYRQIVRSRTQKLEEEYAAKLAKVCFQETEEKRRKKLEELKMLENSHYDYLKMKMRMQTDIQGLLERMEATKKMWV >ONIVA03G10420.1 pep chromosome:AWHD00000000:3:7671864:7675426:-1 gene:ONIVA03G10420 transcript:ONIVA03G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKRRKRLFLVRPRLLWQRHLHAGVAGGAFAATLLFLVLVLLSTSAPSSSPPSLRDSSVVSSGRRSSSSSPPPSVNCDDMTASLGEFGDMMVSMLPKNLAFTVFVPSPESFRRVLKLQRPNDSATNGNGADDDATYAVVSRVLGFSAVPRRLRAADVAPPRHRQQMVAVAPVLESVSGLRISAWRRDVDGALVVNGVPSECVDIVKERDIIVHVMAGVLMDAEFERIRVNSTLFTGSNTKSRTGRKHEVSGPLKNSGGLVEQPLLHSPHLFGNLAGVPTPRCRTAARTTMDEDRAVEAAASAWPGPSRRRRLIEFLLHASTRLDLRPVVKYTALSFFADRLLPSLPRKMGFCGARGGRAVTSWLLEPLRDSNLELFALVAVWIASKIHELKPLSVKSLKALGDRIIADQHFTCRDFANAELVFMEVVEYNIGSLNIAFTYLEELLVQFREISKIGDLLNMDVCMEILDILYETEDSSWLFNSPCQLAASALVTAYAISVPKQRWEFPILPWVTFTTSYDEEEIMKVVLTILMHVLKPDEMQGKGERDFNI >ONIVA03G10420.2 pep chromosome:AWHD00000000:3:7675027:7676254:-1 gene:ONIVA03G10420 transcript:ONIVA03G10420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPPCRRRRWRLRSDAPLPRPRSPLHLSSLLVATVVAGQQRRVIRTKILVFLATTICQLRRHDGEPGGVRRHDGVHAPQEPRLHRLRALAGVVSPRPQAATPQRQRYQREWRR >ONIVA03G10410.1 pep chromosome:AWHD00000000:3:7664865:7670362:-1 gene:ONIVA03G10410 transcript:ONIVA03G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLKIQKSGSSARWATREEQAIMINDLAVPTNVQVDRKTSNTYTQINSKNRGSRAEGCCEESVGERVAGCSPGEKRHCCRRWAKNQETKKTRGGGGGKKTEEREEEALDRCILCL >ONIVA03G10400.1 pep chromosome:AWHD00000000:3:7658716:7664795:-1 gene:ONIVA03G10400 transcript:ONIVA03G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVLAGYGMDKAVRSSVSFETPCGRLLRKLEQIWTEIGEREEDKDRMFLELETECMRVYRRKVDSANAERSQLRQSLMAKEAELKVLVASIGEITPKFKVDEKQSLKEQLAKVTPLLEDLRSKKEERIKQFSLVQSQIEKIKAQISDHNNQHDNGPVNHSKDNHDLSTRRLSDLQAELRNLQKEKSDRLQKVFIYVDEVHCLCSVLGMDFAKTVKDVHPSLHGANSENSTNISDSTLEGLTETILKLKAEKRTRVSKLQEIVGKLHKLWNLMESTEQERRHFTRVAAVLGSTEEEITSSSVLSLETIQETEEEVERLTKQKASRMKELVLKKRLELEDICSNAHMEPDMSTAPEKITALIDSGLVDPCELLSSIETQIAKAREESLTRKDIMEKVDRWLSACDEETWLEEYNQDSSRYSAGRGAHINLKRAEKARILVQKIPSMIDNLIAKTFAWEDERSVPFLYDGARLVAILEEQKLRRVQKEEDKRRHRDQKKLQSLLLKEKELIFGSKPSPKKTSSFNRRTSSHHPNGNGAGFMTPVPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLAAAPLNFSTVSKEDRKKKTRRGAY >ONIVA03G10400.2 pep chromosome:AWHD00000000:3:7659674:7664795:-1 gene:ONIVA03G10400 transcript:ONIVA03G10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVLAGYGMDKAVRSSVSFETPCGRLLRKLEQIWTEIGEREEDKDRMFLELETECMRVYRRKVDSANAERSQLRQSLMAKEAELKVLVASIGEITPKFKVDEKQSLKEQLAKVTPLLEDLRSKKEERIKQFSLVQSQIEKIKAQISDHNNQHDNGPVNHSKDNHDLSTRRLSDLQAELRNLQKEKSDRLQKVFIYVDEVHCLCSVLGMDFAKTVKDVHPSLHGANSENSTNISDSTLEGLTETILKLKAEKRTRVSKLQEIVGKLHKLWNLMESTEQERRHFTRVAAVLGSTEEEITSSSVLSLETIQETEEEVERLTKQKASRMKELVLKKRLELEDICSNAHMEPDMSTAPEKITALIDSGLVDPCELLSSIETQIAKAREESLTRKDIMEKVDRWLSACDEETWLEEYNQDSSRYSAGRGAHINLKRAEKARILVQKIPSMIDNLIAKTFAWEDERSVPFLYDGARLVAILEEQKLRRVQKEEDKRRHRDQKKLQSLLLKEKELIFGSKPSPKKTSSFNRRTSSHHPNGNGAGFMTPVPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLAAAPLNFSTVSKEDSMSSFASISGSEPDSPLVLH >ONIVA03G10390.1 pep chromosome:AWHD00000000:3:7655569:7656111:1 gene:ONIVA03G10390 transcript:ONIVA03G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPDHSFPLDATAFAQVAPNSWALDLSTLSLAAAPRSAVVFLLPAAAAALPPGKAVAVYFQPAANRPFAFLGALGPGRPSASLPLPEAGDEPEPPLGPAKLGVAVEDAAALPPPPDGQRAERVALRVGENLFNFMQSFCGADGGKLVVPTDILDRWFRKFQERAKKDPSYLKTFDF >ONIVA03G10380.1 pep chromosome:AWHD00000000:3:7618267:7622138:-1 gene:ONIVA03G10380 transcript:ONIVA03G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKSHHQMLASSSTSSSSPSSQQQQPPPPASNSSSLAAAAADQPSPAKRKRRPPGTPDPDAEVVALSPRTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRPAAATAAEDGGAAGGGGGAGGGAGGGGARKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNSGRVRGEVVPVATTLPVIPPPGVHHGAVGRRVLVQLHDHHDHRLLPRATRQQAITMNLQLSIGPAAVVAAASGGGGACAAAAGGEEEQQREEVRRALEEKTAADAARERAREEAAAAERALEDARRARHRARGELEKALALRDHAARLIAQVTCHACRQRSLAVMSMAAIDGHGASAVAREHLRGGGVGAGI >ONIVA03G10370.1 pep chromosome:AWHD00000000:3:7610129:7612379:1 gene:ONIVA03G10370 transcript:ONIVA03G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGAGAKGAGFGMPRVGMGTAVQGPRPEPIRRAMEYVDLYLVHWPVSMKPGRYKAPFTADDFVPFDMRAVWEAMEECHRLGLAKAIGVCNFSCKKLDTLLSFATIPPAVNQVEVNPVWQQRKLRELCREKGVQICAYSPLGASGTHWGSDSVMASAVLRDIAQSKGKTVAQARHVYICTRVCLRWVYEQGDCLIVKSFDEARMRENLDIVGWELTEEERQRIAGIPQRKINRALRFVSDHGPYKSLDDLWDGEI >ONIVA03G10360.1 pep chromosome:AWHD00000000:3:7604753:7609190:1 gene:ONIVA03G10360 transcript:ONIVA03G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJF5] MASPAAAAPAALLVLLAAALAATGVVADGSDHRYKANELVPLYANKVGPFHNPSETYRYFDLPFCSPEKVKEKSEALGEVLNGDRLVDAPYKLDFRVDYDSKPVCSKKLTKEEVAKFRNAVAKDYYFQMYYDDLPLWGFIGKVEKGGKTDPKEWKYYLYRHIIFDILYNNDRVIEINVHTDQSALVDLTEDKEADVQFLYSVKWKETPTPFEKRMEKYSSSSNLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSFFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKTEFQAPCRTTKYPREIPPLPWYRQTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMIGFRAALFFVRHIYKSIKCE >ONIVA03G10350.1 pep chromosome:AWHD00000000:3:7592556:7602395:1 gene:ONIVA03G10350 transcript:ONIVA03G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase promoting complex 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) TAIR;Acc:AT1G78770] MPLSAASINRASYQVLLLLAAAAVSTTGGDGNTAPGNATATATTGGDDTEMYICYLCTGRNPILIRRCPIYWNYCHLNCFDDAPSTAAAADDVAAVPVASPAAPARRVGGVPRETLEDEECYVMKLYENGSYVIVTTLGCSQTASCLLSCGGGDLAADGEEALAAAHPAGAVGVSPPWRMWDTKFGFPPAAPPTTAAAAQKNPKRRREAEAEAEGEVAAEMREEAVERLRGVVRDSVGKHLYASAIFLADKVAAATGDPADVYMLAQALFLGRHFRRALHILNSSKLLRDLRFRFLAAKCLEELKEWHQCLIILGDAKIDEHGNVVDQDDGSDIYFDKDAEDHEINIKAAICFLRGKAYEALDNCDLARQWYKAAVKADPLCYEALECLVDNYMLTCEEESELLSSLKFGKEDGWLSAFYSCLIRKHEKEYIVEAKFKEFERESCSISSLSSGLTLKNNIDVLACKAEYYHQSGEYQKCFELTSALLERDPFHLKCTLVHLAAAMELGHSNDLYILACNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYLRMHNFKLAEQFFTQAKSICPSDPLIYNEMGVVAYNMKEYQKAVQWFELTLEHTSSSLNEMWEPTLVNLGHALRKLKKYQKAISYYEKALTFQTKSLSAFAGLAYTYHLMDKFEAAITYYHKALWLKPDDQFSTDMLTLALESSCQITARTR >ONIVA03G10350.2 pep chromosome:AWHD00000000:3:7592556:7602303:1 gene:ONIVA03G10350 transcript:ONIVA03G10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase promoting complex 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) TAIR;Acc:AT1G78770] MPLSAASINRASYQVLLLLAAAAVSTTGGDGNTAPGNATATATTGGDDTEMYICYLCTGRNPILIRRCPIYWNYCHLNCFDDAPSTAAAADDVAAVPVASPAAPARRVGGVPRETLEDEECYVMKLYENGSYVIVTTLGCSQTASCLLSCGGGDLAADGEEALAAAHPAGAVGVSPPWRMWDTKFGFPPAAPPTTAAAAQKNPKRRREAEAEAEGEVAAEMREEAVERLRGVVRDSVGKHLYASAIFLADKVAAATGDPADVYMLAQALFLGRHFRRALHILNSSKLLRDLRFRFLAAKCLEELKEWHQCLIILGDAKIDEHGNVVDQDDGSDIYFDKDAEDHEINIKAAICFLRGKAYEALDNCDLARQWYKAAVKADPLCYEALECLVDNYMLTCEEESELLSSLKFGKEDGWLSAFYSCLIRKHEKEYIVEAKFKEFERESCSISSLSSGLTLKNNIDVLACKAEYYHQSGEYQKCFELTSALLERDPFHLKCTLVHLAAAMELGHSNDLYILACNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYLRMHNFKLAEQFFTQAKSICPSDPLIYNEMGVVAYNMKEYQKAVQWFELTLEHTSSSLNEIVYA >ONIVA03G10340.1 pep chromosome:AWHD00000000:3:7590525:7591154:1 gene:ONIVA03G10340 transcript:ONIVA03G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASIFSFYSFAVAAVLLVGTAAARPATSAVVARGTNATAAAANATVMARGGRSLPLSTATTEEEEQHWVVVDVVSCQASAGCYLVCSYGDALPSSSSSGAASGEITPAAIGSPLPRGLTEFERCGDQR >ONIVA03G10330.1 pep chromosome:AWHD00000000:3:7577423:7580436:-1 gene:ONIVA03G10330 transcript:ONIVA03G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc transporter 11 precursor [Source:Projected from Arabidopsis thaliana (AT1G55910) TAIR;Acc:AT1G55910] MAGGRGARASLHLHLAWLCAFATTAWAHGGGGGGGDSDADADGGGEGKPDLRARGLVAAKLWCLAVVFAGTLAGGVSPYFMRWNDAFLALGTQFAGGVFLGTAMMHFLADANETFADLLPGTAYPFAFMLACAGYVLTMLADCAISFVVARGGGRTEPAAAAGAGLEEGKLSSTNGNASDPPAADAAAQDHSVASMLRNASTLGDSVLLIAALCFHSRAETKADAWKALWTISLHKIFAAIAMGIALLRMLPDRPFLSCFGYAFAFAVSSPVGVGIGIVIDATTQGRVADWIFAVSMGLATGIFIYVSINHLLSKGYTPLRPVAADTPAGRLLAVVLGVAVIAVVMIWDT >ONIVA03G10320.1 pep chromosome:AWHD00000000:3:7575430:7576193:1 gene:ONIVA03G10320 transcript:ONIVA03G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAPPPPSSSRRTKPQPPNLGIIAAGEEVLAARPWEGEGEEEEKEKKSPPPVAVAAVHRYRSHRHHLRTATGAAACWEGGGEGADGGGGSSGRDLRMLHGEGGVMEDEVAPGPTEDGEAHEHLEGRHERMLN >ONIVA03G10310.1 pep chromosome:AWHD00000000:3:7568293:7571710:1 gene:ONIVA03G10310 transcript:ONIVA03G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSAADSAASPATKITIPYYAAAGAGEAGPPFGKGRYKVWALAAIALLALWSMSAASASLRWSSGRFLLAATASEDLDAPLLDDLDSLEMEERKKLVGRMWDMYTRTGDEVRLPRFWQEAFEAAYEELAGDDMQVRDAAISEIARMSAHRLELEQPVNEEEKTRSNEHGSPKLKK >ONIVA03G10300.1 pep chromosome:AWHD00000000:3:7563619:7567280:1 gene:ONIVA03G10300 transcript:ONIVA03G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G11750) TAIR;Acc:AT1G11750] MAPMAISTPLALRASPTRLLSRRRSGAKSGVALPGPQFVPPGISSKLDERIHCHSSLRKNTIVASENENPPLMPAIMTPAGALDLATVLLGNRIIFIGQYINSQVAQRVISQLVTLAAVDEEADILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQTLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >ONIVA03G10300.2 pep chromosome:AWHD00000000:3:7563619:7567280:1 gene:ONIVA03G10300 transcript:ONIVA03G10300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G11750) TAIR;Acc:AT1G11750] MAPMAISTPLALRASPTRLLSRRRSGAKSGVALPVGREDTLSFFSEIYLNCPGGSLYSILAIYDCMSWIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQTLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >ONIVA03G10290.1 pep chromosome:AWHD00000000:3:7556116:7556592:-1 gene:ONIVA03G10290 transcript:ONIVA03G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVILDGSTVRGFVADDDAFARSVDARFEALDANGDGVLSRAELRRALESFRLLDGAGFGSAEPAPLPAEVSALYDSVFEQFDADHSGAVDRAEFRDQMRRIMLAVADGLGSQPGAGFGSADRGPFLLEAAEHEAASIAAKIDAQRAADAAAAADAK >ONIVA03G10280.1 pep chromosome:AWHD00000000:3:7553772:7554224:1 gene:ONIVA03G10280 transcript:ONIVA03G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSFFFLLPTLSISLFLSPSAWAHGSSICPARTAAHPSTSSLLYLVPDGAADSPPATKSSSSTYGGGGGGGSGGGVLRRRERRRDEAAPSGEVELAEDTRAGRSSSAMTTASLAHPFTELGGSKLEIYSMRSGLASIRLWSARPASTS >ONIVA03G10270.1 pep chromosome:AWHD00000000:3:7546344:7552590:1 gene:ONIVA03G10270 transcript:ONIVA03G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLLQEMENHPVQCMAKTNYDFLARNNYPMKQLVQRNSDGDSSPTKSGQSHQEASAVSDSSLNGQHTSPQSVFVPSDINNNDSCGERDHGTKSVLSLGNTEAAFPPSKFDYNQPFACVSYPYGTDPYYGGVLTGYTSHAFVHPQITGAANSRMPLPVDPSVEEPIFVNAKQYNAILRRRQTRAKLEAQNKAVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLEQQQQQQQQKPPPASAQSPTGRARMSGGAVVLGKNLCPENSTSCSPSTPTGSEISSISFGGGMLAHQEHISFASADRHPTMNQNHRVPVMR >ONIVA03G10270.2 pep chromosome:AWHD00000000:3:7546344:7552590:1 gene:ONIVA03G10270 transcript:ONIVA03G10270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLLQEMENHPVQCMAKTNYDFLARNNYPMKQLVQRNSDGDSSPTKSGQSHQEASAVSDSSLNGQHTSPQSVFVPSDINNNDSCGERDHGTKSVLSLGNTEAAFPPSKFDYNQPFACVSYPYGTDPYYGGVLTGYTSHAFVHPQITGAANSRMPLPVDPSVEEPIFVNAKQYNAILRRRQTRAKLEAQNKAVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLEQQQQQQQQKPPPASAQSPTGRARMSGGAVVLGKNLCPENSTSCSPSTPTGSEISSISFGGGMLAHQEHISFASADRHPTMNQNHRVPVMR >ONIVA03G10270.3 pep chromosome:AWHD00000000:3:7546344:7552590:1 gene:ONIVA03G10270 transcript:ONIVA03G10270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLLQEMENHPVQCMAKTNYDFLARNNYPMKQLVQRNSDGDSSPTKSGQSHQEASAVSDSSLNGQHTSPQSVFVPSDINNNDSCGERDHGTKSVLSLGNTEAAFPPSKFDYNQPFACVSYPYGTDPYYGGVLTGYTSHAFVHPQITGAANSRMPLPVDPSVEEPIFVNAKQYNAILRRRQTRAKLEAQNKAVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLEQQQQQQQQKPPPASAQSPTGRARMSGGAVVLGKNLCPENSTSCSPSTPTGSEISSISFGGGMLAHQEHISFASADRHPTMNQNHRVPVMR >ONIVA03G10260.1 pep chromosome:AWHD00000000:3:7530017:7536436:1 gene:ONIVA03G10260 transcript:ONIVA03G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARVDRSEMRQNTSHQGSNDPRVQKERQAEWARPGRVFNRNTNKGGYFRNSLPGVTREFRVVKDNRQKVVGETIPGSFHNGVPSNEQIASNIGDKSSTEKLPAQRHPVTQNSNGRGVAQADNGRKEVKPSNDQTVGQSDGMITTMVGSHAVLAKGNQNRVLAVPSGTNNFTGELCCSSSDPIHVPSPGSKSAGTFGAIKREVGVVGARQRPSDNTATNTSTSNSSVKVPTSTATKENASNGQQSRSSGVSSKNSRPSSSTHLSSRPSSSSQYHSKPNTPVGHPKVNPQLEWKPKSVSPSPANHADNVVHSSAASSVDGNQAHMAGLSKKLSQTNVSEDEHVIIPAHLRVPDSERTHLIFGNFECDVESKAFTLAPDASTNREFNAHSSSRSTDDVPPTDQTDLVGSCVMLPKSDSFVSVSEYQHPLTEDMEVLSPGVFGEHRTNDMISTQVSHSSPQPQHQDNSAVHDFKEYEPDSRYEMPFITKAVDSEATQNIPYPSEVMGLHAANFNQLSVTAATQHPVPQMYQHMHVSQYPNCLPYRHVFSPYYVPPVAVQNYSSNPAFTQLPSASSYLVMPNGTSQLAPNGMKYGPPHQCKQMFPGGPAGYGGFTNQNGYPVNTGVIGGTGSVEDANMSKYKDNNLYTLNPQAETADVWIQAPTDIPVMPSTPFYNMMGQPMSPHTAYLPPHNGHAPFSPVQHPAHLQFPAMPHGLQPTTMTMVQNPQPMVHQPAGPPLAGNIGIDMAAMASGAQVGAFQQNQLSHLGWAPPSFL >ONIVA03G10250.1 pep chromosome:AWHD00000000:3:7522709:7526053:1 gene:ONIVA03G10250 transcript:ONIVA03G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVIVGTLRGFDQFMNLVVDNTVEVNGNDKTDIGMVVVRGNSVVMIEALEPVPKSQ >ONIVA03G10240.1 pep chromosome:AWHD00000000:3:7519008:7522396:-1 gene:ONIVA03G10240 transcript:ONIVA03G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72640) TAIR;Acc:AT1G72640] MPPPTALLPGRSAAPRPPPPPPPPPPQLASAARLSRRPLFNAATAVVVRSRSKDEASFTDRILDYIEGGPKLRRWYGAPDLLPKDGSAEDEEDEPSDTVSYLLSNLGREIDIEEPRDAVLVTNGDSEIGQMVILSLILKRARIKALVKDRRSTEEAFGTYVECMVGNMEDKSFTKKALRGVRAIICPADLRFNHQPYEILIRMQLSVYRGSGGLQAIMNSKLRKLAERDEEVVLASGIPSTIIRTGSMQSTPGGDRGFDFTEGAAAKGRMSKEDAATICVEALDSIPQTTLIFEDGNPSLLHAKRSGLLTRD >ONIVA03G10230.1 pep chromosome:AWHD00000000:3:7495317:7496035:-1 gene:ONIVA03G10230 transcript:ONIVA03G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPTCAPLPCHPTTSPPQLEKGGEDRHPLEKVKWRSPMLRYRAVARFAMLELMSREERGEPGSVAVPWLVLNSHERGEATTMAVELPRHSKSVPPSLRSSPSKERVEGHRSRATELIIVPRLRVAELNVWLCRRLGLLDHRRISVWVGLERRPGVERCRDGEKEEAGGGARVHCRGGESGERPEAAVSPVNSSRWVGGGSGDGCGPSGGGWG >ONIVA03G10220.1 pep chromosome:AWHD00000000:3:7487001:7493088:-1 gene:ONIVA03G10220 transcript:ONIVA03G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKEIEEEKRFRVSEDLLISSLPLSLSPSPSILLFLLFPCGISSSSFHFSSLSWSHDLIRSADATASLRIAERQKKNKQRARTSISRSKEAMDGDTLSAAAAEDGKVLHAFQTSFVQVQSLLDQNRVLINEINQNHESKVPGDLSRNVGLIRELNNNIRRVVDLYADLSSLFAASSPGPAASEGASVGTAVRHAGHKRRMETDEEES >ONIVA03G10220.2 pep chromosome:AWHD00000000:3:7491105:7493088:-1 gene:ONIVA03G10220 transcript:ONIVA03G10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKEIEEEKRFRVSEDLLISSLPLSLSPSPSILLFLLFPCGISSSSFHFSSLSWSHDLIRSADATASLRIAERQKKNKQRARTSISRSKEAMDGDTLSAAAAEDGKVLHAFQTSFVQVQSLLDQNRVLINEINQNHESKVPGDLSRNVGLIRELNNNIRRVVDLYADLSSLFAASSPGPAASEGASVGTAVRHAGHKRVRSTHLD >ONIVA03G10210.1 pep chromosome:AWHD00000000:3:7465340:7471105:1 gene:ONIVA03G10210 transcript:ONIVA03G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSATSPPNRPHRKRRAGAGGGGADDGSTRRRGRSAVLLGPTHFPAVRSFGLRLALVVAPAPRHRRKQRPLHYACRPTSLPCRRRRRLRSIISLLHLPILRPFYLRYILAAAASGPCRCRRKESLVGMGNYISRVLRKSSSDRGKAPPADDTAKQDLCEVFTPLTNEEESEVNNILYGSDQSKKIIVMHGPSNIDITKEKIWCLRTCNWLNDEVINLYLELLKERAQREPKRFLKCHFFNTFFYKKLAYGKTGYDYQSVRRWTTLNRLGYGLVECEKIFIPIHRNVHWCLAIINMKDKTFQYLDSFGGMDHAVLRILARYIRDELNDKSNIQVDTSSWLKISSDSCPLQQNGWDCGMFMLKFIDFHSRGIGLCFTQEHMDYFRKRTAKEILRLRAD >ONIVA03G10210.2 pep chromosome:AWHD00000000:3:7465340:7471052:1 gene:ONIVA03G10210 transcript:ONIVA03G10210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSATSPPNRPHRKRRAGAGGGGADDGSTRRRGRSAVLLGPTHFPAVRSFGLRLALVVAPAPRHRRKQRPLHYACRPTSLPCRRRRRLRSIISLLHLPILRPFYLRYILAAAASGPCRCRRKESLVGMGNYISRVLRKSSSDRGKAPPADDTAKQDLCEVFTPLTNEEESEVNNILYGSDQSKKIIVMHGPSNIDITKEKIWCLRTCNWLNDEVINLYLELLKERAQREPKRFLKCHFFNTFFYKKLAYGKTGYDYQSVRRWTTLNRLGYGLVECEKIFIPIHRNVHWCLAIINMKDKTFQYLDSFGGMDHAVLRILARYIRDELNDKSNIQVDTSSWLKISSDSCPLQQNGWDCGMFMLKFIDFHSRGIGLCFTQEHMDYFRKRTAKEILRLRAD >ONIVA03G10190.1 pep chromosome:AWHD00000000:3:7456477:7457127:-1 gene:ONIVA03G10190 transcript:ONIVA03G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAATTSSNADAAGAAARRRRSPEPRTVVVSPIRTKALRCNNNSSSGIVVVQQAGACSHGGRPPESGAPGDAAADKVATPQAVQQQQQQQELAGAEDDDDLPVPAVCIDLDLDDIELGGLDGFLISPWRGGGHDDGNAAAGAVPNLPMPIVYELGGAGGGGEAGAVDLEALLGQLEAEEDDDGDHHHHQQQQEEEVPSSLGDEDDDYLELAPWLL >ONIVA03G10180.1 pep chromosome:AWHD00000000:3:7440977:7446402:1 gene:ONIVA03G10180 transcript:ONIVA03G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTRPQAQGLDLPLARALVLLDAPPHPRHRRCRASSRPVPPHAVTSPVVVARGGPGVAFSFDGLCDLILLMAVVVDISNGGATVAVLYRREREFAIARTGERSWRLINNKLDRIVDMARHGDGKLYPVHLSGKVARWKFNCNVCRSPKILESVLVIDSPYHYVVKADGNGNAIIMSREYEHDHRDRASECCYLAGAPRGTLYLLKRVYKHKQGGGGGGTSSPGVIRMTTIVGGVVIDPSSHHATIRSSPIPHLSITPPPATGTPRTRRPPIPHANKIMEVMDPEKVSGARYAVASTRRRRRLTSPEQLNSDGPIALGAASRPSAVVVTRDPSSLGTPPDGFRCAIADDPSPSPAITTPAPCHPLVLTLTADDQSEQLLPIRKLLRCAYGRGGCEPNQHINLGLDTFSRSEINQRKQWQMALGVQWQVCTNKIMDCEGLTLCYDYANSCHMTHLQTHLNGGEQWHFPYRFHDNRAEFWHHVTMNSLQWLLWLLLRLVPFLCPDAVRTKNFMEGTLLQYGGHEELQMFHEMELLTPYC >ONIVA03G10170.1 pep chromosome:AWHD00000000:3:7434035:7438634:-1 gene:ONIVA03G10170 transcript:ONIVA03G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMDTSRKAFCVLSYETVKCFSFQQIKRDACLQGLPLKKHIDATLGSGNLREAVRLPIGEDLNEWLAVNTVDFFNQVNFLYGTLMEFCTSSTCPIMSAGPKYEYRWADGVKVKKPVQVSAPKYVEYLMDWVESQLDDEAIFPQKIGAPFPQNFREVIRTIFKRLFRVYSHMYHSHFQMILKLKEEAHLSTCFKHFVLFTWEFHLIDRAELAPLNELIEPIVFRYF >ONIVA03G10160.1 pep chromosome:AWHD00000000:3:7424895:7430794:-1 gene:ONIVA03G10160 transcript:ONIVA03G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRSRSESDADHRSGACAGGEAKRPRPEQKHLYLALDDWQKGYNLYKVDVHAIGSADTEAESQLPEPPVLQLEAAKGARDVLFAAVGTDILALWQPRYETTRTAVYDTATGRLDAAGPRHPRALQPMRFVVASSAGARGLYALHGGGMHFLERRGGGEATTEPRWTWSTACSSLRLPFDGMQPGSPRRTMQITSYAMHPDGRTVFVSATSGKHHGTFSSSLDDDNEWTRRGDWLLPFHGQGHYDAKLRAWVGLHSPGHVCTCDVPSTTFSSVAAATSQPPAWQLVNAEHLFQEDHPERGGTSLVSTGDAEFCIVEFRLKHDRHGQLRASSRCRRASYRVRKHDSVFAQAFWM >ONIVA03G10150.1 pep chromosome:AWHD00000000:3:7416288:7417016:1 gene:ONIVA03G10150 transcript:ONIVA03G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGAAGGGGVGGGGGPPGQEAGKKVGWSKEEDKLLRELVRKQGGKDWGVIATAFPGRTDKSCRLRWRQHLDPSVDVALPFSAGEDRKIVELHRVHGNRWATIAAFLPGRSDNAIKNRWNTHLRKRHAQDVQQRPSGGLALGLGGGGGAGQAAGGKLTPVCLQLFPPTQAPPIGENLPGPARSAVPELLKLFPLAPGDLKDNASAAAAMDVGNEAVRALPELRLSPAAVVFDAMPLQAIRM >ONIVA03G10140.1 pep chromosome:AWHD00000000:3:7412982:7416146:1 gene:ONIVA03G10140 transcript:ONIVA03G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJC8] MVLSKAVSESDMSVHSTFASRYVRASLPRYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGEDETAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAEGKPFDKPNIITGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPEKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLDKKNKETGWETPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKEGLVKTGRFDIVSKDEGVPLVAFSLKDRSRHDEFEISDMLRRFGWIVPAYTMPPDAQHVTVLRVVIREEFSRTLAERLVLDIEKVMYQLDALPSRLMPPVPPAPLLVVAKKSELETQRSVTEAWKKFVLAKRTNGVC >ONIVA03G10130.1 pep chromosome:AWHD00000000:3:7386438:7397666:-1 gene:ONIVA03G10130 transcript:ONIVA03G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQHDKPLLDEENSSQVTLEYTGDGSVCIRGHPALRKHTGNWKGSSLAIVFSFCSYLAFTSIVKNLVSYLTKVLHETNVAAARDVATWSGTSYLAPLVGAFLADSYLGKYCTILIFCTIFIIGLMMLLLSAAVPLISTGPHSWIIWTDPVSSQNIIFFVGFYMVALGYGAQCPCISSFGADQFDDTDENERTKKSSFFNWTYFVANAGSLISGTVIVWKPGGSPLARICQVVVAAIHKRDKDLPCDSSVLYEFLGQSSAIEGSRKLEHTTGLKFFDRAAMVTPSDFESDGLLNTWKICTVTQVEELKILIRMFPVWATMILFAAVLDNMFSTFIEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGRANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHRKVAVPMSILWQGPQYFLIGVGEVFSNIGLTEFFYQESPDAMRSLCLAFSLANVSAGSYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFFWMMAGLCFLNMLAFVFCAMRYKCAFMGSSLEAEQQSLIVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYKTIAVFSTIYFLGMAALTFSALVPSLQPPQCFGSFCPQPTVPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTIFIALAIGSFFIGSQRYRYQIPGGSPLIRVCQVVIAAIHKRNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVILSNERGGSHDPWRLCTITQVEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIESFKIPPATLSSLDVISIVVWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATTAVATAALVEIKRLEIARSEDLIHSKVPVPMSILWQAPQYLLVGIGEVFTAIGQAEFFYNQSPDSMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRDDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFVYYAQQYKCKKAAAI >ONIVA03G10130.2 pep chromosome:AWHD00000000:3:7386438:7396098:-1 gene:ONIVA03G10130 transcript:ONIVA03G10130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQHDKPLLDEENSSQVTLEYTGDGSVCIRGHPALRKHTGNWKGSSLAIVFSFCSYLAFTSIVKNLVSYLTKVLHETNVAAARDVATWSGTSYLAPLVGAFLADSYLGKYCTILIFCTIFIIGLMMLLLSAAVPLISTGPHSWIIWTDPVSSQNIIFFVGFYMVALGYGAQCPCISSFGADQFDDTDENERTKKSSFFNWTYFVANAGSLISGTVIVWVQDHKGWIWGFTISALFVYLGFGTFIFGSSMYRFQKPGGSPLARICQVVVAAIHKRDKDLPCDSSVLYEFLGQSSAIEGSRKLEHTTGLKFFDRAAMVTPSDFESDGLLNTWKICTVTQVEELKILIRMFPVWATMILFAAVLDNMFSTFIEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGRANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHRKVAVPMSILWQGPQYFLIGVGEVFSNIGLTEFFYQESPDAMRSLCLAFSLANVSAGSYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFFWMMAGLCFLNMLAFVFCAMRYKCAFMGSSLEAEQQSLIVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYKTIAVFSTIYFLGMAALTFSALVPSLQPPQCFGSFCPQPTVPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTIFIALAIGSFFIGSQRYRYQIPGGSPLIRVCQVVIAAIHKRNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVILSNERGGSHDPWRLCTITQVEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIESFKIPPATLSSLDVISIVVWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATTAVATAALVEIKRLEIARSEDLIHSKVPVPMSILWQAPQYLLVGIGEVFTAIGQAEFFYNQSPDSMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRDDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFVYYAQQYKCKKAAAI >ONIVA03G10120.1 pep chromosome:AWHD00000000:3:7378571:7382704:-1 gene:ONIVA03G10120 transcript:ONIVA03G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAEDRRLQVREEGGDQEPLLLLPQDANLYTGDGSVDIKGRPALKHATGNWRACFFILGDECCERLAYYGIAKNLVTYLKTNLHQGNLEAARNVTTWQGTCYLTPLIGALLADSYWGKYWTIAAFSAIYFIGLVALTLSASVPALQPPKCSGSICPEASLLQYGVFFSGLYMIALGTGGIKPCVSSFGADQFDDSDPADRVKKGSFFNWFYFCINIGAFVSGTVIVWIQDNSGWGIGFAIPTIFMALAIASFFVASNMYRFQKPGGSPLTRVCQVVVAAFRKWHTEVPHDTSLLYEVDGQTSAIEGSQKLEHTSELEFFDKAAIISSDDAKSDSFTNPWRLCTVTQVEELKILIRMFPIWATTIIFNAVYAQNSSMFIEQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPFYDRVLVPIARKFTGREKGFSELQRIGIGLALSILAMLSAALVELRRLEIARSEGLIHEDVAVPMSILWQIPQYFLVGAAEVFAAIGQVEFFYNEAPDAMRSLCSAFALVTVSLGSYLSSIILTLVSYFTTQGGDPGWIPDNLNEGHLDRFFSLIAGINFVNLLVFTGCAMRYRYKKA >ONIVA03G10110.1 pep chromosome:AWHD00000000:3:7375719:7377904:1 gene:ONIVA03G10110 transcript:ONIVA03G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37170) TAIR;Acc:AT4G37170] MASRSRAVSSGFTKAPTFSVTTASQLHDAIDRLLPRLRGDPSLAPAARALAAAATASLPPSTVLSNRLLHLLSSHPATLPDALALFSSIAAPDICSHNTLISALSRSPRHLPSARELFDRMPQRDHFAWSALVSGYARHGQPEAALALYRRMQEEPGNDGADNEFTASSALAAAAAARCGRAGRELHCHVVRRGIDAAGGDAVLWSALADMYAKCGRVDDARRVFDRMPVRDAVSWTAMVERYFDGGRGGEGFRLFLHMLRTRGVRPNEFTYAGVLRACAEFAVESFGRQVHGRMAKSGTGDSCFAESALLRMYSKCGDMGSAVRVFEAMAKPDLVSWTAVISGYAQNGQPEEALRYFDMFLRSGIKPDHVTFVGVLSACAHAGLVDKGLEIFHSIKEQYCIEHTADHYACVIDLLSRSGQFERAEKMIGNMAVKPNKFLWASLLGGCRIHKNVGLARRAAEALFEIEPENPATYVTLANIYASVGLFDEVEDVRRIMESKGITKMPASSWIEVGRRVHVFLVGDKSHPKADEIYALLKKLYVKMVEEGYVADIEFVLHDVEDEQKEQDIGYHSERLAVAFGIIATPEGSPIKVFKNLRICGDCHAAIKLISQIVQRDIIVRDSNRFHHFKDGICSCRDYCLKEQDIGYHSERLAVAFGIIASPEGSPIKVFKNLRICGDCHTAIKLISQIVQRDIIVRDSNRFHHFKDGICSSRDYW >ONIVA03G10100.1 pep chromosome:AWHD00000000:3:7371654:7375356:1 gene:ONIVA03G10100 transcript:ONIVA03G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRIVLRDLDSIDSPAASMASSKKLVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDAADKFRVESGTQPDIDLATITDRMEVKRAVQSGNVQEAIEKINDLNPTILDTNPQLYFHLQQQKLIELIRAGKINEALEFAQEELAPRGEENQVFLEEIEKTVALLVFEDIKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWTQNQLDEKAAYPRINNFSTAALEDPAI >ONIVA03G10070.1 pep chromosome:AWHD00000000:3:7357140:7361007:-1 gene:ONIVA03G10070 transcript:ONIVA03G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPSQRPPATSPPAPAAAAASPQPLPRAFLAAAASSPRRAAASPAPAPPPPPFTGRPLNPNPSHHATAAHGILYPVATSSAAAAAAAATANHRRAPAVAVGYPRAHAVAVPIVQPQHPLAPTHGRSFPAAPRAVVAGVSSRPEQPPRGVPIAQQAQPKVIPLPAVTPSPQEINNSKDSERSKEDSTTVVINDRKVNLMDSESGSLYALCRSWVRNGVPHESQPSFGTGAPILPRPLPASVVDSRISEKDNDAEKENSEEEKNETGEYTASDLLKQHVKRAKKIRAGLQKERLRRIERYKQRLALLLPPPSELGKHDGHS >ONIVA03G10040.1 pep chromosome:AWHD00000000:3:7340456:7347501:1 gene:ONIVA03G10040 transcript:ONIVA03G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTIPLEIVTTSKQEFCQEAMAANGSNVVSRGAVRFTEEQEALVLKSWAIMKNDSAHIGHRFFLKIFEVAPSARQLFSFLRNSDVPLEKNPKLKIHAMAVFVMTCEAAAQLRKTGRVTVRDTTIKRLGSTHFKNGVSDVHFEVAKFALLETIKEAVPASMWSPAMKGAWGEAYDHLVAAIKQGMKPAAAKAMAFASASNGAVRFTEEQEALVLKSWAIMKDDSANIGHRFFLKIFEVAPSARHLFSFLRNSDVPLEKNPNLKKHAMAVFVMTCEAAAQLRKTGRVTVARFALLETIKDGIPASMWSPEMKNAWGEAYEHLVAAIKEGMKPVALL >ONIVA03G10040.2 pep chromosome:AWHD00000000:3:7338797:7340542:1 gene:ONIVA03G10040 transcript:ONIVA03G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEDNNAVAVSFSEEQEALVLKSWAILKKDSANIALRFFLKIFEVAPSASQMFSFLRNSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRDTTLKRLGATHLKYGVGDAHFEVVKFALLDTIKEEVPADMWSPAMKSAWSEAYDHLVAAIKQEMKPAE >ONIVA03G10030.1 pep chromosome:AWHD00000000:3:7333667:7338422:1 gene:ONIVA03G10030 transcript:ONIVA03G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMDGTDLSSPRCNVQHLQNAEELKDQNSTNKRLPRTTELPCSLIQEVQHLEKRLNDQFAMRRALEKALGYKPCAIHSSNESCIPKPTEELIKEIAVLELEVICLEQHLLALYRKAFDQQICSVSSSCDMEINKQPARSFSGILTGSSELDFSTPRKHQLLQSSGMVMARKSTPTTLTSETSTSHYNDKTGIGRSHSSLLQRSICSARVSPSANNLARALKPCHTLPLSFVEEGKCMDPGIVSLADILGTRIADHVPQTPNKISEDMIKCIASIYIRIRDFNAVQHPFFPSPCSSFSSASGLSSKYTGDIWSPRCRKEGYIEAWQDDALGTGESRYFSQQYDSVIEVSALCKGAQRSADVKDMLHKYKSLVQLLESADLNGMKNEEKIAFWINVHNAMMMHAHIEYGIPQSNSKRILLTKLSYLISGQRVNPELIEYHILCCRWLRLLLYPKWKSKEKEDLQGFAVDRPEPLVHFALSSGSHSDPVVRLYRPERLLQQLEVARDEFVRANVGVRGGRRGRGRRVLLLLPKLLEPYSRDAGLGAHDLLRAVESCLPEPLRPAAQQAARSRGGGGGGVEWRPHNPAFRYLLARELVGPPAPTAHLSST >ONIVA03G10030.2 pep chromosome:AWHD00000000:3:7333667:7338422:1 gene:ONIVA03G10030 transcript:ONIVA03G10030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGIVSLADILGTRIADHVPQTPNKISEDMIKCIASIYIRIRDFNAVQHPFFPSPCSSFSSASGLSSKYTGDIWSPRCRKEGYIEAWQDDALGTGESRYFSQQYDSVIEVSALCKGAQRSADVKDMLHKYKSLVQLLESADLNGMKNEEKIAFWINVHNAMMMHAHIEYGIPQSNSKRILLTKLSYLISGQRVNPELIEYHILCCRWLRLLLYPKWKSKEKEDLQGFAVDRPEPLVHFALSSGSHSDPVVRLYRPERLLQQLEVARDEFVRANVGVRGGRRGRGRRVLLLLPKLLEPYSRDAGLGAHDLLRAVESCLPEPLRPAAQQAARSRGGGGGGVEWRPHNPAFRYLLARELVGPPAPTAHLSST >ONIVA03G10030.3 pep chromosome:AWHD00000000:3:7333667:7336256:1 gene:ONIVA03G10030 transcript:ONIVA03G10030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMDGTDLSSPRCNVQHLQNAEELKDQNSTNKRLPRTTELPCSLIQEVQHLEKRLNDQFAMRRALEKALGYKPCAIHSSNESCIPKVKFSSTFFLNCIANVFEGCVFPLLTESFCVFLLMLSQPTEELIKEIAVLELEVICLEQHLLALYRKAFDQQICSVSSSCDMEINKQPARSFSGILTGSSELDFSTPRKHQLLQSSGMVMARKSTPTTLTSETSTSHYNDKTGIGRSHSSLLQRSICSARVSPSANNLARALKPCHTLPLSFVEVQDDV >ONIVA03G10020.1 pep chromosome:AWHD00000000:3:7330580:7338706:-1 gene:ONIVA03G10020 transcript:ONIVA03G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAIVPWWQLPFHSQHVFNISRPLGPLAKSRHLNHRIILLTEHLPLTRIFFHLLPSIRAPSLPPPIR >ONIVA03G10010.1 pep chromosome:AWHD00000000:3:7328854:7330553:-1 gene:ONIVA03G10010 transcript:ONIVA03G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLAHAPPPPVSNLARAVGAPPEKRIEVVWERENTLLSFGRAVAHAAVAFVNLRAGGRMRPSGRVRGTWWLLGKEPRPVAEGVCFDDLMFVPDLDDEGAGNEFNSQGCDDEFVPETQQDVPIEEIGIGTMLHDWLRAISEYEKPSIDMATIEKAWADEKKAIADADYEGNPSKVNTSSEKKACVDEGKSISDAETDDEGVGVPEKKACIAEGKSISNAETDDEGVGVPRNLHMKDLHDIMFPTYTQVCKRRRI >ONIVA03G10000.1 pep chromosome:AWHD00000000:3:7320602:7326594:1 gene:ONIVA03G10000 transcript:ONIVA03G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAEEVSPTASSSELEQEDDDDDCYLSDQEDDALEESVLQVLEDERDEDCHWSSTSVITKESLLAAQREDLRKVMELLGLREHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGIPLQPANNAGSPSSTEVTCNVCYDDVPLSDASKMDCGHNYCNECWTGYFIVKINEGQSRRIKCMAPKCNTICDEAIVRKLVNAKRPDIAERFERFLLESYIEDNDTVKWCPSTPHCGNAIRVKGDIHCEVECTCGRQFCFNCSSEAHSPCSCVMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVASGYAVVLLAEITHGQSKKTEQARRNLYRYMHYHNRYKAHTDSLKQEAKLKGDIQGKISISENKDSKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTSDERELKQNLFEDQQQQLEFNVERLSGFLERDFQNFSDDEVMDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELNVCWDSSEQGLQPIKYSQDEHKSQPGLSGSSIFGKRQLGSSSNNNGRPHKRERNDAHGGAALFDLNVPAEVADKI >ONIVA03G09990.1 pep chromosome:AWHD00000000:3:7312601:7316541:-1 gene:ONIVA03G09990 transcript:ONIVA03G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKGLCILAVVIAFQLAGGQAVTDATARARRFACNVSAPCDTFVVYRTQSPGFLDLGNISDLFGVSRALIASANKLTTEDGVLLPGQPLLVPVKCGCTGARSFANVTYPIRPRDTFFGLAVTAFENLTDFVLVEELNPAAEATRLEPWQEVVVPLFCRCPTREELSAGSRLLVTYVWQPGDDVSVVSALMNASAANIAASNGVAGNSTFATGQPVLIPVSQPPRFPPLTYGAIAADPGAGKHRHGIIVATSIAGSFVACAVLCTAILAYRRYRKKAPVPKHVSPKLSWTKSLNRFDSNSSIARMINGGDKLLTSVSQFIDKPIIFREEEIMEATMNLDEQCKLGSSYYRANLEREVFAVKPAKGNVAGELRMMQMVNHANLTKLAGISIGADGDYAFLVYEFAEKGSLDKWLYQKPPCSQPSSSSVATLSWDQRLGIALDVANGLLYLHEHTQPSMVHGDVRARNILLTAGFRAKLSNFSLAKPAATVDAAATSSDVFAFGLLLLELLSGRRAVEARVGVEIGMLWTEIRTVLDAGGDKRAAKLRKWMDPTLGGVLWSQLQFGAEAVGTTVFTLRNNCTYTVWPATLSGNTAVAVGGGGFELSPGANVSFPAPAGWSGRLWARTDCAPSGTASLACVTGDCGGAVSCSLGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVAATGARVNRSTCGYAGCVGDVNALCPAELQVAGKENDQQSGAAATTTVACRSACEAFGTAEYCCTGAHGGPDSCGPTRYSRLFKAACPAAYSYAYDDPTSTFTCGTGAQYVITFCPAQQQ >ONIVA03G09980.1 pep chromosome:AWHD00000000:3:7309937:7310419:1 gene:ONIVA03G09980 transcript:ONIVA03G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEGDDRRRPATELGHRRRAHRPATRMEPGMGVNGGTVDGGLRCWSSRRQSGDHVADGHMAGDGVGAHRLATRMEPEVGVNGGAVDGEQWCSSTRRQSGNHVADGRALLSPSRSSSPACAGVADGHLLLTAQALSLVPLWMGKVDKMYPIPMVYWYRK >ONIVA03G09970.1 pep chromosome:AWHD00000000:3:7307981:7309630:1 gene:ONIVA03G09970 transcript:ONIVA03G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRTLARGIWAGKNANAAAACPAAAAPKPPARRPLPAVDDCPTLAYLRPRPGTIRYTTASVPLPAHCFPALPVGDQLFNRLRLDGLVPPTTAVTRPPEEEGVGVTVEEARKVARAAEMEVARARLRSNAQSVVSGSEFAALCVDIAGGAEGGRRLARALDDSGVVIVLGDAVFLRPDMIAKAIGSMIPATAHATRAAASVVEVRKKREEEEELRAMEEEKAGIDAAAAAQVRRELWCGLGLLAAQTLGFMRLTFWELSWDVMEPVCFYVTSLYFMSGYAFFMRTSTEPSFEGFYRSRLASRQRRLMRARSFDVARYEALKEQVGGGARYGVAARDAIVLRQQHVTHVH >ONIVA03G09960.1 pep chromosome:AWHD00000000:3:7293293:7296981:-1 gene:ONIVA03G09960 transcript:ONIVA03G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEEVEAARWAEAEDERKEGLRRRRRYGLVEYRALPGYMRDNEYILRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFVFLVLTIYTATQVPNVVDLQSLQHLPDVLRNADLHKIQTELVACLPSLPHLSDLQKLKDELKSSWNSIEVLPSLSRWHLLELLSSCLPHRFTHSNETSLSVLQSMKEDIANMIAPQLIRPIPRWPFYAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPNPEFRTIRACLFFGMGASGVIPVIHKLILFWHQPEALHTTAYEVLMGLFYGIGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHSGLVYLKWRDVQGC >ONIVA03G09960.2 pep chromosome:AWHD00000000:3:7293293:7296981:-1 gene:ONIVA03G09960 transcript:ONIVA03G09960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEEVEAARWAEAEDERKEGLRRRRRYGLVEYRALPGYMRDNEYILRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFVFLVLTIYTATQVPNVVDLQSLQHLPDVLRNADLHKIQTELVACLPSLPHLSDLQKLKDELKSSWNSIEVLPSLSRWHLLELLSSCLPHRFTHSNETSLSVLQSMKEDIANMIAPQLIRPIPRWPFYAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNLYLSCITILGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVIHKLILFWHQPEALHTTAYEVLMGLFYGIGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHSGLVYLKWRDVQGC >ONIVA03G09950.1 pep chromosome:AWHD00000000:3:7290073:7292213:-1 gene:ONIVA03G09950 transcript:ONIVA03G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRRVAVVAVLLSLPSRGRSGGGGSDLHPVVLVPGYGSNRLYARLTAAYEPAAPRCGAREGKDEWFQLWPIDAAASEPAQAPCLAEKMSLVYDPVADDYRNVAGVVTRVPSFASTRALVGWDPLVRQLEAMGHRDGGSLFAAPYDFRYAVAPRGHPSAVGERYFARLTRLIERASRLNGGRPAVVVAHSFGCALTYQFLRARPLAWRQRFVKHAVLLAAALGGFAEGMDGLASGAGSGLPNLAPPARTRLARSQQSALWRLPTPMVFGDRPVVVTKNSTYSANNITEFLDAIGFTEGVQPYVTRVLPMWRALPAPMVPVTSMYGVGVRTPETFVYGEAGFEGTPEVVYGDGDGNMNIVSLMAAEKEWSGVEGQILKVVRLPGVSHVGFFSDLALKKVVAEIQKAVSSIEVHRKEKIFSFLNNFEFTIPVPLGW >ONIVA03G09940.1 pep chromosome:AWHD00000000:3:7280812:7282964:1 gene:ONIVA03G09940 transcript:ONIVA03G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRLPLRTAAYRPLALPAPWSGVGMRRRWRGNGAGVAAARPGKGGDDGSSDVRGREGGRTEHARQRMRVAWADGWVDGSRKGLTSGLTDPTCERREERLPFCPTTGWTLFQRASRFGRCSKKCPEVDAVPKHVQARFGAPMPPCGEAPSSTLLSSGPMLVQGSGKVLPCSPFTSTLGDCSSRLSASVSTTSGICRCSLPQLTLKALESGEDAAAFCLHAGRSQPLSTFWLSHAGTITHSCRLVLRRLSAPLGS >ONIVA03G09930.1 pep chromosome:AWHD00000000:3:7276155:7277534:-1 gene:ONIVA03G09930 transcript:ONIVA03G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJA6] MPQYQELPCGGQVLDIDTALKDGILGGGPELGDAAAGDGGKQPVELRKMMDELDAAGDGGGDEAVPAVFICPISLEPMVDPVTLCTGQTYESANISRWLALGHRTCPTTMQELWDVTPIPNTTLRQLIAAWFSRRYTRFKKRSADFHGRAAELVHALRGTAVPKRQPLKGQARVAALRELRSLAAAHQSVTKAIAEAGGVGLLTSLLGPFTSHAVGSEAVAILVSGVPLDADAKAALMQPAKVSLLVDMLNEGAVDTKINCVRLIRILMEEKGFRPDTVASLSLLVGVMRLVRDKRHPDGVAAGLELLNSICAVHKPARSLIVSIGAVPQLVELLPELPTECVEPALDILDALAAVPEGRIALKDCPRTITNAVRLLMRVSEACTRRALSMLWVVCRMAPEECAPAALDAGLGAKLLLVIQSGCGPELKQQASELLKLCTMNCTSTVFISKCKLTKTIQ >ONIVA03G09920.1 pep chromosome:AWHD00000000:3:7269358:7272867:1 gene:ONIVA03G09920 transcript:ONIVA03G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G22870) TAIR;Acc:AT2G22870] MLLRPRLSLLRAVAPRPVPSPPRASLPVRRTLSSPAGAARTASAGDASPPAQRTGPKGGRKSAPPPPPPRGSSVNPALFFPPGVDRDAAVAAEMVIPASNIVVGPYAGDSRVKEAEFVKSSARARDCPKDDRPEFAVLGRSNVGKSSLINALIRRKEAALTSKKPGKTQTINHFLVNKSWYLVDLPGYGFAAASQSARTDWSSFTKGYFLNRDTLVGVLLLVDASIPPQKIDIDCANWLGRNNIGLTYVFTKCDKSKKGKGGRPEENIKEFQETISSLYPEPPPWIMTSSVTGLGRDGLLLHMSQLRNYWDNEATMSP >ONIVA03G09920.2 pep chromosome:AWHD00000000:3:7269358:7272867:1 gene:ONIVA03G09920 transcript:ONIVA03G09920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G22870) TAIR;Acc:AT2G22870] MLLRPRLSLLRAVAPRPVPSPPRASLPVRRTLSSPAGAARTASAGDASPPAQRTGPKGGRKSAPPPPPPRGSSVNPALFFPPGVDRDAAVAAEMVIPASNIVVGPYAGDSRVKEAEFVKSSARARDCPKDDRPEFAVLGRSNVGKSSLINALIRRKEAALTSKKPGKTQTINHFLVNKSWYLVDLPGYGFAAASQSARTDWSSFTKGYFLNRDTLVGVLLLVDASIPPQKIDIDCANWLGRNNIGLTYVFTKCDKSKKGKGGRPEENIKEFQETISSLYPEPPPWIMTSSVTGLGRDGLLLHMSQLRNYWDNEATMSP >ONIVA03G09910.1 pep chromosome:AWHD00000000:3:7260415:7263647:-1 gene:ONIVA03G09910 transcript:ONIVA03G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKIAEELKLDSETMALFDKQDEEDDFNGLDQGSRDVIISVAVAIYETLMGSRFMIIFLNGSDEEMDGTSFGIPFFTKYGNHMMIWTFRRRFLTVNREYSNRADKLRHTHLLFRFLRFNSGVKQLSSSEFCALLREEADTIISRHPSMTGFDTAMATNCCLYELFLRYNFHTATKFGWVSHAFNYWLCDGIIQENIAKSISSALQQEIRWDCDDSSLDTALEEFMKEPPFMVVKDDNVYGAGQYHWISITSKDTEVSSMQSIPAVTSSFFLTFETADDSKPKALLASIFRHSSNLGVLVLCYCGFDFASPPFLICHGLKFLGLDHCTNDSTCERDQHVDWTALSSLYVLDLRYTEWDEITSQEKIALMYNLQELNIVGFRCWQHYARRLQGQLPCLRRLRVVKPTDQADISTDIANSFVEKTQLEILDLSGDTSMETIPNSMSNVDSLLVLIVDGCDRLKNVIVSDGVFPSLTSFSFDGYGPTYHWASTVELPPKEMRPFVDNKRDIKTCKISLKGCARLENLFLRQLPNLVELDLSGTAIKILDFTSMICDVEFKMVAPALETIKIRGCWGLRRLPAVAADGPKPAVEIEKDVWDALEWDGVEADHHPSLFQAPVHSRYYRKKLPRGSVLSKLCGVSCVTGTD >ONIVA03G09900.1 pep chromosome:AWHD00000000:3:7235677:7243416:1 gene:ONIVA03G09900 transcript:ONIVA03G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit gamma [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJA2] MPRSLAGDLTDPEMAQPYMKKDDDDEDVEYSPFYGIEKGAVLQEARAFHDPQLDARKCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQANPEIVKRWSNEVQEAVQSRFALVQFHGLALLHQIRQNDRLAISKMVSGLTRGSVRSPLAQCLLIRYTSQVIRESSMNTQTSDRPFFDYLESCLRHKSEMVILEAARKIAEMDVTSRELAPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTRPLAVTNCNVDLESLMSDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMMNFLSNSLREEGGFEYKKAIVDSIVTLISEIPDAKEIGLLYLCEFIEDCEFTYLSSQILHLLGNEGPRTSDPSRYIRYIYNRVILENATVRASAVSTLAKFGALVDALKPRIFVLLRRCLFDTDDEVRDRATLYLQTLDGEVAVGSTEKDVKEFLFGSFDVPLANLEASLKTYEPSEEPFDISLVSREVKSQPLQEKKAPGKKPPAGAPAPAPVPAVDAYQKILSSIPEFSGFGRLFKSSEPVELTEAETEYAINVVKHIYSSHVVLQYNCTNTIPEQLLENVTVYVDATDAEEFSEVCSKPLRSLPYDSPGQIFVAFEKPEHVPATGKFSNVLKFIVKEVDTSTGEVDEDGVEDEYQIEDLEIVSADYMLRVAVSNFRNAWENMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTEVVPKNARSHTCLLSGVFIGDAKVLVRLSFGLSGPKEVAMKLAVRSDDPEVSDKIHEIVASG >ONIVA03G09890.1 pep chromosome:AWHD00000000:3:7220043:7231308:1 gene:ONIVA03G09890 transcript:ONIVA03G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEPAPAAATEPRRSTRRRLMTAAAMEAEAEAVADLDEIDREMSRAESRKRQRRTAKEKPGARKGATEWKPEDVEKAAAAEGVAELDEIDREMPRPELRKRQRRTAKEKPSAHEGATEWKPEDVEKAAAQEPEGAELDSGLSPAESRGKRQRGVEKVKRRTRKKTAKEKTKETTEKSAAQAPEKMKVNDAGGALAEDVCADEPDAEQMAMEEEEEAADVLEAEERMGKCVGEGSAEKAATRKRVARPSTARRVEDSDDHFVGDPVPDDEARQRWPVRYSRKGSDSLLKQELDEDEEMKARCHYLAANVDDEIYHLDDDVYVKAGPDEENYIGRITEFFEGVDRGSYFSCQWFFRTADTVISSKLLKVHDHRHNHKRVFLSKEKNDNLIECIVSKVKIAHVDPNMTPQARAHAISDCDLYYDMSYSVAYSTFANLPADNDGALGSEATSNISCDDADNSSKGKLSADIVAPYSEQTETASLLDLYSGCGAMSTGLCLGFAFSGINLETRWAVDINKYACASLKHNHPYSQVRNEKTEDFLALIQQWDALCRKYVVHKNDTLEPGIDMPLNDADDVNEPLPEDIFDVEELLEICYGDPSNTGKNGLWFKVRWKGYDPSYDTWEPIDGLSDCPERIKEFVEKGHKENILPLPGAVDVICGGPPCQGISGFNRFRKHNDPLEDEKNKQLVVFMDIVKYLRPKYVLMENVVDILKFADGFLGRYAMSCLVAMNYQARLGMMAAGYYGLPQFRMRAFLWGALPSMVLPKFPLPTHDAVVRGIVPTTFSQSVVAYNEVDTRCLRKALLLADAISDLPKVGNDQPKDVIEYSVAPKTEFQRYIRNNRKDIQDYSFRGDDPSEEGKLFDHQPLKLNKDDYERVQRIPVKKGANFRDLKGVIVGPDNTVRLDPNISRERLSSGKPLVPDYAISFVKGKSTKPFGRLWWDETVPTVVTRAEPHNQIILHPSQDRVLTIRENARLQGFPDYYRLIGPLKEKYIQVGNAVAIPVARALGYALGLAYRGESDGDRAVLKLPESFIYADQETVVKSSAGTPGSEIADSEQGSSRRGAEEGEARRAVPFQNILSWEGWNRLDHRRGEIRLDVRREMDDSPLDNLFDGNGLDSPAGADSSSSTGSPPSSTSSSPPSSQSPPPGSSPPPASPPPSTPSAPPTNSSGSAPSPPSPSQSAPPANTGGGGSPPPSHGSPPAPKAVQSQPAPKRSGDGGSSSDSGSSKEGGSSSDRGKSESNGNRPGPEAAIIAGMVIGFFTFALLLAIVACVCCSKKKKRPPHMHMPYYTDENGKVYYANSMPRWQNSVDQGGGWHAQYSPGQAPPSSEMSGSHGAGPLPPPSPGMALGFSKSSFSYDELALATGGFSSANLLGQGGFGYVYRGVLAGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSSQRLLVYEFVPNDTLEHHLHGKGVPVMAWTTRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFDAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDPTNYMEDSLVDWARPLLARALSEDGSFDELIDQRLENKFDRLEMERMAACAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGVKPGQSMMFSTGSEYDSGNYASDINRLRKVAFESSIEDSSEYGTHSSADSGEPPRRQQHR >ONIVA03G09890.2 pep chromosome:AWHD00000000:3:7220043:7231308:1 gene:ONIVA03G09890 transcript:ONIVA03G09890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEPAPAAATEPRRSTRRRLMTAAAMEAEAEAVADLDEIDREMSRAESRKRQRRTAKEKPGARKGATEWKPEDVEKAAAAEGVAELDEIDREMPRPELRKRQRRTAKEKPSAHEGATEWKPEDVEKAAAQEPEGAELDSGLSPAESRGKRQRGVEKVKRRTRKKTAKEKTKETTEKSAAQAPEKMKVNDAGGALAEDVCADEPDAEQMAMEEEEEAADVLEAEERMGKCVGEGSAEKAATRKRVARPSTARRVEDSDDHFVGDPVPDDEARQRWPVRYSRKGSDSLLKQELDEDEEMKARCHYLAANVDDEIYHLDDDVYVKAGPDEENYIGRITEFFEGVDRGSYFSCQWFFRTADTVISSKLLKVHDHRHNHKRVFLSKEKNDNLIECIVSKVKIAHVDPNMTPQARAHAISDCDLYYDMSYSVAYSTFANLPADNDGALGSEATSNISCDDADNSSKGKLSADIVAPYSEQTETASLLDLYSGCGAMSTGLCLGFAFSGINLETRWAVDINKYACASLKHNHPYSQVRNEKTEDFLALIQQWDALCRKYVVHKNDTLEPGIDMPLNDADDVNEPLPEDIFDVEELLEICYGDPSNTGKNGLWFKVRWKGYDPSYDTWEPIDGLSDCPERIKEFVEKGHKENILPLPGAVDVICGGPPCQGISGFNRFRKHNDPLEDEKNKQLVVFMDIVKYLRPKYVLMENVVDILKFADGFLGRYAMSCLVAMNYQARLGMMAAGYYGLPQFRMRAFLWGALPSMVLPKFPLPTHDAVVRGIVPTTFSQSVVAYNEVDTRCLRKALLLADAISDLPKVGNDQPKDVIEYSVAPKTEFQRYIRNNRKDIQDYSFRGDDPSEEGKLFDHQPLKLNKDDYERVQRIPVKKGANFRDLKGVIVGPDNTVRLDPNISRERLSSGKPLVPDYAISFVKGKSTKPFGRLWWDETVPTVVTRAEPHNQIILHPSQDRVLTIRENARLQGFPDYYRLIGPLKEKYIQVGNAVAIPVARALGYALGLAYRGESDGDRAVLKLPESFIYADQETVVKSSAGTPGSEIADSEQGSSRRGAEEGEARRAVPFQNILSWEGWNRLDHRRGEIRLDVRREMDDSPLDNLFDGNGLDSPAGADSSSSTGSPPSSTSSSPPSSQSPPPGSSPPPASPPPSTPSAPPTNSSGSAPSPPSPSQSAPPANTGGGGSPPPSHGSPPAPKAVQSQPAPKRSGDGGSSSDSGSSKEGGSSSDRGKSESNGNRPGPEAAIIAGMVIGFFTFALLLAIVACVCCSKKKKRPPHMHMPYYTDENGKVYYANSMPRWQNSVDQGGGWHAQYSPGQAPPSSEMSGSHGAGPLPPPSPGMALGFSKSSFSYDELALATGGFSSANLLGQGGFGYVYRGVLAGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSSQRLLVYEFVPNDTLEHHLHGIHIFPALFPAIVTRHSYVRFLFDSDTRVCRMRAGKGVPVMAWTTRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFDAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDPTNYMEDSLVDWARPLLARALSEDGSFDELIDQRLENKFDRLEMERMAACAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGVKPGQSMMFSTGSEYDSGNYASDINRLRKVAFESSIEDSSEYGTHSSADSGEPPRRQQHR >ONIVA03G09880.1 pep chromosome:AWHD00000000:3:7217335:7219110:-1 gene:ONIVA03G09880 transcript:ONIVA03G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASLSLAFSPLLLPTPRPRPYSRPINPGFPTPLLLSLACSPPSRRAGPVAAVPDGVAVADVVEKDWSFLDAAAAAAAAGGSLPRALAAGALSPASRVLAVTPAPSFVDALLSGHPCELLVAAHESLYVLAGIKEGHDEVRCFHLEGGGGGRGGGVVEGVPERFDAFDAVFVCYFPGMGVSAAALLKSLAKRCSKGGRVVIFLDQGRQSLEQHRREHPEVVTADLPTRPSLEKATAGSKFEILEFVDEPTLYLAVLQFQ >ONIVA03G09870.1 pep chromosome:AWHD00000000:3:7209490:7219128:1 gene:ONIVA03G09870 transcript:ONIVA03G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVAAETAVASASGSGIWSRRRDEITLDRLQKFWNGLPPQARQELLKLDKQTLIEQARKNLYCSRCNGLLLESFMQIVMYGKTLQRDASDINRLNTTGETRIRQGEQEDPSVHPWGGLVATKDGILTLLDCFVNAKSLRVLQNVFDNARAREREREMLYPDACGGSGRGWISQRLASYSRGYGTRETCALHTARLSCDTLVDFWSALSEETRLSLLRMKEEDFMERLMRRFESKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTDFQCEVFEDAVIIDWRQTLSEADGSYHHFEWAIGTDEGQSDVFGFEDVGMNVQVHRDGINLDQFEDYFITLRAWKLDGTYTELCVKAHALKGQSCVHHRLVVGNGFVTITKGESIRSFFEHAEEAEEEDEEDAMDRDGNDLDGDGLHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSIFVSLALELLEERVHVACKEIITLEKQNKLLEEEEKEKQDEQERRMRRRTKEREKKHRRKERLKEKERDKGKEILGLKSSDDNSCSTLRNSTSTNDESTNTPDSRDSASEEEDNSTVVDLCSPDTFVDQTACREISVQNNMDYCNTLTEFARTNSSDLFTSGQSKSSRWNLRLRKDFPQYQSSCCYDECGDENGSIGDFQWQSKERTRHSARSCNSVFTTNNRTRDRHNYISFSCDPRDDYVINDSCSSSSTGSGRETKMARKTGVERPRVQYRRCYPLDNFIVSKESRTGNTQQKNVAPKQVWEPMDSQKKNLLDNKNNGSGAVCNVDPTKLVEQDSSECPNFDAGHEPLSQSSERSRDICKSETDQPCENNEKNQATSCGGTIMVDKQDCYSTKDEGSGHDEELMMNSTSSDGLSSCTSEADRESSTSSVTSLSAQHQESSSSDSEESPERVNSIEEAPSTKTVSRSLLEACAGKGFREYQPKAMHRPHNDRLGFNIPPFQDQLLHHQSMHVPTHSSATMGLHNHPWAAPASGYMQYAQPSHFYSNPLGFGVPGKQSPDFPVQYSNVHHFPAPAFSYAPPEPIRKTTPSFRVMHTSPPYRNGLHQSQTVGHPHGDPTLERHPSQPKPLDLKDAPGENKSSPEGNASFSLFQFNLPIAPPAPPSSKDDTSGESATRTPLAQVQVQPCSREQTDVKEYNLFCSKNGSMFSFISR >ONIVA03G09860.1 pep chromosome:AWHD00000000:3:7199085:7204225:1 gene:ONIVA03G09860 transcript:ONIVA03G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) TAIR;Acc:AT3G58060] MEAKGENDARAPLLAERRRNSVGSMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLREGEPGLPLFHSLFPPPEQYFFSGPGQREKEYYEKQFATLRSFEEVDSIEESNVMSEEDDIAEQKQSEFAMKISNYANMILLALKVIYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFVQAVEKLIVNETPDKLTPVQLTWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDMFYWWIDPVGAISLAVYTITNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHNILSKLPSSQP >ONIVA03G09860.2 pep chromosome:AWHD00000000:3:7199085:7204225:1 gene:ONIVA03G09860 transcript:ONIVA03G09860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) TAIR;Acc:AT3G58060] MEAKGENDARAPLLAERRRNSVGSMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLREGEKEYYEKQFATLRSFEEVDSIEESNVMSEEDDIAEQKQSEFAMKISNYANMILLALKVIYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFVQAVEKLIVNETPDKLTPVQLTWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDMFYWWIDPVGAISLAVYTITNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHNILSKLPSSQP >ONIVA03G09850.1 pep chromosome:AWHD00000000:3:7186680:7190394:1 gene:ONIVA03G09850 transcript:ONIVA03G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCGGEEEETYAPRAASRSRSRRPTPATQYNAGPRKPRGPNAPRSGGPPPKVLSIDVPAISMGELNNITGHFGQSALIGEGSYGRIYRAVLTSGEPVAIKKLDPSVSSDSEADFSAQLSMVSRLKNEYFIRLMGYYLDANRRILVYQFATHGSLHDILHGKKGVRDAAPGPALNWSQRVKVAYGAARGLEYLHEKAQPPIVHRDVRSSNVLLFDGYESKLADFNLTTQPPDGAARLHSTRVLGTFGYHAPEYAMTGQLNQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVRQCVDPKLGDDYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALQPLLSKPAGAGGP >ONIVA03G09850.2 pep chromosome:AWHD00000000:3:7186680:7190394:1 gene:ONIVA03G09850 transcript:ONIVA03G09850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICCGGEEEETYAPRAASRSRSRRPTPATQYNAGPRKPRGPNAPRSGGPPPKVLSIDVPAISMGELNNITGHFGQSALIGEGSYGRIYRAVLTSGEPVAIKKLDPSVSSDSEADFSAQLSMVSRLKNEYFIRLMGYYLDANRRILVYQFATHGSLHDILHGKKGVRDAAPGPALNWSQRVKVAYGAARGLEYLHEKAQPPIVHRDVRSSNVLLFDGYESKLADFNLTTQPPDGAARLHSTRVLGTFGYHAPEYAMTGQLNQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVRQCVDPKLGDDYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALQPLLSKPAGAGGP >ONIVA03G09850.3 pep chromosome:AWHD00000000:3:7186680:7190394:1 gene:ONIVA03G09850 transcript:ONIVA03G09850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGELNNITGHFGQSALIGEGSYGRIYRAVLTSGEPVAIKKLDPSVSSDSEADFSAQLSMVSRLKNEYFIRLMGYYLDANRRILVYQFATHGSLHDILHGKKGVRDAAPGPALNWSQRVKVAYGAARGLEYLHEKAQPPIVHRDVRSSNVLLFDGYESKLADFNLTTQPPDGAARLHSTRVLGTFGYHAPEYAMTGQLNQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVRQCVDPKLGDDYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALQPLLSKPAGAGGP >ONIVA03G09840.1 pep chromosome:AWHD00000000:3:7185191:7186023:-1 gene:ONIVA03G09840 transcript:ONIVA03G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEGNNGVSGGAVSFSEEQEALVLKSWAIMKKDSANIGLRFFLKIFEVAPSASQMFSFLRNSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRDTTLKRLGATHFKYGVGDAHFEVTRFALLETIKEAVPVDMWSPAMKSAWSEAYNQLVAAIKQEMKPAE >ONIVA03G09830.1 pep chromosome:AWHD00000000:3:7151648:7166306:1 gene:ONIVA03G09830 transcript:ONIVA03G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAGGAAASSASAPPPPRRTPLERTADAAEELYRLRDTFFPRDPVEKAAALRALADDALAVLDSLPPEQRKTPQQRAVYEFLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLDSAMNCFSLALSKGADKKILCQLSMLERSMAQGSEGQAQLVEESIKHAKEAVMLDIRDGNSWYNLGNAYLTSFFVSGSWDHMKLHHSVKAYQNAEKDETTKCNPDLYYNCATADKYLENYERALRGFEAAALKDPGLGADTEGQLRSKRLASSVSSLSEVNIKSSHKKATIGILSEGLNKTVAVLGKVILLIRHDNIAPMYYLTCDLDQSYFILSVYGLRNEAIKEGDRVALLDPYYRILDISWKEQRYQFKSIRVDFPEQILVNEKAPPPHHVVRASIHAHNKP >ONIVA03G09830.2 pep chromosome:AWHD00000000:3:7151648:7166306:1 gene:ONIVA03G09830 transcript:ONIVA03G09830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAGGAAASSASAPPPPRRTPLERTADAAEELYRLRDTFFPRDPVEKAAALRALADDALAVLDSLPPDAWLCLGNCIWKKGDLDSAMNCFSLALSKGADKKILCQLSMLERSMAQGSEGQAQLVEESIKHAKEAVMLDIRDGNSWYNLGNAYLTSFFVSGSWDHMKLHHSVKAYQNAEKDETTKCNPDLYYNCATADKYLENYERALRGFEAAALKDPGLGADTEGQLRSKRLASSVSSLSEVNIKSSHKKATIGILSEGLNKTVAVLGKVILLIRHDNIAPMYYLTCDLDQSYFILSVYGLRNEAIKEGDRVALLDPYYRILDISWKEQRYQFKSIRVDFPEQILVNEKAPPPHHVVRASIHAHNKP >ONIVA03G09820.1 pep chromosome:AWHD00000000:3:7142602:7151720:-1 gene:ONIVA03G09820 transcript:ONIVA03G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRREQENAGATSASRMEIGAGGKRGDGSRGRRARRRDGLGTRRSIVGRGPGAWFDWNTAAPTGVWERAPTAEEAAAPSHPLDSAGGEAGAVEAGGGRREGGGGRGRRWREGRRWQRPRMEEAAAACVLVLNWQRRRQHSSTLHWFARSERPSRLPSRPSVTALGAPLGG >ONIVA03G09810.1 pep chromosome:AWHD00000000:3:7132208:7133600:-1 gene:ONIVA03G09810 transcript:ONIVA03G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWGVRCEITDRRERERDVDQGDEKWCDGYTASSNFLAAGSSACLQLQLRRRRVAVAVAVAATLRLSPDRPRYKPELLRRRHATPARQPA >ONIVA03G09800.1 pep chromosome:AWHD00000000:3:7128536:7132198:-1 gene:ONIVA03G09800 transcript:ONIVA03G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT5G17380) TAIR;Acc:AT5G17380] MATDTAAPAAMKVDGSALAGRALAAAGARHMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGFLTGSPGLLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCSQPDAGRGDFQELDQIAATKPFVKIAVKATTIADIPRLVFQALAATVSGRPGGCYLDIPSDVLHQTLTESEAAALIDAAAADSAKSDSSPPKHKSLDEGIEKAAELLRRAERPLVVFGKGAAYSRAEDAIRKLVDTTGIPFLPTPMGKGVVPDTHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEEEIELRKPHVGIVGDAKRVVELINREIKDQPFCLAPSHPWVEAITKKARDNVLKMEAQLAKDVVPFNFLTPLRIIRDAILAEGNPAPVVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGFCVAAAVAEPDRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRSPDEITGPYKDDPAPTSFVPAAGYHNMMEAFGGKGYLVETPDELKSALSESFRARKPAVINVIIDPYAGAESGRMQHKN >ONIVA03G09790.1 pep chromosome:AWHD00000000:3:7125672:7127294:1 gene:ONIVA03G09790 transcript:ONIVA03G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71060) TAIR;Acc:AT1G71060] MRCLRRPATHLLCSARSAHAGNAPRVLDEMPLPPLAPPRRTTTLVRAHHLLGAMRGPGFCTTVGSESDVEPRFTVVPGAAQEGLAPGVSEAAERVCRVVSAQPEHRIAPVLDALGVTVSPQLVAEVLKNLSNAGILALAFFRWAERQQGFRYSAEGFHNLIEALGKIKQFRLVWSLVEAMRCRSCLSKDTFKIIVRRYARARKVKEAVETFEKMSSFGLKTDLSDYNWLIDILSKSKQVKKAHAIFKEMKRKGRFIPDLKTYTVLMEGWGHEKDLLMLKAVYQEMLDAGIKPDVVAYGMLISAFCKSGKCDEAIKVFHEMEESGCMPSPHVYCMLINGLGSMERLDEALKYFQLSKESGFPMEVPTCNAVIGAYCRALEFHHAFKMVDEMRKSGIGPNTRTYDIILNHLIKSEKIEEAYNLFQRMERDGCEPELNTYTMMVGMFCSNERVDMALKVWKQMKEKGVLPCMHMFSALINGLCFDNRLEEACVYFQEMLDKGIRPPGQLFSNLKEALVEGGRITLAQEVTQRLEMLRRTPMRG >ONIVA03G09780.1 pep chromosome:AWHD00000000:3:7113465:7114082:-1 gene:ONIVA03G09780 transcript:ONIVA03G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPATTTPTPTPAGDHDAAATACKPAETTTALITCRSSSCSAQQQQEEPLGDDQLGELREIFRSFDRNGDGSLTQLELGSLLRSLGLKPSTDELDSLIQRADTNSNGLIEFSEFVALVAPELLYDRAPYSEDQIRRLFNIFDRDGNGFITAAELAHSMAKLGHALTVKELTGMIKEADTDGDGRISFQEFSRAITAAAFDNIFS >ONIVA03G09770.1 pep chromosome:AWHD00000000:3:7108950:7111577:-1 gene:ONIVA03G09770 transcript:ONIVA03G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRYFRQDHGDKSGSSSSSSSSSSGSDSDREPAEEAAPTEEVEEQQEEQEDEQHVGEEDSGEEQEEELEPVVEQESSGYQSEYSSGNDVDEPSADSDEHIILRHEEDHEINSSVKRASSGKADSTKDASDTDDALEVDFNNYILKCKSVYKCKLCPRIICLNEEMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEQETHAERHARTVALAQQVQKSKKDSGRQRQNRRKKKRSQNHVEKKQKPLTSDKKKRKIEKNTLKKQ >ONIVA03G09770.2 pep chromosome:AWHD00000000:3:7109287:7111577:-1 gene:ONIVA03G09770 transcript:ONIVA03G09770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRYFRQDHGDKSGSSSSSSSSSSGSDSDREPAEEAAPTEEVEEQQEEQEDEQHVGEEDSGEEQEEELEPVVEQESSGYQSEYSSGNDVDEPSADSDEHIILRHEEDHEINSSVKRASSGKADSTKDASDTDDALEVDFNNYILKCKSVYKCKLCPRIICLNEEMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEQETHAERHARTVALAQQVQKSKKDSGRQRQNRRKKKRSQNHVEKKQKPLTSDKKKRKIEK >ONIVA03G09760.1 pep chromosome:AWHD00000000:3:7106295:7106719:1 gene:ONIVA03G09760 transcript:ONIVA03G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSPLCHRCAPCGSSRLCPILTTLCRRRARVLGATACRRVVRRVATAPRHRWRWVPGVAPPRPQPRAEPPRLELSRRLCVAPLLTCGGRKKKKGEGKEREEERWRANMWAQGHF >ONIVA03G09750.1 pep chromosome:AWHD00000000:3:7093893:7094839:1 gene:ONIVA03G09750 transcript:ONIVA03G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTILVPTPLYLSASSHSNPESIAEAEAEADANADYEEGGALVLPPEVESNKHVDLRKGTKLQSIPLKCPELQIPWKQPTQQQKVSQTGKTGEEVSRWLLMVASPSGHWTISLGAEEGVEDACHGLAGGDIGQPSARRALDAGSSCYVRTGDCVVVIRGAELYLYIVVAAASHVVAVAAA >ONIVA03G09740.1 pep chromosome:AWHD00000000:3:7092126:7093232:-1 gene:ONIVA03G09740 transcript:ONIVA03G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASLKMKRDEKRGDSMLNIGEALGWVKDSHKEDYVKADMYDQQSLMRHQQVQNKL >ONIVA03G09730.1 pep chromosome:AWHD00000000:3:7087574:7089689:-1 gene:ONIVA03G09730 transcript:ONIVA03G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11310) TAIR;Acc:AT5G11310] MLARPGRLAASTLPNDSAAAAASTVLSILRGEDPASLPAAGIDPCPAVFQQLRPSLPTVPDSALPALARWAGEATAVSLLASRGLFAAAWRLLLAPSPTPPPLAAFAPLVRRYARLGRAPAALRAFQFLRRHPDRYMAGGDIPAAASLLNMAVDALCKEGHPRAAVELFERWRREEPDSPPDERAYNILLHGWSRAGRLDKVGKLWAEMRLAGVRPTVVTYGTLIEGLCVKRRPDQAIALLDEMREEGIEANLLTCNPIVYALAQAGRFQDAHKVLEKFPLYGVAPNISTFNSLVMGYCKHGDLAGASSVLKVMTERGISPTTRTYNYFFMTFAKNSDVESGMNFYSKMIGNGYSPDQLTYVLLVKMLSRANRLELVVQMIQEMRTHGFEPDLATSTMLIHLLCRRHQFEEACAEFEDMFKRGIVPQYITYQKLMRELKRLGLVRLIQKLTNLMRSVPHSTKLPGSYRDKEGDDSMEKRKLILQKAQAVSDVLKECKDPKELGKLKEDEETDVEVADSAHLQMSWIAMRPVYASCSDIALNQATQEKMMPHSKSNQ >ONIVA03G09720.1 pep chromosome:AWHD00000000:3:7070587:7072053:-1 gene:ONIVA03G09720 transcript:ONIVA03G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSAAGTYNELLPPRRPSPVKGRHCTAEFSFTHIAEKAISGEIFNYPWSLKEMILTKESSPSAPPPLPPTLAVDVSVVVGLLTALFLFLIYTKHCKHRGLGVARGVAVLGLGFQPSSSSCKRCRSSLSSCAVGALWCSGSATWATLRGVVRDQTTECAVCRGAFDTAELLRVLSRCQHAFHPCCIDVWLMTHSVCLVCRRSAADGALRVPGGGALRHARMEACIADTSGCASVDGGAAAWGRVDARCERGWMGSGLVR >ONIVA03G09710.1 pep chromosome:AWHD00000000:3:7049763:7055407:-1 gene:ONIVA03G09710 transcript:ONIVA03G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAGAVVGELARGGGGCVTEGWQRPGGRRAAGEGRPRACGGGSAVVGVWLRVGGGQADGRGAAADNRTRARMGGEEANSGRRSSRALLRVFDGGRRTWARVADDGSSCYRETSRSGTPIPPHLHCSMAPPAGVPRRRSFRRRAGARDGYACGLKDRKTGKACEPFEPMLLSGITGDIKSQEDKVKMLLAGNSGLPGIG >ONIVA03G09700.1 pep chromosome:AWHD00000000:3:7045938:7046529:-1 gene:ONIVA03G09700 transcript:ONIVA03G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDSVTTLPKRRAPTYCPERSSISITDLPVACHLNLKQKVITIAALAPKRPPSLRKPAVIVPVFDNRTIRWKLTSSWDLWNEKALLPPRSLQPHSLLQWRRSRERTGRKRW >ONIVA03G09690.1 pep chromosome:AWHD00000000:3:7042762:7043901:1 gene:ONIVA03G09690 transcript:ONIVA03G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAKLHSPTTPLLAHAHHDDRLLLCSPPAAVEFPVLKSRVARGALLLAANYAALFVGSLSSSLLSRFYFAHGGADRWLATLVQSAGFPALLLPLMCARRPASRPFAGFTPRLVMYCVLLGLVMGLNNLLYSCGTSYLPVSTTALLLSMQLAFTLALAAALVRVPLSFANFNAVVLLTLSSLLLALRHGAGGGGGGADATSPDYLVGVAATLGAALLFALYLPAAELVYRHGGVTGFRMVVEAQVIMEAVATAVGAAGMVSSAGGKWPWDGVEATWDLSPAAYYAVVGAAVLSWQLCFLGTAGTVFLTTSLHGGICMTALLAVNVAGGVVVFGDEFGPEKAVAMLLCLWAFSSYVYGEYKKGDKAMANEEDQGILDRV >ONIVA03G09680.1 pep chromosome:AWHD00000000:3:7039853:7040383:1 gene:ONIVA03G09680 transcript:ONIVA03G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLPSACASSDAPWLPGQPSFLASSTLVEPSSQPCGVKMHKYATMCMLQKHVGSADPTVLTWLHHYSSTSAVSSLYPLSGEPALEVDPRWPGGGKGGIDRRGEAARTSRGGENRHAEQEADGVDDGVHEEAGNESANGTVAGEDAGQNRNQN >ONIVA03G09670.1 pep chromosome:AWHD00000000:3:7036487:7039296:1 gene:ONIVA03G09670 transcript:ONIVA03G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPETEVQLVSYVQHDPEDTAGMEWEEIELDVYEDQCPTKEDIDTPKDDDNSNNKSKVPSVLRSHNSQRSLHLFLLYPKHGTVTVLDSLDYNHNAYNNYKAKGEEELARREKLLFHKEPKGCVLCGYYTCVFLRVNRDLYHFIHHECYHKDGLFFNLEGSLAISEESKFLGEWSHVLV >ONIVA03G09660.1 pep chromosome:AWHD00000000:3:7031121:7032247:-1 gene:ONIVA03G09660 transcript:ONIVA03G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLLAREELYKWGLARAKGILALVLNTEYSPVCSRKTIEFDINIQISNNTTFSIAHRNEYLRGLVDCSPSKSLTFSEVGVLDAEAQRS >ONIVA03G09650.1 pep chromosome:AWHD00000000:3:7020764:7029058:1 gene:ONIVA03G09650 transcript:ONIVA03G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJ71] MAAKEKGYTSSSNKIVVFKHCKHLHTGNTTRTGSFRPPLFHIISTAPIVRLLSRRSRPVPCAAAAMGSSDSQAPLLLPRGSHRKEEEEEEYAAAGKVRGCCGGDGEGGWWREAIAEAGRLASLAAPMIVVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLMGLACGLETICGQAYGAEQYHKLALYMYRSIIVLLVVSVPIAIIWVFIPEVLPLIGQQPEIASEVGKYALWLIPGLFAFTVAQCLSKFLQTQSLIFPMVLSSSITLALFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIVLSPSCEKTRAPLTWEAFSGIGSFLRLAVPSALMICISTVVLVYNLPHGIGTAASVRVSNELGAGNPEGARLVVGVALSVILCSAVLVSVTLLALRHFIGIAFSNEEEVINYVTRMVPVLSISVITDSLQGVLSGVSRGCGWQHLGAYVNLGAFYLVGVPVALFFGFAMHLGGMGFWMGMVAGGATQVTLLSIITAMTNWGKMTEKARDRVFEERIPTQSV >ONIVA03G09640.1 pep chromosome:AWHD00000000:3:7010045:7010482:1 gene:ONIVA03G09640 transcript:ONIVA03G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGGKDAEFARAIEGRESSWRRWRGVTNARVGSEYWQCHQEVTGGLGKYITDNHALTLHQWWPPAMSPFIHILTSLGFIPYSHRCRNINVQDTCSSSIIPYTNRMSIDIRTRVNS >ONIVA03G09630.1 pep chromosome:AWHD00000000:3:7007471:7008007:-1 gene:ONIVA03G09630 transcript:ONIVA03G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHCHCRCTTATEGLENMEDVDLEEQLAPPPPSPLPSSAEPLHGLCRKPAAGSHRALVATPVRALWMVVLMVGLLFTVHLLVHDVRTFVLLVAESLCIFFVMSGVAACERRQHDASGGACRGFSTVAEVALWSFAMALTVTMTFWVAAGMSLPAVAALYLLSLLVVSACFAVLLGA >ONIVA03G09620.1 pep chromosome:AWHD00000000:3:7000255:7000597:-1 gene:ONIVA03G09620 transcript:ONIVA03G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDVALSGWDNFGCVATLIRILLRGNVDGIGVPSDVSTQLAIIVAAMLLYSPGENLVLDVQNSDGFIMSQLLEDIVLEVL >ONIVA03G09610.1 pep chromosome:AWHD00000000:3:6988693:7000095:1 gene:ONIVA03G09610 transcript:ONIVA03G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPRRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIKGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQGFSGMRKCIIYLVAPCFTLLPSLIICSIGGTLRVHRIINIAAIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVHL >ONIVA03G09610.2 pep chromosome:AWHD00000000:3:6988693:6999710:1 gene:ONIVA03G09610 transcript:ONIVA03G09610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPRRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIKGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQGFSGMRKCIIYLVAPCFTLLPSLIICSIGGTLRVHRIINIAAIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVE >ONIVA03G09610.3 pep chromosome:AWHD00000000:3:6988693:7000095:1 gene:ONIVA03G09610 transcript:ONIVA03G09610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPRRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIKGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQGFSGMRKCIIYLVAPCFTLLPSLIICSIGGTLRVHRIINIAAIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVHL >ONIVA03G09610.4 pep chromosome:AWHD00000000:3:6988693:7000095:1 gene:ONIVA03G09610 transcript:ONIVA03G09610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPRRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIKGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVHL >ONIVA03G09610.5 pep chromosome:AWHD00000000:3:6988693:7000095:1 gene:ONIVA03G09610 transcript:ONIVA03G09610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPRRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIKGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVHL >ONIVA03G09610.6 pep chromosome:AWHD00000000:3:6994356:6999710:1 gene:ONIVA03G09610 transcript:ONIVA03G09610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNAIARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIKGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQGFSGMRKCIIYLVAPCFTLLPSLIICSIGGTLRVHRIINIAAIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVE >ONIVA03G09610.7 pep chromosome:AWHD00000000:3:6994356:6999710:1 gene:ONIVA03G09610 transcript:ONIVA03G09610.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNAIARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIKGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVE >ONIVA03G09610.8 pep chromosome:AWHD00000000:3:6988693:6993127:1 gene:ONIVA03G09610 transcript:ONIVA03G09610.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPRRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGAARGSRPAGGEERRVCAGAGRREERSGVRTRAAAGEDRSSAGMRGEVSPTTPGEGSPTAAVRAGGGVGHGAHELGGGDEAIAAAGLPAP >ONIVA03G09600.1 pep chromosome:AWHD00000000:3:6972671:6974107:1 gene:ONIVA03G09600 transcript:ONIVA03G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVPLPRRPVPGSYGVPFVSAVRDRLDFYYLQGQDKYFESRAERYGSTVVRINVPPGPFMARDPRVVALLDAKSFPVLFDVAKVEKRDVFTGTFMPSTSLTGGYRVCAYLDPSEPNHAKIKQLLLSLLVSRKDAFVPVFRSNFGALLDTVESQLASGGGKSDFTALNDATSFEFIGEAYFGVRPSASSSLGTGGPTKAALWLLWQLAPLTTLGLPMIIEDPLLHTLPLPPFLISSDYKALYAYFAAAASQALDAAEGLGLSREEACHNLLFATVFNSYGGFKLLLPQILSRVAQAGEKLHERLAAEIRSAVADAGGNVTLAALEKMELTRSVVWEALRLDPPVRFQYGRAKADLEIESHDASFAIKKGEMLFGYQPCATRDPRVFGATAREFVGDRFVGEEGRKLLQYVYWSNGRETENPSVDNKQCPGKNLVVLVGRLLLVELFLRYDTFTAEAGKKVVITGVTKASTSAVNRTA >ONIVA03G09590.1 pep chromosome:AWHD00000000:3:6963293:6968247:1 gene:ONIVA03G09590 transcript:ONIVA03G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAARLLLPLVVLLLHAARGSAGSTGGGGNGSCTQSCGRMRVPYPFGFSRGCTVQLGCDDASGTAWLGGTRGLGLLVSNVTPRAIVLTLPPNCSRPLNESLDALFTDNYAPTAQNALVVSSCDPQAAARLSNCSIPPEAYLEKSCNSIRCVLPSTKANVDGTNVTDPFLNRSEMRRLGSDCRGLVSASIYSNTAGPALQLTALELDWWVQGRCGCSSHAICDGFTPPSTQKEAFRCECQEGFEGDGYTAGAGCRRVPKCNPSKYLSGSCGKLVQIGLLVAGVFFGAMVMGITCLVYHLLRRRSAALRSQQSTKRLLSEASCTVPFYTYREIDRATNGFAEDQRLGTGAYGTVYAGRLSNNRLVAVKRIKQRDNAGLDCVMNEVKLVSSVSHRNLVRLLGCCIEHGQQILVYEFMPNGTLAQHLQRERGPAVPWTVRLRIAVETAKAIAYLHSEVHPPIYHRDIKSSNILLDHEYNSKVADFGLSRMGMTSVDSSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKAVDFSRVGSEVNLAQLAVDRIGKGSLDDIVDPYLDPHRDAWTLTSIHKVAELAFRCLAFHSEMRPSMAEVADELEQIQVSGWAPSTDDATFMSTTSSLCSSAPSRCTDKSWGTAKSKRQAAANAVVKQETTKGAVADSPVSVQERWFSDRSSPSSNSLLRNSSLN >ONIVA03G09580.1 pep chromosome:AWHD00000000:3:6954937:6961681:1 gene:ONIVA03G09580 transcript:ONIVA03G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Conserved oligomeric complex COG6 (InterPro:IPR010490); Has 384 Blast hits to 379 proteins in 190 species: Archae - 0; Bacteria - 4; Metazoa - 151; Fungi - 156; Plants - 42; Viruses - 0; Other Eukaryotes - 31 (source: NCB /.../k). [Source:Projected from Arabidopsis thaliana (AT1G31780) TAIR;Acc:AT1G31780] MAAALAPGVSRKLKKVLETRTDNPDLLASLGALSTFYVQNTPQARRNLKSSVEQRGLTINRHFLDASLPAHKALDRVEGEVHALNDSWKKRFLLSTMSQAVRIEEALGSCSASTGDIISTTERLQQELEVITQRQEIVSCFLRDYQLSNEEIHALRDEEIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQVECKRLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELIVVLLDPDAMTDSGPTTRRYSVREGDPSKGEHDITFVLDRIFEGACRPFKVRVEQVLQSQPSLIISYKLSNTLEFYGYTISDLLGGDAALCNTIWSLRDATQQTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLELINTYNSMMVPASGKRPNFDPVISAILDPIIQMCEQAAEAQKSKGTIARRGRTSSDPTGNNRDSISVDAILSKNSSTSFLSGESSSKIYLINCLSAIQEPLMGQDVATSYINNLRSMIETHLHTLVDKEADSILRKCGLSNKMPYIKDYSSTGSKDDARPLADIVETSPQMLLECLKAFYGLVTGTEGSLPEFEQLQVPRLRSDACYGLARALAEAYELIYKAVVDPKNCYPDPRSLVKHSPEQIRTILEI >ONIVA03G09570.1 pep chromosome:AWHD00000000:3:6946918:6954592:1 gene:ONIVA03G09570 transcript:ONIVA03G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin, Nup133/Nup155-like [Source:Projected from Arabidopsis thaliana (AT2G05120) TAIR;Acc:AT2G05120] MNISKREVAPNDIPVILTARRGQSAKTENWGAQNTRKTLAYRSERRRRDAESPRSASRRVRPRAGGCCAARTPPPPKMFSPAIKKPHLLHRRDKEEASPSPPPAPAHTPAPRGFAVHDRPATGTPAPWTSSSLLARISTSTRTDRTGDSGQIQPVHVSEFPQIVRNAQANLLQKSFSGKNMLAGGIDKETSLAWMLCGNELFIWSYLASVAKDCLVLEVPSSLMGNKEEKSLCGNQWAVCIVRWGSSGASTRSSGDILHRRSSTGFILCNKRTQAIAYWSDIYAESSKSPVLDLIGYGDTSSGTLWMFWCSPAAVRRREIHKGTLGVYNADHSQKNSGGRSLAWLPSKASSKAAERTFFLLTSHELQCWSISFGHDINCKKIGSQEIVGSDGDMGIKKDIAGQKNIWLLDMQIDDHGKEIIILVATFCKDRVSGSNYTQYSLLTMLYRPNQKFSSEDNVIKTERFLEKKAPSQVIIPKARVEDEEFLFSMRLKTGGKPSGSVIILSGDGTATIAIYWRGSTRLYQFDLPWDAGKVLDASVIPSSEDKDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRNQAFNASVVPRRASSEAWSAGERQRPALTGIAQQAVVDEESEMLLNRLFHDFVLSGTVHEALQKLRAASAFDKEGEMNIFVRISKSIVNTLSKHWTTTREAEFLASTIVSSLTEKQQKHKKFLQFLVLSKCHEELSSKQRTAMLTVMEHGEKLSGMIQLRELQNALSHQRSSINLSPQSKNQTTGALWNLIQLVGEQSRRNTVLLMDRDNAEVFYSRVSDIEDLFNCISHQLQYIITGEENPSVQMQRALELSNACMTLVQAALRYREEHKDWYPSPEGLITWNSQPVVRSGIWRVASFVMELLREPGAADMSMKSNLWSQLERLTDILLDAYIGLLTAKFERGDEHGVLIQEYCDRRDELLGSLYDLAKQIVDAKYQETTEVTDNLELKESIFREVTSPILATAKRHEGYQTLWQICYDLSDTGLLRSLMHDSVGPHGGFSFFVFKQLVNRRQHAKLLRLGEEFPEELANFLKERDDLLWLHEICLNRFSSASKTLHTLVSPEEDANLTSNRKSLSFVERRRFLYLSKIAAAAGKDVDYEVKVAHIDADIRILNLQEEIVQHDPEYAPDKYTTKPFRPLELIEMCLKGDRELSLKAFEVFAWTRASFRSSNKGLLEACWMNAADQDDWVSLQEESSGGWSDEVIQESLQGTVLFNASRLCYSPDAVVYDGSFEDVLPVKKEDLHLRGLEGRCLSVEEVLMQHKDFPDAGKLMMTAVIMGKELPYTVSTAEPVDMDS >ONIVA03G09570.2 pep chromosome:AWHD00000000:3:6946918:6954592:1 gene:ONIVA03G09570 transcript:ONIVA03G09570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin, Nup133/Nup155-like [Source:Projected from Arabidopsis thaliana (AT2G05120) TAIR;Acc:AT2G05120] MNISKREVAPNDIPVILTARRGQSAKTENWGAQNTRKTLAYRSERRRRDAESPRSASRRVRPRAGGCCAARTPPPPKMFSPAIKKPHLLHRRDKEEASPSPPPAPAHTPAPRGFAVHDRPATGTPAPWTSSSLLARISTSTRTDRTGDSGQIQPVHVSEFPQIVRNAQANLLQKSFSGKNMLAGGIDKETSLAWMLCGNELFIWSYLASVAKDCLVLEVPSSLMGNKEEKSLCGNQWAVCIVRWGSSGASTRSSGDILHRRSSTGFILCNKRTQAIAYWSDIYAESSKSPVLDLIGYGDTSSGDGTSGNCRINSLIAVAVPGGINECIVIASQPTGTLWMFWCSPAAVRRREIHKGTLGVYNADHSQKNSGGRSLAWLPSKASSKAAERTFFLLTSHELQCWSISFGHDINCKKIGSQEIVGSDGDMGIKKDIAGQKNIWLLDMQIDDHGKEIIILVATFCKDRVSGSNYTQYSLLTMLYRPNQKFSSEDNVIKTERFLEKKAPSQVIIPKARVEDEEFLFSMRLKTGGKPSGSVIILSGDGTATIAIYWRGSTRLYQFDLPWDAGKVLDASVIPSSEDKDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRNQAFNASVVPRRASSEAWSAGERQRPALTGIAQQAVVDEESEMLLNRLFHDFVLSGTVHEALQKLRAASAFDKEGEMNIFVRISKSIVNTLSKHWTTTREAEFLASTIVSSLTEKQQKHKKFLQFLVLSKCHEELSSKQRTAMLTVMEHGEKLSGMIQLRELQNALSHQRSSINLSPQSKNQTTGALWNLIQLVGEQSRRNTVLLMDRDNAEVFYSRVSDIEDLFNCISHQLQYIITGEENPSVQMQRALELSNACMTLVQAALRYREEHKDWYPSPEGLITWNSQPVVRSGIWRVASFVMELLREPGAADMSMKSNLWSQLERLTDILLDAYIGLLTAKFERGDEHGVLIQEYCDRRDELLGSLYDLAKQIVDAKYQETTEVTDNLELKESIFREVTSPILATAKRHEGYQTLWQICYDLSDTGLLRSLMHDSVGPHGGFSFFVFKQLVNRRQHAKLLRLGEEFPEELANFLKERDDLLWLHEICLNRFSSASKTLHTLVSPEEDANLTSNRKSLSFVERRRFLYLSKIAAAAGKDVDYEVKVAHIDADIRILNLQEEIVQHDPEYAPDKYTTKPFRPLELIEMCLKGDRELSLKAFEVFAWTRASFRSSNKGLLEACWMNAADQDDWVSLQEESSGGWSDEVIQESLQGTVLFNASRLCYSPDAVVYDGSFEDVLPVKKEDLHLRGLEGRCLSVEEVLMQHKDFPDAGKLMMTAVIMGKELPYTVSTAEPVDMDS >ONIVA03G09560.1 pep chromosome:AWHD00000000:3:6940206:6944382:1 gene:ONIVA03G09560 transcript:ONIVA03G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKIIELCKLKGKETRAEPEVSSVSKASGGSSEHINKLPPPVPVQEEEEQEEEAPEWLDVLLRTKFWGQCKQHWDASRAEVCIFCLRCRQVLCPRCSHDEPGHRLLKVRRYMYRSVVLARDLQDLNVDVSRVQTYIVNGQKGVHLRPMRRSPQFKPHVETPRCLSCFCWLRSAPNIFCSLSCKVGVDISQDDFSGPEAERRHKQTLGIVVESSPQQSIPQPFDASPVRNEDATMVEAECGQVQTNATESESSAVGDADEVIPKVTKFNVDIHSLRRRVRKQAAPQRAPFF >ONIVA03G09550.1 pep chromosome:AWHD00000000:3:6937613:6939025:-1 gene:ONIVA03G09550 transcript:ONIVA03G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRPRLASLTRRLSTCRDGDLAALLSVLRSPPASSTSLPRALSSAFPSPSDSFPLGKLPSLLPLLPSPLLSLRFLLWRLPPSSPLPSSYALSSLAASLPDLPSAVPLLLSSSPQPLPLRHYALLLGISAHAGLFPASLALLRHMRSFGLAPDAACFRSALRSAGSPGDVCAVLGIMSACGVSPSVPLVVTSVHKLATAGDFVGARQLIEKMPEFGCVTNVAVYTALLDGMCSFGDVDAALGLVEEMEGWSLGAGCVPNVVSYTCLVKCLCGKKRMGEALSLLDRMTGRGVMPNRVFVRTLVGGFCSEEMVADAYAVVERVVSDGSVSSDQCYNVLLICLWRVGMDGEAEGLVQRMMKKGVRLSPLAASVMVRELCNRNRLLDACYWIGVMEENGVLCDTDVYNGLLLRLCVEGHVGEALALAKKVAERGILIEASCADRLMDLLKQYGDEELAPKISELRRCSEVLSH >ONIVA03G09540.1 pep chromosome:AWHD00000000:3:6930513:6935818:-1 gene:ONIVA03G09540 transcript:ONIVA03G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMLASVPTRPRSHPFRRRRGAAAAAPPLLPDQIAAAAAAAAKRPAESSTSASSCFHSELANDAETTAYSSAREDLTLSETEEEEEEVRSGPCICTDCSFSPLHESSSSSDDDNAVPSPTFSLFLALAEQFVPFTHPKTPTATDVALQAGEGKRFEDLDNEVSYERFRRRERRGVVARDYIEVYSSMLGSYGRAVVEQRVVMVNWIMEHSQAMKLQPETVFMGIGLMDRFLTRGYVKGSRNLQLLGIACTTLATRIEENQPYNCRSEVVAMEWLVQEVLDFQCFVTTTHHFLWFYLKAANADDRVEDLAKYLALLSLLDHKHLSFWPSTVAAAVVALACLATNNESSCHLVMELAEDPEYHLVCSGCNRKGLHPGGLPEVDEAVIFVPLLEWPSGDPGCARCLGHFKNSDRERSAR >ONIVA03G09540.2 pep chromosome:AWHD00000000:3:6930513:6935818:-1 gene:ONIVA03G09540 transcript:ONIVA03G09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMLASVPTRPRSHPFRRRRGAAAAAPPLLPDQIAAAAAAAAKRPAESSTSASSCFHSEVISATSTTCPTSLAAAQRPEKRPRYQDVDEEQPAASECSEIIGGARPRAAEVEVSESSCLASVLESYLACPEQLANDAETTAYSSAREDLTLSETEEEEEEVRSGPCICTDCSFSPLHESSSSSDDDNAVPSPTFSLFLALAEQFVPFTHPKTPTATDVALQAGEGKRFEDLDNEVSYERFRRRERRGVVARDYIEVYSSMLGSYGRAVVEQRVVMVNWIMEHSQAMKLQPETVFMGIGLMDRFLTRGYVKGSRNLQLLGIACTTLATRIEENQPYNCRSEVVAMEWLVQEVLDFQCFVTTTHHFLWFYLKAANADDRVEDLAKYLALLSLLDHKHLSFWPSTVAAAVVALACLATNNESSCHLVMELAEDPEYHLVCSGCNRKGLHPGGLPEVDEAVIFVPLLEWPSGDPGCARCLGHFKNSDRERSAR >ONIVA03G09530.1 pep chromosome:AWHD00000000:3:6912912:6913658:1 gene:ONIVA03G09530 transcript:ONIVA03G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPQPQGGLPHELPPDVERETGGARQRGAGRGRSARNSLHPVEKPSKRLPTLHQASSVASRLTRAGGGPLLLATTGCGAGRGEGDHGVCTLDAELGDEAQVAAARSAR >ONIVA03G09520.1 pep chromosome:AWHD00000000:3:6881628:6889244:1 gene:ONIVA03G09520 transcript:ONIVA03G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRACGVLGGADMLCGRHLHESMTMPVRACSRVPPPVYCMRSRVPNLLHMCLAAIDDLDHHYSQRWRNLTAQTRETPNARTTLIGSLKCIFQTEEAINFGSLKWQKLKKEFVLSISERVLGKQGSLAQPDVYMNNYSSYHFAKDSDGLRPRKFPKPLSKVVMVSWPHPTRNRTAVTGEISHPGRQFDELSPVNPLGFTTMLSEVQIRSEQGSSGGPLLNGQVQVTGLLHGGNGSFSFFISLPNGDSLYDLLALIQHCSAPFTSFWMLCVWVNMLRLF >ONIVA03G09520.2 pep chromosome:AWHD00000000:3:6881631:6889244:1 gene:ONIVA03G09520 transcript:ONIVA03G09520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEICAEAFPLSRFPTLEKSYCADSRDTERSHNTNWITEVHFSNRGSNKLWISEVAKIKKRIRSLNLRKSLGQARFSSSTGFAVPMFVEETIGTMFRHTPVHTFCWIYKKRDLSVITLQRIQMVFGLGSKVVMVSWPHPTRNRTAVTGEISHPGRQFDELSPVNPLGFTTMLSEVQIRSEQGSSGGPLLNGQVQVTGLLHGGNGSFSFFISLPNGDSLYDLLALIQHCSAPFTSFWMLCVWVNMLRLF >ONIVA03G09520.3 pep chromosome:AWHD00000000:3:6881631:6885947:1 gene:ONIVA03G09520 transcript:ONIVA03G09520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEICAEAFPLSSRVPPPVYCMRSRVPNLLHMCLAAIDDLDHHYSQRWRNLTAQTRETPNARTTLIGSLKCIFQTEEAINFGSLKWQKLKKEFVLSISERVLGKQGSLAQPDVYMNNYSSYHFAKDSDGLRPRKRE >ONIVA03G09520.4 pep chromosome:AWHD00000000:3:6881628:6885947:1 gene:ONIVA03G09520 transcript:ONIVA03G09520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRACGVLGGADMLCGRHLHESMTMPVRACSRVPPPVYCMRSRVPNLLHMCLAAIDDLDHHYSQRWRNLTAQTRETPNARTTLIGSLKCIFQTEEAINFGSLKWQKLKKEFVLSISERVLGKQGSLAQPDVYMNNYSSYHFAKDSDGLRPRKRE >ONIVA03G09520.5 pep chromosome:AWHD00000000:3:6881631:6885947:1 gene:ONIVA03G09520 transcript:ONIVA03G09520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEICAEAFPLSRFPTLEKSYCADSRDTERSHNTNWITEVHFSNRGSNKLWISEVAKIKKRIRSLNLRKSLGQARFSSSTGCIHEQLLKGLVSYHFAKDSDGLRPRKRE >ONIVA03G09520.6 pep chromosome:AWHD00000000:3:6885533:6889552:1 gene:ONIVA03G09520 transcript:ONIVA03G09520.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCGMPHPPLPIASQFPKPLSKVVMVSWPHPTRNRTAVTGEISHPGRQFDELSPVNPLGFTTMLSEVQIRSEQGSSGGPLLNGQVQVTGLLHGGNGSFSFFISLPNGDSLYDLLALIQHCSAPFTSFWMLCTPPNFHSY >ONIVA03G09510.1 pep chromosome:AWHD00000000:3:6877135:6877546:-1 gene:ONIVA03G09510 transcript:ONIVA03G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRGRRHWVDEDRGRRSPAVAASAAPKYAEADDLGIRQIKLLRNQRCAHNFGIASPMRADMEDFCSYLILRHCMFLPISLSPLSGEETVMEGPALM >ONIVA03G09500.1 pep chromosome:AWHD00000000:3:6857218:6859145:-1 gene:ONIVA03G09500 transcript:ONIVA03G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKSNKSSPTLPTGLFKLPHPPQIYQLLLVISSRLRHRARVPYIRPPNRAPDTPSPTHHCKPIRLRTASHLQIVHAAPLKKKKKLKSYVGRGVRALVRCAAARGEVVRASIMPGAAGYSGSVKLKYVKLGYQYLVNHFLTLLLVPVMAATALELARMGPGELLSLWRSLQLDLVHILCSVFLVVFVGTVYFMSRPRPVYLVDYSCYKPPPSCRVPFATFMEHTRLITDDEKSVRFQTRILERSGLGEETCLPPANHYIPPNPSMEASRAEAQLVIFSAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISLDLARDMLQVHPNSNALVVSTEIITPNFYWGTRRDMMLPNCLFRMGAAAILLSNRRREARRAKYRLMHVVRTHKGADDRAYRCVYEEEDEQGHSGISLSKELMAIAGDALKSNITTIGPLVLPMSEQLLFFFRLVGRKLINKKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLDLSAQHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRTVKTPADGPWDDCIHRYPVDIPEVVKL >ONIVA03G09490.1 pep chromosome:AWHD00000000:3:6844839:6853919:1 gene:ONIVA03G09490 transcript:ONIVA03G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSNRLISLVSFPNYPLLSTHTSKPLRRALRSTAMASITLLSLAPAATLLHLPASTSLSTSFVAAPGPISGRRSVVLRARPRRCVTVVCSAAAAEAAEAEPAEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLRDLARGGFKDGDEISLESLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSASAKEKLEAAGCTLSLVPKRKKWLPQSYLKNQARAEEYFSKKNGGAGQHIPPQRLKLTTINTQIIALIRALGPRSIGRMTGK >ONIVA03G09490.2 pep chromosome:AWHD00000000:3:6844839:6853919:1 gene:ONIVA03G09490 transcript:ONIVA03G09490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSNRLISLVSFPNYPLLSTHTSKPLRRALRSTAMASITLLSLAPAATLLHLPASTSLSTSFVAAPGPISGRRSVVLRARPRRCVTVVCSAAAAEAAEAEPAEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLRDLARGGFKDGDEISLESLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSASAKEKLEAAGCTLSLVPKRKKWLPQSYLKNQARAEEYFSKKNGGAGESDEASA >ONIVA03G09490.3 pep chromosome:AWHD00000000:3:6844812:6852020:1 gene:ONIVA03G09490 transcript:ONIVA03G09490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDPDRGGGEPDISKLFCHYNALYFHDSLGTCAVSWATDEDPLPNREVGGCEYYPGGGGCIILLSRSLYEHHTDLDLKNALLHEMIHAYMCIKDSNDNHSDHGPKFQKLMNTINLNSVADPHRPLGGYSITVFHEIRKKFYIHKCESCGDLIKSTKIKGPSQDDCIEAMGANDSHKKRCTGSYHRVQGSSSGCVEGSKEAPDCKVEESAPGSWHNAHTSIKGGKGNKHELEETSAGFPPDDSIGISGMESSSRDTANKKIKLSKDIGLDRLTATTVQEAPKRPRTTSLKKNQECSRQKKRKISKWDGSYSVIIEWLNYYSVDESDEDEVPLINKRTERRKRQKLLKLVLARESNSGSEGASSTSFVENGRNSSSAGSYPLSQGDNDKSENVQANRVDGSSLPDHPVSSHVAAEDKAGQAASSPLNSPTRGIVVDISDG >ONIVA03G09490.4 pep chromosome:AWHD00000000:3:6844839:6853919:1 gene:ONIVA03G09490 transcript:ONIVA03G09490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSNRLISLVSFPNYPLLSTHTSKPLRRALRSTAMASITLLSLAPAATLLHLPASTSLSTSFVAAPGPISGRRSVVLRARPRRCVTVVCSAAAAEAAEAEPAEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLRDLARGGFKDGDEISLESLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSASAKEKLEAAGCTLSLVPKRKKWLPQSYLKNQARAEEYFSKKNGGAGESDEASA >ONIVA03G09480.1 pep chromosome:AWHD00000000:3:6840302:6842023:-1 gene:ONIVA03G09480 transcript:ONIVA03G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTVGQVHRHVLALASLRSCFVLGDNLPLRMLSLPRAVRFHQTAWLGTETVQDKSASLTLASLEGQNKVEYGKKEKATRIGGPKPSSRASALKVKPKVSSFNSKPAKSTLPKSAVVKKTLKIDESLFSAKSFEELGLPPLLIDRLNKEGLSTPTEVQSAAIPIISQKHDAVIQSYTGSGKTLAYLLPILSEIGPLKRPTEQYGSDKRSGVEAVIVAPSRELGMQIVREVEKILGPNDKRLVQQLVGGANRSRQEEALKKNKPLIVVGTPGRISEISAGGKLHTHGCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGTSSRDILGPLARRSERQTILVSATIPFSVIRAARSWGHDPVLVRAMSVVPLDSITVPRPVLSQTDANPNSPSNSVNQAAVDSLPPSLEHYYCISKAQHKVDTLRRCIHALEAQTVIAFMNNTKPLKDVVFKLEARGMKATELHGDLGKLARSTVLKKFKDGEFRVLVTNELSARGLDVPECDLVINLDLPTDSTHYAHRAGRTGRLGRKGTVVTICEETETFVVRKMRKQLAVPIKPCEFTEGKLLVHNEEDVE >ONIVA03G09470.1 pep chromosome:AWHD00000000:3:6836945:6842444:1 gene:ONIVA03G09470 transcript:ONIVA03G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSRPVKAAHPPSLRLLLPRSGREYAGAGKRIAAVRRTVSMMSSRGTSAMLSRAARMRQKLQSALEASALDIEDVSYQHAGHAAVKDNANETHFNIRVISPKFEGQSLVKRHRMVYDLLTDELNSGLHAISIVAKTPKEFGGVEGMMV >ONIVA03G09470.2 pep chromosome:AWHD00000000:3:6836945:6842444:1 gene:ONIVA03G09470 transcript:ONIVA03G09470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSRPVKAAHPPSLRLLLPRSGREYAGAGKRIAAVRRTVSMMSSRGTSAMLSRAARMRQKLQSALEASALDIEDVSYQHAGHAAVKDNANETHFNIRVISPKFEGQSLVKRHRMVYDLLTDELNSGLHAISIVAKTPKESGS >ONIVA03G09460.1 pep chromosome:AWHD00000000:3:6834861:6836783:-1 gene:ONIVA03G09460 transcript:ONIVA03G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJ34] MAALPAYLLLLLLILVILRPAAAPGAASQPPTSEPYLPPPLLAQKVQVWPKPTSISWPSAVYAPLSPSFSVRAVLSHPSLRQAVAFYTRLIRAERYAPLVPPANYTLSRVPVRTLTLSVSDPDVPLGPAVDESYTLSVLPDSGSADISAATPWGAIRGLETFSQLAWAGGGAASGGQPIVPSGIEISDRPHFTHRGILLDTARNFYPVRDILHTLRAMAFNKLNVFHWHITDAQSFPIVLPTVPNLANSGSYSPTMRYTENDVRHIVSFAASFGIRVIPEIDMPVYSRLSVARFGSGHTGSWAGAYPEIVTCANRFWAPHAEPALAAEPGTGQLNPLNPKTYRVAQDVLRDMVALFPDPYLHGGADEVNTACWEDDPVVRRFLAEGGTHDHLLELFINATRPFVAQELNRTVVYWEDVLLGPKVTVGPTILPRETTILQTWNDGPENTKRVVAAGYRAIVSSASYYYLDCGHGGWVGNDSRYDKQEKEREGTPLFNDPGGTGGSWCAPFKTWQRVYDYDILHGLTDDEAQLVLGGEVALWSEQSDETVLDARLWPRAAAAAETLWSGNKGSNGKKRYANATDRLNDWRHRMVERGIRAEPIQPLWCSLHPGMCNLSQ >ONIVA03G09450.1 pep chromosome:AWHD00000000:3:6830117:6833523:-1 gene:ONIVA03G09450 transcript:ONIVA03G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1 tubulin [Source:Projected from Arabidopsis thaliana (AT1G64740) TAIR;Acc:AT1G64740] MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTTPGIARDAFNTFFSETSSGKHVPRALFVDLEPTVIDEVKTGPYRQLFHPEQLISYKEDAANNFARGHYTVGREVVDLCLDRLRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAFHEQHSVPEITNSVFEPASVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTRRTVQFVDWCPTGFKCGINYQPPTAVPGGDLAKVRRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEVEDDDEEQGEY >ONIVA03G09440.1 pep chromosome:AWHD00000000:3:6826384:6829467:-1 gene:ONIVA03G09440 transcript:ONIVA03G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:copper/zinc superoxide dismutase 3 [Source:Projected from Arabidopsis thaliana (AT5G18100) TAIR;Acc:AT5G18100] MAGKAGGLKGVALIGGAGGNSAVAGALHFFQDPSTGYTEVRGRVTGLAPGLHGFHIHSFGDTTNGCNSTGPHFNPHNKSHGAPSDDERHVGDLGNIVANKDGVADIFIKDLQISLSGPHSILGRAVVVHADSDDLGRGQKSNCQSLFLCCIYARFVVVMNSVKQQEMQEQELDAVSLDFDLQFNNL >ONIVA03G09430.1 pep chromosome:AWHD00000000:3:6818893:6822002:1 gene:ONIVA03G09430 transcript:ONIVA03G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKVDAKEREKIEAVRKTLRKQAPLTAKQAMYCNDACVERFLRARGESVKKAAKHLRTVLSWRDTIGADHIIADEFSAELADGVAYVAGHDDEARPVVVFRIKQDYPKFHSQKSFVRLLVFTLEVAVSCMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVLWKGVRPFVELAPATAVVCSLDFEDSLEDASFTAYPRTASLRFEPAAALLATGTKGIGVGSASSRFSVTVSHDNTLKPWYLSTTTPSSVGPRSVVPTSSPSLIGASPLSARSFSFASPAARSTTTPPVHRGAPLTPFSTKGGQKAPAPAQQFPRTPRPSFLQSPSMLFAFKKDGQASRGERERESFLPFLRFYRRPYDEISYRAKMRPPLGGLIAIVDEKSKQHKTVQPPLRRHAGLHHQQLFQLHHHQRI >ONIVA03G09420.1 pep chromosome:AWHD00000000:3:6812578:6812748:1 gene:ONIVA03G09420 transcript:ONIVA03G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAIVGVDRRRERSTRPPATSFDVVWTMEDAAVADELRRGAWMTEDAAAGDEL >ONIVA03G09410.1 pep chromosome:AWHD00000000:3:6795274:6797010:-1 gene:ONIVA03G09410 transcript:ONIVA03G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat-shock protein 70T-2 [Source:Projected from Arabidopsis thaliana (AT2G32120) TAIR;Acc:AT2G32120] MTEQLYTVASDSETTGEDKSQMSFPDVAIGIDIGTSNCSVAVWTGHQVELLKNTRNQKGMRSYVMFKDDSLSAGVTGGATREHGHEERDILSGSAIFNMKRLIGRMDTDEVVQASKSLPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHLKHPVRNAVLTIPVAFSRFQQTRIERACAMAGLHVLRLMPEPTAIALLYAQQQQQLLHDNMGSGIEKIALIFNMGAGYCDAAVAATAGGVSQIRALSGSTVGGEDILQNVMRHLMPDFDSLYAGQTMDRIKSIGLLRMATQDAIHKLATQEHVEINVDLGGGHKVSKILDRGEFEKVNQSIFEKCEGIIKQCLADAKLTPEDINDVILVGGCSRIPKIRSVVLGLCKKDDSYSGIDPLEAAVSGAALEGAIASGVSDPSGSLDLLTIQATPMNLGIRADGGNFAAIIPRNTAVPARRDMLFTTTHDNQTEALIAVYEGEGNQAEDNHLLGYFKITGIPAAAKGTVEINVCMDIDAGNVLRVFAGVVKPQGQAVPPFIEVRMPTLDDGHGWCGQALAKMYGSTLDLATIPKKLHP >ONIVA03G09400.1 pep chromosome:AWHD00000000:3:6784748:6789020:-1 gene:ONIVA03G09400 transcript:ONIVA03G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G26340) TAIR;Acc:AT5G26340] MAGGFSVSGSGVEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLREFFPTVLKKKHEDKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFIVGVIFNGAAQNLAMLIVGRILLGCGVGFANQASNFPIILSLQAYSIISFHIISYGVKQKIRTGNLLAELVPLGEYVQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKIHPWGWRLSLSLAGIPAALLTLGALFVVDTPNSLIERGRLEEGKAVLRKIRGTDNVEPEFNEIVEASRVAQEVKHPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFNTLGFKTDASLYSAVITGAVNVLSTLVSVYSVDRVGRRMLLLEAGVQMFLSQVAIAVVLGIKVTDRSDNLGHGWAIMVVVMVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKYAIFAFFSAWVVVMSLFVLFFLPETKNIPIEEMTERVWKQHWFWKRFMDGADKHHVVPNGGKSNGATV >ONIVA03G09390.1 pep chromosome:AWHD00000000:3:6778022:6789076:1 gene:ONIVA03G09390 transcript:ONIVA03G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRSYGDDMDDDRRRFYERGPPPPPPPPRRRPGDYDGDGFDRRKGFGGGGGGGGGFYDHRYRESPSPRGYGGDRAMHRSESFSGFRREFPKGFRSERDRFRRDGGGSSAWRRQSGGWRDSEGLDGYRAAPRRSGASPPTPPLRLPSESSRRFDGARVEKSRKQSFGISEMEEGEVAPDPETKARAAAVDHRKQIEFSGHAKEKGPERGEVKKVESGVRGDLGAHGKGVAGSSAARNAGREEGKIKDSMIAEAGTVTHTRHEKSTSDAAVSTGRGHEVQGQDEAANALNQVGQCVSSSGSHKVPQEETMIRDEAANVVDAIGQSTSSDIQQEAVCEKAAVRDETANAAEEAGQRTSSSVHEEAIHEQATIRNETASDVDEAGQSTSSNIRKDAIQQKATIRDETTNAVDEAGNGTSSSNQEAAIQEKVPVQDETTNAVDESGLGTSSSIHQEGLQEEAMALDEAVDAADVVRKVSLCGMHQEALLGKTRDQTANDVDRVECSTSSGLLQVTPQEGMASIDGTANAVEPEKIDSDMLKETIEGELVLDGTADVVGEGNSPSTVKEAMHGKITAEDGCPSSALEIAKKCKQSAITEELVHEKVATSPSQGAPEMKKNEKGTISSKKMSESFEPDASQHVEEALPRDGRENIVALSDTEVPEQEAAAENKTIEKEVKGFCLEANSVGANVFLQPSKECNGDRKEEGTALNLIMGKPSAEDKGKGIAFDVLSKEEDIGVGSSVGRSFDLALQPDIDRTEVLKSSGTVSVKQEDDTPKIGRLDLSLSLSGCLQNPEFKCSVPRSESLDLATCSQMLPSSSFRTNSEGFTGSVSLTNSQTFVHNPSCSLTQQSLDNYEHSVGSKPLFKGVDKLSDSTRWQAQLSNESTKKREPTAVLQNTVKYGNLPDKTFLGVNVQNNGISKDIHRRAGISGVLSPTHSRDSHDSGFEQSRHRRQLTRERSSSSLTRGERQDGQQLVLNGAGVIERIISKIVSEPLHHTGRMLDEMTSNSVTYLREAISDIIADADKRGQVVALQEALKKRSDLNSEMLQRCPRVLLEILVAIRTGLPDFMKKSNSIGTCDLVDIFLYLKCRNLSCKSILPVDDCDCKVCQRKTGFCSSCMCIVCSNFDMASNTCSWVGCDVCLHWCHTDCGLRHSLIRKGGSGSRAYSTNELQFHCAACGHPSEMFGFVKEVFRTCAMQWRMETLVRELQYVERIFSSSDDARGKRVRDFVKQMIIKLENRAYHPEVVKYIMAFFSDDNSNAGSGTSVPLKGIPCNIAERIDGIPSSSRKAPSWLPSVTLEGVPFLEKQGVISTTGSSLTLRKFGGTDFQTVDNKPTVDELDALIRLKQAEANMYQQRANDARKEAETLKQVTMVKHAQIEEHYATQIGELHINELQEQRKRKIEELQVIERTHHRFLSMKTRMEGSIRELLLKMEATKQNFST >ONIVA03G09380.1 pep chromosome:AWHD00000000:3:6768458:6775734:-1 gene:ONIVA03G09380 transcript:ONIVA03G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISRLDPRYHRARGLHELNRLMSLRREQRRLQIETYQFLAQCAKRKESPCQQDNSQCVKRMRYSGPDLPEDIWHHIHSLLPLQDAARAACVSQAFLRFWRCHPNLIFIWKTMGLNKVPHKKGGIVRDYNNKVDRIMKNHSGGKQAQFSLEEPVRLKNLEVVFPNSVCYARVELPFSMPDIETLNVILSCEMVDTPTGPGKFLHLRYLRITFATWRFSWAYDYFSLASFLDASPSLETFILCISQKEKHDLTFKDPICLRQIPEHRHDKLKNVKITGFSATRSLVELICHIMENTTSLECLTLDTLCTQLRCSDGNIDVCLPLDQDVIKGVHNSLLAIRTYIEGKIPSTVKFNVREPCSRCHGWIGARYTGWLRPFIGARREQGTVVIGDRVG >ONIVA03G09380.2 pep chromosome:AWHD00000000:3:6768458:6775734:-1 gene:ONIVA03G09380 transcript:ONIVA03G09380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISRLDPRYHRARGLHELNRLMSLRREQRRLQIETYQFLAQCAKRKESPCQQDNSQCVKRMRYSGPDLPEDIWHHIHSLLPLQDAARAACMVDTPTGPGKFLHLRYLRITFATWRFSWAYDYFSLASFLDASPSLETFILCISQKEKHDLTFKDPICLRQIPEHRHDKLKNVKITGFSATRSLVELICHIMENTTSLECLTLDTLCTQLRCSDGNIDVCLPLDQDVIKGVHNSLLAIRTYIEGKIPSTVKFNVREPCSRCHGWIGARYTGWLRPFIGARREQGTVVIGDRVG >ONIVA03G09370.1 pep chromosome:AWHD00000000:3:6767147:6767377:1 gene:ONIVA03G09370 transcript:ONIVA03G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEATVMTKDRFAVRGVLGLGDCNYGVHVEVPATTRQSSMMALTVANSPCSPAVHHHRWLLLALCVCMYSSTSQ >ONIVA03G09360.1 pep chromosome:AWHD00000000:3:6763219:6767144:1 gene:ONIVA03G09360 transcript:ONIVA03G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLFEICLRQRLEDENVYLDRLYGIEENDIGALIHSSHPGKVFPYVNLSSCVSPITRTVLKVIYKTFRISYMETINDLLTYFDMEDHNRRFYGGQRGRGGG >ONIVA03G09350.1 pep chromosome:AWHD00000000:3:6748403:6755618:1 gene:ONIVA03G09350 transcript:ONIVA03G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGLNRLMSIQREQRCRQIEANRLLASTDKRKGSPCKQDNSRCSKRERYSQPDLPEDIWRHIHSLLSLQDAARAACVSRAFLCLWRCRPHLTFSLQTLGLSEMACKKGGIARDYNKKVDRILKKHSGTGMKKFEIEFYGPSNANTYYHLNNWLEIAITSGIEELTLRLTPDVTKYNFPCSLLSDGRGDLIQSLHLSHCSFRPTVEVVSLRSLTSLDLCLVRITDRELGILLSNSLVLEKLGIKYCDKINCLKIPCVLERLSSLEVFECYSLQMVESKAPNLCSFCFGGEQVQFSIGEPLQMKNLQVIFPNSISFGRAELPFSMPNLETLNISSRCEILQKGKHDLTLRDPIYPRQMSERQHDSLKNVKINGFSSTKSLVELTCHILQNTTSLECLTLDTTRIEFRCSDSSVDVCLPSDRDAIKGAHKALLAIRTYIEGIVPATVKFSVLEPCRRCYAW >ONIVA03G09340.1 pep chromosome:AWHD00000000:3:6745508:6745939:-1 gene:ONIVA03G09340 transcript:ONIVA03G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLRLLAIGAAAVPFMRGSRHPRGRRADAVSRPPRSSSFLCQSSSPSEPPGSPAGGGGGGGGGGGGGGGGKKSAWWAAAMAERVFGDAAKAGRAVRESLSPKQKGDWKDVTLMSFSFAVYVYISQKIVCTYCAWISMINH >ONIVA03G09330.1 pep chromosome:AWHD00000000:3:6740376:6746141:1 gene:ONIVA03G09330 transcript:ONIVA03G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRAAAKCQHEPATPPAPRILNMPRRPAGGGRPTRSAGRAQQQQRMAAPGAVNLGALFEMERRVRGLESAPASPPPYSRAARSQEDAGEQEEKWRFQAEILRAECNFLRMEREVALRKLDRHRGQMEAALKSAVETLVSGRKKIDGRGDVGVAAALDEGIEDLEEMMEDLRVEKESGRRAVSSRRELQRSNGRNFDRQASSLRRRLEKMPPADAEPCVKDIREIALPVAPQSPPPPAEHSDVDDDHSNSPNLSDVEMLRMKMEGMSKGMRERMAEYSRRLEAVAGGDNNNAADDCQSRKCGSRHSRKPSASSQRSWSGGSTNAGASRDTAASHGRSRHTVAPEKHHHHHQQHKIMSEECKMVGSGSCCDCREIVGKIMEQVRTESEQWTEMQDMLEQVRLEMQELQSSRDTWQRRAIASDISLRSLNSQVLEWKHRAQVSEQHVEDLQKKISELESKLHTFKAHFPSPGQPNQEWSEACKMEKPIRSNKAQHPPRPSHEPGGGREKEKHVLICRVKHSPSVAAKRQPFQEIGNISLPRHAPMKR >ONIVA03G09320.1 pep chromosome:AWHD00000000:3:6733642:6736363:1 gene:ONIVA03G09320 transcript:ONIVA03G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKLHLASISALSIFLYVFAHTNSAQAFPVADGTYPPEAEGPAAESSDMDEQLELTPGPQPRVVDVDDYRARADAGDHTEAFLQAWKEACNSSDYPSVLLVPEGKTYLLMPVSFNGPCRATTITATIRGTLEAPSNRSVWLDRDLQEWITFDNIDHLRVLGGGTLNGNGHQWWINSCKTNRSMRCVTGPTALYFRRCNHLVVEGLQIRDSMQMHVVIAYSWRVLVSRLLITAPGWSPNTDGIHVSNSREVLMSGCIISTGDDCISIVTGSMFIRATGIFCGPGHGISIGSLGANKSWAHVSDVLVEKATLVGTTNGVRIKTWQGGDGHAERITFQDITMHNVTNPVIIDQNYCDSMTPCHEQGSAVAINNIRYRNIRGTSSSKVAINFVCSNSVHCDGIVMQDVSLVGEGSYVSCSYMNARVVELGYNFPYCRAEM >ONIVA03G09310.1 pep chromosome:AWHD00000000:3:6713575:6724310:-1 gene:ONIVA03G09310 transcript:ONIVA03G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJ15] MDGYSTGSGPLSRQRARRERFYGLFIREIGKPREAAAAEAAAYSSIGAAADVVISGASASLPLREAGISTGASGSLLGCEHREHVQLRLRQEQNTYVSPPGTGMAGLKKMEEVTAAAAAVAASSTAEKRAAAVVVPDAALTMNGAAGAEEKTAAAAAALEDLPAPAALSGWPRRVGLYLFVMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKCIEENSSQDLEKASPVDSETNNLPCTNPSDQGCKRKYIPSVTSALIVGSFLGLLQAVFLVFSAKFVLNIMGVKNDSPMLRPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVISQYLITMILLCRLIRQVDVIPPSLKSLKFGRFLGCGFLLLARVVAVTFCVTLASSLAARHGPTIMAAFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDKGKVVVATSRVLQLSIVLGMGLTVVLGVGMKFGAGIFTKDIDVIDVIHKGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVGVAAISIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWVFLRK >ONIVA03G09310.2 pep chromosome:AWHD00000000:3:6713575:6723691:-1 gene:ONIVA03G09310 transcript:ONIVA03G09310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJ15] MPHVTAGGLWHTSRRRRRLLIVLCRVAPVAAADVVISGASASLPLREAGISTGASGSLLGCEHREHVQLRLRQEQNTYVSPPGTGMAGLKKMEEVTAAAAAVAASSTAEKRAAAVVVPDAALTMNGAAGAEEKTAAAAAALEDLPAPAALSGWPRRVGLYLFVMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKCIEENSSQDLEKASPVDSETNNLPCTNPSDQGCKRKYIPSVTSALIVGSFLGLLQAVFLVFSAKFVLNIMGVKNDSPMLRPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVISQYLITMILLCRLIRQVDVIPPSLKSLKFGRFLGCGFLLLARVVAVTFCVTLASSLAARHGPTIMAAFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDKGKVVVATSRVLQLSIVLGMGLTVVLGVGMKFGAGIFTKDIDVIDVIHKGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVGVAAISIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWVFLRK >ONIVA03G09310.3 pep chromosome:AWHD00000000:3:6713575:6717492:-1 gene:ONIVA03G09310 transcript:ONIVA03G09310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJ15] MAHSCVAPPHRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKCIEENSSQDLEKASPVDSETNNLPCTNPSDQGCKRKYIPSVTSALIVGSFLGLLQAVFLVFSAKFVLNIMGVKNDSPMLRPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAVAHVISQYLITMILLCRLIRQVDVIPPSLKSLKFGRFLGCGFLLLARVVAVTFCVTLASSLAARHGPTIMAAFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDKGKVVVATSRVLQLSIVLGMGLTVVLGVGMKFGAGIFTKDIDVIDVIHKGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVGVAAISIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWVFLRK >ONIVA03G09310.4 pep chromosome:AWHD00000000:3:6717529:6724310:-1 gene:ONIVA03G09310 transcript:ONIVA03G09310.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJ15] MDGYSTGSGPLSRQRARRERFYGLFIREIGKPREAAAAEAAAYSSIGAAADVVISGASASLPLREAGISTGASGSLLGCEHREHVQLRLRQEQNTYVSPPGTGMAGLKKMEEVTAAAAAVAASSTAEKRAAAVVVPDAALTMNGAAGAEEKTAAAAAALEDLPAPAALSGWPRRVGLYLFVMNIRLVRPLLLPWQ >ONIVA03G09300.1 pep chromosome:AWHD00000000:3:6708612:6712915:1 gene:ONIVA03G09300 transcript:ONIVA03G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MDPPPRPRPHRVAVLLLLLLASSPAARAWKKDEFRNCNQTPFCKRARTRAPHSLDAPLSLDAASLAVATDGSLTASLSHPSRLRPLLLRLSALPPHALRLQIDEDYSSNTPPHRRFQVPDVLLPDVEARTLHLPQPKTSAAGVSTFALSSDVDVVVKHDPFELTVRRAGSGAPVLSFNSHGLFDFEPLQESKQEGETWEEQFRSHTDTRPRGPQSITFDVSFYGADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHESPFGLYGSIPFMIAHGDGPSSGFFWLNAAEMQIDVLAPGWDGASSTENGRIDTLWMAEAGVVDAFFFVGSEPKDVIKQYISVTGTPSMPQQFAVAYHQCRWNYRDEEDVAGVDSGFDEHDIPYDVLWLDIEHTDGKRYFTWDHSAFPNPEVMQGKIADKGRKMVTIVDPHIKRDSSFHLHEEATAKGYYVKDATGKDFDGWCWPGASSYPDMLNPEIREWWADKFSYENYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDAVHYGDVEHRELHNAYGYYFHMATADGLLKRGEGKDRPFVLSRAFFAGSQRYGAIWTGDNSADWDHLKSSIPMVLTLGLTGMTFSVGALTGADIGGFFGNPEPDLLVRWYQVGAFYPFFRGHAHHDTKRREPWLFGERRTALMREAIHMRYSLLPYYYTLFREASVTGVPVMRPLWLEFPDDKETYNNGEAFMVGPSLLAQGIYEEGQKSVSVYLPGEELWYDLRNGSPYKGGVSHKLEVSEDSIPSFQRAGAIVPRKDRFRRSSTQMVNDPYTLVIALNSSSAAEGELYVDDGKSYDYQQGAFIHRRFVFADNKLTSMNIAPKNLGNKKFSTECVIERIIILGVSSGSKKAIVEPGNHEVDIELGPISLRSGSSSVAPTVRKPNVRVVDDWTIRIA >ONIVA03G09290.1 pep chromosome:AWHD00000000:3:6706321:6706593:1 gene:ONIVA03G09290 transcript:ONIVA03G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEETRLCEEKGKRRRCARRCSEHRRQQEKDELAVLVKREHPSGAVAVDAARHERLDRAAASDSAAATCDLWSAFDSMTRRKDTLDGLKL >ONIVA03G09280.1 pep chromosome:AWHD00000000:3:6702781:6703833:-1 gene:ONIVA03G09280 transcript:ONIVA03G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTGIGADEQELPLFHPSPCAYYVQSPSAASHTLSHPASESTAIILSPFPDAAFAAPRHSRVVDDATAHDHDVDQEASRLTLSRYSSSRGSNNSFLAADKKLPAGGGHRGRQVLSGRSGGGVDDEEEDDSEGARSGAWRYVKLDPDAPCCCIVFQVAWRVAVSVAFALLVFFVATKPRDPGVTFKVGKIQQFSLGEGLDGSGVITSFLSCNLTVAMAVDNHSRVFTLHVRPPRLDMSFGRFTFATSQGVEEEGSSYDVGARGKRTVRLFVAAEGKPMYAAGRGMQDLLESGGGLPLAVTVRARSRYRMVGSLVRLSYRHDAQCVVRLRRTPRRNNAIDASGYTCSAIR >ONIVA03G09270.1 pep chromosome:AWHD00000000:3:6698557:6701628:-1 gene:ONIVA03G09270 transcript:ONIVA03G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSGDVVKWTKRISALARSGRAAEAVAAFGRMDAAPNALTLASVLPACARLGDLALGRAIHGLWLRRGGGHGANPIVDNAVLDVYAKCGALASARRLFDEMPERDVFAWTTMVWGLARNGSPQDAVAMFRGMLSDGDAAPNDATVVSALHAVATSGSLVSCKLLHSYAVKQGLGGELVVGNALIDAYAKCGDAWLAFKVFVELPETDMVSWGTITRAMAVHGRCREALQLFSLMLRRGVRPDGAVFLALLTACCHAGRVDQALLFLGAMARVYGISPRREHYTCVLDACGRAGQLDRAGEIFRQMPAEYDAEKALGVYCSYAVSNGVAGVAGERLPELFLDGEVDAGGGTYAVVSKSLADAGRWEDACAVRERMAERRIEKEAAYNIFSGTN >ONIVA03G09260.1 pep chromosome:AWHD00000000:3:6696848:6698449:1 gene:ONIVA03G09260 transcript:ONIVA03G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPTLPRRCSHDPKLSSFLSALASLTASPSSSSSSPSPPAGSVAASPTPAAYNALMSAYSRAGRHGEVLRLFRSLPFAPTAPLFTTLISSLAASGRPLAARAAFASLLKSGVPPTASAFTALLRSSSDALDFVDSVFRAMEALGCSPDAAVYNWVISMLCDFQLVQEALGFLDHMLENGPRPTARSFTAILRAYCEQGRFFDAGRLVDTMIQNGCPPDVVSYTVLIEGLCRVGEFSTVEMILGESESQGWMPTAVTYNIYMSGLCRMGFLDEAFRQVDIMRGRGLSVTAETVHILFDCLCRNAMFSEAVCLLEHSEELGWDVDVFCYNTLMSRLCDVDDFARVLKLLVDLLKKGIGPDKFSFTIAIRSLCRAGKLRLAKCLIENKGIKYDVVAFNTLIHGFCIAGDLDRVQQTRTDMINRDVIPNNFTDAMLIDSLCKERKFGEAKRFVLDSLVNGLVPDHLIRLNNWLVKAKKMTLLLKLLYEIRCKGIVVDTSIISPLVRVFCWEGYCRRDNFYQISPILDIIVTYVEQ >ONIVA03G09250.1 pep chromosome:AWHD00000000:3:6678121:6679014:-1 gene:ONIVA03G09250 transcript:ONIVA03G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEGGGGAASVRDQPPHDFANVASFSELPFLRPAPAPRESPNNSAIRLFGIDVPHASSSPDGKASKESVVVSTAAAAASTHGAAAAAIAAAADSSRKFECHYCCRHFPTSQALGGHQNAHKRERQHAKRVQMQTAMAAAAAAASGAHHHHHHHQLLGYPQHHHRFGLAGPTVAALYQHPSWPTMSGGGGGISSIGPQFYSGIGSVTQPINGSPLPAGLWRPGPMAAPPLLAGERRPVAVSTSSVFRGDEPRASASLVASQTASSSLLLSPQGQFACEQPPATTAAEGVSLDLHL >ONIVA03G09240.1 pep chromosome:AWHD00000000:3:6670432:6676351:1 gene:ONIVA03G09240 transcript:ONIVA03G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTDQMKERHNRRPRRGALPAPRETPNSQKTTARRAAGRTELAIRDDAAGAVAAASAVQRRAATRQAYFSRVSPTSQPYPLDALHVFLPFPPRMAARLASEPPFASPLAAEARGVLSPSCAAGVGRSCGLDDRKRRCDWALTGYNGLLRSNSRLSHISGPHFLHQTEHWLLQMGCTASYRLSNDHTWVCPKGAGHHRLMLKLRSHSDGGNGSLAVRWFALAAVTF >ONIVA03G09230.1 pep chromosome:AWHD00000000:3:6668291:6670321:-1 gene:ONIVA03G09230 transcript:ONIVA03G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G16390) TAIR;Acc:AT4G16390] MASPSSLLSWPHRAISLSFQPKNPSPSPATARVSVQDPPPPPSDANPSPGRSSNTSRYVWVNPNSPRAAGLARARAGSGRRARLAAAAAALAACEAGEAPVAAALEAAFPEPPSEQDAVIVLNTTSARPAAVVLALRWFLRNAEVRKEVILYNVALKALRKRRRWSDAEALWEEMLREGVQPDNATFSTVISCARACGMPGKAVEWFEKMPDFGCSPDMLTYSAVIDAYGRAGDAETALRLYDRARAEKWQLDPVICATVIRVHSSSGNFDGALNVFEEMKAAGVKPNLVVYNTVLDAMGRAMRPWVVKTIHRELVSQEAVPNKATYCCLLHAYTRARYGEDAMAVYRVMKDEVMDIDVVLYNMLLSMCADIGYVEEAEEIFRDMKASMDSRSKPDSWSYSSMVTLYSCTGNVAGAEGILNEMVEAGFKPNIFILTSLIRCYGKAGRTDDVVRSFAMLEDLGITPDDRFCGCLLTVAAGTPADELGKVIGCIDRSSAQLGAVVRLLVDAAAPSEPLREAAGELLGGARGVVRMPYCNCLMDLAVNLSQMEKACALLDVALRLGIYSNVQTRTQTQWSLHLRGLSVGAALTTLHVWMSDLYAALQAGDELPPLLGIHTGQGKNTYSYKGLATVFESHLKELDAPFHEAPDKAGWFLTTSVAARHWLETKKSAELVAV >ONIVA03G09220.1 pep chromosome:AWHD00000000:3:6664468:6669940:1 gene:ONIVA03G09220 transcript:ONIVA03G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-5'-phosphate-dependent enzyme family protein [Source:Projected from Arabidopsis thaliana (AT1G55880) TAIR;Acc:AT1G55880] MAAATAAAGAAAAAAAVSLLACYLLLHRDGAKLPWVGPTTRSSRSSGRRTRRKGLVEAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALKSGDLLCGGTVTEGSAGSTAISLAIVAPAYGCKCHVVIPDDAAIEKVSQIIEALGATVERVRPVSITHRDHFVNIARRRALEANKSAAAQRESRYKETNGSAHVNTRIMHSKITASKGESNKALTNCSANSEIQYNGKCDHDSDSKGGFFADQFENMANYRAHYEWTGPEIWQQSKGTLHAFVAAAGTGGTIAGVSRYLKEKNTNIKCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTKNFMMAELDGAYRGTDREAVEMSRFLLKNDGLFVGSSSAMNCVGAVRVAQDLGPGHTIVTILCDSGMRHLSKFFNDQYLANHGLTPTATGLEFLD >ONIVA03G09210.1 pep chromosome:AWHD00000000:3:6654393:6663380:1 gene:ONIVA03G09210 transcript:ONIVA03G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated proteins 70-5 [Source:Projected from Arabidopsis thaliana (AT4G17220) TAIR;Acc:AT4G17220] MGSLGEVVEHGVSKDMLPFDGHPDPVVDELNRLENLLREKDRELGHAYNEIKGLKVTEALKDKAIAENLDVKRLSNERKEALSAQFAAEATLRRIHSSQKDEEVVPFDAIIAPLESDIKAYRHEVTPETALKQLPDDQLSGQFSLTSVLYDDIVAARLGCQIALLQDDKKALERHLKLKEAALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKSNRQQVLDIERLTHTIAELEESILSTGDVANAEEKRTLERELARAKVYVNRVASTTANEWKDDADKLMPVKRWLEERRLLQGEIQRLRDKIAMAEKSAKAEAQLNDKLRRKLKALEDDMRNESSNTSASNKDNATSKQATPKRSSSQPRRPIISADGADKRRPASQPRASVSGKVLNKQPGSETEAAEKNRHAAAKRFDSPRSAKSVAAGGRGERPVRSHLWAHRSKVADDAGKENKEQNPNYKAHLGDSHADGDCGVQCSEHEEAMDLRKLDEGKADDSDAVKSTKDSCEI >ONIVA03G09210.2 pep chromosome:AWHD00000000:3:6654393:6663380:1 gene:ONIVA03G09210 transcript:ONIVA03G09210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated proteins 70-5 [Source:Projected from Arabidopsis thaliana (AT4G17220) TAIR;Acc:AT4G17220] MGSLGEVVEHGVSKDMLPFDGHPDPVVDELNRLENLLREKDRELGHAYNEIKGLKVTEALKDKAIAENLDVKRLSNERKEALSAQFAAEATLRRIHSSQKDEEVVPFDAIIAPLESDIKAYRHEVTPETALKQLPDDQLSGQFSLTSVLYDDIVAARLGCQIALLQDDKKALERHLKLKEAALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKSNRQQVLDIERLTHTIAELEESILSTGDVANAEEKRTLERELARAKVYVNRVASTTANEWKDDADKLMPVKRWLEERRLLQGEIQRLRDKIAMAEKSAKAEAQLNDKLRRKLKALEDDMRNESSNTSASNKDNATSKQATPKRSSSQPRRPIISADGADKRRPASQPRASVSGKVLNKQPGSETEAAEKNRHAAAKRFDSPRSAKSVAAGGRGERPVRSHLWAHRSKVADDAGKENKEQNPNYKAHLGDSHADGDCGVQCSEHEEAMDLRKLDEGKADDSDAVKSTKDSCEI >ONIVA03G09210.3 pep chromosome:AWHD00000000:3:6658139:6663380:1 gene:ONIVA03G09210 transcript:ONIVA03G09210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated proteins 70-5 [Source:Projected from Arabidopsis thaliana (AT4G17220) TAIR;Acc:AT4G17220] MGSLGEVVEHGVSKDMLPFDGHPDPVVDELNRLENLLREKDRELGHAYNEIKGLKVTEALKDKAIAENLDVKRLSNERKEALSAQFAAEATLRRIHSSQKDEEVVPFDAIIAPLESDIKAYRHEVTPETALKQLPDDQLSGQFSLTSVLYDDIVAARLGCQIALLQDDKKALERHLKLKEAALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKSNRQQVLDIERLTHTIAELEESILSTGDVANAEEKRTLERELARAKVYVNRVASTTANEWKDDADKLMPVKRWLEERRLLQGEIQRLRDKIAMAEKSAKAEAQLNDKLRRKLKALEDDMRNESSNTSASNKDNATSKQATPKRSSSQPRRPIISADGADKRRPASQPRASVSGKVLNKQPGSETEAAEKNRHAAAKRFDSPRSAKSVAAGGRGERPVRSHLWAHRSKVADDAGKENKEQNPNYKAHLGDSHADGDCGVQCSEHEEAMDLRKLDEGKADDSDAVKSTKDSCEI >ONIVA03G09200.1 pep chromosome:AWHD00000000:3:6650153:6652744:-1 gene:ONIVA03G09200 transcript:ONIVA03G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSQYPFNSDGLGWSGSIRTDDLRFLECYDLGHCNNYATSMAAKTRRSSNAQLADAEDMKGASQLKDTNFLNDEKGYKLSAYGFGHIKEDHTKLAMPD >ONIVA03G09190.1 pep chromosome:AWHD00000000:3:6629505:6637596:-1 gene:ONIVA03G09190 transcript:ONIVA03G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex subunit 6 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GJ01] MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCMYKTLERYRSCNYNSQDAAAPENEINYQEYLKLKTRVEFLQTTQRNILGEDLGPLSMKELEQLENQIEVSLKQIRSRKNQALLDQLFDLKSKEQQLQDLNKDLRKKLQETSAENVLHMSWQDGGGHSGSSTVLADQPHHHQGLLHPHPDQGDHSLQIGYHHPHAHHHQAYMDHLSNEAADMVAHHPNEHIPSGWI >ONIVA03G09180.1 pep chromosome:AWHD00000000:3:6617531:6624978:1 gene:ONIVA03G09180 transcript:ONIVA03G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSPSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSFLSPRPPMVQPLSPTDHPLGPFQGPCTDCRRNQPLPLVSPTSNEGSPRAPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSSTVSTSNSNPEPRVVAAPIPHQERANEQVVESFDIFKQMERSG >ONIVA03G09170.1 pep chromosome:AWHD00000000:3:6589620:6591876:-1 gene:ONIVA03G09170 transcript:ONIVA03G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRASRFKMSNLSEPSKEAASADDSSAVQKTGAWSNTLNILLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVVGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLEMLLMGELNQMKGDSAKVTNWLSSDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPKAEPKEENDEEQQKLLEMQQGLESSSTQKQASS >ONIVA03G09160.1 pep chromosome:AWHD00000000:3:6581582:6587935:-1 gene:ONIVA03G09160 transcript:ONIVA03G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGGNFNPQYRHAAPPPPPQQAGVTGGFPQQPLPPPPPRMAQYPQPPAMAAPPPGPYQHGMPLVQNQAYPFAQMHQMPMLPQQRGYAQMPMPGPPSQPPPPQAMYQAHPQYPMPGSLPPPPPRPPSFAPENALPPSSPPPPPPPPPPPSSPPPVPPSPTAAPTTGQSWNSEPERKEGATATDVGHDVKTEKVTNQLIVSDDSDMDMDADEDSPSREHVSPINSSLATAECTGNVNTRKPACDVSNLGKDSGDDSEDEDGACAVSNLVPLTENNEPVHSIGTNPDIGHQLLTEAAPCTERSLEDREHQLMSKSNPVKHDSDELGHPIKEDLSGNDSDRGQQTRRHGRSQWKRSRGQSPQGRRSCSPLSQSLSSGRQSNSPLAKRANLLESKSPDGMGQTFRAQPGVKLSISKDGFYNDKHDSPVKVATPFDIHPAGGHISGDRISEQDGLMGTKKFNGSPDDIDCNEKTNDASVGSFGPHGHGAVLTCGPSQSVASSANGSDPHKMQRSGRASIPQSDMDKSSLGAHQSLSSQPPGISFATVHATEKNMMCDVLQPHSQNLCPPGQMPSGLRPAHIPSSNITPHPGQQLLSTPEFPQMHFHPNVMAPANEFLQSQMQTYPAPDLPHPRPLDFHPHTLQPVVPPHQQPAAMVHSSFQRFTPNLPGSTEFGAISDTDLPKSSIKPHYNPFASTFEQTDPTLNIGCDVIPNPVESASTKAAEHANALSPFGLSVPGSGTHVRENSAEVVSSRQKQPHREFTSSAPYDPLLDSIEPSSSSINKMDLGREANLSASNHNASKIVNIEVESKNMHGLGLVAESEVEEFGEVAADTEAGVVDNLSPEPLGAKDWSSDMPGDIDNDESVDKNKRTKDSRSMKLFKVAIADFVKEVLKPSWRQGNISREAFKTIVKKTVDKVSSSVPNNHIPKTPAKIKQYVQSSQKKVTKLVMSFSSKGFRVPTTTRSTTLSTFPTHSASSPAAPPPPASSHLGDELCCCQPFPTPHTLATNSTIGGLFHWSSVGARPPPELERIPPGARWCYPNLARRGVALPDMELGLHQSSSISDLELDGAA >ONIVA03G09160.2 pep chromosome:AWHD00000000:3:6581582:6587935:-1 gene:ONIVA03G09160 transcript:ONIVA03G09160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQGGNFNPQYRHAAPPPPPQQAGVTGGFPQQPLPPPPPRMAQYPQPPAMAAPPPGPYQHGMPLVQNQAYPFAQMHQMPMLPQQRGYAQMPMPGPPSQPPPPQAMYQAHPQYPMPGSLPPPPPRPPSFAPENALPPSSPPPPPPPPPPPSSPPPVPPSPTAAPTTGQSWNSEPERKEGATATDVGHDVKTEKVTNQLIVSDDSDMDMDADEDSPSREHVSPINSSLATAECTGNVNTRKPACDVSNLGKDSGGKAKTTNVTDEGRSTFQLIQGYASDDSEDEDGACAVSNLVPLTENNEPVHSIGTNPDIGHQLLTEAAPCTERSLEDREHQLMSKSNPVKHDSDELGHPIKEDLSGNDSDRGQQTRRHGRSQWKRSRGQSPQGRRSCSPLSQSLSSGRQSNSPLAKRANLLESKSPDGMGQTFRAQPGVKLSISKDGFYNDKHDSPVKVATPFDIHPAGGHISGDRISEQDGLMGTKKFNGSPDDIDCNEKTNDASVGSFGPHGHGAVLTCGPSQSVASSANGSDPHKMQRSGRASIPQSDMDKSSLGAHQSLSSQPPGISFATVHATEKNMMCDVLQPHSQNLCPPGQMPSGLRPAHIPSSNITPHPGQQLLSTPEFPQMHFHPNVMAPANEFLQSQMQTYPAPDLPHPRPLDFHPHTLQPVVPPHQQPAAMVHSSFQRFTPNLPGSTEFGAISDTDLPKSSIKPHYNPFASTFEQTDPTLNIGCDVIPNPVESASTKAAEHANALSPFGLSVPGSGTHVRENSAEVVSSRQKQPHREFTSSAPYDPLLDSIEPSSSSINKMDLGREANLSASNHNASKIVNIEVESKNMHGLGLVAESEVEEFGEVAADTEAGVVDNLSPEPLGAKDWSSDMPGDIDNDESVDKNKRTKDSRSMKLFKVAIADFVKEVLKPSWRQGNISREAFKTIVKKTVDKVSSSVPNNHIPKTPAKIKQYVQSSQKKVTKLVMSFSSKGFRVPTTTRSTTLSTFPTHSASSPAAPPPPASSHLGDELCCCQPFPTPHTLATNSTIGGLFHWSSVGARPPPELERIPPGARWCYPNLARRGVALPDMELGLHQSSSISDLELDGAA >ONIVA03G09150.1 pep chromosome:AWHD00000000:3:6575778:6580131:1 gene:ONIVA03G09150 transcript:ONIVA03G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFVESQREARPELADPYADLADLYQRKLWHQLTLKLDHFLQLPAAQTGDTLIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAISFLEGVITKLRETKDLRINEPILYVKMQIAAINLEKGNQKECKKLLDEGKSTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPINCKASKINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALSAQPALVQNERKLLEKINILCLMEIIFSRASEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >ONIVA03G09150.2 pep chromosome:AWHD00000000:3:6575778:6580131:1 gene:ONIVA03G09150 transcript:ONIVA03G09150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFVESQREARPELADPYADLADLYQRKLWHQLTLKLDHFLQLPAAQTGDTLIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAISFLEGVITKLRETKDLRINEPILYVKMQIAAINLEKGNQKECKKLLDEGKSTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALSAQPALVQNERKLLEKINILCLMEIIFSRASEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >ONIVA03G09140.1 pep chromosome:AWHD00000000:3:6570587:6573154:-1 gene:ONIVA03G09140 transcript:ONIVA03G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHLAVFTTASLPWMTGTAINPLFRAAYLAKDGDREVTLVIPWLSLRDQELVYPNKIVFGSPLELEAYVRRWIEERISFRPSFSIKFYPAKFSKELRSILPVGDITECIPDEVADVAVLEEPEHLNWYHHGRKWKNKFRRVIGVVHTNYIAYVKREKNGQAIACFMKYMNTWVTRIYCHKIIRLSGATQDLPRSVVCNVHGVNPKFLEVGKLKLKQLRNGEIAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLVGLEVDLYGSGEDSDEVRKSAELLGLAVKVHPGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRTYDSGEEFVQLTLDALAEQPAPLTDMQSHDLSWEAATERFMEAAELNLPISAEPRIHQTSKASLPAFMRTRKLKQSLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCNDLGLAPAKKKRLKLKLMT >ONIVA03G09140.2 pep chromosome:AWHD00000000:3:6570587:6573154:-1 gene:ONIVA03G09140 transcript:ONIVA03G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHLAVFTTASLPWMTGTAINPLFRAAYLAKDGDREVTLVIPWLSLRDQELVYPNKIVFGSPLELEAYVRRWIEERISFRPSFSIKFYPAKFSKELRSILPVGDITECIPDEVADVAVLEEPEHLNWYHHGRKWKNKFRRVIGVVHTNYIAYVKREKNGQAIACFMKYMNTWVTRIYCHKVTSTPYITTVKIPRIMIIRLSGATQDLPRSVVCNVHGVNPKFLEVGKLKLKQLRNGEIAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLVGLEVDLYGSGEDSDEVRKSAELLGLAVKVHPGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRTYDSGEEFVQLTLDALAEQPAPLTDMQSHDLSWEAATERFMEAAELNLPISAEPRIHQTSKASLPAFMRTRKLKQSLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCNDLGLAPAKKKRLKLKLMT >ONIVA03G09130.1 pep chromosome:AWHD00000000:3:6559876:6564619:-1 gene:ONIVA03G09130 transcript:ONIVA03G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSRDLLCGIGKGGDGPRGEVRPRVDMEAEEVELNLGLSLGGRFGLDRRGEKLARSSSVAAILAAPTEPSAPPSGLFRTSSLPTVAAAEAAKKQGVDELSCRRPSGGAEAEPAAARLPASGSPSSGSSDGEGRRLEVNMTDTLMRTSSLPAGIDDEWRKRKEAQSLKRLEVKRKRIERRNSLTSNISKEAVGQILEEMNAGAEKVESCDDVATGNKKTGGNVNHSSDRNRCTGLPPVHRATYTQQRGSLSGIPTKHIPAMKGSADAEEHNVPSAATEHRNGAAIATPPFSALAVRAVALASRGEQLRATGRVAARAKSMGDVERIMMQEMPCVCTKGLPNGKRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVANPLRHIVVNPIPPSLY >ONIVA03G09120.1 pep chromosome:AWHD00000000:3:6555792:6559294:1 gene:ONIVA03G09120 transcript:ONIVA03G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAAPSVTPGAVAFVLENASPDAATGVPVPEIVLQVVDLKPIGTRFTFLASDGKDKIKTMLLTQLAPEVRSGNIQNLGVIRVLDYTCNTIGEKQEKVLIITKLEVVFKALDSEIKCEAEKQEEKPAILLSPKEESVVLSKPTNAPPLPPVVLKPKQEVKSASQIVNEQRGNAAPAARLAMTRRVHPLISLNPYQGNWIIKVRVTSKGNLRTYKNARGEGCVFNVELTDVDGTQIQATMFNEAAKKFYPMFELGKVYYISKGSLRVANKQFKTVHNDYEMTLNENAVVEEAEGETFIPQVQYNFVKIDQLGPYVGGRELVDVIGVVQSVSPTLSVRRKIDNETIPKRDIVVADDSSKTVTISLWNDLATTTGQELLDMVDSAPIIAIKSLKVSDFQGLSLSTVGRSTIVVNPDLPEAEQLRAWYDSEGKGTSMASIGSDMGASRVGGARSMYSDRVFLSHITSDPNLGQDKPVFFSLNAYISLIKPDQTMWYRACKTCNKKVTEAIGSGYWCEGCQKNDAECSLRYIMVIKVSDPTGEAWLSLFNDQAERIVGCSADELDRIRKEEGDDSYLLKLKEATWVPHLFRVSVTQNEYMNEKRQRITVRSEAPVDHAAEAKYMLEEIAKLTGC >ONIVA03G09110.1 pep chromosome:AWHD00000000:3:6543674:6555345:1 gene:ONIVA03G09110 transcript:ONIVA03G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RS2-interacting KH protein [Source:Projected from Arabidopsis thaliana (AT3G29390) TAIR;Acc:AT3G29390] MAAAAAVLHALVALSLAGAVAAAGRGGEQPLSRIGIHRTTFAIQPGASVDASPLLLGLEGQDREWVTLTYNNPKPSKDDWIGVFSPANFSDSTCPSESQWVEPPLLCTAPIKFIFANYKNLDYEKTGKGSMKLQLINQREDFSFALFSGGLSNPKLIAHSKRVTFTNPKAPVYPRLAQGKSWNEMTVTWTSGYGTNEATPFVKWGLQGQIQSLSPAGTLTFSRSTMCGPPARTVGWRDPGFIHTSFLKDLWPNFKYTYRIGHRLSDGSIIWGHEYSFQAPPYPGEDSLQRVVIFGDMGKAEADGSNEFNDFEPGSLNTTYQLIKDLKNIDMVIHIGDICYANGYLSQWDQFTAQVEPIASSVPYMVGSGNHERDWPGSGSFYGNLDSGGECGVPAQNMFYVPAENREQFWYSIDYGMFRFCIANTELDWRPGTEQYKFIEHCFSSVDRQKQPWLIFLAHRVLGYSSASFYVEEGTTEEPMGRESLQPLWQKYKVDIAMYGHVHGYERTCPVYENVCVAKAASHYSGAFTATTHVVVGGGGASLADYAGVRARWSHVQDRDYGFAKLTAFNHTALLFEYVRSRDGSVHDSFTVSRDYRDILACGVDNCPTTTLAS >ONIVA03G09110.2 pep chromosome:AWHD00000000:3:6543674:6555345:1 gene:ONIVA03G09110 transcript:ONIVA03G09110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RS2-interacting KH protein [Source:Projected from Arabidopsis thaliana (AT3G29390) TAIR;Acc:AT3G29390] MTEDRAPKVPDEPAAAAAKQRKKRKWDQPAEDVVAAAAAAAAVAGLPVVNIGALSGVSIPGAAGPLGNIVAVPYTLPVHLAPSVLQTAAAAVQKLSQAKMPDELIAREIVINDADPSVRYKLTKRQTQEEIQRCTSTVIITRGRYHPPNGQTDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGPNPEGTIQSNGQAVHPFSASIFLGFHADPSLNVAARVRGPNDQYINHIMNETGVTVVLRGKGSGTPVNCHAEASQQPLHLYISSMHVKNLEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLDGVQTSGTIPDVHPTLGPNVLTGASHSFASTGANASLVAPSVTSQSGAPSYSVVPPPSNLICPSQPANGGTFYGGYGGIYPQATPLQQVALTLKHASSSSTQVVSATSTSTSTVAMVNPCSHAEADKRSQRRKFQELPVSQGATTEVQVFSLTLSEAHRVNSQQRSKFVKTGLDGLGNMTNSSIEPPIKVQPGSNGMLLQDQPHVSAHPSASKNMLPPPPPPPRNMLPPPPKSMPPPPPKFPSNEMSRNEDRCADLNKPMAPPKSMPPPPPKSMPPPPPKFPSNEMSRNEDRRSDLNKPMAPLRSLDVSSVSPPNLYSAQLPSKEPRVVKPGGASVSDTLLKLMDYGDDDEEDNIDGTNSVLGGNPTSISGQKPFWAV >ONIVA03G09100.1 pep chromosome:AWHD00000000:3:6537718:6541106:-1 gene:ONIVA03G09100 transcript:ONIVA03G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G74240) TAIR;Acc:AT1G74240] MAASPSAPPPPAAAAGVGVWSPAPQSPSPNLANFFVWREFVWGAIAGAFGEGMMHPVDTLKTRLQSQAIITGAKAQKNIFQMIRTVWVSDGLKGFYRGISPGVTGSLATGATYFGVIESTKTWLEHSNPNLSGHWSHFIAGGIGDTLGSFIYVPCEVMKQRMQVQGTKKSWALTATKGNISQTPGAPMYNYYNGMFHAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKELTEYGKRKYLPESNLHASSSFEGLLLGGLAGGFSAYLTTPLDVIKTRLQVQGSTTSYNGWLDAITKTWANEGMSGLFKGSIPRIIWYIPASAFTFMAVEFLRDHFNEKIDTDARELTGLSMDTRSEVEEAA >ONIVA03G09090.1 pep chromosome:AWHD00000000:3:6531591:6536542:-1 gene:ONIVA03G09090 transcript:ONIVA03G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT1G13770) TAIR;Acc:AT1G13770] MHTSPIVISTRESDKKKQKLHQSPRLELSRSPRRALHCTRKQSSEPWRRRARGGVRSRSAPSAWAAGLRGGGRLVGIMDSSRFRSAAATEAAEAEAAGWVTVEEWAGSSAAALSRTAVLTASPSSSLASRRFGSRWGRVGGRLLGAFVPEGFPGSVTPDYVPFQMWDTLQGLSTYIRAMLSTQALLGAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQVSNSLANSNRQCHRIAIDSLFTDEITCLGSNLDSNAKMWRLVADFMNDLGMLMDLLSPLFPSSLIVIMCLGSLSRSFTGVASGATRAALTQHFALANNAADISAKEGSQETLATMLGMGLGMLLAHVTRGHALGVWVSFLSLTIFHMYANYKAVQSLSLTTLNYERSSIMLQYFMDNGEENYFLLDKEGSVHIFIHKQAAATDILMSFIHGLVLAHLMQKSKSGHAEARQWIDEKYNTFISKLQVEGYSTERLLSHSIVWRAHWVHGPSEEKLE >ONIVA03G09080.1 pep chromosome:AWHD00000000:3:6523680:6529063:-1 gene:ONIVA03G09080 transcript:ONIVA03G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCEAWRVIMRKPGRCVQNKVRTSRNSRSRLTSPHAIETSERNKRKWHQYQEVSQKAVKEQPCRMVMDANQNLKNN >ONIVA03G09070.1 pep chromosome:AWHD00000000:3:6522332:6531236:1 gene:ONIVA03G09070 transcript:ONIVA03G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAARPLGGRARNPSPGPARPPPPFAAADAAAAAGLRDAPRRVARMKDRACATCGDKIDSGNVIRCQCKKSPEHAKHEIHHTNDAMLEAKGFAKPNSSNKYGMHKSSGGTYSKPDARVKIIPAEEITYVRHGKLCGKTVGSDGLQKRQRRRSVTPPPSSRKVSLVTPTVVNQRPTPPVSPAASRISPNRPGTAKNVHSVVTSCISPNLTGKAENGHSLATSGISPNCPGAVKKIHSLATSPISPNWPGAVKKIHSLATSPISPMWPETAGNGHSLVGGYITNSFTTQIASLSQRPSPFCRAVLSQPSGTPLGTDATAPKNLSRSGNREAYVKSCSSRTRTFSSAHAHSTVVPPGTNAEPSAESFCAPGNEKSSPMSCKLGTLQCQGTRTAVAPSVQKKLTMEPALPSPKSVLSEKSNEAYPDTAPRPSSRPNLFDTKCKVGSPQSETIIPPSQSPQSTSHARCVEPPDDFEAVPSTKSHIITEKQMNQEAPINCNVSSGIPVILHTKLHKKHYQPEACWKGKFEVTGELTHICDGLEAHFPFEISAQVYEASKQMPEILKLEARPLSHLWPKTFKMKPPEGQDIGLCFISSLQRPNGSSDHLLKNISSHIGLRTKIGATELLIFSSKLLTQEYQRKCDKFYFWGVFRALHRSYNQTSMSFDATGCKEIERHKNKETGKILETQDKKTEKEKCGEIGNKLDSAVSRERDRINECMRMLTPDPNAAASSSDFTCQSAPRVPAGSDLVLDTPPGFPHDDPPGLTKAHCLLHTGETTEPYIDSSPSLNLGVPPGLSLDIPPGFMKAHYLPHTGETTESHINPSHSHSLSWDTPLGFSLDVPPGFTKAHRLPIVSTAGSETVVSEKKPLIKFTLNVPRVAQTEAIPGFIKLLAVKQEPGLPAICMATEKASTGKEDEIKSKQDEDRKRDHPESPEPSPADTLKRLRVNGRIALNRVMDRRTLSSQPISREGLVDIQVSGPTVLTREANAVVGNISGDECACFVCSEEFPTG >ONIVA03G09060.1 pep chromosome:AWHD00000000:3:6520051:6520539:1 gene:ONIVA03G09060 transcript:ONIVA03G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVLECGDISASQEELLAHSSFLNGGDDGEVFSTPPTTQEDAITMCTLPFTQSQSPAPAPLPSPAAVSRTTPGCSSSEDNRDDEMSDIVKQRRRPRVCTRKVRWGAKIRTPTPSPDRTTSEVENKDGDPLYKAVLMIPTRDSTPAIPMDLIALAHQRGLF >ONIVA03G09050.1 pep chromosome:AWHD00000000:3:6497815:6516732:-1 gene:ONIVA03G09050 transcript:ONIVA03G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U5 small nuclear ribonucleoprotein helicase [Source:Projected from Arabidopsis thaliana (AT5G61140) TAIR;Acc:AT5G61140] MLAPLPRLTSALRGHYDADQAYLLRKSALQSLKLPRPRDEWELARKIVPDWDDAPSDVRQAYKQFLGAVVELLNGEVVSEELQEVAPAVYALFAGDDTQSNLADNVLRRRNELENLVGYSVQDSVLKKLAQLAQMLCSLQRARGHELVQINADESITSEFGANFDFKPPSRFIVDVSLDDDLPLGSGVLSSKPAEHDQYDASSASVSHNSVSPGGYVNLRWLKDQCDLITRSGGSVLSGDELAKALCRVLLSNKAGDEIAGELLDLVGDAAFETVQDLLSHRKELVDAIQHGLMILKSEKMSSSNQPKMPTYGTQVTVQTEYERQLDKIRRKEEKRGKRGTETATNDIFSDDFSSFLLASERKQPFDDVVGTGEGANSFTITSLPQGTTRKHMKGYEEVKIPPTPTAPLKANEKLIEIGELDELAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTSTFSRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISERDYAKKIELFNTLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAANAGELELFSCADHPQYALIKKDVSKAKSREVAEFFESGFGIHNAGMIRSDRSLMERLFADGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGIAWEEVIGDPSLGSKQRAFIIDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVEAYNEMLRRHMNESEVINMVAHSSEFENIVVREEEQDELESLFKNACPHDIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSQMTSLLLEFCKGVDRKIWPEQHPLRQFDRDLSHEICKRLEEKHVDLDRLYEMEENDIGALIRFSHLGKVVKQYVGYFPYVNLSATVSPITRTVLKVDLLITPEFLWRDRHHGMSLRWWIIVEDSENDTIYHSELFTLMKKARGAPTKISFNVPIFEPHPPQYYIRAISDSWLGAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKTYQDLYRFSHFNPIQTQAFHVLYHSDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRQRLVTQLGKKMVEMTGDFTPDMMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVRDDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICTHSPDKPVLIFVSSRRQTRLTALDLIQLAASDEKPRQFLSMADNSLDMILSQVSDTNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYIDYDITDILQMMGRAGRPQYDQHGKAFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVVNPAYYGLEDTETYTLNCYLSRLVETTFEDLEDSGCIKVDDHSVKYLILGKIASQYYLSYLTVSMFGTNIGPNTSLEAFVHILSASAEFDELPVRHNEDNLNRTLCGKVPYSVDQQHLDDPHVKANLLFQAHFSRAELPISDYVTDLKSGLWFERDSSLWMLPSMNDNLLDHLKGRGVSTIPALLGLSREELHRLLQPFSASELYQDLQHFPCVDVKLKLQNEDKDQSRPPILSIRLQMKDARRSTSRAFSPRFPKAKQEAWWLVLGNIRSSELYGLKRINFMDRVVNTRMELPAMFDIQETKLILVSDSYLGFDQEYSLGHLAKGV >ONIVA03G09040.1 pep chromosome:AWHD00000000:3:6484984:6494431:1 gene:ONIVA03G09040 transcript:ONIVA03G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHPTQHGGDSDFQVWQQQMMYKQLQEFQRQQQVQQLDHGARMQPSFGQFQAPAKPLPTDQLSAMTNEMPNNESTAYAWSHQLHGSSDPGLASNSQMLNPSSNTNWEQYGGAPGVANFVNGSMFSNPPIQPMRPMGLAAHQMNPSLYPINTTSRDGSGNQHSQFLGIPTDPRNAMARAAAHQSEKASMQFSSLMSEQGPSSSMQNFLGKVGDNIKVGTPVPVNHLQHGVQHQDFHGRPNQVDFQAGLREKSTMQVESGNGGASLDPTEEKFLFGNDEDSNWGALLRGGNDHGSSMDNDNFGGALPSLQSGSWSALMQEALQSTTSDNSPKEEWSGLSFQKQEQIIANNSTLQSHDQNKFSALSGANLENQRPSSASSYGDGTMHNPNFAGFQHAARTPYEQRDRMQHDSSNATGTNHQSTAGVNNGYFQQSMKQKQSDDYSRQEQMNASNGIWAHQKPEMPRNNSHSSGGHATPPSAHGFWMSQQNSIDHNISRESGSTQNDWKSKGPLVQDINSTPNVFNNDGSFWKSSGGNANSVHRPQQMKPDISTMQMPNDSSDGKSTSAMGSNMPTLNQDQYQSIIGRTGEHVGQNHNMGRKGPEITGSLGRGAEQKSNDHNQDYLNVLPTERQGHGSNHGQHVSSDFATRRHPFFAGKESQNLGQSGQQAMGSYMLQNHAMDNSGMNIRHSSGNPVPNQFPSQSHQLHNNLKPRFIPSSQASSNMASVNEKMLMREEQFKSRHVPNSSSSPPFGGSDAGLPQNRAVQNSQHMLQLLHKVDNSTDSNAAADMPNSSPDNTGTVQQQLNQSSLQGFGLRLAPPSQRQLTPGHVWSTRADVDGKQPEHSTKGEDQTQPSAASQSLPPGHPSSQPTPFNSSEIDSTGQQTGQFHQFGSGQQYPVSESRSGSVAMPQQGSSATVFKNVWTNISAQRLAGVQPNKITPNILQSMMFPNSAADTNLWGSQKADDQGQRASTPSDVATSSTNSQNQETKQGGDSDAGLASSEMVNLDSTGATMSRESIQKHSSDGNFAMHVSSLSRLHQQGIMNPKQGENPAANFQAMKTSQNTAIGLHGSPTPSNIQQQNYSLLHQMQAMRHVDVDPANIAGKKLKSPETGSDASQVDWKSGQRFAHGTNNSVRSSVDNIGNANVPGPFPADMKMLSFAPRNNEDRGPSIPSQFPSREPPSQGMAVAAQTEQQTQVQPANASSDLIERSERPRINPQMAPSWFERYGNHRNGQNLSMFNLQKTPVPPYNVQKPSWNMDNNSAEQRIDSGQSVKPGHYISSKKMEVSVPSSIMQRRPKKRKSAESDLVSWHKLIEHPKTLRNMSTTEMDWAWAANRLMEKAEDDAENLEDVPVNYLWRKRLRLTTRLIQQILPAIPAKVLRAQAASAYEGVTYNIAMFTLGDACNMASYNSRTLTDHENNLALFGSSSEQTNAKKMEDRLSKVVEVFTGRIKKMENDFVSLNKRSSMLDVQLECQDLERISIVNRLGRFHGRNHAAGVEASSASEMIPRRIFPERHVMSFAVPGNLPEGVYCLSL >ONIVA03G09040.2 pep chromosome:AWHD00000000:3:6484984:6494433:1 gene:ONIVA03G09040 transcript:ONIVA03G09040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHPTQHGGDSDFQVWQQQMMYKQLQEFQRQQQVQQLDHGARMQPSFGQFQAPAKPLPTDQLSAMTNEMPNNESTAYAWSHQLHGSSDPGLASNSQMLNPSSNTNWEQYGGAPGVANFVNGSMFSNPPIQPMRPMGLAAHQMNPSLYPINTTSRDGSGNQHSQFLGIPTDPRNAMARAAAHQSEKASMQFSSLMSEQGPSSSMQNFLGKVGDNIKVGTPVPVNHLQHGVQHQDFHGRPNQVDFQAGLREKSTMQVESGNGGASLDPTEEKFLFGNDEDSNWGALLRGGNDHGSSMDNDNFGGALPSLQSGSWSALMQEALQSTTSDNSPKEEWSGLSFQKQEQIIANNSTLQSHDQNKFSALSGANLENQRPSSASSYGDGTMHNPNFAGFQHAARTPYEQRDRMQHDSSNATGTNHQSTAGVNNGYFQQSMKQKQSDDYSRQEQMNASNGIWAHQKPEMPRNNSHSSGGHATPPSAHGFWMSQQNSIDHNISRESGSTQNDWKSKGPLVQDINSTPNVFNNDGSFWKSSGGNANSVHRPQQMKPDISTMQMPNDSSDGKSTSAMGSNMPTLNQDQYQSIIGRTGEHVGQNHNMGRKGPEITGSLGRGAEQKSNDHNQDYLNVLPTERQGHGSNHGQHVSSDFATRRHPFFAGKESQNLGQSGQQAMGSYMLQNHAMDNSGMNIRHSSGNPVPNQFPSQSHQLHNNLKPRFIPSSQASSNMASVNEKMLMREEQFKSRHVPNSSSSPPFGGSDAGLPQNRAVQNSQHMLQLLHKVDNSTDSNAAADMPNSSPDNTGTVQQQLNQSSLQGFGLRLAPPSQRQLTPGHVWSTRADVDGKQPEHSTKGEDQTQPSAASQSLPPGHPSSQPTPFNSSEIDSTGQQTGQFHQFGSGQQYPVSESRSGSVAMPQQGSSATVFKNVWTNISAQRLAGVQPNKITPNILQSMMFPNSAADTNLWGSQKADDQGQRASTPSDVATSSTNSQNQETKQGGDSDAGLASSEMVNLDSTGATMSRESIQKHSSDGNFAMHVSSLSRLHQQGIMNPKQGENPAANFQAMKTSQNTAIGLHGSPTPSNIQQQNYSLLHQMQAMRHVDVDPANIAGKKLKSPETGSDASQVDWKSGQRFAHGTNNSVRSSVDNIGNANVPGPFPADMKMLSFAPRNNEDRGPSIPSQFPSREPPSQGMAVAAQTEQQTQVQPANASSDLIERSERPRINPQMAPSWFERYGNHRNGQNLSMFNLQKTPVPPYNVQKPSWNMDNNSAEQRIDSGQSVKPGHYISSKKMEVSVPSSIMQRRPKKRKSAESDLVSWHKLIEHPKTLRNMSTTEMDWAWAANRLMEKAEDDAENLEDVPVNYLWRKRLRLTTRLIQQILPAIPAKVLRAQAASAYEGVTYNIAMFTLGDACNMASYNSRTLTDHENNSSEQTNAKKMEDRLSKVVEVFTGRIKKMENDFVSLNKRSSMLDVQLECQDLERISIVNRLGRFHGRNHAAGVEASSASEMIPRRIFPERHVMSFAVPGNLPEGVYCLSL >ONIVA03G09040.3 pep chromosome:AWHD00000000:3:6484981:6494431:1 gene:ONIVA03G09040 transcript:ONIVA03G09040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHPTQHGGDSDFQVWQQQMMYKQLQEFQRQQQVQQLDHGARMQPSFGQFQAPAKPLPTDQLSAMTNEMPNNESTAYAWSHQLHGSSDPGLASNSQMLNPSSNTNWEQYGGAPGVANFVNGSMFSNPPIQPMRPMGLAAHQMNPSLYPINTTSRDGSGNQHSQFLGIPTDPRNAMARAAAHQSEKASMQFSSLMSEQGPSSSMQNFLGKVGDNIKVGTPVPVNHLQHGVQHQDFHGRPNQVDFQAGLREKSTMQVESGNGGASLDPTEEKFLFGNDEDSNWGALLRGGNDHGSSMDNDNFGGALPSLQSGSWSALMQEALQSTTSDNSPKEEWSGLSFQKQEQIIANNSTLQSHDQNKFSALSGANLENQRPSSASSYGDGTMHNPNFAGFQHAARTPYEQRDRMQHDSSNATGTNHQSTAGVNNGYFQQSMKQKQSDDYSRQEQMNASNGIWAHQKPEMPRNNSHSSGGHATPPSAHGFWMSQQNSIDHNISRESGSTQNDWKSKGPLVQDINSTPNVFNNDGSFWKSSGGNANSVHRPQQMKPDISTMQMPNDSSDGKSTSAMGSNMPTLNQDQYQSIIGRTGEHVGQNHNMGRKGPEITGSLGRGAEQKSNDHNQDYLNVLPTERQGHGSNHGQHVSSDFATRRHPFFAGKESQNLGQSGQQAMGSYMLQNHAMDNSGMNIRHSSGNPVPNQFPSQSHQLHNNLKPRFIPSSQASSNMASVNEKMLMREEQFKSRHVPNSSSSPPFGGSDAGLPQNRAVQNSQHMLQLLHKVDNSTDSNAAADMPNSSPDNTGTVQQQLNQSSLQGFGLRLAPPSQRQLTPGHVWSTRADVDGKQPEHSTKGEDQTQPSAASQSLPPGHPSSQPTPFNSSEIDSTGQQTGQFHQFGSGQQYPVSESRSGSVAMPQQGSSATVFKNVWTNISAQRLAGVQPNKITPNILQSMMFPNSAADTNLWGSQKADDQGQRASTPSDVATSSTNSQNQETKQGGDSDAGLASSEMVNLDSTGATMSRESIQKHSSDGNFAMHVSSLSRLHQQGIMNPKQGENPAANFQAMKTSQNTAIGLHGSPTPSNIQQQNYSLLHQMQAMRHVDVDPANIAGKKLKSPETGSDASQVDWKSGQRFAHGTNNSVRSSVDNIGNANVPGPFPADMKMLSFAPRNNEDRGPSIPSQFPSREPPSQGMAVAAQTEQQTQVQPANASSDLIERSERPRINPQMAPSWFERYGNHRNGQNLSMFNLQKTPVPPYNVQKPSWNMDNNSAEQRIDSGQSVKPGHYISSKKMEVSVPSSIMQRRPKKRKSAESDLVSWHKLIEHPKTLRNMSTTEMDWAWAANRLMEKAEDDAENLEDVPVNYLWRKRLRLTTRLIQQILPAIPAKVLRAQAASAYEGVTYNIAMFTLGDACNMASYNSRTLTDHENNLALFGSSSEQTNAKKMEDRLSKVVEVFTGRIKKMENDFVSLNKRSSMLDVQLECQDLERISIVNRLGRFHGRNHAAGVEASSASEMIPRRIFPERHVMSFAVPGNLPEGVYCLSL >ONIVA03G09040.4 pep chromosome:AWHD00000000:3:6486773:6494433:1 gene:ONIVA03G09040 transcript:ONIVA03G09040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHPTQHGGDSDFQVWQQQMMYKQLQEFQRQQQVQQLDHGARMQPSFGQFQAPAKPLPTDQLSAMTNEMPNNESTAYAWSHQLHGSSDPGLASNSQMLNPSSNTNWEQYGGAPGVANFVNGSMFSNPPIQPMRPMGLAAHQMNPSLYPINTTSRDGSGNQHSQFLGIPTDPRNAMARAAAHQSEKASMQFSSLMSEQGPSSSMQNFLGKVGDNIKVGTPVPVNHLQHGVQHQDFHGRPNQVDFQAGLREKSTMQVESGNGGASLDPTEEKFLFGNDEDSNWGALLRGGNDHGSSMDNDNFGGALPSLQSGSWSALMQEALQSTTSDNSPKEEWSGLSFQKQEQIIANNSTLQSHDQNKFSALSGANLENQRPSSASSYGDGTMHNPNFAGFQHAARTPYEQRDRMQHDSSNATGTNHQSTAGVNNGYFQQSMKQKQSDDYSRQEQMNASNGIWAHQKPEMPRNNSHSSGGHATPPSAHGFWMSQQNSIDHNISRESGSTQNDWKSKGPLVQDINSTPNVFNNDGSFWKSSGGNANSVHRPQQMKPDISTMQMPNDSSDGKSTSAMGSNMPTLNQDQYQSIIGRTGEHVGQNHNMGRKGPEITGSLGRGAEQKSNDHNQDYLNVLPTERQGHGSNHGQHVSSDFATRRHPFFAGKESQNLGQSGQQAMGSYMLQNHAMDNSGMNIRHSSGNPVPNQFPSQSHQLHNNLKPRFIPSSQASSNMASVNEKMLMREEQFKSRHVPNSSSSPPFGGSDAGLPQNRAVQNSQHMLQLLHKVDNSTDSNAAADMPNSSPDNTGTVQQQLNQSSLQGFGLRLAPPSQRQLTPGHVWSTRADVDGKQPEHSTKGEDQTQPSAASQSLPPGHPSSQPTPFNSSEIDSTGQQTGQFHQFGSGQQYPVSESRSGSVAMPQQGSSATVFKNVWTNISAQRLAGVQPNKITPNILQSMMFPNSAADTNLWGSQKADDQGQRASTPSDVATSSTNSQNQETKQGGDSDAGLASSEMVNLDSTGATMSRESIQKHSSDGNFAMHVSSLSRLHQQGIMNPKQGENPAANFQAMKTSQNTAIGLHGSPTPSNIQQQNYSLLHQMQAMRHVDVDPANIAGKKLKSPETGSDASQVDWKSGQRFAHGTNNSVRSSVDNIGNANVPGPFPADMKMLSFAPRNNEDRGPSIPSQFPSREPPSQGMAVAAQTEQQTQVQPANASSDLIERSERPRINPQMAPSWFERYGNHRNGQNLSMFNLQKTPVPPYNVQKPSWNMDNNSAEQRIDSGQSVKPGHYISSKKMEVSVPSSIMQRRPKKRKSAESDLVSWHKLIEHPKTLRNMSTTEMDWAWAANRLMEKAEDDAENLEDVPVNYLWRKRLRLTTRLIQQILPAIPAKVLRAQAASAYEGVTYNIAMFTLGDACNMASYNSRTLTDHENNSSEQTNAKKMEDRLSKVVEVFTGRIKKMENDFVSLNKRSSMLDVQLECQDLERISIVNRLGRFHGRNHAAGVEASSASEMIPRRIFPERHVMSFAVPGNLPEGVYCLSL >ONIVA03G09040.5 pep chromosome:AWHD00000000:3:6484938:6487185:1 gene:ONIVA03G09040 transcript:ONIVA03G09040.5 gene_biotype:protein_coding transcript_biotype:protein_coding LSFPSLSSPLACARCSLSLSLSHLAPLALLLELETLAICAAAGGNPNPSRAGRASERRGGRPGGGRGGRARAADPIRFAALKFRSRSSGIILIILNLTDLRAACSVV >ONIVA03G09030.1 pep chromosome:AWHD00000000:3:6477390:6480161:1 gene:ONIVA03G09030 transcript:ONIVA03G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATPTRPPHAAPSSSPSPSPASLRQWRPAAQRNLRNQWSRLLAAKARWLSAAADGRSHASALDMPGIREKASGKLARREEQCQSMLLSAYREMVLATAELVRASHSMRCFSKVAANSPLIRFTERQDDMNDSGDGGGSPVFKWFSVLEFENLAQELVDMFISELQLKRLLVLELLSVTFKEGVQHDASLEWSNELFDGEFNEFQSIGLLSGDSYALPKNWSAGVSKAWQPDQTPSHEVLQVYLTSWLANVNIKTSRIDW >ONIVA03G09030.2 pep chromosome:AWHD00000000:3:6477390:6480392:1 gene:ONIVA03G09030 transcript:ONIVA03G09030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATPTRPPHAAPSSSPSPSPASLRQWRPAAQRNLRNQWSRLLAAKARWLSAAADGRSHASALDMPGIREKASGKLARREEQCQSMLLSAYREMVLATAELVRASHSMRCFSKVAANSPLIRFTERQDDMNDSGDGGGSPVFKWFSVLEFENLAQELVDMFISELQLKRLLVLELLSVTFKEGVQHDASLEWSNELFDGEFNEFQSIGLLSGDSYALPKNWSAGVSKAWQPDQTPSHEVLQVYLTSWLANVNIKTSRIDEIFELVGEEMQIKLS >ONIVA03G09020.1 pep chromosome:AWHD00000000:3:6473234:6476929:1 gene:ONIVA03G09020 transcript:ONIVA03G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLIGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >ONIVA03G09010.1 pep chromosome:AWHD00000000:3:6468279:6470460:1 gene:ONIVA03G09010 transcript:ONIVA03G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFYSEISSGWYRFIPDDVDDDGVPPPPGETKERSGCPHDETSERSRRQGGNGRRRLTLAPSWTTSSKGLAASLSPAKSSSEECERACFRLGRLVEAAAASATRPRAVHGSSAAFDQFGRLGKCGKTLERSSSVSEEVATENVGRYGFFSSARATHWLRFWAQLQRCEDDGEFLKVACRRLKSMVIQLFANYGWRVGFCNPGSCGECCACCAGRIDSVQDALSAEAVACLHALRAAVDHGFSHISVETGSVTLVNALESSCCDRTTAGVIFRQIKAMIHLDFVMITVSFTSRSCNNCAHELLIKKLVGTRVICPFGLTPSQILYSPWWFVITLNQCDNKEHHKHLGLILLLPHPEAG >ONIVA03G09010.2 pep chromosome:AWHD00000000:3:6468279:6470460:1 gene:ONIVA03G09010 transcript:ONIVA03G09010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFYSEISSGWYRFIPDDVDDDGVPPPPGETKERSGCPHDETSERSRRQGGNGRRRLTLAPSWTTSSKGLAASLSPAKSSSEECERACFRLGRLVEAAAASATRPRAVHGSSAAFDQFGRLGKCGKTLERSSSVSEEVATENVGRYGFFSSARVGFCNPGSCGECCACCAGRIDSVQDALSAEAVACLHALRAAVDHGFSHISVETGSVTLVNALESSCCDRTTAGVIFRQIKAMIHLDFVMITVSFTSRSCNNCAHELLIKKLVGTRVICPFGLTPSQILYSPWWFVITLNQCDNKEHHKHLGLILLLPHPEAG >ONIVA03G09000.1 pep chromosome:AWHD00000000:3:6453734:6460140:-1 gene:ONIVA03G09000 transcript:ONIVA03G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKSSSGRCSTARLEAVAVLVVVFGVASSSLRGCIAQQSGGGLTRGSFPEGFVFGTASAAYQYEGAVKEDGRGQTIWDTFAHTFGKITDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRIYPNGVGQVNQAGIDHYNKLIDALLAKGIQPYVTLYHWDLPQALEDKYKGWLDRQIVDDFAAYAETCFREFGDRVKHWITLNEPHTVAIQGYDAGLQAPGRCSVLLHLYCKAGNSSTEPYVVAHHFILAHAAAASIYRTKYKATQNGQLGIAFDVMWFEPMSNTTIDIEAAKRAQEFQLGWFADPFFFGDYPATMRARVGERLPRFTADEAAVVKGALDFVGINHYTTYYTRHNNTNIIGTLLNNTLADTGTVSLPFKNGKPIGDRANSIWLYIVPRGMRSLMNYVKERYNSPPVYITENGMDDSNNPFISIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYSSRFGLYFVDYKDNLKRYPKNSVQWFKALLKT >ONIVA03G08990.1 pep chromosome:AWHD00000000:3:6447680:6452471:1 gene:ONIVA03G08990 transcript:ONIVA03G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT3G02090) TAIR;Acc:AT3G02090] MAFRRLLSAAVRRRSAAAAAAAGPGNAREASTAVAAAGPGVIAPDAAPVRPPMMVYDRIAEAVNARLRRLEHPDPRFLRYASPVPAHADHTAILAAPETRVTTLPNGLRVATESSLASRTATVGVWIDAGSRYETEDSAGVAHFVEHMLFKGTGDRNAAQLEEEIENIGGHLNAYTSREQTTYYAKVLDKDVPRALNILADILQRSKLEESRIERERDVILREMEEVEGQYEEVIFDHLHATAFQYTSLGRPILGSAENVKSITQEDLQKYIETHYTAPRMVITAAGAVKHDDIVEMATKLFNDLPTDPTTTSMLVSTQPACFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSIALMVMQSMLGSWNKSAGGGKHMGSELVQRVAINDIAESIMAFNTNYKDTGLFGVYAVAKPDCLDDLAFAIMQEISKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLIYGRRIPIPELFARIDAVDASTVKRVANRFIFDQDIAIAAMGPIQGLPDYNWFRRRTYMLRY >ONIVA03G08980.1 pep chromosome:AWHD00000000:3:6439597:6443741:1 gene:ONIVA03G08980 transcript:ONIVA03G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTATARTPTKAAAAAAGGRAAGNTTPSSKPASRARLSHASSENAHPNIPAAAGEAGTPSKNPTLARLSHASSENAHPNILGSPPPSKPAKSPTTASKSASASASARKKISTPAPPPPPRERRFLVAKKRARRRRNDANGGGGGGGGGDFDFDKCREAAREALRTSHEEFFRKERAASAAAAEEQLQKEEEEEEEKAAAQEAKKGALETLEEEDVAELEGSSKVRALRTKVMTKALSSVPDSGAGRVKHLVQAFESILSISGATSDADRAGEGSWALPGLQAWKEDCEGKIGMPPVSVSSSAEFLNAGPNRLCSSLDGKSDRLSWDSRTSAGGCRSRRNTSESLRSSWNKKLKVTSQHPFKLRTEQRGRVKEQQFIQKVQEMLMEEEQQRIHIAQGLPWTTDEPECLIKPPVKETTEPVDLVLHSDVRAIERAEFDQYVSERNKFAEQLRLERERQQKLEEEEMIKQLRKELVPKAQPMPYFDRPFIPKRSAKPATVPKEPKFHPRPEKQSCLCRQRCMDTGMLSSSCPHDQSTVLAEKSKALPRMF >ONIVA03G08970.1 pep chromosome:AWHD00000000:3:6438538:6438867:1 gene:ONIVA03G08970 transcript:ONIVA03G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTTKPCGADRDVGVISPTGPVDGRGGGGRWRRSALYDSFELNAMVVRLNRLLASGSGDGGGAGGGGGAAAAARARRAGSWVAAVPKAVLSMVKRALRGRGRQGDGW >ONIVA03G08960.1 pep chromosome:AWHD00000000:3:6435170:6438392:1 gene:ONIVA03G08960 transcript:ONIVA03G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQTSLGTPVYRTNPFDSDSDSEVPSRPSRAQSVPVRRTDQSIQELEDYAVDKVEETSRKVNDCVRAAEAIREDATKTLVTLHRQGEQITRTHRVAADIEHDLSMSEKLLGSLGGLFSKTWKPKRNQQIKGPISQNNSFTSSANHMEQRQRLGISSTRQPSPNQVHRSPATAIEKVQVEIAKQDDALSDLSNMLGELKGMALDMGTEIERQNKSLDAFGDDVDELNFRVKGANQRGRRLLGK >ONIVA03G08950.1 pep chromosome:AWHD00000000:3:6428190:6434531:1 gene:ONIVA03G08950 transcript:ONIVA03G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSADSPASRVTRWRSTGIVALRDARLKVVPNEVLQVGNSLRILDLTNNKIAEIPQEVGTLVNMQRLVLAGNLVESIPANIGYLRNLKILTLDRNKISVLPEELGSLSNLQQLSISQNSLSRLPKSVGDLRNMLLLNVSDNKLIALPESIGGCSSLEELQANGNSIEDVPSSICNLVCLKSLSLNGNRICQLPQNLLKDCKALQNISLHDNPISMDQFQQMDGFTEFEARRRKKFDKQIDSNVMMTLAHSACCPDLLQILAQRMEDQMANLRLTDFEFFRIILPGSSKTKLKLPYKFARELGDRELREARLRVAGEGRRPWDVKVFDDDVSGDVYLGRGWQEFARAHDLRDGHFLIFRYDGAAAFTVTVFDETMCRRDYRRHHDAAGTGSSSSSDSSDAAAAAAATAAAEGVGDVALSQFAVTLRQCNLEDKQAQYLNVPMEFQEAHEYARREKVVLRMRGEAWTVRLKHSRRERGQRTAFRYGWHRFCVDNGLAVGDTCFFRVLREGDLRRGGAADDHVLKVAVRKADGTTLE >ONIVA03G08950.2 pep chromosome:AWHD00000000:3:6428190:6434531:1 gene:ONIVA03G08950 transcript:ONIVA03G08950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSADSPASRVTRWRSTGIVALRDARLKVVPNEVLQVGNSLRILDLTNNKIAEIPQEVGTLVNMQRLVLAGNLVESIPANIGYLRNLKILTLDRNKISVLPEELGSLSNLQQLSISQNSLSRLPKSVGDLRNMLLLNVSDNKLIALPESIGGCSSLEELQANGNSIEDVPSSICNLVCLKSLSLNGNRICQLPQNLLKDCKALQNISLHDNPISMDQFQQMDGFTEFEARRRKKFDKQIDSNVMMTLAHSACCPDLLQILAQRMEDQMANLRLTDFEFFRIILPGSSKTKLKLPYKFARELGDRELREARLRVAGEGRRPWDVKVFDDDVSGDVYLGRGWQEFARAHDLRDGHFLIFRYDGAAAFTVTVFDETIGSSSSSDSSDAAAAAAATAAAEGVGDVALSQFAVTLRQCNLEDKQAQYLNVPMEFQEAHEYARREKVVLRMRGEAWTVRLKHSRRERGQRTAFRYGWHRFCVDNGLAVGDTCFFRVLREGDLRRGGAADDHVLKVAVRKADGTTLE >ONIVA03G08950.3 pep chromosome:AWHD00000000:3:6428190:6432110:1 gene:ONIVA03G08950 transcript:ONIVA03G08950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSADSPASRVTRWRSTGIVALRDARLKVVPNEVLQVGNSLRILDLTNNKIAEIPQEVGTLVNMQRLVLAGNLVESIPANIGYLRNLKILTLDRNKISVLPEELGSLSNLQQLSISQNSLSRLPKSVGDLRNMLLLNVSDNKLIALPESIGGCSSLEELQANGNSIEDVPSSICNLVCLKSLSLNGNRICQLPQNLLKDCKALQNISLHDNPISMDQFQQMDGFTEFEARRRKKFDKQIDSNVMMSSTALDEGIDLN >ONIVA03G08950.4 pep chromosome:AWHD00000000:3:6432200:6434531:1 gene:ONIVA03G08950 transcript:ONIVA03G08950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQMANLRLTDFEFFRIILPGSSKTKLKLPYKFARELGDRELREARLRVAGEGRRPWDVKVFDDDVSGDVYLGRGWQEFARAHDLRDGHFLIFRYDGAAAFTVTVFDETMCRRDYRRHHDAAGTGSSSSSDSSDAAAAAAATAAAEGVGDVALSQFAVTLRQCNLEDKQAQYLNVPMEFQEAHEYARREKVVLRMRGEAWTVRLKHSRRERGQRTAFRYGWHRFCVDNGLAVGDTCFFRVLREGDLRRGGAADDHVLKVAVRKADGTTLE >ONIVA03G08940.1 pep chromosome:AWHD00000000:3:6426486:6428000:-1 gene:ONIVA03G08940 transcript:ONIVA03G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSGPPWRDLLGGGVGSLLVTTFPYRHARGTLPFGAAFWIPRPLEDLFVVDLGS >ONIVA03G08930.1 pep chromosome:AWHD00000000:3:6422854:6424846:1 gene:ONIVA03G08930 transcript:ONIVA03G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GIW2] MPPQEDSADDLPSRELAGPFAVAVDLLAPLFADLLRRRPADAVVFDGVLPWAATAAAELRVPRYAFTGTGCFALSVQRALLLHAPQDGVASDDEPFLVPGLPDAVRLTKSRLAEATLPGAHSREFLNRMFDGERATTGWVVNSFADLEQRYIEHYEKETGKPVFAVGPVCLVNGDGDDVMERGRGGEPCAATDAARALAWLDAKPARSVVYVCFGSLTRFPDEQVAELGAGLAGSGVNFVWVVGGKNASAAPLLPDVVHAAVSSGRGHVIAGWAPQVAVLRHAAVGAFVTHCGWGAVTEAAAAGVPVLAWPVFAEQFYNEALVVGLAGTGAGVGAERGYVWGGEESGGVVVGRKKVAERVRAAMADEAMRRRAEEVGERARRAVEVGGSSYDAVGALLEDVRRRRRRREMAADPRNVKEV >ONIVA03G08920.1 pep chromosome:AWHD00000000:3:6416410:6420069:-1 gene:ONIVA03G08920 transcript:ONIVA03G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPRFLPVRPLFLLLLLLVLAGVASGKTVKRDVKALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLKRFNPLNHVNNDYSNYRDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLIGTLRDLIGNGNGFPSLRNLYLNNNDLTGVLPDQIANLTNLEILYLDNNNFIGRIPEGLYKHPFLKELYIEGNQFRPGSKSKGTHKVLELPDADILV >ONIVA03G08910.1 pep chromosome:AWHD00000000:3:6404904:6410050:-1 gene:ONIVA03G08910 transcript:ONIVA03G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GIW0] MQLRISPSMRSITISSSNGVVDSMKVRVAPQPPPPPPPLALQGVVTPGAGRRGGGGGGGGGGGGWWGAGWYWRAVAFPAVVALGCLLPFAFILAAVPALEADGSKCSSIDCLGRRIGPSFLGRQGGDSTRLVQDLYRIFDQVNNEESPDDKRIPESFRDFLLEMKDSHYDARTFAVRLKATMENMDKEVKKLRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPHKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGAVSSASDSPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVIQRDLSPLWKINLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVIARSLDPDECAWAYGMNIFDLAAWRKTNIRETYHFWLKENLKSGLTLWKFGTLPPALIAFRGHLHGIDPSWHMLGLGYQENTDIEGVRRSAVIHYNGQCKPWLDIAFKNLQPFWTKHVNYSNDFIRNCHILEPQYDKE >ONIVA03G08900.1 pep chromosome:AWHD00000000:3:6398883:6403385:1 gene:ONIVA03G08900 transcript:ONIVA03G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLLLLVFICLHALHWAASAQQPEEATVIVKGSTKIAETNKNYICATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGTNSPCTPFTNMSNGLFGFSDGCLSMDRWDKLNALFQKTGAIITFGLNALYGRYNVRRSFWAGKWNSTNAYNFVKYTISKGYPVDSWEYGNELSGHGIGARVDATLYGKDAIELKSIFQQLYKAPLSQPSLLAPGGFFDQQWYTQLLQTSGHGVVSALTHHIYNLGGGNDAHLIRKILDPKYLDRSEDTYRDMQLTLQRHGTWASAWVSESGGVFNNGGELVSNTFINSIWYLDQLGMASKYNTKVFCRQTLIGGHYGLLDTQTFLPNPDYYSALLWHRLMGREVLSVDINAPPRKLRAYAHCRKQQQGITLLLINLSNTTGYNVTLQNDINVSLDKTASLHKHNSFSHSLRRAVSWLGRKPSSDVARREEYHLTAKDGDLQSKTMLLNGAPLELSDDGGVPAMSPALVAVNSPVYLAPTSIAFVVLPMFEAKACS >ONIVA03G08900.2 pep chromosome:AWHD00000000:3:6398883:6403366:1 gene:ONIVA03G08900 transcript:ONIVA03G08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLLLLVFICLHALHWAASAQQPEEATVIVKGSTKIAETNKNYICATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGTNSPCTPFTNMSNGLFGFSDGCLSMDRWDKLNALFQKTGAIITFGLNALYGRYNVRRSFWAGKWNSTNAYNFVKYTISKGYPVDSWEYGNELSGHGIGARVDATLYGKDAIELKSIFQQLYKAPLSQPSLLAPGGFFDQQWYTQLLQTSGHGVVSALTHHIYNLGGGNDAHLIRKILDPKYLDRSEDTYRDMQLTLQRHGTWASAWVSESGGVFNNGGELVSNTFINSIWYLDQLGMASKYNTKVFCRQTLIGGHYGLLDTQTFLPNPDYYSALLWHRLMGREVLSVDINAPPRKLRAYAHCRKQQQGITLLLINLSNTTGYNVTLQNDINVSLDKTASLHKHNSFSHSLRRAVSWLGRKPSSDVARREEYHLTAKDGDLQSKTMLLNGAPLELSDDGGVPAMSPALVAVNSPVYLAPTSIAFVVLPMFEAKAYFE >ONIVA03G08900.3 pep chromosome:AWHD00000000:3:6398883:6403508:1 gene:ONIVA03G08900 transcript:ONIVA03G08900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLLLLVFICLHALHWAASAQQPEEATVIVKGSTKIAETNKNYICATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGTNSPCTPFTNMSNGLFGFSDGCLSMDRWDKLNALFQKTGAIITFGLNALYGRYNVRRSFWAGKWNSTNAYNFVKYTISKGYPVDSWEYGNELSGHGIGARVDATLYGKDAIELKSIFQQLYKAPLSQPSLLAPGGFFDQQWYTQLLQTSGHGVVSALTHHIYNLGGGNDAHLIRKILDPKYLDRSEDTYRDMQLTLQRHGTWASAWVSESGGVFNNGGELVSNTFINSIWYLDQLGMASKYNTKVFCRQTLIGGHYGLLDTQTFLPNPDYYSALLWHRLMGREVLSVDINAPPRKLRAYAHCRKQQQGITLLLINLSNTTGYNVTLQNDINVSLDKTASLHKHNSFSHSLRRAVSWLGRKPSSDVARREEYHLTAKDGDLQSKTMLLNGAPLELSDDGGVPAMSPALVAVNSPVYLAPTSIAFVVLPMFEAKACS >ONIVA03G08890.1 pep chromosome:AWHD00000000:3:6393343:6396398:-1 gene:ONIVA03G08890 transcript:ONIVA03G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCHHIVSHNHHDNPSVVATALSIKVYECPVAIPFPFMNSNTFIASAKEPLRTKQARRELQACALGCKPEARIESNSSVALLTLLDWLSLINLLDSISSSLSSKKCSLDPPTSDLLPAADADADAALLAAATGPSSTAAGLTGRRRSPCLRDRRLIVHAPNRHTDLLLAGDVGFKNAWSRRPAHAHAPSGEDNHGPCSFRMAGGGVSARSSAPWMDSCPITAPSSTRVWGKFLAEARAAAAPHCSHICPPRKCKRNLQKSLNKTKGSWKSRWKRDSAGS >ONIVA03G08880.1 pep chromosome:AWHD00000000:3:6393214:6396397:1 gene:ONIVA03G08880 transcript:ONIVA03G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G29290) TAIR;Acc:AT3G29290] MAAVWSGCSTSFSQELPPHPRGRRGGDGARIHPWSGGAGRDATTRHAEAARTVVVFARGRVRVCRAAAPCVLEPDVAGKEEVGVAVWGMDDEPPVADGHRRHGLRRRPVRPAAVEEGPVAAARSAASASASAAGSKSEVGGSRLHFLEERDEEMLSRRLIKLSQSNKVRSATELFDSMRASGLQPSAHACNSLLACFVRRGSFADAMKVFEFMKGKGMATGHSYTLILKAVATTEGYFAALKMFDEIEESDKKNVDVIVYNTVISVCGRAKDWRQVERLWRRLGDNSLSGTLMTYDLLVSTFVQCGQSELAVDAYQEMFKSGIDPSEDILKAIIASCTKEGKWEFALTTFRRMLSAGMKPSIIVFNSIINSLGKAGEDELAFRMYHLLTSSGLKPDQYTWSALLSALYRSGRCWDVLDLFQGIKTKHSALLNNHLYNIALMSCERLGQWEHGLQLLWMMERGGLQISAVSYNHVIGACEVARMPKVALKVYRRMTHRGCSPDTFTHLSVIRACIWGSLWNEVEDILEEVAPDSSVYNTVIHGLCLRGKIRLARKVYTKMRSIGLKPDGKTRSFMLQNLATDY >ONIVA03G08870.1 pep chromosome:AWHD00000000:3:6389922:6391985:-1 gene:ONIVA03G08870 transcript:ONIVA03G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLKKLRVRFPGLGKGNKGGRQAPTILQEEDTSLQRAPMNSSDGYDAAFAAAIAAAAYAIASQEEKLAAQKKHVPIQGQSTTPPVQSPVKRGESMKKPTGGSKISRWFSGKEPAEDNDDGPANVSLRRPLKPAQRKQEDIASDQKVPPKMVDSSLSAKKGSGSSSKLQDKKGSKKFEQEQVIQKTPSTTRPATSYHSRRNGDGTVGLTAVGPADTKTNEWEKAKLASITEEYKNMMDTIAEWENEKKVKAKRQKEQKEKVLDQKRAKALEEYSQEITRINKIAGGARTMAEERKYNDEKRIKEKANKRRLSEKAPRACACF >ONIVA03G08860.1 pep chromosome:AWHD00000000:3:6383277:6389027:1 gene:ONIVA03G08860 transcript:ONIVA03G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLERRNSFGGSTPTSSASGSRKDEKTTPHYLRASTGSCHNLCKYGHKNPFEEEKKLSTSGGRRKKLPSHLNNLTLHRSAILDRSKDVRQRNLSLAKSSISLGESDRIAPKKTQANLKGSSDHLITRTSSSADHKNVNLDGRKKHLTVAQKTSADSGSSNGVPKFDKKSAMPVKGTIVPAKLKLAEMPQLEESRTMEKVTTVKQSSIKRPTSLPTKLNLIKPVPVPSQVSSHLLSSKAKRTVKGELTSSPATVTGVRRSNSDKTGRSSMNSSKPSINGKEGLHMARSSFSVESKMDVSVVIQEHDVQDSFIRGLHVESTLAGVSSDTTECVDESRSAPEEIIRPVSGDDGMESNRKNEASGGNEEPLQSSIVTGLLQSSDDQQLKNALSNLEAEENQTDDASPCQLSKNSIAVENAVLGDSLSTENSSEIEADGVKANASMESQDAEGNEEEEAHEGLQESIEQLALGEKHAKEPGSFLGSTSGNTVEDVKADEIFEGWTNNSPSHCQPISETSSDGELLGEPKSVQIQPSDSTLQTDGLVISSTGNTFEQDELKPGFFLQQSPEELSEDEFYEEYDFELSELDESGTEDEGPTINKNSYDHLKSDGQRPKRISALEQDDDSATPYKLKFKRGKIVELQPDSNGPRKLKFRRRSASEFSSSEGLSARRIYKMNSTIDAGPSNLDVESPGVKLRHQDTQEKKDAQGLFNNVIEETASKLVESRKSKVKALVGAFETVILLQDGKPASTPHAGHSPHLFHDDEGNASEQAA >ONIVA03G08850.1 pep chromosome:AWHD00000000:3:6381864:6382291:-1 gene:ONIVA03G08850 transcript:ONIVA03G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFITDAVVDLLAAGHGGCRRNCTPFRLHCTPLPLSLSLTALAAELLAVTASLTAAAAGSGEQWATAMGRAAVPCDLGEESKV >ONIVA03G08840.1 pep chromosome:AWHD00000000:3:6377618:6378742:-1 gene:ONIVA03G08840 transcript:ONIVA03G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRHRSDDDGGELESRRPHSRHRRRRRRRHLYVVLDDWSKGYSVYKVDVDGFDGDPDADLDDEAVRLPEPPVFRLETADYGRFGIFVAVGSRIFATHYSEDTNARAPVLMFDTVTGGLAVCPGVPAELCNQPMIFPAGDKVYAMGRSKIKMDARGESRKYLEELTADGEGSWAWSSSVDDRAPPPPFDVGRARCHAAHPDGRTVFFSAHGDGTYSFDAGTRGWTRHGEWMLPFDGQAYYDGELDAWVGLWSGHSGRRGRVCSCDMVDPRGGGGGEQPPPAWKLAVRSHPAWGARSRFLSVALARMGGGEFCVVEWRSRRGVSEEELHERCLLYATTFRLRYDRDGSLEATDRRARAFTARKKSDEFEWCAFGI >ONIVA03G08830.1 pep chromosome:AWHD00000000:3:6374010:6376983:1 gene:ONIVA03G08830 transcript:ONIVA03G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASNAIAGSIRKKAQPSKCSQSNPDCSDDDVSSCASREEGLECPICWESFNLVENVPYVLWCGHTMCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYKGNLKFPRKNYFLLWMVESMNGERAKFHSPSHEERHSLCPSGGGPSSSQHHRRPAPRTESASGRERSVAGNVFNTYSISASLQKIMLSFVQMTAKFPLVIIFLLIVLYAVPASVAVLVLYVLVTVLFALPSFLILYFAYPSLDWLVREIFN >ONIVA03G08820.1 pep chromosome:AWHD00000000:3:6370894:6373090:1 gene:ONIVA03G08820 transcript:ONIVA03G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLIRRTPNPAIQLLLGRLTRSHSSHAAAAAAVAVIRGSGTTPIRITPRLLHRVRAHQLRLDRARRSRGQHLRCPWAPGLGLPAAGRPHRPQQLRVQHYSSASATSQQAQQHQPIATEQFSLHSLHFGIVDIVPAEEPFASAGVPGFVRTSHPESVQRSTFCC >ONIVA03G08820.2 pep chromosome:AWHD00000000:3:6370894:6373090:1 gene:ONIVA03G08820 transcript:ONIVA03G08820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLIRRTPNPAIQLLLGRLTRSHSSHAAAAAAVAVIRGSGTTPIRITPRLLHRVRAHQLRLDRARRSRGQHLRCPWAPGLGLPAAGRPHRPQQLRVQHYSSASATSQQAQQHQPIATEQFSLHSLVSKSPFQAFDV >ONIVA03G08810.1 pep chromosome:AWHD00000000:3:6354580:6364550:-1 gene:ONIVA03G08810 transcript:ONIVA03G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotidyltransferases [Source:Projected from Arabidopsis thaliana (AT3G61690) TAIR;Acc:AT3G61690] MGMVPNGLLPNASAGVTRRLDGERWAAAEVRTAELIARIQPNADSERRRRAVYDYVRRLITNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSDSEELKDTWANLVRDALEHEEKSENAEFRVKEVQYIQAEVKIIKCLVDNIVVDISFNQVGGLCTLCFLEEVDALISQNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHVFNNCFTGPLEVLYRFLEFFSNFDWEKFCLSLSGPVPISSLPDMTAEPPRMDAAELLLSKSFLDKCSYAYAVTPRIQESQGQQPFVSKHFNVIDPLRTNNNLGRSVSKGNFFRIRSAFSFGAKRLAKLLECPKEDLIAEVNQFFTNTWIRHGSGNRPDAPTLGLVHQHHLKVVPAEASNSQRSAMALKKNAENPNIRANQDNLSENANSYPEATSQPLQRSVLHPRNSLRTVNPSDSHAHHQKVHVTHANTKVSEQLERNRSDGSMQNERNKTVPNSLFVNDRNGQNRSRFARTRSSPELTDPSVEGYSRGRRTGVVEMDKSLKVDYNSRRNNLAPEVSSSHITKSSQDESVSSMNSSSHYSGKAASDSNSVSSSYREDNGFIMNEELPSVSEASDKQQEEQVLVNLMSSAKLHDFNGQVQLPIEMPPHFSVAPSPLLAPAAFPPKHFAGIPPTSLIGAPWSNMHLIHGYVSPPMAHYVQNHTFAPNIEEGNESEKPITPDASRDDGNNWHEYGVGFPRYFNHQGRDPQMRHFNGKEHSSSPNSVSGAPFERQGEIAVEDNGAVEENYTNMFQNQTSRQASINTRIGSGNARIPSSQSGLSRNKAMPENSWGESAGNTTRSLRDKWGKRPAFAAPDTTTHSKNNTGWQTGNASEHIPPEVDDGARNGVIVPNIRHEASDIITGSGSTASRTSQVPNDFEPSQIGMPNPLFAPLFIGSPQQRQSGNGGLTFIQTGPAVPFLMLPYAPGNDGSVPQFERNEGVDQLPVNIAVQNFSSLNNVHHPDINATSTASSSTAGDPSEEQKPDILNSDFDSHWCNLQYGRSCQNPRPMNPVLYPFAVPPMYLQGHVPWDGPGRPASTNVNWTQMVPPSQRIYPMMPLQPSSERITGGPQHHTEDAPRYRGGTGTYLPNPKVPFKDRHSGSRNHRGNYNTDKGDHNDKEGNWINSKQRNPGRSYGRSHSERSGIRSDRQAADENQYDRQRRSYRNDSYRHETGAQSSGSTNYIRRPGNMTHGDPSPSASNGIGALSGSSAPYFMYYSCEPGTNHGSSSEPLEFGSLGPVPTADGGDMPRPTRQAMPNEFYGQRHVAFRGGSSHSSPDQPSSPQTRRPPAVVCILPFWANHHKKREGKKNRQSAYETHGKNMAAGELQEKQG >ONIVA03G08810.2 pep chromosome:AWHD00000000:3:6355921:6364550:-1 gene:ONIVA03G08810 transcript:ONIVA03G08810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotidyltransferases [Source:Projected from Arabidopsis thaliana (AT3G61690) TAIR;Acc:AT3G61690] MGMVPNGLLPNASAGVTRRLDGERWAAAEVRTAELIARIQPNADSERRRRAVYDYVRRLITNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSDSEELKDTWANLVRDALEHEEKSENAEFRVKEVQYIQAEVKIIKCLVDNIVVDISFNQVGGLCTLCFLEEVDALISQNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHVFNNCFTGPLEVLYRFLEFFSNFDWEKFCLSLSGPVPISSLPDMTAEPPRMDAAELLLSKSFLDKCSYAYAVTPRIQESQGQQPFVSKHFNVIDPLRTNNNLGRSVSKGNFFRIRSAFSFGAKRLAKLLECPKEDLIAEVNQFFTNTWIRHGSGNRPDAPTLGLVHQHHLKVVPAEASNSQRSAMALKKNAENPNIRANQDNLSENANSYPEATSQPLQRSVLHPRNSLRTVNPSDSHAHHQKVHVTHANTKVSEQLERNRSDGSMQNERNKTVPNSLFVNDRNGQNRSRFARTRSSPELTDPSVEGYSRGRRTGVVEMDKSLKVDYNSRRNNLAPEVSSSHITKSSQDESVSSMNSSSHYSGKAASDSNSVSSSYREDNGFIMNEELPSVSEASDKQQEEQVLVNLMSSAKLHDFNGQVQLPIEMPPHFSVAPSPLLAPAAFPPKHFAGIPPTSLIGAPWSNMHLIHGYVSPPMAHYVQNHTFAPNIEEGNESEKPITPDASRDDGNNWHEYGVGFPRYFNHQGRDPQMRHFNGKEHSSSPNSVSGAPFERQGEIAVEDNGAVEENYTNMFQNQTSRQASINTRIGSGNARIPSSQSGLSRNKAMPENSWGESAGNTTRSLRDKWGKRPAFAAPDTTTHSKNNTGWQTGNASEHIPPEVDDGARNGVIVPNIRHEASDIITGSGSTASRTSQVPNDFEPSQIGMPNPLFAPLFIGSPQQRQSGNGGLTFIQTGPAVPFLMLPYAPGNDGSVPQFERNEGVDQLPVNIAVQNFSSLNNVHHPDINATSTASSSTAGDPSEEQKPDILNSDFDSHWCNLQYGRSCQNPRPMNPVLYPFAVPPMYLQGHVPWDGPGRPASTNVNWTQMVPPSQRIYPMMPLQPSSERITGGPQHHTEDAPRYRGGTGTYLPNPKVPFKDRHSGSRNHRGNYNTDKGDHNDKEGNWINSKQRNPGRSYGRSHSERSGIRSDRQAADENQYDRQRRSYRNDSYRHETGAQSSGSTNYIRRPGNMTHGDPSPSASNGIGALSGSSAPYFMYYSCEPGTNHGSSSEPLEFGSLGPVPTADGGDMPRPTRQAMPNEFYGQRHVAFRGGSSHSSPDQPSSPQTRSPF >ONIVA03G08800.1 pep chromosome:AWHD00000000:3:6353320:6356353:1 gene:ONIVA03G08800 transcript:ONIVA03G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRGLVAASPVTAPRRRGRCSAATAATSAPEKTAGHVGRLPLAIVPAAAASLSLVLWSSPVHAGIMSGFKGMESVPGPDLPRVEFLEKWNAENQKKYAEFDSRFKSSQVLKDLLEKSKQNKLKNEREIQDKYCLRGAEWGVGDCSTEGMSDQEKEDFIAELKKRTGQE >ONIVA03G08790.1 pep chromosome:AWHD00000000:3:6350783:6351961:-1 gene:ONIVA03G08790 transcript:ONIVA03G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDGGGDGHRGRPPPQQHRPSSGGGGGGGSGDLASSAKLVAEAAKSVFQDHNLEKVDKGRVAGAAAELLHAASQYGKLDGKPAGNYLEKAEEYLHQYGRKEGSAGSGGGGGKYQDEGGEGKYKKKPGHGGGRYEEEEEEDYKKKPTSGGGGGYGGGRYEEEDNYKKKPTSGGGGYGGGMYEEEDDYKKKPSSGGGGGYGGGRYEEEDEYRKKPSGGGYGGGRYEEEEDDYRKKPSAGAGGYGGGGRYEDEYKKKPGGGHGGGRYEEDDEYNKKPSGGYGYGASSGGGHGGRYEEDDYKKKPSAHSGGGGGRYEEEEGYKKPSGHGGGRYGKEEEEDDKKKKKHGEGSEGGMGDYLKLAQGLMKKQGGDGESGGGGMGDYLKLAEGFLKKR >ONIVA03G08780.1 pep chromosome:AWHD00000000:3:6345590:6348471:1 gene:ONIVA03G08780 transcript:ONIVA03G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT2G03350) TAIR;Acc:AT2G03350] MEKALTKIGSFTISRKAKQELSAIGGDISRLSSTVEEKAKWVFDKLKGKPNKSLSDLLREYNLPPGLFPRNIICYEYDQTSSKLVVHLSKPCEVSFKDSSVIRYAPRVKVTLSRGKLSAIEGMKTKVVVWVKVASISLESFRSDKICFIAGVKKLRQKDAYEVPREGIAVEEF >ONIVA03G08770.1 pep chromosome:AWHD00000000:3:6336866:6342957:-1 gene:ONIVA03G08770 transcript:ONIVA03G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) TAIR;Acc:AT1G04080] MEQDQGVAAAAASENPSAGQGAHDSGSAGAGAAASSIASFDSATANPDANVYSQNPSSVPQADGAQGADASVYPADHAPLNGTAGQVVDYQSAGAAENGAATNEMGEPVPEQSYADAVLSAEEARLWNAVTANCLDFNAWTALIDETEKTAESNILKLRKVYDAFLAEFPLCFGYWKKYADHEARLDGVTKVIEVYERAVLAVTYSVDIWYNYCQFAISTYEDPDIIRRLFERGLAYVGTDYRSNILWDEYIKYEESLQAWSHLAIIYTRILEHPITQLDRLKELAATRSLSEILTSEEAAMYSVTAENTAQTLDGVTQPGDVDMSAQPEISGSTEADNLAKYVSVREEMYNKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHNYLDLIEKEEDINKVIKLYERCVIACASYSEFWIRYVLCMEARGSIELANNAMARATHVFVKKQAEIHLFSARFKELSGDVSGARVEYQHLYSDLYPGLLEAIVKHSNMEHRLGDKESACSIYEKAIAAEKEKDRSQILPTLLIQYSRFLSLAIGDIEKAKETLTGFLEQCDLTKSIIEAIMQLESILPSEKRIEFLDSLVEKFLTAEPTDGEVTSLADKEDISSIFLEFLDIFGDAQAIKKATNRHLTHFSRKRSMLSSKKRRADDVIMSDRDKLARIGDGTQPVVGTDPNAHNPPVWPATSEASGQQWGAAYAPQATYPAYGTYDYSHQMPQSAPQAAAYGAYPPTYPAQAYTQQTYAQPSAMAVAAPAPAVAPAAAYPQQPVAAQQPYYGTGTYY >ONIVA03G08770.2 pep chromosome:AWHD00000000:3:6336868:6342919:-1 gene:ONIVA03G08770 transcript:ONIVA03G08770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) TAIR;Acc:AT1G04080] MEQDQGVAAAAASENPSAGQGAHDSGSAGAGAAASSIASFDSATANPDANVYSQNPSSVPQADGAQGADASVYPADHAPLNGTAGQVVDYQSAGAAENGAATNEMGEPVPEQSYADAVLSAEEARLWNAVTANCLDFNAWTALIDETEKTAESNILKLRKVYDAFLAEFPLCFGYWKKYADHEARLDGVTKVIEVYERAVLAVTYSVDIWYNYCQFAISTYEDPDIIRRLFERGLAYVGTDYRSNILWDEYIKYEESLQAWSHLAIIYTRILEHPITQLDRLKELAATRSLSEILTSEEAAMYSVTAENTAQTLDGVTQPGDVDMSAQPEISGSTEADNLAKYVSVREEMYNKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHNYLDLIEKEEDINKVIKLYERCVIACASYSEFWIRYVLCMEARGSIELANNAMARATHVFVKKQAEIHLFSARFKELSGDVSGARVEYQHLYSDLYPGLLEAIVKHSNMEHRLGDKESACSIYEKAIAAEKEKDRSQILPTLLIQYSRFLSLAIGDIEKAKETLTGFLEQCDLTKSIIEAIMQLESILPSEKRIEFLDSLVEKFLTAEPTDGEVTSLADKEDISSIFLEFLDIFGDAQAIKKATNRHLTHFSRKRSMLSSKKRRADDVIMSDRDKLARIGDGTQPVVGTDPNAHNPPVWPATSEASGQQWGAAYAPQATYPAYGTYDYSHQMPQSAPQAAAYGAYPPTYPAQAYTQQTYAQPSAMAVAAPAPAVAPAAAYPQQPVAAQQPYYGTGTYY >ONIVA03G08770.3 pep chromosome:AWHD00000000:3:6336868:6342919:-1 gene:ONIVA03G08770 transcript:ONIVA03G08770.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) TAIR;Acc:AT1G04080] MEQDQGVAAAAASENPSAGQGAHDSGSAGAGAAASSIASFDSATANPDANVYSQNPSSVPQADGAQGADASVYPADHAPLNGTAGQVVDYQSAGAAENGAATNEMGEPVPEQSYADAVLSAEEARLWNAVTANCLDFNAWTALIDETEKTAESNILKLRKVYDAFLAEFPLCFGYWKKYADHEARLDGVTKVIEVYERAVLAVTYSVDIWYNYCQFAISTYEDPDIIRRLFERGLAYVGTDYRSNILWDEYIKYEESLQAWSHLAIIYTRILEHPITQLDRLKELAATRSLSEILTSEEAAMYSVTAENTAQTLDGVTQPGDVDMSAQPEISGSTEADNLAKYVSVREEMYNKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHNYLDLIEKEEDINKVIKLYERCVIACASYSEFWIRYVLCMEARGSIELANNAMARATHVFVKKQAEIHLFSARFKELSGDVSGARVEYQHLYSDLYPGLLEAIVKHSNMEHRLGDKESACSIYEKAIAAEKEKDRSQILPTLLIQYSRFLSLAIGDIEKAKETLTGFLEQCDLTKSIIEAIMQLESILPSEKRIEFLDSLVEKFLTAEPTDGEVTSLADKEDISSIFLEFLDIFGDAQAIKKATNRHLTHFSRKRSMLSSKKRRADDVIMSDRDKLARIGDGTQPVVGTDPNAHNPPVWPATSEASGQQWGAAYAPQAYTQQTYAQPSAMAVAAPAPAVAPAAAYPQQPVAAQQPYYGTGTYY >ONIVA03G08770.4 pep chromosome:AWHD00000000:3:6342956:6343454:-1 gene:ONIVA03G08770 transcript:ONIVA03G08770.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) TAIR;Acc:AT1G04080] MGDPNKPYARGRPEQRETAKRALGWIRQRGPRQSLPRSHWAAEKQKFGPRHAARSGGTRAPLPVLPRRPTSPRPPTFPTPLILIASHRSNSNPNPPPPPRLAGAEALARSSATPRHLILPDARASARRLRQLFPP >ONIVA03G08760.1 pep chromosome:AWHD00000000:3:6333955:6335764:-1 gene:ONIVA03G08760 transcript:ONIVA03G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRAHLTRLSPPRLYQQTNRFLRRLSIVLDMAEIDTRPLGSVRAALTHFQQRADHHSRFSPDRNLQEIEILTKELASCRMQLEVKENEKIQANLKLESLQNAMQESSDNRMIARQQSEASEECKALRDELTVVRGELDAVRSSNSFLLREIELMETRMILEKESIRDSLNHVLQINESVLSSAVAAIRAEEERSVFFQEITLEFLSSDKNREVIDRQVEMIKNLESELMAKTVEIAYLQSQLQQVKEHCISSEIIAGNQEQQAEASLTLGNGDAEAVVVAGGGFVAVISKEDDGGGEEFYTKEIEHDQQQAAGAAGLAVADGYVLVAKSDGGDADLKGKLEAARAEIGDLRFSLEEAVRRAELAEEAKAALERALREEIQRKAQPRNTPSLTTTTTTPAKPPLTGPRGGDGRPLPGGCLTLGKVLNMKYK >ONIVA03G08750.1 pep chromosome:AWHD00000000:3:6329118:6331663:-1 gene:ONIVA03G08750 transcript:ONIVA03G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0GIT7] MAMTTRTLLLAAVCAAAALPRGWSPIKNIDDPHIQELGRWAITENNRVSPSDELTFHRVTGGEQQVVSGMNYRLEIEAASGGGDVTGSYGAVVFEQDLTAAAAAKLLAMTMRTSSLLLAAVAVVAIVAGATAATVGSWEPVDINDPHVQELGRWAVAEEDRGVAAGGLTFERVTDGEKQVVAGVNYRLTLEASSSGAKDGRYEAVVYEQDPRSNARKLVSFEPIH >ONIVA03G08740.1 pep chromosome:AWHD00000000:3:6324632:6326172:-1 gene:ONIVA03G08740 transcript:ONIVA03G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0GIT6] MRTSSLVLFAAVAVFGAACTAAAGDESWKTIDANDRHVQDVALWAVAETDWASATGGLTLNTVDGAEKRFEAGVTYYRLTLEASSRVVAKYLRFQAVLYDI >ONIVA03G08730.1 pep chromosome:AWHD00000000:3:6322853:6324179:-1 gene:ONIVA03G08730 transcript:ONIVA03G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVDALVHGGGDDDDDDDGGGGYYYFAFGWESEAKCPGPVNKERPKICAEKTVDSLNYIGE >ONIVA03G08720.1 pep chromosome:AWHD00000000:3:6310566:6321913:1 gene:ONIVA03G08720 transcript:ONIVA03G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEFRIPYQQVSSSQTAENAGQYKICRCGEGDPNTSETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPVRGNEPVVTLGGIDLNNTGSVVVKEDRKLLTVLFPDSRDGRTFTLKAETTEELNEWKSALENALAQAPAVANAVGQNPIFSTDIAEPAEAPAEQPDDKSVIGRPAEFALVDADGSPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVQDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTKRLDAMSKVIYEVFPEPNQQLLQRILKMMQIVGSHKAVNRMSPSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDEIFDDLEDGSCSSDAYTDSEDGDVDKEYSTDNDVDGSYDSGEDNIEEDMEDDTEYSSGGSECDDKVKRNNSGKASNVENTSQMESNDPSHRKLHESNGSTDQIEKSNVRASSSRAKFMEKSSSRNKSKKTLLGRASARRDLSADETDFCSDDETLIEKLENNKTDLQSKITKEVKENSILQASLGRRKEELHERRLALEKEVENLRDQLQKERKLRASLESGLMNLRRGQVSFPSTIDSKTKADLEEVATAEADILNLKQKVSDLRGQLNNNVQMSSTSLCDSCNKRLLSTDKLFEDEQNTSPSNVGPNSMSDMASATDMADIEQSRKQTTQHSSSSIDKPTLHKHQKSIASNEQSTTISQRAQRILSSKGGIMKDGQDGSFTSKWNLAQKQYSNNPLLGRLGSNAYSSTRTEESGAVPFALAKLTNRLNFLKERRAILASEMQNLNLARPPGPTAPAPKKDST >ONIVA03G08720.2 pep chromosome:AWHD00000000:3:6310566:6321913:1 gene:ONIVA03G08720 transcript:ONIVA03G08720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEFRIPYQQVSSSQTAENAGQYKICRCGEGDPNTSETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPVRGNEPVVTLGGIDLNNTGSVVVKEDRKLLTVLFPDSRDGRTFTLKAETTEELNEWKSALENALAQAPAVANAVGQNPIFSTDIAEPAEAPAEQPDDKSVIGRPAEFALVDADGSPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVQDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTKRLDAMSKVIYEVFPEPNQQLLQRILKMMQIVGSHKAVNRMSPSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDEIFDDLEDGSCSSDAYTDSEDGDVDKEYSTDNDVDGSYDSGEDNIEEDMEDDTEYSSGGSECDDKVKRNNSGKASNGNDKGFQPPKKAARTEHGVLREDTNQISSVPPVENTSQMESNDPSHRKLHESNGSTDQIEKSNVRASSSRAKFMEKSSSRNKSKKTLLGRASARRDLSADETDFCSDDETLIEKLENNKTDLQSKITKEVKENSILQASLGRRKEELHERRLALEKEVENLRDQLQKERKLRASLESGLMNLRRGQVSFPSTIDSKTKADLEEVATAEADILNLKQKVSDLRGQLNNNVQMSSTSLCDSCNKRLLSTDKLFEDEQNTSPSNVGPNSMSDMASATDMADIEQSRKQTTQHSSSSIDKPTLHKHQKSIASNEQSTTISQRAQRILSSKGGIMKDGQDGSFTSKWNLAQKQYSNNPLLGRLGSNAYSSTRTEESGAVPFALAKLTNRLNFLKERRAILASEMQNLNLARPPGPTAPAPKKDST >ONIVA03G08720.3 pep chromosome:AWHD00000000:3:6310383:6321913:1 gene:ONIVA03G08720 transcript:ONIVA03G08720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEFRIPYQQVSSSQTAENAGQYKICRCGEGDPNTSETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPVRGNEPVVTLGGIDLNNTGSVVVKEDRKLLTVLFPDSRDGRTFTLKAETTEELNEWKSALENALAQAPAVANAVGQNPIFSTDIAEPAEAPAEQPDDKSVIGRPAEFALVDADGSPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVQDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTKRLDAMSKVIYEVFPEPNQQLLQRILKMMQIVGSHKAVNRMSPSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDEIFDDLEDGSCSSDAYTDSEDGDVDKEYSTDNDVDGSYDSGEDNIEEDMEDDTEYSSGGSECDDKVKRNNSGKASNVENTSQMESNDPSHRKLHESNGSTDQIEKSNVRASSSRAKFMEKSSSRNKSKKTLLGRASARRDLSADETDFCSDDETLIEKLENNKTDLQSKITKEVKENSILQASLGRRKEELHERRLALEKEVENLRDQLQKERKLRASLESGLMNLRRGQVSFPSTIDSKTKADLEEVATAEADILNLKQKVSDLRGQLNNNVQMSSTSLCDSCNKRLLSTDKLFEDEQNTSPSNVGPNSMSDMASATDMADIEQSRKQTTQHSSSSIDKPTLHKHQKSIASNEQSTTISQRAQRILSSKGGIMKDGQDGSFTSKWNLAQKQYSNNPLLGRLGSNAYSSTRTEESGAVPFALAKLTNRLNFLKERRAILASEMQNLNLARPPGPTAPAPKKDST >ONIVA03G08710.1 pep chromosome:AWHD00000000:3:6304989:6309279:1 gene:ONIVA03G08710 transcript:ONIVA03G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSDPQPQANGDHQPAAEAAMEDNGAQVEEVVDSGESETMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTPISCERECSRGEDYRLIKLSIIDFKNKHEKVVVVECRGHDAARLQNIDHLHGWEDDIVGLVEKKHGNRKFSLSFECETLKADKAAEEHISKYMPNLKGLDAVVNIGKMSISGINLDEDDEPSCDN >ONIVA03G08710.2 pep chromosome:AWHD00000000:3:6304989:6308763:1 gene:ONIVA03G08710 transcript:ONIVA03G08710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSDPQPQANGDHQPAAEAAMEDNGAQVEEVVDSGESETMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTPISCERECSRGEDYRLIKLSIIDFKNKHEKVVVVECRGHDAARLQNIDHLHGWEDDIVGLVEKKHGNRKFSLSFECETLKADKAAEEHISKYMPNLKGLDAVVNIGKMSISGINLDEDDEPSCDN >ONIVA03G08700.1 pep chromosome:AWHD00000000:3:6296631:6304538:1 gene:ONIVA03G08700 transcript:ONIVA03G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionyl-tRNA synthetase / methionine--tRNA ligase / MetRS (cpMetRS) [Source:Projected from Arabidopsis thaliana (AT3G55400) TAIR;Acc:AT3G55400] MAAGRAFLRAAPSSLAAGAGRFAFACPTALPLTAAAAAATGPHRRGRGRCYCSASDAPPPPPYVLTTPLYYRLLDKRVVFITGTDEHGEKIATSAEACGRNPKDHCDTISNSYKMLWADLDIEYDKFIRTTDRKHEAVVNDFYSRVLDSGDIYRADYEGLYCVSCEEYKDEKELGENKCCPVHLKPCVPRKEDNYFFALSKYQHQLEDLLTKNPNFVRPSHRLNEVQGWVKSGLRDFSISRASVEWGIPVPNDTKQTIYVWFDALLGYISALLDDGEKASLQQAVERGWPASLHLIGKDILRFHAVYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVNRFGVDAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAFDSIAAADGISLKDNVENLVDKAKDQFENLLLSSACETLMEIGNLGNLYIDEQAPWSCFKQGGESAEKAAKDLVIILETMRIIAIALSPITPSLSLRIYTQLGFTEDQFRTLRWEDTKWGGLKAGQVMMEPKPVFARIETETDEKDQSSSKATKGGKKKARSQGLVEA >ONIVA03G08700.2 pep chromosome:AWHD00000000:3:6296631:6304538:1 gene:ONIVA03G08700 transcript:ONIVA03G08700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionyl-tRNA synthetase / methionine--tRNA ligase / MetRS (cpMetRS) [Source:Projected from Arabidopsis thaliana (AT3G55400) TAIR;Acc:AT3G55400] MAAGRAFLRAAPSSLAAGAGRFAFACPTALPLTAAAAAATGPHRRGRGRCYCSASDAPPPPPYVLTTPLYYRLLDKRVVFITGTDEHGEKIATSAEACDIEYDKFIRTTDRKHEAVVNDFYSRVLDSGDIYRADYEGLYCVSCEEYKDEKELGENKCCPVHLKPCVPRKEDNYFFALSKYQHQLEDLLTKNPNFVRPSHRLNEVQGWVKSGLRDFSISRASVEWGIPVPNDTKQTIYVWFDALLGYISALLDDGEKASLQQAVERGWPASLHLIGKDILRFHAVYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVNRFGVDAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAFDSIAAADGISLKDNVENLVDKAKDQFENLLLSSACETLMEIGNLGNLYIDEQAPWSCFKQGGESAEKAAKDLVIILETMRIIAIALSPITPSLSLRIYTQLGFTEDQFRTLRWEDTKWGGLKAGQVMMEPKPVFARIETETDEKDQSSSKATKGGKKKARSQGLVEA >ONIVA03G08690.1 pep chromosome:AWHD00000000:3:6286441:6290584:-1 gene:ONIVA03G08690 transcript:ONIVA03G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GIS7] MRKHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGRKAFQYVAMVLYTPLITSVVVLYIWCAATNPGDPGIFKSAEHPKLKDGRRSQKNSDHGLSQGGKMSSDGFNAVDNSEKLSSMLEQKDSHSWPTFSEILCFPFSCLCKRCFHADNQSSEQHMSEEGMFFCSLCEAEVLKNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFLLMTSAVLLWLSGALVLILCIVDRGEFSRQIVSKLGSSFSTVVFIIVVGISTYDYIIALREQEEDQQEETAGHQSPQMSIISSVTGFSTASSFGPLHRGSWCTPPRLFLEDQFDVIPPEIGMLQNSGSKKTKEDEGARRRTGTVKISPWTLARLNAEEVSRAAAQAKKKSKILKPIARHDVPIGHDINIGGKLVPKADNNRRPDKRGRFPAELSLDPLTRLSASGTESNVSDTAIETSGNLAPLQFEARSAFQPSAAASTRNAASSPESSFDSPDLHPFRLSSCTADEMQGAIPHPAQSGIKFSRSTSDGYEASGGEDSDRIPSRIVHRSSNWANAILSSGQGGGPAADPNMPSSEG >ONIVA03G08670.1 pep chromosome:AWHD00000000:3:6264701:6268667:1 gene:ONIVA03G08670 transcript:ONIVA03G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHRASLSPYPPAASGSEYDKSLSEYSLHRNSTIEICYRGRVGRPMTFYKKVDDTDANTWFSTVNLVPALQTQSHTNPLVVPWLSVSYFLDFASYNIQKVLNHVTATHRENLSYNGAFTSANITFHNGVVTIQGFRTKTQINHQETRLERFCDRILDSGMYERCCIFSGLMEAFIFSVSLD >ONIVA03G08660.1 pep chromosome:AWHD00000000:3:6256307:6266793:-1 gene:ONIVA03G08660 transcript:ONIVA03G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEDLGDGMHCDDDLGDGMHYDEESNADTCRNVDSDNDNSRGYNHYLSRHAGHSGHSASNRYAMGAYLWYDDAIKAPELYRENIGTNRNEIVTN >ONIVA03G08650.1 pep chromosome:AWHD00000000:3:6255838:6256299:1 gene:ONIVA03G08650 transcript:ONIVA03G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDELHSAGAPAGVAAVEEGEGEAAQGFSFSIWPPTQRTRDAVVRRLVDTLGGDTILCKRYGAVPAADAEPAARGIEAEAFDAAAASGEAAATASVEEGIKALQLYSKEVSRRLLDFVKSRSAAAAAAAAPSEGEAPAAPSESEVVDPQPAE >ONIVA03G08640.1 pep chromosome:AWHD00000000:3:6249923:6254143:-1 gene:ONIVA03G08640 transcript:ONIVA03G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMIPNLAQIYLVGFYEEREFALYVSSISNELRVPVRYLREDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFNAIEDVLKQKKDRANLRRVSSFEALHSATKALPADYVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTSPHLLASGDGKRSATIIGDVYIHPSAKIGPNVSISANARIGAGARLIHCIILDDVEIMGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >ONIVA03G08630.1 pep chromosome:AWHD00000000:3:6242072:6253086:1 gene:ONIVA03G08630 transcript:ONIVA03G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRTRSVARMEAAAAAAAEEEAGNPDGVEGAAVAAVAPEAAAEGPSEPNAGEASREPDAGQASREPGAAGPSREPDVAGPSREPDAAGPSREPGAAGGSREPGAAGGSRQPVPDAAQLAVVPYVEDIDRYLRSLEAEQTRRPMINYVQEIQGGIINMDVRGILVDWMADVAYVFNLQEETLHHAVSYVDRFLSKIAFPGDKLKLLGTTALFVASKYEEIHPPHVRNFSAVTVNTYTTQQVSKMELNILRFLNFDVGSPTNSSNRKLELMCNYLAELSLLDDYYIRFLPSIVAAACLFVGKFTLNPNTRPWFGSVSTITPPENIKVPRTRSVARMEATAAAAAAAEEEEAGNPDGAEGAAVVAAAPEAAAEGPNEPNAGEASREPDAGQASREPDVAGPSRQPGAAGPSREPGAAGGPRQPGPWQLVPNAAGPAVAPYVEDIDRYLRSLEESRRPIVNYDQEIQGGHINMRGKLVNWMAELVVAMEANILSFLNFQMGSPTVITFLRRFLFSCRGSNRPINIRLELMCIYLAELSLLDDYNIRFLPSIVAAACLFVGKFTLNPNTRPWNLSVQRITGYKVSDIEDCIRSIHDLQAGRKWSNLRAIRSKYEDDAFERVSTIPSPNTIKPSFLRDLKYVNG >ONIVA03G08630.2 pep chromosome:AWHD00000000:3:6242072:6253086:1 gene:ONIVA03G08630 transcript:ONIVA03G08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRTRSVARMEAAAAAAAEEEAGNPDGVEGAAVAAVAPEAAAEGPSEPNAGEASREPDAGQASREPGAAGPSREPDVAGPSREPDAAGPSREPGAAGGSREPGAAGGSRQPVPDAAQLAVVPYVEDIDRYLRSLEAEQTRRPMINYVQEIQGGIINMDVRGILVDWMADVAYVFNLQEETLHHAVSYVDRFLSKIAFPGDKLKLLGTTALFVASKYEEIHPPHVRNFSAVTVNTYTTQQVSKMELNILRFLNFDVGSPTNSSNRKLELMCNYLAELSLLDDYYIRFLPSIVAAACLFVGKFTLNPNTRPWFGSVSTITPPENIKVPRTRSVARMEATAAAAAAAEEEEAGNPDGAEGAAVVAAAPEAAAEGPNEPNAGEASREPDAGQASREPDVAGPSRQPGAAGPSREPGAAGGPRQPGPWQLVPNAAGPAVAPYVEDIDRYLRSLEESRRPIVNYDQEIQGGHINMRGKLVNWMAELVVAMEANILSFLNFQMGSPTVITFLRRFLFSCRGSNRPINIRLELMCIYLAELSLLDDYNIRFLPSIVAAACLFVGKFTLNPNTRPWNLSVQRITGYKVSDIEDCIRSIHDLQAGRKWSNLRAIRSKYEDDAFERVSTIPSPNTIKPSFLRDLKTMNGRIHHTRSATSSPNWFADSAET >ONIVA03G08630.3 pep chromosome:AWHD00000000:3:6242072:6249435:1 gene:ONIVA03G08630 transcript:ONIVA03G08630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRTRSVARMEAAAAAAAEEEAGNPDGVEGAAVAAVAPEAAAEGPSEPNAGEASREPDAGQASREPGAAGPSREPDVAGPSREPDAAGPSREPGAAGGSREPGAAGGSRQPVPDAAQLAVVPYVEDIDRYLRSLEAEQTRRPMINYVQEIQGGIINMDVRGILVDWMADVAYVFNLQEETLHHAVSYVDRFLSKIAFPGDKLKLLGTTALFVASKYEEIHPPHVRNFSAVTVNTYTTQQVSKMELNILRFLNFDVGSPTNSSNRKLELMCNYLAELSLLDDYYIRFLPSIVAAACLFVGKFTLNPNTRPWFGSVSTITPPENIKVPRTRSVARMEATAAAAAAAEEEEAGNPDGAEGAAVVAAAPEAAAEGPNEPNAGEASREPDAGQASREPDVAGPSRQPGAAGPSREPGAAGGPRQPGPWQLVPNAAGPAVAPYVEDIDRYLRSLEESRRPIVNYDQEIQGGHINMRGKLVNWMAELVVAMEANILSFLNFQMGSPTVITFLRRFLFSCRGSNRPINIRLELMCIYLAELSLLDDYNIRFLPSIVAAACLFVGKFTLNPNTRPWNLSVQRITGYKVSDIEDCIRSIHDLQAGRKWSNLRAIRSKYEDDAFERVSTIPSPNTIKPSFLRDLKYVNG >ONIVA03G08620.1 pep chromosome:AWHD00000000:3:6238938:6241313:1 gene:ONIVA03G08620 transcript:ONIVA03G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02420) TAIR;Acc:AT1G02420] MPPKPERRLFQYVSKPRRPAPEVCGGGGEEVVASDADADAVYRMVTAAPTPSAMESALSASGVAISAPLLDLVLRRFRFAHGDPLRALSLLSLALDRHGVAPSPFALDTALYVLGRARRFAHMWDLLRSSRRLVPDAVTPRTAMVVLGRVAKVCSVRETVDSFRRLSRMLRGRGDDQEGQLFNALLRTLCQEKSMSDARNVYHALKYEFKVNRQTFNILLSGWKSAEDAEAFVAEMRELGVEPDLVTYNSLIDCHCKNRGVENAYKLLDEMREKDISPDVITYTSLIGGLGLIGQPDKAKHLLKEMHELGCYPDVPAYNTAIRNFVIAKRLGDAFALMEEMASKGLMPNATTYNLFFRCYYWAYDIGSAWQLYERMRSEGCFPNTQSCMFIVRLCHRHGRVAQALELWSDMVNNGFGSFTLVSDVLFDLLCDEGKLDEAERCFHQMIELGQKPSSVAFRRIKILMQLANREESIARLTAQMAQFGRLAPEDCRRVDHTLQSTHQSMKFCM >ONIVA03G08610.1 pep chromosome:AWHD00000000:3:6226874:6230417:-1 gene:ONIVA03G08610 transcript:ONIVA03G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLAESLLPVGGGAATATATAHDEYDERAYDSDDKVSIAVSDSDSEDGGGGGGDAMRPAFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLLWATVMGALVQLLSARLGVATGKHLAELCREEYPPWATRALWAMTELALVGADIQEVIGSAIAIKILSAGTVPLWGGVVITAFDCFIFLFLENYGVRKLEAFFGVLIAVMAVSFAIMFGETKPSGKELLIGLVVPKLSSRTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTNKKSRVQEAVFYYNIESILALIVSFFINICVTTVFAKGFYGSEQADGIGLENAGQYLQQKYGTAFFPILYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRAMITRSFAIIPTMIVALFFDTEDPTMDILNEALNVLQSIQIPFALIPLITLVSKEQVMGSFVVGPITKVISWIVTVFLMLINGYLILSFYATEVRGALVRSSLCVVLAVYLAFIVYLIMQNTSLYSRLRSAMTKST >ONIVA03G08600.1 pep chromosome:AWHD00000000:3:6218015:6221397:-1 gene:ONIVA03G08600 transcript:ONIVA03G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G21060) TAIR;Acc:AT3G21060] MNVPIVDPLQGDFPETIEEFLQYGSMKCIAFNRRGTLLAAGCANGNCVIWDFETRGIARELHDKDCTAPITSVAWSKYGHHLLASATDKSLTLWHVVNEKKIARITLQQTPLSVRLHPGGPSTPSICLACPLSSAPILVDLNTGSTTVLPAFLSDNGNLPAPNTRNKFSDGSPPFTPTAATFDKYGDLIYVGNSKGEILIIDSKSIKVHAVIPIPGGTVVKDIVFSRDGRYLLTNSNDRVIRVYDNLLPVKGSGEEIEKISSNNISYESHYEKLKANGASCLAISCELLDAIAKIPWKAPCFSGDSEWIVGASASKGEHRLCIWNRSGRLVKILEGPKEALIDIAWHPVDPTIVSVSVAGLAYIWAKEHVENWSAFAPDFVELEENEEYVEREDEFDLNAYVEKAEEQLVNEDEYVDIETYDKNSTFSDLDDSSSTTMELIYLAAIPIPDTPDEQPDKCLGSSSKLEDSNHSDSPSSLDAVQNGQAIPPASSPMEVDNSTAEEPAEAVNSKRRRRLSAKGLELQQAEKGKKPTTKNKSNGKSPGSNGKQLEPANGNSSAVDDEATEDDEI >ONIVA03G08590.1 pep chromosome:AWHD00000000:3:6212791:6215426:1 gene:ONIVA03G08590 transcript:ONIVA03G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTRSLQQSGSSKSKGKIMAKIVEEDEEGEEEEEEEEDSLSSGCHCFLCAIKEPDARLRRASLAAFFRELPYCEDDDAGAGAGAGVDGGRSCGEVVGAVWRAAMGAPDDPELPSLGAIRCMSLLLARALADVEWRRRGRNVYVPYYAAHVIGSYTIRSSAHAELAVAAGAVRPLLAFLGGAMTWVEQRAAARALGHLASYDATFPAVARHAAEAVPLAVRAASTCVGNVYASFVALAPSKRPKYQRDLLTRGLDGGGGGVVADGEERKAEEWASQLQCWSLYFLSCLASRDVSSHATICHDPVFLRELCQMWGGLANGDSPAGVGLLRLLCRSAAGRAAIAACRDALSGLCDLARSSDDWQYMAIDCLLLLLDDRETWHAVADATAARLVDLAELRHLGPRRRLGNAITAALLLDDGDDDGDIVHGRELGMEAKEAIARLREVQVERKGREDAMSRDELLKRRIMAKEKKRQGNDMFWHGEVEKAIELYTEALELCPLSRRRERLVLHSNRAQCRLARRDADAAVGDATRALSLARPAANAHARSLWRRAQAYDMKGMARESLLDCLAFAGAWLNRKDGTAAAAAAASRGGNPKLPYCVARMISKQMGLTGLFSAVATNSSTTKVDRDDRMPHYSDGDGDGGDSDGDGDGGDSDDEEDDDDDDRDESEEEFAEKGMKLCRSGKGLPIITDEAWRRLARRKKATSRVLSHDHRF >ONIVA03G08580.1 pep chromosome:AWHD00000000:3:6207069:6210078:1 gene:ONIVA03G08580 transcript:ONIVA03G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHAFICTSPRSALALTAYARPRTDGHHRRRRRAHSSPSNPRASPQPTLPTRSCH >ONIVA03G08580.2 pep chromosome:AWHD00000000:3:6207069:6210078:1 gene:ONIVA03G08580 transcript:ONIVA03G08580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTAVAVALIPPRPTRGPRHSQPCPRDPATKQWLEVAGELACHSSVMRLKLLAQLVVHSYWLKREWQWTEIAGRSPARV >ONIVA03G08570.1 pep chromosome:AWHD00000000:3:6201823:6207128:-1 gene:ONIVA03G08570 transcript:ONIVA03G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEGGAATICAGWGHWGIAGVCVSPTASGLPVDGLLPGGTLSRPLANPSIFSASGDLASYRLSGSPIVASSSAAQREQKWCSVRRWVAVEVHCQEQLDERISMPICIKDAILCCQYKQ >ONIVA03G08560.1 pep chromosome:AWHD00000000:3:6198536:6203100:1 gene:ONIVA03G08560 transcript:ONIVA03G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQPDAAADDLPVPAAATGDERGADDQDEEAEARGPVRDLRVGRAVGAAHRRGGGGDRGREPETGRGDEAGQGGAAGGGEEEGREVREDQDDREGAEEALPRRHHRRRALPRQVPRQGRPRRRDRRHRRPRRRLLPQPRRPGGPDQASAPLIDGGRSFNSSRRRWCVYVHTTVLGSLICSPNRGLHLARNWPFQPEKFGFLSCQLPRRSGVHHPQIVFWFKASKFNLFWLVF >ONIVA03G08550.1 pep chromosome:AWHD00000000:3:6186535:6193729:-1 gene:ONIVA03G08550 transcript:ONIVA03G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTPFFSGKPPKQPAPLASTRPHPEEGKKKSDRASPRAPATATATTSAILPSLLATVGSLATGAVAALAAAGAHAGSLPRARSVASVAAAAAMSTARVYADVNVHRPREYWDYEALAVEWGEQDDYEVVRKVGRGKYSEVFEGINVTNDERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLHNIVRDQQSKTPSLIFEYVNSTDFKVLYPTLTDYDIRFYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYFPEKEYFKGPELLVDFQSYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDQLNAYLNKYRIALDPQLEALIGRHTRKPWSKFINPENRHLVSPEAIDFLDKLIRFDHHDRLTAREAMAHPYFEQVRAAEDCRMRTEAESPCSSWFGSTPAAAAGMALRGVWQLQKLVVNYCDWGGSSRGIRAFMEAHLPAFKEKNPHLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLAPEDILLQATRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTELKM >ONIVA03G08540.1 pep chromosome:AWHD00000000:3:6182697:6185630:-1 gene:ONIVA03G08540 transcript:ONIVA03G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQGSADRMESVYRIWVQDRSGGDSEAAAAAAAAAVGGGGLPAGELRRELHTALGTAKWQLDELERAIRSNDKVFSAGKDTKARHDDFVAAIGCRILEVENNLKESNVAEGRGALSWIDLDEDERNDLATFLSASSFQQRDKVVTIPSVGDIDVGNNAAMVKKDMYADSSKDSGSAELSSARVKEETHRGHRRAASAHADIGSWTMLCPNESESSADLPYDDKHQEPLLKIVKTCALTSALQSKPRTKKKGGSVKWAAVDQQDVEETIPLSSQMGQGSDRCFERSKSCVSTCDESTYNKKLYGCLGALHRRLQRSRYRIRYGRPVQLIVLAVAALLIYSQLG >ONIVA03G08530.1 pep chromosome:AWHD00000000:3:6179966:6182689:1 gene:ONIVA03G08530 transcript:ONIVA03G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVPQVVGAVSRSIAGRLLADIDLASSVGTNVEDVTDALTRLTSIRADLEASMGRLPQRRRPEEVTDWLSRVDGAEKRVAKLRREYQRRCCSCGGGAFSLNLFASYAISRRACHERHRLAALLGECDRVRSLAAGAPRPSSGAMVVPSMVVGMEGYLEEALACLDDRDAGVVAICGMAGVGKSTLLRRINNVFVQDPDRRHEFDYVIWLDAPGDCAAVGKMQDAMAHRLGLCALPDGGAPDHRARPIFEVLRDSSFLLLLDGVTKPVDLVDIGVPHLVHDDRRRQKVAMTTRTRGVCGRMSSSRRIDMQCLDSDHSWRLFREIARDETINADPRIPDLAKEVAGRCGGLPLVLTAIGGAMRCRRQPEEWVSTVTALRNLELAKIPGMDAGEKPGAMLRSLQESYGDLRHPVLQKCFLATSLWPEGHAIDKGELVECWIGLGLVGESLPMDEAVRTGLAVLNELEEANLLLPGDATGEVKLHGVVRGAALWIARDLGKAPNRWVVCTGGVSLRSRQKLVEFFERARDAERVSAMRSSVERLRAMPPPSSPCRSLSVLMLQHNAALRDIPGGFLLGVPALAYLDASFTGVREVAPEIGTLASLRYLNLSSTPLESVPPELGRLRQLRHLLLRHTARLSAFPAGVLRGLPSLDVLDVCPSRYTEWCGAGGGGGGASLDELRSSSAFVRSLGIAVATLAGLRALRGLDNVRTRRLTVTRVAATAPSVALRPSMLGLLEALHELTVAKCSGLQELEVVAGEEDNAWWRLPELRKLEIDELHELAAVRWTRTDVGAFLPALRWVKISHCNRLRNVSWAVQLPCLEQLELRHCSEMVHVVDIDGDDEEQRREHPETRTFRCLRRLLLVELPSMGSIGGGAALSFPWLETLEIAGCDSLGELPVELQKKLKEI >ONIVA03G08520.1 pep chromosome:AWHD00000000:3:6169896:6172850:-1 gene:ONIVA03G08520 transcript:ONIVA03G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPADQQVADSTSTCCAIHLWSELDDMLDVARNVRRLEETVGQLAAQRSSLHGAIVDARVVGVDDGEDGGAADRLRRLGCTEEAANWLGRARVAEKQGNAVAADYAALSMPRLRLVARYRIGKRASRALRQAQQLVQERGAICAARRGVGSFAATTHQSAPTPAAAAVGTEDYLKEALGYIADDAVGVIGVCGMGGVGKTTLLRAINNSFLPTARQPPASSKVFDHVVWAVASKECRIDRLQDDVAKKLGLPLASLPDEHSDADLEQRALPIAEHLKNTGFLMLLDDLWECFDLKLIGVPYPDGGAGDELPRKVVLTTRSEIVCGNMKADRVLNVECLKPDDAWTLFEMNATAAAVTSHPAIAGLAREVAGECRGLPLALITIGKALSTKTDPELWRHAIDKLRDAHLHEITGMEEENAGMLRVLKVSYDYLPTTTMQECFLTCCLWPEDYSIEREKLVECWLGLGLIAGSSSIDDDVETGARIIAALKDVRLLESGGDVVGDTRGVRMHDMIRDMAIWIASDCGATRNRWLVRAGVGIKTASKLNEQWRTSPAAAGASTERVSLMRNLIEELPARLPARRGVRALMLQMNTSLRAIPGSFLRCVPALTYLDLSDTIVMALPGEIGSLVGLRYLNVSGTFIGALPPELLHLTQLEHLLLSDTNMLDSIPRNVILGLQKLKILDVFASRYTRWRLNADDDDAATASEASLDELEARNASIKFLGINVSSVAALRKLSGFTNVSTRRLCLKDMAGPASLTLLPSTLSDTLGGLDMLERLQHLAIRSCTGVKDIVIDAGSGSGSDSDDELRRSFRLPKLDRLRLLSVRHLETIRFRHTTAAAHVLPALRRINILNCFQLKNANWVLHLPALEHLELHYCHDMEAIVDGGGDTAAEDRRTPTTFPCLKTLAVHGMRSLACLCRGVPAISFPALEILEVGQCYALRRLDGVRPLKLREIQGSDEWWQQLEWEEDGIKDALFPYFKNHS >ONIVA03G08510.1 pep chromosome:AWHD00000000:3:6167134:6169078:1 gene:ONIVA03G08510 transcript:ONIVA03G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFTARRARAARLSDPDPDPDPPADALRDPHGLADRRGRRRGCRPRRQLDAAGDVRQHLHTGAPPSRRRASYTDRVLSYIDNSNIGDSATRRNRLDRLMFRTNEQLPGAVLQAQARVLERLRGISIGSSVSRPSITLDEFSATDVFRIIDFGNRDAPYEANRSSSSLAHPSSESDEERSPIDTSSLKRSRGLSKAAFLRLQIEIFEASKDDNREASPECSICLDGFYDGDELIKLRCGHRFHSNCLEPWVRKCADCPYCRTNIQSRS >ONIVA03G08500.1 pep chromosome:AWHD00000000:3:6163044:6165561:-1 gene:ONIVA03G08500 transcript:ONIVA03G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEARFVSPAMKRTSDWILSQELPSDITIKVDDAAFNLHKLPLASRCGYIKKQVSGVGGNKATHLEIAGMPGGSKSFELVVKFCYGVNFEITVDNVAMLRCAGEHLEMTEECRPGNLVGRTETYLEEVALASLEGAVAVLRKAEELLPASEEKARLVARCIDAVASIVCGGDGQFSMSLGTPGGGGGGGYNGVGAAASREVDDWCADELTALRIDTFQRVMIAMKARGFKGIAMGTLIMLYAQKSLRRLDMHGRDRKKMGARQEHEKRVVLETIMRLRDPSVAFSAGGVPPSGRPPLPKKPGGGGGGSSGGFMNSMSKKLGRLNPFLRSDVLGGGRVRTKPPKDRRHSIS >ONIVA03G08490.1 pep chromosome:AWHD00000000:3:6158885:6162404:1 gene:ONIVA03G08490 transcript:ONIVA03G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GIQ4] MFASRPAVHPVEAPPPPPPPDPAEQPRGVLMKDLPGMPGTAGGLGLRLAQFAFAAVALAVMASTNDFPSVTSFCFLVAAAILQCLWSFSLAIVDIYALLVKRCLRNRRAVCLFAIGDGQWTKAMSDNVLDVSYHLLGAGDEMHS >ONIVA03G08490.2 pep chromosome:AWHD00000000:3:6158885:6160957:1 gene:ONIVA03G08490 transcript:ONIVA03G08490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GIQ4] MFASRPAVHPVEAPPPPPPPDPAEQPRGVLMKDLPGMPGTAGGLGLRLAQFAFAAVALAVMASTNDFPSVTSFCVAYEIAGLFVYLQLEMG >ONIVA03G08480.1 pep chromosome:AWHD00000000:3:6155333:6155712:-1 gene:ONIVA03G08480 transcript:ONIVA03G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWWDRVVLPVRRAWLGVAARFGVRQTGLWRLRQEVSTCEYEDVRVMWEMLSRTSTAGAGAGRAAPPARRHSRFRQPRPWTESICLCAGF >ONIVA03G08470.1 pep chromosome:AWHD00000000:3:6149845:6155171:-1 gene:ONIVA03G08470 transcript:ONIVA03G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGTPVCRGATQQFRKRLSRLEQVPLLLGIGVSRFAVSRASAIRRIRRWLVGWMADGRGRGVAFDS >ONIVA03G08460.1 pep chromosome:AWHD00000000:3:6146678:6149412:-1 gene:ONIVA03G08460 transcript:ONIVA03G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erv1/Alr family protein [Source:Projected from Arabidopsis thaliana (AT1G49880) TAIR;Acc:AT1G49880] MPPPAWGWGSNPLEPVVHTVAAFSRRLLIAPDAAPDEARLRPLLSLSLSPPPTPPSPPPPPPEVLKKDSKAAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQRRDARELMAIISRLYPCKECAEHFKEVLKANPVQAGSQAEFSQWLCYVHNVVNRSLGKPIFPCQRVNARWGKLDCPERSCDLEGSNDIIPNR >ONIVA03G08450.1 pep chromosome:AWHD00000000:3:6144773:6145900:-1 gene:ONIVA03G08450 transcript:ONIVA03G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLSFERGSSERRKVQKHLKLRCCRGDEDEASSSVGFATLCISWRRKHVARDRFGCKKKHYDILRKEDFLLLTERQGPWKMSGSMTTDLLIQVQAPRRLSGQVQLSMALLSFLTCRDRSRLLIILPNLLPPR >ONIVA03G08440.1 pep chromosome:AWHD00000000:3:6130894:6131657:-1 gene:ONIVA03G08440 transcript:ONIVA03G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLRQKGGPDIASTDGGGYDREYVQEKTARKQAISYPVKCERETEAEGPDQRVYNYYSVRISGSLCKVRCERGGG >ONIVA03G08430.1 pep chromosome:AWHD00000000:3:6120417:6124621:-1 gene:ONIVA03G08430 transcript:ONIVA03G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAATAPDPAHPARPPLTPALDKPNSAPAARRPARSSKPVSSRYLSAAASPTSSTSSSSSTSSSSAPSSNRRSLSAQRARSSTPPPQHSTSPTPAPAAAAAAVAVASGGLTATTMRSLSVSFQGESFFYQTSRAPRAASPSSPGGRRGPTPERRKSVSSVPEAENTRPQHRWPAAKPKASDPLARSLDCSLDRKDSILAAVHLLRRSMAFDSTTSLSPSDPAAAAAAAHDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPEPGLPLPSSSGRRSFSDSQMSPRLPGRSPSPSRGSRGMASPARGRSGEASPNGHTMQVPANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNRHLQWRCINARTDAALLVQSFNAEKTLHSAWKEISKLRDNVSSKRSKLQLLKQKLKLFAILRRQIYYLDEWSHIEKHHSSALSAAIEALKASTLRLPVVGGAKVEGTSSVVSELAKLATQEQMLLDQSRDLLSMVAAIHVKQCSLQAHMLQRKQKQSQTRV >ONIVA03G08420.1 pep chromosome:AWHD00000000:3:6111722:6113156:-1 gene:ONIVA03G08420 transcript:ONIVA03G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDEEEEGVVIIIREYDPSRDRAGTEAVDRECDVGPTGGMSLHADLLGDPVARIRHSPDYLMLVAETTSGATGGRIIVGIIRGTVKSVATGKSCPGAPAVASVGYILGLRVAPSHRRMGLALRMVRRMEAWFERMGAEYAYMATDKSNEASLRLFTVRCGYSKFRTPSLLVHPVHAHRRRVPRRAAVFRLGARDAERLYDGRFAHVEFFPADIGAVLGNQLSIGTFLAVIDDDGRWRHGEWRGAERFLASPPASWALASLWDCGGVFRLELRGASRLRRAAAAATRALDRAARWMRVPSVPDFFRPFSGWFVYGLGGDGPDAAVAAEALFATFVNMARGRAAAVAVEVAACDPLRRRIPHWRRLSCTEDLWCMKRLGRVGESDGWDWARSPPGLSIFVDPREV >ONIVA03G08410.1 pep chromosome:AWHD00000000:3:6101114:6107676:1 gene:ONIVA03G08410 transcript:ONIVA03G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEVSIVATELVSDVTVRIGTTKFYLHKFPLLSKCARFQKMIPTTGDENIEIHIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMHETVDKGNLIYKIEVFLSSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASTDTSKVEWSYSYNRKKLPTENGLDLEWNGVKKQQFVPHDWWVEDLADLDIDSYKQVITAIKTKGMVPKDVIGEAIKAYTYKKLPSLSKVSMVHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGELCRKELMKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEFVRQDSKNAQKHNGGEVDSHVQAPSASMIKVAKVVDGYLAEVAKDPNTPILKFIHLAETMSINSRPVHDGLYRAIDMYLKEHPSLGKNEKKKLCSLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAVTIRADSICVGSYESSRSGATTNTEDEWDGVMAVEDLSLSTKTTTKLDGAANSHCSNGKATKGGASTPKKAAHRKTTTVPTGKGQSGERSSSDSSDSAILQKLELPKRTPSRSTKPAAV >ONIVA03G08400.1 pep chromosome:AWHD00000000:3:6090209:6095584:1 gene:ONIVA03G08400 transcript:ONIVA03G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:membrane-associated progesterone binding protein 4 [Source:Projected from Arabidopsis thaliana (AT4G14965) TAIR;Acc:AT4G14965] MVLGARLLLGLALLAALLAVVLQLYRLRKPRLWTKEELAVYNGTDESLPILLGILGSVFDVTKGKSNYGPGGGYHHFAGSSWKLAKFQFLPEIDVIGSASTSFPSSDGLTDSLQGLSSMEVNSIVDWRKFYFERYIFAGKIVGRYYDDEGNPTKYLKGIEMKAKRGAQLLEKQKSEEAKIPSCNSKWSQQEGGEVWCETGYPRLVRRPGDIALTGQVSQRCACYKEEELDKAGLVVYEGQGGLGYRLICCLVFMILAPRVLERTAQELLKSEEVSHDRFRFGSREQEIN >ONIVA03G08400.2 pep chromosome:AWHD00000000:3:6090209:6095584:1 gene:ONIVA03G08400 transcript:ONIVA03G08400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:membrane-associated progesterone binding protein 4 [Source:Projected from Arabidopsis thaliana (AT4G14965) TAIR;Acc:AT4G14965] MVLGARLLLGLALLAALLAVVLQLYRLRKPRLWTKEELAVYNGTDESLPILLGILGSVFDVTKGKSNYGPGGGYHHFAGRDASRAFVSGNFTGDGLTDSLQGLSSMEVNSIVDWRKFYFERYIFAGKIVGRYYDDEGNPTKYLKGIEMKAKRGAQLLEKQKSEEAKIPSCNSKWSQQEGGEVWCETGYPRLVRRPGDIALTGQVSQRCACYKEEELDKAGLVVYEGQGGLGYRLICCLVFMILAPRVLERTAQELLKSEEVSHDRFRFGSREQEIN >ONIVA03G08390.1 pep chromosome:AWHD00000000:3:6083215:6089906:1 gene:ONIVA03G08390 transcript:ONIVA03G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSKLPTPSLLPSCSSPHISPRSTPLPLTSATPCGVPHPPGCGRFDTAGEGCERLDPMPEAAPFEGFASMAEFEVAAEQFRVFIQETKAKAEEAYQLAVLIQKAAAGGGSDVAAALEVCKKAAEATAAGGASSDAAATSEICKAADVMVKEVAARADLIQEGSAEEEAYRPPVLIPVATARDFGGSMRGLTQRTMLGDDSDHMAMFEKKASVTQTDMKEKRGKAKDVSIDEDKSSDDDVDMVIGGYAQDPYDDSGLEELLQDQDALEKSLKKGL >ONIVA03G08390.2 pep chromosome:AWHD00000000:3:6083215:6089906:1 gene:ONIVA03G08390 transcript:ONIVA03G08390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSKLPTPSLLPSCSSPHISPRSTPLPLTSATPCGVPHPPGCGRFDTAGEGCERLDPMPEAAPFEGFASMAEFEVAAEQFRVFIQETKAKAEEAYQLAVLIQKAAAGGGSDVAAALEVCKKAAEATAAGGASSDAAATSEICKAADVMVKEVAARADLIQEGSAEEEAYRPPVLIPVATARDFGGSMRGLTQRTMLGDDSDHMAMFEKKASVTQTDMKEKRGKAKDVSIDEDKSSDDDVDMVIGGYAQDPYDDSGLEELLQDQDALEKSGL >ONIVA03G08380.1 pep chromosome:AWHD00000000:3:6075534:6081736:-1 gene:ONIVA03G08380 transcript:ONIVA03G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFASWMMNLASRLPYLVRIEMVDLPRCEYLPPFGQLQHLELLILRRILSLRKLGGEICGGNGAFRKLREFTLAKMDNLNEWITKVSANGEFMFPSLHKLEISQCPILRLNPCLPRALEWRIEASDQIIADFYHTGSSSSLVLSKMHIRSCRLLPNDWKLLQFLPVLQVLELTHCWFYELPKSIGYLTTLRSLQIDGCDSMTKLSKWLVSLSLLHELIITGCLNLVYLPAFVQKLSALEKLEINDNDALQRWCRNSDSWISENGIKNKIYFDGKLMSTKKQEDTADIDRTDVRDYRNPCDEDEYVDCFAMLELGESSRMGR >ONIVA03G08370.1 pep chromosome:AWHD00000000:3:6065562:6067131:-1 gene:ONIVA03G08370 transcript:ONIVA03G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFKRSCSSSKATACVGGGGGGHGNAVAAGGGGGGAGEIGWEVRPGGMLVQKREGRGGEEVILVRVSTGFAWHDVSIAATSTFGELKVRLSMVTGLEPREQRLLFRGKEREDTDHLHMVGVRDKDKVLLLEDPALKDMKVRAALAAARVMQSPCQPFIQV >ONIVA03G08360.1 pep chromosome:AWHD00000000:3:6054797:6058251:-1 gene:ONIVA03G08360 transcript:ONIVA03G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGIRASAWSFFKFLPFFLGLLLLGIIKGALLFPWAWLIMMIGISALVLGLWPMHVIWTYYCIIRSKLVGPVVKLLLLVAASVILVLWLIVGIVGSVLIGVVYGFLAPVMATFDAVGEGKERPLYHCFVDGTWSTITGSCTVVRDLKDLLLHSYFSIMDDLRFHAPPGGEPYEIRVLDIPGALFAAACGFLVDGIMFTLIAFYKFPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAILLWPFAVFGAFLASIISSIPLGAFAAVVVYQESSLIMGLNYVISSVAIFDEYTNDVLDMAPGSCFPRFKYRKNEASTEGGSLSRPASFKDKQDGKKAPSRVTSFKGSFDEFNPFKLLDHLFEECKHRGEVLVAEGVITPKDIEETKSGKIGIGVLNVGLPAYVILHALIRSAKANSDGLILSDGSEITSDNRPKNTIFDWFFDPLMVIKEQIKAQNFTEEEEAYLKKRVLLTSDPKRLKEVVPHLPSSLNERKQAEIDALSRRLQGITRSISRYPTAKRRFDDLVRSLSEELERTMGGSQSGSVSQMQKLRSGISRMLSQRSMGKRTSNRGDDREAQLTIDP >ONIVA03G08350.1 pep chromosome:AWHD00000000:3:6051486:6057743:1 gene:ONIVA03G08350 transcript:ONIVA03G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCAHAEFFRAQPAWALALAGVGLLAAARAALRLALWLYAAFLRPGKPLRRRYGAWAVVTGATDGIGRAMAFRLAASGLGLVLVGRSPDKLASVSEEIRGRYPRVEVRTFVLDFAADGLAAGVEGLREAIRGLEVGVLVNNAGVSYPYARYLHEVDEELMRTLIRVNVEGLTRVTHAVLPAMVERKRGAIVNIGSGSSSVMPSDPLYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQVPLYVATKMASIRKSSFFVPSADTYARAAIRHIGYEPRCTPYWPHSVMWFLISILPESLIDSIRLGMCIKIRKKGQAKDAKKKAQ >ONIVA03G08340.1 pep chromosome:AWHD00000000:3:6045092:6045612:-1 gene:ONIVA03G08340 transcript:ONIVA03G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATCSPPSTASPAATPLLSPFITLSAAASLLPPLFGHRSPPSAWPWPLRTALQIAGGALGDETKQAEASPMPSASAATTEWSSGSKMENGLALRMIGAVGCATHGDPALNVPLT >ONIVA03G08330.1 pep chromosome:AWHD00000000:3:6035862:6043164:1 gene:ONIVA03G08330 transcript:ONIVA03G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVRGQGCVGRLRSFNKTKKKNRNCSDDMRNGDDEEKKYSHHDMKKNLRNDANEEKRKSSDDMRDGDFEEKKKCSRHDMKKNLRNDANEEKRKSSDDMRDGDDEEKKKCSRQDMKKNLRNDADEEKRKSSDDMRDGDDEEKKKRSRHDMKKNSRNDADEEKRKRKRKCSDDLKKSVVNDASKEATSHSDWRKNRKAGSDAEQRGKKLLNGDKKAKSRKVTTPFFEKMRKIKMQRTSNQNGEKNMKSDSDSYKKTVPLSVNKGKMEKDGTNKRTLSNTLVAKERKMRPSDSMEMKMKKKKRDASFVQPDERTAQTFSTKNKEKKRKAPSTPLKREQKERVASSDNKKETKKACIVAIGNEKKNCRDGKKKKRKAAFAFFKFVRDEFEELLFIPPAVAPSLKDLIDRHVYLEDSEGKCSKIRLSVVDGSLAFYEGWNSFVSEHCIKWGEFLLFEYTPESTFSVRVFGIDSCERLHFSVKSGGKGAVKKRKERHTLSDDLISHYNGQYQDSEDIHDGPNVSGESPRSKEPKITVDAEIGTRNLVAKSINAASETQDSERVESGIGYGSLGALGNKVRNLSNGECDTRSDSVFCIQEKTRRSEVIIISDEAYSTQVDEDTMKQTAPSEASEIHHVAINTQKDLERVVDGVCCESSVALNNKMGNLILGEPKNKNISPACSTEKTNGSEITPTTGAIPLTQENIDTVKLNTLSCFEEDRSTTRESELAAAIPTTSETHDSDKDLGQKHQRISVQVNSIIAVDKYPNDSEMNISGNIFRIYEAPAGTRCLEKWKRGIVNGRAALDDIGQVRPEKTQKAGEKLVGNCGAMGESPVDLRIESDVTDTCLKPILNIPIEELSILDSVSISKCGRSRTEVNHLFNQKGATVQLQTKKEPLKPTGSSGNRKGDKIAVSVNRVFAHQSELQIPQQENGNFTSCVTPVALLPAKAELLDLDDHSLQFCIPSTIQKWLVFSYSVVQWMQFVEFMLIAVRAELPKSLPITCRQKGRYDRNVVILKDPMRRLWPVFYHDKPVFVGFTAGWKPFAAANNLQAGDVCKFVKEMDEDELAFQVYITRK >ONIVA03G08320.1 pep chromosome:AWHD00000000:3:6026532:6033259:-1 gene:ONIVA03G08320 transcript:ONIVA03G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSDSDSSPKSSSSSSASSSALRRSPPRVRVQSDEGGSSDGVLVELPSQEARSPGADPDGGVLVNMPSDDATSGETFEDAPDDLGGSRSARSLDESIAVIDFPDESSLAAECRKYKEEREVFAREAVALRGMLRELVGEDASGSLPAEDSDERASGSLTPLHSMLDDCSRLVLELNSVVRAREQEIESLRGRSAEVEVSREGSEQAIGRIVASVDAVVGQYDVSSEGADEEGISLVERKTSLLAERHRQILLDIEQLEQVLAEVQPDFGATGQCDHATILGIVSEELVNSKRNEADFLQKVNTFGEENKNLAEELQSVKAALDVVNAEAKKAKAEFEQVEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTAQLDGCMTELQQKSDAMQAAESRVEELKILLDEKSNEHEQCLDELRETYNAWEAAKAAVEQLTEENTALTSVQTSLSVKDVILQRIEGVMSEASFPEDLLSLEMADRLEWLVEQKKIADMIFSEHRKVKDILASTDLPHAVLTGELDSQIHWLLNSLYQAKEDAARMQDESSAMLHKLASHESKLNSMHEEVDRLTIALLEEKQEKDILANEHAELMSLYHAASDQLSVVSSRYTELVKAFAEVSDVQLEDHEILDGGKLVEQCLANIQGRAKSSPVECESFEKLQTQVYTLDQELTLCKIILEEDKADRSEMMRLSGELQRMVQETDALKNEKDSLQKELERVEEKSSLLREKLSMAVKKGKGLVQEREGLKQVLDEKKSDIEKLKHALDEKNAELENLKQTLDGNNSVLEKLKQAWDELNSESENIKQALDVKNSEVDKLKHALDENNSEIENLKHTLNEKNSETDKLKQDIDATYMEMENLKYEIASRESAITDLREQVEHLSSQVTHSQKLQLDIISLIDEKGKVESMLAEAKVSSGALVELISSISLPFDSPCEDPIDKIGQIAQYIKESQVTKSSVENELHKANEQVTSQASQLADALSSLKVLEDELSNSKEYISSISEEKRQMQLHTAAVEEELEKTNEELAIYASKFEDANVTINSLQDALSQARVNISVLDAEKKEADAKHETETSALNAKLAKCLEELDRSHGNLQSHSTEHDVYLEKLSTLVMDNSLLSLMTEEFGKKVSTLREMALIVRSMREQLAAKGFQIDPTMEDSESGMLLSFPDYDNFVTERMASSKIRKGNVDGALSFSTVVEQLSNQAEYLSEIFKDLSGYMDENITLVHHSLQLASSKVAHTLEEHDTLRNELQNKDTHNRAQESELLSLQKELRAMSSNCIYCYQQIQTISDDLLELGYAIELATGNSSIVSKVEGSSSVLKDVDASDYTKVSDALVSTVNRLKLESEKLSNMKEAVFTMLDELKMRLKQTESAAETSLQEHELYVKRVCVLEKDLETLKDERKGMEIKIQEYQERGNMLKAKEIELLSLEHAQNTTERGMTEVISKDQLEALVEKINKLNTSSAESHLQRELAMSSSPIEKLFSLIDEVYALRHEVDTLRYENEDLHLNLESHAREMEQLKEASRNSDSNRRELESKSSELLEITVSMERMIQRLGYLGGKEALEDNKPTSTQALLSKLEKLIIASNVESGSAKSVIQELGAKLQVREKAIDELSTKVKMFDDLHHARLVQPEANMDRAFEASSSAVGSEISDAEDLGPAGKASISSVPTAAHSRLMRKGSSDHLVLNIGRESERLITAQDSDDKGRVFKSLHTSGMIPAQGKQIADRGLREPNSDEPASCKARAHGVLALLAPMVDRQHLVTIALVA >ONIVA03G08310.1 pep chromosome:AWHD00000000:3:6024951:6025585:-1 gene:ONIVA03G08310 transcript:ONIVA03G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSSSAAGGGGDHGGARLEDLALDKVAEAADAVAAASSAGEVVRVIHAVAAIVFPVDSATVAGPMGLCDV >ONIVA03G08300.1 pep chromosome:AWHD00000000:3:6013201:6020988:-1 gene:ONIVA03G08300 transcript:ONIVA03G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIALTFSKVVDPNNPLYLDDNCCENVEWDFGVLSPKEITAPSKDVEHISKLKPSLPENKKHAGERRAKAIRHDTSENRAKIIEIKSLDSCEMSGPAVNAHFEEEECDEEIMNTDASSVSSLEPYDLSDDDTDLQKNFTQLTDLAAALRKPDDPDGVENALSSADKLVRASPDELRHNSGDLVIALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCTFECSHQVAVLIKRGCPWREVSDSGTLLNWSHRYEREVPSRSGQVTDTGMLGVCMKCIAMHPEASAVAPALLDMIRSRGRDPWGPPAIGWGHSSSVGSAARDDPTEEMRLVQSWKGRLTSTETSWWSPFASLPSEHVDVDGSWRDQCVRDKLDAMNSFCKASSAMGNSLPVESKVTVEEENDRIKYVVSSMQGLGDKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKQFHIELCNHEDYHNDLTNALNNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGICANFWPFVQAAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGSLAIDLSSDHKPRTSESERERVQNAGGISLGIGCEKVMGNYVIKEQWALGDFGGSVTISRSIGDFAFKKNKDLDREEQMLICDPDILTDYITDDMEFLVIASQGLWSCMESADVVAYIHDRLSEGAELRDICEEVVQFGLPSGENTTVILRQSGELVPTPSANNTVTDEVDPTGTVAADDKVDPNSSANADADDGAPKPSVGAVIESDEVALDATATGHQVAVRQQEEFDPRKCWICGKGYQKILLEPSSARARNPLLAHAKTCESEDKKAKKITKYMMKANVTNQYH >ONIVA03G08290.1 pep chromosome:AWHD00000000:3:6011282:6012415:1 gene:ONIVA03G08290 transcript:ONIVA03G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATATAAQGLRDWSSLPLDMLVLVLDRLGWSTHPSFALTCRHWRSAVSPFYPAWITPLLLSAADIGVTNVRYYSPYYHRCFEIADTLLKVPKIAARICCSSGQHLTLCLPRLILQADLVAGTMYELPEMPFYSFEFIVYDEHARRMYCVNTTYALQLARATQQDDGEWGPWELTDFDVEGPQLLAAPISNPVLHGGLLYVLGEDGKMAVYDPCNHDNNFTVPDKPKGFGIKHQVDSHLFESDQGALMAVLVGYSGAPVHVVKLNEETMEWEKMRSLEGHALFTGTYTTMLRKTKLRLMQNKVFLPRLYDWPETIHVDIVTRDGETVFVPKSYSSSNTKEITSDINIWFYEFGQQDAREFWGSERVDYSIWVDFSTN >ONIVA03G08280.1 pep chromosome:AWHD00000000:3:6009772:6010788:-1 gene:ONIVA03G08280 transcript:ONIVA03G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGDASAAVLDPKLAPLLLFGHGDATSLYSVPARALLPRRAGDGGVDDMMRGHRWWTTAQGWLLMARRGSPCTFLWDPFTGRRVGLPPDHDGTVLAAEGSSHRRRCLLSCCGPMDPASCVVLVIDHADTVLWYCRPGDNHWVKRHQHQYLQPGPPHHEHRGIVIWALRQLTAMDGEFYTDLIDHVAVLEFSLEPAFTVTAVDDDDRRPAVYMKRTSIFVESNGELHSILFSHPIGCDRIVAGVGVYRLSMATTQEQRSAWVKVDSLGGRVFFVQIGCFGASLDARTTGLRGNCIYYSGFNGKALCVYDMERGTTAIINPGEHLPYHQSPKILMPTR >ONIVA03G08270.1 pep chromosome:AWHD00000000:3:5979570:6007170:-1 gene:ONIVA03G08270 transcript:ONIVA03G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSSSAARAAGSQGGGHGGARLEDLALDKVAEAADAVAAASSAGEVIINGVSLSNDERGSWRHAFYHGPAFPTISKILLGHVALKWLRQIRASARKEIYDSFFVKGPPTEVIQALVPALSHKGGSKEDHNIICSNIERLLILCLVENKGVSQIIAEFTVSSKHDDDNLNPGRAAFISRVAQLLASVPDKTRMGASPALTSSSFFKCVVDQLLVATEQAAMELAADEDANGLDASNSVFLFVGEVISRVSRRGSTGILVAELIPRIRSHLKRCMESDHKTISPDKIKHVSQFWFNVVEAIRDQHSVERLAEEMLRQLASQHTSDEEAYWILWTLFNQSFMHKTVLRAMFVDKFLRWKTFPLCCLRWILHYAVFELPPNSGIETQKQRTSSFLDITAAIGLCLEKMSKRELETTKDVLNNILEGRLESPIDLIRKMASAIALTFSKVVDPNNPLYLDDNCCENVEWDFGVLSPKEITAPSKDVELISKLKPSLPENKKHAGERRAKAIKHDTSENRAKIIEIKSLDSCETSGSAVNGHFEGEECDEEIMNIDASSDSSLEPYDLSDDDSDLQKKFTQLKDLAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSGDLVRALVHVRCSDMAMEGEEDSAEEKRQKALVALLVTCTFESLDVLTKLLYSSSVDVSQRILIIDVMTEAAQELAETKIVRRELRHGNLISDTSPSWLVPSDQGPAGAGPWREVSESGTLLNWSHRYEREVPSRSGQVKSGKSRKWGLGKAKDLQTEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPALLDMIRSRAVSQHPEAYVRRSVLFAASCILIALHPSYVASSLIEGNQDVSTGLEWIRTWALHVAETDPDTECTSMAMTCLRLHSEMALQTSRALESADHSKASSSSSRSLPSKLDNIIIPFANMMGEGSVGTTSHRMGPLLERWISREGRSDGGDSSGPAAKGESKESSAMGNSLPVESKFTFEEENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTTSTSFFGVYDGHGGAEVALYCAKQFHIELCNHEDYHNDLINALDNVFLSMDENLQQSDAWRELVIPHDNGCMYFLKAGVCAKPFPQATYTGPAYEGSTACVVVIRGNQMIVGHVGDSRCVLSRQGGLAIDLSFDHKPCTRTESERERVQNAGGRSLGLRCEQVMGNYVVKEQWLLGDFGGGDFAFKKNKDLDREKQMLVCDPDILADDITDDMEFLVIASQGLWSCVDSADVVSYIHDRLSVEGAELRVICEEVVEFGLASGENTTVILVQFKPGAFQYQLVDPAGFGTAVSNIASTSAAPAGASDTSDEGVDDAATARPTVMGYDADSSTGSADATVDSDEVDPNASATADSYNPRGHAEIVASHTGDEVYTSGSARVESGELAVPTPSANNTVADEVKVDAAVVSGGSTTAMAADEATVVSLLSTIVDNYYSINTSEEVDPTATVAADDKVTNASTSATAGSKLHTSDSSLNFVLVEDDGMCHRRSVVPEGGWKKEGGAAVAPARSTRKRRRRRERRAMRAPTIPENPRLPNSDENDMDAGGEEAKQERHLVLAHELFLLSRPDLDDLANVALRSDALDAVKSDGMAPLFESLATAGVLLKPDDAAARRDARADRRGGPQARRELFHNILKHQKKLILIQNEYQYQKKIYLWMGHAGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQAEGSVGTTSHRMGPLLERWISREGRSDGGDASGPVLFWCVLIIFAVPDAIRSSSLRLGQVAPRLVLFSNFKAFRSPKFAKILPGSDLFSPRVLGFRTRVVLLLDVFEVGVKASSAMGNSLPVESKVTVEEENDRIKYIVSSMQGLGHKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYHNDLTNALDNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGVCANFWPFPQAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGVDCEKVMENYVIKEQWILSYFGESVTISRSIGDFAFKQNKDLNREEQMLICDPDIHTEGVELRVICEELVQSGLPSGENTTVILVQFKPGAFQYQLVDPAAFDTAASNVASTSAGPAGGSDSDTSATSDEGVDDTATAGTTTTGYEAGSSTGPGSGGGSANAAFDSGGDLAANLDIATNFGSDDLAANLDIATDIDTEDVFTFINSDDTFGINSDEVELDPNFRPKPQVRRAHDGPSPTPSEIEADLNASPTRYNMRDIFEAFDKVEAELGGFPLQGHDVSSTSTNPNTATDTGSGSRTGDDDVDGAIARAMAVASSVMTGAGYEVDSTTTNPSAAADTGSHTGDEIKVDDSTSGSARGDSGELVNNDTTVADNNASGVADSTTVGDEVDPTATVAADDSNTGDKVDPPAITKATADSNTSGEVDVDATATATASASAAVADDEGTAPDDSEGSPELRAETGSENLKPAYGILQHRNSNGLKLILIQNVHELYDLGTNAVSLSRITRSTWMVSGQSHSLGRNTLFCINLSLVFLNIVVYVPVNNACPSNDRIFSHSIVSSLSLTTWTGAPL >ONIVA03G08270.10 pep chromosome:AWHD00000000:3:5980805:6000560:-1 gene:ONIVA03G08270 transcript:ONIVA03G08270.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGIRGDHQPQDGATPRAKESSAMGNSLPVESKFTFEEENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTTSTSFFGVYDGHGGAEVALYCAKQFHIELCNHEDYHNDLINALDNVFLSMDENLQQSDAWRELVIPHDNGCMYFLKAGVCAKPFPQATYTGPAYEGSTACVVVIRGNQMIVGHVGDSRCVLSRQGGLAIDLSFDHKPCTRTESERERVQNAGGRSLGLRCEQVMGNYVVKEQWLLGDFGGGVTISRSIGDFAFKKNKDLDREKQMLVCDPDILAEGAELRVICEEVVEFGLASGENTTVILVQFKPGAFQGHAEIVASHTGDEVYTSGSARVESGELAVDPTATVAADDKVTNASTSATAGSKLHTSDSSLNFVLVEDDGMCHRRSVVPEGGWKKEGGAAVAPARSTRKRRRRRERRAMRAPTIPENPRLPNSDENDMDAGGEEAKQERHLVLAHELFLLSRPDLDDLANVALRSDALDAVKSDGMAPLFESLATAGVLLKPDDAAARRDARADRRGGPQARRELFHNILKHQKKLILIQNEYQYQKKIYLWMGHAGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQAEGSVGTTSHRMGPLLERWISREGRSDGGDASGPVLFWCVLIIFAVPDAIRSSSLRLGQVAPRLVLFSNFKAFRSPKFAKILPGSDLFSPRVLGFRTRVVLLLDVFEVGVKASSAMGNSLPVESKVTVEEENDRIKYIVSSMQGLGHKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYHNDLTNALDNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGVCANFWPFPQAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGVDCEKVMENYVIKEQWILSYFGESVTISRSIGDFAFKQNKDLNREEQMLICDPDIHTHDITGDMEFLVIASQGLWSCMESADVVAYIHVRLLEGVELRVICEELVQSGLPSGENTTVILVQFKPGAFQYQLVDPAAFDTAASNVASTSAGPAGGSDSDTSATSDEGVDDTATAGTTTTGYEAGSSTGPGSGGGSANAAFDSGGDLAANLDIATNFGSDDLAANLDIATDIDTEDVFTFINSDDTFGINSDEVELDPNFRPKPQVRRAHDGPSPTPSEIEADLNASPTRYNMRDIFEAFDKVEAELGGFPLQGHDVSSTSTNPNTATDTGSGSRTGDDDVDGAIARAMAVASSVMTGAGYEVDSTTTNPSAAADTGSHTGDEIKVDDSTSGSARGDSGELVNNDTTVADNNASGVADSTTVGDEVDPTATVAADDSNTGDKVDPPAITKATADSNTSGEVDVDATATATASASAAVADDEGTAPDDSEGSP >ONIVA03G08270.11 pep chromosome:AWHD00000000:3:5980805:6000560:-1 gene:ONIVA03G08270 transcript:ONIVA03G08270.11 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGIRGDHQPQDGATPRAKESSAMGNSLPVESKFTFEEENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTTSTSFFGVYDGHGGAEVALYCAKQFHIELCNHEDYHNDLINALDNVFLSMDENLQQSDAWRELVIPHDNGCMYFLKAGVCAKPFPQATYTGPAYEGSTACVVVIRGNQMIVGHVGDSRCVLSRQGGLAIDLSFDHKPCTRTESERERVQNAGGRSLGLRCEQVMGNYVVKEQWLLGDFGGGVTISRSIGDFAFKKNKDLDREKQMLVCDPDILADDITDDMEFLVIASQGLWSCVDSADVVSYIHDRLSVEGAELRVICEEVVEFGLASGENTTVILVHGSARVESGELAVPTPSANNTVADEVDPTATVAADDKVTNASTSATAGSKLHTSDSSLNFVLVEDDGMCHRRSVVPEGGWKKEGGAAVAPARSTRKRRRRRERRAMRAPTIPENPRLPNSDENDMDAGGEEAKQERHLVLAHELFLLSRPDLDDLANVALRSDALDAVKSDGMAPLFESLATAGVLLKPDDAAARRDARADRRGGPQARRELFHNILKHQKKLILIQNEYQYQKKIYLWMGHAGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQAEGSVGTTSHRMGPLLERWISREGRSDGGDASGPVLFWCVLIIFAVPDAIRSSSLRLGQVAPRLVLFSNFKAFRSPKFAKILPGSDLFSPRVLGFRTRVVLLLDVFEVGVKASSAMGNSLPVESKVTVEEENDRIKYIVSSMQGLGHKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYHNDLTNALDNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGVCANFWPFPQAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGVDCEKVMENYVIKEQWILSYFGESVTISRSIGDFAFKQNKDLNREEQMLICDPDIHTEGVELRVICEELVQSGLPSGENTTVILVQFKPGAFQYQLVDPAAFDTAASNVASTSAGPAGGSDSDTSATSDEGVDDTATAGTTTTGYEAGSSTGPGSGGGSANAAFDSGGDLAANLDIATNFGSDDLAANLDIATDIDTEDVFTFINSDDTFGINSDEVELDPNFRPKPQVRRAHDGPSPTPSEIEADLNASPTRYNMRDIFEAFDKVEAELGGFPLQGHDVSSTSTNPNTATDTGSGSRTGDDDVDGAIARAMAVASSVMTGAGYEVDSTTTNPSAAADTGSHTGDEIKVDDSTSGSARGDSGELVNNDTTVADNNASGVADSTTVGDEVDPTATVAADDSNTGDKVDPPAITKATADSNTSGEVDVDATATATASASAAVADDEGTAPDDSEGSP >ONIVA03G08270.2 pep chromosome:AWHD00000000:3:5979570:6007170:-1 gene:ONIVA03G08270 transcript:ONIVA03G08270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSSSAARAAGSQGGGHGGARLEDLALDKVAEAADAVAAASSAGEVIINGVSLSNDERGSWRHAFYHGPAFPTISKILLGHVALKWLRQIRASARKEIYDSFFVKGPPTEVIQALVPALSHKGGSKEDHNIICSNIERLLILCLVENKGVSQIIAEFTVSSKHDDDNLNPGRAAFISRVAQLLASVPDKTRMGASPALTSSSFFKCVVDQLLVATEQAAMELAADEDANGLDASNSVFLFVGEVISRVSRRGSTGILVAELIPRIRSHLKRCMESDHKTISPDKIKHVSQFWFNVVEAIRDQHSVERLAEEMLRQLASQHTSDEEAYWILWTLFNQSFMHKTVLRAMFVDKFLRWKTFPLCCLRWILHYAVFELPPNSGIETQKQRTSSFLGRLESPIDLIRKMASAIALTFSKVVDPNNPLYLDDNCCENVEWDFGVLSPKEITAPSKDVELISKLKPSLPENKKHAGERRAKAIKHDTSENRAKIIEIKSLDSCETSGSAVNGHFEGEECDEEIMNIDASSDSSLEPYDLSDDDSDLQKKFTQLKDLAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSGDLVRALVHVRCSDMAMEGEEDSAEEKRQKALVALLVTCTFESLDVLTKLLYSSSVDVSQRILIIDVMTEAAQELAETKIVRRELRHGNLISDTSPSWLVPSDQGPAGAGPWREVSESGTLLNWSHRYEREVPSRSGQVKSGKSRKWGLGKAKDLQTEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPALLDMIRSRAVSQHPEAYVRRSVLFAASCILIALHPSYVASSLIEGNQDVSTGLEWIRTWALHVAETDPDTECTSMAMTCLRLHSEMALQTSRALESADHSKASSSSSRSLPSKLDNIIIPFANMMGEGSVGTTSHRMGPLLERWISREGRSDGGDSSGPAAKGESKESSAMGNSLPVESKFTFEEENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTTSTSFFGVYDGHGGAEVALYCAKQFHIELCNHEDYHNDLINALDNVFLSMDENLQQSDAWRELVIPHDNGCMYFLKAGVCAKPFPQATYTGPAYEGSTACVVVIRGNQMIVGHVGDSRCVLSRQGGLAIDLSFDHKPCTRTESERERVQNAGGRSLGLRCEQVMGNYVVKEQWLLGDFGGGVTISRSIGDFAFKKNKDLDREKQMLVCDPDILADDITDDMEFLVIASQGLWSCVDSADVVSYIHDRLSVEGAELRVICEEVVEFGLASGENTTVILVQFKPGAFQYQLVDPAGFGTAVSNIASTSAAPAGASDTSDEGVDDAATARPTVMGYDADSSTGSADATVDSDEVDPNASATADSYNPRGHAEIVASHTGDEVYTSGSARVESGELAVPTPSANNTVADEVKVDAAVVSGGSTTAMAADEATVVSLLSTIVDNYYSINTSEEVDPTATVAADDKVTNASTSATAGSKLHTSDSSLNFVLVEDDGMCHRRSVVPEGGWKKEGGAAVAPARSTRKRRRRRERRAMRAPTIPENPRLPNSDENDMDAGGEEAKQERHLVLAHELFLLSRPDLDDLANVALRSDALDAVKSDGMAPLFESLATAGVLLKPDDAAARRDARADRRGGPQARRELFHNILKHQKKLILIQNEYQYQKKIYLWMGHAGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQAEGSVGTTSHRMGPLLERWISREGRSDGGDASGPVLFWCVLIIFAVPDAIRSSSLRLGQVAPRLVLFSNFKAFRSPKFAKILPGSDLFSPRVLGFRTRVVLLLDVFEVGVKASSAMGNSLPVESKVTVEEENDRIKYIVSSMQGLGHKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYHNDLTNALDNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGVCANFWPFPQAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGVDCEKVMENYVIKEQWILSYFGESVTISRSIGDFAFKQNKDLNREEQMLICDPDIHTEGVELRVICEELVQSGLPSGENTTVILVQFKPGAFQYQLVDPAAFDTAASNVASTSAGPAGGSDSDTSATSDEGVDDTATAGTTTTGYEAGSSTGPGSGGGSANAAFDSGGDLAANLDIATNFGSDDLAANLDIATDIDTEDVFTFINSDDTFGINSDEVELDPNFRPKPQVRRAHDGPSPTPSEIEADLNASPTRYNMRDIFEAFDKVEAELGGFPLQGHDVSSTSTNPNTATDTGSGSRTGDDDVDGAIARAMAVASSVMTGAGYEVDSTTTNPSAAADTGSHTGDEIKVDDSTSGSARGDSGELVNNDTTVADNNASGVADSTTVGDEVDPTATVAADDSNTGDKVDPPAITKATADSNTSGEVDVDATATATASASAAVADDEGTAPDDSEGSPELRAETGSENLKPAYGILQHRNSNGLKLILIQNVHELYDLGTNAVSLSRITRSTWMVSGQSHSLGRNTLFCINLSLVFLNIVVYVPVNNACPSNDRIFSHSIVSSLSLTTWTGAPL >ONIVA03G08270.3 pep chromosome:AWHD00000000:3:5979570:6007170:-1 gene:ONIVA03G08270 transcript:ONIVA03G08270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSSSAARAAGSQGGGHGGARLEDLALDKVAEAADAVAAASSAGEVIINGVSLSNDERGSWRHAFYHGPAFPTISKILLGHVALKWLRQIRASARKEIYDSFFVKGPPTEVIQALVPALSHKGGSKEDHNIICSNIERLLILCLVENKGVSQIIAEFTVSSKHDDDNLNPGRAAFISRVAQLLASVPDKTRMGASPALTSSSFFKCVVDQLLVATEQAAMELAADEDANGLDASNSVFLFVGEVISRVSRRGSTGILVAELIPRIRSHLKRCMESDHKTISPDKIKHVSQFWFNVVEAIRDQHSVERLAEEMLRQLASQHTSDEEAYWILWTLFNQSFMHKTVLRAMFVDKFLRWKTFPLCCLRWILHYAVFELPPNSGIETQKQRTSSFLGRLESPIDLIRKMASAIALTFSKVVDPNNPLYLDDNCCENVEWDFGVLSPKEITAPSKDVELISKLKPSLPENKKHAGERRAKAIKHDTSENRAKIIEIKSLDSCETSGSAVNGHFEGEECDEEIMNIDASSDSSLEPYDLSDDDSDLQKKFTQLKDLAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSGDLVRALVHVRCSDMAMEGEEDSAEEKRQKALVALLVTCTFESLDVLTKLLYSSSVDVSQRILIIDVMTEAAQELAETKIVRRELRHGNLISDTSPSWLVPSDQGPAGAGPWREVSESGTLLNWSHRYEREVPSRSGQVKSGKSRKWGLGKAKDLQTEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPALLDMIRSRAVSQHPEAYVRRSVLFAASCILIALHPSYVASSLIEGNQDVSTGLEWIRTWALHVAETDPDTECTSMAMTCLRLHSEMALQTSRALESADHSKASSSSSRSLPSKLDNIIIPFANMMGEGSVGTTSHRMGPLLERWISREGRSDGGDSSGPAAKGESKESSAMGNSLPVESKFTFEEENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTTSTSFFGVYDGHGGAEVALYCAKQFHIELCNHEDYHNDLINALDNVFLSMDENLQQSDAWRELVIPHDNGCMYFLKAGVCAKPFPQATYTGPAYEGSTACVVVIRGNQMIVGHVGDSRCVLSRQGGLAIDLSFDHKPCTRTESERERVQNAGGRSLGLRCEQVMGNYVVKEQWLLGDFGGGDFAFKKNKDLDREKQMLVCDPDILADDITDDMEFLVIASQGLWSCVDSADVVSYIHDRLSVEGAELRVICEEVVEFGLASGENTTVILVQFKPGAFQYQLVDPAGFGTAVSNIASTSAAPAGASDTSDEGVDDAATARPTVMGYDADSSTGSADATVDSDEVDPNASATADSYNPRGHAEIVASHTGDEVYTSGSARVESGELAVPTPSANNTVADEVKVDAAVVSGGSTTAMAADEATVVSLLSTIVDNYYSINTSEEVDPTATVAADDKVTNASTSATAGSKLHTSDSSLNFVLVEDDGMCHRRSVVPEGGWKKEGGAAVAPARSTRKRRRRRERRAMRAPTIPENPRLPNSDENDMDAGGEEAKQERHLVLAHELFLLSRPDLDDLANVALRSDALDAVKSDGMAPLFESLATAGVLLKPDDAAARRDARADRRGGPQARRELFHNILKHQKKLILIQNEYQYQKKIYLWMGHAGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQAEGSVGTTSHRMGPLLERWISREGRSDGGDASGPVLFWCVLIIFAVPDAIRSSSLRLGQVAPRLVLFSNFKAFRSPKFAKILPGSDLFSPRVLGFRTRVVLLLDVFEVGVKASSAMGNSLPVESKVTVEEENDRIKYIVSSMQGLGHKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYHNDLTNALDNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGVCANFWPFPQAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGVDCEKVMENYVIKEQWILSYFGESVTISRSIGDFAFKQNKDLNREEQMLICDPDIHTEGVELRVICEELVQSGLPSGENTTVILVQFKPGAFQYQLVDPAAFDTAASNVASTSAGPAGGSDSDTSATSDEGVDDTATAGTTTTGYEAGSSTGPGSGGGSANAAFDSGGDLAANLDIATNFGSDDLAANLDIATDIDTEDVFTFINSDDTFGINSDEVELDPNFRPKPQVRRAHDGPSPTPSEIEADLNASPTRYNMRDIFEAFDKVEAELGGFPLQGHDVSSTSTNPNTATDTGSGSRTGDDDVDGAIARAMAVASSVMTGAGYEVDSTTTNPSAAADTGSHTGDEIKVDDSTSGSARGDSGELVNNDTTVADNNASGVADSTTVGDEVDPTATVAADDSNTGDKVDPPAITKATADSNTSGEVDVDATATATASASAAVADDEGTAPDDSEGSPELRAETGSENLKPAYGILQHRNSNGLKLILIQNVHELYDLGTNAVSLSRITRSTWMVSGQSHSLGRNTLFCINLSLVFLNIVVYVPVNNACPSNDRIFSHSIVSSLSLTTWTGAPL >ONIVA03G08270.4 pep chromosome:AWHD00000000:3:5980805:6007170:-1 gene:ONIVA03G08270 transcript:ONIVA03G08270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSSSAARAAGSQGGGHGGARLEDLALDKVAEAADAVAAASSAGEVIINGVSLSNDERGSWRHAFYHGPAFPTISKILLGHVALKWLRQIRASARKEIYDSFFVKGPPTEVIQALVPALSHKGGSKEDHNIICSNIERLLILCLVENKGVSQIIAEFTVSSKHDDDNLNPGRAAFISRVAQLLASVPDKTRMGASPALTSSSFFKCVVDQLLVATEQAAMELAADEDANGLDASNSVFLFVGEVISRVSRRGSTGILVAELIPRIRSHLKRCMESDHKTISPDKIKHVSQFWFNVVEAIRDQHSVERLAEEMLRQLASQHTSDEEAYWILWTLFNQSFMHKTVLRAMFVDKFLRWKTFPLCCLRWILHYAVFELPPNSGIETQKQRTSSFLDITAAIGLCLEKMSKRELETTKDVLNNILEGRLESPIDLIRKMASAIALTFSKVVDPNNPLYLDDNCCENVEWDFGVLSPKEITAPSKDVELISKLKPSLPENKKHAGERRAKAIKHDTSENRAKIIEIKSLDSCETSGSAVNGHFEGEECDEEIMNIDASSDSSLEPYDLSDDDSDLQKKFTQLKDLAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSGDLVRALVHVRCSDMAMEGEEDSAEEKRQKALVALLVTCTFESLDVLTKLLYSSSVDVSQRILIIDVMTEAAQELAETKIVRRELRHGNLISDTSPSWLVPSDQGPAGAGPWREVSESGTLLNWSHRYEREVPSRSGQVKSGKSRKWGLGKAKDLQTEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPALLDMIRSRHHEHWNRRITARPAAAAAGARDPWGPPATGWGHSSSVGSAGRDDPTEEIRPVQHNHFSASLSLSRLKSSGESKESSAMGNSLPVESKFTFEEENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTTSTSFFGVYDGHGGAEVALYCAKQFHIELCNHEDYHNDLINALDNVFLSMDENLQQSDAWRELVIPHDNGCMYFLKAGVCAKPFPQATYTGPAYEGSTACVVVIRGNQMIVGHVGDSRCVLSRQGGLAIDLSFDHKPCTRTESERERVQNAGGRSLGLRCEQVMGNYVVKEQWLLGDFGGGDFAFKKNKDLDREKQMLVCDPDILADDITDDMEFLVIASQGLWSCVDSADVVSYIHDRLSVEGAELRVICEEVVEFGLASGENTTVILVQFKPGAFQYQLVDPAGFGTAVSNIASTSAAPAGASDTSDEGVDDAATARPTVMGYDADSSTGSADATVDSDEVDPNASATADSYNPRGHAEIVASHTGDEVYTSGSARVESGELAVPTPSANNTVADEVKVDAAVVSGGSTTAMAADEATVVSLLSTIVDNYYSINTSEEVDPTATVAADDKVTNASTSATAGSKLHTSDSSLNFVLVEDDGMCHRRSVVPEGGWKKEGGAAVAPARSTRKRRRRRERRAMRAPTIPENPRLPNSDENDMDAGGEEAKQERHLVLAHELFLLSRPDLDDLANVALRSDALDAVKSDGMAPLFESLATAGVLLKPDDAAARRDARADRRGGPQARRELFHNILKHQKKLILIQNEYQYQKKIYLWMGHAGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQAEGSVGTTSHRMGPLLERWISREGRSDGGDASGPVLFWCVLIIFAVPDAIRSSSLRLGQVAPRLVLFSNFKAFRSPKFAKILPGSDLFSPRVLGFRTRVVLLLDVFEVGVKASSAMGNSLPVESKVTVEEENDRIKYIVSSMQGLGHKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYHNDLTNALDNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGVCANFWPFPQAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGVDCEKVMENYVIKEQWILSYFGESVTISRSIGDFAFKQNKDLNREEQMLICDPDIHTEGVELRVICEELVQSGLPSGENTTVILVQFKPGAFQYQLVDPAAFDTAASNVASTSAGPAGGSDSDTSATSDEGVDDTATAGTTTTGYEAGSSTGPGSGGGSANAAFDSGGDLAANLDIATNFGSDDLAANLDIATDIDTEDVFTFINSDDTFGINSDEVELDPNFRPKPQVRRAHDGPSPTPSEIEADLNASPTRYNMRDIFEAFDKVEAELGGFPLQGHDVSSTSTNPNTATDTGSGSRTGDDDVDGAIARAMAVASSVMTGAGYEVDSTTTNPSAAADTGSHTGDEIKVDDSTSGSARGDSGELVNNDTTVADNNASGVADSTTVGDEVDPTATVAADDSNTGDKVDPPAITKATADSNTSGEVDVDATATATASASAAVADDEGTAPDDSEGSP >ONIVA03G08270.5 pep chromosome:AWHD00000000:3:5979570:6000560:-1 gene:ONIVA03G08270 transcript:ONIVA03G08270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGIRGDHQPQDGATPRAKESSAMGNSLPVESKFTFEEENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTTSTSFFGVYDGHGGAEVALYCAKQFHIELCNHEDYHNDLINALDNVFLSMDENLQQSDAWRELVIPHDNGCMYFLKAGVCAKPFPQATYTGPAYEGSTACVVVIRGNQMIVGHVGDSRCVLSRQGGLAIDLSFDHKPCTRTESERERVQNAGGRSLGLRCEQVMGNYVVKEQWLLGDFGGGVTISRSIGDFAFKKNKDLDREKQMLVCDPDILADDITDDMEFLVIASQGLWSCVDSADVVSYIHDRLSVEGAELRVICEEVVEFGLASGENTTVILVHGSARVESGELAVPTPSANNTVADEVDPTATVAADDKVTNASTSATAGSKLHTSDSSLNFVLVEDDGMCHRRSVVPEGGWKKEGGAAVAPARSTRKRRRRRERRAMRAPTIPENPRLPNSDENDMDAGGEEAKQERHLVLAHELFLLSRPDLDDLANVALRSDALDAVKSDGMAPLFESLATAGVLLKPDDAAARRDARADRRGGPQARRELFHNILKHQKKLILIQNEYQYQKKIYLWMGHAGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQAEGSVGTTSHRMGPLLERWISREGRSDGGDASGPVLFWCVLIIFAVPDAIRSSSLRLGQVAPRLVLFSNFKAFRSPKFAKILPGSDLFSPRVLGFRTRVVLLLDVFEVGVKASSAMGNSLPVESKVTVEEENDRIKYIVSSMQGLGHKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYHNDLTNALDNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGVCANFWPFPQAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGVDCEKVMENYVIKEQWILSYFGESVTISRSIGDFAFKQNKDLNREEQMLICDPDIHTEGVELRVICEELVQSGLPSGENTTVILVQFKPGAFQYQLVDPAAFDTAASNVASTSAGPAGGSDSDTSATSDEGVDDTATAGTTTTGYEAGSSTGPGSGGGSANAAFDSGGDLAANLDIATNFGSDDLAANLDIATDIDTEDVFTFINSDDTFGINSDEVELDPNFRPKPQVRRAHDGPSPTPSEIEADLNASPTRYNMRDIFEAFDKVEAELGGFPLQGHDVSSTSTNPNTATDTGSGSRTGDDDVDGAIARAMAVASSVMTGAGYEVDSTTTNPSAAADTGSHTGDEIKVDDSTSGSARGDSGELVNNDTTVADNNASGVADSTTVGDEVDPTATVAADDSNTGDKVDPPAITKATADSNTSGEVDVDATATATASASAAVADDEGTAPDDSEGSPELRAETGSENLKPAYGILQHRNSNGLKLILIQNVHELYDLGTNAVSLSRITRSTWMVSGQSHSLGRNTLFCINLSLVFLNIVVYVPVNNACPSNDRIFSHSIVSSLSLTTWTGAPL >ONIVA03G08270.6 pep chromosome:AWHD00000000:3:5980805:6000560:-1 gene:ONIVA03G08270 transcript:ONIVA03G08270.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGIRGDHQPQDGATPRAKESSAMGNSLPVESKFTFEEENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTTSTSFFGVYDGHGGAEVALYCAKQFHIELCNHEDYHNDLINALDNVFLSMDENLQQSDAWRELVIPHDNGCMYFLKAGVCAKPFPQATYTGPAYEGSTACVVVIRGNQMIVGHVGDSRCVLSRQGGLAIDLSFDHKPCTRTESERERVQNAGGRSLGLRCEQVMGNYVVKEQWLLGDFGGGVTISRSIGDFAFKKNKDLDREKQMLVCDPDILADDITDDMEFLVIASQGLWSCVDSADVVSYIHDRLSVEGAELRVICEEVVEFGLASGENTTVILVHGSARVESGELAVPTPSANNTVADEVDPTATVAADDKVTNASTSATAGSKLHTSDSSLNFVLVEDDGMCHRRSVVPEGGWKKEGGAAVAPARSTRKRRRRRERRAMRAPTIPENPRLPNSDENDMDAGGEEAKQERHLVLAHELFLLSRPDLDDLANVALRSDALDAVKSDGMAPLFESLATAGVLLKPDDAAARRDARADRRGGPQARRELFHNILKHQKKLILIQNEYQYQKKIYLWMGHAGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQAEGSVGTTSHRMGPLLERWISREGRSDGGDASGPVLFWCVLIIFAVPDAIRSSSLRLGQVAPRLVLFSNFKAFRSPKFAKILPGSDLFSPRVLGFRTRVVLLLDVFEVGVKASSAMGNSLPVESKVTVEEENDRIKYIVSSMQGLGHKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYHNDLTNALDNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGVCANFWPFPQAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGVDCEKVMENYVIKEQWILSYFGESVTISRSIGDFAFKQNKDLNREEQMLICDPDIHTHDITGDMEFLVIASQGLWSCMESADVVAYIHVRLLEGVELRVICEELVQSGLPSGENTTVILVQFKPGAFQYQLVDPAAFDTAASNVASTSAGPAGGSDSDTSATSDEGVDDTATAGTTTTGYEAGSSTGPGSGGGSANAAFDSGGDLAANLDIATNFGSDDLAANLDIATDIDTEDVFTFINSDDTFGINSDEVELDPNFRPKPQVRRAHDGPSPTPSEIEADLNASPTRYNMRDIFEAFDKVEAELGGFPLQGHDVSSTSTNPNTATDTGSGSRTGDDDVDGAIARAMAVASSVMTGAGYEVDSTTTNPSAAADTGSHTGDEIKVDDSTSGSARGDSGELVNNDTTVADNNASGVADSTTVGDEVDPTATVAADDSNTGDKVDPPAITKATADSNTSGEVDVDATATATASASAAVADDEGTAPDDSEGSP >ONIVA03G08270.7 pep chromosome:AWHD00000000:3:5979570:6000560:-1 gene:ONIVA03G08270 transcript:ONIVA03G08270.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGIRGDHQPQDGATPRAKESSAMGNSLPVESKFTFEEENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTTSTSFFGVYDGHGGAEVALYCAKQFHIELCNHEDYHNDLINALDNVFLSMDENLQQSDAWRELVIPHDNGCMYFLKAGVCAKPFPQATYTGPAYEGSTACVVVIRGNQMIVGHVGDSRCVLSRQGGLAIDLSFDHKPCTRTESERERVQNAGGRSLGLRCEQVMGNYVVKEQWLLGDFGGGVTISRSIGDFAFKKNKDLDREKQMLVCDPDILADDITDDMEFLVIASQGLWSCVDSADVVSYIHDRLSVEGAELRVICEEVVEFGLASGENTTVILVQFKPGAFQYQLVDPAGFGTAVSNIASTSAAPAGASDTSDEGVDDAATARPTVMGYDADSSTGSADATVDSDEVDPNASATADSYNPRGHAEIVASHTGDEVYTSGSARVESGELAVPTPSANNTVADEVKVDAAVVSGGSTTAMAADEATVVSLLSTIVDNYYSINTSEEVDPTATVAADDKVTNASTSATAGSKLHTSDSSLNFVLVEDDGMCHRRSVVPEGGWKKEGGAAVAPARSTRKRRRRRERRAMRAPTIPENPRLPNSDENDMDAGGEEAKQERHLVLAHELFLLSRPDLDDLANVALRSDALDAVKSDGMAPLFESLATAGVLLKPDDAAARRDARADRRGGPQARRELFHNILKHQKKLILIQNEYQYQKKIYLWMGHAGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQAEGSVGTTSHRMGPLLERWISREGRSDGGDASGPVLFWCVLIIFAVPDAIRSSSLRLGQVAPRLVLFSNFKAFRSPKFAKILPGSDLFSPRVLGFRTRVVLLLDVFEVGVKASSAMGNSLPVESKVTVEEENDRIKYIVSSMQGLGHKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYHNDLTNALDNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGVCANFWPFPQAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGVDCEKVMENYVIKEQWILSYFGESVTISRSIGDFAFKQNKDLNREEQMLICDPDIHTEGVELRVICEELVQSGLPSGENTTVILVQFKPGAFQYQLVDPAAFDTAASNVASTSAGPAGGSDSDTSATSDEGVDDTATAGTTTTGYEAGSSTGPGSGGGSANAAFDSGGDLAANLDIATNFGSDDLAANLDIATDIDTEDVFTFINSDDTFGINSDEVELDPNFRPKPQVRRAHDGPSPTPSEIEADLNASPTRYNMRDIFEAFDKVEAELGGFPLQGHDVSSTSTNPNTATDTGSGSRTGDDDVDGAIARAMAVASSVMTGAGYEVDSTTTNPSAAADTGSHTGDEIKVDDSTSGSARGDSGELVNNDTTVADNNASGVADSTTVGDEVDPTATVAADDSNTGDKVDPPAITKATADSNTSGEVDVDATATATASASAAVADDEGTAPDDSEGSPELRAETGSENLKPAYGILQHRNSNGLKLILIQNVHELYDLGTNAVSLSRITRSTWMVSGQSHSLGRNTLFCINLSLVFLNIVVYVPVNNACPSNDRIFSHSIVSSLSLTTWTGAPL >ONIVA03G08270.8 pep chromosome:AWHD00000000:3:5979570:6000560:-1 gene:ONIVA03G08270 transcript:ONIVA03G08270.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGIRGDHQPQDGATPRAKESSAMGNSLPVESKFTFEEENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTTSTSFFGVYDGHGGAEVALYCAKQFHIELCNHEDYHNDLINALDNVFLSMDENLQQSDAWRELVIPHDNGCMYFLKAGVCAKPFPQATYTGPAYEGSTACVVVIRGNQMIVGHVGDSRCVLSRQGGLAIDLSFDHKPCTRTESERERVQNAGGRSLGLRCEQVMGNYVVKEQWLLGDFGGGVTISRSIGDFAFKKNKDLDREKQMLVCDPDILAEGAELRVICEEVVEFGLASGENTTVILVQFKPGAFHADATVDSDEVDPNASATADSYNPRGHAEIVASHTGDEVYTSGSARVDAAVVSGGSTTAMAADEATVVSLLSTIVDNYYSINTSEEVDPTATVAADDKVTNASTSATAGSKLHTSDSSLNFVLVEDDGMCHRRSVVPEGGWKKEGGAAVAPARSTRKRRRRRERRAMRAPTIPENPRLPNSDENDMDAGGEEAKQERHLVLAHELFLLSRPDLDDLANVALRSDALDAVKSDGMAPLFESLATAGVLLKPDDAAARRDARADRRGGPQARRELFHNILKHQKKLILIQNEYQYQKKIYLWMGHAGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQAEGSVGTTSHRMGPLLERWISREGRSDGGDASGPVLFWCVLIIFAVPDAIRSSSLRLGQVAPRLVLFSNFKAFRSPKFAKILPGSDLFSPRVLGFRTRVVLLLDVFEVGVKASSAMGNSLPVESKVTVEEENDRIKYIVSSMQGLGHKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYHNDLTNALDNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGVCANFWPFPQAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGVDCEKVMENYVIKEQWILSYFGESVTISRSIGDFAFKQNKDLNREEQMLICDPDIHTEGVELRVICEELVQSGLPSGENTTVILVQFKPGAFQYQLVDPAAFDTAASNVASTSAGPAGGSDSDTSATSDEGVDDTATAGTTTTGYEAGSSTGPGSGGGSANAAFDSGGDLAANLDIATNFGSDDLAANLDIATDIDTEDVFTFINSDDTFGINSDEVELDPNFRPKPQVRRAHDGPSPTPSEIEADLNASPTRYNMRDIFEAFDKVEAELGGFPLQGHDVSSTSTNPNTATDTGSGSRTGDDDVDGAIARAMAVASSVMTGAGYEVDSTTTNPSAAADTGSHTGDEIKVDDSTSGSARGDSGELVNNDTTVADNNASGVADSTTVGDEVDPTATVAADDSNTGDKVDPPAITKATADSNTSGEVDVDATATATASASAAVADDEGTAPDDSEGSPELRAETGSENLKPAYGILQHRNSNGLKLILIQNVHELYDLGTNAVSLSRITRSTWMVSGQSHSLGRNTLFCINLSLVFLNIVVYVPVNNACPSNDRIFSHSIVSSLSLTTWTGAPL >ONIVA03G08270.9 pep chromosome:AWHD00000000:3:5979570:6000560:-1 gene:ONIVA03G08270 transcript:ONIVA03G08270.9 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGIRGDHQPQDGATPRAKESSAMGNSLPVESKFTFEEENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTTSTSFFGVYDGHGGAEVALYCAKQFHIELCNHEDYHNDLINALDNVFLSMDENLQQSDAWRELVIPHDNGCMYFLKAGVCAKPFPQATYTGPAYEGSTACVVVIRGNQMIVGHVGDSRCVLSRQGGLAIDLSFDHKPCTRTESERERVQNAGGRSLGLRCEQVMGNYVVKEQWLLGDFGGGVTISRSIGDFAFKKNKDLDREKQMLVCDPDILAEGAELRVICEEVVEFGLASGENTTVILVQFKPGAFQGHAEIVASHTGDEVYTSGSARVESGELAVDPTATVAADDKVTNASTSATAGSKLHTSDSSLNFVLVEDDGMCHRRSVVPEGGWKKEGGAAVAPARSTRKRRRRRERRAMRAPTIPENPRLPNSDENDMDAGGEEAKQERHLVLAHELFLLSRPDLDDLANVALRSDALDAVKSDGMAPLFESLATAGVLLKPDDAAARRDARADRRGGPQARRELFHNILKHQKKLILIQNEYQYQKKIYLWMGHAGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQAEGSVGTTSHRMGPLLERWISREGRSDGGDASGPVLFWCVLIIFAVPDAIRSSSLRLGQVAPRLVLFSNFKAFRSPKFAKILPGSDLFSPRVLGFRTRVVLLLDVFEVGVKASSAMGNSLPVESKVTVEEENDRIKYIVSSMQGLGHKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYHNDLTNALDNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGVCANFWPFPQAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGVDCEKVMENYVIKEQWILSYFGESVTISRSIGDFAFKQNKDLNREEQMLICDPDIHTEGVELRVICEELVQSGLPSGENTTVILVQFKPGAFQYQLVDPAAFDTAASNVASTSAGPAGGSDSDTSATSDEGVDDTATAGTTTTGYEAGSSTGPGSGGGSANAAFDSGGDLAANLDIATNFGSDDLAANLDIATDIDTEDVFTFINSDDTFGINSDEVELDPNFRPKPQVRRAHDGPSPTPSEIEADLNASPTRYNMRDIFEAFDKVEAELGGFPLQGHDVSSTSTNPNTATDTGSGSRTGDDDVDGAIARAMAVASSVMTGAGYEVDSTTTNPSAAADTGSHTGDEIKVDDSTSGSARGDSGELVNNDTTVADNNASGVADSTTVGDEVDPTATVAADDSNTGDKVDPPAITKATADSNTSGEVDVDATATATASASAAVADDEGTAPDDSEGSPELRAETGSENLKPAYGILQHRNSNGLKLILIQNVHELYDLGTNAVSLSRITRSTWMVSGQSHSLGRNTLFCINLSLVFLNIVVYVPVNNACPSNDRIFSHSIVSSLSLTTWTGAPL >ONIVA03G08260.1 pep chromosome:AWHD00000000:3:5978997:5979530:1 gene:ONIVA03G08260 transcript:ONIVA03G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATAAQGRRDWSSLPLDMLVLVLEYRLGWSTHPSFASTCRHWRSAVSPFYPAWITPLLLSAADVGVTSARYYSPYYHKCFQIADTLVKVPNARICCSTGRRLTLCSPKSILQADLVLLAGSTIHELPKPTPPFDSSPDFIVYDDRARRMYCVNTTSALRLARAIQQDDGQWGP >ONIVA03G08250.1 pep chromosome:AWHD00000000:3:5968456:5969064:-1 gene:ONIVA03G08250 transcript:ONIVA03G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVDASAALDPKLAPLLLFGGHGDATFLYSVPKRALLAPMPTPTRVGDGSVDDMMRGHRWWTTAQGWLLMARRGSPCTFLWDPFTGRRVGLPPDHDGTVLTAEGSHRRRCLLSCCGPMDPTSCTVLVIDLADPELWYCRPGDNHWVKLHQQPYQYRNPAHRDAIISFLRKFTAIDGKFYTELHTGNVGVLEFSPEVAEGN >ONIVA03G08240.1 pep chromosome:AWHD00000000:3:5965121:5968161:1 gene:ONIVA03G08240 transcript:ONIVA03G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAEKASYLYNNDGEKSHAPSLKNLPPIIPHETFFSNPQNDYSQTRLIPLEGCCASEAAQLLNDRWAAAMNLYNDQSYDSPDKPVMYSGSSGSSWGHGHMKLPHQMNFSEELRRALDEQPVTGPSVNTWN >ONIVA03G08230.1 pep chromosome:AWHD00000000:3:5960956:5961141:1 gene:ONIVA03G08230 transcript:ONIVA03G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVEEGDPERLTMTSADDEVVDARTTMKTMKATKLWSERTTQLQLHVDAAARSPPAVRPR >ONIVA03G08220.1 pep chromosome:AWHD00000000:3:5959441:5959671:1 gene:ONIVA03G08220 transcript:ONIVA03G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRESEEGERRGYREGTGRREERTTARNVIVLAEEGDEAGARSSRHASTNAPAPPARSATDGDSETTMVCDSGRE >ONIVA03G08210.1 pep chromosome:AWHD00000000:3:5960675:5968330:-1 gene:ONIVA03G08210 transcript:ONIVA03G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLSVYFKHHSSGQQVDEAGDASAALDPKFAPLLLFGGHGDATFLYSVPTRALLPMPTPTRVGDGGVDDMMRCHRWWTTAQGWLLMARRGSPHRRRCLLSCCGPMDPTSCTVLVIDLAYPELWYCRPGDNHWMKLHQQPYQYRNPAHRDAIIRFLRKFTAIDGKFYTELHTGNVGVLEFLPEVAFTKIAVHDDDRRPAVYKKRTTCFVESNGELHSVVFSHPIGCDRIVARVGVYRLSINAAATQEQRSAAWVKVDSLGGRAFFVKIGSFGASLDAEGTGLRGNCVYYSVFNGKVLCVYDMERGTTAVIDPGTHLPYHQSPQVLMPTFPRYHGGATRSVESIYQVGPTIQENAYEVKDTKKKSKRSMAERFPCQGEGASSPETKNSYRGCGFPLEPLKSPPGGWTDVFTASPPAFCLVAGKTLLVRRLWHGRAARHLAARICTIVAACGLDASGLSSLVVAAGQPLRVRVCLASRSLYSRERRERQDGCRELVGWLAVEGTDCACVAAAGTVEAERLLDVDLVYGPYEHIGFASSTASSISTRLRHRHRLRRPAPRIFGLITRSSVRLQRLLQPIVIEHASTPSSSRLPLRRLLGPQCRCLWSTPPLAVATLRGALLSVASPLVDFSPLHRHGAAAVLSSRTIALSLSSSSSSFAHRQPRRPHLSSSAARGLLPLLHASSPHLQAATVATLGRWCSYLYMATDVAIQAVGPAISPSTLSSMTHRQRRRIFLDYTSLFSGNCVLLRQFSLYAVLAPRLSQRPSLLVSSDIGV >ONIVA03G08210.2 pep chromosome:AWHD00000000:3:5957353:5960671:-1 gene:ONIVA03G08210 transcript:ONIVA03G08210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSCLARAVLATPARAFVPSCPGVWQTLCNVSSFTVRLHRLFGVIFLNDRRDCITVFVSSASSRTIGPRCPPVHPRPLYNAPYVLWLGYLDIIDFPTSATSTTATLRTASSTTALSAPSLWLHRLWHKGLSSVLSTLAGFFSSSSVRAAHAWTAGDSSVEIMEEAVNASAALDPKLAPLLLFGHGDATFLYSVPKRALLPMPTPTRVGDGGVDDMMRCHRWWTTAQGWSPCTFLWDPFTGRRVRLPPDHDGTVLTAEGSHRRRCLLSCCGPMDPTSCTVLVIDLADPELWYCRPGDNHWVKLHQHPYQYRNPAHRDAIISFLRKFTAIDGKIAVHGDDDDRRPTVYKKRTTCFVESNGELHSVVFSHPIGCDRIVARVGVYRLSINPTTTQEQRSAAWVKVDSLGGRAFFVEIGSFGASFDAEGTCLRGNCVYYSGFNGKVLCVYDMERGTTAVINPGAHLPYHQSPQVLMPTFPAGCYGRPTRRVESIYQVGTIILKNANEVKDTKVNKVKRDVRFFEGGCTYLPIFIQNTRVTKQKDKLINQKKSKRSMARIPPCRGEGESSPETKNSYRGCGFPLEAFKRLLGMKK >ONIVA03G08200.1 pep chromosome:AWHD00000000:3:5937745:5940853:1 gene:ONIVA03G08200 transcript:ONIVA03G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSIKAAAAAQREQASDKLRQEGEKNSSTRPAGGGEEVAEARRSDSRPVMWRRRRRGGGDTGEGEGVGRARRRWRASALAERRWQLQREGGGGDTQVPLPHARVQETVAASYDNLVAAAHGFLAREGHINFSVSAAFPASPHQLRSKCNKKLVFQIKFQKKKWRYQVSYSNTRQSSRENDAKDQVTLTGTSEAPSGRSAQEDNSEQTGLFASLQSADANPHDIYSSLVREGLFDTGDEILSMDTGESADTPISGLASGLANLGTADPAERGYSINETTNEEGYLIEGDDPSPSNKDEQDDQTDAHGESTPEAQSHKMAAPSSPAAAHLRHRAPPPRFSPSSPSADSILAAFVTCCRRRRRHAQQWDGGEEASQGTILPRSGRRPAGAPRRRRLGPVVVVLILVVGALFLNLGPTGSSSFTMPWIRMEFNEPVHVAVAAPPPPLTQMQAGVNTSGEDLRPTTSASALTARSSCSTSSRRRASPPGSTPDPSPSAARVSNLSHSSPRKLGRTLGPVAVSCGSCEFFRSSWVGLMASWMCRAADQARSLASLVASINSPRRRRPSLCWSWHH >ONIVA03G08190.1 pep chromosome:AWHD00000000:3:5924015:5956735:-1 gene:ONIVA03G08190 transcript:ONIVA03G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGEEEKQERHRVDGASPSMVALRSDVLHAVLGGKSHNQDTSELVTLSEWSKNKFPLYAYAAAFMFLVMQEYDKRSHGIDFLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPGLLDMIRSSFLHIDSAAPVVCLIGSYRRKSGHSTGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQMAMSCMRLHSEMALQTSRALESADHSKTSSSSSSRLRDPWGPPATGWGHSSSVGSAARDDPTEEMRLVQTSQALNRWITAWPAAAGREEEEGACSMVTTSHRTGHSRSVGLAARDDPTAEMRLVQGEGSVGTTSHRMGALLERWISREGRTDGGDTSVQGERSVGTTSHRMGALLDVGSAVDDPAKQRSAMGNSLPVESKFTDEKENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTMTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYDSNLSNAMRSAFYSMDEDLQLSDAWRELVIPRNNGWMYFIKAGVCANLSPFPQATYTAPSYEGSTACLVVIRGDQLIVGHAGDSRCVLSRNGQASALSVDHKPDRDFACKKNERLPPEDQMLTCNPDILTMDITDDMEFLVIATEGLWCNMTNQNVVDHTHDRLLEGAEARVICEELVQFGLPSGDNTTVILVLFKPGAYPAVPPVDTDTDTDSHTGDDVDNNDPANEVDPTANAGSDDSNTGDEVKVDATASSVLKRLVSDRHDMDAGGEEAKQERHLVAGAGPQALPPLPSRRRRRPRRPWSPSAPTSSTPSNPPSLSIYPSAGSPPARRGRVAGRRTTRARIDEEVRKLDGKIADAEENLGEIEVREAHLAESLYFISVRQKCMTMHPEASAVATAILDTIRSSICQKVYVWFATSCKLIALHLMYVSSVLIERNLDIPTGLELICIWALHANMTCLQLYSEIFRNHEHWNRWITIHTKARPAAAAAGLCLGERRRARAPWGPPDTGGALLERWISRERRSDGRDASGSAKQRSAMGNSLPVESKFTDEKENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTMTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYDSNLSNAMRSAFYSMDEDLQLSDAWRELVIPRNNGWMYFIKAGVCANLSPFPQATYTAPSYEGSTACLVVIRGDQLIVGHAGDSRCVLSRNGQASALSVDHKPDRDFACKKNERLPPEDQMLTCNPDILTMDITDDMEFLVIATEGLWCNMTNQNVVDHTHDRLLEGAEARVICEELVQFGLPSGDNTTVILVLFKPGAYPAVPPVDTDTDTDSHTGDDVDNNDPANEVDPTANAGSDDSNTGDEVKVDATASSGDFACKKNERLPPEDQMLTCNPDILTMDITDDMEFLVIATEGLWCNMTNQNVVDHTHDRLLEGAEARVICEELVQFGLPSGDNTTVILVLFKPGAFPAVPPVDTDTDTDSHIDDDVDPTGSNNATASDNNDPANEVDPTANAGSDDSNTGDEVKVDATATAVGSSSTTAVAADEGTGNPPHGALVDTDDEDGLTYSQDMDLPPASTSPSTFPDEDDLPRSNPDKSPPHVSILFRV >ONIVA03G08190.2 pep chromosome:AWHD00000000:3:5933612:5956735:-1 gene:ONIVA03G08190 transcript:ONIVA03G08190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGEEEKQERHRVDGASPSMVALRSDVLHAVLGGKSHNQDTSELVTLSEWSKNKFPLYAYAAAFMFLVMQEYDKRSHGIDFLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPGLLDMIRSSFLHIDSAAPVVCLIGSYRRKSGHSTGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQMAMSCMRLHSEMALQTSRALESADHSKTSSSSSSRLRDPWGPPATGWGHSSSVGSAARDDPTEEMRLVQTSQALNRWITAWPAAAGREEEEGACSMVTTSHRTGHSRSVGLAARDDPTAEMRLVQGEGSVGTTSHRMGALLERWISREGRTDGGDTSVQGERSVGTTSHRMGALLDVGSAVDDPAKQRSAMGNSLPVESKFTDEKENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTMTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYDSNLSNAMRSAFYSMDEDLQLSDAWRELVIPRNNGWMYFIKAGVCANLSPFPQATYTAPSYEGSTACLVVIRGDQLIVGHAGDSRCVLSRNGQASALSVDHKPDSESERERVQNAGGVAVGYSYRKIMGRWVTKKQWGFTDFKGRVSISRSIGDFACKKNERLPPEDQMLTCNPDILTMDITDDMEFLVIATEGLWCNMTNQNVVDHTHDRLLEGAEARVICEELVQFGLPSGDNTTVILVLFKPGAYPAVPPVDTDTDTDSHTGDDVDNNDPANEVDPTANAGSDDSNTGDEVKVDATASSVLKRLVSDRHDMDAGGEEAKQERHLVAGAGPQALPPLPSRRRRRPRRPWSPSAPTSSTPSNPPSLSIYPSAGSPPARRGRVAGRRTTRARIDEEVRKLDGKIADAEENLGEIEVREAHLAESLYFISVRQKVLGGKS >ONIVA03G08190.3 pep chromosome:AWHD00000000:3:5933612:5956735:-1 gene:ONIVA03G08190 transcript:ONIVA03G08190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGEEEKQERHRVDGASPSMVALRSDVLHAVLGGKSHNQDTSELVTLSEWSKNKFPLYAYAAAFMFLVMQEYDKRSHGIDFLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPGLLDMIRSSFLHIDSAAPVVCLIGSYRRKSGHSTGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQMAMSCMRLHSEMALQTSRALESADHSKTSSSSSSRLRDPWGPPATGWGHSSSVGSAARDDPTEEMRLVQTSQALNRWITAWPAAAGREEEEGACSMVTTSHRTGHSRSVGLAARDDPTAEMRLVQGEGSVGTTSHRMGALLERWISREGRTDGGDTSVQGERSVGTTSHRMGALLDVGSAVDDPAKQRSAMGNSLPVESKFTDEKENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTMTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYDSNLSNAMRSAFYSMDEDLQLSDAWRELVIPRNNGWMYFIKAGVCANLSPFPQATYTAPSYEGSTACLVVIRGDQLIVGHAGDSRCVLSRNGQASALSVDHKPDRDFACKKNERLPPEDQMLTCNPDILTMDITDDMEFLVIATEGLWCNMTNQNVVDHTHDRLLEGAEARVICEELVQFGLPSGDNTTVILVLFKPGAYPAVPPVDTDTDTDSHTGDDVDNNDPANEVDPTANAGSDDSNTGDEVKVDATASSVLKRLVSDRHDMDAGGEEAKQERHLVAGAGPQALPPLPSRRRRRPRRPWSPSAPTSSTPSNPPSLSIYPSAGSPPARRGRVAGRRTTRARIDEEVRKLDGKIADAEENLGEIEVREAHLAESLYFISVRQKVLGGKS >ONIVA03G08190.4 pep chromosome:AWHD00000000:3:5933773:5956735:-1 gene:ONIVA03G08190 transcript:ONIVA03G08190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGEEEKQERHRVDGASPSMVALRSDVLHAVLGGKSHNQDTSELVTLSEWSKNKFPLYAYAAAFMFLVMQEYDKRSHGIDFLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPGLLDMIRSSFLHIDSAAPVVCLIGSYRRKSGHSTGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQMAMSCMRLHSEMALQTSRALESADHSKTSSSSSSRLRDPWGPPATGWGHSSSVGSAARDDPTEEMRLVQTSQALNRWITAWPAAAGREEEEGACSMVTTSHRTGHSRSVGLAARDDPTAEMRLVQGEGSVGTTSHRMGALLERWISREGRTDGGDTSVQGERSVGTTSHRMGALLDVGSAVDDPAKQRSAMGNSLPVESKFTDEKENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTMTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYDSNLSNAMRSAFYSMDEDLQLSDAWRELVIPRNNGWMYFIKAGVCANLSPFPQATYTAPSYEGSTACLVVIRGDQLIVGHAGDSRCVLSRNGQASALSVDHKPDSESERERVQNAGGVAVGYSYRKIMGRWVTKKQWGFTDFKGRVSISRSIGDFACKKNERLPPEDQMLTCNPDILTMDITDDMEFLVIATEGLWCNMTNQNVVDHTHDRLLEGAEARVICEELVQFGLPSGDNTTVILVLFKPGAYPAVPPVDTDTDTDSHTGDDVDNNDPANEVDPTANAGSDDSNTGDEVKVDATASSVRDSSVTGTTWTPAARRRSRSATWLLVPARKLFLLSHPDAEDDLAGHGRPPLRRPPRRQIRRLSPSIHRPAPRLHAAVESLAAGLRARGSTRRSASSTGRLLTLKRIWVRLKCVRPI >ONIVA03G08190.5 pep chromosome:AWHD00000000:3:5933773:5956735:-1 gene:ONIVA03G08190 transcript:ONIVA03G08190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGEEEKQERHRVDGASPSMVALRSDVLHAVLGGKSHNQDTSELVTLSEWSKNKFPLYAYAAAFMFLVMQEYDKRSHGIDFLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPGLLDMIRSSFLHIDSAAPVVCLIGSYRRKSGHSTGREEEEEGVCSMGTTSHRTGHSWSVGSAARDNPTAEMRPVQMAMSCMRLHSEMALQTSRALESADHSKTSSSSSSRLRDPWGPPATGWGHSSSVGSAARDDPTEEMRLVQTSQALNRWITAWPAAAGREEEEGACSMVTTSHRTGHSRSVGLAARDDPTAEMRLVQGEGSVGTTSHRMGALLERWISREGRTDGGDTSVQGERSVGTTSHRMGALLDVGSAVDDPAKQRSAMGNSLPVESKFTDEKENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTMTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYDSNLSNAMRSAFYSMDEDLQLSDAWRELVIPRNNGWMYFIKAGVCANLSPFPQATYTAPSYEGSTACLVVIRGDQLIVGHAGDSRCVLSRNGQASALSVDHKPDRDFACKKNERLPPEDQMLTCNPDILTMDITDDMEFLVIATEGLWCNMTNQNVVDHTHDRLLEGAEARVICEELVQFGLPSGDNTTVILVLFKPGAYPAVPPVDTDTDTDSHTGDDVDNNDPANEVDPTANAGSDDSNTGDEVKVDATASSVRDSSVTGTTWTPAARRRSRSATWLLVPARKLFLLSHPDAEDDLAGHGRPPLRRPPRRQIRRLSPSIHRPAPRLHAAVESLAAGLRARGSTRRSASSTGRLLTLKRIWVRLKCVRPI >ONIVA03G08180.1 pep chromosome:AWHD00000000:3:5920482:5922746:-1 gene:ONIVA03G08180 transcript:ONIVA03G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGEEAKQERHLVLAHKLFLLSHPDLDDLAKVALRSDALDAVKSDGMAPLFESLAAAGVLEPDDALLAEMRARIDEEVRKLDEKIADAEENLGESEVREAHLVKSLYFMRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFHMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYFMATRNFKKAASLFLDSISTFTTYELFPYDTFVFYTVITSIITLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGMTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >ONIVA03G08170.1 pep chromosome:AWHD00000000:3:5917966:5919566:1 gene:ONIVA03G08170 transcript:ONIVA03G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HHL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67700) UniProtKB/Swiss-Prot;Acc:Q8LDL0] MEVVGGVSSLSASSPAPARARLRQLSPGEASGGGSFLLMRTAPRSRLQAAARPARRAALVVEARGRGWSDRRSQQQRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNIVTGGTTAKIMLAAKDNFLGKYIYKDTLARNLAAVIYKDEDDIIDTAKEQYRVLKTDNEFRYGYKVVENGNLRSALTTSNVIELPKKEELKTVVDKVKDFFGDVTSGAKESFAQITGSVSAEAEAPVEEEKPWVKRRNERKRKQKEKQNQKQGISK >ONIVA03G08160.1 pep chromosome:AWHD00000000:3:5913698:5914699:1 gene:ONIVA03G08160 transcript:ONIVA03G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLDDAHADVEAMLREIAAAVAANGPCGVAAAVDADETDGSYCAGEGCACAAWTYDADLYASLRAMEMAAGERPSPDYMTAVQRGRVDPWTRASLVAWMEGITRGHAGLAAGTLHRAVAYVDRYLSVRPLEAVSHRLLALLGATAVFVAAKYEGDLPEERLSAGDAAAAAGGGLAIARSEVLDRELDLLDALGYRLGRPTAHTFADHFLARYGYSGGDAVHAVAHHLADLTLLDRRSLRIPPSVVAASALARYAATTLADAGLPPPLPWEDDGLEAVTGYSVVYLARCMEEMYDVHEMASLWPGYDEMKSRFAIDYLLLPCRLVVPLVLM >ONIVA03G08150.1 pep chromosome:AWHD00000000:3:5905101:5911587:-1 gene:ONIVA03G08150 transcript:ONIVA03G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVSLKPEHIRDEKVKVLQSVTPIKHDEVVLGQYDGYKDDSTVPDDSNTPTFASLVLRVNNERWEGVPFILKAGKALNNRKAEIRVQFKDAPGDIFKCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHDIDDGKVKALPYKPGTRGPPEADELSKRMGYVQTHGYVWIPPTLSKF >ONIVA03G08150.2 pep chromosome:AWHD00000000:3:5905101:5911587:-1 gene:ONIVA03G08150 transcript:ONIVA03G08150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVSLKPEHIRDEKVKVLQSVTPIKHDEVVLGQYDGYKDDSTVPDDSNTPTFASLVLRVNNERWEGVPFILKAGKALNNRKAEIRVQFKDAPGDIFKCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHDIDDGKVKALPYKPGTRGPPEADELSKRMGYVQTHGYVWIPPTLSKF >ONIVA03G08140.1 pep chromosome:AWHD00000000:3:5899530:5899826:-1 gene:ONIVA03G08140 transcript:ONIVA03G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDNITGSGSGNGSSSNDDHAPPPPQQLAVARGRRRAEPPPPPMRLSALYLMLFGATVIVGAAGVGAPVTPLPRLFAALVAWLVGCLSLLVPLPPP >ONIVA03G08130.1 pep chromosome:AWHD00000000:3:5893945:5894328:-1 gene:ONIVA03G08130 transcript:ONIVA03G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLHDRLKHPIDKLRTTQILPPIQLRIQDGGRAHQDGVDHDEAEEEEEGPKLEHDKHEGHCMVGSAATSNLGSEGRGGKRPKILVVGHPKRPLHLIQWGAVAQRVVDGNESAGDERRRGDGHDEEVR >ONIVA03G08120.1 pep chromosome:AWHD00000000:3:5893810:5894112:1 gene:ONIVA03G08120 transcript:ONIVA03G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDEEGRDAPMHWHEVAADVGRCQKDGIVLASYPMKNLQRSEQASPHLFIVSISSSPLVAGRFVAIHHPLSHRPPLDEVERALGVTDDQNLRPFAPSAF >ONIVA03G08110.1 pep chromosome:AWHD00000000:3:5888584:5888850:-1 gene:ONIVA03G08110 transcript:ONIVA03G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASNSSKEAEAQAPALANQAPATAATAARSAIHRGPMLRLAAMQLMLFAAYEIVGSYAAPPVALPRLFAAFVAWLVGCLSLFIAPP >ONIVA03G08100.1 pep chromosome:AWHD00000000:3:5885010:5888628:1 gene:ONIVA03G08100 transcript:ONIVA03G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic Fe-S cluster assembly factor NBP35 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GIJ7] MENGGGGGGDGGKSDVPADANEHCPGTQSEEAGKADACAGCPNQQICATAPKGPDPDLVGIVERMATVKHKILVLSGKGGVGKSTFSAQLSFALAEMDCQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEINFCKKVGVPILGVVENMSGLRQIREASEVFDSSKGGAEKMCNEMGVPFLGKVPMDPQLCKAAEEGRSCFVDQKCSASAPALKSIVKKLIENQD >ONIVA03G08090.1 pep chromosome:AWHD00000000:3:5882973:5883212:1 gene:ONIVA03G08090 transcript:ONIVA03G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEIAFGEEPEPPHADGRGGGAATTRAAFLLMVSGATMIIAAVGASAGAGDRVPWPRLLAELLIWLVGCITLFAPSL >ONIVA03G08080.1 pep chromosome:AWHD00000000:3:5877207:5877923:-1 gene:ONIVA03G08080 transcript:ONIVA03G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKFYKIRTVPLSSHFPSISIFFLICTDKSSSNGGRGNNSARDWLHLSLGPGSGPTSPFASGSNLHLFLAVPAPPRRAGAVGHATPPPLCPAFRKRRIDTHAHAVHRPALATTVEPCSDPVAVAPPVHPPPRNLRHGGTEVDARLLRFVLPPSAITAAIGI >ONIVA03G08070.1 pep chromosome:AWHD00000000:3:5873778:5875156:1 gene:ONIVA03G08070 transcript:ONIVA03G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKLETITMELFLKSGWNGRGRLQPYLALRETLVRVRFCPFPHKSHRPEHSAATTSTRGRAPTQAAITRASAPPLPLQPANERACRCRRRQPTHLCAAAFSPICAAAPEDEEDDSDKDDRKEEAVELGDAAHRLRVEVREANEHPRRVRRGRPPREALRQHAVRDGVRVRRAAYVERSFLVESPPGSPSGTGDRHGLMQVELLQPMAAAEEEGFKVRVLDKCGETWEEADDIGNVAVVVDASGAGAASTRECPRLRLSTMYFAVDPTGETRVCTYSLAAAGSYVSPTSPAVASGHAGRLSHPFPPTSSRPLLAAHCHARVAPPFPSSRPSASPRRAASARKPSHPYGPQSKGTLVFI >ONIVA03G08060.1 pep chromosome:AWHD00000000:3:5866897:5871856:-1 gene:ONIVA03G08060 transcript:ONIVA03G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMETVEKIISTGINIHGATNLEDDLSCLRASLPNARLVINRGEWGRFKNKDLAVLLTQLKDTTYDIEDLLRKFDDQVLRQKMEDTDHSRAGQFFSSSLYRAKNLICGSKTRIKDAQDKLDKAVDDLERALKPLGLKMEKVQHMPETSSVIGVPQVFGRDKERDLVIEKLGVCSMIGCDNEQDQMIESLHVPLTRSGGAARAKGKRAAVGTVATSASKAKQLKRESIRSRPRLAQAKFISNVSVLPIVSIGGVGKTTLAQFIYNDPRVEAHFGKRIWVCISDLFNKKRITKEIIESITRKEYKSSNSLDALQVELRKQLRRRKFLLVLDDMWPNAKDEWETFFAPLRYGFEGSMILVTTRSPDVANLVASNNCNPFRIEGLDRDIFWEFFKKCAFGKQCPESYPQLHDIGRSIASRLCGSPLAAKTIGRLLNMELTVQHWKTVQNKKLWELLNRDNDILPALQLSYLHLPQELKSCFAFCSMFPKGYSFERDEIVGIYVMHDLIHDMAQSISVDKCFLMQDLSYQNQRRMPHAVRHMSVEVDSESLSQTRDIQYLNKLHSLKFGTILMFEITWFNQLSNILFLSLKGCMLVRLPDSIGELHSLRYLDISRSHVQELPEKLWCLYCLQVLDASSSSLEVISPDVTKLINLRRLALPMGCSPKLSKISGLGNMSLLRNLIHFTVGIGNGRKISELKGMNQLSGTLTISSIYNVKSKEEAVEARLIDKQYLQALVLLWRDQPVPRVMNDDNGVAEGDSRRRRQQQAAGGRSSAGWLAGWRHGPGEGGDRGCSRRWLRGRETHGDGGDSGQPAGGVVASWLAGGCGGSAHRGAAEAAAVRGGAAEVAVRHDKALLAWRRWPAQRDERPVEVQPVAVRGDWPVGSTGAVMPTRWRKFRWRWSNGASVVDRQAVDGGQKPSPALAGSATMAFKRHSPPEGVVGPSQPLKCGCQVKARSQFSLRP >ONIVA03G08050.1 pep chromosome:AWHD00000000:3:5861436:5864829:1 gene:ONIVA03G08050 transcript:ONIVA03G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLYIYNPCCKKINRHKQGKYQHLQKSSQIRSPWRGSRSPPSSSPSSLSPSPRLHRRPRRLPPATGRRWIKGSHTC >ONIVA03G08040.1 pep chromosome:AWHD00000000:3:5848909:5850256:1 gene:ONIVA03G08040 transcript:ONIVA03G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWSSSTGDGRRAKERRRQASAAGGAATAGELRRSGGGGRRVWRAERRLRRRRRASLGGAGAAGECHDDRRSGSEQG >ONIVA03G08030.1 pep chromosome:AWHD00000000:3:5832763:5833557:-1 gene:ONIVA03G08030 transcript:ONIVA03G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWKIALPTSPMPGAIRDLINPASSAGSASKEDTVGNVSTLAECEAAPQAGEAKRCATSLESMVEFAASSLGTRDVHAVSTEVDRAGPAPRQAYRVEAVRPVPVSGGDMVACHGMAYAYAVFGCHTTTAAAYMVALSGADGTRAEALAACHADAAPGVAEAYKRLGVAPGSVPVCHFLPQDDMLWVRN >ONIVA03G08030.2 pep chromosome:AWHD00000000:3:5832763:5833537:-1 gene:ONIVA03G08030 transcript:ONIVA03G08030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYWKIALPTSPMPGAIRDLINPASSAGSASKEDTVGNVSTLAECEAAPQAGEAKRCATSLESMVEFAASSLGTRDVHAVSTEVDRAGPAPRQAYRVEAVRPVPVSGGDMVACHGMAYAYAVFGCHTTTAAAYMVALSGADGTRAEALAACHADAAPGVAEAYKRLGVAPGSVPVCHFLPQDDMLWVRN >ONIVA03G08020.1 pep chromosome:AWHD00000000:3:5826395:5827669:-1 gene:ONIVA03G08020 transcript:ONIVA03G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAALLLLLVAAAGASHAASPAEMYWKIALPTSPMPGAIRDLISPASSAASASKDKEDTVGSVFFLEKDLFPGSKMTLHFTRATASAALLPRGRADSVPFASEKLPEILSQLSIPAGSPTADAMRSTLAVCEAARIASETAPKHKHYCATSLESMVELVASSLGTRDVHAVSTEVVNRAGPTPRQAYRVEAVRPVPVPGGDMVACHRMPYAYAVFGVHGIKGVAYTVTLAGADGTMAEAVAACHGDVDGHGVAVAEAYKRLGVAPGKVAVCHFLPQDDMLWVRN >ONIVA03G08010.1 pep chromosome:AWHD00000000:3:5820647:5821452:-1 gene:ONIVA03G08010 transcript:ONIVA03G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKRTTLVGLVLTLQPVYLLMALKVSKEFLEVPDNVGKKNSCYGWDRENSRVENTRPTGKEKQAIQDLNFSPDASIIGRTPRPTSYPIEYNIGVHLTANKGNEIT >ONIVA03G08000.1 pep chromosome:AWHD00000000:3:5818613:5819311:1 gene:ONIVA03G08000 transcript:ONIVA03G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEENFVDDDADVKSMSSSSSLSSLFDLDLTEFGDRWVVSGGKEEVDFAGADDGGGGAVNVASDDDEDGRGGGVAAALGPAARLRELLLRKLRKPKAASGGGAVSPEGQSGRFLAKVRADSMPRLEARAIAGGEEERRAATTTNPKEAARKYLNKIATSLARRRGGPDPAAMVVAAPTTTGKTKTSRSSMAAPPPPRRGTDGSAQHLQDGIESAIAHCKLSLRTATAEPS >ONIVA03G07990.1 pep chromosome:AWHD00000000:3:5806549:5812397:1 gene:ONIVA03G07990 transcript:ONIVA03G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTAAPAPTSPPAAPAANNTTPPPATPSTPPPTPTPTTPTTPSAPPPATPSAPSPPAPAKAPPSAGTPPAVPSASPPAPASMPAVPSASPPSASGGPATPAPPSDTPSPPSSGGGGNSPPSSGGGGGRSPSTPSTHPSPKSPASRSSGGGGSGVSTATVVGVAVGGLVLLLLASFICLCCLRKKRRRPPPPQQHYVYPPPPPQYKEDAYGGSYQQSWQQNAPPPPPEHVVKMHPSPPPAYANRPPQPPSTPPAAMINSSGGSGSYSGGEILPPPSPGAALGFSKSTFTYEELLRATDGFSDANLLGQGGFGYVHRGVLPTGKEIAVKQLKVGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHGKGRPTMEWPTRLKIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFESKVADFGLAKFTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGRRPVDTSQTYMDDSLVDWARPLLMQALENGNYEELVDPRLGKDFNPNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRYFGSYSSSDYDSGQYNEDMKKFRKMAFTNNNDTSSQYSAPTSEYGQIPSASSSEGQQTQEVESRTTKRGGYSGYSSGYSGAS >ONIVA03G07980.1 pep chromosome:AWHD00000000:3:5771344:5772761:-1 gene:ONIVA03G07980 transcript:ONIVA03G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEASWHSFDPSVAMEDSEAMAQLLGVQYFGNDQEQQQPAAAAPPAMYWPAHDAADQYYGSAPYCYMQQQQHYGCYDGGAMVAGGDFFVPEEQLVADPSFMVDLNLEFEDQHGGDAGGAGSSAAAAAAATKMTPVCKRKVEDHKDESCTDNVARKKARSTAATVVQKKGNKNAQSKKAQKGACSRSSNQKESNGGGDGGNVQSSSTNYLSDDDSLSLEMTSCSNVSSASKKSSLSSPATGHGGAKARAGRGAATDPQSLYARKRRERINERLKILQNLIPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMFAPIAYNGVNVGLDLKISPPQQQ >ONIVA03G07970.1 pep chromosome:AWHD00000000:3:5767309:5770499:-1 gene:ONIVA03G07970 transcript:ONIVA03G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLGNKSLGQHDVAEAPAPVCAGTGIRLLVRMEPKHSAEMSKHLDKQNQALMETYRAMSHELHKVQVEEETIMRKLYELMSAEGLLPKRKKESQAQKTGKSTQENKELEP >ONIVA03G07960.1 pep chromosome:AWHD00000000:3:5759411:5764887:-1 gene:ONIVA03G07960 transcript:ONIVA03G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue protein [Source:Projected from Arabidopsis thaliana (AT1G27752) TAIR;Acc:AT1G27752] MSSAPPPQQSKPSYNHRRHNNAAPRHHHGQQQQQPQPQQRYVPKPAAPKPSPPPTLTTALRSSASPSASGAGRVGGEADGFVAYLPHDEVVAAGLGGPDAQESQAVVDLLNDALAALLRAKPREFWRQVAQNTSLHEFLDSYLQFRHRWYDLPHRAPKGTVAGLVVGELELCCRVFMVLYRISSNKDPGAFRGESLSMKEHAALLQEKRLLDLPKLLDICAIYGHDNCKLTSSLVENAINVQPNILDGINIVLPQFLGIFHTMQERCMKSLQVLDFVNDAIVTLDAFVDAYQSAALLFCTSFETSYGVEELLNTLPRLYDSLLPSLLHGFQVMSSSQSNGETASDIILSDIVLGIRMLSRRTVSFGWRLLEFCYLNDQLVERDVEACTKMFPVKVEDPMIRGDIIIQTLKDINREATFSQDHPGKTFLQALEKEFKLMNRIGDIRKKGWIHMDDEQFQFIARLCGSTLTSWNSVPDLPVSSYGGELQHKNEDTAITESKITQIRDLFPDYGKGFLAACLEAYNQNPEEVIQRILDGTLHQDLLALDTSLEEMPQLKPAATVGKDKGKGILVETEPQIMNKPHKVNTEMHRYVEHSSSSSVPSASQGPSSSVPSVPQGRFTRKTNDDVPDSATLDSQKAKDAVRSAVLESQYEYDDEYDDSFDDLGFSVVESSYEETDGANDAESSSQGPRWSSQKKTQFYVKDGKNYSYKVAGSVAVSSAREAAVLRNVQKDTIHGLGRGGNVPLGVPNRQQHRDVEEEEGSNANNFGRGGSNIGRGGSYPRGDHGRRGGRGHGDPPPEGENPNGPPGFGRGGRRGGRNHGNQPEANENPNGQRGFGRGATRGGRNHDHPAEDHEDPDAAQGFARGGPAPRGGRGGRRGGGRDNHHRRDRAMKKHMQGLTGL >ONIVA03G07950.1 pep chromosome:AWHD00000000:3:5757621:5758005:-1 gene:ONIVA03G07950 transcript:ONIVA03G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWASPKTRGVGGKPYRNDSSHKDATRIKAGIPSDDEGDVDREDVPFSEKEEAAMNRIHARAMAKKAAASAAIAPAPAVVPAAIAPVPTDAPDAIAPGP >ONIVA03G07940.1 pep chromosome:AWHD00000000:3:5754247:5757074:1 gene:ONIVA03G07940 transcript:ONIVA03G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALAAAAAVAVLSLLFPRSICIDALCGFSRKYRRKEALIFFCDRSSEAGEIPFINKLDNNTDLIFAYHPSGKAPLSVVMQSNSIQSYDQGRFNQLPDHILLSILERVDMRTVLRTSVLSTRWKHLPLLLSDVDLDADEFIHQNSSMSADEAMAVLVKLMSSLFGSPRSESIIKKLNLRFCLLTDLETSLDYLFNIGELVCNAIDSGKDIALDFQGEVIWITPEGKKLRFALNKITKLFLHGIYVKFDLLWTLVLLESAPSVKVWNHACDEGTENRKQLSERRNDLWDAAQLDGSIHYLQLERLEFGGFNQIIREHLDFIRAIIERAPNLKSVILEDRDPCEDCEAMDNPIYPSISMFPQNNDEKITIVKQLKAGMNRPVEIIFC >ONIVA03G07940.2 pep chromosome:AWHD00000000:3:5754247:5757074:1 gene:ONIVA03G07940 transcript:ONIVA03G07940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALAAAAAVAVLSLLFPRSMNPIRAYTSKCPNSNQALRLDQGRFNQLPDHILLSILERVDMRTVLRTSVLSTRWKHLPLLLSDVDLDADEFIHQNSSMSADEAMAVLVKLMSSLFGSPRSESIIKKLNLRFCLLTDLETSLDYLFNIGELVCNAIDSGKDIALDFQGEVIWITPEGKKLRFALNKITKLFLHGIYVKFDLLWTLVLLESAPSVKVWNHACDEGTENRKQLSERRNDLWDAAQLDGSIHYLQLERLEFGGFNQIIREHLDFIRAIIERAPNLKSVILEDRDPCEDCEAMDNPIYPSISMFPQNNDEKITIVKQLKAGMNRPVEIIFC >ONIVA03G07930.1 pep chromosome:AWHD00000000:3:5751265:5752978:1 gene:ONIVA03G07930 transcript:ONIVA03G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVRKHHCRAATPSLRTLLLAPPEFPLTPLVRVTSHGGRAAGWFGKDLNNSRLDLFYWLLACIGIANLVFYVVVATRYSYKTVMAGGKVVDDKAGDIECAAAAAAAY >ONIVA03G07920.1 pep chromosome:AWHD00000000:3:5751192:5757592:-1 gene:ONIVA03G07920 transcript:ONIVA03G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAIATATLVTCMRRPARRLASGGAEEEAGKEEGGAATAAASGGGSGEAACGRRRCRSPRLLSSSLASSSLSSPPDHAAARWPASSSSSYTWRRPFHGGRRSSLSRPGLLLGFAGVELYRQGASPVAVFRSALVGPRHDRLQVGAIQAKHGLHALFAFKPEASSGGCL >ONIVA03G07910.1 pep chromosome:AWHD00000000:3:5747969:5750608:-1 gene:ONIVA03G07910 transcript:ONIVA03G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSRSAESRGVQGKPHRKIIRDDKKKRIQAGIASDDEGDDEVVVFSEKEEAAMAKIFDKEDEIIVQMYHNSLRIKGSNTFIICEMDQLEAGQPASILIEHIGDDLVSPDYG >ONIVA03G07900.1 pep chromosome:AWHD00000000:3:5741352:5744799:1 gene:ONIVA03G07900 transcript:ONIVA03G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALAAAAVARFAPLSFGVIPCLEGLKLVWNHSCDQDTVDREIFSERRNDLWDVAQLDSSVHYNQLGKLNFGGFNPTIKEHLDLVRTVIERAPKLKSVFLEDGEPCKGCETMDNPIYPSTISMFPQNEDEKSTVAKQLKAGTSRPVEIIFC >ONIVA03G07890.1 pep chromosome:AWHD00000000:3:5733823:5738190:1 gene:ONIVA03G07890 transcript:ONIVA03G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GIH4] MPPAIARFVAIAAVLLCGHVAVAAECGGVGGGSARRVLHQPLFPIEWTPPPSPPPPPAPDFTSDPSTPPAPDAPSGDFFPPAPPTTTTPTSPGTTPSPTTVAADVSKTPSGSGSGHHGGGPTKATIVAAGAGAAAAVALLGFACAFLITGRARRRGDSQKLLGPDRAGAHRSAATSAADFLYVGTVEPTTPARHHGPTTADLVGSPYRKLRSERARRGVSRDEDADHPSPELRPLPPLRRAATLGSSDEDGYYTPRQLSGGSGGGGAAEAWSSASASSPPTTTTASRRSLPSMTSDFFPPVAAIAAPPAPPPARSRRTPPRTRFSTGSTPDTKQVTSPSPRPVQPSNAPPPPPPPPPPPPPPPPPKLNTAPKPPPPPPPPPSVPSNNNLPKPAEPSAVPTSRRRLLKPLPPEGPRIAMPMPITAATTVDNNGSTSMREGDNAAADDGGSGEPRPKLKPLHWDKVRATSDRAMVWDQLKLDEDMIEALFMNNSTPAAPPREVGRKAAGVPSFRQEERVLDPKKAQNIAILLRALNVTREEVSDALLDGNAECLGSELLETLVKMAPTKEEELKLRDYSGDLSKLGSAERFLKAVLDIPFAFKRVDAMLYRANFETEINYLRNSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKESAMISSSKDDRKHGLKVVSGLSSELGNVKKAATMDFDVLHGYVNKLETGLEKIKSILQLEKKCTQGQRFFMSMQDFLKEAEREIERVRGEERRALGRVKDITEYFHGDTAKEEAHPLRIFMVVRDFLSTLDQVCREVGRMQQDRTVIGGSARSFRISATSSLPVLSLYGQRRENNSDDDSSSS >ONIVA03G07880.1 pep chromosome:AWHD00000000:3:5728581:5729419:-1 gene:ONIVA03G07880 transcript:ONIVA03G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGRRGSGARRRRRRERREQHKLSATTRLHHRRRVRSWQRTVRAVAVALTAEAGKDVEAGALVRDGGGEVGPLLEGDTTKTTGSTAGARSSSPWLLYGGCLLTVTLAGPGSGRVLCTILHMIASIWTNRRNQNA >ONIVA03G07870.1 pep chromosome:AWHD00000000:3:5722856:5723071:1 gene:ONIVA03G07870 transcript:ONIVA03G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWRLGAGMARWRLGARATRQRLGARAGPHGEAEVGDGDGALDIEGGDGAVEIGGRDGTADVGGWGGAAR >ONIVA03G07860.1 pep chromosome:AWHD00000000:3:5717944:5719436:-1 gene:ONIVA03G07860 transcript:ONIVA03G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASASTSAPATPTSTLICREDGNDLFSADPADDDGGGGSGGDWELSIADDDHVLLMDRDDEYLALMLSKERCAGGGGGGERGDEEEEEMVEEWMKNARAWCVGWIVKTNAGFRFSLKTAYVAVSYLDRFLARRCVDRDKEWALQLLSVACLSLAAKVEERRPPRLPEFKLDMYDCASLMRMELLVLTTLKWQMITETPFSYLNCFTAKFRHDERKAIVLRAIECIFASIKVISSVGYQPSTIALAAILIARNKETAPNLDELKSVVGSLWQQLDTGHVYSCYNKMMIQEDRSMQSTTEVASSGVSVAHIGGSEDSAMGGANNATTLEATPDKKRKRLHSPQRQ >ONIVA03G07860.2 pep chromosome:AWHD00000000:3:5717944:5719436:-1 gene:ONIVA03G07860 transcript:ONIVA03G07860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASASTSAPATPTSTLICREDGNDLFSADPADDDGGGGSGGDWELSIADDDHVLLMDRDDEYLALMLSKERCAGGGGGGERGDEEEEEMVEEWMKNARAWCVGWIVKTNAGFRFSLKTAYVAVSYLDRFLARRCVDRDKEWALQLLSVACLSLAAKVEERRPPRLPEFKLDMYDCASLMRMELLVLTTLKWQMITETPFSYLNCFTAKFRHDERKAIVLRAIECIFASIKGRSFPPSAFISPNRIARISFHFRNLAVISSVGYQPSTIALAAILIARNKETAPNLDELKSVVGSLWQQLDTGHVYSCYNKMMIQEDRSMQSTTEVASSGVSVAHIGGSEDSAMGGANNATTLEATPDKKRKRLHSPQRQ >ONIVA03G07850.1 pep chromosome:AWHD00000000:3:5709487:5716145:1 gene:ONIVA03G07850 transcript:ONIVA03G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GIG9] MESYLKENFGGVKAKHSSDEALGRWRRLVGVVKNPKRRFRFTANLDKRSEAAAMKRSNQEKLRVAVLVSKAALQFIQGLAPASEYTVPDDVKAAGYGICAEELSSIVESHDIKKLKSHGGVEAIAAKLCTSPEDGLPKSRRRQAVREELFGINRFAETESRSFWVFVWEALQDMTLMILAACAFFSLVVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVSRNGYRQKLSIYDLLAGDIVHLSIGDQVPADGLFLSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTEGLFRRKIMDASYLSWTGDDAMELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIKDVESASDTKSLFSELPESAMTLLSQSIFNNTGGDVVFNKSGSREILGTPTETAILEFGLSLGGDFLAVRKASTLVKVEPFNSAKKRMGVVIQLPGGAMRAHSKGASEIILASCSKYLNDQGNVVPLDDATVAHLNATINSFANEALRTLCLAYVDVGDGFSANDQIPEDGYTCIGIVGIKDPVRPGVKESVAICRSAGIMVRMVTGDNINTAKAIARECGILTEGGIAIEGPDFRTKSAEELNELIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRTPVGRKGNFISNIMWRNILGQAFYQFIVIWYLQTEGKWLFGLKGENSDLFLNTLIFNCFVFCQVFNEVSSREMERINVFEGILDNNVFVAVLGSTVIFQFIIVQFLGDFANTTPLTLKQWFNCIFIGFIGMPIAAAVKLIPVDF >ONIVA03G07840.1 pep chromosome:AWHD00000000:3:5704691:5709719:-1 gene:ONIVA03G07840 transcript:ONIVA03G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRTDARRRRREQQREGMRACMIARMQGQLLSPISFATGNAPAIQGSKLEPSLGGQLFKTFHAALLHVQRSTSPSDANGTALERNAHRVFSAGRGEAERNNEATTPTRRAHPLLFRVVPRAFNEPVVPPRWCASLRTVVGPDQTAEREIPLPMLTVARYLRSRMQKRHCPRYPNNVSAMMGTGAGSQRHACIVRSALQFPSTAVPLGARVPSHARSRLSRSRVNVMATRCEGVLKDRAFDRNVHRVFSAGKVVETTRPPTTVLHGLHPHAHTLRVLDFPSFSAMGKAGKCTAHHGDGPPLRTCFPPAPPPVRVCLQHITCILA >ONIVA03G07830.1 pep chromosome:AWHD00000000:3:5697745:5703224:1 gene:ONIVA03G07830 transcript:ONIVA03G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTHPPPSSPSSSSSGGGGGGGSSASSSSEKTMVGGGGGGGGGSGSAAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPDHFDFRRYDNLDAYVDDLLAILDALRIPRCAFVGHSVSAMIGILASIRRPDLFAKLVLIGASPRFLNDSDYHGGFELEEIQQVFDAMGANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQTVFKTDLRGVLGMVRAPCVVVQTTRDVSVPASVAAYLKAHLGGRTTVEFLQTEGHLPHLSAPSLLAQVLRRALARY >ONIVA03G07830.2 pep chromosome:AWHD00000000:3:5697745:5703224:1 gene:ONIVA03G07830 transcript:ONIVA03G07830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTHPPPSSPSSSSSGGGGGGGSSASSSSEKTMVGGGGGGGGGSGSAAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPDHFDFRRYDNLDAYVDDLLAILDALRIPRCAFVGHSVSAMIGILASIRRPDLFAKLVLIGASPRFLNDSDYHGGFELEEIQQVFDAMGANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQTVFKTDLRGVLGMVRAPCVVVQTTRDVSVPASVAAYLKAHLGGRTTVEFLQTEGHLPHLSAPSLLAQVLRRALARY >ONIVA03G07820.1 pep chromosome:AWHD00000000:3:5663829:5684259:1 gene:ONIVA03G07820 transcript:ONIVA03G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATATPGAARKRRRRRRSQSPPPAGEGPSEPKLSKLRFGCGGGGGGGGGGTRSGWENLDLVLSLQGKELSLERKIELTFNFTSTESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLNRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVRHLLDIEYKVMGWDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHISNKQGANWQKIPSLSWLYVFFFRIYMSCRSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSFLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENEKFFSTNSDVTHTNGIDKLWIFPGFHANSKSLLQLIAYILHLERLLLLAMVCHRYESCNSMGLLRLFVCCRRAMKNLIFNFGKEFPELKQYSAFSKIFGGSCLIWLLRSVQELVSLSHKIFEEHTDELKNTIFSLVNKTSEIFSTLTNMNSVFYLLGAKKQIISSSGESSTPKHDDQAFNILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEENGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLNRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVRHLLDIEYKVMGWDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSFLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENEKFFSTNSDVTHTNGIDKLWIFPGFHANSKSLLQLIAYILHLERLLLLAMKQIISSSGESSTPKHDDQAFNILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEENGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEVCRQICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPGNKRVFKRTVPGLIGALFNIVLHLESPFIFYTERMPVHYPYLHPDAGAIVLMCIEVITAFVGRHSFQIDSCHVSQCLHVPMTLFKGFKHLLSCRNMPHSCNQSEEQLAASNEYILDRQFSVDIEVARCVAVLEDSVNILLSCLESPNPKMMRQQRELLGKHSMYFLAGYISMYSGQGPFQTGITREIDEALRPGVYSLIDICEESDLQLLHTYLGGSMPNNFCKSGAGLQITLPVSGQNLVKLAKGFLEHLMLIRLILHLKLIKLICWIWNKMKRSKQENELSNQDEKDLVICSLWLLFHKSQLGSSIGLILSRPYSILLKLNLFSPKVLTMIKMIRF >ONIVA03G07820.2 pep chromosome:AWHD00000000:3:5663829:5683905:1 gene:ONIVA03G07820 transcript:ONIVA03G07820.2 gene_biotype:protein_coding transcript_biotype:protein_coding METATATPGAARKRRRRRRSQSPPPAGEGPSEPKLSKLRFGCGGGGGGGGGGTRSGWENLDLVLSLQGKELSLERKIELTFNFTSTESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLNRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVRHLLDIEYKVMGWDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHISNKQGANWQKIPSLSWLYVFFFRIYMSCRSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSFLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENEKFFSTNSDVTHTNGIDKLWIFPGFHANSKSLLQLIAYILHLERLLLLAMVCHRYESCNSMGLLRLFVCCRRAMKNLIFNFGKEFPELKQYSAFSKIFGGSCLIWLLSGESSTPKHDDQAFNILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEENGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLNRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVRHLLDIEYKVMGWDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSFLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENENSWISCKLQVIVATYSLHSPSGKTAAIGNGMPSL >ONIVA03G07820.3 pep chromosome:AWHD00000000:3:5663829:5683905:1 gene:ONIVA03G07820 transcript:ONIVA03G07820.3 gene_biotype:protein_coding transcript_biotype:protein_coding METATATPGAARKRRRRRRSQSPPPAGEGPSEPKLSKLRFGCGGGGGGGGGGTRSGWENLDLVLSLQGKELSLERKIELTFNFTSTESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLNRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVRHLLDIEYKVMGWDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHISNKQGANWQKIPSLSWLYVFFFRIYMSCRSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSFLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENEKFFSTNSDVTHTNGIDKLWIFPGFHANSKSLLQLIAYILHLERLLLLAMVCHRYESCNSMGLLRLFVCCRRAMKNLIFNFGKEFPELKQYSAFSKIFGGSCLIWLLRSVQELVSLSHKIFEEHTDELKNTIFSLVNKTSEIFSTLTNMNSVFYLLGAKKQIISSSGESSTPKHDDQAFNILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEENGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLNRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVRHLLDIEYKVMGWDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSFLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENENSWISCKLQVIVATYSLHSPSGKTAAIGNGMPSL >ONIVA03G07820.4 pep chromosome:AWHD00000000:3:5663829:5683905:1 gene:ONIVA03G07820 transcript:ONIVA03G07820.4 gene_biotype:protein_coding transcript_biotype:protein_coding METATATPGAARKRRRRRRSQSPPPAGEGPSEPKLSKLRFGCGGGGGGGGGGTRSGWENLDLVLSLQGKELSLERKIELTFNFTSTESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLNRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVRHLLDIEYKVMGWDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHISNKQGANWQKIPSLSWLYVFFFRIYMSCRSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSFLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENEKFFSTNSDVTHTNGIDKLWIFPGFHANSKSLLQLIAYILHLERLLLLAMVCHRYESCNSMGLLRLFVCCRRAMKNLIFNFGKEFPELKQYSAFSKIFGGSCLIWLLRSVQELVSLSHKIFEEHTDELKNTIFSLVNKTSEIFSTLTNMNSVFYLLGAKKQIISSSGESSTPKHDDQAFNILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEENGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLNRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVRHLLDIEYKVMGWDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSFLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENEKFFSTNSDVTHTNGIDKLWIFPGFHANSKSLLQLIAYILHLERLLLLAMKQIISSSGESSTPKHDDQAFNILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEENGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEVCRQICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPGNKRVFKRTVPGLIGALFNIVLHLESPFIFYTERMPVHYPYLHPDAGAIVLMCIEVITAFVGRHSFQIDSCHVSQCLHVPMTLFKGFKHLLSCRNMPHSCNQSEEQLAASNEYILDRQFSVDIEVARCVAVLEDSVNILLSCLESPNPKMMRQQRELLGKHSMYFLAGYISMYSGQGPFQTGITREIDEALRPGVYSLIDICEESDLQLLHTYLGEGPCRTTFANLVQDYKLHFQYQGKI >ONIVA03G07820.5 pep chromosome:AWHD00000000:3:5663829:5683905:1 gene:ONIVA03G07820 transcript:ONIVA03G07820.5 gene_biotype:protein_coding transcript_biotype:protein_coding METATATPGAARKRRRRRRSQSPPPAGEGPSEPKLSKLRFGCGGGGGGGGGGTRSGWENLDLVLSLQGKELSLERKIELTFNFTSTESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLNRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVRHLLDIEYKVMGWDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHISNKQGANWQKIPSLSWLYVFFFRIYMSCRSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSFLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENEKFFSTNSDVTHTNGIDKLWIFPGFHANSKSLLQLIAYILHLERLLLLAMVCHRYESCNSMGLLRLFVCCRRAMKNLIFNFGKEFPELKQYSAFSKIFGGSCLIWLLRSVQELVSLSHKIFEEHTDELKNTIFSLVNKTSEIFSTLTNMNSVFYLLGAKKQIISSSGESSTPKHDDQAFNILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEENGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLNRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVRHLLDIEYKVMGWDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSFLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENENSWISCKLQVIVATYSLHSPSGKTAAIGNGMPSL >ONIVA03G07820.6 pep chromosome:AWHD00000000:3:5663829:5684259:1 gene:ONIVA03G07820 transcript:ONIVA03G07820.6 gene_biotype:protein_coding transcript_biotype:protein_coding METATATPGAARKRRRRRRSQSPPPAGEGPSEPKLSKLRFGCGGGGGGGGGGTRSGWENLDLVLSLQGKELSLERKIELTFNFTSTESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKTSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLNRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVRHLLDIEYKVMGWDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHISNKQGANWQKIPSLSWLYVFFFRIYMSCRSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSFLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENEKFFSTNSDVTHTNGIDKLWIFPGFHANSKSLLQLIAYILHLERLLLLAMVCHRYESCNSMGLLRLFVCCRRAMKNLIFNFGKEFPELKQYSAFSKIFGGSCLIWLLRSVQELVSLSHKIFEEHTDELKNTIFSLVNKTSEIFSTLTNMNSVFYLLGAKKQIISSSGESSTPKHDDQAFNILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEENGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPGNKRVFKRTVPGLIGALFNIVLHLESPFIFYTERMPVHYPYLHPDAGAIVLMCIEVITAFVGRHSFQIDSCHVSQCLHVPMTLFKGFKHLLSCRNMPHSCNQSEEQLAASNEYILDRQFSVDIEVARCVAVLEDSVNILLSCLESPNPKMMRQQRELLGKHSMYFLAGYISMYSGQGPFQTGITREIDEALRPGVYSLIDICEESDLQLLHTYLGGSMPNNFCKSGAGLQITLPVSGQNLVKLAKGFLEHLMLIRLILHLKLIKLICWIWNKMKRSKQENELSNQDEKDLVICSLWLLFHKSQLGSSIGLILSRPYSILLKLNLFSPKVLTMIKMIRF >ONIVA03G07820.7 pep chromosome:AWHD00000000:3:5673396:5684259:1 gene:ONIVA03G07820 transcript:ONIVA03G07820.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVRHLLDIEYKVMGWDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHISNKQGANWQKIPSLSWLYVFFFRIYMSCRSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSFLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKVLLKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENEKFFSTNSDVTHTNGIDKLWICENLLNFFSTVPGFHANSKSLLQLIAYILHLERLLLLAMVCHRYESCNSMGLLRLFVCCRRAMKNLIFNFGKEFPELKQYSAFSKIFGGSCLIWLLRSVQELVSLSHKIFEEHTDELKNTIFSLVNKTSEIFSTLTNMNSVFYLLGAKKQIISSSGESSTPKHDDQAFNILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEENGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEVCRQICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPGNKRVFKRTVPGLIGALFNIVLHLESPFIFYTERMPVHYPYLHPDAGAIVLMCIEVITAFVGRHSFQIDSCHVSQCLHVPMTLFKGFKHLLSCRNMPHSCNQSEEQLAASNEYILDRQFSVDIEVARCVAVLEDSVNILLSCLESPNPKMMRQQRELLGKHSMYFLAGYISMYSGQGPFQTGITREIDEALRPGVYSLIDICEESDLQLLHTYLGGSMPNNFCKSGAGLQITLPVSGQNLVKLAKGFLEHLMLIRLILHLKLIKLICWIWNKMKRSKQENELSNQDEKDLVICSLWLLFHKSQLGSSIGLILSRPYSILLKLNLFSPKVLTMIKMIRF >ONIVA03G07820.8 pep chromosome:AWHD00000000:3:5673396:5684086:1 gene:ONIVA03G07820 transcript:ONIVA03G07820.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVRHLLDIEYKVMGWDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHISNKQGANWQKIPSLSWLYVFFFRIYMSCRSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSFLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKVLLKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENEKFFSTNSDVTHTNGIDKLWICENLLNFFSTVPGFHANSKSLLQLIAYILHLERLLLLAMVCHRYESCNSMGLLRLFVCCRRAMKNLIFNFGKEFPELKQYSAFSKIFGGSCLIWLLRSVQELVSLSHKIFEEHTDELKNTIFSLVNKTSEIFSTLTNMNSVFYLLGAKKQIISSSGESSTPKHDDQAFNILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEENGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEVCRQICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPGNKRVFKRTVPGLIGALFNIVLHLESPFIFYTERMPVHYPYLHPDAGAIVLMCIEVITAFVGRHSFQIDSCHVSQCLHVPMTLFKGFKHLLSCRNMPHSCNQSEEQLAASNEYILDRQFSVDIEVARCVAVLEDSVNILLSCLESPNPKMMRQQRELLGKHSMYFLAGYISMYSGQGPFQTGITREIDEALRPGVYSLIDICEESDLQLLHTYLGGSMPNNFCKSGAGLQITLPVSGQNLVKLAKGFLEHLMLIRLILHLKLIKLICWIWNKMKRSKQENELSNQDEKDLVICSLWLLFHKSQLGSSIGLILSRPYSILLKLNLFSPKVLTMIKMIRF >ONIVA03G07820.9 pep chromosome:AWHD00000000:3:5673396:5683905:1 gene:ONIVA03G07820 transcript:ONIVA03G07820.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRASLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVRHLLDIEYKVMGWDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHISNKQGANWQKIPSLSWLYVFFFRIYMSCRSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSFLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWQLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKVLLKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENEKFFSTNSDVTHTNGIDKLWICENLLNFFSTVPGFHANSKSLLQLIAYILHLERLLLLAMVCHRYESCNSMGLLRLFVCCRRAMKNLIFNFGKEFPELKQYSAFSKIFGGSCLIWLLRSVQELVSLSHKIFEEHTDELKNTIFSLVNKTSEIFSTLTNMNSVFYLLGAKKQIISSSGESSTPKHDDQAFNILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEENGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSIAFTLRELYNASAAIVKLKGILSFPSEVCRQICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPGNKRVFKRTVPGLIGALFNIVLHLESPFIFYTERMPVHYPYLHPDAGAIVLMCIEVITAFVGRHSFQIDSCHVSQCLHVPMTLFKGFKHLLSCRNMPHSCNQSEEQLAASNEYILDRQFSVDIEVARCVAVLEDSVNILLSCLESPNPKMMRQQRELLGKHSMYFLAGYISMYSGQGPFQTGITREIDEALRPGVYSLIDICEESDLQLLHTYLGEGPCRTTFANLVQDYKLHFQYQGKI >ONIVA03G07810.1 pep chromosome:AWHD00000000:3:5660244:5672990:-1 gene:ONIVA03G07810 transcript:ONIVA03G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGESQEASRREGRERDEHDASRRERERDHTHGRRPHSSSRSRRDDPSPRRRREDRRHHESDRSHRHRSRAEESAKAVDRDQKRDRPLQDAAQPDDPLRAETKPLDDARNGSPARHERSPRGTKRFPESRDARRPRSFFQRLGRLACVGGEGGGKGEKRKKKKKAQMATGESQEASRREGRERDEHDASRRERERDHTHGRRPHSSSRSRRDDPSPRRRREDRRHHESDRSHRHRSRAEESAKAVDRDQKRDRPLQDAAQPDDPLRAETKPLDDARNGSPARHERSPRGTKRFPESRDARRPRSFFQHDERGSAGQGSRCYYRQASDRGRQRDEKEHVGDREKNKDEGKAMQDEQQNDGESTWKHDGFFQLEEEAHPAKRRPPFNEMGMPLEGKESVLSVTEPDSRSHKHDQAGPTSAIGEERRNYHPRGFDRHEGPFVRPDGQGMRRGFSDHRNAGQRNGYDSWGRFAGRGRGRYRFNNSYDGRNSMHQAAGDQAEKWKHDLYEQTNRSPTPKTEEEQIAKIEALLALAPGLGEGNRLLHNVVPLANTVP >ONIVA03G07810.2 pep chromosome:AWHD00000000:3:5660244:5672990:-1 gene:ONIVA03G07810 transcript:ONIVA03G07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGESQEASRREGRERDEHDASRRERERDHTHGRRPHSSSSPRRRREDRRHHESDRSHRHRSRAEESAKAVDRDQKRDRPLQDAAQPDDPLRAETKPLDDARNGSPARHERSPRGTKRFPESRDARRPRSFFQHDERGSAGQGSRCYYRQASDRGRQRDEKEHVGDREKNKDEGKAMQDEQQNDGESTWKHDGFFQLEEEAHPAKRRPPFNEMGMPLEGKESVLSVTEPDSRSHKHDQAGPTSAIGEERRNYHPRGFDRHEGPFVRPDGQGMRRGFSDHRNAGQRNGYDSWGRFAGRGRGRYRFNNSYDGRNSMHQAAGDQAEKWKHDLYEQTNRSPTPKTEEEQIAKIEALLALAPGLGEGNRLLHNVVPLANTVP >ONIVA03G07810.3 pep chromosome:AWHD00000000:3:5660244:5672990:-1 gene:ONIVA03G07810 transcript:ONIVA03G07810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGESQEASRREGRERDEHDASRRERERDHTHGRRPHSSSRSRRDDPSPRRRREDRRHHESDRSHRHRSRAEESAKAVDRDQKRDRPLQDAAQPDDPLRAETKPLDDARNGSPARHERSPRGTKRFPESRDARRPRSFFQHDERGSAGQGSRCYYRQASDRGRQRDEKEHVGDREKNKDEGKAMQDEQQNDGESTWKHDGFFQLEEEAHPAKRRPPFNEMGMPLEGKESVLSVTEPDSRSHKHDQAGPTSAIGEERRNYHPRGFDRHEGPFVRPDGQGMRRGFSDHRNAGQRNGYDSWGRFAGRGRGRYRFNNSYDGRNSMHQAAGDQAEKWKHDLYEQTNRSPTPKTEEEQIAKIEALLALAPGLGEGNRLLHNVVPLANTVP >ONIVA03G07800.1 pep chromosome:AWHD00000000:3:5650424:5659532:-1 gene:ONIVA03G07800 transcript:ONIVA03G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRIIVAITTQVVFALRLASFSIGINGLNDEAEIEQTIHNLLLANRLNQKRWKVLLREKDHEALNTLLEKLKSKMEALRPVDVLPDNTVCFGFLPKAW >ONIVA03G07790.1 pep chromosome:AWHD00000000:3:5649063:5659786:1 gene:ONIVA03G07790 transcript:ONIVA03G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKLIPMDAASRRLINWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNMSGDEVAINLSRTESKKNSATFKPNAAEVTPDQMRSSACKMIRTLVSLMRTLDQIPEERTILMKLLYYDDVTPEDYEPPFFKCCADNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNVNSEDDNMSLDNESDQDNDFSDNEVRPSECYIVAPNDETQDPAHEEELTAQLREWICSRDTESLEALYHALPMDYVSVGKLHGKLDGEASQNMAVDTNAEAGEPERKDHLSGHEMRDGSTMGCLQSVGSDLTRTRELPEPQQNLSMQSEQGASTVDKGPKQDSNKRARGITET >ONIVA03G07790.2 pep chromosome:AWHD00000000:3:5648361:5659786:1 gene:ONIVA03G07790 transcript:ONIVA03G07790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKLIPMDAASRRLINWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNMSGDEVAINLSRTESKKNSATFKPNAAEVTPDQMRSSACKMIRTLVSLMRTLDQIPEERTILMKLLYYDDVTPEDYEPPFFKCCADNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNVNSEDDNMSLDNESDQDNDFSDNEVRPSECYIVAPNDETQDPAHEEELTAQLREWICSRDTESLEALYHALPMDYVSVGKLHGKLDGEASQNMAVDTNAEAGEPERKDHLSGHEMRDGSTMGCLQSVGSDLTRTRELPEPQQNLSMQSEQGASTVDKGPKQDSNKRARGITET >ONIVA03G07780.1 pep chromosome:AWHD00000000:3:5647280:5647966:-1 gene:ONIVA03G07780 transcript:ONIVA03G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMKAKLVYNMDELRTMVRDAVGQRHVDVRSLEALRDAPAVFDILHDLSSTAGYGWKVRNVQPMSDLSELVGLLQLMKWVNIPQLLIHLCNLDQRREMLLALEGFQVRGSLSVQVLAQDLIDRVPASNPRAHWSREMSATTLKSRLEEATATAVNTLLNNSIRAETLARQGGGTVMARVRELRRLLRQISNAVLAADHLPPTIGSDMEQAIALIRNILQLPVQVREA >ONIVA03G07770.1 pep chromosome:AWHD00000000:3:5639664:5646330:1 gene:ONIVA03G07770 transcript:ONIVA03G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLDLKRLMSVPKERNRRRRQRQIRARNGSIDSVAKRKGSLCQQVANSDGERRTRYSGPNLPEDIWCHIHSLMPFKDAARAACVSRAFRRSWQHRPNLIFCIGTLGLDFINKIDRIIKNHSGIGIKSLQLEYDTFCNARRSASISYHLNNWLQIAVTPWIEELILTLSLSSYNVDYNFPCSLLSDGRGSSLRHLYLGSCFFHPTVNLELRNLTRLHLVTVHITGDEFGCLLSNSYALERLELKYCYGIICLKIPCLLQRLSHLEVRLLLGESLQMKTLSLDYPSAVYYARAELPSNVPNLEILTICSDHEMVDTPMLPSKFFYLKCLTIDLAWRLSPAYDYFSLISFLDASPSLETFCLEISQDRMENELIIGDMSHMRQMLEHRHDNLQSVEIIGFCYTKSLIELTCHILDNTTSLKHLKLDTTRDVFSCSTGKHDKCFPMGKDMLTEAKKAVLAIETYIEPKVPSTVMLNVVKPCNRCHVAES >ONIVA03G07760.1 pep chromosome:AWHD00000000:3:5636131:5641109:-1 gene:ONIVA03G07760 transcript:ONIVA03G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKERNNLVFNLQRQPWAEIARAMAAEAELWRLAKAAIPALVLQQTLPLLRLRQCQCKANEAEKEPQYKDGQYSRVSSSISRSVTRNEKGEKSKKQWRKLAKKWREGWVHRLFCRPATALLPLRE >ONIVA03G07750.1 pep chromosome:AWHD00000000:3:5634624:5635134:-1 gene:ONIVA03G07750 transcript:ONIVA03G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAFEVTSLPQLEVLVKEIGGPHRSQINVIVSSCTFGDAVAMAAILRHVSEAYQWRVANFTRLRALADLSDVRDAQAVALLRGRGATIIELAGEIGGPSVDIFAAFVLRLNRLADHIGDRLRSVRARQGLLDDFASVILNLRFANILLPFP >ONIVA03G07740.1 pep chromosome:AWHD00000000:3:5617288:5621274:-1 gene:ONIVA03G07740 transcript:ONIVA03G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19630) TAIR;Acc:AT3G19630] MASSSSSSSSSPPPPPPRRSVFDAAYIRAEFAAAGISPHFIPLIWKYVLQNPRCGDLDAVPSLPAAAYALLRQKFQPTTSTLTTAAESKDHTTTKLLIRLKNGESVEAVIMRYDTRLGKYDGKPRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASRYSQIRNVVFMGMGEPLNNYTALVEAIQVLIGSPFQLSPKRITVSTVGIIHSINKFNNDLPNINLAVSLHAPDQDIRCHIMPAARAFPLVKLMNALQSYQNESKQTIFIEYIMLDGVNDQEQHAHQLGKLLEMFKAVVNLIPFNPIGSSNNFKTSSEHNVKKFQKILRGIYNIRTTIRQQMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDIRI >ONIVA03G07730.1 pep chromosome:AWHD00000000:3:5612721:5615866:-1 gene:ONIVA03G07730 transcript:ONIVA03G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGPGLFSDIGKRAKDLLTKDYTYDQKLTVSTVSSSGVGLTSTAVKKGGLYTLDVSSVYKYKSTLVDVKVDTESNISTTLTVFDVLPSTKLVTSVKLPDYNSGKVEMQYFHENASFATAVGMKPSPVVEFSGTAGAQGLAFGAEAGFDTATGKFTKYSAAIGVTKPDYHAAIVLADKGDTVKVSGVYHLDDKQKSSVVAELTRRLSTNENTLTVGGLYKVDPETAVKARLNNTGKLAALLQHEVKPKSVLTISGEFDTKALDRPPKFGLALALRP >ONIVA03G07720.1 pep chromosome:AWHD00000000:3:5605491:5610945:-1 gene:ONIVA03G07720 transcript:ONIVA03G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GIE4] MPSHAIPSPLLRARPPAPSAAAAAATGRRAPSRVVAALGGGGPAGRGMEQQQQLAGDGSRSPVKEKPLVSTIGKSTNILWHNCPIGQSERQNLLGQKGCVIWITGLSGSGKSTLACALSRELHCSGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGIICIASLISPYRRDRDACRVLLPESRFIEVFMDLPLEICEARDPKGLYKLARSGKIKGFTGIDDPYESPVNSEIVIKMVDGECPSPKAMAQHVLCYLEENGYLQA >ONIVA03G07710.1 pep chromosome:AWHD00000000:3:5590028:5599882:-1 gene:ONIVA03G07710 transcript:ONIVA03G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASAVFLLAWLVAGVVKAAEAASGMAQPLAYDYSSSSECLPEPMDAHYGGGIIRNGDFSAGLQGWSAFGYGSLAVGSSPAGNRYAVATNRTRPYQSVSQKVLLQNGTHYTLSAWLQVSDGIADVRAVVKTAGGDFIHSGGVEARSGCWSILKGGLTAAAAEQAELYFESNATVDIWVDNVSLQPFSREEWSAHHEAAIKKARKKTVRLQARDAAGNPVAGARMHIEHVRNGFPLGSAMSKEILTNPGYQRWFTSRFTVTTFENEMKWYSTEAIPGREDYSVPDAMLRFAKSHGIAVRGHNIFWDDPSTQMGWVKALSGEQLRRATEKRIKSVMSRYSGQVIAWDVVNENLHFDFFEGRFGWEASAAFYRKAHQMDGGALMSMNEFNTLEQPGDLTVLPGKYLRKLWQIKAFPGNGNAARMGIGLEGHFSAQPNIPYIRAALDTMAQANAPIWLTEIDVAPGPDQARHLEQILREVYAHPAVHGIILWTAWHPQGCYVMCLTDNNFKNLPAGDVVDKLIWEWKTRSHVGVADADGYYETELFHGDYKVTVTHPAANSTVAQSLSVDRESDNEFTIHCVKEPEKPLYGGGILKETEAKGYASGKKLLSENSKSAAPVKGSALKVDLKKDHHYALSVWLQLSKGEGDIRAVLVTPDGKFNTAGMIAAKCGCWTMLKGGATSYDDGKGDIFFETNVTAEVMAEGMALQPFSFDEWKGHRAESVKKERMKKVKITVVGPDGKPVPEADVSLERVGKGFPLGNAMTKEILDMPEYEKWFAARFRYATLENEMKWYSTEFHQNEEDYKVSDKMVELAEKHNITLRGHNVFWDDQDKQMDWVEKLGVPELKEAMAKRLKDIVTRYAGKVIHWDVVNENLHFNFFEGKLGKDASAEIFRDVAKLDSKPILFMNEFNTIEEPNDAAPLPTKYVAKLKQIREFPGNADLKYGIGLESHFAAPNIPYMRGSIDTLAQAKVPIWLTEVDVKPCKNQVEYLDEVMREGFAHPAVKGIVLWGAWHAKGCYVMCFTDNSFKNLPVGDAIDKLLKEWTAGHTGKTDSKGVLEVEIFHGEYNATVKHKEFKENCMTLDLDSKAEAKIELRSNKVLTPWVELKYPNRHLGLHRSQI >ONIVA03G07710.2 pep chromosome:AWHD00000000:3:5592147:5599882:-1 gene:ONIVA03G07710 transcript:ONIVA03G07710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASAVFLLAWLVAGVVKAAEAASGMAQPLAYDYSSSSECLPEPMDAHYGGGIIRNGDFSAGLQGWSAFGYGSLAVGSSPAGNRYAVATNRTRPYQSVSQKVLLQNGTHYTLSAWLQVSDGIADVRAVVKTAGGDFIHSGGVEARSGCWSILKGGLTAAAAEQAELYFESNATVDIWVDNVSLQPFSREEWSAHHEAAIKKARKKTVRLQARDAAGNPVAGARMHIEHVRNGFPLGSAMSKEILTNPGYQRWFTSRFTVTTFENEMKWYSTEAIPGREDYSVPDAMLRFAKSHGIAVRGHNIFWDDPSTQMGWVKALSGEQLRRATEKRIKSVMSRYSGQVIAWDVVNENLHFDFFEGRFGWEASAAFYRKAHQMDGGALMSMNEFNTLEQPGDLTVLPGKYLRKLWQIKAFPGNGNAARMGIGLEGHFSAQPNIPYIRAALDTMAQANAPIWLTEIDVAPGPDQARHLEQILREVYAHPAVHGIILWTAWHPQGCYVMCLTDNNFKNLPAGDVVDKLIWEWKTRSHVGVADADGYYETELFHGDYKVTVTHPAANSTVAQSLSVDRESDNEFTIHCVKEPEKPLYGGGILKETEAKGYASGKKLLSENSKSAAPVKGSALKVDLKKDHHYALSVWLQLSKGEGDIRAVLVTPDGKFNTAGMIAAKCGCWTMLKGGATSYDDGKGDIFFETNVTAEVMAEGMALQPFSFDEWKGHRAESVKKERMKKVKITVVGPDGKPVPEADVSLERVGKGFPLGNAMTKEILDMPEYEKWFAARFRYATLENEMKWYSTEFHQNEEDYKVSDKMVELAEKHNITLRGHNVFWDDQDKQMDWVEKLGVPELKEAMAKRLKDIVTRYAGKVIHWDVVNENLHFNFFEGKLGKDASAEIFRDVAKLDSKPILFMNEFNTIEEPNDAAPLPTKYVAKLKQIREFPGNADLKYGIGLESHFAAPNIPYMRGSIDTLAQAKVPIWLTEVDVKPCKNQVEYLDEVMREGFAHPAVKGIVLWGAWHAKGCYVMCFTDNSFKNLPVGDAIDKLLKEWTAGHTGKTDSKGVLEVEIFHGEYNATVKHKEFKENCMTLDLDSKAEAKIELRSSTY >ONIVA03G07700.1 pep chromosome:AWHD00000000:3:5588135:5593603:1 gene:ONIVA03G07700 transcript:ONIVA03G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Histone acetylation protein /.../terPro:IPR019519); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G18410) TAIR;Acc:AT2G18410] MAEAAVRCLRDGRLDGEHAPALAVASNIQCGPLAAGAMLHLAAAVASNAAAGKAQARGLVIVAFDRSPEVYLDFMRRRGLDPNALNRCVRILDCYSDPIGWNQKIRSQQQQESGADLCSANKENVTIFRNVKDLDKLMCSTIDLGRGFAGEGKIYFSIAVDSISSMLRRASVSSISSFLSNLRSHDQISSIFWLIHSDLHEPKFSRAFECLSTMVASLEPAVVDSVYEEEIPGNISFLEENYSKAKFYLRLKRRNGRVKHLYEELHVEGNDVRFVSAPSVSTEVSQSLLPKVQFNLELSEKERSDKANVVLPFEHQGKGEPIHIYDGRRSLPEAQQDSNLTASALLDEVKFPKSAAPKGEIHYFRDSDDEQPDSDEDPDDDLDI >ONIVA03G07690.1 pep chromosome:AWHD00000000:3:5585761:5587979:-1 gene:ONIVA03G07690 transcript:ONIVA03G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain [Source:Projected from Arabidopsis thaliana (AT5G60590) TAIR;Acc:AT5G60590] MKACARAAGERLPLVGAPARQPLARSFVKVNRLSSQHETKSVVSCSVRVSDDKTHRIEATAEHILPATNDHVMKAIDSINRGQVIAVPTDTIYGFACDACSAEAVNRIYEIKGRVQTRPLAICVADVPDISRFAVVDHLPHGLLDSLLPGPVTVVLKRGNNSILERSLNPGLESIGVRVPDFDFIRAISRGAGSALALTSANLSGRPSSVNVKDFEDLWPHCSYVFDGGILPSGRAGSTIVDLITPGVYKILRDGSSRQETTAVLGKFGFVEAW >ONIVA03G07680.1 pep chromosome:AWHD00000000:3:5581354:5585823:1 gene:ONIVA03G07680 transcript:ONIVA03G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDPMGKLLFLLAWITLLQGCCMCLPEPPEPQYGGGVVRNADFSAGLHGWSAFGYGSLAEGSSPAGNRYAVATNRTRPYQSVSQKVLLQNDTHYTLSAWLQVSDGVADVRVVVKAAGDFIHAGGVAAKSGCWSMLKGGLTTVSGGRAEIYFESNATADIWVDSVSLKPFTKEEWSNHRDASASTARRKTVRLQATDSAGNPLPGAAVSLENVRNGFPLGAAMSGEILRNPSYQRWFASRFTVTTFENEMKWYSTEPAPGREDYSVPDAMLEFARSHGIAVRGHNVFWDDPNQQPRWVQGLPYPQLLAAASRRIRSVVARYAGKLIAWDVVNENLHFSFFERRFGWDASTAFYAAARMLDTGSTLMFMNEYNTLEQPGDMAALPARYVQRLKQIIGGYPQNGAGMAIGLEGHFTAPVNIPYMRAALDTLAQAGVPVWLTEVDVGGGASQAYYLEEILREAYAHPAVQGVILWAAWRPQGCYVMCLTDNDFNNLPQGDVVDRLITEWSTAPRAGTTDAEGFFQAELAHGEYKVTVTHPSLNTSVSQSVKVEMGSGSHYFIQV >ONIVA03G07670.1 pep chromosome:AWHD00000000:3:5568776:5573155:1 gene:ONIVA03G07670 transcript:ONIVA03G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPARVGDCCPATEMDEVPGNQEDPRLGAYADKITDAMFADHVELGSHYAGDSSSEAGWAPMIARMQSQSQLGSNQLGVSFENGSLPDDPASLALAFKNMSLGFRDFTVGTPANPVSVAPLGGHYPASHVISSGETTMNSFHQQEFAQDGFRPSSLNPNVAEYMKPKYGVHNVQMCTGLHGSDYVSGDPYNLPSSASPLQKQYFIDGQFRANAPYQQTGSNFMRQDFDADSHYLLQSQYAYQQMPQVAGSDVHWVRSNQHGVHSSSIPAASPYLRTPMVGQQAHSSADTYWNGAAISHGNNQLNSTFVNNCSCIIYPDCSREICEYCQMKQAEKLKHRYMFRRSSKGFLQPQIFDKVNIKCFPGKTMVKSDDINSARNIQSVFEPNGRIKMNQRINQHGHNQHLNIQGNDFLLFDRLNSQALSPVESEYGLAMKIPQMSYSSVDEVVGKIHLLAKDQNGCRFLQRIFTEGTSENVKKVFDGIIEHIGELVVDPFGNYLVQKLLEECNHDQKMHIVYEITKRPGQLIKFSCDMHGTRVVQKVIETINSPDEVSMVVCALSSGAITLMMDANGCHVALRCLQKFSHEHKAFLLNVAMEYYFELAQDRQGCCIIQKCILHANKEQKNQLLYNITSRALELSEHQYGNYVVQYILDLHISWATDEILDKLEGHFGSLSMQKSSSNVVEKCLKEASWPKRVKIIHELINDPKLLHILIDPYGNYVIQTALKECEDAAVRAVLIGAIRPHVAALRNNMFGKRILSKTYLKNRKH >ONIVA03G07670.2 pep chromosome:AWHD00000000:3:5569679:5573155:1 gene:ONIVA03G07670 transcript:ONIVA03G07670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPGNQEDPRLGAYADKITDAMFADHVELGSHYAGDSSSEAGWAPMIARMQSQSQLGSNQLGVSFENGSLPDDPASLALAFKNMSLGFRDFTVGTPANPVSVAPLGGHYPASHVISSGETTMNSFHQQEFAQDGFRPSSLNPNVAEYMKPKYGVHNVQMCTGLHGSDYVSGDPYNLPSSASPLQKQYFIDGQFRANAPYQQTGSNFMRQDFDADSHYLLQSQYAYQQMPQVAGSDVHWVRSNQHGVHSSSIPAASPYLRTPMVGQQAHSSADTYWNGAAISHGNNQLNSTFVNNCSCIIYPDCSREICEYCQMKQAEKLKHRYMFRRSSKGFLQPQIFDKVNIKCFPGKTMVKSDDINSARNIQSVFEPNGRIKMNQRINQHGHNQHLNIQGNDFLLFDRLNSQALSPVESEYGLAMKIPQMSYSSVDEVVGKIHLLAKDQNGCRFLQRIFTEGTSENVKKVFDGIIEHIGELVVDPFGNYLVQKLLEECNHDQKMHIVYEITKRPGQLIKFSCDMHGTRVVQKVIETINSPDEVSMVVCALSSGAITLMMDANGCHVALRCLQKFSHEHKAFLLNVAMEYYFELAQDRQGCCIIQKCILHANKEQKNQLLYNITSRALELSEHQYGNYVVQYILDLHISWATDEILDKLEGHFGSLSMQKSSSNVVEKCLKEASWPKRVKIIHELINDPKLLHILIDPYGNYVIQTALKECEDAAVRAVLIGAIRPHVAALRNNMFGKRILSKTYLKNRKH >ONIVA03G07660.1 pep chromosome:AWHD00000000:3:5539012:5553185:-1 gene:ONIVA03G07660 transcript:ONIVA03G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPIEQQQEDEEMLVPHQELPAAAAAVVADAVQPMEVVAQTEPANAAESQPPEDPQTSRFTWTIENFTRINGKKHYSEPFVVGGFKWRVLIFPKGNNVDHFSMYLDVADSVNLPYGWNRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKETVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKECGIPVQFQRFWLWAKRQNHTYRPNRPLGPHEESQSVGQLREVSNKAHNAELKLFLEVETGVDLRPIRPPEKSKEDILLFFKLYNPEKEELWLFVKAMGKPSEILTKLNEMAGFAPNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKSPVLDGETQVRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQIQNFGDPFLLVIREGETAAEILERIQKKLRVPDEEFSKWKLAFISMNRPEYLQDVDVRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTFEKPVKIYN >ONIVA03G07650.1 pep chromosome:AWHD00000000:3:5533216:5536284:1 gene:ONIVA03G07650 transcript:ONIVA03G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNKRKSTGQVRAGPDNQTRPIRTSNKTRPEKLTSTMQRDARRGGRRSMEESALEITTAASGQPRDSLLLHHRPYMASTRSLSPSPLLSDRSFPSLSLSLNLDRKAASESDTMQAVREEEEQVVEEVVRAGAVAEEEEGPEEKEVAMVGEEMAEAEHDEEEAEAGASAKKNRIQVSTNKKPLYFYVNLAKSYMQNYDEVELSALGMAIGTVVTVAEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSENFNTIMSSKKSDRPKSAEEEIKV >ONIVA03G07650.2 pep chromosome:AWHD00000000:3:5533216:5535919:1 gene:ONIVA03G07650 transcript:ONIVA03G07650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNKRKSTGQVRAGPDNQTRPIRTSNKTRPEKLTSTMQRDARRGGRRSMEESALEITTAASGQPRDSLLLHHRPYMASTRSLSPSPLLSDRSFPSLSLSLNLDRKAASESDTMQAVREEEEQVVEEVVRAGAVAEEEEGPEEKEVAMVGEEMAEAEHDEEEAEAGASAKKNRIQVSTNKKPLYFYVNLAKSYMQNYDEVELSALGMAIGTVVTVAEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSENFNTIMSSKKSDRPKSAEEEIKAKLCPFLFLAALSN >ONIVA03G07640.1 pep chromosome:AWHD00000000:3:5529200:5536125:-1 gene:ONIVA03G07640 transcript:ONIVA03G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTCLSLSKSFIHEQRNIKLKKIEKMLTLFSGQAIVLEDLSNSHHRANCCNRRYLRKIQSGFLLVETWIRFFFADAPASASSSSCSASAISSPTIATSFSSGPSSSSATAPALTTSSTTCSSSSLTACIVSLSLAAFLSRLREREREGKDRSERRGEGERLRVEAMYGRWCRSRESRGCPEAAVVISSALSSIDLLPPRRASLCIVDVNFSGRQDPVDEKGPRSRLRGNARIDLRLHPRVQNPWMGSPPSLLSSSSFVPNQWKARVPPTRDWRALLVAPSSPAGGPVVRGKWKSRIIKTGD >ONIVA03G07630.1 pep chromosome:AWHD00000000:3:5525579:5526742:-1 gene:ONIVA03G07630 transcript:ONIVA03G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSDDLAASTIDLALEEKLAANALGGGNGGGEEEAAAWEIDLSKLSIRSVVAQGYHGTLFRADYGGHDVAVKVLDWGEDGYSTPEQIAHLRASLADLAAVWHSFEHPNVARFFGASMGTADLNIPANTTSSATAAGGGEQRNTGEKPPDRACCVVVEFLGGGTLKKYLIEHYRSKLPYGEVVRLALSMARGLSFLHANKIVHRDVKTENMLFLGGGGGGGDLKIADFGVARVEARDPREMTGATGTVGYMAPEVLVGKPYNRKCDVYSFGICLWETYCCEMPFTFGLSVAEASAAVAQRGMRPPIPPCCPPAMARVMARCWDADPAARPEMEEVVRMLEALDTSNGGGMVAPGKMKKKKKTTKKKNEEEKMPGCFCFFGRS >ONIVA03G07620.1 pep chromosome:AWHD00000000:3:5522720:5523440:-1 gene:ONIVA03G07620 transcript:ONIVA03G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPTATTFPHLRVPYRRLALTRRSEMDKLNRVFKHVRGLPPCQSTVTVGFDVLSGLLACQPNMPLTTVDVLWCARFTEADMPPTRTPIPNPLATLLAGPPTAASHTAAIAGHGCYSSSSSSHHLHPPFPSPARHHHPPPVWLTTGVLPRSGHRSRGGGASKVFRNAVDEEVCWRRLATWLVAVGGEGRQQSLSGVGWPGGAPPRCARRWGVGFDGGAR >ONIVA03G07610.1 pep chromosome:AWHD00000000:3:5514125:5517142:-1 gene:ONIVA03G07610 transcript:ONIVA03G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGAAARGIQTATGFVTIINTWAEFFNCLSSAISSACSWINIHQKPQNDFVKEEIQHLQSDLWQLQTTLPKMRNLVEILEWQIYKKPAAELLPHIKDAVLDAEDIIDEFNYYELKAKIEGRIEECLTSSGCQEFYMSVIRGSFNRVKEIQEKLDHLHRQSMDLGLHCAAQRFDKIVRPETSSFLNSQIFGRQEEEKMVLELLGVQLQANAGYKRKRSSRVEVLPIVGLGGVGKTTLAQQICKNQMVKAHFDMILWACVSDDFNAKRLTKEVIQSSKKETSFDNLDSLQSILKDTVELKRFLLVLDDIWDDVMADGGQDWQRFCAPLSNALQGSMILITTRSQKVADKVRTMDCFPLEGLTEDVFWEFFIVQAFGTESLSKYPDLEDIGRSIILKLKGSPLAAKTIGRLLRTNLHASHWNNILQSELWKLEQDRTDILPALRLSYMYLPPHLKRCFSFCAVYPKDYRFEKDTLVDIWLAEGFVEHASSFPTVTVVQQYFEELLSRSFFQKVTHGKYVIHDLMHDMAQLVSQDECFIIRNANDLRTIPSNVRHLSIFTKRYIGCHDLMGLCRYKKLRTLLCSKAFIKGEFASVLGSWFKELQHIRVLSCSLPMIEDIPEGISNLKLVGYIYFSSQRTFSILPSSFCCLYNLQTLDASTCVFRSLPCDFGNLISLRKFRAKNFSYLPGEDSRMQFLRGERIKVLKYVNQVQGSLLVNLPGLKSKKNIGLTVLKKENNLYSLHISQFAEDASYEQEQLEVCENLHPHPDLQHLEVTGYQGENFCPSWFLPDNLPNMISLIFEECHNAKKISLHRLPCTGFQYLINLYIIECTNLSSIEQFLQPCHIPAIKMISIKGCQELSSISAERFGGFRFLEALVIRDCPRISWENGLALPPTLTNLSLVRCGDISKWIPDCLLNLSSLVRLQLVGLSGTMFIPGSIWRNNLPLLDYLEICNFQELRFTGVPEAIEEINNVLIDKCPMLKELKQPFSRGDVTFLWGIPTSKWYLS >ONIVA03G07600.1 pep chromosome:AWHD00000000:3:5508392:5509054:1 gene:ONIVA03G07600 transcript:ONIVA03G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAAGESSESESHGGGGGGGAGDKPPFEISPF >ONIVA03G07590.1 pep chromosome:AWHD00000000:3:5505461:5508994:-1 gene:ONIVA03G07590 transcript:ONIVA03G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRALPRRLLRHPLLHSMPLSSSPPDPRELLRIERILSTPTSSSTPPPQRQEGPRPAATSSLHETAGPTAAESASLLRRGLPTSSKLPHHHHHHRHRQLRDELRGLRLGEREIRAALASDAEGLLLSMSPGEPTRLVGLVRDELRCRAAVRDRVLAGGALAAAVAARRRVELLHARGLTRRDALRVLAAEPRAILYGLEDVERKVDFLVSRMGFEIGWLVEFPEFLGVNLERRIVPRHNVVEHLRSIGGLGDPIEMKHYVRFSRQRFYNMFVKPYPECKRIFGGIVREKGKEVRRWRHPVGLWKLFKPVKYESTEEDVNNMKMQLQDKKYPFFSLKGLRVLQESKATPTSDATTEAIDFEESEFTRSGSMGFELNDYPGSGANNRHSPHSEGNR >ONIVA03G07580.1 pep chromosome:AWHD00000000:3:5501566:5504463:-1 gene:ONIVA03G07580 transcript:ONIVA03G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPPPLPALLTGLARRGATSPAAAAARQLHAHLLIRGLPLPARAAVALVASSSSPRHARAVFDSVPAASANVYLWTATISAYARHTSSSSSHALEVAGEAVALFALMLWSGPRPNAFTVTSVIKCCSKVRAVHEGLQVHAFLAKAGLGCSSVHVGAALLDLYGNIGLVGDARRVFDEMPVKNVVVGNTMVACNVRAGDMGAAREVFDGMAERDPISWNTLMSGYLHLGDTATVRELFDEMTVRNVNSWNTMIAACSEEGSLADTVKVFNRMRATGFEPDAATMAVLMSACAQLGSLTIAGQVHGLLQKSCVEMNCHVQNSLIDMYAKCGCISQAQFLFTETYPKDTVSYNVMIIAFAQHGHARDALDLFNAMVDSGLQPDAVTFLGVLSACAHAGLVEYGKNYFELMKTTYAVQQSPDHYACVVDLYGRAGLIEEAHCFVKTMPVKPHAGVWGALLNACRKHCHVDVGEIAAKELIRIEPKNPGNYVLLRNTLARGQQWDAVEDVQLSMRRKVIDKTAGCSWVEVDSVVHEFLAGDFYHPKFDEIYTILEHLLCSATFLGHQASLSNYSASGLDDFGSFDLFTQTFDTFWIPIFDVGSGISSEALLNVVENKKGFRV >ONIVA03G07580.2 pep chromosome:AWHD00000000:3:5501566:5502169:-1 gene:ONIVA03G07580 transcript:ONIVA03G07580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICLGLSLCSATFLGHQASLSNYSASGLDDFGSFDLFTQTFDTFWIPIFDVGSGISSEALLNVVENKVCLVTLADRHQANKNFGNILNYLDDRKNIENQDRRRKHEVLPYKGFRV >ONIVA03G07570.1 pep chromosome:AWHD00000000:3:5494295:5496387:-1 gene:ONIVA03G07570 transcript:ONIVA03G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSFFMQWAMDTLHQLPSDSTAAAYATDVAGDAFPSLQALRNASAAGGGGGGFRDLTVQVDQVHRANSWSSSDSPGGAAATAAAGWSPHVTGGGGGGGRGHRPMSWNFSAASAQPTTEDSGGGGGGGVVPAPPQAMETETATARAAPVKKGGGGSSSAAAPGYVQDHIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKYVKELQEKVKTLEEEDGGRAAAMVVRKSSCSGRQCDGEGRGSRVPEMEVRVWERSVLVRVQCGNARGLLVRLLSEVEELRLAITHTSVMPFPASTVIITITAKAS >ONIVA03G07560.1 pep chromosome:AWHD00000000:3:5484945:5489678:1 gene:ONIVA03G07560 transcript:ONIVA03G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GIC3] MEDAAAAARRMERLASHLRPPASQMEESPLLRGSNCRAKGAAPGFKVAILGASGGIGQPLALLMKMNPLVSVLHLYDVVNTPGVTADISHMNTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPNAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGLDPRDVNVPVIGGHAGVTILPLLSQVNPPCSFTSEEISYLTTRIQNGGTEVVEAKAGAGSATLSMAYAASKFADACLRGLRGDAGIVECSFVASQVTELPFFASKVRLGRCGIEEILSLGPLNEFERAGLEKAKKELAESIQKGVAFINK >ONIVA03G07560.2 pep chromosome:AWHD00000000:3:5484945:5489678:1 gene:ONIVA03G07560 transcript:ONIVA03G07560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GIC3] MEDAAAAARRMERLASHLRPPASQMEESPLLRGSNCRAKGAAPGFKVAILGASGGIGQPLALLMKMNPLVSVLHLYDVVNTPGVTADISHMNTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPNAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGLDPRDVNVPVIGGHAGVTILPLLSQVNPPCSFTSEEISYLTTRIQNGGTEVVEAYAASKFADACLRGLRGDAGIVECSFVASQVTELPFFASKVRLGRCGIEEILSLGPLNEFERAGLEKAKKELAESIQKGVAFINK >ONIVA03G07550.1 pep chromosome:AWHD00000000:3:5472181:5475201:-1 gene:ONIVA03G07550 transcript:ONIVA03G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLLPPLLLLLGLHAAAAAVSEVSALMAFKNALTIPPTAAAFFARWDAAAASPCNFTGVDCANSGGGGVTAVAVEGLGVAATSVPFDVLCGSLPSLAKLSLPSNALAGGIGGVAGCTALEVLDLAFNGFSGHVPDLSPLTRLQRLNVSQNSFTGAFPWRALASMPGLTVLAAGDNGFFEKTETFPDEITALTNLTVLYLSAANIGGVIPPGIGNLAKLVNLELSDNALTGEIPPEITKLTNLLQLELYNNSLHGELPAGFGNLTKLQFFDASMNHLTGSLSELRSLTQLVSLQLFYNGFTGDVPPEFGEFKELVNLSLYNNNLTGELPRDLGSWAEFNFIDVSTNALSGPIPPFMCKRGTMTRLLMLENNFSGEIPATYANCTTLVRFRVSKNSMSGDVPDGLWALPNVDIIDLANNQFTGGIGDGIGRAALLSSLDLAGNRFSGAIPPSIGDASNLETIDISSNGLSGEIPASIGRLARLGSLNIARNGITGAIPASIGECSSLSTVNFTGNKLAGAIPSELGTLPRLNSLDLSGNDLSGAVPASLAALKLSSLNMSDNKLVGPVPEPLSIAAYGESFKGNPGLCATNGVDFLRRCSPGSGGHSAATARTVVTCLLAGLAVVLAALGAVMYIKKRRRAEAEAEEAAGGKVFGKKGSWDLKSFRVLAFDEHEVIDGVRDENLIGSGGSGNVYRVKLGSGAVVAVKHITRTRAAAAARSTAASAAMLRSPSAARRTASVRCREFDSEVGTLSSIRHVNVVKLLCSITSDDGAASLLVYEHLPNGSLYERLHEGQKLGGGSGLGWPERYDIAVGAARGLEYLHHGCDRPILHRDVKSSNILLDESFKPRIADFGLAKILDGAAATPDTTSAGVVAGTLGYMAPEYSYTWKVTEKSDVYSFGVVLLELVTGRTAIMAEYGESRDIVEWVSRRLDSRDKVMSLLDASIGEEWEKEEAVRVLRVAVVCTSRTPSMRPSMRSVVQMLEAAAIGREFAVVTSLKVKVIP >ONIVA03G07540.1 pep chromosome:AWHD00000000:3:5461558:5464724:-1 gene:ONIVA03G07540 transcript:ONIVA03G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G49660) TAIR;Acc:AT5G49660] MVINLSSPPIFLLFFLWCVVVFFVAGDGGAVVAEAALDAQAAYLSQMKQEFAGPAMARWDFSAPAVDYCKFQGVGCDASGNVTAIDVTSWRLSGRLPGGVCEALPALREVRLGYNDIRGGFPGGLVNCTSLEVLNLSCSGVSGAVPDLSRMPALRVLDVSNNYFSGAFPTSIANVTTLEVANFNENPGFDIWWPPESLMALRRLRVLILSTTCMHGGVPAWLGNMTSLTDLELSGNLLTGHIPLSLARLPNLQLLELYYNLLEGVVPAELGNLTQLTDIDLSENNLTGGIPESICALPRLRVLQMYTNKLTGAIPAVLGNSTQLRILSVYRNQLTGELPADLGRYSGFNVLEVSENQLTGPLPPYACANGQLQYILVLSNLLTGAIPASYAACRPLLRFRVSNNHLDGDVPAGIFALPHASIIDLSYNHLTGPVPATIAGATNLTSLFASNNRMSGVLPPEIAGAATLVKIDLSNNQIGGAIPEAVGRLSRLNQLSLQGNRLNGSIPATLADLHSLNVLNLSYNALAGEIPEALCTLLPNSLDFSNNNLSGPVPLQLIREGLLESVAGNPGLCVAFRLNLTDPALPLCPKPARLRMRGLAGSVWVVAVCALVCVVATLALARRWVLRARQDGEHDGLPTSPASSSSYDVTSFHKLSFDQHEIVEALIDKNIVGHGGSGTVYKIELSNGELVAVKKLWVSRRSKQEHGHGGGGGCLDRELRTEVETLGSIRHKNIVKLYCCYSGADSNLLVYEYMPNGNLWDALHGGGGWGFGFLDWPTRHRVALGVAQGLAYLHHDLLFPIVHRDIKSSNILLDADFEPKVADFGIAKVLQARGDRDASTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGKKPIEPEFGDTRDIVQWVSGKVAAGGEGEALDKRLEWSPFKEEMVQALRVAVRCTCSIPGLRPTMADVVQMLAEAGPAAGRTAKDAANKKDSSGEPKL >ONIVA03G07530.1 pep chromosome:AWHD00000000:3:5457191:5459054:-1 gene:ONIVA03G07530 transcript:ONIVA03G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPRARHPPSSPQPPPPSSPYSPTPRPSPSLAASVAYLVASAPVPFSRHLHGHAGVPTRAHR >ONIVA03G07520.1 pep chromosome:AWHD00000000:3:5445031:5452334:1 gene:ONIVA03G07520 transcript:ONIVA03G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRMPLLGWWLMLVGSLRLASVWFGFFNIWALRVAVFSQTDMTEIHGRTFGVWTLLTCTLCFLCAFNLENRPLYLATFLSFIYALGHFLTEYLIYHTMAVANLSTVGFFAVLSCNTQMTCLKLIGLHKEVENYFVSCTNLTMVMHRSLKATVLDAGLTAVAHDAVHFDSDLPHYATHGGVLRDPSGGDPGRVVSPPLMWAEALDLLLARLAPRVDYARVAAVSGGAQQHGSVYWKRGAAAVLASLDPARGLAPQLAAALATTESPVWMDSSTAAQCREVEAAVGGALELARMTGCRAHERCTGPQIRKMYQARRRVYDDTERISLVSSFMASLLVGGYACIDETDGAGMNLMDITTRELRRDALEATAPDLEDKIGKLAPAHAVAGTLSPYFVQRFQFSSNCLVVQWSGDNPNSLAGLTLSDPGDLAISLGTSDTVFGITDLPEPSLDGNILPNPVGPKTYMVMLCYKNGSLTREDVRNRYADGSWDVFNKHLENTAPLNGGKLGYYYNEPEILPPLPVGFHRYVVSSSASGSLDEMVEHQINQFDPPSEVRAIIEGQFLSMRGQAERCGLPVPPKRIIATGGASSNQIILKTMASIFGCSVYTVQRPDSASLGAALRAAHGWICNQKGKFVPVSCLHSDRLNRTSLSIKLAAPFGDSEEDIDLLNNYTLLMKKRLEIEQKLVEKFGRHS >ONIVA03G07510.1 pep chromosome:AWHD00000000:3:5437339:5439309:1 gene:ONIVA03G07510 transcript:ONIVA03G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMMRTVIAAVVVGLLAAAHTATTAPEQSYGGATATVAPKQPAAGEDVNKALAAETAAAEAEDAAEEEDAAAALVTGFTAGAANSAPGVTAVMKPVLEKADAGQFYQDVPWIPDPNDEGNPPKSLPAAQVLPAKGGAGAAGSSPPSKEVQVDYYATTQPKKPEEPPTVAAVQKDNCVPPAAPKPAVPATPSTSPPSNKEYAPAAPGVVPVNQPSSPAAPAGVVPAPVQLSSPAAPAVVVPAPVQPSSPATAVPKPPSNDPYAPATSNTPAAEEQKDGLNEKAISDIVREHNMFRTREHVPPIVWNATLAKYAQEYADLRRGDCQLEHSHGPYGENMMFGTGKQWTWKKTVDEWSDEKKSYDYKSNSCKAGAMCTHYTAIVWKNTTAVGCGRVVCTSGDTIMVCSYWPPGNYVGVKPY >ONIVA03G07500.1 pep chromosome:AWHD00000000:3:5432757:5433182:1 gene:ONIVA03G07500 transcript:ONIVA03G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEDVVVAASFFSDSDVHAAATGASNVQLVGGEEEDRPGADGGVEGEDEAVVVVGEGAVADAGAEVVEPAEAAALAAAAEAIQLPPVPCCWMYDRSRSSSSAVHAPRFGFTAALSQHADDLPIARSLDRKGDARVARYK >ONIVA03G07490.1 pep chromosome:AWHD00000000:3:5424614:5430737:1 gene:ONIVA03G07490 transcript:ONIVA03G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGLLTSAGINIALSVLFISLYSVLRKQPANVRVYFGRRIAEEHNRLREAFILERFVPSTGWIVKALQCTEEEILAAAGLDAVVFNRILVFSLRIFSLAAILCVFGILPLNYFGQDIHHVRIPSESLDIFTIGNVKEYKHIARLRLRHLTCAMPNPSHFTVLVRGIPKETKESCSNAIDDFFTKYHGSSYLFHQVVYKVGKVQKIMTGAKKAYRKFKHFTDSTIDQRCRAISYRCCLCGASSNSFQLLATGLEQNQGKSDLQDSSLKLDDQECAAAFVYFRTRYAALVASEILQTSNPMKWVTDLAPEPDDVYWSNLWLPYKQLWIRRIATLLGSIVFMLFFLIPVTFIQGLSQLEQLQQRLPFLKGILEKKYMSQLVTGYLPSVILQIFLYAVAPIMILFSTLEGPISHSERKRSACCKVLYFTVWNIFFGNVLSGTVISQLNVLSSPKDIPVQLARAIPVQATFFITYVLTSGWASLSSELMQLFGLIWNFVRKYILRMPEDTEFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYIVYRNQLLNVYRTRYDTGGLYWPIAHNAVIFSLVLTQIICLGVFGLKESPVAAGFTIPLIILTLLFNQYCRNRLLPLFRTTPAQDLIDMDREDERSGRMDEIHHRLHSAYCQFHDTEDIPLEKIQTVGSDEEQGCSSDKSNGKESFEEPRAELSHPTLNGLPVSRLRHAVKSITFLVRLQKRGLSE >ONIVA03G07490.2 pep chromosome:AWHD00000000:3:5424614:5430737:1 gene:ONIVA03G07490 transcript:ONIVA03G07490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGLLTSAGINIALSVLFISLYSVLRKQPANVRVYFGRRIAEEHNRLREAFILERFVPSTGWIVKALQCTEEEILAAAGLDAVVFNRILVFSLRIFSLAAILCVFGILPLNYFGQDIHHVRIPSESLDIFTIGNVKEYKHIARLRLRHLTCAMPNPSHFTVLVRGIPKETKESCSNAIDDFFTKYHGSSYLFHQVVYKVGKVQKIMTGAKKAYRKFKHFTDSTIDQRCRAISYRCCLCGASSNSFQLLATGLEQNQGKSDLQDSSLKLDDQLEQLQQRLPFLKGILEKKYMSQLVTGYLPSVILQIFLYAVAPIMILFSTLEGPISHSERKRSACCKVLYFTVWNIFFGNVLSGTVISQLNVLSSPKDIPVQLARAIPVQATFFITYVLTSGWASLSSELMQLFGLIWNFVRKYILRMPEDTEFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYIVYRNQLLNVYRTRYDTGGLYWPIAHNAVIFSLVLTQIICLGVFGLKESPVAAGFTIPLIILTLLFNQYCRNRLLPLFRTTPAQDLIDMDREDERSGRMDEIHHRLHSAYCQFHDTEDIPLEKIQTVGSDEEQGCSSDKSNGKESFEEPRAELSHPTLNGLPVSRLRHAVKSITFLVRLQKRGLSE >ONIVA03G07480.1 pep chromosome:AWHD00000000:3:5416793:5420883:-1 gene:ONIVA03G07480 transcript:ONIVA03G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCSQLPGDLVADILRRLPLRSLAAARAVCHAWRAIVDEHSLTTQAAALLPNHLRGLFVCLNESCLHGFFARPSPPATIPGIDLDYDLDDDATIEVHCNGLLLLDRHIVNPATRQWMRLPPVPPYASLPNIMYGDRGLVFDPAASPHYDVLWMPYLILHRLPAASLSDQWPPSPFILHVFSSTTGRWEEKSFLREGDATMGTMADVSLARVPYHCKTHSVYLRGALYMHCQNDCVIKITLNDHKYRVIRLPGDFASNRKTRDPFLGKSKDRVCYVLVTGLSRLQIWLLNETSSYDDNEWVLKHGVDLGPIIQSYPCNHGRQQWIWHNADTKQDKTRELPAVNDMEEFEWAIDKDSDDIISGANESIHHNGEYISAVLGFHPFKDIVFLHDTNLRVVAYDYNKAKVQDLGSHVWAEDPELAWVDGEVVKIKGEEAKIQATNGKKIIAAAGGVDDMTKLSYLHEPGVLQNLATRYELNEIYICYSAWPFTTYTGNILIAVNPFQRLPYLYDPHMMQQYKGAPFGELSPHVSLWQMLHTGKVLHMDRNDYYERDSTSLIVNQSTLFAIR >ONIVA03G07470.1 pep chromosome:AWHD00000000:3:5415429:5416313:1 gene:ONIVA03G07470 transcript:ONIVA03G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNDAGDGDDDKPEMPVVLITGCANGGIGYEYCKAFASLGCRVVATDVPDRVPDLAGLDADHLPLDVTSDESVEGAVARVLAEHGRVDVLVNNAGIGCTGPLAELRGEAVRRAMDVNFLGQLRMVRAVAPHMASRRSGRVVNVGSVVGTAATPWAGPYCASKAAVHAATDALRVELRPFGVHVVKVVPGAVRSGLGHANTAQLAGGQAEWRLYREFAAAIAERARASQAGGATDGGVFAAHVARRVMSARPPREIVYGNMTLLFAALAAAPLWARDAFFAKRFGLDKMLPPR >ONIVA03G07460.1 pep chromosome:AWHD00000000:3:5411452:5411895:-1 gene:ONIVA03G07460 transcript:ONIVA03G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEEAAAAPATVMFSWEQELGVPKQKMASDDDMPESPRKAPPPPARRLSVPPPPGRMLMAGSKSFSKARAVRPEDDPFLAAYLACTKSSNGGGGGVARESKGQRRSRWAGLGLGLGLGLSCKSSNGVVEDSMVKMAKLPEVHPRDA >ONIVA03G07450.1 pep chromosome:AWHD00000000:3:5399845:5401233:-1 gene:ONIVA03G07450 transcript:ONIVA03G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLPDDVLRHLPRRSLAASRCVCQSWRVIIDDRSLLATAALLPCTLRGIFVQIGVPTLSGFFARPSPAGTRRPPIPGFLDLDYLDTNEIEKSLLTIVDHCNGLILLDHHVVNPATRQWTRLPPYPPESPGSDIILDGYHALVFDPAMSPHYQVFLMPYVLRRATVSGQWPPSPLLLHVFSSRTEPEGSTWRWEERSFVREGNATMGTIDEVCSSSGWEPFDTYSVYFRGSLYVHCQNNCVIRITIANHRYRIIKLPGDFVGNQNISVDPYLGKSQEGVYYALVIGLCRLQIWFLKEYQSSYSSYSNSYCGGINGDGGGMETTTMDHEHEWVLKHDADLGPVLAAGYTLNDGGQQWIWHNIDTKKKNKESLVKEEEFEWNDSDDENGSAGEDRQYKGYISQVFGFHPFKEIVFLYDTDTRVVAYHYNRSKVQDLGVLQVRERVSRSFSYTPCWMPDLPGMHN >ONIVA03G07440.1 pep chromosome:AWHD00000000:3:5397323:5398547:1 gene:ONIVA03G07440 transcript:ONIVA03G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESCYVRRLSDLLQEQQEPFLLAGGASLADACRRRLLAFCHRRAAVSNKSRALGGLGAAVFCGAAVRRALLAGCFSCGARQSFRRLRHAGAGDIAAGCDVDDDEEEECARQLSPMSVLDLDINSDDESSSMLGVGHREKDDESPSTSGKSSPPPLPEQNPLDAAAAPCFTFYEAGKNCKAETGDEEEYETTRSKLEEQMIISSWERISGDISRIPALVELDLTGSLQQWRQLREEESSQVGASIEAMIFEEMRVEAVRDMMLVA >ONIVA03G07430.1 pep chromosome:AWHD00000000:3:5391496:5392002:1 gene:ONIVA03G07430 transcript:ONIVA03G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPFPSDSDTALEAFLADIGFGAPCPEAAPEPSPSHPDTTAAAATDEPVMAGDDQADEERRRRLRRRISNRESARRSRARKQRHLDELRAKASALRATSRDLVARLRGARARAALVALTNAHLRDEAGKLARRLAAARRVIALRQLYSAAAAGGGFEMQALASLIA >ONIVA03G07420.1 pep chromosome:AWHD00000000:3:5383372:5383731:1 gene:ONIVA03G07420 transcript:ONIVA03G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRAATSSPRCASFSCNRGGAHAHLGQSCGRPLGRAAGCLAAAFFASLERCSCVEFPTDDDDDHPPRSRDVVVVSEAAPLLPRATTAAPKKSTSTTTAGKGKISRGGFRCCDNTTTAN >ONIVA03G07410.1 pep chromosome:AWHD00000000:3:5379096:5379554:-1 gene:ONIVA03G07410 transcript:ONIVA03G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAPRLLIVVVSVLFTAGIIASAAAARDLTADPAGQAPPAYDFGIPAGFFVPGTNNPYNGDPAAWAAGYGSAAAGAAGAAAAAGDVGGFGNGGAEAPSMVCSDKGPCNGKKLTCPKKCFVSFSRSGNGYAAGGGGGGCSFDCSTKCEATC >ONIVA03G07400.1 pep chromosome:AWHD00000000:3:5375495:5379616:1 gene:ONIVA03G07400 transcript:ONIVA03G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTRRRRRRQPAPGAPLPSDAINDSGANPEYNLDEPTMEEKLATLNLLNGGGDGTAGEATQEQPLPVVMPPSADSVHVLLKQALRADDHASLLNCLYNKDHKVIVNSISLVTPADAVKLLKFFILLMQSRGAVLVSLLPWLQSLLSQHMSSIVSQESSLLLLNSLYHLIDARTSTFKSSLQLSTCLDYLFSEIDDDGSGEEEGSPPIIYEDKDTDDEESEVDDMETSGEGEDLGGVTDASEHSDGSEVMSD >ONIVA03G07400.2 pep chromosome:AWHD00000000:3:5376051:5379616:1 gene:ONIVA03G07400 transcript:ONIVA03G07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLATLNLLNGGGDGTAGEATQEQPLPVVMPPSADSVHVLLKQALRADDHASLLNCLYNKDHKVIVNSISLVTPADAVKLLKFFILLMQSRGAVLVSLLPWLQSLLSQHMSSIVSQESSLLLLNSLYHLIDARTSTFKSSLQLSTCLDYLFSEIDDDGSGEEEGSPPIIYEDKDTDDEESEVDDMETSGEGEDLGGVTDASEHSDGSEVMSD >ONIVA03G07390.1 pep chromosome:AWHD00000000:3:5369472:5375403:1 gene:ONIVA03G07390 transcript:ONIVA03G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIMSLPDLFEKLLGDDQDSWPAEANLLLAAHDGNLRRIKEIAATLDDDGEGIAATVARTAFHGMNALHAARSLHVYRYLLEVANMDVNKPDTTPGRKTPLERAIAGGDLPSVRYLIDHGADIHHEREGNITFLHSAAKKGRTEIVKLLLSRGAHVDGKSDHGTPLHFAAIKGYESTVKILLEHQADPNKVMPSSQATPLSAALFTTSLPCVKLLIQAGADVNATNNPLARAAGSGLTEAIKLLLKAGANPNCPDTHGRMPIELAAVYGTREDVEILFPLTNPIPTVADWSVDGVITYANLERKKLEDDDYVNTKMSDLKQKGNEAFDEQDYEKASVWYTQALEVDPCDATTLLKRCLCWLRMGEGKKAVKDATTCAKHHPKLSEAYHRLGEALMLEKDYEKACVALTHGIELDPESDEMDKLFWEAMDLKN >ONIVA03G07390.2 pep chromosome:AWHD00000000:3:5369472:5375403:1 gene:ONIVA03G07390 transcript:ONIVA03G07390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIMSLPDLFEKLLGDDQDSWPAEANLLLAAHDGNLRRIKEIAATLDDDGEGIAATVARTAFHGMNALHAARSLHVYRYLLEVANMDVNKPDTTPGRKTPLERAIAGGDLPSVRYLIDHGADIHHEREGNITFLHSAAKKGRTEIVKLLLSRGAHVDGKSDHGTPLHFAAIKGYESTVKILLEHQADPNKVMPSSQATPLSAALFTTSLPCVKLLIQAGADVNATNNPLARAAGSGLTEAIKLLLKAGANPNCPDTHGRMPIELAAVYGTREDVEILFPLTNPIPTVADWSVDGVITYANLERKKLEDDDYVNTKMSDLKQKGNEAFDEQDYEKASVWYTQALEVDPCDATTLLKRCLCWLRMGEGKKAVKDATTCAKHHPKLSEAYHRLGEALMLEKDYEKACVALTHGIELDPESDEMDKLFWEAMDLKN >ONIVA03G07390.3 pep chromosome:AWHD00000000:3:5369472:5375219:1 gene:ONIVA03G07390 transcript:ONIVA03G07390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIMSLPDLFEKLLGDDQDSWPAEANLLLAAHDGNLRRIKEIAATLDDDGEGIAATVARTAFHGMNALHAARSLHVYRYLLEVANMDVNKPDTTPGRKTPLERAIAGGDLPSVRYLIDHGADIHHEREGNITFLHSAAKKGRTEIVKLLLSRGAHVDGKSDHGTPLHFAAIKGYESTVKILLEHQADPNKVMPSSQATPLSAALFTTSLPCVKLLIQAGADVNATNNPLARAAGSGLTEAIKLLLKAGANPNCPDTHGRMPIELAAVYGTREDVEILFPLTNPIPTVADWSVDGVITYANLERKKLEDDDYVNTKMSDLKQKGNEAFDEQDYEKASVWYTQALEVDPCDATTLLKRCLCWLRMGEGKKAVKDATTCAKHHPKLSEAYHRLGEALMLEKDYEKACVALTHGIELDPESDEMDKLFCKLVVILACETQE >ONIVA03G07380.1 pep chromosome:AWHD00000000:3:5360783:5361118:1 gene:ONIVA03G07380 transcript:ONIVA03G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHAADARRPAHEAALRAVQKPPAKPWRASPSAAPGPAPPKVYRVEPREFRDLVQRLTGAPAPPPPRQPVAPAQPVPVRPAVDEAQLYAPWCGFPMPMAASLDAAHGALI >ONIVA03G07370.1 pep chromosome:AWHD00000000:3:5360752:5361078:-1 gene:ONIVA03G07370 transcript:ONIVA03G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGKPHHGAYSWASSTAGRTGTGCAGATGCLGGGGAGAPVSLCTRSLNSLGSTRYTLGGAGPGAADGEARHGLAGGFCTALSAASCAGRLASAACISIELKKKKKLR >ONIVA03G07360.1 pep chromosome:AWHD00000000:3:5354104:5355728:-1 gene:ONIVA03G07360 transcript:ONIVA03G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGAAMVVTVNGGNGKDSFGNMARPPSRSLLPLKLLKPFLIFAVLATGFLAAAAAALLLLGGGAGASYVPNVLSATAWQQQPRCAQQQEDGGRAALERWTRLPAASAWHNMSDEELLWAASMEPRVRRYPYRRVPKVAFMFLTRGPLPLAPLWERFFNGSGGRELFSIYVHSTPGYNPDFPTTSVFYRRQVPSQVAQWGQTNMFDAERRLLANALLDGGNERFVLVSESCVPLHGFPAVYGYLTASRHSFVGAFDDPGPHGRGRYRAGLAPEVSPEQWRKGAQWFEVDRSLAVFVVGDERYYPRFRELCRPPCYVDEHYLPTVLSIEAAGRIANRSVTWVDWSRGGAHPATFGGADVGEAWVRKAAAGQRCLYNGQPSEVCFLFARKLAPSALQPLLALPPTLLGY >ONIVA03G07350.1 pep chromosome:AWHD00000000:3:5349263:5350147:1 gene:ONIVA03G07350 transcript:ONIVA03G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSTAAYWLAEHPAIVSFRWSPTGLWFSTWAFLLGFLASYVALCLALDAILAAFLRRRRPLPLGPLPAAHALLMAAVSAAIFAGTLLSALAEIRDTRWSWRGRSRTTPFRWLLCFPPGTRSSGRVFFWSYAYYLSRYLHAARGLFAVLQRRRGAAARVFAHAASVAMAFLWLEFSQSFQVLAILASTLAHAVAFGFRFWVGAGLPAARGAPVALACQCALLGCNLLCHVGVVWMHFGGVAGGGCSGIGAWVFNTLLNAALLWVFLHCYGKRGVCDDDGGATAASARHDKDL >ONIVA03G07340.1 pep chromosome:AWHD00000000:3:5347891:5353936:-1 gene:ONIVA03G07340 transcript:ONIVA03G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGMDFPNRIDYRFRLGFFEMLSRTPPPPPSAPQPVAPTLLRRPQIPTPLSAASWPSAASALSSGSPRPSAGMFWEISPPPPPPPASGVRLAQDSTTHVSESTVPPRSFVDAVSGSQLQSACSPTTAASHIKAYDATPRIHAGRARMDRGQTSALVERERAFNPWPMARFKARRRRWKESRPLLGGVQG >ONIVA03G07330.1 pep chromosome:AWHD00000000:3:5328835:5330669:-1 gene:ONIVA03G07330 transcript:ONIVA03G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPIPSPASNLLRLPLPLPLRLPLRRTQAANPPPSTRLVLRRPPIRAARSDRPQRISPSMDFSSSTLTAALVGCCTSTAVLLLLLPITDPFNLVCFCPCVRGSSHPTMAANVGESTSGSSSGGADSGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLHIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGADIPNRPAGQRPATAPQANPNTHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHGHGHAFHGGHAHAAAAPRHGPPGQQQQADVYLKALLILVGFLVIASLITF >ONIVA03G07330.2 pep chromosome:AWHD00000000:3:5328835:5330692:-1 gene:ONIVA03G07330 transcript:ONIVA03G07330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESTSGSSSGGADSGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLHIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGADIPNRPAGQRPATAPQANPNTHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHGHGHAFHGGHAHAAAAPRHGPPGQQQQADVYLKALLILVGFLVIASLITF >ONIVA03G07320.1 pep chromosome:AWHD00000000:3:5323256:5327814:-1 gene:ONIVA03G07320 transcript:ONIVA03G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDMALPQAFELLLGRDRDAWPRGAAFLVAAHYGDVREMKRIAKELDEDGKGIEATVANTSFLGMNALHALGWLGKVPAYRYLVEEVKMDVNKADTAQGFTPLEHAVYHGRLPATRYLLDHGADVHQIRSTGNVSLLHSAAVKGFSEVAKFLLSRGVNVDAESEMGTPLALAAFKGYDSTVKVLLEHNADPNKVTNKALGAPLDLALTSSSVSCVKLLVQAGAEVKVEGPNNHLVRAAEKGLTEAIKCMLEAGANPNVPDRLGRMPIELAAEYGTREDVEILFPFSSAIPTVANWSVDGIINHVQSEIKQLEDDNFIKKRRSDMKQQGDAAFKKQDYLNASVFYTQALKVDPFDGTLFSNRSLCWLRMGDGERALDDGNACEKLRPKWAKSYYRQGAALMFLKEYERAHRALGRALELDPESEEIENLYWESMELCG >ONIVA03G07310.1 pep chromosome:AWHD00000000:3:5317523:5323280:1 gene:ONIVA03G07310 transcript:ONIVA03G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGSERDSQTMYSRDPGSASYPMSSALGNLLYLNNPSSGPYTEFSGILQPQQNCMEMPGPGHASAMSQDPSSRESDMLASHQGQRSFSHVKDMKNEMLMHMMDGAQGSGSELIHDDAHTGSQLEFGVLNNHNSSSVPSMQSQGLSLSLNTQIMAPSLPYWSIKPDMLTPQSYHDNLRGEDMRMKNLQSEASRAIRNSRYLKAAQELLDEVVSVWKSIKQKAQKEKVESGKADGKETDGGPKSEGVSSNPQESGANAAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQTVVSSFDVVAGPGSAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGDLEQDSNSSSDNAPRSKDKMASSEDKEDLKNSRARICETSQLSESRTSIGAMNVGGAPVGFQNEPNPDDSFMNLMLKDQRSNEVDGGLLLHNTVAQHSDENARFMAYHLAELGRYGNGNVSLTLGLQHSSSNLVPNAQPGFPGVNEDDIYNATAPLGVTVASSDYDSMNQMDQRQRFEHSPLLHDFVA >ONIVA03G07310.2 pep chromosome:AWHD00000000:3:5317523:5323280:1 gene:ONIVA03G07310 transcript:ONIVA03G07310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGSERDSQTMYSRDPGSASYPMSSALGNLLYLNNPSSGPYTEFSGILQPQQNCMEMPGPGHASAMSQDPSSRESDMLASHQGQRSFSHVKDMKNEMLMHMMDGAQGSGSELIHDDAHTGSQLEFGVLNNHNSSSVPSMQSQGLSLSLNTQIMAPSLPYWSIKPDMLTPQSYHDNLRGEDMRMKNLQSEASRAIRNSRYLKAAQELLDEVVSVWKSIKQKAQKEKVESGKADGKETDGGPKSEGVSSNPQESGANAAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQTVVSSFDVVAGPGSAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGDLEQDSNSSSDNAPRSKDKMASSEDKEDLKNSRARICETSQLSESRTSIGAMNVGGAPVGFQNEPNPDDSFMNLMLKDQRSNEVDGGLLLHNTVAQHSDENARFMAYHLAELGRYGNGNVSLTLGLQHSSSNLVPNAQPGFPGVNEDDIYNATAPLGVTVASSDYDSMNQMDQRQRFEHSPLLHDFVA >ONIVA03G07300.1 pep chromosome:AWHD00000000:3:5308368:5308908:1 gene:ONIVA03G07300 transcript:ONIVA03G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGECSSVRTFFLSRLSREAKILQSPGLIILMRSVQFVASQTVEFVQDLAQQYSAELSPVLFGDGDCFLVYVQRYFINSCAE >ONIVA03G07290.1 pep chromosome:AWHD00000000:3:5305666:5308155:1 gene:ONIVA03G07290 transcript:ONIVA03G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51000) TAIR;Acc:AT3G51000] MGGEVVRHWNADVNGISLHVAEQGPADGPPVLLIHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSTVPDDPAAYTVFHIVGDLVALLDHLALPKVMVVGHDLGAQVAWHLCLFRPDMLLAVVNLGVPFFPRGPSSLSEAFAGRDGLYIMQFQEPRRAERAFARYDVATVLKKFFSIEIDDLTAPPGVEIIDFLEARSTPLPWISEEELGQYAEKFQKSGFTGPINYYRMMDT >ONIVA03G07280.1 pep chromosome:AWHD00000000:3:5304400:5304978:1 gene:ONIVA03G07280 transcript:ONIVA03G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDPQEAEAIMAGNKRSSDAEIETETRKKMKEEVTAASPTADKKKKKKILPKCEGGEILKKKKLALDSMSLWKFKDMPGAIDLWIESRARAEAAIAAGKKKRKKLFKTRVPNGRVEFMMKHRFSSTEPLSDEELANCSASYRQLYGIAKFIDRKMNDYEQLLIDQYVKQGYAEEETEATDDDESLLEQY >ONIVA03G07270.1 pep chromosome:AWHD00000000:3:5300236:5302022:1 gene:ONIVA03G07270 transcript:ONIVA03G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSMLPSKWLPRALALVVPPDGYGDSTIFHIVGRTARGVLMVCAVGVQIRGSKISTIGRTIAIRSYISTIIKIEDVFVGTLEPWRAERAFAHYDVPTVLK >ONIVA03G07260.1 pep chromosome:AWHD00000000:3:5297172:5297732:1 gene:ONIVA03G07260 transcript:ONIVA03G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDRQEAEAPVAGIKRVKEEEATASPSGGEKKKILPNWRKSSIPCEGSEILKKKKEAIAARPLWVSRDVPGAMECWIEEREKALAEEADIASGKKKRKKVVKYKMPNEVIQQMMRYPDTYPECTEEELARRSASNRQLHRLRMFIDGKMFAYEQTLIDQYLKHGYAFDEAEISDDEDEEEEEQK >ONIVA03G07250.1 pep chromosome:AWHD00000000:3:5292823:5296633:1 gene:ONIVA03G07250 transcript:ONIVA03G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKALSKIACNRLQKELAEWQVSPPSGFKYRVSDNLQRWVIEVTGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPQDNDRYVRNCRNGRSPKETRWWFHDDKV >ONIVA03G07240.1 pep chromosome:AWHD00000000:3:5278680:5287946:1 gene:ONIVA03G07240 transcript:ONIVA03G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GI84] MGMLRWGAHLLLLLLAAATWTCAGAGAGVTSEYRRKLEATVDMPLDADVFRVPPGYNAPQQVHITLGDQTGTAMTVSWVTANELGSNTVRYGRSPEKLDRAAEGSHTRYDYFNYTSGFIHHCTLTGLTHATKYYYAMGFDHTVRTFSFTTPPKPAPDAPFKFGLIGDLGQTFDSNSTLAHYEANGGDAVLFVGDLSYADNYPLHDNNRWDTWARFVERSVAYQPWIWTAGNHELDYAPELGETVPFKPFTHRYPTPYRAAGSTEPFWYSVKIASAHVIVLASYSAYGKYTPQWTWLQEELATRVDRKLTPWLIVLMHSPWYNSNNYHYMEGETMRVQFERWLVDAKVDVVLAGHVHSYERSRRFANIDYNIVNGKATPAANVDAPVYITIGDGGNIEGIANNFTVPQPAYSAFREASFGHATLEIKNRTHAHYAWHRNHDGAKAVADAVWLTNRYWMPTNDDAACVLAVVVVVLAFLSPAARGGVTSTYRRSLQALPDMPIDADVFRPPPGFNAPEQVHITLGDQTGRAMTVSWVTPKLPDSNVVRYGLRADNLTHTANGTFRRYSFGRKYRSGFIHHATLTGLDYGTKYHYAVGSGDTASARSFSFTTPPKPGPDVPYKFGLIGDLGQTFHSNDTLSHYEACGGDAVLFIGDLSYADNHPGHDNNRWDTWARFVERSVAYQPWIWTTGNHELDFAPELGETTPFKPFTNRYPTPFGASGSTRPLWYSVRMASAHVIVLASYAAYGKYTPQWRWLEGELRRVDRAVTPWLIVCVHSPWYSSNGYHYMEGESMRVEFERWLVDAKADVVLAGHVHSYERTRRVSNVAYDIANGMATPVFNRSAPVYINIGDGGNIEGLADDFRWPQPDYSVFREASFGHATLQIVNRTHAFYEWHRNSDGVKVVADHAWFTNRYWFPTDTN >ONIVA03G07240.2 pep chromosome:AWHD00000000:3:5279145:5287946:1 gene:ONIVA03G07240 transcript:ONIVA03G07240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GI84] MGMLRWGAHLLLLLLAAATWTCAGAGAGVTSEYRRKLEATVDMPLDADVFRVPPGYNAPQQVQSSSVSTTTQRATISHLFLCHQVHITLGDQTGTAMTVSWVTANELGSNTVRYGRSPEKLDRAAEGSHTRYDYFNYTSGFIHHCTLTGLTHATKYYYAMGFDHTVRTFSFTTPPKPAPDAPFKFGLIGDLGQTFDSNSTLAHYEANGGDAVLFVGDLSYADNYPLHDNNRWDTWARFVERSVAYQPWIWTAGNHELDYAPELGETVPFKPFTHRYPTPYRAAGSTEPFWYSVKIASAHVIVLASYSAYGKYTPQWTWLQEELATRVDRKLTPWLIVLMHSPWYNSNNYHYMEGETMRVQFERWLVDAKVDVVLAGHVHSYERSRRFANIDYNIVNGKATPAANVDAPVYITIGDGGNIEGIANNFTVPQPAYSAFREASFGHATLEIKNRTHAHYAWHRNHDGAKAVADAVWLTNRYWMPTNDDAACVLAVVVVVLAFLSPAARGGVTSTYRRSLQALPDMPIDADVFRPPPGFNAPEQVHITLGDQTGRAMTVSWVTPKLPDSNVVRYGLRADNLTHTANGTFRRYSFGRKYRSGFIHHATLTGLDYGTKYHYAVGSGDTASARSFSFTTPPKPGPDVPYKFGLIGDLGQTFHSNDTLSHYEACGGDAVLFIGDLSYADNHPGHDNNRWDTWARFVERSVAYQPWIWTTGNHELDFAPELGETTPFKPFTNRYPTPFGASGSTRPLWYSVRMASAHVIVLASYAAYGKYTPQWRWLEGELRRVDRAVTPWLIVCVHSPWYSSNGYHYMEGESMRVEFERWLVDAKADVVLAGHVHSYERTRRVSNVAYDIANGMATPVFNRSAPVYINIGDGGNIEGLADDFRWPQPDYSVFREASFGHATLQIVNRTHAFYEWHRNSDGVKVVADHAWFTNRYWFPTDTN >ONIVA03G07240.3 pep chromosome:AWHD00000000:3:5278680:5288845:1 gene:ONIVA03G07240 transcript:ONIVA03G07240.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GI84] MAPSMDIPHKPFRLVQVNIKMYATLSYLRDVSFLACPTKQSSTRSRVQLAHSHHGDAAVGSSPPPPPPRRGDVDVRRRRRRGDERVPAEAGGDGGHAARRRRLPRAAGLQCSAAGPHHAGRPDRPRHDRLLGDAEAPRQQRRPLRPPRRQPHPHRQWHLPALLLRAQVPVGIHPPRHPHRPRLRHQVPLRRRLRRHRQRQVLLLHDAAQARARRAVQVRAHRRPRADVPLQRHAEPLRGVRRRRGAVHRRPVVRGQPPGARQQPVGHVGAVRGAERGVPAVDLDDGEPRAGLRAGAGGDDAVQAVHEQVPDAVRGVGEHAAAVVLGEDGVGARDRAGVVRGVRQVHAAVEVAGGGAEARGQGGDAMADRVRALAVVQQQRIPLHGGGVDARGVRAVARRRQGRRGARRARPLVRADAAGVERGVRHRQRDGDAGVQPVGAGVHQHRRRGEHRGARRRLPVAAAGLLGVPGGQLRPRHAADRQPDARLLRVAPQQRRRQGRRRPCLVHQPILVSHRHQLATLNFLRPTSSVM >ONIVA03G07230.1 pep chromosome:AWHD00000000:3:5272979:5276383:1 gene:ONIVA03G07230 transcript:ONIVA03G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GI82] MAMGAGLCSSSIIVVVVVLIVAAAAEAMRCPGTTSVYRRPKKKAADMVDMPLDADVFAEPAGRNAPQQVHITLGDQTGTAMTVSWVTMEEAGNSTVLYGLAMDKLDMAADATVTTYTYYNYTSGFIHHCTLTNLQYGVKYYYAMGFGFTVRSFWFTTPPRPGPDVAFRLGLIGDIGQTFDSNATLTHYEASGGDAVLFMGDLSYADKYPLHDNNRWDTWGRFSERSVAYQPWIWVAGNHEIDYAPELGETKPFKPFTHRYPTPHLASASPEPYWYSVKLASAHIIVLSSYSAFAKYTPQWKWLEAELGRVNRSETPWLIMASHSPWYNSNNFHYMEGESMRAQLEKMAVDARVDLVFAGHVHAYERSFRVSNIRYNITDGLCTPVRDRRAPVYVTIGDGGNIEGLADEMTWPQPPYSAFREDSFGHAVLDIKNRTHAYYAWYRNDDGAKVAADAVWFTNRFHMPNHDDSTPTPTKRHYYG >ONIVA03G07220.1 pep chromosome:AWHD00000000:3:5263552:5265327:-1 gene:ONIVA03G07220 transcript:ONIVA03G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAFAAQVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANIGIHAGLIAEVTPPWFVLAIGAAMNLGGYLMLYLSVTGRVGARTPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVMLGLLKGFVGLSGAIFTQLYLSFYGGGGSNTKPLILLVGWLPAAISVAFLGTIRIIRAPRSPTAARREYRAFCGFLYVSLALAAYLLVVIVLQKRFKFTRAEYAVSAAVVFAALLAPFAIVLREEAALFRKTPPKEEADDVPALSAATKPSPAAAETPPATAMERVVRALRPPPRGEDYTILQALVSVDMVLLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSIATLVSLISIWNYLGRVSAGFASDALLSRYGISRPVVVTGVLLLTVAGHLLVAFGVPGSLYAASVLIGFCFGAAYPMILAIISEVFGLKYYSTLYNVGNVACPVGSYILNVRVAGRMYDREARRQGAVAVAAGKKELTCIGVKCYKDSFLIVAGVTVAAAVVMTALAWRTRKFYAGDIYARFREEAAAGGGGAGNGTGAGEDEKVESKEEKAVMTPTST >ONIVA03G07210.1 pep chromosome:AWHD00000000:3:5258288:5260027:1 gene:ONIVA03G07210 transcript:ONIVA03G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAAAAFAAHVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANVGIHAGLIAEVTSPWFILAIGAAMNLGGYLMLYLSVTGRVGAKTPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVILGLLKGFVGLSGAIFTQLYLAFYGGGNTKPLILLVGWLPAAVSLAFLGTIRIIRTPRSPAAARREYRAFCGFLYVSLALAAYLMVAIILQKRLRFTRAEYGVSAAVVFAMLLLPFTIVVREEAALFKNKSPEEEEADDVPRALSVVTAPAKPAAQPSPESQRPTTATARILQALRPPPRGEDYTILQALVSVDMVLLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSVATFVSLISIWNYLGRVAAGFASEALLARHRLPRPLILAVVLLLTAPGHLLIAFGVPGSLYAASVVVGFCFGAAQPLILASVSELFGLKYYSTLYNFCGTASPVGSYILNVRVAGRMYDREAARQGHGVAAAAGKKALTCIGVRCYRESFLVMTAVTVAAAAVAAVLAWRTRVFYAGDIYAKFKDGKTELGADSNGSGTAKE >ONIVA03G07200.1 pep chromosome:AWHD00000000:3:5252383:5254271:1 gene:ONIVA03G07200 transcript:ONIVA03G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRGGGGGGRSSFRGSSTGTKAVPRAAPATTPAAKSTGTAPATKNTSSGSNDSVIGSIGSAFFDGWGWGTGYGMVQRGMDAVFGPRTVNVVDATSTSSSPAPAAAAAAHPMLDACGAHKKAFQECVAQQGIHVSRCQPYLDMLNDCRRDSAASAAVGVATTTRIL >ONIVA03G07190.1 pep chromosome:AWHD00000000:3:5246138:5250869:1 gene:ONIVA03G07190 transcript:ONIVA03G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G62710) TAIR;Acc:AT5G62710] MDSTSNKMKKMEPTSGGRHGTPGQVVGLCAALVTAMAASLLCSTSMALTPDGQALLELKLSFNGSSQRLTTWKPTDPNPCGWEGISCSFPDLRVQSINLPYMQLGGIISPSIGKLDKLQRIALHQNSLHGPIPSEIKNCTELRAIYLRANYLQGGIPSEIGELIHLTILDLSSNLLRGTIPASIGSLTHLRFLNLSTNFFSGEIPNVGVLGTFKSSSFVGNLELCGLPIQKACRGTLGFPAVLPHSDPLSSAGVSPINNNKTSHFLNGIVIGSMSTMALALIAVLGFLWICLLSRKKSIGGSYVKMDKQTIPDGAKLVTYQWNLPYSSGEIIRRLELLDEEDVVGCGGFGTVYKMVMDDGTAFAVKRIDLNREGRDRTFEKELEILGSIRHINLVNLRGYCRLPTAKLLIYDFLELGSLDCYLHGDAQDDQPLNWNARMKIALGSARGLAYLHHDCSPVIVHRDIKASNILLDRSLEPRVSDFGLARLLVDNDAHVTTVVAEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDACFLKKGLNIVGWLNTLTGEHRLEEIIDENCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELYYEQHLEL >ONIVA03G07180.1 pep chromosome:AWHD00000000:3:5231270:5244200:1 gene:ONIVA03G07180 transcript:ONIVA03G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAMEKQQQPGGEEEEEVISSVRYRGWKSMPYVIGNETFEKLGTIGTTANLLVYLTTVYHLPSVRAATLLNFFSGTTNLAPLLGAFLSDTFLGRYTTIAAASLASCLGMLVLTLTAAIPSLHPPPCTASSSSSSCQGPTHGQLAALLAAFAFLVVGAGGIRPCNLAFGADQFDPRTDSGRRGIASFFNWYYFTFTVAMMLSATLIIYLQSNVSWAIGLAVPAALMAISCALFFMGTRLYVRVRPEGSPFTSFARVIVAAVRKRRVPAPASADDLFDPPHQSKLVAKIKHTDQFRWLDKAAVVTAEDAVVDGMSAAAANPWRLCTVQQVEEVNLLAWIIPVWSSSIVYYVMLTQLGTYTVFQVMQSDRRVGRSGFEVPAGSMVVFNMVALTAWLPVYDRAVVPALRRVTGREEGITAIGLNELCYKESPESMRSVAGALSPLALAVASYASGAMVTAVERATGWLAQDIDKGRVDLFYLVVGAMSAANLAYFVVCALWYRSKNIADHGGVELLQTSSKHNADAPPAMAV >ONIVA03G07180.2 pep chromosome:AWHD00000000:3:5239820:5243769:1 gene:ONIVA03G07180 transcript:ONIVA03G07180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPSQEQTKLAPEKQQHISGHGEQAVRYHGWKVMPYVIGNETCERLGTIGTTANLLVYLTTVFHIPSAAAATLLNVFSGTSNLAPLLGAFLCDAYLGRYATLAAASIASFLGMLVLTLTAAIPSLHPPPCASSSSTSCQGPTHRQLAALLASFAFLVVGAGGIRPCNLAFGADQFDPATAAGRRGIASFFNWYYFTFTIAMMVSATLIIYLQSNINWAIGLAVPTALMALSCALFFMGTRLYVRVRPEGSPFTSFAQVAKIKHTDQFRWLDKAAVVTAEDAVVDGMSAAAANPWRLCTVQQVEEVNLLAWIIPVWSSSIVYYVMLTQLGTYTVFQVMQSDRRVGRSGFEVPAGSMVVFNMVALTAWLPVYDRAVVPALRRVTGREEGITAIGLNELCYKESPESMRSVAGALSPLALAVASYASGAMVTAVERATGWLAQDIDKGRVDLFYLVVGAMSAANLAYFVVCALWYRSKNIADHGGVELLQTSSKHNADAPPAMAV >ONIVA03G07170.1 pep chromosome:AWHD00000000:3:5200477:5231469:-1 gene:ONIVA03G07170 transcript:ONIVA03G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWRPINRVQQETDRHKDIDSIQGHKRADAAAAARGRRRRGADRPARRGGGTGVDAIIILRRLEYHENERYPRVPDPMGTGMGVIFCSPENVVGNNHEGIEWRAQAEKGWDELRWAWSITCQLRNLPPIVSSPPILPPPPRLRHHRTSSLASRRNAPRSAAAAAGEDDYDDDERCCVKRR >ONIVA03G07160.1 pep chromosome:AWHD00000000:3:5197402:5200422:-1 gene:ONIVA03G07160 transcript:ONIVA03G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCIGPRRNFAKNGLLGILRSRHAAPSSPSQPTTTSRSIPVVLPSAPSSKPPPPTQTAPPVPVVISEPPPPQPQPEPQPAAPSQPPPPQEQPSPPPPASSNTTQQPPPPQQRQQSRAKKPAHIKRISSAGLQVESVLRRKTENLKDKYSLGRKLGQGQFGTTYLCVDKANGGEYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNIISIRGAYEDAVAVHVVMELCAGGELFDRIVRKGHYTERQAAGLARVIVAVVESCHSLGVMHRDLKPENFLFVGNEEDAPLKTIDFGLSMFFRPGEVFTDVVGSPYYVAPEVLKKSYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFEQVLHGTLDFESDPWPNVSDGAKDLLRKVLVRDPKKRLTAHEVLCHPWLQMSGLAPDKPLDSAVLSRLRQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINYEELKAGLERVGANMKESEIYQLMQAADIDNSGTIDYGEFIAATLHLNKVEREDHLYAAFQYFDKDGSGYITSDELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYNEFVAMMQKTTTGFGKKGGHNFSGFRDALKSHS >ONIVA03G07150.1 pep chromosome:AWHD00000000:3:5189026:5190153:-1 gene:ONIVA03G07150 transcript:ONIVA03G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKATASNPLGGSTILEKLSKTNHAILGLVRGAHLEGHLTGTTAAPTAIITKQGDNELTKDRGPGTQIQSMKNGDEMTAAVKMVVDDEDLISYFIASSTTTLSRKTEPMINFWERHTPSGSALSSAWPVDKPPKRQSIWPIEDVAANRAGTMATMTTGTMEATTVQTTTEIMETVPPAGAKEELIPGASANSAIKEDIYTNLGIYFSLIQICGTST >ONIVA03G07140.1 pep chromosome:AWHD00000000:3:5178426:5184790:-1 gene:ONIVA03G07140 transcript:ONIVA03G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRHCNIVWFVGYCAETSTNVVRKGRENMFVDSKEKLLCFEYLRNGSLRSHLDTAGSCVLQWQICYQIIKGICLGLHYLHERKIIHLDLKPDNVLLDDSMVPKIADFGLSRLLSEEKSRMVTERILEQVKSDIYSLGLIIREMVMGPNNEGTTTENVLEIWRHRLEQDSSKMRQTPIEMRYRQIIEACIEISETCIAGKPDKRPTTGDILRRPEKEEAGNWSIVPVTPVVDWISSLSRLMESIKRMAVTSPEPRTIRGEESKALQLQPGPSQPGDRRPADKSFWLDATSNAKCYMLSSRLLKVTWGESPEHWKWITLPDSRFAECAELLNVYWLAVIGEIATEDLTPGTRYAVYLVYKLTGTAVGLRGGQTQRSSLRLYGEITVSTGKVSVDVVPAAARGMAAGVAYPVARGDGWMELKLAEFAADEKLLGEKKVIVDFREENDHVKKSGLVVEGMEFRPNK >ONIVA03G07140.2 pep chromosome:AWHD00000000:3:5178428:5184829:-1 gene:ONIVA03G07140 transcript:ONIVA03G07140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGKQCNTLEDLLFDDIGDPLPIKLSVLQSITNDFSEEQIIGSGGFGIVYKGVLGDELVAVKKLYSFHAIKDEPFQREVDCLMRIRHCNIVWFVGYCAETSTNVVRKGRENMFVDSKEKLLCFEYLRNGSLRSHLDTAGSCVLQWQICYQIIKGICLGLHYLHERKIIHLDLKPDNVLLDDSMVPKIADFGLSRLLSEEKSRMVTERILEQVKSDIYSLGLIIREMVMGPNNEGTTTENVLEIWRHRLEQDSSKMRQTPIEMRYRQIIEACIEISETCIAGKPDKRPTTGDILRRPEKEEAGNWSIVPVTPVVDWISSLSRLMESIKRMAVTSPEPRTIRGEESKALQLQPGPSQPGDRRPADKSFWLDATSNAKCYMLSSRLLKVTWGESPEHWKWITLPDSRFAECAELLNVYWLAVIGEIATEDLTPGTRYAVYLVYKLTGTAVGLRGGQTQRSSLRLYGEITVSTGKVSVDVVPAAARGMAAGVAYPVARGDGWMELKLAEFAADEKLLGEKKVIVDFREENDHVKKSGLVVEGMEFRPNK >ONIVA03G07140.3 pep chromosome:AWHD00000000:3:5178426:5184790:-1 gene:ONIVA03G07140 transcript:ONIVA03G07140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRHCNIVWFVGYCAETSTNVVRKGRENMFVDSKEKLLCFEYLRNGSLRSHLDTAGSCVLQWQICYQIIKGICLGLHYLHERKIIHLDLKPDNVLLDDSMVPKIADFGLSRLLSEEKSRMVLEIWRHRLEQDSSKMRQTPIEMRYRQIIEACIEISETCIAGKPDKRPTTGDILRRPEKEEAGNWSIVPVTPVVDWISSLSRLMESIKRMAVTSPEPRTIRGEESKALQLQPGPSQPGDRRPADKSFWLDATSNAKCYMLSSRLLKVTWGESPEHWKWITLPDSRFAECAELLNVYWLAVIGEIATEDLTPGTRYAVYLVYKLTGTAVGLRGGQTQRSSLRLYGEITVSTGKVSVDVVPAAARGMAAGVAYPVARGDGWMELKLAEFAADEKLLGEKKVIVDFREENDHVKKSGLVVEGMEFRPNK >ONIVA03G07140.4 pep chromosome:AWHD00000000:3:5178426:5184790:-1 gene:ONIVA03G07140 transcript:ONIVA03G07140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRHCNIVWFVGYCAETSTNVVLEIWRHRLEQDSSKMRQTPIEMRYRQIIEACIEISETCIAGKPDKRPTTGDILRRPEKEEAGNWSIVPVTPVVDWISSLSRLMESIKRMAVTSPEPRTIRGEESKALQLQPGPSQPGDRRPADKSFWLDATSNAKCYMLSSRLLKVTWGESPEHWKWITLPDSRFAECAELLNVYWLAVIGEIATEDLTPGTRYAVYLVYKLTGTAVGLRGGQTQRSSLRLYGEITVSTGKVSVDVVPAAARGMAAGVAYPVARGDGWMELKLAEFAADEKLLGEKKVIVDFREENDHVKKSGLVVEGMEFRPNK >ONIVA03G07140.5 pep chromosome:AWHD00000000:3:5178426:5184790:-1 gene:ONIVA03G07140 transcript:ONIVA03G07140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTPIEMRYRQIIEACIEISETCIAGKPDKRPTTGDILRRPEKEEAGNWSIVPVTPVVDWISSLSRLMESIKRMAVTSPEPRTIRGEESKALQLQPGPSQPGDRRPADKSFWLDATSNAKCYMLSSRLLKVTWGESPEHWKWITLPDSRFAECAELLNVYWLAVIGEIATEDLTPGTRYAVYLVYKLTGTAVGLRGGQTQRSSLRLYGEITVSTGKVSVDVVPAAARGMAAGVAYPVARGDGWMELKLAEFAADEKLLGEKKVIVDFREENDHVKKSGLVVEGMEFRPNK >ONIVA03G07140.6 pep chromosome:AWHD00000000:3:5184833:5186572:-1 gene:ONIVA03G07140 transcript:ONIVA03G07140.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGR >ONIVA03G07130.1 pep chromosome:AWHD00000000:3:5177147:5177398:1 gene:ONIVA03G07130 transcript:ONIVA03G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTEVVVFVVNSERFKLYHHGAAAADPRGTLLGFLRSRTRFTSAKPHHCAAIGVGHPAASPPPPTSSSPLCQSGGDGGCPP >ONIVA03G07120.1 pep chromosome:AWHD00000000:3:5173160:5174675:-1 gene:ONIVA03G07120 transcript:ONIVA03G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCVGTTCSLSKNGLLCAIWRNGSTTTSSTPSHPTISRSVPVVQPSSPSSPTSEPPPPQQQLTSTAPPRPIVISEPARKPTQPHPRPKQPTKPPPSSSTQPQQRHQSQVKKHISSAGLQVESVLQQKAENLKDRYNLGRKLGQGLYGTTYLCVDKATRKEYACKSIAKQKLVTEDDVEFIRREIRIMHHLAGHPNIISIRGSYEDAVAVHIVMELCTGGELFDRIISKGYYTERQAAEVARIIVSVIESCHSLGVMHRDLKPENFLFVSNEEDALLKLIDFGLSIFFRQGEMFTDVVGSMYYVAPEVLRGNYGQQADVWSAGVIIYILLCGVPPFWADTEQGVFDKVMHGMLEFDADPWTNVSEGAKDLLRKVLVRDPKERLTAHQVLRVFSPSPSSSLTDCNSHSRQSNTTILLAGHPWLEMCSNGTGKTTELNVEHLYEREEMYYK >ONIVA03G07110.1 pep chromosome:AWHD00000000:3:5154908:5157331:-1 gene:ONIVA03G07110 transcript:ONIVA03G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPNQPPWILSTRISGHPPTLSPASSCPPPRPLTISPASLSRSLSPDPKLQPPPAPATPATKSLFEVEATASKSPITSLLGGCTLDLLRTGCCLLIRGLSTIVRGLDAAKDGHMGSNGHSIYSTRRGFTYLGPLSYTLNYRIRKGSAKGTDSSHLGSQQVDCNGYHNNDGCDIASSNGGSNNIDQQLYRLFECFSLMLLQHMSCKMG >ONIVA03G07110.2 pep chromosome:AWHD00000000:3:5154910:5157331:-1 gene:ONIVA03G07110 transcript:ONIVA03G07110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPNQPPWILSTRISGHPPTLSPASSCPPPRPLTISPASLSRSLSPDPKLQPPPAPATPATKSLFEVEATASKSPITSLLGGCTLDLLRTGCCLLIRGLSTIVRGLDAAKDGHMGSNGHSIYSTRRGFTYLGPLSYTLNYRIRKGSAKGTDSSHLGSQQVDCNGYHNNDGCDIASSNGGSNNIDQQLYRLFECFSLMLLQHMSCKMG >ONIVA03G07110.3 pep chromosome:AWHD00000000:3:5154908:5157331:-1 gene:ONIVA03G07110 transcript:ONIVA03G07110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPNQPPWILSTRISGHPPTLSPASSCPPPRPLTISPASLSRSLSPDPKLQPPPAPATPATKSLFEVEATASKSPITSLLGGCTLDLLGTDSSHLGSQQVDCNGYHNNDGCDIASSNGGSNNIDQQLYRLFECFSLMLLQHMSCKMG >ONIVA03G07110.4 pep chromosome:AWHD00000000:3:5154910:5157331:-1 gene:ONIVA03G07110 transcript:ONIVA03G07110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPNQPPWILSTRISGHPPTLSPASSCPPPRPLTISPASLSRSLSPDPKLQPPPAPATPATKSLFEVEATASKSPITSLLGGCTLDLLGTDSSHLGSQQVDCNGYHNNDGCDIASSNGGSNNIDQQLYRLFECFSLMLLQHMSCKMG >ONIVA03G07100.1 pep chromosome:AWHD00000000:3:5151773:5152218:-1 gene:ONIVA03G07100 transcript:ONIVA03G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAARQWRRPAGAGTDAAEVAPVVMENDGAAVLPRLWGPPPSLLLQGGAGEVENDATRPGSRRSPFSRRGVGWRPPARRPAGRGGGTEAGTARFRRGCRARWWWAAVEKAGSSVGAIFFLIV >ONIVA03G07090.1 pep chromosome:AWHD00000000:3:5148238:5148468:-1 gene:ONIVA03G07090 transcript:ONIVA03G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLKIPSLLWSAVGLAMVTISKRLQSSFWEVQMKRNENGSYSVLECCIKWEVYTGAKGEPAVGARRRLIVAGRQ >ONIVA03G07080.1 pep chromosome:AWHD00000000:3:5130081:5130530:-1 gene:ONIVA03G07080 transcript:ONIVA03G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGNQACAAIGSRGCVLRLWGDGLGALPHGCGSNGGRIWMGLEPSVGEVADGEEGDSEEDEGSAAVSGAVLGSEVELSRRWVDAGSGGIGRSSGDLGWRCEITEGSGEGGGGGVEKDVAAVASGGDVAEKADGEENVAAVAGGGATT >ONIVA03G07070.1 pep chromosome:AWHD00000000:3:5113771:5115841:1 gene:ONIVA03G07070 transcript:ONIVA03G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDAGEAGRRHGEDGGGGGLHNFILDYTGKVHRIPFSTMLLLYLVELLYDTWFYLWENYVLEPEV >ONIVA03G07060.1 pep chromosome:AWHD00000000:3:5094080:5099249:-1 gene:ONIVA03G07060 transcript:ONIVA03G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSAVRYVIGRVGVPPNPNPSSPRFLFRPPPPPSPTTSGRLFRSPRSSPPMRALPRGAAASLLRAAAAAAPCRPRPVQLQRRLPDADRLLAMVRCLADDNIPAVCFSRYSTLVTPSDEVLVPPELLSSRTVGTPERKIGQYEDLNNKFHNEDKGYMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKIISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNVVSYISQMEDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLWLKVGGGWVAARARDGAAVGGSGKMFCRYQHVRCSRAAGVYTS >ONIVA03G07060.2 pep chromosome:AWHD00000000:3:5094080:5099249:-1 gene:ONIVA03G07060 transcript:ONIVA03G07060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSAVRYVIGRVGVPPNPNPSSPRFLFRPPPPPSPTTSGRLFRSPRSSPPMRALPRGAAASLLRAAAAAAPCRPRPVQLQRRLPDADRLLAMVRDREAYNIPAVCFSRYSTLVTPSDEVLVPPELLSSRTVGTPERKIGQYEDLVARITNFTMRIRATWCWMVMYLMFQSGRTLFIELYVGNLLNGNSEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNVVSYISQMEDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLWLKVGGGWVAARARDGAAVGGSGKMFCRYQHVRCSRAAGVYTS >ONIVA03G07060.3 pep chromosome:AWHD00000000:3:5094080:5099249:-1 gene:ONIVA03G07060 transcript:ONIVA03G07060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSAVRYVIGRVGVPPNPNPSSPRFLFRPPPPPSPTTSGRLFRSPRSSPPMRALPRGAAASLLRAAAAAAPCRPRPVQLQRRLPDADRLLAMNIPAVCFSRYSTLVTPSDEVLVPPELLSSRTVGTPERKIGQYEDLNNKFHNEDKGYMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKIISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNVVSYISQMEDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLWLKVGGGWVAARARDGAAVGGSGKMFCRYQHVRCSRAAGVYTS >ONIVA03G07060.4 pep chromosome:AWHD00000000:3:5094578:5099249:-1 gene:ONIVA03G07060 transcript:ONIVA03G07060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSAVRYVIGRVGVPPNPNPSSPRFLFRPPPPPSPTTSGRLFRSPRSSPPMRALPRGAAASLLRAAAAAAPCRPRPVQLQRRLPDADRLLAMVRCLADDNIPAVCFSRYSTLVTPSDEVLVPPELLSSRTVGTPERKIGQYEDLNNKFHNEDKGYMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKIISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNVVSYISQMEDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAVNRIVERMHTPINR >ONIVA03G07060.5 pep chromosome:AWHD00000000:3:5094578:5099249:-1 gene:ONIVA03G07060 transcript:ONIVA03G07060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSAVRYVIGRVGVPPNPNPSSPRFLFRPPPPPSPTTSGRLFRSPRSSPPMRALPRGAAASLLRAAAAAAPCRPRPVQLQRRLPDADRLLAMVRDREAYNIPAVCFSRYSTLVTPSDEVLVPPELLSSRTVGTPERKIGQYEDLVARITNFTMRIRATWCWMVMYLMFQSGRTLFIELYVGNLLNGNSEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNVVSYISQMEDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAVNRIVERMHTPINR >ONIVA03G07050.1 pep chromosome:AWHD00000000:3:5089752:5092031:-1 gene:ONIVA03G07050 transcript:ONIVA03G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGEPPPPLCPLCGHPAASYTSSSSSSPSPSQPTARPPLKRKAPPEAPPAVVRVEIGDEAAALREALARQHGALADLQAELDAERGAAAGAASEAMTMILRLQREKAEAMMEARQFRRYAEEKMSHDAAELAALEDLLAKRDAALRALQSSSSSRGGGGGRGVGGGSGRATPSFSGSSPHHYPSTPTPASAAAAANYPPLRCFIDHPPTASEADALDAQTPREHLTRLAHRVHMLERGVHPTATTTTTTPIIRVAPGSAFPRPTRAYSDADSLEFCDGEYFPDDVDCGASDRVYTVDAIHGRPLAVPEGSCTPGGSSCCGGGGVPWAEDEEMRRLSARLQALEADRETMRQAIVSMGAEKAQVVLLKEIAQQLCKEATPPLPPSAVTVGHHLYKGAAAPPAVTVKMRPPRPPVVMHRRVIDAPPAAKTSYIGAVAKWFTSIVSRYKKTPRTKYPIGQCGNNVGLLLLLDKAPRPGHGHQKMPKKI >ONIVA03G07040.1 pep chromosome:AWHD00000000:3:5086774:5090160:1 gene:ONIVA03G07040 transcript:ONIVA03G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDGPARGPWLGRPAIPPPSPTSSRRRAAQSNPKIAAAGDGEGDEAGEAWKQARDSLPLLARRGPPRPLPPSPPPTRRPRRLHSALCSSNEDKTNAGFLTNFEVLDFLQSRGAKTDPMGCLGAVAASECKVYEYLLKTPACNQTRESINEFVTRCESFKLTNADKLNVINWRPSSAADAYAMIEECGKRFSKDERGEACNEDERVEEFLELVKEAFPPPPPKPEAMIE >ONIVA03G07030.1 pep chromosome:AWHD00000000:3:5079387:5089635:-1 gene:ONIVA03G07030 transcript:ONIVA03G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSDCAVQDASNKGIRSGDGVGGRGSDGGSAMRGDGGDVWCAAMRCGDGGVWCAREIRGGGACGSKELQRQQQLNFEPST >ONIVA03G07020.1 pep chromosome:AWHD00000000:3:5077492:5086428:1 gene:ONIVA03G07020 transcript:ONIVA03G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16880) TAIR;Acc:AT2G16880] MQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKRDGIAPTQPTYNTLVSAFARLGWIKQATKVVESMTAYGFEPDLRTYNVLAMGLCQAGKVDEAFRLKDEMERLGTALPDVVTYNTLVDACFKWRCSSDALRLLEEMRDKGVKPTLVTHNIVVKSLCKEGKLEEALGKLEKIAEEGLAPDVITYNTLIDAYCKAGNVAKAFTLMDEMVGKGLKMDTFTLNTVLYNLCKMKRYEDAEELLHSPPQRGFVPDEVSYGTVMAAYFKEYNPEPALRLWDQMIERKLIPSISTYNTLIKGLCRMERLKEAIDKLNEFVEKGLVPDETTYNIIIHAYCKEGDLENAFRFHNKMVENSFKPDVVTCNTLMNGLCLHGKLDKALKLFESWVEKGKKVDVITYNTLIQSMCKVGDVDTALHFFDDMEVKGLQPDAFTYNVVLSALSEAGRSEEAHNMLHKLADSGKLSQSFACPLLKPSSADEADVKEHEGKPEAESSEKAQDNALETYMERLNGLCTGGQLKEAKAVLDEMMQKGMPVDCSTYITLMEGLIKRQKRQTHAAGQSTL >ONIVA03G07020.2 pep chromosome:AWHD00000000:3:5077492:5086428:1 gene:ONIVA03G07020 transcript:ONIVA03G07020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16880) TAIR;Acc:AT2G16880] MQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKRDGIAPTQPTYNTLVSAFARLGWIKQATKVVESMTAYGFEPDLRTYNVLAMGLCQAGKVDEAFRLKDEMERLGTALPDVVTYNTLVDACFKWRCSSDALRLLEEMRDKGVKPTLVTHNIVVKSLCKEGKLEEALGKLEKIAEEGLAPDVITYNTLIDAYCKAGNVAKAFTLMDEMVGKGLKMDTFTLNTVLYNLCKMKRYEDAEELLHSPPQRGFVPDEVSYGTVMAAYFKEYNPEPALRLWDQMIERKLIPSISTYNTLIKGLCRMERLKEAIDKLNEFVEKGLVPDETTYNIIIHAYCKEGDLENAFRFHNKMVENSFKPDVVTCNTLMNGLCLHGKLDKALKLFESWVEKGKKVDVITYNTLIQSMCKVGDVDTALHFFDDMEVKGLQPDAFTYNVVLSALSEAGRSEEAHNMLHKLADSGKLSQSFACPLLKPSSADEADVKEHEGKPEAESSEKAQDNALETYMERLNGLCTGGQLKEAKAVLDEMMQKGMPVDCSTYITLMEGLIKRQKRQTHAAGQSTL >ONIVA03G07020.3 pep chromosome:AWHD00000000:3:5077492:5085548:1 gene:ONIVA03G07020 transcript:ONIVA03G07020.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16880) TAIR;Acc:AT2G16880] MQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKRDGIAPTQPTYNTLVSAFARLGWIKQATKVVESMTAYGFEPDLRTYNVLAMGLCQAGKVDEAFRLKDEMERLGTALPDVVTYNTLVDACFKWRCSSDALRLLEEMRDKGVKPTLVTHNIVVKSLCKEGKLEEALGKLEKIAEEGLAPDVITYNTLIDAYCKAGNVAKAFTLMDEMVGKGLKMDTFTLNTVLYNLCKMKRYEDAEELLHSPPQRGFVPDEVSYGTVMAAYFKEYNPEPALRLWDQMIERKLIPSISTYNTLIKGLCRMERLKEAIDKLNEFVEKGLVPDETTYNIIIHAYCKEGDLENAFRFHNKMVENSFKPDVVTCNTLMNGLCLHGKLDKALKLFESWVEKGKKVDVITYNTLIQSMCKVGDVDTALHFFDDMEVKGLQPDAFTYNVVLSALSEAGRSEEAHNMLHKLADSGKLSQSFACPLLKPSSADEADVKEHEGKPEAESSEKAQDNALETYMERLNGLCTGGQLKEAKAVLDEMMQKGMPVDCSTYITLMEGLIKRQKRQTHAAGQSTL >ONIVA03G07020.4 pep chromosome:AWHD00000000:3:5077492:5084559:1 gene:ONIVA03G07020 transcript:ONIVA03G07020.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16880) TAIR;Acc:AT2G16880] MQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKRDGIAPTQPTYNTLVSAFARLGWIKQATKVVESMTAYGFEPDLRTYNVLAMGLCQAGKVDEAFRLKDEMERLGTALPDVVTYNTLVDACFKWRCSSDALRLLEEMRDKGVKPTLVTHNIVVKSLCKEGKLEEALGKLEKIAEEGLAPDVITYNTLIDAYCKAGNVAKAFTLMDEMVGKGLKMDTFTLNTVLYNLCKMKRYEDAEELLHSPPQRGFVPDEVSYGTVMAAYFKEYNPEPALRLWDQMIERKLIPSISTYNTLIKGLCRMERLKEAIDKLNEFVEKGLVPDETTYNIIIHAYCKEGDLENAFRFHNKMVENSFKPDVVTCNTLMNGLCLHGKLDKALKLFESWVEKGKKVDVITYNTLIQSMCKVGDVDTALHFFDDMEVKGLQPDAFTYNVVLSALSEAGRSEEAHNMLHKLADSGKLSQSFACPLLKPSSADEADVKEHEGKPEAESSEKAQDNALETYMERLNGLCTGGQLKEAKAVLDEMMQKGMPVDCSTYITLMEGLIKRQKRQTHAAGQSTL >ONIVA03G07010.1 pep chromosome:AWHD00000000:3:5074251:5076723:1 gene:ONIVA03G07010 transcript:ONIVA03G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRPTASGANSSSSSDDDGDAAWKAAIESIAAVGFGLPLSNGAAKATSGGGGEASHGVEQQPPQEGKAQAPGLKLYQIKVRNMLDNMLEKNLEIVKTTCSNLADPMETDGGIKLFKKAPPGIRMDAMDKYHVQLKRPRILPGTDIDEKSKKFRHMLKSVAVDGNDILVSAKKSSERSLARLEAREAAAKAAAKREEERVRELKKTRGEKWLPSIARQMKEEKAWEQRK >ONIVA03G07010.2 pep chromosome:AWHD00000000:3:5074251:5076772:1 gene:ONIVA03G07010 transcript:ONIVA03G07010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRPTASGANSSSSSDDDGDAAWKAAIESIAAVGFGLPLSNGAAKATSGGGGEASHGVEQQPPQEGKAQAPGLKLYQIKVRNMLDNMLEKNLEIVKTTCSNLADPMETDGGIKLFKKAPPGIRMDAMDKYHVQLKRPRILPGTDIDEKSKKFRHMLKSVAVDGNDILVSAKKSSERSLARLEAREAAAKAAAKREEERVRELKKTRGEKWLPSIARQMKEEKAWEQRK >ONIVA03G07000.1 pep chromosome:AWHD00000000:3:5070836:5073977:-1 gene:ONIVA03G07000 transcript:ONIVA03G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 49 [Source:Projected from Arabidopsis thaliana (AT5G67530) TAIR;Acc:AT5G67530] MGKKQHSKDRMFITRTEWATEWGGAKQKEAGTPFKRLPFYCCALTFLPFEDPVCTADGSVFDLMSIIPYIKKFGKHPVTGTPLKQEDLMPLTFHKNSDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAIQELNIKPKNWRELLTDEPFTRNDLITIQNPNAVDSKILGEFDHVKKGLKLEDEELQRMKNDPTYNINISGDLKQMIKELGTEKGKLAFLHGGGGQKAQKERAAALAAILAKKEKDDSKSGKEPKPHQPFSIVDAASASVHGRSAAAAKAATAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTAYDPVTKNELEYVKVEKNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGKPFKDELNSKLIHSGRGVVSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDRPLEEIKILKVSVFVNPYTEPDEEEEEKAKEEEKKKDEDYDKVGSWYSNPGTGVAGSTSSGGGVGKYLKARTAGFADVVADDSNKKRKASVSNVEFKDFSGW >ONIVA03G06990.1 pep chromosome:AWHD00000000:3:5067033:5069865:1 gene:ONIVA03G06990 transcript:ONIVA03G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHRARGSRSRQGKWAISFLTIKGKKNPTRFYPQRLFPFFFRFIFTAIIIVLVCSNVLPLPSNRFSTGAALGRRRDRFDGKPAMGGGSIRAAAKAAMIGGYRSASAVRRAVLPASPAPQTAPSAAGEGRKAASTYAAIDDWVIPDREVFGPVPTHEEAMAATLDLKESFQFDKSAQLEPLPSGDLDVPTKVGQEGLVHSETPQDLVHSETQGLVDLGASQDLVHSETSQGLVHSESSQGLIHSKTSEHEDNHEISLVSSGAPGRVVQAFTMLQDSPEAQEVVASLASDQNVWNAVMRNEKVMKFYKTYATKLNEDEVEGSESDSVQNSSELGSAGEAFMCYVEKMKALVSEMMTNLSSIMQDLVATSDEGQSKGKLKTMILDSKKDFANAPSAFVLLAIASIMVVLLKRA >ONIVA03G06980.1 pep chromosome:AWHD00000000:3:5060798:5063403:-1 gene:ONIVA03G06980 transcript:ONIVA03G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGSPHNNTIPSSLSSLPPPRAVALASPRLAVALSSQSALPPSLPSHTLCPPNKVNPREPPPVRLPSPSVPRLPATAATTTQGPRFVGEGGGGDDAMGFWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKSELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQSFTDVMGLKTITDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >ONIVA03G06970.1 pep chromosome:AWHD00000000:3:5056526:5059572:-1 gene:ONIVA03G06970 transcript:ONIVA03G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus protein 1 [Source:Projected from Arabidopsis thaliana (AT3G17910) UniProtKB/Swiss-Prot;Acc:Q9SE51] MAAALSKLLRRRLRGGGHRLLPSRPSTSAASQPPPPPPSAATPPPPGAGKEAGAWSKLFLFAPGAITFGLGSWQLFRRQEKIEMLDYRTRRLEMEPIAWNQMAPSDLSAGVDPATPEFRRIVCEGDFDEERSVFVGPRSRSISGVTENGYYVVTPLIPRPSEHGSSWPPILVNRGWVPRDWRDKNVQDHQGVREVPEYKEADKKTDGKGSWWKFWSNSKEPEQSCEIEKPVKPPVRVLGVIRGSEKPSIFVPANEPSVGQWFYVDVPMIARACGLPENTIYIEDINEDVSPTNPYPVPKDVSTLIHHSVMPHDHLKYTVTWYTLSAAVTFMAAKRIKAKKLKFPLLQKEMFDNLSTASSSLRQ >ONIVA03G06960.1 pep chromosome:AWHD00000000:3:5050530:5053623:-1 gene:ONIVA03G06960 transcript:ONIVA03G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 1 [Source:Projected from Arabidopsis thaliana (AT1G27460) TAIR;Acc:AT1G27460] MPAIMLCSCSGDQSKFEEMPRSPESLATRDYSATGSSSRIGNRESTPDDNQEARALLGRLEHQRGNFDAALQVLQGIDIRSLMPRMTTAIADSVKPRGPPRSRKKTSQVNGMLMHMSMHSVSLLLEAILLKAKSLEGLGRVTDAAEECRTIIDIVESAWPYGVPEGTSEECKLIDIFHSALEYLPKLWMRSGCCEEAIIAYRRALAKPWNLDSQRSANLQKDLAVTLLYCGAQVKFTQEFDQHKPATPRNNMEEAILLLLILTKKLALQEIKWDPDLVNHLMFALSLSGHYEILASHLEMLLPGTYNRSERWYILALCYSAAGMDDSALNIIRNGFNVLERKGKPHIPSLLLGAKLCCKNPKRASEGIKFADKAMKSFRKHDFHFVSVVNHLLGVCYGPFARSSTSHAEKLRLQDEALRLLQDAAAMAKYSPEIMYSLAWENAMQRKLNAAVESATECVEMVMGSLVSAWKLLILVLSAQQNLKEAEAVANIAIDEAEKEDQMGILRLKAHIQASRGQFKSAVESFRSLLAIIQAKKEIWKQTPYDKVKSLQNLEMEAWLDLASIYTKLESWHDSNVCLDKAKSISSFSPKCCHVRGLILQAQSLHQEALTAFSLSLSIDPDYVPSMVCMAGILTILGGKSLSIARTFLRNALRLEPTSHQAWLRLGLVLKSEGSLLEAADCFQAAYELQELSPIQDFSEHLPIMLQ >ONIVA03G06950.1 pep chromosome:AWHD00000000:3:5047751:5052718:1 gene:ONIVA03G06950 transcript:ONIVA03G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:A0A0E0GI38] MAVGKNKRISKGKKGSKKKTVDPFAKKDWYDIKAPSVFNVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGKNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDGYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASSCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYAKEDIGTKLDRPAEDEAMAGQEVAAAE >ONIVA03G06940.1 pep chromosome:AWHD00000000:3:5044011:5045225:1 gene:ONIVA03G06940 transcript:ONIVA03G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPRHKDTRRRRWMPTGRPAASTITTSTTNIISTRRSRRRRRWIPTGRPLASTTTTSTTNTISTRRSRRRRWGTRGRTRTLLRHSRTDTRRRRCTRRPAAASAAPARAPLVLPGMPRGPLLLLPPGRMLLTTRRDDRITECKALLKWRARRESTRRRTWMVLSTSSQARLWLGSTLE >ONIVA03G06930.1 pep chromosome:AWHD00000000:3:5034475:5036601:1 gene:ONIVA03G06930 transcript:ONIVA03G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVATVVIQHPGGGRTERAYWAMSAGAVMAANPGHYVAAVITSPPAAGASSATGAAAPVKHLKLLRPDDTLLLGRVYRLVSFEEVLREFASKRHVKLSRATIKAKDDVEEETKPAKPRRRRGNGGIAPEEEYSSRSLAKVMRQSDEPEPVARASPSAAPKPESDIDDHADGEAAEPDCDLEALLPPHGVVFGRRVARQWRPALQSIAEG >ONIVA03G06920.1 pep chromosome:AWHD00000000:3:5027288:5028527:1 gene:ONIVA03G06920 transcript:ONIVA03G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVTLEKTTTIRSEAVVAADAAVDRAATLKSPLLEGKKCGDGGVAKRCCERKYELVSYDALPAFLKHNEFIIDYYRSEWPIKQALLSAFAVHNETVNVWTHLIGFFMFLALTVCAATMVPMESSATSMTMANNTGNPMVLMMMSYGSNGAAMAVQALRNVSVESELAAAALSAAGDQVARWPFYAYLCGAMFCLLMSSACHLLACHSEHASYVLLRLDYAGITGLIVTSFYPLVYYTFLCDPFFRTLYLGFITLFGAAAVAVSLMPVFEKPELRWARAGLFACMGMSGLVPIVHKMLVFGARPEAVLTTGYEMVMGAFYLAGVVVYATRVPERWMPGKFDLAGHSHQLFHVLVIAGVYAHYLAGVVYLSWRDGEAC >ONIVA03G06910.1 pep chromosome:AWHD00000000:3:5001813:5002730:-1 gene:ONIVA03G06910 transcript:ONIVA03G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDAMAAASSGCSSGCQSGWTTYLDDHSSYSCGTARFHGKAQQPYYHCDYSEDAEEDDLSMISDASSGPRQQCSTGNDDGAAAAAAHANAARRRGRRMEPTARRQSKTAAGASLLEDTASSPAFFKYTNASAEGNGYGYGGVASPVMEMGNAADFSCAFSATTGFESPLNGIPLSGYLQMQYSTTHVKAIPARQARRGGVEKKRR >ONIVA03G06900.1 pep chromosome:AWHD00000000:3:4995275:4995664:1 gene:ONIVA03G06900 transcript:ONIVA03G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGGPLPGATRVEVDAEVGAEGIDRRESAAVGAELSGRGGWRGGNSAGPASVVARLSPGTGRRSKDVEVNQPGDVRQRLRWISSGGGHPIFFSRRHHPIAPPAGRRQHLGRGHPDIAAGVQPSPSSH >ONIVA03G06890.1 pep chromosome:AWHD00000000:3:4990379:4992277:1 gene:ONIVA03G06890 transcript:ONIVA03G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSSSGSHRPPRPASSESALPPAAAAAEELSSYEAACRSDPELRTFDTTLQRRTSRAISTLAVGVEVRSLSLESLREVTGCLLDMNQEVVRVILDCKKDIWKSPELFDLVEDYFESSLHTLDFCTALDKCLKRARDSQLLLHVALQRFDDEEDNDAAAAGQEDAAPSARYARTLHELRQFKAAGDPFTEEFFSAFQAVYRQQLTMLEKLQQRKHRLDKKVRAIKAWRRVSSIIFATTFAAVLICSVVAAAIAAPPVAAALAAAASIPVGSMGKWIDSLLKGYQDALRGQKEVVSAMQVGTFIAIKDLDSIRVLINRVELEISSMIDCVEFAERDEEAVKFGVEEIKKKLEVFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRHPS >ONIVA03G06880.1 pep chromosome:AWHD00000000:3:4982436:4987689:1 gene:ONIVA03G06880 transcript:ONIVA03G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMALLLSSPPRPSLRRVTRWSAASLSPRASLPSPRRVGLAVAAASWDGAGRWRVETTTTRARAAARAGASGEGGDGEVEGGGGTGIAAAAAATVVLAVMNRVLYKLALVPMRNYPFFLAQATTFGYHAGIVTKEMLALPKSRFMLIGLLEALGVASGMAAAAMLPGPSIPVLSQLILSVLILGRKYRANQIFGCLLVTAGVILAVASGANSGPFLSDVKLFWPAVLMASSACHAGASIIKEFVFIDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLKGIPLAELPAYINRGAACFLNIGGNLKDCHGAPLLPLLFIAMNMAFNISVLNLVKMSTALVASLTATLAVPLSIYVLSLPLPYIPGGTNLSTSFLVGAAILVLGLLLYNLPKKLAGRMKTD >ONIVA03G06880.2 pep chromosome:AWHD00000000:3:4982436:4987689:1 gene:ONIVA03G06880 transcript:ONIVA03G06880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMALLLSSPPRPSLRRVTRWSAASLSPRASLPSPRRVGLAVAAASWDGAGRWRVETTTTRARAAARAGASGEGGDGEVEGGGGTGIAAAAAATVVLAVMNRVLYKLALVPMRNYPFFLAQATTFGYHAGIVTKEMLALPKSRFMLIGLLEALGVASGMAAAAMLPGPSIPVLSQLILSVLILGRKYRANQIFGCLLVTAGVILAVASGANSGPFLSDVKLFWPAVLMASSACHAGASIIKEFVFIDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLKGIPLAELPAYINRGAACFLNIGDCHGAPLLPLLFIAMNMAFNISVLNLVKMSTALVASLTATLAVPLSIYVLSLPLPYIPGGTNLSTSFLVGAAILVLGLLLYNLPKKLAGRMKTD >ONIVA03G06870.1 pep chromosome:AWHD00000000:3:4976687:4982584:-1 gene:ONIVA03G06870 transcript:ONIVA03G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISESDELRAFEATGIYRLAESGAAFLDPVRILNASYRRFRLVPSAYYSRSFGTSRQGGEAETERTGEASPERKKRKRKRQRQPKPRELNEVERMAEARHQEARPLLSSAHKSLLKAKDLLEFLPRMIKEDVRVLDVESNLEKNLVELGSSWRAPLCEMTLCFQKSSGEDSEEDNYNQGYNLIVVDPPWENGCVRQKVATKRPYEVQNSSFWKKGGLCHSHWRSASAECACRAAEWRSSSISSMPLRVATLVRNGTRRWTQGTKSPYPSSCRGRCTRRRPRRPARRDRPCRRGDDAVNPNDASAPARAFGICRQGQFRPLTDVSKNPYYEPIIPAPAPNHCNCRAQRLASEMPGLRPESPAIPSPELRRVRTSFTGASSWVATTAMGKVVRSANAPVEPKAKVEDVEKQRRRGKGGCNGIVSSARCRSPPPSPVSPELGKTRCSWITVNSEPLYVAFHDEEWGVPVHDDQKLFELLTLSQALAEITWPIILNKRDEFREMFDGFNYASVSEFTDKKINLLSKSNGNMLLSEQKIRAVVTNAKQMHKVIQDFGSFSNYCWSFVKHKPVKSNFRYARQVPIKTPKSEAISKGLMRRGFQCVGPTTIYSFMQVSGIVNDHLSCCFRFQDCRDIKRNLRAEPGLIERRLNSPPSSEDSETSREA >ONIVA03G06860.1 pep chromosome:AWHD00000000:3:4958219:4959178:-1 gene:ONIVA03G06860 transcript:ONIVA03G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEEEKLLFPSFAFPAECFPEAATSGGEQKKARQRRRRKVKPEAAAALAGESGGDEQAKKRRLSDEQARFLEMSFKKERKLETPRKVQLAAELGLDAKQVAVWFQNRRARHKSKLMEEEFAKLRSAHDAVVLQNCHLETELLKLKERLADVEEEKAKLAAVAAATTGGGGGGGGGSSSPTSSSFSTVTYHPVLAGQFGVEAAAEEADLTYMSEYAYNSYMLELAAAGYCGGVYDQFS >ONIVA03G06850.1 pep chromosome:AWHD00000000:3:4952066:4956487:1 gene:ONIVA03G06850 transcript:ONIVA03G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPKRPGWKSLMPLQLSRKSALRFFLFPKVQAAGQSPDDTPVYLNVYDLTPMNGYVYWAGLGIFHSGIEVHGVEYAFGAHDYPSSGVFEVEPRQCPGFRFRKSIFLGTTCLDPIQVRQFMELQSVNYNGDTYHLITKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSRSEDCEKRRLRNPLSCFSSISSQRQLPPSSPFPTSPVKEPLAYSSSRKSSAPSLRNR >ONIVA03G06840.1 pep chromosome:AWHD00000000:3:4938838:4947930:1 gene:ONIVA03G06840 transcript:ONIVA03G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERPSRSDVDFADVFGGPPRRSSGHDSLRRSSMDSSFGSATKGRSGAEERPVFGDRTSSDRRRQLGQEFYKDIFAGSESMSPRRGGAAGDLDVFGAQASPGSTSRLHSSFSMKFNGGLDSSVPTSPSRHTSNKNDDGISYAYSVPTSPNSSMNSFLAQGAPQQDSTKNPFSWHRYPFLSRFRSNSGDKKDTSHYVSSMDSEYEGTPVSLESSIANNKFHFSFYKWGGKGAVLVLPTTAQENAGDIVGVRSFPQVIVQGMDLIDEEDSTSTATGASKSQTDYEDYKSGKDVSLGALLKTKDGALPLAFDDYVLGDKSEESGTKHNTNNAKNNVLGASPSSKSSRSPSGEKSRGSRVKGKVKDFMKIFSPESSPKSKRDRTSSGKNGSKSGPEDKFSISNSEVDDNVRTANMNKQNVFPPVPSPISEAQDRTEIPVFTVDNEMDSKADFGRKEVTPPSFDESSDAQTKYKVDEITDLAEGPVEDLEECVVEDVSEDFILRNNEEKEQIKISESKIWEWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFDILQEAWKEFNTVTFG >ONIVA03G06840.2 pep chromosome:AWHD00000000:3:4938838:4947924:1 gene:ONIVA03G06840 transcript:ONIVA03G06840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERPSRSDVDFADVFGGPPRRSSGHDSLRRSSMDSSFGSATKGRSGAEERPVFGDRTSSDRRRQLGQEFYKDIFAGSESMSPRRGGAAGDLDVFGAQASPGSTSRLHSSFSMKFNGGLDSSVPTSPSRHTSNKNDDGISYAYSVPTSPNSSMNSFLAQGAPQQDSTKNPFSWHRYPFLSRFRSNSGDKKDTSHYVSSMDSEYEGTPVSLESSIANNKFHFSFYKWGGKGAVLVLPTTAQENAGDIVGVRSFPQVIVQGMDLIDEEDSTSTATGASKSQTDYEDYKSGKDVSLGALLKTKDGALPLAFDDYVLGDKSEESGTKHNTNNAKNNVLGASPSSKSSRSPSGEKSRGSRVKGKVKDFMKIFSPESSPKSKRDRTSSGKNGSKSGPEDKFSISNSEVDDNVRTANMNKQNVFPPVPSPISEAQDRTEIPVFTVDNEMDSKADFGRKEVTPPSFDESSDAQTKYKVDEITDLAEGPVEDLEECVVEDVSEDFILRNNEEKEQIKISESKIWEWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFDILQEAWKEFNTVTFG >ONIVA03G06840.3 pep chromosome:AWHD00000000:3:4938838:4947930:1 gene:ONIVA03G06840 transcript:ONIVA03G06840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERPSRSDVDFADVFGGPPRRSSGHDSLRRSSMDSSFGSATKGRSGAEERPVFGDRTSSDRRRQLGQEFYKDIFAGSESMSPRRGGAAGDLDVFGAQASPGSTSRLHSSFSMKFNGGLDSSVPTSPSRHTSNKNDDGISYAYSVPTSPNSSMNSFLAQGAPQQDSTKNPFSWHRYPFLSRFRSNSGDKKDTSHYVSSMDSEYEGTPVSLESSIANNKFHFSFYKWGGKGAVLVLPTTAQENAGDIVGVRSFPQVIVQGMDLIDEEDSTSTATGASKSQTDYEDYKSGKDVSLGALLKTKDGALPLAFDDYVLGDKSEESGTKHNTNNAKNNVLGASPSSKSSRSPSGEKSRGSRVKGKVKDFMKIFSPESSPKSKRDRTSSGKNGSKSGPEDKFSISNSEVDDNVRTANMNKQNVFPPVPSPISEAQDRTEIPVFTVDNEMDSKADFGRKEVTPPSFDESSDAQTKYKVDEITDLAEGPVEDLEECVVEDVSEDFILRNNEEKEQIKISESKIWEWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFDILQEAWKEFNTVTFG >ONIVA03G06840.4 pep chromosome:AWHD00000000:3:4938838:4947711:1 gene:ONIVA03G06840 transcript:ONIVA03G06840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERPSRSDVDFADVFGGPPRRSSGHDSLRRSSMDSSFGSATKGRSGAEERPVFGDRTSSDRRRQLGQEFYKDIFAGSESMSPRRGGAAGDLDVFGAQASPGSTSRLHSSFSMKFNGGLDSSVPTSPSRHTSNKNDDGISYAYSVPTSPNSSMNSFLAQGAPQQDSTKNPFSWHRYPFLSRFRSNSGDKKDTSHYVSSMDSEYEGTPVSLESSIANNKFHFSFYKWGGKGAVLVLPTTAQENAGDIVGVRSFPQVIVQGMDLIDEEDSTSTATGASKSQTDYEDYKSGKDVSLGALLKTKDGALPLAFDDYVLGDKSEESGTKHNTNNAKNNVLGASPSSKSSRSPSGEKSRGSRVKGKVKDFMKIFSPESSPKSKRDRTSSGKNGSKSGPEDKFSISNSEVDDNVRTANMNKQNVFPPVPSPISEAQDRTEIPVFTVDNEMDSKADFGRKEVTPPSFDESSDAQTKYKVDEITDLAEGPVEDLEECVVEDVSEDFILRNNEEKEQIKISESKIWEWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFDILQEAWKEFNTVTFG >ONIVA03G06840.5 pep chromosome:AWHD00000000:3:4938838:4947924:1 gene:ONIVA03G06840 transcript:ONIVA03G06840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERPSRSDVDFADVFGGPPRRSSGHDSLRRSSMDSSFGSATKGRSGAEERPVFGDRTSSDRRRQLGQEFYKDIFAGSESMSPRRGGAAGDLDVFGAQASPGSTSRLHSSFSMKFNGGLDSSVPTSPSRHTSNKNDDGISYAYSVPTSPNSSMNSFLAQGAPQQDSTKNPFSWHRYPFLSRFRSNSGDKKDTSHYVSSMDSEYEGTPVSLESSIANNKFHFSFYKWGGKGAVLVLPTTAQENAGDIVGVRSFPQVIVQGMDLIDEEDSTSTATGASKSQTDYEDYKSGKDVSLGALLKTKDGALPLAFDDYVLGDKSEESGTKHNTNNAKNNVLGASPSSKSSRSPSGEKSRGSRVKGKVKDFMKIFSPESSPKSKRDRTSSGKNGSKSGPEDKFSISNSEVDDNVRTANMNKQNVFPPVPSPISEAQDRTEIPVFTVDNEMDSKADFGRKEVTPPSFDESSDAQTKYKVDEITDLAEGPVEDLEECVVEDVSEDFILRNNEEKEQIKISESKIWEWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFDILQEAWKEFNTVTFG >ONIVA03G06840.6 pep chromosome:AWHD00000000:3:4938838:4943955:1 gene:ONIVA03G06840 transcript:ONIVA03G06840.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERPSRSDVDFADVFGGPPRRSSGHDSLRRSSMDSSFGSATKGRSGAEERPVFGDRTSSDRRRQLGQEFYKDIFAGSESMSPRRGGAAGDLDVFGAQASPGSTSRLHSSFSMKFNGGLDSSVPTSPSRHTSNKNDDGISYAYSVPTSPNSSMNSFLAQGAPQQDSTKNPFSWHRYPFLSRFRSNSGDKKDTSHYVSSMDSEYEGTPVSLESSIANNKFHFSFYKWGGKGAVLVLPTTAQENAGDIVGVRSFPQVIVQGMDLIDEEDSTSTATGASKSQTDYEDYKSGKDVSLGALLKTKDGALPLAFDDYVLGDKSEESGTKHNTNNAKNNVLGASPSSKSSRSPSGEKSRGSRVKGKVKDFMKIFSPESSPKSKRDRTSSGKNGSKSGPEDKFSISNSEVDDNVRTANMNKQNVFPPVPSPISEAQDRTEIPVFTVDNEMDSKADFGRKEVTPPSFDESSDAQTKYKVDEITDLAEGPVEDLEECVVEDVSEDFILRNNEEKEQIKVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFDILQEAWKEFNTVTFGYTLTGYLRGET >ONIVA03G06840.7 pep chromosome:AWHD00000000:3:4938838:4943699:1 gene:ONIVA03G06840 transcript:ONIVA03G06840.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERPSRSDVDFADVFGGPPRRSSGHDSLRRSSMDSSFGSATKGRSGAEERPVFGDRTSSDRRRQLGQEFYKDIFAGSESMSPRRGGAAGDLDVFGAQASPGSTSRLHSSFSMKFNGGLDSSVPTSPSRHTSNKNDDGISYAYSVPTSPNSSMNSFLAQGAPQQDSTKNPFSWHRYPFLSRFRSNSGDKKDTSHYVSSMDSEYEGTPVSLESSIANNKFHFSFYKWGGKGAVLVLPTTAQENAGDIVGVRSFPQVIVQGMDLIDEEDSTSTATGASKSQTDYEDYKSGKDVSLGALLKTKDGALPLAFDDYVLGDKSEESGTKHNTNNAKNNVLGASPSSKSSRSPSGEKSRGSRVKGKVKDFMKIFSPESSPKSKRDRTSSGKNGSKSGPEDKFSISNSEVDDNVRTANMNKQNVFPPVPSPISEAQDRTEIPVFTVDNEMDSKADFGRKEVTPPSFDESSDAQTKYKVDEITDLAEGPVEDLEECVVEDVSEDFILRNNEEKEQIKVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFDILQEAWKEFNTVTFGYPLAVW >ONIVA03G06830.1 pep chromosome:AWHD00000000:3:4936618:4938238:-1 gene:ONIVA03G06830 transcript:ONIVA03G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPATGHTADSLTTTDARRLAFQQPLAQQQQFPCLPSGLPSEGNHHLYSVFLFILPFIRKLLSPLRIGALMRMLYYFYVWTSEINIGSKSLETKRVPISTRSNGGK >ONIVA03G06820.1 pep chromosome:AWHD00000000:3:4931528:4931836:1 gene:ONIVA03G06820 transcript:ONIVA03G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRGNTAARASDRVGGEGDGGAMADCCSLIEFLHAFEHHSRAVDSAVACSSRSRRTGSSSCGSPTAFCDHLPMAVVNAVVLLSVFAALGFLVVPYVKLRI >ONIVA03G06810.1 pep chromosome:AWHD00000000:3:4917143:4917970:-1 gene:ONIVA03G06810 transcript:ONIVA03G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLFHSCRSPSAADAVVTSTTTLLATDHPPFVPGSCPRRVPRRRPQQPPPGACAAGYAADDLTPARGTPAYRWLKSSQWHVIEAAGVTDDDHTPRLKIDARRRLRRSRRRLHRRADPVSGSSGDSGWFTSDEDSYANSCGVGVGGGEAETLVTSTTTESSSGASGNCGGSGEADGVVVAGSFAVVKRSDDPRADFRRSMAEMVVGRAIYDADGLERLLRCFLALNHQRHRRDIVAAFGDVWEAVFSNPTSSQRRIVTSDSAICKAAATVSNRR >ONIVA03G06800.1 pep chromosome:AWHD00000000:3:4898224:4901926:1 gene:ONIVA03G06800 transcript:ONIVA03G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETSSGTAERGAGAGAQQQPPPQPQPQPPAKKKRALPGMPDPDAEVIALSPKTLLATNRFVCEICNKGATRTCSCTGAGTTCRGSCGSGAGRRCGSGCTCAHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHTKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAAPPPPADEDGTSASAGAPPPQAPLPPPAPVPAPAPPPPPAAAPAPAAQPEQRDRDAALDQFATPAPAPAPPPVTAPPPPPVAAPNDCVSSSSSGVAPTSQSLLSSMFAPPSVAQAPQYADPIGVGAGGHQERAVPAKPPALCLAPNASSSLFTAPVPADRQQFAPPPPPSPSPHMSATALLQKAAQMGATSSSSSFLRCLGLDMSSSSSAPPSSSGQQQQHHHHHHQETMQVPLPASSLPEWPPRLQPEPSPMLSSGLGLGLPYDATGGPVSLPELMMGQSTLFSAKPATLDFLGLGVSPTGASTSRGFPTFIQPIGGAVSLAGSATVAAETFGAANGGQANPWERNPSSSPIL >ONIVA03G06790.1 pep chromosome:AWHD00000000:3:4884540:4889917:-1 gene:ONIVA03G06790 transcript:ONIVA03G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein [Source:Projected from Arabidopsis thaliana (AT5G42970) TAIR;Acc:AT5G42970] MDNALASAAAIADQRQKIEQYRHILSSVLSSSPPDISQAKRFLDHSNEHSIFAPLPVDSYGFCSGSGVTVGFGAAAVVSDEVPLVVSRQLLQTFAQELGRLEPEAQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDSVNAEAFINKASFLVTNSHQELLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRQIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKKALLPDKSTVLDRAMIEHNLLSASKLYTNISFDELGALLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIAGLCQALNDILDSMSSKGMAIPVILRSSPDRSGFSVSDCLGGTVRQTIARAGRLQTWSRALILLEPWEARYSDPV >ONIVA03G06780.1 pep chromosome:AWHD00000000:3:4881209:4885060:1 gene:ONIVA03G06780 transcript:ONIVA03G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDTTPRHPHVARAPPIACLYIIDRRVLSASPRIAPHTAGRSIGQKKRAERWGRMAVAAATVRWLVLLLAVSAAATASREKWWHGAGGEASGGGHLVQKEWRRVVAASDAGLVTAVDVADAAGTAYRLHFITMSPGTLFLPVQLHADMVFYVHSGRGKVTYIEEGDSESSSLQMERGDVYNFDQGTILYIQSNACGTRERLQIYAIFTSDSINADDPGHPTSEVYSCISDLLKGFEVEVLRPGFGVPREVVESIKSTKTPPAIIPYNPEEEDEDDSSNWTEEITDALWGVRDPHFLNKKKKDKQKDKHKGKDKKSKSKAFNFYSGKPDVENCYGWSRSMTNRDLETLRGSSIGMFMVNLTTGAMMGPHWNPRATEIAVVTQGAGMVQIVCPSIPSGESKKHHHDEEGGRGDHGHGGGGVRCKNSLFRVKEGDVLVVPRFHPMAQISFNNDSFVFVGFSTDMGHNHPQFLAGRHSVLQVIGKEILARSLGQDNSTNVGRLLSSQRESTIMACTSCAEELERKAEEEEEGGGGKGEKEREEEERRRREKEEEERRRQEEERKRREEEEKERREREEEERRQREKEEKKRREEEERRRREEEEEERRRREEEEEEQEDGRGDEPKPRREEEEGDWGERQIRLPRSLKKRFIGIKGRLSSG >ONIVA03G06770.1 pep chromosome:AWHD00000000:3:4877414:4880385:1 gene:ONIVA03G06770 transcript:ONIVA03G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDDSSDVPAAEAPAKRAPLNKYALACAILASMNSILLGYDISVMSGAQKFMKKDLNISDAKVEVLAGIINIYSLVGSLAAGRTSDWIGRRYTMVLASVIFFAGALIMGLAPSYAIVMLGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINAGVLLGYVSNFAFYRLPLHIGWRVMFLVGAVPPVFLAVGVLAMPESPRWLVMQGRIGDARRVLEKTSDSPAEAEERLADIKNAVGIPEGISDEDEVVAVVHKSRGSHGEGVWRDLLLRPTPAVRRILIACLGLQFFQQASGIDAVVLYSPRVFDNAGLHSDSDSIGASVAVGASKTLFILVATFLLDRVGRRPLLLTSAGGMVISLVTLASALHMIEHRPEGQATALVGLSIAMVLVFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRVVSGAVSMSFISLYKAITFAGSFYLYAGIAAAGWVFMFFFLPETQGRSLEDTVKLFGGDERDANGTVGREDGHGQNKSTELTTQQ >ONIVA03G06760.1 pep chromosome:AWHD00000000:3:4860001:4862878:1 gene:ONIVA03G06760 transcript:ONIVA03G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDDAVPVAVAPAKRPPINKYAFGCALLASMNSVLLGYDISVMSGAQIFMKEDLKITDTQIEILAGVINIYSLFGSLAAGMTSDWLGRRYTMVLAAAIFFTGALLMGLAPNYAFLMAGRFVAGIGVGYALMIAPVYTAEVAPTSARGFLTSFPEVFNNSGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPIFLGIAVLAMPESPRWLVMRGRIEDARRVLLKTSDSPDEAEDRLLDIKKAVGIPEDASDGEDVVAIVRANKASQGEGVWKELLLNPTRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFERAGIKSKTNSLGASMAVGVCKTFFIPIATLLLDRVGRRPLLLASGGGMAIFLFTLATSLLMMDRRPEGEAKALGAISIAAMLSFVASFASGLGPVAWVYTSEIYPVRLRAQAAAIGTGLNRLMSGATTMSFLSLSNAITIAGSFYLYASIAAAGWVFMYFFLPETKGKSLEDTVKLFGKDTDDDDDVDTSRHERKRSTELSAQH >ONIVA03G06750.1 pep chromosome:AWHD00000000:3:4848058:4852131:-1 gene:ONIVA03G06750 transcript:ONIVA03G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVANEIPLFMNQSPPHVWMLMSRPSVIIKLILGLLWFIVHLAISLFSLWFDLIYSIECYLISFGLIPKYRKFQLDRLKHLAVVVDSREAKNVAKINQLLCWLSNVGVKYVCLYDIDEYLQWPRVLKKTFAPAMNGSRYGNSGKYLDVGANTKALTCCHKEMTIECISGSDGKDGIAKAASLLCSTCVNGNRNTCGNGEIVFTEADMSGALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFGKFVFSFLENRNILQ >ONIVA03G06750.2 pep chromosome:AWHD00000000:3:4848058:4852131:-1 gene:ONIVA03G06750 transcript:ONIVA03G06750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVANEIPLFMNQSPPHMSRPSVIIKLILGLLWFIVHLAISLFSLWFDLIYSIECYLISFGLIPKYRKFQLDRLKHLAVVVDSREAKNVAKINQLLCWLSNVGVKYVCLYDIDGVLKKTFAPAMNGSRYGNSGKYLDVGANTKALTCCHKEMTIECISGSDGKDGIAKAASLLCSTCVNGNRNTCGNGEIVFTEADMSGALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFGKFVFSFLENRNILQ >ONIVA03G06750.3 pep chromosome:AWHD00000000:3:4848058:4852131:-1 gene:ONIVA03G06750 transcript:ONIVA03G06750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSPPHVWMLMSRPSVIIKLILGLLWFIVHLAISLFSLWFDLIYSIECYLISFGLIPKYRKFQLDRLKHLAVVVDSREAKNVAKINQLLCWLSNVGVKYVCLYDIDEYLQWPRVLKKTFAPAMNGSRYGNSGKYLDVGANTKALTCCHKEMTIECISGSDGKDGIAKAASLLCSTCVNGNRNTCGNGEIVFTEADMSGALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFGKFVFSFLENRNILQ >ONIVA03G06750.4 pep chromosome:AWHD00000000:3:4848058:4852131:-1 gene:ONIVA03G06750 transcript:ONIVA03G06750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVANEIPLFMNQSPPHVWMLMSRPSVIIKLILGLLWFIVHLAISLFSLWFDLIYSIECYLISFGLIPKYRKFQLDRLKHLAVVVDSREAKNVAKINQLLCWLSNVGVKYVCLYDIDGVLKKTFAPAMNGSRYGNSGKYLDVGANTKALTCCHKEMTIECISGSDGKDGIAKAASLLCSTCVNGNRNTCGNGEIVFTEADMSGALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFGKFVFSFLENRNILQ >ONIVA03G06750.5 pep chromosome:AWHD00000000:3:4848058:4852131:-1 gene:ONIVA03G06750 transcript:ONIVA03G06750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSPPHVWMLMSRPSVIIKLILGLLWFIVHLAISLFSLWFDLIYSIECYLISFGLIPKYRKFQLDRLKHLAVVVDSREAKNVAKINQLLCWLSNVGVKYVCLYDIDGVLKKTFAPAMNGSRYGNSGKYLDVGANTKALTCCHKEMTIECISGSDGKDGIAKAASLLCSTCVNGNRNTCGNGEIVFTEADMSGALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFGKFVFSFLENRNILQ >ONIVA03G06750.6 pep chromosome:AWHD00000000:3:4848058:4852131:-1 gene:ONIVA03G06750 transcript:ONIVA03G06750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSVIIKLILGLLWFIVHLAISLFSLWFDLIYSIECYLISFGLIPKYRKFQLDRLKHLAVVVDSREAKNVAKINQLLCWLSNVGVKYVCLYDIDGVLKKTFAPAMNGSRYGNSGKYLDVGANTKALTCCHKEMTIECISGSDGKDGIAKAASLLCSTCVNGNRNTCGNGEIVFTEADMSGALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFGKFVFSFLENRNILQ >ONIVA03G06740.1 pep chromosome:AWHD00000000:3:4844283:4846677:-1 gene:ONIVA03G06740 transcript:ONIVA03G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant-specific TFIIB-related protein [Source:Projected from Arabidopsis thaliana (AT4G36650) TAIR;Acc:AT4G36650] MSQPTQCPYCRASGPARCVTTQPPLSRAVSECSSCARLVLERHLHTHPFFPLLPSLHPLPLVTPDLADAAPSPSPSAASASGDDDDDDPFLPAGFVSAFSAFSLERHPVLARSASAFSGQLAELERALAVESAASSTPDPAGPMVSVDSLRAYVQIVDVASILRLDRDIADHAFELFKDCSSATCLRNRSVEALATAALVQAIREAQEPRTLQEISTASNLPQKEIGKYIKILGESLKLSQPLNSNSIAVHMPRFCSLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPATPPEKAFPMTTIYSSRSSSGKDLYQDKQLDSAKLKSSEAAEPDHMVIVKEEEDKKIGPFSRPSAKTETHDLNQAIWTPNVSSTPFSSSPKLDHDKTETSVRGINLNEASCTMDTDRPDMPVKSPFAERWLNESKVIPSPSRQPAPWQLKQGAPSAGSSYHSMPYGLDLLSRGKRNTGDGGDKEGR >ONIVA03G06730.1 pep chromosome:AWHD00000000:3:4840168:4843407:-1 gene:ONIVA03G06730 transcript:ONIVA03G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSASSTLPLLSLHRAAGNPNPAALSFPPPLRAPPLRSRAAAAAASAAAPPAETIPDMPEETEGTGIPMPSSIGDDGEQLLFGATAGKEIVTRSCSQLHSSLSDSSNVSQEKIVITNRYGEKIVGLLHEAGSNDIVVLCHGFRSSKESRTILCLSDALTSENISVFRFDFTGNGESEGTFQYGNYYKEVDDLRDVILHFKKHKRDTRGIAGHSKGGNVVILYSSIHRDVASIINMSGRFDLRRGIADRLGSDYMEKIDRYGFIDVGVKTGRSIYRVTKESLMDRLKIDMKSSCMSIDPKCRVLTIHGSDDDIVPSEDALEFDKYISNHELSIIEGADHRYSLHHLELATIVLKFINVSSVYLWKLLFSGTLMPFRVNVFCFQLAPKQKIRIKLRSYWVPLIEDSCKKIIEAAKTTNAKTMGPVPLPTKRRVYCVLNSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVEVKL >ONIVA03G06720.1 pep chromosome:AWHD00000000:3:4825727:4826674:-1 gene:ONIVA03G06720 transcript:ONIVA03G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVDKWPPAAYLCRLPEKFYCVLPDCTATDRPVVTASAAPAPAASGSSGDYVWDVLRAEAQDDADDEPLLRKFYHDLVLSRPSLESALASLLAAKLCIPGALPQDQLRDLLAGALAAHPEAGRAARADLVAARDRDPACAKMVHCFLYYKGFLALQAHRAAHALWSDNRRAPALLLQSRASEVFGVDIHPGARIGCGILLDHATGVVIGETAVVGYDVSILHGVTLGGTGKESGDRHPKVGDGVLIGAGASVLGNVHIGDGAKIGAGAVVLRDVADGTTAVGNPAKPIIGKKAAPLRRPEELPGVTMEQRWSD >ONIVA03G06710.1 pep chromosome:AWHD00000000:3:4810784:4811320:-1 gene:ONIVA03G06710 transcript:ONIVA03G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G48170) TAIR;Acc:AT5G48170] MGARPVPRREEVVVVTELELRMQLLGGGGNCYNINDNADLLAEILARLDGRSLAAAACVCRLWAAVARRDAVWEALCLRHVGPASGPTAGPATRAVVAALGGYRRLYRLCLGPALDRLGRGGGAIAHAHARARLSLSLSLSLFSIDCYERLGGGGGAGAGRQPQPSSLLFLCKPVDVS >ONIVA03G06700.1 pep chromosome:AWHD00000000:3:4797433:4797666:1 gene:ONIVA03G06700 transcript:ONIVA03G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GI01] MEAALIGKYGQPEFQWMKTCHLYKRFCAQAGGGVACAIAASVNMVGVALISAFNLFRLYGNSNGGGKATTTTMAGGK >ONIVA03G06690.1 pep chromosome:AWHD00000000:3:4791967:4792221:1 gene:ONIVA03G06690 transcript:ONIVA03G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GI00] MRQQQAGGVGDGVSPGNVPVCYYGPGGRVPSSLERRARAAEVLLRCAACGLAVLAAALLGADRQTRVFFSIQKVARYTDMQSLV >ONIVA03G06680.1 pep chromosome:AWHD00000000:3:4773258:4773674:-1 gene:ONIVA03G06680 transcript:ONIVA03G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGGSEASPSIIHTSSIALLQERFRNLQKVKEMREAGNKELNRVRPADAHDRAAAAGSASASALGLGLHHAAVNGTNEQPRWFLHPDLVRPPSRPLHHGSGVVQASPSTPGTTSPWTTMQNSGYRGDVDVDTSLHL >ONIVA03G06670.1 pep chromosome:AWHD00000000:3:4753554:4757231:1 gene:ONIVA03G06670 transcript:ONIVA03G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDGADNLDADMDNGAAQQQHDGYNVGAPPKKNLLAEFAGTVKETFFSDEPMRRYKDQPRSRKLWLALQHVFPVFEWGRQYTLAKFKGDLIAGLTLASLVIPQDIGYAKLANLPPEIGLHSSFVPPLIYALMGTSRELAMGPVAVISLLLGTLLQEEIDSKKNPLDYRRLAFTATFFAGVTQAALGFCRLGFIIAFLSHAAIIGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGAMELADNIDRSIIFGIPPGCQGKKNKKLFWVPAIAPLISVIISTLFVYITRADKQGVAIVKNVKKGINPPSASLIFFTGPYLLKGFKIGVVAGMISLTEAIAVGRTFAGLNDYQIDGNKEMLALGTMNVVGSMTSCYIATGGFARSAVNCMAGGKTPMSNIVMSTVVLLALLWITPLFKYTPNATISSIIISAVLGLFDFESAYLIWKVDKLDFMACLGAFLGVIFSSVEYGLLIAVVISLIKVLLHVTRPRTALLGNLPRTIIYRNVEQYPEATKVPGMLIVRVDSAIYFTNSNYVKERMLRWLRDEEEHQKEQKLPKIEFLIVDLSPVNDIDTSGIHAFKELLRTLEKRQIQLIFANPGAAVIQKLRSAKFTELIGEEKICLTVGDAVKKFAPQLTENV >ONIVA03G06660.1 pep chromosome:AWHD00000000:3:4743190:4747742:1 gene:ONIVA03G06660 transcript:ONIVA03G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDGGEAIDADIASRTSSHSHMDGGHHHHHHGHKVEFPPKKKLIDEFTDAVKETFFADDPLRQYKDQPMSKKVLISLQNFFPVLDWGRHYTFRKFRGDLVSGLTIASLCIPQDIGYAKLAGLLPNYGLYSSFVPPLIYAMMGSSRDIAIGPVAVVSLLLGTLLQNEFDPKKNQEEYTRLAFTATFFAGVTQAVLGFLRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGWNWQTILIGASFLTFLLVAKYIAKKNKKLFWVAAIAPLTSVIISTLFVYITRADKHGVVIVKYIKKGINPPSASLIYFSGPNLMKGFRIGVIAGMIGLTEAIAIGRTFAGLKDYKIDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSIVVLLTLELITPLFKYTPNAILSSIIISAVLGLVDYHTAYLIWKVDKLDFLACLGAFFGVIFSSVEYGLLIAVAISLAKILLQVTRPRTVLLGNLPRTTLYRNIDQYPEATLVPGVVIVRVDSAIYFTNSNYVKDRILRWLRDEEERQQEQKLQKTEFLIVELSPVIDIDTSGIHALEDLFRALEKRKIQLILANPGPAVILKLRSAKFTDLIGEDKIFLTVGDAVKKFAPKAVENV >ONIVA03G06650.1 pep chromosome:AWHD00000000:3:4731332:4758183:-1 gene:ONIVA03G06650 transcript:ONIVA03G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTRIETRCWVVPLRREDGFGSHDGKAMSGGAHDGMPWTVARATGGRWPAARGCCSLLSKGRGERFTSRQNWAYSSTNNNT >ONIVA03G06650.2 pep chromosome:AWHD00000000:3:4731332:4758183:-1 gene:ONIVA03G06650 transcript:ONIVA03G06650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNREWQPSYGLRGDSHRPALPSLLARKGTWPPTPRSPSTVDGGRRALAAGAPAAAVGIRRRRAPTASSRAVGPLRRAPLP >ONIVA03G06650.3 pep chromosome:AWHD00000000:3:4754371:4758183:-1 gene:ONIVA03G06650 transcript:ONIVA03G06650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNREWQPSYGLRGDSHRPALPSLLARKGTWPPTPRSPSTVDGGRRALAAGAPAAAVGIRRRRAPTASSRAVGPLRRAPLP >ONIVA03G06650.4 pep chromosome:AWHD00000000:3:4754535:4758117:-1 gene:ONIVA03G06650 transcript:ONIVA03G06650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLKHYPFSEKRSRSWRGTKILAPW >ONIVA03G06640.1 pep chromosome:AWHD00000000:3:4709530:4714192:-1 gene:ONIVA03G06640 transcript:ONIVA03G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAAAVETPVTVSTFGVSRHPDTARLVLSSPKPPGVREEFVGVVRKAFRPRASGGGGAGRTPPARWGWALTALQTVFPVLQWGRTYNFKLFRSDVMAGLTLASLGIPQSIGYANLAKLDPQYGLCKHQYFSFVAQKQLNFLLIFPERFLHHIFCYLLCGHRQDTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMVSKIVDPAVDPVTYRALVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGLSHFTNSTDVVSVIKAVCSALRDPWHPGNFLIGCSFLIFILATRFIGRRYKKLFWLSAISPLLSVILSTAAVYATRADKHGVKIIQRVHAGLNPSSASQLRLSGPYTVDCAKTAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMIAMGCSNVAGSLSSCYVATGSFSRTAVNFSAGARSTVSNIVMSITVFIALELLMKSLYYTPIAVLASIILSALPGLIDIKEALSIWKVDKMDFLTCLGAFVGVLFGSVEIGLAVALAISFAKIIIQSIRPQVEVLGRLQGTNIFCSIRQYPVACRIPSVLTIRIDTSFLCFINSTFIKERIIEWIREEVETSDEKARERVQSVVLDMSNVVNIDTSGISALEEIHKELASLSIQMAIAGPGWQAIQKMKLAGVVDQVGGDWIFLTVGEAVEACVTMQKGTALEC >ONIVA03G06630.1 pep chromosome:AWHD00000000:3:4701843:4705961:-1 gene:ONIVA03G06630 transcript:ONIVA03G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQPFPQGVHPNTSEPAMEPMASEPSVPIGTAFADLLLQGPEHPSLWNDLTGMFRKAFRWRGADKRFTLSVYVMSVLQGLFPILDWWKTYNLKFFRSDLMAGLTLASLSIPQSIGYATLAKLDPQYGLYTSVVPPLVYAVTGSSREIAIGPVAIVSLLLSSMIQKIVDPSVDPAFYRKMVFTVTFLTGVFQFAFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGLSHFTNRTDVVSVTKAVWVSVHETWHPENVFIGCSFFMFILAMRFIGRKYKKLFWVSAIAPVLSVALSTLFVYATRADKHGVKIIQKVNSGINASSVEQIDLKGGYAAECAKIALVCAVIALTEAVAVGRSFSAINGYRLDGNKEMVAMGFMNIAGSLSSCYVATGSFSRTAVNFAAGCKTTVSNIIMAATVMVALELLTKLLYYTPVSILASIILSALPGLINVQEVCFLWKVDKMDFLTCMGSFLGVLFGSVEIGLSVALLVSFAKIIIQSIWPQVEILGRLQGTEIFCNVKQYPVVHETPTVLTVRIETSFLCFVNSSSIKEKIMGWVTDEREAFRSVVLDMSNVVNMDTSGLAALEELHKELACLGIQMAIAKPGWQVIHKMKLARLVDGIGEGWFFLTVGEAVEACLANKAGNALECC >ONIVA03G06620.1 pep chromosome:AWHD00000000:3:4696918:4700460:-1 gene:ONIVA03G06620 transcript:ONIVA03G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNase L inhibitor protein-related [Source:Projected from Arabidopsis thaliana (AT5G10070) TAIR;Acc:AT5G10070] MGYNRRRGRGSSSSSSSRRTKQEAAWDDDTEEEPKGPKIQLAMWDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKEDRHIMQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEETAHLLLGKFKWGHSFLSVNRELLKAYSQCENGTEIINVQNSWLSSNSNVPKSPVNAAEKSHQSTEEGSDADSDDGLPPLEENLNHLNFNQDDESEEESESDE >ONIVA03G06620.2 pep chromosome:AWHD00000000:3:4696918:4700460:-1 gene:ONIVA03G06620 transcript:ONIVA03G06620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNase L inhibitor protein-related [Source:Projected from Arabidopsis thaliana (AT5G10070) TAIR;Acc:AT5G10070] MGYNRRRGRGSSSSSSSRRTKQEAAWDDGPGTSLPRQEGTDFFWLFMNNTEEEPKGPKIQLAMWDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKEDRHIMQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEETAHLLLGKFKWGHSFLSVNRELLKAYSQCENGTEIINVQNSWLSSNSNVPKSPVNAAEKSHQSTEEGSDADSDDGLPPLEENLNHLNFNQDDESEEESESDE >ONIVA03G06610.1 pep chromosome:AWHD00000000:3:4691521:4696749:1 gene:ONIVA03G06610 transcript:ONIVA03G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGD2-like defense response protein 1 [Source:Projected from Arabidopsis thaliana (AT2G13810) TAIR;Acc:AT2G13810] MPVNMISKLLEKAVLPALDVAPPVKIGGPRRTSVLRNPNMEKLQKGYLFPEISIKREEHLKKYPDAKVISLGIGDTTEPIPSIVTSAMAEYALALSTPEGYQGYGPEQGHKNLRKEIADKVYPDMGIKESEVFISDGAQCDIARLQTLFGPNVTIAVQDPTFPGYVDNGVIMGQTGKADDGGRYAGIEYMRCAPENAFFPDLSRVRRTDVIFFCSPNNPTGHAASREQLRQLVELARRNGSIIVFDSAYSSYISSSSSSSTPRSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWAVVPDELLYSDGVPVARDFDRVVCTCFNGASGIAQAGGVACLSTEEGRGAVARVVGVYRENARVLVETFRSLGKEVHGGGDAPYVWVRFPGRRSWDVFAEILEKTHVITVPGSGFGPGGEGFIRVSAFNSRDKRNSNDFSKRNAIRVL >ONIVA03G06600.1 pep chromosome:AWHD00000000:3:4683172:4685742:-1 gene:ONIVA03G06600 transcript:ONIVA03G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGPERCGRGGRRRIRGGGSGAERGQRRAEQAPRRASSREEAGRRHQRGKKLSPASCPLRPSPHRSSGSLSRRSSGGLALRWSNGVPAGRLGRVRWPGRPRGGPTAWPRAERW >ONIVA03G06590.1 pep chromosome:AWHD00000000:3:4679091:4681839:1 gene:ONIVA03G06590 transcript:ONIVA03G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLLAGVLLLQLAASAAGQQQCLSATFQNGRTFLKCNPLPVLGASLHWTHHAENGTADVAFRAPQQSSGWVAWGINTRGTAMPGSSVFIASQDGSGAVSVLMTVLESTSPSLTNGSLSFDVLSPPAADYTNGVYTIFATIALPNNSTTQNTVWQAGPGSTGNVGQHATSGPNVQSMLRLDFSSGQSTGTASNSRLHRRNIHGILNAVSWGILIPMGAMIARYLRVFEAADPAWFYLHITCQLSGYILGVAGWALGLKLGSESKGITYSAHRNIGIAIFCLATLQVFALLLRPDKKNKYRFYWNIYHHSVGYSAIVLAAVNIFKGLDILKPASGWKRSYIAILATLAGVALLLEAITWAIVLRRKKSDKSSSPYGATNGNGRA >ONIVA03G06580.1 pep chromosome:AWHD00000000:3:4668309:4668890:1 gene:ONIVA03G06580 transcript:ONIVA03G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGRSAWLLAAALLLYAAAAQPDCANATFQAGRTYRRCDTLPVLGASLHWTYHPANGTADVAFRAPQSSSGGWVAWGINTQGAGMVGSSVFIASQINATGAGAGGVSVVTTVLESFSPSLRNGTLRFDVPAPPAAEYSAGAYTIYATVALPGNSTTQNMVWQAGPVRGGAIAMHPTTGANLRSTKKHDFTS >ONIVA03G06570.1 pep chromosome:AWHD00000000:3:4661832:4667595:1 gene:ONIVA03G06570 transcript:ONIVA03G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G04845) TAIR;Acc:AT2G04845] MESSSSAAAAVDGEDGGGSKRSWYAVGERAVLVPYLREHVPRYHGWMQDPALLEATASEPLSLDQEFDVHRSWTLDPLKHTFIVLDKDLIEGGFAVGDPHTEAMVGDVNIYMNDPDDLQLAEIEIMIAEHKSTFCYSRGKGLGQEAILIMMAFAVEKYGIHTFRAKINESNTASLKLFRKLGFKDASYSSVFKEVTLEAPATALPLVSPLTIGNW >ONIVA03G06570.2 pep chromosome:AWHD00000000:3:4661832:4667595:1 gene:ONIVA03G06570 transcript:ONIVA03G06570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G04845) TAIR;Acc:AT2G04845] MESSSSAAAAVDGEDGGGSKRSWYAVGERAVLVPYLREHVPRYHGWMQDPALLEATASEPLSLDQEFDVHRSWTLDPLKHTFIVLDKDLIEGGFAVGDPHTEAMVGDVNIYMNDPDDLQLAEIEIMIAEHKSRGKGLGQEAILIMMAFAVEKYGIHTFRAKINESNTASLKLFRKLGFKDASYSSVFKEVTLEAPATALPLVSPLTIGNW >ONIVA03G06560.1 pep chromosome:AWHD00000000:3:4658010:4659263:-1 gene:ONIVA03G06560 transcript:ONIVA03G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:Projected from Arabidopsis thaliana (AT2G04850) TAIR;Acc:AT2G04850] MRQQRCATLLASLLQLVAVAVLPAAAAAAAAAAAGGAAGRCTTSTPLKTYAKCIALPTQGATLAWTYDARNATLDAAFTGSFISPSGWVAWGVNKDAPAMTGARVLAAFSDPSTGALLALPFLLSPDVKLQASPLVSRPLDIPLLASSASLVGPARTVRDGATVTIAATIRLSPNRTKLHFVWNRGLYVQGYSPTIHPTDASDLASHATVDILTTATEASPTASATLQWTHGSLNALSWGFLLPVGAAVARYLRPCASTGPAWFYAHAAIQATGYALGAAGFALGLVMGSASPGVTYKLHRGLGIAAATAGSLQTLAMLFRPKTTNRYRKYWKSYHHLVGYGCVVVGVVNVFQGFEVMGLGASYWKLGYCMALATLAGGCVALEVNAWVVFCRRQQEEKLMRREVEDVVVKDRAAAF >ONIVA03G06550.1 pep chromosome:AWHD00000000:3:4649414:4650622:-1 gene:ONIVA03G06550 transcript:ONIVA03G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQTGPVSEPPMLNTEIITQKVTGFNTETTTKEKPIGYLDVFVHQARDIHNICIYHKQDVYAKLCLTSDPDVSCSTKVINGGGRNPVFDDGLRLDVRTVDASLKCEIWMLSRVRNYLEDQLLGFALVPLADIVMADGKLVQEFSMTSTDLLHTPAGFVQLSLSYVGCSPDVIPIPAPNKSALVVNGSGNDSSVPCELEKIEFPDLNVVNENQIMVSKYFEMETLSYEDSVKVDNPKLVQSDAAVPGTELFNKNLDEYREGSPQSCVSTTDYSTATSVTPHSVSEPSDTILAASPTGSQREKSQDVTDGEADSSDVPLKGEVVKPVISINLNPGESVVQEDIVNMYMKSMQQFTESLAKMKLPLDVENSSPSTENNTDSITAEKPSPSKGSRVFYGSRAFF >ONIVA03G06540.1 pep chromosome:AWHD00000000:3:4643034:4646173:1 gene:ONIVA03G06540 transcript:ONIVA03G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPWAVKKGLLCGRTHSGRSSATGSAAAAALRRLLSPSASASASSPSRSSVAVPVPVRERRRSVLPIQIAPPYPPTRRTPPPTVGPRRLRLPVKIRHRAAVLRCLRLLSEIRSTAQPLLCTSASATKLLFCLRLTESWWPCVDLNFRIQGSDILPLSAEYTFFFRPLRFLSAAGRLLGKDRTSMSPETLEASICLKDWIIGFEDDEKGYATEGSRICDLEDYVE >ONIVA03G06530.1 pep chromosome:AWHD00000000:3:4641022:4641948:1 gene:ONIVA03G06530 transcript:ONIVA03G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVAGNEQCYCPECHRTTVVVVDHATGDTICTECALVLEERYIDETSEWRTFSDAGSGEDRDPNRVGGCSDPFLSHAELGTVVAPAKRQAKDTASPPHVRVDSKSGQDSSLAVAFRAISDMADRLQLVAIIRDRAKELFKKMEEAKLCARVRNRDAAYAACLHIACRNEGNPRTLKELASVMRDCQDKKEIGRMERIIRRHLGEEAGTAMEMGVVRAADYMSRFGSRLGMGKPEVREAQRAAQTLEDKLDVRRNPESIAAAIIYMVVQRAGAQTSARDVSKASGVAEATIKEACKELSQHEELLFSS >ONIVA03G06520.1 pep chromosome:AWHD00000000:3:4632184:4634882:1 gene:ONIVA03G06520 transcript:ONIVA03G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPNGPSSPVDMVVDYYLYDYEYAEPPRVTSLQNAVPQRTFSDFGDDVYFVADQRGYESVVHYLAGQYLNTDDSGNVADPRLQLNKVVREIYSSSGVTVKTEDGSVYQADYRHGLCQLGSSDLIQFKPQLPKWKILAIYEFDMAVYTKIFVKFPKRFWPEGEGREFFLYASTRRGYYGIWQQYPDSNVLLVTVTDKESRRIEQQSDNQTKAEIMEVLRNMFPDQDVPDATDILVPRWWSNRFYKGTFSNWPIGVNRYEYDQLRAPVERVYFTGEHTSEYYNGYVHGGYLAGIYSADILIDCAQNQMCKYHVQGKYD >ONIVA03G06510.1 pep chromosome:AWHD00000000:3:4622175:4631046:-1 gene:ONIVA03G06510 transcript:ONIVA03G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASSAIHHAATGTPRPRKPSIPPVTMPNAQATGRRFIKGPICISSPMQNPTLVHGNITTQIKRA >ONIVA03G06500.1 pep chromosome:AWHD00000000:3:4598431:4602776:-1 gene:ONIVA03G06500 transcript:ONIVA03G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHX6] MAEGETPPSSSAAAAAPVLAALASLQTYSSALSAFTSAWRALYSDATALDSTLASRLEGFSELDLLCSAMDGPGLRAYLTEHRDALQDSSLPALDAALLVAPDPGRLVLSAAAGFCRAPPTEGAAKVACRLLVDLLDRLRALGVKPSPEARDEARAIAADWKRSKRIGPQAVLKKETIAFLLLVGAFGLVDDVGGASEVLDLVVSVSGRERAVEAFVGLGLDLEKHMPGMSVCVNINMKTLFPPVFIHTMIKKGKQLEAVKFIQALNLVEKYPLLPVLRSYISDAAKAGNMIRIRGDDSACQTEADAKERMLLGVLQKFIKDQKLEELPILEIVKQRLAHLEKKSVERKRAASAAIEAAHEVSKKIQKQEKQQQQVQSAIPSRVPGKAAQNSLSQNIHSVDSLSRPLMSSQSMGISGVLNLYQAASSQNIIPAISPSPLSQHPVGIKNQTLNTPPVQTRYGGLADYYGLSSGRPRPDSVSPGSSVTSAHTSSRSKLYSADPLAAVSRASDKKGSSYNYSLSSMSTYIPNP >ONIVA03G06490.1 pep chromosome:AWHD00000000:3:4594194:4596698:1 gene:ONIVA03G06490 transcript:ONIVA03G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKQPAASPPPLQQQRTAYPASFEAYFSAMGLGGASSSGQEPQTPRQAQLQQRYATPNSPLMLPQPPPSQGGVGGGQGGVHPLMQQHQMMLAQMRATQLQQQQQQQTSSYCVGEQGSSTNGYFWPVGHGGVSPAEAAAHAQGSSDYAMLLAADRPMPLAADRILPSAADGSSSDYLSRFANGYANNAAAAAPAAPRPPVAPRPCTLRANASQYQPIGASSRSAVASPSPPRTRRHPYPHPANNYNPSAAIADYQERLVVMNALRANPKDPLWRGVSRISQGRTPEEIRSDMLRGPMPLQLVFFQESAAHVIRLLDEGAETGVDQYRLSALAAIKSDVHRVMEDREGCQVFMALVRACAEQEDEIHAIIAAAAAASAPPVDGNGKHKTTQLLRVTGQDYGEASLRSLILAAARYPDLCKLLTDCLVCERVMDHAKGDRLLHDCFRAMNYEDSKILIKFACYHANKMLLASSGSRCLVECFMNARGEELEHLEQLILANATMIAKGHYSNYFMQKVLEHGSEALKRELVALLMADVVSLSRQQFGSYVVEACFLKGSSDLKRIVISTFVSLTNDQLADVVQCGYGNYVIQKLVEACKDDYPEETILLARRIERLPGEVLDRMSAKQVMKVVRRLFPRHRIY >ONIVA03G06480.1 pep chromosome:AWHD00000000:3:4590810:4593767:1 gene:ONIVA03G06480 transcript:ONIVA03G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHX4] MGLLFVQRLDGNGVFKCRRCRVDAASKDAIISKEFQGSSGRAYLFDHVVNICLGPNEDRYLITGLHTVNDIYCSCCQQILGWRYEKAYDQSQKYKEGKFILERARMWKDS >ONIVA03G06480.2 pep chromosome:AWHD00000000:3:4590810:4593396:1 gene:ONIVA03G06480 transcript:ONIVA03G06480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHX4] MGLLFVQRLDGNGVFKCRRCRVDAASKDAIISKEFQGSSGRAYLFDHVVNICLGPNEDRYLITGLHTVNDIYCSCCQQILGWRYRVLPQEKAYDQSQKYKEGKFILERARMWKDS >ONIVA03G06470.1 pep chromosome:AWHD00000000:3:4587418:4590513:1 gene:ONIVA03G06470 transcript:ONIVA03G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASNRPYRYPDNSQIPYYSRSSMHVGQNGTYHVQQNHEDLYASSDDGSQNGNSKAQGLQAQYCTLDSSSGNFMYPAHSSTSSHISGSPISQQDSHSEHTSGSPASASCVTEVPGLRFTTIEEIENAMFGPEPDTVSSDCSLLTDSAFYQDNWREHLGINTGDLKQVIAACGKAVDENSWYRDLLISELRNMVSISGEPMQRLGAYMLEGLVARLSSTGHALYKSLKCKEPTSFELMSYMHLLYEICPFFKFGYMSANGAIAEAVKGENFVHIIDFQIAQGSQWATMIQALAARPGGPPYLRITGIDDSNSAHARGGGLDIVGRRLFNIAQSCGLPFEFNAVPAASHEVMLEHLDIRSGEVIVVNFAYQLHHTPDESVGIENHRDRILRMVKGLSPRVVTLVEQEANTNTAPFFNRYLETLDYYTAMFEAIDVACPRDDKKRISTEQHCVARDIVNLIACEGAERVERHEPFGKWRARLSMAGFRPYPLSALVNNTIKKLLDSYHSYYKLEERDGALYLGWKNRKLVVSSAWRFFRGFLVDA >ONIVA03G06460.1 pep chromosome:AWHD00000000:3:4572695:4581432:1 gene:ONIVA03G06460 transcript:ONIVA03G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) TAIR;Acc:AT3G49600] MSRPNTRNKSKRPRADDCESPSAVFKKIHSTGAITKGDIKQLYMVWKPVCHGCHGNSKDSPNCFCGLIPAANGVRKSGLWQRTNEIIRALGPNPSTDLRDSTETPAGLTNLGATCYANSILQCLYMNTSFRLGIFSLEPDILKMHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEGSLSHSKVPGARTIVQHLFRGSVSHVTRCSSCGRDSEASSKMEDFYELELNIKGLNNLEQSLDDYLSTEALDGENQYFCESCQKRVDATRCIKLRSLPPVVNFQLKRYVFLPKTTTKKKISSAFSFPGQLDMGKRLSNPSSSYTYGLSAILIHKGSAANSGHYVAHVKDESNGQWWEFDDEHVSKLGLHPFGEKPGKSSNKTDQKPQGSSTADSVTNDDNNSCHEAAFTSTMEEMFSSTDAYMLMYKRIAKDENGIESNNISSNNSLPHHFVDEIDERNTSYVKECEEYESKKDVHLAYITERRQEVKSVLTEAPATPEEDSYFWISTDWLRQWADNVNPPSPIITGVRVHSSIDNSPIQCEHGKVPASKVTSMKRLSAGAWHKLFSKYGGGPTLSSDDFCMECLKDGAKNSVSADVYRDRKASLRSIAEAALAGNNPDGPLYFVSRPWLTQWLRRKNVDIPSDADSGPTIALTCTHGNLLPEHASGAKRVTVPEDLWLFLYETSGMKIDDIVTFPSDSQPCGICSQQLSVVASVEDNLRAVKLKQRQSHEKLTSGKSLALHPGQKYYLVPSSWLSEWRAYITATGKNISSLPEPQSLEVTINSLICEKHSRLLQRPLDLVCKRGTITQKASNTDGLTMISESDWVLFSEEWNVAHGKGLCAEIVFSKSSQDNLQSSEAVPILVEDLDQSTNDLSNDLGGREPYVRTDPEVCEECIGEKESCALVEKLNYQNEDIQVYLVRGKEAPKSIREASAAVPVPDRRTSKRSRRTTSGNSISLKVSGSTTVYQLKLMIWESLGIVKENQELHKGSVEIEDDFATLADKCIFPGDVLWVKDSEIYENRDIADEISEQKVVVQTEEGFRGTLLTSSASAQLCQDISFSD >ONIVA03G06450.1 pep chromosome:AWHD00000000:3:4565759:4569449:-1 gene:ONIVA03G06450 transcript:ONIVA03G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRTMILLASPLASPIALPPAAGVVSQSDPRWGPPSCSVGPSSPKPAINPPRSTSLRFHSLPSFSFSLASPA >ONIVA03G06440.1 pep chromosome:AWHD00000000:3:4561670:4565699:-1 gene:ONIVA03G06440 transcript:ONIVA03G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPHVRYGAAEIESEYRYDTTELVHESHDGASRWVVRPKSVRYNFRTTTTVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYYGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDLVFGGWDISNMNLADAMTRAKKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQMEQIIKDIREFKEKSKVDKVVVLWTANTERYSNVCVGLNDTMENLLASVDKNEAEISPSTLYAIACVMEGIPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEEKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYKGIEDGVIKTENRGQMAKFIGDALTASN >ONIVA03G06430.1 pep chromosome:AWHD00000000:3:4557067:4558591:-1 gene:ONIVA03G06430 transcript:ONIVA03G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRTRSTAMAAWSYSSLLLQLLLLSMVAVLDGAATTGGGGAGAPAPAADCTDALLSLAGCLSYVQEGSTVAKPDAPCCSGLKGVVKKEVACLCQAFQGSQNFGVTLNMTKALQLPAACKVKTPPFSKCHLSIPGVTGGAPAPAPFSGAPFFGGSSPSASPAGTGSDSAAATVRAPAPSPSAAVRPKETKAALFSAAVIAAATLLAHRA >ONIVA03G06420.1 pep chromosome:AWHD00000000:3:4551667:4558942:1 gene:ONIVA03G06420 transcript:ONIVA03G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEARAAGCSPAPPRAPAASCGAAAELCLCSPTGVEGIEQVPGCPCFEDAGAVVVSGEAPEGPGVLCSEDGAELKLAEQGALDVRLGSPAVGIHEQQLLHRGTSGSDEAGAINEISPVEVSPSEASSNLDTAGAIGGSPLMLESLPETSDTRGCEQEVMPGVVVGSSNRDASSEVGVESECGSDADGRNGLGEGELVSSVDGGGAEKSSKVTGVLSEEGVDGMETALEPCVASVGSITQVEEGVDRMETSLDDSEASDGSTTQDFDTDVETESSGSSIEEQDTGYGVHIPHTEQAICEVARGNKSSEVKSSDRMSSVTLPTLILASGAAMLPHPSKVLTGGEDAYFIACDGWFGVADGVGQWSFEGLYARELMDGCKKAIMESQGAPEMRTEEVLAKAADEARSPGSSTVLVAHFDGQVLHACNIGDSGFLVIRNGEIYQKSKPMTYGFNFPLQIEKGDDPFKLVQKYTIDLQEGDAIVTATDGLFDNVYEEEIAAVISKSLEAGLKPSEIAEFLVARAKEVGRSATCRSPFSDAALAVGYLGYSGGKLDDVTVVVSVVRKSEV >ONIVA03G06410.1 pep chromosome:AWHD00000000:3:4544850:4547129:-1 gene:ONIVA03G06410 transcript:ONIVA03G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLFSLVSRRFPKNLAPISFASSSRLAPSTNPSGNCDLQPLDLSPEAPDLREVVDLVAGGGVSESGAKMTEAWVRHKPGMASVKDMPVLQDGPPPGGFAPVRYARRIPTKGPSAIAIFLTTFGAFAWGMYQVGQGNKVRRALKEEKIAARTALVPVLQAEEDERFVKEWTKSLMWEEIIMKDVPGWKVGQSVYNSGKWMPPATGELRRED >ONIVA03G06400.1 pep chromosome:AWHD00000000:3:4531261:4534827:-1 gene:ONIVA03G06400 transcript:ONIVA03G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF966) [Source:Projected from Arabidopsis thaliana (AT5G10150) TAIR;Acc:AT5G10150] MEATVEGRKVVGRAEASPERGRPAYAPPVRSAPARPMRKVQIIYYLCRNGQLEHPHFMELAQHPHQPLRLKDVMDRLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSENDVIYPSDGVEYVLKGSEIFPGCSSDRFPHLRVTDRSPAKRLALPPSHKQYVDSYRDDAAEDPEDDELGYGYHRRAGGARLAAKPVSARTNRSRPVELPVEETSPPSSTSSDKPPAAPQLQPGGGRGSDETESNRAGSMLLQLIACGSAAGGGSAKCRTEPRRSCGLVSRLAAASRAGTEVDDDEEAGGGGELSRRFGHLAVEEKEYFSGSIVESGVRGTPLPSSSLKRSNSYNEERSSRLGVSEERSTTDEQMEGEEGMIRGRCIPGRKKQHKRVLAFA >ONIVA03G06390.1 pep chromosome:AWHD00000000:3:4528292:4532884:1 gene:ONIVA03G06390 transcript:ONIVA03G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHW4] MMMMNYRALWLWLSVAIVFSVQSCRALAAGEGSKEADKIAALPGQPPDVKLQQYSGYINVNETSGKSLFYYFVEASVDAAHKPLLLWLNGGPGCSSMGIGAFQEIGPFRVDTDGKTLCRNPHSWITAANLLFLESPVGVGFSYAAVKPQEVYSTIGDNMTAHDSHTFLLRWLDRFPEYKTRDLFIVGESYAGHYVPELAVTILDNNLLPHATPIKLKGIAIGNGILEFAAEQTQLYEYLWQHAFISDSAHALITQSCKYPDDHPSALCESARKAAYSRIGNIDIYNIYSSTCHEQKVRPSASKCMDLADPCSQYFVEAYMNQPQVQKTIHANTELKYPWTRCRVYNLDHFGDSPKSMLPSIKAVITGRIRIWIFSGDLDAMVPVTATRQSMERLQLRVAADWRPWSADGKDVAGYVIAYDGLVFATVRGSGHMAPIDQPERALVLVSSFIRGQPLPPPQPDQHDA >ONIVA03G06390.2 pep chromosome:AWHD00000000:3:4528292:4534014:1 gene:ONIVA03G06390 transcript:ONIVA03G06390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHW4] MMMMNYRALWLWLSVAIVFSVQSCRALAAGEGSKEADKIAALPGQPPDVKLQQYSGYINVNETSGKSLFYYFVEASVDAAHKPLLLWLNGGPGCSSMGIGAFQEIGPFRVDTDGKTLCRNPHSWITAANLLFLESPVGVGFSYAAVKPQEVYSTIGDNMTAHDSHTFLLRWLDRFPEYKTRDLFIVGESYAGHYVPELAVTILDNNLLPHATPIKLKGIAIGNGILEFAAEQTQLYEYLWQHAFISDSAHALITQSCKYPDDHPSALCESARKAAYSRIGNIDIYNIYSSTCHEQKVRPSASKCMDLADPCSQYFVEAYMNQPQVQKTIHANTELKYPWTRCRVYNLDHFGDSPKSMLPSIKAVITGRIRIWIFSGDLDAMVPVTATRQSMERLQLRVAADWRPWSADGKDVAGYVIAYDGLVFATVRGSGHMAPIDQPERALVLVSSFIRGQPLPPPQPDQHDA >ONIVA03G06380.1 pep chromosome:AWHD00000000:3:4527974:4529675:-1 gene:ONIVA03G06380 transcript:ONIVA03G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYTSCGFTAAYEKPTPTGLSRNSSFTSGGWPGSAAILSASLEPSPAARARHDCTEKTIATESHNHNALPIDNEIKPGPNSPDNQKPRP >ONIVA03G06370.1 pep chromosome:AWHD00000000:3:4524682:4527882:-1 gene:ONIVA03G06370 transcript:ONIVA03G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKKAKPSRSRKKAKPSPDQAVALDYVRAWAHPAASAPPPEPSAADAAGDDFLPHQAARMASGGGGSVLFELHSHSNHSDGFLSPSELVERAHRNGVKVLALTDHDTMAGIPEAVSAAHRFGMRIIPGVEISALYSPKEIAGTGEHVHILAYYGMCGPSRFDDLERMLSNIRDGRYLRARNMLAKLDRLKVPIKWEHVTKIAGEGVAPGRLHIARAMVEMGHIENIRQAFNKYIGDDGPAYATGSEPFAETVVQLINRTGGISALAHPWALKNPDAVIRALKGAGLNALEVYRSDGKVDGFSELAEKYDLLKIGGSDFHGRGGKDESDIGTVKLAITTLCSFLKMARPIWCSAMKDILLKFTEEPSTTNLGKMVMFGELINFNGFSSTGSGMDIVNLCLSSWLSNNGMEEVELEELVKSCT >ONIVA03G06360.1 pep chromosome:AWHD00000000:3:4519236:4520132:-1 gene:ONIVA03G06360 transcript:ONIVA03G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVDWCGRGSNLPAAMYDMVVDSKELMGALAPSMVSFSYPCSEQSASSLLAGANYLTPAQVLHVQAQLQRLRRPGAASGCLAAAPPLPMKRHGAVAVAAAAAAARAPVKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDSAAFRLRGESARLNFPELRRGGAHLGPPLHAAVDAKLHAICHGMDLPQPQPQTQSNATTTTMSTTATNTPSPFFSSESPVVKSEPVCSASESSSSADGDVSSTGSSDVVPEMQLLDFSEAPWDESESFLLHKYPSLEIDWDAILS >ONIVA03G06350.1 pep chromosome:AWHD00000000:3:4514242:4515048:1 gene:ONIVA03G06350 transcript:ONIVA03G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRALPPPVPPASPDPAASSGAVSTAFGSPLDPVAARPHQPRDTYVVHVQKDQVYRVPPPENAYLAERYRAEGGGGKGGACSPCALRTLGAVLAAALLLGAAAALSAVVLRPDAPSFVVDNLSVHTNASRQHHVAYDFFLTAINPNKVTALWYGGRGTARLAHKGNALAKGSVGEPEDGGEDAMGFNVLLHGTQRDGRTPRAVEKALRGSKGAVTLDLAVEFAVQVHAGALGFERRTLAVSCHITAAGLRKDVHISSQTCKSRFGN >ONIVA03G06340.1 pep chromosome:AWHD00000000:3:4502852:4507584:-1 gene:ONIVA03G06340 transcript:ONIVA03G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCAKVVAAAAAEEREMELLLSEIPQVTAPHGQRGGRGGGGGGGGGAAQCYGLHGPARYHAHAGAPARYGGDPCFPLVLNRRDDGGGQQGGARGAIHVPLSGGFASSPASSTSAGSAPSPGSDRFVGRSPSPMVQATTDEDTERLASQLDGLLVGDAPAADALAAALMPPQGSPASAAKNVYLPDVSAVHGAYNNGYNFGAPGYSLHHEPGVLADQAMASGYVAPSQCFPVDVGLDGYGGFPPSLGTSVGSFMYTRTRNSSGIGWEQGLVHPDHARPVLLPGQSGAEHNWGYAGTGQISLDSRGRSLPKSPYEYSVAAARDIGYMKGGFNQMEPFCDGRKNVPFLNRANERRFQQHVNNRSVELESPGMLMYENIVELESPRMLRYENMVGSKGYIYFMAKDQNGCRFLQQKFEEGKDQADLIFEGIIDHIPELMANSFANYLVQKLLDVCDEEQRLRIIAVLTEDPVKLLRVSLNSHGTRSIQKLIETVKTRKQIMLIISALQPGFIHLVNDPNGNHVIQKCLKNFDAEENKFIFEAAATHCVEMAINRHGCCVLQSCISNAYGEYQIKLIMQICADGLYLAQDQFGNYVIQYVLDLKIPFANAQLASLFQGNYVYLSKQKVGSNVVEKCLKVFPDDDKAAIIWELISASHFEQLLQDPYANYVIHTALVQTRGHLRSALVNAILPHEEAIRTNPCCKRISKVLSRRYPES >ONIVA03G06330.1 pep chromosome:AWHD00000000:3:4498523:4501252:1 gene:ONIVA03G06330 transcript:ONIVA03G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDATYQGYSWAGEVPELDPKLHQGFFCGSDCLRCDWQVNFIYTLFSLLLQSFLSISKWIEEVNTQRGGDVIIVLVGNKTDLVDKRQISTDEGEAKAQEHGAMFMETSAKAGFNIKPLFRKIAASLPGMEALSSAKQEDMVDINLRPAASGQIPSGAEAQEEQKAGGCSC >ONIVA03G06320.1 pep chromosome:AWHD00000000:3:4487360:4488249:-1 gene:ONIVA03G06320 transcript:ONIVA03G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQSALLLPEASRRPPRPTCLPLVDSVASTATSKKRKRAGVDDVGEGESGEVGIELCFDAAPLPLEWQRCLDIKSGQIHYYNTRTHKRTSRDPRAEAPPAPAPESHHRRAAPAEEEEEEEAANYCAPPLGLDLELNLTFEPRRVPIQEAKKHRSSAVETTTKPAAAVAAEKLALELPAGGASREMVAAVCARCHMLVMMCREWPACPNCKFVHPTANQSSPPPPPPEPAPLKLGLQLLCCKD >ONIVA03G06310.1 pep chromosome:AWHD00000000:3:4480566:4487055:-1 gene:ONIVA03G06310 transcript:ONIVA03G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREEEVAAGEGRGRGPARRDVDTAIGDEPCALSSRGIEDLVCVVSRQRRIAAKPAAGETSTKRGGAAVAGARGRRGGDSGGRTPGRERRRWLEPGKGAMVVAGAKGGRAYWSSTTTRTLEWGEGLRKCSLLIEEGVTPGAGGATAGTRVGRKAASWTRSCGTQRGGASRDGKALRWAGPAAKARARRRGAERARAREASGAMAGRAHRVGGRRLDAAPRRESRWKASRPRPGRAGERRSATAPS >ONIVA03G06300.1 pep chromosome:AWHD00000000:3:4471368:4474874:-1 gene:ONIVA03G06300 transcript:ONIVA03G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVFSTGVICHLIFHFLFFQPLAISDETETDRDALLCFKSQLSGPTGVLASWNNASLLPCNWHGVTCSRRAPRRVIAIDLPSEGIIGSISPCIANITSLTRLQLSNNSFHGGIPSELGFLNELQNLDLSMNSLEGNIPSELSSCSQLQILDLQNNSLQGEIPPSLSQCVHLQQILLGNNKLQGSIPSAFGDLPKLSVLFLANNRLSGDIPPSLGSSLTLTYVNLGKNALTGGIPKPMLNSSSLQQLILNSNSLNGELPKALLNTLSLNGIYLNQNNFSGSIPPVKTVSPQVQYLDLGENCLTGTIPSSLGNLSSLLYLRLSQNCLDGSIPESLGHIPTLQTLMLTLNNFSGTIPPPLFNMSSLTFLTVANNSLTGRLPLEIGYTLPNIEGLILLANKFKGSIPTSLLNSTHLQMLYLAENKLTGIMPSFGSLTNLEDLDVAYNMLEAGDWGFISSLSNCTRLTKLMLDGNNLQGNLPSSVGNLSSSLQRLWLRNNKISGPIPQEIGNLKSLTELYMDYNQLTGNIPLTIGNLHKLGILSFAQNRLSGQIPDNIGKLVQLNYLNLDRNNLSGSIPLSIGYCTQLEILNLAHNSLNGTIPETIFKISSLSMVLDLSYNYLSGSISDEVGNLVNLNKLIISYNRLSGDIPSTLSQCVVLEYLEMQSNFFVGSIPQTFVNMLGIKVMDISHNNLSGEIPQFLTLLRSLQVLNLSFNNFHGVVPSSGIFANASVVSIEGNDHLCTETPTTGMPLCSKLVDKKRNHSRSLVLVLTIVIPIVAITFTLLCLAKIICMKRMQAEPHVQQLNEHRNITYEDVLKATNRFSSTNLLGSGSFGTVYKGNLHFPFKEKGNLHLQEEHIAIKIFNLDIHGSNKSFVAECETLQNVRHRNLVKIITLCSSVDSTGADFKAIVFPYFPNGNLDMWLHPKSHEHSSQTKVLTLRQRINIALDVAFALDYLHNQCELPLVHCDLKPSNILLDSDMVAHVSDFGLARFVYTRSNAHKDISTSLACLKGSIGYIPPEYGMNEDISTKGDVYSFGILLLEMVTGSSPTDENFNGGTTLHDFVDRALPDNTHEVVDPTMLQDDISVADMMERCFVPLVKIGLSCSMALPRERPEMGQVSTMILRIKHAASNMGVR >ONIVA03G06290.1 pep chromosome:AWHD00000000:3:4469690:4481892:1 gene:ONIVA03G06290 transcript:ONIVA03G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIHKKKARCGPSIHRTAGDTGDCQGSSASGGAGRGAGLLARDEAERAVAAKRGRRGPTVQAAAARGRRHNQGFRDHEGFTLGNKALIRSMDIKVYPRFKFWPSSRASNIDNEMPITFNMIDFSPEKKTLTDRTNFDNGIMYGF >ONIVA03G06290.2 pep chromosome:AWHD00000000:3:4469690:4481892:1 gene:ONIVA03G06290 transcript:ONIVA03G06290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIHKKKARCGPSIHRTAGDTGDCQGSSASGGAGRGAGLLARDEAERAVAAKRGRRGPTVQAAAARGRRHNQGFRDHEVYPRFKFWPSSRASNIDNEMPITFNMIDFSPEKKTLTDRTNFDNGIMYGF >ONIVA03G06290.3 pep chromosome:AWHD00000000:3:4466722:4470142:1 gene:ONIVA03G06290 transcript:ONIVA03G06290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSLREAFDRVIEKRASSSAKAQEVIDQIVSEVEQAITKMQMMNTDSMGTADHSSILAELKAKLNELAPLNQLEGCQKELNVALSKYLKLLEKSFSPDISKAYRNVDFEASTINSIIANHFYRQGLFDLGDSFVRECGESDGAHLKLQFQEMYSILEAMQVRNLQPALSWAAKNHDQLLQNGSMLELKLHQLQFVEILTKGSRDEALKYARTHLVPFASLHKAEIQKLMACLLWADRLDQSPYAEFMSSTHWEKLAEELTHQFCSLLGQSSESPLGVAVSAGFQGLPTLLKLTTVMAAKKQEWQAMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMLMPCGHVVSKQSIMKLSKSSSRPFKCPYCPSEAVASQCKQLHF >ONIVA03G06280.1 pep chromosome:AWHD00000000:3:4455699:4463574:1 gene:ONIVA03G06280 transcript:ONIVA03G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAAAASRPPSFTAQTNALLRKNLIFQKRNRKGTIRLIIVPIYLCLLLTILQRVINSVLDKPKFRCGCKCVDVNGTGSCQNVCGIQYSTLDQAGSCPIPNPPEWPALLQLPRPEYRAMQESSLYTGFPDASCRKSQSCAATIPFTGANETLSNIVMQNLFTSSPLSNLSDNASISSLLLGTDVPGTYTGFIEPAFVSDRPIYVLRPQCKASDSVTVPITFGDINIRKAEMLCIQGLPLWRNSSAIINEETFNGYRKGKTQEGINEIPMAYDFQDSNEKHFSVLALYNSTYQNVSYVPMPFGLLHISRSLNAVSNAYLQFLRGSGVKMLLAFTKEMPKQETRLRFDFSSVIGPLFFEWVVALLFPVMLTYLVYEKQNKLRTMMKMHGLGDGPYWIIYYAYFLILSMVYLVLFVVFGSVIGLNFFKINDYSIQFVFFFSFMNLQIVLAFLTATFFSKVNTAQAIAYLYIFGSGLIAGSLIRNFIEGGKFPKHWITVLEIIPAFSLYRGLYELGQYAIRASEAGSHGMRWSDLNDHANGMRDALIIIILEWLVLLPVAYYLDHSASVGHKSSFLSLLKNLLKNPTWRRVSINEVVNDAVHVEMVKQDIIKERETVDQVLQQQSSGYAVVCDDLKKVYHGKDGNPDKFAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGLTRPTSGNAFVREFSIQTDMEKIYNSMGVCPQNDMLWEMLTGREHLQFYGRLKSLNGSDLDTAVNESLRSVNLLHGGAPDKQVRKYSGGMKRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWDAVKQAKRDRAIVLTTHSMEEAEVLCDRLCIMVDGSLQCIGTPKELIARYGGYYVLTMTTSPEFEQEVENLARKLSPNARKVYHLSGTQKYELPKQQVRIADVFMAVENFKRRTEVQAWGLADTTMEDVFVKVAKGAQSSEELS >ONIVA03G06270.1 pep chromosome:AWHD00000000:3:4447894:4450622:-1 gene:ONIVA03G06270 transcript:ONIVA03G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGDQSAGSAARASKLRYPLRSASRGKGAADAPPTSGSVARRPKPSLDVSKSVCGLDLSSVKDNSAKPPRRHSIQTKPGVSPRPTPTGTITPVSLVRSRRSDSQGKFDTPISEVSMSTARRKFSTLSSTSYWMTQIRLAEAASKHSISLGFFKLALESECEPLDRMRDELKAYVVRHGLATELEEPVKDILQVYNIVEDFEKLKITVNSSEQPKKSDKAAHSATNVSPKGNLKPRSLNSDAAQSKEAAKKDNIQKKPDAKVRGSYNRNPAKEAITKNTGKKAKKQVKGQKEDCNGGSEALPVGTEQEPVDVVKEVTNEDKENMGDSEMPMDLGIAQEI >ONIVA03G06260.1 pep chromosome:AWHD00000000:3:4433719:4437875:-1 gene:ONIVA03G06260 transcript:ONIVA03G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSRGERVGVVFVLVVVVLCVDVSTLVAAQPLVSSQAKTLLWVRRLLGFPPALDALAGAPDACALPPTPSLTVACAGGQVTELSVLGGRAPDAALPANFSADALFTTLTRLPALSRLTLASLGVWGELPGAKLHRLQALRVLNLTGNCLYGAVPEHLSRMYSLQSLVLSRNRLNGTVPKLSGLAFLDELDLGHNRLGPAFPEVGNAVVRLVLADNNFTGKIPAEVSSLGQLQFLDVSGNRLQGWIPSSIFALPALRHIDLSRNQLAGQLPASTACADALAFVDVSDNLLAGARPACMRGNSSARTVLDAGNCFRDARSQRPSTYCNPGALAAVLPPAQGTGGEQGSGGKGGQVGMALGIVGGVVAGAALIALVMMAVLRRARRQNPEVSVLPKSLPPAKKKAAADGGKAPAKVTQRIVTPAEKRHASQAARVNTLEVPAYRVYTLEELQEATNNFGSSNLIKSSPVVKHYNGQLQDGSRVSLRCLKLKPKYSPQSLTQYMEIISKLRHRHLVSIIGHCIVEDQENPNIASSLCLLSECVTNGSLRSHLTEWRKREMLKWPQRVSAAIGVARGIQFLHDVTAPGIVHNDLSIENILLDKTLTSKISNFNLPLISTSKNGKIFSESPFATSEDNDLGSVPSTEQGDKDDIYQFGLILLEVITGKPTESPKDLDSLKTQISEAIAEDPDLLKDMADPTIHGTFAVESLSTVAEIALNCTASDTSSRPSIEDVLWNLQYSMQVQDGWASSESLSMSTRSQG >ONIVA03G06250.1 pep chromosome:AWHD00000000:3:4428290:4437652:1 gene:ONIVA03G06250 transcript:ONIVA03G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHU6] MAIPTPSSSVVDQPSPPAPASAAAASQPLAGDPAPEAAAAEGAGGDQTAPAAAAATGASLYVGDLEASVGEDQLVALFSQVAPVASAYVCRDIAGGSKSLGYGYVNFMSREDATCAMENLNFTVVNGKPIRVMFSNRDPTLRKSGLANVFIKNLEPNIDNKSLYEMFSSFGTILSSKVATDFNGKSKGYGFIQFESESSAKDAINGLNGMLANGQKIFVGLFMRRQEREHTGDASNFTNVYVKNLPKHFSDDDLLNEFSSFGAITSAIVMRDANGLSRCFGFVNFEKSECARNAVRNLNGKSIGDMVLYVGRAQKKSERQAELKAKFEHDKNQKFEKLQTVNLYLKNLDDDINDEHLRKLFECFGEVASCKVMLDSHGRSKGCGFVSFATVEDANNAILKMNGKMVGKKPLYVAVAQRKEERKAFLAAHFARVRALATMAPTLGPNISPHHFNFGHGVPALFPPPPPPAGFGFQPNFVPNMMVPYNMQRHPGQRSGPPHGGMPRQMHNPHQGFRHMPNRRNGVANPAMLHQHHRFSSPMQPMQQAVKHVVPVGELQAPSNNLQTSLASANPEQQREILGDMLFPLVEQLVNEKAYKVTGMLLELDKTEVLNLVESPDTLRDKVAEAMKVLELEATATAAAASGSGDGDAAAPSSSSAA >ONIVA03G06250.2 pep chromosome:AWHD00000000:3:4428456:4437652:1 gene:ONIVA03G06250 transcript:ONIVA03G06250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHU6] MAIPTPSSSVVDQPSPPAPASAAAASQPLAGDPAPEAAAAEGAGGDQTAPAAAAATGASLYVGDLEASVGEDQLVALFSQVAPVASAYVCRDIAGGSKSLGYGYVNFMSREDATCAMENLNFTVVNGKPIRVMFSNRDPTLRKSGLANVFIKNLEPNIDNKSLYEMFSSFGTILSSKVATDFNGKSKGYGFIQFESESSAKDAINGLNGMLANGQKIFVGLFMRRQEREHTGDASNFTNVYVKNLPKHFSDDDLLNEFSSFGAITSAIVMRDANGLSRCFGFVNFEKSECARNAVRNLNGKSIGDMVLYVGRAQKKSERQAELKAKFEHDKNQKFEKLQTVNLYLKNLDDDINDEHLRKLFECFGEVASCKVMLDSHGRSKGCGFVSFATVEDANNAILKMNGKMVGKKPLYVAVAQRKEERKAFLAAHFARVRALATMAPTLGPNISPHHFNFGHGVPALFPPPPPPAGFGFQPNFVPNMMVPYNMQRHPGQRSGPPHGGMPRQMHNPHQGFRHMPNRRNGVANPAMLHQHHRFSSPMQPMQQAVKHVVPVGELQAPSNNLQTSLASANPEQQREILGDMLFPLVEQLVNEKAYKVTGMLLELDKTEVLNLVESPDTLRDKVAEAMKVLELEATATAAAASGSGDGDAAAPSSSSAA >ONIVA03G06240.1 pep chromosome:AWHD00000000:3:4417590:4421235:1 gene:ONIVA03G06240 transcript:ONIVA03G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G34110) TAIR;Acc:AT1G34110] MAHRGDRFLVVVVVVVVLGVVVRPAAALSADGKALLSLLPAAAPSPVLPSWDPTAATPCSWQGVTCSPQSRVVSLSLPNTFLNLSSLPPQLASLSSLQLLNLSTCNISGAIPPAYASLAALRVLDLSSNALYGDIPASLGALSGLQYLLLNSNRLTGAIPRSLASLAALQVLCVQDNLLNGTIPASLGALTALQQFRVGGNPGLSGPIPASLGALSNLTVFGAAATALSGAIPEELGNLANLQTLALYDTGVSGPIPAALGGCAELRNLYLHMNKLTGPIPPELGRLQKLTSLLLWGNALSGRIPPELSNCSALVVLDLSGNRLAGEVPGALGRLAALEQLHLSDNQLAGRIPAELSNCSSLTALQLDKNGLTGAIPPQLGELRALQVLFLWGNALSGAIPPSLGNCTELYALDLSRNRLAGGIPDEVFALQKLSKLLLLGNALSGRLPPSVADCSSLVRLRLGENQLAGEIPREIGKLPNLVFLDLYSNKFTGALPGELANITVLELLDVHNNSFTGAIPPQFGELMNLEQLDLSMNKLTGEIPASFGNFSYLNKLILSGNMLSGTLPKSIRNLQKLTMLELSNNSFSGPIPPEIGALSSLSISLDLSSNRFTGELPDEMSSLTQLQSLDLSSNGLYGSISVLSGLTSLTSLNISYNNFSGAIPVTPFFKTLSSSSYINNPNLCESYDGHTCASDMVRRTALKTVKTVILVCAVLGSITLLLVVVWILINRSRTLAGKKAMSMSVAGGDDFSHPWTFTPFQKLNFCVDNILECLRDENVIGKGCSGVVYRAEMPNGEIIAVKKLWKTSKEEPIDAFAAEIQILGHIRHRNIVKLLGYCSNKSVKLLLYNYIPNGNLQQLLKDNRSLDWDTRYKIAVGAAQGLAYLHHDCVPAILHRDVKCNNILLDTKYEAYLADFGLAKLMNSPNYHHAMSRIAEYGYTTKITEKSDVYSYGVVLLEILSGRSAVEAVVGDSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGIAIFCVNPAPAERPTMKEVVAFLKEVKCSPEEWGKISQQPLIKPGSQQG >ONIVA03G06230.1 pep chromosome:AWHD00000000:3:4409181:4409603:-1 gene:ONIVA03G06230 transcript:ONIVA03G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVAREWVAQMRGDDHLDSGGAWRRRPWGQAMLARGYGRWLGGRVDPTTSGRIRRQLGADTTSPPLGAAAVALDWVDQPPKLTKVTDLLLGAVAVALGQRRHPWKRQICGGDNDDDGGDGHCEDDDCSDGCDDDGFES >ONIVA03G06220.1 pep chromosome:AWHD00000000:3:4407351:4408250:-1 gene:ONIVA03G06220 transcript:ONIVA03G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSAGPPLLPPSLPLAVSGADHQKSCGSKDGDYSDSLLFVDPRSTTDLLEVADWAKAWRHPKGNQGRGGDSSMWQERETAGVGVGDGCTGWPKTIDAAHRKAVGWLGGEITFGVGIYAGGGLKDSK >ONIVA03G06210.1 pep chromosome:AWHD00000000:3:4389751:4390587:1 gene:ONIVA03G06210 transcript:ONIVA03G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAARVLRANPRPRRSDRAQGKTRCRMNLARRIRPVVGEWAVAAPASHPPRFRTRKKGMMPPTWGRTRPTARREDGVKGSRAASLLRVQMVPPSYPALEARGRTKAASAGPPHRESHRSEVAVLPPWVAPWWPDLETVARSGWRGGAAGMAQVERRLVGSGLLGEEEEKGNGMAEVNPCVCFPVVRLSLASSPWVRGWRRATAAVYASGYEEIRPRGICVRPGQKTASRLPCQHVKWRGDGRGVCGQISTIMGCLPPNVHFHGVRELNTHNCDVL >ONIVA03G06200.1 pep chromosome:AWHD00000000:3:4388832:4390294:-1 gene:ONIVA03G06200 transcript:ONIVA03G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPPSHSPSPPPLQGDHSLPTGAPPEPSPQPHHATPILPPSLGQATKERPRVEEPQPPIDGTPGAAGPPTQPSFFPSLLELGSSAAPSAPAATRQPDSPSPHPPAEPSVEFYPRSAASSPSSSYETAEDDWPAPPPPTPPPLVLCASLDSSYTESSPARDRFGEDEGSHAAPEPPPLPPSEIRPRGICVRPGQKTTSRLPGQHVKWRGDDAVSVGKYPRLWDVFPQIFSFTVSTLEMLSGLSRPQAISHDMKNISRSFMTQ >ONIVA03G06190.1 pep chromosome:AWHD00000000:3:4377159:4381388:-1 gene:ONIVA03G06190 transcript:ONIVA03G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G09750) TAIR;Acc:AT4G09750] MFIQKAWRTAAFGVYGFTQFTKSGFVEHAKKFREEDMQIRLDGKNCLVTGANSGIGFATAEGLASRLELNFAVNVAATYTLTELVMPLLEKAAPDARVITVSSGGMYTEPLNKDLQFGENNFNGTQQYARNKRVQVALTERWSEKCSNKGVGFYSMHPGWADTPGVSKSLPGLSENSPIAILSSSPSNRSYVSSAHPLASSLLLLLIHKRSSEMNDLFSSSSFKKYADASPASGVGGSDMEAGGEGVVNLDRFFEDVEGVKEDMKGLEALYKRLQSTNEETKTAHDARAVKALRSRMDGDVEQVLRRAKAVKGKLEALDRDNATSRKVPGCGPGSSTDRTRTSVVAGLGKKLKDIMDDFQV >ONIVA03G06180.1 pep chromosome:AWHD00000000:3:4376057:4377112:-1 gene:ONIVA03G06180 transcript:ONIVA03G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWRTAMNMECTQGLRTRMAAEYKETVARRYYTVTGEKAEDSTIDSLIESGESESFLQKAIQEQGRGQVMDTISEIQERHDAVKDIERSLLDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELEVAREHQKSSRKWACVAVLAGIILIAVLILPV >ONIVA03G06170.1 pep chromosome:AWHD00000000:3:4373724:4375640:1 gene:ONIVA03G06170 transcript:ONIVA03G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRLPAGAAALLLLLAVASRAAADGNAVDVGVNWGSQLSHPLLPKSVVQMLKENGILKVKLFDADPWPVGALVDSGIEVMLGIPNDMLETMNSYGNAQDWVKENVTSYGDKLKIKYVAVGNEPFLKAYNGSFMKTTFPALKNIQKALNEAGVGDKVKATVPLNADVYVSPDNKPSSGAFRPDIQGLMTDMVKFLHEHGSPFVVNIYPFLSLYQSDDFPFEFAFVDGGKTIQDKGGISYSNVFDANYDTLVTALKKAGVPSLKVVVGEVGWPTDGDKNANLKLARRYYDGLLKKLSKKEGTPLRPGKMDVYMFGLFDEDMKSILPGNFERHWGIFTYDGKPKFPMDLSGHGNDKPLAGVPGVEYLPKQWCVFDDGAEDKSKLPGNIQYACASGDCTALGYGCSCNGLDEKSNISYAFNMYFQMQDQDVRACDFDGLAKITTKNASARGCAFPIQIISAAAPAVAGVGLSAAALLALLMVLV >ONIVA03G06160.1 pep chromosome:AWHD00000000:3:4365690:4367147:-1 gene:ONIVA03G06160 transcript:ONIVA03G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHT7] MAASANRAAVNGAAGSGEPEASRDHVIIFPFMAKGHTLPLLHFAAALSVHHKSLRVTLVTTPANLAFARRRLPGSVHLVVLPFPSLQPPLLPAGVESTDALPSMSLYPAFLRATALLREPFAEFMASLSSSPPLVVVSDFFLGFTHGVAADAGVRRVVFHGMSCFSMAICKSLVVSPHVGGGAAPFHVSRMPEHVTITPEEIPPTVASFADPDNPIARFMIENVESTDVRSWGVLVNSFAAVDGDYVASFESFYQPGARAWLVGPLFLASGDTPERDEENDDPEGCLAWLDERASRPGSVVYVSFGTQAHVADEQLDELARGLVRSGHPFLWAVRSNTWSPPVDVGPDQGRVVRGWVPQRGVLAHEAVGGFVSHCGWNSVMESLAAGKPVLAWPMMAEQALNARHVVDVVGAGVKVDAAVGSVAVVGSAEVEEKVRRVMDAGGEEGRRMRTQAAWAQRAARLAVSDGGTSRVALQKLIGDLQESY >ONIVA03G06150.1 pep chromosome:AWHD00000000:3:4360971:4361283:1 gene:ONIVA03G06150 transcript:ONIVA03G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSSFLLHHHHPHHPAPGIWPSGSGDSPWATVGGQAGEGSGGSRQWEDEGNGGRRGKQAVVATSTLTPAMAMAIAAWSAAKRPKRNLDTSATSPFLA >ONIVA03G06140.1 pep chromosome:AWHD00000000:3:4350798:4356826:1 gene:ONIVA03G06140 transcript:ONIVA03G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRFVSPKDRFVSPHRQTANRSHAIKNTVGHHDCEHAEIQPSTIARSYDKEKKAFNINGTFVTMTLDDVDCLLGLPSKGDEIFEAPKINKPELFNLYKKEGQTTITLEALRVAIINSSSYDDHFIRRFILFSIGSFICLTTQRYVRSEYLNLVDDVDKMRELNWSSLTLNQLLKGILKFREKETNIEGNVCLLQIWYWEKLRIDKLAVTIYHSGRERQLIQYWDKIKEKKRLSYLFGKGQVVDDIRGTIDCKEIPNENAHDNDSETRTNEDFVCTSEEVHSIISTEQSADITLQERIQESIQTLQDNFNDFTKDFWPRMRALILDCMENDSKCPERKDTTHVFEDVEQEQIDPREHVSNHNEEYYINQNENMTCETKDNSNQSNQSRKRLTSPTGRTYKPTNRTDFIYETRGKKKDIIRTQAQTKKTIEANMPDTDELRGEKKRKQNNQTPVHREGRSYLTNHRQWPTKERLKRSKEEKKTNGQTPLKNSEELTKKDDPFITYINNTEDNKVMVHIEQVEVKRIRMKVLTQLEFLNDDVMDAYIQCLRYKVKGIRGDGKAFLEMAIKTSLLNVEGVHVEASKPRNKRWIRDMARGYLAFDMVDGDSGGVKGVAVHGEENVAAVAGGSDAVKRPHRELAEAGGGAATRRDSLEKGAGD >ONIVA03G06130.1 pep chromosome:AWHD00000000:3:4332923:4333564:-1 gene:ONIVA03G06130 transcript:ONIVA03G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRAPSLFHIEDGAVGVAASTKKPMPADSSELVGLRLIIQQSPRQRPPLSVLRRSATAAASQDEAGAPAGRGFMGLGFLNCCYCCHKKLYADMDVFVYKGEHAFCSAECRSQQMAREERREIEMLVRRRRDAFHRRRASPPAKIGGHARLQIAAS >ONIVA03G06120.1 pep chromosome:AWHD00000000:3:4329278:4331029:-1 gene:ONIVA03G06120 transcript:ONIVA03G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRDANCAVQRTTELELDRKAARLEGIPRIMNLVKLEITLCKRLCEKTVSGAFAAVPGTSSACAIPLS >ONIVA03G06110.1 pep chromosome:AWHD00000000:3:4324924:4325946:-1 gene:ONIVA03G06110 transcript:ONIVA03G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPSAPAARRVTAGHVWPGDANNAKKKGARADDFEAAFRDFDNDSDDEEMMLEEAEEEEATSEHKPFVFRAKKARKAAAAAAAAASSRRRKPAQYRGVRRRPWGKWAAEIRDPVKGIRVWLGTFTNAEAAALAYDDAARAIRGDRAKLNFPSATTPDTRKRGRATAAAAPAVKATPVINLVEEEDEEEEVAAAMASIKYEPETSESSESNALPDFSWQGMSASDEFAVAAAALSLDSDDDLAKKRPRTEPEDTTTTTDSGSGDDTDALFDALLFADQYNYFNGGAYESLDSLFSADAVQTTAAAAAADQGMGLWSFDDGCCLVDVEASLSF >ONIVA03G06100.1 pep chromosome:AWHD00000000:3:4319902:4320867:-1 gene:ONIVA03G06100 transcript:ONIVA03G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILANIIPATPPRRATAAHVWPGGDGEKRRKVGGGGCDDDFEAAFERFGREDSEMEEEEVEEVVVGKKAAVRRRRAAPAAGRRARPSKYWGVRRRPWGKWAAEIRDPVEGVRVWLGTFATAEAAAHAYDAAARDLRGATAKLNFPSSSSSTAATPRPRKCRPTTATATPKATTPNVVVVVNLVDKEAEASESSGASSSALPDFSWQGMSASSDDDAAAQQALLDAAGGAKKRPRSEPHVTSDDEVLPASFDSDNNTAAAGLLPLDDPFLFGDQFGDLNGGAFASLMDGLFAAGEANVAGESVGLWSFGDDCLNASYY >ONIVA03G06090.1 pep chromosome:AWHD00000000:3:4310055:4313307:-1 gene:ONIVA03G06090 transcript:ONIVA03G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLVCSANPNNHRSRSSDITRHQKGGSARRKSKPYQEKDDSENIDEFDTDIMSSKNGPPISLTSNSRPQATSVPGEREKEIVELFKRVQAQLRARGKGKEEKKPEQAKAQGERGSVDSLLNLLRKHSVDQRRKSGDEKEQSVDQTKRSNESGNKQNSSIFIKNDTQEEQKKPHPAAFKRPASNFRRRSPVPNVKFQPVTNVDAERVINNINDAVQEAKPTLENKAATDEPDSVSTFEPNSVIEPENLSLDDLDHISDDEPDASDTDEPSGEYDEPSLQIPSVPIIDESHDTTLKSSLGGPDLSTLKVTELRELAKSRGIKGYSKMKKNDLSQGTDWEDFLGIVCLPIINSTISFIKENNAGDAAAALMSRLALKTKVLRDEQWQELDASTLVPGGDIISISQLSLVNPFLSPKEPGTIVFTGSTCKHGEIEAVVIATGIHSFFGKAAHLVDSTEVVGHFQKIIKGEISKDIDITSKFIVLQISEKNEYGITGISL >ONIVA03G06080.1 pep chromosome:AWHD00000000:3:4302076:4303189:-1 gene:ONIVA03G06080 transcript:ONIVA03G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAEFIPAPSRAAAATKRVTASHLWPAGSKNAARGKSKSKRQQRSFADVDDFEAAFEQFDDDSDFDDAEEEDEGHFVFASKSRVVAGHDWRAAARAASKKKRGRHFRGIRQRPWGKWAAEIRDPHKGTRVWLGTFNTPEEAARAYDVEARRLRGSKAKVNFPATPAAARPRRGNTRATAVPPPATAPAAAPPRGLKREFSPPAETALPFFTNGFVDLTTAAAPPPAMMMTSSFTDSVATSESGGSPAKKARSDDVDSSEGSVGGGSDTLGFTDELEFDPFMLFQLPYSDGYESIDSLFAAGDANSANTDMNAGVNLWSFDDFPIDGALF >ONIVA03G06070.1 pep chromosome:AWHD00000000:3:4296913:4298459:-1 gene:ONIVA03G06070 transcript:ONIVA03G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADFTPARVPRRLTAAELLPVTPTPPAAERRTTRKRKSDVDFEAEFELFEDDDDDDEFELSDDGDEISSDVSSSSRPRRRVAAAAAGRRKASKKSKYRGVRRRPSGRFAAEIRDPKKGRRVWLGTYGSAEEAAVAYDREARRIRGKGARLNFPRDGDGSPRRSNDRPCWTIDLNLPAAAVSGDDDDAMAVDAADADAGSAGRAAAYADQEALSAAKCKIKQCPRDEQMASATPELMEEDASSSRNMVPLSMALQLQYAAMIAECDREMEEIAAVERDLERRRRQVFERRGHLVRQASLLLD >ONIVA03G06070.2 pep chromosome:AWHD00000000:3:4296913:4298459:-1 gene:ONIVA03G06070 transcript:ONIVA03G06070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADFTPARVPRRLTAAELLPVTPTPPAAERRTTRKRKSDVDFEAEFELFEDDDDDDEFELSDDGDESLAVSCVSSPKSKAVPSFSFSSDVSSSSRPRRRVAAAAAGRRKASKKSKYRGVRRRPSGRFAAEIRDPKKGRRVWLGTYGSAEEAAVAYDREARRIRGKGARLNFPRDGDGSPRRSNDRPCWTIDLNLPAAAVSGDDDDAMAVDAADADAGSAGRAAAYADQEALSAAKCKIKQCPRDEQMASATPELMEEDASSSRNMVPLSMALQLQYAAMIAECDREMEEIAAVERDLERRRRQVFERRGHLVRQASLLLD >ONIVA03G06060.1 pep chromosome:AWHD00000000:3:4292555:4295424:-1 gene:ONIVA03G06060 transcript:ONIVA03G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 3K [Source:Projected from Arabidopsis thaliana (AT4G33250) TAIR;Acc:AT4G33250] MASEQAAESYTVEELVAVNPYNPDILNDLEGFVNDQVSNQTYNLDANLSLLRLYQFEPERLSVQIVSRILIKALMAMPGPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFRQFWDEASKNRNILDVVPGFEQAIQSYAIHVLSLTYQKVPRPVLAEAINIEGLALDKFLEHHIANSGWVIEKGARSQLIVLPRNEFNHPELKKNTAETVPFEHVTRIFPVLS >ONIVA03G06050.1 pep chromosome:AWHD00000000:3:4289884:4291647:-1 gene:ONIVA03G06050 transcript:ONIVA03G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein SA [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHS5] MAAAAGGAARALSQAEQDVQMMLAADVHLGTKNCDFQMERYVYKRRSDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEAPAQDFAAITDYTAPEQWSADQWTSDVAAPPAATVGDWGAAPAPVAAAEGWDQAGAPVATEAAVVPPVAPTGWDPAAQPAAQGWD >ONIVA03G06040.1 pep chromosome:AWHD00000000:3:4280408:4291254:1 gene:ONIVA03G06040 transcript:ONIVA03G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAGDKFLQKFRLYETRSKFYLIGRDKTRTLWRVLKIDRMEPTELEIEEDHTSYTENECQELLWRIHEGNRLTGGLKFVTKCYGIVGFIKFLGPYYMVLITRRRKVGTICGHEIYSVGKSELIAIPSPIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITDKNTGQVVYETMFVWNEFLSRAIRNHLKNTTWTVALIHGFFKQSKLSVAGKEFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTPDEIPHQISSVVQHRGSIPLIWFQETSRLNIRPDIILKPDVDYKTTRLHFENLALRYGNPIIILNLIKTREKKPRESLLRAEFAKAIHYINKGLPDDKRLKFLHMDLSKLSRRKGTNVLSLLNKVASDVLDLTDFLHCEITTSKYEDASSGQGAVANSGDIENIQDQNLCATKLVPLLLQKGVLRTNCIDCLDRTNVAQFAYGLAALGRQLHVLQLNETPTIELHAPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYSNAYTDPEKQDSINVFLGHFQPQEGKPALWKLDSDQHYNIGRQGTLTEETGRSFIKRSLSDGNILCDNTGGPVSDCNVGKNNTSSELLPMQPLEDIREPSDSAPEISIEPNPCSSTNYSTLSGRHSISEERQNYLRRLGYPELHSSNFLDLDLLSSSGNSCEEEIYEREEMTQICHAQAAKCQTSVTTLTASRIGWMAEACFATRAIKFCLGDISAKGFNSRSYRSYIKGNTRSQATSAANCKGSRDRRDHHNKWKKHQLGLPRESAQR >ONIVA03G06030.1 pep chromosome:AWHD00000000:3:4275154:4280821:-1 gene:ONIVA03G06030 transcript:ONIVA03G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNRAKISGLVSSNKTTKSRGGEDARKEERGGRRWPQEACDDAVVHKNTQRRGENARNSMYPMKHMNIPLGGTVKENKWPWAWPCSKIGSLPNNQNMTHAIHLREKEKSPALTVSIGDK >ONIVA03G06020.1 pep chromosome:AWHD00000000:3:4272629:4275284:1 gene:ONIVA03G06020 transcript:ONIVA03G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCKLVMNDGFGPAALPSGGALPERDVYDTDQYMLALIYHARMRRKECKCALLGEEGIEINVGVEVVIDGVLNEGVDVLAIPEEGREKEGDNEGGDWGSGERHATARKEQLEEEGSVGRGLAGR >ONIVA03G06010.1 pep chromosome:AWHD00000000:3:4271876:4272232:1 gene:ONIVA03G06010 transcript:ONIVA03G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCKLVMNDGFGPAALPSGGALPERDVYDTDQYMLALIYHARMRRVAGAAGEEAAGRGGISQNAELVRILATVAAGILLGAASMLAFRPPATTSVWGMNTE >ONIVA03G06000.1 pep chromosome:AWHD00000000:3:4263466:4266485:1 gene:ONIVA03G06000 transcript:ONIVA03G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHS0] MAAAQQQQQKEGGARRTREEVPAVGRVAIIGGGISGLAAAKQLAAHDPVVFEATPHIGGVWKHCAYRSTRLQTPRPDYEFSDMAWPNRDDPSFPTHVEIVDYLEDYADRFGLWRYIALRSKVVGVKFLGGPSAGFTELWSGSGEPLQGKPMWELAVSSTDDPDSDDVQLYKFEFVVMCTGKYGDVARMPVFPPGKGPEVFKGKVMHSLDYCKLNEQETVELMRGKKVVVVGYKKSAIDLALECAEANQGEGGQPCTMLVRTLHWVVPSYSIWGLPFFLFYSTRFSQLFYERPNQGIFRSLLCRLMTPLQKAGVSKFIESYLSWKLPLSRYGLRPDHPFVEDYASCQMAILPDGFFDMADRDLIRFRRSAGGWCFSENGVVLDDGTHVDADLVFLATGFEGKDKLRSVLPEPFRGLVVNKSSMMPLYRGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLAGLLGGRFALPAVEEMVRHVDGETEAMRRTTRFYRRHCISTYSIHDSDAMCADLGSRVHRKSNFLAELFAPYNNQDYKEE >ONIVA03G05990.1 pep chromosome:AWHD00000000:3:4248793:4253584:1 gene:ONIVA03G05990 transcript:ONIVA03G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF936) [Source:Projected from Arabidopsis thaliana (AT3G14170) TAIR;Acc:AT3G14170] MASLTPGILLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPTGISEALAHQRKATKSAELSESEKENSQRKVVIKEQKAVVASRYMLGISSNNSKITNLNSSIDSDKSNGGSSICSANQKSAPTKFKQESKPQERPNTPSRSPAKIVSAKQEINKDTRKTSASSPSQNGSAVVKKQMSKDSKKESASEKNSPPKLYKTSPPTPTPTPPPPAMTSPPKLNLAAKPNGTSGTVTSTPTVKRRVTETVSWDSLPTSLIKSVKVVSRRKTIALVVAAEAQREATAAASLLKGLGIFAEIRKSAEEDPHAAITKFFQLNRLIIQQSIFWKDYSSEPVKESRPEKEKPSRKASASQNKAVAGSTAKNSDDAYTSEKIDWAREDGFKEISRSWIILKKESQSWFLSFLEDALEAGFKFEGQNKNTRERVRGHSKGGDGQIAVRLSQLKETSNWLDQLHSEVDKSQDALVETIEQLKQKVYTCLLGTVETAASALEAR >ONIVA03G05990.2 pep chromosome:AWHD00000000:3:4248793:4253584:1 gene:ONIVA03G05990 transcript:ONIVA03G05990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF936) [Source:Projected from Arabidopsis thaliana (AT3G14170) TAIR;Acc:AT3G14170] MSKDSKKESASEKNSPPKLYKTSPPTPTPTPPPPAMTSPPKLNLAAKPNGTSGTVTSTPTVKRRVTETVSWDSLPTSLIKSVKVVSRRKTIALVVAAEAQREATAAASLLKGLGIFAEIRKSAEEDPHAAITKFFQLNRLIIQQSIFWKDYSSEPVKESRPEKEKPSRKASASQNKAVAGSTAKNSDDAYTSEKIDWAREDGFKEISRSWIILKKESQSWFLSFLEDALEAGFKFEGQNKNTRERVRGHSKGGDGQIAVRLSQLKETSNWLDQLHSEVDKSQDALVETIEQLKQKVYTCLLGTVETAASALEAR >ONIVA03G05990.3 pep chromosome:AWHD00000000:3:4250610:4253584:1 gene:ONIVA03G05990 transcript:ONIVA03G05990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF936) [Source:Projected from Arabidopsis thaliana (AT3G14170) TAIR;Acc:AT3G14170] MASLTPGILLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPTGISEALAHQRKATKSAELSESEKENSQRKVVIKEQKAVVASRYMLGISSNNSKITNLNSSIDSDKSNGGSSICSANQKSAPTKFKQESKPQERPNTPSRSPAKIVSAKQEINKDTRKTSASSPSQNGSAVVKKQMSKDSKKESASEKNSPPKLYKTSPPTPTPTPPPPAMTSPPKLNLAAKPNGTSGTVTSTPTVKRRVTETVSWDSLPTSLIKSVKVVSRRKTIALVVAAEAQREATAAASLLKGLGIFAEIRKSAEEDPHAAITKFFQLNRLIIQQSIFWKDYSSEPVKESRPEKEKPSRKASASQNKAVAGSTAKNSDDAYTSEKIDWAREDGFKEISRSWIILKKESQSWFLSFLEDALEAGFKFEGQNKNTRERVRGHSKGGDGQIAVRLSQLKETSNWLDQLHSEVDKSQDALVETIEQLKQKVYTCLLGTVETAASALEAR >ONIVA03G05980.1 pep chromosome:AWHD00000000:3:4241084:4246505:1 gene:ONIVA03G05980 transcript:ONIVA03G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQSSVSWQPDTSWAQPHGLGAAVGPWAPARMGSAGRRGPALFRRTAREYYVSRRSARPRYRDVSSSAHRPVAAAAGGGGGRRLELQSVVTDASRAIVVVPNTSFASNDDSVVVADSAVYSAPGHDAGGGGRAMVRYSDTNAAAAASREVSFSRDNHDQLYVSAARRDPPSFGYDISVASFSGQSRYEDAVGDYDDDDDEIDVRVGKPVGVAGLFKYSTAMDIVLLVLGCVGAMINGGSLPWYSYLFGNFVNKIVNVDKTQMMKDVKQISVYMAFLAAVVVVGAYLEITCWRIIGERSALRMRREYLKAVLRQEIGFFDTEVSTGEVMHSISGDVAQIQEVMGEKMPGFVHHVFTFVFGYVVGFAKSWRIALAVFAVTPAMMACGMAYKAIYGGLTAKEEASYQRAGDVAQQAISSIRTVMSFVMEERLAGEYAEWLDKAAPIGVKMGFAKGAGMGVIYLVTYSQWALALWYGSRLVANGEIKGGDAIACFFGVMVGGRHAHQPPRTASCCRQWRRSPEFRGWGLALTLSYMAQFAQGTVAAGRVFEVIDRVPEIDAYGAGGRALPAVKGRMEFKDVEFAYPSRPDAMVLYNLNLVIPAAKTLALVGVSGGGKSTMFALIERFYDPTRGEREWPLTRTQSTTMARSSVIDDEAFASLGCAGSITLDGHDLASLNLRWLRSQIGLVGQEPVLFSTSIIENVMMGKENATRHDAISACAMANVHTFVLALPDGYDTQVGDRGAQLSGGQKQRIALARAIIRDPRILLLDEPTSALDTESEAVVQQSIDRLAAGRTVVVIAHRLATVRNADTIAVLDRGAVVESGRHADLMARRGPYSALVSLASDSGGARPDLAGAAAAYTSFTDESGYDVSVSKSRYGFQTIREEEEKKDSQDAKVRVSEIWRLQRREGPLLILGFLMGIHAGAVFSVFPLLLGQAVEVYFDADTARMKRQVEYLAMAVVGLGVACILTMTGQQGLCGWAGARLTMRVRDRLFRAIMRQEPAWFDEEDNAMGVLVTRLARDAVAFRSMFGDRYAVLLMAVGSAGVGLGICFGLDWRLTLVATACTPLTLGASYLNLLINVGARSDDGAYARASGIAAGAVSNVRTVAALCAQGSVVGTFNRALDGPAAKASRRSQLMGVILGLSQGAMYGAYTATLCAGAHFINNGVSTFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPAAIAGILTILKRRPAITGDSTKRRITIKDGKPIDVELRKVTFAYPSRPEVTVLSGFSLRVKAGTTVAVVGASGSGKSTVVWLVQRFYDPGDGKVVVGGVDARELDLKWLRGECAMVGQEPALFSGSIRDNIGFGNPKASWAEIEEAAKEANIHKFISALPQGYETQVGESGVQLSGGQKQRIAIARAIVKQARILLLDEASSALDLESERHVQEALRRASRRATAITVAHRLSTVRDADRIAVVSAGRVVEFGGHDALLAGHGDGLYAAMVKAETEAQAFK >ONIVA03G05970.1 pep chromosome:AWHD00000000:3:4234498:4240189:1 gene:ONIVA03G05970 transcript:ONIVA03G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEDGGDVHVGAGEGEDGGRVTVDELTRCLRCGISANATPHMRRGPEGRRTLCNACGIAWAKDTSVSNSHQQALHGGAQGSQGYAPLAGIQQQQFIGNFRLNHETDNQIHVPALLKGRRFPTADELCCLLAIWNKFSTEDNQTILQSGFSVDERTIV >ONIVA03G05960.1 pep chromosome:AWHD00000000:3:4228548:4233558:1 gene:ONIVA03G05960 transcript:ONIVA03G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 10 [Source:Projected from Arabidopsis thaliana (AT2G26250) TAIR;Acc:AT2G26250] MAREQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLISHGVYLATIPVIVLVCGAEVGSLSRDELWRKVWGEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFIDLARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPGTNCATMKEGRAEASAAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAVDLARDMLQASGAGLAVVVSTEAVSFTWYAGKRRSMLIPNAFFRAGCAAVLLSNRRRDFHRAKYQLEHIVRTHKGADDRSFRSVYQEEDEQRIKGLSISRDLVEVGGHALKTNITTLGPLVLPFSEQILFFAGVLFRHLFPSKTSAPPPPSADGDASAAAPYIPDFKRAFEHFCMHAASRDVLEHLQGNLGLRDGDLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPARSPWLDCVEQYPARMNA >ONIVA03G05950.1 pep chromosome:AWHD00000000:3:4222601:4223866:1 gene:ONIVA03G05950 transcript:ONIVA03G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRNVRQRSKKKRVHALEVATERWKVLTKVLAVVDALKKEEEHVTPLKRLEILRPQLGLTKPNKVAHFVSRSPQLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEDHSRTAAEYVTRLLMMSVDRRLAIDKIAHFRRDMGLPHDFKTRWVHMFPEQFRVVRLEDGDYLELVSWNPNWAVTELEKKTAALTGDANANGIGSPPGELSLSFPMKFPPNFTSYYKFYGKVHHYVKKGNTEQFQKTTYLSPYSEARGLTPGSPEFDKRAVAVMHEVLNFTLEKRLVIDHLTYFCRDFVMPQKLMRLLLKHYGIFYVYERGKRFSVFLTKSYDGTKLIEKCPLVRWKEKVLQLTSYRGRIKNLGKFAELFDSEDYLFGNDDSSSATDSILDVKSEDSDDIMDDGALADDTEMDVGDLSDCCIE >ONIVA03G05940.1 pep chromosome:AWHD00000000:3:4212000:4212557:1 gene:ONIVA03G05940 transcript:ONIVA03G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSRRFAVACGVLSQYVRAEQKMAAAAGAAPARAVTTLSLMPGAEVVVEEEERREVGEEEAGPATAPAAPLTIFYGGRMVVFEDFPADKAAEVMRMASSGMAAAPAQREGAALADMPIMRKASLQRFFAKRKDRLAATTPYARPSPAETKASEPEEKKTPTSWLDLAASASAAARRDSLTIAL >ONIVA03G05930.1 pep chromosome:AWHD00000000:3:4203598:4205209:1 gene:ONIVA03G05930 transcript:ONIVA03G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEQQLVANAAATTVAGNGSRFAVTCGLLRQYMKEHSGSNGGGGFLPAVTAMSLMTGGADAEEEAPEVRKTMELFPQQAGTLKDTQERKEITEKAQLTIFYGGSVVVFDDFPAEKAGELMKLAGSRDSTAAAAVSDAGAAAGQPCLPDMPIARKVSLQRFLEKRKNRIVVAEPLPESEKKEAESSKRAKKDDGGASWLQVNPTLSL >ONIVA03G05920.1 pep chromosome:AWHD00000000:3:4199325:4199864:-1 gene:ONIVA03G05920 transcript:ONIVA03G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDPMTRRFAVACGVLSQYVKANSSQPSTAAPVAQGVSGLMAAAAAAAAAPVVQEPGCEVDGGGQQFTIFYAGKVVVIDRCTPAMAAELMRFASAAQGGGGAPEAPPALVDMPIARKASLKRFLAKRKATPASARSSYVVRAAAAEEEQPPAKKAKAAVERREDWLALGSLGHMHSR >ONIVA03G05910.1 pep chromosome:AWHD00000000:3:4194657:4195413:-1 gene:ONIVA03G05910 transcript:ONIVA03G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSGLPNKDESRGGWTPRGGARRRAVARRRQPEESSRRPASPPVSPSPRTAIGSSARVGKQAAPLSPTHPKCGGGAGTSFLGGG >ONIVA03G05900.1 pep chromosome:AWHD00000000:3:4191029:4194621:-1 gene:ONIVA03G05900 transcript:ONIVA03G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALEAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGILKISGALTLLLKAAIQFIILIWYLCFKIPRPDVFIVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMKHELDQKWGINHSKYLIAVRKFHFDIVSTVCSYNTEKEVEDRNTTVFTSWVDGEIFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEDDSMDEGKLWIDIKNGKQFVYPRLLFIITGKGPDRMKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKINNNGLLFSTSSELADELMMLFKGFPEECDDLKSLKVGALNTGSSSKWSTEWERYALPLVNQVGS >ONIVA03G05890.1 pep chromosome:AWHD00000000:3:4189425:4190735:1 gene:ONIVA03G05890 transcript:ONIVA03G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQQSLLLLVLLRFQALNWVQTRLHGTRKQDHTAVSSRRAHTSGDLHRNGDELDGGWAAAMLSIGTLGGPKGRHGSGTPWTTAAAGADELDRLQEELRLLVRAQAVVTGGEDDDGGGGGGGRQRRSLSRTSSSTNGREVVAKLKQRSIRKIMAAALGGLLHRPSCRETMPEATVSEIIWSLLHKNTHPEKPALPHTVMKGDPTVPTPQKDKQEGTKWIRTDSEYIVLDLEI >ONIVA03G05880.1 pep chromosome:AWHD00000000:3:4177193:4179334:-1 gene:ONIVA03G05880 transcript:ONIVA03G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type-6-B [Source:Projected from Arabidopsis thaliana (AT2G05840) UniProtKB/Swiss-Prot;Acc:O81147] MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGVTSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKYIGLLATGLTADARSLVYQARNEAAEFRFKWGYEMPVDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPQFSYEETVQIAISALQSVLQEDFKATEIEVGVVRKDDRVFRALTTEEIDQHLTAISERD >ONIVA03G05870.1 pep chromosome:AWHD00000000:3:4169988:4179232:1 gene:ONIVA03G05870 transcript:ONIVA03G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) TAIR;Acc:AT2G26280] MSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLENKVDGRLNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGHAWLEADTAANMFLESKDEAHDFASLRHALLEQDRQVLLTGANPLAKELNIKELYNLQSRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >ONIVA03G05870.2 pep chromosome:AWHD00000000:3:4169946:4179232:1 gene:ONIVA03G05870 transcript:ONIVA03G05870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) TAIR;Acc:AT2G26280] MSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLENKVDGRLNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGHAWLEADTAANMFLESKDEAHDFASLRHALLEQDRQVLLTGANPLAKELNIKELYNLQSRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >ONIVA03G05870.3 pep chromosome:AWHD00000000:3:4169888:4179232:1 gene:ONIVA03G05870 transcript:ONIVA03G05870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) TAIR;Acc:AT2G26280] MSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLENKVDGRLNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGHAWLEADTAANMFLESKDEAHDFASLRHALLEQDRQVLLTGANPLAKELNIKELYNLQSRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >ONIVA03G05870.4 pep chromosome:AWHD00000000:3:4169982:4179232:1 gene:ONIVA03G05870 transcript:ONIVA03G05870.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) TAIR;Acc:AT2G26280] MSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLENKVDGRLNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGHAWLEADTAANMFLESKDEAHDFASLRHALLEQDRQVLLTGANPLAKELNIKELYNLQSRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >ONIVA03G05860.1 pep chromosome:AWHD00000000:3:4169228:4169784:-1 gene:ONIVA03G05860 transcript:ONIVA03G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPHVEWRLLWRVCLSREGSRGPHLSMRWGPRGGAVPASSCALFEGARWRSEYFVANDSLVAWDFVVLDAISYQKSTEQPIRSLVTLAFADLLQHHGQSARKFTTSMCRIYRTIWRQNNLVLFC >ONIVA03G05850.1 pep chromosome:AWHD00000000:3:4166317:4166742:-1 gene:ONIVA03G05850 transcript:ONIVA03G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAAGQEVNPGVVVAAVVEVEWAECACCGLREECTPTYAAGVRARYGGRWLCGLCGEAVGEELAAAGGSAGDDGSVVVEVEAAIARHAAFCRALGCRSPAAAERLIAAVRRLLRGAGEGGRKEKAKAVVVLEFHDA >ONIVA03G05840.1 pep chromosome:AWHD00000000:3:4162524:4166117:-1 gene:ONIVA03G05840 transcript:ONIVA03G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNILEDNERLRAKNRRIFQKVLDGGAEPVGELSAGCNSPGLLGSHAISVATSAKTMMNIVLEPNVTQLCKLRDGRFRDEFQTQQLIKGHKPNPSMVTYGPLEQLARCPTQQAKVTEAAFASFLLESSTPSRNSQTAASNPPSRQTLTPTTRAPRNPSPPPESLAATPKFGMPVPGNPRH >ONIVA03G05830.1 pep chromosome:AWHD00000000:3:4160593:4162512:-1 gene:ONIVA03G05830 transcript:ONIVA03G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAGPHPRRIRPLAAASLVLFLFLLYSRSGGSLVRSPRVLSFDSHTGHRRFLSDADPISPASNLSEIGELNGEQPPVDPSVACAGVARHEGFGSQCEFLRAHPQCSSGGFVDYLGFFYCRCERFRVLGYAVLGVCLAALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNGAPDVFASIAAFMGTGAGDVGLNSVLGGAVFVTCVVVGAVSLCVAEKNVQIDRRCFVRDVGFFLMTLVALSIILIVGKVTVWGAIMFVSIYVVYAFVVAANEVLRKHARRLKFDVVTPLLPVRGSIFAQGTEDDESVYSSLLEEESDGDVAQINTSLPQWMWASHVAIYSNHGIRGGSPDSSRPLWGWSDEEVDNSTVSFSKLFLFLELPLMIPRRLTIPIVEEDRWSKEYAVASAGLAPVLLAFLWSSQDGVSTKAHIAAYVIAGISGMALASLAFMFTDHERPPRRFLFPWVLGGFVMSIIWFYIIANELVALLVAFGVILGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGISMLLGAWSTAPNSYVLPQDSSLIYTMSFLVGGLIWALVMLPRGGMQPNKILGVGLIALYSVFLFIRVSNAMGILPLPGLS >ONIVA03G05820.1 pep chromosome:AWHD00000000:3:4153070:4159975:1 gene:ONIVA03G05820 transcript:ONIVA03G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHP7] MELTGLTRAAAAATVTPPAPRRGWGELRFAPLLPGERHGRRKVVVAAISEEVPRLAASPSSGIKGGGAGERRPAPEKVALRAALTVRRKQKEDIKEAVAGHLDALWDMVGRNVVLELISTKIHPRTKKPMQSGRVSIKDWCQKRGAKGDHVVYTAEFTVDADFGEPGAIAVANRHNREFFLESIVVEGGGLPCGPVHFACNSWVQSTRELPTKRVFFSNKPYLPSETPPGLRELREKELKDLRGDGTGVRKLSDRIYDYATYNDLGNPDKGKEFIRPILGGEKIPYPRRCRTGRPPTDTNMLAESRVEKPHPIYVPRDEAFEELKQGAFSSGRLRAVLHTLIPSLIASISAETHNFQGFHHIDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPSILSKDKFAWLRDDEFARQAVAGINPVNIERLQVFPPVSKLDPAIYGPPESSITETHIAGHLNGLTVQQAMDEAKLFIVDYHDAYLPFLDRINAIDGRKAYATRTIFFLTEAGTLKPIAIELSLPPAKPGEPRPSKVLTPPYDATSNWLWMLAKAHVSSNDAGVHQLVNHWLRTHATMEPFILAAHRHMSAMHPIFKLLHPHMRYTLEINALARQSLINADGVIESCFTPGPVSGEISAAYYRNHWRGVAVEDATQPHGVRLLIEDYPYANDGLLLWSAIRSWVESYVQLYYPDAGTVQCDLELQGWYHESIHVGHGDLRHAPWWPPLSTPADLASILTTLVWLASAQHAALNFGQYPLGGYVPNRPPLIRRLLPDLERDAAEYAAFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGRDEGGVPWTADEAAVAAHGMFAADVRRAEETIERRNADHGRKNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI >ONIVA03G05810.1 pep chromosome:AWHD00000000:3:4152276:4153544:-1 gene:ONIVA03G05810 transcript:ONIVA03G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWLRFGSPAGLGCVLLLFRWELSEARWLASSSWEQQAAAVLAYQLVLWVEVMGDMGVCVK >ONIVA03G05800.1 pep chromosome:AWHD00000000:3:4146418:4149888:-1 gene:ONIVA03G05800 transcript:ONIVA03G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSSSLSSPTMIPVLFLLCAHSATAAANYGGGGHLATGAGGDDGFSCFTRMFSFGDSITDTGNSATISPNASFNRLPYGETFFGRPTGRYSDGRLIVDFLAERLELPFLTPFLRGRETAAAEDFRHGANFAVGGATALRREFFEEMGLDLTNIPPYSLDVQVEWFKSVLHSLASADKERKKIMSKSMFIMGEIGGNDYNQPFFQNRSFINEIKPLVPKVISKIENAIKVLIDLGAKTIIVPGNFPIGCVPGYLGMFRNKLSPKDYDVFGCIKWLNDFSEYHNHALKRMMHRIPHDPTITILYGDYYNTALEITRHPAIHGFKREIVFVACYKDGNSSMNLCPDPSTHISWDGLHLTEAAYKFVAHHMLHGPYLLNRPYLLNDFMYY >ONIVA03G05790.1 pep chromosome:AWHD00000000:3:4129760:4143621:-1 gene:ONIVA03G05790 transcript:ONIVA03G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVNNHIPLDGTTGSRQILMDECNPSLDILDGESIPHGVQTSPVAMEEDVPLMVGSHDSGRGTSVTRPFSLSSPMTTVMLLLFLLLCTTNSATAAARMFSFGDSITDAGNLATISPPDASFNRLPYGETFFGHPTGRFCDGRLITPEDFRQGANFAVAGATALSQDFFKKMGLDLTIIPPFSLDVQLEWFKSVLNSLGSTDQERKEIMSKSLFLMGEVGGNDYNHPFFQNRSFTNEIKPLVPKVIAKIENAIKVLIDLGAKTIVVPGNFPIGCVPRYLTMFQSKSSPQDYDEFGCIKWLNDFSVYHNRALKRMLHQIHHDSTVSILYGDYYNTALEITHHPAAYGFKKETALVACCGDGGPYNSNSLFGCGGPSTNLCTNPSTHISWDGLHLTEAAYKFVAHHIINKQ >ONIVA03G05790.2 pep chromosome:AWHD00000000:3:4129760:4143621:-1 gene:ONIVA03G05790 transcript:ONIVA03G05790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVNNHIPLDGTTGSRQILMDECNPSLDILDGESIPHGVQTSPVAMEEDVPLMVGSHDSGRGTSVTRPFSLSSPMTTVMLLLFLLLCTTNSATAAAVESAAGGDHRLAPGYNGGCGGFKRMFSFGDSITDAGNLATISPPDASFNRLPYGETFFGHPTGRFCDGRLITPEDFRQGANFAVAGATALSQDFFKKMGLDLTIIPPFSLDVQLEWFKSVLNSLGSTDQERKEIMSKSLFLMGEVGGNDYNHPFFQNRSFTNEIKPLVPKVIAKIENAIKVLIDLGAKTIVVPGNFPIGCVPRYLTMFQSKSSPQDYDEFGCIKWLNDFSVYHNRALKRMLHQIHHDSTVSILYGDYYNTALEITHHPAAYGFKKETALVACCGDGGPYNSNSLFGCGGPSTNLCTNPSTHISWDGLHLTEAAYKFVAHHIINKQ >ONIVA03G05780.1 pep chromosome:AWHD00000000:3:4126751:4129733:-1 gene:ONIVA03G05780 transcript:ONIVA03G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSSAMIPLLPLLLLLVCTRGAVANANNQPPPLAGGGGGGKYCYTRMFSFGDSITDTGNQVSFFPTAPAARPPYGETFFGHPTGRYSDGRLVVDFLAEALGLPYLTAYLRGKTAEDFRRGANFAVSAATALRLDFFRERGLDLTIIPPYSLDVQLEWFKGVLHSLASTDQERKDIMTRSLFLMGEIGINDYNHHFFQNRSFIAEIKPLVPLVISKIENATKVLIDLGAKTILVPGIPPMGCIPRFLNLLPSKNHNDYDKLGCLKWLNDFSHYHNRALKQMLQRIHHDSTVTLIYADYYGAMLKIVRSPQNNGFTKESVLRACCGVGGAYNADSLVCNGNATTSNLCTEPSRYISWDGLHLTEAAYHYIARGVLHGPYTEPAIPTRCTA >ONIVA03G05770.1 pep chromosome:AWHD00000000:3:4122985:4125789:1 gene:ONIVA03G05770 transcript:ONIVA03G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLIPSMNRVLVEKLLQPNKSAGGILLPETTKQLNSAKVVAVGPGERDRDGKLIPVSLKEGDTVLLPEYGGTEVKLAEKEEHDILGRLEE >ONIVA03G05760.1 pep chromosome:AWHD00000000:3:4121485:4121820:1 gene:ONIVA03G05760 transcript:ONIVA03G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLLRRGLISAAFLLTLVLLFLLQLGIISTTVGCCSCCSFCGDEQQQQQQQQQHGVGDLRPGRRLLIGHHQHQVVLAKGSMELKHAEEGGDVLDEEKREVLTGPNPLHNR >ONIVA03G05750.1 pep chromosome:AWHD00000000:3:4108628:4117793:-1 gene:ONIVA03G05750 transcript:ONIVA03G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITPTYTGRHAMEALYKRGAKITQERRGRNCQKKTDSTTAKCQRSPPPPPLHCFPSFKK >ONIVA03G05740.1 pep chromosome:AWHD00000000:3:4101703:4108009:-1 gene:ONIVA03G05740 transcript:ONIVA03G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKSAAVLEPTVEEEEEGATRVAEAAAAPAKPASPAPSAAAAAAKPGTPKQHKFPFYLPSPLPAHFAAKYELGREVGRGHFGYTCAATCKKGELKGDDVAVKVIPKAKMTTAIAIEDVRREVRILSSLAGHSNLVQFYDAYEDEENVYIVMELCKGGELLDRILARGGKYSEEDAKVVMRQILSVASFCHLQGVVHRDLKPENFLFSSKDENSAMKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIVYILLCGSRPFWARTESGIFRAVLKADPSFEEAPWPTLSAEAKDFVRRLLNKDYRKRMTAAQALCHPWIRGTEEVKLPLDMIIYRLMRAYISSSSLRRAALRALAKTLTTDQIYYLREQFELIGPNKSDLITLQNLKTALMKNSTNAMKDSRVVDFVNTISNIQYRKLDFEEFSAAAISVYQMEGLETWEQHARQAYEFFDKEGNRPIVIDELASELGLGPSVPLHVVLQDWIRHPDGKLSFLGFMKLLHGVSSRTIPKT >ONIVA03G05740.2 pep chromosome:AWHD00000000:3:4101703:4108009:-1 gene:ONIVA03G05740 transcript:ONIVA03G05740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKSAAVLEPTVEEEEEGATRVAEAAAAPAKPASPAPSAAAAAAKPGTPKQHKFPFYLPSPLPASSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPESGEPGVALDKGFGFSRHFAAKYELGREVGRGHFGYTCAATCKKGELKGDDVAVKVIPKAKMTTAIAIEDVRREVRILSSLAGHSNLVQFYDAYEDEENVYIVMELCKGGELLDRILARGGKYSEEDAKVVMRQILSVASFCHLQGVVHRDLKPENFLFSSKDENSAMKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIVYILLCGSRPFWARTESGIFRAVLKADPSFEEAPWPTLSAEAKDFVRRLLNKDYRKRMTAAQALCHPWIRGTEEVKLPLDMIIYRLMRAYISSSSLRRAALRALAKTLTTDQIYYLREQFELIGPNKSDLITLQNLKTALMKNSTNAMKDSRVVDFVNTISNIQYRKLDFEEFSAAAISVYQMEGLETWEQHARQAYEFFDKEGNRPIVIDELASELGLGPSVPLHVVLQDWIRHPDGKLSFLGFMKLLHGVSSRTIPKT >ONIVA03G05730.1 pep chromosome:AWHD00000000:3:4098957:4099784:1 gene:ONIVA03G05730 transcript:ONIVA03G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKANNNSNKRQRSSVVGPDNVGLPPRRSSRIAERKNVVKRARHRHDGEQPATSRCSQLSGEMPDEMVLEVLARLPVKSLLWLRAVSRPWRAAICAPSFVAAHLRRSAARHRWEPTLLIAPQLLDDAERDIIWPTNFSDTIRLYQWQRRRNGQTEEEQVGAGAGAGASLIFRRSFHGEFRSVFQLSHCNGLVLVPTDTTSYVVNPATSGAIALPESRRRALPQATGFGHDPHTGTYKVARCFVRSGDGVVPESTTTGMELCTIGGNGGVSVGS >ONIVA03G05720.1 pep chromosome:AWHD00000000:3:4078923:4083104:-1 gene:ONIVA03G05720 transcript:ONIVA03G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPRIAGAGSGGGRKPRMPPLPPARTLLTAFAAAAALAVLCLLSSSSSSSSSSSSSRTSSLRGSWGSAAAKGGKKYLYWGGRVDCPGKHCGTCAGLGHQESSLRCALEEALFLGRIFVMPSRMCLSSVHNTKGILHSTNATSEQRWEENSCSMDSLYDIDLISKIVPVILDNSKTWHEIMSRSMKSDGGMAHVQGIGRAELKDNPLYSKALVINRTASPLAWFMECKDRKSRSSVMLSYTFLPSMPAKKLRDAANKMKQILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIAKWIPEGRTLFIASNERTPGFFSPLSDKYKLAYSSNFSSILEPVIENNYQLFMVERLMMRGAKTFVKTMKEFDNDLTLCDDPKKNTKVWQIPVYTDD >ONIVA03G05710.1 pep chromosome:AWHD00000000:3:4074973:4077336:1 gene:ONIVA03G05710 transcript:ONIVA03G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVERCGGEMVVSMERSHGRSTTTAAPAPFLSKTYQLVDDPSTDDVVSWGEDEATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLSEIHRRKSSSCSQPQPPPPFPMHQHYPLSLFSPPTTTRSPPVGAAAAAAYHFQEEYCSSPADYAGGGGDLLAALSEDNRQLRRRNSLLLSELAHMRKLYNDIIYFLQNHVEPVAPPPLAAATSCRLVELGPSTTERRRSAASPSGDNDDDAAVRLFGVRLDDDHGKKRRVQLVQEDEGDEQGSEG >ONIVA03G05700.1 pep chromosome:AWHD00000000:3:4071084:4071938:-1 gene:ONIVA03G05700 transcript:ONIVA03G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYAALTPVVSKIFCSSSQAVLMVRRRPPTVNGGGFVVTDRDQRVVFSVDGCGIIGASGQLIVRDGDGTAILFIHKKGGVVQVLSINNWWKGYLMDYGEPSKLVFSLQDPKPVLCMNGDVRVAVEPKGRKRHWDYEVIGSFSQRACTVRSRAGHVVAQIGVKGMLAGKDFYHVVVQPGYDQAFVVGVIAILDNIHGESTRC >ONIVA03G05690.1 pep chromosome:AWHD00000000:3:4069830:4070231:1 gene:ONIVA03G05690 transcript:ONIVA03G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAACAAVAGATVACLPGAPAGAAFGLGLAGLLFLLPFSSLYVPMLRPPVDMSRPFLSFTIGTALALAAAYLALLLLAATDKMLGADAITGFLWGADLTGAASLGWFVLTTKDTTRSYIIREERLEVVKIG >ONIVA03G05680.1 pep chromosome:AWHD00000000:3:4068932:4069468:-1 gene:ONIVA03G05680 transcript:ONIVA03G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNNKDDNDDDVEAGLTVAAAAARRSSPDQPSPWWDDEAIWLRWEELTSSEQIRKLRTTVTVLLCVSVVIGLCAAAAQAMFVPATTTALSSAGVGVLFFLAPFSLYMRLMLRPCLVEDMHRDTLACLVAAPVLLHAAAVAYLQLMGRGQVAFAALGVWLVDVAAAAALGWCFWNDRR >ONIVA03G05670.1 pep chromosome:AWHD00000000:3:4062579:4068914:1 gene:ONIVA03G05670 transcript:ONIVA03G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAWSSLFGCFTSHHDGGGKRKKGGGGGKKVAAAAAAASQKQRKLQSRLSFSDLSFGGMVSPEDLSLSLAGSNLHVFTIAELRAVTRDFSMTNFIGEGGFGPVYKGYVDDKLKPGLRAQPVAVKLLDLEGTQGHNEWLTEVIFLGQLRHPHLVKLIGYCYEDEHRLLVYEFMTRGSLEKHLFKKYAASLPWSTRLKIAIGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDFKAKLSDFGLAKDGPEDDETHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYGFGVVLLELLTGRKSVDKSRPAREQNLVEWARPYLTDARRLGRVMDRNLAGQYPAKAAQKAAALAHRCVSLNPKSRPHMSAVVEALEPLLALDDDCLVGTFVYVAPPDDVAANGDGSSKRRAGRRRSDGAAAAADGVQRE >ONIVA03G05660.1 pep chromosome:AWHD00000000:3:4041107:4054072:1 gene:ONIVA03G05660 transcript:ONIVA03G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin-tyrosine ligases;tubulin-tyrosine ligases [Source:Projected from Arabidopsis thaliana (AT1G77550) TAIR;Acc:AT1G77550] MGQGVRRFYQSLAQASKPFFSPAHPVRRRRRRSPGAIAMSPAAASPDDRIRSYEDFARVHAYLLAASGIPPSLHQRLYHKLADEVFDGGEAFSVEPCEGGRQRRLVLAAEWTLGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDERTELEEADEQDNGNGGSLESALEVVEKERTRIQEKGSDFAAWLELEELGIDDDMLIALDLSSKFPNMVALNLWGNKLQDPEKIMKGIGECRRLKALWLNENPALKEGVDKVILDGLPELEIYNSHFTRKAGEWALGFCGDIIGADNPCSSAESIPLENIVSLDLSDRCIHKLPVVFSPRKLSSLWSLNIRGNPLDQMSSDDLLKLISGFTQLQELEVDIPGSLGNSAISILECLPNLSLLNGINVASIIESGKHIIDSALKPRLPEWSPQESLPERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPDVTQFCGLFMMFILEKSALEFRKYKEQLQSSSICPSRKVTPVTKSIRPSDGHALRVFTDIPQVEEFLTRPEFVLTSDPKEADIIWVSMQVDSELKNALGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVFVRSICPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHGRIRDMIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNKFNPKILEVTYCPDCTRACKYDTQALVGSQGVIRGTEFFNTVFGCLFLDELKDVSPL >ONIVA03G05660.2 pep chromosome:AWHD00000000:3:4041107:4054016:1 gene:ONIVA03G05660 transcript:ONIVA03G05660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin-tyrosine ligases;tubulin-tyrosine ligases [Source:Projected from Arabidopsis thaliana (AT1G77550) TAIR;Acc:AT1G77550] MGQGVRRFYQSLAQASKPFFSPAHPVRRRRRRSPGAIAMSPAAASPDDRIRSYEDFARVHAYLLAASGIPPSLHQRLYHKLADEVFDGGEAFSVEPCEGGRQRRLVLAAEWTLGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDERTELEEADEQDNGNGGSLESALEVVEKERTRIQEKGSDFAAWLELEELGIDDDMLIALDLSSKFPNMVALNLWGNKLQDPEKIMKGIGECRRLKALWLNENPALKEGVDKVILDGLPELEIYNSHFTRKAGEWALGFCGDIIGADNPCSSAESIPLENIVSLDLSDRCIHKLPVVFSPRKLSSLWSLNIRGNPLDQMSSDDLLKLISGFTQLQELEVDIPGSLGNSAISILECLPNLSLLNGINVASIIESGKHIIDSALKPRLPEWSPQESLPERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPDVTQFCGLFMMFILEKSALEFRKYKEQLQSSSICPSRKVTPVTKSIRPSDGHALRVFTDIPQVEEFLTRPEFVLTSDPKEADIIWVSMQVDSELKNALGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVFVRSICPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHGRIRDMIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNKFNPKILEVTYCPDCTRACKYDTQALVGSQGVIRGTEFFNTVFGCLFLDELKDVSPLPIN >ONIVA03G05660.3 pep chromosome:AWHD00000000:3:4041107:4048013:1 gene:ONIVA03G05660 transcript:ONIVA03G05660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin-tyrosine ligases;tubulin-tyrosine ligases [Source:Projected from Arabidopsis thaliana (AT1G77550) TAIR;Acc:AT1G77550] MGQGVRRFYQSLAQASKPFFSPAHPVRRRRRRSPGAIAMSPAAASPDDRIRSYEDFARVHAYLLAASGIPPSLHQRLYHKLADEVFDGGEAFSVEPCEGGRQRRLVLAAEWTLGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDERTELEEADEQDNGNGGSLESALEVVEKERTRIQEKGSDFAAWLELEELGIDDDMLIALDLSSKFPNMVALNLWGNKLQDPEKIMKGIGECRRLKALWLNENPALKEGVDKVILDGLPELEIYNSHFTRKAGEWALGFCGDIIGADNPCSSAESIPLENIVSLDLSDRCIHKLPVVFSPRKLSSLWSLNIRGNPLDQMSSDDLLKLISGFTQLQELEVDIPGSLGNSAISILECLPNLSLLNGINVASIIESGKHIIDSALKPRLPEWSPQESLPERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPDVTQFCGLFMMFILEKSALEFRKYKEQLQSSSICPSRKVTPVTKSIRPSDGHALRVFTDIPQVEEFLTRPEFVLTSDPKEADIIWVSMQVDSELKNALGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVFVRSICPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHGRIRDMIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNKFNPKILEVTYCPDCTRACKYDTQALVGSQGVIRGTEFFNTVFGCLFLDELKDVSPL >ONIVA03G05650.1 pep chromosome:AWHD00000000:3:4040569:4040808:-1 gene:ONIVA03G05650 transcript:ONIVA03G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQTRLATAFRPPAWMLLEDKVHHAQCTNATTATATTSHGDVVEVSFCVDNPPAISYLCVHSPTLTAADFTAAPSVAC >ONIVA03G05640.1 pep chromosome:AWHD00000000:3:4039194:4040538:-1 gene:ONIVA03G05640 transcript:ONIVA03G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGMFSGRVDKEYFVYKAGSGTESPSLRRIPTHDPRYNRGEDIGIIRCGDHGQFFLAALLFTSCNIREFTLHLYSSASDQWTMKSVPLDPSCNLERVDSKYYPALPHKTIQLGGSLLGWVDLWKGILICDVLADHPVVRFIRLPELMPGNYCHDSPHMIRDVHCMGGVIKFIEMEHFLIPTVEPTQEPTQGRRRPGEEANILYDWDLEPPCKEDAPDPDIWLKSFVGWRTVIWDRMVYGNCWNKVCKASYDEIMVPDPSHYEMLSELGDGSAGNLVLMNLSTDSPTLSIGGDNVVHMSSIVKLERGRSVMMALNLQRKTVEALALYGPERKTCHPYRPCTLSKYLKISPGSFRDWKVNDLPRAISKPVPQPISMRPWRTDLGGSRHQRRAAPY >ONIVA03G05630.1 pep chromosome:AWHD00000000:3:4021147:4029792:-1 gene:ONIVA03G05630 transcript:ONIVA03G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRHATATASALFALLPLSLLLFRLLVLLVRLRLAAFRDAALSLHFLARLRIRPVHLRLPGTDATTVRVWCPAAPSAKPPLLLLHGFGGDSKWTWARNLPALSRHFHVYAPDLLFFGAHSRSASPLRTVAFQARCAAEAMRLLGVDRYDVVGISYGGVGPVVIASSDLLKTAADDRGFLKRAGDGWSGVEEVLLPAEPAAMRKLLEMASCRPPPPVLMPDFILRDFIQKLFTENREQLIQLFKGITVGTDKFPVTPMSQEVLIVWGEQDQLFPVEKAYAVQSSLDGKARVEIISKTGHAPQLEDPTRFNKILLDFLLATHKPDPSSNGASQ >ONIVA03G05630.2 pep chromosome:AWHD00000000:3:4021147:4024942:-1 gene:ONIVA03G05630 transcript:ONIVA03G05630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPITLSHEARCCCVSETARAQWREKTITTMGASLSLVPLLDYFARREFAAAGLSPGAVTLPYPGDGGEATCTVHYWASTGEPRLPPLLLVHGFGPRATWQWRCQVGPLSRHFHLIVPDLIGFGGSSFGGDSAASPPSEATQAAALAALLDALPGMKGRRVAVAGTSYGGFVAYWLARTAGAGRVGPVVIASSDLLKTAADDRGFLKRAGDGWSGVEEVLLPAEPAAMRKLLEMASCRPPPPVLMPDFILRDFIQKLFTENREQLIQLFKGITVGTDKFPVTPMSQEVLIVWGEQDQLFPVEKAYAVQSSLDGKARVEIISKTGHAPQLEDPTRFNKILLDFLLATHKPDPSSNGASQ >ONIVA03G05630.3 pep chromosome:AWHD00000000:3:4025064:4029792:-1 gene:ONIVA03G05630 transcript:ONIVA03G05630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRHATATASALFALLPLSLLLFRLLVLLVRLRLAAFRDAALSLHFLARLRIRPVHLRLPGTDATTVRVWCPAAPSAKPPLLLLHGFGGDSKWTWARNLPALSRHFHVYAPDLLFFGAHSRSASPLRTVAFQARCAAEAMRLLGVDRYDVVGISYGGFVAYRLAAVEGRDRVPRVVVMTSGVAATPGEMREMAAREERAVEESLLPETADGLRRLVRRSMHRPPPWMPDFVLDDFIKLMCVVQRKERAELLHELLKNGAGIDPLPVLTQKTLILWGDKDKVFPLDLGHRLQRHLGDVSRLEIIKDAGHALQLEGADQVNRFIKSFLLDS >ONIVA03G05620.1 pep chromosome:AWHD00000000:3:4018900:4020085:-1 gene:ONIVA03G05620 transcript:ONIVA03G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVIPLMEYIARRAFLAAGLRPSTVTLPSTSGDGEARTIHYWAPPGEPRLPPLLLIHGFGPMATWQWRRQVGPFSRRFHVIVPDLLCFGASSSSSSPPPSESAQAAALLDALPALVGTAARVAVAGTSYGGFVAYAMARAAGPERVGPVAISNSDLLKTAEDDGAFLERAGGGWTHPADVLMPLDARGARRLMELTFYRKQAGAMLPDFVIRDIMKKLFSDKREEKIELMNATTVGTDAFQLTPLAQDVLLIWGDHDQIFPLDKAFAVKSCLGDHVRLEIIKKTGHVPQMEDPDRFNKIVLDFLLGSQGSPSNEH >ONIVA03G05610.1 pep chromosome:AWHD00000000:3:4017193:4019015:1 gene:ONIVA03G05610 transcript:ONIVA03G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YGGT family protein [Source:Projected from Arabidopsis thaliana (AT5G21920) TAIR;Acc:AT5G21920] MASRNADPPRHHPSTPPLLLAMRHLPFPGVHRPRALPAPDLAPLAARLEELAAAAAAHPLLKPLFAFHSHLAAFSQSRRRAMATMRRRRTTGECPLSGEHCFAAVLGDSVAGVVVSSGINNFLSLYNTVLVVRLVLTWFPNTPPAIVAPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNALSSTAAALPAELPDPAPPTSRGATSSSSVLTANRRKWMRRIRPVKSQEGEEEM >ONIVA03G05600.1 pep chromosome:AWHD00000000:3:4007869:4014488:-1 gene:ONIVA03G05600 transcript:ONIVA03G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATPase of Arabidopsis 2 [Source:Projected from Arabidopsis thaliana (AT5G21930) TAIR;Acc:AT5G21930] MAATASRSPLHVTAPVRGVNPLLLRRLRLGRGGGCGKASTAQRFCLVVLPRGPAVATPRSTADPSASASSAVDAAAAAGEGEGASDAATVLLDVSGMMCGGCAARVRTILAADERVETAAVNLLAESAAVRLRSPEPAAGKELAARLTECGFPSVARRGGAASGASDSARKWREMAARKAELLTRSRGRVAFAWTLVALCCGSHATHFLHSLGIHVGHGTFLDLLHNSYVKCGIAIAALFGPGRGFLSFSQNVFNVTPDILFDGLRAFKQGSPNMNSLVGFGSAAAFAISSVSLLNPELEWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELVSLLSPQSRLVVTSSSDDPSSDGVLNSDAITVEVPVDDVRVGDFILVLPGETIPVDGNVLGGSSFVDESMLTGESLPVPKEKGFPVFAGTVNWDGPLKIKATTTGPSSTIAKIVRMVEDAQAREAPVQRLADSIAGPFVYTVMTLSAATFSFWYYIGTHIFPEVLLNDISGPDGDSLLLSLKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDAIVLDKTGTLTKGRPVVTSIASLAYEEAEILRLAAAVEKTALHPIANAIMEEAELLKLDIPATSGQLTEPGFGCLAEVDGCLVAVGTLDWVHNRFETKASSTELTDLRNHLEFVSSSEASSNHSKSIAYVGREGEGIIGAIAVSDVLRDDAKATVDRLQQEEILTFLLSGDRKEAVESIGRTVGIRSENIKSSLTPHEKAGIITALQGEGRRVAMVGDGINDAPSLAAADVGVAMRTNSKESAASDAASVVLLGNRLSQVMDALSLSKATMAKVHQNLAWAVAYNIVAIPIAAGVLLPQFDFAMTPSLSEYGKTTGRSELQAELNLLADRIKFGPVCISPHP >ONIVA03G05590.1 pep chromosome:AWHD00000000:3:4005160:4007078:1 gene:ONIVA03G05590 transcript:ONIVA03G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >ONIVA03G05580.1 pep chromosome:AWHD00000000:3:3999011:4000871:1 gene:ONIVA03G05580 transcript:ONIVA03G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >ONIVA03G05570.1 pep chromosome:AWHD00000000:3:3995297:3997510:-1 gene:ONIVA03G05570 transcript:ONIVA03G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHMEQQPPPLPPSACRRYRSSARCSRRFYFSLAAQPPPTPPSAPPSCASQPHRLFFFLRTVQPLPSPPSTTATVAVPTLAMEWHEALGGAGSASPPLPRRSRRSGLAGLKMARATSTASRWRASAEQGSGEDDYGGSVVPDAGFLGGGRDGGDFVNLKDLLAQYSKMLIGVREPSPEKKSTRTSMAEATKMKESDANDPLELYKTGLTRLSKKTKSKPTSEERS >ONIVA03G05560.1 pep chromosome:AWHD00000000:3:3987284:3993417:-1 gene:ONIVA03G05560 transcript:ONIVA03G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLITTSLCSFRSNSTAPRTPGMPQSFSSTTLSASIGVVSGCNIGRGKGGCSSSKGRHGSTTMQALPYHTRTPLTNSRSSLSCRRRRSAFWDVDDMITSRCGVRGSMPVGAPPTAAAPSRGNRRGRRRVRHAHAAATAPLMMTSPSLRTPAAAVARWDLPPRGRGVFVLSISPLRREISVSTEAPSLPEPSPQRHRHRAASPRLRLRPFEVCGFRVVPTEDGGLGFVFMSDLGSQFWRRKNGWDDEHKNS >ONIVA03G05550.1 pep chromosome:AWHD00000000:3:3982148:3985627:1 gene:ONIVA03G05550 transcript:ONIVA03G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHL3] MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >ONIVA03G05540.1 pep chromosome:AWHD00000000:3:3975819:3978877:1 gene:ONIVA03G05540 transcript:ONIVA03G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHL3] MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKK >ONIVA03G05530.1 pep chromosome:AWHD00000000:3:3971230:3975519:1 gene:ONIVA03G05530 transcript:ONIVA03G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 Ubiquitin ligase family protein [Source:Projected from Arabidopsis thaliana (AT1G54150) TAIR;Acc:AT1G54150] MSARDRETAVALARLAAALDGAVLGLGTAALAVASWVKYLAASGQLRRIASAPAAAIPDLRSLLAEYGGGGGDGDQPILAAVRGHVRAAPRGKYLVPPGSGEHCVVAKHTQLCLFNEWRGIFGWTFDLHALFFKSIKEQIITSFRWVPFVLVDPENMTGMVHVKLDRAMQPLPLTTVYHKLTPVDSTPYTLFQTIIGNGYPIALLDEEKILPVGKEITAIGYIRPHKASVEISSCSEIPFFLSDLTKDEMEAELSSRAKTLFWASVVLGTMSVCLLGFATYRSWKKIKERREARQAQEVFRQTTDEVTDDQSSDEEAGEMGDGQLCVICLRKRRKAAFIPCGHLVCCCKCALIVERQFDPLCPMLLDVLLITLRHCMVTQIVCEPEDLN >ONIVA03G05520.1 pep chromosome:AWHD00000000:3:3968415:3971029:-1 gene:ONIVA03G05520 transcript:ONIVA03G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIPRTPSTSASRNHRTSAENHAARPDRSASPRPTSSLRPSHANCSAAAGAVDSDALPLPPAPLPADRLQIDAAAPGRRRRRLASPDPGRRRDSRRRPDPTPTATLPRLSRQSSIHIYDRRLSPPRALLTADPHPHRGQPRKPATTSSAGAADSLRRPHRRSTPRRLSPPRDPQRGQPNHIHTAVPGGEPPTTRICDFRSVRTVASHPHNLLPCIEGYIDLLNTAIVATSARPPSGFFCPDVMNTSVGEQQSIHVHVAKQQVSTCIFLLQDHSVSKIFYSFAGEDNHFITIVKSMPQKLLPVVD >ONIVA03G05520.2 pep chromosome:AWHD00000000:3:3968415:3971029:-1 gene:ONIVA03G05520 transcript:ONIVA03G05520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIPRTPSTSASRNHRTSAENHAARPDRSASPRPTSSLRPSHANCSAAAGAVDSDALPLPPAPLPADRLQIDAAAPGRRRRRLASPDPGRRRDSRRRPDPTPTATLPRLSRQSSIHIYDRRLSPPRALLTADPHPHRGQPRKPATTSSAGAADSLRRPHRRSTPRRLSPPRDPQRGQPNHIHTAVPGGEPPTTRICDFRTSFFFSNPPHYFANDMMIGEGQRCNHSCVYIIGYIDLLNTAIVATSARPPSGFFCPDVMNTSVGEQQSIHVHVAKQQVSTCIFLLQDHSVSKIFYSFAGEDNHFITIVKSMPQKLLPVVD >ONIVA03G05510.1 pep chromosome:AWHD00000000:3:3962922:3966797:1 gene:ONIVA03G05510 transcript:ONIVA03G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G06025) TAIR;Acc:AT2G06025] MATGSVVAPPPSLAGGGRGLRRRGVLHRRLAASPMKDEPVASTNGGKDEMVTDSLSVARRASHPGLSSSLSNPMSEVTTPFHPAAPSDLRFNRLRPSVEESDCKYKRFFGCYVAREAIIDEEYWIAAWLRAENRYEDQSSDRYVESFKRKFASQEFHALKRRCSKLQGEKYICFVAVKNDDLKRTVLNSVVGTLDVCIRHPLHGETFPAEPGKSSFHCRIYQPDQPKFGYLTNVCVAKYARRQGIASNMLLLAIDAARLNGAEEVYIHVHKDNLPARRLYDQIGFRMVDFDGARQSSDLCLLSFSS >ONIVA03G05500.1 pep chromosome:AWHD00000000:3:3955137:3959120:-1 gene:ONIVA03G05500 transcript:ONIVA03G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICPVSYRTPTLVNKYAAGPGAGAASRRSGSPDWVMLDKTAYISDRRNASTAESQTSEGQIVQGDGFSDEPSVLAAEGSLVLFRVNLSPRPRRSGTYMSPCNYFVYTAGSGRPWLDLLPDPNAMPFNSQQFGLFPCRSGVSEHYDVAFLNSEWVASDEACQFELCTFSSKTGRWSSKPVLLDLSPSEIHKVAIEHETDKLITIGHDSLGLVDLWRGIILLERLFDDYPVMRYMTFPKPVVYTIDAYGATVCGEIAPECARDVACCDGLIKFVDIEYCYSDDVNGNGWKATIWNRMLSWKDWRKRFSVDKFDILVDPSYSTVLPDLWDDNTKMMQLKKLICTIPTLSMYDDDFVYMMSTMTEEDKNAWIISVDMKQNTLQAVAPISAERFSVLCSDCRPCAFSKYLKITSGVVIPNPVGEYTKRNHLQDRVLEALRTQDSLNELDDCSEFERSNFEEYRSLVQSSPVSSLHSNIQNVAGYYASNDIEKAASKAVNICLRTLAQLVSGNVFLSLRASEDLNQVLQESTSYPSAHAEAIRSKINVVLRAIGSLVQTVPVQPRMTTVADSHGVSKIYSEEEKNNSHEP >ONIVA03G05490.1 pep chromosome:AWHD00000000:3:3951157:3953657:-1 gene:ONIVA03G05490 transcript:ONIVA03G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLLPTTSGGGHLCPSPPPSRRRRCCQVAAAAGGIGRRAVSLAGVASWLTATAAGRADASPFDKYVKKKKLEPLETYVPAVLLTQDQFRDLEKSLEFEKPRYDESRSLLRSGPASSLRINIRAVAQYASSSGQGKAASDAVDECLRALEDLDSLLLQASRNNPSASVDVMRSKISVALGALDNLLQTVPSAVLDKGKAIANAYRTPTDDYEMGDATELDPRLKQLQDIL >ONIVA03G05490.2 pep chromosome:AWHD00000000:3:3951157:3953657:-1 gene:ONIVA03G05490 transcript:ONIVA03G05490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLLPTTSGGGHLCPSPPPSRRRRCCQVAAAAGGIGRRAVSLAGGQTRARLTNTKKLEPLETYVPAVLLTQDQFRDLEKSLEFEKPRYDESRSLLRSGPASSLRINIRAVAQYASSSGQGKAASDAVDECLRALEDLDSLLLQASRNNPSASVDVMRSKISVALGALDNLLQTVPSAVLDKGKAIANAYRTPTDDYEMGDATELDPRLKQLQDIL >ONIVA03G05480.1 pep chromosome:AWHD00000000:3:3938498:3942631:-1 gene:ONIVA03G05480 transcript:ONIVA03G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNSGWLGFSLSSSSARGYGDGCGEGNGGGDGDGSCSSPVAASPLVAMPLHSDGSVHYDAPDWRHAEAKDPKLEDFMSVSYSNKSSSNLYGSSSSSSCGHADQIKYHHVHDVQAFSTPYFYGHGGSGVGIDINMNAPPAGCTGVLPDHRPPPPQQDHIFLPPHGQYFLGPPNPMAPAPMYNAGGGGGGVVDGSMSISGIKSWLRQAMYVPERSAAALSLSVPAAPPSEAPLPPAAMPVVRKPAQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYEKELEEMKHMTRQEFIAHLRRNSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICSSTHLIGGDLACRRSPTRMLPPDAPAGAAGVDVVVAPGDHQQISVGGGGASDNSDTASDGHRGAHLLHGLQYAHAMKFEAGESSGGGGGDGATTNWMAAAAATARPVAGIPTTVHHQLPVFALWND >ONIVA03G05470.1 pep chromosome:AWHD00000000:3:3934126:3937073:1 gene:ONIVA03G05470 transcript:ONIVA03G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHERLNKFRQQQERCQTTLSSIAANQASTPRSNITRWVQPTNGPSTPAKPPQRKFSDDTERLQRINSVRKSPAAAQIKIVIELLEKTRQALTADQINEATYVHIHGNKEVFDRLKNNPKVHFVGNLFSYKSKKFPDGLAVAEIKDAYLAVLEDLKALKASGDVCLVASTTKSDEGVVYPEIDPMSKIKFDDDLKELARSILLPRDMLDIEKELQKNGQPTRTNAAKRRADAQILLYPPKPNKSKKKPHGLTSRTKLTNAHLPELFMDLKT >ONIVA03G05460.1 pep chromosome:AWHD00000000:3:3931743:3938115:-1 gene:ONIVA03G05460 transcript:ONIVA03G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSTSACGRSGPGTAVSSLQPMLLEYLPACRRLGPLHLKDAKKKRRSCVKSVNERREPLLYPRRRSRPGCTDASFGKMPAAERKGGASPLGRGGLAGGDAHASLGFDRRAVGGETDPKGPTLATDEHAAIIDRDLIMSICGAGCAWFIKRSGTVDGQAGKGKQPKTDRHLKEHLSILSFSYSYYYVYYSSR >ONIVA03G05450.1 pep chromosome:AWHD00000000:3:3924715:3925647:-1 gene:ONIVA03G05450 transcript:ONIVA03G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWAAPKVTAGSARRYVADQPSFSSTLLDAIYKSMDEQPGHGGGATGVEAVAAAAKKQHEAALHYGYYYKPSLAGSYRARAPGPHATTSSSSECSSYGGFSSSEAESSHHRRLRPIRTTVPGGAPGPAPEKKAKKPGASIRAKLRDLRKPASPGARLAGFLNSIFAGKRAPATPPSATAGAESACSTASSYSRSCLSKTPSTRGQAKRTVRFLDSDTESLASSTVVDRRRVPVEAVQQMLLQRMEMESDEDDDESSDASSDLFELENFAAIAPAGAAYRDELPVYETTRVALNRAIGHGYGHGRSARVV >ONIVA03G05440.1 pep chromosome:AWHD00000000:3:3910207:3914751:1 gene:ONIVA03G05440 transcript:ONIVA03G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G12040) TAIR;Acc:AT5G12040] MRATAAAAFSLLTCSCLRSTSPTRLPSSSLRLPLRRGPLAAMATAASFRPEAARSPPAVQPPAPPLSKVFKVALCQLSVTADKARNIARAREAIEAAAAGGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARSLQITLVGGSISERSGNKLYNTCCVFGSDGELKGKHRKIHLFDIDIPGKITFKESKTLTAGQDLTVVDTDVGRIGIGICYDIRFQELAMLYAARGSHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCAPARDTSAGYIAWGHSTLVGPFGEVIATAEHEETTIMAEIDYSLIDQRRQFLPLQYQRRGDLYQLVDVQRSGSDE >ONIVA03G05430.1 pep chromosome:AWHD00000000:3:3907530:3907745:1 gene:ONIVA03G05430 transcript:ONIVA03G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSWHERNGGTPVDTPVVVVVGIPIRSAARDAPPPTSRALILTARALPLPAARPCAAPLPCGPRGRRPAG >ONIVA03G05420.1 pep chromosome:AWHD00000000:3:3907247:3907435:1 gene:ONIVA03G05420 transcript:ONIVA03G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEVRACMDLGLELPSDYTVEIQCYGLFATSNPTHTNSGSSSNDEEGARRERRASAIGDR >ONIVA03G05410.1 pep chromosome:AWHD00000000:3:3901981:3902334:1 gene:ONIVA03G05410 transcript:ONIVA03G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLGTGGCGCSSVVASLLLVSSICSLLELGAGARDNEWTALPIDQEHVPDSHSLETRKKEPSHPVTVNLCSEMVSEQALRLELGNSCTLGKIGHDGDGNIEFNTCKNHMGGADVR >ONIVA03G05400.1 pep chromosome:AWHD00000000:3:3900547:3901539:1 gene:ONIVA03G05400 transcript:ONIVA03G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVVDMDEKGGAAAAADEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLQVAPPPQAATATAAAILRRDGLRGFYRGFGASLAGTVPARALYMAALEATKSSVGSAAVRLGVSEPAATAAASAAGGVSAAIAAQVVWTPVDVISQRLMVQTSSTCRYRGGVDAFKKILLADGVRGLYRGFGLSIVTYAPSNAVWWASYAMAQRFIWRVVGAERSESYPSLMAVQGASAALAGGASALVTMPLDTVKTRIQVMETDGAAAARPTLKSTVRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYEFLKRLSAKEGSLD >ONIVA03G05390.1 pep chromosome:AWHD00000000:3:3888230:3892230:-1 gene:ONIVA03G05390 transcript:ONIVA03G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASIQAEEREKERGFALAEERSKVRSEEAAREEVCRRGDMLPPHLTENGTVMIQFGHKMPDYESSATQSTSGSPREVSGMSEGSLNEQNDQSGNLDGYTKSDEGKMMSALSLGKSETVYAHSEPDCSQPFGISYPYADSFYGGAVATYGTHAIMHPQIVGVMSSSRVPLPIEPATEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKNRKPYLHESRHQHAMKRARGTGGRFLNTKQQPEASDGGTPRLVSANGVVFSKHEHSLSSSDLHHRRAKEGA >ONIVA03G05380.1 pep chromosome:AWHD00000000:3:3885320:3885520:-1 gene:ONIVA03G05380 transcript:ONIVA03G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLMALAFSAAPLTLYLPPVRSLSLFVEAIEAVFRDCAPYSQGAIFRFRLGLSRILSGLARALR >ONIVA03G05370.1 pep chromosome:AWHD00000000:3:3875901:3883810:1 gene:ONIVA03G05370 transcript:ONIVA03G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;tRNA (5-methylaminomethyl-2-thiouridylate)-methyltransferases [Source:Projected from Arabidopsis thaliana (AT1G51310) TAIR;Acc:AT1G51310] MLRVVSTLPALRPLLAGSTLLLNARPLLRSRLTRRPFRAVSSSTASPSSSSSGARDFGGVDFGDERLLRCAAAGRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDDDLKYAQAVCDKIDVPLEVVHLSDEYWNHVVSHIINEYRSGRTPNPDVLCNTRIKFGAFLEAIENLGFDYIASGHYAHVVHPSPDDVEGPSVLQLSKDKVKDQTYFLSHLSQTQLRRLLFPLGCITKDEVRRLAAQMDLPNQDRKDSQGICFLGKVKFSEFVERQIGEMEGVLLEAETGDYLGTHRGFWFYTIGQRQGLRLPGGPWYVVEKDVQNNVVFVSRNYYSLDKRRRTFRVGSLNWFSNSGPTNNEQLKCKVRHSPEFHDCTVTQEQTSENGVILVVRLSEDDQGLAAGQFAAFYRDNLCLGSGIILDSWDEMNFPVCARALEIARMEDKSRLGKPVKIMNLEHIVKSEKEAIEVA >ONIVA03G05370.2 pep chromosome:AWHD00000000:3:3875901:3883810:1 gene:ONIVA03G05370 transcript:ONIVA03G05370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;tRNA (5-methylaminomethyl-2-thiouridylate)-methyltransferases [Source:Projected from Arabidopsis thaliana (AT1G51310) TAIR;Acc:AT1G51310] MLRVVSTLPALRPLLAGSTLLLNARPLLRSRLTRRPFRAVSSSTASPSSSSSGARDFGGVDFGDERLLRCAAAGRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDDDLKYAQAVCDKSIYLMRAFLEAIENLGFDYIASGHYAHVVHPSPDDVEGPSVLQLSKDKVKDQTYFLSHLSQTQLRRLLFPLGCITKDEVRRLAAQMDLPNQDRKDSQGICFLGKVKFSEFVERQIGEMEGVLLEAETGDYLGTHRGFWFYTIGQRQGLRLPGGPWYVVEKDVQNNVVFVSRNYYSLDKRRRTFRVGSLNWFSNSGPTNNEQLKCKVRHSPEFHDCTVTQEQTSENGVILVVRLSEDDQGLAAGQFAAFYRDNLCLGSGIILDSWDEMNFPVCARALEIARMEDKSRLGKPVKIMNLEHIVKSEKEAIEVA >ONIVA03G05360.1 pep chromosome:AWHD00000000:3:3872842:3875800:-1 gene:ONIVA03G05360 transcript:ONIVA03G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenylosuccinate synthase [Source:Projected from Arabidopsis thaliana (AT3G57610) TAIR;Acc:AT3G57610] MSLSTVNHAAAAAAAAGSGKSFSAAAPAAPSVRLPRTRAPAAAAVSAAAVGADRAADRVSALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFNEIDGLESNGVNCNGRILVSDRAHLLFDLHQAVDGLREAELANSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFEGFEYSKSMLREEVERYKRFAERLEPFIADTVHVLNESIQQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRCIGDLIGVVKAYTTRVGSGPFPTELFGEEGDLLRKSGMEFGTTTGRPRRCGWLDIVALKYCCEINGFSSLNLTKLDVLSGLPEVKLGVSYNQPDGQKLQSFPGDLDILEQVQVKYEVLPGWQSDISSVRSYSELPLAAQRYVERIEELVGVPVHYIGVGPGRDALIYK >ONIVA03G05350.1 pep chromosome:AWHD00000000:3:3870727:3871674:1 gene:ONIVA03G05350 transcript:ONIVA03G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57600) TAIR;Acc:AT3G57600] MESYGRKRAWKKGPTRGKGGPQNAACEYRGVRQRTWGKWVAEIREPNKRTRLWLGSFATAEEAALAYDEAARRLYGPDAFLNLPHLRAASAAAAHQRLRWLPASAAARGGAAAVPAYGLLNLNAQHNVHVIHQRLQELKNSSSSPTKPPPRTPTRANPPPPPLPTSSPCSTVTNSVGSAALPPPMSCFQALEQAMAATAAMESAPCDDDAAVVGFGADKPQLDLKEFLQQIGVLKADDDGATGKNGAVHGDDGELADAFGFGGSGEFDWDALAADMSDIAGGHGGALGANGGFQMDDLHEVEQFGGCMPIPIWDI >ONIVA03G05340.1 pep chromosome:AWHD00000000:3:3864396:3869469:1 gene:ONIVA03G05340 transcript:ONIVA03G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:exostosin family protein [Source:Projected from Arabidopsis thaliana (AT3G57630) TAIR;Acc:AT3G57630] MRTMRSTKCPWAFLGVAGALVMLVAAVHVFMVPILPSSLDFFGAGHGIGKPRNVLPGVGVVDSRLSGQFPSDSYGAVTYRGAPWKAEIGRWLAGCDAGLSVVNITEFIGTKRCEQDCNGQGVCNYELGECRCFHGYAGKRCEEVQKVECNLPSSQEWPVGRWIVSICPAHCDTTRAMCFCGPGTKYPDRPVAEACGFKTILPKKPDDPKLTDWKTPDPDVFTTNKSKPGWCNVNPEDAYSSKVKFKEECDCKYDGLWGRFCETRVECSCINQCSGHGHCRGGFCQCDGGYFGIDCSMPSNYSVAYGMPSWLQPPMNLPDLKNISSSSINVKVVAKKKRPLIYVYDLPAEFDSHLLEGRHYKFQCVNRIYDDKNRTIWTQQLYGAQIALYESILASPHRTLNGDEADYFYVPALDSCLITRSDDAPHLQMPRDLRLRSYHTLEYYRMTYDHIAQRYPYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHKNSTTAYWADNWNYIPIDRRGNHPCFDPRKDLVLPAWKQPNPAAIWLKLWARTRNNRTTLFYFNGNLGPAYKDGRHEDTYSMGIRQKLAAEFGSTPDKQGKLGRQHTANVTVTYLRTEKYYEELASSIFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGILLPYENMLNYNSFAVRIQEDDIPNLIRILRGINETQVEFMLRNVRQIWQRFFYRDSILLEAQRQKRLFSEEAPWSVEVSKLDKVDDVFATFIQVLHYKLYNDPWRQGVLQRKETGLPDICSKAS >ONIVA03G05330.1 pep chromosome:AWHD00000000:3:3860774:3863275:1 gene:ONIVA03G05330 transcript:ONIVA03G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEPKDIDWSRVVSRYVRDETYEGIEAPHWADLTDPEAGVAAVDDEAWFCRPDCRHPKTVEDFLKMSPSPKGKLLRSVSAMMMPFGERDTNLRDGNNNLKRRGAVAGSGIAATFTPPKPKAAAKKRFQDDSENQDPALATPPPPPPAASRPPFGAARWAKNAKDAIKSSAEKRPGDAEKEALLSKNAAPRKLKSTLSARNLFSGKDILDQISDFYNELKRMAGGNGSRPGSEAMEELSSNPINEGDVAEKKVDCGCGTGDQVPPEEAIKEKSRQETAEKSPSTMKGKKMGLKVEAAKPTRSSVLKEVKATPPTPQRFPSPSTNRVKNVKAGGMSMASSPLKKPLKEKGTPSKDLENSKDAKRQPFGVKDMNNTKACDAEGSSSMFWFLKPCTFLVE >ONIVA03G05320.1 pep chromosome:AWHD00000000:3:3856029:3860544:1 gene:ONIVA03G05320 transcript:ONIVA03G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G19350) TAIR;Acc:AT5G19350] MAAAPYHQPTSLEEVRTLWIGDLQYWADENYLYNCFAHTGELQSVKIIRNKLTSLPEGYGFIEFISHEVAEKVLQTYNGTQMPGTEHTFRLNWASFSSGERRPDAGPDHSIFVGDLAPDVTDYLLQETFRVSYPSVKGAKVVTDPNTGRSKGYGFVKFADENEKNRAMTEMNGMYCSTRPMRISAAIPKKTTGSQLQYGAAKAMYPAAGYAVPQVQPVLPDSDPTNTTIFIGNLDQNVTEDELRQICVQFGELIYVKIPANKACGFVQYASRASAEEAVQRLHGTTIGQQVVRLSWGRSPASKQDQSAVWSQQADPNQWASAYYGYGYDAYGYAQDPSYAYNSYAGYTQLRERPIWHQQLEAMPQEWKRRRLNASYIAVHGRAMLGRPLWLRTSSLPQSA >ONIVA03G05310.1 pep chromosome:AWHD00000000:3:3847675:3853277:1 gene:ONIVA03G05310 transcript:ONIVA03G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63530) TAIR;Acc:AT3G63530] MNGSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFAIADAFHDQANPYWAMMHTNSYKYGYSGAGNYYSYGHVYDMNDYMHRADGGRRIWDNATPVNNTESPNVVLQGGETPHANTSSTTEECIQQQVHQNSSSPQVIWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQERISLLPVTKYKCGFFSRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGDEPKRQ >ONIVA03G05310.2 pep chromosome:AWHD00000000:3:3848588:3853277:1 gene:ONIVA03G05310 transcript:ONIVA03G05310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63530) TAIR;Acc:AT3G63530] MNGSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFAIADAFHDQANPYWAMMHTNSYKYGYSGAGNYYSYGHVYDMNDYMHRADGGRRIWDNATPVNNTESPNVVLQGGETPHANTSSTTEECIQQQVHQNSSSPQVIWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQERISLLPVTKYKCGFFSRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGDEPKRQ >ONIVA03G05300.1 pep chromosome:AWHD00000000:3:3837898:3842672:-1 gene:ONIVA03G05300 transcript:ONIVA03G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHMGELGSIGLETPRDPCCSATCVPEVWLPTRFEVGFIDLDYNALDSGGPMQFLLEEMCHGNVDNAGASGIQGVARISKLDVTYNTMNDLYGKARKIKDASCRRRRLRRAIDLCNTVQTPFIDLRRAVLLQEPPSVPTLTGGGGGGAREAAVIDPSDERLATMSLREQTALLSRQT >ONIVA03G05290.1 pep chromosome:AWHD00000000:3:3833874:3837129:-1 gene:ONIVA03G05290 transcript:ONIVA03G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIMNRESFNPPSLPNLAASCCWPESVILANRAYIADCKNPTTATAQSRDGHTVQISFCFAEAPVISYFCAHCYNPRVKVEFFTEPIIVAGEGAVVVLRVHLSSPLLSEFFIYRAGPGLPSLERVQDPTVYVYTSTTKTWSTRKLDLSPGIRAIRPQKIQYVPLPEPMPLNKESFRPSNRSESCPMLFRDVICSSSGEIKFVELEQRQRKIQPIPQELEDVRQESKEDVCDRDVLDDSYLMSCAHMDDLPKEETPSFVGDGWRAVTWSRLISSNCWQKGYVIDSDDMQSTYEVLTSTQRDKGVEMLRFRDLFSVFPTLSLNGAGDLLYLKSTVKFKDLNGWVSSIDIGKKTIKVLKPYCNGRHIPFVQMFRSCVLCHHLTVLPGPENIGRFIETSSNENHPKTIAASIMPESNRLAGNKRSRSATRWSPALRGWPSARVAKPALSWRSCPPSLSPVSSTYGLRNTAGYHMAQTCCFENDPRATANTTPRHPENQFTQHGYEQRENDFILSAPNFVRWHRPPFSAGGTLPPPPPTQQPSAFGTLPPPHPSQQFSACGTMLPPSSSQPFCSSVRSLAMQTFATPGPILPGPPVTPMQWPVFVSPQHQLSACAVWPQAGTVQQELPPHIPFRAHQVLEQWITKAKLSAAITKPHYLLQKHFTKPPNGCTNLKDREHFEASVF >ONIVA03G05290.2 pep chromosome:AWHD00000000:3:3833874:3837129:-1 gene:ONIVA03G05290 transcript:ONIVA03G05290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIMNRESFNPPSLPNLAASCCWPESVILANRAYIADCKNPTTATAQSRDGHTVQISFCFAEAPVISYFCAHCYNPRVKVEFFTEPIIVAGEGAVVVLRVHLSSPLLSEFFIYRAGPGLPSLERVQDPTGIASCDNDDGGSCLLVGFRNRLTHYEVYVYTSTTKTWSTRKLDLSPGIRAIRPQKIQYVPLPEPMPLNKESFRPSNRSESCPMLFRDVICSSSGEIKFVELEQRQRKIQPIPQELEDVRQESKEDVCDRDVLDDSYLMSCAHMDDLPKEETPSFVGDGWRAVTWSRLISSNCWQKGYVIDSDDMQSTYEVLTSTQRDKGVEMLRFRDLFSVFPTLSLNGAGDLLYLKSTVKFKDLNGWVSSIDIGKKTIKVLKPYCNGRHIPFVQMFRSCVLCHHLTVLPGPENIGRFIETSSNENHPKTIAASIMPESNRLAGNKRSRSATRWSPALRGWPSARVAKPALSWRSCPPSLSPVSSTYGLRNTAGYHMAQTCCFENDPRATANTTPRHPENQFTQHGYEQRENDFILSAPNFVRWHRPPFSAGGTLPPPPPTQQPSAFGTLPPPHPSQQFSACGTMLPPSSSQPFCSSVRSLAMQTFATPGPILPGPPVTPMQWPVFVSPQHQLSACAVWPQAGTVQQELPPHIPFRAHQVLEQWITKAKLSAAITKPHYLLQKHFTKPPNGCTNLKDREHFEASVF >ONIVA03G05290.3 pep chromosome:AWHD00000000:3:3833874:3837129:-1 gene:ONIVA03G05290 transcript:ONIVA03G05290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIMNRESFNPPSLPNLAASCCWPESVILANRAYIADCKNPTTATAQSRDGHTVQISFCFAEAPVISYFCAHCYNPRVKVEFFTEPIIVAGEGAVVVLRVHLSSPLLSEFFIYRAGPGLPSLERVQDPTGIASCDNDDGGSCLLVGFRNRLTHYEVYVYTSTTKTWSTRKLDLSPGIRAIRPQKIQYVPLPEPMPLNKESFRPSNRSESCPMLFRDVICSSSGEIKFVELEQRQRKIQPIPQELEDVRQESKEDVCDRDVLDDSYLMSCAHMDDLPKEETPSFVGDGWRAVTWSRLISSNCWQKGYVIDSDDMQSTYEVLTSTQRDKGVEMLRFRDLFSVFPTLSLNGAGDLLYLKSTVKFKDLNGWVSSIDIGKKTIKVLKPYCNGRHIPFVQMFRSCVLCHHLTVLPGPENIGRFIETSSNENHPKTIAAVKISHEMVSCTSWLAFCESCQTSSELEVMPTITFSSLRNTAGYHMAQTCCFENDPRATANTTPRHPENQFTQHGYEQRENDFILSAPNFVRWHRPPFSAGGTLPPPPPTQQPSAFGTLPPPHPSQQFSACGTMLPPSSSQPFCSSVRSLAMQTFATPGPILPGPPVTPMQWPVFVSPQHQLSACAVWPQAGTVQQELPPHIPFRAHQVLEQWITKAKLSAAITKPHYLLQKHFTKPPNGCTNLKDREHFEASVF >ONIVA03G05290.4 pep chromosome:AWHD00000000:3:3833874:3837129:-1 gene:ONIVA03G05290 transcript:ONIVA03G05290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIMNRESFNPPSLPNLAASCCWPESVILANRAYIADCKNPTTATAQSRDGHTVQISFCFAEAPVISYFCAHCYNPRVKVEFFTEPIIVAGEGAVVVLRVHLSSPLLSEFFIYRAGPGLPSLERVQDPTGYNSPIFYLSLLGIASCDNDDGGSCLLVGFRNRLTHYEVYVYTSTTKTWSTRKLDLSPGIRAIRPQKVINLGRGLLGWVDLWHGILIFNARDEQSQIQYVPLPEPMPLNKESFRPSNRSESCPMLFRDVICSSSGEIKFVELEQRQRKIQPIPQELEDVRQESKEDVCDRDVLDDSYLMSCAHMDDLPKEETPSFVGDGWRAVTWSRLISSNCWQKGYVIDSDDMQSTYEVLTSTQRDKGVEMLRFRDLFSVFPTLSLNGAGDLLYLKSTVKFKDLNGWVSSIDIGKKTIKVLKPYCNGRHIPFVQMFRSCVLCHHLTVLPGPENIGRFIETSSNENHPKTIAASIMPESNRLAGNKRSRSATRWSPALRGWPSARVAKPALSWRSCPPSLSPVSSTYGLRNTAGYHMAQTCCFENDPRATANTTPRHPENQFTQHGYEQRENDFILSAPNFVRWHRPPFSAGGTLPPPPPTQQPSAFGTLPPPHPSQQFSACGTMLPPSSSQPFCSSVRSLAMQTFATPGPILPGPPVTPMQWPVFVSPQHQLSACAVWPQAGTVQQELPPHIPFRAHQVLEQWITKAKLSAAITKPHYLLQKHFTKPPNGCTNLKDREHFEASVF >ONIVA03G05290.5 pep chromosome:AWHD00000000:3:3833874:3837129:-1 gene:ONIVA03G05290 transcript:ONIVA03G05290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIMNRESFNPPSLPNLAASCCWPESVILANRAYIADCKNPTTATAQSRDGHTVQISFCFAEAPVISYFCAHCYNPRVKVEFFTEPIIVAGEGAVVVLRVHLSSPLLSEFFIYRAGPGLPSLERVQDPTGYNSPIFYLSLLGIASCDNDDGGSCLLVGFRNRLTHYEVYVYTSTTKTWSTRKLDLSPGIRAIRPQKVINLGRGLLGWVDLWHGILIFNARDEQSQIQYVPLPEPMPLNKESFRPSNRSESCPMLFRDVICSSSGEIKFVELEQRQRKIQPIPQELEDVRQESKEDVCDRDVLDDSYLMSCAHMDDLPKEETPSFVGDGWRAVTWSRLISSNCWQKGYVIDSDDMQSTYEVLTSTQRDKGVEMLRFRDLFSVFPTLSLNGAGDLLYLKSTVKFKDLNGWVSSIDIGKKTIKVLKPYCNGRHIPFVQMFRSCVLCHHLTVLPGPENIGRFIETSSNENHPKTIAAVKISHEMVSCTSWLAFCESCQTSSELEVMPTITFSSLRNTAGYHMAQTCCFENDPRATANTTPRHPENQFTQHGYEQRENDFILSAPNFVRWHRPPFSAGGTLPPPPPTQQPSAFGTLPPPHPSQQFSACGTMLPPSSSQPFCSSVRSLAMQTFATPGPILPGPPVTPMQWPVFVSPQHQLSACAVWPQAGTVQQELPPHIPFRAHQVLEQWITKAKLSAAITKPHYLLQKHFTKPPNGCTNLKDREHFEASVF >ONIVA03G05280.1 pep chromosome:AWHD00000000:3:3832174:3832524:-1 gene:ONIVA03G05280 transcript:ONIVA03G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHH9] MKSLAAVLIVLAAAAVAASASESEFKQTPVADMPADPRVPLLGRFAVLVYSLNRNRRLTYAGVSLVDQHPDKGGVRYQMVVTAADAGGGAAAPYRAVVWGIPETHAWMLQEFNRIN >ONIVA03G05270.1 pep chromosome:AWHD00000000:3:3828076:3828441:-1 gene:ONIVA03G05270 transcript:ONIVA03G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHH8] MRTSSSLLVAAALVFAVVVVAETLPAAEATYRPIGNTSNLVVQQVGRFSVLVYDLSHRKSLVFVSVVSGETEAAVGGGTNYRLVILAETTPGGSKAKFQCVVWGVPGSRANTWKLLSFKAI >ONIVA03G05260.1 pep chromosome:AWHD00000000:3:3819542:3819910:-1 gene:ONIVA03G05260 transcript:ONIVA03G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHH7] MARSSPLFLLGAALAVVAAAAATEAAGWAPVADVQELVIQQVGRFAVLVYSLAHHTDLAYVGVARGETEAAAGGAGGTNYRLAVAVTKPDGSAAQYECLVWGVPGSRLDTWKLRRFRRIRLP >ONIVA03G05250.1 pep chromosome:AWHD00000000:3:3808513:3813969:-1 gene:ONIVA03G05250 transcript:ONIVA03G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHH6] MKPSLLLLALMLATFVVAIANADDYTAAAPAPSSEAEASPPSPPPEASPPPLAPLPSVTSSPPPPTSSPLMPPPPPPPPPSVTTSPPPPPPPAVTSSPPPPPPPAASPPPPPPSPPPPPPSPVKSSPPPPPAWSPVTNVNDYTIQQVGKFAVQSYCLNTGAKLVYVNVVSGQTQPCSGGGSNYQLVINVAAGVRTAQYSVFVWGILGTTTWKLWSAVLLSAIFTAALLAVAAKADGGSVTAAASPSPPPAWTAVANVNDRSIQQVGQSAVRIYGLSTNKTYLRYVNVVSGQTQPCNGGYNYRLVVTVAGPGATAARYDALMWGILGTTNWKLLSFTLAAN >ONIVA03G05240.1 pep chromosome:AWHD00000000:3:3802874:3806521:-1 gene:ONIVA03G05240 transcript:ONIVA03G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLWPCVTGGGAAADGAGSLFRSKARGPVEVVRHARELLAFLAENHDACGDKRDVKREHKMADLAKSIGEMKSILYGNGEADPVDEACSQLTKEFFKENTNSLHLLVVCLPYMDLETQKDVTQVTANLLRQKVDYRMVASDYLEENQDLLDVLMSGYDNMDIAIHYSAILRDCIRHQVAARYVLESQHMKKFFDYIQYPDFNIASDAFKTFKELLTRHRSSAAEFFSNNYDWFFPEFNSKLLSSSNYIIRRQATQLLGDILLDKSNTTAMVRYVSSKDNLIILMNLLREQSRAIQVEAFRVFKLFTCNPKKPPEIVGILVTNKSKILRFLADFTIEKEDQQFEADKAQVVTEISAM >ONIVA03G05230.1 pep chromosome:AWHD00000000:3:3802077:3802322:1 gene:ONIVA03G05230 transcript:ONIVA03G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVTEEFERKLKRGAPGEEGAAAESRRNFGAVIKVCSSWVDAAAAAAAGVTVNVALLNVDPKSGPAVAAMDGAFSA >ONIVA03G05220.1 pep chromosome:AWHD00000000:3:3794778:3800295:1 gene:ONIVA03G05220 transcript:ONIVA03G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUPPRESSOR OF AUXIN RESISTANCE 3 [Source:Projected from Arabidopsis thaliana (AT1G80680) TAIR;Acc:AT1G80680] MSSDPVFPVLRHGDYFTKPSIDELVEREAADPGYCSRVPDFVVGRVGYGRIHFPGDTDVRGMDLNGIVKFGRHSVEVYKDEASKPPLGQGLNKPAEVTLMLNLSVLPEPSALGELLKCQTRKQGARFVSFNHSSGRWKFEVDHFSRFGLVDEEEEDVVMDEVVVRQPIAEVRDPPANGHELELSRSLPAHLGLDPAKMHEMRMTMFSNEEGDEDMEDGFPSDQRYFSSEKMNVDSPNSSAKGLRLRSLSPLHGSSLKVSRRPGVIGRREPQALLEYSVNSSEHGPSSHGILMSGQNKGFPVRMTKVDGFKLPSDQETPVAGNVYSNCVVDAALFMGRSFRVGWGPNGILVHSGSLVNRPGTGLSSVIHIEKVAGDKVVRDEKNKIKEELTDLCFSDPLDLHRRLHHEYLETESDLFKLKLQKVVASRFVLPDICRSYIDIIERQLEVSDLSMSSRVLLMHQVTVWELIRVLFSERATGNQLEPTGDEDQEGMILDKKEGTVAIDLEALPLVRRADFSNWLQDSVCHRVQGEAGSLNDARYLEHIILLLTGRQLDTATEVAASRGDVRLAILLSQAGGSMLNRSDLSQQLDLWKTNGLDFDYIQEDRLKIYELLSGNVQGALVDSSIDWKRYLGLIMWYQLSPDASLDIIIHSYHQLLGEGKVPYPVPVYIDEGPLDESLQWSPGDRFDISFYLMLLHANQDEKFGMLKTMFSAFSSSYDPLDYHMIWHQCSILEAIGAFSSNDLHVLDLSFVYQLLCLGKCHWAIYVILHMPHLDDAPYIHEKLIREILSQYCESWSKDETQRVYIAELGIPVEWMHDALALYNEYYGDQQSALENYILCGNWKKAHTIFMTSIAHSLFLSSKHQEIWDITSSLEDHKSEIADWELSAGIYIDFFILRNSMQEKSTMDDPDQLEKKNESCSTFFGRLNDSLIVWGSKLPVEARACFSKMAEELCELLMNSPGEGLTPDLYMGCFQTMLNAPVPDDHRSSYLQEAVSVFTDILCRD >ONIVA03G05210.1 pep chromosome:AWHD00000000:3:3789299:3793925:1 gene:ONIVA03G05210 transcript:ONIVA03G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine:glyoxylate aminotransferase 2 [Source:Projected from Arabidopsis thaliana (AT4G39660) TAIR;Acc:AT4G39660] MAASSSSLLLRRGATGGAGRRWGPGEALRRLVSSSEAAPAEKVPARSPPVMPPFEHRPRPYAGWSGDEILAKRKQFLGSSVFYYYQKPLNIVEGKMQYLYDENGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLNQPIVEFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLNMIALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAIAYAKEVEEQINYGTSGRVAGFIAETFQGVGGAVELAPGYLKLAYDTVRKAGGVCIADEVQSGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIANVLAQKIQFNTFGGNPVCSVGGLAVLKVLDKEKRQAHCADVGSHLVNRLKELQQKHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETNLLFEKLKDLNILVGKGGLHGNVFRIKPPMCFTRDDADYLVDAMDYAMSGL >ONIVA03G05200.1 pep chromosome:AWHD00000000:3:3785878:3786207:-1 gene:ONIVA03G05200 transcript:ONIVA03G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLNNSPKFRSKQTTIKPKREAFRSTREAEFEAKKKKEQRGRGCSYLCSPTTRQWEQRARTVALVARGKRVTKTSALLDAGRDEELVGSRDRVEAELPMAEERGIQR >ONIVA03G05190.1 pep chromosome:AWHD00000000:3:3780999:3782204:1 gene:ONIVA03G05190 transcript:ONIVA03G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGGGGGGGRITDEEINELISKLQALLPESSRSRGASRSSASKLLKETCSYIKSLHREVDDLSDRLSELMSTMDNNSPQAEIIRSLLR >ONIVA03G05180.1 pep chromosome:AWHD00000000:3:3770285:3771580:1 gene:ONIVA03G05180 transcript:ONIVA03G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEGNSCLISRSLPSSCEPESQWAYLSHEVLNGKRPAPEDAEAEDMDEVDFGGGKRSKPPSPQPHTPDISEGHGSSRHVAASGGGEEHGNGSSLIGAIGRDLTINCLLRLSRSDYGSVASLNKDFRSLVRNGEIYRLRRQSGVAEHWVYFSCNVLEWDAYDPYRERWIQVPKMPPDECFMCSDKESLAVGTELLVFAMAHIVFRYSILTNSWTRADPMISPRCLFGSTSVGAKAYVAGGTDSSGRILSSAEMYDSETHSWTPLPSMNRARKMCSGVFMDGKFYVVGGVASNNKVLTCGEEYDLKRRSWRVIENMSEGLNGVTGAPPLIAVVNNELYAADYSEKDVKKYDKQNNKWITLGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTSIGGTIELNSWTPDERPPVWNLIARRPSGNFVYNCAVMGC >ONIVA03G05170.1 pep chromosome:AWHD00000000:3:3751369:3759867:-1 gene:ONIVA03G05170 transcript:ONIVA03G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASARWLSGGVKTQEVQKVKYFSCHILRSNNTYSVTHACIDYQAPQDMGCGCQMIGEETSQHRDTAESDTHHEVPSSRVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM >ONIVA03G05170.2 pep chromosome:AWHD00000000:3:3751369:3759867:-1 gene:ONIVA03G05170 transcript:ONIVA03G05170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASARGPDASALISVLVLPDSLRSNNTYSVTHACIDYQAPQDMGCGCQMIGEETSQHRDTAESDTHHEVPSSRVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM >ONIVA03G05170.3 pep chromosome:AWHD00000000:3:3751369:3752031:-1 gene:ONIVA03G05170 transcript:ONIVA03G05170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQSGSSRITDEQISDLVSKLQDLLPEARLRSNDRVPSSRVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM >ONIVA03G05160.1 pep chromosome:AWHD00000000:3:3747196:3747537:-1 gene:ONIVA03G05160 transcript:ONIVA03G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCGEVPLWTLLSSGPMLVQGSGKVLPCPLFTSTLGDCNSRLPASASTTSGICCCSSPQLTSKALESGEDTAAFCLHAGRSQPLSTFWLSHAGTITHSCRLVLRRLSAPLGS >ONIVA03G05150.1 pep chromosome:AWHD00000000:3:3744352:3744558:1 gene:ONIVA03G05150 transcript:ONIVA03G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGDTKDIGEDEDNSAGEGEEPLIMGWDGRRRLGGYGDWVAMFGGNRLGHMTVLGPRWHDRDGVMDI >ONIVA03G05140.1 pep chromosome:AWHD00000000:3:3734656:3740694:-1 gene:ONIVA03G05140 transcript:ONIVA03G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSGAGGGGGGGGGGLELSVGVGGGGGARGGGGGEAAAAVETAAPISLGRLILSGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGMVVQPCVGLYSDRCTSKWGRRRPYILTGCVLICLAVVVIGFSADIGYAMGDTKEDCSVYHGSRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGRHGPGTANSIFCSWMAMGNILGYSSGSTNNWHKWFPFLKTRACCEACANLKGAFLVAVIFLSLCLVITLIFAKEVPFKGNAALPTKSNEPAEPEGTGPLAVLKGFRNLPTGMPSVLIVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTDPQIEAFNQGVRAGAFGLLLNSIVLGFSSFLIEPMCRKVGPRVVWVTSNFLVCIAMAATALISFWSLKDFHGTVQKAITADKSIKAVCLVLFAFLGVPLAVLYSVPFAVTAQLAATRGGGQGLCTGVLNISIVIPQVVIALGAGPWDELFGKGNIPAFGLASGFALIGGVAGIFLLPKISKRQFRSHGRRSLTAPLSPPAAAAAAAGAAPPEVR >ONIVA03G05140.2 pep chromosome:AWHD00000000:3:3734819:3740694:-1 gene:ONIVA03G05140 transcript:ONIVA03G05140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSGAGGGGGGGGGGLELSVGVGGGGGARGGGGGEAAAAVETAAPISLGRLILSGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGMVVQPCVGLYSDRCTSKWGRRRPYILTGCVLICLAVVVIGFSADIGYAMGDTKEDCSVYHGSRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGRHGPGTANSIFCSWMAMGNILGYSSGSTNNWHKWFPFLKTRACCEACANLKGAFLVAVIFLSLCLVITLIFAKEVPFKGNAALPTKSNEPAEPEGTGPLAVLKGFRNLPTGMPSVLIVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTDPQIEAFNQGVRAGAFGLLLNSIVLGFSSFLIEPMCRKVGPRVVWVTSNFLVCIAMAATALISFWSLKDFHGTVQKAITADKSIKAVCLVLFAFLGVPLAVLYSVPFAVTAQLAATRGGGQGLCTGVLNISIVIPQVVIALGAGPWDELFGKGNIPAFGLASGFALIGGVAGIFLLPKISKRQFRSVSMGGGH >ONIVA03G05130.1 pep chromosome:AWHD00000000:3:3731358:3732326:1 gene:ONIVA03G05130 transcript:ONIVA03G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSRQARHDLRHCPSPLALPRCQSFPARCAGDAGVHVVRLTSTTLGSLEVDKGAPRAAEAPPMRRMVPRTPTMTPPNEPEAIDAWALMAGLEEHSPLLVPPFARHSFSFPITAVPPELAAASRKVTPLPLVEKKKASPVARPRKAVLYFTSLRGVRATHEDCCLARAILGGYGVRVDERDVSMHRGFRDELHGLLGLGRGAALAKCWAPAAAPALPSLFVDGELVGNADELKRLHEAGELAARLAGCESAAPGEAAGACEACADVRFVLCGACSGSCKVYVDDGDDDDENPLDGGGGGGFRRCTECNENGIVRCPVCCC >ONIVA03G05120.1 pep chromosome:AWHD00000000:3:3722038:3723974:-1 gene:ONIVA03G05120 transcript:ONIVA03G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGMASSPSSFFPPNFLLHMAQQQAAPPHDPQEHHHHHHHGHHGHHHEQQQHHHHLGPPPPPPPHPHNPFLPSSAQCPSLQEFRGMAPMLGKRPMSYGDGGGGGDEVNGGGEDELSDDGSQAGEKKRRLNVEQVRTLEKNFELGNKLEPERKMQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALKRQLDAVKAENDALLNHNKKLQAEIVALKGREAASELINLNKETEASCSNRSENSSEINLDISRTPPPDAAALDAAPTAHHHHHGGGGGGGGGGGMIPFYTSIARPASGGGVDIDQLLHSSSGGAGGPKMEHHGGGGNVQAASVDTASFGNLLCGVDEPPPFWPWPDHQHFH >ONIVA03G05110.1 pep chromosome:AWHD00000000:3:3714613:3721970:-1 gene:ONIVA03G05110 transcript:ONIVA03G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSTVTSAQQVRSTAELAHGRTIACGWISSGGAVHTSQAEKPKDRVRSGPYGARTAQARQNKKATGDIVVRIRVHEHKCFQGISPASASSRWVGGVTPNATGERRTGRSTATKKNESLTLPSTTSER >ONIVA03G05100.1 pep chromosome:AWHD00000000:3:3714038:3714595:-1 gene:ONIVA03G05100 transcript:ONIVA03G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G14345) TAIR;Acc:AT1G14345] MPPPSSLPSTAAAATASAAFLRPSASAHPASASAPPNAAACLRRSPRRRLVAARAAADGGGAETVFFDGGAHYGDLAANLALGLTLLWLPLTLAAVSRAFILRYRFTSRRVSVISGLSGADRTDFPYSSVTSVVVVPRFIGEWGDIVITLKDGTKVDLRSVPRFREVADYCRSMAAAEGSLAAST >ONIVA03G05090.1 pep chromosome:AWHD00000000:3:3704713:3707851:-1 gene:ONIVA03G05090 transcript:ONIVA03G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTTARVDHSMNNGAYPSKVTSKTKQFKLLDFDSAIYEGQKNATKNFRPDSLLGEGGFGHVYKGWIDEHTLAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNSKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLGDKRRLYRVMDTKLGGQYPKKGAHAIANIALQCICNDAKMRPRMSEVLEELEQLQDSKYNMASPQVDIRRTSNAVPKSPMRIQPSPRRSLGAAASPLPGYRTAKVH >ONIVA03G05090.2 pep chromosome:AWHD00000000:3:3702774:3707851:-1 gene:ONIVA03G05090 transcript:ONIVA03G05090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTTARVDHSMNNGAYPSKVTSKTSLSSVPSTLKSNSSCSTLTLPSMKDRSELPTPRTEGEILSSSNLKAFSFNDLRNATKNFRPDSLLGEGGFGHVYKGWIDEHTLAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNSKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLGDKRRLYRVMDTKLGGQYPKKGAHAIANIALQCICNDAKMRPRMSEVLEELEQLQDSKYNMASPQVDIRRTSNAVPKSPMRIQPSPRRSLGAAASPLPGYRTAKSEQETFLLHRSQPENRTSCRGRLVKLGTVNK >ONIVA03G05090.3 pep chromosome:AWHD00000000:3:3704713:3707851:-1 gene:ONIVA03G05090 transcript:ONIVA03G05090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTTARVDHSMNNGAYPSKVTSKTSLSSVPSTLKSNSSCSTLTLPSMKDRSELPTPRTEGEILSSSNLKAFSFNDLRNATKNFRPDSLLGEGGFGHVYKGWIDEHTLAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNSKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLGDKRRLYRVMDTKLGGQYPKKGAHAIANIALQCICNDAKMRPRMSEVLEELEQLQDSKYNMASPQVDIRRTSNAVPKSPMRIQPSPRRSLGAAASPLPGYRTAKVH >ONIVA03G05090.4 pep chromosome:AWHD00000000:3:3704713:3707851:-1 gene:ONIVA03G05090 transcript:ONIVA03G05090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTTARDRSELPTPRTEGEILSSSNLKAFSFNDLRNATKNFRPDSLLGEGGFGHVYKGWIDEHTLAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNSKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLGDKRRLYRVMDTKLGGQYPKKGAHAIANIALQCICNDAKMRPRMSEVLEELEQLQDSKYNMASPQVDIRRTSNAVPKSPMRIQPSPRRSLGAAASPLPGYRTAKVH >ONIVA03G05080.1 pep chromosome:AWHD00000000:3:3700493:3707031:1 gene:ONIVA03G05080 transcript:ONIVA03G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: 3-dehydroquinate synthase, prokaryotic-typ /.../erPro:IPR002812); Has 390 Blast hits to 390 proteins in 131 species: Archae - 144; Bacteria - 105; Metazoa - 0; Fungi - 0; Plants - 54; Viruses - 0; Other Eukaryotes - 87 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G28760) TAIR;Acc:AT3G28760] MAVSSLAFARVLVPPSPRTALASACSHSIKMCASSASPSESKKTVWVWTTNRQVMTAAVERGWSTFLFGSKDLGKDWSSTARINPLFIDGLEIFDEKKQKIAVISEVSSPGELELIQPDNVEVENIVIDFRGGWQVIPAENIVAAFQGCRGTVLAVSTNSTEAQVFLEALEQGLDGVILKVEDMDDIIKLKDYFDRRNEAKSQLMLTKATVSKVEVVGMGDRVCVDLCSMMRPGEGLLVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVAVPGGRTSYLSELQSGREVIVVDQNGLWRTAIVGRVKIESRPLILVEAKENGGDDTYSIFLQNAETVALITPEKGSSGRTAIPVTSLKVGDEVLVRKQGGARHTGIEIQEFIVEK >ONIVA03G05070.1 pep chromosome:AWHD00000000:3:3697508:3698149:-1 gene:ONIVA03G05070 transcript:ONIVA03G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQMNHKGNNTTTLSKIELLRTS >ONIVA03G05060.1 pep chromosome:AWHD00000000:3:3694495:3694854:-1 gene:ONIVA03G05060 transcript:ONIVA03G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCVFLRWPSASPSRIGYRSLDDGDDGPSPAAVTTTVVVGKERRVFSVDQLVLDTYPFRLLLETAVRKEESKAALFVDVDAILFEHILWLAGHHDRSSSSLLHLDLKEIIDFYSQDA >ONIVA03G05050.1 pep chromosome:AWHD00000000:3:3682850:3694485:-1 gene:ONIVA03G05050 transcript:ONIVA03G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILRPPELGPTELPVRGRSSGSSPVPSAAGSSAYSVLQRTAGRRECGRGKEDGTWRKVKVIRFDRTFPALNGLGWTWALKGILISIKSPHILRYHLQDKLSTAQLGSLPSRRKKIF >ONIVA03G05040.1 pep chromosome:AWHD00000000:3:3679647:3682777:-1 gene:ONIVA03G05040 transcript:ONIVA03G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKGGHGAAAAGGGNGWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRTSLGGDDDLLAQQKQQQPQPQQKPAKANLGRPADDHRHRQLHGRHGSPTSNRENEMKGLFGLVPY >ONIVA03G05030.1 pep chromosome:AWHD00000000:3:3673294:3677434:-1 gene:ONIVA03G05030 transcript:ONIVA03G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease [Source:Projected from Arabidopsis thaliana (AT3G47490) TAIR;Acc:AT3G47490] MVGRKPLRRRRHDAPPSPPLFGATPRPTSPRSSSASVAAVAEELDGLLLTAPRPSASSSEPRSFPYVVKQRCWEKAERVAGRDPERWRRDALGNVVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNKTELSKSELIQKSAYCRDVIWIFLNSLHMGMLGEGQIQVVAESNEDDGLAAKHSRGLLFARHTLFSVFEDGVLNALIMLPMWDIQ >ONIVA03G05030.2 pep chromosome:AWHD00000000:3:3673420:3677434:-1 gene:ONIVA03G05030 transcript:ONIVA03G05030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease [Source:Projected from Arabidopsis thaliana (AT3G47490) TAIR;Acc:AT3G47490] MVGRKPLRRRRHDAPPSPPLFGATPRPTSPRSSSASVAAVAEELDGLLLTAPRPSASSSEPRSFPYVVKQRCWEKAERVAGRDPERWRRDALGNVVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNKTELSKSELIQKSAYCRDVIWIFLNSLHMGMLGEGQIQVVAESNEDDGLAAKHSRGLLFARHTLFSVFEDGVLNALIMLPMCTNQIITWKFART >ONIVA03G05020.1 pep chromosome:AWHD00000000:3:3670023:3674584:1 gene:ONIVA03G05020 transcript:ONIVA03G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECKVGGGGGGGDCLIKLFGKTIPVPEPGACAAGDVDKDLQHSGSSTTEPKTQENTVQDSTSPPPQPEVVDTEDSSADKNSSENQQQQGDTANQKEKLKKPDKILPCPRCSSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSVSAASHFLQRVRAALPGDPPLYAPVKTNGTVLSFGSDLSTLDLTEQMKHLKDKFIPTTGIKNTDEMPVGLCAEGLSKTEESNQTNLKEKVSADRSPNVAQHPCMNGGAMWPFGVAPPPAYYTSSIAIPFYPAAAAAVAAYWGCMVPGAWNAPWPPQSQSQSVSSSSAASPVSTMTNCFRLGKHPRDGDEELDSKGNGKVWVPKTVRIDDVDEVARSSIWSLIGIKGDKVGADHGRGCKLAKVFESKDEAKTSTHTAISSLPFMQGNPAALTRSVTFQEGS >ONIVA03G05010.1 pep chromosome:AWHD00000000:3:3660476:3665173:1 gene:ONIVA03G05010 transcript:ONIVA03G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQWGRWRLAAAAAASSSGDQIAAVWAVVRARAVAPVLQFAVWACMAMSVMLVLEVAYMSLVSLVAVKLLRRVPERRYKWEPITTGSGGVGGGDGEDEEAATGGREAAAFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPAIKDLVELECKDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKTIPFLVHNPKIGLAVLPWPLLGNFAVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGTAGVWRVSAINEAGGWKDRTTVEDMDLAVRASLKGWQFLLRVNSQVPSKPTDISSIDGLVGVSVWKKLHLLYSFFFVRRVVAPILTFLFYCVVIPLSVMVPEVSIPVWGMVYIPTAITIMNAIRNPGSIHLMPFWILFENVMAMHRMRAALTGLLETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELMVAFYLLVCASYDLVLGAKHYYLYIYLQAFAFIALGFGFAGTSTPCS >ONIVA03G05010.2 pep chromosome:AWHD00000000:3:3660476:3665173:1 gene:ONIVA03G05010 transcript:ONIVA03G05010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQWGRWRLAAAAAASSSGDQIAAVWAVVRARAVAPVLQFAVWACMAMSVMLVLEVAYMSLVSLVAVKLLRRVPERRYKWEPITTGSGGVGGGDGEDEEAATGGREAAAFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPAIKDLVELECKDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKTIPFLVHNPKIGLVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGKIQYQNYLHELFMPGPNIADLPTGTAGVWRVSAINEAGGWKDRTTVEDMDLAVRASLKGWQFLLRVNSQVPSKPTDISSIDGLVGVSVWKKLHLLYSFFFVRRVVAPILTFLFYCVVIPLSVMVPEVSIPVWGMVYIPTAITIMNAIRNPGSIHLMPFWILFENVMAMHRMRAALTGLLETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELMVAFYLLVCASYDLVLGAKHYYLYIYLQAFAFIALGFGFAGTSTPCS >ONIVA03G05000.1 pep chromosome:AWHD00000000:3:3659224:3660141:-1 gene:ONIVA03G05000 transcript:ONIVA03G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT1G14205) TAIR;Acc:AT1G14205] MASLRAAPGLPFSPRPACCRPPSSPGVQFFTPASAGGAGGVGRRRSYPRIEATARHGARKENPKVRNRRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHNRKILFYGSTLQKAICGDPPCGAVEAAGRVGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPVSQYGFLPR >ONIVA03G04990.1 pep chromosome:AWHD00000000:3:3655931:3657463:1 gene:ONIVA03G04990 transcript:ONIVA03G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSPSISPGDLVSFAGKCSTKRKLRLLHGALLRRRHLLPAADAVAALAKLLRFAAVSPAGDLRHAAALLSLHLPFLSSASSHLAFFYNTLMRGLAASSSPAAAIELFAAMRRAGAAPDAFTFTFALKSCSRCVSQRRLPSDLHAQAIKHGCLGAGSSHVHVHNALLHGYSSRAAVGDARRVFDEMPARDVISFSGLLTLHLKANDLDAARVVFDQMPHRDVVSWTAMISAYARARRPREALALFDAMPVQPDEVTMLSVVSACTALGDLATGERVCQYVDSNGFGWMVSLRNALMDMYAKCGSLTEARNLFDGMTVRSLASWNTFISAYASHGDVESTVALFHRMLADGKSVKPDGTTLLAVLTAYAHKGFVEEGRAMFNAILSGNYGKVDLTIEHYGCMVDLLGRAGQLEEAYKMIEQMPIPSNSVVWGALLGACRTHGDINMAERAVQKLRSLNPEEGGYYILLSDMYAASGRTTEAMEIRRIMNQAGAQKTAGQSSWSTPCLPQP >ONIVA03G04980.1 pep chromosome:AWHD00000000:3:3648829:3652267:-1 gene:ONIVA03G04980 transcript:ONIVA03G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRVWLLAGCGAVLLWAFVGQLVAVGRLLALFGLAGDAEPSPPPTALPPRRVYKSNGYLKVSCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFEDIFDVKHFIDTLRDEVHIVKQLPKRFGPEDSNNILNMPPVSWSDEKYYLHQILPLFSKYSVIHFNKTDARLANNGISTQLQLLRCRVNFHALKFTPQIEALGNKLVQKLQAKGSFVALHLRYEMDMLAFSGCNHGLSQEEAEELKRMRYAYPWWREKEIDSQAKRLQGLCPLTPEETSFILKALGFQKDTLIYIAAGEIYGGEKRLEPLQAAFPKLVRKETLLDLEALRQFQNHSSQMAALDFIVSTASDIFIPTYDGNMAKLVEGHRRFLGFRRSVLLDRQKLVGFIDLYNNKTISWNNFASSVQETHRNRVVQPSCRQKLENKPKEEDYFYANPHECLANSRFCSRTKDAISVRI >ONIVA03G04970.1 pep chromosome:AWHD00000000:3:3645114:3650134:1 gene:ONIVA03G04970 transcript:ONIVA03G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose-5-phosphate-3-epimerase [Source:Projected from Arabidopsis thaliana (AT5G61410) TAIR;Acc:AT5G61410] MASPSSSSSLCSTFASPRAASLGRRLAFSSPRKAFRVRASSRVDKFSKNDIIVSPSILSANFSKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIAELRRLCAEKGVNPWIEVDGGVGPKNAYKVIEAGANAIVAAIKGIKTSQKPVAVPA >ONIVA03G04960.1 pep chromosome:AWHD00000000:3:3642395:3645047:1 gene:ONIVA03G04960 transcript:ONIVA03G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGWLRRAAAAAAAPRLPSGLPILPTPPPAPLTEAQSFVLPGIGAAVAGGMDLMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCRCCGRVKLPHFYCCSGEKGNPGDSSS >ONIVA03G04950.1 pep chromosome:AWHD00000000:3:3640339:3642264:1 gene:ONIVA03G04950 transcript:ONIVA03G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSAARTRLSATSSSVEDAATGELRHGAGRMDGRGSCVVTETAPATSSGVGRGRRRILRGDGGGTGDELRRGGRSHRLRRGRWTWSPVSRSRRRTRSPAMSSDVDHGRGRMRLPATRLYLPFLPGNTTADGKPWLVCSYNHAVDGFTAVAVSKKPSSNAASRTASLACIAGLSRKEDVNLADPAMATTRCAQRQEHPRRSCCGCAGVAATATRRLRGGGQRGRRTRGTTSPPFSLQANPFVSCCSGG >ONIVA03G04940.1 pep chromosome:AWHD00000000:3:3639943:3642217:-1 gene:ONIVA03G04940 transcript:ONIVA03G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSLASSYLADLHRAGGASPSPLPRRIRSSSASGALAAARSASSPWPDPQAIQASDAVLEAALELGFLDTATATSHGLPSAVVLPGRKGKYYLCYASSSRILPRPWSTSELIAGDRVLRRDLDTGDHVHRPRLSRWLRPPRRSSSPVPPPSPRRILRRPRPTPELVAGAVSVTTQDPLPSMRPAPCRSSPVAASSTLELVADSRVRAADVNLIYAAPIVTFVLVSERGGQGAIPLGQYPNGIPVV >ONIVA03G04930.1 pep chromosome:AWHD00000000:3:3637848:3639458:-1 gene:ONIVA03G04930 transcript:ONIVA03G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 35 [Source:Projected from Arabidopsis thaliana (AT1G26760) TAIR;Acc:AT1G26760] MATPGLDDDSLQQLRSRATQLLLKENWTEYIAVCSLIIEAFDAAAACKDRRVLCSTLAHRADARARLGDAPGALADCDAALAADPAHPGALLSKGAVLRGLGRYARAAECFRAALAVSGTDEVREMVEQCKRLDAQARSGAVDLSEWVLAGFSGKCPDLAEHVGAVEVRRSAHGGRGVFAVKNIEAGANLVISKAVAIGRGVIPDAADSGEKMVVWKDLVDKVLDAAEKCPRTASLIYTLSTGEEPEDELPIPDMAHFKQETEELDDGTAMAPKASLDVDKILKVLDVNCLTEDAAPSANLLGSNGVVNCGVGLWILPAFINHSCHPNARRTHVGDHAIVHASRDIKAGEEITFAYFDVLTPASKRREAARAWGFECQCDRCRFEASDAIVGQELTKLENELVNGRGGDMGALVVRLEERMRKSMVKERRKAFLRASFWSAYSALFDSDKLVRKWGRRVPGEAAVAESVAGAIGGNESVLRAMLRGADNGNGCGNRLEVEDKVVRIGRATYGRVVKRQAMRALFRLTLDADSNKSL >ONIVA03G04920.1 pep chromosome:AWHD00000000:3:3634806:3636747:-1 gene:ONIVA03G04920 transcript:ONIVA03G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 704, subfamily B, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G69500) TAIR;Acc:AT1G69500] MKNPMEEAHAMPVTSFFPVAGIHKLIAIFLVVLSWILVHKWSLRNQKGPRSWPIIGATVEQLKNYHRMHDWLVEYLSKDRTVTVDMPFTSYTYIADPVNVEHVLKTNFTNYPKGEVYRSYMDVLLGDGIFNADGEMWRKQRKTASFEFASKNLRDFSTVVFREYSLKLSSILSQACKAGRVVDMQELFMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDAANIIVTLRFIDPLWRLKKFLHVGSEALLEQSMKLVDDFTYSVIRRRKAEILQARASGKQEKIKHDILSRFIELGEAGGDEGGGSFGDDKSLRDVVLNFVIAGRDTTATTLSWFTYMAMTHPAVADKLRRELAAFEAERAREEGVALADAAGEASFAARVAQFASLLSYDAVGKLVYLHACVTETLRLYPAVPQDPKGIVEDDVLPDGTKVRAGGMVTYVPYSMGRMEYNWGPDAASFRPERWLSGDGGAFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILFRFYTFDLVEDHPVKYRMMTILSMAHGLKVRVSTSV >ONIVA03G04910.1 pep chromosome:AWHD00000000:3:3631654:3634289:-1 gene:ONIVA03G04910 transcript:ONIVA03G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15300) TAIR;Acc:AT5G15300] MALRGFLSDPSELRELLFASAVAVRGAIAHAYLVFDQIPRPDRFMYNTLIRGAAHTAAPRDAVSLYTRMLRRGGGGGVRPDKLTFPFVLRACTAMGAGDTGVQVHAHVVKAGCESDAFVKNALIGMHASCGNLGIAAALFDGRAREDAVAWSAMITGCARRGDIGAARDLFDECPVKDLVSWNVMITVYAKRGDMALARELFDQVPERDVVSWNVMISGYVRCGSHLHALELFEQMQRMGEKPDIVTMLSLLSACADSGDLDVGQRLHSSLSDMFSRNGFPVVLGNALIDMYAKCGSMKSAHEVFWSMRDKDVSTWNSIVGGLALHGHVLESIDMFEKMLKGKVRPDEITFVAVLIACSHGGMVDKGREFFNLMQHKYRVEPNIKHYGCMVDMLGRAGLLKEAFEFIDTMKCEPNSVIWRTLLSACRVHGEIELAKHANRQLLKARNDESGDYVLLSNIYASVGEWFGSEKMRKLMDDSGVNKEADSERLHHRLLFGFLVKQINISPSCISYIKEYNVNPHPK >ONIVA03G04900.1 pep chromosome:AWHD00000000:3:3627443:3629281:-1 gene:ONIVA03G04900 transcript:ONIVA03G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTNCWAISRSSQRGSKNNSSDGSFMVPARNHNRINRKHLLLREKDASTGWQITKAATENSTNAVHTPMKTKWWEKNMKSCNMKNIESQEDFDKQLLLASDKLTVVHFFSPSCGACKALHPKVCQLAGMHPELQFLMVNSNEQKEMCRRLNVHVLPMFHFYRCAEGRICSFSCTISTIYKIKDALKRHGVQLENLGPDKGLEKSELQNSDIDASYNMDGGVGAVVPNNE >ONIVA03G04890.1 pep chromosome:AWHD00000000:3:3619904:3632230:1 gene:ONIVA03G04890 transcript:ONIVA03G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWCQYLQMLICLAKRNHCFSCWMATGFWLMQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVMSVFEETVLGKKFNATLLNSFLQAYYCVKNWRKADAVLCMMLKMQNSLSISSYRFLVRRMCEQSRISSALRLKELIQDRDKSTELILYNILIFYLFRRRHILQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLSAMGRTCDAMNILEMLTTIGSSPSYHMYRVVFDNCCRSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQVNSP >ONIVA03G04890.10 pep chromosome:AWHD00000000:3:3619904:3626620:1 gene:ONIVA03G04890 transcript:ONIVA03G04890.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHTPQRHHPPFASAAAAATTTQREHRVTGALIGLDVEDFAVMQLNPSPAASKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGKLFSQVIQAYAEAGNLGKSISIYDCAQDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVMSVFEETVLGKKFNATLLNSFLQAYYCVKNWRKADAVLCMMLKMQNSLSISSYRFLVRRMCEQSRISSALRLKELIQDRDKSTELILYNILIFYLFRRRHILQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLSAMGRTCDAMNILEMLTTIGSSPSYHMYRVVFDNCCRSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQVNSP >ONIVA03G04890.2 pep chromosome:AWHD00000000:3:3619904:3632230:1 gene:ONIVA03G04890 transcript:ONIVA03G04890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHTPQRHHPPFASAAAAATTTQREHRVTGALIGLDVEDFAVMQLNPSPAASKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGKLFSQVIQAYAEAGNLGKSISIYDCAQDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVMSVFEETVLGKKFNATLLNSFLQAYYCVKNWRKADAVLCMMLKMQNSLSISSYRFLVRRMCEQSRISSALRLKELIQDRDKSTELILYNILIFYLFRRRHILQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLSAMGRTCDAMNILEMLTTIGSSPSYHMSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQTPVELTELHEIKLMQLNVPFTSEGHDKGLASSTTRGKEVHHALVICQPVEASFSRSKRCFLLIRLWFRAGTMKEPSELLFLDPR >ONIVA03G04890.3 pep chromosome:AWHD00000000:3:3619904:3630030:1 gene:ONIVA03G04890 transcript:ONIVA03G04890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHTPQRHHPPFASAAAAATTTQREHRVTGALIGLDVEDFAVMQLNPSPAASKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGKLFSQVIQAYAEAGNLGKSISIYDCAQDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVMSVFEETVLGKKFNATLLNSFLQAYYCVKNWRKADAVLCMMLKMQNSLSISSYRFLVRRMCEQSRISSALRLKELIQDRDKSTELILYNILIFYLFRRRHILQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLSAMGRTCDAMNILEMLTTIGSSPSYHMSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQTPVELTELHEIKLMQLNVPFTSEGHDKGLASSTTRGKEVHHALVICQPVEASFSRSKRCFLLIRLWFRAGTMKEPSELLFLDPR >ONIVA03G04890.4 pep chromosome:AWHD00000000:3:3626670:3632230:1 gene:ONIVA03G04890 transcript:ONIVA03G04890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSGFPESGELTITPVELTELHEIKLMQLNVPFTSEGHDKGLASSTTRGKEVHHALVICQPVEASFSRSKRCFLLIRLWFRAGTMKEPSELLFLDPR >ONIVA03G04890.5 pep chromosome:AWHD00000000:3:3619904:3630031:1 gene:ONIVA03G04890 transcript:ONIVA03G04890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHTPQRHHPPFASAAAAATTTQREHRVTGALIGLDVEDFAVMQLNPSPAASKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGKLFSQVIQAYAEAGNLGKSISIYDCAQDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVMSVFEETVLGKKFNATLLNSFLQAYYCVKNWRKADAVLCMMLKMQNSLSISSYRFLVRRMCEQSRISSALRLKELIQDRDKSTELILYNILIFYLFRRRHILQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLSAMGRTCDAMNILEMLTTIGSSPSYHMSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQTPVELTELHEIKLMQLNVPFTSEGHDKGLASSTTRGKEVHHALVICQPVEASFSRSKRCFLLIRLWFRAGTMKEPSELLFLDPR >ONIVA03G04890.6 pep chromosome:AWHD00000000:3:3626670:3632230:1 gene:ONIVA03G04890 transcript:ONIVA03G04890.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSGFPESGELTITPVELTELHEIKLMQLNVPFTSEGHDKGLASSTTRGKEVHHALVICQPVEASFSRSKRCFLLIRLWFRAGTMKEPSELLFLDPR >ONIVA03G04890.7 pep chromosome:AWHD00000000:3:3626670:3630030:1 gene:ONIVA03G04890 transcript:ONIVA03G04890.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSGFPESGELTITPVELTELHEIKLMQLNVPFTSEGHDKGLASSTTRGKEVHHALVICQPVEASFSRSKRCFLLIRLWFRAGTMKEPSELLFLDPR >ONIVA03G04890.8 pep chromosome:AWHD00000000:3:3619904:3626620:1 gene:ONIVA03G04890 transcript:ONIVA03G04890.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHTPQRHHPPFASAAAAATTTQREHRVTGALIGLDVEDFAVMQLNPSPAASKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGKLFSQVIQAYAEAGNLGKSISIYDCAQDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLGLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADTPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDIAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVMSVFEETVLGKKFNATLLNSFLQAYYCVKNWRKADAVLCMMLKMQNSLSISSYRFLVRRMCEQSRISSALRLKELIQDRDKSTELILYNILIFYLFRRRHILQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLSAMGRTCDAMNILEMLTTIGSSPSYHMYRVVFDNCCRSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQVNSP >ONIVA03G04890.9 pep chromosome:AWHD00000000:3:3626670:3630031:1 gene:ONIVA03G04890 transcript:ONIVA03G04890.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSGFPESGELTITPVELTELHEIKLMQLNVPFTSEGHDKGLASSTTRGKEVHHALVICQPVEASFSRSKRCFLLIRLWFRAGTMKEPSELLFLDPR >ONIVA03G04880.1 pep chromosome:AWHD00000000:3:3616161:3617941:-1 gene:ONIVA03G04880 transcript:ONIVA03G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSVSSSHHAIHPGSSRSMQCICTRVTPSRSVGEISRSRSRNDLPLGVSPSSRMLTTCTLKTPSYGNKSKSKEKINPRDMFTFSYRFNTDIPMTETPGASIDEYLQNRPRIVGAVFPDKRKRTKLSDEEWSVQLLPIQFLFLSASPVIVMRFVSKSGGKEYPPHVPVKATSLLLMEVTDYKLDGLDSNAMPSHLALTVRGSLYPRPEGRKSLRGHVEMSVGFNLPPVLALVPEGVIRGVGETVLRQLALQMKQDFDNGLAADFKRYRREKLTEKKTTP >ONIVA03G04870.1 pep chromosome:AWHD00000000:3:3613784:3616833:1 gene:ONIVA03G04870 transcript:ONIVA03G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLPSLSPPPHQAPVPAATTALAGNLTSSLLSLPPPLLRLAVSHRRAVVAAASSRPPPPPSREGGDGGGEEEEEVERAMGMDGGIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARRDNHLWTMKTRRSRSEVERELGMLLKGGGSGVGTKSKYSGSKFNMLVEDIREGILVFEDEDDAAKYCDILQGGGQGCEGIAEIEASSVFNICHKMKAFAVLFRRGRTPPLPQSLERDLRARKRSLED >ONIVA03G04870.2 pep chromosome:AWHD00000000:3:3613784:3618049:1 gene:ONIVA03G04870 transcript:ONIVA03G04870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLPSLSPPPHQAPVPAATTALAGNLTSSLLSLPPPLLRLAVSHRRAVVAAASSRPPPPPSREGGDGGGEEEEEVERAMGMDGGIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARRDNHLWTMKTRRSRSEVERELGMLLKGGGSGVGTKSKYSGSKFNMLVEDIREGILVFEDEDDAAKYCDILQGGGQGCEGIAEIEASSVFNICHKMKAFAVLFRRGRTPPLPQSLERDLRARKRSLED >ONIVA03G04860.1 pep chromosome:AWHD00000000:3:3610186:3612583:-1 gene:ONIVA03G04860 transcript:ONIVA03G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSRSRRLAVTLLLLLLLLFAVVAAAAVATKTEAGTEDAASKEDESWTGWAKEKITEGLGLKHHVADVDEEEDAARKAGHAAKSAQHTASGTSKLAARRRARSPAASCHA >ONIVA03G04850.1 pep chromosome:AWHD00000000:3:3606862:3610989:1 gene:ONIVA03G04850 transcript:ONIVA03G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKGDEVLAEGQMPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQEIGLAPRDAAIVMLSGQVADGLMTILAGEMIDRFGHFKLWHIGGSILVGISFSSVFGGCLLCTVLGTDSYLVRTIGYSFFAAVFNIGWAATQVSHMSMVNCMTLNSTSRVALASCRNAFTMVANLGLYAIALVVFALVSAKYRWIAYVSIFVGCCFLVVFYAGTKEPTLQSGSDCKKSARISWGYWFKKALYYQVALLYMLARLITNVSQSLIAFYVTRDLKMNEYSKAIIPAIIFCCSFLVSVVLQEIKWNSRRLKSLLTIGAILWVIAGAAVFVLPSQMHNLMYPLAMVIGAANALVMVTTIGLESALVGDDLNGCAFVYGSLSFLDKMSCGIALFVLESYEDSISCGETRGLYTVSRFGTGLIPSCFAVFALLVTSTLKLQDTNPRAAAAALEAPLLDTRKIDCMYHCMYHGKKKNNIHKDEIALQNPLQFGLKSFE >ONIVA03G04850.2 pep chromosome:AWHD00000000:3:3606862:3612179:1 gene:ONIVA03G04850 transcript:ONIVA03G04850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKGDEVLAEGQMPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQEIGLAPRDAAIVMLSGQVADGLMTILAGEMIDRFGHFKLWHIGGSILVGISFSSVFGGCLLCTVLGTDSYLVRTIGYSFFAAVFNIGWAATQVSHMSMVNCMTLNSTSRVALASCRNAFTMVANLGLYAIALVVFALVSAKYRWIAYVSIFVGCCFLVVFYAGTKEPTLQSGSDCKKSARISWGYWFKKALYYQVALLYMLARLITNVSQSLIAFYVTRDLKMNEYSKAIIPAIIFCCSFLVSVVLQEIKWNSRRLKSLLTIGAILWVIAGAAVFVLPSQMHNLMYPLAMVIGAANALVMVTTIGLESALVGDDLNGCAFVYGSLSFLDKMSCGIALFVLESYEDSISCGETRGLYTVSRFGTGLIPSCFAVFALLVTSTLKLQDTNPRAAAAALEAPLLV >ONIVA03G04840.1 pep chromosome:AWHD00000000:3:3598514:3603743:1 gene:ONIVA03G04840 transcript:ONIVA03G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGGAPPRPAAGIRVRVPLVESVSCYCRVDGGLKTVVSARKFVPGAKLCMQPDIKPNKRKSRSSRKERCRTQAPLLPGLPDDLAITCLMRVPRLEHTNLRLVCKRWNRLLSGNYYYSLRKKLGMAEEWVFVFKRDRDRKISWHAFDPVHQVWKSLPPVPAEYSEAVGFGCAVLSGCYLYLFGGKDPVRGSMRRVVFYNARINKWLRAPDMLQKRHCFGSCVINNRLYVAGGECEGIQRTLRSAEFYDPNRNRWSYISEMSTGMVPFIGVVYDGKWFLKGLDSHRQVVSEVYMPTSNVWSVTADEMVTGWRNPSICFNGRLYSAECRDGCKLRVYDRDTRSWTRFMDSRRHLGNSRAFEAAALVSLNGKICIIRNNMSITLVDVSNTPTVIEINSAHMWDVFARKGQHRSFIANLWFTIAGRNFKTHIIHCQVLQV >ONIVA03G04830.1 pep chromosome:AWHD00000000:3:3587726:3591665:-1 gene:ONIVA03G04830 transcript:ONIVA03G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHB5] MEPMSVDGGGGCGGLDTQIEQLMQCRPLAEQEVKALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRHPHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQFEPAPRRGEPDVTRRTPDYFL >ONIVA03G04820.1 pep chromosome:AWHD00000000:3:3583559:3589682:1 gene:ONIVA03G04820 transcript:ONIVA03G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GHB4] MGMSSCVNLSRVAAAAAGRRPGFAGELGGRRGHGRSVLPVVAALPVRRKGSGCGVACCVSSSSSSSVHGKNSAAAAEGHAGGIGIAEFLGGKNFLITGGTGFLAKVLIEKILRTNPDVGKIYVLIKAKDGDAALKRLHNEVVDTELFSRLQEIHGKDYHSFAARKLVPVVGDVREANVGIAPELAGVIADEVDIIVNSAANTTFDERYDVAMDINTVGPFRIMNFAQRFRRLKLFLQVSTAYVNGQRQGVVLEKPFRLGDTIAKELGSPDSSQHKNTMLDIEAEIKLAFDHRRHGDDSASFSEEMKELGLERAKLHGWQDTYVFTKAMGEMVINSMRGDIPVVTIRPSVIESTWRDPFPGWMEGNRMMDPVVLYYGKGQLSGFLADPEGVLDVVPADMVVNATLASMAKHGRGGAAAAAAAAEGMHVYHVASSTVNPLAFGDLSRFLFQHFTGSPYSDAAGRPIHVPPMRLFDTMEQFASYVETDALLRAGRLAGAGAGAGDERVSQRLRELCAKSVEQTIYLGSIYQPYTFYGGRFDNGNTEALIGEMSEEEKARFHFDVRSIEWTDYITNVHIPGLRKHVMKGRGVGGGSGASSSSNASLLAGASV >ONIVA03G04810.1 pep chromosome:AWHD00000000:3:3576851:3581617:1 gene:ONIVA03G04810 transcript:ONIVA03G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVSIATPAPQLGAANVVLMGTNLTLSFDDVEASFAPGVKGSGFEGVVYTAEPLDACSPLTSKAEKGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISMAGSSGGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDWPRIPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYKVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDASTGIPDPPASETTPLLSSAVRLPSQSSSFRSSVAASPPRPISRRPSSQSISRIYAASGTPNSPNPIRSFTNSTAMSISRSNVDLSNMSSRPRASHLASAHSLVGSHLSPPINISQHRSYLRRCGESGPSLSTMAPQSPQQSQLRQSFRQSYLRHCADSDASLSAMASAQSLPGC >ONIVA03G04810.2 pep chromosome:AWHD00000000:3:3577347:3581622:1 gene:ONIVA03G04810 transcript:ONIVA03G04810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMAVCCSGYLLGAANVVLMGTNLTLSFDDVEASFAPGVKGSGFEGVVYTAEPLDACSPLTSKAEKGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISMAGSSGGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDWPRIPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYKSSMQLVWTFGSQLGELFALSFAFSVIFVPVKCGSIASKTNKSTTFITLLSRFIQPASVIPKALWGIRA >ONIVA03G04810.3 pep chromosome:AWHD00000000:3:3576851:3581617:1 gene:ONIVA03G04810 transcript:ONIVA03G04810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVSIATPAPQLGAANVVLMGTNLTLSFDDVEASFAPGVKGSGFEGVVYTAEPLDACSPLTSKAEKGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISMAGSSGGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDWPRIPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYKVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDASTGIPDPPASETTPLLSSAVRLPSQSSSFRSSVAASPPRPISRRPSSQSISRIYAASGTPNSPNPIRSFTNSTAMSISRSNVDLSNMSSRPRASHLASAHSLVGSHLSPPINIRYASPHMSHSGYASPSPHVSSSYVSNSGYGSSSYYLGSSSQHRSYLRRCGESGPSLSTMAPQSPQQSQLRHGGESDINLAGASSGQSFRQSYLRHCADSEVNLAGASSGQSFRQSYLRHCADSDASLSAMASAQSLPGC >ONIVA03G04810.4 pep chromosome:AWHD00000000:3:3577347:3581617:1 gene:ONIVA03G04810 transcript:ONIVA03G04810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMAVCCSGYLLGAANVVLMGTNLTLSFDDVEASFAPGVKGSGFEGVVYTAEPLDACSPLTSKAEKGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISSNFTVAGSSGGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDWPRIPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYKVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDASTGIPDPPASETTPLLSSAVRLPSQSSSFRSSVAASPPRPISRRPSSQSISRIYAASGTPNSPNPIRSFTNSTAMSISRSNVDLSNMSSRPRASHLASAHSLVGSHLSPPINIRYASPHMSHSGYASPSPHVSSSYVSNSGYGSSSYYLGSSSQHRSYLRRCGESGPSLSTMAPQSPQQSQLRHGGESDINLAGASSGQSFRQSYLRHCADSEVNLAGASSGQSFRQSYLRHCADSDASLSAMASAQSLPGC >ONIVA03G04800.1 pep chromosome:AWHD00000000:3:3567565:3572986:1 gene:ONIVA03G04800 transcript:ONIVA03G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACKGCRVAFTPFRHHAFEALKAACRRWLLPNGILSCKQTQHACIKSDYQKFYKNNSRFPWKFSKISAFPKEYYFGGFTSLGSSLVAPRSYHTNTKQSKAKRDASEDFDFDPPPTRFPLASLQRCPFPSPALHTRTAAPPSHCRPGPAPSGAHPSLALELGEREREREFLEGSGDREMRSRVALETLAEEPGGGEEEAARRKSSGLQAALHRVARRLLSGAGEDARPAADLRVLLSVLACPLSPVPILPRLPRHVASSAQYIIEQFRATTGCGKIEGAVKSMYAAGRVRLAMLQDPAGGGAGGGGGGGRGHEGSFVMWQLAPSMWIVEMAVAGQHVAAGSDGRVAWRRTPWVGAHAARGGSRPLRRALQGLDPVTIAAIFSTAEHAGEKLVDGEDCFVLRLDVGPSVLSSWSDGTAEVIRHGLTGFFSQRSGLLVRLEDSQLTRIQSPGAAAMYWETTISSSLADYRAVDGGGGVHVAHSGRSTAHLARFGVGVRAARVVTRMEESWTIDDVAFNVPGLGPDAFIPPEEVRRSRFYDAMAAGK >ONIVA03G04780.1 pep chromosome:AWHD00000000:3:3559741:3562674:1 gene:ONIVA03G04780 transcript:ONIVA03G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILELSRRRIFSCGTTTASSASSPPRNRFTLQKSRRSPNDPASCSATPLASRLLSSVSLGGRLRCRRLPEDDLFITGVAGSPPVVEGGAACAATVVVPLSSAVASSSFSGFPGSVGLSWERPLLDLRHSSSSSSDGLNCSKTNKPNYMF >ONIVA03G04770.1 pep chromosome:AWHD00000000:3:3556325:3558687:1 gene:ONIVA03G04770 transcript:ONIVA03G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAMVVAAMAVAAVARGDMSADRTECADQLVGLAPCLQYVQGEAKAPAPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPSACGATHANVSHCPQLLHIPPNSKDAAIFSPGGDKGSPAAPAKDNSTTTTDSRAVQAANGGSRSSAATAGAALTALLAGYFLLLLPEFSAPSSF >ONIVA03G04760.1 pep chromosome:AWHD00000000:3:3547932:3553789:1 gene:ONIVA03G04760 transcript:ONIVA03G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTILRVHLPSEIPIVGCEITPYVLLRRPDGGISTEDVHEAIPLDGHFMRYKWYRIQSDRRVAVCNVHPTEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHRVLHERALSALNENGNEEEELFGRFGSGNAGIINASLSGSTSNIGQSSSVNNGPTPVYPTGTEKNSGETWFEVGRSRTYTPTADDIGHALRFECVAVDSETRSSVGAPTSIMTSRVIPAPTPTPRRLIPVNSADVMGQFDLDSRNSSFGTFTVLSYNILADTYATSDTYSYCPTWALSWPYRRQNLLREIIGYHADIICLQEVQSNHFEEFFAPELDKHGYQALFKKRTTEVYTGNLQSIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQRKVALTRLIKDNIALIAVLEAKFGSHGADNPSKRQLLCVANTHINVHQDLKDVKLWQVNTLLKGLEKIAVSADIPMLVCGDFNATPGSTPHGLLAMGKVDLMHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGAGYDLEHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLSVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >ONIVA03G04760.2 pep chromosome:AWHD00000000:3:3547939:3553753:1 gene:ONIVA03G04760 transcript:ONIVA03G04760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTILRVHLPSEIPIVGCEITPYVLLRRPDGGISTEDVHEAIPLDGHFMRYKWYRIQSDRRVAVCNVHPTEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHRVLHERALSALNENGNEEEELFGRFGSGNAGIINASLSGSTSNIGQSSSVNNGPTPVYPTGTEKNSGETWFEVGRSRTYTPTADDIGHALRFECVAVDSETRSSVGAPTSIMTSRVIPAPTPTPRRLIPVNSADVMGQFDLDSRNSSFGTFTVLSYNILADTYATSDTYSYCPTWALSWPYRRQNLLREIIGYHADIICLQEVQSNHFEEFFAPELDKHGYQALFKKRTTEVYTGNLQSIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQRKVALTRLIKDNIALIAVLEAKFGSHGADNPSKRQLLCVANTHINVHQDLKDVKLWQVNTLLKGLEKIAVSADIPMLVCGDFNATPGSTPHGLLAMGKVDLMHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGAGYDLEHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLSVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >ONIVA03G04750.1 pep chromosome:AWHD00000000:3:3538925:3540280:-1 gene:ONIVA03G04750 transcript:ONIVA03G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADCYSIVMELIEHPQKYGLNRTAFVFWDAYHTSDAANQVIADRLYADMLTARICKVCPTIYHPYTERKPLFYPTNYHSTPYKQIVR >ONIVA03G04740.1 pep chromosome:AWHD00000000:3:3525937:3534789:-1 gene:ONIVA03G04740 transcript:ONIVA03G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGAGGEPFPAVDKCDVDASCRRGGRRAVVVVSDLDGTLLRSRRAFPYYALVAFEAGGAARLALLLLLAPVLWLLRRAAASESAAVRVLVFAATAGARVSDVESAARAVLPRFYADDVHPAAWRVFVTCGGGRRLVVTATPRVMAEPFLRDYLGADTVAGTELAAWRGRATGMVDARRGVLVGERKAEAVREMVGDGEMPDIGLGGRRSDYAFMSLCKEAYLVPRDPVEAVPADKLPRPVIFHDGRLRLRPLAAPLTVAWFPVGFLLACVRIAAGALLPMPWLRRAFGALGVRVVLRGTAPAPAPMPPSTGGRGGVLFASNHRTLLNAVFLSVALGRPVVTITYSVSRLSELLSPIRTPYLLRFSALFAELTDDILPVATGCWTSMFHGTTARGCKAMDPFYFFMNPFPEYTVTFLDKLPAELTCSGSGGKSSHDVANHVQKLIASTLSYECTSLTRRDKYQHWWRNAF >ONIVA03G04730.1 pep chromosome:AWHD00000000:3:3519180:3524490:1 gene:ONIVA03G04730 transcript:ONIVA03G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLLAVLPLLLLFVAGDSYATAAGGGGGGGGRRFDASRAVDVSWRPRAFLYEGFLSDAECDHLISLAKQGKMEKSTVVDGESGESVTSKVRTSSGMFLDKKQDEVVARIEERIAAWTMLPTECIIFYCFANFAILKLSENGESMQILRYGQGEKYEPHFDYISGRQGSTREGDRVATVLMYLSNVKMGGETIFPDCEARLSQPKDETWSDCAEQGFAVKPAKGSAVLFFSLHPNATLDTDSLHGSCPVIEGEKWSATKWIHVRSYSYRRRSAGKCEDEHVLCSSWAAAGECAKNPGYMVGTSDSPPGFCRKSCNAGGARLCRRRRRTGMARLVLLVALLLLLSVTGETSATGGGGEGGRFDASRAVDVSWSPRVFLYEGFLSDAECEHLIALAKQGRMERSTVVNGKSGESVMSKTRTSSGMFLIRKQDEVVARIEERIAAWTMFPAENGESMQMLRYGQGEKYEPHFDYIRGRQASARGGHRIATVLMYLSNVKMGGETVFPDAEARLSQPKDETWSDCAEQGFAVKPTKGSAVLFFSLYPNATFDPGSLHGSCPVIQGEKWSATKWIHVRSYDENGRRSSDKCEDEHALCSSWAAAGECAKNPGYMVGTSESPGFCRKSCNVCTS >ONIVA03G04730.2 pep chromosome:AWHD00000000:3:3519180:3524490:1 gene:ONIVA03G04730 transcript:ONIVA03G04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLLAVLPLLLLFVAGDSYATAAGGGGGGGGRRFDASRAVDVSWRPRAFLYEGFLSDAECDHLISLAKQGKMEKSTVVDGESGESVTSKVRTSSGMFLDKKQDEVVARIEERIAAWTMLPTECIIFYCFANFAILKLSENGESMQILRYGQGEKYEPHFDYISGRQGSTREGDRVATVLMYLSNVKMGGETIFPDCEARLSQPKDETWSDCAEQGFAVKPAKGSAVLFFSLHPNATLDTDSLHGSCPVIEGEKWSATKWIHVRSYSYRRRSAGKCEDEHVLCSSWAAAGECAKNPGYMVGTSDSPPGFCRKSCNAGGARLCRRRRRTGMARLVLLVALLLLLSVTGETSATGGGGEGGRFDASRAVDVSWSPRVFLYEGFLSDAECEHLIALAKQGRMERSTVVNGKSGESVMSKTRTSSGMFLIRKQDEVVARIEERIAAWTMFPAGMVQGHRTLENCLIGPCSKCSSECITFYCFARFVILERSENGESMQMLRYGQGEKYEPHFDYIRGRQASARGGHRIATVLMYLSNVKMGGETVFPDAEARLSQPKDETWSDCAEQGFAVKPTKGSAVLFFSLYPNATFDPGSLHGSCPVIQGEKWSATKWIHVRSYDENGRRSSDKCEDEHALCSSWAAAGECAKNPGYMVGTSESPGFCRKSCNVCTS >ONIVA03G04730.3 pep chromosome:AWHD00000000:3:3519180:3524490:1 gene:ONIVA03G04730 transcript:ONIVA03G04730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLLAVLPLLLLFVAGDSYATAAGGGGGGGGRRFDASRAVDVSWRPRAFLYEGFLSDAECDHLISLAKQGKMEKSTVVDGESGESVTSKVRTSSGMFLDKKQDEVVARIEERIAAWTMLPTENGESMQILRYGQGEKYEPHFDYISGRQGSTREGDRVATVLMYLSNVKMGGETIFPDCEARLSQPKDETWSDCAEQGFAVKPAKGSAVLFFSLHPNATLDTDSLHGSCPVIEGEKWSATKWIHVRSYSYRRRSAGKCEDEHVLCSSWAAAGECAKNPGYMVGTSDSPPGFCRKSCNAGGARLCRRRRRTGMARLVLLVALLLLLSVTGETSATGGGGEGGRFDASRAVDVSWSPRVFLYEGFLSDAECEHLIALAKQGRMERSTVVNGKSGESVMSKTRTSSGMFLIRKQDEVVARIEERIAAWTMFPAGMVQGHRTLENCLIGPCSKCSSECITFYCFARFVILERSENGESMQMLRYGQGEKYEPHFDYIRGRQASARGGHRIATVLMYLSNVKMGGETVFPDAEARLSQPKDETWSDCAEQGFAVKPTKGSAVLFFSLYPNATFDPGSLHGSCPVIQGEKWSATKWIHVRSYDENGRRSSDKCEDEHALCSSWAAAGECAKNPGYMVGTSESPGFCRKSCNVCTS >ONIVA03G04720.1 pep chromosome:AWHD00000000:3:3514260:3517733:-1 gene:ONIVA03G04720 transcript:ONIVA03G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDTSISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELNKSIKLPCMQSQLSHKDTNKPSNINNNSTSLGQAKFKQIHMGAAVVEAEEEEGVGVVGEAMVEGMVDMITTKEVMVDMDTRVDMDTKGGMATREDMATIKVVMEGMVTTKVDMEDMKMVAGTTTGTEVVVVAGAVEEATGDMVVQEDMNVQVRHMNVVAEVEVAQAAEAMPGAVDEWAVAVGEATKTIRSTLVGCASPVVGCCMKNKNCGCVLHRTEP >ONIVA03G04710.1 pep chromosome:AWHD00000000:3:3508354:3510832:-1 gene:ONIVA03G04710 transcript:ONIVA03G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVVGVARPSSPPAAAHVAYKIPAGDGPYARAKHYQLVEKDLDASIAWFWKAINSGDKVDSALKDMAVVMKQRGYHADAIDAIKSLRHLCPKQSQDSLDNILLDLYKASGRTKEEIELLKQKLRKIYLGEAFHGKTTKRARSHGRKIHVSVKQETSRVLGNLAWAYMQQGNFMAAEVVYRKAQMVDPDANKACNLALCLIEQRRPADAEAVLAGVLAGRYHARDDDHGAPHTGGKILAKVEELMARITGEVDGRSNSSGGSSSDDDDRDVEDEMVELLDVVVRQWAAPYRRSNRRLPVFEEITPVCREQMAAC >ONIVA03G04700.1 pep chromosome:AWHD00000000:3:3504329:3506999:1 gene:ONIVA03G04700 transcript:ONIVA03G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKRTTLVYSFVARGAVVLADHAEVSGNFASVAAQCLQKLPSTNNRHSYNCDGHTFNYHVHDGFTYCVVATESAGRQLPVGFIERVKEDFSKKYSGGKAKNATANSLKREYGPKLKEHMKYCDAHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFRKAGTKIRRKMWWENMKMKLIVFGIVVALILVIILTVCRDLNCW >ONIVA03G04690.1 pep chromosome:AWHD00000000:3:3492711:3503252:-1 gene:ONIVA03G04690 transcript:ONIVA03G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKRTLNAAAAAAAAAANPTPPSPPAAGHPDAAAADGGAAVRAICEKALAALQRGNHAKALRVVKDAVGKHGEGSPLLLRAQGTVLARYAAVLDEPVSRARHQRLALEAARKAVELAPDSIELAHFHAMLLYEIASDTTGYEAASSECNRGMAIQSPTDPAPHSLRLPAPDVEQVKAELSNLLQRSNMTSISLWVKNMNMGYTPEDKVRVFPIRRGGADDSSEVRLLPAAPAPRRLNEIKKANKTPEERRKEIEVRLAAMRLMEQQKHNATSGSSSTSQSQSPGDEAPSSSCQSSVSGHRADRRKGGSRKAAASPVSGRMNQVREFWATVPMDRRLAFLSTSISELKSHYATAMHKEKDAASLVSDVLNEAIRFATRSGKWEFLVCGRCEEHFADAESHVHHAMEEHVGVLPPRLNDVVPEEIDDAWAEKLTGSTWRPVDATAALKILEEELADNVGSDRDKDSMSSDIWSTKDKSDTSDSSTSPHNKECESFGAVTREGDRKWPLSDDEERTNILERIHSLFKILVKHKNLSLSHLNKVLHITMEELRKMHSASLLLNHSLDESPLCICFLDVSSLRKVLKFLQELMQASGLNDRNTDKDEEVADKDSFPKNRSNLEKVTLDSDSSLLILDGQAFEMRSDRDNVVADPFLSWLYTGPSVEEQLLDWNHMLEVRSDQCTHILHELEKEFSALQNSYEQKHDQLSSEEGLLAVDSLLCEEQRRRDDVDPYPFQGYEELLKKRQEQLELNAEELFSGCRSELHAISTILREVKTAPFRYDETFSGMTTNHRDYDGTEEDEWGFYDFEHSNDSVVQLVVSRLKEHVAMELNKIDARIMRISAVIEQLKLKLGPASVLDYRTIILPLLKSFLRTHLEELVDKDARERSDAASEAFLAELALDAKRNANKVSDTKQSHDKSKDKKKVKDSRKSKDLKDLSWSDQYLVRPDSVDEETSEQSLSTSDNYLNDQEEFRNRLRLEAEERKLEETLEYQRWIEEEAKKKHLAEQHRRTPPGSDGSACLRTDVNLNGDQDKHHCAQNNSHTHLEGINFGDFRFSEVPLQEEHSILRSCDSDLLQTKEKNHNEVHNGLGYPGTRPIASSDVDLIKPTVKVNGVWKNVEYTKATLKANGVGKNAENTKVPTIPSTQKSRRSTSQAHKKYIQGVTGTFPVDDGDDDTRPSIRQSGSPVSRWSSSGKAANHSYQDTKQNQLPLLSYSHRVHGAHSAGRENSSSEKGTSNEKEVYGTGLKNAAGEYNCFLNVIIQSLWHLKRFRDGFLKTSSLHKHVEDPCAVCALYDIFTDLSKASEEQGEAVAPTSLRIALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYTSRADCQVKSHEINYIGSWDCASSSCIAHCLFGMDILERMNCQSCRLESRRLKYTSFFHNINASSLRTAKDMFPDHSFDDLLKIVIMNDHLACDPEDVLGWQNNKESVDDISGTLAGISTEIDISTFYRGLDQGSKHSLVSVVCYYGQHYHCFAFEDGQWVMYDDQTVKVVGNWDDVLVMCKKGHLQPQVLFFEAAK >ONIVA03G04680.1 pep chromosome:AWHD00000000:3:3477494:3483604:-1 gene:ONIVA03G04680 transcript:ONIVA03G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGCLAALLGGAVAVAVLVAVVHCAVTYDKKAVLVDGQRRILFSGSIHYPRSTPEMWDGLIEKAKDGGLDVIQTYVFWNGHEPTPGNYNFEGRYDLVRFIKTVQKAGMFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKNAMQGFTEKIVGMMKSENLFASQGGPIILSQATCTFSWVAHGAVGVIVWTQIENEYGPEGKEFGAAGKAYINWAAKMAVGLDTGVPWVMCKEDDAPDPVINACNGFYCDTFSPNKPYKPTMWTEAWSGWFTEFGGTIRQRPVEDLAFGVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLAREPKFGHLKELHRAVKLCEQPLVSADPTVTTLGSMQEAHVFRSSSGCAAFLANYNSNSYAKVIFNNENYSLPPWSISILPDCKNVVFNTATVGVQTNQMQMWADGASSMMWEKYDEEVDSLAAAPLLTSTGLLEQLNVTRDTSDYLWYIMSVEVDPSEKFLQGGTPLSLTVQSAGHALHVFINGQLQGSAYGTREDRKISYSGNANLRAGTNKVALLSVACGLPNVGVHYETWNTGVVGPVVIHGLDEGSRDLTWQTWSYQVGLKGEQMNLNSLEGSGSVEWMQGSLVAQNQQPLAWYRAYFDTPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYAEGDCKGCHYTGSYRAPKCQAGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALAKRTVSGVCADVSEYHPNIKNWQIESYGEPEFHTAKVHLKCAPGQTISAIKFASFGTPLGTCGTFQQGECHSINSNSVLEKKCIGLQRCVVAISPSNFGGDPCPEVMKRVAVEAIA >ONIVA03G04670.1 pep chromosome:AWHD00000000:3:3474410:3474835:1 gene:ONIVA03G04670 transcript:ONIVA03G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAQRRAATGDGIKGQGSWAPTESRASAGGSGGRQARPEQLAMATRRRATTAAGVDPRAVEQLRCSLTRHGALLRSNGRAASTSLFGKAHITIRKSAAKPKPSAFGSPWYGPNRVIRSTDQGRCVRSPARREEDGGGLRH >ONIVA03G04660.1 pep chromosome:AWHD00000000:3:3466350:3468574:-1 gene:ONIVA03G04660 transcript:ONIVA03G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSSSTNQRDFTGGGGDGGDMSFQHYPPPSNPYSDSSAGGLIPLPASIVSHSHIAHGGGDEPAAFREAATADGGEMGLQTQLLMAHGAAARGHQGGLSLSLGTQVPVSLYQYRPAGMAAASLLSPSQSSPMAGRSAQNSIYVQNSRFLRAARELLDEVVNVRDAIKRKGDKNQGKDSGECKGGDAAGDDKAGSNPQEQESNSAPELSPSERQDLQNKVTALMAMLDQVDRRYRHYHHQMQIVMSSFDAVAGGGAARPYTALALQTISRHFRSLRDAIGAQAQAARRGLGEQDASAQGGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSNSSSENGGGGGGKGKDEAISSEDRDEFQSPSSAAAARHAGVAGQLNNPFKSEAMGGAALDVGVGVVGLSSCLGGAMGTYATGLNLNHHVHHPGAGGTSLLHDALHHHHHGGGGDARFVSYGDMADLGGGGGYDGGSVSLTLGLQHCNNAGPVPAEQQGLLYGSAGDFDYINGSDDRQRFGPASQLLHDFVA >ONIVA03G04650.1 pep chromosome:AWHD00000000:3:3462141:3466334:-1 gene:ONIVA03G04650 transcript:ONIVA03G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSAAAAVAAARRRGITQDGVRSLGRPAGAVGEGLSRNLSSLSETSTVASSKSSLLQSSFHSNHSFDTRSFLKAARPIAWRITEGFSWTSKITIRDAPTREMPSPHALMEPKKTLASVGLIGCLVCISVSRYRELYALAWLRLCFLFPYLLMTTLLKCKSDIK >ONIVA03G04650.2 pep chromosome:AWHD00000000:3:3462141:3466334:-1 gene:ONIVA03G04650 transcript:ONIVA03G04650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSAAAAVAAARRRGITQDGVRSLGRPAGAVGEGLSRNLSSLSETSTVASSKSSLLQSSFHSNHSFDTRSFLKAARPIAWRITEGFSWTSKITIRDAPTREMPSPHALMEPKKTLASVGLIGCLVCISVSRYREFLCFLFPYLLMTTLLKCKSDIK >ONIVA03G04650.3 pep chromosome:AWHD00000000:3:3462650:3466334:-1 gene:ONIVA03G04650 transcript:ONIVA03G04650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSAAAAVAAARRRGITQDGVRSLGRPAGAVGEGLSRNLSSLSETSTVASSKSSLLQSSFHSNHSFDTRSFLKAARPIAWRITEGFSWTSKITIRDAPTREMPSPHALMEPKKTLASVGLIGCLVCISVSRYRELYALAWLR >ONIVA03G04640.1 pep chromosome:AWHD00000000:3:3458168:3467076:1 gene:ONIVA03G04640 transcript:ONIVA03G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPAPTSSRAIGAPIQPTEPHAPLPNTGGEGAPPPARTMPPPSSQAATSTPPAAATPLQRPPAQATAQPSTQRYYVGVQRDKGTGKWAACVVDPSNPTKHRLVGAFPDEHAAALAHDRLDLAFRGGGHRGAGANFRPAFHAVELEFLRLCAATSSPGSHCGLVAGGDKYDEKYSEFLRKIYHGVMDNSPSYKKFFDVILDFFIARAREIGREALEDGGDMLVERFVAMHKNKAVTPRWRAWYRRKVEEAHAKQVDAQQRLRQQAMEEAQAKQVAAQQELQQQEAMANKRKVAEAQDDREHKKQELDPPQTQYFHAHEVENKIVMHTSQQLDSRSLVDCGIGHCKRLSGSCEQAQIRIDGFDSDRKMGFCWMDSDSCKRRKHEGGHDSSSRVQSQSSILSRNRILCHQLLEQCDDLKYGSSTNDYKAISMKRLELISILQKLQEVPIQLPYASPLKSSETNRLVQDGRNSSCRNIIDLDSDNDEDYTFANVDNIGANTTVVLVDSDDGDSVASFVDEKSSDSKQNANYIEESVLPEQHAQQQEISMLDNENISSEAQAVKKGKDSMDINDVIYNKSGHEEIGEEEAQAENVQIKGNLKKEIISVASDELACEVMRSQSPTNGNFDQYDNSSPVDELEGLWMDMSLAMACSKTVGSDHNIVPSENSCEQAEDECQHDFLMKDDLGIVCRVCGLIQQRIENIFEYQWKKRKQSYRARPSEHRNSSDADAIDKTSGAILEVVPDVLCLHPQHSQHMKPHQVEGFNFLVKNLADENNPGGCILAHAPGSGKTFLIISFVHSFLAKYPAGRPLIILPKGILSTWRTEFLHWQVDDIPLYDFYSSKADKRSEQLKVLNLWEESRSILLLGYQQFACIVSDHTSDTEAIMCQEKLLKVPSLVILDEGHTPRNEETDLLTSLENIRTPRKVVLSGTLFQNHVREVFNILKLVRSKFLKMDKSRAIVNCILSKVDLMGKNARSKNISDKDFFDLVQEHLQKDGNDKMRAVIIQNLRELTADVLHYYQGKLLDELPGIVDFTVFLNMSSKQEHIIKGLDGINKFAKRSRCNAVSLHPCLKNANKADADDGNVTNRKIGSIISGIDINDGVKAKFVHNLLSLSEATGEKVLVFSQYVRSLIFLEKLVSRMKGWKSEVHIFRVTGGSTQDQREQAVHRFNNSPDARVFFGSIKACGEGISLVGASRIVILDVHENPSVMRQAIGRAYRPGQSKMVYCYRLVAADSPEEDDHHTAFKKERVSKLWFEWNELCSSDDFELATVDVSDSEDRFLESPALKQDIKALLKR >ONIVA03G04630.1 pep chromosome:AWHD00000000:3:3455136:3457082:-1 gene:ONIVA03G04630 transcript:ONIVA03G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01110) TAIR;Acc:AT5G01110] MAIPRRLAAAAAAETTKRSAAGLAAALGGSGGKPATADLAAAATAAAAAGRASECQSLLLRMSRRRGACRREIVSSLLGSSPTPQPRVFDLLIRTYTQSCKPREAFEAFRLILDHRVPIPAAASNALLAALSRAGWPHLAADAYRLVFSSNSEVNTYTLNIMVHNYCKALEFDKVDAVISEMEKRCVFPDVVTHNVMVDARFRAGDAEAAMALVDSMVSKGLKPGIVTYNSVLKGLCRSGMWDKAREVFKEMDDFGVAPDVRSFTILIGGFCRVGEIEEALKIYKEMRHRGIKPDLVSFSCLIGLFARRGKMDHAMAYLREMRCFGLVPDGVIYTMVIGGFCRAGLMSDALRVRDEMVGCGCLPDVVTYNTLLNGLCKERRLLDAEGLLNEMRERGVPPDLCTFTTLIHGYCIEGKLDKALQLFDTMLNQRLRPDIVTYNTLIDGMCRQGDLDKANDLWDDMHSREIFPNHVTYSILIDSHCEKGQVEDAFGFLDEMINKGILPNIMTYNSIIKGYCRSGNVSKGQKFLQKMMVNKVSPDLITYNTLIHGYIKEDKMHDAFKLLNMMEKEKVQPDVVTYNMLINGFSVHGNVQEAGWIFEKMCAKGIEPDRYTYMSMINGHVTAGNSKEAFQLHDEMLQRGFAPDDKF >ONIVA03G04620.1 pep chromosome:AWHD00000000:3:3453494:3455010:-1 gene:ONIVA03G04620 transcript:ONIVA03G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDLKTDEYCLLRSHNQQNVIDQKETARLLGSLVHPDAPGFAEVKNTVLPCRSCDLAPSNLDA >ONIVA03G04610.1 pep chromosome:AWHD00000000:3:3441813:3452667:-1 gene:ONIVA03G04610 transcript:ONIVA03G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GH83] MHHGGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLLLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQFVPTTLTLDSGMTRPPPLLAEADLLGCMDKAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNSIETVRPCAACNESEMFLKQRPTGEFMVGCRGFPQCRNVVWLPRSLSGAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMELSRFGSHSQTATPARNQSQTASGVRQGSSRQDLHTSFHPAVQFTNGQTPVVNPQGFRSTHTQSSGNASGQVQCTSCREPCVLRTANTEANRGRKFYKCQNLACGFFAWEDDVENSAPRGRGVRGRGGRSSTRQSSASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVHGSCFICGDPTHFANNSAPRGRGGRGRRGRSSSRQSSESASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVYGSCFICGDPTHFANVCPNLGR >ONIVA03G04610.2 pep chromosome:AWHD00000000:3:3441813:3452667:-1 gene:ONIVA03G04610 transcript:ONIVA03G04610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GH83] MHHGGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLLLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQFVPTTLTLDSGMTRPPPLLAEADLLGCMDKAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNSIETVRPCAACNESEMFLKQRPCRNVVWLPRSLSGAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMELSRFGSHSQTATPARNQSQTASGVRQGSSRQDLHTSFHPAVQFTNGQTPVVNPQGFRSTHTQSSGNASGQVQCTSCREPCVLRTANTEANRGRKFYKCQNLACGFFAWEDDVENSAPRGRGVRGRGGRSSTRQSSASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVHGSCFICGDPTHFANNSAPRGRGGRGRRGRSSSRQSSESASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVYGSCFICGDPTHFANVCPNLGR >ONIVA03G04610.3 pep chromosome:AWHD00000000:3:3441813:3452667:-1 gene:ONIVA03G04610 transcript:ONIVA03G04610.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GH83] MHHGGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLLLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQFVPTTLTLDSGMTRPPPLLAEADLLGCMDKAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNSIETVRPCAACNESEMFLKQRPTGEFMVGCRGFPQCRNVVWLPRSLSGAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMELSRFGSHSQTATPARNQSQTASGVRQGSSRQDLHTSFHPAVQFTNGQTPVVNPQGFRSTHTQSSGNASGQVQCTSCREPCVLRTANTEANRGRKFYKCQNLACGFFAWEDDVENSAPRGRGGRGRRGRSSSRQSSESASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVYGSCFICGDPTHFANVCPNLGR >ONIVA03G04610.4 pep chromosome:AWHD00000000:3:3441813:3452667:-1 gene:ONIVA03G04610 transcript:ONIVA03G04610.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GH83] MHHGGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRSRYNRVFEFDYSIGGRACHMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLLLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNSIETVRPCAACNESEMFLKQRPCRNVVWLPRSLSGAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMELSRFGSHSQTATPARNQSQTASGVRQGSSRQDLHTSFHPAVQFTNGQTPVVNPQGFRSTHTQSSGNASGQVQCTSCREPCVLRTANTEANRGRKFYKCQNLACGFFAWEDDVENSAPRGRGVRGRGGRSSTRQSSASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVHGSCFICGDPTHFANNSAPRGRGGRGRRGRSSSRQSSESASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVYGSCFICGDPTHFANVCPNLGR >ONIVA03G04600.1 pep chromosome:AWHD00000000:3:3439977:3441790:-1 gene:ONIVA03G04600 transcript:ONIVA03G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQIGSVTALARKWRALTSERDERYISMHGDGGWSTDGWLHGQTSSYLQKLHAGSVAR >ONIVA03G04590.1 pep chromosome:AWHD00000000:3:3440771:3449635:1 gene:ONIVA03G04590 transcript:ONIVA03G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTPLGGAEAPKHAKPLTSNEGIGYCLERLYKAAYVHRSPPWTLAKWVGSPQMKQLPCTGSPVAATNIMPSAFRPLPLLPRPWVPPLRPADADDCLVLLRPPRPRTPRPLGAEFSTSAFDTLVLLVCWMIWKERNTRVFQNQSRTAGFLFGAIKDEAAIWKEAGNNAIKEPINVN >ONIVA03G04590.2 pep chromosome:AWHD00000000:3:3439877:3449635:1 gene:ONIVA03G04590 transcript:ONIVA03G04590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAAKQARWGVSDQASFPPTQLFSSSASAQISGRRCQREASAGRTTFGRAASRPAFDTLVLLVCWMIWKERNTRVFQNQSRTAGFLFGAIKDEAAIWKEAGNNAIKEPINVN >ONIVA03G04580.1 pep chromosome:AWHD00000000:3:3435394:3437749:-1 gene:ONIVA03G04580 transcript:ONIVA03G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKARARSPDFARQFWPVLCHAFSECSLIIMLFVTAVVSFTATRFARIWSLRPPCILCSRLDRLLHGNTWFSEDLICAAHKLEISQLEYCQSHNKLAHSDDLCERCLLSCAGLDETFKKTRHTHKLPELANGIVPDDVSTVKERSIDMTSVGHSSDEGSEDLSYGGYSKLNVRHDSESENRISDDDEDEDGTSMIHKATERSRDFLFHDSQLQPMISDTNSLSMHPSENVVLAEPMNTAPVPVSTAAKTDNVATGTNLVSAAKSSEHIAQGSREISLSNVNVSGNNHDVQPKIVPEQVCAELPKEKTFLVGIEEVGDSAGISGSPDEEVAKGFVASANAGMSSVLDACINRKNSMKSASRRRSNLQSPRWSEIISAKDNSSRTNQEVKTFLSQMSSARGFDGPWSEVAASPRITQIDDKQYDATGSRQFLETNYSNMEPFDVHATSEDEGDTSLEGLKQKVELGKKKMSILYKEFEAERSASAVAASEAMAMINRLQEEKASMHMEALQYLRMMEEQADHDQEAIERLNDLLTEREKEMLDLEAELDNYRRLHEPFGCKFDFTDGDMASGVLDSSDFMRDTMFDFEDEKANILKSLSKLEETLGMSSTDRHNFGGTYDSLQNMSVLHPEHWSGEPVSSQQIDENQSVDSGSCSHLDDGRISSMTSVKHEISLLNTRFTALETDQKFLKQILSSLKCSDDGVQCVQEITAHLRELRRIMTEQRERAVL >ONIVA03G04570.1 pep chromosome:AWHD00000000:3:3431269:3436547:1 gene:ONIVA03G04570 transcript:ONIVA03G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G06730) TAIR;Acc:AT1G06730] MQTHLEFAIHPFSLARSPMALQTLNPRHVLPLPLPRRRAPRPRVLHHPPPPRRRLEGAARPRAVAVAVNEARRRWPPAEGGGEEGKETDLATLGNLCVDVVLSVPQLPPAPREEREAYMERLAASPPDQKFWEAGGNCNLAFAAARLGLRCSTLGHVGEEIYGKFLLDVLEEEGISVVGMLDNSDSGACRNAYETLLCWVLVDPFQRHGFCSRADFSDEPAFSWIHKLPAETKTAIHHSKILFCNGYAFDELFPDVISSAIDCAIDAGTAVFFDPGPRGKSLLHGTLDEQRALEHSLRLSDVLLLTSDEAESLTNIRNPIQAGQELLKRGIRTKWVVIKMGSKGSIMVTKSAVSSAPSFKIDVVDTVGCGDSFTAAIAFGFLHNLPAVSTLTLANAVGAATATGCGAGRNVAHLDKVLQLLRESNINEDDTPWSELIEASSFCSEVSVLSKTAVNSFSDRLVHVPTCNVVSNLLSMLEAVSERSTVQA >ONIVA03G04560.1 pep chromosome:AWHD00000000:3:3427600:3428877:-1 gene:ONIVA03G04560 transcript:ONIVA03G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLVMALVAAAVLMQCCGVLLAARPLEGDVAGGGGWRPMQTAGGGGGKMPLLGCSGLCNMVPKIWFIPVAVPLQPLNTPFMHPIEFNGRLLTNPNGDGVSGTKSLVR >ONIVA03G04550.1 pep chromosome:AWHD00000000:3:3421345:3422794:1 gene:ONIVA03G04550 transcript:ONIVA03G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQAVLGTAGASAARRCGRLHGCRRARGGTASSEQCSVPTRVAEVSCGQYGPRTFSGFDKYCWYGNDVTLTKSMHLQIAHVAAVNFAEDSTLRVHCQENPYDQCENVQFSERYINKYIGSPIDDLMVSMAGTTQSYQMRLKRSKDSRAMLTTGWNQLIDAKAFDEGDVCLFHFKEVDDVLVLKITQLRHFFGMHYNYPKY >ONIVA03G04550.2 pep chromosome:AWHD00000000:3:3421358:3422794:1 gene:ONIVA03G04550 transcript:ONIVA03G04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGNEVIDISSDSDDGQYGPRTFSGFDKYCWYGNDVTLTKSMHLQIAHVAAVNFAEDSTLRVHCQENPYDQCENVQFSERYINKYIGSPIDDLMVSMAGTTQSYQMRLKRSKDSRAMLTTGWNQLIDAKAFDEGDVCLFHFKEVDDVLVLKITQLRHFFGMHYNYPKY >ONIVA03G04540.1 pep chromosome:AWHD00000000:3:3420167:3420762:-1 gene:ONIVA03G04540 transcript:ONIVA03G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSKKVQNNEAAMADGAVKEYRHGLCMDVPMYIEDSEYCGEELGTRLTCRHGLTPKRRTAWEGPDTGRRFLEDQCDKFFWVDEPWHPRVQKTLEQMWHAVERATKLTGSKQYDWCCFVRNTKAEEEKMKLELDTADHISELELAYKKN >ONIVA03G04530.1 pep chromosome:AWHD00000000:3:3416077:3419315:-1 gene:ONIVA03G04530 transcript:ONIVA03G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLNPLQTAAMEKIGFGGLLAMPEININRVICIWLADRYDVKSQTILIQDKSLPITLWDVQCILDIPAEGPVISKLPPLITEDYKIYSRYKDSESKNISLISLQEAILEESKQSEINEEDFIRRMMFFTIGYILCPTTKAHVSSSYLPLLRDVTKIHTINWASLTREHLCDCLKEFKGGLKNIEGNLPLLQCWYWEHVKAVNSEFCNINYNGRQIPLISFWNAANDETNLAKEIDGNGTEEAPFIVTGDEATETDDNNKTIAERLRGQFGRTVKPSYQTQSDFIFYKHNKKTFEPKIQISNSGSANLGLTLKDKITINYILQSEKTKVIEAYTELINDNQQGHTRQYGSALIEKETQVQVWCAVGKTRGKPSKRYIESRSTFAQRYMVHDMVFLPINRDKLHWYVIVINVRCQEIQILDSMQMQPQWYNASEDIKNLINGVAKYIDYTVKERPVPTSWTDINVAKWPLCPKSVPQQKDSWSCGLNALKFMETWDGKELTSDFLNMEPNEVFRLKVAANLINTTLNIVLAVHDDIRRLHSENSSTSEVTMKL >ONIVA03G04520.1 pep chromosome:AWHD00000000:3:3409564:3414749:-1 gene:ONIVA03G04520 transcript:ONIVA03G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASAKLVRALVVAAVLMQCCGVLLAARPLAAAGGGGGEEMFVVTPEILKTTGGGATTTPTGTTCKEGPTPPSSPSPPCIGTSAKTMVRAVMVAAVLLQCCGVLLAARPLKGDVVAAAGGGGGGGGEMFVMQILKTSTPTTPVGNGCKQGETGGNGAPCHGSG >ONIVA03G04510.1 pep chromosome:AWHD00000000:3:3397956:3406281:-1 gene:ONIVA03G04510 transcript:ONIVA03G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAMVVRVVVVGVLLMQCCNAIMAARLLEGDLFGSSWLQGEGGVAAGELILQVLKGGSPPGGNGCHQGPGGGSGGNCYQPVVVVAVLLMQCCNAIMAARLLEGDLFGSSWLQGLQGGVATGELILQVLDKGTSDSEGNGCHQGPGGGSGASCHQP >ONIVA03G04500.1 pep chromosome:AWHD00000000:3:3394786:3395960:-1 gene:ONIVA03G04500 transcript:ONIVA03G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAMVRVVLVAVLLMQCCNAIMAARLLEGDLGSWLQGQGGVAGELILQVLKGGSPP >ONIVA03G04490.1 pep chromosome:AWHD00000000:3:3387305:3390110:1 gene:ONIVA03G04490 transcript:ONIVA03G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:exocyst complex component sec15A [Source:Projected from Arabidopsis thaliana (AT3G56640) TAIR;Acc:AT3G56640] MAKQIGQVSISQASMARQKDEEMRARKREAEAHSDARSDEHVYTLDVENTDEESTLNFDLTPVYRAHHMHICLGIGEKFRDYYYKNRLMQLNLDMQISTSQPFLESHQPLLAQVAGFFIVEQRVLRSADGLLSESQVESTWETAIAKITSILEDQFARMSIASHLLLVKDYVTLLGTTVSKYGYQIAQLIQVIAKYKDKYHQLLLIESRKQIDDILANDSYEQMIIKKEYEYNMNVTAFHFEPDDVVPEFPYVAPFSSGVPDICRIVRSFIGDSVSYFSYSARTNIYEIVKGYLDRLLIEVLNDSLLNMVYARSLAMSQMMQLAGNISVLEQSCDTFLLYSAQLCGIPKRIAQRSHSGLTAKAVLKASQNAAYNSLINLANFKIDEFMVLLDDVNWIVEEAPDNPNEYMNEVLIYLETLVSTAQEILPLEALYKMVSGAMSHISDSIMTTLLNEGVKRFTVNAVMGLDIDLKLLEAFAEEKFYRTGLADLGKETTFADCLVEIRQLVNLLLSSQPENFMNPVIRGRNYGSLDYKKVAIVCDKYKDFADGLFGSLSNRNKQDARKRSMDVLKRRLKDFS >ONIVA03G04480.1 pep chromosome:AWHD00000000:3:3383567:3384439:-1 gene:ONIVA03G04480 transcript:ONIVA03G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAIFSFEAPRAGPKYFAGAFDSKILAMQPVDPQFSFNPMAGIPIYDVRMRSLMVGPRQRPDPVDPIYIPVGGRLFALSVGSFQLLYPPPDDESDDQEEEEDFVWGWHALPNPPFQHEHVTSYAVHRDGRTIFVSIGGESSATFSFDTAESVRDGCMWKNHGQWQLPFSRKPGTTTSWRICSMDVISDDCENGQAVKYTREELATTVSDHELVTGVTLVSMGGGSKFCVVECCLDHSVSVPFIQLVTFSMMYGKNGELTTGNSRQFREYKNIPQGVSSEMLENPVAFWM >ONIVA03G04470.1 pep chromosome:AWHD00000000:3:3377927:3384534:1 gene:ONIVA03G04470 transcript:ONIVA03G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAALPFSCSSTLQTLTRTIPLRLRLHRRRFLHHLPSLAALPRLPLPRPPLLPHARRHVSASAAPNGASSEGEYDYDLFTIGAGSGGVRASRFASTLYGARAAVCEMPFATVASDDLGGVGGTCVLRGCVPKKLLVYGSKYSHEFEESHGFGWVYETDPKHDWNTLIANKNTELQRLVGIYKNILNNSGVTLIEGRGKIVDPHTVSVDGKLYTARNILIAVGGRPSMPNIPGIEHVIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEVRDFIAEQMSLRGITFHTEQSPQAITKSNDGLLSLKTNKETIGGFSHVMFATGRKPNTKNLGLEEVGVKLDKNGAIMVDEYSRTSVDSIWAVGDVTDRVNLTPVALMEGGAFAKTVFGDEPTKPDYRAVPSAVFSQPPIGQVGLTEEQAIEEYGDVDIYTANFRPLRATLSGLPDRIFMKLIVCATTNKVVGVHMCGEDAPEIIQGVAIAVKAGLTKQDFDATIGIHPTSAEEFVTMRNATRKVRRSTTDEVQDNTL >ONIVA03G04470.2 pep chromosome:AWHD00000000:3:3377927:3384534:1 gene:ONIVA03G04470 transcript:ONIVA03G04470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAALPFSCSSTLQTLTRTIPLRLRLHRRRFLHHLPSLAALPRLPLPRPPLLPHARRHVSASAAPNGASSEGEYDYDLFTIGAGSGGVRASRFASTLYGARAAVCEMPFATVASDDLGGVGGTCVLRGCVPKKLLVYGSKYSHEFEESHGFGWVYETDPKHDWNTLIANKNTELQRLVGIYKNILNNSGVTLIEGRGKIVDPHTVSVDGKLYTARNILIAVGGRPSMPNIPGIEHVIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEVRDFIAEQMSLRGITFHTEQSPQAITKSNDGLLSLKTNKETIGGFSHVMFATGRKPNTKNLGLEEVGVKLDKNGAIMVDEYSRTSVDSIWAVGDVTDRVNLTPVALMEGGAFAKTVFGDEPTKPDYRAVPSAVFSQPPIGQVGLTEEQAIEEYGDVDIYTANFRPLRATLSGLPDRIFMKLIVCATTNKVVGVHMCGEDAPEIIQGVAIAVKAGLTKQDFDATIGIHPTSAEEFVTMRNATRKVRRSTTDEVQDNTL >ONIVA03G04460.1 pep chromosome:AWHD00000000:3:3358665:3377561:1 gene:ONIVA03G04460 transcript:ONIVA03G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKSMENGTKAVDVGQRQLKIMEECLAATIPDSQSFRWPPHPSPTLLRDSTAFFVKSKRLRFACHSRSTASVLPPAPREHLAAGGAAREVEEGGMRSQLDAAAVSSPPRAPAARRCLRPALPLALRFHAFPGQVRVYRGGGIGVGVRSAGHLPSKRGLVRVFDSAMGMNEKVANGNLEQPTTSTSGNNPSFPAEGNFNVVTVVSITFCVLHKIVIGQMQLMTKFLPWMSHNITNLPLACISDPMKKPVPLKLDVSFPQLPDIRWSISRLYYLFNSQLERNIALKNQQSLEECFWEAWACLISSSTHLRQKTRIERVLGFFLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEDDHIIICGVNSHLPSILNQLNKFHESSIRLGTATARKQRILLLSDLPRKQIEKLGDSFAKDLNHIDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKGLIKIYRHLLNYHKNVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVLIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGDTAQVDKQLAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGEQPVIVSSCTINYGKSSDLAS >ONIVA03G04460.2 pep chromosome:AWHD00000000:3:3365075:3377561:1 gene:ONIVA03G04460 transcript:ONIVA03G04460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRSRDARLSGSEEKSMENGTKAVDVGQRQLKIMEECLAATIPDSQSFRWPPHPSPTLLRDSTAFFVKSKRLRFACHSRSTASVLPPAPREHLAAGGAAREVEEGGMRSQLDAAAVSSPPRAPAARRCLRPALPLALRFHAFPGQVRVYRGGGIGVGVRSAGHLPSKRGLVRVFDSAMGMNEKVANGNLEQPTTSTSGNNPSFPAEGNFNVVTVVSITFCVLHKIVIGQMQLMTKFLPWMSHNITNLPLACISDPMKKPVPLKLDVSFPQLPDIRWSISRLYYLFNSQLERNIALKNQQSLEECFWEAWACLISSSTHLRQKTRIERVLGFFLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEDDHIIICGVNSHLPSILNQLNKFHESSIRLGTATARKQRILLLSDLPRKQIEKLGDSFAKDLNHIDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKGLIKIYRHLLNYHKNVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVLIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGDTAQVDKQLAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGEQPVIVSSCTINYGKSSDLAS >ONIVA03G04460.3 pep chromosome:AWHD00000000:3:3365075:3377561:1 gene:ONIVA03G04460 transcript:ONIVA03G04460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRSRDARLSGSEEKSMENGTKAVDVGQRQLKIMEECLAATIPDSQSFRWPPHPSPTLLRDSTAFFVKSKRLRFACHSRSTASVLPPAPREHLAAGGAAREVEEGGMRSQLDAAAVSSPPRAPAARRCLRPALPLALRFHAFPGQVRVYRGGGIGVGVRSAGHLPSKRGLVRVFDSAMGMNEKVANGNLEQPTTSTSGNNPSFPAEGNFNVVTVVSITFCVLHKIVIGQMQLMTKFLPWMSHNITNLPLACISDPMKKPVPLKLDVSFPQLPDIRWSISRLYYLFNSQLERNIALKNQQSLEECFWEAWACLISSSTHLRQKTRIERVLGFFLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEDDHIIICGVNSHLPSILNQLNKFHESSIRLGTATARKQIEKLGDSFAKDLNHIDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKGLIKIYRHLLNYHKNVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVLIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGGMGYMPVTEPCYFPVIYKIEVHLLMDSADTAQVDKQLAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGEQPVIVSSCTINYGKSSDLAS >ONIVA03G04460.4 pep chromosome:AWHD00000000:3:3367878:3377561:1 gene:ONIVA03G04460 transcript:ONIVA03G04460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLDAAAVSSPPRAPAARRCLRPALPLALRFHAFPGQVRVYRGGGIGVGVRSAGHLPSKRGLVRVFDSAMGMNEKVANGNLEQPTTSTSGNNPSFPAEGNFNVVTVVSITFCVLHKIVIGQMQLMTKFLPWMSHNITNLPLACISDPMKKPVPLKLDVSFPQLPDIRWSISRLYYLFNSQLERNIALSIITLMITCFSLVVVGGFLFHKFRKNQQSLEECFWEAWACLISSSTHLRQKTRIERVLGFFLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEDDHIIICGVNSHLPSILNQLNKFHESSIRLGTATARKQRILLLSDLPRKQIEKLGDSFAKDLNHIDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKGLIKIYRHLLNYHKNVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVLIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGDTAQVDKQLAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGEQPVVRGGDTST >ONIVA03G04460.5 pep chromosome:AWHD00000000:3:3367878:3377561:1 gene:ONIVA03G04460 transcript:ONIVA03G04460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLDAAAVSSPPRAPAARRCLRPALPLALRFHAFPGQVRVYRGGGIGVGVRSAGHLPSKRGLVRVFDSAMGMNEKVANGNLEQPTTSTSGNNPSFPAEGNFNVVTVVSITFCVLHKIVIGQMQLMTKFLPWMSHNITNLPLACISDPMKKPVPLKLDVSFPQLPDIRWSISRLYYLFNSQLERNIALSIITLMITCFSLVVVGGFLFHKFRKNQQSLEECFWEAWACLISSSTHLRQKTRIERVLGFFLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEDDHIIICGVNSHLPSILNQLNKFHESSIRLGTATARKQRILLLSDLPRKQIEKLGDSFAKDLNHIDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKGLIKIYRHLLNYHKNVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVLIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGDTAQVDKQLAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGEQPVVRGGDTST >ONIVA03G04460.6 pep chromosome:AWHD00000000:3:3367878:3377561:1 gene:ONIVA03G04460 transcript:ONIVA03G04460.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEQPRGQNTRMRKMTASANGDTDETSGLTTPRSCEVPLCSSYENGITRIPEETRLRDPEAYHPKAVCIGPYFHSGRNSPSFRRMEQHKHWCVNRLLERSNHSLEPLVQAFLLRLSKTIKTKSFQQLYSEPVDMTEEGIGMMLLLDGCFILHFLLRHDPNKGAEHEYWTKLDAGLLDHEYETLQWERPWEWSLVAIDMLLLENQIPFVAVRILFDILKTEHDKAVDLTACARNMFDKYLPAGMRTSTRPIRCQDVRCLLQLLYRSLLPNPKLHSDLMKPPPKPPRTGIDPAKKLDTDGVRITRRQQWYWWPLSHFQEPFTFLDIVFSHGKVQIPQLEVSDASIQLLQNLIAFEKCYQGTTSHVANYAAFMDALNSDHHDTELLRKRSIFDVQFTPAQPELSLRRRCKQDVDPSSENYLSRMMVDIVLYKEARASRKKTQTPMSDTAFFAVLAVTAYVLLAFCWYIVS >ONIVA03G04450.1 pep chromosome:AWHD00000000:3:3353297:3357611:1 gene:ONIVA03G04450 transcript:ONIVA03G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GH59] MDSRELLRTVTQAARNHARTLYHRLVGRHLPRILAVTLLLAAARAGGAATTTLDALARENARALVAVACCCAAAAYAYAMSRPRPVYLVDLAGYKPAASHEATRAESIRRFGLAGDFTGESMAFQRRMMERSGLGEATHFPASLFALPVDMCLRTAREESEAVVFGAVDELLAKTGVPPADVGVVIVNSSLFSPTPSFTSLVVNRYRLRHDVVTHNLSGMGCSAGIIAIDLAKHLLQVQINTTHHACMQISTQPTVVTSSPMQNVQRSTENITLNAYMGNYRPMLVTNTLFRMGGAAVLLSNRRAERRRAKYQLMHTVRTHRGGASDRSYACVTQEEDGAGNVGVSLSKELMSIASEAHRTNITTLGPLVLPLSEQLRFLATVVLRRVFGHAAGVKPYLPDFTAALDHFCIHAGGRGVLDELERSLKLSAWHMEPSRMTLYRFGNTSSSSLWYELSYCEAKGRIRRGDRVWQIAFGSGFKCNSAVWKALRTVDGGAGRDAGAWAQDIDALPVHVPKVVPIVDDDDGANGGDGDRHDAASHVRPE >ONIVA03G04440.1 pep chromosome:AWHD00000000:3:3338754:3339525:1 gene:ONIVA03G04440 transcript:ONIVA03G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATATDEISPDQQIPFIVQAYYTNTAKREYNYRPTVRNTTSVAAVEDQMLPLFLTVEQKRRAYSLNQIFVFFTCPALSG >ONIVA03G04430.1 pep chromosome:AWHD00000000:3:3333871:3336753:1 gene:ONIVA03G04430 transcript:ONIVA03G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAGQIDPSPGAPAKSRLKRLFERQLLRVSPAERLPSVAGVGEKDESSEPSSVCLDGMVRSFLEDGVGVERPAGAARCCNCFHGGEASDDDDDGPAAAEAAATSDAAETIKGLVHCASLRERNLLADVSTLVERHRAAGARKRDLLRLLADSLRAAGHDAAVCISRWDKSSSHPKGEHAYLDVLLPPASDRAERERILVDVDFRSEFEVARPTKAYRAVLQRLPSVFVGKEDRLRLLVAAAADAARASLKKRGLHLPPWRKPEYMRAKWLSPYERDVPSPQQPDASAGELAVDGEGGGAWTQ >ONIVA03G04420.1 pep chromosome:AWHD00000000:3:3325623:3326837:1 gene:ONIVA03G04420 transcript:ONIVA03G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0GH56] MAGKGGKGLLAAKTTAAKSADKDKDKKKAPVSRSSRAGLQFPVGRIHRQLKSRASAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTSKE >ONIVA03G04410.1 pep chromosome:AWHD00000000:3:3305427:3310823:1 gene:ONIVA03G04410 transcript:ONIVA03G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GH54] MSLTSALPACKLYAYMGQQQQNAGGGGGDNASPCVVLDGPIIVGAGPSGLAVAATLRQHGAPFTVVERSGGVADLWTNRTYDRLRLHLPKVFCELPHVAFPPDFPTYPTKHDFLRYLHSYAARFAIAPLLRRTVTRAWYDHPASLWRVTTTTTSSSATSVITEYASPWLVVASGENAEVVVPKVKGRERFAGEALHSSEYRSGERFRGMRVLVVGCGNSGMEMCLDLCEHGAMPFMSVRSGVHVLPREMFGASTFGIAMKLLRWLPIKMVDRFLLLVARMVLGDTEKYGLKRPKLGPLEIKNITGKSPVLDVGAWSLIKSGNIKIVPEVESFSGNGARFVDGNEMAFDAVIFATGYRSNVPSWLQEDGELFTEEGKLRSSGSSSEWRWRGPNGLYCVGFSGRGLLGAGADALRAAADIAGRWQETQQAAANISSV >ONIVA03G04410.2 pep chromosome:AWHD00000000:3:3305427:3310837:1 gene:ONIVA03G04410 transcript:ONIVA03G04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GH54] MQGQQQQNAGGGGGDNASPCVVLDGPIIVGAGPSGLAVAATLRQHGAPFTVVERSGGVADLWTNRTYDRLRLHLPKVFCELPHVAFPPDFPTYPTKHDFLRYLHSYAARFAIAPLLRRTVTRAWYDHPASLWRVTTTTTSSSATSVITEYASPWLVVASGENAEVVVPKVKGRERFAGEALHSSEYRSGERFRGMRVLVVGCGNSGMEMCLDLCEHGAMPFMSVRSGVHVLPREMFGASTFGIAMKLLRWLPIKMVDRFLLLVARMVLGDTEKYGLKRPKLGPLEIKNITGKSPVLDVGAWSLIKSGNIKIVPEVESFSGNGARFVDGNEMAFDAVIFATGYRSNVPSWLQEDGELFTEEGKLRSSGSSSEWRWRGPNGLYCVGFSGRGLLGAGADALRAAADIAGRWQETQQAAANISSV >ONIVA03G04410.3 pep chromosome:AWHD00000000:3:3305418:3310837:1 gene:ONIVA03G04410 transcript:ONIVA03G04410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GH54] MPLGRTEGVDQDCSVKEQNGQQQQNAGGGGGDNASPCVVLDGPIIVGAGPSGLAVAATLRQHGAPFTVVERSGGVADLWTNRTYDRLRLHLPKVFCELPHVAFPPDFPTYPTKHDFLRYLHSYAARFAIAPLLRRTVTRAWYDHPASLWRVTTTTTSSSATSVITEYASPWLVVASGENAEVVVPKVKGRERFAGEALHSSEYRSGERFRGMRVLVVGCGNSGMEMCLDLCEHGAMPFMSVRSGVHVLPREMFGASTFGIAMKLLRWLPIKMVDRFLLLVARMVLGDTEKYGLKRPKLGPLEIKNITGKSPVLDVGAWSLIKSGNIKIVPEVESFSGNGARFVDGNEMAFDAVIFATGYRSNVPSWLQEDGELFTEEGKLRSSGSSSEWRWRGPNGLYCVGFSGRGLLGAGADALRAAADIAGRWQETQQAAANISSV >ONIVA03G04410.4 pep chromosome:AWHD00000000:3:3305418:3307450:1 gene:ONIVA03G04410 transcript:ONIVA03G04410.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GH54] MPLGRTGERLERDPHPELIEVAK >ONIVA03G04400.1 pep chromosome:AWHD00000000:3:3295992:3298859:1 gene:ONIVA03G04400 transcript:ONIVA03G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMMPGMVKEEWPPSSPEEGEAPRPMEGLHEVGPPPFLTKTFDLVADPATDGVVSWGRAGSSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYFLVRTNYLNKRSHFYSLRFQGFRKIDPDRWEFANDGFLRGQRHLLKMIKRRRPLSYLPGSQQALGTCLEVGQFGLDEEIDRLKRDKNILLAEVVKLRHKQQSTKANMRAMEERLQHAEQKQVQMMGFLARAMQNPDFFHQLIHQQDKMKGLEDTFSKKRTRSIDIVPFLNPGEVSQGDQLESTLLFDPRPFAELNDEPAKSELENLALNIQGLGKGKQDVNRTRNQPRNQASNETELTDDFWEELLNEGARDDAGIPGMERRRPRYVDALAQKLGYLSNSSQK >ONIVA03G04390.1 pep chromosome:AWHD00000000:3:3292334:3295886:1 gene:ONIVA03G04390 transcript:ONIVA03G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GH50] MENQFQDGKEEVIEAWYMDDSEEDQRLPHHREPKEFIPLSKLSELGILSWRLNADDWENDENLKKIREARGYSYMDICDVCPEKLPNYEAKLKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRVAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVEKIINRGGTQAVEAR >ONIVA03G04380.1 pep chromosome:AWHD00000000:3:3278502:3278957:-1 gene:ONIVA03G04380 transcript:ONIVA03G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRGRRRRVPVAASPLLILLVFLLAASLGMGRELGVGFFAFHACSDALDRVPAVVFAGACSSARKRSGDGGGAVAEEVYYSSWGSAVAVAGRRRLVGPGSSPPTCRSRCGGCHPCRPVHVAIQPGRSFPLEYYPEAWRCKCGDKLFMP >ONIVA03G04370.1 pep chromosome:AWHD00000000:3:3269122:3273527:1 gene:ONIVA03G04370 transcript:ONIVA03G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARTRFAGGGGAAVVLLLIVASMVATRATVADDFFSPLSPLLAPVIGSMCKTVACGKGNCTAASGFPGYRCECEPGWKQMHVGDQASFLPCVIPNCSIDRACSNTIAPAPAPLPSPKNFSLPTDPCQLAYCGSGGTCKNGTGLSYHCECSEGFSNLLNITTMPCFQNCSIGADCASIGLSPSSNSSSSPAPPGSAGISNNGNAPAYGAISHKILLPLLILGSLMYQRRSIDHKNKLATGGAARGGGIFVGATTGGRVQCAAHGVGVDTDRMVADCGSYCMQGQELGARTAAGVSGARRSGGVRRRAAAAAPALAPPPPLRPSPPTPLQILSLRAETAKFLGRKRNREKDSEGGAVGPTYRWASQICFCVDEGPVWYSSNS >ONIVA03G04370.2 pep chromosome:AWHD00000000:3:3269122:3273527:1 gene:ONIVA03G04370 transcript:ONIVA03G04370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARTRFAGGGGAAVVLLLIVASMVATRATVADDFFSPLSPLLAPVIGSMCKTVACGKGNCTAASGFPGYRCECEPGWKQMHVGDQASFLPCVIPNCSIDRACSNTIAPAPAPLPSPKNFSLPTDPCQLAYCGSGGTCKNGTGLSYHCECSEGFSNLLNITTMPCFQNCSIGADCASIGLSPSSNSSSSPAPPGSAGISNNGAISHKILLPLLILGSLMYQRRSIDHKNKLATGGAARGGGIFVGATTGGRVQCAAHGVGVDTDRMVADCGSYCMQGQELGARTAAGVSGARRSGGVRRRAAAAAPALAPPPPLRPSPPTPLQILSLRAETAKFLGRKRNREKDSEGGAVGPTYRWASQICFCVDEGPVWYSSNS >ONIVA03G04360.1 pep chromosome:AWHD00000000:3:3264740:3267462:1 gene:ONIVA03G04360 transcript:ONIVA03G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLAGGKKGGKKGEAMAAALPGEAAKEKRWSFRRPVHGEKAAAATDGVVVGEAEAGFDLSASESEFDQKRHAMAVAVATAAAADAAVAAAHAAAAAVRLSSRKAHQLPASAVEEAAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQATATLRCMQALLAAQSQLRAQAQRVRALHEHHRTPPRPRPPSPPQHPRHRRSYEMDRSCEENAKIVEVDSGAGEPARRGGEYGHHGRWSPAPSAMTEVMSPRAYSGHFEDMAFAATAHSSPHHASASSELLCCPSYMANTESSRAKARSQSAPRQRTDSLERQPSRRKSGGGGGGGAKMQRSSSSHAAAAQRGAQFPWPVIKLDTSSASLKDSECGSTSSVLTAATVYSRTRSLVGFEVRRGLY >ONIVA03G04350.1 pep chromosome:AWHD00000000:3:3245532:3246954:1 gene:ONIVA03G04350 transcript:ONIVA03G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETQRSRVRILATTNVHTGGEVARARAMTPVMIPVFRASIMYGDEKFRLVADGGFRPFFRRLPMMTDANGAAADTQKNLGLLLL >ONIVA03G04340.1 pep chromosome:AWHD00000000:3:3245161:3250156:-1 gene:ONIVA03G04340 transcript:ONIVA03G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSAANGGGGGTARVPMPPARPFLETLGGNMKETFLPDDPFRVVRRERGCGRRAAAALRYVFPFMEWAPSYTLGTLKSDLIAGITIASLAIPQGISYAKLANLPPVLGLYSSFVPPLVYAMMGSSRDLAVGTVAVASLLIGSMLSEEVSAAEDPALYLHVALTATFFAGVFQALLGVFRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGMFGLDHFTTATDLVSVMRSVFSQTHLWRWESVVMGCGFLFFLLITRFFSKRRPRFFWVSAAAPLASVIIGSLLVYLTHAENHGIQVIGYLKKGLNPPSATSLNFSSPYMMLALKTGIITGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGTMNIVGSLTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSVAVMITLLFLTPLFHYTPLVVLSAIIMSAMLGLIDYPAAVHLWQVDKVDFCVCLGAYLGVVFGSVEIGLVVAVGISILRVLLFVARPRTTVLGNIPNTMIYRRMDQYTAAQRVPGVLVLRVDSPIYFTNASYLRERIARWIDDEEDQCKEKGEMQIQYVVLDMGAVGSIDTSGTSMLDELRKTLDRRGLQIVLANPGSEIMKKLDSSKVLEAIGHEWIFPTVGEAVAECDFVMHSQKPGMVMGSGAPHENLV >ONIVA03G04330.1 pep chromosome:AWHD00000000:3:3232160:3244374:1 gene:ONIVA03G04330 transcript:ONIVA03G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGPLPMAESSRQAAIDGCTWVNRVATSSAANCSSTTTTTVSTCPCCCNGGGPCEEYHEWEVNCPQLEGKFGVLFRLLALRFAWKHDIFCCCLNAYFEEVETSFVVTYDLPRCCSILSTKDIETDTSCLCGSLLAHLCQWLLWSGMIQIPPSTHGGGLAISAPKIPNGFKRTLQPGIKLFTFDHSNGMCLETIYQFYDMDTMVKAMIKLINEDADSFARRAEMYYKKRPELMNLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNQMPSMSEDSPSSGQDVEPRTPEVLMPTRAPFDLDDLQDAAGVSPYLFTVKRNGTQPDDIGFSSSRKGLKQFSDLFAGSDSSQRVNFSDGKVRKGLNFESPDVKGKKDDSNDIMNLQHEVSKLLTERQSLEEQISSESQRANKAECEIHSLKDTISCLISEKDTTLLQYNESTRRLSVLECEISKAHMELKKLSDDMAMEVDKLKCAESQNSAMQSELETLDQKVRVQEQELEQSRKEIESFHFSLQDEMAKRKQAEDALCCLEKQYAQSQKEINRLTLDMEMANDRLNDFNLVKLNLENTVCELKKEVTSLEVKIQILVQELEQKREEADVMHAQLQDEHSNHMQKEAALHALDNLHSQSQEDFNLVKLNLENTVQELEQKREEADAMHAQLQDERSNHMQKEAALRTLENLHSQSQEEVKQMARDVEHSNKKLSDLENNNLKLHDLSQGLKKTVAELNSMKDSALLQQQKSSEKVSYLEAQVLVVRSEMEKMVQKTQMLDQELEHKNKEISELQSSLQEQVQKCILAETSLLRLEDLHTNSQEEAKTLAHDLERLSEQLTEVENDRLDLQNISRELKNTILEINSEKDLMLLQQQHSLERQSYLEAQLLDALSEVEENKKEVQLLEENLAHKNDELNGLQNNLEEEGHKRMHAEAALSMVENLHSKSQEEVCKLVMDLDKLENELSELQGRNSRMEELSCELQNTISLLNSEKDAALLQQQLSSERACDLMSQLSKIQLELEKAEEKMQTMEQKLADKSEMVDFLQLSLQDEGKKRVEVETALISSGNLYSQSQEDVNRLTLEIERLNEMLNDMENKSSEYKNTILLLNSEKDMSVIQYKQSSLRIYELESKLSGVQEELDNAEQKVQMLDKELKEKREVVETMQASLQDEAQKRMKGEATLLTMTNLHTRSQEEVNRLTPEIERLNRKLNEVENVSCELKNTILLLNSEKDTTVLQHKQALAELVNAEKNVQILDKELKQKREEVDSLQVSLNEEAQKRIEGEVALLAMENLHSQSQEEVRGLVLKIETLHGKLNEMENSNGDLKNMICKHSEEIRVLGEQNLSAELTIKGLHDQLEKFTEMNIGLQNDVGIHVGEKEVLQQDLARQKEDKDILEKQLCSLEHEMKAVNKRVATQQHLIEELQSKNIELEEVRNAYDVEKTLLLEKLHVMEELSEEYSILKKSFSNAIVETEDLKEIVEELEASKNSLKYDVSLHAAEKDALALELETLDKRCADVLEEKSILETSFSNVNYELQELRVKYKDSEESSRSYIADNTALLAEKHKLLSQLESTAVSLKFLEDKHADLRDNHGSLLSEKDLLCNQVKNMQDQLGIKNEQHEALLKLHQMQVNDYEEMVSSLQDKIRHMDQMLEHEQQKCADASISTLILENSLVDARDKNLALFNECQKFIQATDSAEVLIAQLKEEARKEEEDKKALLNRNEKLRDGISEQIKVLNICKDLGPTDVVHDEIMLQTMSRETFNHVKHKEETEERNVFMDAELSVLGAILAQTVIGFRALHQQNCELVEEVESGAAELLFLQKENHKLIELNEQLEQRLQLGGNREEVLKIEILGLCKELSGLRQSYQTSQSEICNLTKKYDSLLQEYNVLVEKYNALDDENAAVIAECIKLDLLSSFFHDLTVESASVLVSLNNDMAMLSSVRHELDHEVTMLNRRAKILEMDFQHLKCTLENLLEALGSRLVLSEFDSNTSKIICQELTIECKSSMTQLMQKDDKLRKVDEKVQFLQERNQELCRVLRDLEVAVEDAEGVKGDLEKKITTLTERGAIQDNETRLLREANNTLQVKVGIHKQKEESLMSTFETMRKKAEQHEREITLLVCDTITRSVNTMVLEEQVLEMMMEREVLETRLFTEKDMLMKEISSTDAYVDDLQKRVASMRGENAGLMAELAAYLPLVASLSDQIRALEELEDGTLLLSELNKEGKLEFVQKDRHVPESQDDSSGALKLQSLIARVEALHVVILDAKGRRDKEFTESAAQLEAANMEIQELKARKGSNAKEQCTEDDRQKYDADNSKGKHVQIMKDIELDQVSTCALYGTGATIYPLGGDANVELDDEMLQLWETAERDCKNQTAKSSSSEHDIQAVEEVKSEYPSFELARGRNLGIDRLEISAVSLEPQQLWSKNVLDKLASDAQRLSIVQASIEEIKQKMVGASKGKSTVSSEYSSIRAQLQEIDGSVLEQIDFNSNVTKKAENYPAFEVSAELEGYSSRRKISEQVQKGSEKVAKLELELQKIQYVLLKLEEEHEYKRVKAPEKRSRVLLRDYMTARKDKNDAGQKTKKKRIPFCGCVRIKSRTEP >ONIVA03G04320.1 pep chromosome:AWHD00000000:3:3226715:3231657:1 gene:ONIVA03G04320 transcript:ONIVA03G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVIDEPMVEAFARLALKASLAHPRVTWGRAPRKYFGRNRLWPRPQNPPGARRLRPFSRYSFTYIPAGMKAELFNEEYFFSVPEIGMLRKIIYMRKAYIPKPAKKEAFLRRTAEMWDRHGHLLTNAKKLLNAYTVSGFRVPEMPSQFDAQF >ONIVA03G04310.1 pep chromosome:AWHD00000000:3:3225167:3225571:-1 gene:ONIVA03G04310 transcript:ONIVA03G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETRRAQTEREKRRKRKAAKVGNPKSKERACLAAVAGDPQRHLRRHGRRRHLRRRARFAERQESVRIGQITRPFLPLRQLLRRVIPAEPIKQQIASTSGKL >ONIVA03G04290.1 pep chromosome:AWHD00000000:3:3215640:3216437:-1 gene:ONIVA03G04290 transcript:ONIVA03G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIPNPIFSGGRIWCTYIDANPRPVKPISSISDRERGISGGQIPAPSMDSPATNQSVEDKAPAIDPSERHPLEEDKASVMDLSGKGKAPMEKKKKKKTKTKMARFTQAQINNCMAFKEEMPDFDNMPSIIEILGDDLAKCSQEYIDELKAIDDSREEDKKFWIEMNRQIREEREGILNQYYTKGYAEYEVDDDEDEDEGNKGHARVAATSGRRRFRHGVALKKNQSGGGSIRKI >ONIVA03G04280.1 pep chromosome:AWHD00000000:3:3203636:3212661:-1 gene:ONIVA03G04280 transcript:ONIVA03G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADDAWIEILLRLLGTTLGFIQLLQPSIIRRTSGLVFAFKLERGTGSCGGFRSCMDHLRRDGEHPTLAWLYVLRGTEEFRRIGQFWQECLHSLLPSEQMIIGNRLHLLYLLPEWPMGVKDQRNNNSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRRGGDDEWRGSSFTRREPSTVKKSKTERSSRRSHERSRRGKIDLDAAEATVTLDYKIFVATWNVGGRSPPNTMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLVRRTLNNLPGTSGNGSFRTPSPAPDPVVEMDDDFEGLSSRQNNASFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYEATCRWGGSSDDENNTGESPSTVYSPMSYGYGNPSSLEESHRPAGHTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKDGDEMRRNSDVLEILRKTRFPMVYGQYERSPETILEHERIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGKIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >ONIVA03G04280.2 pep chromosome:AWHD00000000:3:3203638:3208321:-1 gene:ONIVA03G04280 transcript:ONIVA03G04280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLLHPDSSIAFERHAWRAQVHTRQESPPPNISETVSYSAPLLVALLLLYLLPEWPMGVKDQRNNNSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRRGGDDEWRGSSFTRREPSTVKKSKTERSSRRSHERSRRGKIDLDAAEATVTLDYKIFVATWNVGGRSPPNTMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLVRRTLNNLPGTSGNGSFRTPSPAPDPVVEMDDDFEGLSSRQNNASFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYEATCRWGGSSDDENNTGESPSTVYSPMSYGYGNPSSLEESHRPAGHTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKDGDEMRRNSDVLEILRKTRFPMVYGQYERSPETILEHERIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGKIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >ONIVA03G04280.3 pep chromosome:AWHD00000000:3:3203638:3206678:-1 gene:ONIVA03G04280 transcript:ONIVA03G04280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRRGGDDEWRGSSFTRREPSTVKKSKTERSSRRSHERSRRGKIDLDAAEATVTLDYKIFVATWNVGGRSPPNTMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLVRRTLNNLPGTSGNGSFRTPSPAPDPVVEMDDDFEGLSSRQNNASFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYEATCRWGGSSDDENNTGESPSTVYSPMSYGYGNPSSLEESHRPAGHTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKDGDEMRRNSDVLEILRKTRFPMVYGQYERSPETILEHERIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGKIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >ONIVA03G04270.1 pep chromosome:AWHD00000000:3:3203220:3203604:-1 gene:ONIVA03G04270 transcript:ONIVA03G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPAAIDEVAALLMEIRGPSEQVHIECSKIPEQHIAHLQKFTKHLNSSQDRFIVTKPRYGPCFTEP >ONIVA03G04260.1 pep chromosome:AWHD00000000:3:3189396:3194290:-1 gene:ONIVA03G04260 transcript:ONIVA03G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05460) TAIR;Acc:AT1G05460] MNATSSFHDITISCGLLNFCKFAIEAINCSSSSPRKTSPPQTLADRSAAGLLRTNWRASEQEIPPIHPASEGWGRRRSSSDEYRGFKMGTYVRNYSDDEFSVAGEKPDVEFMDYQNDGSIQDYSLEDAPVVVTVPFPFIDGKPKSVLVGETSADTISIENTSSEPVNLWSVRIFSSNPEDSYVLSMMKPPLNDTDEEAKRNFLGLTSVEDRTLQPGQTLTIWLSCTPKDIGLHTSIVHVDIGDEKIERVAFLLADDNVSQALFSDKPYSRKHTQKKKFECSSFVPGCRPTRQHSQGFKFKLPQFAIPADIRELIQSKQRPDVLSEELNMTNYAKFFSTLLVMEEINLEEEMRSYDMERILMRRRGLEFLSLEVPGLAEKRPSLVHGDFIFVRHAGSDARPYQGFIHKVEADEIFLKFDNQFHLAHHDRNQYDVSFTYNRLNMRRLYKAIHEAELLGPDILFPCRSSSGSVKKGPFKPLNPHINTEQADAVATILGCRGVAPYVIYGPPGTGKTMTLVESILQLYTAKRRANVLICAASNSAADHVLAKLLQASYLIRPSDIFRLNAASRQYEDVDPDFIRFCFFQDMVFKCPPLQALLRYKIVISTYMSSSMLQSEGIRRGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVYCKQAEKDGLGKSYLQRLLFEYEQYSTGDPNYVTKLVRNYRCHPAILELPSELFYGGELIACKEDEVSSIYDSIDLPNKSFPVLFVGIQGCDEREGNNPSWFNRIEASKVVNIIRNLTRGGDVREADIGVITPYRQQVVKIKKALETFEMPDLKVGSVEQFQGQEREIIIISTVRSTVKHNEFDKFFNLGFLSNHRRFNVAITRAKSLLIIIGNPHIITKDRHWDRLLRYCADNGSYQGCPLPPPEETQVSGFRDSQDEPARWGYNQQQESSANYSYKQDPHDSGSEHVNGLPSTENEVEWSEKTLNEEHQPCSSAAEADSPEFMLKQKAEEEEHVEQDGVQPEQCPAKDNKLQDAYAAKYSFPPECIKHAAYSGTLQCYNEQNEALS >ONIVA03G04260.2 pep chromosome:AWHD00000000:3:3189396:3194779:-1 gene:ONIVA03G04260 transcript:ONIVA03G04260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05460) TAIR;Acc:AT1G05460] MPRASEQEIPPIHPASEGWGRRRSSSDEYRGFKMGTYVRNYSDDEFSVAGEKPDVEFMDYQNDGSIQDYSLEDAPVVVTVPFPFIDGKPKSVLVGETSADTISIENTSSEPVNLWSVRIFSSNPEDSYVLSMMKPPLNDTDEEAKRNFLGLTSVEDRTLQPGQTLTIWLSCTPKDIGLHTSIVHVDIGDEKIERVAFLLADDNVSQALFSDKPYSRKHTQKKKFECSSFVPGCRPTRQHSQGFKFKLPQFAIPADIRELIQSKQRPDVLSEELNMTNYAKFFSTLLVMEEINLEEEMRSYDMERILMRRRGLEFLSLEVPGLAEKRPSLVHGDFIFVRHAGSDARPYQGFIHKVEADEIFLKFDNQFHLAHHDRNQYDVSFTYNRLNMRRLYKAIHEAELLGPDILFPCRSSSGSVKKGPFKPLNPHINTEQADAVATILGCRGVAPYVIYGPPGTGKTMTLVESILQLYTAKRRANVLICAASNSAADHVLAKLLQASYLIRPSDIFRLNAASRQYEDVDPDFIRFCFFQDMVFKCPPLQALLRYKIVISTYMSSSMLQSEGIRRGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVYCKQAEKDGLGKSYLQRLLFEYEQYSTGDPNYVTKLVRNYRCHPAILELPSELFYGGELIACKEDEVSSIYDSIDLPNKSFPVLFVGIQGCDEREGNNPSWFNRIEASKVVNIIRNLTRGGDVREADIGVITPYRQQVVKIKKALETFEMPDLKVGSVEQFQGQEREIIIISTVRSTVKHNEFDKFFNLGFLSNHRRFNVAITRAKSLLIIIGNPHIITKDRHWDRLLRYCADNGSYQGCPLPPPEETQVSGFRDSQDEPARWGYNQQQESSANYSYKQDPHDSGSEHVNGLPSTENEVEWSEKTLNEEHQPCSSAAEADSPEFMLKQKAEEEEHVEQDGVQPEQCPAKDNKLQDAYAAKYSFPPECIKHAAYSGTLQCYNEQNEALS >ONIVA03G04250.1 pep chromosome:AWHD00000000:3:3186965:3187249:-1 gene:ONIVA03G04250 transcript:ONIVA03G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAECECCELREECTRGYILGVKADFGGRWLCGLCSEAVRDEAAKLGRNRGGGGMEEALRDHMSFCGKCRKNPAFRVADGMRQMLLRRRSK >ONIVA03G04240.1 pep chromosome:AWHD00000000:3:3175420:3186017:1 gene:ONIVA03G04240 transcript:ONIVA03G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFKSKIKWQHRSNDPASSQGQGQGLPQQTPPSPSPASSPSGGPPALSVSTVSSSSPSAAATPTGAAAAGAGGGGGGTGGEDYMLSEEEFQMQLAMALSASNSECVGDLDGEQIRKAKLISLGRGDRFAAVRDDEQTADALSRRYRDYNFLDYHEKVIDGFYDIFGPSMESSKQGKMPSLADLQTGIGDLGFEVIVINRAIDTTLQEMEQVAQCILLDFPVANIAALVQRIAELVTDHMGGPVKDANDMLTRWLEKSTELRTSLHTSLLPIGCIKIGLEFLVDLMAAPGTLIPSDVLSWKGNSLNSNARLTQNPLAGSSSTTDSNLSANALPPGHKGGQLPLFSSGDWILASQSGYEKDGATTSSQASSSGTTSVAAGSAFDSSWTLVSHGQSDDPSTSAGMSAQQKVILPGGEHPWNENINARNENIKLVSDLQGNSESINLFADLNPFGGREPKRTSVPLNGPDNRNNELQRRRENVVPSTRRPQQRLVMKNWSPYNDVSNNKQYNYVEDSFARRNIGDNAASSSQVARPSAKNTNLNVGVRTDTPYMAAHNYDNSMAGSSAMKMTSTAGIGKVPDKVLYGDLDKGLTNSRLGDQPPIERHKWGNSVEGRIPTGTVHNQAKEHKENFDGKQDNKKLHPDPKKSPLDRFMDTSMPSRNPESVSPSFARSHKLDTMFDDVSECEIHWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPNCQIDEKRRIKMALDVAKGMNCLHISVPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDKRLDIPKEIDPLVARIIWECWQKDPNLRPSFAQLTSALKTVQRLVTPSHQESQSPPVPQEIWFRPFPLLPPSTNPHLNANHPLRRAREADLDGERSRRRQIPPLSPPPRPHDPGFVGSAARAS >ONIVA03G04230.1 pep chromosome:AWHD00000000:3:3170261:3170749:-1 gene:ONIVA03G04230 transcript:ONIVA03G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPSPATTAGGSCGGKKDLRRLPHVYSKVLELPFPADTDVAVFEGPDAFHFVVSAAAALAGEVRVRTVRIHPGVVRVVVQAGGGGVHDDGDDDDMELDKWRSRLPEASCPAMAVAGYVNGQLVVTVPKGRGGGEGDNDGGEEAWRCCNGGKISGRLVVVQ >ONIVA03G04220.1 pep chromosome:AWHD00000000:3:3165623:3169795:1 gene:ONIVA03G04220 transcript:ONIVA03G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPENIHGRGDPGMGERTLGKKVLLPSSPGGDGLKPGRRTGGGVRRFRERCPAPELAAEEAGGSVCSQKLKEREGECHSMAHFMDLRAFILRARVLKLYRQALRMTRRAPVHARDELRQTVRAEIEKNRRCDDKQKIKFLISEGLQRLKGLDEMLDMTGNNTSGQTVGH >ONIVA03G04220.2 pep chromosome:AWHD00000000:3:3165623:3171563:1 gene:ONIVA03G04220 transcript:ONIVA03G04220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPENIHGRGDPGMGERTLGKKVLLPSSPGGDGLKPGRRTGGGVRRFRERCPAPELAAEEAGGSVCSQKLKEREGECHSMAHFMDLRAFILRARVLKLYRQALRMTRRAPVHARDELRQTVRAEIEKNRRCDDKQKIKFLISEGLQRLKGLDEMLDMTGNNREISRRERR >ONIVA03G04210.1 pep chromosome:AWHD00000000:3:3160951:3164404:1 gene:ONIVA03G04210 transcript:ONIVA03G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAARRRLWRGLTTAAAASVGVEADTSALLARLVAEPEYRVKATMEEASGGSSAAAAFWEPLAAALLRASSPAKANLVLEWKLEKLIKEGIRDCEPYSVIIRFCRETKNAEFAMKVFEFVEELGIQLNTGIFNALINAFLSVGDLLAAMTLYEAMEDIEDCKPNSATYDAFISAFSRLGSGHAMMSWYLASKDAGFTPSIKAFEYLITGFVKLDRLDDAEVVFEEMICFEIKPNFAILEAKLELLSRRKDPNRVKVFLELVSDGNQELSEATVERLIRLCLYEDKIGELDQLLSLVQGMHTSSLTKLHCGIIRFYANADRLSDMEHAIFQMLDNGMVFAHSEDVEAVICSYFRHKDFDRLDLFLNRIRSLYKLTRSTYDILISGYQRLNLHGRLDLAIKDMREAGFA >ONIVA03G04210.2 pep chromosome:AWHD00000000:3:3160951:3162975:1 gene:ONIVA03G04210 transcript:ONIVA03G04210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAARRRLWRGLTTAAAASVGVEADTSALLARLVAEPEYRVKATMEEASGGSSAAAAFWEPLAAALLRASSPAKANLVLEWKLEKLIKEGIRDCEPYSVIIRFCRETKNAEFAMKVFEFVEELGIQLNTGIFNALINAFLSVGDLLAAMTLYEAMEDIEDCKPNSATYDAFISAFSRLGSGHAMMSWYLASKDAGFTPSIKAFEYLITGFVKLDRLDDAEVVFEEMICFEIKPNFAILEAKLELLSRRKDPNRVKVFLELVSDGNQELSEATVERLIRLCLYEDKIGELDQLLSLVQGMHTSSLTKLHCGIIRFYANADRLSDMEHAIFQMLDNGMVFAHSEDVEAVICSYFRHKDFDRLDLFLNRIRSLYKLTRSTYDILISGYQRLNLHGRLDLAIKDMREAGFA >ONIVA03G04200.1 pep chromosome:AWHD00000000:3:3159061:3160064:-1 gene:ONIVA03G04200 transcript:ONIVA03G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPRRPSEKAAGGGGGEQGIRYGDVFPVTGSLAAKPIAPRDAATMQSAENLVLGKTVKGGPAAAMESAASRNEEMGVVGHDQATDAAAEQGVNVSDTLVPGGGRIVTEFVAGQAVGHYVEQDDGAAVVAGVVGAAPGAVRVEEPAKITIGEALEAAALAAGGTPVERSDAAAIQAAEAKATGTDTYMPGGLAAQAQSAAVANLWTARDADKTKLGDVLSNATAKLAADKEVESGDAARVAGAETRNKPGAAARPGGVAASMAAAARLNRGPTT >ONIVA03G04190.1 pep chromosome:AWHD00000000:3:3153224:3153808:-1 gene:ONIVA03G04190 transcript:ONIVA03G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSDDASTSTSAGGGGGCTAETSPCSSSSSLRCKNSGGVGGEDDDDGVGGGGAVVAKNASALSDSGLSSAIASRRFFLSSPGRSNSIVDSSAHGAAVGVGAAGVAVPTYSPDPHADFLRSMEEMVAALRLDARRRGDRARLHELLLCYLALNDRRAHKYVVSAFTDLLLRITAAANLDDGEPPRHGRHLHESH >ONIVA03G04180.1 pep chromosome:AWHD00000000:3:3144440:3151894:1 gene:ONIVA03G04180 transcript:ONIVA03G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Domain of unknown function XS (InterPro:IPR005380); BEST Arabidopsis thaliana protein match is: XS domain-containing protein / XS zinc finger domain-containing protein-related (TAIR:AT5G23570.1); Has 565 Blast hits to 510 /.../ins in 121 species: Archae - 2; Bacteria - 90; Metazoa - 191; Fungi - 32; Plants - 51; Viruses - 4; Other Eukaryotes - 195 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G22430) TAIR;Acc:AT3G22430] MKNPNPPHPKHSSSSAAAAAMAPRKSRWGPPPPAGEKGAGAASTSARTPTPTPSTAGDPRRHPPPPGPGARNPAASSAAAFRPPPQPQQQPRVETPPPPSYGFHNLDRRTMLLADGSVRTYFALPPDYPFELTPLPQLPHLPRGAGHEVWPPHHPPPPPQQQQLQLAQHDAKRKHLAEHDEGFHSRHPKQPRFEAAPPQQQQLPPHAAVDRHALRRAFLKYAKMLNESAAQRRSYLEGGRVPCLACGRSSKDFADVHGLVMHAYNPPNVDSFIDHLGLHKALCVLMGWDYTKVPENSKAYQSLLPDLVQASREDLIIWPPTVIIHNTATGRKKDGRAEGLGNKEMDKKISELGFAGGKSKSLYGKEGHLGLTLIKFANSPAGLKEAERLADFLERQDHGRIGWLHARANQSVGSDNSPLLVETDNRTGEKRRILYGYLAISSDMDELDSDSRKRASLKSKREFDPSD >ONIVA03G04170.1 pep chromosome:AWHD00000000:3:3138433:3143507:1 gene:ONIVA03G04170 transcript:ONIVA03G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFKGNPYFNRVSSNATKSESPKMQSPSERKEKDDSMLPSNAKEVEELRRESARNPLIAFTFEELKRITKNFRQDSLLGGGGFGRVYKGYITSDLREGLTIEEPLRVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDDHRVLVYEFMPLGSVESHLFSRVMVPLPWFTRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWAFPMLIQKKKVLGIVDPRLAEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDIVATLEPLQQMEEDPSISLVSGTEV >ONIVA03G04160.1 pep chromosome:AWHD00000000:3:3131172:3132638:1 gene:ONIVA03G04160 transcript:ONIVA03G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYIPKQTFAFCHSLLSSRLLLPSAAAAPTAPALPVQALLTTAGLLPRHPDLSLVALNSLLRVLSRRASSPAHPLLALRLLLLMLSPASPLPPPDHLSFPFALSAAATVSPSPGPQLHALLVKNGHFPSDHYVTTALLQLHAARPDDARRMFDELPRREAIHYDLVIGAYTRTGMAGEGLGVFRAMFVDGVAPDAVVLTTAIAACAQAGALECGEWVHRYVEASAPWLLGDAFVGSALVSMYAKCGCLEQAVRVFDGMPERNDYVWGTMVGAFAVHGMAEEAVLCLDRMAREDGVRPDGVAVLGALSACAHAGKVEDGLRLLKEMRRRYGVAPGHEHYACTVDMLCRVGRLEDAVALIETMPMAPLASVWGSVLTGCRTYANVELAEVAAAELGKLGADEGVYVQLSNIYLDSNRKDDARRVRKLIGSRGIRKVPAYSAVEVDGVVRSFVADDQAHPQRVEIWEVLGLLADQMGGEPDEEEAMAALS >ONIVA03G04150.1 pep chromosome:AWHD00000000:3:3126014:3139406:-1 gene:ONIVA03G04150 transcript:ONIVA03G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MGFLGGLGYGVQGTYLLDVIQDILLKSYHTINQVHIVYLGHNDGLNASLTASLHLQLLSGVFTRSDEARDAILYSYSYGFSGFAAMLNSTQAAKLSEAEEVISIFRSRMLEIHTTRSWDFMGLSLHIQNEQSAGMQLKYGDDIIVGILDTGVWPESQSFRDDGHLGDIPSSWRGTCVEGEKFDPATACNRKLIGARYYLAGFESEVGPLNTSGGAEYRSPRDRVGHGTHTASTAVGAVSPDASYVGGLGRGVARGGAPWSRLAVYKVCWFKDLTGRCSDADILAAFDDALRDGVHVISASLGSTPPLMPLFMTSTEIGAFHAMQLGVPAVFSAGNDGPDAAMVQNVSPWVITVAASTIDRRFPTVITLGNNVSLVGESFNVNDMKMRLVESGSVFSDGSCSFDQLTNGSRAAASGRIVLCFSTTTASSGVAALAVYAAGGAGLIFAETISRRSTQDNFLPTVHVDLRQGTRILDYIRGSSRPPTARFSPSTTLVGKSPAPAVAYFSSRGPSSISPHILKPDVTAPGVNILAAWPPMSSPTVIPLDKRSVTWNFDSGTSMSCPHVSGIVAVVRAVHPTWSPAAIKSALMTTAYMYDDTSDVMLAGGTLKAADAFDVGAGHVDPLRALDPGLVYDAGARDHVLFLCGLGYTRYQIRQMVLPSPSLDTSCGGEGGGAAPPEYDLNYPAIVLPRLNATVTVKRTVTNMGPRRDAVYRAAVVSPHGARAAVWPPALAFSPYRDTASFYVTVAPAKLSRGRYDFGEIVWSDGYHRVRTPLVVRVTTMPDTDTGVDVDVAAARTMDTATATQLQDS >ONIVA03G04150.2 pep chromosome:AWHD00000000:3:3132760:3139634:-1 gene:ONIVA03G04150 transcript:ONIVA03G04150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MESVVVAISPPLQGTYLLDVIQDILLKSYHTINQVHIVYLGHNDGLNASLTASLHLQLLSGVFTRSDEARDAILYSYSYGFSGFAAMLNSTQAAKLSEAEEVISIFRSRMLEIHTTRSWDFMGLSLHIQNEQSAGMQLKYGDDIIVGILDTGGLEAKQTVIPAARRAYIPKQTFAFCQSLLSSRLLLPSAASPTAPAPALPVQALLTTAGLLPHHPDLSLVALNSLLRHQRAYLLSSFFSNGLRSMCNVLINLSSH >ONIVA03G04150.3 pep chromosome:AWHD00000000:3:3132760:3139406:-1 gene:ONIVA03G04150 transcript:ONIVA03G04150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MGFLGGLGYGVQGTYLLDVIQDILLKSYHTINQVHIVYLGHNDGLNASLTASLHLQLLSGVFTRSDEARDAILYSYSYGFSGFAAMLNSTQAAKLSEAEEVISIFRSRMLEIHTTRSWDFMGLSLHIQNEQSAGMQLKYGDDIIVGILDTGGLEAKQTVIPAARRAYIPKQTFAFCQSLLSSRLLLPSAASPTAPAPALPVQALLTTAGLLPHHPDLSLVALNSLLRHQRAYLLSSFFSNGLRSMCNVLINLSSH >ONIVA03G04150.4 pep chromosome:AWHD00000000:3:3126014:3131660:-1 gene:ONIVA03G04150 transcript:ONIVA03G04150.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MYSLPPGQFIEHPSRVIRPGRVQLQQRGGDVMVGGEVAILHEERVELGPGGRGDGGGGGEREGKGESFRDDGHLGDIPSSWRGTCVEGEKFDPATACNRKLIGARYYLAGFESEVGPLNTSGGAEYRSPRDRVGHGTHTASTAVGAVSPDASYVGGLGRGVARGGAPWSRLAVYKVCWFKDLTGRCSDADILAAFDDALRDGVHVISASLGSTPPLMPLFMTSTEIGAFHAMQLGVPAVFSAGNDGPDAAMVQNVSPWVITVAASTIDRRFPTVITLGNNVSLVGESFNVNDMKMRLVESGSVFSDGSCSFDQLTNGSRAAASGRIVLCFSTTTASSGVAALAVYAAGGAGLIFAETISRRSTQDNFLPTVHVDLRQGTRILDYIRGSSRPPTARFSPSTTLVGKSPAPAVAYFSSRGPSSISPHILKPDVTAPGVNILAAWPPMSSPTVIPLDKRSVTWNFDSGTSMSCPHVSGIVAVVRAVHPTWSPAAIKSALMTTAYMYDDTSDVMLAGGTLKAADAFDVGAGHVDPLRALDPGLVYDAGARDHVLFLCGLGYTRYQIRQMVLPSPSLDTSCGGEGGGAAPPEYDLNYPAIVLPRLNATVTVKRTVTNMGPRRDAVYRAAVVSPHGARAAVWPPALAFSPYRDTASFYVTVAPAKLSRGRYDFGEIVWSDGYHRVRTPLVVRVTTMPDTDTGVDVDVAAARTMDTATATQLQDS >ONIVA03G04150.5 pep chromosome:AWHD00000000:3:3126014:3131660:-1 gene:ONIVA03G04150 transcript:ONIVA03G04150.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MYSLPPGQFIEHPSRVIRPGRVQLQQRGGDVMVGGEVAILHEERVELGPGGRGDGVWPESQSFRDDGHLGDIPSSWRGTCVEGEKFDPATACNRKLIGARYYLAGFESEVGPLNTSGGAEYRSPRDRVGHGTHTASTAVGAVSPDASYVGGLGRGVARGGAPWSRLAVYKVCWFKDLTGRCSDADILAAFDDALRDGVHVISASLGSTPPLMPLFMTSTEIGAFHAMQLGVPAVFSAGNDGPDAAMVQNVSPWVITVAASTIDRRFPTVITLGNNVSLVGESFNVNDMKMRLVESGSVFSDGSCSFDQLTNGSRAAASGRIVLCFSTTTASSGVAALAVYAAGGAGLIFAETISRRSTQDNFLPTVHVDLRQGTRILDYIRGSSRPPTARFSPSTTLVGKSPAPAVAYFSSRGPSSISPHILKPDVTAPGVNILAAWPPMSSPTVIPLDKRSVTWNFDSGTSMSCPHVSGIVAVVRAVHPTWSPAAIKSALMTTAYMYDDTSDVMLAGGTLKAADAFDVGAGHVDPLRALDPGLVYDAGARDHVLFLCGLGYTRYQIRQMVLPSPSLDTSCGGEGGGAAPPEYDLNYPAIVLPRLNATVTVKRTVTNMGPRRDAVYRAAVVSPHGARAAVWPPALAFSPYRDTASFYVTVAPAKLSRGRYDFGEIVWSDGYHRVRTPLVVRVTTMPDTDTGVDVDVAAARTMDTATATQLQDS >ONIVA03G04140.1 pep chromosome:AWHD00000000:3:3118330:3121000:1 gene:ONIVA03G04140 transcript:ONIVA03G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATLTSTTLPQPQPQPSFLFLLFFFFPSRRPQPAANSFFFSFFLFFLLPSHIKQTRIRSRTCRACSSLLLFSHQTRGRTN >ONIVA03G04140.2 pep chromosome:AWHD00000000:3:3118330:3119820:1 gene:ONIVA03G04140 transcript:ONIVA03G04140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHATLTSTTLPQPQPQPSFLFLLFFFFPSRRPQPAANSFFFSFFLFFLLPSHIKQTRIRSRTCRACSSLLLFSHQTRGRTN >ONIVA03G04130.1 pep chromosome:AWHD00000000:3:3113779:3117310:-1 gene:ONIVA03G04130 transcript:ONIVA03G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPQVPAAAPAPAAAAAPPAPAPAAAATAAPVADQTTDLLQKLSLDSQPKAVDAATEPAGAKKGPAASQPLSVAIPPERSITPVLQDFMDPNMFYLPAYYYGGYDGSVSEWDDYPRYVNPDGVEITPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGQHYQYPTSYYQPPTPVPSTTQGDLQPSANPDKPTAKADPAKTTTNGAPNGTVHSNSGTVPLGSSQQNSSLTPDGTYRAPLLGGVPSAGYLDSTYGYDSTGAHFAWYDGSAYTNGQQRTTTTNHMSSSTFSNGSSARTQNKGSTPQQMGMNNRRPTTTTGSAAPTYPNRMYPSTRSYSQYGNSYKTGLSYSTNGYGSNGYGSNGYDSRLYGRWGLSMDNRYKPRGRGNGYYGFGNESQDGTIELNRGPRSGRFKNQKLFGHTVTIAVKGQSLPTSDSKNATDVPDRAQFNRDDFPVQYDDAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQAKSSKCPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSLSLKWHIVKDVPNNILKHIILENNENKPVTNSRDTQEVNLDQGIQMLKIFKEHVSKTSILDDFAFYENRQKLMQEKRVKQQQIQKQVWDSRAPSSVTGEKQQDAATAKPKLPNGVNGELKVPAENGTAPVVTYAAKVAQTAATEKPALANGTVKAS >ONIVA03G04120.1 pep chromosome:AWHD00000000:3:3108144:3111317:-1 gene:ONIVA03G04120 transcript:ONIVA03G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) TAIR;Acc:AT1G64770] MATSSLLPLHLPTRPSAVKASAAPTAAAAPTPQSLEESFGRKGLRFAADPATGAPTAELSVRNGSSLQLRLADGLVTSYRPKVYWKDDGCREVLHTVAGAGAGGEVRGGVGLALSEVSSSGAAESLLVGSEWSVVDADSDSYDAVQVELGCTKGSGTLEVTYVVTLYPLSMATAVMVKNNGKKPVSLTSAMLSHIKFDKRRGTAVEGLRGCPYCSHPPPAAGFALLTPAEAMKREDGGWFGGGGGEEPRQGVWTVEDNLYTILKKKVSRVYAAPPEERKKRIYSTAPSKFTTIDQNSGLGFRVVRMGYEDMYLCSPGEMYKKFGKDYFLCTGTASMLVPVVVNPGEEWRAAQVIEHDNL >ONIVA03G04110.1 pep chromosome:AWHD00000000:3:3103466:3111288:1 gene:ONIVA03G04110 transcript:ONIVA03G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53110) TAIR;Acc:AT3G53110] MADGGKPPTPEKKSWADVEEEEEAKAKAAAAAEAASSSSSNEPAVDAQAKQIEALSLSVPEEHGGSGGGGDDQGPPLLDDSDESQIQAVTSGGTVYESAAAFEDLKLTPELLKGLHDEMGFSRPSKIQAVTLPMILTPPYKDLIAQAHNGSGKTTCFVLGMLSRVDPNRKVTQAICICPTRELAQQNKSVLMRMGKFTGITCACAIPPAQKDYVPIAKMPKITDQVVIGTSGTLMKWINHKKILTNDIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTRVIKDGNQIFVKKEELTLEKVKQYKVQVPDERAKIAVIKDKIFEFGQKVGQVIIFVRTKQSTKDVHNALTLEDYVCSSIQGSLDQSEREKIIQEFKNGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGETDNTVMRKIETYFQHNVPEVRNWQSEEDFERALKDAGLVE >ONIVA03G04100.1 pep chromosome:AWHD00000000:3:3098343:3099617:1 gene:ONIVA03G04100 transcript:ONIVA03G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoserine aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GH11] MAAAAATTPNSLLLRRPAAPKPASAAASPLRLPTRAARISCAAVATPAQSSSSPAAAAADRGVYNFAAGPATLPLSVLQRAQAELVDYRGSGMSIMEMSHRGKEFDAAIKKAEADLRALLAVPDTHEVLFLQGGATSQFAAAPLNLCASPSDPADFVVSGSWSDKAFKEAKKFSAASVAWSGKDGKYTSLPPFDAIEQNPEARFLHICSNETIHGVEFKDYPEPKNKSGILVADMSSNFCSKPVDVSRFGLIYAGAQKNVGPSGVTIAIVRKDLVGSAQPITPVMLDYKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNAHKAGILYDAIDASGGYYICPVEKSVRSLMNVPFTLAKGGDFEKQFIAEAAKEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARNP >ONIVA03G04090.1 pep chromosome:AWHD00000000:3:3091422:3097695:1 gene:ONIVA03G04090 transcript:ONIVA03G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFKGPGEKEEEEAGDKAAAEEAGDGFQVVRGKKKKRPNGGSASGAGSGTMMAKDKAAAAAAAEPWTKAKVSFHDPNIPRPQDVYAIRVNNYNVPFDHVWLERTEDGSRPIHPLEKLPMEQFIDRNVPESEPVKPADLEDTPFTLVEDKNGLADLAKKLKSVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFVVDTLKLRIYIGLYLKEHFKDPTKRKVMHGADRDIMWLQRDFHIYVCNLFDTGQVQKRSNEICLQLYEKELLTDTSYLHIYGLQEHDLDAKQLAVVYALHQWRDYIAREVDESTGYVLPNKALIEIAKKMPTDTAELKRMVKSKYPFVDENLDQVVGIIWNATESSYAFESRAEQLKKERLEQLTDRVQTISSPEMKTSMNLSGQIRSMDKEILSDNIHQQVAQATFQELKRPMALGAIGNSTSGGQRDFFGGFSNKSEKMEKAKSYPAFYYPQLPQYSPEVGYGFQSINRTMAGTAQSPTGNKERDLQNPRRRQSFPPSGNISDTHQ >ONIVA03G04080.1 pep chromosome:AWHD00000000:3:3085525:3089659:1 gene:ONIVA03G04080 transcript:ONIVA03G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGGGGEPSPAPGVGGRKHLSSIANHVLRQCSLTLDRSVDELVADFELGLKTAAVDNYSRRLVEFCILQALQVVTSVDLGDKIHDGSLSRFTFDMMLAWETPTPSDQQVTMESIAKEREDKKEPLGANEAVMGDETSLFYSDIMPLLVNEEPTVGEDAYVWFGSVFPLACDVINARFTFEALTATTANRLHYPAYDKFLKEMDKSSEFLQKVSTPTGTELAEDEFILHIEGTAGTQRVVRHIGTTSWPGRLTLTNKALYFEASGKISYEPAFKVELTGSEIGKQVKTTSTGPFGAPLFDKGIEFELPEPLVLEFPEMTSSTRRNMWLTLIREVIFLHRFISMYSVDSPIHKWEVHSRIILGVIRLHAAREMLRMSPPPPSSFLVFSLYDELPKGDFVLEQLANNLKETSTITPLSASHVFKGLSKSHRVALSAEIAKEHDKDSNSHEKPLASLENTIGQVRDEAREVTVANVAIEGMKEEGITDSLLVLVGLVSPMSKLFPVIQKIISWEKPLVTISVLAMMVLTIYREWVGFALAASLILAAGFMVWARQRKIGALCSEVIIDTSSDKTTMESLVEAQQSLKRVHEYIKTANVVILRVSSIALARSPKHTETVIWMLTGLALALAVIPFKYVLIGVMAGGFMSNTRIARAMSNPQGSRRWREWWESIPAVPVRAVDRGGL >ONIVA03G04070.1 pep chromosome:AWHD00000000:3:3083590:3084440:-1 gene:ONIVA03G04070 transcript:ONIVA03G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATSPRNYTDFVPPHQLVEEAGKKKEQLRVQIDNHGKLRISGERQVSGNRWSRFHKDFQVPDDCNAGDVRARFDSRDRVLHITMPKLSPAEEEPKAAAAAAPADHGAAQAQQTAAPADQEKEDKEEEDDDGAANDGAAAGGTALVTGRRKTPWRVVLAVVLALVAAAGFYAKYRLMMDPSAADGGHGLIGFSDH >ONIVA03G04060.1 pep chromosome:AWHD00000000:3:3079389:3084171:1 gene:ONIVA03G04060 transcript:ONIVA03G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPESSSSSPVLLNIGGKRYATTVETLTQREPSSMLAAMFSGRHTLPRHPDTGMVFVDRDGKHFRHVLNWLRDGAVPDMSESEYQQLLREAEYYQLLGLAECINDRLGWKNDENFSEAELTRKDVIKCIQAPRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNANFSSAYLRKAKFRLTEATCSSFQSANLHECELIGANLEGSVLDKANLQSANLQDACLKQCCFIETDLRSAHLQGANLMGANLSGANLEGANLKGAKLAGTNLECANLQRAYLREVDLRETHLTGAKLGGANLLGAIR >ONIVA03G04050.1 pep chromosome:AWHD00000000:3:3067225:3072521:-1 gene:ONIVA03G04050 transcript:ONIVA03G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIMEKPMMDTGGGMIERTKSDQLAPPPPPSSAQSLSRTASAETVLSTADVTTTTSLSRKSSFGKRSASGGAGAGGNSHGYSSSNNNNSHIRKSRSAQLKLEMEDLVSSGAALSRASSASLGFSFTFTGFTPPPQDTMSSAELHPFSDDDNTMDIEAGTPRKKLMTEPTLPIYLKFAEVKYKVAVKGTPREILSGISGSAAPGEVLALMGPSGSGKTTLLSILGGRVAGPGDVEGCVSYNDEPYCKSLNRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQEKEERTIDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIIQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGRGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTTDVSVPSELDDKVHMENQNLQTNTKNDYKPSAQDVHEYLVDAYENRVAYKAKKQLLDPLPISDDMKTTITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSVILGLLWWHSDPSTPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKATAAHFFLSMLTVFLSIIAAQGLGLAIGASLLDIKKATTLASVTVMTFMLAGGFFVKKVPPFISWLRYLSFNYHTYRLLLKVQYHPVPDILINAIPLDNGVTEVVALVAMIIGYRVLAYMSLRRTKTSAS >ONIVA03G04040.1 pep chromosome:AWHD00000000:3:3039783:3042510:-1 gene:ONIVA03G04040 transcript:ONIVA03G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKMFDCVSTVNCENAMIISCIHHSLAQCSLHDLLASRAALFLLVALVTGTLQSSIPYPAHASVQSITKTGGLFTSEILSSGWAGFIAGCLHTLSGPDHLVALAPLSIGRSRLESGLVGALWGCGHDAGQVIFGLLFLLLKDRLHIEILRTWGTRVVGLTLLIIGGMGIREATEVQESSLALEGVDCNITSSEPLQTPAAPRKKKVGFATFATGVVHGLQPDALLMVLPALALPSRFAGAAFLGMFLMGTVFSMGSYTAFVGSCSEALKEKVPRITEKLTWAASLVAICMGIALLVGQFFGFSLY >ONIVA03G04030.1 pep chromosome:AWHD00000000:3:3038107:3038741:-1 gene:ONIVA03G04030 transcript:ONIVA03G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDIIAELRVLPAKILPKKKPKQFQKVEVKVRMDCEGCERKVRKAVEEMKGVSSVEVDAKQNKVTVTGYVEQEEVVGRLRRRAGKKAEPWPYVPYDVVPHPYAPGAYDKKAPPGYVRNALADPDAAPLARATEEEEKLASAFSDENPNSCAVM >ONIVA03G04020.1 pep chromosome:AWHD00000000:3:3030827:3036724:1 gene:ONIVA03G04020 transcript:ONIVA03G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNADQHAAANGGGGTTPAATGSPPAGKKAAAAAAWKRPGNGAAVPVVVAPGSPIMDADSWPALPGLASPPPTTLTPTPMPPKASPKVAPLPPPAEAVIPPISLGNSGAPDANPDHEAPVRNPPARRALVIPVGDGLDKRAPGSEPSPVYTPNARSNGGGDHHQNGRYGSHPHSRGSGYGGGGNRRGNGGGGGGGRRGQEHHGGFDGQRRGGGRRDGHGPGHQQRGHHPSYIRAPLAVVTAAPPPPPPFVNPATPQTPPYGAPIGFPEIAPHVYYFTSPLEGVQALPFVPHPASPPAMLIPQFDPLRAELLKQIEYYFRYFFVYIYVKYLFHIIAEVNLLSHLFNFCSDDNLCKDIFLRRHMDDQGWVPLPLIAGFNQVKKLTNNVQFILETVLQSTVVEVQGDKLRKRERWEIWLLPKQGYSAGNSSGSLSPVTSNIDSLASQFQSVGLEGAGYHASQGMPGEALLTRSATSVSLGYQAPPLGGLYSNGSGPLFGQKSARSLLRSDTF >ONIVA03G04010.1 pep chromosome:AWHD00000000:3:3021640:3028851:1 gene:ONIVA03G04010 transcript:ONIVA03G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAVVALCIAPARSDWLPGTATFYGGADGSGTMEEMGNIFLQLLAVVALCIAPARSDWLPGTATFYGGADGSGTMGGACGYGNLYDQGYGINNAALSTPLFNDGASCGQCYLIICDYSKAPDWCKLGKAITVTGTNYCPPNYDLPYGGWCNATRPHFDMSQPAWENIGIYSAGIIPILYQQVKCWRYGGVRFTINGFNYFELVLVTNMAGSGSIVSMSVKGSCTGWIQMTRNWGANWQCLAGLAGQALSFNVTSTGGQTIVFDDAVPAGWSFGQTFSTYHQFDY >ONIVA03G04000.1 pep chromosome:AWHD00000000:3:3020530:3021529:1 gene:ONIVA03G04000 transcript:ONIVA03G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFLQLLAVVALCIAPARSDWLPGTATFYGGADGSGTMGGACGYGNLYDQGYGINNAALSTPLFNDGASCGQCYLIICDYSKAPDWCKLGKAITVTGTNYCPPNYDLPYGGWCNATRPHFDMSQPAWENIGIYSAGIIPILYQQVKCWRYGGVRFTINGFNYFELVLVTNMAGSGSIASMSVKGSCTGWIQMTRNWGANWQCLAGLAGQALSFNVTSTGGQTIVFDDAVPAGWSFGQTFSTYHQFDY >ONIVA03G03990.1 pep chromosome:AWHD00000000:3:3015286:3016606:1 gene:ONIVA03G03990 transcript:ONIVA03G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVLQLLAILALCIAPARSGWLQGTATFYGGADGSGTMGGACGYGNLYDQGYGINNAALSTPLFNNGASCGQCYLIICNYDKAPSGCRMGTAITVTGTNFCPPNYDLPYGGWCNTTRPHFDMSQPAWENIGIYSAGIVPILYQQVKCWRSGGVRFTITGLNYFELVLVTNMAGSGSIASMSVKGSSTGWIQMSRNWGANWQCLAGLAGQALSFTVTSTGGQTIVFDSVVPAGWSFGQTFSTYQQFDY >ONIVA03G03980.1 pep chromosome:AWHD00000000:3:2994230:3015245:-1 gene:ONIVA03G03980 transcript:ONIVA03G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVRDVCGIGATRRASPPARFMAMWKKKKTPSILPLVVVIAAASLIAPTTAGWSSGTATFYGGSDASGTMGGACGYGNLYWSGYGTNTAALSSALFNDGASCGQCYQIACDHQAEPRWCLQGRTVTITGTNLCPPNYALSSNDGGWCNPPRTHFDMAEPAWLQIGIYKAGIVPVLYQRVPCVKQGGVRFTMGGFNYFELVLISNVAGSGSIQSVWVKGPNTDRMPLSRNWGANWQSHAGLVGQTLTFGVTSTGGQTLVFQNIVPAWWKFGQSFSSNLHGEGKKESLRTLPLRELACSILCSIVSTEMEYAILFATSLVITVLAASGFAPAHGWNKGTATFYGGADASGTMGGACGYGNLYTAGYGTNTAALSSVLFNDGWSCGQCYLIMCDAAATPQWCRAGAAVTITATNLCPPNWALPSNSGGWCNPPRPHFDMAEPAWLQIGIYKAGIIPVLYQQVKCWRQGGIRFTMGGFNFFELVLVSNVAGSGSVRSVSVKGGSTGWITLNRNWGANWQCNSGLVGQALSFAVTSTGGQPLYIYNVVPSWWSFGMTFTSNQQFTSGSDQSS >ONIVA03G03970.1 pep chromosome:AWHD00000000:3:2992581:2994628:1 gene:ONIVA03G03970 transcript:ONIVA03G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAFFFHCVAAVAACIAATAAALSGTATFYGGSDASGTMGGACGYGNLYSTGYGTNTAALSSALFNDGAACGECYQITCDQSNSKWCKAGTSVTITATNLCPPDYSKPSNDGGWCNPPRQHFDMAQPAWEQIGVYRGGIVPVNFQRVSCTRKGGVRFTINGNSYFELVLITNVGGPGSIKSVQIKGTKTGWVTMSRNWGANWQANNYLNNQAISFSVTSTAGKTLVFEDVAPSNWQFGQTFTSGVQFY >ONIVA03G03960.1 pep chromosome:AWHD00000000:3:2984220:2990308:1 gene:ONIVA03G03960 transcript:ONIVA03G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGPRWKKGKDGKDFLALAAANPMSVIVAELNASFISSKPVAILSGPGGGAILGVEPKQAVILNRAAFGHAVEIAAAQKHWFQLSPEEVFYLCHVLNCIRVESRDKKQMSDKQLWNHFRSMSESFPEMYKAYSHLRFKNWVILSYRHHPALVHSEFAVVVVPEGAAFGNRCGRMEVWSDLLCALRASGSVAKTLLVLTISSGSCELSSPDCLEQLVVHERTITRWIPQQRREQRSEASRDEANREELISKKESVEFNLWGVILGFSVLSSLLYAEERRWRGIRLITAAYVVGFIQQSTNPVIKFLREKFLSGLLAKTMIAMADYDCGKL >ONIVA03G03960.2 pep chromosome:AWHD00000000:3:2984220:2985958:1 gene:ONIVA03G03960 transcript:ONIVA03G03960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGPRWKKGKDGKDFLALAAANPMSVIVAELNASFISSKPVAILSGPGGGAILGVEPKQAVILNRAAFGHAVEIAAAQKHWFQLSPEEVFYLCHVLNCIRVESRDKKQMSDKQLWNHFRSMSESFPEMYKAYSHLRFKNWVILSYRHHPALVHSEFAVVVVPEGAAFGNRCGRMEVWSDLLCALRASGSVAKTLLVLTISSGSCELSSPDCLEQLVVHERTITRWIPQQRREQRSEASRDEANREELISKKESVEFNLWDTEKRKKDSEEQGSLKDSDELKQKSNKSVAFEPEKELKCDCHPTSAYQSTYPKHNSWGLFAAS >ONIVA03G03960.3 pep chromosome:AWHD00000000:3:2987033:2990308:1 gene:ONIVA03G03960 transcript:ONIVA03G03960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFYAEERRWRGIRLITAAYVVGFIQQSTNPVIKFLREKFLSGLLAKTMIAMADYDCGKL >ONIVA03G03950.1 pep chromosome:AWHD00000000:3:2982699:2983774:1 gene:ONIVA03G03950 transcript:ONIVA03G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSGPHEIRCVKRNFLRETLENELPEGTIRFSSKIVSTGEDSNVKLLHLADGSTIDVLIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPQQWRINSLINLSRVVCTDGDAEESVAKMRSYVLAKAARIPAPLRFRSPLALVRGSISRGNVCVAGDAFHPTTPELGQGGCAALEDGVVLARCLSEAFLADGAENDPGYEAVTAALEKYAEERRWRGIRLITAAYVVGFIQQSTNPVIKFLREKFLSGLLAKTMIAMADYDCGKL >ONIVA03G03940.1 pep chromosome:AWHD00000000:3:2974736:2978023:1 gene:ONIVA03G03940 transcript:ONIVA03G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >ONIVA03G03940.2 pep chromosome:AWHD00000000:3:2975066:2978023:1 gene:ONIVA03G03940 transcript:ONIVA03G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >ONIVA03G03930.1 pep chromosome:AWHD00000000:3:2973021:2974563:-1 gene:ONIVA03G03930 transcript:ONIVA03G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGILFGVGPGQDNFPRIKHTPSRIRYTHSRNIESLPNRHLQLPNRHEHGCGGGGVAGGGAFLRLLLFSGADAHKVSCAREGEWRERGGGGCGGGVRGRAGGICGRSGFVESLICGWGSSYHIQIEIKDYMKEYRSELCKRYVGKEVDYIG >ONIVA03G03920.1 pep chromosome:AWHD00000000:3:2943422:2943847:-1 gene:ONIVA03G03920 transcript:ONIVA03G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLGPRLAMQQQSTVFVPPPPSQQGSASTGPGMKRRWRHGRWGWWRGRVSRAVAANSRADAGTAVAAAKSGVATVSPSPRGMTMVVAPSKASRGQARGAQEGMAARDPELRIGHDGRLEDELRQQGGNGGLMWSQQQRR >ONIVA03G03910.1 pep chromosome:AWHD00000000:3:2940278:2941072:-1 gene:ONIVA03G03910 transcript:ONIVA03G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAQAEAGGCMRRGRSVELGLRRVDPAGKGQRWLDDDEEEHQRQGSGGRVRAEAMGRRSIRWRLISSYRSGGTEACGLRIWWRYRGSGGIQWVWNS >ONIVA03G03900.1 pep chromosome:AWHD00000000:3:2933481:2935665:-1 gene:ONIVA03G03900 transcript:ONIVA03G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPALKTDANCIRGCVSQFWVHAAPKEGAPDRVSFQADSDAQLTKGLAALLVLGLFDAPARDVAMVPVEFIELLGIRQSLSPSRNSGLLNMISLMKHKVLEITIGEE >ONIVA03G03890.1 pep chromosome:AWHD00000000:3:2927769:2951146:1 gene:ONIVA03G03890 transcript:ONIVA03G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLQQKEAGEEDVVVVVVGAGIAGLAVALGLHRKGVKCRVLESSPELRASGFAIATWRNALQALDALGVGDKIRKCHLHLQELQVFSSSTGEMSHTTSLNVQGKRGPNEMLCVRRDWLLRALEEGLPSGTIRYSSKIVLIGCDGVNSVVAKWLGLPKPSYSGRLATRGLACYPGGHGLDPKFKMFFGHGFRLGVIPCNDFDVYWFFTWSPSEHDDDALAQKKQFVLTKLRSAEIPAEVMEVVERSDAKHVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYVVGFMQESSNAVISFLRDNWLAGALGAGEDAVVIVGAGIAGLAVALGLHRKGVKCTVLESSPELRASGFAIATWRNALQALDALGVGDKIRKCHLHLQELHVFSSFTGEMAHATSLNEQGKRGPNEMLCVRRDWLLRALEEELPEGTIRYSSKIVEIEEDGDAKILHLADGAILRAKVVIGCDGVNSVVAKWLGLAKPSYSGRLATRGLACYPGGHGFDPKFKMFFGHGFRLGVIPCNDTDVYWFFTWSPSEHVSVIDTLSRMNKKFVLTKLNSAEIPAEVLEIIERSEAKDVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGALHRSSMQQKEAGEEAVVVVGAGIAGLAVALGLHRKGVKCSVLESSPELRASGFAFATWTNAWQALDNLGVGDKIRKLHLHLQELHVFSSSTGEITRRADLTVQGKRGPNELRCVRRDWLLRALEEELPKGTIRYSSKIVAIEEDGNAKIIHLADGAILRAKVLIGCDGVNSVVAKWLGLTKPSSSGRLATRGLAHYPDGHGLDPRFKMFVGHGFRAGVIPCNETDAYWFFTWSPSEHESNGVEESAEKMKQFVLTKLRSSKIPTEVLEVVERSNINDVVASPLRFRPPLSLLLASISKGNACVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDALLGGGGAAESERIEASLREYARIRRWRSVELVGTAYVVGIVQQSNNAVISFLRDKWLAGVLAGRLLKMADYDSKSVCIRTKPFASMQQEQADGREIVIAGAGLAGLAVALGLHRKGLRSVVLESSPTLRTSGLAFITWTNAFRALDALGVGDKMRSQHQQIQRLNVMSSATGEIVQEIDLRAQGKRGTHEARCVSRTALLLALEEELPRGTIRYSSKIVSIEEDGNAKILHLSDGSTLRAKVLIGCDGINSVVARWLGLAKPSDSGRTATRGRAKYPDGHGFEPRFLQLVGQGFRAGMVPCNDTDVYWFFTWSPSPDDKDVDKSSAAMKQFVLTKLRSTNVPPQVLEAVERSEMNDVLAAPLRFRSPLSLPFASISKGNVCVAGDALHPTTPDLAQGACTALEDAVVLARCLGEALLLRTGDCAAEESHRVVEAALRRYADARRWRSAQLTGASYAVGFVQQSDHPAVGFLRDKLLSGVLAKTLLMMPDYDCGTLSIIQEFVKAAMFQFVSPLA >ONIVA03G03890.2 pep chromosome:AWHD00000000:3:2927769:2951146:1 gene:ONIVA03G03890 transcript:ONIVA03G03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLQQKEAGEEDVVVVVVGAGIAGLAVALGLHRKGVKCRVLESSPELRASGFAIATWRNALQALDALGVGDKIRKCHLHLQELQVFSSSTGEMSHTTSLNVQGKRGPNEMLCVRRDWLLRALEEGLPSGTIRYSSKIVLIGCDGVNSVVAKWLGLPKPSYSGRLATRGLACYPGGHGLDPKFKMFFGHGFRLGVIPCNDFDVYWFFTWSPSEHDDDALAQKKQFVLTKLRSAEIPAEVMEVVERSDAKHVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYVVGFMQESSNAVISFLRDNWLAGALGAGEDAVVIVGAGIAGLAVALGLHRKGVKCTVLESSPELRASGFAIATWRNALQALDALGVGDKIRKCHLHLQELHVFSSFTGEMAHATSLNEQGKRGPNEMLCVRRDWLLRALEEELPEGTIRYSSKIVEIEEDGDAKILHLADGAILRAKVVIGCDGVNSVVAKWLGLAKPSYSGRLATRGLACYPGGHGFDPKFKMFFGHGFRLGVIPCNDTDVYWFFTWSPSEHDDDALAKNKKFVLTKLNSAEIPAEVLEIIERSEAKDVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGALHRSSMQQKEAGEEAVVVVGAGIAGLAVALGLHRKGVKCSVLESSPELRASGFAFATWTNAWQALDNLGVGDKIRKLHLHLQELHVFSSSTGEITRRADLTVQGKRGPNELRCVRRDWLLRALEEELPKGTIRYSSKIVAIEEDGNAKIIHLADGAILRAKVLIGCDGVNSVVAKWLGLTKPSSSGRLATRGLAHYPDGHGLDPRFKMFVGHGFRAGVIPCNETDAYWFFTWSPSEHESNGVEESAEKMKQFVLTKLRSSKIPTEVLEVVERSNINDVVASPLRFRPPLSLLLASISKGNACVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDALLGGGGAAESERIEASLREYARIRRWRSVELVGTAYVVGIVQQSNNAVISFLRDKWLAGVLAGRLLKMADYDSKSVCIRTKPFASMQQEQADGREIVIAGAGLAGLAVALGLHRKGLRSVVLESSPTLRTSGLAFITWTNAFRALDALGVGDKMRSQHQQIQRLNVMSSATGEIVQEIDLRAQGKRGTHEARCVSRTALLLALEEELPRGTIRYSSKIVSIEEDGNAKILHLSDGSTLRAKVLIGCDGINSVVARWLGLAKPSDSGRTATRGRAKYPDGHGFEPRFLQLVGQGFRAGMVPCNDTDVYWFFTWSPSPDDKDVDKSSAAMKQFVLTKLRSTNVPPQVLEAVERSEMNDVLAAPLRFRSPLSLPFASISKGNVCVAGDALHPTTPDLAQGACTALEDAVVLARCLGEALLLRTGDCAAEESHRVVEAALRRYADARRWRSAQLTGASYAVGFVQQSDHPAVGFLRDKLLSGVLAKTLLMMPDYDCGTLSIIQEFVKAAMFQFVSPLA >ONIVA03G03890.3 pep chromosome:AWHD00000000:3:2927769:2953945:1 gene:ONIVA03G03890 transcript:ONIVA03G03890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLQQKEAGEEDVVVVVVGAGIAGLAVALGLHRKGVKCRVLESSPELRASGFAIATWRNALQALDALGVGDKIRKCHLHLQELHVFSSFTGEMAHATSLNEQGKRGPNEMLCVRRDWLLRALEEELPEGTIRYSSKIVEIEEDGDAKILHLADGAILRAKVVIGCDGVNSVVAKWLGLAKPSYSGRLATRGLACYPGGHGFDPKFKMFFGHGFRLGVIPCNDTDVYWFFTWSPSEHDDDALAKNKKFVLTKLNSAEIPAEVLEIIERSEAKDVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGALHRSSMQQKEAGEEAVVVVGAGIAGLAVALGLHRKGVKCSVLESSPELRASGFAFATWTNAWQALDNLGVGDKIRKLHLHLQELHVFSSSTGEITRRADLTVQGKRGPNELRCVRRDWLLRALEEELPKGTIRYSSKIVAIEEDGNAKIIHLADGAILRAKVLIGCDGVNSVVAKWLGLTKPSSSGRLATRGLAHYPDGHGLDPRFKMFVGHGFRAGVIPCNETDAYWFFTWSPSEHESNGVEESAEKMKQFVLTKLRSSKIPTEVLEVVERSNINDVVASPLRFRPPLSLLLASISKGNACVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDALLGGGGAAESERIEASLREYARIRRWRSVELVGTAYVVGIVQQSNNAVISFLRDKWLAGVLAGRLLKMADYDSKSVCIRTKPFASMQQEQADGREIVIAGAGLAGLAVALGLHRKGLRSVVLESSPTLRTSGLAFITWTNAFRALDALGVGDKMRSQHQQIQRLNVMSSATGEIVQEIDLRAQGKRGTHEARCVSRTALLLALEEELPRGTIRYSSKIVSIEEDGNAKILHLSDGSTLRAKVLIGCDGINSVVARWLGLAKPSDSGRTATRGRAKYPDGHGFEPRFLQLVGQGFRAGMVPCNDTDVYWFFTWSPSPDDKDVDKSSAAMKQFVLTKLRSTNVPPQVLEAVERSEMNDVLAAPLRFRSPLSLPFASISKGNVCVAGDALHPTTPDLAQGACTALEDAVVLARCLGEALLLRTGDCAAEESHRVVEAALRRYADARRWRSAQLTGASYAVGFVQQSDHPAVGFLRDKLLSGVLAKTLLMMPDYDCGTLSSSCAC >ONIVA03G03890.4 pep chromosome:AWHD00000000:3:2927769:2953945:1 gene:ONIVA03G03890 transcript:ONIVA03G03890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLQQKEAGEEDVVVVVVGAGIAGLAVALGLHRKGVKCRVLESSPELRASGFAIATWRNALQALDALGVGDKIRKCHLHLQELQVFSSSTGEMSHTTSLNVQGKRGPNEMLCVRRDWLLRALEEGLPSGTIRYSSKIVLIGCDGVNSVVAKWLGLPKPSYSGRLATRGLACYPGGHGLDPKFKMFFGHGFRLGVIPCNDFDVYWFFTWSPSEHDDDALAKNKKFVLTKLNSAEIPAEVLEIIERSEAKDVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGALHRSSMQQKEAGEEAVVVVGAGIAGLAVALGLHRKGVKCSVLESSPELRASGFAFATWTNAWQALDNLGVGDKIRKLHLHLQELHVFSSSTGEITRRADLTVQGKRGPNELRCVRRDWLLRALEEELPKGTIRYSSKIVAIEEDGNAKIIHLADGAILRAKVLIGCDGVNSVVAKWLGLTKPSSSGRLATRGLAHYPDGHGLDPRFKMFVGHGFRAGVIPCNETDAYWFFTWSPSEHESNGVEESAEKMKQFVLTKLRSSKIPTEVLEVVERSNINDVVASPLRFRPPLSLLLASISKGNACVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDALLGGGGAAESERIEASLREYARIRRWRSVELVGTAYVVGIVQQSNNAVISFLRDKWLAGVLAGRLLKMADYDSKSVCIRTKPFASMQQEQADGREIVIAGAGLAGLAVALGLHRKGLRSVVLESSPTLRTSGLAFITWTNAFRALDALGVGDKMRSQHQQIQRLNVMSSATGEIVQEIDLRAQGKRGTHEARCVSRTALLLALEEELPRGTIRYSSKIVSIEEDGNAKILHLSDGSTLRAKVLIGCDGINSVVARWLGLAKPSDSGRTATRGRAKYPDGHGFEPRFLQLVGQGFRAGMVPCNDTDVYWFFTWSPSPDDKDVDKSSAAMKQFVLTKLRSTNVPPQVLEAVERSEMNDVLAAPLRFRSPLSLPFASISKGNVCVAGDALHPTTPDLAQGACTALEDAVVLARCLGEALLLRTGDCAAEESHRVVEAALRRYADARRWRSAQLTGASYAVGFVQQSDHPAVGFLRDKLLSGVLAKTLLMMPDYDCGTLSSSCAC >ONIVA03G03890.5 pep chromosome:AWHD00000000:3:2927769:2953945:1 gene:ONIVA03G03890 transcript:ONIVA03G03890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLQQKEAGEEDVVVVVVGAGIAGLAVALGLHRKGVKCRVLESSPELRASGFAIATWRNALQALDALGVGDKIRKCHLHLQELQVFSSSTGEMSHTTSLNVQGKRGPNEMLCVRRDWLLRALEEGLPSGTIRYSSKIVLIGCDGVNSVVAKWLGLPKPSYSGRLATRGLACYPGGHGLDPKFKMFFGHGFRLGVIPCNDFDVYWFFTWSPSEHDDDALAQKKQFVLTKLRSAEIPAEVMEVVERSDAKHVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYVVGFMQESSNAVISFLRDNWLAGALGAGEDAVVIVGAGIAGLAVALGLHRKGVKCTVLESSPELRASGFAIATWRNALQALDALGVGDKIRKCHLHLQELHVFSSFTGEMAHATSLNEQGKRGPNEMLCVRRDWLLRALEEELPEGTIRYSSKIVEIEEDGDAKILHLADGAILRAKVVIGCDGVNSVVAKWLGLAKPSYSGRLATRGLACYPGGHGFDPKFKMFFGHGFRLGVIPCNDTDVYWFFTWSPSEHDDDALAKNKKFVLTKLNSAEIPAEVLEIIERSEAKDVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGALHRSSMQQKEAGEEAVVVVGAGIAGLAVALGLHRKGVKCSVLESSPELRASGFAFATWTNAWQALDNLGVGDKIRKLHLHLQELHVFSSSTGEITRRADLTVQGKRGPNELRCVRRDWLLRALEEELPKGTIRYSSKIVAIEEDGNAKIIHLADGAILRAKVLIGCDGINSVVARWLGLAKPSDSGRTATRGRAKYPDGHGFEPRFLQLVGQGFRAGMVPCNDTDVYWFFTWSPSPDDKDVDKSSAAMKQFVLTKLRSTNVPPQVLEAVERSEMNDVLAAPLRFRSPLSLPFASISKGNVCVAGDALHPTTPDLAQGACTALEDAVVLARCLGEALLLRTGDCAAEESHRVVEAALRRYADARRWRSAQLTGASYAVGFVQQSDHPAVGFLRDKLLSGVLAKTLLMMPDYDCGTLSSSCAC >ONIVA03G03880.1 pep chromosome:AWHD00000000:3:2917159:2917884:1 gene:ONIVA03G03880 transcript:ONIVA03G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTADLSNPLPFIFSSYQPALPYPLPLQRLLSLPPLLLSCAATAPLLLSTAAFFPRAILSSSATHTPSSAASYFLRRHPAHKVARSGHGLTGSRRGLAGSCGDGEQRSSLHLAAAGNSGVIGGAPLRIWRRRGAAVAAVSSDDYQGDRPDEAKHGAPAPPTRGLGLWLRGSRAQARRFDRFLCKRGIGLQSHGPH >ONIVA03G03870.1 pep chromosome:AWHD00000000:3:2916811:2925100:-1 gene:ONIVA03G03870 transcript:ONIVA03G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVEGSGAPSNGAAAPRSEEKERSSRRRSGGGGGGDEERDDGERRSKRSRSEENRDRDKDKDRDRHRDRDKDRDRHRSSRERRDRDRDRDRDEKDREKERDKDKERRSRDREKEKEKEKEREREREREKDRERRSRSRSERRRDDEERDRERYRERDYRDRDVRRRKEEAGEPEADPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSNASSSGAASGGARKLYVGNLHSNITEDQLRQFARLEDAKAAQSLNGQLDIAGRVIKASVFFRFIRIHVVFKLYISNIRVLPDTMLNCCNQVSAVTDQAGVQVSGVTTGDLDDDEGGGLALNASSRALLMQKLDRSGVTTSLTAGIAGTGLNTSVGLPPASVLGAPPVAASVLPTVPGLGSVPGVSLPITTQAIETAPPSECLLLKNMFDPSVETDPDFDLDIKDDVQEECSKFGQVKHIFVDKNTSGFVYLRFDSITAAMSAQKALHGRWFAGKMITATFMSPQQYSTKFPS >ONIVA03G03860.1 pep chromosome:AWHD00000000:3:2907411:2916615:1 gene:ONIVA03G03860 transcript:ONIVA03G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein / kinesin motor family protein [Source:Projected from Arabidopsis thaliana (AT3G54870) TAIR;Acc:AT3G54870] MAANGRASVRPVERHGAPPRPAGRSRSVAPPSRRPSPSPSRARPAAADNDGGSDSCRVRVAVRLRPKNSEDLAHGADFDSCVELQPECKKLKLKKNNWSCESYRFDEVFSENASQKRVYEVVAKPSVLEGYNGTVMAYGQTGTGKTYTVGRLGNDDPSEGGIMVRALEHILSVMSLETDSVAISFLQLYLESVQDLLAPEKTNIPIVEDPKTGEVSLPGAAKVEIRDLEHVFQLLQIGEMNRHAANTKMNTESSRSHAILIRSSRIEDGSNTSLPNGTDNLFPDNLPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRILRDSFGGTARTSLIVTIGPSSRHFSETSSTIMFGQRAMKIVNTIRIKEEVDYESLYKKVEHEVDHLTSEMERQQKLKNSEKMQLEKKLKESEASLNDLKVTSNMQIENMAMEKCQLESTIKRLMLDLEKEKGKNNILSEQIIHLETSLDENKQKQLENISNTNILADTTKSHEKKIRELLKQLEDERSRSASMNDHLNVLQQQLSDAQNYFQENIACELEKQLSRTTEEFASQISSLEERIADLISEKELVYEELKSTQEKMQQEMRHRQGLEDEILRLKQSLADNCSEESKALCGMVRSGSGLGSVPFMSKSGKSRELLSSQRSNISKIFEEVGLPNVLALLKSDELEVQIHAVKVVANLAAEDVNQEKIVEEGGLDALLSLLETSENTTIHRVTAGAIANLAMNGSNQGLIMNKGGARLLANIASKTNDPQTLRMVAGALANLCGNEKLHVMLKQDGGIKALLGMFRTGHNEVIAQIARGMANFAKCESRVISQGHRKGRSLLIEEGVLNWMVANSSAFSASTRRHIELAFCHLAQNEDNARDIILTGGIKELLRISRESSRDDTRNLAKKALNSNPAFFKEIQ >ONIVA03G03850.1 pep chromosome:AWHD00000000:3:2895373:2906612:1 gene:ONIVA03G03850 transcript:ONIVA03G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAAAAAAAVSFPPLLSRPAACVLLRCGRHRRLPPLLLRAASSSTSSAPDFNITFAEPTAPAPSKPKPSSPAPSAEQVVPWIVRGEDGKPSMRTAPPPDVLQAIALAEAEAKKAKKDPRRSHKGAAALATPVANAKVRERKAAPATAPPKFSKAARRFYNENIKEHEPQRLAKVLAAAGVASRRTCEELIFQGKVTVNGSVCTAPQTKVDISKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSCGERKSIISLFDDYLKGWNKIQPGVPKPRLFTVGRLDVATSGLIIITNDGEFAQKLSHPSSNIMKEYVVTIDGPVHRNHLIAISEGTKIDGVKCIPDLVEPLDVQSNTKRTRIRIAVHEGRNHEVRELVQNAGLEVYALKRVRIGRFRLPPDLGWNIIVIDKSMFLFILEHFANGARRVEMNFLYRTSQPVAPELPRIPEQDQQRDSLQKPVTTLEGLIADDPYHPSPEDEDTDNGDVDIGGDSADADSKNSVPTGKHTDVLDDEGWITIPNKELPDNWNDLSDMLQLQPLDRPFLFPENGDSNVKNGENGSQVVEDDMQSVELNSEMSPMTQDDMQNVELDNEMSPSKQDDMQNVELNNEISPSKQDILETESLLRLEDHKQQIESMLQRFKMSNFFVRIAESDEPLWSNKKLAVSKVPKEQSYSDNQENNKGSRSNAYNTISDKGVFDGSTSGGIARGTARCYALQNGDIVVVLQVNVGVNKMEAPVLEVLQFEKSSSSNYITKNLVNRLSSASSTQPPNIRPPPISESQEFVPEKPAKTPDIINDGQLSFRGVPLEPERYSNISPHHVKDIVVLVDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSFRDRRTNNDAPLTLSLPKMNGTATNVSLPKVGETIGSLMDQYAVLVSYRCNYTESKLFFKQATSWRPCVASDLMISVSSELSLRNPISSARVPQLPVQVLTLEATNMTSENLTVTVLAPEASGSSSVVSLNSAPTTPNSSYDNLNESVRRSGLGKHRAGFRRMNSVLAGSPKESDNGGNRISTSGGCTHLWLQSAVPLGCIPARSSTTVKLELLPLTDGIITLDTLQITIREKGLTYIPEHSLEIYASAANSTGSS >ONIVA03G03840.1 pep chromosome:AWHD00000000:3:2891449:2893996:-1 gene:ONIVA03G03840 transcript:ONIVA03G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAAASSSLPRSLASRRPLPSSPLRGGRPRSPRRCRFGSRSLHRLRARAGKDDPEDLYGPYPWDQSLDLTTGLDIQWVPEDRVTLFTSDGLVQIGGSLVPRRITPSEKRQRKVKGIQNIRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKASKDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVEKIYWQWDLF >ONIVA03G03830.1 pep chromosome:AWHD00000000:3:2882767:2887497:-1 gene:ONIVA03G03830 transcript:ONIVA03G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT4G05160) TAIR;Acc:AT4G05160] MYNSTHPRVSTHHNKALLFSRTNQTQEAGEAMASASVPAAGYGADGVYRSLRPPAPVASDPGLSLTDLLLRRADACPSALALADAAAGGRALTFAELRSAVLSTAVALSSRAGVRPGDAVLLLAPNCVLYPVCFFAVTALGAVGTTVNPDYTPREIAKQVSDARAKLVITISALVPKIAGLRLPVILLDDDANAAAASLPPDATVTLYTNLVAGVKEADYSRPPIKQSDTAALLYSSGTTGDSKGVILTHRNFIAAARMVTSDQDERREGPNVFLCFLPMFHIFGLSVITYAQLHRGNAIIAMSRFDINSLMEAVQRHRVTHLFCVPPVIIALAKHGKAGKYDLSSLKFIGSGAAPLGKDVMEVVAKKFPDSEIVQGYGMTETCGIISLEYPEKGQAREFGSTGTLVSGVEAKIVDIKTLKHLPPNQVGEICVRGPNVMQGYFNNVQATEFTIKQGWLHTGDLGYFDGGGQLFVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDAKAGEVPIAYVVRSPDSSLTEVDVQKFIEKQVAYYKRLKRVTFVGSVPKSASGKILRRQLIAQVRSSKL >ONIVA03G03810.1 pep chromosome:AWHD00000000:3:2869717:2874938:1 gene:ONIVA03G03810 transcript:ONIVA03G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGTALGFGLISGAGSRRVTEPKPPNFIRKKIKIKRKKQKRKEEKRSSSPRLCPPSRRFLISFSPSNLPPLCRFRVSALQLLPNSGPRFARSRPPMVSGVAHRPDDDGGRAASTFQRPPQPAGARPSLATPPPSGGAQSASTSGGSAGSPSSRSEQHVPAAAGMAAGAAAASTPISENTFLRLNDLDIHGDDAPSSQAPTSKKKKRGARAVGPDKGGRGLRQFSMKVCEKVESKGRTTYNEQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWKGLPRTSINDIEDLQTELVGLKSRIEKKNTYLQELQDQLIQRNEQLYGSGNIPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSFVLKAMSSCGEEQIDGIHDLISNGGESSSMPNIYRQQVQQPARSTNGTARLPSSPPIPGILKGRVKHEH >ONIVA03G03800.1 pep chromosome:AWHD00000000:3:2866158:2867570:-1 gene:ONIVA03G03800 transcript:ONIVA03G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKAAPKAGATADPVVLRMELHCAGCAQKVKKSIKHLAGVESVAADVATNTVVVAGTAEAAALKARIEAKTKKPVEVVSAGGGGAAAKKPAAEPKAVKDDGGEKKDAQAKEEKGKKQPPEEKKPKEETVLLRIRLHCDGCADRIRRRIYKIKGVKEVVMDGNAKDEVKVSGTMDVPAMLTYLTEKLNRAVEAVAPGSKKDEKKKDKGGDADGGEKKKDAAGGDKKDKGKSIEVAGPSTAAAAASMAPAPAEASTYHVSPYGHGYFAYPQQQGPPPSYYQYYGGGNGDGVGYANPNAGGGGGYYHPHPNDVPNYQPPPSYPPYPYQLDMSPAPQLFSDENPNACSVM >ONIVA03G03790.1 pep chromosome:AWHD00000000:3:2856930:2859555:-1 gene:ONIVA03G03790 transcript:ONIVA03G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G54840) TAIR;Acc:AT3G54840] MGCSSSVPARSTGGLNNISNDNSATDSKDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAVVVYDITSPESFSKAQYWVKELQKHGSPDIIMVLVGNKADLHENRHVSSQEAQEYAEKNNMVFIETSAKTADNINQVFEEIAKRLPRPTAS >ONIVA03G03780.1 pep chromosome:AWHD00000000:3:2852173:2856067:-1 gene:ONIVA03G03780 transcript:ONIVA03G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSSSSDPKGKKDFSTAILERKKSPNRLVVDEATNDDNSVIGMHPDTMEKLQLFRGDTVLLKGKKRKDTICIVLADDTCEEPKIRMNKVVRKNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLVARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEHIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDDQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIEMEKRRKDNPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFADQPASGAGAAADPFASAAAAADDDDLYS >ONIVA03G03770.1 pep chromosome:AWHD00000000:3:2845243:2851279:1 gene:ONIVA03G03770 transcript:ONIVA03G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G21540) TAIR;Acc:AT3G21540] MVKAYLRYEPAISFGVVASPESNVAYDPSGRLLLAAALDRFAAWDLKRGLPSLSFATAAPSPSLAVSCLATFPSAASASASSIASGHADGSIRLWDTETGSCEATLHGHRSAASALVFGPSGAIIASGSKDCDIILWDVVAQAGLYRLRGHRDQVTGLVFLDSGKKLVSCSKDKLIRVWDLDTQHCLQIVGGHRSEIWSIDVDPSERFLVSGSADQELRVFTVRKSAEEGEDWSKWDMLKLFGEIPRQSKERVATVKFNKNGNLVACQVAGKTVDIYRVLDETEAARKAKRRMHRKKEKALAKSMAAEGNGTVIDPLSAQDLQNPTPVVTDIFKLLHVLRVNKKICSVAFSPNNPPKGCLATLSLSLNNNMLETYSVDNENVSNMYSIEMHGHRSDIRSLALNSEDNLLMSTSHNAVKIWNPSTGDCLRTIDSDYGLCSAFVPGNRYALVGTKSGTLEIIDINSGSSIEVIEAHAGSIRSIVLIPNEDGTAGAWGFVTGSADHDVKFWEYQLMQKSDNDSKQLSVTNVKTLKMNDDVLAVTISPTGNHIAVALLDGAVKVFTMDSLKFALTLYGHKLPVLCMDISSDGVLIVTGSADKNLKIWGMDFGDCHKSIFAHTDSVMDVKFVSKTHYMFSVGKDRTVKYWDADKFELLLTLEGHHAEVWCLAISSRGDFIVTGSHDRSIRRWDRTEEQLFIEEEQEKRLEETFEADLDSAMDHKYGQKDGAPDEGSVGVPGRKTKETVTAADAIIDALDTAEEEVKRLDQHQEGQNNGNGTTFQPNVIMQGQSPSYYVLNVVSNVRPNDLEQALLSLPFSDALKIMSYLKEWSMVPLKVELVCRVCLVLLQTHHSQLTTTPSARSILTELKGILYSRVKECKDAIGFNLAAMDHIKELLAMRSDAPFRDARAKLMEIRQEQSRRSDRSDGAEKRKKKKRRTSGES >ONIVA03G03760.1 pep chromosome:AWHD00000000:3:2843624:2844307:-1 gene:ONIVA03G03760 transcript:ONIVA03G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPANATTFSGEVWAELRLADSSDVPHIHALIHQMAEFELLTDLFAATHELLTSTLFPSPPRPPFTSFTALILDLSPSPLPASGPSTIASHCLDLSASPLADPEAAAFASPRGGGRVTAGFVICFPNYSTFLSKPGLYVEDIFVRAPWRRRGLGRMMLSAVAGKAAELGMGRVEWCVLDWNKNAIDFYEGMGAEVLPQWRICRLTGAALDKYKGNQEEGGGSKAAE >ONIVA03G03750.1 pep chromosome:AWHD00000000:3:2841537:2841821:-1 gene:ONIVA03G03750 transcript:ONIVA03G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKAPEKEAAAMACYRRTVGEEATFKERSKDLFRQFKDAPAGDHWVCLKNKVRAAGEYATLRTRQGITMFGEPNVGDLLGRTKDDDSKKTPSA >ONIVA03G03740.1 pep chromosome:AWHD00000000:3:2832606:2841871:1 gene:ONIVA03G03740 transcript:ONIVA03G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C2H2 type) family protein / transcription factor jumonji (jmj) family protein [Source:Projected from Arabidopsis thaliana (AT5G04240) TAIR;Acc:AT5G04240] MPPQPPPAASASASAPDPAVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPHPRPSRRFVFAHLNRSLVSSCDAPAPSPAAASDSSIPPSSSSPPPASAAVFTTRHQELGNPRRGRPTPQVLKQVWQSGERYTLDQFESKSRAFSKTHLAGLHEPTALAVESLFWKASADRPIYIEYANDVPGSGFAAPVQLQRKKKQKRETAPMDEWEKSSGWRLSNSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHDLHSLNFLHTGAPKTWYAVPGDRAVELEEVIRVHGYGGNTDRIASLAVLGEKTTLMSPEVLIDNGVPCCRLVQYPGEFVVTFPRAYHVGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLNPRELLSGIRTSRLRDRKKEDRELLVKQEFLQDMISENELICSFLGKKSVDNVVLWEPDLLPSLTALHPCSSCSKAPEKKGEDGPRIGSTQSSSKDDSSSDGTACMTGTQSKGLSMDSKQAPEGEKLDTDDGDDLPFDLSIDSGSLTCVACGILGYPFMAILQPSRKALEEISLVDKERYKLSCEKEICSNVLPCSPNDGSSGCPLIANRSSSPVENANLSHQDVKPIRSDISLMGKEFNGTLGKHIGTSCSCSSENTIHPYGDTETPEKKIPSDCPGSELSKQSGRGDVNVPDVEGSEETISWNTGCAFARPRIFCLQHALEIEELLASKGGVHALIICHADYVKLKALAISIAEEIEFQFDYKDVALANASKSNLHLINISIDDEGYEEEGTDWTSRMGLNLKHSSKIRKETSESQEQPPLSFWGLFSKPSPISVVSNLKWLCRKARTPYKVIGYASSPDVVATPDKVKPAVTKTQIDTSGNAHENIGSEQTLQQDCVLQESNDVADMCKRPKDSPTTVAVSAGKPTREQCGAESTELSTVKQFLDNGLIAEGGSMNFISNHEHLESDNATSVCKDEQLQVQQDQLAMVLCNNPNTELVAGELHGGAASSTLENEDSCGNTSYCSDTVLKNSKPDTDDQPETCDRSVVLVTPKSSCDQMISSSDRSCSLTLDCPVSTDAAFSSEKLSMAHDLMGSELQAVHNSKAEVAASLTDVKGAKLNSIHTAQLPHESPSSDFIISEGAQSASTTAIPRKNGTSMHTESNSIDILLGVLADESKVSSGKDEVGKASLTLMTLAGNDQSADDVTQDEVAEITDPSHGFCASDIVSRSIGSSNRTNIICYARRKHKRKSGSEFNINSPQSLGSFVRSPCESLRPRTRPAIVEDMTNETKTAEASTANKRKKAKVEAFQCDIEFCDMTFETKAELRAHQRNICTDESCGKRFSSHKYLKRHQCVHRDERPFKCPWDGCPMTFKWLWAQTEHIRVHTGERPYKCSAPDCGQSFRYVSDYSRHRKKFNHY >ONIVA03G03740.2 pep chromosome:AWHD00000000:3:2832606:2841871:1 gene:ONIVA03G03740 transcript:ONIVA03G03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C2H2 type) family protein / transcription factor jumonji (jmj) family protein [Source:Projected from Arabidopsis thaliana (AT5G04240) TAIR;Acc:AT5G04240] MPPQPPPAASASASAPDPAVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPHPRPSRRFVFAHLNRSLVSSCDAPAPSPAAASDSSIPPSSSSPPPASAAVFTTRHQELGNPRRGRPTPQVLKQVWQSGERYTLDQFESKSRAFSKTHLAGLHEPTALAVESLFWKASADRPIYIEYANDVPGSGFAAPVQLQRKKKQKRETAPMDEWEKSSGWRLSNSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHDLHSLNFLHTGAPKTWYAVPGDRAVELEEVIRVHGYGGNTDRIASLAVLGEKTTLMSPEVLIDNGVPCCRLVQYPGEFVVTFPRAYHVGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLNPRELLSGIRTSRLRDRKKEDRELLVKQEFLQDMISENELICSFLGKKSVDNVVLWEPDLLPSLTALHPCSSCSKAPEKKGEDGPRIGSTQSSSKDDSSSDGTACMTGTQSKGLSMDSKQAPEGEKLDTDDGDDLPFDLSIDSGSLTCVACGILGYPFMAILQPSRKALEEISLVDKERYKLSCEKEICSNVLPCSPNDGSSGCPLIANRSSSPVENANLSHQDVKPIRSDISLMGKEFNGTLGKHIGTSCSCSSENTIHPYGDTETPEKKIPSDCPGSELSKQSGRGDVNVPDVEGSEETISWNTGCAFARPRIFCLQHALEIEELLASKGGVHALIICHADYVKLKALAISIAEEIEFQFDYKDVALANASKSNLHLINISIDDEGYEEEGTDWTSRMGLNLKHSSKIRKETSESQEQPPLSFWGLFSKPSPISVVSNLKWLCRKARTPYKVIGYASSPDVVATPDKVKPAVTKTQIDTSGNAHENIGSEQTLQQDCVLQESNDVADMCKRPKVNDQDGHSLINIPIAVAEYPMMHQVCERPVSVSACDDPICSFDSQDSPTTVAVSAGKPTREQCGAESTELSTVKQFLDNGLIAEGGSMNFISNHEHLESDNATSVCKDEQLQVQQDQLAMVLCNNPNTELVAGELHGGAASSTLENEDSCGNTSYCSDTVLKNSKPDTDDQPETCDRSVVLVTPKSSCDQMISSSDRSCSLTLDCPVSTDAAFSSEKLSMAHDLMGSELQAVHNSKAEVAASLTDVKGAKLNSIHTAQLPHESPSSDFIISEGAQSASTTAIPRKNGTSMHTESNSIDILLGVLADESKVSSGKDEVGKASLTLMTLAGNDQSADDVTQDEVAEITDPSHGFCASDIVSRSIGSSNRTNIICYARRKHKRKSGSEFNINSPQSLGSFVRSPCESLRPRTRPAIVEDMTNETKTAEASTANKRKKAKVEAFQCDIEFCDMTFETKAELRAHQRNICTDESCGKRFSSHKYLKRHQCVHRDERPFKCPWDGCPMTFKWLWAQTEHIRVHTGERPYKCSAPDCGQSFRYVSDYSRHRKKFNHY >ONIVA03G03740.3 pep chromosome:AWHD00000000:3:2832606:2841871:1 gene:ONIVA03G03740 transcript:ONIVA03G03740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C2H2 type) family protein / transcription factor jumonji (jmj) family protein [Source:Projected from Arabidopsis thaliana (AT5G04240) TAIR;Acc:AT5G04240] MPPQPPPAASASASAPDPAVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPHPRPSRRFVFAHLNRSLVSSCDAPAPSPAAASDSSIPPSSSSPPPASAAVFTTRHQELGNPRRGRPTPQVLKQVWQSGERYTLDQFESKSRAFSKTHLAGLHEPTALAVESLFWKASADRPIYIEYANDVPGSGFAAPVQLQRKKKQKRETAPMDEWEKSSGWRLSNSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHDLHSLNFLHTGAPKTWYAVPGDRAVELEEVIRVHGYGGNTDRIASLAVLGEKTTLILVQYPGEFVVTFPRAYHVGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLNPRELLSGIRTSRLRDRKKEDRELLVKQEFLQDMISENELICSFLGKKSVDNVVLWEPDLLPSLTALHPCSSCSKAPEKKGEDGPRIGSTQSSSKDDSSSDGTACMTGTQSKGLSMDSKQAPEGEKLDTDDGDDLPFDLSIDSGSLTCVACGILGYPFMAILQPSRKALEEISLVDKERYKLSCEKEICSNVLPCSPNDGSSGCPLIANRSSSPVENANLSHQDVKPIRSDISLMGKEFNGTLGKHIGTSCSCSSENTIHPYGDTETPEKKIPSDCPGSELSKQSGRGDVNVPDVEGSEETISWNTGCAFARPRIFCLQHALEIEELLASKGGVHALIICHADYVKLKALAISIAEEIEFQFDYKDVALANASKSNLHLINISIDDEGYEEEGTDWTSRMGLNLKHSSKIRKETSESQEQPPLSFWGLFSKPSPISVVSNLKWLCRKARTPYKVIGYASSPDVVATPDKVKPAVTKTQIDTSGNAHENIGSEQTLQQDCVLQESNDVADMCKRPKVNDQDGHSLINIPIAVAEYPMMHQVCERPVSVSACDDPICSFDSQDSPTTVAVSAGKPTREQCGAESTELSTVKQFLDNGLIAEGGSMNFISNHEHLESDNATSVCKDEQLQVQQDQLAMVLCNNPNTELVAGELHGGAASSTLENEDSCGNTSYCSDTVLKNSKPDTDDQPETCDRSVVLVTPKSSCDQMISSSDRSCSLTLDCPVSTDAAFSSEKLSMAHDLMGSELQAVHNSKAEVAASLTDVKGAKLNSIHTAQLPHESPSSDFIISEGAQSASTTAIPRKNGTSMHTESNSIDILLGVLADESKVSSGKDEVGKASLTLMTLAGNDQSADDVTQDEVAEITDPSHGFCASDIVSRSIGSSNRTNIICYARRKHKRKSGSEFNINSPQSLGSFVRSPCESLRPRTRPAIVEDMTNETKTAEASTANKRKKAKVEAFQCDIEFCDMTFETKAELRAHQRNICTDESCGKRFSSHKYLKRHQCVHRDERPFKCPWDGCPMTFKWLWAQTEHIRVHTGERPYKCSAPDCGQSFRYVSDYSRHRKKFNHY >ONIVA03G03730.1 pep chromosome:AWHD00000000:3:2824388:2829026:-1 gene:ONIVA03G03730 transcript:ONIVA03G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRSPTAASASASASSVAAAAGVGGVEPAVTLDQVPRWSDADQRLSPSSSPTAAGSDETPASSFLSFADPLIGDGAAAGAGGRGASRFPVDHEINSKICLWRGHPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECTTLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKITAVVFCTTTSSDTEIYKRLLPLYFPRDKKEEEIASLKLPADVGDENGETIIDERKIRIKPLPAGSAINKSAAPAPVDIPLSDSGLTRSRNSFKLDSYLDPAFMSLIKDPDLRRKEQLEKSVQANKGFNWAKLVGFGDLGGPPLSAAEDYSLHSRHLAKANSLSLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFILYVVKEFEPLIQKPYSIVYFHSAASLQVRPDLGFMKRLQQILGRKHQRNLHAIYVLHPTLGLRTAILALQLFVDGEVWKKVIYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIIDPRTKHVYQRPSG >ONIVA03G03730.2 pep chromosome:AWHD00000000:3:2824388:2829026:-1 gene:ONIVA03G03730 transcript:ONIVA03G03730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRSPTAASASASASSVAAAAGVGGVEPAVTLDQVPRWSDADQRLSPSSSPTAAGSDETPASSFLSFADPLIGDGAAAGAGGRGASRFPVDHEINSKICLWRGHPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECTTLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKITAVVFCTTTSSDTEIYKRLLPLYFPRDKKEEEIASLKLPADVGDENGETIIDERKIRIKPLPAGSAINKSAAPAPVDIPLSDSGLTRSRNSFKLDSYLDPAFMSLIKDPDLRRKEQLEKSVQANKGFNWAKLVGFGDLGGPPLSAAEDYSLHSRHLAKANSLSLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFILYVVKEFEPLIQKPYSIVYFHSAASLQVRPDLGFMKRLQQILGRKHQRNLHVGISYDHTAIYVLHPTLGLRTAILALQLFVDGEVWKKVIYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIIDPRTKHVYQRPSG >ONIVA03G03720.1 pep chromosome:AWHD00000000:3:2816844:2818430:-1 gene:ONIVA03G03720 transcript:ONIVA03G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQLNVLVKLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCIALVTKLLGRLYYTDITKPNPGTLPPNVSSAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVVCSIASGLSFGHTPKSVIATLCFFRFWLGFGIGGDYPLSATIMSEYASKKTRGAFIAAVFAMQGFGILFGAIVALVVSAGFRHAYPAPSYAQNPAASLAPQADYTWRLILMFGTIPAGLTYYWRMKMPETARYTALVARNAKQAAADMSKVLHAEIEERPEVVESQVVAGETWGLFSRQFMKRHGMHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNALEELYRISRAQALIALCGTIPGYWFTVAFIDIVGRFWIQIMGFFMMTVFMLALGVPYDHWTHPAHHTGFVVLYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYAAQDQHNPDAGYSRGIGIRNALFVLAGTNFLGMLMTLLVPESKGLSLEEMSKDNVVDETAQEAIAQA >ONIVA03G03710.1 pep chromosome:AWHD00000000:3:2812848:2815133:1 gene:ONIVA03G03710 transcript:ONIVA03G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGPAVMEVDTGAERCGDAASHLHCRSEEAVTGGDAKRLRKGSGDDDHHQYMDDDDDYGDDEPPSNPLDSYREDWVEIYGKTGSFEDETHLDALDSTVAAAIRARFIVVEFDFDALGIAVGGAEILPMRHTDGPIWPESWPMNLLQIFSVKVVEVMGDLQWPLDVYGVVADSSSLVLTGPSRAVVVLDPVVFEVDLKVKGRVPASQDKVLSYHAFVYAYLTNNGFARREVESTEHSTLEFTFAHLAYAVEATIIIHVVQGSTDFRARFSGRTAGIDEDVVLLDSGDRKVVVADDGLVVLQRRVVVVEEKGKLNLRVEASENGSDTVVGKQMSFSARPALRSEGRFVLGFCTMSVIVAWSVLP >ONIVA03G03700.1 pep chromosome:AWHD00000000:3:2808742:2810325:-1 gene:ONIVA03G03700 transcript:ONIVA03G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLNVLSTLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDDSKDTPGALPPNVSAAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVVCSVASGLSFGSSAKGVVSTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALAVSAGFRHAYPAPSYSDNHAASLVPQADYVWRIILMFGTVPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLHTQIEESADRAETVAVGGESWGLFSRQFLRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNALEELYRIARAQALIALCGTIPGYWFTVAFIEIMGRFWIQIMGFAMMTAFMLGLAIPYHHWTTPGHHTGFIVMYGFTFFFANFGPNSTTFIVPAEIYPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDQHKPEPGYPRGIGIKNALFVLAGTNFLGTIMTLLVPESKGMSLEVISQEVADGDDEEAAYPK >ONIVA03G03690.1 pep chromosome:AWHD00000000:3:2804467:2806091:1 gene:ONIVA03G03690 transcript:ONIVA03G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQDQQLQVLNALDAAKTQWYHFTAIIVAGMGFFTDAYDLFCISLVTKLLGRIYYTDPASPTPGSLPPNIAAAVNGVALCGTLSGQLFFGWLGDKLGRKSVYGMTLLLMVICSIASGLSFSHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGVVTLAMSAGFQAAFPAPAYEVNAAASTVPQADYVWRIILMLGALPAILTYYWRMKMPETARYTALVAKDAKQASSDMAKVLQVEIEVEEEKLQDITRGRDYGLFSARFAKRHGAHLLGTAATWFLVTQNLFQKDIFTSIHWIPKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVFLIDIIGRFKIQLLGFAGMTAFMLGLSIPYHHWTMPGNQVIFVFLYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYAAQPQDKAHVDAGYKPGIGVRNALFVLAGCNLVGFLMTWMLVPESKGKSLEEMSGEADDEEASANGGAIAVNSSGVEMV >ONIVA03G03680.1 pep chromosome:AWHD00000000:3:2803139:2804072:1 gene:ONIVA03G03680 transcript:ONIVA03G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTRDVSDSNAIDLVQDQRAGAVDPVVAIDPVSVEAAAIYHAVIIVDAGQTRCCQNLVKRDTCYQNLNCIKSFLSETYYKISTSVSISEHDSIAYQLGGPATASSRLGGDAVAAASGDGGRAEDGGHMKQGGFA >ONIVA03G03670.1 pep chromosome:AWHD00000000:3:2800526:2800951:1 gene:ONIVA03G03670 transcript:ONIVA03G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKSKEGKSSSSYRGVRKRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAFAMKGATAMLNFPGDHHHGAASRMTSTGSSSSSFTTPPPANSSAAAGRGGSDRTTDKVELECLDDKVLEDLLAETNYRDKNY >ONIVA03G03660.1 pep chromosome:AWHD00000000:3:2794613:2794984:1 gene:ONIVA03G03660 transcript:ONIVA03G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPVSVIFFLEGIVTLLSPLSSGSVSKILPCVIRGLFIGSRSCFSELSNDDMCFDLYLYHYKFIFRFLSDFPQQTVSSANHAYVRFFSPVSPQKLSQV >ONIVA03G03650.1 pep chromosome:AWHD00000000:3:2784330:2785202:-1 gene:ONIVA03G03650 transcript:ONIVA03G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPYDNPTAGFPIAIVIAIGFMVTSLILASYYFLVVRCWLRGTGGGGAAGAGLLHRSRRESAAERVAAVFFTDYEAEVGGGLDPDVVAALPVVKYRRAASGKSASPQECAVCLSEFVRDERLKLLPSCSHAFHIDCIDTWLHHNVSCPLCRTVVTGGAIGLLVRDDQYDASSRDLAAGERRIDAAARMGHGISSCRFPKTGAEQEPIRRSFSMDCFLGDLGRKPPPPPPKDPAGSEAGPSHPDAAGSSSIVGTAGAGETSGRFRRLLSSFGLGRSSRSTVLPIHLDP >ONIVA03G03640.1 pep chromosome:AWHD00000000:3:2778889:2779836:-1 gene:ONIVA03G03640 transcript:ONIVA03G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPAFRSSSPSSSNASVPMVVITVVGILAAFALLASYYAFVTKCQALRGLWSRGATPWRGHGGGGARRRAAREASVIRTVATEERGLGMPFIRMLPVVRFTAAACGGAGGEGGGGGVGARISVSECAVCLSEFVERERVRLLPNCSHAFHIDCIDTWLQGNARCPFCRSDVTLPFTPPAAAAPVRPTSATHPDDDEDAESARRHHHHHHHHHHNHNHRPDDELINSIVIEVRGEHESWVSHRGGAAAAPPATKRTPQRWRKPESVGDEAIDTRKKYDEEFAVQPMRRSLSMDSSCHKQLYVSVQEFLTQQRQV >ONIVA03G03630.1 pep chromosome:AWHD00000000:3:2769561:2770002:-1 gene:ONIVA03G03630 transcript:ONIVA03G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMDTTLIHKDLKCSASASKVALAIALLSSHWELDGDIHMLPNGTSMAASMLSEAHIEPSLSITN >ONIVA03G03620.1 pep chromosome:AWHD00000000:3:2763815:2767432:1 gene:ONIVA03G03620 transcript:ONIVA03G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPKSLLLASFSKPFLRRNREPLPLLRPPPRPLPRVLPFAARTLAAPAAPPPEAAPAAQSDGFALLEAAQLREAADDHQEALALAIKALEPLQASHGGWSLPVARTLRLAGAAASRLGRLTDSLDSLNAAADIIDSLEAGDAEVAAVGAAVHEQLARTKTAMGRRWDAASDLMRAMELKAVFLEKGSLELGNAYKDVAEAYRGVLACDKALPLCLEALEIARNHFGGDSQEVAKVRQLLATIYAGSSRNEEALEQYEIVRMVYERLGLDVELSLAETDVAMVLVLLGRSEEAMDVLKRVINRAGKESEERALAFVAMANILCIQDRKADSKRCLEIAREILDTKISVSPLQVAQVYAEMSMLYETMIEFEVALCLMKKTLVFLDGVSEMQHIQGSISARMGWLLLKTERVDEAVPYLQSAIEKLKNCFGPLHFGLGFAYKHLGDAYLAMNQSESAIKYFTIAKDIINATYGPKHEDTIDTIQSIANAYGVMGSYKQAMDYQEQVIDAYESCGPGAFEELREAQRLRYQLKIKARGLPHACFPANSLPTKFQDRE >ONIVA03G03610.1 pep chromosome:AWHD00000000:3:2755946:2759431:-1 gene:ONIVA03G03610 transcript:ONIVA03G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GGV3] MALHVLASMGDLWRRYAPHNLMILSQLCYTLMYFITEAAFNKGLNPFIYVTYRHLVVAVFLAPFAYYQEKKLRPRMTLMLFLEIFVLSLLGVSLTLNMYFASLMYTSPTFVTSVVNTVASITFVIAIVVRMEIVDVRSIRGLAKVAGTVVSFAGVTTMTLYKGTAISSPWKAPISIHGGGGGGGVHESWLKGSFLAVASCICWSIWYILQASSLKRYPAQLSLTAWMCTVGGIQSAVFTAFMQHKPEDWRIGFGLKFWCIVYSGFACNGFTVFAQLWCTEKKGPVFVTMFNPLSTIMVAILAYFMFGENLYVGSIIGGVVVILGLYMLLWGKDKDQEYNANKQQESDLDCEKQARITEFSAAQNDQEEPRRMKK >ONIVA03G03610.2 pep chromosome:AWHD00000000:3:2755946:2759431:-1 gene:ONIVA03G03610 transcript:ONIVA03G03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GGV3] MALHVLASMGDLWRRYAPHNLMILSQLCYTLMYFITEAAFNKGLNPFIYVTYRHLVVAVFLAPFAYYQEKKLRPRMTLMLFLEIFVLSLLGVSLTLNMYFASLMYTSPTFVTSVVNTVASITFVIAIVVRCPTFSRISRRTHAESDRLYCSLSAWLTLARDQINLDAVRMEIVDVRSIRGLAKVAGTVVSFAGVTTMTLYKGTAISSPWKAPISIHGGGGGGGVHESWLKGSFLAVASCICWSIWYILQASSLKRYPAQLSLTAWMCTVGGIQSAVFTAFMQHKPEDWRIGFGLKFWCIVYSGFACNGFTVFAQLWCTEKKGPVFVTMFNPLSTIMVAILAYFMFGENLYVGSIIGGVVVILGLYMLLWGKDKDQEYNANKQQESDLDCEKQARITEFSAAQNDQEEPRRMKK >ONIVA03G03600.1 pep chromosome:AWHD00000000:3:2746820:2749944:-1 gene:ONIVA03G03600 transcript:ONIVA03G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFAGRVLFASVFLLSAYQEFSEFGADGGPAAKALRPKYNVFTKNISAHLGVAVPHVELKHIVAATIGLKGLGGLLFILSSSFGAYLLLIYLAFITPVVYDFYNYNMEKSEFVQLFMKFTQNLALFGALLFFLGMKNSIPKRQAKKKAPKSKTN >ONIVA03G03590.1 pep chromosome:AWHD00000000:3:2735481:2746803:-1 gene:ONIVA03G03590 transcript:ONIVA03G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSDGGQFILEYKLTVPVVLPLDVSDSFLKGTTLMSLNSEKHMAGIWILSYDNVAESKGPNIGQTLDSPRNWLIRAESDVIASCPATAGQGALSEEMTGESKAIEHARRCKEATIACGLQRFQGLLVPFLPSGPRLSGTHLFATLLGHKQAKEIN >ONIVA03G03580.1 pep chromosome:AWHD00000000:3:2734097:2735082:-1 gene:ONIVA03G03580 transcript:ONIVA03G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPLADRPEAAVTISYEAQARLRDPIYGCVAHIFALQQQVMTLQAQLASLKAAAAQGIHHQDVGATTKGGYMSAAATAADDQLGYGGYDQWCGSNGGGAPAASQPGAYSSNGGAGHGHDSITALLAAGSDYMQHSLYHAFEHSEGAGAVDDGHAAAAAFEAAAESSSCGMAASFAADESVWRSSSSGYQDCEDLQSVAYAYLNRS >ONIVA03G03570.1 pep chromosome:AWHD00000000:3:2730484:2731421:1 gene:ONIVA03G03570 transcript:ONIVA03G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVGGVPGSPCGACKFLRRKCAAECVFAPYFCAEDGAAQFAAIHKVFGASNAAKLLQQVAPGDRSEVAATVTYEAQARLRDPVYGCVAHIFALQQQVITNS >ONIVA03G03560.1 pep chromosome:AWHD00000000:3:2725115:2725747:-1 gene:ONIVA03G03560 transcript:ONIVA03G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGEQEFMAEMAPVMSSPGQQEAVASPTAAPAAARPYYGCVFCKRGFTTAQALGGHMNIHRRHRHRAMPSRRPTATGTTSMVSRDDVDCYNQHRYLEYSPPPPTPAPPPVTSPPMSSSFAATSYAGGTATVAGVDGEAMRAAGSSDSHIRELSLLGGADSSTDRDHDLHLRLGRHGRGGDGSPRTPEGSPERKPDLDLELRLGRRPRH >ONIVA03G03550.1 pep chromosome:AWHD00000000:3:2720912:2723115:-1 gene:ONIVA03G03550 transcript:ONIVA03G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRYAARLLASSTATAPSSLPPPPAASAAWCHAAADDCAICDLTRSASPQVVAPDAIKQKGHIAGRAPEPELRSDPRVGLAERTPVPEDQGRDIDDGPPAKRSLTFTDAAARLEGSGNVGAGVATEPAARVGAPVANGAVSGQEDRAATCLADESAAELIATRVTSLVTGATAEPEVLKGASFANTNVTEPRVLERVPLVREAATEPEAEVSMREVTEGALPDGEGAAKLEITGGLSRESADKMEVTKGISLVTEASADSELAQRVPTEPGVELSLPEVTERVPVVTEDFTELGDTFSGLHITGFASLDNEGSVEQEVTGSGSLVNEATEMEVKEGTCIFTRVATELGDTGRVSACSGDGDIALDEPQPPDCVSEVANVNVGNAGEAVASKVQPFRDNAESVGGSINSTGNGHVSSKSPTADEAAPPGGCTDTPSVSCLSDIVARSIGKSGRTDIICYVRRRGKRKLEMVEVKEENVEMDDSAICDQYDDKVASERTGPCESVTSTAVSVEIKIADIKRELEDNSTASKGKKKRAKRFQCEIDYCRMTFKNRAELSVHKKNTCTVKSCGRHFRSHKYLRRHQSIHNDDMPYKCPWEGCSMAFKWSWDRGEHFQVHAGKRPYKCTTPGCSKIYKFVSDFTRHKRRCKPQR >ONIVA03G03540.1 pep chromosome:AWHD00000000:3:2714785:2718206:1 gene:ONIVA03G03540 transcript:ONIVA03G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSRGGGGGAAPRPRRRSDPGDSRDLSVATTTESYNSERSVGSGGGRGTAFLDAFRSCFVSGEAQARSPETSSLSDDFHPSQQLSQSISSQGSSSGSNFEMRRSMKGLYNPMHRNSSGKEIPGSTQFSLPQIQKATKNFSPNLKIGQGGSGTVYKGQLNDGTLIAVKRAKKNVYDKHMGREFRNEIETLQCIEHLNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCVNGKILEFSLRLDISIDVAHAVTYLHTYSDHPVIHRDIKSSNILLTNNCRAKVADFGFAKLAPTDASHVSTQVKGTAGYLDPEYLRTYQLNEKSDVYSFGVLLVELITGRRPIEPRRAIVERVTAKWAMEKFVEGNAIQTLDPNLEATDAINLAVEKTYELALQCLATTKRNRPSMRRCAEILWSIRKDFRELDIPTASLN >ONIVA03G03530.1 pep chromosome:AWHD00000000:3:2706406:2707737:-1 gene:ONIVA03G03530 transcript:ONIVA03G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVEQPQPQPQREEEEEGQPDVGGGGGGVERCGRHPSQVFTGVCSTCLMERLSSVRNPAEEEEEEIVEVGTADAGEGSSADHGKLRKTLMLLFQLDDSCSGSAVGAAHPADAKDPQVADFEVDPGGGNRGAKWKGGAWLRSILPRKGMRWSRRKEPPRPPRPRPVDPDGAGDNAQVERKPSFRRSCEWMVCREPVRGSGSLEPPRHSWDGSMVGRALACSFACLEEPQPPPDGERRAQRSNAEEEAGESRAGVAESRNGDHLADAGGEGRHLSGRRNCSDTGPEMTMSGVGRRRSNRWSRVWDRSITSPLKEFVRKGEHVLERSLSESRKDTRRGRNGETGDMDCEIQPGRNGHGSVRASQGSFRSSQAVANGDVHNFRTDWLRNKECKIGRSRSVHYTSPGNLDNGMLRFYLTPMRSARTANRGRRRNSRLFSRGLFGFI >ONIVA03G03520.1 pep chromosome:AWHD00000000:3:2702912:2703831:-1 gene:ONIVA03G03520 transcript:ONIVA03G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Mesoderm development candidate 2 ( /.../ro:IPR019330); Has 31 Blast hits to 31 proteins in 13 species: Archae - 0; Bacteria - 0; Metazoa - 5; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G46000) TAIR;Acc:AT2G46000] MARTAWRCALVILAGVLLCAAVETAVAAKRVSIPDDLRDVVDDEEDDDWRHWGAAAPPRDDGPPPDLAGMDPAALQAELLRRHAGPSFGFVKLRLGVRRSQEEVMGIATRWTNVLRTGSVAAKFVAVDFGTLMFTMDRGQDILESSDNWVTLFKVKEFILSQPEAYEFKIGNQAFRRPGDPPLDEVVEMLQKQKSTMLSQDPGSQQYKSKVEL >ONIVA03G03510.1 pep chromosome:AWHD00000000:3:2694429:2696721:-1 gene:ONIVA03G03510 transcript:ONIVA03G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATFRCFGGCVKPDDQQVHEPKKVVAPSSSFDFREEYTSAFRTESYNDFWARVLDITLAHGAALVPRHGGGGGCAASKRLPSYRLFAEHLLEPDQRAVAAALASPRGSRLRPDVRGLLAAYYAETANASFLCSHLLKDIEHIRLRYRPLKHTLRKLASDVGVSGLADVSAALGQPFTALAASQGRLREVQAGSGDLLRGLDAGRKKARHRIRSVARLRRALSVSFVTAVAVVAVVGACIGVHILAAFAAFPMMSPAWLGERFFSGRAARRALVQLEAAAKGTYILNRDMETISRLVARVRDEGEHMVALLRLCVEHRPAAGAGGKGRLVQEVLRQLSKNEESFRQQLDELEEHLFLCFMTINKARIMVMNFMAAAAR >ONIVA03G03500.1 pep chromosome:AWHD00000000:3:2691366:2695130:1 gene:ONIVA03G03500 transcript:ONIVA03G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHPELYLAAAAMKFITMILALLMVMKQRKRCSSSSSSCCLKLSSFLLSCLSTSCTSLPFPPAPAAGRCSTHSRSSATMCSPSSRTRATSRLIVSMSRLSMYVPFAAASSCTSARRAARPEKNRSPSHAGDIIGNAANAARMCTPMQAPTTATTATAVTKDTDSARLSRATLLIRWRAFFLPASRPLSRSPEPACTSRSLPCDAASAVNGCPSAAETSARPDTPTSEASLRSVCLSGR >ONIVA03G03490.1 pep chromosome:AWHD00000000:3:2685379:2689585:-1 gene:ONIVA03G03490 transcript:ONIVA03G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPKIPRRSPDDSKDKDSDRNRGRDEKNDWDSSRTYGSETDCKEERCDTNKRKGSAMGEDVGDDSRSVDRSHETEVHVFNDKQDKAVEIKNILHDGVGQSDYGQHQLGLDNERRNGTVDKSRVDAHIDDKLGSGRDRNWTGKTQEPEGSVDYLRSCKWQDSKEASDSEWKNAQERQDGGGFHGRVGYRRDFRGRSESTRGSSTYGSRYDTSDSIEIRPNNSLDFGREGSVSGRYDVGVGAHRDVTYGTNGDKVTNSEPDQSGSASMISQFPQHGHKGDRPSRGGRGRPNGRDSQRVGVTLPIMPPPFGPLGLPLGPMQHIGPNIPHSPGHPLLPGVFVPPFPGGPLLWPGARGVDVNMLSVPPNLPIPPPVAGEHSFTPGMGAGPNIHLNQFGSGIGAPTNMSGLSFHQLGTQSREMVHGKPPVGGGWTPNRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNGEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKSATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIADEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYVKNFADKDGKVWQGGRNPPPEAPHLVVTTPEIEGLRPKSPPHKMNALPWYGSNKMMQTVVGTRSVFPAYTGDKIRNEAQVGKRRSKFEP >ONIVA03G03490.2 pep chromosome:AWHD00000000:3:2685379:2689585:-1 gene:ONIVA03G03490 transcript:ONIVA03G03490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPKIPRRSPDDSKDKDSDRNRGRDEKNDWDSSRTYGSETDCKEERCDTNKRKGSAMGEDVGDDSRSVDRSHETEVHVFNDKQDKAVEIKNILHDGVGQSDYGQHQLGLDNERRNGTVDKSRVDAHIDDKLGSGRDRNWTGKTQEPEGSVDYLRSCKWQDSKEASDSEWKNAQERQDGGGFHGRVGYRRDFRGRSESTRGSSTYGSRYDTSDSIEIRPNNSLDFGREGSVSGRYDVGVGAHRDVTYGTNGDKVTNSEPDQSGSASMISQFPQHGHKGDRPSRGGRGRPNGRDSQRVGVTLPIMPPPFGPLGLPLGPMQHIGPNIPHSPGHPLLPGVFVPPFPGGPLLWPGARGVDVNMLSVPPNLPIPPPVAGEHSFTPGMGAGPNIHLNQFGSGIGAPTNMSGLSFHQLGTQSREMVHGKPPVGGGWTPNRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNDTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKSATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIADEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYVKNFADKDGKVWQGGRNPPPEAPHLVVTTPEIEGLRPKSPPHKMNALPWYGSNKMMQTVVGTRSVFPAYTGDKIRNEAQVGKRRSKFEP >ONIVA03G03480.1 pep chromosome:AWHD00000000:3:2661160:2664264:-1 gene:ONIVA03G03480 transcript:ONIVA03G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSSSTIPPSQICGSQNYENGLAQWELHPLGSHDKSALPRTLNGGTHQSPQIIHESSGRSLYLAAAPPFLPSSTSALFSPPPPLRRCRLLLLLSTSDFSKSKLQSSHRCAWHCGLSTLHAPTPRIHRTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPASNGDKEKEDEVAEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >ONIVA03G03480.2 pep chromosome:AWHD00000000:3:2661160:2664264:-1 gene:ONIVA03G03480 transcript:ONIVA03G03480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSSSTIPPSQICGSQNYENGLAQWELHPLGSHDKSALPRTLNGGTHQSPQIIHESSGRSLYLAAAPPFLPSSTSALFSPPPPLRRCRLLLLLSTSDFSKSKLQSSHRCAWHCGLSTLHAPTPRIHLLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPASNGDKEKEDEVAEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >ONIVA03G03480.3 pep chromosome:AWHD00000000:3:2661160:2663771:-1 gene:ONIVA03G03480 transcript:ONIVA03G03480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIHRTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPASNGDKEKEDEVAEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >ONIVA03G03480.4 pep chromosome:AWHD00000000:3:2661160:2664264:-1 gene:ONIVA03G03480 transcript:ONIVA03G03480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSSSTIPPSQICGSQNYENGLAQWELHPLGSHDKSALPRTLNGGTHQSPQIIHESSGRSLYLAAAPPFLPSSTSALFSPPPPLRRCRLLLLLSTSDFSKSKLQRTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPASNGDKEKEDEVAEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >ONIVA03G03480.5 pep chromosome:AWHD00000000:3:2661160:2663039:-1 gene:ONIVA03G03480 transcript:ONIVA03G03480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPASNGDKEKEDEVAEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >ONIVA03G03480.6 pep chromosome:AWHD00000000:3:2663240:2664264:-1 gene:ONIVA03G03480 transcript:ONIVA03G03480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSSSTIPPSQICGSQNYENGLAQWELHPLGSHDKSALPRTLNGGTHQSPQIIHESSGRSLYLAAAPPFLPSSTSALFSPPPPLRRCRLLLLLSTSDFSKSKLQRYTVLEFELGKEVVNVA >ONIVA03G03470.1 pep chromosome:AWHD00000000:3:2651351:2654969:1 gene:ONIVA03G03470 transcript:ONIVA03G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVVPASSFSFQASPSAPNYDQRKIECFPLTGRQVICADQLERTFHCDAERGHVRSIPCLHKPKSMPLSLFVPNPDVDNDKDLNRWDWGSSLFVIERFPKSEVSYQVEAFVYRHPSPAHYSRTWHCELLPPPPYRRLEICSYAALGSSSICISVNGIGTYRLNIATQTWEEVGKWTLPFHGKVEYMPELNLWFGLSAESHHLAAADLSSTLEGASLPEEWKECEDSQLVSLGFGKFCVARFLHPNDRIHKGELGDEELSSQNCITVLTGVEVVPRVPNANVNSNSSGSVSSNGISELRMIPHKSRCHTSNGTIVHTPSPPESAVAQDSTAQTPAAASTMERLQLPNLILRLWNRYFFPLSEHKILSVDKSGCSLLFDADTRHLVTMPFLNKPKRDPISLFVPNGDGDDGGSIFVMDRVPKPEIGSRAQTSDQFEAFIYRKNFVDCQLLPPPPYLRDYKHCERRHKINAYAVVDGGSQICISVEDVGTYCLDTASHTWSQVGDWTLPFDGKLEYVPELKLWFGFSAGAQHFAASDLSSMDCQSQP >ONIVA03G03460.1 pep chromosome:AWHD00000000:3:2647427:2648205:-1 gene:ONIVA03G03460 transcript:ONIVA03G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPFAVSALERPGGAGGQAARRGVAARRRGGAGKAADLAYWESAARMIADASRSSKVVVEKSTVPVKTAEAIEKILAHNAHVVDSWRRARPSLTCVLIGGRDIAAVQALKDVYAQWVPVDRIITTNLWSAELSKLAVNAFLVQRVSSVNAISALCEATGTDFMEVATGAAQRLGAGSTAAADATSCAATASVGTARRRCGAPGEWRQLKRLQDLACMCVHEVSEATGMVCARGIGQWLTGGGGIDV >ONIVA03G03450.1 pep chromosome:AWHD00000000:3:2610682:2647402:-1 gene:ONIVA03G03450 transcript:ONIVA03G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFSVHDDDGGAAAQLGVVQIDGEEDDVVAASLRCAFQSAVGTTAFQRLPVAPEFEPGLGALLMGAGEVVLAGAVEEHAGAGLGGGAVEAEPRSNSRFIRLQSPTPPPTDIHRIIAKGYKLPYLSRGSNYAIFREMCRRRGNAVLHALHQSSLRRLGRRRYASTGTHPHVNLRSVAPSDLVGTGRVAGAVVQQDGTSLFSAVLLPTETEMEAAAPAWLAPSSCHVGSSAPRWQEDGARGAVPLDDGANHSGAPKRTISGISYDYKKTKFIPAQFSKTMKTSQLQYKGRKNRRNAQRRDNPVKYFGLKLSAEINKRPGVTQFDCIILTLPPPQQNTSHPYIHHPRILKCSAQK >ONIVA03G03440.1 pep chromosome:AWHD00000000:3:2610013:2631025:1 gene:ONIVA03G03440 transcript:ONIVA03G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36810) TAIR;Acc:AT2G36810] MARSVASSSSISTMPSSSAAAAAAALEAVQVLVTSLADESPVARDAALAALREIAPMNPLLVLDCCATVSRGGRRRFGNIAGVFLVMASAVKALDRSDAEREFLRKLAKIATAEIVSSKELNVDWQRAAASLLVAIGSHDPDLMMEELFLYFAGPTSALPAMLQILADFASAEAFRCWCQAAWQYIGDAPSELPFDTDVMSFMNSVFELLLKVWTGSRDLKVRLSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCRKDQEIAFVASHSLHNLLNASLLSESGPPLLDFETYNEIQHCFLVISSAYPEDLCMFLLNLIVVMASHCYLSGHPAELAVEFLVRHSAITDDDLNDPNTLKNEYFQDKRFEMKISLSGLSELRAVCEKGLLLLAITIPEMELVLWPFILKLIIPKKYTGAVATICKCITELCRHKLSQTNPLYTEFNASNEIPNPEDLFARLLVLLHNPLARGQLATHILMVMCYLGQLFPRNLSLFLQDEVPKMKAYIGDPEDLKQDSTYQETWDDMIINFLAESLDVVNDSEWVISLGDAFARQYDLYATCDGHSALLHRCLGMLLQKVDDRIYVREKIDWMCAHSSMSIPINRLGLAQGIGLVAASHLDTVLEKLKNILENAGQSALQRFLSLFSLGAKVEDVDDTYAALALMYGYAARYAPSTVIEARINALVGTNMLGRFLHVQHPTAKQAVITAIDLLGRGEMTLICRGPELDWHALKKSLALSACTTLVSIEPRLPMETRNRVMKATLGFFALPTEPSNIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSAEHQRRRGCAAVNEVLVKFRNLCSGGFGVLGSYTTFTLNKQIDQAAPRSLSSLPSAFVLPTRDSLSLGERIMAYLPRCADTDAEVRKVAIQILALLFNIALSLPKKKASVNDIDLESSYSALSSLEDIVSIIRREASIDQAEVFHRVVSSLCVLLSKDEISCLAENTNHTVVFNEVLFVAGRDICTKDIARIRGGWAIQDVFHAFSQHKVLAILFLEYILSILHKEPVATNDSEKGEITSESSADDCILQATMFALNAFLRGGGKIGKQAVEQSYPSVLSALILKLGSLHGLAELGRNELLRSLLIAFQSFCDCVGDIEMGKILARDGEQTEKEKWIDLVQEVACSSSVKRPKEVLPTCSILSKALNKNQRAEREAAAAALSEYIRHSEKEPILLDQMVLELCQHVSDDSPTVRSLCLRGLVQIPESCIPKYIQQVLGVILALLEDTKESVQLTAVQCLLTVLNVSEQDAIDPVLINLLVRLRNLQVSMNTKMRSNAFAAYGALSAYGVGSQQFLEQNTFQLLAPLMEVDGLSSLLSKKYFTSDRRSDYEDFIRDLTRQLCRLSPARVDSYFESAIQAFDAPWPVIKANAVCLVSCMLSFLDDQRFLAPYFSQVFAILVGRLSQSPDAVVRAASSSALGLLIKRSNVVKTLTPKFDRADSSQNFQHGDTRTKTSSEPQQETIDMPNDTQREQ >ONIVA03G03430.1 pep chromosome:AWHD00000000:3:2606273:2609175:1 gene:ONIVA03G03430 transcript:ONIVA03G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1997) [Source:Projected from Arabidopsis thaliana (AT5G04440) TAIR;Acc:AT5G04440] MAASLRSPPPFPAAAFRRRRAVVRASSSSSSSSSAVSSAPKARFVARRSESTFVQQLARPLAEYMSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCISLLSCKVWWCLLAASLMALEGSPLVEAQNDKFSASMVNKVFCNSSMSDSTSQQLTSDTTIEVTIDIPFPFRALPVEAIESSGRQVLEQLLRVMLPRFLKQLVKDYQAWASGDSSRKPLGTGEI >ONIVA03G03430.2 pep chromosome:AWHD00000000:3:2606273:2609175:1 gene:ONIVA03G03430 transcript:ONIVA03G03430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1997) [Source:Projected from Arabidopsis thaliana (AT5G04440) TAIR;Acc:AT5G04440] MAASLRSPPPFPAAAFRRRRAVVRASSSSSSSSSAVSSAPKARFVARRSESTFVQQLARPLAEYMSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCISLLSCKLEGSPLVEAQNDKFSASMVNKVFCNSSMSDSTSQQLTSDTTIEVTIDIPFPFRALPVEAIESSGRQVLEQLLRVMLPRFLKQLVKDYQAWASGDSSRKPLGTGEI >ONIVA03G03430.3 pep chromosome:AWHD00000000:3:2606273:2609323:1 gene:ONIVA03G03430 transcript:ONIVA03G03430.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1997) [Source:Projected from Arabidopsis thaliana (AT5G04440) TAIR;Acc:AT5G04440] MAASLRSPPPFPAAAFRRRRAVVRASSSSSSSSSAVSSAPKARFVARRSESTFVQQLARPLAEYMSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCISLLSCKLEGSPLVEAQNDKFSASMVNKVFCNSSMSDSTSQQLTSDTTIEVTIDIPFPFRALPVEAIESSGRQVLEQLLRVMLPRFLKQLVKDYQAWASGDSSRKPLGTGEI >ONIVA03G03420.1 pep chromosome:AWHD00000000:3:2601422:2605706:1 gene:ONIVA03G03420 transcript:ONIVA03G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase family protein with Rieske [2Fe-2S] domain [Source:Projected from Arabidopsis thaliana (AT3G44880) TAIR;Acc:AT3G44880] MRAPAAHLSPASSAVPSRNHGLLLHLRFLLSSSVSHLSARENSNPPSGCWFSCQKQAILPVPVSSRLPPPPSCYYSVLLEEHWSPRLPQSPLTTPGASYKNRHAKNLQNHTETSDLRGFPSESTKMPVMAPTASLLLSPRPLPASRRVPSLPALSASGRLRLRRARADTRLRVAAPPSVPGEADQAPGETEPSTSSADEKFVWRDHWYPVSLVEDLDPSVPTPFQLLNRDLVIWKDPKSGEWVALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTRIPQAAPEGPEAKAVRSPKACAIKFPTLVSQGLLFVWPDENGWEKATATKPPMLPKEFEDPAFSTVTIQRDLYYGYDTLMENVSDPSHIEFAHHKVTGRRDRARPLPFKMESSGAWGYSGSNSGNPRISATFVAPCYALNKIEIDTKLPIFGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFSMPGKAWWQLVPRWYEHWTSNLVYDGDMIVLQGQEKIFLSASKESSADINQQYTKITFTPTQADRFVLAFRAWLRKFGNSQPDWFGNPSQEVLPSTVLSKREMLDRYEQHTLKCSSCKGAYNAFQTLQKVFMGATVAFCATAGIPADVQFRLLLAAAALVSAAVAYAFYTLQKNFVFVDYVHAEID >ONIVA03G03410.1 pep chromosome:AWHD00000000:3:2597182:2599460:1 gene:ONIVA03G03410 transcript:ONIVA03G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin-dependent kinase-subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G27960) TAIR;Acc:AT2G27960] MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNFQQQQEAAAAAAAQMLPK >ONIVA03G03400.1 pep chromosome:AWHD00000000:3:2589457:2593894:-1 gene:ONIVA03G03400 transcript:ONIVA03G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIGKKPGLKKQRSYSSLQGPVKLGPITCKAKPEFTVSVSGLFENPRGRTHKPVWLIASNFTDNRNMANPEG >ONIVA03G03390.1 pep chromosome:AWHD00000000:3:2587684:2589186:-1 gene:ONIVA03G03390 transcript:ONIVA03G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGSHQEVYHPGALKAALAEFISTLIFVFAGQGSGMAFSKLTGGGATTPAGLIAAAVAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLFRGLLYWIAQLLGSTVACFLLRFSTGGLATGTFGLTGVSVWEALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWSWESQWVYWVGPLIGGGLAGVIYEVLFISHTHEQLPTTDY >ONIVA03G03380.1 pep chromosome:AWHD00000000:3:2578421:2584000:1 gene:ONIVA03G03380 transcript:ONIVA03G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASSYDCSFKILLIGDSAVGKSSLLVSFVSASHIDDEIAPTIGVDFKIKFLTVNGKKLKLTIWDTAGQERFRGITSSYYRGAHGIILVYDVTKRESFTNLADVWAKEIELHSTNKECIKMLVGNKVDKNEERMVTREEGLAFAQESGCLFLESSAKTRENILEVPSLLEEGSSVVKRNSLKQKHENKAKNGGCCHKKAEPDAHKGFACACA >ONIVA03G03370.1 pep chromosome:AWHD00000000:3:2573118:2577874:1 gene:ONIVA03G03370 transcript:ONIVA03G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRVGDARPARLLYLLRHIKTKRHRMLRDPLSPSFFSSSLNRAAPRLPKYITVGAAVRRAVRAAGAGRARARSLGQSVSLREERGDPWQAWRTTRCRPSAAAAAAAVSCRGREIIGLAGGRRTQVLRATIPENSVSGSSVRGKLREMEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNFYDDDVSKNQFHCDGCGICRQVVLNLHSCDGVMKYEPFLINLTITFYRTGGAENFFHCDKCGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPVIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRAAPAAACSRV >ONIVA03G03370.2 pep chromosome:AWHD00000000:3:2573118:2577874:1 gene:ONIVA03G03370 transcript:ONIVA03G03370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRVGDARPARLLYLLRHIKTKRHRMLRDPLSPSFFSSSLNRAAPRLPKYITVGAAVRRAVRAAGAGRARARSLGQSVSLREERGDPWQAWRTTRCRPSAAAAAAAVSCRGREIIGLAGGRRTQVLRATIPENSVSGSSVRGKLREMEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVGVPVQLSALSATKNRMYNRIAPIVGHAWVNISAQNATFMMTITGGAENFFHCDKCGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPVIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRAAPAAACSRV >ONIVA03G03370.3 pep chromosome:AWHD00000000:3:2573118:2577874:1 gene:ONIVA03G03370 transcript:ONIVA03G03370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRVGDARPARLLYLLRHIKTKRHRMLRDPLSPSFFSSSLNRAAPRLPKYITVGAAVRRAVRAAGAGRARARSLGQSVSLREERGDPWQAWRTTRCRPSAAAAAAAVSCRGREIIGLAGGRRTQVLRATIPENSVSGSSVRGKLREMEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVICSLCNKEQDVQQDCSNCGACLGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPVIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRAAPAAACSRV >ONIVA03G03360.1 pep chromosome:AWHD00000000:3:2568546:2570858:-1 gene:ONIVA03G03360 transcript:ONIVA03G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G09890) TAIR;Acc:AT3G09890] MAVPGRRDDLVDDDGIGEEYMAPSDDEEAQLPDELFRLADAAQSGNVAALRAALDNYSGNIDDPIEDGDTLLHIACLYGHLPCVQLLLERQASLECKDEEGAIPLHDACAGGFSDIVQYILNFAANIDGCVTRMLNTVDSEGDTPLHHAARGEHLGVVDLLLKAGACAKKENTYGQVPAEMADEGTEVRKLLIQEQVEASTHTSN >ONIVA03G03350.1 pep chromosome:AWHD00000000:3:2565405:2567785:-1 gene:ONIVA03G03350 transcript:ONIVA03G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERDERVVSMDGTYDADEKPVLLVSRGDGVVRVHDLPSLKKRGDILCYDEVKTISIRSRGVVFTGDASGEVPSTGVMFAKLNADTSEIKLTEKQGDDTEDDKKKVNGSMKIPRVWLSLSDCWEKIKVSIFEFMIDTSGKKEAIYTVYSYIAIGIVLSLNCFWKVWREASNSDEKPSGFKAFFITIVCMVGKKLYDSLKTTNAKNDEAQDKIPESETEKSDVTQD >ONIVA03G03350.2 pep chromosome:AWHD00000000:3:2565670:2567785:-1 gene:ONIVA03G03350 transcript:ONIVA03G03350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERDERVVSMDGTYDADEKPVLLVSRGDGVVRVHDLPSLKKRGDILCYDEVKTISIRSRGVVFTGDASGEVPSTGVMFAKLNADTSEIKLTEKQGDDTEDDKKKVNGSMKIPRVWLSLSDCWEKIKVSIFEFMIDTSGKKEAIYTVYSYIAIGIVLSLNCFWKVWREASNSDEKPSGFKAFFITIVCMVGKKLYDSLKTTK >ONIVA03G03340.1 pep chromosome:AWHD00000000:3:2555580:2562512:1 gene:ONIVA03G03340 transcript:ONIVA03G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTMSSTQSFKSGAARSFTTTMRRGANGAPLCFSGSREGKRRALSLPNGSQGRSLRLRLCCAPPESKWWTTPLTPDDLIEPTGHGQEELEAIWNALVEEPLRPILTAFQEIKATNGNVFRCRCFHAGITSGLLVLVIHICQFHKLAPGLCVDFVLGYVCYRMSVLAAELKRNGKANNICARIQFVIMLLLFWANNPIKDSCFYFTQLIWYFALHVYSCAVFYEYIGVKHPARYLEAMFNTILTTNGGLMKVLKFMFLGQE >ONIVA03G03340.2 pep chromosome:AWHD00000000:3:2555580:2562512:1 gene:ONIVA03G03340 transcript:ONIVA03G03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTMSSTQSFKSGAARSFTTTMRRGANGAPLCFSGSREGKRRALSLPNGSQGRSLRLRLCCAPPESKWWTTPLTPDDLIEPTGHGQEELEAIWNALVEEPLRPILTAFQEIKATNGNVFRCRCFHAGITSGLLVLVIHICQFHKLAPGLCVDFVLGYVCYRMSVLAAELKRNGKANNICARIQFVIMLLLFWANNPIKDSCFYFTQLIWYFALHVYSCAVFYEYIGVKHPARYLEAMFNTILTTNGGLMKVLKFMFLGQE >ONIVA03G03340.3 pep chromosome:AWHD00000000:3:2555580:2557514:1 gene:ONIVA03G03340 transcript:ONIVA03G03340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWSLWSAAAFPSSLRSRSRCLPLKLAEPAVADVVWSQWLPSLSSQRASGSCRHLLASSMSTVAVISSPSSHASLVLQDLCRIERLQARGNQQACLEAQTKGSHMYGASDKQKVYEYPIKTLTMLDRTFSGCCMQLLLSKLSKMLCDINCDPLSLYSSFHFLYNLTSKMFDLDHGLEIGRLFEIQDILIT >ONIVA03G03330.1 pep chromosome:AWHD00000000:3:2555556:2555948:-1 gene:ONIVA03G03330 transcript:ONIVA03G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFTCWLPRACRRSMRHRSCRTKLAWDEGDEMTATVDIELARRWRQLPLARWEDSDGNHWLHTTSATAGSASLRGRQRLRLLKLEGKAAADHRLHETMSRRRRIFGRGKRRVAKVAAARGKMRGIRVRE >ONIVA03G03320.1 pep chromosome:AWHD00000000:3:2553730:2555013:-1 gene:ONIVA03G03320 transcript:ONIVA03G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQLLPLPPAAPPQPRRGKPGCWNTPPAPPKVCHYWKSGRCSRNPCRFLHTDAPDPAPPIAAVNTRSNTWVNPSCVAANSDGKGRAPPVQPAKRQVEAPPETPAKRRCGGGAWCVGDGFCGVARLKGHAKAVTGFALPEGSDKLFSGSLDGTVRAWDCSTGQCVRVEEMQEGEVHKLIAMGPWVLAGVRGAVKAIHTGTGKELRLRGPASQITAMLAEDEDHLFAGAEDGAVFMWRMNQEQQSFDEVAALTGHYKAVVSLAQGKGALYSGSTDGSIRVWDLDTHRCIYSFAGHSSTVTALLCWERFLLSSSDDGTVKVWQWKPDHDDLDLEVHYTHKEDERVVSMDGTYDADEKPVLLVSRGDGVVRVYDLPSLKKRGDIICDDEVRTISVRSRGVVFTGDASGEVRVVKWTSLSDAAESYLAMA >ONIVA03G03310.1 pep chromosome:AWHD00000000:3:2542025:2550513:-1 gene:ONIVA03G03310 transcript:ONIVA03G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20320) TAIR;Acc:AT2G20320] MELSGETASPAPEPEPPGQPEVSPRGAGMFEDAVEGSSTATESPVAVARPDGEATTESSSPSASRTLAGDEPAAAGAGEVEAYGGDESPSVSEPREESGSVDTGSAASPSVLRSEQRARGAEEQENSMAAQSGEGSPAREETKSRISSAPSSPVLSGTSTSSSSPLSQIKHQARHVRTGSFQRFRQQMQRAWKWGPIGSGGGGERSPREQLLRTTVNFEAMAHQKRQWYQIQSKSRDNKQYKEPTTLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVEHSEIVDLRKIQYHGPIPTMEPQILFKYPPVKKAEIREIDLPSFCFPEGVKARLIERTPSMSDLNEVVFGQEHLCRDDLSFIFSMKVSDNAPLYGVCLHVQEIVQRAPGILGMVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPIPRSMKEQSQVNGEDFESANELSYNDWTEYAVPVNSISGLISSSGLPSEGEIPSYLFRSWEPNSPESMSASETSDSSYIRELEKEGRHSFQQYEDCISENLGSCCDSFGRTSYTYENGHTSPDLLSTHSPISRRIVRAQSMESLHSSVKGVGSDEEEELNMKQEIVVDDEKVMGWAKVHNNEPLQIVCGYHALALPPRGGELVFHPLEHLQPVKYSRPGLSLLGLGDTISDNGLTSVEKTEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAAALLEKQIVVICSNLGVLSAIVLSVMPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIAGVQHKPPDIKMKASSIVRINVDKDQVKACSLPQLPRFKELVSDLSPIHARLSCENALAKRHPIYKCNEVQAEAAWQFLNVMRSYLESLCSDLRSHTITNVQSNNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLSTFENELT >ONIVA03G03310.2 pep chromosome:AWHD00000000:3:2542025:2550513:-1 gene:ONIVA03G03310 transcript:ONIVA03G03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20320) TAIR;Acc:AT2G20320] MELSGETASPAPEPEPPGQPEVSPRGAGMFEDAVEGSSTATESPVAVARPDGEATTESSSPSASRTLAGDEPAAAGAGEVEAYGGDESPSVSEPREESGSVDTGSAASPSVLRSEQRARGAEEQENSMAAQSGEGSPAREETKSRISSAPSSPVLSGTSTSSSSPLSQIKHQARHVRTGSFQRFRQQMQRAWKWGPIGSGGGGERSPREQLLRTTVNFEAMAHQKRQWYQIQSKSRDNKQYKEPTTLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVEHSEIVDLRKIQYHGPIPTMEPQILFKYPPVKKAEIREIDLPSFCFPEGVKARLIERTPSMSDLNEVVFGQEHLCRDDLSFIFSMKVSDNAPLYGVCLHVQEIVQRAPGILGMVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPIPRSMKEQSQVNGEDFESANELSYNDWTEYAVPVNSISGLISSSGLPSEGEIPSYLFRSWEPNSPESMSASETSDSSYIRELEKEGRHSFQQYEDCISENLGSCCDSFGRTSYTYENGHTSPDLLSTHSPISRRIVRAQSMESLHSSVKGVGSDEEEELNMKQEIVVDDEKVMGWAKVHNNEPLQIVCGYHALALPPRGGELVFHPLEHLQPVKYSRPGLSLLGLGDTISDNGLTSVEKTEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAAALLEKQIVVICSNLGVLSAIVLSVMPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIAGVQHKPPDIKMKASSIVRINVDKDQVKACSLPQLPRFKELVSDLSPIHARLSCENALAKRHPIYKCNEVQVVIFFIKFGIGINPVWCLFPWIFPFADLDHAEAAWQFLNVMRSYLESLCSDLRSHTITNVQSNNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLSTFENELT >ONIVA03G03310.3 pep chromosome:AWHD00000000:3:2542025:2550513:-1 gene:ONIVA03G03310 transcript:ONIVA03G03310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20320) TAIR;Acc:AT2G20320] MELSGETASPAPEPEPPGQPEVSPRGAGMFEDAVEGSSTATESPVAVARPDGEATTESSSPSASRTLAGDEPAAAGAGEVEAYGGDESPSVSEPREESGSVDTGSAASPSVLRSEQRARGAEEQENSMAAQSGEGSPAREETKSRISSAPSSPVLSGTSTSSSSPLSQIKHQARHVRTGSFQRFRQQMQRAWKWGPIGSGGGGERSPREQLLRTTVNFEAMAHQKRQWYQIQSKSRDNKQYKEPTTLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVEHSEIVDLRKIQYHGPIPTMEPQILFKYPPVKKAEIREIDLPSFCFPEGVKARLIERTPSMSDLNEVVFGQVSDNAPLYGVCLHVQEIVQRAPGILGMVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPIPRSMKEQSQVNGEDFESANELSYNDWTEYAVPVNSISGLISSSGLPSEGEIPSYLFRSWEPNSPESMSASETSDSSYIRELEKEGRHSFQQYEDCISENLGSCCDSFGRTSYTYENGHTSPDLLSTHSPISRRIVRAQSMESLHSSVKGVGSDEEEELNMKQEIVVDDEKVMGWAKVHNNEPLQIVCGYHALALPPRGGELVFHPLEHLQPVKYSRPGLSLLGLGDTISDNGLTSVEKTEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAAALLEKQIVVICSNLGVLSAIVLSVMPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIAGVQHKPPDIKMKASSIVRINVDKDQVKACSLPQLPRFKELVSDLSPIHARLSCENALAKRHPIYKCNEVQAEAAWQFLNVMRSYLESLCSDLRSHTITNVQSNNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLSTFENELT >ONIVA03G03310.4 pep chromosome:AWHD00000000:3:2542025:2550513:-1 gene:ONIVA03G03310 transcript:ONIVA03G03310.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20320) TAIR;Acc:AT2G20320] MELSGETASPAPEPEPPGQPEVSPRGAGMFEDAVEGSSTATESPVAVARPDGEATTESSSPSASRTLAGDEPAAAGAGEVEAYGGDESPSVSEPREESGSVDTGSAASPSVLRSEQRARGAEEQENSMAAQSGEGSPAREETKSRISSAPSSPVLSGTSTSSSSPLSQIKHQARHVRTGSFQRFRQQMQRAWKWGPIGSGGGGERSPREQLLRTTVNFEAMAHQKRQWYQIQSKSRDNKQYKEPTTLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVEHSEIVDLRKIQYHGPIPTMEPQILFKYPPVKKAEIREIDLPSFCFPEGVKARLIERTPSMSDLNEVVFGQVSDNAPLYGVCLHVQEIVQRAPGILGMVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPIPRSMKEQSQVNGEDFESANELSYNDWTEYAVPVNSISGLISSSGLPSEGEIPSYLFRSWEPNSPESMSASETSDSSYIRELEKEGRHSFQQYEDCISENLGSCCDSFGRTSYTYENGHTSPDLLSTHSPISRRIVRAQSMESLHSSVKGVGSDEEEELNMKQEIVVDDEKVMGWAKVHNNEPLQIVCGYHALALPPRGGELVFHPLEHLQPVKYSRPGLSLLGLGDTISDNGLTSVEKTEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAAALLEKQIVVICSNLGVLSAIVLSVMPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIAGVQHKPPDIKMKASSIVRINVDKDQVKACSLPQLPRFKELVSDLSPIHARLSCENALAKRHPIYKCNEVQVVIFFIKFGIGINPVWCLFPWIFPFADLDHAEAAWQFLNVMRSYLESLCSDLRSHTITNVQSNNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLSTFENELT >ONIVA03G03310.5 pep chromosome:AWHD00000000:3:2542025:2550513:-1 gene:ONIVA03G03310 transcript:ONIVA03G03310.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20320) TAIR;Acc:AT2G20320] MELSGETASPAPEPEPPGQPEVSPRGAGMFEDAVEGSSTATESPVAVARPDGEATTESSSPSASRTLAGDEPAAAGAGEVEAYGGDESPSVSEPREESGSVDTGSAASPSVLRSEQRARGAEEQENSMAAQSGEGSPAREETKSRISSAPSSPVLSGTSTSSSSPLSQIKHQARHVRTGSFQRFRQQMQRAWKWGPIGSGGGGERSPREQLLRTTVNFEAMAHQKRQWYQIQSKSRDNKQYKEPTTLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVEHSEIVDLRKIQYHGPIPTMEPQILFKYPPVKKAEIREIDLPSFCFPEGVKARLIERTPSMSDLNEVVFGQEHLCRDDLSFIFSMKVSDNAPLYGVCLHVQEIVQRAPGILGMVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPIPRSMKEQSQVNGEDFESANELSYNDWTEYAVPVNSISGLISSSGLPSEGEIPSYLFRSWEPNSPESMSASETSDSSYIRELEKEGRHSFQQYEDCISENLGSCCDSFGRTSYTYENGHTSPDLLSTHSPISRRIVRAQSMESLHSSVKGVGSDEEEELNMKQEIVVDDEKVMGWAKVHNNEPLQIVCGYHALALPPRGGELVFHPLEHLQPVKYSRPGLSLLGLGDTISDNGLTSVEKTEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAAALLEKQIVVICSNLGVLSAIVLSVMPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIAGVQHKPPDIKMKASSIVRINVDKDQAEAAWQFLNVMRSYLESLCSDLRSHTITNVQSNNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLSTFENELT >ONIVA03G03300.1 pep chromosome:AWHD00000000:3:2538482:2540653:-1 gene:ONIVA03G03300 transcript:ONIVA03G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRASMSSRSVLVQIPDQLPTLFEPQKAIMTPNIRAGVDDDDDGDAAPPERRLTVLALQLAVLEKAASRLGTLGFIWATVVLLGGFAITLGRTDFWCITVLLVVEGARILGRSHELEWQHEATWRSPVSSAAGLVFYWMQLLSASACVALSLVRLVHQRYGGSADARTNRSSALDIFYGLALAEALLFLVEKALWQWRVGHRRLIERVAKECHLAGACGAVAVRRFFYDSYSRCLNGSIFDGLHMDLVSYADDLLTAGSYDEQRLGASVLVALAESDRFADATLRRIGTSAPVTERLIEMLSWKNSSEKGVRRSAAVVVSMLTEGKLNALRVTGIPGAIESVASLLYADLDELNLLGLSILNKLAHDHDICDKIGKTKGLLDKIISYSSIASAPATAAPTDTNLMAVKQSLRVVKRLASTTGTTGKLLRRELSGIVFTVSNVRDVLQQRDGKAWSELHQLAIETLTSLTMDEEAREMIGRTGGVVSELVAMFLPATEAVGDRPADAVRVEAGEALAMLALDSRRNCGAIMTACGGGVERLVEAMSDPVVIVGAARILRNLCTYAGDEWRLPLRGVAAGATKVLRTIMAEKGKVLNIFLGLAAQMLRFMEPEELRASLAAARVTDAALAKTMVQVLREYSRPSMVVPRIRRYTIELAVAMMRSDKRYVALFVELGMEDQLRRVAGTTSELECFNVFSGSVGLSRRGVSVCADVEAALELMRQD >ONIVA03G03290.1 pep chromosome:AWHD00000000:3:2534859:2543867:1 gene:ONIVA03G03290 transcript:ONIVA03G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G24040) TAIR;Acc:AT3G24040] MQPSVAAGPAATAALPLAVFPKDTRPLPCLLLSCLLLLLLLHLLSSPSSSSSPPPPPSPPHHVPLPVDASSAGPAPPSLAFLLTGSAGDADRLLRLLLATYHPRNLYLLLLDGAASAGDRARLARQARAGPGRANVHVVGDPGFANPRGASTLAATLHGASLLLRVGQDWDWFVHLDAGDYPLVTPDEACGTWFSTLKPTPLRSEMEWSRQIRPIVVDPGLYLSSRTDIFYATQKRELPNAYKLFTGSSSVILSQKFIEYCIIGTDNLPRTMLMYYTNMPLPHRKYFQTVLCNSPEFNRTVVNHDLHYSKWDSSSKKEPLLLTLDDVENMTQSGVAFGTRFSMDDPVLNHIDEEILHRQPEEPAPGGWCIGVGDASPCSVSGNPDVLRPGPAAMKFAKLLAQRLTYRNFYSQQCIWD >ONIVA03G03280.1 pep chromosome:AWHD00000000:3:2532292:2532808:1 gene:ONIVA03G03280 transcript:ONIVA03G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDRERRRPRVVAAQRTVGPGHFVAVGGAASQGRDEIFMGGSGGTAPEHVIAESGEEHSRSRTGQIVVCLGWALALRTTRAQKTNGTRSLAYPDEGGYSLPYVRSHSAYDFLCGVLPFYFLYLADSYTPFSPKYSSCFKREHSL >ONIVA03G03270.1 pep chromosome:AWHD00000000:3:2530281:2532200:1 gene:ONIVA03G03270 transcript:ONIVA03G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKDAAALAGELTGDAGASLNGFFDHTGLESAVVGEGQGEGEEEEELEWLSNKDAFPSVDTMAAEVESAAPGAPARAAVGPRTKGLRRRRRVTAPWSLAPLLSRPRQAAAAAADAGAPRRRCTHCAVDETPQWRLGPDGPRTLCNACGVRFKSGRLFPEYRPANSPTFSPLLHSNSHRRVMEMRLQSEEDASAASRVNAKARRAERAAARLAGKDKK >ONIVA03G03260.1 pep chromosome:AWHD00000000:3:2524785:2526711:1 gene:ONIVA03G03260 transcript:ONIVA03G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTITRPTLNLPMLAMETIIGPTWQAYMIRSIPMDSLQLQLSE >ONIVA03G03250.1 pep chromosome:AWHD00000000:3:2520407:2523499:-1 gene:ONIVA03G03250 transcript:ONIVA03G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSARHLFFTLSFSFLALLSCIAVCNAGDEAAALLAIKASLVDPLGELKGWSSPPHCTWKGVRCDARGAVTGLNLAAMNLSGAIPDDILGLAGLTSIVLQSNAFDGELPPVLVSIPTLRELDVSDNNFKGRFPAGLGACASLTHLNASGNNFAGPLPADIGNATALETLDFRGGFFSGGIPKTYGKLQKLKFLGLSGNNLNGALPAELFELSSLEQLIIGYNEFSGAIPAAIGNLAKLQYLDMAIGSLEGPIPPELGRLPYLNTVYLYKNNIGGQIPKELGNLSSLIMLDLSDNAITGTIPPELAQLTNLQLLNLMCNKIKGGIPAGIGELPKLEVLELWNNSLTGPLPPSLGKAQPLQWLDVSTNALSGPVPAGLCDSGNLTKLILFNNVFTGAIPAGLTTCSTLVRVRAHNNRLNGTVPLGLGRLPRLQRLELAGNELSGEIPDDLALSTSLSFIDLSHNQLRSALPSNILSIPALQTFAAADNELTGGVPDELADCPSLSALDLSNNRLSGAIPASLASCQRLVSLSLRNNRFTGQIPAAVAMMPTLSVLDLSNNFFSGEIPSNFGSSPALEMLNLAYNNLTGPVPATGLLRTINPDDLAGNPGLCGGVLPPCGASSLRSSSSESYDLRRSHMKHIAAGWAIGISAVIAACGAMFLGKQLYHRWYVHGGCCDDAAVEEEGSGSWPWRLTAFQRLSFTSAEVLACIKEANIVGMGGTGVVYRADMPRHHAVVAVKKLWRAAGCPEEATTVDGRTDVEAGGEFAAEVKLLGRLRHRNVVRMLGYVSNNLDTMVIYEYMVNGSLWDALHGQRKGKMLMDWVSRYNVAAGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDANMDAKIADFGLARVMARAHETVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPIEPEYGESQDIVGWIRERLRSNTGVEELLDASVGGRVDHVREEMLLVLRVAVLCTAKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSPDSGYL >ONIVA03G03240.1 pep chromosome:AWHD00000000:3:2516604:2517608:1 gene:ONIVA03G03240 transcript:ONIVA03G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRQHRRQDVATVGSLEVHRPEVGNVGATALSLSCSHHAFPAASRTQAKLRSITITSRSYNHRGIGGIRDGYKEWQGFGDEGSDGGCGYGYGAAGKAGVDIVVDVRQVLEYTRRDGRQAKEGFWVHEHGRAAVEYLDGGGEPSNGIGVGDGEKDGRARDKDDERGETGRRRLAEEDNATCRGSLLLHAVDRALWPLPISRHGFSPPSTVLLATCRRRSSSIVVLLAACCPSSPSAASHHPGEMGTEGRERDKGH >ONIVA03G03230.1 pep chromosome:AWHD00000000:3:2511592:2516059:1 gene:ONIVA03G03230 transcript:ONIVA03G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT2G20370) TAIR;Acc:AT2G20370] MSAMRRRPVLPTHQDDMEKVGGKPPQSRLCFLATLCAMFWVLIFYFHFFVIANEPGSAGADTAAGAAASIARAELPLPEPERVSDPAVPLPPPALVSEPPPTTATVAKVEDEEKPTAVAHQEAAPRDYAFQRALKTAENKSDPCGGRYIYVHELPPRFNDDMLRECERLSLWTNMCKFMSNEGLGPPLGNEEGVFSNTGWYATNQFMVDVIFRNRMKQYECLTKDSSIAAAVFVPFYAGFDVARYLWGHNISTRDAASLDLIDWLRKRPEWNVMGGRDHFLVGGRIAWDFRRLTDEESGWGNKLLFMPAAKNMSMLVVESSPWNANDFAIPYPTYFHPAKDADVLLWQDRMRSLERPWLFSFAGAPRPDDPKSIRSQLIDQCRTSSVCKLLECDLGESKCHSPSAIMNMFQNSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEDGVRKGNVSIEDRLKSIHPDMVKKMREEVISLIPRVIYADPRSKLETLKDAFDVSVEAIINKVTQLRRDIIEDHEDKDFVEENSWKYDLLEEGQRTIGPHEWDPFFSKPKDKGGDSTNPSTNAAKNSWKNEQRDVDFV >ONIVA03G03220.1 pep chromosome:AWHD00000000:3:2506864:2507992:1 gene:ONIVA03G03220 transcript:ONIVA03G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAGRREEGRRARKKAVTRSVKAGLQFPVGRIGRYLKKSRPVDLLSAAPSPSAAPTLFPTASVTPPTLFPTASVTPPTLFPTHAPQDIPLYPSSADMARKEGEGLDLVAMEEELDLYSWEPVVTLAISLLDRAISYLGEDSSWAEGRNKNRFGGFSSQMFYYLQPARGRFQDFLLRLINWPKSYCCGYEEIWKFRIAVELLEDLIEEIEHRRLEEDGRIPSLFMNAKAKIAFKFATNDYFREEWDRLFQEFTTFRLEDLITDIEHLRLEESGKKISNKKFARSPDQYKQQARLFFLLERGVSRLRDQLPAVVEHVVSQDRELVDLEKELHAELVGRDREKKQIVQWLVEQPAENSEIIFPFKKKKTLR >ONIVA03G03210.1 pep chromosome:AWHD00000000:3:2506546:2506830:1 gene:ONIVA03G03210 transcript:ONIVA03G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRASSRSLRARHVTGGAATGGCGRPPSSDFAPYSSHITSCRRQAGADWAAMTTTRPPHLPVSRRPPLPLLPPVRSAGSPPTPVLHPNRIEI >ONIVA03G03200.1 pep chromosome:AWHD00000000:3:2499074:2499781:1 gene:ONIVA03G03200 transcript:ONIVA03G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREWRRQALDGGQLHRLLTDDDQAFEQEDGDWVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPHPVTGTRDRTYMDAVRSYLSPREVFRVHVRNLPVRQPVGHHGRLHNHRNHKHGVSNRTDHPNSAVFLL >ONIVA03G03190.1 pep chromosome:AWHD00000000:3:2491357:2497605:1 gene:ONIVA03G03190 transcript:ONIVA03G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSVVISSWILIRQLIKSAPKGGGRQQRRAKGMEKVAVGLLPPLRFIAVLAVVSWTSFIYCHFSLLSGGLLLGHGGGDDGADPCRGRYIYVHDLPRRFNDDILRDCRKTRDHWPDMCGFVSNAGLGRPLVDRADGVLTGEAGWYGTHQFALDAIFHNRMKQYECLTNQSAVADAVFVPFYAGFDFVRYHWGYDNATRDAASVDLTQWLMRRPEWRRMGGRDHFLVAGRTGWDFRRDTNINPNWGTNLLVMPGGRDMSVLVLESSLLNGSDYAVPYPTYFHPRSDADVFRWQDRVRGMQRRWLMAFVGAPRPDDPKNIRAQIIAQCNATSACSQLGCAFGSSQCHSPGNIMRLFQKATFCLQPPGDSYTRRSVFDSMVAGCIPVFFHNATAYLQYAWHLPREHAKYSVFISEHDVRAGNVSIEATLRAIPAATVERMREEVIRLIPSVIYADPRSKLETVRDAFDVAVEGIIDRIAMTRGGYARSWLRPKQSRQALDARRRRLRGFASRHLGHTTSRVPGKATVVCACVRVCARAAHRRMCSIASASRSQPGRLKLSTAMERTGAHGGKRLLPRLLFLAALSVTPWLLIFCLHFSVFDGAPPVSSPAARQSLVAVVSEGGEDAQRFLLEQEEQLRRLPSARDVTTTTAAAVAGDAHACEGRYVYIHDLPPRFNDDILRNCREWYQWINMCVYLSNGGLGEPVDNADGAFADEGWYATDHFGLDVIFHSRIKQYECLTDDSSRAAAVFVPFYAGFDVVQHLWGSNASVKDAASLELVDWLTRRPEWRSMGGRDHFVMSGRTAWDHQRQTDSDSEWGNKFLRLPAVQNMTVLFVEKTPWTEHDFAVPYPTYFHPAKDAEIFQWQQRMRGMKREWLFTFAGGTRPGDPNSIRHHLIRQCGASSLCNLIQCRKGEKKCLIPSTFMRVFQGTRFCLQPPGDTYTRRSAFDAMLAGCVPVFFHPASAYTQYKWHLPDVHETYSVFIAEEDIRSGNVSVEETLRRIPPDVAEKMTETVISLVPRLLYADPRSKLETVKDAVDLTVEAVIERVKKLRKEMHGAGAPSRLSTALGANTNGGFQSS >ONIVA03G03180.1 pep chromosome:AWHD00000000:3:2488821:2490523:1 gene:ONIVA03G03180 transcript:ONIVA03G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:A0A0E0GGP2] MDRARRRLRGRGGNNNHPRRVLADVAIRFDPTWLVTILLLSLLLLGKATGTTATVVVSGSMEPGIRRGDMLIVRERRNDGDGDDNIRAGDIVLFSTELHPVPIVHRVIELHERHDGGARQMLTKGDNNGVTDRSSLYTGQWLHDHHILGRAVGMYHQQTSSPLINSFSIQVVGVNVLKY >ONIVA03G03170.1 pep chromosome:AWHD00000000:3:2484381:2486776:1 gene:ONIVA03G03170 transcript:ONIVA03G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFLRHSVLIPVHRFFLEFSYHVGASYATAWTNLLCHVLRRFVHDKKVALTYCSIVLGTLGFITVIGINQICVFCWFCSWLWLENICISVLETKQGRVAQWHYLSFQIATMSVQINE >ONIVA03G03160.1 pep chromosome:AWHD00000000:3:2475113:2480018:-1 gene:ONIVA03G03160 transcript:ONIVA03G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGGAAGEEAEERRRRSELGSLVEAIKSSEVLENRISLINQLEDPFQLCADDLGLVVESLIASWDDSTCSGVSHCMLHKSILQVALKCSELDTTNCLGPFLTLGSKAGSWCVRHLLWSVESIDESEDAQEEEHSRLFPEIIALTLNISSKHLPVASKCIAEDTVHAVGDFILELLTLTESSILDKKLGTTGHVAKAAPVFLDETIKLCRVYSEAAKSDQCIMSMPKEETTEEHKETDLTSNIAQITACTIQSLCKIGTHAASSGGSQVILLNISWKGIISLLQLGKGMVEEKVNVREIILIPISVAIESLRVATERWCVPLQEVLGTAEARRAFLPIKYFLTNAVRICSIYPSETMAIYKSIIRCALLISHSSILFCRNPQLKAASELLFELLEPSSFLLLDTLMKSTEVSPESKCQLVQYFLEKVRTGNPEYTGEVDHTMNFATLGCIFSVDSDVDNINRALLPAEFTVFLHFLNASPWLREEVVIELCKKLHLFLNILTLEDVYSYVLGCQIPALSSDDDSPKVVWQPVYTSLIQALKTFMIAASSSSAAWSEFEVFLLENLFHPHFLCLEILTELWCFFMHYAEAETSTYLINQLFLLLKTLASPEEVLAPLSALRKLARASCNILSYASSATIDQIYTMLNDSSSKSSILYLALLMEGFPFDSLSRGVKEHAVKTLFTSFAGYLQNQNYFKNHGEINLPTSSSLGIIGEIKDVTIDEKSIATMFKIATSLINLYRTSPDSSKNLLVKHISSILVIITHMRHLCAFSELEKLTLELHTLFMSSFDNSNTAISQCKPSMASFMAILGHLNTTEDDANPLCSAMWDLFHFLLRERHWALIHLAMGSFGYFAARTSFAQLWKFVPVDAALSYTCTGVDIDEDGFMSELKAFLQKEVALRDDKWSEEQICSLVSEGRMLKKLVESCLEIPLVPEPEKVSITNYVKTKKRKMPDGICEGMPENVSVTNDVETKKRKMPDRICEGMMLVQNGLKIMRSALSETDLAELKDSLIPAEDAHTHL >ONIVA03G03160.2 pep chromosome:AWHD00000000:3:2475267:2480018:-1 gene:ONIVA03G03160 transcript:ONIVA03G03160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGGAAGEEAEERRRRSELGSLVEAIKSSEVALKCSELDTTNCLGPFLTLGSKAGSWCVRHLLWSVESIDESEDAQEEEHSRLFPEIIALTLNISSKHLPVASKCIAEDTVHAVGDFILELLTLTESSILDKKLGTTGHVAKAAPVFLDETIKLCRVYSEAAKSDQCIMSMPKEETTEEHKETDLTSNIAQITACTIQSLCKIGTHAASSGGSQVILLNISWKGIISLLQLGKGMVEEKVNVREIILIPISVAIESLRVATERWCVPLQEVLGTAEARRAFLPIKYFLTNAVRICSIYPSETMAIYKSIIRCALLISHSSILFCRNPQLKAASELLFELLEPSSFLLLDTLMKSTEVSPESKCQLVQYFLEKVRTGNPEYTGEVDHTMNFATLGCIFSVDSDVDNINRALLPAEFTVFLHFLNASPWLREEVVIELCKKLHLFLNILTLEDVYSYVLGCQIPALSSDDDSPKVVWQPVYTSLIQALKTFMIAASSSSAAWSEFEVFLLENLFHPHFLCLEILTELWCFFMHYAEAETSTYLINQLFLLLKTLASPEEVLAPLSALRKLARASCNILSYASSATIDQIYTMLNDSSSKSSILYLALLMEGFPFDSLSRGVKEHAVKTLFTSFAGYLQNQNYFKNHGEINLPTSSSLGIIGFPVHALASAFQSCEIKDVTIDEKSIATMFKIATSLINLYRTSPDSTISQCKPSMASFMAILGHLNTTEDDANPLCSAMWDLFHFLLRERHWALIHLAMGSFGYFAARTSFAQLWKFVPVDAALSYTCTGVDIDEDGFMSELKAFLQKEVALRDDKWSEEQICSLVSEGRMLKKLVESCLEIPLVPEPEKVSITNYVKTKKRKMPDGICEGMPENVSVTNDVETKKRKMPDRICEGMMLVQNGLKIMRSALSETDLAELKDSVEVKYRCD >ONIVA03G03160.3 pep chromosome:AWHD00000000:3:2475349:2480018:-1 gene:ONIVA03G03160 transcript:ONIVA03G03160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGGAAGEEAEERRRRSELGSLVEAIKSSEVLENRISLINQLEDPFQLCADDLGLVVESLIVALKCSELDTTNCLGPFLTLGSKAGSWCVRHLLWSVESIDESEDAQEEEHSRLFPEIIALTLNISSKHLPVASKCIAEDTVHAVGDFILELLTLTESSILDKKLGTTGHVAKAAPVFLDETIKLCRVYSEAAKSDQCIMSMPKEETTEEHKETDLTSNIAQITACTIQSLCKIGTHAASSGGSQVILLNISWKGIISLLQLGKGMVEEKVNVREIILIPISVAIESLRVATERWCVPLQEVLGTAEARRAFLPIKYFLTNAVRICSIYPSETMAIYKSIIRNPQLKAASELLFELLEPSSFLLLDTLMKSTEVSPESKCQLVQYFLEKVRTGNPEYTGEVDHTMNFATLGCIFSVDSDVDNINRALLPAEFTVFLHFLNASPWLREEVVIELCKKLHLFLNILTLEDVYSYVLGCQIPALSSDDDSPKVVWQPVYTSLIQALKTFMIAASSSSAAWSEFEVFLLENLFHPHFLCLEILTELWCFFMHYAEAETSTYLINQLFLLLKTLASPEEVLAPLSALRKLARASCNILSYASSATIDQIYTMLNDSSSKSSILYLALLMEGFPFDSLSRGVKEHAVKTLFTSFAGYLQNQNYFKNHGEINLPTSSSLGIIGTSPDSSKNLLVKHISSILVIITHMRHLCAFSELEKLTLELHTLFMSSFDNSNTAISQCKPSMASFMAILGHLNTTEDDANPLCSAMWDLFHFLLRERHWALIHLAMGSFGYFAARTSFAQLWKFVPVDAALSYTCTGVDIDEDGFMSELKAFLQKEVALRDDKWSEEQICSLVSEGRMLKKLVESCLEIPLVPEPEKVSITNYVKTKKRKMPDGICEGMPENVSVTNDVETKKRKMPDRICEGMMLVQNGLKIMRSALSETDLAELKDRFAVHLSRLEDAVSHLASFSDKI >ONIVA03G03160.4 pep chromosome:AWHD00000000:3:2475349:2480018:-1 gene:ONIVA03G03160 transcript:ONIVA03G03160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGGAAGEEAEERRRRSELGSLVEAIKSSEVLENRISLINQLEDPFQLCADDLGLVVESLIASWDDSTCSGVSHCMLHKSILQVALKCSELDTTNCLGPFLTLGSKAGSWCVRHLLWSVESIDESEDAQEEEHSRLFPEIIALTLNISSKHLPVASKCIAEDTVHAVGDFILELLTLTESSILDKKLGTTGHVAKAAPVFLDETIKLCRVYSEAAKSDQCIMSMPKEETTEEHKETDLTSNIAQITACTIQSLCKIGTHAASSGGSQVILLNISWKGIISLLQLGKGMVEEKVNVREIILIPISVAIESLRVATERWCVPLQEVLGTAEARRAFLPIKYFLTNAVRICSIYPSETMAIYKSIIRCALLISHSSILFCRNPQLKAASELLFELLEPSSFLLLDTLMKSTEVSPESKCQLVQYFLEKVRTGNPEYTGEVDHTMNFATLGCIFSVDSDVDNINRALLPAEFTVFLHFLNASPWLREEVVIELCKKLHLFLNILTLEDVYSYVLGCQIPALSSDDDSPKVVWQPVYTSLIQALKTFMIAASSSSAAWSEFEVFLLENLFHPHFLCLEILTELWCFFMHYAEAETSTYLINQLFLLLKTLASPEEVLAPLSALRKLARASCNILSYASSATIDQIYTMLNDSSSKSSILYLALLMEGFPFDSLSRGVKEHAVKTLFTSFAGYLQNQNYFKNHGEINLPTSSSLGIIGTSPDSSKNLLVKHISSILVIITHMRHLCAFSELEKLTLELHTLFMSSFDNSNTAISQCKPSMASFMAILGHLNTTEDDANPLCSAMWDLFHFLLRERHWALIHLAMGSFGYFAARTSFAQLWKFVPVDAALSYTCTGVDIDEDGFMSELKAFLQKEVALRDDKWSEEQICSLVSEGRMLKKLVESCLEIPLVPEPEKVSITNYVKTKKRKMPDGICEGMPENVSVTNDVETKKRKMPDRICEGMMLVQNGLKIMRSALSETDLAELKDRFAVHLSRLEDAVSHLASFSDKI >ONIVA03G03160.5 pep chromosome:AWHD00000000:3:2475349:2480018:-1 gene:ONIVA03G03160 transcript:ONIVA03G03160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGGAAGEEAEERRRRSELGSLVEAIKSSEVALKCSELDTTNCLGPFLTLGSKAGSWCVRHLLWSVESIDESEDAQEEEHSRLFPEIIALTLNISSKHLPVASKCIAEDTVHAVGDFILELLTLTESSILDKKLGTTGHVAKAAPVFLDETIKLCRVYSEAAKSDQCIMSMPKEETTEEHKETDLTSNIAQITACTIQSLCKIGTHAASSGGSQVILLNISWKGIISLLQLGKGMVEEKVNVREIILIPISVAIESLRVATERWCVPLQEVLGTAEARRAFLPIKYFLTNAVRICSIYPSETMAIYKSIIRNPQLKAASELLFELLEPSSFLLLDTLMKSTEVSPESKCQLVQYFLEKVRTGNPEYTGEVDHTMNFATLGCIFSVDSDVDNINRALLPAEFTVFLHFLNASPWLREEVVIELCKKLHLFLNILTLEDVYSYVLGCQIPALSSDDDSPKVVWQPVYTSLIQALKTFMIAASSSSAAWSEFEVFLLENLFHPHFLCLEILTELWCFFMHYAEAETSTYLINQLFLLLKTLASPEEVLAPLSALRKLARASCNILSYASSATIDQIYTMLNDSSSKSSILYLALLMEGFPFDSLSRGVKEHAVKTLFTSFAGYLQNQNYFKNHGEINLPTSSSLGIIGTSPDSSKNLLVKHISSILVIITHMRHLCAFSELEKLTLELHTLFMSSFDNSNTAISQCKPSMASFMAILGHLNTTEDDANPLCSAMWDLFHFLLRERHWALIHLAMGSFGYFAARTSFAQLWKFVPVDAALSYTCTGVDIDEDGFMSELKAFLQKEVALRDDKWSEEQICSLVSEGRMLKKLVESCLEIPLVPEPEKVSITNYVKTKKRKMPDGICEGMPENVSVTNDVETKKRKMPDRICEGMMLVQNGLKIMRSALSETDLAELKDRFAVHLSRLEDAVSHLASFSDKI >ONIVA03G03150.1 pep chromosome:AWHD00000000:3:2457250:2477977:1 gene:ONIVA03G03150 transcript:ONIVA03G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MAIPVEEAIAALSTFSLEFKSLKEHRAAHFVLLDFPNFNHLDLFSFDPNEILNGSFQRTVSKCRRMCRGPHGLTYRGDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSTQWQDTDTMREELDDLQNSVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKMNRLLNIFKMIILKSSDGADNDWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPSDSQHGSTTFFDYEKVFLMFTTQFYWLWTLDILIDYGHLTTYMQVVRWNYTGEERRALLELIGYIKSIGLMMQRCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANTSKGDPEHNSLETEEMRQSTFYPRPVAPTAAQIHCLQFLICELVSGGNMRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVEHVTETQDAGLLESVLIPFDLYNDSAQHALTCLKQRFLYDEIEAEVDLSFDLLVEKLNEIIFTYYKSCAASTLLDSSFTYLCDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSLITQRMNKLFRENIDFLLERFESGDLCGVVELQQLLDILELTHQSISKFLELDSYSLMLSEMQENLSLVSYSSRISSQIWNEMQTDFLPNFILCNTTQRFVRSLKGTHHSSQRSSASTGKAYFYCGSHDLTMAYQGISGLYRDFFGIPHMFAVVKLLGSRSLPGIIRALLDHISSKITAMVPKITALQEALPKSIGLLSFDGGIAGCQKIVHEILTWEAKSEVKTEVLHDLKEIGSALYWMSLLDIVLSKWCPAVLLCAVACVLETKLIVDIENKNSLARQIDTTQFMQSAPWLGLIPGNDGQVKHAYSDNTPFTTLLSAATNAVASSPACPNPSSFLVMAKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRVFSGLQYSYLEESINPSRKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVENATVSLYQYSDRNKSPNFLQGYEGILEAMRKARRLNNHVFSMLRARCPLEDKIACAIKPSGAPLHRMKFTNTVSAFETLPQRAT >ONIVA03G03140.1 pep chromosome:AWHD00000000:3:2449592:2454344:1 gene:ONIVA03G03140 transcript:ONIVA03G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLIDLSAGASARVATRDGSPVRETQSERKEYADLKTVTGSVRRSSSDRSCGSGTPMKVLIAQEMAKEGDTNQKTTSVVAKLMGLDDDAALPKPVQPSNNRRRFPDGHLSAMLARVNNQMSFDNHTGFVENMEYKDVYEVGYQPPSCEHLSNEFPQRRRPHEDHDKKRMDLVRQKFVEAKHLASHENLLQSKEFHEALEVLNSNKDLFLKFLEEPNSLFAKQSGEFHSAPTSPQRKRITVLKPTRSVELKGEKEIKRQQDQTVNGSRVERSNTHRRSHSGGGHAKAERLPQHTRIVILKPSTALTSMEHFQKNGHDNLDDSEAPVISRHLSDEINWSAQDMCHQHDESFRGCMQSNMFIRDRVYYGYAEEEGSSFSDSEIGSPTSRHSWEYIYRFSNPYFGSTLSHASCSPDSLVTREAKKHTSDRWPTVSSNEISQEKVLVRRSLSTLGEMLAMSDMKKKGAAEQVVTNTSNQLCSNEPRLAVPSKCSVDGDGESSLRKISRSKSVPVSSAAFDSLRLDDGCSNPEHEEPTSSKEEIKPKNGKSSLKGKISSFFLKRKKSGKDKLAPSPLGALGTRVPLASNASIVSSDVSQTEWTSLQDDVASENLEKFDIAPTVVPVNEPEATSSSKPPIITLEKALSFEIRNSHFDQPSPTSVLDASFEDASEKSPNSSEHAIIAKQEPLSRSLPIGSIARTLSWDDSSQEATLCSAKGDSHEQDQHEFVEKILSSVGFNNEKTSDIFVRWHSHDFPLGTGVLDQFLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGAYPCTDPQVNNARRVATGEVLVVDEVWRLVKGWLSDDDGEKHMVNAEDNAGLVADWVVGKEIQGRSWPEALRLEVDEISTEICGEVLDELVGEAFSELVGCH >ONIVA03G03140.2 pep chromosome:AWHD00000000:3:2449879:2454344:1 gene:ONIVA03G03140 transcript:ONIVA03G03140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINLIDLSAGASARVATRDGSPVRETQSERKEYADLKTVTGSVRRSSSDRSCGSGTPMKVLIAQEMAKEGDTNQKTTSVVAKLMGLDDDAALPKPVQPSNNRRRFPDGHLSAMLARVNNQMSFDNHTGFVENMEYKDVYEVGYQPPSCEHLSNEFPQRRRPHEDHDKKRMDLVRQKFVEAKHLASHENLLQSKEFHEALEVLNSNKDLFLKFLEEPNSLFAKQSGEFHSAPTSPQRKRITVLKPTRSVELKGEKEIKRQQDQTVNGSRVERSNTHRRSHSGGGHAKAERLPQHTRIVILKPSTALTSMEHFQKNGHDNLDDSEAPVISRHLSDEINWSAQDMCHQHDESFRGCMQSNMFIRDRVYYGYAEEEGSSFSDSEIGSPTSRHSWEYIYRFSNPYFGSTLSHASCSPDSLVTREAKKHTSDRWPTVSSNEISQEKVLVRRSLSTLGEMLAMSDMKKKGAAEQVVTNTSNQLCSNEPRLAVPSKCSVDGDGESSLRKISRSKSVPVSSAAFDSLRLDDGCSNPEHEEPTSSKEEIKPKNGKSSLKGKISSFFLKRKKSGKDKLAPSPLGALGTRVPLASNASIVSSDVSQTEWTSLQDDVASENLEKFDIAPTVVPVNEPEATSSSKPPIITLEKALSFEIRNSHFDQPSPTSVLDASFEDASEKSPNSSEHAIIAKQEPLSRSLPIGSIARTLSWDDSSQEATLCSAKGDSHEQDQHEFVEKILSSVGFNNEKTSDIFVRWHSHDFPLGTGVLDQFLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGAYPCTDPQVNNARRVATGEVLVVDEVWRLVKGWLSDDDGEKHMVNAEDNAGLVADWVVGKEIQGRSWPEALRLEVDEISTEICGEVLDELVGEAFSELVGCH >ONIVA03G03140.3 pep chromosome:AWHD00000000:3:2450000:2454344:1 gene:ONIVA03G03140 transcript:ONIVA03G03140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MINLIDLSAGASARVATRDGSPVRETQSERKEYADLKTVTGSVRRSSSDRSCGSGTPMKVLIAQEMAKEGDTNQKTTSVVAKLMGLDDDAALPKPVQPSNNRRRFPDGHLSAMLARVNNQMSFDNHTGFVENMEYKDVYEVGYQPPSCEHLSNEFPQRRRPHEDHDKKRMDLVRQKFVEAKHLASHENLLQSKEFHEALEVLNSNKDLFLKFLEEPNSLFAKQSGEFHSAPTSPQRKRITVLKPTRSVELKGEKEIKRQQDQTVNGSRVERSNTHRRSHSGGGHAKAERLPQHTRIVILKPSTALTSMEHFQKNGHDNLDDSEAPVISRHLSDEINWSAQDMCHQHDESFRGCMQSNMFIRDRVYYGYAEEEGSSFSDSEIGSPTSRHSWEYIYRFSNPYFGSTLSHASCSPDSLVTREAKKHTSDRWPTVSSNEISQEKVLVRRSLSTLGEMLAMSDMKKKGAAEQVVTNTSNQLCSNEPRLAVPSKCSVDGDGESSLRKISRSKSVPVSSAAFDSLRLDDGCSNPEHEEPTSSKEEIKPKNGKSSLKGKISSFFLKRKKSGKDKLAPSPLGALGTRVPLASNASIVSSDVSQTEWTSLQDDVASENLEKFDIAPTVVPVNEPEATSSSKPPIITLEKALSFEIRNSHFDQPSPTSVLDASFEDASEKSPNSSEHAIIAKQEPLSRSLPIGSIARTLSWDDSSQEATLCSAKGDSHEQDQHEFVEKILSSVGFNNEKTSDIFVRWHSHDFPLGTGVLDQFLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGAYPCTDPQVNNARRVATGEVLVVDEVWRLVKGWLSDDDGEKHMVNAEDNAGLVADWVVGKEIQGRSWPEALRLEVDEISTEICGEVLDELVGEAFSELVGCH >ONIVA03G03130.1 pep chromosome:AWHD00000000:3:2444146:2448086:1 gene:ONIVA03G03130 transcript:ONIVA03G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24010) TAIR;Acc:AT3G24010] MGFLEDFQASVEALPAMLQRNYSLMRELDKSLQGVQTGNEQRCQQEIEDIKHGLESGSITYDPAKLKFSDEAIEEQKHCVRIADEKVALASQTYDLVDAHIQQLDQFMRKLEELRQEKEAATTAATAAAAAAAAASVATGTPVAATVTASAGTSTADNTPKGGRSGERGRGGRKKTAKVPTEQPAPAIDLELPVDPNEPTYCLCNQVSYGEMVACDNNDCKIEWYHFGCVGVKEHPKGKWYCPSCIGFQKKRKGK >ONIVA03G03120.1 pep chromosome:AWHD00000000:3:2435591:2441584:-1 gene:ONIVA03G03120 transcript:ONIVA03G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGRIEDTQSNPIHRPIKDVIEGNYKATDVEVIGSNHAVDEDATVDEDVGWILLETPPARLSLFLAFLSPSTSSSLPAGLRVAASERSRELEAFPYSLAMYRAAASLASKARQAGSSARQIGSRLALHRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRTVVIEQSFGAPKVTKDGVTVAKSIEFSNRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFAEGCKSVAAGMNAMDLRRGISMAVDEVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITITDGNTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELDDPLILIHDKKVSNLHAVVKVLELALKKQRPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGESRKANLQDLAILTGGEVITEELGMNLENFEPQMLGTCKKVTVSKDDTVILDGAGDKKAIEERAEQLRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKDLDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGSVIIGKLLEQDNTDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEEAAAAAPAMGGMGGMGF >ONIVA03G03110.1 pep chromosome:AWHD00000000:3:2431065:2434626:-1 gene:ONIVA03G03110 transcript:ONIVA03G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAKPTPQLELFNSMTKKKELFEPLVEGKVRMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIIKRANEAGETVTSLSSRFINEFLLDMAQLQCLPPTCEPRVTDHIEHIIELITKIMENGKAYAMEGDVYFSVDTFPEYLSLSGRKLDHNLAGSRVAVDTRKRNPADFALWKAAKEGEPFWDSPWGRGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDQKMSKSDKNFFTIRDIIDLYHPMALRFFLMRTHYRGDVNHSDKALEIASDRVYYIYQTLYDCEEVLSQYRGENISVPVPVEEQDMKLQQKLEQQKKKQQQQKQQKQKQQQAQKQPEEYIQAMFALETEIKNKISILGLMPPSSLAEALKQLKDKALKRAGLTEELLQEQIEQRTAARKNKQFDVSDQIRKQLGSKGIALMDEPTGTGFKFTGQLKLAFLVV >ONIVA03G03100.1 pep chromosome:AWHD00000000:3:2425929:2430335:-1 gene:ONIVA03G03100 transcript:ONIVA03G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVKKPRAKTGASDRTAAQHGPAPSYRSLWISTQLVSVSLTSDGRIFFMKENEGKGEEQVRKQMI >ONIVA03G03090.1 pep chromosome:AWHD00000000:3:2421988:2424339:1 gene:ONIVA03G03090 transcript:ONIVA03G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.2 [Source:Projected from Arabidopsis thaliana (AT1G04110) UniProtKB/Swiss-Prot;Acc:O64495] MGFRLFFVFFHLLFFCLFPVLGSAGAEDLQSYIVQLHPHEATASSGDAGEAVFASKMHWHLSFLEKSVAWEREKRPSSRLLYSYHTVFDGFAVQLTEEEAAALRELPGVASVRADRRVELHTTYSYRFLGLDFCPTGAWARSGYGGGTIIGVLDTGVWPENPSFDDRGMPPVPARWQGVCQGGEHFNATNCNRKLIGARFYSKGHRANYPTNPSDAVSLMEYVSPRDAHGHGTHTASTAAGAAVAGASVLGVGAGDARGVAPAAHVAAYKVCWFNGCYSSDILAGMDDAVRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATTRGVSVVCAAGNNGPSPSSVANEAPWVITVGAGTLDRRFPAYVRLGNGRILYGESMFPGKVDLKNGGKELELVYAASGTREEMYCIKGALSAATVAGKMVVCDRGITGRADKGEAVKQAGGAAMILANSEINQEEDSVDVHVLPSTLIGYREAVELKNYVSSTRRPVARIVFGGTRIGRARAPAVALFSARGPSLTNPSVLKPDVVAPGVNIIAAWPGNLGPSGLEGDARRSDFTVLSGTSMACPHVSGIAALIRSAHPSWSPAMVRSAIMTTADVTDRQGKPIMDGNGGKADAYAMGAGHVNPARAVDPGLVYDIDPADYVTHLCNLGYTHMEIFKITHAGVNCTAVLERNAGFSLNYPSISVAFKTNTTSAVLERTVTNVGTPNSTYTAQVAAPHGVRVRVSPATLTFSEFGEKKSFRVAVAAPSPAPRDNAEGYLVWKQSGEQGKRRVRSPIAVTWVVE >ONIVA03G03080.1 pep chromosome:AWHD00000000:3:2414332:2418827:-1 gene:ONIVA03G03080 transcript:ONIVA03G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26200) TAIR;Acc:AT2G26200] MAAGEQQPQQQQISASSTSSGRLVTPFWKEKYERDARRYWDIFYKRHEDRFFKDRHYLDKEWGKYFEGQGGGKMVILEVGCGAGNTIFPLISTYPDIFVHACDFSPRAVDLVKKHKDYRPDRVNAFACDITSEQLTENVQPSSVDVVTMNGGRVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLMDLFSTCGFTVEEICVHNKQVENRSLDLVMNRNWIQATFILGSAGLQGPNGQHDSHTCEDEKDKLTANASQEKSTSEEIDLSEDFNNMFGTSHNLDEAQVLRIKAKGHDFEIKMLTKEHQHTCKLTGLMLWESAQFMCSVLAENPSILAGKRVLELGCGSAGICSMVASTVAQFVVATDGDAESLDLLRENTASNLEPDLLKKILIRKLFWGDKDDLKAVRELSSDGTGFDCIIGTDVTYNPDAIFPLFKTARELISNKDSDAALILCYIQRRVDEDSILSTAMAQGFRLVDKWINGIHESNGIISSWFCGNDVCSSFRNATLSILYFQV >ONIVA03G03080.2 pep chromosome:AWHD00000000:3:2414332:2418827:-1 gene:ONIVA03G03080 transcript:ONIVA03G03080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26200) TAIR;Acc:AT2G26200] MAAGEQQPQQQQISASSTSSGRLVTPFWKEKYERDARRYWDIFYKRHEDRFFKDRHYLDKEWGKYFEVGCGAGNTIFPLISTYPDIFVHACDFSPRAVDLVKKHKDYRPDRVNAFACDITSEQLTENVQPSSVDVVTMNGGRVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLMDLFSTCGFTVEEICVHNKQVENRSLDLVMNRNWIQATFILGSAGLQGPNGQHDSHTCEDEKDKLTANASQEKSTSEEIDLSEDFNNMFGTSHNLDEAQVLRIKAKGHDFEIKMLTKEHQHTCKLTGLMLWESAQFMCSVLAENPSILAGKRVLELGCGSAGICSMVASTVAQFVVATDGDAESLDLLRENTASNLEPDLLKKILIRKLFWGDKDDLKAVRELSSDGTGFDCIIGTDVTYNPDAIFPLFKTARELISNKDSDAALILCYIQRRVDEDSILSTAMAQGFRLVDKWINGIHESNGIISSWFCGNDVCSSFRNATLSILYFQV >ONIVA03G03070.1 pep chromosome:AWHD00000000:3:2409770:2412116:-1 gene:ONIVA03G03070 transcript:ONIVA03G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSCFGCGPSIAAEGEVRLPEPFQLPAPLPDWPQGGDFSKGTICIGELEVASITKFQSIWSCSGATFYEPQEIPDGFHCLGHYAQQNDRPLQGFLLVAREAASCQSINLKPALEKPLDYTLVWTSTDLNDDDNSDCGCFWSPSPPDGYEALGYVVTRGPKKPSLDAVRCVWGDLTDECENFKSITNMGGNCYIWNTRPCHRGMAGRGIPVGTFFCGTDTEESDIPCLKNFDSSLSSMPNFEQIKALIEHYGPTVFFHPQEIYLPSSVSWFFENGATLHKKGKEMGDVILASGSNLPAGGTNDGEYWIDIPDGDRNEYVKAGNLKSAELYVHIKPAHGGTFTDIAMWVFCPFNGPATIKVGFASFALQKVGRHTGDWEHFTLRISNFSGELSSIYFSQHSGGDWVDACDLEFISGNKAIVYSAKDGHASYAHPGCYLLGSEKAGVGVRNDAARSDILVDSSTRYKIISAGHLGDAVIEPCWLQYMREWGPTVEYNSRSEIDAVLSFLPFFLRFTAEAILNSLPVELYEEEGPTGPKEKNNWEGDERG >ONIVA03G03060.1 pep chromosome:AWHD00000000:3:2402084:2408915:1 gene:ONIVA03G03060 transcript:ONIVA03G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT1G04120) TAIR;Acc:AT1G04120] MPHFPNLPLPEAAAAAAHAALLALALLLLLLRSARALASRCASCLKTAPRRAAAVDGGLAAASSVGAWYRAALACCGYALLAQVAALSYEVAVAGSHVAVEALLLPAVQALAWAALLALAMQARAVGWGRFPVLVRVWWVVSFVLCVGIAYDDTRHLMGDDDDDEVDYAHMVANFASAPALGFLCLVGVMGSTGVELEFTDDDSSVHEPLLLGGQRRDADEEPGCLRVTPYGDAGIVSLATLSWLSPLLSVGAQRPLELADIPLMAHKDRAKSCYKAMSSHYERQRMERPGSEPSLAWAILKSFWREAAINGAFAAVNTIVSYVGPYLISYFVDYLSGKIEFPHEGYILASVFFVAKLLETLTARQWYLGVDVMGIHVKSGLTAMVYRKGLRLSNSSRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIAMVSTLVATVLSIAASVPVAKLQEHYQDKLMASKDERMRKTSECLKNMRILKLQAWEDRYRLKLEEMRNVECKWLRWALYSQAAVTFVFWSSPIFVAVITFGTCILLGGELTAGGVLSALATFRILQEPLRNFPDLISMIAQTRVSLDRLSHFLQQEELPDDATITVPHGSTDKAININDATFSWNPSSPTPTLSGINLSVVRGMRVAVCGVIGSGKSSLLSSILGEIPKLCGQVRISGSAAYVPQTAWIQSGNIEENILFGSPMDKQRYKRVIEACSLKKDLQLLQYGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFREYILTALASKTVIYVTHQIEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVCAHKEAIETMEFSEDSDEDTVSSVPIKRLTPSVSNIDNLKNKVSNNEKPSSTRGIKEKKKKPEERKKKRSVQEEERERGRVSLQVYLSYMGEAYKGTLIPLIILAQTMFQVLQIASNWWMAWANPQTEGDAPKTDSVVLLVVYMSLAFGSSLFVFVRSLLVATFGLATAQKLFVKMLRCVFRAPMSFFDTTPSGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLILIVPMAVACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKLPSEAPLIIENSRPSSSWPENGNIELVDLKVRYKDDLPLVLHGISCIFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTGGKVIIDDVDISRIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEECTDQEIWEALEKCQLGEVIRSKDEKLDSPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKIAEFDTPQRLLEDKSSMFMQLVSEYSTRSSCI >ONIVA03G03040.1 pep chromosome:AWHD00000000:3:2378252:2383103:1 gene:ONIVA03G03040 transcript:ONIVA03G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWDRAKHALATRLCIRFPARQRAVEDAPAEDEAPPPPPPPAAAAARAVPEEKLKSPSVSKVCAICLGGIRTGGQALFTAECSHEFHFHCISSNVNHGNYVCPVCRAEWKELPFQGTQPADTAYGRARVSPVNWPQDEGQMSVVRRLSRGYSGNLQQQLAVFRTPEASIFNDDENIDPQSETVDDHNAVTKSVEIKTYSEFPAIQKSERRKVFAILIHLKAPKSLDSVSSRAPLDLVTVLDVSGSMSGIKLSLLKRAMSFVIQTLGPNDRLSVVAFSSTAQRLFPLRRMTLTGRQQALQAISSLVASGGTNIADALKKGAKVVKDRRRKNPVSSIILLSDGQDTHSFLSGEADINYSILVPPSILPGTSHHVQIHTFGFGTDHDSAAMHAIAETSNGTFSFIDAEGSIQDAFAQCMGGLLSVVVKDMRLCIECIDEGVSLTSIKSGSYASQVAGNERSGLVDIGDLYADEERGFLVTLHVPAAHGQTVLIKPKCTYLDAITMENVQLDGEEVIIQRPAYCVDCTMSPEVEREWHRVQATEDMSAARSAAEDGSFSQAVSILESRRRILELHAAHSSDSQFLALIKELREMQDRVESRQRYEESGRAYMLSGLSSHSWQRATARGDSTELTTLINTYQTPSMVDMLQRSQTILPSVVEMLNRSSTVATSKSFSSYLPTSRHIA >ONIVA03G03030.1 pep chromosome:AWHD00000000:3:2368760:2372617:1 gene:ONIVA03G03030 transcript:ONIVA03G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAVMAPPPALKYQRCNNVDGLVSVEALRIIVETKACFVALALALAYFLTASRHRLWSSSHLIKGFLFAVTQPVTRFLVSMFAMLLSMPFRNDLYLLWGILLLAGYEGVYTISGYGVSARLSDLAVHEFTRCSNIVVLGLYVRYYSNASQFRYPLWALWALMVAKFLERIVRFKIANKRYGDGNISRVAQYMKHEHKLSESSNDQQSSSESSTDTEAAGKHELSGSTSTDIEAGEQGFHMKNYNYLIVGDSKLDGEKNTTRGIYEPELKPVTHTVTVAKVWEYPGNLLGPDKKGRYKLKDVCLSFALCKLLRRKFAGVEATKSELRKARKLVFDGLITSDIDEERTFRVIRAELGFARDISFTKYPILFSCGFPVISVVLFAATLGVSIWIIVSAILHYRVPRGSSPNLVHGKNVDLSITFGIVMVISEYVRNRYGRCYLLDRIIWLVCCGNIAEPIGNSLGQFNLVYGAKRGCIPTCVIKVYHAARSFVLLNNDGEYRIMKGKTIRVPDEVKKAICQTLMANKTELTQGKPLPRTASMLQRYGRHPTAIETIVVWHVATCHLQKLVDESQRKSYEVATRLSKYCAYLLFYKPKLLGSVGNNSVRYTCKTLVQEAAAARGSGSGSGDDNMMMRKGKALADKLKARGRVDWTELAEFWSELLISLASSGSVSAHEKGLGDGGEFITHLWALLYHAGIDDKFTWSTATGSTAGGDSGGTADNSTFQNGTAIVEPHTVSTA >ONIVA03G03020.1 pep chromosome:AWHD00000000:3:2352738:2377149:-1 gene:ONIVA03G03020 transcript:ONIVA03G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSSPPIRRGRAHGTARRRGCRNGVPAHSRTETPRSRGYAYARARTDSTDFVGVTAYAHPRARRVGNSAWWRAAVRCHGMHGECVILAAAWDLVQAAPSFHALYTYGSSATSVTAALPVTSMICGALAYSRVHVVATVSVRVDRDNGEHELLRH >ONIVA03G03010.1 pep chromosome:AWHD00000000:3:2350409:2352592:-1 gene:ONIVA03G03010 transcript:ONIVA03G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRALLLLLLHVAVVVVLRSLPVSSADLYALVYKGCSNQSFPGGAVPPTVAALSSSLSAQSASAKFYKTSSSSSASSTSVFGLFQCRGDLSGSDCAACVSRAMSSWSEVCGASVAARVQLTGCLALYEISGFPQVSGTQMLFKTCGTGGGGGTDFEMRRDTAFAQMEASVGGGNGGFYATSYQQVYAMAQCEGDLSSGDCGQCVTQAVQHVEVECGGAPSGQVYLEKCYISYSYYPHGIPHGGGIGGQQTAKTVAIVLGGAVGLGFVVICLLFARSLVKKKEATIASCSGYLPSVLLTKICVRLALSFACRLLMGTHGATGQKALGHGGEWNTEGIVFFGSSSSGHVGVRHAIPRDERGKGGSAK >ONIVA03G03000.1 pep chromosome:AWHD00000000:3:2347534:2349150:1 gene:ONIVA03G03000 transcript:ONIVA03G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGNMNLFDLFFVLQLLLSGVCVLVICYRYQRLKSMKKCSLGVVQWPIVGVLPAIVANMHRLLDGVTFLLATSQLNFQCRFWLAGFRFFVTCDPDNVRHIFTSNFDNYPKGDVFADMFDVLGGGIFNTDGERWRRQRNKAQMLMTTPRFRAFVARSSLDKVEKGLLPFLAHVADARKTCDLQDVFTRWSLDATCHLVFGVDPGCLDIGLPEVPFARAMDDVLRTIFLRHTMPVSFWKTMRWLGIGHEKRNAAARRTVESFVASAIAKHRADDESKSRQGGGGGGDKQSVADLLSSFLCDDEIAGSADADVYIRDMAMNLLVAGRDTTSSALSWFFYLLSTNPRVEQKLLQELAPIASRKPQLQQGRLFPGNGGMVTFDASEVRNLLYLHAALCEAMRLYPPVPLEHKAAVADDVLPSGHEVMAGDKVLVFYYSMGRMKRVWGKDCREFRPERWITEDGKLRYVPSNKFVAFNSGPRTCLGKEMALVQMKVTAAAMAWNFAVEVVPGHVVEPRLSVILHMKNGLLVRVKRREPVMNT >ONIVA03G02990.1 pep chromosome:AWHD00000000:3:2342734:2343853:-1 gene:ONIVA03G02990 transcript:ONIVA03G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCQHPKLGVCLLTIATGANGHADWAKKLRCLLASRLGGVGLSLLELDGGICRPGQAVVQCWVGFRQEQASAVWSSVLVARVYSSGWRACGRSSKDFIITLPHLTLIHLENPFHYLLAFLTPPHPHDEDPAAAGLIANLSTGRVAWNNVGPGRAKLTDGPQLGLRRYTRPSSRSPGPIRESASYQVAACLCVIVVFALGRAESVSFRRLLVWG >ONIVA03G02980.1 pep chromosome:AWHD00000000:3:2327489:2336168:1 gene:ONIVA03G02980 transcript:ONIVA03G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSFSFLELLLSFLCFGFFYYYHVKSKQKNPVIPLQWPLVGMLPALLANCNHLHEWITSVLTVTPLNFRFIGPPSSDMRLFITSDPANIRHVFNTNFSNYPKGREFQEIFDILGDGIFSADGESWRRQRTKAQMLMSSPRFRAFVARYSRDKVEKALLPLLAHVAGTGDACNLQDVFLRLTFDTTTTLVFGVDPGSVAIDLPEVPFARAMDDAMTVLLLRHIVPLSWWRLARRLGIGYERKMPVAWRTMDRFVADTIAKRRAEKARTGIDDSADLLSSYINDDEEDAGTVDAFLRDTTINLMLAGRDTTGSALSWFFYLLTKNPRVLHKLLQELDSVKSTTTADGMVIFDPDETGRLVYLHAALCESLRLYPPVPMEHKGPAAADVLPSGHEVRPGDKIVVSLYAMGRMESVWGSDCMEFRPERWISDDGKLRYVPSYKFMSFNSGPRTCLGKDMAFVQLKTAAAAVMKNFEIEAVAGHVVEPKLSIILHMKNGFMVRVKRRLAEAVKQPILHS >ONIVA03G02970.1 pep chromosome:AWHD00000000:3:2324265:2324744:1 gene:ONIVA03G02970 transcript:ONIVA03G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGQRGSRRPLVATGWRPPSSQPQPQMASSLLDAASPFLLYSAAAAGGSRRPLAAATTGRHAASSLRVGALKYCRIYKPYHRIYKPRSSGRCLLHPPPQGRRSPHLHHPELLGTRSGLYVVRDHRIWSPPCSGHRLLRLLRRRRLFVYSATELGSPSP >ONIVA03G02960.1 pep chromosome:AWHD00000000:3:2314409:2314763:-1 gene:ONIVA03G02960 transcript:ONIVA03G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDRHTGRHHGFAFIQFTCLEHLINALAYRHTIHGHTLGTRLIEPRLSRGVTRYTTVFGNHIYRIGHNCTFC >ONIVA03G02950.1 pep chromosome:AWHD00000000:3:2310135:2314209:1 gene:ONIVA03G02950 transcript:ONIVA03G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GGL3] MMSLNLAHQTGAAAAVAPAAPRTAVVAAAAGTVSAPAVAPAAAASLQLQTQTVDPAAPAQGPDLPMAFQALVESLPEEQHPDVGGEERRKVGVPVYVMMPLDTVRKDGNGLNRRKAVEASLKALKSAGAEGIMVDVWWGIAECEGPGRYNFTGYMELMEMAKKNGLKVQAVMSFHQCGGNVGDSVTIPLPKWVLEEMDKDQDLAYTDRSGRRNYEYLSLGADAMPVLKGRTPVQCYGDFMRAFRDHFAAFMGNTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGEFQCYDRYMLSSLKAAAEAVGKPEWGNAGPGDSGGYNDWPEDSPFFRREGGWNTPYGEFFMSWYSQMLLEHGERILSAASGVYTGTPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYQPIARMLARHGAVLNFTCVEMRNHEQPQDAQCRPEELVQQVAAAARESGVGLAGENALPRYDETAHDQIVTTAAEKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMTESGVRDVCREQVEREAQGVAHATGSLVHEAAVALSN >ONIVA03G02940.1 pep chromosome:AWHD00000000:3:2306342:2308794:1 gene:ONIVA03G02940 transcript:ONIVA03G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRARTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEELRLRKIKNDQLKADAKARGEVISTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >ONIVA03G02930.1 pep chromosome:AWHD00000000:3:2302030:2306149:1 gene:ONIVA03G02930 transcript:ONIVA03G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAVVEISSDDEAIPVAAKRPNVPPVSSSHPLPEDCNGVEEGLGDPAALVEFVASMLDDKRSARDVAAADDGDDDDCVMLDGDPDKAVLVVNEQRPGQGGPEEELQIVSEKGEIACRDFPHPRHLCVSMPFTSSHADHCAMCHCYVCDSPAPCAFWGKGTEPTDHCHATDKNAKWTKMRQSLKRKNLPSSNRRGIKNHFQPISATASLQLQQYTGDRFSVPRLSPLSPVGFHVSRNVSQNQWMMKLIGVPPNVGQPVNLQEATFPRASIPRKRFRSDGSAPPVHLSTNANHLRHPAPNSVLVQPVSSAAFQTTQSQPASSAVSQNSVSAARPLRVQTTQSQPPSSAVSQNSVTAARPLRGYSPQNSFSAPVRVQSTSYHQVAPGISQGLQVQSTSYLQVDPGRAVSAELQLSQCSSLQTQGIQHQHDPSADIYQNIWKEALAKLASELGVSDYNIDPPGRLPSTPQPNQLHAQMRPGHQPTQATARQGVQANGGHVAAASQKRTSNGHHLPNHKQFNPGAN >ONIVA03G02920.1 pep chromosome:AWHD00000000:3:2288024:2290048:1 gene:ONIVA03G02920 transcript:ONIVA03G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSASTPVLGALLPSGSHSPAVSSPAVHFFADSSPTVSYHPPTISCRLTPGGSDAHDRSLGGLRRACSDGNLASLGASGDDDHHHHLPPSGKCAPRSKPTTLETIQSFTQRGGASTDEEEDDDDDGDHESAEQELSFGKFRFSGSSTFAQVEHPLFLARGLGIDRLGSGLLSTDGGSGGSDGGGGGGAGGSYLVTSDNGGNRSDIEMHYKKMIEEDPCNGLFLRNYAQFLYQIKGDSRKAEEYYSRAILADPNDGELLSEYAKLVWDVHRDEDRASSYFERAARASPQNSHVLAAHAAFLWDTDDDDGPEGSSSDALGYAGFAAAAAHSSLASATT >ONIVA03G02910.1 pep chromosome:AWHD00000000:3:2283879:2284141:-1 gene:ONIVA03G02910 transcript:ONIVA03G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNAVLTAHTQPGDVEAVARLFHSMAELELGFELIELNGLKSGCSRLEFWLEEMQFNCKVKPHLEHYTGVVGVMA >ONIVA03G02900.1 pep chromosome:AWHD00000000:3:2283166:2283406:-1 gene:ONIVA03G02900 transcript:ONIVA03G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLIKKVEKLGQGAPPLLHHSEKLAIAYGLISGAVPSGKMLRIVRNWRICVHCHQFFKYASMVIQVIVVRHFT >ONIVA03G02890.1 pep chromosome:AWHD00000000:3:2231402:2237468:1 gene:ONIVA03G02890 transcript:ONIVA03G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFHSPAHALVFYYYHIKSKRKNPAIPVCWPLVGMLPDLLVNRHQLHDWITSFLTASQLNFRFIGPTMSSNMRFFFTCDPANVRHIFTSNFANYPKGPDFAEIFDDTLGDGIFNVDGDSWRRQRAKTQLLMYNHRFQSFVSRCSTDKVENALLPLLSHFAGTGERCNLQDVFMRLTFDMSTMLSSGEDPGCLAISLPVPKVPFVRAVDYTTRVLLVRHIIPLSLWKLARRLGVGFERKMAEALRTINQFIYETIVKRRAKKATEGIEDSEDLLSSYLKDDDENADTFLRDTTMTLIAAGRDTIGSALSWFFYLLTKNPHVASKILEELDSVERATTTPDGMVTFDPDELKSLVYLHAAVCESLRLYPPVPLDHKGVVAADVMPSGHKVRPGDKIVVSIYAMGRTESVWGSDCMEFRPERWISDHRKLRYVPSYKFTPFITGPRTCLGKDMALVQLKVVAATVVKNFETEAVPGHIVEPKLSMVLHMKNGLMVRVKRSQVMAFASILQLALCFLCFSIFSYNHIKSKRKNTAIPVCWPLIGMLPDLLANRHRIHDWITSLLTASQLNFRFTGPPSSNMRLFITCDPANVRHVFTSNFSNYPKGPDFTEIFDIFGDGIFNVDGDSWRRQRAKAQLLTCRPRFRAFVSRCSRAKVEKALLPLLAHFAATGESCNLQDVFMRLTFDTTTMVVSGADTGCLAISLPEVAFARAMDDATRVLLVRHIVPLSWWKLVRRLGIGYERTMAEALRACDHFVNETIEKRRAEKAKGEADGSADLLSSYINDDDEEENASSSFLRDTTMNLISAGRDASAMALSWFFYLLTKNPCVVSKILEELDSVNGITTPDGMVTFDPDELRPLVYLHAALSETLRLYPPVPLEHKGVLAADALPSGHDVRPGDKIVVSLFAMARMEAVWGSDCREFRPERWISKDGKLRYVPSYKFMTFSSGPRTCLGKDMAFVQLKAVAAAVVKNFEIEAMPGHVVEPALSIVLHMKNGLMVRVKRRHVLNN >ONIVA03G02880.1 pep chromosome:AWHD00000000:3:2221889:2223102:1 gene:ONIVA03G02880 transcript:ONIVA03G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSLVSWSGFCSCSRKAERVESKESNGEKAVSATAVMSLLLLQRGGVAVDDDEPSSEEAANCSEVCTDWPGEQHVVISVTIQ >ONIVA03G02870.1 pep chromosome:AWHD00000000:3:2220513:2224136:-1 gene:ONIVA03G02870 transcript:ONIVA03G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEADMAIREMMMFEAHTNSGPMFSDQLCIEGLSSPIAAQILDFCDDGLGDDLFAAVATTSEQFAASSEDGSSSSTATPPLCSNSNDITAVADTAFSPLLSFDSTLSAFLEQEQNPDQDTKLLPSIDETFTAPAYYPAATEANIEQFSQIMVPEHTDAPMPPMQTNRTANALLPLASGYDDECFTAALAGGYMGLDGTLYDQTGVMIPNCNVETPQVGFFNHNSTSNNGMVMDLNNFGEYQRMMEGEGLTRTYSDTDSMHGAFNNAAEMQMGENTQHMVTGCNDSPLTLPSTEGSSLEDTPYKGVRLTAEQRKEKISRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELCEATRSSSQDFEQYEHVVGMKGEDMLDSSNILAHLSGMNPYGYKYNSTVESWI >ONIVA03G02860.1 pep chromosome:AWHD00000000:3:2215698:2216462:1 gene:ONIVA03G02860 transcript:ONIVA03G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHALAAAATSALGVAVGVRLLLVLSRSRALKPLAAATSAAAAALKTPRVLAAASSPLAAGFLGLQVHKGIRNGVDALGVVVKVAVIASEVAVWVGGRCWGGRRGRSVRFLCSTRPSGLLLVRYSKSEAQVVLFDHGLGIAAMDGDEKQMEEQEGSDLLCLAVPVPEATNY >ONIVA03G02850.1 pep chromosome:AWHD00000000:3:2207072:2210460:-1 gene:ONIVA03G02850 transcript:ONIVA03G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGDQGLVSRRVVEFALPELKMELVYLERRNGFDEDSTSPEFSGRSAIDHQQLSIATPEVESDLGQTIYKQPLPSDEKKSRSCQSCHKSPCSCRSEVFHSDLYPTLPAKMMILEFLIRSLRHPRRTHNVSDLDDMISNGASTGSVVLGPSDKMMLDSLHSLVDAKTRPKSPSFFHSGTKMRKARSKSHIITQSEILKLISPETWEISSPGVSPLKKSTAELSMHEKMVSSDTPSMSSNQPVLSSCPSSLSAGLLQCIWKDGLPHFELSLDNPMAVYTANPTKAHDNDKPLDYVYLFHSGEQGRKDWLGNSSSVSRLVGKMKVSSSLVLNPDKSTSMETEFVLYGSPDDYLRQMQSSYGVTKGKGLAKRVADIMKPSNLNSSPKHVWKFGKSSSQQIDEMTEIPEGEQCSAKESVLKNLVADDLPTNQEIAAIVVRKQRRERRKSPVLGGWGLKFLEKAGATHPGSTEDGDVQNKKNNAGSVSAIFPRGYHGGAASKNGSPASLIGRWRSGGRCDCGGWDIGCPIRVLQNDGCGTSPQAESQSQDRKSVELSVKGAKKGPMFRLVNITDDLHIIYFDSSLSPLQCFSAGIAIIHSQAPHLYPKL >ONIVA03G02840.1 pep chromosome:AWHD00000000:3:2203701:2211686:1 gene:ONIVA03G02840 transcript:ONIVA03G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVSEDTIFSCMLNSAVLFFNGDTPGEEISHVSGDISFSISDCVMMWDFDRAFLILVPEWKNEGLFGLVFASTSECKESNIILSEGPRTTLPVLAPLLIMSSRSLTLWVLLGCLRLLMRNSSIIIFAGSVGYKSEWNTSLLQEHGDL >ONIVA03G02830.1 pep chromosome:AWHD00000000:3:2200955:2201890:1 gene:ONIVA03G02830 transcript:ONIVA03G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLASGAGAARPCLSSSPAPPVSSPGAIPLLRHTPIPHHRRRPLAALRTRIMLLRPLRAAASPGPAASSRPARDRVIDFGKHKGQMLGTLPPSYLRWVVAELDYGDTAAWASLAREVLDDPVYVDRVEWEHAHRFLRGDTDYDGDGEDGPLHEMADRFGWDLSDEEGWSRLDFRLLGTSYGGRIPRKGGRRQTTTSSLFDIGAETDGPRGKRDERRERMRLRRETQVRGAKLDMLGVNAGVKDGGVLGTPRKARTRTTAKTDILGLGRRRSRGGEVLGEKVLPGSGGQGGNPFPGRQAFLDKVRKLKDDS >ONIVA03G02820.1 pep chromosome:AWHD00000000:3:2193266:2197643:-1 gene:ONIVA03G02820 transcript:ONIVA03G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTESLPLPAMENGEGKGGGEYTQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIASNLVIYLTDKLHQGTVEASNNVTNWSGAVFIMPLLGAYAADAYLGRYWTFGMCLLTLAVTIPSLKPPPCAGGVCPPASALQLGVYFGGLYIIAFGNGGTKPNISTIGADQFDEFDPREKMHKMSFFNWWMFTIFVGILFSSTVLVYLQDNVSWSVGYGIPTLGLLVSISIFLAGTPLYRHKVPQGSPFTRMGKVVAAAVWKWRVAVPADAKELHELELEEYTRKRKFRMDSTNAMRFLNKAAVKEDGSPAARWSLCTVTQVEETKQIVKVIPLLATMFVPCTLIAQTNTLFVKQGRTMDRHIGRHFQIPPASLGAFVTLSMLVAVVVYDRLFVPAIRKYTKNPRGITLLKRMGVGLLLQVVAMATASLMESRRLGYARRHGLDAATAEVPVPLTIFELLPQYVLMGVADAFLVVGKIEFFYDQAPESMKSLGTAMSLTAYGVGNVLSSFLLSLVSRVTRERGDAWVTNNLNASHLDYYYGFLTVLGAINAVVFVALSSRYRYKVESTETIDIAVDVKGDTAKKIQN >ONIVA03G02810.1 pep chromosome:AWHD00000000:3:2186962:2190435:-1 gene:ONIVA03G02810 transcript:ONIVA03G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQHHHRSRSASSSSFARSSDTAATNADARSLAADATVDCPFGHVNGLTRSDLREAAYEVFFMSCRAAGGGRLNYFPAGESGGGDVSPTIGAGPRGGTGMSVVNSRVKRALGLKARRSSQPTTARVSSMNASSAPGSPGRAMWAMSQPSTPVSPGKGRRPMTSAEIMRQQMRVTEQNDARLRKTLMRTLIGQVGRKAETIVLPLELLRQVKLTDFADSGEHHQWQRRQLKLLEAGLIAHPSLPHDRLNAVVLRFREVMQAADARAIDTGKTSDAMQALCNAVHALAWRSAPGSKAAGGDACHWADGYPLNVLLYVSLLQAIFDLKEETVVLDEVDELLELMRRTWPTLGITKMLHNVCFAWVLFQQYVVTGQIEPDLAGAALAMLTEVAADAKQESRDPVYARVLSSILATIHDWSEKRMLGYHEWFGNGNCGAGGAMAMEGALSLALATTQIISDNAIFTSISTAETEHEDCSVGSFAGDRVDYYVRCSTRSAFTKVSFLRVWPRHGERLVLICRGNVCQILENGLGQGDSLIIDRHDDEDPGDILARLAGDTEHIALSERDAFGPVLRRWHPFPGAIAAVTLHGCFGVVLKQYLGKATVLSNELVHVLHAAGRLEKALVQMVVEDVADSDDGGKSVVREVVPYDVESIVFGFLRTWVEERLKICRECMLRAKETESWMPRSKNEPYAQSAVELMKLAKATVDEFFGIPVAVRDDLVQDLADGMEAIFLEYISFLTSCGSKQSYLPSLPPLTRCNQDSKIIRLWKKAATPCRAPVSSPRAHGHHQGQGGMASGGQNPRPSTSRGTQRLYVRLNTLHFILSHVHALDKSLSFFSRGRCSSSPSSAATARLLAPCSHFDRARAAAQSAVGHVAEVAAYRLIFLDSHHSFYDGLYVGGVADARIRPALRTLKQNLSLLLSVLVDRAQPVAVREVMKASFQAFLLVLVAGGGDRSFTTEDHGMVEEDFRSLKRAFCTRGEGVVTEEVVDGEAEAAESVVALMGQTAEQLVEELSIACELNGTASSAGQRMPLPETTWRWSRTDPDTILRVLCHRDDEVASHYLKRAFQLPKRR >ONIVA03G02800.1 pep chromosome:AWHD00000000:3:2184666:2186874:1 gene:ONIVA03G02800 transcript:ONIVA03G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGGAKERRRRRKRSPAHSPSGRARATPRLSPKKAAAAAALDGDVVSAAAPLLATLLELRDSTDDLCLTVIKKKVTFDPNVTTYEAAAIPEAEEEDDEEAEPAAGDDKDEARWMLAPDCAKSEAFPLNHRYSNCAYGDNDSEYDEDEDEEEEEDEDEEEEADGLDECAIDDEDDDGLLGIGRGEDEACESLFLLPMSKTKESGGGHEAASGVTSPEAPPAPQQTRDANPVLSSVENLTQWKDAKARAATATAAPNASDKENRTTANLLPEPAIPAKKREWQVVCSDYSPSTPSKQEVSVDASLSTWLGSSGTPESNSVRSFSPISREDRPILGALTVEDIKISSANSSPRRSRSPSPSPDDMPILGTVGAYWNCSSKADPVTRGGFMRTRTRFGQMF >ONIVA03G02790.1 pep chromosome:AWHD00000000:3:2179740:2184521:1 gene:ONIVA03G02790 transcript:ONIVA03G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSFRSVSCNGSGKNLASPSPAAGSRAPAAAPAGSKGDAVVSVERRALLSPHPAGGTARKGMRGSKRRVQWKDRHGKKLIEVREFQPRLPCSRYG >ONIVA03G02790.2 pep chromosome:AWHD00000000:3:2179740:2184521:1 gene:ONIVA03G02790 transcript:ONIVA03G02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSFRSVSCNGSGKNLASPSPAAGSRAPAAAPAGSKGDAVVSVERRALLSPHPAGGTARKGMRGSKRRVQWKDRHGKKLIEVREFQPSDTDDSDDEYLDTCICSIM >ONIVA03G02780.1 pep chromosome:AWHD00000000:3:2178685:2179034:1 gene:ONIVA03G02780 transcript:ONIVA03G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEDFEEGEEKTKLSCCRLLNPSTVAGHHHSVCPPRERVGGGEGWLGQREMVTMLELKACRRMDEDRPHQGREKGKRASLPEGDKEDEVEEVGNMWVLPHDIQT >ONIVA03G02770.1 pep chromosome:AWHD00000000:3:2175899:2177455:1 gene:ONIVA03G02770 transcript:ONIVA03G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPPRDLVVVFPFLALLLPLYIYLRYSRSAKANPSLPTEWPLVGMLPSLVANIHNLFDYATALLAASGNSFEARGPPMSGLRFFVTCDPDNVRHIFINNFANYPKGEEFASFFDVMGDSFFNADGESWRRQRARVQHVMSNPRLLASMAACCRGKVEKGLLPILDRMASAGAPFDLQRLLTRFAFDVTAMAVFGVDTCRLSIDMPPLDVANAMDAVMEVGFFRHTVPVSCWKLMRSLRIGPERKLTAAQRLLRRFVAEMIEKRRVAGGACKATDDEQGGVPPPADIVSSYINDPEYVDEDGNPREFMYATFINYMVAGRDTVGTALSWLFFNLTEHPRVVARIREELEPIASSKAGGGGMVVFDPEETKPLVYLQAALFESMRLYPPGPIERKATLADDVLPSGHTVRAGDNILIPVYSMGRMASVWGKDSGEYRPERWVTEDGKLRHVPAHRFMPFNAGPRLCLGKDISVLQMKSVAAAVAWNFDLEVVAGHAVEPKVSIVMQIKNGLMVKVKKR >ONIVA03G02760.1 pep chromosome:AWHD00000000:3:2171527:2172913:-1 gene:ONIVA03G02760 transcript:ONIVA03G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILWLC >ONIVA03G02750.1 pep chromosome:AWHD00000000:3:2164323:2171488:-1 gene:ONIVA03G02750 transcript:ONIVA03G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVQNEKDSRRITSDGTAARTRPLSIQDIMSRREKKTASEAKKTKEGLEENSNGKSNHLESGRGSKSRKDVREMPLEGSKKDNSDKPGEGSKKDEMRHTPREERRKDNMRDMQREVSKKDNLKDRPKDISKIDNLKVKVKVPSKDDQRDAPKKSSRKERSSTRDDSHLVDKDKGNHNSHKLSTYTSGQVGKSRGGNHGEITARDGDATIQESQKRPGKRWIDEPVGNDRIKERSERRTDGKRKSRGFDDEKSSQVDRPTLKKQDAVRLQDPRHFDRKDGRKENAKLHHEEPRSKRRRSTSRDHYQERHDRSVSPSSREQRHSYRGHGHDYYPPYHSMDKSRRKHFETDRHRTSWNDGYSSGSYRRYESRLGGYSPRKRKTAPKDEQTTIKTASLVIRSPEKKSATWDQLPVATDLSNFVTTLQSTVGLKDSTVPVNFTTSKQDPNTTIGTILTGSNLAVDSVQLTQATRPLRRLHIENLPSLATEDMLIGCLNEFLLSSSASHIQRSKQPCLSCVINKDKRQAFVEFLTPEDATAALSFDGRSFGGSSLKIRRPKEYVEMAHVAPKKPSEEIKLISDVVADSPHKIFIAGISGVISSEMLMEIVSSFGPLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGGILTAVNVFPNSTEQAFNEASPFYGIPDSAKSLLEEPTKVLQLKNVFDQEEYLLLSKSELEEILEDVRVECARFGAVKSINVVEYPASSDNTTGDTITECEDGSTKIEPKEYGGNVSCTETGVECSVLNQSTDVPDPSICEVQDPVELDTDSIPKGRDHKNLDTRGECDAPTAGDENTDQGVEADQTDSTDAQDDARGTIERGHADADQASLETSCSTAPGDGADKSGRENEQQGGAGVSESNTEKAPAVDARDNALASNTSALEAGCILVEFLRKEAACTAAHSLHGRRFGSRIVSAGYAPHDLYLQKYTR >ONIVA03G02750.2 pep chromosome:AWHD00000000:3:2164323:2171488:-1 gene:ONIVA03G02750 transcript:ONIVA03G02750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVQNEKDSRRITSDGTAARTRPLSIQDIMSRREKKTASEAKKTKEGLEENSNGKSNHLESGRGSKSRKDVREMPLEGSKKDNSDKPGEGSKKDEMRHTPREERRKDNMRDMQREVSKKDNLKDRPKDISKIDNLKVKVKVPSKDDQRDAPKKSSRKERSSTRDDSHLVDKDKGNHNSHKLSTYTSGQVGKSRGGNHGEITARDGDATIQESQKRPGKRWIDEPVGNDRIKERSERRTDGKRKSRGFDDEKSSQVDRPTLKKQDAVRLQDPRHFDRKDGRKENAKLHHEEPRSKRRRSTSRDHYQERHDRSVSPSSREQRHSYRGHGHDYYPPYHSMDKSRRKHFETDRHRTSWNDGYSSGSYRRYESRLGGYSPRKRKTAPKDEQTTIKTASLVIRSPEKKSATWDQLPVATDLSNFVTTLQSTVGLKDSTVPVNFTTSKQDPNTTIGTILTGSNLAVDSVQLTQATRPLRRLHIENLPSLATEDMLIGCLNEFLLSSSASHIQRSKQPCLSCVINKDKRQAFVEFLTPEDATAALSFDGRSFGGSSLKIRRPKEYVEMAHVAPKKPSEEIKLISDVVADSPHKIFIAGISGVISSEMLMEIVSSFGPLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGGILTAVNVFPNSTEQAFNEASPFYGIPDSAKSLLEEPTKVLQLKNVFDQEEYLLLSKSELEEILEDVRVECARYSLHYGQDDRFGAVKSINVVEYPASSDNTTGDTITECEDGSTKIEPKEYGGNVSCTETGVECSVLNQSTDVPDPSICEVQDPVELDTDSIPKGRDHKNLDTRGECDAPTAGDENTDQGVEADQTDSTDAQDDARGTIERGHADADQASLETSCSTAPGDGADKSGRENEQQGGAGVSESNTEKAPAVDARDNALASNTSALEAGCILVEFLRKEAACTAAHSLHGRRFGSRIVSAGYAPHDLYLQKYTR >ONIVA03G02750.3 pep chromosome:AWHD00000000:3:2164323:2171488:-1 gene:ONIVA03G02750 transcript:ONIVA03G02750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVQNEKDSRRITSDGTAARTRPLSIQDIMSRREKKTASEAKKTKEGLEENSNGKSNHLESGRGSKSRKDVREMPLEGSKKDNSDKPGEGSKKDEMRHTPREERRKDNMRDMQREVSKKDNLKDRPKDISKIDNLKVKVKVPSKDDQRDAPKKSSRKERSSTRDDSHLVDKDKGNHNSHKLSTYTSGQVGKSRGGNHGEITARDGDATIQESQKRPGKRWIDEPVGNDRIKERSERRTDGKRKSRGFDDEKSSQVDRPTLKKQDAVRLQDPRHFDRKDGRKENAKLHHEEPRSKRRRSTSRDHYQERHDRSVSPSSREQRHSYRGHGHDYYPPYHSMDKSRRKHFETDRHRTSWNDGYSSGSYRRYESRLGGYSPRKRKTAPKDEQTTIKTASLVIRSPEKKSATWDQLPVATDLSNFVTTLQSTVGLKDSTVPVNFTTSKQDPNTTIGTILTGSNLAVDSVQLTQATRPLRRLHIENLPSLATEDMLIGCLNEFLLSSSASHIQRSKQPCLSCVINKDKRQAFVEFLTPEDATAALSFDGRSFGGSSLKIRRPKEYVEMAHVAPKKPSEEIKLISDVVADSPHKIFIAGISGVISSEMLMEIVSSFGPLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGGILTAVNVFPNSTEQLPHFMEFLTVPNHYLKNQQNLHYGQDDRFGAVKSINVVEYPASSDNTTGDTITECEDGSTKIEPKEYGGNVSCTETGVECSVLNQSTDVPDPSICEVQDPVELDTDSIPKGRDHKNLDTRGECDAPTAGDENTDQGVEADQTDSTDAQDDARGTIERGHADADQASLETSCSTAPGDGADKSGRENEQQGGAGVSESNTEKAPAVDARDNALASNTSALEAGCILVEFLRKEAACTAAHSLHGRRFGSRIVSAGYAPHDLYLQKYTR >ONIVA03G02740.1 pep chromosome:AWHD00000000:3:2158271:2162772:1 gene:ONIVA03G02740 transcript:ONIVA03G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFCPGAKMNRIEKAARSSEISKHSSTNGEIKQHINNIDTEEADFPLQEGGSLNSKEARALLGKVENQHGHAEEALRVFSGINMPALIPKVKMSIIRKVDLQKAQLHSSSPSLPFHAAILLLEIIYFKATALRNLGKIEEATKECSSILDVVESALPEGLPDIFGDDCNLKPTLCRAVELLPELYKLGGFHFEAISSYRRALWSNWNLDEKTIGRIQKEFAVLLLYSGCETCSPNLLSQLDGSFVPRNNLEEAILLLMLLLRKFNLKRLERDPTVMHHLTFALSMSGQLKPLAIQFEELLPGLLHNREWSYNVALCYLAEEDDLIALNLLKRILVSGEDSNNLKELLLVSKICCENSVQGEEGTLYARRALTNLHGGCDQIEVTADLLLGISLSNQARFATTNTKRASQQREALEVLGISEKKMHGIDFRVLYNLSLENAKQRKLDTAARYAKKLLKLEAGSELKTWLLMARIMSAQRRFEDAESIVNAALDQTGKWFQGDLLQIKAKMQAAQGKFKKAVETYTQLLAVIQLRTKSFNAGISVLKGSKDDRSLEIETWYDLVLLYIRMSQWRDAELSISKIKAISPYSALAFHATGKLHEAKGFLKEALRAYSTALDLEPRHVPSLISTAIVLRRLGERPLPAVRCFLTDALQLDRTNHIAWLNLGLLYEDEGGSSALEAAECFQTAALLEETNPVEPFR >ONIVA03G02730.1 pep chromosome:AWHD00000000:3:2149365:2152704:1 gene:ONIVA03G02730 transcript:ONIVA03G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKGKVSGEVAVMEVGGALLGVRTRSRTLALQRTTSSQKPPEKGEGDPGAGAGAGAEYLELRSRRLEKPPPHTPPAKEKEAARRASAAAAAAVRMPAAPQAAEEFEAEVEVSFGDNVLDLDGDAMERSTRETTPCSLIRSSEMISTPGSTTKTNTSMSSRRRMETSVCRYVPSSLEMEEFFAAAEQQQHQAFRERYNFCPVNDCPLPGRYEWTRLDC >ONIVA03G02720.1 pep chromosome:AWHD00000000:3:2136090:2137949:-1 gene:ONIVA03G02720 transcript:ONIVA03G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCAFLSAAAAANATSFSSSPESRRCRSVHRVPSRPRPPLAPPARVMGKGNSKRKAANTRLWMRLDRRGGCEMILCDKSFVARRSGLPARDLRVLGPLLSRSPSILAREKAMVINLEFVRAIVTADEVLVLEPLAQEVLPFVEKLRKHFPLKSLDVDDVSTHMHTENQDGELAQDVSCYEVEGPNHELPFEFQVLDFALEAVCLSYNSTISDLNRSAIAVLDDLMKSVSTRNLERVRSLKSSLTRLLASVQKVRDEVEHILDDNEAMAHLCTARKTKGQKDLLNTILFPETRLCRTHSSIENSTGIRTCVPSDSDAHILDMLLEAYFKQLDGIRNRIFLVRQYIVDTEDYISIQLDNKRNELLGLQLTLIIASFGIAINTFIAAAFAMNIPHRGYHFVIGVPFGQFVGATSFLCMSIVILLFTYAWRNRLLCT >ONIVA03G02710.1 pep chromosome:AWHD00000000:3:2129839:2136746:1 gene:ONIVA03G02710 transcript:ONIVA03G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:voltage dependent anion channel 4 [Source:Projected from Arabidopsis thaliana (AT5G57490) TAIR;Acc:AT5G57490] MSRKPGLTATGVKIDELFIGDIQTQHKSGKTTVDVKIDSESRVSTTVTVDEALTGLKTSFSFRVPDQKSGKLDLQYLHDHFALNSTIGLTSTPLIELAATIGMNELSAGAEVGFDSTSASVTKYNSGICYNKHDFSAAVLLADKGETLKASYIHTFNETNGATVAAEVTHKLKTKENYFTIGSSHAIDSSTLLKTRFSNGGKVGVLCQHEWRPKSTVSISAEYDPKVVSSPSRFGVAIALKP >ONIVA03G02710.2 pep chromosome:AWHD00000000:3:2129839:2136746:1 gene:ONIVA03G02710 transcript:ONIVA03G02710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:voltage dependent anion channel 4 [Source:Projected from Arabidopsis thaliana (AT5G57490) TAIR;Acc:AT5G57490] MNELSAGAEVGFDSTSASVTKYNSGICYNKHDFSAAVLLADKGETLKASYIHTFNETNGATVAAEVTHKLKTKENYFTIGSSHAIDSSTLLKTRFSNGGKVGVLCQHEWRPKSTVSISAEYDPKVVSSPSRFGVAIALKP >ONIVA03G02710.3 pep chromosome:AWHD00000000:3:2130421:2136746:1 gene:ONIVA03G02710 transcript:ONIVA03G02710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:voltage dependent anion channel 4 [Source:Projected from Arabidopsis thaliana (AT5G57490) TAIR;Acc:AT5G57490] MSKGPAPFLNIGKRAKDLLYKDYNFDQKFSLTTTSNSGLGLTATGVKIDELFIGDIQTQHKSGKTTVDVKIDSESRVSTTVTVDEALTGLKTSFSFRVPDQKSGKLDLQYLHDHFALNSTIGLTSTPLIELAATIGMNELSAGAEVGFDSTSASVTKYNSGICYNKHDFSAAVLLADKGETLKASYIHTFNETNGATVAAEVTHKLKTKENYFTIGSSHAIDSSTLLKTRFSNGGKVGVLCQHEWRPKSTVSISAEYDPKVVSSPSRFGVAIALKP >ONIVA03G02710.4 pep chromosome:AWHD00000000:3:2129839:2133834:1 gene:ONIVA03G02710 transcript:ONIVA03G02710.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:voltage dependent anion channel 4 [Source:Projected from Arabidopsis thaliana (AT5G57490) TAIR;Acc:AT5G57490] MNELSAGAEVGFDSTSASVTKYNSGICYNKHDFSAAVLLADKGETLKASYIHTFNETNGATVAAEVTHKLKTKENYFTIGSSHAIDSSTLLKTRFSNGGKVGVLCQHEWRPKSTVSISAEYDPKVK >ONIVA03G02700.1 pep chromosome:AWHD00000000:3:2120562:2123754:-1 gene:ONIVA03G02700 transcript:ONIVA03G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT2G20920) TAIR;Acc:AT2G20920] MATATALSLSGGGGGGCGGRYPATVARRCCCAVPRSRRGPAPRRRLGLAASRADDSSPAPFEMTLEGALKLLGVAEGASFDDIMRAKNAVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRAGKVVDNSIRYADVKPVKSAGSGTVPQWMQATMKNAPVTFEAPSSSSLGIQSCVYGALMVFTYASGSATSLPSTYTSPDVPGFILATGFGASLYFLTKKNMNLGKAALITVGGLAVGATVGSAVENWLQVDVVPFLGVHSPAVVVSEFILFSQLLVSLFVR >ONIVA03G02690.1 pep chromosome:AWHD00000000:3:2116034:2119674:1 gene:ONIVA03G02690 transcript:ONIVA03G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVMDYFRSCWGPRSPAGHRVRGSDVAGRQDGLLWYKDAGQLVTGEFSMAVVQANNLLEDQSQVESGALSMAEPGPQGTFIGVYDGHGGPETARFINDHMFHHLRRFATEHKCMSTDVIRKAFQATEEGFLSLVSKQWSLKPQIAAVGSCCLVGVICSGTLYVANLGDSRAVLGRFVKSTGEVVATQLSSEHNACYEEVRQELQASHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKRPEYNREPLHSKFRLRETFKRPILSSEPAIAVHQIQPNDHFVIFASDGLWEHLSNQEAVDLVQNNPRNGIARRLVKVAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNAISKANWSRGPSVSLRGGGVTLPANSLAPFSAPTVLSSTY >ONIVA03G02680.1 pep chromosome:AWHD00000000:3:2101223:2101657:-1 gene:ONIVA03G02680 transcript:ONIVA03G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSRGGNVDIAYSPPMDMDARKALEEIIRNHIWRVWWANSMEGVMGKRGTRGRYQEKKEIATFTRTIGVVGTPTTFHSSFHLDRDGAKSDHAYEGITNHFPCSEDDGFPRGSVVVLAVRGEAELRRHHEGDEVKRREERGSR >ONIVA03G02670.1 pep chromosome:AWHD00000000:3:2086757:2093151:-1 gene:ONIVA03G02670 transcript:ONIVA03G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aconitase 1 [Source:Projected from Arabidopsis thaliana (AT4G35830) TAIR;Acc:AT4G35830] MPPLTSALLSRSSSTRIPAAAAAAAVISNPAGAAASSSSPSPPPPSSRPRPASPFASGLAGRIFGGRRAAARSSSSAAAVFERRFASAAAKNSYDEILTGLAKPGGGAEFGKYYSLPALSDPRIERLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENSAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFHRNKERFGFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGGGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLKLTGRSDDTVAMIESYLRANKMFVDYNQPEAERVYSSYLELNLEEVEPCLSGPKRYKLKDPLHRPHDRVTLKNMKSDWLSCLDNDVGFKGFAVPKESQGKVAEFSFHGTPAKLKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGELDETVSAAISDNDIVAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDIWPSTEEIAEVVKSSVLPDMFKSTYEAITKGNPMWNELSVSASTLYPWDPTSTYIHEPPYFKDMTMSPPGPRPVKDAYCLLNFGDSITTDHISPAGSIHPDSPAARYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPSGEKLSVFDAATKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKSGEDADTLGLTGHERFTVHLPANVSEIKPGQDVTVTTDNGKSFTCTLRFDTEVELAYYDNGGILPYVIRKIAEQ >ONIVA03G02660.1 pep chromosome:AWHD00000000:3:2076582:2077847:-1 gene:ONIVA03G02660 transcript:ONIVA03G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVADTVALHPWLLDLLPLLIVLLISTHVLVLVHTSPSRESSRPPSGSSVLVPCPSGTPSVQGQALRELLHLERNQGKLSEYKTRMVRLKPKVPKLMFGNIDKG >ONIVA03G02650.1 pep chromosome:AWHD00000000:3:2072215:2072631:1 gene:ONIVA03G02650 transcript:ONIVA03G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSRRVCQTRGQLGTNTRHGVANTVQARQGTTMNHSGDGGNLEIRWQCSKLRKKTLSG >ONIVA03G02650.2 pep chromosome:AWHD00000000:3:2072634:2072863:1 gene:ONIVA03G02650 transcript:ONIVA03G02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSADNEVVDARTAMKTKKVAKLRSERATQLQLHVDAALIGDVAMLRRAPLSIATTSGGVDHRQRHYGPRGAT >ONIVA03G02640.1 pep chromosome:AWHD00000000:3:2071858:2072206:1 gene:ONIVA03G02640 transcript:ONIVA03G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALCAVVDVAKTKEPREPWSMKPCVEASWTNGVRGRAGDKDGDTIAVVVEEDDAEEPM >ONIVA03G02630.1 pep chromosome:AWHD00000000:3:2064080:2071444:1 gene:ONIVA03G02630 transcript:ONIVA03G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein [Source:Projected from Arabidopsis thaliana (AT3G06170) TAIR;Acc:AT3G06170] MWCASCLASACAGCTCNLCASALSAISRRSARLAYCGLFAASLVLSFLMRQFATPLLKQIPWINTFDYTQPDEWFQMNAVLRVSLGNFLFFAIFALMMIGVKDQNDRRDAWHHGGWIAKIVVWVVLIVLMFCVPNVVITIYEVLSKFGSGLFLLVQVVMLLDFTNNWNDSWIEKDEQKEIALLVVTVVCYLSTFAFSGLLFTWFNPSGHDCGLNVFFITMTIILAFAFAIIALHPQVNGSVMPASVISVYCAYLCYTSLSSEPDDYACNGLHRHSKQVSMSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSGIKNPLLGDDNVEAGKSDSKEIDARPVSYSYTFFHVIFALASMYSAMLLTGWTSAASDSSELMDVGWTTVWVRICTEWATAALYIWTLVAPLLFPDRDFS >ONIVA03G02610.1 pep chromosome:AWHD00000000:3:2014634:2015449:-1 gene:ONIVA03G02610 transcript:ONIVA03G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRVCAYWRASRRLRRERGGARWCGKCRSSGSGSDGSSGGGKRQAAAKSSSKKRQPRRRWQQQQQQAAGSSRKSGSRQQQHPHPPPRPCLLSAHLASSFFSAAAPKGARRGDLLLVSSVEPLPAASVAAAQPFRTRASSETTMLVTGATGYIGCFVVRELLRRGHPVVADSLSRAPGAAWAAAMAPTSWSRTSAPPASSSPTSPRRARSSLTCPRVAPSTPRSATLLPRQPRRWRPGLVARGRRWEKDGRRRKMKRMDGKSDGSGTILIL >ONIVA03G02600.1 pep chromosome:AWHD00000000:3:1926623:1931215:1 gene:ONIVA03G02600 transcript:ONIVA03G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein [Source:Projected from Arabidopsis thaliana (AT2G33800) TAIR;Acc:AT2G33800] MAATSSTASAMAVAATSPTIATAPFSSLPLCLRLRPKPLLFTSRLLLPVPKSSSWVESVSEEGEEEGVEEDSEESGAAGEDDDGEDNDEKPRPEPVAASGFEFASPPEGYVEPAPFDELPPESPEDVAAAYEALYGPAFSGETVMGNNVFEVKVVDPVDMDREQRPSDEFSERVVQVNRVTKVVKGGRQLSFRAIVVVGDMKGHVGVGVGKAKEVTEAITKAAMNGRRNLVTVPLTKYSTFPHRADADYGAARVMLRPACPGSGVIAGGAVRVVLEMAGVENALGKQLRSKNPLNNARATIKATQMMRQFKDVAAERGLPMEQLWK >ONIVA03G02580.1 pep chromosome:AWHD00000000:3:1750343:1750594:-1 gene:ONIVA03G02580 transcript:ONIVA03G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAKIAVVCLVVLSVGQSMMMTAAATTAATGATTCNCDTSGCYNCMLDVVTNWCKGRDFNWMVFTACLIKYSKENQCFSK >ONIVA03G02570.1 pep chromosome:AWHD00000000:3:1746431:1749539:-1 gene:ONIVA03G02570 transcript:ONIVA03G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGDGGASGRPPFLLRRPVIASGISRAATVSPGSRGVAAVALGSHWLLCARKMLGGRGPSRCRLVANVQVGVLAAPSSFPVSLPRPPSAPSSPPAAATTSQRGLRRRLACAPLSPSLQTTFP >ONIVA03G02560.1 pep chromosome:AWHD00000000:3:1729110:1736154:1 gene:ONIVA03G02560 transcript:ONIVA03G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELEYWTHHHPSKQSNMAPPLARLPPGDLPCDPDSTQNPSSGSNAMSQTQHHPGRRRIRSKWMRPKTPKSSSSRSSSYESSSSSSASAAAGQQQPSTLSSSAAAAATKSIERFRRRPSGSGVPISSCGSRFSTMSHFPSGSRVKCTVLAVLCGKVGKQRAPPCPVPGSQRPRPSYPFPELISSGRLEVHMLINPTVDQFLEAQRALQPRFMYLQGQQLDNEEKIGTLVWGDADVSDPQIFSSLIRPPFPTIVYLEVPSGEKIAQSLQSKVAYATFELYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNEMAEEEDTSEYFPDIKIYDENVNLKLLICGAHCTLDSSLLNSLEDGLNALLNIEHTHIEKLSSGGGDWWFRWCKLQDRVSAAPPLHVDSTLLDGMVTICCDITTSSSSHVSLLSGSPQTCFDDKLLEKHIKKELIDSRRLVRVVSVSKDGPSSAEPLTSMSVASGASTFEVLMTLPRWVAQVLKYLAQETSYKSLVPLGIASVNVKMWIGFFSFAQSKMKLLELVYIPIRQDGLHPLRRTELREVWCQNQLGEGYKGSGNL >ONIVA03G02560.2 pep chromosome:AWHD00000000:3:1729110:1736154:1 gene:ONIVA03G02560 transcript:ONIVA03G02560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQELEYWTHHHPSKQSNMAPPLARLPPGDLPCDPDSTQNPSSGSNAMSQTQHHPGRRRIRSKWMRPKTPKSSSSRSSSYESSSSSSASAAAGQQQPSTLSSSAAAAATKSIERFRRRPSGSGVPISSCGSRFSTMSHFPSGSRVKCTVLAVLCGKVGKQRAPPCPVPGSQRPRPSYPFPELISSGRLEVHMLINPTVDQFLEAQRALQPRFMYLQGQQLDNEEKIGTLVWGDADVSDPQIFSSLIRPPFPTIVYLEVPSGEKIAQSLQSKVAYATFELYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNEMAEEEDTSEYFPDIKIYDENVNLKLLICGAHCTLDSSLLNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSTLLDGMVTICCDITTSSSSHVSLLSGSPQTCFDDKLLEKHIKKELIDSRRLVRVVSVSKDGPSSAEPLTSMSVASGASTFEVLMTLPRWVAQVLKYLAQETSYKSLVPLGIASVNVKMWIGFFSFAQSKMKLLELVYIPIRQDGLHPLRRTELREVWCQNQLGEGYKGSGNL >ONIVA03G02560.3 pep chromosome:AWHD00000000:3:1729110:1736154:1 gene:ONIVA03G02560 transcript:ONIVA03G02560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQELEYWTHHHPSKQSNMAPPLARLPPGDLPCDPDSTQNPSSGSNAMSQTQHHPGRRRIRSKWMRPKTPKSSSSRSSSYESSSSSSASAAAGQQQPSTLSSSAAAAATKSIERFRRRPSGSGVPISSCGSRFSTMSHFPSGSRVKCTVLAVLCGKVGKQRAPPCPVPGSQRPRPSYPFPELISSGRLEVHMLINPTVDQFLEAQRALQPRFMYLQGQQLDNEEKIGTLVWGDADVSDPQIFSSLIRPPFPTIVYLEVPSGEKIAQSLQSKVAYATFELYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNEMAEEEDTSEYFPDIKIYDENVNLKLLICGAHCTLDSSLLNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSTLLDGMVTICCDITTSSSSHVSLLSGSPQTCFDDKLLEKHIKKELIDSRRLVRVVSVSKDGPSSAEPLTSMSVASGASTFEVLKYLAQETSYKSLVPLGIASVNVKMWIGFFSFAQSKMKLLELVYIPIRQDGLHPLRRTELREVWCQNQLGEGYKGSGNL >ONIVA03G02560.4 pep chromosome:AWHD00000000:3:1729110:1736154:1 gene:ONIVA03G02560 transcript:ONIVA03G02560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQELEYWTHHHPSKQSNMAPPLARLPPGDLPCDPDSTQNPSSGSNAMSQTQHHPGRRRIRSKWMRPKTPKSSSSRSSSYESSSSSSASAAAGQQQPFSTMSHFPSGSRVKCTVLAVLCGKVGKQRAPPCPVPGSQRPRPSYPFPELISSGRLEVHMLINPTVDQFLEAQRALQPRFMYLQGQQLDNEEKIGTLVWGDADVSDPQIFSSLIRPPFPTIVYLEVPSGEKIAQSLQSKICNFHTLSLLGSCSHAWDAFQVAYATFELYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNEMAEEEDTSEYFPDIKIYDENVNLKLLICGAHCTLDSSLLNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSTLLDGMVTICCDITTSSSSHVSLLSGSPQTCFDDKLLEKHIKKELIDSRRLVRVVSVSKDGPSSAEPLTSMSVASGASTFEVLMTLPRWVAQVLKYLAQETSYKSLVPLGIASVNVKMWIGFFSFAQSKMKLLELVYIPIRQDGLHPLRRTELREVWCQNQLGEGYKGSGNL >ONIVA03G02560.5 pep chromosome:AWHD00000000:3:1729110:1736154:1 gene:ONIVA03G02560 transcript:ONIVA03G02560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQELEYWTHHHPSKQSNMAPPLARLPPGDLPCDPDSTQNPSSGSNAMSQTQHHPGRRRIRSKWMRPKTPKSSSSRSSSYESSSSSSASAAAGQQQPFSTMSHFPSGSRVKCTVLAVLCGKVGKQRAPPCPVPGSQRPRPSYPFPELISSGRLEVHMLINPTVDQFLEAQRALQPRFMYLQGQQLDNEEKIGTLVWGDADVSDPQIFSSLIRPPFPTIVYLEVPSGEKIAQSLQSKVAYATFELYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNEMAEEEDTSEYFPDIKIYDENVNLKLLICGAHCTLDSSLLNSLEDGLNALLNIEHTHIEKLSSGGGDWWFRWCKLQDRVSAAPPLHVDSTLLDGMVTICCDITTSSSSHVSLLSGSPQTCFDDKLLEKHIKKELIDSRRLVRVVSVSKDGPSSAEPLTSMSVASGASTFEVLMTLPRWVAQVLKYLAQETSYKSLVPLGIASVNVKMWIGFFSFAQSKMKLLELVYIPIRQDGLHPLRRTELREVWCQNQLGEGYKGSGNL >ONIVA03G02560.6 pep chromosome:AWHD00000000:3:1729110:1736154:1 gene:ONIVA03G02560 transcript:ONIVA03G02560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQELEYWTHHHPSKQSNMAPPLARLPPGDLPCDPDSTQNPSSGSNAMSQTQHHPGRRRIRSKWMRPKTPKSSSSRSSSYESSSSSSASAAAGQQQPSTLSSSAAAAATKSIERFRRRPSGSGVPISSCGSRFSTMSHFPSGSRVKCTVLAVLCGKVGKQRAPPCPVPGSQRPRPSYPFPELISSGRLEVHMLINPTVDQFLEAQRALQPRFMYLQGQQLDNEEKIGTLVWGDADVSDPQIFSSLIRPPFPTIVYLEVPSGEKIAQSLQSKVAYATFELYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNEMAEEEDTSEYFPDIKIYDENVNLKLLICGAHCTLDSSLLNSLEDGLNALLNIELVSAHNISLCSAAPPLHVDSTLLDGMVTICCDITTSSSSHVSLLSGSPQTCFDDKLLEKHIKKELIDSRRLVRVVSVSKDGPSSAEPLTSMSVASGASTFEVLMTLPRWVAQVLKYLAQETSYKSLVPLGIASVNVKMWIGFFSFAQSKMKLLELVYIPIRQDGLHPLRRTELREVWCQNQLGEGYKGSGNL >ONIVA03G02550.1 pep chromosome:AWHD00000000:3:1719442:1720353:-1 gene:ONIVA03G02550 transcript:ONIVA03G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRRIRIYYSDPDATDSDSDSDSDSDSADAGDHDISSRSSRTNAAARRKVIVISLSRKKGRSSSQSGRHYRRRRSEIPAAAAKAVEAEDWPSSSDGMRRGAVAAARSASAAAKKGSRGGVYQRQPGRWAVDFRDSQLKVRQWLGTFPSEEEARAAYDAFEVQVRASLSCGGAGAVAPSPARVKIQLPAPRRVFGRRKKTQTTTTTTESSSQATVSASPSSEAAALPSSSASAAMPPLLNPPPFVVDPFLGEDDHLAADDDRFGFGLADLGHLPLPFLDDNDMDFKLSDSDDLSSLFDIGFM >ONIVA03G02540.1 pep chromosome:AWHD00000000:3:1718589:1719143:1 gene:ONIVA03G02540 transcript:ONIVA03G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLLLAVTASAQVLCRSQFNLANEACSMRTLPGVMRPPLVPPRPLKLNETSVTAGGRRHGLQSRGGGGGGGGGGGGGDGDGDDDGDYYYDDADGEKQGGGGDEEGRGRRRRHRHRRNVDDDESREDPHDTACCRRLMSLDNSCVCQAAARLPAFMTAVRHVVRLTPVDGCHVTFECPGSF >ONIVA03G02530.1 pep chromosome:AWHD00000000:3:1715688:1716439:1 gene:ONIVA03G02530 transcript:ONIVA03G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYMITQGSNHGQLVVHKGDHHGLTITLLLAAAFFFLILLSSSLVKINEKPPKLDHRKLAAAAGGTNVVEGQIAVCLVGAAQRFELTGPSIAWNVLAPQYPHADLFLHNPLDRDSYKFSLLKDAP >ONIVA03G02520.1 pep chromosome:AWHD00000000:3:1694175:1710878:-1 gene:ONIVA03G02520 transcript:ONIVA03G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQSVQTQRDTARLRGGKRRRISASTSSGSSTSRSSSPPAAGGGSSMISIEAELDTDPDWIGMIVGLERLLVGKRLPSGQRKRKEEERESRQDLILAALEIRHDEGGIPFGLAREVERTRESD >ONIVA03G02510.1 pep chromosome:AWHD00000000:3:1691153:1694057:-1 gene:ONIVA03G02510 transcript:ONIVA03G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G23590) TAIR;Acc:AT5G23590] MAAMGQEDDDVDHYEVLCLPSGEEGAGLSLEQIEKAYRTQSRLRHPDKRPDDPNATADFQRLASSYNFLRDESLRRQFDARLRGRREAAARAAASGVKRRKAVSDLEERERAAATGQAVDAAEAARREDKRKAADVKRELEEFFAAKQSASSSTPPASAPGAPQDAPKTDKGKILKVSWEGGADYYNAAKLEEIFKQFGGVEDVVIKTRKSRSRGSAIVVMASKEAALSALQNHSVYNVFSVPLIVAPVQESGGVPTRSTHTPETRPSNLGGTGFNDLEASVFRKLQEAQKRKQSG >ONIVA03G02500.1 pep chromosome:AWHD00000000:3:1688117:1690462:-1 gene:ONIVA03G02500 transcript:ONIVA03G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35130) TAIR;Acc:AT4G35130] MATPLVLAARPARATTTTACASQNLIPATSKEPPPRLPPKRGSSKSLVVSLAAEGRMDEAVEALAAVRGPDAFLHNVMIRGFADAGLPAGALAAYRGMLEDGARPDRFTFPVVVKCCARLGDLDEGHAAHGMVIKLGLEHDVYTCNSLVAFYAKLGLVEDAERVFDGMPVRDIVTWNIMVDGYVSNGLGSLALACFQEMHDALEVQHDSVGIIAALAACCLEFSSMQGKEIHGYVIRHGLEQDIKVGTSLLDMYCKCGEVAYARSVFATMPLRTVVTWNCMIGGYALNERPDEAFDCFMQMRAEGLQVEVVTAINLLAACAQTESSLYGRSVHGYVVRRQFLPHVVLETALLEMYGKVGKVESSEKIFGKIANKTLVSWNNMIAAYMYKEMYTEAITLFLELLNQPLYPDYFTMSTVVPAFVLLGSLRHCRQIHSYIIGLGYAENTLIMNAVLHMYARSGDVVASREIFDKMVSKDVISWNTMIMGYAIHGQGKTALEMFDEMKYNGLQPNESTFVSVLTACSVSGLVDEGWMHFNLMLQEYGMIPQIEHYGCMTDLLGREGDLREVLQFIESMPIDPTSRVWGSLLTASRNQNDIDIAEYAAERIFQLEHDNTGCYIVLSSMYADAGRWEDVERVRLLMKEKGLRRTEPISLVELHSTACSFANGDMSHSQSRTIHEVSDILSRKIKETDDTRNQSYPVPVATRTTTMPNKHSVRLAVVFGLISSEIGSPILVKKNVRICNHCHHALKLISRYSGRRIVVGDSKIYHEFSDGSCCCGDYW >ONIVA03G02490.1 pep chromosome:AWHD00000000:3:1683976:1685559:-1 gene:ONIVA03G02490 transcript:ONIVA03G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQMHVLSALDSAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLIGRVYYTADGASKPGSLPPNVSAAVNGVAFVGTLTGQLFFGWLGDRVGRKSVYGMTLLLMIICSVASGLSFGDTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGAVAIGITAIFRSRFPAPPFAADPAASTPPQADYVWRLILMFGALPAALTFYWRMRMPETARYTAIVAKNAERAAADMSKVLQVKITAEQAEMASPVDKPFTSKPFGLFSGEFARRHGFHLLGTTSTWLLLDIAYYSQNLFQKDIFSAIGWIPEAKTMSALDELYHIARAQTLIALCGTVPGYWFTVALIDVVGRFKIQAAGFFMMTAFMLALAVPYDHWTAAGNQIGFVVLYALTFFFANFGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIVGSFGFLYLAQSPVPAKAAAHGYPPGIGVRNSLFALAGCSLLGFLLTFLVPEPKGKSLEEMSRENEVGQP >ONIVA03G02480.1 pep chromosome:AWHD00000000:3:1683016:1690571:1 gene:ONIVA03G02480 transcript:ONIVA03G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSCSIRLKCIHPSSTKPDTLQAVNTETKCLSDPSKTNAGSQQIDSGDHLNLEALCPHLHEAIKCLIRPFIQRIPTNHAVPCHYGPQRHRCKHAPSISNFATFAVHVQKRSTHLDILLQPPIRHIPVHHYVPRDDVPNRHSVEHPFGVLDEAKLGVERDERVAGVDVVLQAKLDHHAVRRVALVEIPEARAALDDDGEGEAVGARAVLEHAAAARAPAGRPASAKPRIMTLWRNASGPLTAARASTASSMRPSAARETTRDLELPRFGGSLGGGSLEVAGMRFWEAQAVVVVARAGRAARTSGVAMAGVGVGRGGGDGVVAGYFSVAVSEVYPTRERRGEK >ONIVA03G02470.1 pep chromosome:AWHD00000000:3:1680350:1680754:-1 gene:ONIVA03G02470 transcript:ONIVA03G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTSADSAPDLRRLCLRPQAPSTPPPTVGSADSAASTTAHTSTGPSLQAPDGSGAPGRIRALTARSGGGNDFCDFVLCPNDCEREVILFFGQMNVIVMFLVILFCVQMIVNVIIFVICECLMKVCDQLCL >ONIVA03G02460.1 pep chromosome:AWHD00000000:3:1675497:1676588:1 gene:ONIVA03G02460 transcript:ONIVA03G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GGF5] MEAATMAWTAAGVGMALVYWFVWVMGAAEVKGKRAVDLKMGSITNDKVKDKYTQYWSFFRRPKETATTEASAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHREATRVHEERVADLLQAKPGHRLLDVGCGVGGPMRAIAAHSGSNVVGITINEYQVNRARAHNRKAGLDSRCEVVCGNFLSMPFSDASFDGAYSIEATCHAPRLQDVYGEVFRVLKPGGLYVSYEWVTTSLYRADNPEHVEAIHGIERGDALPGLRRQDEIASIAKEVGFEVLKELDLALPPALPWWTRLKMGRIAYWRNSLVVRVLTMLRIAPKGVCEVHEMLYETAQHLTRGGETGIFTPMHMVLLRKPVESK >ONIVA03G02450.1 pep chromosome:AWHD00000000:3:1669656:1670138:1 gene:ONIVA03G02450 transcript:ONIVA03G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDAARVKLGGGAMMTAEGVGGAAADCHCSAPPISRAATPPDSRLRSPPPTRLHWFAPPGVDPPIRQGWAAGPAMARAAPPPTLLGPSYHHILTTLGTKMSSRSTSEQPNRRSHKPHASDASFLLTWIGATPSIRLRGFPLQPSPSRPDADAVTPARR >ONIVA03G02440.1 pep chromosome:AWHD00000000:3:1662833:1668733:-1 gene:ONIVA03G02440 transcript:ONIVA03G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWARLLFSSPLLSSRLSPLPLSNDSVLCARLCLPGSPSSTLPHLAAAAFHLGSVGLGVVNNSDQDYSHLPELDLSVMLNQGTLHQSQDQLLINHTSPVRSPSDCGVRYHRCLWSRGLRTMVETSTDLEGTLDQVSGIFSLWVDRVKWTVQGIPTCPRGNNYRKGSYSAVEDPIVAAGNTAVFEIGEKVTWVTEQPLMDILATWHLLCNVKY >ONIVA03G02440.2 pep chromosome:AWHD00000000:3:1662833:1668733:-1 gene:ONIVA03G02440 transcript:ONIVA03G02440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWARLLFSSPLLSSRLSPLPLSNDSVLCARLCLPGSPSSTLPHLAAAAFHLGSVGLGVVNNSDQDYSHLPELDLSVMLNQGTLHQSQDQLLINHTSPVRYHRCLWSRGLRTMVETSTDLEGTLDQVSGIFSLWVDRVKWTVQGIPTCPRGNNYRKGSYSAVEDPIVAAGNTAVFEIGEKVTWVTEQPLMDILATWHLLCNVKY >ONIVA03G02440.3 pep chromosome:AWHD00000000:3:1662833:1664785:-1 gene:ONIVA03G02440 transcript:ONIVA03G02440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSTDLEGTLDQVSGIFSLWVDRVKWTVQGIPTCPRGNNYRKGSYSAVEDPIVAAGNTAVFEIGEKVTWVTEQPLMDILATWHLLCNVKY >ONIVA03G02440.4 pep chromosome:AWHD00000000:3:1664791:1668733:-1 gene:ONIVA03G02440 transcript:ONIVA03G02440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWARLLFSSPLLSSRLSPLPLSNDSVLCARLCLPGSPSSTLPHLAAAAFHLGSVGLGVVNNSDQDYSHLPELDLSVMLNQGTLHQSQDQLLINHTSPVSFRRVRLTVVSGIIDVYGRED >ONIVA03G02440.5 pep chromosome:AWHD00000000:3:1664791:1668733:-1 gene:ONIVA03G02440 transcript:ONIVA03G02440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGWARLLFSSPLLSSRLSPLPLSNDSVLCARLCLPGSPSSTLPHLAAAAFHLGSVGLGVVNNSDQDYSHLPELDLSVMLNQGVRLTVVSGIIDVYGRED >ONIVA03G02430.1 pep chromosome:AWHD00000000:3:1655814:1657734:-1 gene:ONIVA03G02430 transcript:ONIVA03G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEESFLDELMSLRRDGSAPWQAPPYPGGGGGGGMMMSDLLFYGGDGGSAEARGGMDGSPFQELASMAAPPPQHPHEEFNFDCLSEVCNPYRSCGAQLVPSEAASQTQTQLTPLRDAMVAEEETSGDKALLHGGGGSSSPTFMFGGGAGESSEMMAGIRGVGGGVHPRSKLHGTPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELTERIKTLEEEIGATPEELDLLNTMKDSSSGNNNEMLVRNSTKFDVENRGSGNTRIEICCPANPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMQASCLQEDGKRQVVSTDEIKQTLFRSAGYGGSSGSTSFLNTPESKLKICSSIVSFAFVNRYGNRPCDLSNIGFELNAKVPIVCTLA >ONIVA03G02420.1 pep chromosome:AWHD00000000:3:1648807:1651770:-1 gene:ONIVA03G02420 transcript:ONIVA03G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat protein [Source:Projected from Arabidopsis thaliana (AT5G66055) TAIR;Acc:AT5G66055] MPPSLSLHHHLLLFPFPSHVQTLNPLSASPRLHPHSKSLLPRRPLVTSPSSFAVAAVDEFDEDFAIGDCVVFEDDAFEEPDVDLPSPAPSTTSRPRRKPAAEAGGGGGSSLVPERWRDAAEEINLTKKEKRRIAHGLRFGSRLERRAPPAVAAPDEYRAYREGRLDAELGRVARDYAEPIERSPVPDRVEAPPPPEPGARVAPRNPRLGLGVRSLDDITELFNSTEYVPGEMEDGNNPKSRRKLFTDEEKVLLNKRVPDLEAATSSKWLPLHTIAASGDFYLLDNLLKHNINVNALDKDGLPAIHKAILSKKHAIINYLLRNSANPFIHDKDGATLMHYAVQTACSQTIKTLLLYNVDINRPDDYGWTPLHLAVQTQRTDIVKLLLIKGADRTLKTQDGFTPLELCLRLGHHARTYELIKLLKSFRLPKQHDPVQHLDDRGCSLKGINRLWYAHDNWKAKVP >ONIVA03G02410.1 pep chromosome:AWHD00000000:3:1638132:1642603:-1 gene:ONIVA03G02410 transcript:ONIVA03G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEASRGPGRDWNMVRACHARALMRDARAVAVAGSQAGEVNASETPGNLALPPPPSGHRARARKCLVAGRVGRRRQLRRPWEGAGRAGGGRDGAPSDCAAHPSSILSPSLAGLDRITGADRITGLDRITDADALFLLRCCRHRQADADAGGDCGDGEGRPSSRAVRVIYISSWELASSRVVVSRPTGFTRYREERG >ONIVA03G02400.1 pep chromosome:AWHD00000000:3:1634601:1637131:-1 gene:ONIVA03G02400 transcript:ONIVA03G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G17020) TAIR;Acc:AT2G17020] MCPPPPDPISSPPPPAMDSALPSAVLATILSRLDVRSLVAASAACRCLRSCASHALSFLPSFHLSEVALTHELLRPLMPLNPSLRSIRLDCARLEDAAIDCLARPDLHELMLLNCDNISGRLLCELGATCQELRVLSLNALAERRGLPISFSDLQQLLNGCSQLESLRLALDFSMFDDPNFSHVWASASEALTSLEIGYIPMTMLLELLTVAMESQRCMHHVKEPVFFPSLQKLCLTVDFITDHLIGSLSTALPSLTHLDLQDAPIIEPTTSSDLTNAGLQQINPNGKLKHISLMRSQEFLFTSFRRVNDLGILLMAEKCSSLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSQFTDLVFHDIIATSLCLTHVSLRWCNLLTDVGIERLSFNKDLNVLDLRDCRSLGDEAVRSLSCLPKLQILFLDGSDISDQALKYLGLGTCPLASLSLRGCRKLTNDCIPLLFAGSVKQSLQVLDLSRIPGITDDGIMLLARSRTPIIELRMRENPKIGDAAVMALASMLVDGGTHGSSLQLLDLYDCGAITPLAIRWFKKPYFPRLRWLGVTGSLNRVMVDALVRSRPFLHMACRGEELGTFNWDRSSDWYRHDDDDLDELEQWILNGEPVSDTETITEE >ONIVA03G02390.1 pep chromosome:AWHD00000000:3:1628560:1629535:-1 gene:ONIVA03G02390 transcript:ONIVA03G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPMISPAVARVAACLLEKDVPFQVEPVDMSKGEHKSPSFLKLQPFGQVPAFKDSLTTVFESRAICRYICDQYADSGNKTLMGRKEDGVVGRAAIEKWIEAEGQSFNPPSLAMAFQLAFAPFMGRATDMAVVEQNEAKLVKVLDVYEQWLGENQYFAGDEFSLADLVHMPNTDLLVRKTNKAGLFTERKNLARWWDEVSARPSWKKVVELQNVPRPS >ONIVA03G02380.1 pep chromosome:AWHD00000000:3:1626196:1627044:-1 gene:ONIVA03G02380 transcript:ONIVA03G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKDLEFELVRIDTFKREHKLPEFIKLRDPNGQVTFKHGDKTIVDSRAICRYVCTQFPEGNKTLYGTGSLERASIEQWLQAEAQNFSPPSSALVFHLAFAPHLNIPQDHAVIAENEKKLQQVLNVYDEILSKNEYLAGDEFSLADLSHLPNSHYIVSSERGRKLFTGRKNVARWYDQISKRETWKQVVKMQREHPGAFE >ONIVA03G02370.1 pep chromosome:AWHD00000000:3:1622199:1623083:-1 gene:ONIVA03G02370 transcript:ONIVA03G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKNLEFELIRIDTFKKEHKLPEFIKLRDPTGQVTFKHGDKTLVDSRTICRYLSTQFPDDGNRTIYGTGSLERASIEQWLQAEAQSFDAPSSELVFHLAFAPQLNIPADEARIAENERKLQQMLNVYDEILAKNKYLAGDEFTLADLSHLPNSHYIVNARSPRGKKLFTSKKHVARWYEEISNRASWKQVVKMQSEHPGAFE >ONIVA03G02360.1 pep chromosome:AWHD00000000:3:1615988:1617108:-1 gene:ONIVA03G02360 transcript:ONIVA03G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVKVFGSPTSAEVARVLMCLFEKDVEFQLVRVDAYRGTQRMPQYLKLQPLGEALTFEDDNLTLSESRGILRHIAHKYARQGNPDLIGTGALERASIEQWLQTEAQSFDVPSAEMVYSLAFLPPNMPKQNDNGNGNGNGNGYGNSNGREVQVANASSKRVVAGATDGKTAASGANGNKQQQKEEEMRKVFEKSKKDLEKLLDIYEQRLEEAAYLAGDKFTIADLSHLPNADRLASDPRSRRMFEARKNVSRWWNNISSRESWEYVKSLQRPPSAAHAGNAQQQQQQQSPSAGNNYQHQQGQGQGQQHYRNEQVENYNN >ONIVA03G02350.1 pep chromosome:AWHD00000000:3:1613804:1615115:1 gene:ONIVA03G02350 transcript:ONIVA03G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARGLSCLMSPLSGKRHAGDARRSSAACICCIGPHHKPSSAVGGGGGCVPCLAPHADHSVRAPLTSCCGSGGGGGDNNLRGRSSTTTSARTPRTPKTPCTPTARRLCGVRSRTPRRGQVGCFQSSAPAAARTPRTPTTQRACYVRGTGTAQGNAKLGRRRRWLRSTGQTPRRTARAGGDVGNGGDVKVYNTGLVEAAAEESVTKEEETSSNDEYALLCRQGFPREDVAAVTIQAYFRGHLARRAFKALKSLVRLQAVARGAYVRRQAEVAIHCMQAMVRLQMRVRARQMLTKPKEGQLLPS >ONIVA03G02340.1 pep chromosome:AWHD00000000:3:1594388:1595438:1 gene:ONIVA03G02340 transcript:ONIVA03G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATQDGLSRSAPRSDGELGLLDGDQTLVQQTEQSVSERFNSTAVDAPLPAQNLKSLEKC >ONIVA03G02330.1 pep chromosome:AWHD00000000:3:1587574:1589523:-1 gene:ONIVA03G02330 transcript:ONIVA03G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAAENWWVLPLTFLPPISGQNESAATLSAMATSFVYLAIFACLAWAGTALLYWAHPGGPAWGKYWRARGQSPRCSIPGPKGLPVVGSLGLMSGLAHCSLAAEAARRPGAKRLMALSLGPVRAVVTSHPDVAKEILDNPAFADRPLNHAAYGLMFHRSIGFAEHGPYWRALRRVAAGHLFGPRQVDAFAPYRARVAGGVVAALRGAGGEAAVQVRGVLRRASLYYIMRFVFGKEYDVSRGAPESGEEVEELLEMVHEGYDLLGKENWCDYFPGLAAVDPQGVGARCAELMPRVNRFVRGIIQEHRGKAIAGGEARDFVDILLSLQESEGLADADIAAVLWEMIFRGTDAMAVLMEWTLARVVLHPDVQANVHRELDAVVGRSNTVAESAVPSLPYLQALLKEALRMHPPGPLLSWRHRAISDTYVDGHLVPAGTTAMVNQWAMSRDADVWDAPLEFQPERFLPGGEAHGVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTAWMATLLHEFEWTPASGAVDLSEVLRLSCEMAVPLEVRVSARRNV >ONIVA03G02320.1 pep chromosome:AWHD00000000:3:1582215:1588869:1 gene:ONIVA03G02320 transcript:ONIVA03G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMLATLPDVTAVLHSPSASPPSGLRAPAAVGMGMARTRFLAPRAAASAASAVSAKPAAVAPLYADRTVVRIGLPSKGRMSEQTLSLLKSCQLSVRHLNPRQYTADIPQVPNLEVWFQRPKDIVRKLQSGDLDLGIVGLDIVSEYGQGSDDLVVVHDALEFGHCRLSLAVPKEGIFENINTLEDLANMPEWTQERPLRVVTGFGYLGEKFMRENGFNHVSFLAGDGALESYPAMGMADVIVDLVSSGTTLRENNLKEIDGGVVLESQATLVACRRSLHKRNGVLEITHEMLERLEAHLTATGEIMVTANMRGNSAEEVAERVLSQTSLCGLQGPTISPVYRSRDGKVAVEYYAINVVVPQKSLYKSIQQLRSIGGSGVLVTKLTYIFDEETPRWRKLLSELGL >ONIVA03G02310.1 pep chromosome:AWHD00000000:3:1571313:1575334:-1 gene:ONIVA03G02310 transcript:ONIVA03G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGCLVLEKVEDHGGEAAGRGRGRLAQGGGGGGGGCGSCAGEWRSRSETMFPIYVMGSSRASSAAAARGIVDAAGDPIWEAVKSEAKSEAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNNKDIRRSIRLDAQAFKDRDPACAQYSWALLYLKGYHSVQSYRIAHVLWNQGRKVLALALQSRISEVFAVDIHPAARIGEGILLDHGTGLVIGETAIVGNWVSLMQGVTLGGTGKENGDRHPKIGQGALLGAGATILGNINVGEGAMIAAGSLVLKDVPPHSMAVGNPAKVVGYKDKEDPSLTMKHDARRDYFEHVAVSFSDDKANGTHGPEAPQLYFLVAFGPL >ONIVA03G02310.2 pep chromosome:AWHD00000000:3:1571491:1575334:-1 gene:ONIVA03G02310 transcript:ONIVA03G02310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGCLVLEKVEDHGGEAAGRGRGRLAQGGGGGGGGCGSCAGEWRSRSETMFPIYVMGSSRASSAAAARGIVDAAGDPIWEAVKSEAKSEAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNNKDIRRSIRLDAQAFKDRDPACAQYSWALLYLKGYHSVQSYRIAHVLWNQGRKVLALALQSRISEVFAVDIHPAARIGEGILLDHGTGLVIGETAIVGNWVSLMQGVTLGGTGKENGDRHPKIGQGALLGAGATILGNINVGEGAMIAAGSLVLKDVPPHSMAVGNPAKVVGYKDKEDPSLTMKHDARRDYFEHVAVSFSDDKANGSVVK >ONIVA03G02300.1 pep chromosome:AWHD00000000:3:1559648:1569425:-1 gene:ONIVA03G02300 transcript:ONIVA03G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLAQSHTMRAASRALRRLARRSSTGSPPCHHQSPQDYSIPHLDYTTNLASPRAINLSPWRHQRAFTSARSGAASLLFSSLAGREGEAEGEVLDMEAGTVRCAANYAPLTPLSFIERAAAVYGDRAAVVCGERRHTWREARRRCVRVAAALAARFGVARGDVVSGNSTYQHELPRAAAVYGDRAAVVCGERRYTWREARGRCVRLAAALAARFGVARGDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMISTLLSHSGAKVFFVESHLLDVGRAALRRLAGSTSAASLPVLLTISDDGAGARDSGCVDYEDLVRDAPSEFDIRWPVDEMDPITLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITAMPTYLWTVPMFHCNGWNLPWGVAMQGGTNICLRHFTAKVIFDSIARHGVTHMGGAPTVLNMIANAPATDRRALPGPVRVMTGGAAPPPRVLLAVEELGFVLYHIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHIAVQDVAVKNSATMENVPYDGQTVGEVMFRGNTVMSGYYKDIGATKESMAGGWLHSGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDYWGETPCAFVKLKDGANATEGEIISFCRERLPHYMAPKTVVFDDLPKTSTGKTQKFVLREKARAMGSLTKSANIEKADINCDKSIPIAGTRAAMEGCMPSDANYAPLTPVSFLERAAVVYGDRTAVVSGGREYSWRETRERCLAGASALARLGVGRRDVVAVIAANIPAMYELHFSVPMAGGVLCTLNTRHDAAMVSVLLRHSEAKVFLVESQFLAVAHDALRLLADAKAKFPLVIAISDTGDSSSSDGGGLEYEALLRDAPRGFEIRWPADERDPISLNYTSGTTSRPKGVIYSHRGAYLNSLAALLCNDMTSMPVYLWTVPMFHCNGWCMAWATAAQGGTNICVRNVVPKVIFEQIVRHGVTNMGGAPTVLNMIVNAPASERRPLPRRVLISTGGAPPPPQVLAKMEELGFNVQHGYGLTETYGPATRCVWRPEWDALPVAERARIKALQGVQHQMLQDVDIKDPVTMASVPSDGRAVGEVMLRGNTVMSGYYKDAAATEEAMRGGWLRTGDLGVRHPDGYIQLKDRAKDIIISGGENISSIEVESVLFGHHAVLDAAVVARPDDHWGETACAFVTLKDGASATAHEIIAFCRARLPRYMAPRTVVFGDLPKTSTGKTQKFLLREKARAMGSLPMQSKSKL >ONIVA03G02300.2 pep chromosome:AWHD00000000:3:1559648:1569425:-1 gene:ONIVA03G02300 transcript:ONIVA03G02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLAQSHTMRAASRALRRLARRSSTGSPPCHHQSPQDYSIPHLDYTTNLASPRAINLSPWRHQRAFTSARSGAASLLFSSLAGREGEAEGEVLDMEAGTVRCAANYAPLTPLSFIERAAAVYGDRAAVVCGERRHTWREARRRCVRVAAALAARFGVARGDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMISTLLSHSGAKVFFVESHLLDVGRAALRRLAGSTSAASLPVLLTISDDGAGARDSGCVDYEDLVRDAPSEFDIRWPVDEMDPITLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITAMPTYLWTVPMFHCNGWNLPWGVAMQGGTNICLRHFTAKVIFDSIARHGVTHMGGAPTVLNMIANAPATDRRALPGPVRVMTGGAAPPPRVLLAVEELGFVLYHIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHIAVQDVAVKNSATMENVPYDGQTVGEVMFRGNTVMSGYYKDIGATKESMAGGWLHSGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDYWGETPCAFVKLKDGANATEGEIISFCRERLPHYMAPKTVVFDDLPKTSTGKTQKFVLREKARAMGSLTKSANIEKADINCDKSIPIAGTRAAMEGCMPSDANYAPLTPVSFLERAAVVYGDRTAVVSGGREYSWRETRERCLAGASALARLGVGRRDVVAVIAANIPAMYELHFSVPMAGGVLCTLNTRHDAAMVSVLLRHSEAKVFLVESQFLAVAHDALRLLADAKAKFPLVIAISDTGDSSSSDGGGLEYEALLRDAPRGFEIRWPADERDPISLNYTSGTTSRPKGVIYSHRGAYLNSLAALLCNDMTSMPVYLWTVPMFHCNGWCMAWATAAQGGTNICVRNVVPKVIFEQIVRHGVTNMGGAPTVLNMIVNAPASERRPLPRRVLISTGGAPPPPQVLAKMEELGFNVQHGYGLTETYGPATRCVWRPEWDALPVAERARIKALQGVQHQMLQDVDIKDPVTMASVPSDGRAVGEVMLRGNTVMSGYYKDAAATEEAMRGGWLRTGDLGVRHPDGYIQLKDRAKDIIISGGENISSIEVESVLFGHHAVLDAAVVARPDDHWGETACAFVTLKDGASATAHEIIAFCRARLPRYMAPRTVVFGDLPKTSTGKTQKFLLREKARAMGSLPMQSKSKL >ONIVA03G02290.1 pep chromosome:AWHD00000000:3:1556311:1561362:1 gene:ONIVA03G02290 transcript:ONIVA03G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTAALATPAAAALLLLVLLAAPASAANFTCAVASGTTCNSAILYTSPNATTYGDLVARFNTTTLPDLLGANGLPDGTLSSAPVAANSTVKIPFRCRCNGDVGQSDRLPIYVVQPQDGLDAIARNVFNAFVTYQEIAAANNIPDPNKINVSQTLWIPLPCSCDKEEGSNVMHLAYSVGKGENTSAIAAKYGVTESTLLTRNKIDDPTKLQMGQILDVPLPDLVLTSGKLVSSVCRSSISDTSADHNLMLLPDGTYGFTAGNCIRCSCSSTTYQLNCTAVQNKGCPSVPLCNGTLKLGETNGTGCGSTTCAYSGYSNSSSLIIQTSLATNQTTACQKGGSGRSQFARSMWSMSVISFHMLIEASSSGRSLTTSRRPTPRRASADAPARHRSRVSRHEYSLPPETTAVRSPYTTAARSKKLTGVSGA >ONIVA03G02290.2 pep chromosome:AWHD00000000:3:1556311:1562085:1 gene:ONIVA03G02290 transcript:ONIVA03G02290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTAALATPAAAALLLLVLLAAPASAANFTCAVASGTTCNSAILYTSPNATTYGDLVARFNTTTLPDLLGANGLPDGTLSSAPVAANSTVKIPFRCRCNGDVGQSDRLPIYVVQPQDGLDAIARNVFNAFVTYQEIAAANNIPDPNKINVSQTLWIPLPCSCDKEEGSNVMHLAYSVGKGENTSAIAAKYGVTESTLLTRNKIDDPTKLQMGQILDVPLPVCRSSISDTSADHNLMLLPDGTYGFTAGNCIRCSCSSTTYQLNCTAVQNKGCPSVPLCNGTLKLGETNGTGCGSTTCAYSGYSNSSSLIIQTSLATNQTTACQKGGSGRSQFARSMWSMSVISFHMVLIIICFL >ONIVA03G02280.1 pep chromosome:AWHD00000000:3:1550721:1555956:1 gene:ONIVA03G02280 transcript:ONIVA03G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRGLVARPFDLTTRGAAHWPCPAPRRRAIRCCCRAQQEPRRRLSKAAAAAPERTEEWRIDGNKPAAAARGRRRASLTAMPSLPFPSPRSRRQWKQQNFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGDDRGDNGYRCRWARMGGQSHDGTTEWKETWWEKSDWTGYKELGAEKSGKNGEGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGDRWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPVERPPGVYPTIDFSASSPAPPSDDPPGMPPSPLE >ONIVA03G02280.2 pep chromosome:AWHD00000000:3:1550880:1555956:1 gene:ONIVA03G02280 transcript:ONIVA03G02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYSSRRQWKQQNFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGDDRGDNGYRCRWARMGGQSHDGTTEWKETWWEKSDWTGYKELGAEKSGKNGEGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGDRWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPVERPPGVYPTIDFSASSPAPPSDDPPGMPPSPLE >ONIVA03G02270.1 pep chromosome:AWHD00000000:3:1550438:1550735:-1 gene:ONIVA03G02270 transcript:ONIVA03G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKEKNMGLGKKTKDAGGSRLSVGVKIGSNQEGTPRCGPTARMPPCAKLVVIV >ONIVA03G02260.1 pep chromosome:AWHD00000000:3:1548285:1548632:1 gene:ONIVA03G02260 transcript:ONIVA03G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activator-related [Source:Projected from Arabidopsis thaliana (AT5G42290) TAIR;Acc:AT5G42290] MEKLVPNTKKAMEDKEEKPKVPSSDPDLVDLVAGEQPQLQREHQPPNISEMKPLTREAYGGGMYANEGRRDPTLPRASATQSADGPEEAAVRPKHAPPPSTGDRDLDITGQSYIQ >ONIVA03G02250.1 pep chromosome:AWHD00000000:3:1539979:1541672:-1 gene:ONIVA03G02250 transcript:ONIVA03G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVAGPSVEVEQDLPGFRFHPTEEELLDFYLSRVVLGKKLHFNIIGTLNIYRHDPWDLPGMAKIGEREWYFFVPRDRKAGNGGRPNRTTERGFWKATGSDRAIRSSGDPKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDYGAARAAAPPPKEDMVLCKIYRKATPLKELEQRASAMEEMQRGSSHGDYTATRASLVHDASASTGDDYFSSDDVHDSGFLIQSSSSSAAPSGSSSKNGGAGAPREAKKEEADVTVTVASATSLQLPAVSQLPSLQLPAMDWLQDPFLTQLRSPWQDQHCLSPYAHLLYY >ONIVA03G02240.1 pep chromosome:AWHD00000000:3:1537834:1539298:1 gene:ONIVA03G02240 transcript:ONIVA03G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLPLAGATLLIAAAGGASGQQAGVGSIITRAMFESMLSHRGDQGCQGAFYTYDAFIKAAGDFPRFGTTGNDETRRRELAAFFGQTSHETTGGWATAPDGPFAWGYCRVNEITPSDPPYYGRGPIQLTHKYNYQLAGDALGLDLVNNPDLVSSDPVVAFRTAIWFWMTAQSPKPSCHDVITNQWTPSVDDRSSGRLPGYGMATNIINGGEECGKGYSTDNAKDRVGYYKRYCDMFRVGYGDNIACRDQKPYGGR >ONIVA03G02230.1 pep chromosome:AWHD00000000:3:1530293:1535716:1 gene:ONIVA03G02230 transcript:ONIVA03G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLQAGTHPEKPPGMAAPPQRPSFSLNQHQAPGSAAAQGVGRGEVPAFAEFSLAELRAATGGFAAENIVSESGEKAPNFVYRGRLQRTRRAIAVKKFPKMAWPDPKQFEEEAKGVGKLRHRRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAHHIAEALDYCSSNERPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHALDMIRGKNIQVLLDSHLEGKYSTEEATALVDLASQCLQYEPRDRPNTGKLVSILDPLQTKLEVPSYEMLGIPKHEEEAPPAPAPAPAPAPQPQHPLSPMGEACSRMDMTAIHQILVATHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKDFKTAIECYTQFVDVGTMVSPTVYARRSLCHLMSDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDAMDMLNEASQLEEKRQERLWSKDASAQSPLRLKGLC >ONIVA03G02220.1 pep chromosome:AWHD00000000:3:1519603:1520541:1 gene:ONIVA03G02220 transcript:ONIVA03G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFRRRLTALTIPKASSYLRRTRHKKLSYSRARSASLPGRFHPVVTGLHESASALLGWTDEAPAQAGTQWIGEGVGHLARLLAGLTELLHHPQAQDPLRPPRKAAPWTERLLDDLLLLADAHGCFREALLALKQLLAEAHAALHRRDATRLASTLRDLSYRSSSAAATSDSGEAALAEAVGAATCAAAAASASFFAGLASASASSASRSLASPTAASPAKVAVAPVWWVADLLRWRRRTVSVAACESGAGAKETPLDECIDEEEEERKAAMDRLLRLEECVVAAEDGCEQVYRALVNARVSLLNVLTPCF >ONIVA03G02210.1 pep chromosome:AWHD00000000:3:1512676:1514413:-1 gene:ONIVA03G02210 transcript:ONIVA03G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GGC2] MAGSFITGALMLILGYAYPAYDCYKTVELNKPEIEKLRFWCQYWILLAVLTVFDRVGDNFVSWLPMYSEAKLAFVVFLWYPKTLGTAYVYESFFKPWIAKYEADIDHNLLELRTRACDMAVLYFQKVSNYGQTRLYEILQYVASQSQTQTSRPQARQQQQRPPPAQTRQVNPAPQPVPAPSVPPLPPQPTQAPSAPPRNQTQDTTPVPVPPPGAESLAQPQAHAGPPQANASDGPQNTEAMQIDPSGPSTSNARQSSIPDEDTLIQEAIRMTRGRLRRRTAGSGPPPS >ONIVA03G02200.1 pep chromosome:AWHD00000000:3:1506945:1509389:1 gene:ONIVA03G02200 transcript:ONIVA03G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVRCCFGSSSLSHHARLLLVIVALLAPRLASGCDRCVRRSRAAYYTSSLTLTAGSCGYGTAAATFNGGGFLAAAGPALYRGGVGCGACYQVRCKDKKLCSNAGARVVVTDRARTNRTGLVLSSPAFAAMARPGMAASLTELAAVDVEYKRVPCEYRHRSLSVRVDERSRGPNELTISFLYQGGQTDIVAVDVAQVGSSSWKFMTREHGPSWSMANAPPGPLQMRLVVTGGYDGKWVWADREVLPRRWRAGEVYDTGVQITDIAQEGCFPCDTHEWK >ONIVA03G02190.1 pep chromosome:AWHD00000000:3:1502908:1503314:-1 gene:ONIVA03G02190 transcript:ONIVA03G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSVAMRGYRNGARGAVASSAAVSAAFHPVRAAHLAGGFASDSGRQAAGIQNTYYVASSDVRRESETAVSSAFLPSFEA >ONIVA03G02180.1 pep chromosome:AWHD00000000:3:1497941:1501838:1 gene:ONIVA03G02180 transcript:ONIVA03G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPEMEVDARSGYCAATRTFRSRRADVPLPADPEVDVVSFLASRRHSGVVALVDAATGRRITFTELWRAVAGAASALAAHPVSLRKGHVALILSPNSVHFPVAALAAMSLGAVLTTANPLNTPAEIAKQVADARPVLAFTTRELLPKLPRAHDLRVVLLESARLPGDSSDPRIVATIEEISATTPDPARRKDRVTQDDPATLLYSSGTTGPSKGVVATHRSLISMVQIIMTRFRLEGSDKTETFLCTVPMFHVYGLVAFATGLLGCGATVVVLSKYELPEMLRSINAYGVTYLPLVPPILVAMVAHPKPLPLGQMRKVLSGGAPLGKELIEGFREKYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPDSGEALPVNRTGELWIRGPYVMKGYFKNAEATQSTLTPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEVTDVAVIPFPDREVGQFPMAYIVRKKGSNLSEREVMEFVAKQVAPYKKVRKVAFVTDIPKNASGKILRKDLIKLATSKL >ONIVA03G02170.1 pep chromosome:AWHD00000000:3:1493403:1495244:-1 gene:ONIVA03G02170 transcript:ONIVA03G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTVVAVAFVRGSGDGAASGGERIVQRRRPPLPDATLWRRLAMVVQGRRPDRAPRSRSSASTVGVGWCGVRSSCRWVSEVKTLLCSDASNGDALSWLSV >ONIVA03G02160.1 pep chromosome:AWHD00000000:3:1491965:1493131:-1 gene:ONIVA03G02160 transcript:ONIVA03G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast signal recognition particle component (CAO) [Source:Projected from Arabidopsis thaliana (AT2G47450) TAIR;Acc:AT2G47450] MEAVLRHPSLSRLKPPNPNAQRTPALSITVPLRLRLPNRRLTAAAVFQDQTNPRNPASKGGDDDEAYGEVDRIVSSRTIKNPVFAEDGSATTVTATEYLVEWKDGHEPSWIPAEAIAADVVAEYETPWWTAAKKADAAEITALLADETLRRDPDAEDAQGRTAMHFAAGLGSEECVRALAEAGADVGRPERAGGGLTPLHIAVGYGRPAAVRVLLELGAEPEAPDGQGRTPLELVQDVLAKTPKGNPATFERRLALEAAAKELEKAVYEWGEVEKVVDGRGEGKWREYLVEWRDGGDREWVRAAWVAEDLVKDFDAGLEYAVAEAVVDKREAAEGEGKWEYLVKWVDIEEATWEPAENVDAELLQEFEQRQSGVAAGGDAPPPPPVAG >ONIVA03G02150.1 pep chromosome:AWHD00000000:3:1489731:1490783:1 gene:ONIVA03G02150 transcript:ONIVA03G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNTKAEAARERRSAAEADRRDRDARGKEEAYWQAAEGPKSRSARRREEDAEKRAEAAARRAENRRLAELEQQQLAAAARRPDRKAARVGGPVVPKVTEAELLRRREEERIRLEREAEAAKKRQARTAEKEEYERVVLVANTNRDDSIIEARSVEDAIVKMSIAAEPALPPDRHPERRLKVSYKAFEEAELAKLKEEKPGLTLHQYKDMIWKLWKKSPDNPLNQVQP >ONIVA03G02140.1 pep chromosome:AWHD00000000:3:1479828:1487745:1 gene:ONIVA03G02140 transcript:ONIVA03G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase (quinone)s [Source:Projected from Arabidopsis thaliana (AT4G35760) TAIR;Acc:AT4G35760] MATISATLSISFLPSSIRFAVATTSTSTTSSSSSWRIKRPARFRCCAEPSSPEQENPSTPAPPPVKPPASPSSLWGISTSTWSAGVAGLGFLETAYLSYIKLTGSEAFCPVSGGGCGDVLQSDYSVVFGIPLPLLGLVAYGLVLTLSLQENGKNFLPGIDDLDIRLTLLLISTSMATASSYFLYILNTRFIGTSCSYCLLSAFLSFTLFSIRVKDLGLERVQKFVGLQLSVAIIVALALTNSYSSATTQLNGTDDFVLERYDTEITTESTPFAIALAKHLHSVGAKMYGAFWCSHCNEQKQIFGREATKILDYVECFPNGAGKGKKMAPECAAAGIEGFPTWIINGKVLGGDQELPILAEESGFTVEGTEQS >ONIVA03G02130.1 pep chromosome:AWHD00000000:3:1474571:1479651:-1 gene:ONIVA03G02130 transcript:ONIVA03G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRRRADPSPPPARRLLHRLGSAASGLQTLAYSSKKEGGGGDMGPRNGGSSSNRRPGFVDSSSWRYFDSRVVGITRGDIPRHAWTVLHRLKRKGFAAYLVGGCVRDLLLKRAPKDFDVITTASLQQIKKMVFQRCIIIGKRFPICQVNMYGTKIEVSSFSTNANHVKGSKNIGCSEEFKRYDEGDILLWQNSMKRDFTINSLFFNPFNFKIYDYVNGVRDISKNKVSTVIPARVSFKEDPARILRGLRIAARLGFEFSSETSAAIRELSLSITDIDKARLMMELNYLLSYGAAASSLRLLRKYGLLDFLLPFQAAYMSDQMKDKSNDTDLMLMVIRAFAALMYFGSWEGAVNFLNQDIGAPAPFIPETLGPSRSKLENLMEQTSHLASLVKSSVDTLTSIDALQQSLAKYSKASQVSGLVLVSSRERERVLRIFEGLDTDLTSYEGTRGMQEIDYKLLKDGHPGEVRFVLGQVIMDTMSEELPRVSTEYDQLNMEASEDDLADGSRPSLSTLFSSKS >ONIVA03G02120.1 pep chromosome:AWHD00000000:3:1473195:1474410:-1 gene:ONIVA03G02120 transcript:ONIVA03G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENDVIAQRTSKSAPLLLPPSAAATSVTSPPPVGADVVPPSLAPHGSSSRRFSSTRPCRRPSLPPHGCHRRLAHSTRQREPLLLRAPAPTMPLPPSLRAAVAGTGSRFSFAC >ONIVA03G02110.1 pep chromosome:AWHD00000000:3:1467240:1471795:-1 gene:ONIVA03G02110 transcript:ONIVA03G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGEEAAAAAAAATLHIRCTNGSKLAVRADLGLSVGAFKAIVAESCDVPAPQQRLIYKGRILKDEQTLASYGVETDHTIHMVRGAAPPPASTAPPAANNVTPAINATTATNSPAAGFGGLLHGLGGSGSANSGGLGSFGSGLPELSQMQQQLSENPTLMREIMNMPLMQNILNSPDLIRNIIMNNPQMREIVDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGEGDRSSNPFSALLGNHGSNQARDPAANSPTTTSESTTGSPAPNTNPLPNPWSTTAGAAQGATRPSPVTNARSAPAGGLGGLSSTDLGGMLGGGSDTSFLSQVLQNPTMMQMMQNIMSNPQSMNQFLNINPNVRNMMESNTQLREMFQNPEFVRQLTSPETLQQLISFQQSLMSQLGQQQAGPERTQSGAGAGNTNLNNLMSMFSGLGAGGGLGVPSAPNVPPEELYATQLAQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNIG >ONIVA03G02100.1 pep chromosome:AWHD00000000:3:1463139:1465945:-1 gene:ONIVA03G02100 transcript:ONIVA03G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GGB1] MDPYKHRPSSSFNGPLWSTNSGAPVWNNNNSLTVGSRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAIKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHSLKPNPKSHVQENWRILDFFSHHPESLHMFTFLFDDIGIPADYRHMDGSGVNTYTLVNRAGKSHYVKFHWKPTCGVKSLLDDEAVTVGGTNHSHATQDLYDSIAAGNFPEWKLFIQTIDPDHEDRFDFDPLDVTKTWPEDIVPLQPVGRMVLNRNIDNFFSENEQLAFCPGIIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPPNAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDPAKHAPRYPIPSATLTGRREKRWIDALSDPRLTHEIRSIWLSYWSQADRSLGQKLASRLSAKPSM >ONIVA03G02090.1 pep chromosome:AWHD00000000:3:1452798:1456314:-1 gene:ONIVA03G02090 transcript:ONIVA03G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKPSPPPPPRSDEEEDGLMGCGMGGTGDIAGGDLDLMEEFLLATPGLDLSEFWHPGAASPFSPLFDIGSSVTTLTTPAPAAGEDDRDEAEMPSRGGGGLEVSPAHRGWTFQTAPQEVAVEPTVKERLRRALERIASQSQSQAQRGDGELLVQVWVPTRIGDRQVLTTCGQPFWLDRRNQRLASYRTVSMKYQFSADESARADLGLPGRVFVGRVPEWTPDVRYFSTEEYPRVQHAQYFDIRGSVALPVFEPRSRACLGVVELVMTTQKVNYSAEIENICNALKEVDLRSSDVSSDPRSKVVDASYRAIIPEIMDVLRAVCDTHNLPLAQTWIPCICQAKRGSRHSDESYKHCVSTVDEACYVRDCSVLGFHQACSEHHLFRGEGVVGRAFGTNEPCFSPDITTYSKTQYPLSHHAKLFGLRAAVAIQLRSVKTGSLDFVLEFFLPMKCINTEEQRAMLNSLSNTIQQVCYTLRVVKPKELVNDGPFEISQPTRPEFYAKSVHEDLDELCSGINVPGRTTSLEASEEVSSWIASLVDAQNKGGKGEIDVDLPFGFSKQDDEGFSVTAGWHTSPVMAPDGSMFSGFKRHEDYDVKENTCSSDPSNSNSDKAVEKRRTKTEKTVSLQDLRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGPEGTVQLSSLYENFTKTTWSERELQGDVHFPASEQNFQLEPSVPDRPCEGRFTSHTSGSNSISPSCSQSSNSSLGCSSVPKTQQQHGSAPQLAVKEEISMDENQCSTLIKSASHAEAELQMFVEERPTMLFRSQSQVLLSEHKPIENMSNVQKARSDSLKIKAIYGEERCIFRLQPSWGFQRLKEEIVKRFGISQDTHVDLKYLDDESEWVLLTCDADLLECIDVYKSSSNQTVRILVNPSIQPLLNASFGQTGLS >ONIVA03G02080.1 pep chromosome:AWHD00000000:3:1440464:1454903:1 gene:ONIVA03G02080 transcript:ONIVA03G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCFSPEVDDDLKPSKPNYHSSDESSGADARRKVAPLATDGGNGYAHSFTFKDLSVATGYFNEANFIGEGGFGKVYKGKINGQMVAVKQLTRDGVQGRNEFLVEVLMLTVLNHPHLVSLVGFCAQGDERLLVYEYMPFGSLESHLFDVPLGKQPLDWNTRMRIAVGVAEGLSYLHNVADPPIIYRDMKAANILLDEDYRPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVVSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQSLLTWSRPFLHDKRKFYRLADPALHGCYPTSALNQLVVISIMCLQDQPHVRPIISDVVIGLNHVASQPYVPERSSVSLSSPARCGSPQYAGTPSRRRGGRRVAHVHSIIGCALLGQQPSDMHQSGLEAWGQFTHDPIQEEDDEGIHPSIDMGNRLCCHDAADDEKPAAAAVSGGGGGGGREEYRRWPIAAESGGGETGRVLDTPRLRVFTLAELRAVTRGFKPEMVLGEGGFGTVYKGWADERTLNPAKSSAGVVVAVKKLNPESVQGLHEWQSEVNFLGRLVHPNLVKLLGYCKEERELLLVYEFMPKGSLENHLFRRGAFDPLSWSLRLKIAIGAARGLAFLHSSERQIIYRDFKASNILLDVDYTPKLSDFGLAKNGPVAGRSHVTTRIIGTLRRRLPRDRHLYVKSDVYCFGVVLLELLTGLRAHDLNRPSHQQNLVEWARPYIAGGKKLAGLMDPRLAGDYPAKAAARAAKLADKCLCGDPKSRPSMDDVVVALQEIESLDGNCCPQAKKFGMMRERVLGLAVGGNVWGETWLIRPKCPADNTLTSEQMMLGASLVKA >ONIVA03G02080.2 pep chromosome:AWHD00000000:3:1440464:1454903:1 gene:ONIVA03G02080 transcript:ONIVA03G02080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCFSPEVDDDLKPSKPNYHSSDESSGADARRKVAPLATDGGNGYAHSFTFKDLSVATGYFNEANFIGEGGFGKVYKGKINGQMVAVKQLTRDGVQGRNEFLVEVLMLTVLNHPHLVSLVGFCAQGDERLLVYEYMPFGSLESHLFDVPLGKQPLDWNTRMRIAVGVAEGLSYLHNVADPPIIYRDMKAANILLDEDYRPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVVSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQSLLTWSRPFLHDKRKFYRLADPALHGCYPTSALNQLVVISIMCLQDQPHVRPIISDVVIGLNHVASQPYVPERSSVSLSSPARCGSPQYAGTPSRRRGGRRVAHVHSIIGCALLGQQPSDMHQSGLEAWGQFTHDPIQEEDDEGIHPSIDMGNRLCCHDAADDEKPAAAAVSGGGGGGGREEYRRWPIAAESGGGETGRVLDTPRLRVFTLAELRAVTRGFKPEMVLGEGGFGTVYKGWADERTLNPAKSSAGVVVAVKKLNPESVQGLHEWQSEVNFLGRLVHPNLVKLLGYCKEERELLLVYEFMPKGSLENHLFRRGAFDPLSWSLRLKIAIGAARGLAFLHSSERQIIYRDFKASNILLDVDYTPKLSDFGLAKNGPVAGRSHVTTRIIGTPSSDVYCFGVVLLELLTGLRAHDLNRPSHQQNLVEWARPYIAGGKKLAGLMDPRLAGDYPAKAAARAAKLADKCLCGDPKSRPSMDDVVVALQEIESLDGNCCPQAKKFGMMRERVLGLAVGGNVWGETWLIRPKCPADNTLTSEQMMLGASLVKA >ONIVA03G02070.1 pep chromosome:AWHD00000000:3:1429141:1441461:-1 gene:ONIVA03G02070 transcript:ONIVA03G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTASERREELLLVVLLSKKRLVLMHEQTGHGTATLGPQEKLQIRSRIDHQPARRDCGVRRQQPEGFGETGQGIIATGASSSSCPPPPPPLWVDAYYDCISTMIIKK >ONIVA03G02060.1 pep chromosome:AWHD00000000:3:1427116:1433813:1 gene:ONIVA03G02060 transcript:ONIVA03G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRKAAAAPPPPPPPPPAETPARRKGKKKGRPSLLDLQRRSLRLQAQNPSPAPSPSRRDANQSDEDDDGVGSGGRRRQKRLKSVLSSSGGGEDDEAPAAAVVVKVEVEEKKKKVSSKATGKGDAASDGGPTTGTPLPDKKLLLFILDRLQKKDTYGVFSEPVDHEELPDYHEIIEHPMDFSTIREKLLNDSYTTLEQFENDVFLLTSNAMSYNSDDTVYYRQARSIEALAKKDFENLRQASEPEEEQQPKTVPRRGRPPKYAKKIEKTENDVSPDLSNAKTKSADHAETIRKRLTGDRTRNANITTRDSPFLQHNTPGSFAGKRTDRFGDYSGPSKYGKKTTPTISDDERRSTYDQQYFHSSPLFSALDGERKVLVPVGLQQQHAYARSLARFAAKFGPVGWDIAAKRIRRLLPSGTNFGPGWVVDGEPPENSQWPRVPMLSDPSIQSTGVPASNVISKNDESNQKSGLTSNEDSGEEHLARTEPVASTSACVNTNSVSATKLATKCENGANVSCDGVGSTGQTPPLQQHSHSREIHSNMNGFTAVPNTISQYAGQGFLGQMQLTHAQVLGMFSGVNGRTNGFIHGHPLVANSIKAPQNGDVGKVATNPSPDAGHDSEAALSQTMTSSAPSLSAGVQPSGSMPSGKLANPKKHPDLALQL >ONIVA03G02050.1 pep chromosome:AWHD00000000:3:1412854:1423749:-1 gene:ONIVA03G02050 transcript:ONIVA03G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRDKHRNRQTRISTQQRHQPPVLPPTPALRPPPVTRPSPRPAATTDQMAAAPSRCLLVTGPPGVGKTTLVTRVFETLRESHPHLNIRGFYTREVRESGERVGFEVVTLDGRTGPLASSKVSSRESVRWPTVGRYKVDIASLESLALPELQVKDDTDLFIIDEVGKMELFSSAFFPAVMRVIESNIPVLATIPVPRLGRDIPGVARLRNHPGAVIYTLNTGNRDAMREGVYNHLSSLLQKRNAVRIMSRRRSNMKKHVSFKLNKLCFTYCWISLATSATMLQYLDFSHASTSRKWSHKKQGEGFEAPRNSMEFTLEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHEDVSFRTNEVQKRPSVIARLMGMDSPPMSTTAGELAAGHTEEKRQDMITSTRPMPRRDPSEMVSTKHVSFVQHKQAEVCAYDDSMELFGQLSKAISSSEWAKPQPREHPQEEELQKFKKDFEAWQASRMWEQSRALELESHLDDDDVRCTDIVPYRFQHRGKDNAGKKHTHSNGDAHWRRSKESGTGTGTSISGSRTFSLMSADASSTRLPLSRFYYEEERLLSPKKIVILKPCPEMSTDDIEESSLGSPEMVKKENNMEAFLEEVKKRLKVELEGRMASDDRAADRWAAGGDIPADPKQIARSIANQIRETVTKDLHSALLRSESTRSYRSDIPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPALSHRRRTASASFNEEPRPKPRHEVARKGKIRSKEEKKHAIEFDVRSFRRGHHKASPTPAIDSDPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSSEEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAARDMSSSASEPEHPSSEQAQTDQELAETSPIQDDDDDDTDEIDNPIKAYIRAILVIAGLYGQRRSSDQLFSDREVKPIPAWVFEEVESSSSSSAPATTDCDKAATGVDHRLLFDLINESLPRVVQSSTTLCAFSRWYGAAPRRSPGGKRLLDGLWNTVQAWLAPPPPTDSPNSVDELIGRDMSMSPWNGPFREDVGAAGAEMEAEILDELVDETLWDVLLNVGD >ONIVA03G02050.2 pep chromosome:AWHD00000000:3:1418110:1423749:-1 gene:ONIVA03G02050 transcript:ONIVA03G02050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRDKHRNRQTRISTQQRHQPPVLPPTPALRPPPVTRPSPRPAATTDQMAAAPSRCLLVTGPPGVGKTTLVTRVFETLRESHPHLNIRGFYTREVRESGERVGFEVVTLDGRTGPLASSKVSSRESVRWPTVGRYKVDIASLESLALPELQVKDDTDLFIIDEVGKMELFSSAFFPAVMRVIESNIPVLATIPVPRLGRDIPGVARLRNHPGAVIYTLNTGNRDAMREGVYNHLSSLLQKRNAVRIMSRRRSNMKKHVSFKLNKLCFSVLFILQHQAPTSGSDLHLHKGFFQSIQTLVIVKLWYNSGCQLSNDSPMDYVIN >ONIVA03G02050.3 pep chromosome:AWHD00000000:3:1412854:1417078:-1 gene:ONIVA03G02050 transcript:ONIVA03G02050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPNIAIAAYCWISLATSATMLQYLDFSHASTSRKWSHKKQGEGFEAPRNSMEFTLEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHEDVSFRTNEVQKRPSVIARLMGMDSPPMSTTAGELAAGHTEEKRQDMITSTRPMPRRDPSEMVSTKHVSFVQHKQAEVCAYDDSMELFGQLSKAISSSEWAKPQPREHPQEEELQKFKKDFEAWQASRMWEQSRALELESHLDDDDVRCTDIVPYRFQHRGKDNAGKKHTHSNGDAHWRRSKESGTGTGTSISGSRTFSLMSADASSTRLPLSRFYYEEERLLSPKKIVILKPCPEMSTDDIEESSLGSPEMVKKENNMEAFLEEVKKRLKVELEGRMASDDRAADRWAAGGDIPADPKQIARSIANQIRETVTKDLHSALLRSESTRSYRSDIPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPALSHRRRTASASFNEEPRPKPRHEVARKGKIRSKEEKKHAIEFDVRSFRRGHHKASPTPAIDSDPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSSEEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAARDMSSSASEPEHPSSEQAQTDQELAETSPIQDDDDDDTDEIDNPIKAYIRAILVIAGLYGQRRSSDQLFSDREVKPIPAWVFEEVESSSSSSAPATTDCDKAATGVDHRLLFDLINESLPRVVQSSTTLCAFSRWYGAAPRRSPGGKRLLDGLWNTVQAWLAPPPPTDSPNSVDELIGRDMSMSPWNGPFREDVGAAGAEMEAEILDELVDETLWDVLLNVGD >ONIVA03G02050.4 pep chromosome:AWHD00000000:3:1412854:1417404:-1 gene:ONIVA03G02050 transcript:ONIVA03G02050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYCWISLATSATMLQYLDFSHASTSRKWSHKKQGEGFEAPRNSMEFTLEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHEDVSFRTNEVQKRPSVIARLMGMDSPPMSTTAGELAAGHTEEKRQDMITSTRPMPRRDPSEMVSTKHVSFVQHKQAEVCAYDDSMELFGQLSKAISSSEWAKPQPREHPQEEELQKFKKDFEAWQASRMWEQSRALELESHLDDDDVRCTDIVPYRFQHRGKDNAGKKHTHSNGDAHWRRSKESGTGTGTSISGSRTFSLMSADASSTRLPLSRFYYEEERLLSPKKIVILKPCPEMSTDDIEESSLGSPEMVKKENNMEAFLEEVKKRLKVELEGRMASDDRAADRWAAGGDIPADPKQIARSIANQIRETVTKDLHSALLRSESTRSYRSDIPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPALSHRRRTASASFNEEPRPKPRHEVARKGKIRSKEEKKHAIEFDVRSFRRGHHKASPTPAIDSDPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSSEEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAARDMSSSASEPEHPSSEQAQTDQELAETSPIQDDDDDDTDEIDNPIKAYIRAILVIAGLYGQRRSSDQLFSDREVKPIPAWVFEEVESSSSSSAPATTDCDKAATGVDHRLLFDLINESLPRVVQSSTTLCAFSRWYGAAPRRSPGGKRLLDGLWNTVQAWLAPPPPTDSPNSVDELIGRDMSMSPWNGPFREDVGAAGAEMEAEILDELVDETLWDVLLNVGD >ONIVA03G02050.5 pep chromosome:AWHD00000000:3:1412854:1416508:-1 gene:ONIVA03G02050 transcript:ONIVA03G02050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYLDFSHASTSRKWSHKKQGEGFEAPRNSMEFTLEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHEDVSFRTNEVQKRPSVIARLMGMDSPPMSTTAGELAAGHTEEKRQDMITSTRPMPRRDPSEMVSTKHVSFVQHKQAEVCAYDDSMELFGQLSKAISSSEWAKPQPREHPQEEELQKFKKDFEAWQASRMWEQSRALELESHLDDDDVRCTDIVPYRFQHRGKDNAGKKHTHSNGDAHWRRSKESGTGTGTSISGSRTFSLMSADASSTRLPLSRFYYEEERLLSPKKIVILKPCPEMSTDDIEESSLGSPEMVKKENNMEAFLEEVKKRLKVELEGRMASDDRAADRWAAGGDIPADPKQIARSIANQIRETVTKDLHSALLRSESTRSYRSDIPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPALSHRRRTASASFNEEPRPKPRHEVARKGKIRSKEEKKHAIEFDVRSFRRGHHKASPTPAIDSDPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSSEEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAARDMSSSASEPEHPSSEQAQTDQELAETSPIQDDDDDDTDEIDNPIKAYIRAILVIAGLYGQRRSSDQLFSDREVKPIPAWVFEEVESSSSSSAPATTDCDKAATGVDHRLLFDLINESLPRVVQSSTTLCAFSRWYGAAPRRSPGGKRLLDGLWNTVQAWLAPPPPTDSPNSVDELIGRDMSMSPWNGPFREDVGAAGAEMEAEILDELVDETLWDVLLNVGD >ONIVA03G02040.1 pep chromosome:AWHD00000000:3:1395828:1403202:-1 gene:ONIVA03G02040 transcript:ONIVA03G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT1G20760) TAIR;Acc:AT1G20760] MAGMEAFEAYFRRADLNQDGRISGQEAVAFFQGANLPQQVLAQVWMHADKNKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALYGPAAARIPAPKIAGGGQAPPQMAAAGAPRPQVNAAVSPAPGQAGAPQPQMNVAGAPRPQGSGMMPGSAQIGGSQQVNAGAVPRPQGVNSMMPAASQGGALQPTQFATQRGMQSQPPSMGFNQQPPPSSTGFMRPTQPGAPAASLQGQAPGINQVPLGGGSMGAPAGWRGGNVGSVGGLPQATPGATAPQQATPGGFGLTLSSTMGMAPGQQAQGTPPSSMPPQSNSAVSAQDSKALVLSGNGSASGLGASNDIFSALTQPKSNVSTLSFPTSMAPNSSSFMSTPSGSQNLSNLAQFGSQQGIPTVSSGGSQPQQTHPITKPSVPAPTVSGVSAGVSNSASQWPKVTQSDIQKYTKVFADVDRDRDGKITGAEARTLFLSWRLPREILKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPALPDSLKYDEVLLRATGLPSTAYNGPSWQQNQGLPHKGPGAAGMPATGVRQPLPPHLQAQMDGANRPGQPRPHMPGMDNHAAPQANKDDGSGANSAVQEDAPKKVEVEKQVLDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEHAFRDVQERKVELHDALVKMVQGGSVDGLLQVRADRIQYQLEEMEKAFSERCKHFGLQFKPSASVELPFGWEPGKQEGAIEWDEDWDKFEDEGFGFVKDNGTIVENPVASENVKVPSLWNDMDESPVASSNGHIKAERHYRAGDHAAESDLGYDFGEESVRSPSSAGRSASGSPFVSSNFAMHDSSPSKKETYSDHGGSESIFGDKYADETSWNFDDQDTESVWGSNAMNNESDHHGSAHNSFFGSDDFGVNPVRVGSPSGASTYGKKKSSFFDDSVPSSPAYTSGFSPKFSESRDDSSSYNFGRFDSFRSQESGFFPQESRFSRFDSINNSKGENVTGFDSPKSSTNFGRFDSFDDADPFGSSGPFKASGSRSPPKF >ONIVA03G02030.1 pep chromosome:AWHD00000000:3:1391743:1397602:1 gene:ONIVA03G02030 transcript:ONIVA03G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQRLLRASASGGAAAAAAAARRRMSTAVAPEQTPAAAAFPFAAAAGRARQRVAEERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASSGPLSVQLAEIVNQGKLVSDEIIINLLSKRLKKGEEQGESGFILDGFPRTVKQAEILDGVTDIDMVVNLKLREDVLVEKCLGRRICGQCGKNFNLACIDVKGENGLPPIYMAPLLPPNNCMSKLITRADDTEEVVRNRLQIYNDMSQPVEGFYRQQGKLLEFDLPGGIPESWPKLLHVLNLEDQEEMKLATA >ONIVA03G02020.1 pep chromosome:AWHD00000000:3:1389777:1390716:1 gene:ONIVA03G02020 transcript:ONIVA03G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRKVFSAMLLMVLLLAATGEMGGPVMVAEARTCESQSHRFKGPCARKANCASVCNTEGFPDGYCHGVRRRCMCTKPCP >ONIVA03G02010.1 pep chromosome:AWHD00000000:3:1382637:1385876:-1 gene:ONIVA03G02010 transcript:ONIVA03G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVLQRSTTTPYSDASRRSSGGWYQSVTTRLVSGCGLAASKKVAMSKLAILSMLVAVDERVGALDVPMQHALFMAVAEPEEDLRPEALDLRLPFNFSYALADLAKFTKFQQNCDAVRKPVDWLVAGYQRRYHESESPSSQEGRIEHGGGGVSSHHYCRKNYESPHEDLTKIFLA >ONIVA03G02000.1 pep chromosome:AWHD00000000:3:1380565:1382647:1 gene:ONIVA03G02000 transcript:ONIVA03G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPKRPANYVPLSPVGFLPRANAVYGDRTSVIYGRVRFTWSQTYARCRRLASSLLSLGVRNHDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDARAVAGILRHSEAKVFFVDYQYVRLASDALQIVADEGRHVPLLAVIDDIDVPTGVRLGELEYEGLVARGDPAAELPSLADEWDAVTLNYTSGTTSAPKGVVYSHRGAYLSTMSLLMSWVVGDEPVYLWTLPMFHCNGWTFTWGMAARGGVNVCIRDARAADIYRAIARHGVTHLCCAPVVFNILLEGGEAAAKQLAAPVHVLTGGAPPPAALLERVERIGFRVTHAYGLTEATGPALACEWRAQWDRLPLPERARLKSRQGVSVLSLADADVKDAKTMASVPRDGKTVGEIVLRGSSIMKGYLNNPEANSDAFKGEWFLTGDVGVVHADGYIEIKDRSKDVIISGGENICSKEVEEVLFQHPAVADAAVVAMPHPHWGETPCAFVVARDKAAGVCEDDVVAFCRKHMARFMVPKKVVVYDAIPRNGNGKVEKNLLREAAKKLAPAAVPAQKTKVKTTTTTVGGRRGEHPVAHVMAVSRL >ONIVA03G01990.1 pep chromosome:AWHD00000000:3:1363471:1363800:-1 gene:ONIVA03G01990 transcript:ONIVA03G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAGVQLGTSKPQIATQAEMSEARLPLPYRDQCAHLLIPLNKCRVAEYYLPWKCEPERHAYEKCQYELVMERMIQMQKIREAQEAKSKGAATIGVPLIPSTAKLS >ONIVA03G01980.1 pep chromosome:AWHD00000000:3:1347210:1351987:-1 gene:ONIVA03G01980 transcript:ONIVA03G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRERNGGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQDKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDLGMQGIQVQQVDQEHTYGGGVEVWTDMQQCDHDECDVPCCSCHSPKPRKEPLLHLQLKSSGMNIDEPGTRARIAAPNVRSCRDDAAASATETRRRRGGRPEEVDDDANASASPRISQLQLLRLRGHGIRESDMSPSTSLQCYYVRSQRTTTMPPGAAAAAAGGDGGSQCYAAPRYSSSSKLKFLGFVVTSGPPPPRLPPPAACCCGSDDNIPFQVGTFAPHRVAPPTASCGGGGGGRAEARPSSSYRSVWFEPAAAAAASNNGVAPDGEHDDGCSLSLSLALDTGCGGAGAGAGGSLVSSTTSSSSGSRISLDLSLSTLDS >ONIVA03G01970.1 pep chromosome:AWHD00000000:3:1341895:1345807:-1 gene:ONIVA03G01970 transcript:ONIVA03G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADEVGGGGGGGGAGVGAGDQVRRAKTAALFLAAVALPCLVLYRAAVSPAGLFLRPAALPAPPRGDVDPVNSEDARLERVLRAAAMANGTVILTTLNSAWAEPGSVVDVFLESFRIGDDTRWLLDHLVMVSLDLTAHRRCLQIHRHCFALTTDDGFDFSGEKNFMTDGYLKMMWRRIDFLGHVLAKGYSFIFTDTDIVWFRNPLPHLHHDGDFQIACDHFTGDPDDLSNSPNGGFAYVRSTSATAAFYRYWYAARERHPGLHDQDVLNLIKRDAYVARLGVRIRFLSTDLFAGLCEHGRNLSTVCTMHANCCVGLRRKVDDLGLMLQDWRRFMATPGSDRDSVTWSVPRNCSMKKLGRLEPTLAVVCTIDEMLTGTNEHAVAFELMELARPHCHDIPVDRISEMDMNSDLEQNDRNVESLVQ >ONIVA03G01960.1 pep chromosome:AWHD00000000:3:1341588:1342237:1 gene:ONIVA03G01960 transcript:ONIVA03G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQQWKGHAEEQEIQFEAGLHFCLSLLCLPLPFLLLGCSVESLYYGFNRPWHQHQQRYVMTMRPCELHELECHCSGFRTTKWTLFFVGNLGRNAATKCIYELEVISKLSVTHYLVNCSDRGLDAKELQSVEKMY >ONIVA03G01950.1 pep chromosome:AWHD00000000:3:1336269:1340347:1 gene:ONIVA03G01950 transcript:ONIVA03G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GG91] MATHAALAASRIPATARLHSKAASKQRVDFADFSGLRPGSCSISHAAREASFSDVLGSQLVARATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHERENSPLEVVVVNDSGGVRNASHLLKYDSMLGTFKADVKIVDDQTISVDGKLIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVLGVNEGDYSHEVANIISNASCTTNCLAPFVKILDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTVKTGITADDVNAAFRKAAAGPLSGILDVCDVPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGAAVQGSGDPLEDFCKDNPETDECKVYEN >ONIVA03G01940.1 pep chromosome:AWHD00000000:3:1327120:1335767:-1 gene:ONIVA03G01940 transcript:ONIVA03G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP44 homolog A [Source:Projected from Arabidopsis thaliana (AT2G17510) UniProtKB/Swiss-Prot;Acc:Q9SHL7] MLQSKTFVKKTRAGRVQKVVREHYLRDDISCGAASCSTCGAVEHPLSADAAAILVVDTNVVLHQIDLLENPAIDDVVLLSVVLDEVKNKNLAVFNRIKALCSNKARRFFVFTNEHHRDTYVKEMVGESPNDRNDRAIRVATRWYQSHLGENVKVLLITNDRDNKRKAIEEGINAETVESYVRSLAQPGLLDLVVVSTSGDVTMEDVEDLRPSKKKVIYSEHKPMSEITAGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIHGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEDDEEDVHLAPNSADDAPRKANPTQSTAASSADSVSSRPVGRVVGIIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLDKRIIVAVDSWDVLSRYPSGHYVVLIENDINTRPFSTQVLACLPPLPWTLSPEDLANPNRQDLRHVRVFSVDPPGCRDIDDALHCTPLPNGNFEVGVHIADVTNFVHPGTPLDEEASQRGTSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPHADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRHRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKNDDPYFNKLIRILATRCMTQAVYFCSGDLTFSEYYHYGLAASLYTHFTSPIRRYADVIVHRLLAAALEIAKLPPLFQDGPQLTGVADNLNYRHRNAQMASRASVELHTLIYFRTRPMDTEARIVKIKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEVHQRVTKPGTNVSYAVLQTVMIHMEKR >ONIVA03G01930.1 pep chromosome:AWHD00000000:3:1319676:1322941:-1 gene:ONIVA03G01930 transcript:ONIVA03G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GG89] MAGGGGGRALPETPTWAVAVVCAVIVLVSVAMEHGLHKLGHWFHKREKKAMGEALEKIKAELMLLGFISLLLTVAQTPISKICIPESAANIMLPCKAGQDIVKGLKGKKDHRRRLLWYTGEEESHRRSLAGAAGEDYCAQSGKVALMSSGGMHQLHIFIFVLAVFHVTYCVITMALGRLKMKKWKKWELETNSLEYQFANDPSRFRFTHQTSFVKRHLGLSSTPGLRWIVAFFRQFFGSVTKVDYLTMRQGFINAHLSQNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVAILVLFLDIQGFGTLIWISFVPLVILMLVGTKLEMVIMEMAQEIQDRATVIKGAPVVEPSNKYFWFNRPDWVLFFIHLILFQNAFQMAHFVWTLATPGLKKCFHENMGLSIMKVVVGIFIQFLCSYSTFPLYALVTQVKPFRQKSLVNALGGLNSNVLNLSVCLQMGSNMKKTIFEEQTMKALMNWRKTAREKKKLRDADEFLAQMSGDTTPSRGSSPVHLLHKQRVRSEDPPSAPASPGFAGEARDMYPVPVAPVVRPHGFNRMDPDKRRAASSSAIQVDIADSDFSFSVQR >ONIVA03G01920.1 pep chromosome:AWHD00000000:3:1305977:1320773:1 gene:ONIVA03G01920 transcript:ONIVA03G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLGAWKNTRQQYSPSSPISLGSSVFSESSLELSTTTADGSTANAVLAAIVASIGNLLQGWDNAAIAGAIMYIKNEFNLQNDPMMEGLILAMSLIGATIITALSGMITNSIGKRPLLSVSNEYMLLLARLIYGFGSGLVVTYAPLYISETAPTNMRGLLNTLPQFNGSLGMLLSYIMVFLMSLTLNPNWRIMLGSLSIPSFVFLLLCIFYLPESPVFLVSKGKIEEAKNVMKRLRGTNEVSSEIAFLIQGLTVDQDNYIEDYMIGHNNDEFDDQSISNTETTKLYGHEEGVTWFARPFKGKNVVESDHSPIPNLLDPIVTLFDSIHGNILNTPEFTSSGNMSNDIEQPKTDLESQEDLDTDYEDDLGHPLLFHQGSYMEGIDDACVNGGWHMAWKFVQRENEFGQTQDDFQQIFLQGDILQAGRVSHATALVSTPSFHHSIGPAMVHPSKFNLSTEGQSWSDLLQPGVKQGLIVGVTIQILQQLAGISGILYYTPQILEQAGAGILLKWFNVSSSSSSILTSALTTFMMLPSIGIAMKCMDRYGRRSLLLYTIPMLIVSLIILIVVNVMNLEAIFGAILSTFGVIIYVCCFVMGFGPIPNVLCSELFPPSCRNRCILPTAASAFAAGRRPPPSIPIHPASEQGRRSSSCCFLRRRRPPSIPRASRVAVARPVASAASILVSASSAVAVHALCFAGIVAAHQLSGRGMLVSNPAYALRLLVVFEAPLVIAVFSLLRRNPKRCSFLKAAARGLLGLPIGAFLNAFGAIVLGAPIGIKYWAATTYWSLLMSLFTFVPAACVFGASKVNWQAVLSHSIYCGSTDSVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPISVTYGSVAGHLIGMAISLALVVTHKRRGRAKAD >ONIVA03G01920.2 pep chromosome:AWHD00000000:3:1312842:1320773:1 gene:ONIVA03G01920 transcript:ONIVA03G01920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEATQVSASSAVAVHALCFAGIVAAHQLSGRGMLVSNPAYALRLLVVFEAPLVIAVFSLLRRNPKRCSFLKAAARGLLGLPIGAFLNAFGAIVLGAPIGIKYWAATTYWSLLMSLFTFVPAACVFGASKVNWQAVLSHSIYCGSTDSVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPISVTYGSVAGHLIGMAISLALVVTHKRRGRAKAD >ONIVA03G01920.3 pep chromosome:AWHD00000000:3:1312842:1320773:1 gene:ONIVA03G01920 transcript:ONIVA03G01920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSICNHYGSCHCERSLNRNLGIDLPTWQVLAPLPPIDSPTVPLSWATRPRSASSAVAVHALCFAGIVAAHQLSGRGMLVSNPAYALRLLVVFEAPLVIAVFSLLRRNPKRCSFLKAAARGLLGLPIGAFLNAFGAIVLGAPIGIKYWAATTYWSLLMSLFTFVPAACVFGASKVNWQAVLSHSIYCGSTDSVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPISVTYGSVAGHLIGMAISLALVVTHKRRGRAKAD >ONIVA03G01920.4 pep chromosome:AWHD00000000:3:1315668:1320773:1 gene:ONIVA03G01920 transcript:ONIVA03G01920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEATQVSASSAVAVHALCFAGIVAAHQLSGRGMLVSNPAYALRLLVVFEAPLVIAVFSLLRRNPKRCSFLKAAARGLLGLPIGAFLNAFGAIVLGAPIGIKYWAATTYWSLLMSLFTFVPAACVFGASKVNWQAVLSHSIYCGSTDSVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPISVTYGSVAGHLIGMAISLALVVTHKRRGRAKAD >ONIVA03G01920.5 pep chromosome:AWHD00000000:3:1305977:1312492:1 gene:ONIVA03G01920 transcript:ONIVA03G01920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLGAWKNTRQQYSPSSPISLGSSVFSESSLELSTTTADGSTANAVLAAIVASIGNLLQGWDNAAIAGAIMYIKNEFNLQNDPMMEGLILAMSLIGATIITALSGMITNSIGKRPLLSVSNEYMLLLARLIYGFGSGLVVTYAPLYISETAPTNMRGLLNTLPQFNGSLGMLLSYIMVFLMSLTLNPNWRIMLGSLSIPSFVFLLLCIFYLPESPVFLVSKGKIEEAKNVMKRLRGTNEVSSEIAFLIQGLTVDQDNYIEDYMIGHNNDEFDDQSISNTETTKLYGHEEGVTWFARPFKGKNVVESDHSPIPNLLDPIVTLFDSIHGNILNTPEFTSSGNMSNDIEQPKTDLESQEDLDTDYEDDLGHPLLFHQGSYMEGIDDACVNGGWHMAWKFVQRENEFGQTQDDFQQIFLQGDILQAGRVSHATALVSTPSFHHSIGPAMVHPSKFNLSTEGQSWSDLLQPGVKQGLIVGVTIQILQQLAGISGILYYTPQILEQAGAGILLKWFNVSSSSSSILTSALTTFMMLPSIGIAMKCMDRYGRRSLLLYTIPMLIVSLIILIVVNVMNLEAIFGAILSTFGVIIYVCCFVMGFGPIPNVLCSELFPPSCRNRCMSICTLTFWIVSIIVTYAFPVMLSSIGLIGVCGIYAVVCIVSFIFVLIKVPETKGMPLAVIANSLAVGARLSVKRNENI >ONIVA03G01910.1 pep chromosome:AWHD00000000:3:1304002:1306017:-1 gene:ONIVA03G01910 transcript:ONIVA03G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHLLSGQRLLLPIPCGACYRSPPSPAIKPSPLGLRPFTKSSSSLPLHLHAVSPATVATDGSSTSAQPDSWAEFASRVSGEWDGFGAEFTAAGDAVELPENVVPEAYRDWGVQVFDWQTQCPTLADPAAPCDLHYRLVRLLPTVGCEADAATVHTSHQRHASSASAFAYSAAGSYVAAWPRGPAPVLEVEHCVVHPDNREVRVRLVQTVALAKEARLRGVKVFSEQWYGPYRNGDQLGGCALREAAFAAGEKLAASDVTGQWQSDAASAARFSGELDPETGKFAGLTPDEPGERLSRDDGDGIVTLPKQLWSSFKENGKDEFVCEVGWVLGHGSAITSRCVLSRDGDVKATA >ONIVA03G01900.1 pep chromosome:AWHD00000000:3:1299429:1305458:1 gene:ONIVA03G01900 transcript:ONIVA03G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYHNRFPREHAVGYVQGDSYLDLKKFDDTWPEVNNFKPTAASILRRGLDPTSINVLGRKTADLREHYIIGRKLGQGQFGTTYLCTEINTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSERKAAELIRIIVSIVAMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPESDVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFQSDPWPKISDSAKDLIRKMLSHCPSERLKAHEVLRHPWICENGVATDQALDPSVISRLKQFSAMNKLKKLALRVIAERLSEEEIAGLREMFKAVDTKNRGVITFGELREGLRRFGAEFKDTEIGDIMEAAHNDNNVTIHYEEFIAATLPLNKIEREEHLLAAFTYFDKDGSGYITVDKLQRACGEHNMEDSLLEEIISEVDQNNDGQIDYAEFVAMMQGSNVGLGWQTMESSLNVALRDAPQVH >ONIVA03G01900.2 pep chromosome:AWHD00000000:3:1299333:1305458:1 gene:ONIVA03G01900 transcript:ONIVA03G01900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYHNRFPREHAVGYVQGDSYLDLKKFDDTWPEVNNFKPTAASILRRGLDPTSINVLGRKTADLREHYIIGRKLGQGQFGTTYLCTEINTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSERKAAELIRIIVSIVAMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPESDVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFQSDPWPKISDSAKDLIRKMLSHCPSERLKAHEVLRHPWICENGVATDQALDPSVISRLKQFSAMNKLKKLALRVIAERLSEEEIAGLREMFKAVDTKNRGVITFGELREGLRRFGAEFKDTEIGDIMEAAHNDNNVTIHYEEFIAATLPLNKIEREEHLLAAFTYFDKDGSGYITVDKLQRACGEHNMEDSLLEEIISEVDQNNDGQIDYAEFVAMMQGSNVGLGWQTMESSLNVALRDAPQVH >ONIVA03G01900.3 pep chromosome:AWHD00000000:3:1299865:1305458:1 gene:ONIVA03G01900 transcript:ONIVA03G01900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYHNRFPREHAVGYVQGDSYLDLKKFDDTWPEVNNFKPTAASILRRGLDPTSINVLGRKTADLREHYIIGRKLGQGQFGTTYLCTEINTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSERKAAELIRIIVSIVAMCHSLGVMHRDLKPENFLLLDKDDDLSIKAIDFGLSVFFKPGQVFTELVGSPYYVAPEVLHKRYGPESDVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFQSDPWPKISDSAKDLIRKMLSHCPSERLKAHEVLRHPWICENGVATDQALDPSVISRLKQFSAMNKLKKLALRVIAERLSEEEIAGLREMFKAVDTKNRGVITFGELREGLRRFGAEFKDTEIGDIMEAAHNDNNVTIHYEEFIAATLPLNKIEREEHLLAAFTYFDKDGSGYITVDKLQRACGEHNMEDSLLEEIISEVDQNNDGQIDYAEFVAMMQGSNVGLGWQTMESSLNVALRDAPQVH >ONIVA03G01900.4 pep chromosome:AWHD00000000:3:1300310:1305458:1 gene:ONIVA03G01900 transcript:ONIVA03G01900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQCQNGTLGSDYHNRFPREHAVGYVQGDSYLDLKKFDDTWPEVNNFKPTAASILRRGLDPTSINVLGRKTADLREHYIIGRKLGQGQFGTTYLCTEINTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCADDDLSIKAIDFGLSVFFKPGHPWICENGVATDQALDPSVISRLKQFSAMNKLKKLALRVIAERLSEEEIAGLREMFKAVDTKNRGVITFGELREGLRRFGAEFKDTEIGDIMEAAHNDNNVTIHYEEFIAATLPLNKIEREEHLLAAFTYFDKDGSGYITVDKLQRACGEHNMEDSLLEEIISEVDQNNDGQIDYAEFVAMMQGSNVGLGWQTMESSLNVALRDAPQVH >ONIVA03G01890.1 pep chromosome:AWHD00000000:3:1285729:1289458:-1 gene:ONIVA03G01890 transcript:ONIVA03G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) TAIR;Acc:AT2G42120] MERKQAEYSNLDERYAIQGEKYQGQQYSHIYFTRLHHMRNLLHALVPSWKPHLPVTTVLGLEEGKDCIIVGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHPDDHLILEDESGRVTLAGAIPPAAYVTGVVIALHGKETSAGNFLVEDILEAGIPPQITLPSINEDKYVVFVSGLSIGSEKFNPLQFQLLIDHITGHLGDENEQSIASNIVRVVVAGNSVHISPRFFNGQAVASKDQSRIAEPIKELDIMLTQLVASLPVDMMPGSNDPANFSLPQQPLHRCLFAGAATYNTFSSCSNPHQFELDSVRFIGTSGQNIDDLYKYSDAKDKLEFVERTLRWRHLAPTAPNSLGCYPYTDKDPFLVESCPHVYFVGNQDKYETQLLQGPEKQKVRLICIPRFCDSGVAVMELGVQHVEFLNKLRCLTMLYLSGVQVS >ONIVA03G01880.1 pep chromosome:AWHD00000000:3:1283002:1284497:-1 gene:ONIVA03G01880 transcript:ONIVA03G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFPHLASTLPLLRAVRTPRRLPPAVSAVPPRAARVVLRGFRLPDPAARKFLCFEDSIGLQTEHQKPDSTSTGAKQNSSSDDNSSSTDGPPVLTILAGIIVFLLVLWVIGSLFTWISGLVFGAAKS >ONIVA03G01870.1 pep chromosome:AWHD00000000:3:1281302:1281870:-1 gene:ONIVA03G01870 transcript:ONIVA03G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAQGGGGAVSVQHVARASSDELLRKFADPDAHAKQITPPRRSLALRRKRSSRRVASGLSARDLESGAELAAPKRRRSIGGSTEWKAGLLLPTTTTASARKGSAASHSRRGGAARLDDAAGIGLLLAALERTWRKTVAGASKMFVERHRTNHVLLISDMV >ONIVA03G01860.1 pep chromosome:AWHD00000000:3:1273690:1274759:-1 gene:ONIVA03G01860 transcript:ONIVA03G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPQPPDSRTAAPTSPRLLPLPSSQWHVPFPAQLRSLLLFHCLFFPNPPPSLLPTQKKKKTRILSSSPTPIPTTSRHADHLLLLPFRWIPAAVSTSPASPSGVERRRGRWPVRCGVVAGERLLGFAASEKVSGVFWKLRCDAPATARKGLQVCDTGVILLVGWGFAFWSRGGLGGRHGLGPQHGFQVWAW >ONIVA03G01850.1 pep chromosome:AWHD00000000:3:1255168:1273674:-1 gene:ONIVA03G01850 transcript:ONIVA03G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRGGPMQPPGQRRILRTQTAVNLGEQIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEPTLRGRARKSDAREIQAFYQHYYKKYIQALQNVSDQVDRAQLTKAYQTANVLFEVLKAVTQQHSVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMKFPEIQAAAVALRNTRGLPWPKTYEHKVNEDLLDWLQSMLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLVGNVSALTGEYVKPAYGGEKEAFLKKVVTPIYLTIAKEAERSKREKGNHSEWRNYDDLNEYFWSAECFRLGWPMRADADFFCQHLNSPDQRNETTRTEKQKGKVNFVELRSFWHIFRSFDRMWSFFILALQVMVILAWNGGSLGNIFDPVVFKKILSIFITSAILNLGQATLDIIFNWKARRTMEFAVKLRYVLKFTLAALWVVLLPVTYAYTWENPTGIIRAIKGWFGNGQNHPSLFVLAVVIYLSPSLLAAILFLLPFLRRILESSDYKFVRFVMWWSQIKPLVEPTKDIMKLPIHTFQWHEFFPKANGNIGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFGSIPLAFNACLIPAEESDAKRKKGLKSYLHSRFERKHTDKEKIAARFAQMWNEIITSFREEDLINNKEKELLLVPYVADQALEIMQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLENDYYFKCAIEECYASFKNIIKDLVQGEPEKRVINTIFAEVEKYIADDKEKNDKNDRDAVIKIFQDMLEVVTRDIMEDQLSSILESSHGGSYQRPEGTTTWDQEYQLFQPAGAIKFPLQFTDAWIEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELQEENEDGVSTLFYLQKIYPDEWKNFQQRVEWDEELKENEDKNEELRLWASYRGQTLARTVRGMMYYRKALVLEAFLDMAKHEDLMEGYKAVESTDEQWKLQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALPNAQDILQLMRTYPSLRVAYIDQVEDRVEEKKMEPAYYSTLVKVALTKDSESTDPVQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGRVRFHYGHPDVFDRIFHLTRGGVSKASRSINLSEDIFAGYNSTLRGGNITHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLLTQRRYIHNHPLQWTKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEIELEHLKYSGTIGLFVEIILSLRFFIYQYGLVYHLNITGDKSILIAQACKPLARRAGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERERSSRNKD >ONIVA03G01850.2 pep chromosome:AWHD00000000:3:1255168:1273674:-1 gene:ONIVA03G01850 transcript:ONIVA03G01850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRGGPMQPPGQRRILRTQTAVNLGEQIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEPTLRGRARKSDAREIQAFYQHYYKKYIQALQNVSDQVDRAQLTKAYQTANVLFEVLKAVTQQHSVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMKFPEIQAAAVALRNTRGLPWPKTYEHKVNEDLLDWLQSMLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLVGNVSALTGEYVKPAYGGEKEAFLKKVVTPIYLTIAKEAERSKREKGNHSEWRNYDDLNEYFWSAECFRLGWPMRADADFFCQHLNSPDQRNETTRTEKQKGKVNFVELRSFWHIFRSFDRMWSFFILALQVMVILAWNGGSLGNIFDPVVFKKILSIFITSAILNLGQATLDIIFNWKARRTMEFAVKLRYVLKFTLAALWVVLLPVTYAYTWENPTGIIRAIKGWFGNGQNHPSLFVLAVVIYLSPSLLAAILFLLPFLRRILESSDYKFVRFVMWWSQIKPLVEPTKDIMKLPIHTFQWHEFFPKANGNIGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFGSIPLAFNACLIPAEESDAKRKKGLKSYLHSRFERKHTDKEKIAARFAQMWNEIITSFREEDLINNKEKELLLVPYVADQALEIMQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLENDYYFKCAIEECYASFKNIIKDLVQGEPEKRVINTIFAEVEKYIADDKEKNDKNDRDAVIKIFQDMLEVVTRDIMEDQLSSILESSHGGSYQRPEGTTTWDQEYQLFQPAGAIKFPLQFTDAWIEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELQEENEDGVSTLFYLQKIYPDEWKNFQQRVEWDEELKENEDKNEELRLWASYRGQTLARTVRGMMYYRKALVLEAFLDMAKHEDLMEGYKAVESTDEQWKLQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALPNAQDILQLMRTYPSLRVAYIDQVEDRVEEKKMEPAYYSTLVKVALTKDSESTDPVQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGRVRFHYGHPDVFDRIFHLTRGGVSKASRSINLSEDIFAGYNSTLRGGNITHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLLTQRRYIHNHPLQVALASQSLVQLGFLMALPMMMEIGLEKGFGQALSEFIMMNLQLAAVFFTFSLGTKTHYYGRMLLHGGAQYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIIYQLFGQSYRSTIAYIFVTFSMWFLVLTWLFAPFLFNPSGFEWTKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEIELEHLKYSGTIGLFVEIILSLRFFIYQYGLVYHLNITGDKSILIAQACKPLARRAGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERERSSRNKD >ONIVA03G01840.1 pep chromosome:AWHD00000000:3:1252080:1253285:1 gene:ONIVA03G01840 transcript:ONIVA03G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRLVAAVVVLVACLALPAARGLNITAMLNGYPDYKMFNKYLSETKVCDEINARESITLLVLGDGPMSTLVLDADQSLAGIKNALRLHAILDYFDPKKIRGLPDADTMTDTLYQAGGDAAGKMGNVKISTLDTGKIAFASANPGGKYEATMVKAIKQMPYKLSIMEISAPIEFDGLFDTPSATNLTRLLEKAGCKRFASLITSTGVLKTFEDAMDKGLTLFAPNDDAFDAKGAPDVKKMPSADLVTLLKYHALPSYNPKPTLKTVSRAMRTLASTASGKYNITVDTRGDAVTLNTGVDKSRVAATVIDDTPVCVLTVDNLLMPVELFGDAPAAAPSPDDAAPAPSPSVADASPPAPPPADAPSKPADHKEMKASSAVALRSVVLGALAAAVCSFVLL >ONIVA03G01830.1 pep chromosome:AWHD00000000:3:1240895:1248045:-1 gene:ONIVA03G01830 transcript:ONIVA03G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 5 [Source:Projected from Arabidopsis thaliana (AT5G51710) TAIR;Acc:AT5G51710] MAPAAAGRVPLSRRRSTAALLLVALALVLGVQLRSAAARPDKEMREKFYGKLVTNGSGNATGDGSIAEMFGRVLDKEFSDSDTPEAPDKSSFNNSISDHQAVLETVAVITHDKKKNDSEQANSSKPFQIGDMFGGQNENSDDLETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATAGGIIFSCLGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKAVGPVAVIGGLLQIVLFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSVFITVAYMMTWSFVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYLGLSLELGSFLAGVMISTTDFAHHTLEQVEPIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSVVVTVVVKAFGYSIRTAFVGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPSESSMQNELALQDKATMLEAYNRSL >ONIVA03G01830.2 pep chromosome:AWHD00000000:3:1241188:1248045:-1 gene:ONIVA03G01830 transcript:ONIVA03G01830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 5 [Source:Projected from Arabidopsis thaliana (AT5G51710) TAIR;Acc:AT5G51710] MAPAAAGRVPLSRRRSTAALLLVALALVLGVQLRSAAARPDKEMREKFYGKLVTNGSGNATGDGSIAEMFGRVLDKEFSDSDTPEAPDKSSFNNSISDHQAVLETVAVITHDKKKNDSEQANSSKPFQIGDMFGGQNENSDDLETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATAGGIIFSCLGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKAVGPVAVIGGLLQIVLFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSVFITVAYMMTWSFVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYLGLSLELGSFLAGVMISTTDFAHHTLEQVEPIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSVVVTVVVKAFGYSIRTAFVGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPSESSMQNEVCFLSFFADGCGYNY >ONIVA03G01820.1 pep chromosome:AWHD00000000:3:1234417:1239530:1 gene:ONIVA03G01820 transcript:ONIVA03G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTRSLAAAAAVLVVGVVLHWVGVVDAATAAERGILLEFKAAVTDPNGALASWTAGGDPCVDFAGVTCDPSSRAVQRLRVHGAGIAGKLTPSLARLASLESVSLFGNGLSGGIPSSFSALGPTLHKLNLSRNTLSGEIPPFLGAFPWLRLLDLSYNAFSGEIPASLFDPCLRLRYVSLAHNALTGPVPTAITNCSRLAGFDFSYNRLSGELPDQLCAPPEISYISVRSNSLSGAIAGKLNACRSIDLLDVGSNHFAGPAPFGLLGLVNITYFNVSSNAFDGEIPNIATCGTKFSYFDASGNRLTGPVPESVANCRSLRVLDLGTNALAGDIPPSIGKLRSLSVLRFAGNAGIAGSIPAELGGIEMLVTLDLAGLALIGDIPVSLSQCQFLLELNLSGNQLQGVIPDTLNNLTYLKLLDLHRNHLVGGIPVTLAQLTNLDLLDLSENQLTGPIPSELGNLSNLTHFNVSYNGLSGMIPALPVLQSFGSSAFMGNPLLCGPPLNNLCGASRRAKRLAVSVIIVIVAAALILIGVCIVCAMNIKAYMRRSKEEQEGKEEDEVLESESTPMLASPGRQGSNAIIGKLVLFSKSLPSRYEDWEAGTKALLDKDCLVGGGSVGTVYKATFENGLSIAVKKLETLGRVRSQDEFEQEMGQLGNLSHPNLVAFQGYYWSSSTQLILSEFMVNGSLYDHLHGSPHTFSRSSSGVGLSWEQRFKVALGTARALAYLHHDCRPQVLHLNIKSSNIMLDKDFEAKLSDYGFGKLLPILGSYELSRLHAAIGYIAPELASPSLRYSDKSDVFSFGVVLLEIVTGRKPVESPGVATAVVLRDYVRAILEDGTVSDCFDRSMKGFVEAELVQVLKLGLVCTSNTPSARPNMAEVVQYLESVRTNS >ONIVA03G01810.1 pep chromosome:AWHD00000000:3:1226706:1231417:-1 gene:ONIVA03G01810 transcript:ONIVA03G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G45460) TAIR;Acc:AT2G45460] MAALDPPSELLVTPKTARKDEAASCNAATPPKPSPVSPEEMRAVARKFAEQPVQNPDDGVWAVLTAISKNARLRPEGMNILLSADEHYIGRAVQESSFKISSLQISGKHCKIYRDTVLGELNRNEPVPVFLKDSSSNGTFINWTRLKKTSPPTKLNHGDIISFVSAPHDNTSFAFVYREVNAVSRAENEVTILKRKSEDIHSERKRLKGLGIGSSDGPVSLDDVRRLEKSNAELREQLEEHVVTIETLRTQIKISEAQHEKELKELKEITSSTYVDQAKSLQQTLEYKQKQIDSLSTSNTELQNSIKDLDERLSAYKQSRAEADEIIQSQKSNICELEAQLSEERDLRREERDKAAEDLKSALHKVNAEAQEEIKRQAEAHLRQQREQKEVISKLQESEKEIRLLVETLRSKLEDTRENLVTSEKKARELEAQLQDEQLVSANNQKKSDKLEMDLRKVKKELEHEKAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENTIMGDDLNKVPLATVTADDARTRVNYSKNTMEASGASTENTQASEQSSSDDSKETEQQDDFTRVEGANTQEVECNSPEMATERFRSDSHGDLAATAPELEPTDTEQVPETESQAGNVGCGDHNSAPQRFSEMGGDTMQLDDEVQPQENDESILICKDRGQPQGNEEASLTLKDGIGHYSEEKLEVNCSERKHEDTQTRTIGTADLLASEVAGSWAVETGPSVNGENESPRSLGETTDHAGEQDENVRGSSAADALVNSDGQAAGSQSNIDHVISKITDHHRVLNAMIEIVDPDFRKQLPGSGVGKDDLMSDAETEEGSEANDTDSDSEEAMVEDSVG >ONIVA03G01810.2 pep chromosome:AWHD00000000:3:1226706:1231417:-1 gene:ONIVA03G01810 transcript:ONIVA03G01810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G45460) TAIR;Acc:AT2G45460] MAALDPPSELLVTPKTARKDEAASCNAATPPKPSPVSPEEMRAVARKFAEQPVQNPDDGVWAVLTAISKNARLRPEGMNILLSADEHYIGRAVQESSFKISSLQISGKHCKIYRDTVLGELNRNEPVPVFLKDSSSNGTFINWTRLKKTSPPTKLNHGDIISFVSAPHDNTSFAFVYREVNAVSRAENEVTILKRKSEDIHSERKRLKGLGIGSSDGPVSLDDVRRLEKSNAELREQLEEHVVTIETLRTQIKISEAQHEKKSNICELEAQLSEERDLRREERDKAAEDLKSALHKVNAEAQEEIKRQAEAHLRQQREQKEVISKLQESEKEIRLLVETLRSKLEDTRENLVTSEKKARELEAQLQDEQLVSANNQKKSDKLEMDLRKVKKELEHEKAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENTIMGDDLNKVPLATVTADDARTRVNYSKNTMEASGASTENTQASEQSSSDDSKETEQQDDFTRVEGANTQEVECNSPEMATERFRSDSHGDLAATAPELEPTDTEQVPETESQAGNVGCGDHNSAPQRFSEMGGDTMQLDDEVQPQENDESILICKDRGQPQGNEEASLTLKDGIGHYSEEKLEVNCSERKHEDTQTRTIGTADLLASEVAGSWAVETGPSVNGENESPRSLGETTDHAGEQDENVRGSSAADALVNSDGQAAGSQSNIDHVISKITDHHRVLNAMIEIVDPDFRKQLPGSGVGKDDLMSDAETEEGSEANDTDSDSEEAMVEDSVG >ONIVA03G01800.1 pep chromosome:AWHD00000000:3:1223785:1227833:1 gene:ONIVA03G01800 transcript:ONIVA03G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGIGDALMQPQHVQVMSSSLPMVASTFVAEPAAAAAAAAANKPRAAGLPPTPPQVFAAQRAAAAAGGDVCMEESAQGGGGGLPPRKAHRRSSSDVPFGYLAGQHQLLPPKVEAGWGHLGAGAGGAAAADDLFNAYLNLDGLDGLNSSDDRHDEGDSRGSSIKTNGADSSENESEECADDTRGGIRLWSADGGERREGVKRNAAGEPATAPLARHARSLSMDSLIGKFNFTAGTAAAAGNGVALGPNRFSLEFGSGEFTPSEMKKIMADEKLAEMALADPKRVKRVLANRQSAARSKERKMRYIAELEQKVQILQSEATNLSAQLTMMQRDSAGLATQNNELKFRLHAMEQQAQLRDALNEALTTEVQRLKLATAELGDSCSSSSLAQQIQLNAQNQMFQLQQQQATQIPFYQLQQSQQNGAAKNNESKE >ONIVA03G01790.1 pep chromosome:AWHD00000000:3:1200891:1209420:-1 gene:ONIVA03G01790 transcript:ONIVA03G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFILPHTQASFLPTAREENIQTMQAQVGDHMDAMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYDEQSEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQRTAYPARSMVETWDYSLHERNIMSAAAAAAFADPSAAYAQMRRQHRSGRFKQEAELDGAATALLHYSSHLAELPQLESPSAAAAPLQPNPSQLATAGEDDDCKGDNGGRRAKKARAAGDKVATTTDWRALDKFVASQLSPGECGSMEATAEAAAAAVAGVSSPLDHGDDDMAALLFLNSDERDEVDRWTGLLGSGAGASGVDGDLGICVFDK >ONIVA03G01780.1 pep chromosome:AWHD00000000:3:1197539:1199183:-1 gene:ONIVA03G01780 transcript:ONIVA03G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGYGWALAAGLNAALAAISAKFFAPPLLKYSMVILFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFEEPLPSKWFVGASLIIVGVFILSKSSIEKKLNSD >ONIVA03G01770.1 pep chromosome:AWHD00000000:3:1193318:1195134:-1 gene:ONIVA03G01770 transcript:ONIVA03G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHERKPVGEAVDVEAGGGGGRQRAAAAAGERMRLTWSCLAVAAGVAATGVAGAAVLVWWAVAFHPAHEQLWMVPVGLVLLGTPLVAWLSLFASGACRRLGSLRAVQDQDSGGNVRSGPDSTRILHASCMQKPPCELRVPTYGYLWAPNGKPAKPLLCFEFRRRRRCGSGALTLTIAVAIQLDYITGRGMACPGTVPFGGASTRKAAVR >ONIVA03G01760.1 pep chromosome:AWHD00000000:3:1187356:1191115:-1 gene:ONIVA03G01760 transcript:ONIVA03G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) TAIR;Acc:AT1G01140] MAEAEAEAAGAGAGAGPARRTTRVGRYELGKTIGEGSFAKVKVARDTRTGDTLAIKVLDRNHVLRHKMVEQIKREISTMKLIKHPNVVQLHEVMASKSKIYMVLEYVDGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGALKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDPNLMTLYKLICKAKVSCPHWFSSGAKKFIKRILDPNPCTRITIAQILEDDWFKKDYKPPLFEQGEDVSLDDVDAAFDCSEENLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGMVKRETSFTSQCTPQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYNNFSSELKDIVWKSESDAKAAKKR >ONIVA03G01750.1 pep chromosome:AWHD00000000:3:1180507:1181049:1 gene:ONIVA03G01750 transcript:ONIVA03G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPWWLMAVAGERWPAAVEVKALLQASLLDARQHHNQQGGCTSPTFAHRAPCSWPQRIGWSPPVMEIVYYFSFAHDGWLLLCNVKLNSIPHRYILP >ONIVA03G01740.1 pep chromosome:AWHD00000000:3:1146693:1154121:1 gene:ONIVA03G01740 transcript:ONIVA03G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGTIEHKQSNLIQRPIKDVTESNHKDVIESNQKTTDVNVTDSNHTIDVDKVAWCEHICQHSSHRDGTIYKYKLYWKNNYQIDVTNREETRVEPKRYSMDTGCIPNPENCLYHLTCQMVQIFSLKLAKTTINSGPLQLYGYIAARDLVDDMLNYVFNRSRDDPIIVQEESIIEMTGPKRGIALIPDVLFEFDMRIKNGDEEDDLQLIDGIIEFQEILLPEKPTTVRITGDYGDVDMCLANVSNGVEATVEVAISEPDHGFDLSISCVHFMMEKSKEFHLFGGTIGESRQLRRFVMAVFLDTVMHLKFKVDQKGSNVVEHCCSFESKLHGCASHQIKLENASILVKVTWSPLIE >ONIVA03G01730.1 pep chromosome:AWHD00000000:3:1139083:1140745:1 gene:ONIVA03G01730 transcript:ONIVA03G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKAMKVVSTLAGIDAISMDMASRKMTVIGTVDPVNVVSKLRKASWAAYIESLGPAKEPEKKREGGGDAKKDGGDGKKDGGGNGKKEGGDGKKEGDGKKDDGDGKKGEAAAAAAKKEEGGGEKKVAAVAPMPMPMHQLPPPYMFNAGYMNQYRPPPPPPAYPYAPPPQYYYARDMSMEENPNSCAIC >ONIVA03G01720.1 pep chromosome:AWHD00000000:3:1135106:1135840:-1 gene:ONIVA03G01720 transcript:ONIVA03G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLPSKKKKKKGSSAAARGFMCGCGGAKSVSVVSRLSAAGNISPATTTPPTTTSSATATSAKTTRVPAPAPNTVHDADGTPSVGTLLLQLRELERGVRALGVREDRGIRPATPPPPPPLPRHRRSASDWGASSGGRRRRGRLEEESVAVVTESDDPLGDFRRSMAQMIVENEITATPELRELLHRFLSLNSSRHHHLILRAFADVCEELFAGVGQHNSHHHHHHRPRRRPTKPIPYSATTS >ONIVA03G01710.1 pep chromosome:AWHD00000000:3:1130690:1133973:-1 gene:ONIVA03G01710 transcript:ONIVA03G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTMPLTFSPPRLLHRHRRREAKPQRRSATGVSLLPRRGAASAPRRLYCAPSGGGEVSAPPADEQQEQAAKEEKEEYTLLAITGSDFNEVIMIIDSPATRYLLLDTNRNVHSVLPKTGVWTNSYWDEFVSLPAVVPRGPVALLGLGAGTAAHLMLKFYPWLQLVGWEIDPKIIELSRDYFGLSDLEKATESGGSLSVRIGDALSPSAIIEGGFAGIVVDLFADGKIIPQLQEVETWLEIAKKLMPDGRIMVNCGGADAAVSLADDMGLSSWVQNPTIKALCAAFPGQY >ONIVA03G01710.2 pep chromosome:AWHD00000000:3:1130690:1133973:-1 gene:ONIVA03G01710 transcript:ONIVA03G01710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTMPLTFSPPRLLHRHRRREAKPQRRSATGVSLLPRRGAASAPRRLYCAPSGGGEVSAPPADEQQEQAAKEEKEEYTLLAITAVVPRGPVALLGLGAGTAAHLMLKFYPWLQLVGWEIDPKIIELSRDYFGLSDLEKATESGGSLSVRIGDALSPSAIIEGGFAGIVVDLFADGKIIPQLQEVETWLEIAKKLMPDGRIMVNCGGADAAVSLADDMGLSSWVQNPTIKALCAAFPGQY >ONIVA03G01700.1 pep chromosome:AWHD00000000:3:1124127:1128762:-1 gene:ONIVA03G01700 transcript:ONIVA03G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVALKYNDQQPQEQHRQAGGAQIQHVKLNKQDTEPGEPKQTRPLPTLNNLPRATKRRSSPYKIISTPRSTEPTTDTTLAAELRPHPTHSNAKRAEKETETLKANNQRINRPNQTSTQHTTATTPANATRCRGAAKPISHATTPCPRTPIGNINPSNADPAPANRKITSPIQDLYLNPSQPANGKAKRPSIVFPSAARRMDTLNDLLAASDLVSLHCTLTNDTMHILNADCLQHVKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITILQSFFFDGAVPSSAISDEDEEISEAGNEDDQLEEKVSSSQVFDSEQQTDESQLKMEYEKRRAISQHKEPQASARSQHIVPRSEGRRSRSGKKGKKRPARRRSQQKTDELSAVESGSNYSSRRDDDTAMSGRDQVLSSSSRFASPEDSKYKQKSPAESPMEITSETKLPTVLRRKYPDTLKDGFVVALRTKDNSGFHVARQRLAGGGGWILDIVSNATNRDPAAQFLVTFKNKDTMGLRSFVAGGKLLQINRRMEFVFASHTFDVWESWMLEGSLLEGCKLINCRNSSAVLDVCIEILAAASEEDGVTRWLD >ONIVA03G01690.1 pep chromosome:AWHD00000000:3:1116187:1120640:-1 gene:ONIVA03G01690 transcript:ONIVA03G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tryptophan biosynthesis 1 [Source:Projected from Arabidopsis thaliana (AT5G17990) TAIR;Acc:AT5G17990] MAAASLKLSSSAKPLAPAPGSHLLPLRAHHARPLPARLPPPPRVAVQHTAAPRSDASPRTASFDKVLEALIGGDHFSEEEAEVTLRLLLEEENEARIAAFLVLLRAKGETYEEIVGLAKAMIGCCVRVDGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVKPVRKKLKIKTVFNILGPLLNPARVPYAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPRKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGERGSIADALVLNAAASLLVSGKVNSLHDGVALAQETQRSGEAINTLESWIKISNLNMNLTPSGKLLIQSCRD >ONIVA03G01680.1 pep chromosome:AWHD00000000:3:1113941:1116039:1 gene:ONIVA03G01680 transcript:ONIVA03G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASSELQQQPPPAAAAAGMWVPGMSPQAVDAGLSARAQEIARRREEMLGMLHDLPESEYELSLTDLVEKAGGVGVAPPSPSPSEGKAEPGPARTASGRQSEQQQQAAAAAGRPERRGSARRWDSAGGSSFRSSSDGVLLNFYMPRSFTRSFTAPRTTGPGRPPSVSGGRTASVCSDRNKRERDGETVRCWSLLWDRRWRKSSRGDHGNESAVRAAILKAAKHNASSPKKI >ONIVA03G01670.1 pep chromosome:AWHD00000000:3:1107321:1113672:1 gene:ONIVA03G01670 transcript:ONIVA03G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein with a domain of unknown function (DUF21) [Source:Projected from Arabidopsis thaliana (AT5G52790) TAIR;Acc:AT5G52790] MSQHAVCCGAMFWVYLMSCVGLVMFAGLMSGLTLGLMSLSLVDLEVLAKAGTPTDKDNAARILPVVKNQHLLLCTLLIGNSLAMEIGADGFVAAACAQALPIFLDSLVPSFGAILISVTLILAFGEIMPQAICTRYGLSVGAKAAPVVRVLLIVFFPVAYPISKLLDWVLGKGHVALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALEMTQKTAKDAMTPISETFSLDINAKLDLHTMGMIMTKGHSRVPIYSGTPNNIIGLILVKNLITCQPEDEVPIRNVTIRKIPRVSDDLPLYDILNEFQKGHSHMAVVVRRIKEPGASIEKTYSDRSDYKTNSDRSDYKINHRDAHADGLSPSRVSIAGSRRSNIEKNGEVRLYKKSEKKRDNILDFNSGPLPSYSLDQEAVGIITMEDVMEQLLQEDILDETDEYVDVHNKIKINMLPLGKSLSPTISPSNGPHSQGLRRTPMTSPLSSYHHGGSILRSPASNNPQSPGTLPTIISPERSPASQAPSRSSPNSTQVSRNS >ONIVA03G01660.1 pep chromosome:AWHD00000000:3:1094719:1097895:-1 gene:ONIVA03G01660 transcript:ONIVA03G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Ubiquitin ligase, Det1/DDB1-complexing (In /.../:IPR018276); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G41560) TAIR;Acc:AT5G41560] MGRNPKSSTSPWSLEKGGETKKRGGVAGVGVGNVTASSAAAMESSLGGWPSYNPQNFSQVVPADPSAQPLNVVPATYIATHRTGPPPGQVITTDPKNILLRHFYQKSEEKLRPKRAAPDNLTPQNNGKQPRGPLSDGGGSQATASGRS >ONIVA03G01660.2 pep chromosome:AWHD00000000:3:1094719:1097895:-1 gene:ONIVA03G01660 transcript:ONIVA03G01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Ubiquitin ligase, Det1/DDB1-complexing (In /.../:IPR018276); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G41560) TAIR;Acc:AT5G41560] MGRNPKSSTSPWSLEKGGETKKRGGVAGVGVGNVTASSAAAMESSLGGWPSYNPQNFSQNVVPATYIATHRTGPPPGQVITTDPKNILLRHFYQKSEEKLRPKRAAPDNLTPQNNGKQPRGPLSDGGGSQATASGRS >ONIVA03G01650.1 pep chromosome:AWHD00000000:3:1088091:1093289:1 gene:ONIVA03G01650 transcript:ONIVA03G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRRLLAEAPPHQPHPSRHQQGAPSSVWSAGYLNGWLSQRTAVFGLRLWVLIGIAVGAAIVLVLVLVFVCLSRRRRRRDDLASNLYPADTKILKQHLQQPTPPKDIQEIVRRQQRQQQTPTPTPPQPPPPAAQHGVQLAKAETPPPPQRTQPPVLPAGSTRSTAASGMSATTSGGSERDGATPRSTASGSAGPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYKGTLQNSAMVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWEVRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILLDQQWNAKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAPGEVNLVEWLKTMVAERKAEEVVDPKLPEKPSPKALKRALLVALRCVDPDGHKRPKMGHVIHMLEMDDLLCRDDKKPGRDAPQTSDRHSSRDGGNFSKRENQRYR >ONIVA03G01640.1 pep chromosome:AWHD00000000:3:1076204:1083473:1 gene:ONIVA03G01640 transcript:ONIVA03G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G63980) TAIR;Acc:AT1G63980] MAAPEAPSCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGVDSPHNKWVYDTSQFDNILKKLKVQSATPAIKEFEDDVSNSPDSTPKEAKPTNNEVTKVTRPQGRYKKRERGKSVSGYSAKDLEGILVRKSDDNCKVDQEVEPTCCDEPDPIICQDTVSQADDVNWWGHKFGFKSGGFLGAKSRKNKSSATKGTSNVRQTFGEEDQENLYNLVQDKATSGKQGLGIKGLPIKVAGHRWKGNKTSFGDSDEENSTQSNELSELEEDGDEEESANDNELIDANVNTVKEMCVDVKHKHKTKVKKLCKTILRQAPAQSMKLKELKVAVEAHSESMFSNFSCRREALLFLKRKLQGSRKFNIDGKRVQLVS >ONIVA03G01630.1 pep chromosome:AWHD00000000:3:1067798:1070193:-1 gene:ONIVA03G01630 transcript:ONIVA03G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLVAARAPLLSPAAVAAAHRSPPALLRLAFAPLPARLAVPLRVAVGEPEPEEDARRAVAERAARKQSERRTYLVAAVMSSLGITSMAAAAVYYRFAWQMEVGNTPSPNSRSHLTITQFGNSFAAALKFLRVLDQGSGEIPVTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAVPAMSLLAYGFFTRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHQIHHMDKFEGVPYGLFLGPKELEEVGGIEELEKEIKRRIKRKETLDAIQ >ONIVA03G01620.1 pep chromosome:AWHD00000000:3:1064884:1066247:-1 gene:ONIVA03G01620 transcript:ONIVA03G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L5P family protein [Source:Projected from Arabidopsis thaliana (AT4G01310) TAIR;Acc:AT4G01310] MAATAVTLPSSPAPFPVTTTASSSRNVRLLLRSPPPRRALRVAASAAADAPPKPAPPPTSPSGIVLVDPTEAQKVHRLKAVYDQKVVPLITEEFGYTNVHQVPKVEKIVVNCGLGAEAGNSKGLESAMKDLAMITGQWPVKTKAKKSVASFKIREGNTIGIAVTLRGRVMFNFLDRLINLGLPRTMDFLGVNPNSFDGHGNFTIGLRDQGVFPEIPYEVGGKKNGMDVCIVTTAKTDNEALRLLTLLGMPFAEHIKSSVVIRKKRLKRHHFMSKGRGRR >ONIVA03G01610.1 pep chromosome:AWHD00000000:3:1061317:1063729:-1 gene:ONIVA03G01610 transcript:ONIVA03G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPLMVTLLAQHGTQRRMAEELSEANLPSSEERNEQLRLSTLQIMRDEPVTLITWDARISAPWSRKRVKWLVRLKGPVSHFPFGTTSAAPPSPARSDRSATALANAAVLDLLPSPTPPYSVILATCFRHPAPAGDDGDAATPHAHSATSATAQTTCNQPTNQNSLS >ONIVA03G01600.1 pep chromosome:AWHD00000000:3:1060879:1066337:1 gene:ONIVA03G01600 transcript:ONIVA03G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTILQVVCAVALVALCACGVAASPSSPAGAGCRKHVARITEYGGVGDGRRSNTAAFAKAVADLSLRAGDGGAALVVPKGKWLTGPFNLTSHFTLFLDHGAEILASQNLEDWPLIAPLPSYGRGRDEPGPRYSNFIAGSNLTDVIITGRNGTINGQGQVWWEKFHAKELTYTRGYLLELLYSNNIIISNVTFVDSPSWNLHPTYCTNVTISGITILAPLNSPNTDGIDPDSSSHVKIEDSYIVSGDDCIAVKSGWDQYGIKFNMPSQHILIRRLTCISPTSAMIALGSEMSGGIRDVRAVDNVAIDTESAVRIKSGVGRGGYVKDVFVRGLSLHTMKWVFWMTGNYGQHPDNSSDPNALPEVTGINYSDVFAENVTMAGRMEGIPNDPYTGICMSNVTAQLAPDAKKLQWNCTDVKGVASDVSPVPCPELGAAGKPCAFPEEELVIGPPELPTCSY >ONIVA03G01590.1 pep chromosome:AWHD00000000:3:1050677:1050961:1 gene:ONIVA03G01590 transcript:ONIVA03G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTKPLTLPCLAHRLPLRLHRRGALHFLRLFPRVFDLRALLPLSLSLTAPTAELLAVATFAAYPTAAAAAAAGSGERWGNDEGEGCTSGAI >ONIVA03G01580.1 pep chromosome:AWHD00000000:3:1046132:1048126:1 gene:ONIVA03G01580 transcript:ONIVA03G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPNGPRPRRGDDPLYLRRAMPCSPLPAQHAALHSKPHGLLVSSSVSRAHAIRWELMEVGSLQHLGDSFSYRWLKHAAQAPSFKRLVDDDVGGSSRYFIDMDPADLFSMRWTAPGTDFDFDFDLPGGDDDAASPIPLLVSASQIFHDGRLLPHELDDDGRFGAQEDGDAARVAHLLSEPRLSASSPLFHSAQSTPASLSSSSSARSGASKNASAPPLLAAGRRGGGSSPWKILLRYLRFLMPLYRKVRALPPLRAPRTRVSPASPASARARASTSSIDWCHGIADTAVHDAILYCKKSSIGLDI >ONIVA03G01570.1 pep chromosome:AWHD00000000:3:1038990:1039923:-1 gene:ONIVA03G01570 transcript:ONIVA03G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASNGAFLPWKKQGHQIKLRATKGKGTLVMQLGKQAWISRAIIKIQFKDQEPGPGKTSSETLKHGTIVSVNNQQDGSNDIRTY >ONIVA03G01560.1 pep chromosome:AWHD00000000:3:1033200:1035472:1 gene:ONIVA03G01560 transcript:ONIVA03G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLAVPLLLCLLASASAARFAPADNHLLACGATAPAVLPDGRRFVPDSGCASTRLRSPAPTLPSAASSAAPQPTPLHAAARVFSCRASYDLAVRRRGYHVLRLHFYPFEPALASARFHVGAAGFLLLHNFSASAPVVKEFILPVHSDVLVLTFVPESGSNAFVNAIELVSAPDELVGDIGTLVTSSGTDQNNGLSSQVYEMLYRINVAGRKVTPFNDTLWRTWVNDERFLVSTESSNSGVWSFGGRIAYPKGSRLMTREVAPDNVYNSARSVSSQGKVTWGFPVPASSRYLVRMHFCDIVSKALNELYFDIYVNGQLAVKDFDISGATGFLAYPYYIDFVVDVEDEVALKLAIGGSKNSRSDEVSGILNAVEIMRMNKTNGGIDGDFAVSLGMEYVASKGIGEFARSLLCGFIFAVLAALASYFGPHVLIAKSFW >ONIVA03G01550.1 pep chromosome:AWHD00000000:3:1028932:1031799:1 gene:ONIVA03G01550 transcript:ONIVA03G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFEPNKSVVSGRGGIGKCDDPISVHSIVHSTIPVFHHALPSGRCPIFLRVHFPVPDSTHHSTASHSSFPLPSNTTTRTVRTLVRFRLILSWTRQWRHDHASTTASMATVIVILLLLPLLPSTALAAFPYFLACGAASNVSFPGDSPARTFVPDAPFLSSAGRVPAVTSTGSNTIPPLYAAARAAGSGFSYSFADPDTATVNVSRVLRLHFFPFTSSSSVNLSSASFSVSVRDAYTLLSSFSPPRDGVVKEYFVPGDGSGEFRVKFTPDAGSTAFVSAIELFPAPPELLWRRPVKPVGALVDSVDVNAWPQQALETVYRLNVGGSKVTAANDTLWRTWLPDDPYFSSPRGLSQVNSTSTPIIYGTSIGYTREVAPDSVYKTQRAMNMTSQQLFLTPGPFNLTWTFALPPPAPGSDSDYLVRLHWCDYSPVSSVVATGIVFDVYVAQRLASKDLDRNAVDAAEQPNEAFYLDYAATAPTTGNLTISIGKSDKSDAGGMLNGLEIMKLRRADNLNSAGSHGRRKKILIGTLSAALGVAVLACALLCLLAVLRRRRQAPTPAPEEKESTQLPWSQHTTDGSSWVDMSNASGAGMTGGLHRMSMQLNISLADITAATENFNERNLIGVGGFGNVYSGVLRDGTRVAVKRAMRASKQGLPEFQTEIEVLSRIRHRHLVSLIGYCNEQSEMILVYEYMEKGTLRSHLYGSEEPPLSWKQRLEICIGAARGLHYLHTGYSENIIHRDVKSTNILLGDAFIAKVADFGLSRIGPSFGETHVSTAVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLFEVLCARTVIDQSLERDEINLAEWAVSLQQKGELAKITDPRIAGQVNGNSLRKFAETAEKCLADYGLDRPSMGDVLWNLEYCLQLQETHVNRDAFEDSGAVATQFPADVVVPRWVPSSTSFLMDDSVTDSGIANSKAFSQLSSGDGR >ONIVA03G01540.1 pep chromosome:AWHD00000000:3:1026098:1027590:1 gene:ONIVA03G01540 transcript:ONIVA03G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGDRHHHKDAIVMHAAGKAPKCKASFFLCSLLLYFLLPVLALYVVALAVSPFYSGSSCPEESLASGDVAHLAAAGDAGNRRNDSSPPSDDAAPTGLGHIVFGIAASSELWKSRREYIRTWWRPEQMSGFVWLDKPVYEFYSRNASTGLPGIKISGNTTKFPYTHGRGSRSALRITRIVSESFRLGLPGARWFVMGDDDTVFFPDNLVDVLSRYDHTQPYYIGNPSESHIQNLIFSYGMAFGGGGFAISRALAAQLAHMQDGCIDRYPALYGSDDRIHACMAELGVPLTRHLGFHQCDLWGDVLGLLGAHPVVPLVTLHHLDFLQPVFPTTRSRTAALRRLFEGPARLDSAGVAQQSVCYDGDKQWTVSVSWGFAVVVTRGVLSPREMEMPDPNLWKRSPRRNCCRVLSSPKQGKDRKMTIDVGVCRGGEFARIEVAR >ONIVA03G01530.1 pep chromosome:AWHD00000000:3:1019456:1022359:-1 gene:ONIVA03G01530 transcript:ONIVA03G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKCSKSQARRMVTARSGRPRWGRHGWLSMAATPCSDLWCLMHWSITLSRGVCPRVVPAALTSFSPSAFLAACSCATIPSLRLRKCLDIVLAATAVYAPAALSPATASNPPATALICSQYLLYLLSTCTNTHEYTYRALHQAYVYGRGKDGDSQ >ONIVA03G01520.1 pep chromosome:AWHD00000000:3:1017425:1023814:1 gene:ONIVA03G01520 transcript:ONIVA03G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPNLGFADYFSAADASASSVTTLMPAMDEAAPELFGLQAGMELLGVRGLGMSMMPGAAGKVAALVADAGDDGGGGSTMRFLSEQHQQPSQAPLSLSLCRPDGVLHLGGAARPQHQLAPAAPWMTHHDASSSAPQVHGAWHLRSSRFLLPAQQLLQEFCSLPVDSTKRGNGAKAATQQEDGRGDGSSSSSASWTPSPQIQAMEALELQRLKDKLYIMLEEVDRRYRRYCEQMRAVAGGFEAVAGERAAGAYTAVAARTISRHFRSLRDGIVAQLQAARKALGEKDVSAAGTTRGQTPRLRVIDQCIRHHKSLQGVAAMDSHPWRPQRGLPDRAVTILRAWLFEHFLHPYPSDVSNWFINARVRLWKPMVEEMYVEEMKGQDGGDGSGGQGSLNPKPTCSHASEARGGQQLVVGDGDDGEHKPTRAQLRHDAGSLASVVNVDVAAGAGGVARLHQAENFGIMDHLDFDAYDDSHHQQQHGGFGGVSLTLGLQQHGSHGGGGVNIAFGAPGSAHGGAGFLYPGEQMAPDAMHPGHGHHVVGGQFGVAMDGDAASHAQERYRSLSAGFHLLRDLAG >ONIVA03G01520.2 pep chromosome:AWHD00000000:3:1017425:1023814:1 gene:ONIVA03G01520 transcript:ONIVA03G01520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPNLGFADYFSAADASASSVTTLMPAMDEAAPELFGLQAGMELLGVRGLGMSMMPGAAGKVAALVADAGDDGGGGSTMRFLSEQHQQPSQAPLSLSLCRPDGVLHLGGAARPQHQLAPAAPWMTHHDASSSAPQVHGAWHLRSSRFLLPAQQLLQEFCSLPVDSTKRGNGAKAATQQEDGRGDGSSSSSASWTPSPQIQAMEALELQRLKDKLYIMLEEVDRRYRRYCEQMRAVAGGFEAVAGERAAGAYTAVAARTISRHFRSLRDGIVAQLQAARKALGEKDVSAAGTTRGQTPRLRVIDQCIRHHKSLQGVAAMDSHPWRPQRGLPDRAVTILRAWLFEHFLHPHRPPVSNWFINARVRLWKPMVEEMYVEEMKGQDGGDGSGGQGSLNPKPTCSHASEARGGQQLVVGDGDDGEHKPTRAQLRHDAGSLASVVNVDVAAGAGGVARLHQAENFGIMDHLDFDAYDDSHHQQQHGGFGGVSLTLGLQQHGSHGGGGVNIAFGAPGSAHGGAGFLYPGEQMAPDAMHPGHGHHVVGGQFGVAMDGDAASHAQERYRSLSAGFHLLRDLAG >ONIVA03G01520.3 pep chromosome:AWHD00000000:3:1017610:1023814:1 gene:ONIVA03G01520 transcript:ONIVA03G01520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPNLGFADYFSAADASASSVTTLMPAMDEAAPELFGLQAGMELLGVRGLGMSMMPGAAGKVAALVADAGDDGGGGSTMRFLSEQHQQPSQAPLSLSLCRPDGVLHLGGAARPQHQLAPAAPWMTHHDASSSAPQVHGAWHLRSSRFLLPAQQLLQEFCSLPVDSTKRGNGAKAATQQEDGRGDGSSSSSASWTPSPQIQAMEALELQRLKDKLYIMLEEVDRRYRRYCEQMRAVAGGFEAVAGERAAGAYTAVAARTISRHFRSLRDGIVAQLQAARKALGEKDVSAAGTTRGQTPRLRVIDQCIRHHKSLQGVAAMDSHPWRPQRGLPDRAVTILRAWLFEHFLHPYPSDVSNWFINARVRLWKPMVEEMYVEEMKGQDGGDGSGGQGSLNPKPTCSHASEARGGQQLVVGDGDDGEHKPTRAQLRHDAGSLASVVNVDVAAGAGGVARLHQAENFGIMDHLDFDAYDDSHHQQQHGGFGGVSLTLGLQQHGSHGGGGVNIAFGAPGSAHGGAGFLYPGEQMAPDAMHPGHGHHVVGGQFGVAMDGDAASHAQERYRSLSAGFHLLRDLAG >ONIVA03G01520.4 pep chromosome:AWHD00000000:3:1017610:1023814:1 gene:ONIVA03G01520 transcript:ONIVA03G01520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPNLGFADYFSAADASASSVTTLMPAMDEAAPELFGLQAGMELLGVRGLGMSMMPGAAGKVAALVADAGDDGGGGSTMRFLSEQHQQPSQAPLSLSLCRPDGVLHLGGAARPQHQLAPAAPWMTHHDASSSAPQVHGAWHLRSSRFLLPAQQLLQEFCSLPVDSTKRGNGAKAATQQEDGRGDGSSSSSASWTPSPQIQAMEALELQRLKDKLYIMLEEVDRRYRRYCEQMRAVAGGFEAVAGERAAGAYTAVAARTISRHFRSLRDGIVAQLQAARKALGEKDVSAAGTTRGQTPRLRVIDQCIRHHKSLQGVAAMDSHPWRPQRGLPDRAVTILRAWLFEHFLHPHRPPVSNWFINARVRLWKPMVEEMYVEEMKGQDGGDGSGGQGSLNPKPTCSHASEARGGQQLVVGDGDDGEHKPTRAQLRHDAGSLASVVNVDVAAGAGGVARLHQAENFGIMDHLDFDAYDDSHHQQQHGGFGGVSLTLGLQQHGSHGGGGVNIAFGAPGSAHGGAGFLYPGEQMAPDAMHPGHGHHVVGGQFGVAMDGDAASHAQERYRSLSAGFHLLRDLAG >ONIVA03G01510.1 pep chromosome:AWHD00000000:3:997820:1001242:1 gene:ONIVA03G01510 transcript:ONIVA03G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKTKLQLPLVHNERLWARPWRWAKTVFFLVSMLASLLLVCAPPLLIVVLDLLLPPALLSNFHRAANHPASLIDQARGFHFRSSLVDLPAVSAARSLLILCAYTACGGGAAYLWVAVACSVGSVCYVVAKAAVVFGAAPDGAVLGLQGKGQLVAVEAMFLMSLALAAAHIAMAYRASCRERRRLLVYRIDVEAVRLKGGQTPKSLKQQFAV >ONIVA03G01500.1 pep chromosome:AWHD00000000:3:993023:993445:1 gene:ONIVA03G01500 transcript:ONIVA03G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGGDDATRLAQPGCEVIGELEISPPTLSLILLLPTTAPPLSLVHREALHVTEHRGIRAGGGDGVGTDLVDHVSTASFVITVVGSPSPLRPSLACRRRCSSQKERERGEKREREKGERDEEERMWAHISVGPTNVFFV >ONIVA03G01490.1 pep chromosome:AWHD00000000:3:988786:991844:-1 gene:ONIVA03G01490 transcript:ONIVA03G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANLDKMQLRQSYRNLWHSDLTSTIQADFPYCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGKCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQIACIFSIVAAIVGSEELSEASQILSCLSDMVYCSVCACMQTQHKIEMDKRDGKFGPQPMAVPPMQQMSRIDQPIPPPVGYTPQQPAYGQPYGGYPPAPPAQGYPPAAYPPAGYPQGGAYPPPGSYPPPGSYPPQGSYPPPHGYYGK >ONIVA03G01490.2 pep chromosome:AWHD00000000:3:988786:991844:-1 gene:ONIVA03G01490 transcript:ONIVA03G01490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANLDKMQLRQSYRNLWHSDLTSTIQADFPYCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGKCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQIACIFSIVAAIVGSEELSEASQILSCLSDMVNIHNIFGDYLLTLLNLCVSILSTEMHVQTQHKIEMDKRDGKFGPQPMAVPPMQQMSRIDQPIPPPVGYTPQQPAYGQPYGGYPPAPPAQGYPPAAYPPAGYPQGGAYPPPGSYPPPGSYPPQGSYPPPHGYYGK >ONIVA03G01480.1 pep chromosome:AWHD00000000:3:982388:988084:1 gene:ONIVA03G01480 transcript:ONIVA03G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-like homeobox of Arabidopsis thaliana 7 [Source:Projected from Arabidopsis thaliana (AT1G62990) TAIR;Acc:AT1G62990] MMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEDETAPMLEGPMDMGSDGHDLMGFGPLMPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR >ONIVA03G01480.2 pep chromosome:AWHD00000000:3:982368:988084:1 gene:ONIVA03G01480 transcript:ONIVA03G01480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-like homeobox of Arabidopsis thaliana 7 [Source:Projected from Arabidopsis thaliana (AT1G62990) TAIR;Acc:AT1G62990] MQGGNHGGMEMGVGSFTGGGGGGECSSSSATAAAAAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVAAHVGCLRVATPIDHLPLIDAQLAQSSGLLHSYAAHHRPFLSPHDKQELDSFLAQYMMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEDETAPMLEGPMDMGSDGHDLMGFGPLMPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR >ONIVA03G01480.3 pep chromosome:AWHD00000000:3:982368:983822:1 gene:ONIVA03G01480 transcript:ONIVA03G01480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-like homeobox of Arabidopsis thaliana 7 [Source:Projected from Arabidopsis thaliana (AT1G62990) TAIR;Acc:AT1G62990] MQGGNHGGMEMGVGSFTGGGGGGECSSSSATAAAAAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVAAHVGCLRVATPIDHLPLIDAQLAQSSGLLHSYAAHHRPFLSPHDKQELDSFLAQYMMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTDLHALTSKVPVSTGVFRGD >ONIVA03G01470.1 pep chromosome:AWHD00000000:3:969996:974098:1 gene:ONIVA03G01470 transcript:ONIVA03G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHHLPPPPPRSPMENSASSKPPTPASTPSSRLAAAPSSRVSSAAPHPSPSSSASTPASRTVYSDRFIPSRAGSNLALFDLAPSPSHHDAAAAAASPGAPPPSGSTPASSPYCALLRAALFGPTTPDRVASSASACSSSSSAGASPVGSPATGNIFRFKAEVPRNAKRALFSDGDDEGVLFPGVFTTRGTGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNILAVGLGNCVYLWNACSSKVTKLCDLGVDDNVCSVGWAQRGTHLAVGTNQGKVQVWDATRCKRIRTMESHRMRVGALAWNSSLLSSGSRDKSILHHDIRAQDDYISRLAGHKSEVCGLKWSYDNRQLASGGNDNRLYVWNQHSAHPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNMHLNCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSSIGATSFVRSYIR >ONIVA03G01460.1 pep chromosome:AWHD00000000:3:965206:967327:1 gene:ONIVA03G01460 transcript:ONIVA03G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEQTPRTKPSTGNSKKRKKPRKDKWGQPIIDAGDRPAVEPEPEPEPEPVPAPAAAAAAEEEEEAGIYETGKVVASGLPYTTTEAEIRELFERFGPLRSLQLSRFPDSGNFRGLAFVSFESNEVAMKSLELDGFKIGNRFMRVERCRLAAGSKRKRTVEFQTDPKKADGCLSAYVGNLKWDVTETDLRDFFKSLKISSIRFAINKRTGDSRGFCHVDFEDDESLEKAVGMNQSELRGRPIKISYAVSNRG >ONIVA03G01450.1 pep chromosome:AWHD00000000:3:960801:964871:1 gene:ONIVA03G01450 transcript:ONIVA03G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLADGTVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPPIV >ONIVA03G01440.1 pep chromosome:AWHD00000000:3:929777:931213:1 gene:ONIVA03G01440 transcript:ONIVA03G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQRINGKWDDSYDLLPTYHEELLRSVPSSLDELDSKECNGDVCFTRFSVALKPYINGFLKGCRRYIDIYSTHLTGRSRG >ONIVA03G01430.1 pep chromosome:AWHD00000000:3:913355:914679:1 gene:ONIVA03G01430 transcript:ONIVA03G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNTVSTKLVRYLLSQFGNLLLKQLCLTEMENLLANLPSPDANEASLQLDLQAMQFFNRTFIQLFTQQFSAYQQIKHDDNSLFFDSYKDGTSVIKLKGVPFVSFKSFKFLCQTISVSFYLLQFRQPNNLLKIVQLQ >ONIVA03G01420.1 pep chromosome:AWHD00000000:3:913322:942144:-1 gene:ONIVA03G01420 transcript:ONIVA03G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASASCRLNSHTTSIKKLVVRLARYVTPKSIYIIKGSTSEDVTSALTPLKKTIERYRTYTKENIGNKTVQQDIEQVKADADGLAKKLEALETYKRKLLGEKLDECSIEELHSLEVKLERSLISIRGRKTKLLEEQVAKLREKEMKLRKDNEELREKCKNQPPLSAPLTVRAEDENPDRNINTTNDNMDVETELFIGLPGRSRSSGDSLHKAGTGGRRKEEF >ONIVA03G01420.2 pep chromosome:AWHD00000000:3:913691:942579:-1 gene:ONIVA03G01420 transcript:ONIVA03G01420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKNGNATARTPPVAVCLCLPLPRGGVATTRTKGNGNTRRDQKGKPRRRGRGEKGEGVGEKEERASSLGYGQTLQRLHHHSLPFLMVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASASCRLNSHTTSIKKLVVRLARYVTPKSIYIIKGSTSEDVTSALTPLKKTIERYRTYTKENIGNKTVQQDIEQVKADADGLAKKLEALETYKRKLLGEKLDECSIEELHSLEVKLERSLISIRGRKTKLLEEQVAKLREKEMKLRKDNEELREKCKNQPPLSAPLTVRAEDENPDRNINTTNDNMDVETELFIGLPGRSRSSGGAAEDSQAMPHS >ONIVA03G01420.3 pep chromosome:AWHD00000000:3:913691:942144:-1 gene:ONIVA03G01420 transcript:ONIVA03G01420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASASCRLNSHTTSIKKLVVRLARYVTPKSIYIIKGSTSEDVTSALTPLKKTIERYRTYTKENIGNKTVQQDIEQVKADADGLAKKLEALETYKRKLLGEKLDECSIEELHSLEVKLERSLISIRGRKTKLLEEQVAKLREKEMKLRKDNEELREKCKNQPPLSAPLTVRAEDENPDRNINTTNDNMDVETELFIGLPGRSRSSGGAAEDSQAMPHS >ONIVA03G01420.4 pep chromosome:AWHD00000000:3:913691:942144:-1 gene:ONIVA03G01420 transcript:ONIVA03G01420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASARYVTPKSIYIIKQLITDPATLKMVHQHGSIDVVRWIQHGNGVGSKTIERYRTYTKENIGNKTVQQDIEQVKADADGLAKKLEALETYKRKLLGEKLDECSIEELHSLEVKLERSLISIRGRKTKLLEEQVAKLREKEMKLRKDNEELREKCKNQPPLSAPLTVRAEDENPDRNINTTNDNMDVETELFIGLPGRSRSSGGAAEDSQAMPHS >ONIVA03G01420.5 pep chromosome:AWHD00000000:3:913691:942579:-1 gene:ONIVA03G01420 transcript:ONIVA03G01420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKNGNATARTPPVAVCLCLPLPRGGVATTRTKGNGNTRRDQKGKPRRRGRGEKGEGVGEKEERASSLGYGQTLQRLHHHSLPFLMVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASASTQKTIERYRTYTKENIGNKTVQQDIEQVKADADGLAKKLEALETYKRKLLGEKLDECSIEELHSLEVKLERSLISIRGRKTKLLEEQVAKLREKEMKLRKDNEELREKCKNQPPLSAPLTVRAEDENPDRNINTTNDNMDVETELFIGLPGRSRSSGGAAEDSQAMPHS >ONIVA03G01410.1 pep chromosome:AWHD00000000:3:894576:899923:-1 gene:ONIVA03G01410 transcript:ONIVA03G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLISTADHDTLPGNYVRPEAQRPRLADVLSDASIPVVDLANPDRAKLVSQVGAACRSHGFFQVLNHGVPVELTLSVLAVAHDFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLHRYLPDWPSNPTSFREIISTYCKEVRELGFRLYGAISESLGLEQDYIKKVLGEQEQHMAVNFYPKCPEPELTFGLPAHTDPNALTILLMDQQVAGLQVLKEGRWIAVNPQPNALVINIGDQLQALSNGRYKSVWHRAVVNSDKARMSVASFLCPCNDVLIGPAQKLITDGSPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRTTPTDTS >ONIVA03G01400.1 pep chromosome:AWHD00000000:3:886734:890844:1 gene:ONIVA03G01400 transcript:ONIVA03G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHNLMLLLPCLIFSTLLRTEAMSVAPVKVSTTPIFPTIPRGQTNKDFQVLLRVEAPPAADLNSHVPLDVVAVLDVSGSMNDPVAAASPKSNLQGSRLDLLKASMKFVIRKLDDGDRLSIVAFNDGPVKEYSSGLLDVSGDGRSIAGKKIDRLQARGGTALMPALEEAVKILDERQGSSRNHVGFILLLTDGDDTTGFRWTRDAIHGAVAKYPVHTFGLGASHDPEALLHIAQGSRGTYSFVDDDNLANIAGALAVCLGGLKTVAAVDTRVSLKAAELSGGGARIVRVDSGGYESSVACGGASGEVVVGVLYAGEVKNFVVHLHVPAASSTTLTFSSVECGGYYDAATVCDHCHHRHQQQLLAVGYSYSHAPGAAAAAVSVEGHGVFVDRPEVAAVFVSVDAFGVGGGRQRQIPLPSPVVMQHMVRFELLELVAGFAEAEIASKPATTTTQPRAADVLQGKWEEFRRARQFWGGVELDGLEEEVDAMVASLRSGLAYVSSWVSSHQMQRATAMGSPEKVVAEFMTPAMVIMVEEARKLPPPPPPAAAEAARERPGGCDGGDDIHHVIRQRLELWSKVRREVPLMYQPSPEQEDVQLTAVFREASLEAIDRAMHHDIYLAVVHVSNQRRC >ONIVA03G01390.1 pep chromosome:AWHD00000000:3:882038:882725:1 gene:ONIVA03G01390 transcript:ONIVA03G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSESRDHKRQKIGEGDTSKSSVGIVYPMYGDVETDRTQVHLFNQETRRYDRTEVDQKCPEMLQEYKDKHSMVVDVDLRSDEQVNPPHPKLKNLMPGKEYDRQELIPTEGAKVGQCQSGGDEDQIVHNTNGKKVVVQANHFFEQEDQGSQWHALSPQQDIASFDDWWASAEIRVDDQVRKGFNSTVVLGA >ONIVA03G01380.1 pep chromosome:AWHD00000000:3:868216:876481:-1 gene:ONIVA03G01380 transcript:ONIVA03G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTCGLWGAVLNLPGRLDGVLLRHGSILPKGAEEEIPLIKRDLHLMISILNGYYSESPELEDATATTMARRRCWTKEVRELSYDIEDCIDHYEHAATAGSAGGRTASGGIPPRRKITRRRWQRTTPLWIPERLKQRLWMANKIREFSLRTQDALKRHAMFCSSVGGNGIATSTASSSTAATGDASSSSSTICWHTTRFRERDFCVPHVGISVAMNKLEDWLTAYDDEDQKRLSVVSIVGVGGIGKTTLANELYRKLRRQFECWAFVRSSQKPDVRRILISILSQLRLQQPPESWKCALPDGTSSSRVLTTTQYDDLAVQSCGYDTKYVFKMKSLSQHDSRYLFFNTVSGSRFIYSPGSTEVSDDIIRKLGRWPAHMRGHFTKLVLINLCGSLPLAIVSITSILEKSRKMEQWGYVNKSLGYNLMKNPTLEGIKQVLDLRYNNLSEHLKPCVLYLSIYQEDYLICKDDLVNQWLAEGLICATKDHTKEEISEACFGELVSSKMIQPVHIDGNGDVMSFVIQHMVLNFIRYKSIEENFVTVIHHSQTATKLSDKVRRLSLHFGNVKDAKLPINMRLSQVRTLAFFGAYKYWWRSIKDQFPLLQVLILHFWHDEDIISFDLTIISQLFRLKYLKITSDVTLELQTKTRGLQCLETLKIDARISTAPLDTTHLSGLLHLSLPADTNLANGIGHMTSLHTFGYFDLSYNSVENVLSLGKLTNLRNLQLTCSTIRPNSLEIKLQCLGFILKKLSNLKSVTMSTAGSSCVNSTDASSANVSVRISGDGLSSMSSPPALVERLELLPRICIFSYLPKWISLLSKLRILKIGVRELVRNDIDVLMGLRALTDLSLHVHTKPTEIIFFGGIGFKALKYLKINCCVAWLKFDMGAMHSLYKLKLGYNVDGVDQERTIPDGIQYLHGLKEISVKIGGADPEKYDRRAEELAFMIDSGLHDRCMSITLQCVRQMFDFNEDKSSLTHEEQRKLKQQEILEDDSDEEYDEIIQDSGEQEVEQ >ONIVA03G01370.1 pep chromosome:AWHD00000000:3:864367:865074:-1 gene:ONIVA03G01370 transcript:ONIVA03G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDGQWRRMAGGGGEDGRRRQQIAATQEAERGGANVGRRWEAGRSDAEILSSGRCDAGVPLLSILSADEPSTASSGGGWEQATTRLLPHGSGRNERREKGRSGDRRRDLGSSPPSTAAWALPPSAATWARRRHPPLLGLCPHPLPPTATIRARRHHSGLSPPSSVARAPSPSVAAVADGSCSRLEHVVRGERKASPTWGSRMARQFGLAKFGHCGEEFGQSFGLTILVERLLE >ONIVA03G01360.1 pep chromosome:AWHD00000000:3:861693:862464:-1 gene:ONIVA03G01360 transcript:ONIVA03G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAGEPWFYFRHHERWMHRMDRSTPSGYWKTAGKASFVYSADRHPVGLKKSMLFYRGPEPSGRKTKWKIDEFWALDNAANGSEELLAQLCRSRQNVGLMPRFPLSLCRLYSTKSSSERHVLTTSSDEISEDESD >ONIVA03G01350.1 pep chromosome:AWHD00000000:3:850280:861096:1 gene:ONIVA03G01350 transcript:ONIVA03G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDTIKKRKMGKCTALSVEEKENMVQLSCHNIHCMNSASEGKTQMTRTTSIANGALESAITPRRLPFTDIGSVPCVISNMAALPPKTYGLQDYVVQDATLPTLSTPSPATTLAPHSDSSSLSAAEIKRKRARERYASLSPGRKEARNKKARESRKRKNESSQNADPVASIVANNKTDTSDWWILVSLSLGDPGGDAKSETDQTRLLLGAKFIRQVASDIFNSKSGWRADARRIGY >ONIVA03G01340.1 pep chromosome:AWHD00000000:3:835756:848139:-1 gene:ONIVA03G01340 transcript:ONIVA03G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFNLPGRLEELLCHHGSMLPKGADEEIPLIKQDLEEIISILHGHSEPKLEDHGMVVRCWMKEVRELSYDIEDSIDQYKHAARSQNRPNIRHRKFNRWRGNKIPCIPQKLKQRLWMANKIREFSLRAQEALQRHAMYNNLGGVASTASTTRGDVCSATPLHPTQTQFREHVDNVRSVSIEADGMEAALNDLNKLKNLLAGIPTASLVQFREHADKVRGIHTDIEAILNKLENIPPGITITTTTRGDVSSTSSRQPTRFMESTCHVGIDAAMDQLENLIDVCGEEKLKVVSIVGVGGVGKTTLANKLYHKLRWQFECWAFVRSSQKTDMRRLLINILSQVRPHQSPDNWKVHSLISSIRTYLQDKRGCVCCLLSLISALATIRPHLAKDIPPIKGFLIVIDDLWATSTWDIIKCALPEGNKSSRILTTTEIEDLALQSCSYDLKFIFKMIPFGEDDSRKLLFSIVFGSHSKCPPEVSETLYDIVRKCGGLPLAIVTVASLLASQLDKLEQWDYINKSLGYSLMANPTLEGMKQLLNLCYSNLPQHLKACMLYLSMYQGDHIIWKDDLVNQWIAEGFICATEEHDKEEISRGYFDELVGRKIIQPVHIDDSGEVLSCVVHHIVLNFVTYKSIEENFIIAIDHSQATIRFADKVRRLSIHFSNVEDAPPPTNMRLFQVRTIAFFGVLKYMPFIMEFRLIKVLFLHFLGDEDSTGIVDLTKISELVRLRYLKVTSNATVKLPTRLQGLQYLETLKIDGKISEVPSDIIHLPGLLHLTLPAKTNLPTGISHVTSLRTIGYFDLSCNSVENLWRLGELTNLWDLQLTYSEIHSDNLKNNMKYLGPILGKLRNLKSITLSADGSSCPDTLRIDRDTKTRIYVDGWSSVSSPPALLQRFELLPCVCIFSNLPNWIGQLGNLCILKIGIREVTSNNIDVLGVLPELIVLSLYVHTKPAERIVFDNAGFSILRYFKFICSVAWMKFEVGAMPSLRKLKLGFDVHRADQHDIIPVGIEHLSGLEEISAKIRVACSAHDHCKRFAESALSNAFMMHPGRPSVNIRCVDWTFDGKDDDNVRTQEEEHRTLQKQHHTAKEGSNEKSPVKQRDPREGAHKSVDGRETLRRIRVKVSSTVDDGFSWVKYGQKDILGTMYPRSYFRCIHRQTKGCLATKQVQPTDDDHQILDVIYYGEHTCDQSARSDDRQPKSSRPAASSNLQEPQQPGLEQSRPAAKRRRKTVRWKTQVRVSSVQDVGPLDDGYSWRKYGLKDILGAKYPRSYFRCTHRNTQGCVATKQIQRRDGDPLLFDVVYHGDHTCSERASLNEQVTWPRSSASSTEQSSTITYTAAAGSVEDDEEGVTSATNFLSMDDMLDLGGGDVIDMDFPSFDFDAIDALLLG >ONIVA03G01340.2 pep chromosome:AWHD00000000:3:835756:848139:-1 gene:ONIVA03G01340 transcript:ONIVA03G01340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFNLPGRLEELLCHHGSMLPKGADEEIPLIKQDLEEIISILHGHSEPKLEDHGMVVRCWMKEVRELSYDIEDSIDQYKHAARSQNRPNIRHRKFNRWRGNKIPCIPQKLKQRLWMANKIREFSLRAQEALQRHAMYNNLGGVASTASTTRGDVCSATPLHPTQTQFREHVDNVRSVSIEADGMEAALNDLNKLKNLLAGIPTASLVQFREHADKVRGIHTDIEAILNKLENIPPGITITTTTRGDVSSTSSRQPTRFMESTCHVGIDAAMDQLENLIDVCGEEKLKVVSIVGVGGVGKTTLANKLYHKLRWQFECWAFVRSSQKTDMRRLLINILSQVRPHQSPDNWKVHSLISSIRTYLQDKRFLIVIDDLWATSTWDIIKCALPEGNKSSRILTTTEIEDLALQSCSYDLKFIFKMIPFGEDDSRKLLFSIVFGSHSKCPPEVSETLYDIVRKCGGLPLAIVTVASLLASQLDKLEQWDYINKSLGYSLMANPTLEGMKQLLNLCYSNLPQHLKACMLYLSMYQGDHIIWKDDLVNQWIAEGFICATEEHDKEEISRGYFDELVGRKIIQPVHIDDSGEVLSCVVHHIVLNFVTYKSIEENFIIAIDHSQATIRFADKVRRLSIHFSNVEDAPPPTNMRLFQVRTIAFFGVLKYMPFIMEFRLIKVLFLHFLGDEDSTGIVDLTKISELVRLRYLKVTSNATVKLPTRLQGLQYLETLKIDGKISEVPSDIIHLPGLLHLTLPAKTNLPTGISHVTSLRTIGYFDLSCNSVENLWRLGELTNLWDLQLTYSEIHSDNLKNNMKYLGPILGKLRNLKSITLSADGSSCPDTLRIDRDTKTRIYVDGWSSVSSPPALLQRFELLPCVCIFSNLPNWIGQLGNLCILKIGIREVTSNNIDVLGVLPELIVLSLYVHTKPAERIVFDNAGFSILRYFKFICSVAWMKFEVGAMPSLRKLKLGFDVHRADQHDIIPVGIEHLSGLEEISAKIRVACSAHDHCKRFAESALSNAFMMHPGRPSVNIRCVDWTFDGKDDDNVRTQEEEHRTLQKQHHTAKEGSNEKSPVKQRDPREGAHKSVDGRETLRRIRVKVSSTVDDGFSWVKYGQKDILGTMYPRSYFRCIHRQTKGCLATKQVQPTDDDHQILDVIYYGEHTCDQSARSDDRQPKSSRPAASSNLQEPQQPGLEQSRPAAKRRRKTVRWKTQVRVSSVQDVGPLDDGYSWRKYGLKDILGAKYPRSYFRCTHRNTQGCVATKQIQRRDGDPLLFDVVYHGDHTCSERASLNEQVTWPRSSASSTEQSSTITYTAAAGSVEDDEEGVTSATNFLSMDDMLDLGGGDVIDMDFPSFDFDAIDALLLG >ONIVA03G01320.1 pep chromosome:AWHD00000000:3:817963:819838:-1 gene:ONIVA03G01320 transcript:ONIVA03G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GG06] MIEARKKKKKKLQLQQQQQLVTNKVMTMDRPNVAVAAVGLLVAAVAATLPAPSWGQQQPANKLITSTSGSGKGLSKWLAMNQEEYVEKKALHTMATAEELGGKKLDANLTAAEEAKVTWVIDPKGTPGDTTFTTITAALEKVPEGNKKRVILDLKPGAEFREKIFLNLSKPFITFKSDPKNPAVIAWSDTAATRGKDGKPVGTVGSTTVAIESDYFVAHGVVFKNDAPMAKPGAEGGQAVALRLFGTKAAIYNCTIDGGQDTLYDHKGLHYIKDCLIMGSVDFIFGFGRSYYEGCTIVSVTKEVSVLTAQQRSKTIEGALESGFSFKNCSIKGEGQIYLGRAWGESSRVVYAYTDMSKEVVPVGWDGWNIAKPESSGIYYGEFKCTGPGSDAKKRVASTSSNSTAEAPTASSNSTASANKKESNSTAEAPTATSNSTASATTSNSTASAKATNYSSSSPPAKGK >ONIVA03G01310.1 pep chromosome:AWHD00000000:3:813842:815620:-1 gene:ONIVA03G01310 transcript:ONIVA03G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GG05] MDRPNLAVAVVGLLAVVAATLPAPSWQFFDLFLPAGPSHRSSGGGFGKWVLMNHEEYVEKKSLYAMKAAGDIGGKTIDASLSAAEEAKVTWVVDPKGTPGDTTFTTIAAALEKVPEGNTKRVILDLKPGAEFREKLLLNITKPYITFKSDPANPAVIAWNDMAATRGKDGKPVGTVGSTTVAVESDYFMAYGVVFKNDAPLAKPGAEGGQAVALRLFGTKAAIYNCTIDGGQDTLYDHKGLHYIKDSLIMGSVDFIFGFGRSLYEGCTIVSVTKEVSVLTAQQRTKTIEGAIESGFSFKNCSIKGQGQIYLGRAWGDSSRVVYSYTDMSKEVVPIGWDGWNIAKPESSGIYYGEFKCTGPGSDAKKRVGWALDLTADQAKPFIGTHYIYGDSWILPPPDGKSAASTSTASKSTASAIPRNSTAPATATVSNSTAPATPSNSTAPVTASNSTAPATASSSNPPATKSYSGPPATPSASSTPAKASR >ONIVA03G01300.1 pep chromosome:AWHD00000000:3:807006:812415:-1 gene:ONIVA03G01300 transcript:ONIVA03G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06510) TAIR;Acc:AT3G06510] MPLPAFVAAAARLAVLVAAAATAANAASYARYRRRHLRRIPSPIDESADPLADFRAFPSSDADDSEEDNFFFGLATAPAHVEDRLEDAWLQFATETSCDDNGNVRDQRPVDALMASAAGDGGSQQSWRSTGGENIGDREQRKPLRVAMEAMLRGFEILAESGESAGGDNCSHNVAAWHNVPCPQERLRFWSDPDAELKLAKETGISVFRMGVDWARLMPEEPTEELKSSVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGKYGGWKMEKTVTYFMDFVRLVVDRVSNLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEVATSTLPTGVYNQALHWMAIAHAEAYDYIHSKSKNERKPIVGVAHHVSFTRPYGLFDVAAVALANSLTLFPYMDSICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKRLNIPFVITENGVSDETDLIRKPYILEHLLATYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYFLFSRVVTTGKITRQDRMSAWRELQQAAVQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFGHYKMEGLQDPLSCFIRCIFAPFSRQKKIHYIEDDGY >ONIVA03G01300.2 pep chromosome:AWHD00000000:3:806893:812415:-1 gene:ONIVA03G01300 transcript:ONIVA03G01300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06510) TAIR;Acc:AT3G06510] MPLPAFVAAAARLAVLVAAAATAANAASYARYRRRHLRRIPSPIDESADPLADFRAFPSSDADDSEEDNFFFGLATAPAHVEDRLEDAWLQFATETSCDDNGNVRDQRPVDALMASAAGDGGSQQSWRSTGGENIGDREQRKPLRVAMEAMLRGFEILAESGESAGGDNCSHNVAAWHNVPCPQERLRFWSDPDAELKLAKETGISVFRMGVDWARLMPEEPTEELKSSVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGKYGGWKMEKTVTYFMDFVRLVVDRVSNLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEVATSTLPTGVYNQALHWMAIAHAEAYDYIHSKSKNERKPIVGVAHHVSFTRPYGLFDVAAVALANSLTLFPYMDSICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKRLNIPFVITENGVSDETDLIRKPYILEHLLATYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYFLFSRVVTTGKITRQDRMSAWRELQQAAVQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFGHYKMEGLQDPLSCFIRCIFAPFSRQKKIHYIEDDY >ONIVA03G01300.3 pep chromosome:AWHD00000000:3:808515:812415:-1 gene:ONIVA03G01300 transcript:ONIVA03G01300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06510) TAIR;Acc:AT3G06510] MPLPAFVAAAARLAVLVAAAATAANAASYARYRRRHLRRIPSPIDESADPLADFRAFPSSDADDSEEDNFFFGLATAPAHVEDRLEDAWLQFATETSCDDNGNVRDQRPVDALMASAAGDGGSQQSWRSTGGENIGDREQRKPLRVAMEAMLRGFEILAESGESAGGDNCSHNVAAWHNVPCPQERLRFWSDPDAELKLAKETGISVFRMGVDWARLMPEEPTEELKSSVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGKYGGWKMEKTVTYFMDFVRLVVDRVSNLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEVATSTLPTGVYNQALHWMAIAHAEAYDYIHSKSKNERKPIVGVAHHVSFTRPYGLFDVAAVALANSLTLFPYMDSICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKRLNIPFVITENGVSDETDLIRKPYILEHLLATYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYFLFSRVVTTGKITRQDRMSAWRELQQAAVQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFGHYKMEGLQDPLSCFIRCIFAPFSRQKKIHYIEDDVISYSIN >ONIVA03G01290.1 pep chromosome:AWHD00000000:3:806337:806843:1 gene:ONIVA03G01290 transcript:ONIVA03G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIADEIKAKAEVYYDDEICQQCTRLLLKEAGLPNGLLPLKDIMECGYVEETGFVWLKQKKRIDHVFQSLGRLVSYGTEITAFAEKGRIKKVKGIKTRELMVWVPVEEIALDEQKTGKLICKSIAGITKTFPASAFQIPEKEEKITCAIPKPVVLMERAHQVIKNN >ONIVA03G01280.1 pep chromosome:AWHD00000000:3:785899:792053:1 gene:ONIVA03G01280 transcript:ONIVA03G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGGLAAVVAGLELGKSAKDRVTTSQAFNSFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFDSQWLSITFSKAIFLGNGLVAIVSGLFANLLADNLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMSQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIAARLLARKLKVEGYMQIVFTISAFTLFLPVVTNILVPASSVKGGSISFGGTLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHKSSTKAQEMDDEDEPLNP >ONIVA03G01270.1 pep chromosome:AWHD00000000:3:781464:785061:-1 gene:ONIVA03G01270 transcript:ONIVA03G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAERSVLIIRGRLLGATVGGAAVPAGGTSFGSAPRGETKATLLGAYRMIPREVEWIMTVLNFDFSLLLDLCKKAQPMQPCEVL >ONIVA03G01270.2 pep chromosome:AWHD00000000:3:781464:785061:-1 gene:ONIVA03G01270 transcript:ONIVA03G01270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAERSVLIIRGRLLGDALIALCNAGGCTCGGFKGRRLEEQRCPQVATLLGAYRMIPREVEWIMTVLNFDFSLLLDLCKKAQPMQPCEVL >ONIVA03G01260.1 pep chromosome:AWHD00000000:3:769846:771411:-1 gene:ONIVA03G01260 transcript:ONIVA03G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAMSGGGVVVAVAYAALAVVSLRMALSYKSALYAVRRLWQWADDWAQAYQYHEVPRFACDGGGAENPLFRKAAQYVAALPSLEDADAASVLSSASRTNGGFSLQLGPGHTARDAFLGARLAWTNQGDVLVLRVRRHDRTRVLRPYLQHVESVADEMELRRRELRLFANTGVDGSTGTPRWASAPFTHPATLDTVTMDPDLKARVRADLENFLKGRAYYHRLGRVWRRSYLLYGPPGTGKSTFAAAMARFLGYDIYDVDLSRAGSDDLRALLLHTTPRSLILVEDLDRFLQGGGAGDAEARAARVLSFMDGVASCCGEERVMVFTMRGGKEGVDAAVVRPGRLDVHIHFTLCDFEAFKALASNYLGLKDHKLYPQVEESFHGGARLSPAELGEIMLANRSSPSRALRNVITKLQHVSGAAAAPRPPHRRNTSWSGAGGPWEEQAARASADAADGGEEAITATAACGVFAKDAPMREFKKLYGLIKIRSRKEGSGGFMPLHGGEAPSPANGRGSEHDKER >ONIVA03G01250.1 pep chromosome:AWHD00000000:3:756768:766069:1 gene:ONIVA03G01250 transcript:ONIVA03G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSVRRTAASSSPAAAAVPTAFTASPGDYRLLEEVGYGANAVVYRAVFLPSNRTVAVKCLDLDRVNSNLDDIRKEAQTMSLIDHPNVIRAYCSFVVDHNLWVIMPFMSEGSCLHLMKVAYPDGFEEPVIASILKETLKALEYLHRQGHIHRDVKRNIIQAGNILMDSPGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGAGYNFKKYASNHLFTNLIWLFKISLRVLLMTLQNAPPGLDYDRDKRFSKLKDAAQLALKKMPSSEQEALSMIHDDDPPEIKEDVDNDRINEADKEPFSGNHFGQPKILSGKHFRLNHEQTCVTAVSPGGNMHETSRGLVSEPGDADSERKVDGYRKQGSENESLPSTSKHDSEGQNSRSEVKQKERTCSGPIPCSGVHNKSITESSRIFDREAAVKLASDKQKSCTKRTTNLSGPLALPTRASANSLSAPIRSSGGYVGSLGDKSKRSVVEIKGRFSVTSENVDLAKVQEVPTSGISRKLQEGSSLRKSASVGHWPVDAKPMDLITNLLSSLQQNEKADATQYRLGNMDGDTEVETSISEGERSLLVKIFELQSR >ONIVA03G01250.2 pep chromosome:AWHD00000000:3:756768:766069:1 gene:ONIVA03G01250 transcript:ONIVA03G01250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSVRRTAASSSPAAAAVPTAFTASPGDYRLLEEVGYGANAVVYRAVFLPSNRTVAVKCLDLDRVNSNLDDIRKEAQTMSLIDHPNVIRAYCSFVVDHNLWVIMPFMSEGSCLHLMKVAYPDGFEEPVIASILKETLKALEYLHRQGHIHRDVKRNIIQAGNILMDSPGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGAGYNFKKYASNHLFTNLIWLFKISLRVLLMTLQNAPPGLDYDRDKRFSKLKDAAQLALKKMPSSEQEALSMIHDDDPPEIKEDVDNDRINEADKEPFSGNHFGQPKILSGKHFRLNHEQTCVTAVSPGGNMHETSRGLVSEPGDADSERKVDGYRKQGSENESLPSTSKHDSEGQNSRSEVKQKERTCSGPIPCSGVHNKSITESSRIFDREAAVKLASDKQKSCTKRTTNLSGPLALPTRASANSLSAPIRSSGGYVGSLGDKSKRSVVEIKGRFSVTSENVDLAKVQEVPTSGISRKLQEGSSLRKSASVGHWPVDAKPMDLITNLLSSLQQNEKADATQYRLGNMDGDTEVETSISEGERSLLVKIFELQSR >ONIVA03G01240.1 pep chromosome:AWHD00000000:3:752372:754739:-1 gene:ONIVA03G01240 transcript:ONIVA03G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT2G40780) TAIR;Acc:AT2G40780] MKAGRKNLRRACSEDAVTLGEGESIMQVLALRGSNVIEVMDAKGVKSLALFPAKFQKSFWIKNGNFVVVDASGRDQALESGSKIACVVSQVLFHDQVRAMEKSEEWPAIFKSTSKEGSEAGTQEGTRPQIEEEPDSDEDDDLPPLEANTNRQRPFELYADSESDSDS >ONIVA03G01230.1 pep chromosome:AWHD00000000:3:750369:751085:1 gene:ONIVA03G01230 transcript:ONIVA03G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLATVDDGRHAMAASIRARARLGVRAFYLRLSSPAPAELTLVYLPAIGGAALELSGRALPPAAPAEVPLRRVGAGGTDAAAYASADRVCVAEGARFEVYAAGKDLVADGVFSRRRGGGGGWRVECRRAAAAAAVAEVVVLEEGGALMRATAKASARGLGCGGTRLEGIPEEGWGCDCECGTCGDDEWEVVGDDGELDTPEMEAETLTWALEMGAWAVCVGVGLLATARRFRRKRAFW >ONIVA03G01220.1 pep chromosome:AWHD00000000:3:742214:748474:1 gene:ONIVA03G01220 transcript:ONIVA03G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain [Source:Projected from Arabidopsis thaliana (AT2G22610) TAIR;Acc:AT2G22610] MEGEEERCPGEPTPMDFSWTTGWEKAAADDDEAESAPAPAPPAPSPQEAAESMILVPGPRVVLSGLMRGDCRAGGDAIETSEDIVEGGDYPSLYHSARYGNFSYKIDGLAPGDYFLDLHFAEIILSELDVYAVVGGNRPLQVRDIRVTVESDGAIVINFKGVRGSPMVCGICIRKRVAIAVTNMVTEGNVLCKRCSAHTGNSPLQTRTSKLISKYEKQIEELTNQCNMKSDECYMAWSSVESTNQELERLKIELHQKVMQSDNIEQVFDRQADQLRSVSQKYENAKKLWAAAISNLENKIKAMKQEQTLLSLEAHDCANAVPDLSKMIGAVQTLVAQCEDLKLKYYEEMAKRKKLHNIVEETKGNIRVFCRCRPLSKDETSSGYKCAVDFDGAKDGDIAIVNGGAAKKTFKFDRVYMPTDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKETVTYSISVSVLEVYNEQIRDLLASSPSSKKLEIKQASEGSHHVPGIVEAKVENIKEVWDVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAENLMNGECTRNLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKNSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSNNDVSETLSSLNFASRVRRIELGPAKKQVDTAELQKVKQMLERAKQDIRLKDDSLRKLEDNCQNLENKAKGKEQFCKNLQEKVKELESQLDSKMHSQITSEKQQNELFGKLKEKEEMCTTLQQKIAEESEHKLRLQQQSESEIKELELKLKEQEHHRSVAESKIKELELKLKEQEHHRSVAESKAMEIGQELLETQRTEAMLQIKPRDLENNLQERTTLQDTNMILDSTNCMRVASTPGEAKAHLLTREEAMSEKEQHILRSSDSMNKKVTNNSSIVGAPEVVNEKKRKGDARNSSIGGELENQPVGSQNASRKRSLQGEPRLKRKSTEPLKNPGRVTATSKTAAATHKTGPVTRATRQQPAVNKTRGWVR >ONIVA03G01220.2 pep chromosome:AWHD00000000:3:742214:748474:1 gene:ONIVA03G01220 transcript:ONIVA03G01220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain [Source:Projected from Arabidopsis thaliana (AT2G22610) TAIR;Acc:AT2G22610] MEGEEERCPGEPTPMDFSWTTGWEKAAADDDEAESAPAPAPPAPSPQEAAESMILVPGPRVVLSGLMRGDCRAGGDAIETSEDIVEGGDYPSLYHSARYGNFSYKIDGLAPGDYFLDLHFAEIILSELDVYAVVGGNRPLQVRDIRVTVESDGAIVINFKGVRGSPMVCGICIRKRVAIAVTNMVTEGNVLCKRCSAHTGNSPLQTRTSKLISKYEKQIEELTNQCNMKSDECYMAWSSVESTNQELERLKIELHQKVMQSDNIEQVFDRQADQLRSVSQKYENAKKLWAAAISNLENKIKAMKQEQTLLSLEAHDCANAVPDLSKMIGAVQTLVAQCEDLKLKYYEEMAKRKKLHNIVEETKGNIRVFCRCRPLSKDETSSGYKCAVDFDGAKDGDIAIVNGGAAKKTFKFDRVYMPTDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKETVTYSISVSVLEVYNEQIRDLLASSPSSKKLEIKQASEGSHHVPGIVEAKVENIKEVWDVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAENLMNGECTRNLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKNSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSNNDVSETLSSLNFASRVRRIELGPAKKQVDTAELQKVKQMLERAKQDIRLKDDSLRKLEDNCQNLENKAKGKEQFCKNLQEKVKELESQLDSKMHSQITSEKQQNELFGKLKEKEEMCTTLQQKIAEESEHKLRLQQQSESEIKELELKLKEQEHHRSVAESKAMEIGQELLETQRTEAMLQIKPRDLENNLQERTTLQDTNMILDSTNCMRVASTPGEAKAHLLTREEAMSEKEQHILRSSDSMNKKVTNNSSIVGAPEVVNEKKRKGDARNSSIGGELENQPVGSQNASRKRSLQGEPRLKRKSTEPLKNPGRVTATSKTAAATHKTGPVTRATRQQPAVNKTRGWVR >ONIVA03G01220.3 pep chromosome:AWHD00000000:3:742214:748474:1 gene:ONIVA03G01220 transcript:ONIVA03G01220.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain [Source:Projected from Arabidopsis thaliana (AT2G22610) TAIR;Acc:AT2G22610] MEGEEERCPGEPTPMDFSWTTGWEKAAADDDEAESAPAPAPPAPSPQEAAESMILVPGPRVVLSGLMRGDCRAGGDAIETSEDIVEGGDYPSLYHSARYGNFSYKIDGLAPGDYFLDLHFAEIILSELDVYAVVGGNRPLQVRDIRVTVESDGAIVINFKGVRGSPMVCGICIRKRVAIAVTNMVTEGNVLCKRCSAHTGNSPLQTRTSKLISKYEKQIEELTNQCNMKSDECYMAWSSVESTNQELERLKIELHQKVMQSDNIEQVFDRQADQLRSVSQKYENAKKLWAAAISNLENKIKAMKQEQTLLSLEAHDCANAVPDLSKMIGAVQTLVAQCEDLKLKYYEEMAKRKKLHNIVEETKGNIRVFCRCRPLSKDETSSGYKCAVDFDGAKDGDIAIVNGGAAKKTFKFDRVYMPTDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKETVTYSISVSVLEVYNEQIRDLLASSPSSKKLEIKQASEGSHHVPGIVEAKVENIKEVWDVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAENLMNGECTRNLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKNSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSNNDVSETLSSLNFASRVRRIELGPAKKQVDTAELQKVKQMLERAKQDIRLKDDSLRKLEDNCQNLENKAKGKEQFCKNLQEKVKELESQLDSKMHSQITSEKQQNELFGKLKEKEEMCTTLQQKIAEESEHKLRLQQQSESEIKELELKLKEQEHHRSVAESKAMEIGQELLETQRTEAMLQIKPRDLENNLQERTTLQDTNMILDSTNCMRVASTPGEAKAHLLTREEAMSEKEQHILRSSDSMNKKVTNNSSIVGAPEVVNEKKRKGDARNSSIGGELENQPVGSQNASRKRSLQGEPRLKRKSTEPLKNPGRVTATSKTAAATHKTGPVTRATRQQPAVNKTRGWVR >ONIVA03G01210.1 pep chromosome:AWHD00000000:3:737653:738246:1 gene:ONIVA03G01210 transcript:ONIVA03G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRSPGAGSLRFLGLLKQPESGPDGAAAPFELDESDVVWPAGGVGDDGYCCPAPPHPEGPPRAPRRAHTVPQSFGLSSLLANGGRGGGGDDGRQDGVAVPMRAAAAPGGGAAAPRRSAPVRVPMWPGKGAAANNVVGGEESDDNEDDEMVPPHVVAARRHARSSSVLEGAGRTLKGRDLRRVRNAVLRQTGFLDL >ONIVA03G01200.1 pep chromosome:AWHD00000000:3:727865:733586:1 gene:ONIVA03G01200 transcript:ONIVA03G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSARPTPADSGELPNGARMAEDAVVAPDAAEAPEEGEESGIANDSETNAALGAEGEPSRPLTMRELLGELKDSGEPSSGRSTLSEGNGIGSAGAERASQDSLQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYVSAIERNPEDPDAYYNWALVLQESADNVDPDSSSSKDSLLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAIMNYDKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRSAIQLQFDFHRAIYNLGTVLYGLAEDTMRSAGPDVSPNDLYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKAGYLTAPPADNTIAPHKHWERSEFVLNHEGLQQVDASESPSSKPLGHMGRSKKYIKVAVEDIVSVSACSDLTLPPGAGLCIETIHGPLFLVADTWESLDGWLDAIRLVYTIFARGKSDVLAGIITG >ONIVA03G01190.1 pep chromosome:AWHD00000000:3:723588:727632:-1 gene:ONIVA03G01190 transcript:ONIVA03G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRAVRRRDLASPLGTLTANVQSKCAANVCSRWAGFARTFSAKATGNEVIGIDLGTTNSCVSVMEGKNPKVIENSEGTRTTPSVVAFNQKGELLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWLETTDGKQYSPSQIGAFVLTKMKETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRTEGIDLSKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADSSGAKHLNITLTRSKFESLVNSLIERTRDPCKSCLKDAGITTKDVDEVLLVGGMTRVPKVQEVVSEIFGKAPSKGVNPDEAVAMGAAIQGGILRGDVKDLLLLDVTPLSLGIETLGGIFTRLINRNTTVPTKKSQVFSTAADNQTQVGIKVLQGEREMAADNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSEAEIQKMVHEAELHSQKDQERKALIDIRNTADTTIYSIEKSLGEYRDKIPAEVASEIETAIADLRNEMASDDIEKIKSKIEAANKAVSKIGQHMSGGGSGGSQAGSQGGGDQAPEAEYEEVKK >ONIVA03G01180.1 pep chromosome:AWHD00000000:3:718692:719121:-1 gene:ONIVA03G01180 transcript:ONIVA03G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRYTKKSSLMIPLLLIILVVAAASTTVARSAWVGDYASNHGCGETAAAELCDPRDPAANRACDDACHYNGCRGGRCILLYRGHLDGGDGGGGGRRGIGRGCHCR >ONIVA03G01170.1 pep chromosome:AWHD00000000:3:708833:711850:-1 gene:ONIVA03G01170 transcript:ONIVA03G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPGMPPFSAAGGEGAPSPISSRPPPPEQAAAAAAEEQLNGSSLEHDGVLGGEEGDRGGSSAGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEEVSRKLAEMGYKRSAKKCREKFENVDKYYKRTKDGRAGRGDGKTYRFFTELEALHGAAAATARPPPVSLAPAPVAVAPPATPAGLSALRVHASPPPPPVKQHAAPPPPVMDVAACVMTMDDVSFSSGSDTEETAEEGGKRKRRGGGGIGGGGGGGKAMRMFEGLMRQVMERQEAMQQRLLEAIERRDQERMIREEAWRRQEVARLAREQDALAQERAIAASRDAAVISFIQRVTGQSIAAVPPPPLQPTPVASAAPPPPPQHHHQQTPPPIQVQPHHIMPMTPQPQLQPPQPQSKEANTVVRAAPPPQEQHDTAASGGGGGASSSRWPKAEVHALIQLRTELETRYQDSGPKGPLWEDISAGMRRLGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYYHQLDALYRTKAANAAAAASASPAPATTTVLAPVPLSQTPPHVDHGGSNGNGNGWASANNGGGGSCSGGMQTKASNNGTATAGGLPVVSVAGGNGNGNGVAATTDNKGSKQVPVAKETAGQRQPQPLAMNHNYGNDRMADDMDSDSMDDDDDDEFDDDENDDDDIGGGKMQVQYETSSHFQRPQLQNQNVVVGRPNASGGGAPTTPAGPPPPAATSGTSFLACVQ >ONIVA03G01160.1 pep chromosome:AWHD00000000:3:697710:699218:1 gene:ONIVA03G01160 transcript:ONIVA03G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSQNRPVRSSKRRRRTPADASAATRDDPSPWESLHEDLLELIAWRVLAGDGDLLDYVRFRAVCPHWRSSTSCPRGRGIVDRRFHPRRWMLLPEGHGLYPGHGKLRGFVRFFNLSTGAFVRVHLPLFRDHCVLDSVDGILLLQRDHDTAIRLLHPFTGDILDFPPLETLLRYVSSKLVGDKWNYLRRIGAASINVSADQVVSLMMWSPGMVQVAFATSGEQQWRASSWYFNQIFSPLAFQGKLYIVRHELTYGEPEILQIDPPELEGMEPWLPPPTLIAKCPANTVNTSDSRFYHLVECDSEVLVIALSAGIHRKISVYRLADFMLGRRTLRVTCIGGNALFIGQRNLCVSSKAFPTVVGDTIVFHHYQQGYLAQYHLHSGTLEPASDGTIAEYAIPSPTCIIYHIYTCCFREQWNKGHIRFQGLLTINWRVKRKWRSGCMHFLASRLIHPRLITAD >ONIVA03G01150.1 pep chromosome:AWHD00000000:3:693492:697382:1 gene:ONIVA03G01150 transcript:ONIVA03G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase a [Source:Projected from Arabidopsis thaliana (AT3G07800) UniProtKB/Swiss-Prot;Acc:Q9S750] MSSICAMRSLLAASTFLRSGASPLLRPLSRPLPSRLNLSRFGPVRPVSAAAAAADKSRGGGGSAMEAQPSYPGEIHVIVGPMFAGKTTALLRRVQVEAGTGRNVALIKSDKDNRYGLDSVVTHDGTKMPCWALPELSSFQDKLGTEAYDKVDVIGIDEAQFFDDLHDFCCKAADRDGKIVVVAGLDGDYKRNKFGSVLDIIPLADSVTKLTARCELCGRRAFFTLRKTRETKTELIGGADVYMPVCRQHYLDGQIVIEATRIVLDLEKSKVIHAFK >ONIVA03G01140.1 pep chromosome:AWHD00000000:3:690319:691443:-1 gene:ONIVA03G01140 transcript:ONIVA03G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRHRHRLLCCGGGVATAPGRFAGDAIADHQQAAAGAKNAAATSSARQLSWAQVEAMTRGFTSAVVGEGGFSTVYLGRVAGSLAAVKVHRSSERLQRAFRQELDALLRVRHPHIVRLLAFCDQRDEGVLVLEFAPNGNLHEQLHGCGGGGAMPWARRVAVALQVARALEYLHDRCEPQVVHGDVKSSNVLLDAAMGARLCDFGSARAGFSAAVHCPRPRPSALAVLGSPGYVDPHYLRSGVVTKKSDVYSFGVLLLELLTGTQAFRDGMLLTAAVAPKLKGAAAGDVEKLVDERLGCQYNAAEAATVAALAAACIGDNPSLRPSMADVVRTLEKPAQKAGR >ONIVA03G01130.1 pep chromosome:AWHD00000000:3:683692:685326:-1 gene:ONIVA03G01130 transcript:ONIVA03G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGVAEYLLMDPWLVLWLVLASMAFALLHLRRRARRGAPPLPPGPRPLPIIGNMLMMDQLTHRGLAAMAARYGGLLHLRLGRVHMVVVSSPEHAREVLQVQDGDFSNRPASIAIAYLTYGRADMAFSHYGHFWRQVRKLSALRLFSRRRAQSWRAVRDESAKLVGAIARRAGEAVDLGELIFGLTKDVIFRAAFGTRDGGGHGELEVLLQEFSKLFGAFNVGDFIPWLAWLDPHGINRRLRAARAALDSVIDRIIDEHVSNPAGDEDADMVDDMLAFLDEAGRDQTGGGGELQGTLRLTRDNIKAIIMDFVFGGTETVASAIEWAMAELLHSPGDLRRLQAELADVVGLGRGVEEGDLEKLPFLRCVAMETLRLHPPIPLLLHEAAADCVVGGYSVPRGARVVVNVWSVGRDAGAWKGDAGAFRPARFMAGGEAAGMDLRGGCFELLPFGSGRRACPAIVLGMYELELVVARLVHAFGWAPPGGVAPEELDMADGFGLTAPRAARLRAVPTPRLTCPM >ONIVA03G01120.1 pep chromosome:AWHD00000000:3:678607:682494:-1 gene:ONIVA03G01120 transcript:ONIVA03G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTPTQRYAAGALLALALRQAQIHQSVLLGAHHHHDDDDEEQGRTSTSSGGGGGSSSSSSNSGAGADADLWTHDSHGLLRPVFRFLEIDPKAWSGLEETAASSEAKHHIGAFLRIIFEEDGESSSDRSVQELALAKGVDVMVMSLGNDSEVGNTIKGGDQDALPSSSGTDKSPGESSHDDQLGINKLTLDDIPANNHRKMALLFALLSACVADKPVSQEEEDRKLTRFRKGYDARHRVALRLLSTWLDVKWIKMEAIEVMVACSAMAAAKEQEQSQESASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAMATAAGSVAGSVAVAASFGAAGAGLTGSKMARRIGSVKEFEFKPIGENHNQGRLAVGILISGFAFDEDDFCRPWEGWQDNLERYILQWESKHIIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVIFKCLQELALSSDNEGLVERVVLLGAPVSVKGERWEAARKMVAGRFVNVYSTDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAAQQILEHLELNTYYPVFVPLSAANEETDGTVAQ >ONIVA03G01110.1 pep chromosome:AWHD00000000:3:669533:679418:1 gene:ONIVA03G01110 transcript:ONIVA03G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAGGGEMLSPGEADWPPELRLPPPPPPSAASEGEPPPARAAVGMDDSQFLGSIIGLPAQPPQATAEALAVVGVKRRRGRPPKKRDGAAAATAVVPAARPARRREDEEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKRDEAFFQSRSKWNCGWHICSSCEKAVHYMCYTCTYSLCKVCIKQGKFFSVRGTKGFCDTCYSTILLIESKDEGDTKIVVDFDDQNSWEYLFKLYWVDLKGKLSLTLEELTSAKARWNAPTTYTRKEKDESSDDLYDANNDDDAGSDCSSGKRKRNSSRKKGRKRRKPDSDCSIATKKVETVTRDDGTLPNKVPTEEASLPVDTKWASPELLEFVGHMRDGDQSFISQFDVQALLLDYIKQNNLRDPQRKSQIICDSRLHRLFRKTRVAHFEMLKLLEMHFIVSEPSAVNDGSQGIINPDSAQIDHASGYNDMAAKFSPDRRRRMHRKMEREPQANPEDYAAIDMHNINLIYLRRSLMEDLIDDPTLSDKISGAFVRIRISGLGQKQDMYRLVKVVGTHKVSEKYSIGKKMTNFALEIMNLNKKEIITMDTVSNQDFTEEECKRLRQSMKYDLISRLKVGDIQEKAKIFQFVRVNDWFENEKQKLCHLRDRASETGQCVEKLQLLNTPEERARRINEVLDVHVDSHMDPDYESDDEFGNKKAVERSVNWARSDPFVSPVKVKYSNSSQKNGDATRHLKNLSKQNTERKSGAARNFENSHSPVGMDIPKSGTNVKSTRCETTSPSSHGVVSSDMEPEKVWHYKDPSGNVQGPFTLVQLSKWTSYFPRDMRVWLTFESEERSLLLTEVLSKQPKDFGQPASVTTSSKSTVADTGQNRNTEIVDLNKAPSPVGYSMLNSFETTVQSTKHSAPERESVNSLDDRLSHSTDSVPPKDANASNSQAMCQIKHSGSLPSPGSPHQRSDLHHDEVQGGRSGEWNNQHNSELWSPSMPQTSSSAHSNVESHHDHYPSWSQVQHDPKNSLQAGSGKDLNSRYDIAQKLPSQRITRDVPSPVFAWSPSESRTASSQHEGSCLSSTTNLCTHDELHSSIASAKAKSFAPATPVEDRGSSSPSGMLSLSERAPICSPQSAPSASASDTCKMEENMNQQKTLEADISNTSVNQSPQSKILPESSPDNQDAEHEYRSPPPISESKELSPQSRTTPGSSPDNQDTEREYPSPPPISGSKEISPQSRTILESSPDNQDNGHEYPSPPPIPESIELSPHSKALPESSPDNQDIEPECPSPPQIPESKELSRQSKILPESSPGNQDIEPECPSPPQIPESKELSQQSKILPESSPDNHDIKCEYSSPTPIPESKELSLQSKILPESSSDYQDIKCEDPSPTPISKSKEVSPQSKILSESYLDNQDVECKCPSSILITESKELAVDLPGSISLAPEKTASTDVGENSSLAFIFPKSTLAGDDALKSVFDMAKAHLECEDSKVKEELYVESTVVIRDDMVVNPASGVESIDMSENLLESLMEQSCGTFYMDGTTALEGFLSGSTKEEPQCSSPIALSTCSSPIALSPWGEHGYYQGDSVGSSLWGVQDDDPIGNIWPLSSQAPALQYSSGSTAHFIDEATVTHGNNGVVLSSTPGEVGLPNSGVCTDWGLVEQVNPEANDASVSMIDKNSGLVDSQPSANDGSDVGTARNTNHNTNLSLNHETAVPLSRSSGEASRKHGFITDLNVATSEEALGNTKNWNPSAGNANRGSQRNHHRDRYSQISESWLLSSNYSRSRSDGFGTGGSSRSTPRGQTQRGICKFHENGYCRKGASCNYLHP >ONIVA03G01110.2 pep chromosome:AWHD00000000:3:669533:679418:1 gene:ONIVA03G01110 transcript:ONIVA03G01110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAGGGEMLSPGEADWPPELRLPPPPPPSAASEGEPPPARAAVGMDDSQFLGSIIGLPAQPPQATAEALAVVGVKRRRGRPPKKRDGAAAATAVVPAARPARRREDEEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKRDEAFFQSRSKWNCGWHICSSCEKAVHYMCYTCTYSLCKVCIKQGKFFSVRGTKGFCDTCYSTILLIESKDEGDTKIVVDFDDQNSWEYLFKLYWVDLKGKLSLTLEELTSAKARWNAPTTYTRKEKDESSDDLYDANNDDDAGSDCSSGKRKRNSSRKKGRKRRKPDSDCSIATKKVETVTRDDGTLPNKVPTEEASLPVDTKWASPELLEFVGHMRDGDQSFISQFDVQALLLDYIKQNNLRDPQRKSQIICDSRLHRLFRKTRVAHFEMLKLLEMHFIVSEPSAVNDGSQGIINPDSAQIDHASGYNDMAAKFSPDRRRRMHRKMEREPQANPEDYAAIDMHNINLIYLRRSLMEDLIDDPTLSDKISGAFVRIRISGLGQKQDMYRLVKVVGTHKVSEKYSIGKKMTNFALEIMNLNKKEIITMDTVSNQDFTEEECKRLRQSMKYDLISRLKVGDIQEKAKIFQFVRVNDLLNTPEERARRINEVLDVHVDSHMDPDYESDDEFGNKKAVERSVNWARSDPFVSPVKVKYSNSSQKNGDATRHLKNLSKQNTERKSGAARNFENSHSPVGMDIPKSGTNVKSTRCETTSPSSHGVVSSDMEPEKVWHYKDPSGNVQGPFTLVQLSKWTSYFPRDMRVWLTFESEERSLLLTEVLSKQPKDFGQPASVTTSSKSTVADTGQNRNTEIVDLNKAPSPVGYSMLNSFETTVQSTKHSAPERESVNSLDDRLSHSTDSVPPKDANASNSQAMCQIKHSGSLPSPGSPHQRSDLHHDEVQGGRSGEWNNQHNSELWSPSMPQTSSSAHSNVESHHDHYPSWSQVQHDPKNSLQAGSGKDLNSRYDIAQKLPSQRITRDVPSPVFAWSPSESRTASSQHEGSCLSSTTNLCTHDELHSSIASAKAKSFAPATPVEDRGSSSPSGMLSLSERAPICSPQSAPSASASDTCKMEENMNQQKTLEADISNTSVNQSPQSKILPESSPDNQDAEHEYRSPPPISESKELSPQSRTTPGSSPDNQDTEREYPSPPPISGSKEISPQSRTILESSPDNQDNGHEYPSPPPIPESIELSPHSKALPESSPDNQDIEPECPSPPQIPESKELSRQSKILPESSPGNQDIEPECPSPPQIPESKELSQQSKILPESSPDNHDIKCEYSSPTPIPESKELSLQSKILPESSSDYQDIKCEDPSPTPISKSKEVSPQSKILSESYLDNQDVECKCPSSILITESKELAVDLPGSISLAPEKTASTDVGENSSLAFIFPKSTLAGDDALKSVFDMAKAHLECEDSKVKEELYVESTVVIRDDMVVNPASGVESIDMSENLLESLMEQSCGTFYMDGTTALEGFLSGSTKEEPQCSSPIALSTCSSPIALSPWGEHGYYQGDSVGSSLWGVQDDDPIGNIWPLSSQAPALQYSSGSTAHFIDEATVTHGNNGVVLSSTPGEVGLPNSGVCTDWGLVEQVNPEANDASVSMIDKNSGLVDSQPSANDGSDVGTARNTNHNTNLSLNHETAVPLSRSSGEASRKHGFITDLNVATSEEALGNTKNWNPSAGNANRGSQRNHHRDRYSQISESWLLSSNYSRSRSDGFGTGGSSRSTPRGQTQRGICKFHENGYCRKGASCNYLHP >ONIVA03G01100.1 pep chromosome:AWHD00000000:3:655951:666807:1 gene:ONIVA03G01100 transcript:ONIVA03G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKTLYIYMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETYQCLALTMVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWSDRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERMARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPGARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMSRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLDKPALHETMVKVSAYLLGEYGHLLARRPGCSPKELFAIINDKLPTVSYESYIDVEIQQRAVEYFELSRKGAALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQQTSSAIVVADQPPVNGSVPASNHLTLVKMPSQNITEDSNVTYEEPPVEIPKENGAPVEVESKVENIPETNIESKVEPPAVHPASQADLLADLLGPLAIEGPPAAVEQNPVQGLETNQSPVGDLALATLEDQSNSVQPIVNVEEKFHILCSKDSGVLYEDPHIQIGLKAEWRAHHGRLVLFLGNKNTAPLLSVQALILPPSHLKTELSSVPDTIPPRAQVQIPLEVVNLRASRDVAVLDFSYTFGTSLVNVKLRLPVVLNKFLQPITLSPEEFFPQWKALNVQNLKVQEVVKGVKPLPLPEIASLFMSLHLAVAPGLDNNPNNLVACTTFFSETTRAMLCLVRIETDPQDRTQLRLTVASGDQYLTFELKEYIKEHLIDIPRVQTASPPVPVQPQLPPAAVPATYNDPGAMLAGLL >ONIVA03G01090.1 pep chromosome:AWHD00000000:3:645937:653260:1 gene:ONIVA03G01090 transcript:ONIVA03G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G01860) TAIR;Acc:AT4G01860] MAAGDHLQPGSYLGDVSALSFLPSAPRPLLLAGTGSELLVYDVDATRLVASFQVFDGVRVHGIQPRCPDGPFPGDVTVVVFGERRVKIFRIRADFEDGEACGVRLELEQRLPGFDHWVLDACFLEADGLLAIGLSDNSVALWDLSQRVLHARVKSPEKCLLYSMRMWGNSLESLLVASGTILNEILIWKIVPQVLEKSLLCSYKSDTLGVEDYENMHFSDKQYITIHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARIWMLSSQSQNFVNKADGQDDVRIIPKLTLFGHSARIWDCYVSNSLVITVGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTLLLVTAGFDSAIKVHHLYNSSCHDKMEDKVVSDDVNDDSEVFSISSPTVSGQYGPMDSKSEYVRYLHFVKENDLYVATNNGYLHHAELSNSNNVRWTKVTQVAEVAPIICMDVMVMHSDISLNRDDIIALGDGRGNVTVVHLTASDLGPKVNSSFTWPAEKDRQLLGVYWCKSLECRHIFTADPRGVLKLWDIRNALFSNTLDITTSQKVPLIAVFESSFGARIMCLDAFPQDEVLVAGDKKGNITAFHFPKVLVEHESCGTQQNMPSCDRFKGAHGISSVTSVRIITSTSDHLQIHTTGGDGCICFFKYDRNAQKIEFFGMRQVKELGTVQSIFPPHASEKQLLSTYAIGFTSADFIIWDLENETKLLQISCGGWRRPYSYYLGMVPEYQNCFAFVKDHNIHIHRHWAPCQDKKLLPQVFHMQFHGREVHSLCFIDPAGYSNPEKSSNLYIATGCEDGTMRLTGNSINSAGKWCSSKLLGEHVGGSAVRATCFVQKGYTSLDKSCNIIPNGNSDDTLVKNKDNISLLISVGSKQVLTTWVLQPKVAENRHICSSGLDVDSKQSLNGDSAMTFQWLSTHMPPKLTNRLKTGNVKNNNEEGDSSVMQPNQFIVDQLENDWRYLSVTAFLLEHPSTNSTVCFVVVACSDATVVLRALLLPSRLWFDVALLAPQGSPVLVLKHIIAAANTADHGDTYIVVSGSTDGSITFWDLTDTIHGFMQLVSETQPHMVIDCPKRPRTGRGSQGGRRRWRTLPGRSLKKINEETSIPDGSIPNTPNATENTSETSNVEETDATNQNYAFSILQSCNIPEVTPMHRFSGVHQSGVNCLHVSEMRACSYSTPAMSYCVISGGDDQAVHCFSFTLGSLQDCAINTSLDSPDNGAVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRVRCWKMESSGKFTEYSHVIISVPEPETLDVVHDRAERKYWVAVAGRGMQMVEFLSAENDEEEISK >ONIVA03G01090.2 pep chromosome:AWHD00000000:3:645937:653260:1 gene:ONIVA03G01090 transcript:ONIVA03G01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G01860) TAIR;Acc:AT4G01860] MAAGDHLQPGSYLGDVSALSFLPSAPRPLLLAGTGSELLVYDVDATRLVASFQVFDGVRVHGIQPRCPDGPFPGDVTVVVFGERRVKIFRIRADFEDGEACGVRLELEQRLPGFDHWVLDACFLEADGLLAIGLSDNSVALWDLSQRVLHARVKSPEKCLLYSMRMWGNSLESLLVASGTILNEILIWKIVPQVLEKSLLCSYKSDTLGVEDYENMHFSDKQYITIHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARIWMLSSQSQNFVNKADGQDDVRIIPKLTLFGHIGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTLLLVTAGFDSAIKVHHLYNSSCHDKMEDKVVSDDVNDDSEVFSISSPTVSGQYGPMDSKSEYVRYLHFVKENDLYVATNNGYLHHAELSNSNNVRWTKVTQVAEVAPIICMDVMVMHSDISLNRDDIIALGDGRGNVTVVHLTASDLGPKVNSSFTWPAEKDRQLLGVYWCKSLECRHIFTADPRGVLKLWDIRNALFSNTLDITTSQKVPLIAVFESSFGARIMCLDAFPQDEVLVAGDKKGNITAFHFPKVLVEHESCGTQQNMPSCDRFKGAHGISSVTSVRIITSTSDHLQIHTTGGDGCICFFKYDRNAQKIEFFGMRQVKELGTVQSIFPPHASEKQLLSTYAIGFTSADFIIWDLENETKLLQISCGGWRRPYSYYLGMVPEYQNCFAFVKDHNIHIHRHWAPCQDKKLLPQVFHMQFHGREVHSLCFIDPAGYSNPEKSSNLYIATGCEDGTMRLTGNSINSAGKWCSSKLLGEHVGGSAVRATCFVQKGYTSLDKSCNIIPNGNSDDTLVKNKDNISLLISVGSKQVLTTWVLQPKVAENRHICSSGLDVDSKQSLNGDSAMTFQWLSTHMPPKLTNRLKTGNVKNNNEEGDSSVMQPNQFIVDQLENDWRYLSVTAFLLEHPSTNSTVCFVVVACSDATVVLRALLLPSRLWFDVALLAPQGSPVLVLKHIIAAANTADHGDTYIVVSGSTDGSITFWDLTDTIHGFMQLVSETQPHMVIDCPKRPRTGRGSQGGRRRWRTLPGRSLKKINEETSIPDGSIPNTPNATENTSETSNVEETDATNQNYAFSILQSCNIPEVTPMHRFSGVHQSGVNCLHVSEMRACSYSTPAMSYCVISGGDDQAVHCFSFTLGSLQDCAINTSLDSPDNGAVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRVRCWKMESSGKFTEYSHVIISVPEPETLDVVHDRAERKYWVAVAGRGMQMVEFLSAENDEEEISK >ONIVA03G01080.1 pep chromosome:AWHD00000000:3:632223:646093:-1 gene:ONIVA03G01080 transcript:ONIVA03G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRTEKGGGGDTSEEKRARGGGEEGERGDVAEVGARLQVVAGGHGEEEEEEEPSLELRKTLPELFSYTRHEWVEQAQADGDKRYRSTRRTRPGTI >ONIVA03G01070.1 pep chromosome:AWHD00000000:3:631298:644611:1 gene:ONIVA03G01070 transcript:ONIVA03G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFTARVAVIEALKAKGLYKETKKNEMCLGVCSRTNDVVEPMIKPQWFVNCNTMAKAGIDAVRSKRIEIIPQQYEQDWYRWLASIRDWCVSRQLWWGHRVPTWYVVLEDDQENILGSDNDRWVVARNESKANLEAHQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADVKAFYPGSVLETGHDILFFWVARMVMMGMQLGGDVPFQKVYLHPMIWDAHGRKMSKSLGNVIDPVDVINGIPLEGLLKRLEEGNLDPNELNIASDGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDHYTPPATISVTIMPPICKWILSVLNKAIGKTVTSLEAYKFFDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQELESARAASRDALWVCLDTGLSLLHPFMPCVTEELWQRLPQPKDSCRKDSIMVSEYPSLVKADDKLENQIDIALDTVNKLRSLKPPTDTNERRPAFALCRGQEIAAIVQCYQSLVVTLSSISSLKILTESDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEIQKLQHALSQKMEASGYRGKAPQNVQEEDMRKLTSFLEQLEIISEAEKKLDAKTGKN >ONIVA03G01070.2 pep chromosome:AWHD00000000:3:632245:644611:1 gene:ONIVA03G01070 transcript:ONIVA03G01070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVPGYNTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAISVHPEDNRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKDVTITPAHDPNDFEVGKRRSLEFINIFTDDGKINNYGGAQFEGMPRFTARVAVIEALKAKGLYKETKKNEMCLGVCSRTNDVVEPMIKPQWFVNCNTMAKAGIDAVRSKRIEIIPQQYEQDWYRWLASIRDWCVSRQLWWGHRVPTWYVVLEDDQENILGSDNDRWVVARNESKANLEAHQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADVKAFYPGSVLETGHDILFFWVARMVMMGMQLGGDVPFQKVYLHPMIWDAHGRKMSKSLGNVIDPVDVINGIPLEGLLKRLEEGNLDPNELNIASDGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDHYTPPATISVTIMPPICKWILSVLNKAIGKTVTSLEAYKFFDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQELESARAASRDALWVCLDTGLSLLHPFMPCVTEELWQRLPQPKDSCRKDSIMVSEYPSLVKADDKLENQIDIALDTVNKLRSLKPPTDTNERRPAFALCRGQEIAAIVQCYQSLVVTLSSISSLKILTESDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEIQKLQHALSQKMEASGYRGKAPQNVQEEDMRKLTSFLEQLEIISEAEKKLDAKTGKN >ONIVA03G01070.3 pep chromosome:AWHD00000000:3:631126:635053:1 gene:ONIVA03G01070 transcript:ONIVA03G01070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYSPAAVEKSIFWGRSCGSYFEVCTRYYVLPPPNVTGALHIGHALTVAIEDAIIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMREKKLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSREVDYLDIKEETMLKVPGYNTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAISVHPEDNRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKVGADSEYLTISI >ONIVA03G01060.1 pep chromosome:AWHD00000000:3:628110:631747:-1 gene:ONIVA03G01060 transcript:ONIVA03G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAAAPPEWVVLDRLPLTSIDLPKDGISLSLVATPRISQLLVSPSLGLASGSVLAADPSGILLLSSSDPFLADSKSYVLWDAVYKISFPFPATPTETGAATGLIVVPGGSDHIYIMVAELSIRGSDVILRCFSTDPAKWIQKILQQTPSGRLWWVDLLQGLVACDPFSDNPELHFVPLPSCCRNPNVQQSCRMGLSDNRRVGLSRGKLRLVVLSHASNSKSRIRLWTLADSEAGHWTLDFDLSSPVFDDIWTDFCDWKIAFFHPSKPHVVYFSQKQQLVAVDLQMIKVSEEDGVEPCSSSSRHVLAWELSPSLRTTLSGPSLAQDTNSTSHFDSVANSFHEAYSSALHDMEFHQLAITALASLNKEKKRTEENKFKLSERLLLQTFLDQTETSLKKYAHLNFYVDTGSEKVLVFAEFHTDAVGDNEPAEWGLSSCKLLRKNYQGGLYGEDADRRLSMRANKRKKSIYCFACAAEMLHPINGFDGGYAGMSVTRGVGAGDSQAGGGERRRSERREVRGKSVTPAHPLTEPEE >ONIVA03G01050.1 pep chromosome:AWHD00000000:3:624249:627580:-1 gene:ONIVA03G01050 transcript:ONIVA03G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWPSTCPSVAALPPPNGWPGFAKNPSHLSLLPSTSRGSAARLSSRPRRLALLPRPPLTSAAPLPPLSPCQIRWQAVDPALRLPPVDLAAQSLAGAENSELARRLRGPAVATGKRLSFMNEYLAEDRDPVRCWVVAAAVAFVTLIVLGVGSVDDTPVELPKKLYIGLPSAKTIQLPDGRHLAYKEQGVTADRARFSLIAPHSFLSSRLARIPGIKPSQEFGARLMDELMNTNASMNSWGA >ONIVA03G01040.1 pep chromosome:AWHD00000000:3:620375:623820:1 gene:ONIVA03G01040 transcript:ONIVA03G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAKARPTPTAAAKSAVAGGGDSAQERATAASSQEEAVPRCFAPSRSRSRSRSRSTSAGREGSLRAGVSFLISDKKTVEGTINDAEIESIVLKLWNFTEEERVPYYNRLNKKRANMALAWYNENNPEDFYEFTSVLLHDVCNFCDGGVCHVHINFKARNVTTNSEDLFFAELALINNVFDQYSGYTTTACCIIDGNCLGGLRNVLLNGCFLREERYDEKNCYACDEKIKHPTGSTYKGGHYAEDYLIQGIL >ONIVA03G01040.2 pep chromosome:AWHD00000000:3:620375:623820:1 gene:ONIVA03G01040 transcript:ONIVA03G01040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAKARPTPTAAAKSAVAGGGDSAQERATAASSQEEAVPRCFAPSRSRSRSRSRSTSAGREEEERVPYYNRLNKKRANMALAWYNENNPEDFYEFTSVLLHDVCNFCDGGVCHVHINFKARNVTTNSEDLFFAELALINNVFDQYSGYTTTACCIIDGNCLGGLRNVLLNGCFLREERYDEKNCYACDEKIKHPTGSTYKGGHYAEDYLIQGIL >ONIVA03G01030.1 pep chromosome:AWHD00000000:3:618472:618747:1 gene:ONIVA03G01030 transcript:ONIVA03G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHGAIAYSRGDETRTAPPLEPSVRSSSDSAEEDTEEELSLEAEQDDDEKMQAEAEDAEQGGVMDHQPALRRTSRTVKPNPLYHGPQWAV >ONIVA03G01020.1 pep chromosome:AWHD00000000:3:609141:613356:-1 gene:ONIVA03G01020 transcript:ONIVA03G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFW5] MELHLTSRGALPLSPPLAGQRHPHLSLSTPSLPIKNHTYSVPPPFSKAHCAIGCQASLATNYMETSAVADLDWENLGFGLVQTDFMYIAKCGPDGNFSKGEMVPFGPIELSPSAGVLNYGQGLFEGLKAYRKTDGYILLFRPEENAIRMRNGAERMCMPAPTLEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFMIFVSPVGNYFKEGLAPINLIIEENFHRAAPGGTGGVKTIGNYASVLKAQRIAKQKGYSDVLYLDAVHKKYLEEVSSCNIFIVKGNVISTPAIKGTILPGITRKSILEVAQRKGFMVEERLVSVDELLEADEVFCTGTAVVVSPVGSITYLGQRVEYGNQGVGVVCQQLYTSLTSLQMGHVDDCMGWTVELNQ >ONIVA03G01010.1 pep chromosome:AWHD00000000:3:604064:608206:-1 gene:ONIVA03G01010 transcript:ONIVA03G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMNKACDIASISVLPPRRTGGSSGASASGSVAVAVASQPRSQPLSQSQQSFSQGASASLLHSQSQFSQVSLDDNLLTLLPSPTRDQRFGLHDDSSKRMSSLPASSASCAREESQLQLAKLPSNPVHRWNPSIADTRCQVTNEDVERKFQHLASSVHKMGMVVDSVQSDVMQLNRAMKEASLDSGSIRQKIAVLESSLQQILKGQDDLKALFGSSTKHNPDQTSVLNSLGSKLNEISSTLATLQTQMQARQLQGDQTTVLNSNASKSNEISSTLATLQTQMQADIRQLRCDVFRVFTKEMEGVVRAIRSVNSRPAAMQMMADQSYQVPVSNGWTQINQTPVAAGRSPMNRAPVAAGRSRMNQLPETKVLSAHLVYPAKVTDLKPKVEQGKVKAAPQKPFASSYYRVAPKQEEVAIRKVNIQVPAKKAPVSIIIESDDDSEGRASCVILKTETGSKEWKVTKQGTEEGLEILRRARKRRRREMQSIVLAS >ONIVA03G01000.1 pep chromosome:AWHD00000000:3:595847:600011:-1 gene:ONIVA03G01000 transcript:ONIVA03G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSQRLARRQENIGCMWGLIGMLYFRRDAKFLLDRKQGSRRHTFGGLSGRRHSRKKSRDFEETDEYGEDNIEECDTRKQTVKRLMEDELGKVKQVKKIPKEEVQRILADLGHDVCLEKSSMQSTKQNRAKSHSTSTAMASPSGLLDPSGSKSMKQAEEDDLELSLADFVGELYGYHDDYKNKSELCPELKSHIHTKLSELKSVPCQRAYEESPDWGQREHFYEKYICNSRSYQSNKLVDAPDMLSPEKELFLKTLQKPSPHTLEKENTQNNQNRQVVTKLEPRKILEKGENTKNSKQHEVAIKTHSKEGRNIFFWRKDKSIMKGTSEGTNSSKMVNKIVILKPNPRGIDTTVATASTCLDQQSCTIQSPKYPATESSKFSIKEVRRRFKIVTGDTRRGRPSVYEDDLQRDSQRINDSVFKVRKDSKQSDKDNLRPLTSGKQKQRNDGLGEINGDIITSKDTSIFYEEAKKHLTDILEYNSHTTKHPTVHTSKSLIGMLSLPQRNASSPRSSPRLKGCIDLSPEEINISAIQQDERTEYAKERNLSDEDSGSVACGNSEVLDGKADQDRHSMKQETAQDGDIMHIEEIDKPACSETICSEGTTLKEQCTCTSSLELIEGDEPGREHAGMLLSYPENVVESLEHQEPKTPRSSASLELISQISPEGNHEKQEQPSPVSVLDPFFCEDVDSPDHETMIKCEMHQDMMRPHIPDAISDQWVFWEDEDARLSYIKAMLELSELCTCQNLEVWYLEDELISPCMVEELHQGNQTDDLKLPFDCICEAITIIQETYFRNPPCLSFLMHKIQPPPMGENLIQEINKHIERHLHNQFPRTLNQLVNIDLEDGTWMNLQLESEEIIVDTWEFILDELLEEVANDLLI >ONIVA03G00990.1 pep chromosome:AWHD00000000:3:594134:604196:1 gene:ONIVA03G00990 transcript:ONIVA03G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLNCTEPNRHDMNASIGCYSSLLSACSIARCESGQIYRNGISWLLYSMCEKWCLFVMRYSIRQHGKLVVHSTSQQQPQQ >ONIVA03G00990.2 pep chromosome:AWHD00000000:3:594134:604196:1 gene:ONIVA03G00990 transcript:ONIVA03G00990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLNCTEPNRHDMNASIGCYSSLLSACSIARCESGQIYRNGISWLLYSMCEKWCLFVMRYSIRQHGKLVVHSTSQQQPQQ >ONIVA03G00990.3 pep chromosome:AWHD00000000:3:594134:604196:1 gene:ONIVA03G00990 transcript:ONIVA03G00990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLNCTEPNRHDMNASIGCYSSLLSACSIARCESGQIYRNGISWLLYSMCEKWCLFVMRYSIRQHGKLVVHSTSQQQPQQ >ONIVA03G00980.1 pep chromosome:AWHD00000000:3:590950:593274:-1 gene:ONIVA03G00980 transcript:ONIVA03G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRPIPSIHRQPPKPTTTHVARCFRDPPKAPDTNSNTNPLLSLLSAVPDWADAVSERRIRDRRPLYTHADWREHRSSRRHLRHLLSSLTSRVILSLAPPVSAFTAFAAAIATYNTLLPAYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVIAAAADLAGMAMRHHNPPATRALLNYILAFPLALKCHIICHSDIKRDLQGLLSEDDLNVVLRSKHRPRCIIEFISQSLYMLDFDENKRNIMESKLSCFLEGISVCEQLIGIPIPLSYTRLTSRFLVLWHLTLPVILWDECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCKQLHDSTQDVMSVQNLVHNRLAAKTKDQDSGSMRCSDNGWPSSKSEQAKID >ONIVA03G00970.1 pep chromosome:AWHD00000000:3:589362:589847:1 gene:ONIVA03G00970 transcript:ONIVA03G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPASGRAPPLLLQPAAFIPWQVRWLVGAGHSTNRSSATCERGAAGARRGGFGGAALSIKLLLLWSEVEWNNDENKVSCQIYCALCHHRFLVELARSSLGFVRSGERHRFGGNKDAQGGSFIDDRQALHGVWGCRMGPYPLPTRRSHRALPHTYQILIKNA >ONIVA03G00960.1 pep chromosome:AWHD00000000:3:582337:585037:-1 gene:ONIVA03G00960 transcript:ONIVA03G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDVASKCDSRSRSGAAPEIMRSPRRTIGENGYRDTRHHQLKCSDGNLGELRVESIPNFHCKSLPTRSRKTNAEDSIVGKRGSMYQSSSEISMIRKLHEGRRKKIDSALDGDAFLSFEIVDSSSQPSTSGAYLFSHQNRRSEAKSSVESRRIHRASRDFLDLSFRELPDENCRLDRPRMDCTLLKNDAGDGFLEISLEEEMTKGPCRNAAPQLIGGESSKGTEANCLQNKISVCPSENNCSQRERNSTNSLPESMSAKVSISDGTCPSESVRHGIENSTKVRSSPFKKILDPIMKSKSIRSPSLMEDSNHVTMLVNRKNCVSRKSLLSDLSRSEQSQSINCQLNGERQHTTNALSPAHLQAVLKLDTKNGIPVFEFCVEGPEESISARSWKTGNDLNWIYTFHSGGKRASATGRASKDGRWCSPPIVGQMQASSYLCSEVGKDGVLTNSVITEFVLYDIAHARQSFSAEEKTQCTETTQSTLSSAVEKSASGDCPQRINLMDQQNSARNNSDVSTSCPWSEEDLYPHLEIAATVIQVPFNRSKSKELKSGLSPGTVKVITPSGLHGLPNDDETSPSPLLDRWRYGGGCDCGGWDMACPIAVLGNAYDNNWANSVTKEGENPMELFVLGGKEELPALSIKGNEKGQLLVHFHARLSAMQAFSTCISLLHCSEASTAVSIEKGKHKLYSSSLKMLLEEEVSQLIEAVTAEEKRKTKKRSEKPPRPVVLDPPFSPMGRV >ONIVA03G00950.1 pep chromosome:AWHD00000000:3:577401:580496:-1 gene:ONIVA03G00950 transcript:ONIVA03G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTAYRNLRGPSPFVLAMKTRLAPPPQLSDPPPPPLPAVPPSLLPPLLPPPEKRRRGRPRNCDRLTAPPGFFAPLPPPPPPQPQPPTLPAPHGQGQFGGLQPHLLQIDAGEEIIPKITALSKSNGRVICVLSVLGAVQEATLLLSSGVTSYHKGPLEIIRLFGSILTPNDQGCLRVTLASGDSSVIGGVVTGPLKAATPVQVVVASFYSDVYWPNRTPKIIAPYPNSQSTIRNGSTLSSEHVNPGYVSYTAVDQHESKNGMNLANTYPTGCTQATAKLIGNRWAAPVTGAVDFEQPID >ONIVA03G00950.2 pep chromosome:AWHD00000000:3:578241:580496:-1 gene:ONIVA03G00950 transcript:ONIVA03G00950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTAYRNLRGPSPFVLAMKTRLAPPPQLSDPPPPPLPAVPPSLLPPLLPPPEKRRRGRPRNCDRLTAPPGFFAPLPPPPPPQPQPPTLPAPHGQGQFGGLQPHLLQIDAGEEIIPKITALSKSNGRVICVLSVLGAVQEATLLLSSGVTSYHKGPLEIIRLFGSILTPNDQGCLRVTLASGDSSVIGGVVTGPLKAATPVQVVVASFYSDVYWPNRTPKIIAPYPNSQSTIRNGSTLSSEHVNPGYVSYTAVDQHESSEVDVKPSLGMLNLASLDRHESSEVDVKPSLRMLNLASL >ONIVA03G00940.1 pep chromosome:AWHD00000000:3:573519:575370:1 gene:ONIVA03G00940 transcript:ONIVA03G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFV4] MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYDGDSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGEYEDEEEEADLQD >ONIVA03G00930.1 pep chromosome:AWHD00000000:3:556689:570492:1 gene:ONIVA03G00930 transcript:ONIVA03G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRAKNLIVDVPFQGAKWLRCVDLAGQLFGNTATPPPPTTIAHGSESAAMQEDYTSQTLVSDSCNPKKKTAGLKMERIRLPRPILKFRPALNEACRIDCFPFVDHKMFWVDHLGRPFLFEAETRLMDMLPCLHKPKSMPFSVFVPNADADNDYEHHRPGSSLFVMERIPKPEVNSSTQWSDQFEAFVYCKHKRTSTNFSKTWQWQLLPPPPYVREPKYWHSCSRPEISSYTSLTVNGDPHICISIDGVGTYCLNTASHTWIKVGNWTLPFHGRVEYVPEFNLWFGFSSDESPHYLAASNLSAMDSQPQLVGRWEELYLPEDWKECKNPQLVNLGSGRFCITRFFHSRSHNSDSRGDVDQNVAVFTGVEVKPSFQDANGGSGKVELQMIPHKSLFHKSNHTTIDAFYLYVLKLNQPATPVLTAICVILPLFSLASSPAWEQPPPGYHRSGASASPPALTAAWKPRGRHRCRAHALAAATRPREAVMGYVQKHDTVACQYNENQANYVVVPSFFLFLTM >ONIVA03G00930.2 pep chromosome:AWHD00000000:3:555752:570492:1 gene:ONIVA03G00930 transcript:ONIVA03G00930.2 gene_biotype:protein_coding transcript_biotype:protein_coding M >ONIVA03G00930.3 pep chromosome:AWHD00000000:3:555750:558745:1 gene:ONIVA03G00930 transcript:ONIVA03G00930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRAKNLIVDVPFQGAKWLRCVDLAGQLFGNTATPPPPTTIAHGSESAAMQEDYTSQTLVSDSCNPKKKTAGLKMERIRLPRPILKFRPALNEACRIDCFPFVDHKMFWVDHLGRPFLFEAETRLMDMLPCLHKPKSMPFSVFVPNADADNDYEHHRPGSSLFVMERIPKPEVNSSTQWSDQFEAFVYCKHKRTSTNFSKTWQWQLLPPPPYVREPKYWHSCSRPEISSYTSLTVNGDPHICISIDGVGTYCLNTASHTWIKVGNWTLPFHGRVEYVPEFNLWFGFSSDESPHYLAASNLSAMDSQPQLVGRWEELYLPEDWKECKNPQLVNLGSGRFCITRFFHSRSHNSDSRGDVDQNVAVFTGVEVKPSFQDANGGSGKVELQMIPHKSLFHKSNHTTIDAVF >ONIVA03G00920.1 pep chromosome:AWHD00000000:3:553448:554641:-1 gene:ONIVA03G00920 transcript:ONIVA03G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRAKNLIVDVPFQGAKWLRCVDLAGQLFGNTATPPPPTTIAHGSESAAMQEDYTSQTLVSDSCNPKKKTAGLKMERIRLPRPILKFCSTHASDQISLDCFPLVDRKVVIADHTRRMFLCDADTRAVVSIPNLHKPKSRPISLFIPSTADANDHPTVGGGSLYVMERVPEPEKGDVELSDQFEAFVCSNIFEGPWNCHLLRPPPFVSDRTCCFTYPKITSYAVVGSNICISHNSCNATYCLDTARNTWEVGNGNLPLYGKVVYVPELKLWFGFSTEARTMSPLAAADLSTMDSHSQPQLIGDWKEFDPPKGWLESHDPQLVNLGSGRFCIARFFRIVSMDDGEIIDRIEVFTGVEVLPVGHDGDGSGNTKLKLRMKKHKSLCHVSNGTVINDIF >ONIVA03G00910.1 pep chromosome:AWHD00000000:3:550783:552533:-1 gene:ONIVA03G00910 transcript:ONIVA03G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNVLSGVVPLGSTKLAETLQWLDLSVNQLTGGIPAEMALFMNLRYLNLYRNDLRTQLPPELGLLHNLTVLDLHSSGLYGTMPSDLSLGHNSLTGPILVGISELNKLEILRLEYNNLSGIESLLAVNVSHNRLVGRLPASGVFQSLDASALEGNLGIYPAQHIGAAEGQRRRDDSGERAGEHRVKLDQVQQACHGQYGDVWAGEQPPPFRGLRGRRDALLSKATEIGRGVFGTVYHASVGEGRVHYNVKPSNILLDEQCNPMIGDFWLARLLPKLDKHVMSSRFQDGMGNVAAELACQSLRIIEKCDIYGVFGVLILELALWVPQLS >ONIVA03G00900.1 pep chromosome:AWHD00000000:3:546481:550053:-1 gene:ONIVA03G00900 transcript:ONIVA03G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSYIEGQNPRRCHNLLAAKSGGHDTTITPLELSPRHQVAAIINPSPSNLLLPGVCRETRPCPLSPPTWPSLLPLTVEAAAQGTDPMAPEFEQILLQEGRRLQPGEGRSTRWLGRGGTNGNDKAEATPRMLGGKATPRTRTSIRSGTANASQSVGLGFFGFLFSFGFVFPSPGYVWAGGGFGTGASVPSRRCCGCSRCLCPPPLLFFGEEEPRKNSMREVTPTQPTGLDGQILVHTRVAWRVKGIIQVSRTIGDVYLKEQEYSMDPVFRSIGPPIPLKQLALSAEPSIQVRKLKPNDMFLIFASDGP >ONIVA03G00890.1 pep chromosome:AWHD00000000:3:545083:546467:-1 gene:ONIVA03G00890 transcript:ONIVA03G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRCRLSSRIQERKQSGKGRFEGSHEEEGGQHPSEDDREVRRRFHDDISIIVVYLDRHRGRRHTRVVDSSINCTNGPVDIYYSTPANPWRLFKLIGAPAGRRLGCLPAKINTLT >ONIVA03G00890.2 pep chromosome:AWHD00000000:3:545992:546467:-1 gene:ONIVA03G00890 transcript:ONIVA03G00890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRCRLSSRIQERKQSGKGRFEGSHEEEGGQHPSEDDREVRRRFHDDISIIVVYLDRHRGRRHTRVVDSSINCTNGPVDIYYSTPANPWRLFKLIGAPAGRRLGCLPAKINTVSC >ONIVA03G00880.1 pep chromosome:AWHD00000000:3:534755:544332:1 gene:ONIVA03G00880 transcript:ONIVA03G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRAFCTAVETGRKTPEFCYLLGFSGVVALPGDRFQPLDDFLSENPFLSPIPLGRLYENIDPAKPYMLEDPIRRAVWSGSLAPYIANKVKMSLSHILTKENVGVHKDFGLVVFKDVPKKREGISDSSENYQHYSSFLTETIQRLHGSESLNALPVEMDIYLKDLTDPNVKEDDILDHPIALPGRVFGDVSVEAYRLLCKQYPVVKNGKEILADRIIEAVGAKFKDALTVSLDSGLLPKFLPLKWQKIYPANKYPWIPKPQLIISQEEAGKIVIEIHRHGSVHSVEVGVDTIVTSNFPGFSGDKFTRMEVLALIRHIFPEYLNTIFKEFKMDNLYEDLQIRERNPIPSLYSAQGDGVYAEVIVKFIGF >ONIVA03G00880.2 pep chromosome:AWHD00000000:3:534362:543247:1 gene:ONIVA03G00880 transcript:ONIVA03G00880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRAFCTAVETGRKTPEFCYLLGFSGVVALPGDRFQPLDDFLSENPFLSPIPLGRLYENIDPAKPYMLEDPIRRAVWSGSLAPYIANKVKMSLSHILTKENVGVHKDFGLVVFKDVPKKREGISDSSENYQHYSSFLTETIQRLHGSESLNALPVEMDIYLKDLTDPNVKEDDILDHPIALPGRVFGDVSVEAYRLLCKQYPVVKNGKEILADRIIEAVGAKFKDALTWTIAKILTSEMAEDIPS >ONIVA03G00880.3 pep chromosome:AWHD00000000:3:534362:543247:1 gene:ONIVA03G00880 transcript:ONIVA03G00880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRAFCTAVETGRKTPEFCYLLGFSGVVALPGDRFQPLDDFLSENPFLSPIPLGRLYENIDPAKPYMLEDPIRRAVWSGSLAPYIANKVKMSLSHILTKENVGVHKDFGLVVFKDVPKKREGISDSSENYQHYSSFLTETIQRLHGSESLNALPVEMDIYLKDLTDPNVKEDDILDHPIALPGRVFGDVSVEAYRLLCKQYPVVKNGKEILADRIIEAVGAKFKDALTWTIAKILTSEMAEDIPS >ONIVA03G00880.4 pep chromosome:AWHD00000000:3:536087:544332:1 gene:ONIVA03G00880 transcript:ONIVA03G00880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRAFCTAVETGRKTPEFCYLLGFSGVVALPGDRFQPLDDFLSENPFLSPIPLGRLYENIDPAKPYMLEDPIRRAVWSGSLAPYIANKVKMSLSHILTKENVGVHKDFGLVVFKDVPKKREGISDSSENYQHYSSFLTETIQRLHGSESLNALPVEMDIYLKDLTDPNVKEDDILDHPIALPGRVFGDVSVEAYRLLCKQYPVVKNGKEILADRIIEAVGAKFKDALTVSLDSGLLPKFLPLKWQKIYPANKYPWIPKPQLIISQEEAGKIVIEIHRHGSVHSVEVGVDTIVTSNFPGFSGDKFTRMEVLALIRHIFPEYLNTIFKEFKMDNLYEDLQIRERNPIPSLYSAQGDGVYAEVIVKFIGF >ONIVA03G00880.5 pep chromosome:AWHD00000000:3:534362:543247:1 gene:ONIVA03G00880 transcript:ONIVA03G00880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRAFCTAVETGRKTPEFCYLLGFSGVVALPGDRFQPLDDFLSENPFLSPIPLGRLYENIDPAKPYMLEDPIRRAVWSGSLAPYIANKVKMSLSHILTKENVGVHKDFGLVVFKDVPKKREGISDSSENYQHYSSFLTETIQRLHGSESLNALPVEMDIYLKDLTDPNVKEDDILDHPIALPGRVFGDVSVEAYRLLCKQYPVVKNGKEILADRIIEAVGAKFKDALTWTIAKILTSEMAEDIPS >ONIVA03G00880.6 pep chromosome:AWHD00000000:3:536087:541082:1 gene:ONIVA03G00880 transcript:ONIVA03G00880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEREGGRRRGIVLIITIEGKDSTRERGRWEARYNGLLGRIVQRIITDVRGQIQRLRNRVSIGTLCLPPPYPRLELEKGGRRLLLALGYSGQGRLPQPAPTPAPLPQLPRVKD >ONIVA03G00880.7 pep chromosome:AWHD00000000:3:539797:544332:1 gene:ONIVA03G00880 transcript:ONIVA03G00880.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRAFCTAVETGRKTPEFCYLLGFSGVVALPGDRFQPLDDFLSENPFLSPIPLGRLYENIDPAKPYMLEDPIRRAVWSGSLAPYIANKVKMSLSHILTKENVGVHKDFGLVVFKDVPKKREGISDSSENYQHYSSFLTETIQRLHGSESLNALPVEMDIYLKDLTDPNVKEDDILDHPIALPGRVFGDVSVEAYRLLCKQYPVVKNGKEILADRIIEAVGAKFKDALTVSLDSGLLPKFLPLKWQKIYPANKYPWIPKPQLIISQEEAGKIVIEIHRHGSVHSVEVGVDTIVTSNFPGFSGDKFTRMEVLALIRHIFPEYLNTIFKEFKMDNLYEDLQIRERNPIPSLYSAQGDGVYAEVIVKFIGF >ONIVA03G00880.8 pep chromosome:AWHD00000000:3:540206:543247:1 gene:ONIVA03G00880 transcript:ONIVA03G00880.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRAFCTAVETGRKTPEFCYLLGFSGVVALPGDRFQPLDDFLSENPFLSPIPLGRLYENIDPAKPYMLEDPIRRAVWSGSLAPYIANKVKMSLSHILTKENVGVHKDFGLVVFKDVPKKREGISDSSENYQHYSSFLTETIQRLHGSESLNALPVEMDIYLKDLTDPNVKEDDILDHPIALPGRVFGDVSVEAYRLLCKQYPVVKNGKEILADRIIEAVGAKFKDALTWTIAKILTSEMAEDIPS >ONIVA03G00880.9 pep chromosome:AWHD00000000:3:541462:543247:1 gene:ONIVA03G00880 transcript:ONIVA03G00880.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGGATWGLWRTMAVRWCGLGGEVLRRCSRGGRGCSAHEPRDGESVNALAVVAASQRCGFSGVVALPGDRLYENIDPAKPYMLEDPIRRAVWSGSLAPYIANKVKMSLSHILTKENVGVHKDFGLVVFKDVPKKREGISDSSENYQHYSSFLTETIQRLHGSESLNALPVEMDIYLKDLTDPNVKEDDILDHPIALPGRVFGDVSVEAYRLLCKQYPVVKNGKEILADRIIEAVGAKFKDALTWTIAKILTSEMAEDIPS >ONIVA03G00870.1 pep chromosome:AWHD00000000:3:511508:512464:-1 gene:ONIVA03G00870 transcript:ONIVA03G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERAGAPLQSESPHRQLLQERLRLRLRHAAHLHRHEPRRRQRRPPRRRRRLVAPHPHGGAHALLDLWLDPEPLDLGRHVGVRAHGVQRLQQGHGGAQCLQQVRRERQLAAVTAAVHGDNQLGAKAPDQVKHGRHCGGVDRADGKVDGNGVGGGGNGKKGGEVGGVEVEGGEAEGDGEVGGEGGEGVVDELQLERVMGGGGGGDVEGGDLEGDAGVAVGEVMHEGGPLLADTDVEVEEVDAGGGGCELVEDGLDAGEVGEVEQRGEVGEGLVGGELEEEVVRVRLVEGRGRCRGGGVVVVGDEGSAQGVLEIQGGAE >ONIVA03G00860.1 pep chromosome:AWHD00000000:3:510196:512509:1 gene:ONIVA03G00860 transcript:ONIVA03G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGWGRERCSLPALEREKREGEIELVDVWGPSSSSFTLTSPGLRPPTHAASTPPPPPWLDDADHHHDNAFLHWVINDHHHPLLDLDDVDLDYAKPAPFFFADRGLHHHHPASSSFPNPKPTADDNTSTVEQLVQAAKLTEAGDVLAARHILARPAINYRLPASAAPPLLRSALYFKDALRRALISDDDDSSSSTPPPPLHEPHPHDLLLKLTSYKSFSDLSPLLHFAHFTCVQAVLDELAPSASCIHLLDFDIGVGEQWASLMHDLAHRHPGVALKVTALNVTASSSSHHPLQLQLIHDTLSTFAADLSVPFRFAAFNLDATDLTPLLAVAAATDAIAVHLPVGSVHATAVPSVLHLVRRLGAKLVVSVDRGCDRGELPFAAHLLQALRSTVSLLESLDAMGTDSDVAAKIERFWVQPKIQECVRAAVGVGGDKTAASAWRATLASAGFVPVQVSSMAEAQAESLLKKLPVRGFRLERRAGSLFLHWQRGELASVSAWRC >ONIVA03G00850.1 pep chromosome:AWHD00000000:3:506899:507208:1 gene:ONIVA03G00850 transcript:ONIVA03G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLPTPLLLPLVPHPGEQEQQENAEEGEEPRPTPTTMEKKVVEPSKKKKFVDLKSMWGNNLC >ONIVA03G00840.1 pep chromosome:AWHD00000000:3:493698:500186:1 gene:ONIVA03G00840 transcript:ONIVA03G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIAMSLRSLAATDAFLPKPSPSSAPFLLLLSPSTPRLRLHLHLRSTRRLPLAPLAASDSFESASSSSAAALDFAEPGAAEESDVPEESDQEEEGYAPEAEEEAEADEEVEEEAVEASAEVAEEAEDIEEVGEYVEPPEEAKVYVGNLPYDIDSERLAQLFEQAGIVEVSEVIYNRETDRSRGFGFVTMSTVEEAEKAVEMFHRYDVDGRLLTVNKAAPRGARVERPPRQFGPSFRIYVGNLPWQVDDSRLVQLFSEHGKVVDARVVYDRETGRSRGFGFVTMATQEELDDAIAALDGQVSSGYAVLSEETIISYVGFRCICGWPNLPPDLLREISGQLHDAVDLSRFHTVCTAWRDMVRLPAAEPQCPALLPWLVARGWPGCFSRSHLRSIFSNATPAWHSPRPTTRDMWLASTDGAGVWVLTADGDRLVDPLTGATVRRLPRLPRTSNNDHVCYIDGVVCGDGTIVAYATSDGFKHSSQIEAAILRPGDTAWTTVESKGMMHAAFGYGCCTTYHQGGVVFADLYQTFVVRVLVDDDDAAGGGRVVESRTRRWPPDYGFLHKRLLSTYTFEFRGKLMSACVEIPWQSSAYQIDAAALSVSLYTLETTAAAGDGGELAHLWVRAADGGRLLGEHALFLGCPTSFAVDAARFGAGGEEIRERLIRHGERPKTFKIKLNNLTPRARLGSSGDSWLRGEFRYHGYVIDANVHYRNGAIFGVVTMTTVQKPADIVAALDKRGLLDLHPLLAVEFAEQRPRQEWILTEAALAKAPTAVHSFSPKDFSIYVGNLRWDVDRLRLLKFFGEHGRVLVAQVVCDRQTGRSRGFGFVSMATLREPDDVIASLNGQIMDGRPMRVSFARWQPRLDY >ONIVA03G00830.1 pep chromosome:AWHD00000000:3:489197:493488:1 gene:ONIVA03G00830 transcript:ONIVA03G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVARVMCERGSRGRFSRGFGFATMAIAADAEPADVVTMLNGQILDGRPLRVKFADKDQRGSSAHHATVKRLHLRAELFLHSLLLSSRIGMFFSTFLLLFWTIYSWTVRLNRDEIGNLRINNSWDSQRYDTLDQVKEALEKLPRIEISHCCSVASRHGLISGWKFSGKHCFNGRSLHHISEDSLNPYEQAISIIGKTLSTFDEDNRIPCFGFGDTSTHDQNVFSFYSGRRQYCNGVSEVLRGYREIAPRVRLSAPIIETAMRITQDSGYQYHILLIIADGQVPRCCGANSANNRDENYLEERTLQALVQASHFPLSIVLVGVGDGPWDEQLMHCQEDRQLFDNFQFVDFTKIIMSREMPETEKEEQFALEALKKIPSQYAAIISKRISDLAADAPSRMPLPPPPSRPVIST >ONIVA03G00820.1 pep chromosome:AWHD00000000:3:483804:488145:1 gene:ONIVA03G00820 transcript:ONIVA03G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGDAVLSDVDEEDPLPPPPPPSTSSSQKSPSPSQPQPQPHAQAQRLHDLAAELEEERRLRRKAEESLAEAEKRSERVKALAQNVLRKHDDLKTEASTASSMLTSGFERISAKASPSAAASPAPLPTSQKYSSGLPAIAYGVLKRANDIVDDLLSQIDAANRDRDRAREQMEHRNYQIAIEVSELEASLASRSAHCDSLSKSLSDKDAEISDLRNNLAFLETKLDAQRPVLADQIACASKVYDEIREVVKLVDADAASALSDSVFVWKETDVEESLKVSLEGTKMAYDLATTALHKVGAWVDKKESKVRDLEARVDELLREKEHIGVLLRSALQSNTSEVLKVAEDGLREAGIEVGLKERREHRPGSMEKDEVYTLAGELENSMKESQVKIVELQHLVEALRAESGLLRTRLEGQEKEIAQLRKQIKHLEEKERVANESVEGLMMDVTAAEEEIKRWKMAAEEEAEAGKAIEQEFETQLSSLNKELDEAKQAMLELENKLKFKEETAAAAMAARDAAEKSLKLADMRSTRLRERLEEINRQLEESDNRRDSSNRNGHRYMCWPWQWLGLNYVRLPPTEADQTSNEMELSEPLI >ONIVA03G00810.1 pep chromosome:AWHD00000000:3:465037:481123:-1 gene:ONIVA03G00810 transcript:ONIVA03G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 3012 [Source:Projected from Arabidopsis thaliana (AT5G40480) TAIR;Acc:AT5G40480] MASPSAAAAVAAAVVMMAAAAAALCFSAAAAASPVGGPHMADLSVLLPPRMTKPVEHRLIGVDGCFTWAWDHHDIISVKPEYNDSSRCSTSARLASIAPYSGRKETSVYATDIISGITIHCKVFVDRISRIRIFHHAVKIDLDEVATLRVHAFDDEDNVFSSLVGLQFLWQLTPRWVDTNSHHLVHIPLKETHLSDCSGFCGDMNIRFELEDRNLGSDLFVVKGIEIGQEVVNAQLFEPQFEHVNDTITLTVAEAMSLEPPSPVLVTVGAMVKFKLKHHHWHVTNSSVAQVDSSLGVLHALSLGFTNIVVEDTRVSGHAQVSSLHVVIPQALFLYLVPVVDDSAHFHGITSIPSSEVWYVFPGRKYVVLAKAFAEGFDFKEMFITEENELKLASSTVEFWNLSQVPDSSAGSYEVQTSRLLTPISKGKGYLDAFLTYRTEASGPAKVLKLQQEVNVCSKVKAIWDEEMDNSRTIYLPWVPGAYQEVELKAVGGCGKMPEDYKLSSSDESVASVSDSLIVRTKRPGRAVIKVVSVFDALNFDEVTVEVSTPSAQAILPNFPVEVPVGTQLQAAVTLKTSNGHPFSRCDCLNAFIRWSLLAENESFEVVGTADALSTETLKHYAGSWAQYGNPCAWVSLNASAAGQATLVATFSFDSESYSEIFSGPIFLKSTSKISAYYPLVVLQAGSGNRFGGYWVDLSRIHSGIQNMVNNSPKELYLVPGSTMDVFLSGGPEQWDQLVDFVETVDVIGESKNYVVSSTAVQKLSSRLYRVSCPSKGNFKLLFSRGNMIGKDHPVPAVSQSELAVVCDFPSAITLIANENESRLVILEAASRAERKHNRLQASPVVISNGRNMRLAAAGVHGNGRFFANSSSLCLSWEVTECEGLAYLDEDKDMLDDSSWERFLVLQNSTGMCTVRATVIGFSSRVDGRTREEEHMFLQSARDTLTDAIQLQIVSSLRVTPDYVLIVFHPEAQETLAVSGGTCFLDASSNDTQVVQILQHPGKALCSQLILGARGLGTATVTIQDIGLSPRALTDSLVRVANVDWIKINSEEHISLMEGSTEDFHISAGTQDGQVFRDSQYKYMGIEVHLGDETLELINSHELLDGPKFSVKAAKIGTTSLYVTAKQYSGQRVLSQVVKVEVYKPLQIHPEYIYLTPGASFVLSVKGGPKVGVVIEYTSLNVETVEVQNSTGKLSAKTVGNSTMRAVAFSNEGTFICEAFGRVEVDIPVAMILSTQSDRLCVGCSMPIYPSLPKYGRDQGLYSEGKNYPWFSNGSSNAFINHVIGRSAGKTKISVSITCDFLMTGSSGSIAYSASKTILVVPDPPLALGLPITWLFPPFYTTTDLLPRSVDPDSDDLESTIGYSLLRNIGKSDLVLQNANIIDGSKIRTGESNAIDCIQAKDHSTGRTEIASCLRVAEVAQAQIAAAESSIHIAYLSVHDKVELDIKYSDELGYTFSEALGIVPVKIETNHPDVVSILMPKEGNGTHGTHERFVLQARSHGTALVRLQISHIPKKADFIMVSVGAQMYPRDVVLRSGQQLNFTIIGDRMDVRGSSQWLSSNEKVVHINRITGEAQARGEGIAEVIFKGPNTKLHTTVTVLKVNQIVVNAPAETLTNAAGPPGGYKFSVKLRSDSTGHSADSSINHINVPFDCKVEPSFVGFVEPWSDDAAKKSYCLFHPYSPAQLLPVKLNLKEGFLHIVVHANLKEDPKVTGSAHALFVKGFYIKEPRKLNLTPSCNHSIITIGGNTDVELFWNAKDLLSASRVDTNGRGVPSQISYQVEALKRQSFYDKITIILPATGQTEEIEVIYDTGERREPSTSGLTTLAAIVTCIVVPIATIALFMKLLEKKPIREAPPRHATPAPASAPAAAMADPASPATGELSPRTPQPFMEYVRRTIDETPYYKRDARRRFNPQNTSVTFQQGSTINQREEEEATLSHIT >ONIVA03G00800.1 pep chromosome:AWHD00000000:3:456244:457634:1 gene:ONIVA03G00800 transcript:ONIVA03G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPLILWIQGPKKIANILVIPDARGAAPNLSKDFETLRNTDARRWTKGICPWIAIVAEIDGWDLGVIWSQAAGGSRIESDYESESEYKNSFLHYLLVSPPGAGDGDDEEEYSHGLPSTRRKEVNSCISDSAFCALTSAMDAWKSM >ONIVA03G00800.2 pep chromosome:AWHD00000000:3:456244:457634:1 gene:ONIVA03G00800 transcript:ONIVA03G00800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPLILWIQGPKKIANILVIPDATEIDGWDLGVIWSQAAGGSRIESDYESESEYKNSFLHYLLVSPPGAGDGDDEEEYSHGLPSTRRKEVNSCISDSAFCALTSAMDAWKSM >ONIVA03G00800.3 pep chromosome:AWHD00000000:3:456244:459579:1 gene:ONIVA03G00800 transcript:ONIVA03G00800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPLILWIQGPKKIANILVIPDATAEHPEEGGELLYLRQRVLRPDLRHGRLEVDVEHVLEVLGRAHVGVVDEPDGAVAVWAALDLGEADVPEREGRQHLEEHRRALPVVREHDARLEWPVRSRDDGLPRQHHEPRHVPGVVLDAVREHLEPVQLGGAGGRDGGGVAEPRGGDVLGDVEAVAEEEVVVAVDAAAEGVLDGEDGAGVLDGEDGAVGDPELDGLEGHLELVAGDGVAGGVGLRRRRLAVCPRDTLVGDAQLAAVHGRGGEVGDGRAAARRGRRGRA >ONIVA03G00800.4 pep chromosome:AWHD00000000:3:456244:459829:1 gene:ONIVA03G00800 transcript:ONIVA03G00800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPLILWIQGPKKIANILVIPDATAEHPEEGGELLYLRQRVLRPDLRHGRLEVDVEHVLEVLGRAHVGVVDEPDGAVAVWAALDLGEADVPEREGRQHLEEHRRALPVVREHDARLEWPVRSRDDGLPRQHHEPRHVPGVVLDAVREHLEPVQLGGAGGRDGGGVAEPRGGDVLGDVEAVAEEEVVVAVDAAAEGVLDGEDGAGVLDGEDGAVGDPELDGLEGHLELVAGDGVAGGVGLRRRRLAVCPRDTLVGDAQLAAVHGRGGEVGDGERAREVGDGEQIQVERRRGVVGEGGLDATVAVAVHPRDSADGGAGGVPVGAGERGGARRADGRGSGDVGGARRGRGFHPPAVSGGEAGAARGWWRERAASRGEARRGVV >ONIVA03G00800.5 pep chromosome:AWHD00000000:3:457638:459829:1 gene:ONIVA03G00800 transcript:ONIVA03G00800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKCLAVPTLASSTSRMGRWRCGRLSILVRLMSRNAKADSTLKSTDVPSLSCANTMLVLNGRSVRGMMGSRASITNRVTFPASSWMPSASTWSPYSSAAREDAMAAPRGGDVLGDVEAVAEEEVVVAVDAAAEGVLDGEDGAGVLDGEDGAVGDPELDGLEGHLELVAGDGVAGGVGLRRRRLAVCPRDTLVGDAQLAAVHGRGGEVGDGERAREVGDGEQIQVERRRGVVGEGGLDATVAVAVHPRDSADGGAGGVPVGAGERGGARRADGRGSGDVGGARRGRGFHPPAVSGGEAGAARGWWRERAASRGEARRGVV >ONIVA03G00790.1 pep chromosome:AWHD00000000:3:456021:464056:-1 gene:ONIVA03G00790 transcript:ONIVA03G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVAASLVPSWSAVLVLFSYLGYLAAAGAVLPGKLVPGAVLPDSSRLHYRCNGTASAALALLLYAFFDAVLFSHLMRACVTRAGLLSLLLLLVLSALGVYMGWMSPTVIADRGIELLSTTFIFSVIVTFLLYYSGLRSHHKSSSLKPHITGNFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCAWYIVDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELSLLAAVVNCFIFVIGYLVFRGANKQKHIFKKNPKALIWGKPPKLVGGKLLVSGYWGIARHCNYLGDILLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVEYCKLVPWRIFPYVLAGEHLILFSRRRRGVSSPPTATFLLLLSFHLHYPSPRLAARRRPFPPPTPRRARLASGDRRRMETSPAPRPAHIPRSTAVRPPCATPFSRAHWHTTCAAIRRVPRVNGDSNSSIKPALSDHAAPPLDLDLLPVSNLPRPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPSCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIAEHVAAAGLRDTAAIASSRAAELYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMAEVRAQNALSEIQEFTSFLRVLGSYPMDMTPWDLAGEHLILFSRRRRGVSSPPTATFLLLLSFHLHYPSPRLAARRRPFPPPTPRRARLASGDRRRMETSPAPRPAHIPRSTAVRPPCATPFSRAHWHTTCAAIRRVPRVNGDSNSSIKPALSDHAAPPLDLDLLPVSNLPRPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPSCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIAEHVAAAGLRDTAAIASSRAAELYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMAEVRAQNALSEIQEFTSFLRVLGSYPMDMTPWEYSSSSLLDVAGAGEKLQANIRLASGMTRILAIFLGPWIQRINGAEGIPPTMRIAFSFCREHMWSIKTCADTPFLLLGCGAFSLRRRLKLSMAAMRCLQLQSSEASETFYDWDAWEALPSL >ONIVA03G00790.2 pep chromosome:AWHD00000000:3:457457:464056:-1 gene:ONIVA03G00790 transcript:ONIVA03G00790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVAASLVPSWSAVLVLFSYLGYLAAAGAVLPGKLVPGAVLPDSSRLHYRCNGLLSLLLLLVLSALGVYMGWMSPTVIADRGIELLSTTFIFSVIVTFLLYYSGLRSHHKSSSLKPHITGNFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCAWYIVDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELSLLAAVVNCFIFVIGYLVFRGANKQKHIFKKNPKALIWGKPPKLVGGKLLVSGYWGIARHCNYLGDILLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVEYCKLVPWRIFPYVLAGEHLILFSRRRRGVSSPPTATFLLLLSFHLHYPSPRLAARRRPFPPPTPRRARLASGDRRRMETSPAPRPAHIPRSTAVRPPCATPFSRAHWHTTCAAIRRVPRVNGDSNSSIKPALSDHAAPPLDLDLLPVSNLPRPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPSCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIAEHVAAAGLRDTAAIASSRAAELYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMAEVRAQNALSEIQEFTSFLRVLGSYPMDMTPWDLAGEHLILFSRRRRGVSSPPTATFLLLLSFHLHYPSPRLAARRRPFPPPTPRRARLASGDRRRMETSPAPRPAHIPRSTAVRPPCATPFSRAHWHTTCAAIRRVPRVNGDSNSSIKPALSDHAAPPLDLDLLPVSNLPRPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPSCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIAEHVAAAGLRDTAAIASSRAAELYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMAEVRAQNALSEIQEFTSFLRVLGSYPMDMTPWEYSSSSSPSPAPGGDTSR >ONIVA03G00790.3 pep chromosome:AWHD00000000:3:456021:464056:-1 gene:ONIVA03G00790 transcript:ONIVA03G00790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVAASLVPSWSAVLVLFSYLGYLAAAGAVLPGKLVPGAVLPDSSRLHYRCNGTASAALALLLYAFFDAVLFSHLMRACVTRAGLLSLLLLLVLSALGVYMGWMSPTVIADRGIELLSTTFIFSVIVTFLLYYSGLRSHHKSSSLKPHITGNFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCAWYIVDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELSLLAAVVNCFIFVIGYLVFRGANKQKHIFKKNPKALIWGKPPKLVGGKLLVSGYWGIARHCNYLGDILLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVEYCKLVPWRIFPYVLAGEHLILFSRRRRGVSSPPTATFLLLLSFHLHYPSPRLAARRRPFPPPTPRRARLASGDRRRMETSPAPRPAHIPRSTAVRPPCATPFSRAHWHTTCAAIRRVPRVNGDSNSSIKPALSDHAAPPLDLDLLPVSNLPRPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPSCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIAEHAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIAEHVAAAGLRDTAAIASSRAAELYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMAEVRAQNALSEIQEFTSFLRVLGSYPMDMTPWEYSSSSLLDVAGAGEKLQANIRLASGMTRILAIFLGPWIQRINGAEGIPPTMRIAFSFCREHMWSIKTCADTPFLLLGCGAFSLRRRLKLSMAAMRCLQLQSSEASETFYDWDAWEALPSL >ONIVA03G00790.4 pep chromosome:AWHD00000000:3:457457:464056:-1 gene:ONIVA03G00790 transcript:ONIVA03G00790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVAASLVPSWSAVLVLFSYLGYLAAAGAVLPGKLVPGAVLPDSSRLHYRCNGTASAALALLLYAFFDAVLFSHLMRACVTRAGLLSLLLLLVLSALGVYMGWMSPTVIADRGIELLSTTFIFSVIVTFLLYYSGLRSHHKSSSLKPHITGNFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCAWYIVDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELSLLAAVVNCFIFVIGYLVFRGANKQKHIFKKNPKALIWGKPPKLVGGKLLVSGYWGIARHCNYLGDILLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVEYCKLVPWRIFPYVLAGEHLILFSRRRRGVSSPPTATFLLLLSFHLHYPSPRLAARRRPFPPPTPRRARLASGDRRRMETSPAPRPAHIPRSTAVRPPCATPFSRAHWHTTCAAIRRVPRVNGDSNSSIKPALSDHAAPPLDLDLLPVSNLPRPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPSCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIAEHVAAAGLRDTAAIASSRAAELYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMAEVRAQNALSEIQEFTSFLRVLGSYPMDMTPWDLAGEHLILFSRRRRGVSSPPTATFLLLLSFHLHYPSPRLAARRRPFPPPTPRRARLASGDRRRMETSPAPRPAHIPRSTAVRPPCATPFSRAHWHTTCAAIRRVPRVNGDSNSSIKPALSDHAAPPLDLDLLPVSNLPRPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPSCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIAEHVAAAGLRDTAAIASSRAAELYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMAEVRAQNALSEIQEFTSFLRVLGSYPMDMTPWEYSSSSSPSPAPGGDTSR >ONIVA03G00790.5 pep chromosome:AWHD00000000:3:457457:464056:-1 gene:ONIVA03G00790 transcript:ONIVA03G00790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVAASLVPSWSAVLVLFSYLGYLAAAGAVLPGKLVPGAVLPDSSRLHYRCNGTASAALALLLYAFFDAVLFSHLMRACVTRAGLLSLLLLLVLSALGVYMGWMSPTVIADRGIELLSTTFIFSVIVTFLLYYSGLRSHHKSSSLKPHITGNFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCAWYIVDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELSLLAAVVNCFIFVIGYLVFRGANKQKHIFKKNPKALIWGKPPKLVGGKLLVSGYWGIARHCNYLGDILLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVEYCKLVPWRIFPYVLAGEHLILFSRRRRGVSSPPTATFLLLLSFHLHYPSPRLAARRRPFPPPTPRRARLASGDRRRMETSPAPRPAHIPRSTAVRPPCATPFSRAHWHTTCAAIRRVPRVNGDSNSSIKPALSDHAAPPLDLDLLPVSNLPRPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPSCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIAEHAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIAEHVAAAGLRDTAAIASSRAAELYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMAEVRAQNALSEIQEFTSFLRVLGSYPMDMTPWEYSSSSSPSPAPGGDTSR >ONIVA03G00790.6 pep chromosome:AWHD00000000:3:454648:456818:-1 gene:ONIVA03G00790 transcript:ONIVA03G00790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQGQIPFVQRLASVFRSVSKSLERLGAAPPTKSFWTRNYLLKLQNSLDIAWQSLSLMERTFHRLETKQADKACVHSVVVFLAVSNERAAYGALSAYRSFLEICRANMIVFNDIQISVNDRLLDVAGAGEKLQANIRLASGMTRILAIFLGPWIQRINGAEGIPPTMRIAFSFCREHMWSIKTCADTPFLLLGCGAFSLRRRLKLSMAAMRCLQLQSSEASETFYDWDAWEALKRGN >ONIVA03G00790.7 pep chromosome:AWHD00000000:3:456021:456818:-1 gene:ONIVA03G00790 transcript:ONIVA03G00790.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQGQIPFVQRLASVFRSVSKSLERLGAAPPTKSFWTRNYLLKLQNSLDIAWQSLSLMERTFHRLETKQADKACVHSVVVFLAVSNERAAYGALSAYRSFLEICRANMIVFNDIQISVNDRLLDVAGAGEKLQANIRLASGMTRILAIFLGPWIQRINGAEGIPPTMRIAFSFCREHMWSIKTCADTPFLLLGCGAFSLRRRLKLSMAAMRCLQLQSSEASETFYDWDAWEALPSL >ONIVA03G00780.1 pep chromosome:AWHD00000000:3:448740:453422:1 gene:ONIVA03G00780 transcript:ONIVA03G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRSTRGRVLMFLLLVLMMAVGANRDSTAAGFARTVSKAEVLASPPPISPAVNAVIIIRNRVVLSIANIFSVAVSLWPFFIRWRYGSWFITRQIFPAKVVACNLVASVTWTIYMMVQMYAGGNYAGDDVLLINSWTITKSNSTDFSLTTPIVINTMLAGVLSAFWALYAWIFDPKSPNYFMIANSLAAGMSSIQFVWLLVLRNSHREVNGQIVEVESLRCVLLSVAKSLERLGAAAPTEEFLQQTKYLLRLQEGLSIGLQSLDLMESAFLRLEQELVKNVARTANHVLEMQDKQDAAANFVARSDDNNATDVLRACRILREGCTTNKNAFDSMQEAIQHKIANAEGGENNLKDRINAAAAAIRGLAISMGYNMQTINGRGIPPTVKIAFNFCCEQILSVKTCADAPFVWLGFGGFSLRRRLNLRFDNVNWPDVPL >ONIVA03G00770.1 pep chromosome:AWHD00000000:3:437328:441369:-1 gene:ONIVA03G00770 transcript:ONIVA03G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFR7] MGGGAAAGNSRGISHRGLRGESNDGGGQRRRCRQGREARRHRRPPLPICCRRPEEKRIEERKEAPPTPATSSPFLSRRIGSKRWPPGKQLGMAGHLLPFARGHVHLRELEDLEQRHEPLAPEPVADTVGVVLVGEEELQGGREAALSRHPPLAVAVRRMMETLPAPRPTQIPRSTAIRPPCATPFSRAHWQTTCAAILATTTTTNAKAYGAPRVNGDSIKPALSDHAAQPLDLDLLPVSNLPRPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPSCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIAEHVAAAGLRDTAAIASSRAAELYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMAEVRAQNALSEIQEFTSFLRVLGSYPMDMTPWEYSSSGQTAGDTSSS >ONIVA03G00760.1 pep chromosome:AWHD00000000:3:429840:436505:1 gene:ONIVA03G00760 transcript:ONIVA03G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAAPMETSASARRSAAPDPKKPRLAQHPAPRDPRSYPAAANGNAPAVDQAQVDELVAQYRTALGELTFNSKPIITNLTIIAGENLHAAKPIASLICANILEVPSEQKLPSLYLLDSIVKNIGKDYVKHFSARLPEVFCKAYKQVDSSIHNSMRHLFGTWKGVFSPTSLQVIEKELGFQSSTNGSSGAAPSKPDSQSNRPSHSIHGQGILGAGAKTTTISDSGDDIERTSRTAVDRGAGRRLDALNPRTNVQRAQRDPFSNPIHEKQDRDMRVLGFSNISQQAVVGTGLVRAKPKGQDGSGGPYYTAGVGSSEEQFDRRSNFYANKDVRPSGSVRLDGALLPTPVSNSDRIGRPSSNKSWKNSEEEEYMWDDVRSQGADYGGTSSTRKREWIPDDGNVGSFQRVKWAEAGGPLDPDQHKLDSFQRFGNATGQDRRITPYMDHEEYLHGKHEVEPRIDREMLPEGQPFSSSRGSSLWLSHEKPLPDIVSDPRISAFSNQPADRPTIYAGTLSTSITSSVPVGLSAAYAGRSSLESAATRSTETIGQQKNRYWSTSSPPVQSPSASFARQSSPSPVELDYSSKPFSQLGQNSLEDYNQRTHALAQNLALSQGRPNLLGAPSHASQQIEKHPSLLQSKPHLRTLDQPQANFSPENSSSVFKSSIQLPISVGVGHRQPEEVSLSSDSTLMSSDHLSASSLLAGLIKSGFKPNDPNDLASLRAQPPLPSGPPPHVSTSFSAASSSLHLPASDTLKSQAPNSLRPPLPPGLPLSSPFVCPTTQTSEKAAPLSSLLSSLVAKGLISSPSADSTVAIPQQPCKSELNTPDDTASAPSLPFVQPSVKKETSNQNSSAPSKVLVHQPVEIKKEPAEIKMVDLIGFDFKPEMLRKYHAHVISTLFDDQSHQCNTCGLRFSLEEELSVHTACHGSKQSETRKTGIAPEKWYPSKNNWVDRSHEVQNSALESAFSVADLSSEEEVCEFMVPADESQIICALCGESFDDIYSIEKDNWMYKDAVYFDSSKTEGSSGDSAESKERVPIVHARCMSISSNDGMEVD >ONIVA03G00750.1 pep chromosome:AWHD00000000:3:409615:420395:1 gene:ONIVA03G00750 transcript:ONIVA03G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAVTGRRTTSYSLLSQFPDDAAVLQRQSSGSSYGAGSSLSASSDFPFHLPSAAAPAAGAPGGSPCKSWAQQAEETYQLQLALALRLCADAASAADPAFLDPGHSATATAGPFPLPPPTPSADSLSHRFWVNGSLSYSNTIPDGFYLIHGMDPFVWSLCTDLLEENRIPSIDSLKSVRPDDSSMQAILIDRRTDFDLGMLENYASSFLSSSADMKDVINQLAKLVSSRMGGTTSNEESFLPRWKECSDAIKSSTGSIVLHLGKLPIGFCKHRSLLFKMLADKVNVPCRVVKGCKYCKSDDATSCLVRFGLEREYLVDLIGDPGQLSDPDSFVNGPYSLSVPSPLRPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFNEASTGANSNAAVAMGQPYSTRKHDTRDDIMSSWVPVKGQAAVSSDAILPEAPREVLPLITSSNLKAEKKKEFKLIEGNQYLRSTVSDLSLAVDDLIIPWNELILKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPDRFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRSGVKEVLDERRRLNMAFDVAKGMNYLHKRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTMQQPWCNLNPAQVVAAVGFKGRRLDIPKDLNPQVAALIESCWAKIILSGYRLLAKLSSVQNGLSVDIPCMNIWLAKLSMRCSTSLEMRVRARSGRRWSSWVLLDYVAFISKSFWTAPPPRVSYMCVHCPRLDPYKFATEPTIMATEADLVLIRATTHTSSLRLIPHPAAVCSFFDREVGLLRCGSIGPARPYRPYIVAVLCNTFQSGNLEYALYLYRAGADAWTCHPLSLHGLVDPTSFIHVNTNTITVGGEAGTMAWVDLNRGILFCDLLPCPYTPLLLRYFPLPPPLRLSAHTKLTGCPRFSHDIALVQGRFNFTQMRIHVKPGSITNGTYISQGWTLATWSAPATNPWKQGWRQDCNLSASDLSVDANTMNFQLLPKLSDHQQGTPQQTLERLHVGHPTLSLQSNDIVCLMAKVDQWDDHAWVLAVDMKNRRLKDVAQFGAERTLGISLVYISSMISEYLRTAPGIKGNLKRQGVVFTVPSHKKQTRMVHLSTPSWKGGDQQNSGTSMDDGEDNMDLDLNISKI >ONIVA03G00750.2 pep chromosome:AWHD00000000:3:409615:420395:1 gene:ONIVA03G00750 transcript:ONIVA03G00750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAVTGRRTTSYSLLSQFPDDAAVLQRQSSGSSYGAGSSLSASSDFPFHLPSAAAPAAGAPGGSPCKSWAQQAEETYQLQLALALRLCADAASAADPAFLDPGHSATATAGPFPLPPPTPSADSLSHRFWVNGSLSYSNTIPDGFYLIHGMDPFVWSLCTDLLEENRIPSIDSLKSVRPDDSSMQAILIDRRTDFDLGVQPPMKRVFFHAGKSAVMLSSQVQGLSFSIWESYLSMLADKVNVPCRVVKGCKYCKSDDATSCLVRFGLEREYLVDLIGDPGQLSDPDSFVNGPYSLSVPSPLRPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFNEASTGANSNAAVAMGQPYSTRKHDTRDDIMSSWVPVKGQAAVSSDAILPEAPREVLPLITSSNLKAEKKKEFKLIEGNQYLRSTVSDLSLAVDDLIIPWNELILKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPDRFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRSGVKEVLDERRRLNMAFDVAKGMNYLHKRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTMQQPWCNLNPAQVVAAVGFKGRRLDIPKDLNPQVAALIESCWAKIILSGYRLLAKLSSVQNGLSVDIPCMNIWLAKLSMRCSTSLEMRVRARSGRRWSSWVLLDYVAFISKSFWTAPPPRVSYMCVHCPRLDPYKFATEPTIMATEADLVLIRATTHTSSLRLIPHPAAVCSFFDREVGLLRCGSIGPARPYRPYIVAVLCNTFQSGNLEYALYLYRAGADAWTCHPLSLHGLVDPTSFIHVNTNTITVGGEAGTMAWVDLNRGILFCDLLPCPYTPLLLRYFPLPPPLRLSAHTKLTGCPRFSHDIALVQGRFNFTQMRIHVKPGSITNGTYISQGWTLATWSAPATNPWKQGWRQDCNLSASDLSVDANTMNFQLLPKLSDHQQGTPQQTLERLHVGHPTLSLQSNDIVCLMAKVDQWDDHAWVLAVDMKNRRLKDVAQFGAERTLGISLVYISSMISEYLRTAPGIKGNLKRQGVVFTVPSHKKQTRMVHLSTPSWKGGDQQNSGTSMDDGEDNMDLDLNISKI >ONIVA03G00750.3 pep chromosome:AWHD00000000:3:409615:420395:1 gene:ONIVA03G00750 transcript:ONIVA03G00750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAVTGRRTTSYSLLSQFPDDAAVLQRQSSGSSYGAGSSLSASSDFPFHLPSAAAPAAGAPGGSPCKSWAQQAEETYQLQLALALRLCADAASAADPAFLDPGHSATATAGPFPLPPPTPSADSLSHRFWVNGSLSYSNTIPDGFYLIHGMDPFVWSLCTDLLEENRIPSIDSLKSVRPDDSSMQAILIDRRTDFDLGMLENYASSFLSSSADMKDVINQLAKLVSSRMGGTTSNEESFLPRWKECSDAIKSSTGSIVLHLGKLPIGFCKHRSLLFKMLADKVNVPCRVVKGCKYCKSDDATSCLVRFGLEREYLVDLIGDPGQLSDPDSFVNGPYSLSVPSPLRPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFNEASTGANSNAAVAMGQPYSTRKHDTRDDIMSSWVPVKGQAAVSSDAILPEAPREVLPLITSSNLKAEKKKEFKLIEGNQYLRSTVSDLSLAVDDLIIPWNELILKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPDRFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRSGVKEVLDERRRLNMAFDVAKGMNYLHKRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTMQQPWCNLNPAQVVAAVGFKGRRLDIPKDLNPQVAALIESCWAKSVDIPCMNIWLAKLSMRCSTSLEMRVRARSGRRWSSWVLLDYVAFISKSFWTAPPPRVSYMCVHCPRLDPYKFATEPTIMATEADLVLIRATTHTSSLRLIPHPAAVCSFFDREVGLLRCGSIGPARPYRPYIVAVLCNTFQSGNLEYALYLYRAGADAWTCHPLSLHGLVDPTSFIHVNTNTITVGGEAGTMAWVDLNRGILFCDLLPCPYTPLLLRYFPLPPPLRLSAHTKLTGCPRFSHDIALVQGRFNFTQMRIHVKPGSITNGTYISQGWTLATWSAPATNPWKQGWRQDCNLSASDLSVDANTMNFQLLPKLSDHQQGTPQQTLERLHVGHPTLSLQSNDIVCLMAKVDQWDDHAWVLAVDMKNRRLKDVAQFGAERTLGISLVYISSMISEYLRTAPGIKGNLKRQGVVFTVPSHKKQTRMVHLSTPSWKGGDQQNSGTSMDDGEDNMDLDLNISKI >ONIVA03G00750.4 pep chromosome:AWHD00000000:3:420311:426786:1 gene:ONIVA03G00750 transcript:ONIVA03G00750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDWSKRTIMEESLQPPAHGAAATTPWVLLDIRAFMANHRNATTAMSETRSGHPIEVSSWTAPPPRVSYICVHCPGLDSKKFATEPTIIAAEADLLLIRVAMGRRNIRFKSSFHDYFVYQATTPTPKLTLLPPPPIDRFIDHELGLLRCCASPDYIVAALCNTFESGYFEYDLHIYCSGADAWTCHPISLHGLVDPSFAHVNTKAITIGGTAGTMGWVDLYRGILFCDLLRDTTKLRYFPLPPPLSPNNSLTSSPRPHRDIALVQGRIKFIETRLHVRPGSIINGTFISQGWTAATWTAPHANPWKHGWRQDCKITASDISVDDSKMNFELLPKLFDDQGTPQPTLERLHVGHPTLSLHSDDIVCFMAKVDQLDDDAWVLAVDMKNKRLKDVAEFGAERTLGIGYAYMSSNISDYLRKAPGIKGSLKRQGVVLTVPSHKKQTCVVYPSPPSWKGGDQQDSRISTSDGEDKMDLDLCLAVPPMGTGDESDGWSDWEEKTIMDESLRPPTHVATAAMTTPPWVLLECDAYIATNHGNATTATSRTRTGHPIEPTIIATEADLVLLRLALGPRDDSFKTSRQDYFIYHASSTAPKLSLIPPPVLLDNRFIDKQVGILRCLDDGTYIVAALCSAFKRGDLDYVLHLYRSGADAWTCHPLSIHGLVFDPSFSHVTSKVITVGGEAGTMGWVDINDGILFCDLLRDTAELRYLPLPPPLEHKDDMIVGCPGPLRDFALVQGRIKYIEMQVHVRPGSAINGTYASQGWIAATWSAPPTNPWKQGWRQDCQISASHLAVDHNTMNFELLPELGTPQQTLERLHVGLPKLSLHSDDIVCFMAKVDLWDDQNAWVLAVDMKNKRLKDVAEFGAGRTLGISSAYISSRISDYLPTAPGLKGNLKRQGVVLTVPSHKKQTRVVLSNPSWKGGDQQNSGTSMDDKEDNMDLDLDITFEWNKLEDAN >ONIVA03G00750.5 pep chromosome:AWHD00000000:3:420311:426570:1 gene:ONIVA03G00750 transcript:ONIVA03G00750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDDWSKRTIMEESLQPPAHGAAATTPWVLLDIRAFMANHRNATTAMSETRSGHPIEVSSWTAPPPRVSYICVHCPGLDSKKFATEPTIIAAEADLLLIRVAMGRRNIRFKSSFHDYFVYQATTPTPKLTLLPPPPIDRFIDHELGLLRCCASPDYIVAALCNTFESGYFEYDLHIYCSGADAWTCHPISLHGLVDPSFAHVNTKAITIGGTAGTMGWVDLYRGILFCDLLRDTTKLRYFPLPPPLSPNNSLTSSPRPHRDIALVQGRIKFIETRLHVRPGSIINGTFISQGWTAATWTAPHANPWKHGWRQDCKITASDISVDDSKMNFELLPKLFDDQGTPQPTLERLHVGHPTLSLHSDDIVCFMAKVDQLDDDAWVLAVDMKNKRLKDVAEFGAERTLGIGYAYMSSNISDYLRKAPGIKGSLKRQGVVLTVPSHKKQTCVVYPSPPSWKGGDQQDSRISTSDGEDKMDLDLCLAVPPMGTGDESDGWSDWEEKTIMDESLRPPTHVATAAMTTPPWVLLECDAYIATNHGNATTATSRTRTGHPIEPTIIATEADLVLLRLALGPRDDSFKTSRQDYFIYHASSTAPKLSLIPPPVLLDNRFIDKQVGILRCLDDGTYIVAALCSAFKRGDLDYVLHLYRSGADAWTCHPLSIHGLVFDPSFSHVTSKVITVGGEAGTMGWVDINDGILFCDLLRDTAELRYLPLPPPLEHKDDMIVGCPGPLRDFALVQGRIKYIEMQVHVRPGSAINGTYASQGWIAATWSAPPTNPWKQGWRQDCQISASHLAVDHNTMNFELLPELGTPQQTLERLHVGLPKLSLHSDDIVCFMAKVDLWDDQNAWVLAVDMKNKRLKDVAEFGAGRTLGISSAYISSRISDYLPTAPGLKGNLKRQGVVLTVPSHKKQTRVVLSNPSWKGGDQQNSGTSMDDKEDNMDLDLDMFFG >ONIVA03G00740.1 pep chromosome:AWHD00000000:3:403248:407789:-1 gene:ONIVA03G00740 transcript:ONIVA03G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDGDDGGDWTKVTYKSTPPSSASSSDSGGRGRGRGRRGGGPGPRSGRNGGGWDKRGKNSSGSDHESVGSGTPPATPSRASTHVPSPVPSPSSTFPDFAEEYIRHSFLVRLTQSSDARVAERFMQFLVNYTAPGDQLPFYPTEARALLQRKNSDCHELLVHFDHLREMDKILAFHMCNNQNRKINKILSSVILAEFLLNISLQDDVSEETREEKDALVEKVRLFSKDNVLVPAKLGSSLFQCCILHLIANHKMGVSWNGEFELTDWEISDDMVSLKKVHHGPLPDDSKVADLEKLVDLLAPFFLKKGSPLFFKQMKDDVTLTSRTLVSASDWEWFWDYLGSHVFYMPPVARLHLIKDLFVAIKTFKLTWVKPFSTVLLDFTEFGDWTIGPMSKTDGQESIYYKVFWHKVKPEDIDPKNPKYWPNYGDLLSFIRNLIEHLDEHKHEENITDIVDAELFASNSYQDFLPQFLQRVSSACLMQGKFKSSWDAFKGSAIRQLTMEVSLGITRELDDCCRPKLPCVVCSFGC >ONIVA03G00730.1 pep chromosome:AWHD00000000:3:395037:402922:1 gene:ONIVA03G00730 transcript:ONIVA03G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVQPSSSSMASVQSPQTHLDPLKLSGDNVDATQHTYCITGSVSSALRKLLDTTTLVGWTSTAYSDSGHHLVLVKTIPGYQTPPPTLKYSYPINIKVQVAGGISTNMIFHVTRRRRAKARPKI >ONIVA03G00720.1 pep chromosome:AWHD00000000:3:392998:394623:-1 gene:ONIVA03G00720 transcript:ONIVA03G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCTEPTQFPIATTTSQATWTTTSSATSSVHAKFRDITSATLDKPLWLLMKMVSSLGVSRHSIPRLS >ONIVA03G00710.1 pep chromosome:AWHD00000000:3:390140:392901:-1 gene:ONIVA03G00710 transcript:ONIVA03G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADYKDTVQRMELPWTATNTGEMVVTMAGALAHQRTPVQLLLFVCQNELNAPNIMENSVNRTRNGAHHAMIHTRSDVDMGRQS >ONIVA03G00700.1 pep chromosome:AWHD00000000:3:378642:378824:-1 gene:ONIVA03G00700 transcript:ONIVA03G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMGIMYTSLYPPVHGRSLGKRKRRRRRMPRWVLLDKLAYFSDADVRNATTDLQNKGGP >ONIVA03G00690.1 pep chromosome:AWHD00000000:3:377154:384520:1 gene:ONIVA03G00690 transcript:ONIVA03G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSCFGDGAVSLAASAAAAGAGAALDRSLQAATATVYKAALSSRKEILVRVMWTRTVAGAAPGGATGLAVAVDEASRSSPSPAAGSASAATPRRSAVALASSPQFLHKKRGTRSFVTEAGTVVAIYWDTTDAKYPAAGSSSPEPTRDYYLAVVADGELAVLLGGGEAARELARRFAAAPRRALLSRREQLRAAPASPAAMAAAAVAHSTRCRFRADGAEHEVAVVCRGEEWGTRDGEVAVSIDGKKVVEARRVKWNFRGNRTAVLGDGAVVEVMWDVHDWWFAGGGGGGAQFMVKARDGDGDGDGGRVWMDEAASIADDIPPSLTRITHPGPMITFTNHEVSILHYIPEPQDINEPLISNKQRYIVTALSVNRFRPPGEYELHLYHSHTQQWTTTHFNLGATVPPLLGLSYFDHRTTNVINLTHQSPGLMRRAPSRHIALLSPSSSASAGGLRYRYAVGVDSDCD >ONIVA03G00690.2 pep chromosome:AWHD00000000:3:384394:386639:1 gene:ONIVA03G00690 transcript:ONIVA03G00690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMGVMDASLCPPFHGRSVDKGTRRRPRWVLLDKLAYFAGADVRNATTATSKTREGHEIQVTLCTAAQPQLVSYVCVHSPTLNPASDDYAMEPQIIAAHDDLLLIRLILGRGNRFTVSLADYFVYQASIADDIPPSLTRITHPGPMITFTNHEVAILHYIPEDTHHHPYALRPHNPLSSSSKQHYIVTAFSVNRYRPPGEYKLHLYHSHTQQWTTTHFNLAATMPPLPDLSPFHHRTTNVINLTHQSPGLMAFVDLWQGLLLINVLDKVQPAAPRYIPLPPPLKQGKVISGRVDPTDVRDIAVDAKGHINFVELEVDAIQHESDRTGYISQGWTVAKWSCSNTESDDCCWHMDCKLNASDISHLMPPELPNYCHPTPTLERLHIGHPLLSLDNNGDVVYFMAKVDHRDYKAWVIPVDMRKRLIHEPAVFEGAPRTLGIGSTFIQTTISNYPQPAPGRKQKQPGMLLGSSSKRKSETPYLTNVVLPLPTRHGVQKQPDAEGGSMEAEFSDNMLL >ONIVA03G00680.1 pep chromosome:AWHD00000000:3:369262:372850:-1 gene:ONIVA03G00680 transcript:ONIVA03G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKHFKYVILGGGVAAGYAAREFAKQGVKPGELAIISKEAVLLLTGTIPVLVVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSAVGATFTYEILIIATGSSVIKLSDFGTQGADSNNILYLREVDDADKLVAAIQAKKGGKAVIVGGGYIGLELSAALKINDFDVTMVFPEPWCMPRLFTADIAAFYESYYTNKGVKIVKGTVAVGFDADANGDVTAVNLKNGSVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAVGDVATFPMKMYNELRRVEHVDHARKSAEQAVKAIKGKESGESVVEYDYLPYFYSRSFDLGWQFYGDNVGDTILFGDSDPTSAKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANIEELKKEGLQFASKI >ONIVA03G00670.1 pep chromosome:AWHD00000000:3:365937:369072:-1 gene:ONIVA03G00670 transcript:ONIVA03G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27680) TAIR;Acc:AT2G27680] MAAAAGLSPRLVVTPGRRSHSHGRRRMCCAAAAAAQGERKTTVRSKEGDAVELCRVVNGMWQVSGPSWGRYETPAAVDAMLRYADGGLSTFDMADISRTLLSPAAPPVPRRGVVRPARCSGGGGAAAVETAASGAKVCTVSNRGDSLAICRVLNGMWQTSGGWGRIDRDAAVDAMLAYADAGLSTFDMADHYGPAEDLYGLFINRVRRERPPELLEEIKGLTKWVPPPVKMTRSYVEDNINRSRKRMDVSALDMLQFHWWDYANPGYLDALKHITDLKEEGKIKTVALTNFDTDRLQIILENGIPIVSNQMVDAWGGWSLFQALLQTLKKVSLKHGVSISTVAVRYILNQTSVAGSMVGVRLGLSEHIKDTNAIFSLELDEEDMNSITEASNKGRNLMDIIGDCGDEYRA >ONIVA03G00660.1 pep chromosome:AWHD00000000:3:361163:363652:-1 gene:ONIVA03G00660 transcript:ONIVA03G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRDQLTALLSSMFSQGLVDEQFQQLQMLQDEGGTPGFVSEVVTLFCDDADRIINEIATLLEQPVVNFDKVDAYVHQLKGSSASVGAQKVKFTCMQFRQFCQDKSRDGCLMALAVVRNDFYDLRNKFQTMLQLEQQIQAYDPKQQ >ONIVA03G00650.1 pep chromosome:AWHD00000000:3:352373:356831:-1 gene:ONIVA03G00650 transcript:ONIVA03G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFQ0] MDEARIIEYFKNKSILITGSTGFLGKILVEKILRVQPDVRKIYLPVRAVDAATAKQRMQTEVIGKELFGLLKEQHGKGFQSFIDEKVVPLAADMMHQNLGLEESTLQELAKDLNIIVNGAATTNFYERYDVALDVNVMGVKYLCQLAKKCANLEVFLHVSTAYVCGERSGVVQERALREGETLREGTYLDIETELRLVGEQRQQLEDAGDAKAERKAMKDLGLARARHFGWPNTYVFTKAMGEMMLQEQLVAGAGRRHGIPVVIARPSIITSVHRDPLPGWIEGTRTIDAIIIGYAKQSLSCFLADLDLIMDVVPGDLVVNAMMAAMVAHSRGSSSEMAVYHVTSSMRHPAAYAVLYRTGWRYFLENPRVGKDGVAVRTRPVYFFRTIASFRAFMAVAYALPLQLLRLLSLLCFGLLFARRYADLSRKYSFVMQLVDLYGPFALFKACFDDLNMEKLRLSMATPPSSAAAALFNLDPKNIDWDDYFYRIHIPGVMKYVLNK >ONIVA03G00640.1 pep chromosome:AWHD00000000:3:346367:350686:-1 gene:ONIVA03G00640 transcript:ONIVA03G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of histone chaperone HIRA [Source:Projected from Arabidopsis thaliana (AT3G44530) TAIR;Acc:AT3G44530] MITEKPSWIRHEGLQIFSIDIQPGGIRFATGGGDQKIRIWSMKSVAKDNDSDDSSQRLLATIRDHFGTVNCVRWAHHGRYLASGSDDQVIQIHERKAGTGTSEFGSGEPPDVENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWSMANGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNHSMFRKHLSSGQDAKAAPAGWANGASKASSKEHQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEAKELGYRLSDAELDELKKNRYGDVRGRQSNIAESPAQLLLEETSAKQSASKKVSSVQQFQSPPKVSTDAPNPSTSVPNQKAPEALPEDEKKTAGSTADDINKAPRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDMSNHSQNQGVDFSSLDQRMILGENGTRPSYSASGNCNNCGVRERSGITARTNISESLVIQKASAGAGSDGRLSIEQSGSVVPGSLASCSSLSIHVFNKKDNEDSLPVRLEAKPVERSAGDMIGLGGAFSTKETEITCTRGTETLWSDRISAKVTVLAGNANFWAVGCEDGCLQVYTKCGRRAMPAMMMGSAAVFIDCDECWKLLLVTRRGLMYIWDLYTRTCVLHDSLASLVTSPDEAAGKDTGTVKVISAKFSRCGSPLVVLASRHAFLYDTGLKCWLRIADDCFPASNFASSFSSTQGGELGKLQIDIGKFMARKPIWSRVTDDGVQTRSHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMSMVDAASSADLKNPSWDPDVLGMKKHKLLREDILPSMATNRKVQRLLNEFMDLLSEYEAAETNVEQMDVTPTPPPPPPAAATEGNNNGAS >ONIVA03G00630.1 pep chromosome:AWHD00000000:3:341946:346801:1 gene:ONIVA03G00630 transcript:ONIVA03G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESSTLIRRRTSLPATMVMMVVLLLLLCCNCGVEVVVAAADESSPAPVGKGGSDHGSCPGGDGDGEGYRKQLWVFGDSYADTGNLGNLGRELTHAWYYPYGITFPRHPTGRFSDGRVLTDFVASAVGIATPVAYKLRRRGGHGGEVASRGMNFAVGGSGVLDTGYFQRNISSQIDLFQKQLRGCGPTGVALVVVSGNDYSAVVDKNNGTSEAAIAYIPTVVRGLREQLRRLRDEVGMKKVVVTNLHPMGCTPYFTRLLNYSGCDTLANAGSDQHNAALRSVLHDLDPANTTFLLLDLHTPFLNLITAAADDKFPVRLRPCCETFTADGHCGQEDEAGNKQYTVCDDPERHFYWDDVHPTQAAWAAVAQAFTPAIHRFLST >ONIVA03G00620.1 pep chromosome:AWHD00000000:3:335381:338222:1 gene:ONIVA03G00620 transcript:ONIVA03G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQEAAMEARNGHRIPPTEEKVIIDTDPGIDDSVAIMMAFEAPGVKCDRAGRPEVPVAEGSAEPLKGGKPHVADFVHGSDGLGNTSFPDPTTTNKVEQSAAEFLVDKVSESPGEISVLALGPLTNIALAMKKDSSFASKVKRIVVLGGAFFAAGNATPSAEANIHSDPEAADIVFTSGADIYVVGLNITTQVYFTDKDMLELRNSKGKHAQFLCDICKFYRDWHVHSYGVDALFLHDPVSFTALVHPEYFTFKKGVVRVETQGICKGHTSMDMGLKKWNSDNPWTGYSPISVAWTVDVPKVLAYAKELLFNAQ >ONIVA03G00620.2 pep chromosome:AWHD00000000:3:335381:338264:1 gene:ONIVA03G00620 transcript:ONIVA03G00620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQEAAMEARNGHRIPPTEEKVIIDTDPGIDDSVAIMMAFEAPGVKCDRAGRPEVPVAEGSAEPLKGGKPHVADFVHGSDGLGNTSFPDPTTTNKVEQSAAEFLVDKVSESPGEISVLALGPLTNIALAMKKDSSFASKVKRIVVLGGAFFAAGNATPSAEANIHSDPEAADIVFTSGADIYVVGLNITTQVYFTDKDMLELRNSKGKHAQFLCDICKFYRDWHVHSYGVDALFLHDPVSFTALVHPEYFTFKKGVVRVETQGICKGHTSMDMGLKKWNSDNPWTGYSPISVAWTVDVPKVLAYAKELLFNAQ >ONIVA03G00610.1 pep chromosome:AWHD00000000:3:333323:334395:-1 gene:ONIVA03G00610 transcript:ONIVA03G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGVGSKGELDNHELNLEDLEDAKMQQSRRPKISRPFAPSARPQPKAITGLGLTFTIRDAVLRLNCPWTERGDIENV >ONIVA03G00600.1 pep chromosome:AWHD00000000:3:328843:333011:-1 gene:ONIVA03G00600 transcript:ONIVA03G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPPPDSWETADLDEPMSRLHLPSARRVSSSPDLADADADDPQHPSSGRPDSVDQLDQFLREALEKPRERLSVLRMEQDILKFIHDPTRTEFDFHGLPTSYLRLAAHRLAQHYFLQSIALQDNGLPDGSGSRIILRKTSAECRLPAVRLSDIQVNLPQDESNSIAKVAIKQRPQKHYHNNSSSGAHSSRANLQKSVEERKEEYNKARARIFNNNSSSGNAADGRPGDEVTLPNTLHRSSSLELSSGNRLGEGPEITLERSLTTASSSSRVNRNKTEKDPAFNRNRQNNRVAIFRDRESDRKDPDYDRSYDRYMQRFDPGFGFNGGSYTIQPLYAPAVNYNTEFPQLGSHGSPVPVEQQPQSIAQHIPGPWSPAQSPNAIGYRPPDGSMPPPYSPGHAGAPIRSSVFMHTPQQFAMPSRPGVTYVHPQEPMRPFAQLLGPCRLLKLRLRLPPEVAEAASRDEQAEESNPKQQKEGKGKEMEGMRCRHDS >ONIVA03G00600.2 pep chromosome:AWHD00000000:3:329335:333011:-1 gene:ONIVA03G00600 transcript:ONIVA03G00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPPPDSWETADLDEPMSRLHLPSARRVSSSPDLADADADDPQHPSSGRPDSVDQLDQFLREALEKPRERLSVLRMEQDILKFIHDPTRTEFDFHGLPTSYLRLAAHRLAQHYFLQSIALQDNGLPDGSGSRIILRKTSAECRLPAVRLSDIQVNLPQDESNSIAKVAIKQRPQKHYHNNSSSGAHSSRANLQKSVEERKEEYNKARARIFNNNSSSGNAADGRPGDEVTLPNTLHRSSSLELSSGNRLGEGPEITLERSLTTASSSSRVNRNKTEKDPAFNRNRQNNRVAIFRDRESDRKDPDYDRSYDRYMQRFDPGFGFNGGSYTIQPLYAPAVNYNTEFPQLGSHGSPVPVEQQPQSIAQHIPGPWSPAQSPNAIGYRPPDGSMPPPYSPGHAGAPIRSSVFMHTPQQFAMPSRPGVTYVHPQEPMRPFAQLLGPCRLLKLRLRLPPEVASD >ONIVA03G00590.1 pep chromosome:AWHD00000000:3:327752:328692:-1 gene:ONIVA03G00590 transcript:ONIVA03G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRAAHVTYVNSALLLLPFASINTLPCAAAASIFSSSLAGAGARAASIPLTSQPRKEEGDRVRREEMEKVNSELVLQNCYIMEQNQRLKKAAELLHKERQRLLSELKAQLLSNQHRQPPANGHGHGDDDKPAAEKNRALWA >ONIVA03G00590.2 pep chromosome:AWHD00000000:3:328130:328692:-1 gene:ONIVA03G00590 transcript:ONIVA03G00590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRAAHVTYVNSALLLLPFASINTLPCAAAASIFSSSLAGAGARAASIPLTSQPRKEEGDRVRREEMEKVNSELVLQNCYIMEQNQRLKKAAELLHKERQRLLSELKAQLLSNQHRQPPANGHGHGDDDKPAAGGCGSSN >ONIVA03G00580.1 pep chromosome:AWHD00000000:3:326255:327655:-1 gene:ONIVA03G00580 transcript:ONIVA03G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPPPQLRAFRRDGDAPLFFAAGESSRATSRSRCGRNLVSVSYGGFARACCLFSAAGSRSRSKQDEARKAVENALGWKKTGLPKLGSKDCLLQPVVEDGLEEVVGSGGSAVEVSGMLQNKLFLRSSFFLIANFNVLVAAIVNSLLAVLRQIRRALSFVAQCILQGVQSSAPRRSSPSLDTGNQAAVVVKDRVGKSAKERVVRKWGNGV >ONIVA03G00580.2 pep chromosome:AWHD00000000:3:326255:327655:-1 gene:ONIVA03G00580 transcript:ONIVA03G00580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPPPQLRAFRRDGDAPLFFAAGESSRATSRSRCGRNLVSVSYGGFARACCLFSAAGSRSRSKQDEARKAVENALGWKKTGLPKLGMRIERRQQRLPPSAGGGGWSGGGGWFRWFSSGGFWDAAKQTLLTIVGIIAAFFLIANFNVLVAAIVNSLLAVLRQIRRALSFVAQCILQGVQSSAPRRSSPSLDTGNQAAVVVKDRVGKSAKERVVRKWGNGV >ONIVA03G00580.3 pep chromosome:AWHD00000000:3:326255:327655:-1 gene:ONIVA03G00580 transcript:ONIVA03G00580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPPPQLRAFRRDGDAPLFFAAGESSRATSRSRCGRNLVSVSYGGFARACCLFSAAGSRSRSKQDEARKAVENALGWKKTGLPKLGMRIERRQQRLPPSAGGGGWSGGGGWFRWFSSGGFWDAAKQTLLTIFFLIANFNVLVAAIVNSLLAVLRQIRRALSFVAQCILQGVQSSAPRRSSPSLDTGNQAAVVVKDRVGKSAKERVVRKWGNGV >ONIVA03G00570.1 pep chromosome:AWHD00000000:3:323538:326014:1 gene:ONIVA03G00570 transcript:ONIVA03G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETGEGGGSHSQPEKQPGQAAAAASMAEAEVEELPKAIVRRLVKEKLAQVAAGGAEVIVNKDAMSAFAESARIFIHYLSATANDMCKESKRQTINADDVLKALDEMEFPEFVEPLNTSLQEFRNKNAARRSETTQKKAEKRRKIDKESLPQEQNDAADNGKTDED >ONIVA03G00550.1 pep chromosome:AWHD00000000:3:317440:320443:1 gene:ONIVA03G00550 transcript:ONIVA03G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPDILTDYVIPANGNPMNITGDFFTFTGFRKVFNTSSAPEPNSFTVTKATMAEFPALNGQSVSYATLVFPPSTVNPPHTHPRSGELLLLVDGALSVGFIDTTNKLYTQDLAAGDMFVFPKGMVHFQFNSGNQPAMALSAFGSAAPGVVPVPVTVFGTGIDDAVLAKSFKTDVPTILKLKANLTPPNNRSSVSLGVLLLLAVILSAGAADPDILTDFVVPSDTDPSGIDGAFFTYKNLVTGNSGDPAKLTVTKATHAEFPALLGQSVSYAALVFGAGTVNPPHIHPRASELLVVVQGPLLVGLVDAARNGTVYTQTLQTGDMFVFPKGMVHFQFNNGTDVVARAFSAFGSATPGTISLPAALFGSGIDDTILDKSMHTDQATVDQLKQDQAPPSPGSSSSAAAALLPSRWAITLLLCFAASYYFYF >ONIVA03G00540.1 pep chromosome:AWHD00000000:3:314937:315581:1 gene:ONIVA03G00540 transcript:ONIVA03G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILLLVVLAVVSAPVALVMAGDPDILTDYVIPAGSNAENITGDFFTFTGFRNPLSMNMSMPMPNANFTVTKATMAEFPALNGQSVSYAVLMYPPATLNPPHTHPRSAELLLLVDGALSVGFVDTTNKLYTQDLAAGDMFVFPKGMVHFQFNSGNQPAMALSAFGSAAAGLVSVPVTVFGTNIDDAVLAKSFKTDVPTIQKLKAGLTPPKKA >ONIVA03G00530.1 pep chromosome:AWHD00000000:3:312558:314606:1 gene:ONIVA03G00530 transcript:ONIVA03G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAMKDLMDRGLIRMVSVHCSQQIYTRATNT >ONIVA03G00520.1 pep chromosome:AWHD00000000:3:310445:312320:-1 gene:ONIVA03G00520 transcript:ONIVA03G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARAPSRAAARFVQRRLFSSGGKVLGEEEKAAENVYIKKMEHEKLEKLAHKGPNPGEQASTAGAAANAVKTGSGSTESRSAGVSTDKNRNYAVLAGTIAALSGLGWYLLSKPKKSEDIVD >ONIVA03G00510.1 pep chromosome:AWHD00000000:3:307757:308369:-1 gene:ONIVA03G00510 transcript:ONIVA03G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPHVPRNPHRTPQVAPRVTQRGQIRFAFHDGSSPTIERAEGRSEKKLDTRGGTVGGFPKKSPPPPPVAVRLRSRPVAAASLLSLDQGNHPFDSLIDRLIDWLGLSNNFGSRTEVNS >ONIVA03G00500.1 pep chromosome:AWHD00000000:3:306575:307723:-1 gene:ONIVA03G00500 transcript:ONIVA03G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVDTVSASTSLVAHHLFDQRSKANHHLRRTLHVVSCRPLPTHFAGRRLVARAPRQHQPRLADWTVKALAMGVTKEASPHREYRGIPGEGADMGDIGITNPKTTWPPRNRADDPKLHNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWFTLAQEEGKSPPPAFVLKRIEGMKSEQAISEVLCWSRDPSELRRLSSRKEEIRCNLRGTAFYQMRNGSREFMSTLANYKIPLAVATTRPRKVIEEAIDAVGVRSFFDAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSAVEAAHDARMKCVAVASKHPIYELNAADLVVKQLDELSVVDLKNLADIESPEFGMEPEPEMEEEDEDSSPSTSVGVDDLFW >ONIVA03G00490.1 pep chromosome:AWHD00000000:3:299846:303765:-1 gene:ONIVA03G00490 transcript:ONIVA03G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFN0] MQQQQQAMEEDGVKEEEEEYYLEEEDEGEEETRPPLQQQQQQVGLGRRGRAREEKERTKLRERQRRAITARILAGLRRHGNYNLRVRADINEVIAALAREAGWVVLPDGTTFPSSSSSVSQPPPTQQQLQAAEPELSELEAKYRRVASVPTIERLPSLGLIIIIMISSPALFFLLQPGRPSIAAPPLPSSPAPPLRGISISPVAARPISSLRSSSSIRIISPPHALPDPLLSLPSMDPQEVPDLLPPRPPERDFAGTPYVPVYVMLPLGVVNGNGEVVDADVLVGQLRVLKAAGVDGVMVDCWWGNVEAHRPQEYNWTGYKRLFHMIRELKLKLQVVMSFHECGGNVGDDVSIPLPHWVTEIGRSNPDIYFTDRAGRRNTECLSWGIDKERVLQGRTGVEVYFDYMRSFRVEFDEYFEDGIISEIEIGLGACGELRYPSYPAKHGWKYPGIGEFQCYDRYLQKSLRRAAEARGHTIWARAPDSAGHYNSEPNLTGFFSDGGDYDSYYGRFFLNWYSQVLVDHADRVLMLARLAFEGSDIAVKVSGVHWWYKTASHAAELTAGFYNPCNRDGYASIAAVLKKHGAALNFTCVELRTMDQHEVFPEAFADPEGLVWQVLNAAWDAGIPVASENALPCYDRDGFNKILENAKPLNDPDGRHLLGFTYLRLTKVLFERANFLEFERFVKRMHGEAVLDLQV >ONIVA03G00480.1 pep chromosome:AWHD00000000:3:286883:301379:1 gene:ONIVA03G00480 transcript:ONIVA03G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 100 [Source:Projected from Arabidopsis thaliana (AT5G23880) TAIR;Acc:AT5G23880] MSNSCLLKLPFPALGRLHCQRPWRFIRHLKFNILLLHNRPRKSRIRVTYVPFENNARNVNHGIICHFGEGQIQTSSHFFWSCKSSLQHVTHSACFFSPLAVGSPLPLAPSMGWEAEDRRGRRRKGPRWPETKTETQMETRYMGLSPAHVQFFCISLSSGPTTTLGLFLSHSTSCSPPPPPPAKGIDRMGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCDPSHLQPLAKVAPTIDAVLLSHADTMHLGALPYAMKHLGLSAPVYATEPVFRLGILTLYDYFISRRQVSDFDLFTLDDIDAAFQNVVRLKYSQNHLLNDKGEGIVIAPHVAGHDLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTALGSFVRPAVLITDAYNALNNHVYKRQQDQDFIDALVKVLTGGGSVLLPIDTAGRVLEILLILEQYWAQRHLIYPIYFLTNVSTSTVDYVKSFLEWMNDSISKSFEHTRDNAFLLKCVTQIINKDELEKLGDAPKVVLASMASLEVGFSHDIFVDMANEAKNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEEALKASLNKEEEKKASLGSNAKASDPMVIDASTSRKPSNAGSKFGGNVDILIDGFVPPSSSVAPMFPFFENTSEWDDFGEVINPEDYLMKQEEMDNTLMPGAGDGMDSMLDEGSARLLLDSTPSKVISNEMTVQVKCSLAYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCSKNSDLHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVISKKLGEHEIAWVDAEVGKTDDKLTLLPPSSTPAAHKSVLVGDLKLADFKQFLANKGLQVEFAGGALRCGEYITLRKIGDAGQKGSTGSQQIVIEGPLCEDYYKIRELLYSQFYLL >ONIVA03G00480.2 pep chromosome:AWHD00000000:3:288338:301379:1 gene:ONIVA03G00480 transcript:ONIVA03G00480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 100 [Source:Projected from Arabidopsis thaliana (AT5G23880) TAIR;Acc:AT5G23880] MSLACGLPLLECVYCLGCARWAWKRCLHSGDRDSATWGLASADDFAPVPRLCRLIMANYDHHPCHPLDARCVVRRRTYADTRGRVTPYLLYLDHPNSDIVLALRGLNLARETDYALLLDNRLGKRRFHGGYVHNGLLRAAAWVLDAECDLLRDLLADHPDYTLTFTGHSLGAGIAAMLTVVVLLNLDKLGTNLHRSRIRCYAMAPARCMSLNLAIRYADVINSVVLQDDFLPRTATPLEDIFKSILCLPCLLCIRCLRDTCIPEDAMLKDPRRLYAPGRIYHIVERKMFRCGRYPPVVKTAVPVDGRFEHIVLSCNATMDHAIIWIEREAQKALDLMLEKEKTMEVPSEQRMERNESLQREHVEEHKAALRRAVTLSVPDARSPSAYGTFGEQPERSESFPPVSAMARQRMSWNDLIERVFDRDESGHIVLRSSPSP >ONIVA03G00480.3 pep chromosome:AWHD00000000:3:288338:301379:1 gene:ONIVA03G00480 transcript:ONIVA03G00480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 100 [Source:Projected from Arabidopsis thaliana (AT5G23880) TAIR;Acc:AT5G23880] MSLACGLPLLECVYCLGCARWAWKRCLHSGDRDSATWGLASADDFAPVPRLCRLIMANYDHHPCHPLDARCVVRRRTYADTRGRVTPYLLYLDHPNSDIVLALRGLNLARETDYALLLDNRLGKRRFHGGYVHNGLLRAAAWVLDAECDLLRDLLADHPDYTLTFTGHSLGAGIAAMLTVVVLLNLDKLGTNLHRSRIRCYAMAPARCMSLNLAIRYADVINSVVLQDDFLPRTATPLEDIFKSILCLLGSNKFKFGSSPLFGQLALPAVYQMCGRYPPVVKTAVPVDGRFEHIVLSCNATMDHAIIWIEREAQKALDLMLEKEKTMEVPSEQRMERNESLQREHVEEHKAALRRAVTLSVPDARSPSAYGTFGEQPERSESFPPVSAMARQRMSWNDLIERVFDRDESGHIVLRSSPSP >ONIVA03G00470.1 pep chromosome:AWHD00000000:3:285188:287991:-1 gene:ONIVA03G00470 transcript:ONIVA03G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASGSGEPTANGEKKLDEQHFDPSRMIGIIKRKALIKELAAAYHAECVTCCKELLQLQKKWEEEQYVEFKMSDEAPRTLTVKSSKRRKRSSTSPAKLLASWVSLTFGVASCLSMCFMTNLRQATSHCLHESGIGIDEGNASDVRDIAIDLEGCINYVEFELKGLPHPQRDTLLMAWSWLLAHGLPNYDTARRPQPSLQKLHVGHPVLSLHDAHLVHLMAKINR >ONIVA03G00460.1 pep chromosome:AWHD00000000:3:282701:284057:-1 gene:ONIVA03G00460 transcript:ONIVA03G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQPVVGGAGDAYARWDVAVGCRAIDPGCGPGRPCILTVPAAIAIAVLRARPLVLPDQEACQDHAGVDSDGQTAQSMNLQSSQCSGAVVSTAMADDGIAAVMRRSAARFIIQDITTQTMHVLLLQIRFLRQDKERNYSCRRREK >ONIVA03G00450.1 pep chromosome:AWHD00000000:3:281762:282967:1 gene:ONIVA03G00450 transcript:ONIVA03G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVHVTLSFSPPLSLLCKLTGARREGSAVTAAGGGGRDGFSAFSASSSRSADVGALAGERVGPVSGAGDAYARWDAAVGCRALAEKYRPWSPDPAALQHDSTYVVGWLASSRRRGQERERNRRRERGGGRKRWISQETRTQSPLRLGSFSTLLCADACFLVFFSVLFVSFLCGWNHGNGIKICIFTICMRGAPERLITAAMPSSAMAVDTTAPEHWLDWRFMLCAVWPSESTPAWSWHAS >ONIVA03G00440.1 pep chromosome:AWHD00000000:3:280636:282308:-1 gene:ONIVA03G00440 transcript:ONIVA03G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRNTEEESINHSLTSDLGLRERERDLQSQAAQANQPTTYVLSCCSAAGSGDHGRYFSASARHPTAASHRAYASPAPLTGPTRSPASAPTSAERDEEAEKAEKPSRSHAASAGGRHGAALPSRPVRLGRESIAAQAPGLMGFVDLWLGIVLVNLLQEEPAPSYIQLPPPLRT >ONIVA03G00430.1 pep chromosome:AWHD00000000:3:276214:278848:1 gene:ONIVA03G00430 transcript:ONIVA03G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQETMSTGVDFFFFLAEQHLRYSLLRSRAYGKEKMLVDMQQHKKLVDITLLRLEFPSCPLDVGLAVLEVLADRHGGNGDDDDDGNEERALATAMQRKQLKLTALPPHNRQNKKQTTGISAAAAAAGGDGNNKANKRIESLTKGTFGFACMSSGNFYKLICFSYSTKERKISVKSILHTPY >ONIVA03G00430.2 pep chromosome:AWHD00000000:3:276214:278848:1 gene:ONIVA03G00430 transcript:ONIVA03G00430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSNSGTLHKPARMASSQETMSTGVDFFFFLAEQHLRYSLLRSRAYGKEKMLVDMQQHKKLVDITLLRLEFPSCPLDVGLAVLEVLADRHGGNGDDDDDGNEERALATAMQRKQLKLTALPPHNRQNKKQTTGISAAAAAAGGDGNNKANKRIESLTKGTFGFACMSSGNFYKLICFSYSTKERKISVKSILHTPY >ONIVA03G00420.1 pep chromosome:AWHD00000000:3:273919:278551:-1 gene:ONIVA03G00420 transcript:ONIVA03G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASARSSLPSSSSSPLPPLSPQPVVPTGVERMLVRAGGGTRSLREIDEEEDDDDDDGGKTYVSVGKDLKDGKANIQWAARKLQPQQGDVNKLLVLLHVHQPADRIMSGLCKVPAKQLEEKELRAYRKIEKDDMNKLLEQYLSYCRAFPKVQAEKLVIEKNSVANGIVELIDQHHITKLVMGTSSFSVKRQVPKSKVAAIVHQQAKPYCQILYICKEALACTREASQFADKGDSPRSSSGSSLSDKSEFPPRSVSLPSWYSGFLGSPDQQSLPRRSNSISHPFPFSRQLENGVENISPIRPNSVDVAPKGCSPNSSHQSKGSSPTLTDLDTVDGLSVPVSSSSSEEHQHFMVEANMQNEMFEQWQQVRNELERSRKEASEGRQKAEKELFEASKMFRARENSLCKEKIAVEERLTREKVSLEKEHLHIYNELQKANEQIMELERKLMHANSLMEELQTVQGELQRQKDNAVKEAEKMSQINCNNVSCSTGAVALTEFTYTEIKEATNDFDESKMIGHGGCGSVYKGFLRHTTVAIKKFNREGITGEKEFDDEVEILGRMRHPNLVTLIGVCREAKALVYEFLPNGSLEDRLQCKHQTDPLPWRMRIKIAADICTALIFLHSNKPKGIAHGDLKPDNILLGDNFVGKLGDFGISRPLNLTNTTITPYHRTNQIKGTLGYMDPGYIASGELTAQYDVYSFGVVLLRLLTGKSPLGLPSEVEAALNNEMLQQVVDASAGEWPPEYSKKLAILALRCCRYDRKERPDLAKEAWGVLQAMVNYPDNKCKIPSFFICPMTQEIMKDPHIAADGFTYEGEAIKDWLQRGHKTSPMTYLSFTHYELIPNNALRFAIQEWQMKQQL >ONIVA03G00420.2 pep chromosome:AWHD00000000:3:273921:278523:-1 gene:ONIVA03G00420 transcript:ONIVA03G00420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASARSSLPSSSSSPLPPLSPQPVVPTGVERMLVRAGGGTRSLREIDEEEDDDDDDGGKTYVSVGKDLKDGKANIQWAARKLQPQQGDVNKLLVLLHVHQPADRIMSGLCKVPAKQLEEKELRAYRKIEKDDMNKLLEQYLSYCRAFPKVQAEKLVIEKNSVANGIVELIDQHHITKLVMGTSSFSVKRQVPKSKVAAIVHQQAKPYCQILYICKEALACTREASQFADKGDSPRSSSGSSLSDKSEFPPRSVSLPSWYSGFLGSPDQQSLPRRSNSISHPFPFSRQLENGVENISPIRPNSVDVAPKGCSPNSSHQSKGSSPTLTDLDTVDGLSVPVSSSSSEEHQHFMVEANMQNEMFEQWQQVRNELERSRKEASEGRQKAEKELFEASKMFRARENSLCKEKIAVEERLTREKVSLEKEHLHIYNELQKANEQIMELERKLMHANSLMEELQTVQGELQRQKDNAVKEAEKMSQINCNNVSCSTGAVALTEFTYTEIKEATNDFDESKMIGHGGCGSVYKGFLRHTTVAIKKFNREGITGEKEFDDEVEILGRMRHPNLVTLIGVCREAKALVYEFLPNGSLEDRLQCKHQTDPLPWRMRIKIAADICTALIFLHSNKPKGIAHGDLKPDNILLGDNFVGKLGDFGISRPLNLTNTTITPYHRTNQIKGTLGYMDPGYIASGELTAQYDVYSFGVVLLRLLTGKSPLGLPSEVEAALNNEMLQQVVDASAGEWPPEYSKKLAILALRCCRYDRKERPDLAKEAWGVLQAMVNYPDNKCKIPSFFICPMTQEIMKDPHIAADGFTYEGEAIKDWLQRGHKTSPMTYLSFTHYELIPNNALRFAIQEWQMKQQL >ONIVA03G00410.1 pep chromosome:AWHD00000000:3:258802:275238:1 gene:ONIVA03G00410 transcript:ONIVA03G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENREICCGSCSSGYLPVDSLFSFFSEAAAAFCLDAKDSALPPYPMLPIHNTSKNIIQQKQARLERKKIETRTNGIVPAEGSEFSKKFLSKTLSYITEGMVRQKITHLRPTRDNCSACIRGQSPADESNIACKQPFATARCTSFKRPNPDLPVKSRRSMIPKEYTSDRGVRSPEIAYSGSMYTKVPFGWVWRYRVVVALNSSVNIRHTPKPLSLATLFPSRRMLDAFKSLQAPG >ONIVA03G00410.2 pep chromosome:AWHD00000000:3:271751:275038:1 gene:ONIVA03G00410 transcript:ONIVA03G00410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPPDPELIEFTMACKTPQASLARSGRSFLSYRQHLSARIANFLLYSGGHSPAEASTTCCSISLFRAASTSLGRPSGLLPLAYKVVSKENIIRLQITMGNAFWFVGMKEDKCCADVSSNFDPHSPWKWICLVFTLQTVL >ONIVA03G00400.1 pep chromosome:AWHD00000000:3:257804:273127:-1 gene:ONIVA03G00400 transcript:ONIVA03G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGSAAESRQGGRQQEESPTQRRIPKRRRRGEANKAMATAGSPSPYPGDSPEPSFSGEKVYVAVGEESSRGTLLWALHKFPQGTAFVLLHVYSPPNFLPILGAKIPAGQLREQELIAHKKMNLQRISDNLDQYQLICVQQKVQAEKLVVESDDVAYGLVDVISEHNVSMLVMGAADDKHYTKKAAPFGHDVMQDCRQSATSAQCSVERSSSLSEIWCVSNTWLHKLNLEPHIETTSSDRYSDKEKEDTKERGESDNELQHIPMQLERVRQEAYEEKCRREKAEQELFEALQKVSENLYFGELKQKNEIEVKLATTMEEVDRLARTADELAAKFQEQCEKILVLEKRSAHSDRIIKDLMLQRDKAVREAEAIRVKNGESTAIADRTIPITELSISEIKEATSNFDHSSKVGESVYGSVYKGLLRQTNVAVKKLNPESTESLSQFSHEVEILSRVRHPNLVTLIGACKDARALVYEYMPNGSLDDRLACKDNSKPLSWQLRTRIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVCRMLTDEFKATTTLYRHTHPKGTFVYIDPEYAISGDLTPLSDVYSFGIILLRLLTGRSGFGLLKDVQRAVAKGCLQAILDSSAGDWPLMHAEQLSRVGLRCCEIRRKNRPDLQTEVWTVLEPMLRSASSMLCSLSFKSVSEDFGNVPSYFICPIQQDVMRDPLIAADGFTYEAEAIREWFDSGHYTSPMTNLDLPHPKPPGEQEFCESNVQGKRRMRAKCRITIQLHYIADRPGRYIQDFTANLPKRGQEKMDEEEIHIAVGKNFRKEKANILWAAARFPRATIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRAKETDAMVKMLSQYKNLCGSRKVRAHYLSHDDVLAGVVNLIKKLKIKRIIIGSSNEHLEHTGSIGYGGSAESLASVHELSDDSNGYTTPPSDFADEIMYDDGVIQMDGADELATETETEDEESIETGELNSYEEEGEHSSGETAHRTDEIQSFRSITERAEELMEEIDKLQRKLKELQEEDDRSILSPRQKAAAASLKKEKRLSTGRYPELQLPQHISRFSMSMISKATGNFCSGNLIGEGGYGPVYKGKLGGVAVAIKLLRPHGRQGFPEYKQEVVVLSRMEHPHIVRLMGVCPESCGLVYEHLPNGTLLDTLSNSKSLSWKDRVRILGEQRSALAYLHSCRPHAIIHADLKLTNILLDAANSSRLGDFGTARAVHVKPLQDQADTICRRTNPMGTTGYMDPVFFVTGELTAESDVYAFGVVVLQVLTGLLDLNIADQVREALKMDAVHSVLDASAGSWPEVQAEKLLRLALRCCSLERKRRPAITCDAEWRSLDIMLRMANSPSKSRKWTSISIHAT >ONIVA03G00400.2 pep chromosome:AWHD00000000:3:263252:273127:-1 gene:ONIVA03G00400 transcript:ONIVA03G00400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGSAAESRQGGRQQEESPTQRRIPKRRRRGEANKAMATAGSPSPYPGDSPEPSFSGEKVYVAVGEESSRGTLLWALHKFPQGTAFVLLHVYSPPNFLPILGAKIPAGQLREQELIAHKKMNLQRISDNLDQYQLICVQQKVQAEKLVVESDDVAYGLVDVISEHNVSMLVMGAADDKHYTKKAAPFGHDVMQDCRQSATSAQCSVERSSSLSEIWCVSNTWLHKLNLEPHIETTSSDRYSDKEKEDTKERGESDNELQHIPMQLERVRQEAYEEKCRREKAEQELFEALQKVSENLYFGELKQKNEIEVKLATTMEEVDRLARTADELAAKFQEQCEKILVLEKRSAHSDRIIKDLMLQRDKAVREAEAIRVKNGESTAIADRTIPITELSISEIKEATSNFDHSSKVGESVYGSVYKGLLRQTNVAVKKLNPESTESLSQFSHEVEILSRVRHPNLVTLIGACKDARALVYEYMPNGSLDDRLACKDNSKPLSWQLRTRIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVCRMLTDEFKATTTLYRHTHPKGTFVYIDPEYAISGDLTPLSDVYSFGIILLRLLTGRSGFGLLKDVQRAVAKGCLQAILDSSAGDWPLMHAEQLSRVGLRCCEIRRKNRPDLQTEVWTVLEPMLRSASSMLCSLSFKSVSEDFGNVPSYFICPIQQDVMRDPLIAADGFTYEAEAIREWFDSGHYTSPMTNLDLPHRDLLPNHALRSAIQEWLQSNAD >ONIVA03G00390.1 pep chromosome:AWHD00000000:3:253221:256021:-1 gene:ONIVA03G00390 transcript:ONIVA03G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein [Source:Projected from Arabidopsis thaliana (AT4G25610) TAIR;Acc:AT4G25610] MPNVRSSPVADLPGWPLFSPPKLQLQKCTKCPREFCSSINYRRHTRVHRRTLQIEKDFLKNRDNIAAFWDKLTLDQAKTILSLADVDIEGVTGPSILAALSTWMCKPGYASLPLPYARAGNQLLDLIETTASRLPVSSNELFSMLDEASENTFLSTNPTACIQKFIFNGEADKVAPELKNSVACTSYMLEQKLVEAWSADKAAEALRCQKLLVEEEEAAQKRQAELIERKRMKKLRQKEQRLKDLKDEDVTDRFPGSVDGTTDSSGILSLKEATSDPGLYEQEDTQLPTPVASEDNSSFADLPVEHDIHDPGHEVNPSVTLNQQVFSRHRVGRTENFAQNSFASGGSAIGSKHPASVRHSHYRGANAGAVSNRNKTWTWKVRTEIEEHSPKDELNIDDGQEIVLNKKSRVLIGSISVAIEDGSECLEDNQYSKEYPTPASQLNIGNHPVTKVMQPFNHGEEGNGYNAHNDVEVSITPTAQDHSSSGVMTDGNNCSSCCNAGLAEGGGLRGAIFSSKEAAAFLSQRWKEAINADHVKLVLCPEG >ONIVA03G00380.1 pep chromosome:AWHD00000000:3:248470:252271:-1 gene:ONIVA03G00380 transcript:ONIVA03G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLFRRSGPSGFSWASTADQVTAGLSAAGLTAIVTGASSGIGAETARVLAIRGAHVVMAVRNLAAAQPVRDAILADAPAASLDLMELDLASMDSVRAFASDFAAKGLPLNILINNAGVMATPFSLSKDGIELQFATNHVGHFLLTHLLLETMKKTSCESNVEGRIVNVSSEGHRFAYREGIRFAKINDESEYNSIGAYGQSKLANILHANELARRFKDEGVNITANSLHPGSIITNLLRHHSILDVLHRTLGKLVLKNAQQGAATTCYVALHPQVKGVSGKYFSDSNVNEASEKGNDMELAKRLWEYSIELIT >ONIVA03G00370.1 pep chromosome:AWHD00000000:3:244163:247645:-1 gene:ONIVA03G00370 transcript:ONIVA03G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00370) TAIR;Acc:AT4G00370] MAMGAVLSSRTFASPLSSSGKQHPPQNNKCTCSSPPTRDKFSRLTTRTTIFQVSNYSRSTSMERFQLSARFHQPVVDSSTNYLTRWFYNANLKRRRIECFLTSDPINTGWLKPRRWDNFTSLDTACVQPDYKIPVRTRADCKAEQYEITGSPLSPSDVPAEAVLIGDTNEISPWWQQFPKRWTVVLLCFFSFLLCNMDRVNMSIAILPMSSEFGWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSIATVLTPLAAKIGLPFLLVMRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGSVWFALWQRKAHSSPSEDPELSKAEKRYILGGSTLKEPVTSIPWKLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAIFANIGGWIADTLVGRGVSITNVRKIMQSIGFLGPALFLTLLSKVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFQVAVVLYIVGTVVWNVFSTGEKVLE >ONIVA03G00360.1 pep chromosome:AWHD00000000:3:238079:241557:-1 gene:ONIVA03G00360 transcript:ONIVA03G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPPPPRLMTRRQSDSDWDWDGSSREGSPDPASASAVRRAARRWPDDPGPAQISLHMYMASRDRDRDDDPPPDHARLRIRGRQARLELVMRMAADRHAELHRLSHHRAVSDFPHRNRIHALLRGRFLRNGDDDRRPPSTAATELGQLRQRHSVSGLREEFRFRLENVVRGHAVSQSDDSSAQNVELSINGRTESSPSSSEYNLERHQRTRLNIGLQQIEGTATVSESGSNTPSIAEGLYEPHSQAESWQDDLEQERRDWEQFSHAITGEESEINWHENTYNGSSHEGTEVEGGQDAHIPESHDELASDNLPPQSHGEQQDNSHLPEENEELHDSDLQQSRGEWNEGSNPFIPTEVHNEWHSDDHFQGVNEEWHDDDESNDTADNWHDDNSDQPIDHDSALIRRANTFVPADDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRAPLSWDLEGAPPAPDSPEQSQEQHRDEEEQELHDNVVRPPLVIPPPPIPPRQPLWHSELHRNNWIRQNIHRSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGESKETIDDGSKWIHVRKGTCCICCDTPIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIIEVIRAYFIM >ONIVA03G00350.1 pep chromosome:AWHD00000000:3:218901:227879:-1 gene:ONIVA03G00350 transcript:ONIVA03G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G47790) TAIR;Acc:AT2G47790] MEGGGEDTAAAMAMEVEIEAEAVLCMGTSSPATSNSSSPSPNSGISSRRLGLKNSIQTNFGDDYENSTLAVSLSTNALKLYSPATGQFLGECTGHSGSIHEISFSAPSSPQVICSCSSDGTIRAWDTRSFKQISLLRGSQELFSFSFGGSSGNLLAAGSNSQVLLWDWRNSKQLACLEESHMDDVTQVKFAPHQHSKLISAAVDGLICVFDTDGDMNEDNHLLSVMNVETSVAKVGFFGNTYQKIWCLSHIETLSTWDWNDGSRELNIDDARSLATDRWNLDHVDYFVDCHYSLPDDKLWVIGGTTAGTIGYFPVRAGLEGAIGSAEAILEGGHTDVVRTVYPAANTHTGQNRGIFGWTGGEDGRLCCWRSGEIAEMNKSWISSSLVSRVQKKAKCRQQPY >ONIVA03G00340.1 pep chromosome:AWHD00000000:3:216157:216362:-1 gene:ONIVA03G00340 transcript:ONIVA03G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGAVRPDDVDAIALVANDGTGRLVRLPCGAALPVMLGALEYEVFHQCHRSGTGAGSKHLETSKT >ONIVA03G00330.1 pep chromosome:AWHD00000000:3:215632:216039:-1 gene:ONIVA03G00330 transcript:ONIVA03G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCSRRLLCSLFLAAAALCGVTSAAAPRLGHHLPVHVPGLRPQAGRHHQRPHAEPHHQRRLEGQYRHQHQELAADGERRHPLAQHLADQQAVGRRHGGHHPAMLLRSALSTPPQPLCHSGCAVAAVSMPTSRGG >ONIVA03G00320.1 pep chromosome:AWHD00000000:3:190521:191105:1 gene:ONIVA03G00320 transcript:ONIVA03G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAGVIATLLALNLLFFTFSDACGCQCGSCPSPGGGGGGGGGGGGGGRGGGGGSGGGSGGGSGGGGSGGGGGGGGSGGGGSGGGGSGGGGSGGGGGGGSGGGGGGGRCPIDTLKLGVCANVLNGLINVQLGTPPRQPCCSLIQGLADLEAAVCLCTALRANILGINLNLPINLSLLVNYCGRSVPSGFQCSN >ONIVA03G00310.1 pep chromosome:AWHD00000000:3:177679:181015:1 gene:ONIVA03G00310 transcript:ONIVA03G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKVQVCALFLALNVLFTMQMGAVVQACEPYCPTPTPPVTPPPSPPSGGGNKCPIDALKLSVCANVLNLLKLKIGVPESEQCCPLLGGLVDLDAAVCLCTAIKANILGINLNIPVCAVFVVALNMVISMQMGAVQACEPYCPTPTPPVTPPPSPPSGGGNKCPIDALKLGVCANVLNLLKLKANVLGININVPVDLVLLLNYCHKTCPSDFSCPLI >ONIVA03G00300.1 pep chromosome:AWHD00000000:3:171682:175009:1 gene:ONIVA03G00300 transcript:ONIVA03G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARVVEEDPDVVEMMKRRDDDEEEVVGEAWDYKGRGAIRGSTGGWRSAAMILCVELNERLTTLGVAVNLVTYLTGTMHLGSAASANAVTNFLGTSFMLCLLGGFLADTYLGRYLTIAIFTAVQAAGMAVLTISTAAPGLRPPPCSSGGSGCAAANGTQLGVLYLGLYMTALGTGGLKSSVSGFGSDQFDESDGGERGSMARFFSWFFFFISMGSLLAVTVLVYVQDKVGRRWGYGICVVAILTGLLFFLAGTTTYRFKKLLGSPLTQIAAVTAAAWTNRNLPLPSDPSMLNHDDSAHTTAKPNQKLPHSKQFRFLDHAAIVETTTAEAKKRKRWAACCTVTEVEEVKQLVRMLPTWATTILFWTVYAQMTTFSVSQAAVMDRRLSTSFVIPAGSLTVFFVAAILLTVPLYDRLLAPLVRRLTANPRYDLSPLHRIFVGLLLAALAMVAAALTERARRDAAVTLSVFYLLPQFLLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSTALVTVVHKVTAESRRPWLADNLDEGRLDNFYWLLGGISVVNLAVFVAAAARGYVYREKRLADAGIIHI >ONIVA03G00290.1 pep chromosome:AWHD00000000:3:165352:171598:-1 gene:ONIVA03G00290 transcript:ONIVA03G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYKLEKEYCGTNHHRAENFPSASERHHKPPPFMNTFKDAIDALLPAAGTRPVTDAPVPVRDSPSPRPYRLLHHPYHLPAAAPCPLSASWAARWAAAAATKLGCYSL >ONIVA03G00280.1 pep chromosome:AWHD00000000:3:157292:159224:1 gene:ONIVA03G00280 transcript:ONIVA03G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRRRSFWSVGVAAALLCLLAAHGCSAKHHKPKPTPGGISGNASSSSSNSSTPSIPPPVAPTPTAPTPIPSPGTGSSNSSSGGGGGGWLNARATWYGAPNGAGPDDNGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVGHPACSGLPETVIITDMNYYPVSLYHFDLSGTAFGAMAKDNRNDELRHAGIIDIQFRRVPCQYPGLTVTFHVEQGSNPVYMAILVEYENGDGDVVQVDLMESHYSTGGVDGTPTGVWTPMRESWGSIWRLDTNHPLQGPFSLRITNESGKTLIADQVIPADWQPNTVYSSIVQFD >ONIVA03G00270.1 pep chromosome:AWHD00000000:3:151110:155469:1 gene:ONIVA03G00270 transcript:ONIVA03G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGWGESGGGDPPRLQEDSSSRSKAKSRTTSLQPGSASEHVAASSAAAMETGLEFGKVDGEAHAPAPLHLARHQQAVAGGLDLDPKRSGVEIQKSKVKESHAPLLFIHM >ONIVA03G00270.2 pep chromosome:AWHD00000000:3:151110:155469:1 gene:ONIVA03G00270 transcript:ONIVA03G00270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGWGESGGGDPPRLQEDSSSRSKAKSRTTSLQPGSASEHVAASSAAAMETGLEFGKVDGEAHAPAPLHLARHQQAVAGGLDLDPKRSGVEIQKSKVKESHAPLLFIHM >ONIVA03G00260.1 pep chromosome:AWHD00000000:3:147513:148343:-1 gene:ONIVA03G00260 transcript:ONIVA03G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDVGVVVYYLLLVLVVVQGCKGSSAVQGEGRWYNESEAIGGAAAWGNAKATWYGQPNGAGAADNGGACGFKKVNQYPFMGMTSCGNQPLYKGGKGCGSCYRVRCNRNPACSGNAQTVAITDMNYFPLSQYHFDLSGIAFGRLAKPGRADDLRRAGIIDVQFARVPCEFPGLKVGFHVEEGSSPVYLAVLVEYENGDGDVAQVDLKEAGAGGGRWTPMRESWGSVWRLDSNHRLRAPFSIRIRSDSGKTLVAPDVIPLNWTPNTFYRSFVQYSS >ONIVA03G00250.1 pep chromosome:AWHD00000000:3:138572:143364:-1 gene:ONIVA03G00250 transcript:ONIVA03G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVESINQSIDQSIVQGKKGIKIVFFLEGRGAIDQTTMVRAASGADPPPFLQTRMVDMPSSSSSLSQSQSQSHSHSQTHKEKEKEKEKEEEQEEEKPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSKMEKKVAESENSPATVKSKTEKQQENELHEKRMDDSQECMSEEGRISEGLYCAKSHVISSSDPACSKEKNPRAEGEGHKDFDLQKNNATKKDKPAAEPIIVPIVLRMSDFDHKALLEEWIATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQVPEKRAYPNCIERGLLAACSESPKQGGS >ONIVA03G00250.2 pep chromosome:AWHD00000000:3:138572:143364:-1 gene:ONIVA03G00250 transcript:ONIVA03G00250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVESINQSIDQSIVQGKKGIKIVFFLEGRGAIDQTTMVRAASGADPPPFLQTRMVDMPSSSSSLSQSQSQSHSHSQTHKEKEKEKEKEEEQEEEKPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSKMEKKVAESENSPATVKSKTEKQQENELHEKRMDDSQECMSEEGRISEGLYCAKSHVISSSDPACSKEKNPRAEGEGHKDFDLQKNNATKKDKPAAEPIIVPIVLRMSDFDHKALLEEWIATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >ONIVA03G00250.3 pep chromosome:AWHD00000000:3:138572:143364:-1 gene:ONIVA03G00250 transcript:ONIVA03G00250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVESINQSIDQSIVQGKKGIKIVFFLEGRGAIDQTTMVRAASGADPPPFLQTRMTHKEKEKEKEKEEEQEEEKPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSKMEKKVAESENSPATVKSKTEKQQENELHEKRMDDSQECMSEEGRISEGLYCAKSHVISSSDPACSKEKNPRAEGEGHKDFDLQKNNATKKDKPAAEPIIVPIVLRMSDFDHKALLEEWIATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >ONIVA03G00240.1 pep chromosome:AWHD00000000:3:121050:138582:1 gene:ONIVA03G00240 transcript:ONIVA03G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEISATEVRLLLLQAAADSNLDSVRRRLCQVPRYTFRSFLPSSGGSSPNLVDSGLDGCILLLQVCLDQVLLKPHQLDKSKHDLLSAVFRYCMDKTYFTTCFCEALGPMPATHGDGFLKTLSNVLELSPAERVGIGLALSDSEDSDLKLKGQQFSIAQIEGLCLNLLQSPSNDQIHDIVIFLHQTDGLSKHMDTFNTIISLFKTKETPFFAPTPFNKCDIQSRHLDMCFGSMDYDSELLLSEIEKEITMADIVTELGYGCTVDTTHCKEILSIFEPLDDVAVSKLVGAVIGTHNVLVEAHNTYAMFVSAISNMNDSPQLTTWNTDVLVDSINELAPSTNWVHVMENLDHEGFNVPDEAAFCLLMSIYAHACKEPFPLHAVCGSLWTNTEGQISFLKHAVSVPTDTFTFSHCSRKLAFPDLACPIQDNHAWFCLDLMVVLCQLAEVGHTVSVQSMLEYPLQHCPELLIVGLGHTAYNLLQYEVQSRVFPAILKDATKSNVVNYLWQINPSLTLRGFVDAHSDPDCLLRIVDVCRDLKILSAVLDSTPYAFSIKLAVAASRIDHSHLEKWLTAKLRVCKDDFLQILQYQSGHLLSNQKLDELRKSYISYELRNHDSVVRGIPTSDNVEIEADAYFHQMFSGQISIAAIVQMLSRFKNSPEKREQLIFKCMISNMFEEYKFLPKYPDKQLKLSALLFGSLIKHRLVTHLELGIALHAVLDALHKSVDSKMFMFGTTALEQFMDRLIEWPDYCNHILQISHLCGAHTEMVSAIERALARISSSQNELSVNISVSSEQHVTGLAPIEPIEASDQPSSLPSPHQLSSVPSTMHATVFSHPQSSCSGLPRQPSNSTGFGTPLNIGTLVAAAEQRYTSIESPPSEVQDKIMFMINNISISNMEAKAKECIEVLPECYYPWFAQSMVMKRASIEPNFHDLYLKFFVKVNSRFLNKEVLKATYENCKILLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALLAKEIDPKVLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFEIEVVLFKNLDVDLKDVNPTSLLKDRVCEVEGNPDFSNKDVAASQTQISSGISRSTNHVELQSVISSTSHAVSLPNYATTHLPSNSMVEDDNVAFMMPKHVSSHTLTQVSPSETALASQSPFSLTQLVKLIPHDEIRCKISSKLGSLGRQLQYSKIMDTALDKAIKEILCPVVEKSVGTAIQNTKKLILKIQTLTSNNKTIKQLIDVLINDNLDLGCRIIKSVAMCKAIEMIDEEITESFPLQKKQREAAGSAYCDAFTHAQGRFAHEPEALHPKHEHLSVAQQVYEDYVHVWQSHSQHVDASCFGQSGKATCSSNFIVPRAYSPNSASATSSDCTAAQTAPFIYKLTELLSEELIAEPSSVCPAQVGLCDSSALHGGPSGVTSTFPPENNFHVGGTMVERLFNDWCHTCDHPSSADVAYGRFVMHLQQIGVLMGDDITERFFHIFTELAVKHSLVPNQIVATGGVSQKSSQQLKISYFPIDSFSKLVAMVLKYSSAETGPNKCSLLPKRDSEEKKASFNPRPYFRLFISLLYDLISSDLHSDGANFQVLIAFANAFHALQPLRIPSWSFAWLELVSHRTFMPSLLMCDSRKGWPFFQRLILDLFKFMEPYLRNVELGEPMCLMYKGTMRVLLILLHDFPEFLCNYHFSFCDMIPSSCIQMRNVILDAHPQDMRVVDPASPNLKIDLLPEISMAPQIMSDVEGALKSKLMKTEVDEYFKKSEGSLFLSDLKQKLLLPQNETSVAGTRYNVPLINSLVLYVGIQGLQQQQTESSASGPAIHTAHMDIFRTLMADLDTEGRYLVLNAIANQLRYPNIHTHCFYFIILHLFSEATQEIIQDQIMRVILERLVVRRPHPWGLQMTLVELIKNPRYKLWSRPFIRCGPQIDKVLIEFVDMLDDPRLTSLYSSFRSIN >ONIVA03G00240.2 pep chromosome:AWHD00000000:3:121050:138582:1 gene:ONIVA03G00240 transcript:ONIVA03G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISEISATEVRLLLLQAAADSNLDSVRRRLCQVPRYTFRSFLPSSGGSSPNLVDSGLDGCILLLQVCLDQVLLKPHQLDKSKHDLLSAVFRYCMDKTYFTTCFCEALGPMPATHGDGFLKTLSNVLELSPAERVGIGLALSDSEDSDLKLKGQQFSIAQIEGLCLNLLQSPSNDQIHDIVIFLHQTDGLSKHMDTFNTIISLFKTKETPFFAPTPFNKCDIQSRHLDMCFGSMDYDSELLLSEIEKEITMADIVTELGYGCTVDTTHCKEILSIFEPLDDVAVSKLVGAVIGTHNVLVEAHNTYAMFVSAISNMNDSPQLTTWNTDVLVDSINELAPSTNWVHVMENLDHEGFNVPDEAAFCLLMSIYAHACKEPFPLHAVCGSLWTNTEGQISFLKHAVSVPTDTFTFSHCSRKLAFPDLACPIQDNHAWFCLDLMVVLCQLAEVGHTVSVQSMLEYPLQHCPELLIVGLGHTAYNLLQYEVQSRVFPAILKDATKSNVVNYLWQINPSLTLRGFVDAHSDPDCLLRIVDVCRDLKILSAVLDSTPYAFSIKLAVAASRIDHSHLEKWLTAKLRVCKDDFLQILQYQSGHLLSNQKLDELRKSYISYELRNHDSVVRGIPTSDNVEIEADAYFHQMFSGQISIAAIVQMLSRFKNSPEKREQLIFKCMISNMFEEYKFLPKYPDKQLKLSALLFGSLIKHRLVTHLELGIALHAVLDALHKSVDSKMFMFGTTALEQFMDRLIEWPDYCNHILQISHLCGAHTEMVSAIERALARISSSQNELSVNISVSSEQHVTGLAPIEPIEASDQPSSLPSPHQLSSVPSTMHATVFSHPQSSCSGLPRQPSNSTGFGTPLNIGTLVAAAEQRYTSIESPPSEVQDKIMFMINNISISNMEAKAKECIEVLPECYYPWFAQSMVMKRASIEPNFHDLYLKFFVKVNSRFLNKEVLKATYENCKILLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALLAKEIDPKVLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFEIEVVLFKNLDVDLKDVNPTSLLKDRVCEVEGNPDFSNKDVAASQTQISSGISRSTNHVELQSVISSTSHAVSLPNYATTHLPSNSMVEDDNVAFMMPKHVSSHTLTQVSPSETALASQSPFSLTQLVKLIPHDEIRCKISSKLGSLGRQLQYSKIMDTALDKAIKEILCPVVEKSVGTAIQNTKKLILKIQTLTSNNKTIKQLIDVLINDNLDLGCRIIKSVAMCKAIEMIDEEITESFPLQKKQREAAGSAYCDAFTHAQGRFAHEPEALHPKHEHLSVAQQVYEDYVHVWQSHSQHVDASCFGQSGKATCSSNFIVPRAYSPNSASATSSDCTAAQTAPFIYKLTELLSEELIAEPSSVCPAQVGLCDSSALHGGPSGVTSTFPPENNFHVERLFNDWCHTCDHPSSADVAYGRFVMHLQQIGVLMGDDITERFFHIFTELAVKHSLVPNQIVATGGVSQKSSQQLKISYFPIDSFSKLVAMVLKYSSAETGPNKCSLLPKRDSEEKKASFNPRPYFRLFISLLYDLISSDLHSDGANFQVLIAFANAFHALQPLRIPSWSFAWLELVSHRTFMPSLLMCDSRKGWPFFQRLILDLFKFMEPYLRNVELGEPMCLMYKGTMRVLLILLHDFPEFLCNYHFSFCDMIPSSCIQMRNVILDAHPQDMRVVDPASPNLKIDLLPEISMAPQIMSDVEGALKSKLMKTEVDEYFKKSEGSLFLSDLKQKLLLPQNETSVAGTRYNVPLINSLVLYVGIQGLQQQQTESSASGPAIHTAHMDIFRTLMADLDTEGRYLVLNAIANQLRYPNIHTHCFYFIILHLFSEATQEIIQDQIMRVILERLVVRRPHPWGLQMTLVELIKNPRYKLWSRPFIRCGPQIDKVLIEFVDMLDDPRLTSLYSSFRSIN >ONIVA03G00230.1 pep chromosome:AWHD00000000:3:112046:112544:-1 gene:ONIVA03G00230 transcript:ONIVA03G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAPSPARYLVGAAIMMAGVVLPLAYMIFRSKRSSSSSTAVAASSAPSSSFSKQTTKGLI >ONIVA03G00220.1 pep chromosome:AWHD00000000:3:107484:115886:1 gene:ONIVA03G00220 transcript:ONIVA03G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRVWPPSPSPWPLLASRPCLHSHHHRSPFHASANSGARQGNFILPGATAATLVMFGILHARRMYEDQKVVERKEKGIEPEFSPDFKVVNRTWPFADYSGALFDIQQLHTDDILNTGFISQASAIAFDVTFMGILDGSVFMRPAIYKAWARAFHSNLQEAAMPLEEYPSLQAFFIRSLKEGSRPIDADPNCLVSPVDGKVLRLGELRGPGTMIEQVKGFSYSAASLLGASSSLHGAEEEDFSREHTEQSNPADSNAKSWWRVSVAKPKLWDQTLLSPKKGIFYCVIYLHPGDYHRVHSPVDWNIIKRRHFSGHLFPQNERAVRTIRNLYVENERVVLEGQWKEGFVAIAAIGATNVGSIKLYIEPELRTNRAGSKILNSQPEPPDDRVYEPVGTGVMVKKGEEIAGFKMGSTVVMVFEAPVVSKARWREDGSGTVTSDFDFCIKAGDRIRVGEAIGRWTSRE >ONIVA03G00220.2 pep chromosome:AWHD00000000:3:107484:115886:1 gene:ONIVA03G00220 transcript:ONIVA03G00220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRVWPPSPSPWPLLASRPCLHSHHHRSPFHASANSGARQGNFILPGATAATLVMFGILHARRMYEDQKVVERKEKGIEPEFSPDFKELPVFMRPAIYKAWARAFHSNLQEAAMPLEEYPSLQAFFIRSLKEGSRPIDADPNCLVSPVDGKVLRLGELRGPGTMIEQVKGFSYSAASLLGASSSLHGAEEEDFSREHTEQSNPADSNAKSWWRVSVAKPKLWDQTLLSPKKGIFYCVIYLHPGDYHRVHSPVDWNIIKRRHFSGHLFPQNERAVRTIRNLYVENERVVLEGQWKEGFVAIAAIGATNVGSIKLYIEPELRTNRAGSKILNSQPEPPDDRVYEPVGTGVMVKKGEEIAGFKMGSTVVMVFEAPVVSKARWREDGSGTVTSDFDFCIKAGDRIRVGEAIGRWTSRE >ONIVA03G00220.3 pep chromosome:AWHD00000000:3:112288:116826:1 gene:ONIVA03G00220 transcript:ONIVA03G00220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTRKKKKKKIVGGGKKSEIWEGCGWIGGGAGGVNWYYTDSTTRKCEDMLSVARRPLSAAVPVGNLLGIHLFQCPDTVGIVARLSECIASRGGNIHSVDFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRLKDNHVRRFLERHGIPYHYLPTSPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTAQFEVGPARSIKVGGVEGEKEARPQLINPRNQKEQEQERERLRIGGRGSAAAMSTMKFCRECNNILYPKEEKDRRLLLFACRNCEHQKQQQQEVSESKCVYRNEVAHAAGERTQVLQDVASDPTLPRTKTVRCAACGHGEAVFFQATARGEEGMTLFFVCCNLTCAHRWRE >ONIVA03G00220.4 pep chromosome:AWHD00000000:3:112288:116826:1 gene:ONIVA03G00220 transcript:ONIVA03G00220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTRKKKKKKIVGGGKKSEIWEGCGWIGGGAGGVNWYYTDSTTDTVGIVARLSECIASRGGNIHSVDFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRLKDNHVRRFLERHGIPYHYLPTSPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTAQFEVGPARSIKVGGVEGEKEARPQLINPRNQKEQEQERERLRIGGRGSAAAMSTMKFCRECNNILYPKEEKDRRLLLFACRNCEHQKQQQQEVSESKCVYRNEVAHAAGERTQVLQDVASDPTLPRTKTVRCAACGHGEAVFFQATARGEEGMTLFFVCCNLTCAHRWRE >ONIVA03G00220.5 pep chromosome:AWHD00000000:3:112288:115886:1 gene:ONIVA03G00220 transcript:ONIVA03G00220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLILNEYEQDTVGIVARLSECIASRGGNIHSVDVFVPDDKPVFYSRSEFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRLKDNHVRRFLERHGIPYHYLPTSPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >ONIVA03G00220.6 pep chromosome:AWHD00000000:3:112288:115886:1 gene:ONIVA03G00220 transcript:ONIVA03G00220.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTRKKKKKKIVGGGKKSEIWEGCGWIGGGAGGVNWYYTDSTTRKCEDMLSVARRPLSAAVPVGNLLGIHLFQCPDTVGIVARLSECIASRGGNIHSVDFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRLKDNHVRRFLERHGIPYHYLPTSPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >ONIVA03G00220.7 pep chromosome:AWHD00000000:3:112288:115886:1 gene:ONIVA03G00220 transcript:ONIVA03G00220.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLILNEYEQDTVGIVARLSECIASRGGNIHSVDVFVPDDKPVFYSRSEFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRLKDNHVRRFLERHGIPYHYLPTSPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVI >ONIVA03G00210.1 pep chromosome:AWHD00000000:3:103461:105835:1 gene:ONIVA03G00210 transcript:ONIVA03G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATLSHPSRYVKLSREHDAPAPAEDIRPGELNQPVHLEGRRCSECGQVLPESYEPPADEPWTTGIFACTDDPQTCRTGLFCPCVLFGRNIEALREDIPWTTPCVCHAVFVEGGIALAILTAIFHGVDPRTSFLIGEGLVFSWWLCGTYTGIFRQELQRKYHLKNSPCDPCMVHCCLHWCANCQEHRERTGRLAENSAVPMTVVNPPAVQEMSMTESRGPVSPGMENGAPSNSKGEHEEPKSDHDDVEVIPL >ONIVA03G00200.1 pep chromosome:AWHD00000000:3:91427:93421:-1 gene:ONIVA03G00200 transcript:ONIVA03G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTEIEIGKGRGGGQVAWAMMTYGPVLLCGLCLCHSPSSSSPLLSLTRTRRLLSPSPSASLTTTTVRCSKGEMAVVKCIRVHELGGPEVLRWEQVEVGEPKEGEIRIKNTAIGVNFIDVYYRQGVYSAPLPFVPGREAVGVVTAVGPGLTGRKVGDVVAYAGNPMGSYAQEQIIPASVAVPLPPSIDHNTAAAIMLKGMTAHVLLRRVYKVQSGDCVLVHAAAGGVGSLLCQWANALGATVIGTVSNEEKAAQAAEDGCHHVIIYTKEDVVTRVKEFTAGKGVNVVYDSVGKDTYKGSVECLAWRGMLVSFGQSSGSGVLRIRVNHTYPLSQAARAHADLQARKTTGSILLIPDDA >ONIVA03G00200.2 pep chromosome:AWHD00000000:3:91427:93421:-1 gene:ONIVA03G00200 transcript:ONIVA03G00200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTEIEIGKGRGGGQVAWAMMTYGPVLLCGLCLCHSPSSSSPLLSLTRTRRLLSPSPSASLTTTTVRCSKGEMAVVKCIRVHELGGPEVLRWEQVEVGEPKEGEIRIKNTAIGVNFIDVYYRQGVYSAPLPFVPGREAVGVVTAVGPGLTGRKVGDVVAYAGNPMGSYAQEQIIPASVAVPLPPSIDHNTAAAIMLKGMTAHVLLRRVYKVQSGDCVLVHAAAGGVGSLLCQWANALGATVIGTVSNEEKAAQAAEDGCHHVIIYTKEDVVTRVKEFTAGKGVNVVYDSVGKDTYKGSVECLAWRGMLVSFGQSSGRPDPIPLSDLASKSLLVTRPSLMHYTATRDELLESAGEVFANVGSGVLRIRVNHTYPLSQAARAHADLQARKTTGSILLIPDDA >ONIVA03G00190.1 pep chromosome:AWHD00000000:3:87254:90953:-1 gene:ONIVA03G00190 transcript:ONIVA03G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMQEEQRVVVMRHGDRVDHADPLWAANNPRPWDPPLTDAGLLRASTVASRILADGFHIHRVLVSPFIRCLQTAAQAIAALSPLSRINIKVSIEYGLSEMMNTQAMGILVSQIAPSIDRWFPDMSQLEAALPAATIDHSAEPLYQEVPKWGESVWEARSRYASVIKALADKYPDENLLLVTHGEGVGASVSFFEPGVEIYEVEYCAYSVLGRQQHKVGIEQGSEEEGLKNLRVLSTSGPTGIHYYYTTPAPAPAPSEVPDLLS >ONIVA03G00180.1 pep chromosome:AWHD00000000:3:84650:87111:-1 gene:ONIVA03G00180 transcript:ONIVA03G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVEGGGSGYSGRVTPFVVLSCIVAGSGGILFGYDLGISGGVTSMEPFLKKFFPDVYHQMKGDKKKVSNYCRFDSELLTVFTSSLYIAGLVATLVASSVTRRFGRRASILIGGSVFVAGSVFGGAAVNIYMLILNRVLLGIGLGFTNQSIPLYLSEMAPPQHRGAINNGFELCISIGILIANLINYGVDKIEGGWGWRISLSMAAVPAAFLTVGALFLPETPSFVIQRSGDVDSARALLQRLRGTAAVHKELEDLVMASEVSKTIRHPLRNMLRRRYRPQLVIAVLVPLFNQVTGINVINFYAPVMFRTIGLRESASLMSAVVTRVCATAANVVAMAVVDRLGRRRLLLVGGVQMLVSQVMVGAILAGKFREHGEEMEKEYAYLVLSVMCVFVAGFAWSWGPLTYLVPAEICPLEVRSAGQSIVIAVIFLLTFLIGQTFLAMLCHLKFATFFLFAACLCVMTLFVFFFLPETKQLPMEQMDQLWRTHWFWKRIVGDSPQQQVVELHHHHQRSSSE >ONIVA03G00170.1 pep chromosome:AWHD00000000:3:84125:89545:1 gene:ONIVA03G00170 transcript:ONIVA03G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPELIHLLHGQLLGLREEEEDEERHDAEAGGEEEEGGELEVAEHGEEGLADEEGEEEDDGDDDALPRRPHLQRADLGGDQVGEGPPRPGEARDEDAHDAQHQGHQHRDHQLRPVPTPEHVPQRVPDRLGHLARHHQVLQLLVHRRRAAQPLQQRPSRVHVAAALDHEAGRLRQEQRAHGEERRGDGGHGQGDPPPPPALDLVHAVVDEVSDEDADADAQLEPVVDGATVLRRRHLRQIQRDRLQNTTTQLTVSSQPNLLRTSVVAYLVGEAESNAEQDPVEDEHVDVDSGAAEDGAGDEDGAADEYGGTAAEAPGDGGGDESGDEAGDVEGGGEDGEELAVKAAVVAHLLLVPLHLMVHVREELLQERLHRRYPTLLIGFFPNNNTTQQIN >ONIVA03G00160.1 pep chromosome:AWHD00000000:3:78823:82293:-1 gene:ONIVA03G00160 transcript:ONIVA03G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPLPLLSVGHVNVSEMMAPPLTVGLALADSKSSTYALQWALSRFRFTKDDDAPIFLLIHVLTKLLTVPTPMGNHIPIDKVRTDVADAYFKDVHHQAQQMLLLYKNMCHQNKVKAQVLLIKGNDVSQTVSTVVSDYQIKILIVGVTARTRKPFGNRTSSKICKNVPSFCTAYLVSKDGLSSLYSSALESDLASSSKSDDLSDEISSSSVTSNNSSDQSPFDSPRLLGSNLPSENPKNSSPAHRNRSLTLYDYLNGSTSIYPDKDRRVNSSTGTESSKPSELKGSNEVLRQESLLQGMLSDNKDHISTELEKLRLELRLIQGKHKLVQDESDDASRQASKVDLICYQRMAELAAKRMEEEAQLREIQSRLDKANDNVEKQKAHRYAAEQALNHVQDLVRGEVMQKNMLQVKASRDADKKLRLEKLFVLQGNSYSTFTWEEIDNATSSFADNLKIGSGANGTVYKGYLNHSAVAIKVLHSDDNSSNKHFRQELEVLGKIHHPHLVMLLGACVERGCLVYEYMENGSLEERLRCKSGTAALPWCDRLRIAWEVASALVFLHSRKPNPIIHRDLKPENILLDGNLVSKVGDVGLSTLVSSGSGGSSSTMYKKTALAGTLFYIDPEYQRSGQVSVKSDTYALGMVMLQLLTARAPIGLAEVVERAVEDGKLRDILDENAGWTWAMEEAQEMADLALRCLEMRGKDRPDLKTRVAVDLDRLKRRALPTQPPPEHFICPILKVPVSVSSSSSYCLGKLANANS >ONIVA03G00150.1 pep chromosome:AWHD00000000:3:74571:79635:1 gene:ONIVA03G00150 transcript:ONIVA03G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMKCSGGGCVGSARRLRRSRSTATLVLRSGRSLPLISRHLSARSAISCASSMAQVHPAFSSRMSLSLPSSTARSTTSASPMGALAVSSCSITMPSALEVPVNDWVWLSAVEEHKGRGNLPGDAQPVTPRQRRRTAFAPQPLLQAAILHVLVHQAPPLHTRSQEHHQMRVMYLPQNLKLQN >ONIVA03G00150.2 pep chromosome:AWHD00000000:3:74571:80217:1 gene:ONIVA03G00150 transcript:ONIVA03G00150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSLVFVFVGVAVFVLAPPASAVPFIVLHGIGDQCANHGVAKFTRLLADWSASHGYCLLILFAVKEMKELSNGYNIVGLSQGNLIGRAVVEYCDDGPPVKNFISLGGPHAGTASVPLCGSGIFCILADSLIKMEIYSDYVQAHLAPSGYMKIPTDISDYLKGCRFLPKLNNELPGERNATYKERFSSLENLVLIMDDAVLIPRETAWFGYYPDGGFDPVLPPQKTKLYMEDWIGLKTLDDEGRVKFVSVPGGHLGISKTDMMKYIVPYLKGKDNGKAWIAATWRAITNTIGGEAFVLN >ONIVA03G00150.3 pep chromosome:AWHD00000000:3:78896:79635:1 gene:ONIVA03G00150 transcript:ONIVA03G00150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMKCSGGGCVGSARRLRRSRSTATLVLRSGRSLPLISRHLSARSAISCASSMAQVHPAFSSRMSLSLPSSTARSTTSASPMGALAPHITNLAHQVAVQEDVLRLEVPVNDWVWLSAVEEHKGRGNLPGDAQPVTPRQRRRTAFAPQPLLQAAILHVLVHQAPPLHTRSQEHHQMRVMYLPQNLKLQN >ONIVA03G00140.1 pep chromosome:AWHD00000000:3:72624:73053:-1 gene:ONIVA03G00140 transcript:ONIVA03G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVLCAANHASLTPITFLDRAALVYLDHPAIVASSSGLTRTWRETRDRCLRLAASLAALDVHRHHVVAVFA >ONIVA03G00130.1 pep chromosome:AWHD00000000:3:68549:72035:1 gene:ONIVA03G00130 transcript:ONIVA03G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPASWSSAASSSASASKDRLNSPTPAPPPPAPPPPAPKSSSSSSAELYLNIYDISPINHYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFQVEPKSCPGFIFRRSVCVGSTDMSRSEVRSFIEDLAEDYHGDTYHLIAKNCNHFTADICKRLTGKPIPGWVNRLARLGSFCNCVLPESIKVSAVKDVTDPPEFPDDDMESNASIVDGSDADDLDHLLITPSSDVVSSKDKILTPGRDSL >ONIVA03G00130.2 pep chromosome:AWHD00000000:3:68549:72283:1 gene:ONIVA03G00130 transcript:ONIVA03G00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPASWSSAASSSASASKDRLNSPTPAPPPPAPPPPAPKSSSSSSAELYLNIYDISPINHYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFQVEPKSCPGFIFRRSVCVGSTDMSRSEVRSFIEDLAEDYHGDTYHLIAKNCNHFTADICKRLTGKPIPGWVNRLARLGSFCNCVLPESIKVSAVKDVTDPPEFPDDDMESNASIVDGSDADDLDHLLITPSSDVVSSKDKILTPGRDSL >ONIVA03G00120.1 pep chromosome:AWHD00000000:3:57422:71707:-1 gene:ONIVA03G00120 transcript:ONIVA03G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHTYLSSSHIATQQEIIRLILRKQPHNSALLLLLLFGAGGGGAGGGGAGVGELRRSLEAEAEEEAAEDHDAGSTRMAGSAAPPHYHDEEDQRVGEDQRIAKVHLDFPLSLQGKEGGAFIYKRGGEGRRRRREVEAAGSAADIKRMEAAAIERDPLSSSCDGHRA >ONIVA03G00110.1 pep chromosome:AWHD00000000:3:57260:67425:1 gene:ONIVA03G00110 transcript:ONIVA03G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 7 [Source:Projected from Arabidopsis thaliana (AT3G60330) TAIR;Acc:AT3G60330] MLMEVANAMDAITNETVDLEHIPVEEVLDHLKCTREGLTSEAAQQRIHSFGYNKLEEKQESKLLKFLGFMWNPLSWVMEAAAIMAIALAHGGRDARGKRMRIDYHDFIGIVLLLFINSTISFMEENNAGNAAAALMARLAPKAKVLRDGTWDELDASLLVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAAGMVIELLVMYAVHERKYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLGVDKNLIEVVFEKGIEKDDVVLMAARASRLENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAEGKMHRVSKGAPEQILNFASNKCEIERKVHHVIGNFAERGLRSLAVAYQEVPEGTKESPGGPWQFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDRKDGIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITVRIVLGFLLLACFWKFDFPPFLVLVIAILNDGTIMTISKDKVKPSPYPDSWKLTEIFATGVIIGAYLAVTTVLFFWAAYKTQFFVHLFNVDTLNINKVDTTDNELVARNTEKLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLMAAFVIAQLIATVLAAIATWEVASIRGIGWRWAGAIWVYNIVVYLLLDPMKFAVRYGLSGKAWNLVIDNKVAFTNRKDFGREARVVAWAHEQRTLHGLQSAASREKAASTELNQMAEEARRRAEITRLRELHTLKGKVESVAKLKGIDLEDVNNQHYTV >ONIVA03G00100.1 pep chromosome:AWHD00000000:3:55301:57017:-1 gene:ONIVA03G00100 transcript:ONIVA03G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGIPSGWDPSSCLPIQREARAERLSVWRRSLPPVREDIRRGWGRRPARREEDAAAGDEPCAVSSCYIEDLVCVASRQQHIVAKPPAGDTSTKRGRASVAGARERSDGGGRLAGAREGRGGGGGSRIQGTERRRWPEPGEGGARRRRRILPRPRSTSELVAGDRILRWELVTGGRVRRPRLNPRLCSPRRRCRHHHAGSSAVHAPHWCSALAPSLSPRRILRRPCDHAPRWSSPVAVSSTPELVADGRVLHAEACRRRRWSSLSVPPASARLRSLLPRWPVLPCLREVKRERSRWDSILTGYRYIAAPIIIM >ONIVA03G00090.1 pep chromosome:AWHD00000000:3:40275:54026:1 gene:ONIVA03G00090 transcript:ONIVA03G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALERCFQAVPTDAVAGIVDCVLASSFWSSPSQLFHALLHSDHAAASSLPHAAALCHLLALLDQERPAEDSVRALLWRVFLPLLRQEDQLQHTIALMCDAVSTNQSFSDLLGATILPLCIRSSAIAMDMDLDSTPLFVYQTGEDPPPPAPGGLLLLPISKATAVLASLLQHTLERNRKSTSLNALLHNLTWDLSSLALNMFGHSQEYRSCATRVLLHPLLLSLADVSCVTVHLELAAAPQLKLSRSGFLESIWASCLSLFALGPAERLDAYNILSLYLSTLKHVILGPDEYDLRNSNDFWDEIRRGLVDKDSLVRKQALYILRISLDIFSSSEDNGAQQCSRRRSAALPAQDKSNTAMTKRERWAQKEAKSLGIGEMSQSDENCSSGKDRWKVFLLLYEMLQEYGTHLVEAAWTHQVMLLFESTPRSDYSNHMSYTVFHAQMESFEGFFHWMVVLWERGFTHDNPQVRYLVMHSFLDITWEHYLVCPQIVPRGFVLGPLLRGLNDVVHHKDFGVKGVYDSKIIKGAERFFGLYAQRLTTRDRLHLVWSLSSSAKQDSFGRAGLMALAFCVASCACQLNTNDLPCDSAGQEMAKCDGDAHTKVNIEDLLDALMILCEKSKQHFNPKYRLKVCEQVMKATTSLISAAEIPLNQLLYFISAIPREFTDYYGELRPMVQKWFVEKKECSSGNTLLEKLIDFPTTFVKHTEVNGPYLFDDEDVGAWEAEARRWARTLLLVTSEEQHFTQIFVFLEKYGNNLSEEYPTGECIQVKFFIIVLCLIEELEVKHKRLIHQNNTISKEGSDSSNGLEHHALNKKLAKFLLIILENMVIFSRTSCSIFWLRNSEDMDLPSSVKGKLGGPSQRRLPTSTTSLVLQAIWSMRSISSIVTWCNNYCSDISLCSTLTFFWEFCWEVIQHHSYATEVGGELHLAAYEALSYVLPTLSIACTSQFLDLVEPKQINQNSKFSLDFLVISFLDNINNLLVNGVLKRSRRAVLMCWKWLCLDSLLSFSCCRGENESLLKMLYPLFSESTLRSIFVDIIESLENAGENSVLAILRCVRSVLGLLHFSMRTRNLSSLGISYEMMMQFVKSSWILHLSCNKRRVAPIAALLSAVLHPAIFPNLEMHQENEKGPGPLKWFIENLLGEGSKSPRTIRLAALHLSGIWLMYPKTLSFYMEELKLLSLYGSVAFDEDFEAELSENHEARLEVSMLAQSPDREFTEVFINTELYARVSVAVLFDHLWKQIEVKSTLETEEALRSGKLFLLKLLDSAVNDKDISRELYKKYSSYVEDDIVKEVTSSIHICLYRNNLPAVRQYLETFAILIYLKFPALAEEQLIPIFHDNEMRQQALSSYVFIAANLILHSRELAVQINHLNELFPPIMPFLTSHHHSLRGFTQLLVHCVLSKMGSVLALGSSENPVFERRCFQDLKRYLAENTDCVRLRASVERFLDVFNPDTSGTPSGIFSSRPEVSFDFECVPVSVMERVINFLNDVREDLRQSIAKDSITIKNEDLTAEMHHKEDRTDENIVELLEPSQDVLNFQKKITPYRNFDQPLNVGGHSVVGDDYISRLLLDLEGDDQQLDLALESRNHAVETIKQSQQQLIVVASLVDRIPNLAGLTRTCEIFKAAGLAVADKSIIEDKQFRLISVTAEKWLPMMEVPVNSVKVFLEKKRLEGYSVIGLEQTANSKPLDHFSFPSKTVLVLGREKEGIPVDIIHVLDACVEIPQLGIVRSLNVHVSGAIAVWEYTRQQRIAT >ONIVA03G00090.2 pep chromosome:AWHD00000000:3:40275:54026:1 gene:ONIVA03G00090 transcript:ONIVA03G00090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALERCFQAVPTDAVAGIVDCVLASSFWSSPSQLFHALLHSDHAAASSLPHAAALCHLLALLDQERPAEDSVRALLWRVFLPLLRQEDQLQHTIALMCDAVSTNQSFSDLLGATILPLCIRSSAIAMDMDLDSTPLFVYQTGEDPPPPAPGGLLLLPISKATAVLASLLQHTLERNRKSTSLNALLHNLTWDLSSLALNMFGHSQEYRSCATRVLLHPLLLSLADVSCVTVHLELAAAPQLKLSRSGFLESIWASCLSLFALGPAERLDAYNILSLYLSTLKHVILGPDEYDLRNSNDFWDEIRRGLVDKDSLVRKQALYILRISLDIFSSSEDNGAQQCSRRRSAALPAQDKSNTAMTKRERWAQKEAKSLGIGEMSQSDENCSSGKDRWKVFLLLYEMLQEYGTHLVEAAWTHQVMLLFESTPRSDYSNHMSYTVFHAQMESFEGFFHWMVVLWERGFTHDNPQVRYLVMHSFLDITWEHYLVCPQIVPRGFVLGPLLRGLNDVVHHKDFGVKGVYDSKIIKGAERFFGLYAQRLTTRDRLHLVWSLSSSAKQDSFGRAGLMALAFCVASCACQLNTNDLPCDSAGQEMAKCDGDAHTKVNIEDLLDALMILCEKSKQHFNPKYRLKVCEQVMKATTSLISAAEIPLNQLLYFISAIPREFTDYYGELRPMVQKWFVEKKECSSGNTLLEKLIDFPTTFVKHTEVNGPYLFDDEDVGAWEAEARRWARTLLLVTSEEQHFTQIFVFLEKYGNNLSEEYPTGECIQVKFFIIVLCLIEELEVKHKRLIHQNNTISKEGSDSSNGLEHHALNKKLAKFLLIILENMVIFSRTSCSIFWLRNSEDMDLPSSVKGKLGGPSQRRLPTSTTSLVLQAIWSMRSISSIVTWCNNYCSDISLCSTLTFFWEFCWEVIQHHSYATEWLCLDSLLSFSCCRGENESLLKMLYPLFSESTLRSIFVDIIESLENAGENSVLAILRCVRSVLGLLHFSMRTRNLSSLGISYEMMMQFVKSSWILHLSCNKRRVAPIAALLSAVLHPAIFPNLEMHQENEKGPGPLKWFIENLLGEGSKSPRTIRLAALHLSGIWLMYPKTLSFYMEELKLLSLYGSVAFDEDFEAELSENHEARLEVSMLAQSPDREFTEVFINTELYARVSVAVLFDHLWKQIEVKSTLETEEALRSGKLFLLKLLDSAVNDKDISRELYKKYSSYVEDDIVKEVTSSIHICLYRNNLPAVRQYLETFAILIYLKFPALAEEQLIPIFHDNEMRQQALSSYVFIAANLILHSRELAVQINHLNELFPPIMPFLTSHHHSLRGFTQLLVHCVLSKMGSVLALGSSENPVFERRCFQDLKRYLAENTDCVRLRASVERFLDVFNPDTSGTPSGIFSSRPEVSFDFECVPVSVMERVINFLNDVREDLRQSIAKDSITIKNEDLTAEMHHKEDRTDENIVELLEPSQDVLNFQKKITPYRNFDQPLNVGGHSVVGDDYISRLLLDLEGDDQQLDLALESRNHAVETIKQSQQQLIVVASLVDRIPNLAGLTRTCEIFKAAGLAVADKSIIEDKQFRLISVTAEKWLPMMEVPVNSVKVFLEKKRLEGYSVIGLEQTANSKPLDHFSFPSKTVLVLGREKEGIPVDIIHVLDACVEIPQLGIVRSLNVHVSGAIAVWEYTRQQRIAT >ONIVA03G00080.1 pep chromosome:AWHD00000000:3:31616:40106:1 gene:ONIVA03G00080 transcript:ONIVA03G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Restriction endonuclease, type II-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G41150) TAIR;Acc:AT5G41150] MLPFEEQVVADLLEDPNGGLVVVSCGLPVASLASAVLLQLFHQSPDDGCFLILSAPEPVKAQIRRRLLLNSQSQPPLLQLQVHDVAPDLPAHHRVALYASRAALFLSPRALVADLLTSRLLPSRVRALLLLSAHRSSDTSSDAFVARLLRHHHLLPVYAFSDRPHAMVSGFAKAERAMKSLYIRRLHLWPRFHLLAAADLERSPPEVIDVRVPMTQPMRGIQAAILDAMDACLKELRRTNKVDVEDLTVDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLVRYDAVTYLKYLDTLRVSEGVRSVWIFADSSHKIFDLSKRRVYQVVRADGTKVAADNKGTPTKKRKVARASSRKGKETENEGSSDNKDNTQKVNAEMGIVVEEILEEAPKWKVLRELLQEIAEEQAKGDGDNVNPVHEDGINESGIVLVACKDERSCVQLQECISKGSHQVMRAEWEKYLLGKAELHGLHRKNKKTSQQLKGFGVLDGEVPMRSGESAGPVSISKLEANALLAAASEISTVTKEANLADDSNVSCKKRSFGKGKGKGKFKKTMAKREASKLRNRNTTEHTDLEVEGQSGKPDEQAETDACKLSAEDDSASAPAVDKAANNLSAFGDSVDTEPLPPVQFYALDSDQHVLDVWKPSVIIVYHPDITFVREIEVYKAENPSMKLKVYFLFYEDSAEVQKFESSIRRENEAFESLIRQKSLMMIPVDQNGRCIGPTLANEPEALLSQNSLTRKAGGRKPLEKEMQVIVDMREFMSSLPNVLHQKGIRIIPVTLEVGDYVLSPLICVERKSIADLFQSFASGRLYNQIETMVRYYKIPVLLIEFSQDKSFSFQSASEIGDDVSPTNIISKLSLLVLHFPRLRIVWSRSLHATADIFLSLKTNQDEPDESKAMRVGVPSEDGVVENDVRAENYNTSAIEFLRRLPGVTDSNYRRIMEECNSLAELALLPVERLSELMGTQKGARMLKEFLDAKCPTML >ONIVA03G00070.1 pep chromosome:AWHD00000000:3:24634:25320:-1 gene:ONIVA03G00070 transcript:ONIVA03G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator/pterin dehydratase [Source:Projected from Arabidopsis thaliana (AT5G51110) TAIR;Acc:AT5G51110] MRLAAGVEWWTGGCSCSSSPFQSNPMALTNHILAPAAAAACCFGRRVPLPPPHQLAVRRKQKSVVVAMADLLGDFGARDPFPEEIESNFGERVLGNVDTLHNILIPTLSVLSIARLPLEPNPAPVDAADARRLLHKVVGWRLLDDADGMRLQCVWKVRDEACGHELVARINAAVDGAPATVVFEAPNQVRAELQTPSAGGLTVNDFIVAARIDKVKTVDLIPKKRVWA >ONIVA03G00060.1 pep chromosome:AWHD00000000:3:23801:24412:1 gene:ONIVA03G00060 transcript:ONIVA03G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSKALAAVVAISVSLSAAAMGVDATVESTCSDAAASDKRVHLAMCLSQLGHHRDADAWGLAKAATLVGVDKADLAADDIKELEAGASTAGIKPALAECAKQYRGVGFAFASAHDVINNRAYDVGEKKLDEALSLTQKCNAAFAKIGVPLQQPLAQLTADTIQIAIIAKAITCLVNVNNNPALVAAAAAAAAAKAPQQSQYP >ONIVA03G00050.1 pep chromosome:AWHD00000000:3:17625:20717:-1 gene:ONIVA03G00050 transcript:ONIVA03G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Inner nuclear membrane protein MAN1 (InterPro:IPR018996); Has 58 Blast hits to 58 proteins in 29 species: Archae - 0; Bacteria - 4; Metazoa - 11; Fungi - 15; Plants - 20; Viruses - 0; Other Eukaryotes - 8 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G46560) TAIR;Acc:AT5G46560] MPMPSRARRRSKSRWPLGEPPPGLFPARDDLLRLLAVVSIAAAAAAACSLLNRRPKPLCDSGGAAYTHHDSCQPCPPHGRCVDGNLECVQGFNKYGNLCIEDGLVSQTATKILLERRICDQYARALCGQPAKILFQELDILNMADELLSKGFVGLSQDGAKVAKIKVLDSARAFFEKTFSSDGVEEFKCPDLVAELYRPLTCQIRQWISRNIMSVTAFGVLFSALLWILWSIYKRQALSKRAEQIYAQVCEVLEDNAIDAKIGNSECEPWVVTSWLRDHLLVPQERRNAFLWKKVEELILEDSRIDQYPKVVKGESKVVYEWQASGSLSGKKKVKKMQGVAAGKSRADGAAGGAIKLAEELDAG >ONIVA03G00050.2 pep chromosome:AWHD00000000:3:17625:20717:-1 gene:ONIVA03G00050 transcript:ONIVA03G00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Inner nuclear membrane protein MAN1 (InterPro:IPR018996); Has 58 Blast hits to 58 proteins in 29 species: Archae - 0; Bacteria - 4; Metazoa - 11; Fungi - 15; Plants - 20; Viruses - 0; Other Eukaryotes - 8 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G46560) TAIR;Acc:AT5G46560] MPMPSRARRRSKSRWPLGEPPPGLFPARDDLLRLLAVVSIAAAAAAACSLLNRRPKPLCDSGGAAYTHHDSCQPCPPHGRCVDGNLECVQGFNKYGNLCIEDGLVSQTATKILLERRICDQYARALCGQPAKILFQELDILNMADELLSKGFVGLSQDGAKVAKIKVLDSARAFFEKTFSSDGVEEFKCPDLVAELYRPLTCQIRQWISRNIMSVTAFGVLVCEVLEDNAIDAKIGNSECEPWVVTSWLRDHLLVPQERRNAFLWKKVEELILEDSRIDQYPKVVKGESKVVYEWQASGSLSGKKKVKKMQGVAAGKSRADGAAGGAIKLAEELDAG >ONIVA03G00040.1 pep chromosome:AWHD00000000:3:16332:17492:1 gene:ONIVA03G00040 transcript:ONIVA03G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFVKISAPCSLAGHGTTSSSSGSSRSKRGLLLPVGAGAGAGAGAGAYGGRQYSDVVPVPALSQAQASSSGGRLRIFSGTANPRLAQEVACYLGMELGRVKIKRFADGELYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKTITAVVPYFGYARADRKMRGGRESIAAKLVANLITEAGAHRVLACDLHSGQSIGYFDIPVDHVYGQPVILDYLASKTICPDEVVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQGHNQSEVVHLIGDVRGKVAVMVDDMIDTAGTVSQGAELLHREGARAVYACSTHAVLSPPAVDRLSSGLFQEVIVTNTVPVLHHRTFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >ONIVA03G00030.1 pep chromosome:AWHD00000000:3:10159:14047:1 gene:ONIVA03G00030 transcript:ONIVA03G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPFAAASSSSSSTVTNTCKLRLRLSYTHCSIGFAPRVRSWIRASAEGRGGDQRRSGRLAADGPRVVEVAAPPAAPVVTGGGGGGGGFAARDAELAMWERLGAVVRLSYGIGIYAAMALTGRFICQMAGIDCTGGFHPSLSALVEGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFILIVTASSIGEELFYRVAVQGALADIFLRGTELMKDARGIASLSGMVPPFVPFAQTFAAAITAALTGSLYYVATAPKDPTYVVTPAMRSNTGRENLKKLFAAWYERRQMRKIYSPLLEGILAFYLGFEWIQTDNILAPMITHGIYSTVVLGHGLWKIHDHRRRLRQRIQEIRQEGTTNSDTL >ONIVA03G00020.1 pep chromosome:AWHD00000000:3:5655:6479:-1 gene:ONIVA03G00020 transcript:ONIVA03G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein [Source:Projected from Arabidopsis thaliana (AT5G46700) TAIR;Acc:AT5G46700] MALNYVGLAAINLVAALLSIPVIAAGIWLSAQVDSACVQLLQWPLIGLGVAVLAVGLAGFVAAFWRLPWLLLAYLVGMLLLVVALACLAVFVFVVTGGASSGGHTVPSRAFLEYELDDFSGSWLRGRVDEPAGRWEQIKTCLAATPICSDVNQTYATAQDFFSASWLTPLQSGCCKPPTRCGYTFVTPISWISPISAAADPDCGAWSNDPSQLCYSCSSCKAGLLHNLSREWRRADLILLVATVALLAVYAFACYAFRTAKTDDLFRRYRQGYT >ONIVA03G00010.1 pep chromosome:AWHD00000000:3:1645:3794:1 gene:ONIVA03G00010 transcript:ONIVA03G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRNTSPPTSASNNSSSSSSKAKKKAVTPAQVAFLVERYLADNGFSASLAAFRTDAAHLFTKAAPVPPKGLLPLSDILHDYVALKEARLAVDSAMHAMHNLVSAYYPHHPPPPAPAPSSPTQFFAASSPPAVPAGAGAVAGYASPIIRYTQTSSSVVVHNSSTSEANAMSTPAQAPAAAPISFPAKKRKAATTKSAAKSKKTCIAPTISSHPKGKTVASQLSLDNSERHSAMAKLPVQGSSVAKSLFNPLQPQVHSSPCTPQQNNPIVAYQTERASSSVVANAHTQQEVASSQCSMVSSKTLIVSPLKGAAYYAVERSYHVSSPLKPSSHKSSKREHVKGKLDFGTCDDRPCSNEAICEEASTSSDVEKQDDFDIDFTNLDIFDGDFSFSELLVDLDLDSEAVHCLNPPTNAEVQRLEGVADPMKAMAEDPTEDINSQGAASAVTCVRAITKRIKIVSPVYSATFYLYVALDGKHVS >ONIVA02G41390.1 pep chromosome:AWHD00000000:2:35056764:35057644:-1 gene:ONIVA02G41390 transcript:ONIVA02G41390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDGAQDEQMAILLIKTAPWNLLIAKMLTESGMRDSGLDSSSASHKEAMMTQDLSLDSKFDLLLKTTGENKKKRVEAEERSRAHFLDIKRVEEKSQVDFHELKKAVKVRLPQVEK >ONIVA02G41380.1 pep chromosome:AWHD00000000:2:35053220:35053966:-1 gene:ONIVA02G41380 transcript:ONIVA02G41380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLGGVGSPQLSLSSCSSFLSISSAGTSAADGAPHLSLGVGGAEELDLLLQVGIGGGGGGGGDEEEEERKTIRMMKNRESALRSRARKRAYVQELEKEVRRLVNENLKLKRHCKQLKTEMAALIQQPTNKQSSHRRSSST >ONIVA02G41370.1 pep chromosome:AWHD00000000:2:35047042:35050016:1 gene:ONIVA02G41370 transcript:ONIVA02G41370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAKCSDEVNDGTYFIAGVMAVTGLMATVLALSGIFHCALRRVGQPSIISHILAGVVVGPTVLGRAVDLRPLGMQDAGSALSDTIYFVRIIFMFFIGLEMDLRYLRHHLRRSLAIACGGSGLCLLLAALAGPFFYGLLHPGQGPFKPEKLYASTALFMLVLTTTASPVLIRIVTELKLTGSEAGQLAIGAAFANDMASLSVFSIMVVGTTAYGPDGQPTPSFPDMSIVMSMAFTACLAVLAAARAARLLNRLKRGRRYVSKYELCAMLLLIIALSLLEQVFGYSASMTAFLIGLAMPRDGPTARTLVDRLTYPVHQLVMPLCFGAIGARLDFAAVGSFTAMQFAVAVAFTTLLGAVGKVGGTVLAGRMLGISARESLVLGFLLNVKGYCDILAINFGNQAGIWGQTAQVVLLLSSILNTFMAGPAAAAIVRQQRAASRYRSRCLQDLKVDHELRVLVCVHGAGGVHTMLTLAELSKGTAPLAVYLLHLVELMAARKYAITHLYHDADADDDEWGYAREIEQVAAAVNTFTYDAGVPVRQMTAISSLGSMDADVRNGVEDSRASLVIVPFHKEQRYDGRMVCRREGRRQLNQRILQRLPCTVGVLVERRLGGGGDKGAEDVVKNQVVAVFLGGPDDREAVAYATRLAAHPWVSVTVVRFLPARQDDITIGIDEQLLATTKSHGGEGAMEVAVEDEEAMADEEFMADVYARLVLAGQVEYTERYVSNGAEMVNSLSAMVGTYSLFVVGKGGGGSAAAAMTSGMGGLLEDECPELGPVGEVLASDDFTACGSVLVLQQHSAHVHHRMRRWNPNIHITTTPSSSDHHSHPP >ONIVA02G41360.1 pep chromosome:AWHD00000000:2:35039940:35044445:-1 gene:ONIVA02G41360 transcript:ONIVA02G41360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLLTSRGTHSPAPRPQTRRASQLLVSFPSRRSRFVGLRLARAAADSQGPNGAAPGSGSGGDGEGKAANGADTKLPKNRRDILLEYVKNVQPEFMELFIKRAPPQVVDAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEENDSADYAPGTQKKVTGEVIRWNKTTGPEKIDAVKYIELLEAEIDELSHQVARKSSQGSNELLEYLKTLEPQNLKELASSAGEDVVFAMNAFIKRLLAVSDPAQMKTTVSETSANQLANLMFWLMIVGYSMRNIEVRFDMERVLGAAPKIGELPPGENI >ONIVA02G41350.1 pep chromosome:AWHD00000000:2:35032411:35037688:-1 gene:ONIVA02G41350 transcript:ONIVA02G41350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPSPSPASGAQYAHQFLNTALSQRGPSALPYAEDVKWLIRNHLVALADAFPSLHPKAALFTHNDGRAAHLLQADGTIPIHHAGASYNLPAVLWLPEPYPRSPPLVFLSPTRDMVIKPHHPLVDRSGLVANAPYLRSWVFPSSNLVDLVRSLSHLSLAFPASPQLAARPPPTEDPAEVYKRNAIAKLVDMAYADAATLRPVREAEVDTLFAMQATLRSRGEVVSDGVRKMGEEKEALERRLQDVMMATDLMEAWVMENTKGAAGDTEADEAIETADVLSKQMLECTAADLALEDTIYALDKAIQEGSVPFDGYLRSVRALAREQFFQRVLSTKVNKAQQQAQVSGANVINNFFGVWVWVYLGFLPYTQLSYVFKRKTYGRPYISSACVLLTSYILSCADLLLLLPVVPLPLLGGPLPWTQQRSHTAQVEEQQANVAAVAVVQGVQRAVWELVDEVEVADERKQRRCAAVQQQRKDFEVVIEDGRS >ONIVA02G41340.1 pep chromosome:AWHD00000000:2:35017896:35031029:1 gene:ONIVA02G41340 transcript:ONIVA02G41340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFE7] MATAGVVVEEAVRRYAGGKPAELLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYFLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVVVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKRLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRLFQGMRERNVITWSTVIAACAHNGRPHAAMACFVAMLETGERPNSITVLSLVEACGSCAEMWASRRAHGVAVRSGLGFELAVGNALVHMYGKCGELGASARVFDTMPVKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSSGGGSPAAWSALLSACRRRGDGGGGEVGRSAAARVLELEPGKSAGYLMSMGMGLGKGWAAGMRWAMREKGVKVESGHSVVQHAGGSERFVWWDGAHPRRAEVYAMLHLLHRHMKHHHTDADIQYICQCQVDNN >ONIVA02G41340.2 pep chromosome:AWHD00000000:2:35022748:35031029:1 gene:ONIVA02G41340 transcript:ONIVA02G41340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFE7] MATAGVVVEEAVRRYAGGKPAELLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYFLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVVVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKRLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRLFQGMRERNVITWSTVIAACAHNGRPHAAMACFVAMLETGERPNSITVLSLVEACGSCAEMWASRRAHGVAVRSGLGFELAVGNALVHMYGKCGELGASARVFDTMPVKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSSGGGSPAAWSALLSACRRRGDGGGGEVGRSAAARVLELEPGKSAGYLMSMGMGLGKGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPSLSKRAVLLPPRLDQLEFSGYLKSGVSFSFHSWAMPILSTNEWAVQGTSILHIVSWWHAVRKNPLDFINDDHRDINGCSTRPNSYGSSSDMLTSMACDALAVSAQAMIASSYAILDYKRVQKIAMFALQIGVVSGLALSAGLYTSFSNIARLFTSDPVVLMVVKSCSLFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILRLLWKSGPWSFLHEEPKTELAD >ONIVA02G41340.3 pep chromosome:AWHD00000000:2:35022748:35031029:1 gene:ONIVA02G41340 transcript:ONIVA02G41340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFE7] MATAGVVVEEAVRRYAGGKPAELLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYFLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVVVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKRLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRLFQGMRERNVITWSTVIAACAHNGRPHAAMACFVAMLETGERPNSITVLSLVEACGSCAEMWASRRAHGVAVRSGLGFELAVGNALVHMYGKCGELGASARVFDTMPVKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSSGGGSPAAWSALLSACRRRGDGGGGEVGRSAAARVLELEPGKSAGYLMSMGMGLGKGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPSFHSWAMPILSTNEWAVQGTSILHIVSWWHAVRKNPLDFINDDHRDINGCSTRPNSYGSSSDMLTSMACDALAVSAQAMIASSYAILDYKRVQKIAMFALQIGVVSGLALSAGLYTSFSNIARLFTSDPVVLMVVKSCSLFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILRLLWKSGPWSFLHEEPKTELAD >ONIVA02G41340.4 pep chromosome:AWHD00000000:2:35022748:35031029:1 gene:ONIVA02G41340 transcript:ONIVA02G41340.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFE7] MATAGVVVEEAVRRYAGGKPAELLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYFLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVVVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKRLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRLFQGMRERNVITWSTVIAACAHNGRPHAAMACFVAMLETGERPNSITVLSLVEACGSCAEMWASRRAHGVAVRSGLGFELAVGNALVHMYGKCGELGASARVFDTMPVKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSSGGGSPAAWSALLSACRRRGDGGGGEVGRSAAARVLELEPGKSAGYLMSMGMGLGKGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPSLSKRAVLLPPRLDQWHAVRKNPLDFINDDHRDINGCSTRPNSYGSSSDMLTSMACDALAVSAQAMIASSYAILDYKRVQKIAMFALQIGVVSGLALSAGLYTSFSNIARLFTSDPVVLMVVKSCSLFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILRLLWKSGPWSFLHEEPKTELAD >ONIVA02G41340.5 pep chromosome:AWHD00000000:2:35022748:35031029:1 gene:ONIVA02G41340 transcript:ONIVA02G41340.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFE7] MATAGVVVEEAVRRYAGGKPAELLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYFLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVVVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKRLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRLFQGMRERNVITWSTVIAACAHNGRPHAAMACFVAMLETGERPNSITVLSLVEACGSCAEMWASRRAHGVAVRSGLGFELAVGNALVHMYGKCGELGASARVFDTMPVKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSSGGGSPAAWSALLSACRRRGDGGGGEVGRSAAARVLELEPGKSAGYLMSMGMGLGKGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPSFHSWAMPILSTNEWAVQGTSILHIVSWWHAVRKNPLDFINDDHRDINGCSTRPNSYGSSSDMLTSMACDALAVSAQAMIASSYAILDYKRVQKIAMFALQFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILRLLWKSGPWSFLHEEPKTELAD >ONIVA02G41340.6 pep chromosome:AWHD00000000:2:35022748:35030017:1 gene:ONIVA02G41340 transcript:ONIVA02G41340.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFE7] MATAGVVVEEAVRRYAGGKPAELLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYFLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVVVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKRLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRLFQGMRERNVITWSTVIAACAHNGRPHAAMACFVAMLETGERPNSITVLSLVEACGSCAEMWASRRAHGVAVRSGLGFELAVGNALVHMYGKCGELGASARVFDTMPVKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSSGGGSPAAWSALLSACRRRGDGGGGEVGRSAAARVLELEPGKSAGYLMSMGMGLGKGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPSFHSWAMPILSTNEWAVQGTSILHIVSWWHAVRKNPLDFINDDHRDINGCSTRPNSYGSSSDMLTSMACDALAVSAQAMIASSYAILDYKRVQKIAMFALQIGVVSGLALSAGLYTSFSNIARLFTSDPVVLMVVKSCSLFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILRLLWKSGPWSFLHEEPKTEV >ONIVA02G41340.7 pep chromosome:AWHD00000000:2:35022748:35029641:1 gene:ONIVA02G41340 transcript:ONIVA02G41340.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFE7] MATAGVVVEEAVRRYAGGKPAELLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYFLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVVVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKRLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRLFQGMRERNVITWSTVIAACAHNGRPHAAMACFVAMLETGERPNSITVLSLVEACGSCAEMWASRRAHGVAVRSGLGFELAVGNALVHMYGKCGELGASARVFDTMPVKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSSGGGSPAAWSALLSACRRRGDGGGGEVGRSAAARVLELEPGKSAGYLMSMGMGLGKGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPSFHSWAMPILSTNEWAVQGTSILHIVSWWHAVRKNPLDFINDDHRDINGCSTRPNSYGSSSDMLTSMACDALAVSAQAMIASSYAILDYKRVQKIAMFALQFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILREHVN >ONIVA02G41340.8 pep chromosome:AWHD00000000:2:35022748:35030017:1 gene:ONIVA02G41340 transcript:ONIVA02G41340.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFE7] MATAGVVVEEAVRRYAGGKPAELLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYFLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVVVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKRLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRLFQGMRERNVITWSTVIAACAHNGRPHAAMACFVAMLETGERPNSITVLSLVEACGSCAEMWASRRAHGVAVRSGLGFELAVGNALVHMYGKCGELGASARVFDTMPVKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSSGGGSPAAWSALLSACRRRGDGGGGEVGRSAAARVLELEPGKSAGYLMSMGMGLGKGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPSFHSWAMPILSTNEWAVQGTSILHIVSWWHAVRKNPLDFINDDHRDINGCSTRPNSYGSSSDMLTSMACDALAVSAQAMIASSYAILDYKRVQKIAMFALQFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILRLLWKSGPWSFLHEEPKTEV >ONIVA02G41340.9 pep chromosome:AWHD00000000:2:35022748:35029178:1 gene:ONIVA02G41340 transcript:ONIVA02G41340.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFE7] MATAGVVVEEAVRRYAGGKPAELLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYFLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVVVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKRLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRLFQGMRERNVITWSTVIAACAHNGRPHAAMACFVAMLETGERPNSITVLSLVEACGSCAEMWASRRAHGVAVRSGLGFELAVGNALVHMYGKCGELGASARVFDTMPVKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSSGGGSPAAWSALLSACRRRGDGGGGEVGRSAAARVLELEPGKSAGYLMSMGMGLGKGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVFFIGLGNLSAVVLLPLLIYVFRLGITGAAISTVASQYVDQLVIDRAFIHGPCPFCPLMNGLFRELLFSILFRGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQAMIASSYAILDYKRVQKIAMFALQFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILR >ONIVA02G41330.1 pep chromosome:AWHD00000000:2:35012051:35017323:-1 gene:ONIVA02G41330 transcript:ONIVA02G41330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRILLLAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQHLDLEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVYDPKALYRSDFFPGLGWMLTKPTWIELSPKDRQFIRPEVCRTYNFGEHGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAALKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTYARMKGNEILIDI >ONIVA02G41330.2 pep chromosome:AWHD00000000:2:35012051:35017323:-1 gene:ONIVA02G41330 transcript:ONIVA02G41330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRILLLAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQGNSRSNGYKLSSEKQGHNHTPNLWMYCLKGKLDATHLDLEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVYDPNFTYWDDWVRLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAALKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTYARMKGNEILIDI >ONIVA02G41330.3 pep chromosome:AWHD00000000:2:35012051:35017323:-1 gene:ONIVA02G41330 transcript:ONIVA02G41330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRILLLAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQHLDLEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVYDPNFTYWDDWVRLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAALKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTYARMKGNEILIDI >ONIVA02G41330.4 pep chromosome:AWHD00000000:2:35012051:35017323:-1 gene:ONIVA02G41330 transcript:ONIVA02G41330.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRILLLAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQGNSRSNGYKLSSEKQGHNHTPNLWMYCLKGKLDATHLDLEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDNWKAKDFICNVSNCFAAIPVTVGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAALKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTYARMKGNEILIDI >ONIVA02G41330.5 pep chromosome:AWHD00000000:2:35012051:35017323:-1 gene:ONIVA02G41330 transcript:ONIVA02G41330.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRILLLAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQHLDLEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVYDPRVKHGAILQTIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAALKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTYARMKGNEILIDI >ONIVA02G41330.6 pep chromosome:AWHD00000000:2:35012051:35017323:-1 gene:ONIVA02G41330 transcript:ONIVA02G41330.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRILLLAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQGNSRSNGYKLSSEKQGHNHTPNLWMYCLKGKLDATHLDLEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAALKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTYARMKGNEILIDI >ONIVA02G41330.7 pep chromosome:AWHD00000000:2:35012051:35017323:-1 gene:ONIVA02G41330 transcript:ONIVA02G41330.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRILLLAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVQTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQHLDLEPVRTERPGELIAYYKIAKHYKWALDELFIKHNFARGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAALKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTYARMKGNEILIDI >ONIVA02G41320.1 pep chromosome:AWHD00000000:2:35006567:35011688:1 gene:ONIVA02G41320 transcript:ONIVA02G41320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G12100) TAIR;Acc:AT3G12100] MAMDEPPKGWNPNYGVVGSGDRRLAFSRQLSSSMPRLARSDSSISMPPPPLAPTGAITFRWLATRPMRRLALLIALNVAYSATELAIGLLTARVGLVSDAFHLTFGCGILTFSLFAMAASRTKPDHLYTYGYKRLEVLAAFTNALATKLKINAVVMGKIAYLRKLGNQHNSRELNGVPDLKTQSCVKLKKCSDCRFSAMQPCELYKSKSSCRHYLIVSAVTNLLVNLLGVWFFRSYARVNIVYRKAEDMNYHSVCLHVLADSVRRVENAEVLCLGIVSVAVFMLVLPLFKATGNILLQIAPGNVPPSALTKCFRQISACEDVSEVCQGRFWELVPGHAVGSLDIRIWAYRT >ONIVA02G41310.1 pep chromosome:AWHD00000000:2:34989715:35006331:1 gene:ONIVA02G41310 transcript:ONIVA02G41310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGRRTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEGSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKDHEKKPDADLLGWLQAMFGFQKDNVSNQREHLILLLANVHIRQIPKPDQQPKLDDRALDTVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGDEEAFLKKVVTPIYKVIEKEAERSESSERSERSKTTKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPDYAYHDEVSGENRRVGSGQWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDAGVFKQVLSIFITAAILKLGQAILDIILSWKARRSMSLAGKLRYILKLISAAAWVVILPVTYAYTWENPTGLARTIKSWLGDGQNQPSLYILAVVIYLAPNMLSAVLFLFPVLRRALERSNLKVVTFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSYYVEIKPLVRPTKDIMKEPIRTFQWHEFFPHGNNNIGIVIALWAPIILVYFMDTQIWYAIFSTLIGGIYGACRRLGEIRTLGMLRSRFESLPKAFNQRLIPSDSNKRRGIRAAFSSKPTKTPEDSKEEEKIAARFAQIWNLIITSFREEDLIDNREKDLLLVPYCKDRDMDIIQWPPFLLASKIPIALDMAADSEGKDRDLKKRVKSDPYFTYAIKECYASFKNIIYTLVVGAKERDVIQKIFTVVDDHIAQDTLIKELNMSNLPTLSKKFIELLELLQKNNKEDQGQVIILFQDMLEVVTRDIMDEQLSGLLESVHGGNNRRYEGITPLDQQDQLFTKAIDFPVKESHAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMDMPSAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKHFLQRVDCNTEEELRETEQLEDELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARDEDLREGFRAADLLNDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDHRAQDILRLMTTNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMMTVWTVYVFLYGRLYLVLSGLDEALATGKRFIHNEPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEKEQEPIKYSGKRGIVLEIVLALRFFIYQYGLVYHLNITKHTKSVLVYCLSWVVIFVILLVMKTVSVGRRKFSADFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQAIKPVIVRIGLWGSIKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >ONIVA02G41300.1 pep chromosome:AWHD00000000:2:34982634:34987091:-1 gene:ONIVA02G41300 transcript:ONIVA02G41300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRGGGSRPQSKSQEGLRIHAKEKLPIASNALLQAHGEIHAATGAPTYLALLFRNFYPRLSANLGLGLAIHFRNNQPLPLAWDNFSYTLRASKAIIPFPSNALLGINLKGRLLADKYFNPTTRTAAVELAWTILDLKRGQDVRLKLGYQLLHKTLASWLSTVLSSSFLLKYGPKLSAANGIYYCLVPSKDHMPYFQLRENNWTFNAYMDGKWDDGCCIVRRTGGPDSMLLGTLECTADFSSLNKQLGAFGGQGEVAVHQSKLIHSDRLIDLSVRGRGGSIQVEQKRMRVLVHSAESPATPAAAISIDSDMVVILASLLCALICVAGLVLVARCACRRRGAATTTTTTTTTPAATSPAPKGLKKKAIDALPTVSFALKQQQQAECAICLAEFAGGEELRLLPHCGHAFHVSCIDTWLGTHATCPSCRATVGTSTLFLPLPGRCRRCGEVDLPTLHDFSTATATAHHNTPP >ONIVA02G41300.2 pep chromosome:AWHD00000000:2:34982634:34987091:-1 gene:ONIVA02G41300 transcript:ONIVA02G41300.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRGGGSRPQSKSQEGLRIHAKEKLPIASNALLQAHGEIHAATGAPTYLALLFRNFYPRLSANLGLGLAIHFRNNQPLPLAWDNFSYTLRASKAIIPFPSNALLGINLKGRLLADKYFNPTTRTAAVELAWTILDLKRGQDVRLKLGYQLLHKMPYFQLRENNWTFNAYMDGKWDDGCCIVRRTGGPDSMLLGTLECTADFSSLNKQLGAFGGQGEVAVHQSKLIHSDRLIDLSVRGRGGSIQVEQKRMRVLVHSAESPATPAAAISIDSDMVVILASLLCALICVAGLVLVARCACRRRGAATTTTTTTTTPAATSPAPKGLKKKAIDALPTVSFALKQQQQAECAICLAEFAGGEELRLLPHCGHAFHVSCIDTWLGTHATCPSCRATVGTSTLFLPLPGRCRRCGEVDLPTLHDFSTATATAHHNTPP >ONIVA02G41300.3 pep chromosome:AWHD00000000:2:34982634:34987091:-1 gene:ONIVA02G41300 transcript:ONIVA02G41300.3 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRGGGSRPQSKSQEGLRIHAKEKLPIASNALLQAHGEIHAATGAPTYLALLFRNFYPRLSANLGLGLAIHFRNNQPLPLAWDNFSYTLRASKAIIPFPSNALLGINLKGRLLADKYFNPTTRTAAVELAWTILDLKRGQDVRLKLGYQLLHKTLASWLSTVLSSSFLLKYGPKLSAANGIYYCLVPSKDHMPYFQLRENNWTFNAYMDGKWDDGCCIVRRTGGPDSMLLGTLECTADFSSLNKLIDLSVRGRGGSIQVEQKRMRVLVHSAESPATPAAAISIDSDMVVILASLLCALICVAGLVLVARCACRRRGAATTTTTTTTTPAATSPAPKGLKKKAIDALPTVSFALKQQQQAECAICLAEFAGGEELRLLPHCGHAFHVSCIDTWLGTHATCPSCRATVGTSTLFLPLPGRCRRCGEVDLPTLHDFSTATATAHHNTPP >ONIVA02G41300.4 pep chromosome:AWHD00000000:2:34982634:34987091:-1 gene:ONIVA02G41300 transcript:ONIVA02G41300.4 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRGGGSRPQSKSQEGLRIHAKEKLPIASNALLQAHGEIHAATGAPTYLALLFRNFYPRLSANLGLGLAIHFRNNQPLPLAWDNFSYTLRASKAIIPFPSNALLGINLKGRLLADKYFNPTTRTAAVELAWTILDLKRGQDVRLKLGYQLLHKMPYFQLRENNWTFNAYMDGKWDDGCCIVRRTGGPDSMLLGTLECTADFSSLNKLIDLSVRGRGGSIQVEQKRMRVLVHSAESPATPAAAISIDSDMVVILASLLCALICVAGLVLVARCACRRRGAATTTTTTTTTPAATSPAPKGLKKKAIDALPTVSFALKQQQQAECAICLAEFAGGEELRLLPHCGHAFHVSCIDTWLGTHATCPSCRATVGTSTLFLPLPGRCRRCGEVDLPTLHDFSTATATAHHNTPP >ONIVA02G41290.1 pep chromosome:AWHD00000000:2:34978661:34980592:1 gene:ONIVA02G41290 transcript:ONIVA02G41290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVVAAAAAAVGNMLQGWDNATIAGALLYMRRDLPALQAHPALQGLVVATSLIGATIVTTFSGPLSDSRGRRPMLIASALLYSLAGLLMLWSPNVPILLLARLVDGFAIGLAVTLVPVYISETAPPDTRGLLNTLPQLTGSTGMFLSYCMVFLITLAPIPNWRLMLGVLLLPALLYLLLTIFFLSESPRWLVSKGRMKEARTVLQMLRGRQDVSAEMALLVEGLTTGRDTAIEEYVVGPTDEASGLAPGSMFGSAVTLASRQGSMLDHLKDPVVALLDSLHDMNPPAGGTTDVPNLGSMIGVHDRPPIDWDEENSGDDDGDIAAPLLTMEGEAATSTVGIGGGWQLAWKWTEGVAADGTRQSTVKRMYLHEEQAEGVHAAALVSQSALCTKKEAEAEVEGGWREVLEPGGVRHALVCGVAIQILQQFSGISGVLLYTPQILEQAGVGVLLSRLGLRDDSASILISGVTTLLMLPSIGVAMRLMDVSGRRSLLLWTIPLLVASLAVLVAASVAPMAAAAHAAVCTGSVVVYLCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLAFWLADIAVTYTLPVMLASLGLAGLFAIYAAVCCVALVFVALRVPETKGLPLEVIIDFFNVGAKGTLPNLHDDDDHY >ONIVA02G41280.1 pep chromosome:AWHD00000000:2:34974265:34977254:1 gene:ONIVA02G41280 transcript:ONIVA02G41280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYYSCGASSTSSPTSPSLVDYYYCYHRYPSSRSSTSTATSSGGRMPIRSHQQRLSSPTAVLGHETPALREVYTVGRKLGQGQFGTTYLCTQVSTGAEYACKSIAKRKLLSPEDVEDVRREIQIMHHLAGHGSVVTIQGAYEDNLYVHIVMELCEGGELFDRIVERGYFSERKAAEITRVIVGVVEACHSLGVMHRDLKPENFLLKESSSSSSLKAIDFGLSVFFKPGQVFSDVVGSPYYVAPEVLCKHYGPEADVWTAGVIVYILLSGVPPFWAETQQGIFDAVLRGSLDFDSDPWPTISDSAKDLIRRMLRSPPRERLTAHQVLCHPWVCDDGVAPDRPLAPAVLSRLKQFSAMNRLKKMALRVIARNLSEEELAGLKEMFKAMDTDASGAITFDELKEGLRRYGSNLREAEIRDLMDAADVDKSGTIDYDEFIAATVHLNKLEREEHLLAAFAYFDRDGSGYITVDELEHACRDHNMADVGIDDIIREVDQDNDGRIDYGEFVAMMKKGAIDIIGNGRLTIGRPTTATSDDPSPTISSSSR >ONIVA02G41270.1 pep chromosome:AWHD00000000:2:34971473:34974091:1 gene:ONIVA02G41270 transcript:ONIVA02G41270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAPSPAPCFPSTRHTLPGLVSVRVSRRVKVAVAVADPAPAFNSVTAFAPATVANLGPGFDFLGCAVADASLSLGDTVTATLDPSLPPGTVAIASVTSPSRPTLADRLSRDPLRNCAGVAAIAALRALDVKSHAVSIHLTKGLPLGSGLGSSAASAAAAAKAVDALFGSLLHQDDLVLAGLESEKAVSGFHADNIAPAILGGFVLVRSYDPFHLIPLSSPPALRLHFVLVTPDFEAPTSKMRAALPKQVAVHQHVRNSSQAAALVAAVLQGDATLIGSAMSSDGIVEPTRAPLIPGMAAVKAAALEAGALGCTISGAGPTAVAVIDGEEKGEEVGRRMVEAFANAGNLKATATVAQLDRVGARIWEDCSGRSNLEWLTWTLVGGEEGGIGR >ONIVA02G41260.1 pep chromosome:AWHD00000000:2:34969548:34971322:-1 gene:ONIVA02G41260 transcript:ONIVA02G41260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSPLLALVFLAAGVLSSATSPPPPPCSRSCAALNCDSVGIRYGKYCGVGWSGCDGEEPCDDLDACCRDHDHCVDKKGLMSVKCHEKFKNCMRKVKKAGKIGFSRKCPYEMAMATMTSGMDMAIMLSQLGTQKLELSSKTYFQNPNLLIAPF >ONIVA02G41250.1 pep chromosome:AWHD00000000:2:34960807:34967339:-1 gene:ONIVA02G41250 transcript:ONIVA02G41250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRPTHRHHTEAPDPGGRGRGRGRAARYAQPQPQQQQQQQQQQQGRGCRARGASPPPPQQQQQQQPRSTPTRATTVTVASSSSTTATASSSPLAPELRQAIMEAPRPSELAQPSPTPPQEQPVDAATTTPHHIPSSSKSIRFPLRPGKGTIGTRCMVKANHFFAHLPNKDLHHYDVSITPEVTSRIVNRAVIKELVNLYKASYLGGRLPAYDGRKSLYTAGPLPFTSQEFQITLLDDDDGSGSERRQRTFRVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPYLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDQESLERSKGGSYPPWQHEAEVPDIWFDNSANSFPVDEGGTVKSVVQYFQETYGFAIQHTYLPCLTVQRLNYLPMEMVKHNAYQDDPYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMDFAPEPILPPLNAHPDQVERALKARYHDAMNVLGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIILGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKIWQDPQRGTVSGGMIRELLISFKRSTGEKPQRMIFYRDGVSEGQFYQVLLYELNAIRKACASLEANYQPKVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADALQILTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSSSVVSGPGVRGPLSGSSTSRTRAPGGAAVKPLPALKDSVKRVMFYC >ONIVA02G41240.1 pep chromosome:AWHD00000000:2:34953549:34961136:1 gene:ONIVA02G41240 transcript:ONIVA02G41240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GFC7] MAVGLRRSDSIADMMPEALRQSRYQMKRCFQRYVSQGKRLMKRQQLLDELDKSVDDKADKDQLLQGFLGYVISSTQEAAVLPPFVAFAVRMNPGIWEFVKVHSANLSVEQMTPSDYLKNKEALVDDKWGAYDDDSQLEVDFGALDLSTPHLTLPSSIGKGAHLVSRFMSSKLTDNKKPLLDYLLALSHRGDKLMINDILDTVDKLQTALLLAEVYVAGLHPDTHYSEFEQKYMLYYHTWFQEWGLEKGWGDTAETCKETLSSLSEVLQAPDPINMEKFFSTVPCVFTVVIFSIHGYFGQEKVLGMPDTGGQVVYILDQLTRLIPEAKGTKCNVELEPIENTKHSNILRVPFKTEDGKVLPQWVSRFDIYPYLERYAQDSSVKILEILEGKPDLVIGNYTDGNLVASLLTSKLGVTQGTIAHALEKTKYEDSDIKWRELDHKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKEKPGQYESHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTDLHPQIEELLYSKEVNNEHIGHLADRSKPIIFSMARLDKIKNITGLVEWYGQNKRLRDLVNLVIVGGLLDPSQSKDREEIEEINKMHSLINKYQLVGQIRWIKGQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDEVSGFHINPLNGKEASDKIADFFQKCKEDLIYWSKMSTAGLQRIYECYTWQIYATKVLNMASIYGFWRTLDKEERQAKQHYLHMFYNLQFRKLAKNVPTLGEQPAQPTESAEPNRIIPRPKERQDCNQLTWTTAPSLQFLNRWSLK >ONIVA02G41230.1 pep chromosome:AWHD00000000:2:34951088:34952662:-1 gene:ONIVA02G41230 transcript:ONIVA02G41230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEDASSTPFPGGADDYIRDSIHDSLGLPVSDRSLRLKLLASEDHRRRLQDHVFALEEDLRAAARRIEQLKAESAMNAAGLRRCIEEKETMVSAYNDLSSHSAKLERECTLYERDLERAMESCDDLAKENDEMRARLNDHTTLSALTTQVEALHKDKENLRINLNKAEEEVKLLFEENKILDEENKKLLALLEKERQHRSERKHSSSASTTKHKRKSSSLKDTSPVGRTIDFNGADSSSRHPLSPLPPNSPDYRAHKK >ONIVA02G41220.1 pep chromosome:AWHD00000000:2:34946657:34950360:-1 gene:ONIVA02G41220 transcript:ONIVA02G41220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02710) TAIR;Acc:AT3G02710] MDAAHKRKRPDADDDGAAGAVDLSSLEGADDVEVLDLRAAKRLLLAFERRLRDNLEARMKHPDDPARFADSEIALHAETDRLRLLAGAPDLFPDLVPLGLASSLSSLLTHDNADLAAAAASLLADLTDSDDPDDLAGLHSLADALVEANALDLLVHNLSRFSEADPDEAEAVHHTLSVVENLLELRPQLADIVCDRTKLLRWLLARLKAREFDANKQYASEILAILLQDSPANQKRLGNINGVDGLLQAVAMYKSRDPKTSDEEEMLENLFDCLCCVLMPMENKERFVKAEGVELMIIIMKQKKLAYSSAIRTLDFAMTRFPPACERFVDVLGLKTAFAAFMDSCEQEKQKRELSGSTRRTGGITKGSRRIRLLGKFVENECEKIDRLMEFYTRYSERVKAETERLDSLDLDDLEMDEDERYNRKLEAGLYTLQLIALILGHIWHSGNSQMRTRIELLLRQNKLTKDDVKDILEEYHDNIGDLDGPEEKERAQGRTKEIIASLSL >ONIVA02G41210.1 pep chromosome:AWHD00000000:2:34942321:34943900:-1 gene:ONIVA02G41210 transcript:ONIVA02G41210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPLHHTCSFFFRPQPSPSLSHSPCAAADDDDFFTVDYDPEEEEEEDEEGSPWEGAVVYRRDASVHHLEYATTLERLGLGDLSSTHSRARAATMGILILSSTNLTGTKDDDTPVLVSLDVARRRGRLRLDGIVRTVITLGCYGCAEPAPQGIFANFSLLLTEDRVEEPDVVDLGTIFEEEQTKAPSLTGSQEDGDDEDIDWDDRLHFPAGEKEIDISKHIRDIIHLEITLDALCSPTCKGLCLGCGENLNTSSCSCNAEKQQAKNVQRRGPLKDLLKPLQR >ONIVA02G41200.1 pep chromosome:AWHD00000000:2:34938278:34942018:1 gene:ONIVA02G41200 transcript:ONIVA02G41200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVRPPVDAEEARRRRSTDCIYFLASPLTCKKGSECEYRHSDAARMNPRDCWYWFNGNCANPKCSFRHPPLDGLVGAPTTPRTSQQSAPQVSVPAQAPVPNPASGTAKQGVPCYYFQKGMCVKGDRCAFLHLPQATGSPAPQHTTKVFAPASVPHPQLKNSWTKPNSSAQQNAPPAIFDKPKDSAHNGKTAQKQNLTNRPGHSSGIIHDKKGSYMPSGVTKNYRPPPSTGDDLAENGVEMGEFVREPSAGSDVLTGGADDNTEQSLREDRGAYRRTNGEQHIGMLRQTHDSYGFERSHRGSAEKLLSESRFSQREPMPLTADSSDLRQRLLKQRRLNNPRSGQVSDRHNVYPEDERHDRHRRRGEEQASNDGVSSSRLRGRIRLPAETTFDRLGLQPEKERDRGPRARLSPPSQTDLRGKLHDRLKAKPNEDVSGNVQSSLSKANEDAESLNFAGPKSLAELKAKKVAGSLMKSSRSLTGPVRMTSEIVTIKDSSDPVLFDGPKPLNAILKRKREADSGNATDFGSKREEHSGGDEEGSQNDFRNIEDDIVGMNTEGNGEEAFRSDDIVGMNTEGNGEEAFQPEDDVVYGDSLSPADDIAAEAADDASRELEEQQDVETAEEYDYEMDDVNAAEENDYQEYEDEDDDLEDDDDFARKVGVMIT >ONIVA02G41190.1 pep chromosome:AWHD00000000:2:34924988:34937882:1 gene:ONIVA02G41190 transcript:ONIVA02G41190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15680) TAIR;Acc:AT5G15680] MGAGGAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTFQKKKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNSRRNDAPLSRSDSLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKHHWPPLGVEPALSLWYDAVARIRGALMYWMDKQSKHIAVGFPLVTLLLCLGDSHTFNTHFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAESNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNKQIGLDVFQEYGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDKMTEIIPQHGISIDPGVREEAVQVLNRIVRCLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEELLAKDMQNVKRSSLGGDALQRSPFHRSRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNELRDYSANEWGDSKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPVPLDVTLQSILESADKSRWARYLSEIVKYAAELCPSSVQDARQGLWGLEVVRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDSREEFALRAAREIFHIVFPSLRHGSESYALAATAALGHSHLEVCEIMFGELTSFLEDVSSETEAKPKWKILPPSDNFQDLQPLRYALASVLRYLAPEFIDAKSERFDSRLRKRLFDLLLSWSDDSGSTWGQEGNSDYRREIERYKASQHNRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDNARKLSGRVISWINSLFMELAPRAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHLRVLLAKTALKNILQTNLDLFPACIDQCYSPDSPISDGYFSVLAEVYMRQEIPKCEIQRILSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDDEEPVRPGKVDTSANVVLEFSQGPSTSQVATIVDSQPHMSPLLVRGSLDGAIRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTTGRSGQLLPALMNMSGPLIGVRSSAGNLRSRHVSRDSGDYYLDTPNSNDDILHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLMRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDYVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSERLLSVTETGKVPDFEGVQPLVLKGLMSSVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKDASSLGSSSPIQEQNQKAYYVASNISVWCRVKSLDDLAEVFRAYSFGEIISLEDLFARASPPICSEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESSLCAEALNVLEALLRSCSGVTGGQGDDIGFGENGHGMGEKVHQSMLLPQSSFKARSGPLQYAAAGSGFGTLMGQGGGSAADTGVATRDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >ONIVA02G41180.1 pep chromosome:AWHD00000000:2:34920281:34920953:-1 gene:ONIVA02G41180 transcript:ONIVA02G41180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLSRLVSKRWPSGALSKRCISQPHGCSLLVAWFCQLYRVLVVVVVVTTAGLALTACSTFRKLQNTGDSEILLKIEL >ONIVA02G41170.1 pep chromosome:AWHD00000000:2:34919942:34920193:-1 gene:ONIVA02G41170 transcript:ONIVA02G41170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLELGHLNIQLCVDGYATVGGHDAGTAGVALPQQEGSACINGAIGDAAVAQSYLERIGDRSGEKVELEKRKASEGFTCKFG >ONIVA02G41160.1 pep chromosome:AWHD00000000:2:34913478:34917962:-1 gene:ONIVA02G41160 transcript:ONIVA02G41160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPSPCLFFLFLLFFMWSSHSHLGAASDADALLALKSALDRSDRLPWRRDTAPALCSSWLGVRQCSQPPRDRRVTKLVLENLNLTGVLTATLLAPLSELRVLSLKSNALTGPIPDALPAALPNLKLLYLSANRLQGRIPPTLALLHRATVLVLSSNLLHGEIPTSLTTLPRLTSLLLDDNRLNGTLPPLPQPTLRLLNVSANRLSGEIPSVLATKFNASSFLANADLCGPPLRIQCAAPTAPAAAAAFTPLPPPRSNRSRRAKNAGIVAGATVAGVVVLGILVAAAVMASRRGRNKRVAGDVDKGAMPEEEEEQQQQQPQAQPREEINASASASASVASERRGGREFSWEREGIGKLVFCGGVAEMYSLEELLRASAETLGRGEVGSTYKAVMETGFIVTVKRMREPAAGAAELGRRAEELGRLRHPNVVALRAYFQAKEERLLVYDYYPNGSLFSLLHGSSSRTSSKGKPLHWTSCMKIAEDVAAGLVHLHQSPPAGIVHGNLKPSNVLLGPDFESCLTDYGLVPTLLPSHADLASSASVLYRAPETRTAHAFTPASDVYSFGVLLLELLTGKAPFQDLMEMHSDDIPSWVRAVREEETESGGESASAGGTEEKLGALISIAAACVVADPARRPTTPEVLRMVREARAEAMSSSNSSDRSPARWSDAVQVQMGMGVPRDQGELGGLT >ONIVA02G41150.1 pep chromosome:AWHD00000000:2:34910080:34910914:-1 gene:ONIVA02G41150 transcript:ONIVA02G41150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLPRTGSFSGVWWKLGDDADEQRRLADEEAAVKASIQRRHATARVIRRTIAFTSFALEAAGLFVYGLWTARIKTAANKTKKKKQLLLGVLLSVPAFAALLFAAIARFHKFIDAKDQQKLDRLRAERNKAKMGHSRGSHHNMQKLLLTHNTQESDSESCAEAAATKTASHSRLSFHVGDDD >ONIVA02G41140.1 pep chromosome:AWHD00000000:2:34907849:34909487:-1 gene:ONIVA02G41140 transcript:ONIVA02G41140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEQQQRTSTRWKGRRKTLVLVVEEEKRMDCITTYLLFNINIKDMFTHDDEWTVLRPLPRPMAQMDTLRRLALEYLNFAVVASNTIVGVSNRKRTVLLDLECDATADVLSPGPELPEEIIGGTEGDWELPIDGRGVFVPELGLCPRLRCLCAFDLPTATAPPVVRYVWPETFSEELNAMGVRAGNPWQLGLPGTMGIQHGHRRVPTRFALLLIAVQLQRDDKEEFCLVSRKLRCYDLPANAKKCLPAAYLATVRLFVTVGAEGGEIPVVPTKILLPPQPFLLLPPSQEKTRKSWGLKEKKNHQEKSRSKIIRAAARPVVVTDAAVAADASRHREPAAAAKNPDLLPLLLAAAACTVGEEARRRWLALGSPGNSPPDDRQRI >ONIVA02G41130.1 pep chromosome:AWHD00000000:2:34898218:34906945:-1 gene:ONIVA02G41130 transcript:ONIVA02G41130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDPSVGFFSGVWSRLRAAWRRTGAAHHPAGPGDDDDGQNEETVVRSRLVRRAAAARRLAHKLAFLSFNLEVLVFVYAFWRARRRNLSWRQPIQALPVLVIPALATLIYAAFIRFTRRLDLKDHRRLKRIQEQKQANDGEPRKPNQNDLISAQKQNCGDVDDASNSLPATDSNSTFLPATHSENRTSKPKKRRQPSISSRGDGEADMSWGHSKDFQPMPLDGLRKRRFSSEKTYRTTSIATESIEEDTQNTMSSSVAGSSCGKEFPELSDSSISQSKNIKPICGSSAPLIGYPGILLRDGNEEVPAASTHLDQQGGAHDPIEDTVFSPLDYRNHSGPVIFVKLTEPPTIHHESPVGGGEDKVFDRLLDIVNTNFSSCKENLICPVNSHDSSFDRGDSCLTEHGMSSLMTVFREMPVKVSEESSPSQPEKLESYPVSINEPPASPSDYIVAYGSLNDVSQDPSDPVLSALENFEQVPPEGGKEDSLLEPHKLTALQTDTVTPEKVPTSHAIDDNEVIINPDEVTNALACICTDANIIAAVADIDTGVSPRLNLPAFPESHCEEFEDPEVRFSSSAELAMKGDEDTWEKEPCGFNGQEGNDVFICSEEEALLGPLAVSTTEQYMKTSGFPLCCQDANMMEIPRIVAVNPELNNPTSGELLTDSDEVSKEELSYDLHLKEPNSLPFDLEKEDFMDPPVADISEHSLATSNFFLCNGVETTITEAHEALKKSLSESQDEGPLNPIKAAVNPSCDDSNPAHFVPDTNVRKKIQGGQEKTQEAFSNQLDQITCNFEGILLSLGEINNDVFYSSSSSSYLLRASAAEDNAPSSVQGRFSEPEDGKTSAFLDNPIFLDEVTRAENWTNNTGSSQCISDRHEIRSFHDGKQVPSETIQGLTLGVEGSFVSPEESINSEKYSLYSRSSSCVSEVNIMHATGGGALAEPGNNQNFNLDDKNTMMFQSVNSTENYGNNRSVEFIPETNMIETLEVAGESIDGLLHEVSSNVVNAFVTPDTGNDMGKSDDYLDLPSFSSVHTVQNSKAENNPYKTTSSLFSADVNLTGCLGSGQQGNQQGEETALCFENLYMALQDDNSKDHFTNLGSQDIPDASISDILQVEEKLSSDRLHDGIFSIEGTLISLDDGNIADSNNSSFVFHNTQINRNLLGLQKGSFKLQDEHTMTPISRNKVDIAEKSTCYVAGESMVADLQDTNKTPSDPRDGSISSFSGACNLLDESKYSTDHPYYSRSMSSGPECSLIETPEAARGESVETDDENFSFEETLIPEISSNRSASYNCTEEAVRSSGKGSTDPLMVDVHSFDMIPAGEERENETLDEIAYNSEQAAIITEDVKYTESFLNKPGSLPYAPNDNCPIATENFDKGFSEPQYQDGPEVAVRLAGMPLFVDNGTEAEKSHDNTRCSSSSHSELNITEAVQELSIDVGNKVSPKGSELPDWHRMDKEAKDSRLDDVKEDLEDLDEDHENSPIDPPKVAGITPSLAPTPSLKLYAQDASWRDSSMGVSNDFEVARAAGLRQRKQVFTISSGTGSGTMSELTDTQYTELVDDVIDSLNAPLPSSAVINTKKGPNGMAAYFLEQSATEQPYQQYMASEWSSEWCVEEANVLLSQHLMMRAGEQYCAM >ONIVA02G41120.1 pep chromosome:AWHD00000000:2:34897076:34897869:-1 gene:ONIVA02G41120 transcript:ONIVA02G41120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKTVPEPEPHVLAVDDSIVDRTVISRLLRSSKYRVTTVDSGKRALEVLSLDRNVHMIITDYCMPEMTGFDLLKRVKESAELKEIPVVLMSSENSPTRIRRCLEEGAEDFLIKPVRPSDVSRLCNRVIMK >ONIVA02G41110.1 pep chromosome:AWHD00000000:2:34891230:34896041:-1 gene:ONIVA02G41110 transcript:ONIVA02G41110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRVGVGVGVGGGSGIGVAMVFLIVSASSFLIRLPLVARARLPNPTSSSYTSRSRSRALLLLPASSPLRAFCPASRRPSPATCSAAYASSSMATDDNPLLADFDFPPFDRVEPTHVRPAVRTLLARLEGELTDLEKGVQPTWGKLVEPLERIVDSLEVVWGTVDHLKAVKDSSDLRAAVEDVQPDKVKFQLRLGQSKPIYQAFNAIRNSSDWETLSEARKRIVEAQIKEAVLSGVALEDEQREKFNQIEQELEKLTQKFSENVLDATKKFEKLITDKNEIDGLPATALGLAAQTAASKGHENASAENGPWIITLDAPSYIAVMQHARNRALREEVYRAYLTRASSGDLDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATVDRVEELLEKLRAASWDHAVKDMEDLKAFAKESASPEANDLAHWDLSFWSERLRESKYDINEEDLRPYFALPKVMDGLFSLANRLFGVSVEPADGLAPVWNSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGSPVRLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVSGIRGVEWDAVELPSQFMENWCYHKNTLLSIAKHYETGELLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPNGSLSIYDVDRRVAERTQVLAPLPEDKFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDVGLDNEKAIEETGRRFRETVLALGGGKSPLEVFVSFRGREPSPEALLRHNGLLPVAAL >ONIVA02G41110.2 pep chromosome:AWHD00000000:2:34891230:34896041:-1 gene:ONIVA02G41110 transcript:ONIVA02G41110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRVGVGVGVGGGSGIGVAMVFLIVSASSFLIRLPLVARARLPNPTSSSYTSRSRSRALLLLPASSPLRAFCPASRRPSPATCSAAYASSSMATDDNPLLADFDFPPFDRVEPTHVRPAVRTLLARLEGELTDLEKGVQPTWGKLVEPLERIVDSLEVVWGTVDHLKAVKDSSDLRAAVEDVQPDKVKFQLRLGQSKPIYQAFNAIRNSSDWETLSEARKRIVEAQIKEAVLSGVALEDEQREKFNQIEQELEKLTQKFSENVLDATKKFEKLITDKNEIDGLPATALGLAAQTAASKGHENASAENGPWIITLDAPSYIAVMQHARNRALREEVYRAYLTRASSGDLDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATVDRVEELLEKLRAASWDHAVKDMEDLKAFAKESASPEANDLAHWDLSFWSERLRESKYDINEEDLRPYFALPKVMDGLFSLANRLFGVSVEPADGLAPVWNSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGSPVRLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVSGIRGVEWDAVELPSQFMENWCYHNCFVGARNTLLSIAKHYETGELLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPNGSLSIYDVDRRVAERTQVLAPLPEDKFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDVGLDNEKAIEETGRRFRETVLALGGGKSPLEVFVSFRGREPSPEALLRHNGLLPVAAL >ONIVA02G41100.1 pep chromosome:AWHD00000000:2:34889192:34890694:1 gene:ONIVA02G41100 transcript:ONIVA02G41100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPAALHLLLSRPWLTAAQLRQAHAHLVVSSLLADRFFPNSLLRSLLPPSHSHSPLRALRLFPRLRRIIATNPFFPNAYTFSFLLTASASLAPLPHAASPRVLVESLHALAILVACDAHAYVSNGLIHAYASSALLCSARRVFDVNVSCRDVCSWTSLLTAYGRAARLHHARALFDAMPHKTTIAWAAILSAYVGAGSFAEAVDVFQEMLRARVRPNRAVILSVLAACGALGALEQGRWVHAHLVAAHGAMAKDGMVATALVDMYAKCGSLETARQVFSGMAERDVFAYTAMVSGLSDHGRCVEAIELFGRMQEEGVRPNEVTFICVLSACGRAGLVGRAREILGSMSAVHGMEAGVEHYGSMVDVLGRAGMVEEALEVVRRMPMRPDSYVLGALLNACVARGDTEGGEEVAAMMAELGLDDRSGVQVQLSNLYAGRGKWEEVVGVRRGMEERKVVKVPGCSMVEVDGVAREFVAGDRSHEAWIIDVAEQLERMLAHH >ONIVA02G41090.1 pep chromosome:AWHD00000000:2:34884691:34888885:-1 gene:ONIVA02G41090 transcript:ONIVA02G41090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGHGMGNPVNVGIAVQADWENREFISNISLNVRRLFDFLLRFEATTKSKLASLNEKLDILERKLEVLEVQVSSATTNPSVFNYCSSMNESINGKMNELFAVAGRAGVAMMNMVSSSSIQPGQIHSIWQRRQGGESRGRYVVMSSGSVRKRRVVAVIRAVGDGAGESTSGKDDEEEEKRRREELERLVGGPEDATFSGADLAALIRSKYGRSYDVTLIKKEFMGRNLLAMNVMWKYREQRSFPLTEEEYLLRLDDVAASLRCWGAVAHVRSSLAKLKDRPRIGKAVSIFIDMPTDDSGARSNEWIYK >ONIVA02G41080.1 pep chromosome:AWHD00000000:2:34884575:34885384:1 gene:ONIVA02G41080 transcript:ONIVA02G41080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRSKIHSLERAPESSVGMSMKMLTALPMRGRSLSLAREERTWATAPQQRREAATSSRRSRYSSSVSGKDRCSRYFHMTFMASRFRPMNSFFISVTSYDLPYLLLISAARSAPLNVASSGPPTNRSSSSRRLFSSSSSSLPLVDSPAPSPTARITATTLLFLTLPLLITTYLPLLSPPCRRCHMLCICPGWMLLLDTIFIIATPALPATANNSFIFPLIDSFIDEQLHQQQN >ONIVA02G41070.1 pep chromosome:AWHD00000000:2:34882863:34884134:-1 gene:ONIVA02G41070 transcript:ONIVA02G41070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRRSLAAGPAPALLLRRQLLMRFLSTQTQSQTQTPADLATLKNSIRSAAHTPEALADLFISGLSHPAFLADRPIFTLSVHRLASAGRRDLVASILSSSLTSLPAPHPSEGFLIRLISLYSAAGMPDHSLSTFRIVTPPSDRALSALLSAYHDNRLYDRAIQAFRTLPAELGIKPSVVSHNVLLKSFVASGDLASARALFDEMPSKADVEPDIVSCNEILKGYLNAADYAAFDQFLKDNTTAAGGKRRLKPNVSTYNLRMASLCSKGRSFEAAELLDAMEAKGVPPNRGSFNTVIQGLCKEGEVGAAVAIFKRMPEVPRPNGKGVLPNSETYIMLLEGLVNKGVFAPALEVFKECLQNKWAPPFQAVQGLIKGLLKSRKAKHAKEVAMAMRKVVKGDAKEEWKKFEAEFSFEPTDKKA >ONIVA02G41060.1 pep chromosome:AWHD00000000:2:34880667:34881038:1 gene:ONIVA02G41060 transcript:ONIVA02G41060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVDSMEAEYDALLDAVAAFIAEPVRTTGAIQDLKNRIDAFYASCDRADDLVRAAADRVAFNATGNAHVPPPPAAAPPSPGTGTTRIDALLRAVEGIAHHDHPPLQAKSKAAAGDQHHNLS >ONIVA02G41050.1 pep chromosome:AWHD00000000:2:34875763:34879389:-1 gene:ONIVA02G41050 transcript:ONIVA02G41050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19895) TAIR;Acc:AT3G19895] MAASSPPPAAASSSGRLARVRLHDLAPYDGAATPAYGRAVHALSASLTRHGAALLDLPDAHAAILRCALQSARAFFRANDQPAFYLYRAGGSASRTSDDGGGDLVPACMDDAFRCFGEAARAALSAIARHLRLRTNVFDHLLDDTPLPVNEVSSSELLVAYSNQHLHTDHASSTACLGSSVPQVDRGFLVLIASDHPGIEVCDPNGQWYLADGISGPGDLLLLTGRALSHVTAGLRPTSRYRITNETRASLTFRLMPHANAILDCSPIAAAGHCVPQMYQPISASQFMDDLCAEERAVSNHSEAPSESQGSFISEPSLRSVLSDPLSGAFLEDAMVLLCGHSFGGLMLKKVIEMARCTICNGEVDPATLFPNLGIFLTTNFLPRNWHYLCLTCSRTAVSILDIIALRAVATVVKMEDDRRLFHNAALRKRRKEVTERMDVLKSTGGSRGNGELVLDAENPTSPRGVQYPFVVGERVLIMGNRRTPDKFVGKEAVITSQCLNGWYLVKAVDSGESIRLQYRSLKKVSELQLQSEMRLQPLTFLHNKYPSSGS >ONIVA02G41040.1 pep chromosome:AWHD00000000:2:34867385:34875267:-1 gene:ONIVA02G41040 transcript:ONIVA02G41040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT4G33540) TAIR;Acc:AT4G33540] MALSLLFAAAPRAVASFRASSASSPALDSGRRPQNVPGDFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPISDDHRTKALQALLSCPTSSIHTEKPAKDILQVQNMFPLPIDNHLLPGVYLCGYHSQDSYGATSYLLTHPDGNILVDSPRYTTKLAENIEKLGGARYMFLTHMDDVADHRKWAERLKCERIIHSGDVEDVTADVEWKLTGNGPWDIGADFELIHTPGHTEGSVCLFYKPVKALFTGDHVAKSEESDDLDLFLMYSKQSAGQHEKIVEVNFEWFLPGHGYRIHYKDNIRRYTGLQKHVLNANCNGLNGPRYDLAILCVGGDDNINKTR >ONIVA02G41040.2 pep chromosome:AWHD00000000:2:34872953:34875267:-1 gene:ONIVA02G41040 transcript:ONIVA02G41040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT4G33540) TAIR;Acc:AT4G33540] MALSLLFAAAPRAVASFRASSASSPALDSGRRPQNVPGDFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPISDDHRTKALQALLSCPTASIHTEKPAKDILQVQNMFPLPIDTHLLPGVYHCGYHSEDSFGATSYLITHPDGNILVDSPRYTTKLANNIEELGGARYMFLTHRDDVADHRKWAERLKCERIIHSGDVERATVDVERKLTGNGPWNIGADFELIHTPGHTQGSVCLFYKPVKVLFTGDHVAKSEESDDLYLFLMYSRQPVSLQLDSMRKLLKLDFEWFLPGHGYRIHYKDVHAKDSAIESLIAITTQARGIL >ONIVA02G41040.3 pep chromosome:AWHD00000000:2:34868757:34871276:-1 gene:ONIVA02G41040 transcript:ONIVA02G41040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT4G33540) TAIR;Acc:AT4G33540] MALMMSLRFAPPASVAAPPPRRPRAVASSASSPALQRRRPQNVPGDFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPISDEHRTKALQALLSCPTSSIHTEKPAKDILQVQNMFPLPIDNHLLPGVYLCGYHSQDSYGATSYLLTHPDGNILVDSPRYTTKLAENIEKLGGARYMFLTHMDDVADHRKWAERLKCERIIHSGDVEDVTADVEWKLTGNGPWDIGADFELIHTPGHTEGSVCLFYKPVKALFTGDHVAKSEESDDLDLFLMYSKQSAGQHEKIVEVNFEWFLPGHGYRIHYKDVRAKDSAIESLIANYTS >ONIVA02G41030.1 pep chromosome:AWHD00000000:2:34864587:34868333:1 gene:ONIVA02G41030 transcript:ONIVA02G41030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPPPPASLSPQEWEQLIDDFPTPRRHRWLHLPLLDLALSSLPRRDLPSHLRPLLLSFLDDHLLPPSPTHLPLLLSSLLSFPSDHPLRDHLLLTVTSAFASALSPPVSIDHADPLSALVNALLASANRPNHAPDRAARALACDALRALDAALPGLLADVLGHVYALAAAERSPAAQSYLLLLASAARHVVRLGRLPSTTSILAVSGPPTPFFVPAHLLAPAPDPANPVPPPSEVNLRDIRKVLALIMDRPQVLTPASAMEMAAILAEVSAAVVGWAPAIAAHVKVQFGGMAHSSSLMLLHSLLTLFIQFPDAFGAEDERKMARRLALAACEAHRPLTARLLALHWLLGSGKFRYAVPGLAKWFYPGVFDPLAVKAKKLDCLALVAAGVDADKIEGGRDVDQTIGLVDDGLACVSAFRWLPGWSTETCVAFRALHVVLVAAAPHSTDGSGCSGAGELLNSTIFHHLQAMLVDMTSEHRGLVPVIADFINRLLACNTHRWAGEQLLQTLDENLLPRLEPGYQLASYYPLFEKIAENETVPQLRLIELLTKQMASLAKKHDPETELKTWSQGSKVVGICRVMMKHHHSSRIFFPLSCLLVLTIKSYPDLEVRDHARICLRMLSCIPGKKLRHLMGIGEQPAGVTPSHPGPLFDVPSPRPAQDLKSMPDLASYIHLERVVPLVVKQSWALTLPNFSIQSRASGQILSIQDVSSTPPEQEKTPQPTIERIAYTQEALRVMDSKGAETLEILRRHFACIPDYLHSVGLKIKIPCTFRFDSEPFNHAWGSDSAVPGSEGVDGLPALYAATINFSSSAQFGKIPSCHVPFLLGEPPGSGMDIMPLDNGHRLESSYCASVVIELEPREPSPGLIDVVITANTENCQVISGSIQPITVGIEDMFLKASVPPDILKEDAADYYQDLFHALWEACNSCSNTGRETFPLTGGKGSAAINGTRSVKLLEVTPKVLIRAIERYLAPFVVSVAGDSLITILRGNAIIKNVVWEESDSAPIVGADALVPYSVDTNLSLQRIDEDEFEVGAETYAHLSKRDMGIVRVLIFLPPRYHLLFSMEVGYASTLVRIRTDHWPCLAYVDEYLEALL >ONIVA02G41020.1 pep chromosome:AWHD00000000:2:34855019:34863092:-1 gene:ONIVA02G41020 transcript:ONIVA02G41020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQGRKLVGWESPRHAATHGCGGRRDAILAASAGCRWTPMLPPSAGTPLPPPSLAAASTSSFFLPSLLPIRRRRWPTPKATATAAFPPRRPAPLSANNLPLHTPGVSETTSTSTSSTTFASGTFRGAGGEDPLVSKLRTQLGVIHPLPAPPVNRSVLGLFALFFFVGAAFDKLWTLRKRRRAEREVKVNGTWPQVPTSSFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIVGLLQPVIDNLHKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGLRYTGGARMALALSLKFSAVPVVVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSLFRLFNLMEDLPRLFVRPKKIVLDFQQGRSMGPVAGDVASDVIQNVASGILQDVASDVIQDGNKDFVGELSVTLVDARKLSFVLFGKTDPYVVMILGDQEIKSKKNSQTTVIGQPGEPIWNQDFHMLVANPRKQKLCIQVKDSVGLTDVTIGTGEVELGSLKDTVPTDKIVTLYGGWGLLGKRSKGEVLLRLTYKAYVEDEEDEGVKNEFAAGYVSDEDVLDYVQDSTSKQSDMDGKERETFMDLLAALLVSEEFQGIVSSSEPGSLRDSEQAAKSRDGENAAAAADTGTVSNSSTDTALVWLAAITSVMVLSVPVEKKVPDETGLGTLTDWRAPRVSNAKGQERNGGNEWSHFPRSRGASSTMPLTVKQISEAQQSGTTGEKGAPFVVDGVETANVRLVGLVSGKTERNTDVSFTIDDGTGRLDFIRWVNDGADSAETAAVQNGMYVSVIGSLKGLQERKRATAFAIRPVTDYNEVTLHFIQCVRMHLENTKSQIGGPAKTYSAMGSSSSNGFSEMTTPTSVKSNPAPVLSVTNGSKTDLNTEVLNVFREPANVESEHGVHIDEIVKRFRLPEAKIKVAIDYLADIGHIYSTIDESHYKSAFNE >ONIVA02G41020.2 pep chromosome:AWHD00000000:2:34855019:34863092:-1 gene:ONIVA02G41020 transcript:ONIVA02G41020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQGRKLVGWESPRHAATHGCGGRYQIGLRYTGGARMALALSLKFSAVPVVVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSLFRLFNLMEDLPRLFVRPKKIVLDFQQGRSMGPVAGDVASDVIQNVASGILQDVASDVIQDGNKDFVGELSVTLVDARKLSFVLFGKTDPYVVMILGDQEIKSKKNSQTTVIGQPGEPIWNQDFHMLVANPRKQKLCIQVKDSVGLTDVTIGTGEVELGSLKDTVPTDKIVTLYGGWGLLGKRSKGEVLLRLTYKAYVEDEEDEGVKNEFAAGYVSDEDVLDYVQDSTSKQSDMDGKERETFMDLLAALLVSEEFQGIVSSSEPGSLRDSEQAAKSRDGENAAAAADTGTVSNSSTDTALVWLAAITSVMVLSVPVEKKVPDETGLGTLTDWRAPRVSNAKGQERNGGNEWSHFPRSRGASSTMPLTVKQISEAQQSGTTGEKGAPFVVDGVETANVRLVGLVSGKTERNTDVSFTIDDGTGRLDFIRWVNDGADSAETAAVQNGMYVSVIGSLKGLQERKRATAFAIRPVTDYNEVTLHFIQCVRMHLENTKSQIGGPAKTYSAMGSSSSNGFSEMTTPTSVKSNPAPVLSVTNGSKTDLNTEVLNVFREPANVESEHGVHIDEIVKRFRLPEAKIKVAIDYLADIGHIYSTIDESHYKSAFNE >ONIVA02G41020.3 pep chromosome:AWHD00000000:2:34855019:34857294:-1 gene:ONIVA02G41020 transcript:ONIVA02G41020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTLPPLPSRGASSTMPLTVKQISEAQQSGTTGEKGAPFVVDGVETANVRLVGLVSGKTERNTDVSFTIDDGTGRLDFIRWVNDGADSAETAAVQNGMYVSVIGSLKGLQERKRATAFAIRPVTDYNEVTLHFIQCVRMHLENTKSQIGGPAKTYSAMGSSSSNGFSEMTTPTSVKSNPAPVLSVTNGSKTDLNTEVLNVFREPANVESEHGVHIDEIVKRFRLPEAKIKVAIDYLADIGHIYSTIDESHYKSAFNE >ONIVA02G41020.4 pep chromosome:AWHD00000000:2:34858258:34863092:-1 gene:ONIVA02G41020 transcript:ONIVA02G41020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQGRKLVGWESPRHAATHGCGGRRDAILAASAGCRWTPMLPPSAGTPLPPPSLAAASTSSFFLPSLLPIRRRRWPTPKATATAAFPPRRPAPLSANNLPLHTPGVSETTSTSTSSTTFASGTFRGAGGEDPLVSKLRTQLGVIHPLPAPPVNRSVLGLFALFFFVGAAFDKLWTLRKRRRAEREVKVNGTWPQVPTSSFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIVGLLQPVIDNLHKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGLRYTGGARMALALSLKFSAVPVVVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSLFRLFNLMEDLPRLFVRPKKIVLDFQQGRSMGPVAGDVASDVIQNVASGILQDVASDVIQDGNKDFVGELSVTLVDARKLSFVLFGKTDPYVVMILGDQEIKSKKNSQTTVIGQPGEPIWNQDFHMLVANPRKQKLCIQVKDSVGLTDVTIGTGEVELGSLKDTVPTDKIVTLYGGWGLLGKRSKGEVLLRLTYKAYVEDEEDEGVKNEFAAGYVSDEDVLDYVQDSTSKQSDMDGKERETFMDLLAALLVSEEFQGIVSSSEPGSLRDSEQAAKSRDGENAAAAADTGTVSNSSTDTALVWLAAITSVMVLVSSNLGGSGYFNP >ONIVA02G41020.5 pep chromosome:AWHD00000000:2:34858258:34863092:-1 gene:ONIVA02G41020 transcript:ONIVA02G41020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQGRKLVGWESPRHAATHGCGGRYQIGLRYTGGARMALALSLKFSAVPVVVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSLFRLFNLMEDLPRLFVRPKKIVLDFQQGRSMGPVAGDVASDVIQNVASGILQDVASDVIQDGNKDFVGELSVTLVDARKLSFVLFGKTDPYVVMILGDQEIKSKKNSQTTVIGQPGEPIWNQDFHMLVANPRKQKLCIQVKDSVGLTDVTIGTGEVELGSLKDTVPTDKIVTLYGGWGLLGKRSKGEVLLRLTYKAYVEDEEDEGVKNEFAAGYVSDEDVLDYVQDSTSKQSDMDGKERETFMDLLAALLVSEEFQGIVSSSEPGSLRDSEQAAKSRDGENAAAAADTGTVSNSSTDTALVWLAAITSVMVLVSSNLGGSGYFNP >ONIVA02G41010.1 pep chromosome:AWHD00000000:2:34839209:34845715:1 gene:ONIVA02G41010 transcript:ONIVA02G41010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEVEAAAIDGSGEEAKRKSGKQRGSGAKGRRRNGDRAFRPPAMRPEEEGRGVATRPGALRERKPPPNAFNAPDDDEDVEKTDQLLEPLNKPKRRDAGKKRGPRKKKVDQENIKTHRHNANAVKGKMLVNDKVSKTEKKRKRGDTGAAENNGKGKKMLTGENALMCHQCQRNDKGRVVWCKTCNNKRFCVPCINQWYPDLPGNEFAAKCPYCRKNCNCKACLRMRGVEEPPRKEISKENQIRYACHVLRLLRPWLIELRQEQMAEKELEAKIQGVSVDQIKVEQAVNRCSTSIVDFHRSCKHCFYDLCLTCCQELRKGEIPGGEEVEILDPEERDKDYAFGKILSDGENQRDSLKCRSDTQNSESNKGMASDENQKKALLLWKANSNGSIPCPRKEKEDCSFSSLDLKCLFPEKLLPELEDRAEKVFWSETFAKELGRTSELCPCFDHSGKIRSDSKKLRQAANREDSSDNYLYCPVATDIQDADLLHFQMHWAKGEPVVVSDTLKLTSGLSWEPMVMWRAVRERTKGKAEDEQFAVRAVDCLDWCEVEINIHMFFMGYTRGRTHPRTYWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPGGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDMSDAVNILTHTAEVPCETYDAVRIKNTQKKMKMQDDMEIYGMIESGSELKPSACPVELGNKAVGEAPKASCSKENVHTLKDKSNGLDINASPPDDAGGDARDEALSYESVVHSDVAQCPNHNHETNNSDDARNGAQRCQKKAKGRPPKTGSGVSEHQESGGALWDIFRREDSEKLQDFLRKHAPEFRHIHCNPVKQVIHPIHDQAFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVLIPAGCPHQVRNLKSCIKVALDFVSPENVGECVRLTKEFRRLPSSHRAKEDKLEIKKMAFHALNEVLNFLDPPSSEGSKEAAEKPRRGRGRPRKH >ONIVA02G41010.2 pep chromosome:AWHD00000000:2:34839209:34845714:1 gene:ONIVA02G41010 transcript:ONIVA02G41010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEVEAAAIDGSGEEAKRKSGKQRGSGAKGRRRNGDRAFRPPAMRPEEEGRGVATRPGALRERKPPPNAFNAPDDDEDVEKTDQLLEPLNKPKRRDAGKKRGPRKKKVDQENIKTHRHNANAVKGKMLVNDKVSKTEKKRKRGDTGAAENNGKGKKMLTGENALMCHQCQRNDKGRVVWCKTCNNKRFCVPCINQWYPDLPGNEFAAKCPYCRKNCNCKACLRMRGVEEPPRKEISKENQIRYACHVLRLLRPWLIELRQEQMAEKELEAKIQGVSVDQIKVEQAVNRCSTSIVDFHRSCKHCFYDLCLTCCQELRKGEIPGGEEVEILDPEERDKDYAFGKILSDGENQRDSLKCRSDTQNSESNKGMASDENQKKALLLWKANSNGSIPCPRKEKEDCSFSSLDLKCLFPEKLLPELEDRAEKVFWSETFAKELGRTSELCPCFDHSGKIRSDSKKLRQAANREDSSDNYLYCPVATDIQDADLLHFQMHWAKGEPVVVSDTLKLTSGLSWEPMVMWRAVRERTKGKAEDEQFAVRAVDCLDWCEVEINIHMFFMGYTRGRTHPRTYWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPGGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDMSDAVNILTHTAEVPCETYDAVRIKNTQKKMKMQDDMEIYGMIESGSELKPSACPVELGNKAVGEAPKASCSKENVHTLKDKSNGLDINASPPDDAGGDARDEALSYESVVHSDVAQCPNHNHETNNSDDARNGAQRCQKKAKGRPPKTGSGVSEHQESGGALWDIFRREDSEKLQDFLRKHAPEFRHIHCNPVKQVIHPIHDQAFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVLIPAGCPHQVRNLKSCIKVALDFVSPENVGECVRLTKEFRRLPSSHRAKEDKLEIKKMAFHALNEVLNFLDPPSSEGSKEAAEKPRRGRGRPRKH >ONIVA02G41000.1 pep chromosome:AWHD00000000:2:34837059:34837280:-1 gene:ONIVA02G41000 transcript:ONIVA02G41000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLQYTATRSQRAATACFFLTGVALILAAARLSYANIEPQRAKAADRRRVLEDFIRRKRNHALDLEDPPPKP >ONIVA02G40990.1 pep chromosome:AWHD00000000:2:34824990:34825700:-1 gene:ONIVA02G40990 transcript:ONIVA02G40990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPDGGGGGGGAPDKQLVPASNANGTALAVRKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTSSPSSLRSNSTSNSNDLLLPRAAPFILGKRLRAADDHTTSPAPAPDATAPTQAFWALPARADFGQLWSFAAAPEMMVAAAAAPAMPGEASAARVGNYLPMAQGNLNLLASFSGGPGGAGATAATGRPEEESAR >ONIVA02G40980.1 pep chromosome:AWHD00000000:2:34824176:34824503:1 gene:ONIVA02G40980 transcript:ONIVA02G40980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRATRRRRRILDKRPKELEQQADRNRGTSETTYMAFFWVSIAHRCNEMRKEITNEFRDVHTD >ONIVA02G40970.1 pep chromosome:AWHD00000000:2:34804782:34806263:-1 gene:ONIVA02G40970 transcript:ONIVA02G40970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNLYLLSILIPGTLGYQSTWWPGGGERGGGSRVVEQEKEVARESGGRRGGAADEKTDESGEPERRGKGGELEGDPCRGRAVVVLLGGRGPEPAAASPAPAAASAPPLPLSLLFTSPVAKLLVVAASPAAVAAGSDERWGDGDEDDGGHWLRRAVGRQRWGRRWPLAPTIWGRRVSDSVGREEALDLEPPGLAVVVLVGVVEEQVVVDELVARDDAEEVDEALGGGADDGAHVVAADVGFEDGEVDVGVGVGGVVEAAARDGVGGAPEAEDAVDVEEVGEEGAVLVPALAGAGGAQHGGQLGERRVHLLLLAAQEGARRVQRAGEVRRRRHNLARCGSGSERRE >ONIVA02G40960.1 pep chromosome:AWHD00000000:2:34804529:34815733:1 gene:ONIVA02G40960 transcript:ONIVA02G40960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPHLAGALDAARPFLRGEEEQVDPALPKLAAVLRAAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFEPDVGRDHVRPIVGAAAERLVHLFCVVPRHQLIHDDLLFHYADQDLVADLAASEASLQDARRGLFHHDGEAWRLKIQRLLPPHGITVKHIRTGEDVALSRRIAATFLLMTMADFSDQLFDWQDRLFDNTNGRLEFSGNTWTSLWPGTGKPGLWTASISRMGALYSLIVREEEIHIAQRKHSNNGQEDDRDEDIELVIPPVFNGCTQVLTADDQKAARDLYWDAVCSGGEDETDWRKVLLNMEMYEEAEEQIEAGVKLLLEWGSSWDKRMPWEAWVSWGRAMLIKAKDKDWPHTSFGILSIGLVKDGGYICM >ONIVA02G40960.2 pep chromosome:AWHD00000000:2:34804529:34815733:1 gene:ONIVA02G40960 transcript:ONIVA02G40960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPHLAGALDAARPFLRGEEEQVDPALPKLAAVLRAAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFEPDVGRDHVRPIVGAAAERLVHLFCVVPRHQLIHDDLLFHYADQDLVADLAASEASLQDARRGLFHHDGEAWRLKIQRLLPPHGITVKHIRTGEDVALSRRIAATFLLMTMADFSDQLFDWQDRLFDNTNGRLEFSGNTWTSLWPGTGKPGLWTASISRMGALYSLIVREEEIHIAQRKHSNNGQEDDRDEDIELVIPPVFNGCTQVLTADDQKAARDLYWDAVCSGGEDETDWRKVEEILRRCIGRNPFVGEPHLVLAQVLLNMEMYEEAEEQIEAGVKLLLEWGSSWDKRMPWEAWVSWGRAMLIKAKDKDWPHTSFGILSIGLVKDGGYICM >ONIVA02G40950.1 pep chromosome:AWHD00000000:2:34798676:34801595:-1 gene:ONIVA02G40950 transcript:ONIVA02G40950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSKPNDTGAIRRRPGSIGEVAVFVPGLRVPESSDELPLQPLGDGLPRRLTERLAALRNRIIVMAAHEALYMTKPTWRITITQHGGSKSADLLQALEDYLPTLLGLVKDGSELEDKVQFAWMNQEDDAEDTSMPSAWYEVLSVLHLMALLRLSQANSLLVPKTSIEGYHAKVSEENKRASVEIFLKAAGYLECAIQHVLPKISPEKRWKGLPVDLAEGILKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESISDLPLLDGWAEKHRLFVTWKHIEAKRNICGSSCYKQAAAYYYHGLILDEGNSEKSHRTAVAALQSAEELLKESKAACEAFHAAPPVSRRAFHSFQISPPLWGSMRYLQEKIHKESSCKVRINKDLYNKDNIIIHDHADSAPPLPDFAVALKPDEYRLPPPLTDTN >ONIVA02G40940.1 pep chromosome:AWHD00000000:2:34792739:34797900:-1 gene:ONIVA02G40940 transcript:ONIVA02G40940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38730) TAIR;Acc:AT5G38730] MQIHHALVHLITLGMVISSALMIWKGLIIMTGSESPLVVVLSESMELGFERGREIPIVHRVIEVHERRDNAQVDFLTKGDNNPMDDRILYTHGQLWLQQHHIMGRAIGYLPKAGWVTLVMTEKPVIKAVCAAVIKSTLTQPHNHHHLLAASPSLLAAVLHRLSPLPSTALAFFRSLPPPHPLDASLALLRLLAPHPRHHPTARSLLRDLSLRHPLSSPLLLPSLLADPHLPSWLLLLLSQSARPHDALRVFDHMRAREVVPDAHASSALLTALAKSRMTATARKVFDQMTRAGVAMNTHVYNAMLHVCLKAGDAALAESLMTRMDAAGVPLDRFSFNTVIALYCRKGMQYEAMCVRERMENQGVKADVVTWNSLIHGLCKERRVKEASQLLREMAMAGVAPDHVTYTTLVDGYCRAGDLEEAVKLRGEMEAMGMLPGVATYNAILRKLCEDGKMKEVNVLLNEMDERKVQADHVTCNTLINAYCKRGDMTSALKVKRRMMESGLQLDQFTYKALVHGFCKAKELDEAKEALFEMMGAGFSPNYSVLSWIVDGLCNKNNAVAVLAIPDELMKRGFPPDKAVYRSLIRRLCKKGFIDLAGNVFNEMQGKGLEADCLVYATLACAYLTAGKPVAALDILNEMAKKQLYITPQIYNCMCTSYADEKGSLNMLWVHAIERGLITKSVYKVMHQARMKSSNPATNQAANLQVMGCFNSKPNNAGAIRRRHGRVEVDALEDYLPLLFSA >ONIVA02G40940.2 pep chromosome:AWHD00000000:2:34793782:34797900:-1 gene:ONIVA02G40940 transcript:ONIVA02G40940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38730) TAIR;Acc:AT5G38730] MQIHHALVHLITLGMVISSALMIWKGLIIMTGSESPLVVVLSESMELGFERGREIPIVHRVIEVHERRDNAQVDFLTKGDNNPMDDRILYTHGQLWLQQHHIMGRAIGYLPKAGWVTLVMTEKPVIKAVCAAVIKSTLTQPHNHHHLLAASPSLLAAVLHRLSPLPSTALAFFRSLPPPHPLDASLALLRLLAPHPRHHPTARSLLRDLSLRHPLSSPLLLPSLLADPHLPSWLLLLLSQSARPHDALRVFDHMRAREVVPDAHASSALLTALAKSRMTATARKVFDQMTRAGVAMNTHVYNAMLHVCLKAGDAALAESLMTRMDAAGVPLDRFSFNTVIALYCRKGMQYEAMCVRERMENQGVKADVVTWNSLIHGLCKERRVKEASQLLREMAMAGVAPDHVTYTTLVDGYCRAGDLEEAVKLRGEMEAMGMLPGVATYNAILRKLCEDGKMKEVNVLLNEMDERKVQADHVTCNTLINAYCKRGDMTSALKVKRRMMESGLQLDQFTYKALVHGFCKAKELDEAKEALFEMMGAGFSPNYSVLSWIVDGLCNKNNAVAVLAIPDELMKRGFPPDKAVYRSLIRRLCKKGFIDLAGNVFNEMQGKGLEADCLVYATLACAYLTAGKPVAALDILNEMAKKQLYITPQIYNCMCTSYADEKGSLNMLWVHAIERGLITKSVYKVMHQARMKSSNPAV >ONIVA02G40930.1 pep chromosome:AWHD00000000:2:34787464:34789839:-1 gene:ONIVA02G40930 transcript:ONIVA02G40930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPPVQTLRLLLLTPFPPPPRLRFHRLTATGRSDNAAAASGTTARERRLAKNACRDDDELRAILGDSIGNPELMKQRVIQAWYVMGRLGAYNSSNLQLANSMLDYDPSYDSDQASGVMPSSFHDISDVEFQDNWGRVWVDLGTSDYLGLDVLLNCLTQLSSEHLGIKQVVFGGKKMGDWEEGMKNSDYGYRHFKI >ONIVA02G40920.1 pep chromosome:AWHD00000000:2:34781986:34788197:1 gene:ONIVA02G40920 transcript:ONIVA02G40920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFLLGFLLGLLALAALEAAALLWLVRRLRRRDSAPQPAPDADELPGERPFPYEKQGFLWILEPEKTPKASNERSSIGGPKETKEKKNIVEVFPAKRSAKIKGRSLILSGPDGFHTTIKLLNCTVFAVSASSMPSRKWAKRYPIKLESKEYQIYNGSKACYLYAETSWEKESWCKALRFLKPTVFSSEDHEVMDRAIKTDGSSKVRLFLKKLAKKASTKVPLEGKTSSGSSTQGERKILDKLRSYQGTPFIEGLMGSQDDKSNSSSSQDTVKPSPTSPALGQIGQPSAFPDVNADDRIADEGTLCWNLLSSRLFFDAKMSDEIHKAIKARIQRTLSSMRTPPYVGDITLADFSLGKLPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGTDSNGEVESDLLESIEQYGNQFRDSQNSVSSVEEKGEPDGSQPKSTGWTSAYISGWKNIMHSIADHVSQVYTSPFGLHASLSYVLHVSEHISDLLAQVPLSLAIKISSVRGVLRVHVKPPPSDQLWYGFTSMPDLEWDIESSIGDRKITNSHIGSLIGNRFKASLRDSLVLPNCESISIPFMLAEKDDWVPLKDAPFIWLNREPTETRSHAAAVTPTRPDEVILKDDASNKTVAPSLPNSSARSEETLKTAASIDEPTQVPVAAADASHEPRKSPLAPAGEASSPSSPDTIDELRKPLLITEKIQEEDSESKVESPSPLYTSLRGIVPAGEQSGDESKRKGGRRARMMDFGKKMGDKLEEKRRHIEEKGRNIVEKMRENARTNSFDRSMTSSSHSNSQSQ >ONIVA02G40920.2 pep chromosome:AWHD00000000:2:34781986:34788197:1 gene:ONIVA02G40920 transcript:ONIVA02G40920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFLLGFLLGLLALAALEAAALLWLVRRLRRRDSAPQPAPDADELPGERPFPYEKQGFLWILEPEKTPKASNERSSIGGPKETKEKKNIVEVFPAKRSAKIKGRSLILSGPDGFHTTIKLLNCTVFAVSASSMPSRKWAKRYPIKLESKEYQIYNGSKACYLYAETSWEKESWCKALRFLKPTVFSSEDHEVMDRAIKTDGSSKVRLFLKKLAKKASTKVPLEGKTSSGSSTQGERKILDKLRSYQGTPFIEGLMGSQDDKSNSSSSQDTVKPSPTSPALGQIGQPSAFPDVNADDRIADEGTLCWNLLSSRLFFDAKMSDEIHKAIKARIQRTLSSMRTPPYVGDITLADFSLGKLPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGTDSNGEVESDLLESIEQYGNQFRDSQNSVSSVEEKGEPDGSQPKSTGWTSAYISGWKNIMHSIADHVSQVPLSLAIKISSVRGVLRVHVKPPPSDQLWYGFTSMPDLEWDIESSIGDRKITNSHIGSLIGNRFKASLRDSLVLPNCESISIPFMLAEKDDWVPLKDAPFIWLNREPTETRSHAAAVTPTRPDEVILKDDASNKTVAPSLPNSSARSEETLKTAASIDEPTQVPVAAADASHEPRKSPLAPAGEASSPSSPDTIDELRKPLLITEKIQEEDSESKVESPSPLYTSLRGIVPAGEQSGDESKRKGGRRARMMDFGKKMGDKLEEKRRHIEEKGRNIVEKMRENARTNSFDRSMTSSSHSNSQSQ >ONIVA02G40910.1 pep chromosome:AWHD00000000:2:34779222:34781435:1 gene:ONIVA02G40910 transcript:ONIVA02G40910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKSNTDNNLEVFLQAATPCLRWRSASMECFQDPSKVWQLDKKKDEVNYFALEDLWEHYAESSAYGLAVPVRLESGNTITQHFVPYLSAIQIYTSTKSLLAFSRGSAGSESDSWSDDSTGDKLSRSWDAAMSDDDDSSHDSSESVSAKQGAGCLNFQYSEWSSPYERVPLADKVAELAQHYPCLTSLNSAQLSPSSWMSVAWYPIYHIPARGNLKGLSTCFLTYHSLSSVFQDNVEEGRSVVGVSPFGLATYRAEGKLWTSSRSSDLFWAASSWLKQLRAYHPDFIFFTSHCRQSAF >ONIVA02G40900.1 pep chromosome:AWHD00000000:2:34773246:34778543:1 gene:ONIVA02G40900 transcript:ONIVA02G40900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEVDAAPDLPNGSSATATTDKKKSRESERRRRRRKQKKNKAASNAADADAAGDAGADDDAAEEKPDVKPQVEVEVEYVPEQADLDDGLLADFKSIFDKFTFKDSSADAEDDEKKDEAGTDAAKKAAGSDSDDDEQGTQQKKEGGLSNKQKKLQRRMKIAELKQICNRPDVVEGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEEEEEEEEPMEDEDMEDGMQSVDTISSTPTGVETPDVIDLRKLQRKEPEKQTEKQLYQILEQKEERIAPGAIYGSSHTYAIGAQDKAGPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKQEKDGKSKKKDFKF >ONIVA02G40890.1 pep chromosome:AWHD00000000:2:34768219:34773162:1 gene:ONIVA02G40890 transcript:ONIVA02G40890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAGGGGLTAIRLPYRHLRDAEMELVSLNGGTPRGGSPKDPDATHQQGPPAARTTTTRKLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICFAVTLIGFSADLGYILGDTTEHCSTYKGSRFRAAIIFVLGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCTWMAVGNVLGFSSGASGNWHKWFPFLMTRACCEACSNLKAAFLVAVVFLLFCMSVTLYFAEEIPLEPTDAQRLSDSAPLLNGSRDDNNASNEPHNGALPNGHTDGSNVPANSNAEDSNSNRENVEVFNDGPGAVLVNILTSMRHLPPGMYSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPNGNLSERKAYDNGVREGAFGLLLNSVVLGIGSFLVDPLCRLMGARLVWAISNFTVFICMLATAILSWISFDLYSSKLHHIIGANKTVKNSALIVFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVVPQIVVSLGAGPWDALFGGGNVPAFALASVFSLGAGVLAVLKLPKLPNSYRSAGFHGFG >ONIVA02G40890.2 pep chromosome:AWHD00000000:2:34768219:34773162:1 gene:ONIVA02G40890 transcript:ONIVA02G40890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAGGGGLTAIRLPYRHLRDAEMELVSLNGGTPRGGSPKDPDATHQQGPPAARTTTTRKLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICFAEIPLSTAGSRFRAAIIFVLGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCTWMAVGNVLGFSSGASGNWHKWFPFLMTRACCEACSNLKAAFLVAVVFLLFCMSVTLYFAEEIPLEPTDAQRLSDSAPLLNGSRDDNNASNEPHNGALPNGHTDGSNVPANSNAEDSNSNRENVEVFNDGPGAVLVNILTSMRHLPPGMYSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPNGNLSERKAYDNGVREGAFGLLLNSVVLGIGSFLVDPLCRLMGARLVWAISNFTVFICMLATAILSWISFDLYSSKLHHIIGANKTVKNSALIVFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVVPQIVVSLGAGPWDALFGGGNVPAFALASVFSLGAGVLAVLKLPKLPNSYRSAGFHGFG >ONIVA02G40880.1 pep chromosome:AWHD00000000:2:34764806:34767558:1 gene:ONIVA02G40880 transcript:ONIVA02G40880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPLMRGIRPPRVFPTRGGRTSPLALALAALLLASALLLTLIAFGVFSLPVSAPNAATTDSAAAGGDAEPADPRPPRTRARRDLSEGLGERGAQWTEVISWEPRAFVYHNFLSKEECDYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRAIEKRIADYTFIPMEHGEGLQVLHYEVGQKYEPHFDYFLDEYNTKNGGQRMATLLISDVEEGGETIFPDANVNSSSLPWYNELSECARKGLAVKPKMGDALLFWSMKPDATLDPLSLHGQFSDLSAGVVLSSKETNGHQPSGCMSVSTKLELSEVVITKDYRGMNGPLLGT >ONIVA02G40880.2 pep chromosome:AWHD00000000:2:34764806:34767535:1 gene:ONIVA02G40880 transcript:ONIVA02G40880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPLMRGIRPPRVFPTRGGRTSPLALALAALLLASALLLTLIAFGVFSLPVSAPNAATTDSAAAGGDAEPADPRPPRTRARRDLSEGLGERGAQWTEVISWEPRAFVYHNFLSKEECDYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRAIEKRIADYTFIPMEHGEGLQVLHYEVGQKYEPHFDYFLDEYNTKNGGQRMATLLISDVEEGGETIFPDANVNSSSLPWYNELSECARKGLAVKPKMGDALLFWSMKPDATLDPLSLHGGCPVIKGNKWSSTKWMHTTEE >ONIVA02G40870.1 pep chromosome:AWHD00000000:2:34764351:34764659:1 gene:ONIVA02G40870 transcript:ONIVA02G40870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRKTKKAAAAEDEDGRPWAAGEGGGTVAVAEDGSGGGRGRRRRRQPGKTGTAAAGKDGDDGDAEDGDNGEAEGRVEARALLALPSRRAHVSGHAYRLTIN >ONIVA02G40860.1 pep chromosome:AWHD00000000:2:34759903:34762600:1 gene:ONIVA02G40860 transcript:ONIVA02G40860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVEHMTWMKEMISLDDEGTIKPNQQLDGFSRFGLVLANLRDAELVQPLTLAIQNIKISFTYTDELSWRLVHGLPESVRGWSTRCNAALEEMLNLGGLSSKAKAWEKRVKKLNISNNGVYPAASAKKHRSSEMLRTLIRSSERYSVPDY >ONIVA02G40850.1 pep chromosome:AWHD00000000:2:34755521:34756824:1 gene:ONIVA02G40850 transcript:ONIVA02G40850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSCGCSIRSTAGVKRSGAILLGVKELASTTMRESSAGSLVILLQRVRALMSSAVSSRSRMLRRTSLDRVENQSNQCEGREERGYLHCGDLSCSSPFDTRLINIDLTCCTSVGGCCLDTGNTSPLYLFYLV >ONIVA02G40840.1 pep chromosome:AWHD00000000:2:34744052:34756373:-1 gene:ONIVA02G40840 transcript:ONIVA02G40840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKKGRRNKPACHSVKDKDRLTTLSNDVLLNILERLDTADDIRARTLCKRMTKLPAELSRIVVDANSFTPNKIAPDLLTLSDVVQMNEALAGATEKLLNFRSQQIALRQPSLRFYLRYYDCLTIGKAVQHAMSTYNLETLEFTILTEKQGDCCEETHMLCFGKQFRTFLAAFPDAFAGLTRLQLQHLHFAEPDIPNLLTTCKQLKHLRLFSCLNQDDPAVLRIEHPQLVELDINYGDFEFVELKCLSKLRHMAYVYWECHGDPLSFGDVPLLSSLSLTNTSAGWQKNLRLSQLLSTVTSISDLLLNFESEKIWVRPECPKLLGPVFHKLQHVSLVDVPEGCNIDWTMFILEAAPSLKEICITIWDHWCNMKTEEDRREEGYGDKTVVDWESSAPDGFRHENLSKVTIYGFQPDDNLVGFVRRVMEVAVNLEEVSLYDRKVCENCGDLDPKIKMKVSPSRYPCTMEKRELLKNQIIAEGLGMGCPDVIHFREVPKEVYNNLDTGAQDEKWWEGVDLQKLILAHNNLEVLREDLRNLSSLVVLNISHNNISSLPAAIGDLPLLKSLDVSSNQINALPEEIGFATALVKVDCSNNCLTDLPVSLARCLELSELNASNNTISVLPDELAGCSKLFRLNLEGNKLVTLSDKMFMSWTMLTEMNADHRADSFFPWGICFENLACSMQVCYYLNELSKLWTLTYASACYIQGTMTTLRKLLLTGNPMRTLRSSLVSGPTTALLKYLRSRLSSDEGASGSGSTPTKDDQIAAARRLSLSSKELDLSGLGVTSVPPAAWETNDVMKLDLSKNSIEDLPNELSLCSSLQSLILSNNKIKRWPGTVFSSLASLSLLKLDNNPLAEILATDLEALSKLEVLDLSGSASSLPEPSAVSKLPHLKELYLRRMKLHGFPDSFLGLKLLRILDLSQNYLTSVPEGIKDLTSLIELDLSDNNITTLPPELGLLEPNLQVLKLDGNPLRRVIDVKCWYLNANGLTPSLVPGKDRLSDLPDDVVLNILERLDTSDAMKTCILSKNMRATLPDMLSRIAVDVAAFSRPNHRRFTLREVVRTNGAVADLTAAVLEFRRPEIPVHHLALRFYLRYYDCISIAGTVARAMAARKLAAGAAVEFSILTEKRCGRPRLLRRPVPHLVHRLPGRLRRPHPPPAGEPLVEHNHLVEHEISHGNFETIELVHVPKLQTMKCQGWISYRDPLFFGYTPLLQSLSLVYTGMSWKNSIRLSHFLSNAPSLHQLNLNFQSEKIWVEPEGWKRLAPVLGELRHVTLVDLPEGCDIAWTMFIVEAAPRLESLSIRVWDHCCKMERDETTRQENGYCDKSNVEWQPSVANLEHRNLAKLTIVGFQPDEHFVGFIRRVMESAVNLEEISLYDRVVGRCCSYLDPKTKSKVVPSRYPRTMKEQVLLRKEMTKGMDLSHVIHFRS >ONIVA02G40830.1 pep chromosome:AWHD00000000:2:34737964:34743530:-1 gene:ONIVA02G40830 transcript:ONIVA02G40830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:general control non-repressible 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) TAIR;Acc:AT1G64550] MAAATLAAASMGVVREVLGSDVVDEVDQPIIDYIANVLADEDFDFGAPDGHGIFDALGDLLIDARCVADEEHCLEVCSKICEKFGKHGLVKPKQAMRSLVTPLRMNEGMDDKVAPKKQADVFDGPLLSSRDKAKIERKKRKDERQREAQYQTHVAEMEALRAGMPPVFVNHNNSGGPAVRDIHMENFSVTVGGRDLIQDCTVTLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVVGDDTTALQCVLNADIERVQLLQEEAHLVQRQKDLEYEAEFEQSVSKSKDGLDKDAISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQRKRTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGQKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPTLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSEGRVSPFAGTFKDYKKMLKS >ONIVA02G40830.2 pep chromosome:AWHD00000000:2:34737964:34743530:-1 gene:ONIVA02G40830 transcript:ONIVA02G40830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:general control non-repressible 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) TAIR;Acc:AT1G64550] MAAATLAAASMGVVREVLGSDVVDEVDQPIIDYIANVLADEDFDFGAPDGHGIFDALGDLLIDARCVADEEHCLEVCSKICEKFGKHGLVKPKQAMRSLVTPLRMNEGMDDKVAPKKQADVFDGPLLSSRDKAKIERKKRKDERQREAQYQTHVAEMEALRAGMPPVFVNHNNSGGPAVRDIHMENFSVTVGGRDLIQDCTVTLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVVGDDTTALQCVLNADIERVQLLQEEAHLVQRQKDLEYEAEFEQSVSKSKDGLDKDAISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQRKRTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGQKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPTLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGNESVLIDVLSILKAAYGLSQFHEKLRTILLPNDSSARRILNLSVLIRAFPIPGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSEGRVSPFAGTFKDYKKMLKS >ONIVA02G40820.1 pep chromosome:AWHD00000000:2:34736260:34737320:-1 gene:ONIVA02G40820 transcript:ONIVA02G40820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWASWVGASVTSAFFASLERCSCINLSTDDDDDLADAHESKDRPLVLSDANAHAHTDAHPDPPAAAADADKHKDDKLPPFTIAYDQMSVDVSDNECLSLNVDSQAIIFAMKSHNNCA >ONIVA02G40810.1 pep chromosome:AWHD00000000:2:34733576:34733845:1 gene:ONIVA02G40810 transcript:ONIVA02G40810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSKQHLVPFILLLLLVMSHLPISSLGSRRAFREEAVSGFRSHELAPTMAPSQEKEAGVVAGAGSICGQKYAVSRRMVPQGPNPLHN >ONIVA02G40800.1 pep chromosome:AWHD00000000:2:34728434:34732887:1 gene:ONIVA02G40800 transcript:ONIVA02G40800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKSKVAAEKFIIEQCSNYAILRSSIIYGPQTISPVAKSLPIQWMDSVLSQGQQVQFFNDEFRCPVYVKDMVDVVLSLTKSWLADGKAVQVLLNVGGPDRVSRLQMAESVADVRGYSHSIIKSVSASSVDRGVASPPDISMDITKLTQMLGIKPISFQDGVRATLAAEATILLGEASTNFAENCGSIKTVSGPSATSLMQLLNLKFYTCEALYQSLKRSLNTLFCDFQQMESMLGKILIL >ONIVA02G40790.1 pep chromosome:AWHD00000000:2:34722748:34727484:-1 gene:ONIVA02G40790 transcript:ONIVA02G40790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLSKWQHKRMHEKLARHKERGLLRHEKQLYLARLRSEIRASRLPAAGASPPDDGDGPTSSRAHIRALADRFLLPGAEDLWNEDDGPIHRADRPRPPRRIVSVGGNGGDRRKLDSTKQELPRGGKEPRLAAFNPRRDFQTAAPWWWQWSSSSAIPSRTKEASFCFFGPKRSYSVMPLFQAHQESSGTSMVPLIARGLASARIAPSQLNGERFYSFAAGRFGRKLRPDSSDEDDEDISTAKKDMRFARFGASSEEESGYDELEARSAIRKKWSSAALRNCDMKKERRALKSYEEENNDLAGSFRELREEIKNREVLGAERRRYESRGESLFTNKRFEECGISPLTVKALTDAGYVQTTVVQETALPMCLEGKDVLVKAKTGTGKSAAFLLPAIESVLNAMKSHTNHRVSPIFSLILCPTRELAIQLTAEANVLLKYHQGIGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENKSSFSVRLMGLKLLVLDEADHLLDLGFRTDIEKIVDSLPRQRQTLLFSATIPKEVRRVSQLVLKRDHVFVDTVGLGAVETPTKVEQLYLVMPHELHFHMVYRLLREHIDQEVDYKVIVFCTTAMVTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRDSSRLILVTSDVSTRGVNYPGVTLVIQVGVPSDREHYIHRLGRTGREGKSGKGILLLAPWEEYFLNEIHDLPVQKSQTPNIDEEMKRKVDGSIKIVDMSIKEAAYHAWLGYYNSIGDVGRDKTMLVDLANRFCKSIGLEKPPALYRKTALKMGLKDVPGIRIRK >ONIVA02G40780.1 pep chromosome:AWHD00000000:2:34720892:34722294:-1 gene:ONIVA02G40780 transcript:ONIVA02G40780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAAHNNHLPVVDRFTKLPDDVLLNILDRLNTPDAVRTCLLSKRTIHLRHLLSNLNISLHSFLPHYYGYYTTSMDAIRIHMNAAVSDATDNILNFRNQEIPLRQLSITFYLKYYDCLTIGKAVARAMATHKLDSAEFIILPDKKLQYCTVDDRRHNGKQLMTFFYACTDAFAGLTRLHLRNLKLAETDISNIIATCKRLEYLRLSMCQTENSVLQLQVEHPWLAELDISSAGLELVELNSLPNLKRLVFSLWLCPQEPLSFGNIPLLSSLSLTNVSMRWQKVIRLSQFLSSALTIRDLHLSFLSEKIWVQPECPKLLSPVLQNLQVLNLDELPEGRDIAWTCFFLEAAPSLKEVCITVWDH >ONIVA02G40780.2 pep chromosome:AWHD00000000:2:34713255:34720885:-1 gene:ONIVA02G40780 transcript:ONIVA02G40780.2 gene_biotype:protein_coding transcript_biotype:protein_coding METDSVEREEQGFCEKTNVEWESSAPDGFRHYNLTKLTIYGFQPNENFMGYIRHVMEAAVNLEDISLYDRKVLECCEDLDPNIKVAPSGYLETIQEQELLKKQITEGLVMKKSAAHNNPQLLVDRFTKLPDDLLLNILDRLNTPDAVRTCLLSKRTIHLRHLLSNLDISVDSFVPHYYGYYATSKDAIQIQMNAAVSDATDNILNFRNQEIPLRQLTICFYLKYYDCLTIGKAVARAMATHNLDSAEFIILTGNRAQHCSIDDLRHNGKQLMTFFGACTDAFAGLTRLHLRNLRLAETDILNIIATCKRLEYLRLSMCQTEDSVLQMKFEHPRLVELNISSAGLELVELSSLPNLKRLVFSLWNCPQEPLSFGNVPLLSSLSLTDESMRWQKVIRLSQFLPNVLSIRDLHLNFSSEKIWVQPECPKLLAHVLRNLQVLNLDELPEGCDIAWTRFFIEAAPVLKELCITVWDHWCEMETDSVEREAQGFCDKTNVEWESSAPDGFRHYNLTKLTIYGFQPNDNFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDPKIKVAPTGYPETI >ONIVA02G40770.1 pep chromosome:AWHD00000000:2:34711597:34713212:-1 gene:ONIVA02G40770 transcript:ONIVA02G40770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYIIHFRPKRNQESSDALVMPLIARVVASGRISPSLFNEERKWRPDSSDEDVSTSRKDLRFAKFGASSEELNVREIHSRKPQHYRTRISEEFRDSSRLILVGTCS >ONIVA02G40770.2 pep chromosome:AWHD00000000:2:34711597:34712824:-1 gene:ONIVA02G40770 transcript:ONIVA02G40770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWRQWSWASGSPLRTKEASFCLFSPKRNQESSDALVMPLIARVVASGRISPSLFNEERKWRPDSSDEDVSTSRKDLRFAKFGASSEELNVREIHSRKPQHYRTRISEEFRDSSRLILVGTCS >ONIVA02G40760.1 pep chromosome:AWHD00000000:2:34699755:34710379:-1 gene:ONIVA02G40760 transcript:ONIVA02G40760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAAAGLQALVLRVRSLKRMANVTEEVTFEVKHAPMRYGGIVGLPSGELGVLVYAQPPVGEFDNYAISRDKVMEPVRAPSGQTQGKERERDFTSAKEKRKLSDRARRRGAQAYLGHCLGANDEKVRLRIFGIHYKLFFLFFCERFTDY >ONIVA02G40760.2 pep chromosome:AWHD00000000:2:34700597:34709096:-1 gene:ONIVA02G40760 transcript:ONIVA02G40760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRGSTIVGLTAWPMARTRMANVTEEVTFEVKHAPMRYGGIVGLPSGELGVLVYAQPPVGEFDNYAISRDKVMEPVRAPSGQTQGKERERDFTSAKEKRKLSDRARRRGAQAYLGQ >ONIVA02G40760.3 pep chromosome:AWHD00000000:2:34699755:34701583:-1 gene:ONIVA02G40760 transcript:ONIVA02G40760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTEEVTFEVKHAPMRYGGIVGLPSGELGVLVYAQPPVGEFDNYAISRDKVMEPVRAPSGQTQGKERERDFTSAKEKRKLSDRARRRGAQAYLGHCLGANDEKVRLRIFGIHYKLFFLFFCERFTDY >ONIVA02G40760.4 pep chromosome:AWHD00000000:2:34700597:34702545:-1 gene:ONIVA02G40760 transcript:ONIVA02G40760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPINTVSKPNAIPFPHSPHQESAAAAAAGLQALVLRVRSLKRMANVTEEVTFEVKHAPMRYGGIVGLPSGELGVLVYAQPPVGEFDNYAISRDKVMEPVRAPSGQTQGKERERDFTSAKEKRKLSDRARRRGAQAYLGQ >ONIVA02G40760.5 pep chromosome:AWHD00000000:2:34700597:34709222:-1 gene:ONIVA02G40760 transcript:ONIVA02G40760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRRLARTYRMANVTEEVTFEVKHAPMRYGGIVGLPSGELGVLVYAQPPVGEFDNYAISRDKVMEPVRAPSGQTQGKERERDFTSAKEKRKLSDRARRRGAQAYLGQ >ONIVA02G40750.1 pep chromosome:AWHD00000000:2:34683049:34698934:1 gene:ONIVA02G40750 transcript:ONIVA02G40750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAAHNNPQLVVDRFTKLPDDLLLNILDRLDTPDAVRTCLLSNRTLHLRHMLSRFQISVDSFVPNCGYATLKDTIPMNSAVADATDSILTFRRQDIPLRHLSVCFYLKYYDCLTIGKAVVRAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQFMRFFGACTDAFAGLTRLYLCNLRLGETDIPNIIATCKLLEYLCLSFCETEDSVLQLQKKSAAHNNPQLVVDRFTKLPDDLLLNILDRLNTPDAVRTCLLSKRTIHLRHMLSRFQISVDSFVPDCGYATLKDTIPMNAAVADATDSILNFRRQDIPLRHLSVCFYLKYYDCLTIGKAVARAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQLMRFFGACTDAFAGLTRLYLRNLKLGETDIPNIIATCKLLEYLRLSFCETEDSVLQLQVEHPRLVELDIYHASLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLAPVLRNLQVLNLDELPEECDISWTCFFLEAAPFLKEMCATVWDHWCGMQTDKVEREEQGYSEKANVEWESSAPDGFRHYNLTKLTIYGFQPNENFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDPKIKVAPSWYPQTIEEQELLRKQITEGLVMASPHNKKGSRRNRNKSAPVMVVDRFTTLPDDILLNILDRLNTPDAVRTCLLSKRTLHLPRMLSHFRIALLSFAPDPDYITFKDTIVMNAAVADATDNVLNFRAKDIPLRQLSICFYLKYYDCLTIGKAVARAMATHKLDSAEFRILTDYKLHYYTFDGLHNNGKRLMTFFGACTDAFAGLTRLYLQNLRLAETDIPNIIATCKRLESLRMFMCQTEGTVLQLQVEHQRLVELDICHGCLKLVKLNSLPKLERLVFYSWRHPQEPLYFGNVPQLSSLSLTNVGLRWHNLIRLSQFLSNVTSIRDLHLNFESERIWVQPECPKLLAPVLKNLQVLTLDDLPEGCDIAWTRFFLEAAPFLKELCITVWDHWCNIVTDKVEREEEGYCDKTNVQWESSSPDGFRHCNLVKLTIYGFQPDDNFLGYIRHIMETAVNIEEISLYDRKVEDCCEELDPKIKVAPSKYPQTVEEQELLRKQITEGLVMASPHYRRNTRRSPTHLIPISSTSARFRSSMKNKKGSRRNRNKSAASTGSLPLPVDRLTKLPDDVLLNILDRLNTPDVLANSAVADATDNVLSFRSQDVPLHRLSICFYLKYYDCLTIGKAVSQAMATYNQIDSVEFIILTELQPECYTVDDFRRNGKQFMTFLGSYLDAFAGLTQLFIQNLRLAEADIPNILSTCKRLQYLRMSVCDSEDSVLQLQLEHPRLVELDIYDAGFHLVDLKSLPNLKRLVFGMWVSPGEPLSFGNVPMLSSLSLNNVSAGYQEVFRLSHFLANVPNISNLHLSFASEKIWVKPECPKLLAPVLQKLRFGTIVWNGEELGYRDKTNVEWQSSQPDGFKHHNLVKLIIYGFQPDDNFVGYIRCMMEAAVNLVRISLYDRRFSDCCSDLDPKIKIKVALSRFPRTIKQQELVRRKITEGFGIASSDIIRFRS >ONIVA02G40750.2 pep chromosome:AWHD00000000:2:34683049:34698934:1 gene:ONIVA02G40750 transcript:ONIVA02G40750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKKSAAHNNPQLVVDRFTKLPDDLLLNILDRLNTPDAVRTCLLSKRTIHLRHMLSRFQISVDSFVPDCGYATLKDTIPMNAAVADATDSILNFRRQDIPLRHLSVCFYLKYYDCLTIGKAVARAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQLMRFFGACTDAFAGLTRLYLRNLKLGETDIPNIIATCKLLEYLRLSFCETEDSVLQLQVEHPRLVELDIYHASLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLAPVLRNLQVLNLDELPEECDISWTCFFLEAAPFLKEMCATVWDHWCGMQTDKVEREEQGYSEKANVEWESSAPDGFRHYNLTKLTIYGFQPNENFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDPKIKVAPSWYPQTIEEQELLRKQITEGLVMASPHNKKGSRRNRNKSAPVMVVDRFTTLPDDILLNILDRLNTPDAVRTCLLSKRTLHLPRMLSHFRIALLSFAPDPDYITFKDTIVMNAAVADATDNVLNFRAKDIPLRQLSICFYLKYYDCLTIGKAVARAMATHKLDSAEFRILTDYKLHYYTFDGLHNNGKRLMTFFGACTDAFAGLTRLYLQNLRLAETDIPNIIATCKRLESLRMFMCQTEGTVLQLQVEHQRLVELDICHGCLKLVKLNSLPKLERLVFYSWRHPQEPLYFGNVPQLSSLSLTNVGLRWHNLIRLSQFLSNVTSIRDLHLNFESERIWVQPECPKLLAPVLKNLQVLTLDDLPEGCDIAWTRFFLEAAPFLKELCITVWDHWCNIVTDKVEREEEGYCDKTNVQWESSSPDGFRHCNLVKLTIYGFQPDDNFLGYIRHIMETAVNIEEISLYDRKVEDCCEELDPKIKVAPSKYPQTVEEQELLRKQITEGLVMASPHYRRNTRRSPTHLIPISSTSARFRSSMKNKKGSRRNRNKSAASTGSLPLPVDRLTKLPDDVLLNILDRLNTPDVLANSAVADATDNVLSFRSQDVPLHRLSICFYLKYYDCLTIGKAVSQAMATYNQIDSVEFIILTELQPECYTVDDFRRNGKQFMTFLGSYLDAFAGLTQLFIQNLRLAEADIPNILSTCKRLQYLRMSVCDSEDSVLQLQLEHPRLVELDIYDAGFHLVDLKSLPNLKRLVFGMWVSPGEPLSFGNVPMLSSLSLNNVSAGYQEVFRLSHFLANVPNISNLHLSFASEKIWVKPECPKLLAPVLQKLRFGTIVWNGEELGYRDKTNVEWQSSQPDGFKHHNLVKLIIYGFQPDDNFVGYIRCMMEAAVNLVRISLYDRRFSDCCSDLDPKIKIKVALSRFPRTIKQQELVRRKITEGFGIASSDIIRFRS >ONIVA02G40750.3 pep chromosome:AWHD00000000:2:34683049:34698934:1 gene:ONIVA02G40750 transcript:ONIVA02G40750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAAHNNPQLVVDRFTKLPDDLLLNILDRLDTPDAVRTCLLSNRTLHLRHMLSRFQISVDSFVPNCGYATLKDTIPMNSAVADATDSILTFRRQDIPLRHLSVCFYLKYYDCLTIGKAVVRAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQFMRFFGACTDAFAGLTRLYLCNLRLGETDIPNIIATCKLLEYLCLSFCETEDSVLQLQKKSAAHNNPQLVVDRFTKLPDDLLLNILDRLNTPDAVRTCLLSKRTIHLRHMLSRFQISVDSFVPDCGYATLKDTIPMNAAVADATDSILNFRRQDIPLRHLSVCFYLKYYDCLTIGKAVARAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQLMRFFGACTDAFAGLTRLYLRNLKLGETDIPNIIATCKLLEYLRLSFCETEDSVLQLQVEHPRLVELDIYHASLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLAPVLKNLQVLTLDDLPEGCDIAWTRFFLEAAPFLKELCITVWDHWCNIVTDKVEREEEGYCDKTNVQWESSSPDGFRHCNLVKLTIYGFQPDDNFLGYIRHIMETAVNIEEISLYDRKVEDCCEELDPKIKVAPSKYPQTVEEQELLRKQITEGLVMASPHYRRNTRRSPTHLIPISSTSARFRSSMKNKKGSRRNRNKSAASTGSLPLPVDRLTKLPDDVLLNILDRLNTPDVLANSAVADATDNVLSFRSQDVPLHRLSICFYLKYYDCLTIGKAVSQAMATYNQIDSVEFIILTELQPECYTVDDFRRNGKQFMTFLGSYLDAFAGLTQLFIQNLRLAEADIPNILSTCKRLQYLRMSVCDSEDSVLQLQLEHPRLVELDIYDAGFHLVDLKSLPNLKRLVFGMWVSPGEPLSFGNVPMLSSLSLNNVSAGYQEVFRLSHFLANVPNISNLHLSFASEKIWVKPECPKLLAPVLQKLRFGTIVWNGEELGYRDKTNVEWQSSQPDGFKHHNLVKLIIYGFQPDDNFVGYIRCMMEAAVNLVRISLYDRRFSDCCSDLDPKIKIKVALSRFPRTIKQQELVRRKITEGFGIASSDIIRFRS >ONIVA02G40740.1 pep chromosome:AWHD00000000:2:34680168:34693522:-1 gene:ONIVA02G40740 transcript:ONIVA02G40740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRWSVLLERRQVLTASGNNELDAVEEVVGGHGSGDSFANGETIIVFQVETNAEMAKGDILSSEIENAVCCIGDSSVHRDCILQGGITAIGHEGINTNLESREHVAEVDRPLGKEAGSDSIGGVETVEDVEQQIIRHTYPSKRIQIEKCKMKEGSDRVGAADQAGETLAATPTGFTHGGGGGAQGIKKSAAHNNPQLVVDRFTKLPDDLLLNILDRLNTPDAVRTCLLSKRTIHLRHMLSRFQISVDSFVPDCGYATLKDTIPMNAAVADATDSILNFRRQDIPLRHLSVCFYLKYYDCLTIGKAVARAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQLMRFFGACTDAFAGLTRLYLRNLKLGETDIPNIIATCKLLEYLRLSFCETEDSVLQLQVEHPRLVELDIYHASLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLAPVLRNLQVLNLDELPEECDISWTCFFLEAAPSLKEMRVTVWDHWCGMQTDKVEREEQGYSEKANVEWESSAPDGFRHYNLTKLTIYGFQPNDNFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDLKIKVAPSWYPQTIEEEELLRKQITEGLVMASPHVIHFRS >ONIVA02G40740.2 pep chromosome:AWHD00000000:2:34680168:34689060:-1 gene:ONIVA02G40740 transcript:ONIVA02G40740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAAHNNPQLVVDRFTKLPDDLLLNILDRLDTPDAVRTCLLSNRTLHLRHMLSRFQISVDSFVPNCGYATLKDTIPMNSAVADATDSILTFRRQDIPLRHLSVCFYLKYYDCLTIGKAVVRAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQFMRFFGACTDAFAGLTRLYLCNLRLGETDIPNIIATCKLLEYLCLSFCETEDSVLQLQVEHPRLAELDIYHAGLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKKKSAAHNNPQLVVDRFTKLPDDLLLNILDRLNTPDAVRTCLLSKRTIHLRHMLSRFQISVDSFVPDCGYATLKDTIPMNAAVADATDSILNFRRQDIPLRHLSVCFYLKYYDCLTIGKAVARAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQLMRFFGACTDAFAGLTRLYLRNLKLGETDIPNIIATCKLLEYLRLSFCETEDSVLQLQVEHPRLVELDIYHASLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLAPVLRNLQVLNLDELPEECDISWTCFFLEAAPSLKEMRVTVWDHWCGMQTDKVEREEQGYSEKANVEWESSAPDGFRHYNLTKLTIYGFQPNDNFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDLKIKVAPSWYPQTIEEEELLRKQITEGLVMASPHVIHFRS >ONIVA02G40740.3 pep chromosome:AWHD00000000:2:34689181:34693522:-1 gene:ONIVA02G40740 transcript:ONIVA02G40740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRWSVLLERRQVLTASGNNELDAVEEVVGGHGSGDSFANGETIIVFQVETNAEMAKGDILSSEIENAVCCIGDSSVHRDCILQGGITAIGHEGINTNLESREHVAEVDRPLGKEAGSDSIGGVETVEDEKEKEKETEE >ONIVA02G40740.4 pep chromosome:AWHD00000000:2:34680168:34689060:-1 gene:ONIVA02G40740 transcript:ONIVA02G40740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKKSAAHNNPQLVVDRFTKLPDDLLLNILDRLNTPDAVRTCLLSKRTIHLRHMLSRFQISVDSFVPDCGYATLKDTIPMNAAVADATDSILNFRRQDIPLRHLSVCFYLKYYDCLTIGKAVARAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQLMRFFGACTDAFAGLTRLYLRNLKLGETDIPNIIATCKLLEYLRLSFCETEDSVLQLQVEHPRLVELDIYHASLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLAPVLRNLQVLNLDELPEECDISWTCFFLEAAPSLKEMRVTVWDHWCGMQTDKVEREEQGYSEKANVEWESSAPDGFRHYNLTKLTIYGFQPNDNFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDLKIKVAPSWYPQTIEEEELLRKQITEGLVMASPHVIHFRS >ONIVA02G40740.5 pep chromosome:AWHD00000000:2:34680168:34689060:-1 gene:ONIVA02G40740 transcript:ONIVA02G40740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAAHNNPQLVVDRFTKLPDDLLLNILDRLDTPDAVRTCLLSNRTLHLRHMLSRFQISVDSFVPNCGYATLKDTIPMNSAVADATDSILTFRRQDIPLRHLSVCFYLKYYDCLTIGKAVVRAMATNNLLDSVEFIILPEKKPEHYSTYDLRHNGKQFMRFFGACTDAFAGLTRLYLCNLRLGETDIPNIIATCKLLEYLCLSFCETEDSVLQLQVEHPRLAELDIYHAGLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLAPVLRNLQVLNLDELPEECDISWTCFFLEAAPSLKEMRVTVWDHWCGMQTDKVEREEQGYSEKANVEWESSAPDGFRHYNLTKLTIYGFQPNDNFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDLKIKVAPSWYPQTIEEEELLRKQITEGLVMASPHVIHFRS >ONIVA02G40730.1 pep chromosome:AWHD00000000:2:34676604:34680690:1 gene:ONIVA02G40730 transcript:ONIVA02G40730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKTVSSSSFAFFSLGFSLVTSRTPAAIHRRSTARASIGDRLRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >ONIVA02G40720.1 pep chromosome:AWHD00000000:2:34675389:34676413:-1 gene:ONIVA02G40720 transcript:ONIVA02G40720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSPAAVHTPRPASIRSSSASARPSVGSSSTPRPPIPAAANSKGVAKCLAFHDGDFTFPDDLAPLLDLPDPADSSSSTTTTAALISAAPDPDDAITASADSALTEVVTAPAEATAMVDEEEEEPLPDQISLALAELRGGRGLSPRSKRLVAALVEAAAAELRPNAATLRLRRAAFWGKVRVWILAATVATVFAIDVVLAVALVSRRGNDLYDALPPT >ONIVA02G40710.1 pep chromosome:AWHD00000000:2:34673212:34676245:1 gene:ONIVA02G40710 transcript:ONIVA02G40710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQEAKRADVAAAPATATGGEVVKPAAGDAGAVAKMTDGPSAPAHKAATPTGSVDRDAILANVELERKLSMIKAWEESEKSKAENKAQKKMSSILSWENTRKAAIEAKLRTQEEKLERKKAEYAEKMRNQVAAIHKAAEEKRATVEATRHEEIIKYEEMAAKHRSKGTTPTKFLSCFGS >ONIVA02G40700.1 pep chromosome:AWHD00000000:2:34670338:34672702:-1 gene:ONIVA02G40700 transcript:ONIVA02G40700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKKQHEFDLQREKEAKEKLAKKLQAKKSKMKIDGDVKRKGGKFKVGKKKVKTKLSALTKAKAAQAMEVDKLE >ONIVA02G40690.1 pep chromosome:AWHD00000000:2:34664734:34667883:-1 gene:ONIVA02G40690 transcript:ONIVA02G40690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRESTVASGSNFSSFYVQHRGIGVPGGSGHPAGLHGPPPGGYRQHLDAVSAGYPFQPPHIGGSHIGQGYHHVDASAPVAQHGSGGGGGGMDIGMGVEMSADAKGDQGSGAGQDEPVKKKRGRPRKYKPDGAVTLGLSPSSSTPHSSTSAMGTMVTTPGSGFGSGAGSGGSGSGALTEKRGRGRPPGSGKMQQLASLGKWFLGSVGTGFTPHVIIISPGEDVAARIMSFSQQGPRAVCIISATGAVSTATLHQDSNSGGVVTYEGRFEILCLSGSYLVIEEGGSRTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGTKKNKAKAEQETENNEEPIGGEEETPTMALPDHNMPHHTMGGWSAGLMRQMDSRTPNIDINSIRE >ONIVA02G40680.1 pep chromosome:AWHD00000000:2:34658473:34660068:1 gene:ONIVA02G40680 transcript:ONIVA02G40680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 715, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G52400) TAIR;Acc:AT5G52400] MELVVQALAAAAALLAVFFLSTLYLSPAATARRLRNAGFRGPTPSFPLGNLREIASSLSSNNDTDESNTKGGDIHAAVFPYFARWRRAFGKVFVYWLGTEPFLYVADPEFLKAATAGALGKLWGKPDVFRRDRMPMFGRGLVMAEGDEWARHRHIIAPAFSATNLNDMIGVMEETTAKMLGEWGDMVASGRSCVDVEKGVVRNAAEIIARASFGISADDDDATGARVFHKLQAMQAMLFRSTRLVGVPLAGLLHIRATYEAWKLGREIDALLLDIIESRRRREGGGGGKKKKKTTSNDLLSLLLAGSEASAGAERKLTTRELVDECKTFFFGGHETTALALSWTLLMLAAHPEWQAAVREEVVEVAGRSGPLDAAALGKLTKMGCVLSEVLRLYPPSPNVQRQALQDVVVVAGDGEKKVVIPKGTNMWIDVVAMHRDGELWGEEASEFRPERFMREGVQGGCRHRMGYVPFGFGGRICVGRNLTAMELRVVLAMVLRRFAVEVAPEYRHAPRIMLSLRPSHGIQLRLTPLC >ONIVA02G40670.1 pep chromosome:AWHD00000000:2:34647445:34649871:-1 gene:ONIVA02G40670 transcript:ONIVA02G40670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14770) TAIR;Acc:AT5G14770] MCKRGVPFDGVTVNTLLAGLCRNGQVDAAAALADRGGGIHALDVIGWNTLIAGYCRVGDTPAALSVADRMTAQGLPMDVVGYNTLVAGFCRAGQVDAARGVLDMMKEAGVDPNVATYTPFIVYYCRTKGVEEAFDLYEGMVRNGVLLDVVTLSALVAGLCRDGRFSEAYALFREMDKVGAVPNHVTYCTLIDSLAKAGRGKELLSLLGEMVSRGVVMDLVTYTALMDWLGKQGKTDEVKDTLRFALSDNLSLNGVTYTVLIDALCKAHNVDEAEQVLLEMEEKSISPNVVTFSSVINGFVKRGLLDKATEYKRMMKERGINPNVVTYGTLIDGFFKFQGQDAALEVYHDMLCEGVEVNKFIVDSLVNGLRQNGKIEEAMALFKDASGSGLSLDHVNYTTLIDGLFKAGDMPTAFKFGQELMDRNMLPDAVVYNVFINCLCILGKFKEAKSILTEMRNMGLKPDQSTYNTMIVSHCRKGETAKALKLLHEMKMSSIKPNLITYNTLVAGLFGTGAVEKAKYLLNEMVSAGFSPSSLTHRRVLQACSQSRRLDVILDIHEWMMNAGLHADITVYNTLLQVLCYHGMTRKATVVLEEMLGSGIAPDTITFNALILGHCKSSHLDNAFATYAQMLHQNISPNIATFNTLLGGLESVGRIGEAGTVLIEMEKSGLEPNNLTYDILVTGHGKQSNKVEAMRLYCEMVGKGFVPKVSTYNALISDFTKAGMMTQAKELFKDMQKRGVHPTSCTYDILVSGWSRIRNGTEVKKCLKDMKEKGFSPSKGTLSFICRAFSKPGMTWQAQRLLKNLYRV >ONIVA02G40660.1 pep chromosome:AWHD00000000:2:34642398:34647272:-1 gene:ONIVA02G40660 transcript:ONIVA02G40660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQESRNPRIHILRKYTASVHLDIGDLCILVLPGLDHRIISGTGGVLITAELFRLLHKTFTKPRKKEKDGCKKERDGGGHETGQ >ONIVA02G40660.2 pep chromosome:AWHD00000000:2:34640651:34647272:-1 gene:ONIVA02G40660 transcript:ONIVA02G40660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQESRNPRIHILRKYTASVHLDIGDLCILVLPGLDHRIISGTGGVLITAELFRLLHKTFTKPRKKELNRSDHVQGY >ONIVA02G40660.3 pep chromosome:AWHD00000000:2:34644275:34647272:-1 gene:ONIVA02G40660 transcript:ONIVA02G40660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILQESRNPRIHILRKYTASVHLDIGDLCILVLPGLDHRIISGTGGVLITAELFRLLHKTFTKPRKKEKDGCKKERDGGGHETGMRPQVK >ONIVA02G40660.4 pep chromosome:AWHD00000000:2:34642391:34647272:-1 gene:ONIVA02G40660 transcript:ONIVA02G40660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILQESRNPRIHILRKYTASVHLDIGDLCILVLPGLDHRIISGTGGVLITAELFRLLHKTFTKPRKKEDSEN >ONIVA02G40650.1 pep chromosome:AWHD00000000:2:34636816:34637802:-1 gene:ONIVA02G40650 transcript:ONIVA02G40650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDKHAGVVKRKRTKRPRHHAAPASSSESTTTEEEDMAHCLILLAQGAAVVDSKPSTPAPPPPPPPAQPPVLAAPAPAPPPPQPPVVVVKSERYTSRKYTEAATTADGVRAGFYVYECKTCNKCFPTFQALGGHRASHKKPRLPGADDDNVNNVTNTNAIVVKSKPPLTTTTTPSAPSPPPPQADAVVVPDVTTVLSLNNVAAAGSIINKLRVHECSICGAEFGSGQALGGHMRRHRPLHAPPERAATTAATTAATATAPDTKKEGSTSINLELDLNLPAPSDEESVSPPPPPPPPVLLALGGQFNDGKKPILQLTASAALVGCHY >ONIVA02G40640.1 pep chromosome:AWHD00000000:2:34626515:34632573:1 gene:ONIVA02G40640 transcript:ONIVA02G40640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPQAGPSPAVRVLSRTPPPPASSSPSPPPPAATPPSHDGVVAVGFVGGGGTARLADRILDAHVFSPGGSARTLAGGVRYHRDGEKRVVFLHLAPSPPTPLEGAGDLRELLFMFSVCHVIIFLQEGFRFDTQILKKFRLLQSSKHAIAPFVKSLVAPAVPSKVARSNTPTKPTHRASSISPPARRGGRHPSAISLMSGTGSHPCMLPGLCIPVVLFVFEDDITDAPGAPTSPDDTNDTSSSNQASNTDGLPKPNMTSKGSSSVVMLARPAIRSDGTFSKKLHSSVEGQIRFLLKKCRTLVGLEPGHIVSRGVSNVSHLPLFSLDTSRVVALLDRSISKKREPLDIIAGLFEDSLTSKSSLDVSSLENNCHPATHEDVQFIKDFIFRQSDGLRGRGGHSSNTTAGPVSGVGMVAAAAAAAAASAASGKQMSAPDLPTFDTWLSISSSILSALFSGEDGLSSSQNMKASPTHTSSFPKNDQLPSAGSNAIQTALSCLEGNKGLNVKFSSSWCQRILPAAKEVYLKDLPAFYPTSMHEVQLQKALRSFHSMVKGPAVQVFSKKLKDECQAIWESGRQQCDAVSLTGRPCKHQRHGKSSPSDAALQHSSGYVFLHACACGRSRRLRDDPFDFEAANVTFNCFSNCEDLLPTLVLPRETNAGAFPVSSWRLVRLGGARYYKPTKGLLQAGFCSKEKYLLRWTISLGKGQGKHGTHATNKPFSTASNADPQAPPIVAGEVKSAVTQVTAEIKSMKLENSRKQPEVESMNNSSINFGKGLPNFTMKKPFAEVVAGHTARDSEFPALQQKRPLKPGNWKDERQVSGADQTNGRGHPALSQGPIADNESEKVSRDKSNGSAGGKPFLQIGSNIVPMVVGKETKEVNQSIQQFMVYVGFEHECSYGHRFLLSEKHLKEIDSSYLQFERSNLNNEAESKHGSQKLPQNASRLAATMDVTSGGKLNRPMDSSGRNSQQQLLKPRVDAETLQPSHWLSDPQNERKGELSLQYVTLDDGGEAFSLLNRNLPIYMHCPHCKSSDRKGNQDAKVAAAVSQLQRIFIASCLPSNASDHDQQGSFSLGCRVVLPPESFLTMRLPFVYGVETRDGNTAPLKYLEEQPELTAWLVGGTALQIVSVGHTNEKEAPL >ONIVA02G40640.2 pep chromosome:AWHD00000000:2:34626515:34632573:1 gene:ONIVA02G40640 transcript:ONIVA02G40640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPQAGPSPAVRVLSRTPPPPASSSPSPPPPAATPPSHDGVVAVGFVGGGGTARLADRILDAHVFSPGGSARTLAGGVRYHRDGEKRVVFLHLAPSPPTPLEGAGDLRELLFMFSVCHVIIFLQEGFRFDTQILKKFRLLQSSKHAIAPFVKSLVAPAVPSKVARSNTPTKPTHRASSISPPARRGGRHPSAISLMSGTGSHPCMLPGLCIPVVLFVFEDDITDAPGAPTSPDDTNDTSSSNQASNTDGLPKPNMTSKGSSSVVMLARPAIRSDGTFSKKLHSSVEGQIRFLLKKCRTLVGLEPGHIVSRGVSNVSHLPLFSLDTSRVVALLDRSISKKREPLDIIAGLFEDSLTSKSSLDVSSLENNCHPATHEDVQFIKDFIFRQSDGLRGRGGHSSNTTAGPVSGVGMVAAAAAAAAASAASGKQMSAPDLPTFDTWLSISSSILSALFSGEDGLSSSQNMKASPTHTSSFPKNDQLPSAGSNAIQTALSCLEGNKGLNVKFSSSWCQRILPAAKEVYLKDLPAFYPTSMHEVQLQKALRSFHSMVKGPAVQVFSKKLKDECQAIWESGRQQCDAVSLTGRPCKHQRHGKSSPSDAALQHSSGYVFLHACACGRSRRLRDDPFDFEAANVTFNCFSNCEDLLPTLVLPRETNAGAFPVSSWRLVRLGGARYYKPTKGLLQAGFCSKEKYLLRWTISLGKGQGKHGTHATNKPFSTASNADPQAPPIVAGEVKSAVTQVTAEIKSMKLENSRKQPEVESMNNSSINFGKGLPNFTMKKPFAEVVAGHTARDSEFPALQQKRPLKPGNWKDERQVSGADQTNGRGHPALSQGPIADNESEKVSRDKSNGSAGGKPFLQIGSNIVPMVVGKETKEVNQSIQQFMVYVGFEHECSYGHRFLLSEKHLKEIDSSYLQFERSNLNNEAESKHGSQKLPQNASRLAATMDVTSGGKLNRPMDSSGRNSQQQLLKPRVDAETLQPSHWLSDPQNERKGELSLQYVTLDDGGEAFSLLNRNLPIYMHCPHCKSSDRKGNQDAKVAAAVSQLQRIFIASCLPSNASDHDQQGSFSLGCRVVLPPESFLTMRLPFVYGVETRDGNTAPLKYLEEQPELTAWLVGGTALQIVSVGHTNEKEAPL >ONIVA02G40630.1 pep chromosome:AWHD00000000:2:34623873:34625893:-1 gene:ONIVA02G40630 transcript:ONIVA02G40630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 32 [Source:Projected from Arabidopsis thaliana (AT2G36870) TAIR;Acc:AT2G36870] MAIIRRRQQQGVAAAAATLVALMAVVVAAAAEAQPSPGVYPSRMFRAREFGRDFRSLWGAEHQQQEAAAPETGVTVWLDRRSGSGFKSRRAYRSGYFGTWVRLQRGYTAGVITAFYLSNGEAHPGWHDEVDMEFLGTTPGKPYTLQTNVFSLGSGDPPRSLGREIKFHLWFDPTADFHHYAILWTSDHIIFLVDDVPIRRYGRRSAGGAAGFPARPMWVYGSIWDASSWATEDGRYRADYSYQPFVARFSAFLLRGCSPHAPRTCAAPVAGDLTAAQLAAMRWAQRFHMVYNYCYDPKRDHSLTPECRTHLHPSSSSSNSSSSSYHG >ONIVA02G40620.1 pep chromosome:AWHD00000000:2:34617680:34620667:1 gene:ONIVA02G40620 transcript:ONIVA02G40620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G53140) TAIR;Acc:AT3G53140] MGGGGDGELSPAEARLAMMELANMISVPMALTAVIRLGVPAKLWAGGANAPLTAADLLPAGHPDPSVLERLLRLLASRGVFSEHTGSSSPSPRRFSLTAVGRTLVPGGGGSPSGSGASYADYVLQHHQDALVRAWPLLHEAVLDPSGPEPFARANAGVPAYAYYGKDREANEVMLRAMTGVSEPFMEALLEGYGDGGFEGVSTLVDVGGSSGACLEMMMRRVRTIRDGVNFDLPDVVAAAPPIPDSVQEFKHAWVLTTWTNEECTAILSNCHKALPGGGKVIACEPVVPDTTDGSTRTRALLENDIFVMATYRTQGRERSEEEFRHLGLAAGFASFRAIYLDPFYAVLEYTK >ONIVA02G40620.2 pep chromosome:AWHD00000000:2:34617680:34620667:1 gene:ONIVA02G40620 transcript:ONIVA02G40620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G53140) TAIR;Acc:AT3G53140] MGGGGDGELSPAEARLAMMELANMISVPMALTAVIRLGVPAKLWAGGANAPLTAADLLPAGHPDPSVLERLLRLLASRGVFSEHTGSSSPSPRRFSLTAVGRTLVPGGGGSPSGSGASYADYVLQHHQDALVRAWPLLHEAVLDPSGPEPFARANAGVPAYAYYGKDREANEVMLRAMTGVSEPFMEALLEGYGDGGFEGVSTLVDVGGSSGACLEMMMRRVRTIRDGVNFDLPDWVLTTWTNEECTAILSNCHKALPGGGKVIACEPVVPDTTDGSTRTRALLENDIFVMATYRTQGRERSEEEFRHLGLAAGFASFRAIYLDPFYAVLEYTK >ONIVA02G40610.1 pep chromosome:AWHD00000000:2:34615500:34622776:-1 gene:ONIVA02G40610 transcript:ONIVA02G40610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSAMGTEIMLASSIIASLASAGDSSPSPPPPIFQTLTHSDIHKKKTREDRQKWKKKKNDEEAAAELLFPLFPEQSFVQQNFAFSISFIPFPTVFPQLYITEYARTTSAIGARHSGHLPPLRISSFAHFEQVHMCPHLPPSPNGFYKYIRQKRLEISNIKVSDMFSCEADLYNRESICASQHTQQVPFLT >ONIVA02G40610.2 pep chromosome:AWHD00000000:2:34615500:34622776:-1 gene:ONIVA02G40610 transcript:ONIVA02G40610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSAMGTEIMLASSIIASLASAGDSSPSPPPPIFQTLTHSDIHKKKTREDRQKWKKKKNDEEAAAELLFPLFPEQSFVQQNFAFSISFIPFPTVFPQLYITEYARTTSAIGARHSGHLPPLRISSFAHFEQVHMCPHLPPSPNGFYKYIRQKRLEISNIKVSDMFSCEADLYNRESICASQHTQQVPFLT >ONIVA02G40600.1 pep chromosome:AWHD00000000:2:34609896:34616464:1 gene:ONIVA02G40600 transcript:ONIVA02G40600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHHRMGAAADFRRDLEDLVCDHLGGCFSPTSSSSSCSAAGGGVAAGHQPDEEPESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALARLHPVSTLDPSSFLLSSPTAPPPRPQAPSPTAPPSLLQMWRELEHRRSDADQPFDREPSPDTADRERVRQIARRLTANTDVPTAAAAAATTGEWLGETERQRVRLVREWVQMASQPRDSRVASRRDDTAAGERERRGEPPRLRGRQARLDVITRMARERQRELQGISGYHIVSEFPHRSRNRIQGLLRGRFLRNVVLPVEEEERPPSVAARELGQLRQSHRVSTLRSESAVSSEDVSRFDSSVAESVGVLGSDEPQQGAEVRALTGTENTTQIMLEDVGLQEADAENAAIESPSVALDNMVEMHETQVDNRLQDEAGRDARFWQPSLDDSLDRWPNETAEDAERNWEDNAEELHSETMEDDAREHDHLQDEHDEWHDDESHGTENWQDDFQDSPLDMGPIPRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGESLERLIRSYVQRRGRGPLNWNLDAAIPAVNSPNENQEQERNAETRQFQAPVNRPALVIPPPPLPPRQPLWHRELRHNNWSTRHREWDAINDLKADMGRLQQGMSSIQRMLEACMDMQLELQRSVRQEVSAALNRFAGPEGYPTDLSDDGSKWDQVRKGTCCVCCDAQIDSLLYRCGHMCTCSKCANELIRSGGKCPLCRAPIAEVVRAYSVM >ONIVA02G40590.1 pep chromosome:AWHD00000000:2:34600667:34604427:1 gene:ONIVA02G40590 transcript:ONIVA02G40590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSLPRYDSGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYSIIEYHKPTKPRVRHSRPWSSCTKSSNRGNFHPSSILQTRVNLTRLGTPTLWRYWKHFNLVSMNPDPSKEQLFHGVQQHFQSQVILGFIQAAKRLKTLYHS >ONIVA02G40590.2 pep chromosome:AWHD00000000:2:34600629:34604427:1 gene:ONIVA02G40590 transcript:ONIVA02G40590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSLPRYDSGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYSIIEYHKPTKPRVRHSRPWSSCTKSSNRGNFHPSSILQTRVNLTRLGTPTLWRYWKHFNLVSMNPDPSKEQLFHGVQQHFQSQVILGFIQAAKRLKTLYHS >ONIVA02G40590.3 pep chromosome:AWHD00000000:2:34602388:34604427:1 gene:ONIVA02G40590 transcript:ONIVA02G40590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSLPRYDSGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYSIIEYHKPTKPRVRHSRPWSSCTKSSNRGNFHPSSILQTRVNLTRLGTPTLWRYWKHFNLVSMNPDPSKEQLFHGVQQHFQSQVILGFIQAAKRLKTLYHS >ONIVA02G40590.4 pep chromosome:AWHD00000000:2:34602388:34604427:1 gene:ONIVA02G40590 transcript:ONIVA02G40590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSLPRYDSGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYSIIEYHKPTKPRVRHSRPWSSCTKSSNRGNFHPSSILQTVSMNPDPSKEQLFHGVQQHFQSQVILGFIQAAKRLKTLYHS >ONIVA02G40580.1 pep chromosome:AWHD00000000:2:34596820:34598865:1 gene:ONIVA02G40580 transcript:ONIVA02G40580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYTERQPIGTAAQGAEEKDYREPPAAPVFEVEELTSWSFYRAGIAEFVATFLFLYISILTVMGVNKSASKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMAMQCLGAICGAGVVKGFQRGLYMGSGGGANAVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNRAHAWHDHWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD >ONIVA02G40570.1 pep chromosome:AWHD00000000:2:34588987:34595747:1 gene:ONIVA02G40570 transcript:ONIVA02G40570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSPEMAAALLLVMAALAGVAAGGDIVHQDDEAPKIPGCSNDFVLVKVQTWVNNREDGEFVGVGARFGPTIESKEKHANRTGLLLADPIDCCDPPRQKVAGDVLLVQRGNCKFTKKAKNAEAAGASAIIIINHVHELYKMVCDRNETDLDINIPAVLLPKDAGNDLQKLLTRGKVSVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREAVIEQEKLLKDGHESSLNLEAGGSSGMVDINMTSAILFVVIASCFLIMLYKLMSHWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFFGAVSYLTIAVCPFCIVFAVIWAVYRRMTYAWIGQDILGIALIVTVIQIVRIPNLKVGSVLLSCSFLYDIFWVFISKMWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGFSIIGFGDILLPGLLIAFALRYDWAAKKTLQSGYFLWSMVAYGSGLMITYVALNLMDGHGQPALLYIVPFTLGTFIALGRKRGELRNLWTRGQPERVCTHMHMQPLPKDINCDAIDVVTD >ONIVA02G40560.1 pep chromosome:AWHD00000000:2:34582255:34588131:1 gene:ONIVA02G40560 transcript:ONIVA02G40560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGAGGAIGGSGREAAAEEEDGGEQWLEEEAEAEAVYCAVGKEAVKEWKANLMWVLAAFPWRRRRSRIVLIHVHRPPSRVNMMGAWVPVSQLAEEEVNAYRQLEEERISKVLDDLLDICKTWVANKVAEKNSQVNASKIIFSCDDIARGLLQLVDDHGITDLVMGAASDKAYSRKMRAPRSKKARKVQLKASPSCKIWFVCKGNLICTREVNEGLNRTGSSTTSTSPRSSTSDYSRSRSSPRVHSLSSEQFGMQDPAEPTTSSVDQTPIREDNAMDRGTEGFNHEAVAVASSSTVPVSENVEAVQRSAAAVVQSLQEIEEDSPTPSGHGSEDAGDVSDAYDKFKDAVIEAENLRHEAYEETRRRQKVERDLADATRIANEAESSQQREARHRKEVEERLARERAAMEQDRRELDDILAQTREVDARAAELELQITSSERMMRDLEAKLSESYDLLHQLRRERRRDDVPAEAMAGSEAGDQRLTFLRLGLPELEEATNHFDESVRIGGGEGSRGSVYRGDLRSMAVAVKMIGRDVAVDEVGFCREVEAVGRARHPNLVTLVGACPEARAVVHEFVPGGSLEDRLAGAAPALPWHELCGVAHRACSALAFLHSTQPRATVHGDVRPANILLGEECCSSKLAGLGMRRLVRSSGGVALSRPAVGYVDPRHLATGEMTPERDVYALGVVLLRLVTGKPPLMAKQEAREAAGGSKAWHEVFDASSGGWPLEVAREVALVGLKCCDVEEEPAGARRPGELLEEACGVLEAAMSAAPGRSWSSVSSSSDGGEGGAPSYFVCPILKEVMRDPQIAGDGFSYEAEAIREWLRSGRDTSPMTNLKLPRRELVPNHPLRDAIHHWRLRRAMRTNFTTGLDSYYY >ONIVA02G40560.2 pep chromosome:AWHD00000000:2:34582255:34588131:1 gene:ONIVA02G40560 transcript:ONIVA02G40560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGAGGAIGGSGREAAAEEEDGGEQWLEEEAEAEAVYCAVGKEAVKEWKANLMWVLAAFPWRRRRSRIVLIHVHRPPSRVNMMGAWVPVSQLAEEEVNAYRQLEEERISKVLDDLLDICKSQKVNASKIIFSCDDIARGLLQLVDDHGITDLVMGAASDKAYSRKMRAPRSKKARKVQLKASPSCKIWFVCKGNLICTREVNEGLNRTGSSTTSTSPRSSTSDYSRSRSSPRVHSLSSEQFGMQDPAEPTTSSVDQTPIREDNAMDRGTEGFNHEAVAVASSSTVPVSENVEAVQRSAAAVVQSLQEIEEDSPTPSGHGSEDAGDVSDAYDKFKDAVIEAENLRHEAYEETRRRQKVERDLADATRIANEAESSQQREARHRKEVEERLARERAAMEQDRRELDDILAQTREVDARAAELELQITSSERMMRDLEAKLSESYDLLHQLRRERRRDDVPAEAMAGSEAGDQRLTFLRLGLPELEEATNHFDESVRIGGGEGSRGSVYRGDLRSMAVAVKMIGRDVAVDEVGFCREVEAVGRARHPNLVTLVGACPEARAVVHEFVPGGSLEDRLAGAAPALPWHELCGVAHRACSALAFLHSTQPRATVHGDVRPANILLGEECCSSKLAGLGMRRLVRSSGGVALSRPAVGYVDPRHLATGEMTPERDVYALGVVLLRLVTGKPPLMAKQEAREAAGGSKAWHEVFDASSGGWPLEVAREVALVGLKCCDVEEEPAGARRPGELLEEACGVLEAAMSAAPGRSWSSVSSSSDGGEGGAPSYFVCPILKEVMRDPQIAGDGFSYEAEAIREWLRSGRDTSPMTNLKLPRRELVPNHPLRDAIHHWRLRRAMRTNFTTGLDSYYY >ONIVA02G40550.1 pep chromosome:AWHD00000000:2:34574130:34579008:-1 gene:ONIVA02G40550 transcript:ONIVA02G40550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04420) TAIR;Acc:AT5G04420] MGEGINGDAGDLAAAPYDQWLPFSPAGGSPRPSARYKHAAEVVREKLYVVGGSRNGRYLSDIQVFDFRTLKWSALSAARDSSQLNIENNTTDPSFPALAGHSLVNWKNYIVVVAGNTRTSTSNKVSVWLINVETNSWSSVDTYGKVPISRGGQSVSLVGSRLIMFGGEDNKRRLLNDLHILDLETMMWEEVKTGKGGPAPRYDHSAAVYADQYLLIFGGSSHSTCFSDIYLLDLQTMEWSQPDTQGAHINPRSGHAGTMIDENWYIVGGGDNASGSTDTIMMNASKFVWSVVTSVPARDPLACEGLTLCSTIVDGEKFLVAFGGYNGQYNNEIFVMKLKPRNLVQPRLLQSPAAAAAAASVTAAYAVITDEKTRDIVATDDLDVKRVQPSGSSKQITTELDALNGEKGKLESRLAEVRDENSKLKDRLDMVKLSHGELTKELKSVQHQLAAEGSRCQKLESQIAAAHKRLESTDSLENELEVLRQQISLVEQTMTTAQRQKSGGVWKWVAGSAEISDDE >ONIVA02G40540.1 pep chromosome:AWHD00000000:2:34571617:34573689:1 gene:ONIVA02G40540 transcript:ONIVA02G40540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILESEYSAAAAAGDAASFVLSRLPHPDTTASAAAAFVVDVAGAGGGRTTTLSFVALRRAALSLASGLRFGLGLRRGDAVLVLSPNSLLLPPIVLGVLAAGGVVVAADPGSTAEEVATVARSSGAVVVVAAPEVAEKVAGAGVPLLLTSRSMDPRALSAEELMDDGDPTALASPEASAAAARPRPSDVAFVFYSSATTKTAATMTHADLIAAVSGASLPEEGRVCLASLPICSVHGLPLLALALPAAGVTTVLLAASPSSDPTAAREAAAAHGATDVVATPDVAAALAAPLTMLSSLRRVTVVPALATTEARQAFRRWLPWVELTEMSGSPEKMMASASEQVQVAPDAASAAVIAHIFASLRYINNVFIPSPKPMNTFYFCNTDYRKVPLLKKIQKTVLGDIISKSTANKILREHPEIISKL >ONIVA02G40530.1 pep chromosome:AWHD00000000:2:34568129:34570279:-1 gene:ONIVA02G40530 transcript:ONIVA02G40530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L9 [Source:Projected from Arabidopsis thaliana (AT3G44890) TAIR;Acc:AT3G44890] MASTLAWSSAASSSAPSSRLPPRRSPSLVIVAQGKVKKYRQVILTDDIAEVGKKGDTLKVRAGFYRNFLLPKGKATLLTPEVLKEMQVEQERIDAEKKRVKEEAQQLARVFETIGAFKVPRKGGKGKQIFGRQVFIDVTAQDLVDIIKSQLNRDVDKRLVTVPEIREIGEYIAEIKLHPEVTAQVSSSARHSIVPYLLLRS >ONIVA02G40520.1 pep chromosome:AWHD00000000:2:34559198:34560644:-1 gene:ONIVA02G40520 transcript:ONIVA02G40520.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLISLSDRAASRAGGRQATTSTSSSKRSSAAYTTTRDEAAAERGLSSATHAGRRRTTATATARLPLQPTNQITTASTGGIPSRSPFDSLLLLRLVVAVVEFVSQSPPPLPLGRA >ONIVA02G40510.1 pep chromosome:AWHD00000000:2:34554552:34559151:-1 gene:ONIVA02G40510 transcript:ONIVA02G40510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidyl inositol monophosphate 5 kinase [Source:Projected from Arabidopsis thaliana (AT3G09920) TAIR;Acc:AT3G09920] MTAPAVLPNELEGISRSQRVELFRDASCNIEKEVLSSLANGQDSHASGTNPGFRVGEIRLSNGDIYFGTLLGNTPEGSGRYVWSDGCTYDGEWRRGMRHGQGKTMWPSGATYEGEYSGGYIYGEGTYTGSDNIVYKGRWKLNRKHGLGCQTYPNGDMFDGSWIQGEIEGHGKYTWANGNTYVGNMKNGKMSGKGTLTWKNGDSYEGNWLDGMMHGYGIYTWNECGYYVGTWTKGLKDGKGTFYPKGCRVPVNDELYINNLRNRGVLPDIRRQNHGSRILHSSSVDMGNMKVGLTRESSGPSSRRNSSEQPHSKNVSLERRWSLEVAIEKFIGHDATGSSGLERSESINDSDLPMLEREYMQGVLISEVVLDRSFSDSSKKAKRRQKKIVRETKKPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMTFPKEGSRLTPSHPAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPKYYHHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIETDSEFLRTQRIMDYSLLLGVHYRAPQHLRTRASYHRSMAADRLTVLSEEDAQEDDAFNYPEGLVLVQRGGDENSVVVGPHIRGSRLRSTAAGFAEVDLLLPGTARAEQNPKEEESKSFREVYDVVLYLGIIDILQEYNMSKKIEHAVKSMQYDSISISAVDPQFYSERFLKFIQTVLKAVMENWRWERI >ONIVA02G40510.2 pep chromosome:AWHD00000000:2:34554788:34559151:-1 gene:ONIVA02G40510 transcript:ONIVA02G40510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidyl inositol monophosphate 5 kinase [Source:Projected from Arabidopsis thaliana (AT3G09920) TAIR;Acc:AT3G09920] MTAPAVLPNELEGISRSQRVELFRDASCNIEKEVLSSLANGQDSHASGTNPGFRVGEIRLSNGDIYFGTLLGNTPEGSGRYVWSDGCTYDGEWRRGMRHGQGKTMWPSGATYEGEYSGGYIYGEGTYTGSDNIVYKGRWKLNRKHGLGCQTYPNGDMFDGSWIQGEIEGHGKYTWANGNTYVGNMKNGKMSGKGTLTWKNGDSYEGNWLDGMMHGYGIYTWNECGYYVGTWTKGLKDGKGTFYPKGCRVPVNDELYINNLRNRGVLPDIRRQNHGSRILHSSSVDMGNMKVGLTRESSGPSSRRNSSEQPHSKNVSLERRWSLEVAIEKFIGHDATGSSGLERSESINDSDLPMLEREYMQGVLISEVVLDRSFSDSSKKAKRRQKKIVRETKKPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMTFPKEGSRLTPSHPAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPKYYHHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIETDSEFLRTQRIMDYSLLLGVHYRAPQHLRTRASYHRSMAADRLTVLSEEDAQEDDAFNYPEGLVLVQRGGDENSVVVGPHIRGSRLRSTAAGFAEVDLLLPGTARAEQNPKEEESKSFREVYDVVLYLGIIDILQEYNMSKKIEHAVKSMQYDSISISAVDPQFYSERFLKFIQTVFPENS >ONIVA02G40500.1 pep chromosome:AWHD00000000:2:34549854:34559243:1 gene:ONIVA02G40500 transcript:ONIVA02G40500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSPPDSSSAAAVPLAPGFRFHPTDEELVSYYLRRRILGRRLRIDAIAEVDLYRLEPWDLPSLSRIRSRDAQWYFFARLDRKVTGAGAGGRGGPGNRTNRATPRGYWKTTGKDRDVHHRGKLVGMKKTLVFHSGRAPKGQRTNWVMHEYRLLDADGTQDLHVVCRIFQKNGSGPQNGAQYGAPYLEEDWEEEDDAIENMPASGAFAEMAATQEYQPEITPVKAQGSNEETNGGGYSCDVFSLDEILQGPENVCKNEEQNAIDDKFTIAELSGYPRQDDGYVGENGSVNWIDPSNGDNTNWPLRAYSTQNHVNGTLSADGFFDTVNGTNSYSGPSDNQNLYLQDDGLTSSHQVGDNMPFYDASSNHKWVDGKDDYLNLNDLLYPPAENQPLFDAGDDLMAYFDATEDDFKFDIMGTEDSNSQLPDMSNFVQKDDNNNKFTLDGISNTALYGASSSGSHGNMYPDTAVPDMPMDDTVDKSFGKRLASMLGSIPAPPAMASEFPPSTGKSVVPLSAVNPSSSIRVTAGIIQLGGITFTGSTERMQKNGDFNLLLSFTVEGDVSTKSIGFEPDTQMSTTPMVLRSGMYLFFVSAMILMLSYKVGLCIYSR >ONIVA02G40490.1 pep chromosome:AWHD00000000:2:34545416:34549359:1 gene:ONIVA02G40490 transcript:ONIVA02G40490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding to TOMV RNA 1L (long form) [Source:Projected from Arabidopsis thaliana (AT5G04430) TAIR;Acc:AT5G04430] MVSGLFDEVIKAMELILEKLLAEGEESNEAEARPKVRLVVPNSSCGGIIGKGGSTIKSFIEDSHAGIKISPQDNNFVGLHDRLVTVTGPLDHQMRAIYLILSKLSEDVHYPPNLSSPFPYAGLGFPSYPGVPVGYMIPQVPYNNAVNYGPNGYGGRYQNNKPSTPMRSPANNDAQDSLTIGIADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFIAGTSERKVTITGTSEAIQAAESMIMQRVTASSER >ONIVA02G40480.1 pep chromosome:AWHD00000000:2:34540256:34543928:1 gene:ONIVA02G40480 transcript:ONIVA02G40480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GF14] MSWCTIESDPGVFTELIQEMQVKGVQVEELYSLDVDSISELRPVYGLIFLFKWMAGEKDERPVVKDPNPNLFFASQVIPNACATQAILSILMNRPEIDIGPELSNLKEFTGAFAPDMKGLAINNSDSIRTAHNSFARPEPFVSDEQRAAGKDDEVYHFISYLPFEGVLYELDGLKEGPISLGQCSGGPDDLDWLRMVQPVIQKRIERYSQSEIRFNLMAIIKNRKDVYTAELKELEKRRDQLLQEMNESSAAESLNSELAEVTSAIETVSEKIIMEEEKFKKWRTENIRRKHNYIPFLFNFLKMLAEKKQLKPLVEKAKQQKASSTSTSAR >ONIVA02G40480.2 pep chromosome:AWHD00000000:2:34540409:34543928:1 gene:ONIVA02G40480 transcript:ONIVA02G40480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GF14] MSWCTIESDPGVFTELIQEMQVKGVQVEELYSLDVDSISELRPVYGLIFLFKWMAGEKDERPVVKDPNPNLFFASQVIPNACATQAILSILMNRPEIDIGPELSNLKEFTGAFAPDMKGLAINNSDSIRTAHNSFARPEPFVSDEQRAAGKDDEVYHFISYLPFEGVLYELDGLKEGPISLGQCSGGPDDLDWLRMVQPVIQKRIERYSQSEIRFNLMAIIKNRKDVYTAELKELEKRRDQLLQEMNESSAAESLNSELAEVTSAIETVSEKIIMEEEKFKKWRTENIRRKHNYIPFLFNFLKMLAEKKQLKPLVEKAKQQKASSTSTSAR >ONIVA02G40470.1 pep chromosome:AWHD00000000:2:34531280:34535165:1 gene:ONIVA02G40470 transcript:ONIVA02G40470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPTVKVALGTAAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFGVMSADEAYAAVDLPILGLLFGTMVVSVYLERADMFKHLGRLLSWRSQGGKDLLVRTCVVAALASALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGQFVFGILPATLVGAVVNAAILLCLYWRHLSDEKCVEVVAPVPTDVVEEEDVTSHRFSPATMSHPRSSSHHHHHHQPGSSLSSPDCEVFEPVKPVTVISNGGSNNKPDAADAAVVVGIHQRRGGVGGGVRMKEEHAFRWVEEKEEAMEQWKSTVWKTGVYVITLSMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSAFWEFMEPYARIDTPTGIVILALVILLLSNVASNVPTVLLLGARVAASAAAISPAAETNAWLILAWVSTVAGNLSLLGSAANLIVCEQARRSEQYGYTLSFFSHLQFGFPATLIVTGIGLLLIRSN >ONIVA02G40460.1 pep chromosome:AWHD00000000:2:34525052:34529197:1 gene:ONIVA02G40460 transcript:ONIVA02G40460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSEMRPVHNSVDTVNAAAVAIVTAESRTQPQAEPRRKWADWLSVYFCFGSQKNGRRISHAVLVPEPLPPRTDAPMPEIPIHPPPLVFPFVAPPSSPASFLQSGGASIVQSPVGAPSFSPLSPNSPSPTGPPSIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNAETGTCSPFPDPEVQTSSRSTFPSFPVREPPKILDGEGIATQKLIPRHMRNGGSLLDGHISAAVPVVDFSARLQNNDHAMDHRVSFELTVEDVARCLEKKTNINGESAAASFRLVPTGNGDHIHPRESNDTRAGLCVDETYHDLPEKARRSLSLRKAKEFKFNNVDAPSVEPSVGSDWWANEKVAGITSEPRKSWSFFPVAQPGVS >ONIVA02G40450.1 pep chromosome:AWHD00000000:2:34516080:34519604:1 gene:ONIVA02G40450 transcript:ONIVA02G40450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGFGRGGRGDGGGRSGGGGRGFGRGGDSGGRGGRGRGGGRTPRGRGGGRGGGRGGMKGGSKVVVVPHKHNGVFIAKAKEDALCTKNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPARYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKGTS >ONIVA02G40450.2 pep chromosome:AWHD00000000:2:34519292:34523061:1 gene:ONIVA02G40450 transcript:ONIVA02G40450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIIMDVLLAKALYVLVSRNGNSYHGKWCTWNSVGPKRKREARRPIGPKGKPLADAVERREKEAVSEAQRERERERESRKISARNANPSFPFFSRERERVVQFPFSPAFLTRDRDSPPPPRRRKMEPDQSGAEQTSPRGNDWEVVQLTASTYASAPGPRMSEPSDEAEVKGYDTKGDDSAAALLMSGHFSVSPSEVESLLRGTDSKEHQKELSGQDAVSAEGDDEKFQDTCEHKLKDDLHWIPSFDKGKNLSLVDMEFDKAFQGMGLVGEEPLGFSSSRYNPIDANNEKKTEEPTVQNVNRVIDSSKVVASSEQNKPDDSEFPHEASWKKQLLSLYKNVRKSNKFWPIVVATALVGVTCFWRRWQKGKLQHQPVKLYPSSNEKINQAVGPLNRIKDILVANNHPAPAIHGHARLS >ONIVA02G40450.3 pep chromosome:AWHD00000000:2:34519665:34523061:1 gene:ONIVA02G40450 transcript:ONIVA02G40450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDFDYRKMEPDQSGAEQTSPRGNDWEVVQLTASTYASAPGPRMSEPSDEAEVKGYDTKGDDSAAALLMSGHFSVSPSEVESLLRGTDSKEHQKELSGQDAVSAEGDDEKFQDTCEHKLKDDLHWIPSFDKGKNLSLVDMEFDKAFQGMGLVGEEPLGFSSSRYNPIDANNEKKTEEPTVQNVNRVIDSSKVVASSEQNKPDDSEFPHEASWKKQLLSLYKNVRKSNKFWPIVVATALVGVTCFWRRWQKGKLQHQPVKLYPSSNEKINQAVGPLNRIKDILVANNHPAPAIHGHARLS >ONIVA02G40440.1 pep chromosome:AWHD00000000:2:34512167:34515143:1 gene:ONIVA02G40440 transcript:ONIVA02G40440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GF07] MAYTEPLLLSARHTTQTSPRHHLLRSRHAAAAAADGRMVVAVQDDETGALVAAVGKGDEDDDDDDAVAGEEEDDDDDAPVVRTARGAWEVFAAESRRLWAIGAPIAFNVICLYGTNSTTQIFVGHIGNRELSAVAIGLSVVSNFSFGFLLGMGSALETLCGQAFGAGQVAMLGIYMQRSWIILAASAALLSPLYVFAGPILRLLGQEESIAAAAGEFTVRIIPQMFALAINFPTQKFLQAQSKVTVLAWIGFAALLAHVGLLALFVSALGWGIAGAAAAYDVSSWLTALAQVAYVVGWCRDGWTGLSRKAFNELWAFVKLSLASAVMLCLEICMNINGWEGMLFIGLNAAISVRVSNELGSGRPRATMHAVVVVLVQSLAFGLLAMVLILATRNHFAVIFTGDRHLQKAVANIAYMLAVTMGVVAYINLACYYGFGLPLGFIFGYLFRWGVKGIWAGMLCGTAMQTAILMYMVCKTDWEAESVQALERVRLWGGQPEHEKLPTSEPEETII >ONIVA02G40430.1 pep chromosome:AWHD00000000:2:34508196:34510084:-1 gene:ONIVA02G40430 transcript:ONIVA02G40430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G00330) UniProtKB/Swiss-Prot;Acc:Q8VZJ9] MEGRERRRSMSSSSSGRRTPELERERWAPWSPAPTWSRPLSVGGGGSRAASLKSLFRTIGLWFSSLSTSSSSSSSAAATSASGSNAKRRSRREPNDLIKKPPLPGPGSDQGKASMRGLYNSSRGRGIATQFQSSVFSMEEILRATNNFSPALKVGQGGFGAVYRGVLPDGTLVAVKRAKLRDQNPHVDVEFRSEVKAMARIEHQSLVRFYGYLECGQERVIVVEFVPNGTLREHLDRCNGRFLDMGARLEIAIDVAHAVTYLHMYADHPIIHRDIKSSNVLLTPSLRAKVGDFGFARLGVGEAGAADGVTHVTTQVKGTAGYLDPEYLKTCQLTDRSDVYSFGVLLLEIASGRRPIEARREMRERLTARWAMRKLAEGAAADVLDPHLPRTPATARAAEMVMELAFRCLAPVRQERPSMGECCRALWAVRKTYRDMVVAAAGDETPLSSISDRASSSSAGTGGDRSGELWRN >ONIVA02G40420.1 pep chromosome:AWHD00000000:2:34506023:34506610:-1 gene:ONIVA02G40420 transcript:ONIVA02G40420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETSKDEVIGGRSPSGDGDKAEEELREARSGDDDDDDEAGTRQPYNCTFCRRGFPTAQALGGHMNVHRKDRVGRATPSSSSSTTAAAARRSVSYDTLVGLFRPPASGGSEDAAASTAAGGGWSLRSRTAEPAPQELRLFGRGTGRREEGGGRDRLDRYGCCSKDGDGNGGHDHGEEEELDLELRLGGSGSAGS >ONIVA02G40410.1 pep chromosome:AWHD00000000:2:34498374:34499569:-1 gene:ONIVA02G40410 transcript:ONIVA02G40410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPEPLIWEIVKKNNCFLVKQFGNSNAKVQFTKEPNNLYNVHSYKHSGLANKKTVTIQPSGGKDAAVVLSTTKTKKQNAPAKLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVAKSGVKKKNRQPAKL >ONIVA02G40400.1 pep chromosome:AWHD00000000:2:34492777:34497554:1 gene:ONIVA02G40400 transcript:ONIVA02G40400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLVHWMMPPRFRCQDYLLPLLLALSPAAAAAREVEYHHCHCDGGGGGGGGGGLWSMDSIFRWQKVSDLLIAAAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLTAFTYEPHPFMVVLLLTTAKFLTALVSFLTAITLLTLIPQLLRVKVRESLLWLKARELDREVVLMKRQEEASWHVRMLTHEIRKSLDRHTVLYTTLIELSRVLGLTNCAVWMPAAGEMCLTHELRRDGGGEDGVVGVDDADVVEVRGSDGVKLLGPDSVLAAASGGKEEGTGAVAAIRMPMLKVSDFKGGTPEVIQTSYAVLVLVPPAGKSWGRHEMEIVEVVAGQVAVALSHATLLEESRAMRDRLAEQNRELLQARRDALMANEARQAFQGVMSQGMRRPIHSILGLVSMVQEEALAPEQRLVVDTMARTATVVSTLVNDVMEMSADSRERFPLETRPFHLHAMIRDAACVARCLCDFRGFGFAVHVENALPDLVVGDERRIFHVLLHMVGNLIGRTEPGHVTLRVRAADDDVLDDRLGQRWDPWRPSYSTGYSSVKFVIGVKRQQNGDAGSPLSRRPSGKGIDLRLSFSMCRKLVQMMQGNIWAILNPQGLPESMTLVLRFQLQSPLTSSSLGGSFEQKHSSPSCQIAGLKVLLIDDDDDINLVVARKLLEKLGCVVSSPPSGSGFLSSVGSSAAAFQLVMVNLEMKRVKALDVATRISQYRSGRWPIVMAMASDQKAWEKCAQSGINGILKKPVILQELKDELARILQST >ONIVA02G40400.2 pep chromosome:AWHD00000000:2:34492777:34497554:1 gene:ONIVA02G40400 transcript:ONIVA02G40400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTWTPGCFQGNKILLRSLITWYYLEFMPKLRPFYFLFYLTLPSCATDSPPISDKSSSIFLPLAQQQQLVHWMMPPRFRCQDYLLPLLLALSPAAAAAREVEYHHCHCDGGGGGGGGGGLWSMDSIFRWQKVSDLLIAAAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLTAFTYEPHPFMVVLLLTTAKFLTALVSFLTAITLLTLIPQLLRVKVRESLLWLKARELDREVVLMKRQEEASWHVRMLTHEIRKSLDRHTVLYTTLIELSRVLGLTNCAVWMPAAGEMCLTHELRRDGGGEDGVVGVDDADVVEVRGSDGVKLLGPDSVLAAASGGKEEGTGAVAAIRMPMLKVSDFKGGTPEVIQTSYAVLVLVPPAGKSWGRHEMEIVEVVAGQVAVALSHATLLEESRAMRDRLAEQNRELLQARRDALMANEARQAFQGVMSQGMRRPIHSILGLVSMVQEEALAPEQRLVVDTMARTATVVSTLVNDVMEMSADSRERFPLETRPFHLHAMIRDAACVARCLCDFRGFGFAVHVENALPDLVVGDERRIFHVLLHMVGNLIGRTEPGHVTLRVRAADDDVLDDRLGQRWDPWRPSYSTGYSSVKFVIGVKRQQNGDAGSPLSRRPSGKGIDLRLSFSMCRKLVQMMQGNIWAILNPQGLPESMTLVLRFQLQSPLTSSSLGGSFEQKHSSPSCQIAGLKVLLIDDDDDINLVVARKLLEKLGCVVSSPPSGSGFLSSVGSSAAAFQLVMVNLEMKRVKALDVATRISQYRSGRWPIVMAMASDQKAWEKCAQSGINGILKKPVILQELKDELARILQST >ONIVA02G40390.1 pep chromosome:AWHD00000000:2:34484684:34485484:1 gene:ONIVA02G40390 transcript:ONIVA02G40390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPEQPSGSSGPKAELPVAKEPEASPTGGAAADHADENNESGGGEPREGAVVAAPNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVADAIAQFSRRRQRGVCVLSGAGTVANVALRQPSAPGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIASTFANATYERLPLEEEEEGSGPPMPGGAEPLMAGGHGIADPSALPMFNLPPSNGLGGGGDGFPWAAHPRPPY >ONIVA02G40380.1 pep chromosome:AWHD00000000:2:34476146:34480383:1 gene:ONIVA02G40380 transcript:ONIVA02G40380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNLQRPTLRALLDHSIASPKSLSSPLLSTAADLLLLRRRRRVASRSSGAATSLRSAASSSSSGIRQSPPPGSSGSPAP >ONIVA02G40380.2 pep chromosome:AWHD00000000:2:34477675:34480383:1 gene:ONIVA02G40380 transcript:ONIVA02G40380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMSSCKPFNYRSTRTSLFDGIEEGRIRATSYSSHEIDENENDQAIDGLQDRVSILKRLSGDIHEEVETHNRMLDRMGNDMDSSRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLVYYLTR >ONIVA02G40370.1 pep chromosome:AWHD00000000:2:34471342:34474284:-1 gene:ONIVA02G40370 transcript:ONIVA02G40370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDLFEGLPPPAAAAAAAPAAGGERAPSPSPPPPPRLPALKSALKRDKPSSSDAAASSPAAAAATDAAAEGRVPEKRLRFRTTVDASEMQVIDAMHKITSHIRNPSKFSKASKLALQLIEAGSVKPGTVSHFFAILEAAMSSPGACNEPSVRADYQALFNAAQGVTECFNQQQKKQFDIWVLHAVVANDLFTDDSFVFSKAVGKIKDAISALPITTVDDDNDEAAALAAVESKSGTTHNNTDDNAQAAASNSLPDDSTHAAASNSREESSDPFGLDGLLEHKSNKSEKAREKTVAALNRKADEDESKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNMNRFTMQQRDAITKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHAVGGGGERRATQWLG >ONIVA02G40370.2 pep chromosome:AWHD00000000:2:34471342:34472788:-1 gene:ONIVA02G40370 transcript:ONIVA02G40370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIDAMHKITSHIRNPSKFSKASKLALQLIEAGSVKPGTVSHFFAILEAAMSSPGACNEPSVRADYQALFNAAQGVTECFNQQQKKQFDIWVLHAVVANDLFTDDSFVFSKAVGKIKDAISALPITTVDDDNDEAAALAAVESKSGTTHNNTDDNAQAAASNSLPDDSTHAAASNSREESSDPFGLDGLLEHKSNKSEKAREKTVAALNRKADEDESKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNMNRFTMQQRDAITKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHAVGGGGERRATQWLG >ONIVA02G40360.1 pep chromosome:AWHD00000000:2:34468027:34468787:-1 gene:ONIVA02G40360 transcript:ONIVA02G40360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAAGAAAAAAGTGAGSPCGACKFLRRRCVPECVFAPYFSSEQGAARFAAIHKVFGASNASKLLSHLPVADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQVAILQAQLMQARAQLACGIQSSSHSPVSWPDSGSISALLRQDMARRPPGGALDDCFGGGGALLPELMAAGFKDDVAAVQMQQHCSKAVDAGELQYLAQAMMRSTSNYSQ >ONIVA02G40350.1 pep chromosome:AWHD00000000:2:34462764:34463969:-1 gene:ONIVA02G40350 transcript:ONIVA02G40350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVVDKLTVAASPPADGGVLPLTFFDVPWIFTGPVERVFLYTYPHAVEHLAAHLLPSLASSLSAALHRFYPLLGRVRPCSSGGGGGGYEFCSTGGDADRVELTVAESGDDFEELAGGGPMDVGRLYSLVPRLPRPEEGSSELAAVQVTVFPGKGLAVGVSIHHVACDDSSFMHFVKTWAANCRVASGGDVDAVPPPPPPFLDRGVVADPDGLAAKTLDQMRQLANSGPPPPPPSGPPPKLFMASFTLTRDSIDKLKQRVTASGGGGVHCSAFTVACAYAWTCLARVDATSAARERAHLLFSVECRRRLTPPVPQEYLGNCLRPCFVEVDTAGLLGSGADGVVTAAVAIGAAIRGLDDGVLDGADGWFQKIVSLMPHRPMSIGGSPRTPCTTPTSGWAGR >ONIVA02G40340.1 pep chromosome:AWHD00000000:2:34455602:34457005:-1 gene:ONIVA02G40340 transcript:ONIVA02G40340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVAGTAVMASVAPGRWGPCLHPWFAANTSLSTQAVANEVGGKNEKAAPDQVGKCSGVVKEIEEGKKPNLQSKSKSRTAAVGAIVACTTAQHKENELFAHTPCRDGDAVYDDHGTGGDGGLMFWMRLKCGRVTGTRLWRLRVPVHIAIADLQICRMRGREILVLIQLKLQEWEAGELEGTTSLQY >ONIVA02G40340.2 pep chromosome:AWHD00000000:2:34457555:34461275:-1 gene:ONIVA02G40340 transcript:ONIVA02G40340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASLLLRPRLRAPVPIPTPKTPNPLLLPPRRHFSQLPPPPVPASAAAAAAAVAEEAEEAFEAAKGTKDLLAAFSRLEAVMPPSDKRLALACIKLGQHLEASGAADPSRVLALALRSLGILEATPNSATSTTASHSDAVSLAMALHLAGSASFDLSRFHDALSFLTRSLRLVSPLLPSSSSAAAAFGDDDAQGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAYSTVLDFKEALPLCEKALELHQSTLGKNSVEVAHDRRLLGVIYTGLEQHEQALQQNEMSQKVMKSWGVAGDELLHAEIDAANIKIALGKCDEAVTVLRNVSKQVEKDSEIRALVFISMAKALANQEKAGDTKRCLEIACDILEKEELAAPDKVAEAYVEVSSLYEMVNEFDKAISLLKRSLGMLERIPQAQHMEGNVAARIGWLLLLTGKVSEAVPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEIGRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYNAMGSYALAMEFQKRVVDSWRNHGPSARDELKEAIRLYEQIKIKALSCLSPENSAIALPEPLEKEDQKLPYWPPAVVLVHLLLPRQ >ONIVA02G40340.3 pep chromosome:AWHD00000000:2:34455602:34461275:-1 gene:ONIVA02G40340 transcript:ONIVA02G40340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASLLLRPRLRAPVPIPTPKTPNPLLLPPRRHFSQLPPPPVPASAAAAAAAVAEEAEEAFEAAKGTKDLLAAFSRLEAVMPPSDKRLALACIKLGQHLEASGAADPSRVLALALRSLGILEATPNSATSTTASHSDAVSLAMALHLAGSASFDLSRFHDALSFLTRSLRLVSPLLPSSSSAAAAFGDDDAQGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAYSTVLDFKEALPLCEKALELHQSTLGKNSVEVAHDRRLLGVIYTGLEQHEQALQQNEMSQKVMKSWGVAGDELLHAEIDAANIKIALGKCDEAVTVLRNVSKQVEKDSEIRALVFISMAKALANQEKAGDTKRCLEIACDILEKEELAAPDKVAEAYVEVSSLYEMVNEFDKAISLLKRSLGMLERIPQAQHMEGNVAARIGWLLLLTGKVSEAVPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEIGRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYNAMGSYALAMEFQKRVVDSWRNHGPSARDELKEAIRLYEQIKIKALSCLSPENSAIALPEPLEKELQEWEAGELEGTTSLQY >ONIVA02G40340.4 pep chromosome:AWHD00000000:2:34458818:34461275:-1 gene:ONIVA02G40340 transcript:ONIVA02G40340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASLLLRPRLRAPVPIPTPKTPNPLLLPPRRHFSQLPPPPVPASAAAAAAAVAEEAEEAFEAAKGTKDLLAAFSRLEAVMPPSDKRLALACIKLGQHLEASGAADPSRVLALALRSLGILEATPNSATSTTASHSDAVSLAMALHLAGSASFDLSRFHDALSFLTRSLRLVSPLLPSSSSAAAAFGDDDAQGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAYSTVLDFKEALPLCEKALELHQSTLGKNSVEVAHDRRLLGVIYTGLEQHEQALQQNEMSQKVMKSWGVAGDELLHAEIDAANIKIALGKCDEAVTVLRNVSKQVEKDSEIRALVFISMAKALANQEKAGDTKRCLEIACDILEKEELAAPDKVAEAYVEVSSLYEMVNEFDKAISLLKRSLGMLERIPQAQHMEGNVAARIGWLLLLTGKVSEAVPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEIGRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYNAMGSYALAMEFQKRVVDSWRNHGPSARDELKEAIRLYEQIKIKALSCLSPENSAIALPEPLEKEVDSDSTRVAQQ >ONIVA02G40330.1 pep chromosome:AWHD00000000:2:34455538:34457889:1 gene:ONIVA02G40330 transcript:ONIVA02G40330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYSTDSKKVGFLSFLNFFHNSTAFSNLIGCCLFILPSYLVGYYIEHHHLVSPFIPENQYICCISSIIKPGLSSSVAHLLVCLSSCLQQTMDADRDPIFPVQQMPSLLFPPPPPRPLALDSTSSASSSFVPHHTSITSFPILVLTVLGILTTSVLLLTYYIFVIRCCLNWHSSSSSDTRTAGLISRRRRGAASSSLPAVAEPRGLEEVAIQSLPAFRYRKAIKDTTADSSECAVCISEFQEEERVRLLPSCLHVFHVDCIDTWLQGNANCPLCRAAIATNDSQLPLDQFVRPEEVVIQVITGAEEEGAQAPQQEANTAASDPAVDATSTNQQVSSKKTKNQNAWHVSISKGDECIAVRRDRNVLPLRRSFSMDSLGGAGEVHLQIQNILQRSTHFHGDISDSSSSSTGTL >ONIVA02G40320.1 pep chromosome:AWHD00000000:2:34447532:34451717:-1 gene:ONIVA02G40320 transcript:ONIVA02G40320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GEY9] MDPVLLDDIIRRLIEVKNLKPGKNAQLSESEIKQLCATSKEIFLNQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPQSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVAALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNDAQGWAMNDRGVSYTFGPDKVSEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPARKMLGGSTNSKSGFKIH >ONIVA02G40320.2 pep chromosome:AWHD00000000:2:34447532:34451717:-1 gene:ONIVA02G40320 transcript:ONIVA02G40320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GEY9] MDPVLLDDIIRRLIEVKNLKPGKNAQLSESEIKQLCATSKEIFLNQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPQSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVAALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNDAQGWAMNDRGVSYTFGPDKVSEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPARKMLGGSTNSKSGFKIH >ONIVA02G40310.1 pep chromosome:AWHD00000000:2:34445248:34446528:1 gene:ONIVA02G40310 transcript:ONIVA02G40310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLWKRKPKPNPEPEEKEKEEEKDHRMCKTKSSVATASMAASASTATTPRKHQHQHQHQRSPRATATQYSTTSSSSAPSTATATSSSTAASLQALRDSLPDLPLLLTFHELAAATANFSSSHRLAPNSTSFRCSLRGHSAAVFRRPLRRDQAHVAARLAALGHCHHAAIARLLGAAASPDGSLFLAYELLPDASPLSALLRNPNSPSFTPLASWQSRLKVAADVADALHYVHLQADTVHNRLSASTVLVSGDGPTLRAKIAHFGAADLAGELLGDRRGRRIEGTRGYMAPELIAGAAPSRRSDVYALGVVLLELVSGQEAVRYEQNKATGEYERTSVIESAEAAAEGGGGEAMRRWVDRRLRDSFPVEAAEAMTAVALRCVARDAAARPDMSWVAAKVSKLFLEAQDWSDKFRIPTDISISIAPR >ONIVA02G40300.1 pep chromosome:AWHD00000000:2:34439973:34441044:-1 gene:ONIVA02G40300 transcript:ONIVA02G40300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein [Source:Projected from Arabidopsis thaliana (AT3G54826) TAIR;Acc:AT3G54826] MAARFLPLVRRGLAGVLNQSPAPASTRGFLFPAPVTAGIRSLQTIMEASNNASDDRNQDIEDSKTDTVPAMVPSSDSGFKVRDTSNLKISPRHDLAMIFTCKVCETRSMKMASKESYEKGVVVARCGGCNNFHLIADRLGWFGEPGSIEDFLAEQGEEVKKGSTDTLNFTLEDLVGSQANDKGPSDKK >ONIVA02G40300.2 pep chromosome:AWHD00000000:2:34439975:34441044:-1 gene:ONIVA02G40300 transcript:ONIVA02G40300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein [Source:Projected from Arabidopsis thaliana (AT3G54826) TAIR;Acc:AT3G54826] MAARFLPLVRRGLAGVLNQSPAPASTRGFLFPAPVTAGIRSLQTIMEASNNASDDRNQDIEDSKTDTVPAMVPSSDSGFKVRDTSNLKISPRHDLAMIFTCKVCETRSMKMASKESYEKGVVVARCGGCNNFHLIADRLGWFGEPGSIEDFLAEQGEEVKKGSTDTLNFTLEDLVGSQANDKGPSDKK >ONIVA02G40290.1 pep chromosome:AWHD00000000:2:34431213:34433641:-1 gene:ONIVA02G40290 transcript:ONIVA02G40290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLPFLHGDSKEEDPVNKSASVRSLSTTSTERDVRSGSDFNSLNVSDMSAESIRRTQYPSFTDRPSNLRVFSFSELKNATRNFSRSLMVGEGGFGCVYRGVIKNSDEPTERTEIAVKQLNRKGLQASYFSSELYRLQAFNAHSNFLLLGQKEWLTELNVLGIVEHPNLVKLIGYCAEDDERGVQRLLVYEYMPNGSVDDHLSSRSNSTLSWPMRLKVALDAARGLKYLHEEMEFQVIFRDLKTSNILLDENWNAKLSDFGLARHGPSEGLTHVSTAVVGTLGYAAPEYMQTGRLTAKSDIWGYGVLLYELITGRRPIDRNRPKGEQKLLDWVKPYISDIKRFPIIIDPRLEGHYNLKSMTKLASVANRCLVRLPKSRPKMSEVYEMVQKIVASIETGTPQPPLHYHGSVSEPGSKRPKKGSLKRRFQEFKFGCRQIVWRGWKPEIIKTC >ONIVA02G40280.1 pep chromosome:AWHD00000000:2:34419045:34423499:-1 gene:ONIVA02G40280 transcript:ONIVA02G40280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGCPPPPERSLAAAAAAHRRCHPPPPPLLRRGRASPAASRANPLTDARNFVVDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNGECAEVADDEEEEMVAVLSQPPPSPPLMPGLQSFSSLIKMTQRSG >ONIVA02G40280.2 pep chromosome:AWHD00000000:2:34419101:34423499:-1 gene:ONIVA02G40280 transcript:ONIVA02G40280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGCPPPPERSLAAAAAAHRRCHPPPPPLLRRGRASPAASRANPLTDARNFVVDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTRKRRWWPFSHNHHHHHH >ONIVA02G40280.3 pep chromosome:AWHD00000000:2:34419101:34422026:-1 gene:ONIVA02G40280 transcript:ONIVA02G40280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTRKRRWWPFSHNHHHHHH >ONIVA02G40280.4 pep chromosome:AWHD00000000:2:34419045:34422026:-1 gene:ONIVA02G40280 transcript:ONIVA02G40280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNGECAEVADDEEEEMVAVLSQPPPSPPLMPGLQSFSSLIKMTQRSG >ONIVA02G40280.5 pep chromosome:AWHD00000000:2:34422042:34423499:-1 gene:ONIVA02G40280 transcript:ONIVA02G40280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGCPPPPERSLAAAAAAHRRCHPPPPPLLRRGRASPAASRANPLTDARNFVVGGAHAGSVFDRA >ONIVA02G40270.1 pep chromosome:AWHD00000000:2:34410198:34414503:-1 gene:ONIVA02G40270 transcript:ONIVA02G40270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPQCASSKPPSPPPPHPHEHEVGDDMAEEAPPPPPPPKLLYIAVSDAAARRAFRYTRPVLQGTLQLMGCKARHAFKISKRVFNVMRSEFLDASKSDTADNEENAPSLVKDVEMLKPKILEATLSSIPFELYKTQTTIVVSREKFLSVVCDALSSYKYVGPNQKADFLLACRIKERKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVVSTDSIRHMMRGFTDEKQNPLLYASTYHAGECLDPVAVAQAKAKRKAQKLDIVSHPNTNEGRDDTSDDKAHHGSSELPPRTELIGSKQMAIEGFKAQSEMVIDSLDRLITSWEEQKQSVIVEGVHLSLNFVLIQRQGSSRNLMAILNTDGSVTKAWHVDKNNGNGSLDGTSSDKSTKNPMYDTFGKAEPVNLQFGSFGISAWMSDTGGTSHTGSVDDLRADGIETGGRYYSSCCSSPKVSDCPSKELMEDDYSVFGSEEDADDPPDAGTDEDLTDEERDMHEIEAGSVDEHSTKSDEEYDDLAMQDVMENGYWSDDEQAASSTKNSSNQEKNIHGAADGDVVDDEGSGNDRFHHNLAFFLKMSKKVAATELPCA >ONIVA02G40270.2 pep chromosome:AWHD00000000:2:34410198:34414338:-1 gene:ONIVA02G40270 transcript:ONIVA02G40270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPPPPPPPKLLYIAVSDAAARRAFRYTRPVLQGTLQLMGCKARHAFKISKRVFNVMRSEFLDASKSDTADNEENAPSLVKDVEMLKPKILEATLSSIPFELYKTQTTIVVSREKFLSVVCDALSSYKYVGPNQKADFLLACRIKERKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVVSTDSIRHMMRGFTDEKQNPLLYASTYHAGECLDPVAVAQAKAKRKAQKLDIVSHPNTNEGRDDTSDDKAHHGSSELPPRTELIGSKQMAIEGFKAQSEMVIDSLDRLITSWEEQKQSVIVEGVHLSLNFVLIQRQGSSRNLMAILNTDGSVTKAWHVDKNNGNGSLDGTSSDKSTKNPMYDTFGKAEPVNLQFGSFGISAWMSDTGGTSHTGSVDDLRADGIETGGRYYSSCCSSPKVSDCPSKELMEDDYSVFGSEEDADDPPDAGTDEDLTDEERDMHEIEAGSVDEHSTKSDEEYDDLAMQDVMENGYWSDDEQAASSTKNSSNQEKNIHGAADGDVVDDEGSGNDRFHHNLAFFLKMSKKVAATELPCA >ONIVA02G40260.1 pep chromosome:AWHD00000000:2:34402185:34407962:1 gene:ONIVA02G40260 transcript:ONIVA02G40260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 4 [Source:Projected from Arabidopsis thaliana (AT3G10360) TAIR;Acc:AT3G10360] MAPSPAAAAGPTFEDLERDLQAVLMDQNHSATADELSMFRSGSAPPTVQGARTAVGSLFSAAPVHVDSFVDPSNGGVGDVLSDEEIRSHPAYLSYYYSNEHLNPRLPPPMVSKEDWRVAQRVQAVSGGVGDRRRRPSDVGGGSSLFSVQPGARHGNGEDLLVNDRMGGGERNGLTRQQSSEWLGSGADGLIGLSDSSGLGSRRKSFADALQENISRPASAASHLSRSNSRNAFDSPNPIRPDSSRAQLQSRSESMNGLRSGSTSPSLVRVQSLGSSISHNFASAVGSSISRSTTPDPQLIRRTPSPCLPPVGVRMGSTDKKVDGSAVASHNHDTADIAAALSSMNLSGSKMASLEAEVQNRAYQNFGDQTDVLFSVPKERRQLSQQKLAQNADEESINALEYAAFPNGSGNFNNSNMSKLSVDSRSKFPIQSPHGNANNKGSLVSPTGSVSLYQNLNGDNSNIDVSVRNNKIRSSSFGSSMLNNQLSADGEYVNLLSNQGGSGFQGQPMESMYAPYLQANSDSPLGAATNLNPFQGSSFSGSVPLDSPGYQKAYIASLLAQQKLQYGVPYLGKSGSLSPNIYGSDPAFGMGGMAYLSSPTSTPFISSPQGHVRQGDRLARISSMGKTTTGGPMGSWNSDNGLIDNGYGSSLLEEFKTNKTRSFELLDIVGHVVEFSSDQYGSRFIQQKLETASAEEKDTIFPEILPQARTLMTDVFGNYVIQKFFEYGTEPQKKQLASLLKGYVLQLSLQMYGCRVIQKALEVVEVEQQTQMALELDGNIMKCVRDQNGNHVIQKCIECIPQERIRFIISAFYGHVVELSTHPYGCRVIQRVLEHCNDENTQSTMMEEIMQSVVLLTLDQYGNYVIQHVLQHGKPDERSAIIKQLAGQIVKMSQQKFASNVVEKCLSFGSPEERQILINEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQNRELILSRIKVHLNALKRYTYGKHIVARVEKLIAAGERRSGVSSSSS >ONIVA02G40250.1 pep chromosome:AWHD00000000:2:34398394:34401220:1 gene:ONIVA02G40250 transcript:ONIVA02G40250.1 gene_biotype:protein_coding transcript_biotype:protein_coding LADNHKPKEEAVDLETVQYTLSVLPLSGGWAPPAVSRVRHKEHKPDDLVSASIADGFLLRFFLPPPPPLAVAGGFYLSFALLVRPISCIAYDNGHLRLRSHRRRGSSGGGGVAVPSCPCRWPTSSALHLLPLQIAVLVRLDLSPSRAPPRRRRGRGEGGGGGADLGGAGADRQRAAAGACPRGGAAARPPHRPSLDGKLVQEVYIFEA >ONIVA02G40240.1 pep chromosome:AWHD00000000:2:34394995:34398310:1 gene:ONIVA02G40240 transcript:ONIVA02G40240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT5G04270) TAIR;Acc:AT5G04270] MARRRGSAAAAAASPAVVGAVSVLALVYYSTVFVFLDHWLGLGNAAGAAHAAAFSLVVAACFFSFVCAAAADPGSVPASFAPDAEDPQRQGLKSRYCDKCCMYKPSRTHHCKVCKRCVLKMDHHCVWINNCVGYANYKSFIICVLNATIGSLYSFVVFLFDLFQTEHEYDVPYVKVIHYREATRAKWLAQKSGQKYRHRFDLGTRKNIQMIMGPNILCWLCPTATGHLKDGTEFQITNN >ONIVA02G40230.1 pep chromosome:AWHD00000000:2:34372696:34375157:1 gene:ONIVA02G40230 transcript:ONIVA02G40230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKRTGGGGEQSKPASDVAEDKPAEDTSPDVQQPHLNPTTTTAAPGTDDKDASTKADDPKKDAKEKEKKPAALPVVTAVLKVDMHCDGCAKRIRASIRHYPGVEGVAMEVDKGTMTVVGRFDAKKLRDRVANKTKKKVDLLPNNKKAGDDNDNKNNKANECDGKPADKKQQQQEDDGDEAGKEDKKKKKEKEEQDDQKKKKAKDKKKPVVPVPGTVVLKIGAVGLHCDGCMNRIRTKLFHIQGVEQVAMEMAKNQVTVTGTMDIKALPEKLRKKLRRPVDVVPPGKQKDKDGGKDKEKQDGGKDGGGGGKDAAAKALTAEKEAWKAAFYDQQALLATEFMLSDENPNACSIA >ONIVA02G40220.1 pep chromosome:AWHD00000000:2:34370644:34371933:1 gene:ONIVA02G40220 transcript:ONIVA02G40220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKNGGGGGGEKKATTTEVVLTVAMHCKCNGCKDKIRNGVKELALVPGVEAVDKSAVESKGEVRLVVAAATAKPDKLKDRLHKVTGKKVDLLVIPPPKPAAAADDDDKAAAAEAVAALIRQAQAQAQAQAQAQAGVHVVPGAWAGGGAVAYPAWGMQQVQQPEGGGYYYSPSTYPAGGLVYPYAAAAAYPPPGQQLLGNGGGGYGGVVSPWYTHGY >ONIVA02G40210.1 pep chromosome:AWHD00000000:2:34361634:34365455:1 gene:ONIVA02G40210 transcript:ONIVA02G40210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKLRRGGRAITAIPGGFLHLSLLASLRRRPSLQAHAQLLLLGLPLPAPTASRLLRPHLRSGNPSASLRLFLRVLRDRRPCPVDSQEDVPDSRSFSAALAACSRHASPSPGFSIHAFLLKSGFASDVFAANSLLHFYGSFGLHSLARNLFDEMPARDTVSFNTLISSYVQSCCINDAFEVFRIMVESGLRPDGWTVTALLGACAELQDLRAVKAVHGVARRMLEPQVFHSGEVATSLVDAYVKCRGMELARQVFDLAEDNARNARLWTTMVSGYARAQEFDIAQRLFHEMPEKDTVAWTALIGGFVRAGRYKEAVVLFEEMEGAGFEADEATIVTVLSACVGYGNIDLAKRLHCLVGHDGLINRNAKLATTFVDMYAKHGCIQTAQEVFSGVDDDFKTLELFNAMINGLARCKFGEKAIALFDGMGSLGLRPDKITFVGVLSACRHSGLVSQGFHIFDSMEEKYGVKPEIEHYTCMVDLLARDGQLDNAYIFIQNMPIKANSVMWSSLVRACMLHGNIKVRKLAEEQLLRLDPNYKPENLPLSNLFSEGKRKERTARMRKFLNHKPVAKTGISVSATHTFHPQTKLINLMLVGQLIFTGHGMSGDNTVHHIEMVAIAFGFERCKANGLKTFVFSVDMHRKCNDCIKKINDGMKRISLSDGVEWADLMVDKAEVEVVGTTDPEKLCCLLREVTKKHVKIRTENTVSEAGSATSQQTKGLLVGQGPSLALIFSGELKSSDTKRPAIGRNMEAAGPCLQSDVHIAGQRLPARLAYSLRPKKRQTLVSMSNVRLI >ONIVA02G40210.2 pep chromosome:AWHD00000000:2:34361634:34365455:1 gene:ONIVA02G40210 transcript:ONIVA02G40210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKLRRGGRAITAIPGGFLHLSLLASLRRRPSLQAHAQLLLLGLPLPAPTASRLLRPHLRSGNPSASLRLFLRVLRDRRPCPVDSQEDVPDSRSFSAALAACSRHASPSPGFSIHAFLLKSGFASDVFAANSLLHFYGSFGLHSLARNLFDEMPARDTVSFNTLISSYVQSCCINDAFEVFRIMVESGLRPDGWTVTALLGACAELQDLRAVKAVHGVARRMLEPQVFHSGEVATSLVDAYVKCRGMELARQVFDLAEDNARNARLWTTMVSGYARAQEFDIAQRLFHEMPEKDTVAWTALIGGFVRAGRYKEAVVLFEEMEGAGFEADEATIVTVLSACVGYGNIDLAKRLHCLVGHDGLINRNAKLATTFVDMYAKHGCIQTAQEVFSGVDDDFKTLELFNAMINGLARCKFGEKAIALFDGMGSLGLRPDKITFVGVLSACRHSGLVSQGFHIFDSMEEKYGVKPEIEHYTCMVDLLARDGQLDNAYIFIQNMPIKANSVMWSSLVRACMLHGNIKVRKLAEEQLLRLDPNYKPENLPLSNLFSEGKRKERTARMRKFLNHKPVAKTGISVSATHTFHPQTKLINLMLVGQLIFTGHGMSGDNTVHHIEMVAIAFGFERCKANGLKTFVFSVDMHRKCNDCIKKINDGMKRISLSDGVEWADLMVDKAEVEVVGTTDPEKLCCLLREVTKKHVKIRTENTVSEAGSATSQQTKGSFIGTHFLWRAQVE >ONIVA02G40210.3 pep chromosome:AWHD00000000:2:34361634:34365455:1 gene:ONIVA02G40210 transcript:ONIVA02G40210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKLRRGGRAITAIPGGFLHLSLLASLRRRPSLQAHAQLLLLGLPLPAPTASRLLRPHLRSGNPSASLRLFLRVLRDRRPCPVDSQEDVPDSRSFSAALAACSRHASPSPGFSIHAFLLKSGFASDVFAANSLLHFYGSFGLHSLARNLFDEMPARDTVSFNTLISSYVQSCCINDAFEVFRIMVESGLRPDGWTVTALLGACAELQDLRAVKAVHGVARRMLEPQVFHSGEVATSLVDAYVKCRGMELARQVFDLAEDNARNARLWTTMVSGYARAQEFDIAQRLFHEMPEKDTVAWTALIGGFVRAGRYKEAVVLFEEMEGAGFEADEATIVTVLSACVGYGNIDLAKRLHCLVGHDGLINRNAKLATTFVDMYAKHGCIQTAQEVFSGVDDDFKTLELFNAMINGLARCKFGEKAIALFDGMGSLGLRPDKITFVGVLSACRHSGLVSQGFHIFDSMEEKYGVKPEIEHYTCMVDLLARDGQLDNAYIFIQNMPIKANSVMWSSLVRACMLHGNIKVRKLAEEQLLRLDPNYKPENLPLSNLFSEGKRKERTARMRKFLNHKPVAKTGISVSATHTFHPQTKLINLMLVGQLIFTGHGMSGDNTVHHIEMVAIAFGVDMHRKCNDCIKKINDGMKRISLSDGVEWADLMVDKAEVEVVGTTDPEKLCCLLREVTKKHVKIRTENTVSEAGSATSQQTKGLLVGQGPSLALIFSGELKSSDTKRPAIGRNMEAAGPCLQSDVHIAGQRLPARLAYSLRPKKRQTLVSMSNVRLI >ONIVA02G40200.1 pep chromosome:AWHD00000000:2:34356903:34361153:-1 gene:ONIVA02G40200 transcript:ONIVA02G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08125) TAIR;Acc:AT1G08125] MVFVKFLEKNSRKGRFCPSKLKGKRVIELGAGCGLAGLDQVEVLPLLLRNVERNKSWISQSNSDSGSFGSVTVAELDWGNKEHIRAVDPPFDYIIGTDVVYSEHLLQPLMETIVALSGPKTKIMLGYEIRSTTVHEQMMQMWKSNFNVKTVSKSKMDAKYQHPSIHLYIMDPKATLIPEVSANGNNEEEEEEVVSNPGDDEDTGAESGGPCTGSEDSAEAKTGNLDDDWEIRRCGAMAARLLKDVKLA >ONIVA02G40190.1 pep chromosome:AWHD00000000:2:34346966:34354022:-1 gene:ONIVA02G40190 transcript:ONIVA02G40190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLNGALGYAKSAFAEEVALQLGIQKDHTFVADELEMMRSFMMEAHEEQDNSKVVKTWVNLQDFAVHLKRPSWWRFPRTLLERRHVAKQMKELRNKVEDVSQRNVRYHLIKGFSSKATITSAEQSSVIAAAIFGIDDARRAAKQDDQRVDLVQLINNEDQDLKVIAVWGTSGDMGQITIIRMAYENPDVQIRFLCRAWVRVIHPFNPRDFVQSLVNQLHATQGVEALLEKEKIEQDLAKEFNECVNERSCLIVLNDLSTIEEWDQIKKCFQNCKKGSRIILSSTQVEVASLCAGQESQASELKQLSADQTLYAFYDKGSQNREDSVNPVSISDVATTSTNNHTVAHGEIIDDQSMDADEKKVARKSLTRIRTSVGASEESQLIGREKEISEITHLILNNDSQQVQVISVWGMGGLGKTTLVSGVYQSPRLSDKFDKYVFVTIMRPFILVELLRSLAEQLHKGSSKKEELLENRVSSKKSLASMEDTELTGQLKRLLEKKSCLIVLDDFSDTSEWDQIKPTLFPLLEKTSRIIVTTRKENIANHCSGKNGNVHNLKVLKHNDALCLLSEKVFEEATYLDDQNNPELVKEAKQILKKCDGLPLAIVVIGGFLANRPKTPEEWRKLNENINAELEMNPELGMIRTVLEKSYDGLPYHLKSCFLYLSIFPEDQIISRRRLVHRWAAEGYSTAAHGKSAIEIANGYFMELKNRSMILPFQQSGRSRKSIDSCKVHDLMRDIAISKSTEENLVFRVEEGCSAYIHGAIRHLAISSNWKGDKSEFEGIVDLSRIRSLSLFGDWKPFFVYGKMRFIRVLDFEGTRGLEYHHLDQIWKLIHLKFLSLRGCIDIDLLPDLLGNLRQLQMLDIREDISSEEAEGDEGNISSEEAEDEGKRILGLQPWIGIPHFKEYNWLVRFVLGPYNNDDCFFNLLTLTYHMGYVYPFLNPEH >ONIVA02G40180.1 pep chromosome:AWHD00000000:2:34340452:34345676:-1 gene:ONIVA02G40180 transcript:ONIVA02G40180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALSVGKSVLNGALGYAKSAFAEEVALQLGIQKDHTFVADELEMMRSFMMEAHEEQDNSKVVKTWVKQVRDTAYDVEDSLQDFAVHLKRPSWWRFPRTLLERHRVAKQMKELRNKVEDVSQRNVRYHLIKGSAKATINSAEQSSVIATAIFGIDDARRAAKQDNQRVDLVQLINSEDQDLKVIAVWGTSGDMGQITIIRMAYENPDVQIRFPCRAWVRVMHPFSPRDFVQSLVNQLHATQGVEALLEKEKTEQDLAKEFNGCVNDRKCLIVLNDLSTIEEWDQIKKCFQKCRKGSRIIVSSTQVEVASLCAGQESQASELKQLSADQTLYAFYDKGSQNREDSVNPVSISDVATTSTNNHTVAHGEIIDDQSMDADEKKVARKSLTRIRTSVGASEESQLIGREKEISEITHLILNNDSQQVQVISVWGMGGLGKTTLVSGVYQSPRLSDKFDKYVFVTIMRPFILVELLRSLAEQLHKGSSKKEELLENRVSSKKSLASMEDTELTGQLKRLLEKKSCLIVLDDFSDTSEWDQIKPTLFPLLEKTSRIIVTTRKENIANHCSGKNGNVHNLKVLKHNDALCLLSEKVFEEATYLDDQNNPELVKEAKQILKKCDGLPLAIVVIGGFLANRPKTPEEWRKLNENINAELEMNPELGMIRTVLEKSYDGLPYHLKSCFLYLSIFPEDQIISRRRLVHRWAAEGYSTAAHGKSAIEIANGYFMELKNRSMILPSQQSAHSRKSIDSCKVHDLMRDIAISKSTEENLVFRVEEGCSAYIHGAVRHLAISSNWGGDKSEFEGIVDLSRIRSLSLFGYWEPFFVSGKMRFIRVLDFEGAEGLEYHHLDQIWKLIHLKFLSLRGCSGIDLLPDLLGNLRQLQMLDIRGTYVKALPKTIIKLQKIQYIHAGRKTDYVWEEKDSLMKRCCVAGCLCATCCLPLVWDIYGPPHKALTRRDAWTFACCVKFPSIMMGVHQETGAMVPSGIRKLKDLHTLRHVNVGRGNAVLRDIGMLMGLHKLGVAGINKKNGRAFRLAIFNLSKLESLSVSSAGMPGLCGCLDDISSPPENLQSLKLYGNLITLPGWIKGLQHLVKLKLVGARLLEHDVAMDFLGELAKLEILVIAPFKGEEFHFKPTQTGAAFVSLRVLKLAEVWGIKSVKFEEGTMLKLERLQVQGRVENEIGFSGLEFLQNINEV >ONIVA02G40170.1 pep chromosome:AWHD00000000:2:34336132:34337688:-1 gene:ONIVA02G40170 transcript:ONIVA02G40170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPSFQGRMQSWSLVKTTFLLAAFDDLDDGNGRVNVFLRKLAKSCIGIISSESPHALVQFVLEEAALGFISSECSIGAAADRIWRLFPVLYLPACSNFILWQQHPTPGQMLFALEGIKMPIPSNSRYLEAPTAATRKPALSVGISNLP >ONIVA02G40160.1 pep chromosome:AWHD00000000:2:34334787:34355913:1 gene:ONIVA02G40160 transcript:ONIVA02G40160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDAGPWAVNSPDAMRAASSAWRRRRRSSRTGSEPEPSPPPPFPLPPEAAQRRSRRRRAEAAAAAAEGGRRSEWSGARKSMVEVEDEVVVDVGPAPPFVELPFPRARDSRPANQTDKTTKPSYRDATGSFNPLGCMKLIHQALDKVSWTERMHHPYPCTAWESDLDIWVLLDEIHPLIVLLCGTPCIVNAEYGCSNNARLLSGIDGGLGRALDEVVPHIPLADILDLVPKLLHLLGHTVPLEQRTRKSPPGWPLKMNSEILEAVLNIIGSVTDLLHPSLDHLAVILLLVRLHHERPHHLKLICNKCVGPRTKRTNQLPRIRFPSSSASSLDMFPSSPSASSLDMSSGTGHPIDLQVHGGACLGGGDRVWGLERTGEEEMCGHCEFGAVMVFNEMRERGVGDDGRGAEGTAISPPPPPGMKATITYKNKVTSNVHPMQSFNPLGCMKLIHQALDKVPWIERMNHPYPCTAKESDLNIWVLIHSLIILLCGTPCIVNAKYGCSNNARLLSGGDGGLGAEALDEVVPHIPLADILDLVPELLHLLGHMAPLEQCARESPPGWPLQMNSKILEAVLNIIGSGMT >ONIVA02G40150.1 pep chromosome:AWHD00000000:2:34327505:34335109:-1 gene:ONIVA02G40150 transcript:ONIVA02G40150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 97, subfamily A, polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT1G31800) TAIR;Acc:AT1G31800] MSSATSVSASAMAATSSAAAAAPPPCRLLGSGQAHLRLPPSAAAAAASARRRLLLRCAASGGNGKGGGGDGSGSDPVLEERRRRRQAELAARIASGEFTAQGPAWIAPLAVGLAKLGPPGELAAALLTKVAGGGGPEIPQAVGSMSAVTGQAFFIPLYDLFLTYGGIFRLNFGPKSFLIVSDPAIAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPAMHQKYVTAMISLFGYASDRLCQKLDKAATDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALALINKTLDELINICKRLVEEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMAKLQDEADTVLGDRLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSIEEDMLGGYPIGRGEDIFISVWNLHHCPKHWDGADVFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVRRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVISDSPENMSTTTSMPISAASIASGEDQQGQAYNTGGLIVCLLYKEKLSGRSIWTTARLNLQQGFQLAKNVSTDGRVMAGEVITSAIFLSREKFSGHSPEMMASGFSSISSRRLPSMNVDARHRKGQSGRRGARRLAGGILLGPGHVAVDKPDHPDLWFGTEYRPAGR >ONIVA02G40150.2 pep chromosome:AWHD00000000:2:34327560:34335109:-1 gene:ONIVA02G40150 transcript:ONIVA02G40150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 97, subfamily A, polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT1G31800) TAIR;Acc:AT1G31800] MSSATSVSASAMAATSSAAAAAPPPCRLLGSGQAHLRLPPSAAAAAASARRRLLLRCAASGGNGKGGGGDGSGSDPVLEERRRRRQAELAARIASGEFTAQGPAWIAPLAVGLAKLGPPGELAAALLTKVAGGGGPEIPQAVGSMSAVTGQAFFIPLYDLFLTYGGIFRLNFGPKSFLIVSDPAIAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPAMHQKYVTAMISLFGYASDRLCQKLDKAATDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALALINKTLDELINICKRLVEEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMAKLQDEADTVLGDRLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSIEEDMLGGYPIGRGEDIFISVWNLHHCPKHWDGADVFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVRRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVISDSPENMSTTTSMPISAASIASGEDQQGQAYNTGGLIVCLLYKEKLSGRSIWTTARLNLQQGFQLAKNVSTDRSRRPSFIVNWILASIVRELRWIGMHGRCWPTNDYYCSDPIGTERATWRAAIGWRDSLGPRSRGSG >ONIVA02G40150.3 pep chromosome:AWHD00000000:2:34328924:34335109:-1 gene:ONIVA02G40150 transcript:ONIVA02G40150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 97, subfamily A, polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT1G31800) TAIR;Acc:AT1G31800] MSSATSVSASAMAATSSAAAAAPPPCRLLGSGQAHLRLPPSAAAAAASARRRLLLRCAASGGNGKGGGGDGSGSDPVLEERRRRRQAELAARIASGEFTAQGPAWIAPLAVGLAKLGPPGELAAALLTKVAGGGGPEIPQAVGSMSAVTGQAFFIPLYDLFLTYGGIFRLNFGPKSFLIVSDPAIAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPAMHQKYVTAMISLFGYASDRLCQKLDKAATDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALALINKTLDELINICKRLVEEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMAKLQDEADTVLGDRLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSIEEDMLGGYPIGRGEDIFISVWNLHHCPKHWDGADVFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVRRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVISDSPENMSTTTSMPISAASIASGEDQQGQAYNTGGLIVCLLYKEKLSGRSIWTTARLNLQQGFQLAKNVSTDGRVMAGEVITSAIFVFDCYKKQ >ONIVA02G40140.1 pep chromosome:AWHD00000000:2:34327002:34332076:1 gene:ONIVA02G40140 transcript:ONIVA02G40140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINTFQSCSVLKGAKINGTKIGGGRGSPTFRCRASTFMDGSLRLEIDENPEAIISGEWPENFSLLSYDDLRAYLQSQEAAAQADNQRVALLSEAMSAPVLVATAEQTLEEVECHFETVSGLPVIDASLRCVGVIVKSDRARASHGSKTKIAEVMTSPAITLPSDKTVMDAAALMLKKKIHRLPIVNQDRQVIGIVTRADVLRELEALLEV >ONIVA02G40140.2 pep chromosome:AWHD00000000:2:34327002:34332251:1 gene:ONIVA02G40140 transcript:ONIVA02G40140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACINTFQSCSVLKGAKINGTKIGGGRGSPTFRCRASTFMDGSLRLEIDENPEAIISGEWPENFSLLSYDDLRAYLQSQEAAAQADNQRVALLSEAMSAPVLVATAEQTLEEVECHFETVSGLPVIDASLRCVGVIVKSDRARASHGSKTKIAEVMTSPAITLPSDKTVMDAAALMLKKKIHRLPIVNQDRQVIGIVTRADVLRELEALLEV >ONIVA02G40130.1 pep chromosome:AWHD00000000:2:34322221:34326660:-1 gene:ONIVA02G40130 transcript:ONIVA02G40130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPTSNQPLCPKTISSHHQKKKEKKKRKNSKKHKENPRFFSSTGFFSSNPFPSPSPPPPPCAFHLQRFLLPARSSRTFWNLPPSPTRSSRWASSSSSSTNPRRRALRRRAPSAPLRRAPVLPDLRLPLRLVGCRNPQLQNPSRAAAISTLAARPSSSSASTGQDMVLQKRLDYGSHGHRAPIKPRVATLAPVKRSTRIRKKQMYALDLLATAAEKLLADQDNLSSGPNINETPEGYVTSMKPVKAEQFDEAFPLRSVAVKKDDCKGCTVGCAGICGFLRQANMCLAENSSTQNLADSVLESLTAKPDVLAKDSFVSSKKSCRLGFGLGTIPEYGSVGVCQPWSTRSAEVKQVHRARPTAIRSQEDSDAAALCALVETMDLDTKPLAEASSGSNSGVHICGPDRGHNSHPSCLAKVQHAADRDDDENSSGCVHPSTSGNNRGYIPHYIGDRRIRRLFASRLRKAARNRICGEMSCKGNKLSLCEKKMPTTRRRVQQTTLKRKRLAQLYSEKSSDEVKLTIKSFNIPELLIEIPENATVGSLKKTVSDAVTTIIERGLRVGILLQGKNIQNDNKTLRQAGICRGKKLDDIGFTLECEAGQDSHPGVIVPEEMDFVGASVVDKSATVKCEEPAENQQLMQDFPGCSLSNPGSVDYPVEWSTQETSASSQAIVPFADPNSLALANVLLSRSKRPDFGQRRIRRPFTVAEVELLVEAVEHLGTGRWRDVKFRAFENVHHRTYVDLKDKWKTLVHTASIAPQQRRGAPVPQELLDRVLAAQAYWSEQQAKLHGDPPVPEICPT >ONIVA02G40120.1 pep chromosome:AWHD00000000:2:34317710:34321001:-1 gene:ONIVA02G40120 transcript:ONIVA02G40120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLAHLLPSSSDQSLLSASACAAGDSAAYQRTSAYGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTVVLKAVLDNTKINPGEIGDIVVGTVLGPGSQRAIECRAAAFYAGVPENVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSVNAMGWEGQVNPKVNEVQKAQDCLLPMGITSENVAHRYGVTRQEQDQAAAESHRRAAAATAAGKFKDEIVPVPTKIVDPKTGEEKKVVISVDDGIRPGTTASGLAKLKPVFRKDGTTTADPAVMGVGPAVAIPAAVKSAGLQIEDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDSVDQFSNVRHH >ONIVA02G40110.1 pep chromosome:AWHD00000000:2:34309995:34312028:-1 gene:ONIVA02G40110 transcript:ONIVA02G40110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GEV6] MRGGAAGPTAGEPPGTEAEAEEVEESSAGDDEELELGLSLGSKKQQQQQHAPCRILTARDLQPAAALSPDSSVSSSSPAAAAAGGKRAEGPTATTSPGTVASGHPHSSFGVVGWPPIRQFRMNSLFNQAKENTSETDTKKTATNESDVQKDKEEGEKKGRVAGWVKVNMDGEVIGRKVDLNAHRSYKTLALALELMFTKPSIGLCASRKLESELSAIPGNSQNHCFNKRQILRACDKEKSYTAGEAWSVLDG >ONIVA02G40100.1 pep chromosome:AWHD00000000:2:34304145:34309462:1 gene:ONIVA02G40100 transcript:ONIVA02G40100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium channel beta subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G04690) TAIR;Acc:AT1G04690] MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAMRDLGWRRSDVVVSTKLFWGGQGPNDKGLSRKHIVEGLRGSLKRLDMDYVDVVYCHRPDATTPVEETVRAMNWVIDHGMAFYWGTSEWSAQQITEAWSVANRLDLVGPIVEQPEYNLFSRHKVESEFLPLYSTYGLGLTTWSPLASGVLTGKYAKGNIPADSRFALENYKNLANRSLVDDTLRKVNGLKPIASELGVSLAQLAIAWCASNPNVSSVITGATKENQIVENMKALDVIPLLTPEVVDKIEAVVQSKPKRTESYR >ONIVA02G40090.1 pep chromosome:AWHD00000000:2:34295769:34301067:-1 gene:ONIVA02G40090 transcript:ONIVA02G40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAVVGVPNGPVRSADEWCTSSSVFRFASKFQPFPPPSPPSTSASATAAPRRSPPPPPAMAAAAIHQFAECITCHAWSPDHSMIAFCPNNNEVHIYKFFTDKWEKLHVLSKHDQIVSGIDWSRSSNKIVTVSHDRNSYVWTQEGHDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCICYYEQDNNWWISKVVRKKHESSVTSVAWHPNNILLATTSTDGKCRVLSTFIKGVDTRGSHASTSTDWKFGEQIAQLDLSSMWAFGVRWSPSGKTLAYAGHNSMIYFIDDVETSPAAQNLALRDLPLRDILFLSERTVIGVGFDCNPMIFSADETGLWSFVRYLDERKVTTSTSRASQLSEAFGKLYGQSKQVASSDTVEPSKPRGGVHENCITCIVPLRKGNDSIVKRFSTSGLDGKIVVWDAENHIDIKK >ONIVA02G40080.1 pep chromosome:AWHD00000000:2:34291355:34292939:1 gene:ONIVA02G40080 transcript:ONIVA02G40080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPHHHGSSSLVAEELNLLHGGGDGGRGGGGLGQWKCRLLGSLAGLGRPRRARCVVCLQVQHVTGLPPAAEGRGVVVGWRGRGGEGEHTSPVRASRGAAAFDEVFLNYFVAGGATLRSFAVWAALVDDPASTARGGGDLGSFPVDLTEIATAESSNPRFGGKALSFPLGGAAAGAVLTVSVYCRVMEHEENHGGANGHARAERKNKGKGSYASCLPDLSCLRNRPSPAAAAASGSARRAASLRSDRGGFITIENSVAEMEGGGAFGRVEDVDEEGAGFITMEKGTISSSRSRSRRPAGEDDEAGDMEDEKPCLLMELAPEEAAAAFEVEKVEEEFLAMLEDKYWARSKEIEKGLGVSLDMGLDLGLDLDSLIKDAEMELAKAEQAWRSKVGAAIVEEEEYMDLVRRWSARDAAAACWPAAAFAFGSPI >ONIVA02G40070.1 pep chromosome:AWHD00000000:2:34279470:34285216:1 gene:ONIVA02G40070 transcript:ONIVA02G40070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFMITYKRKRVTSHVYTADGTNLKSSGASSSVPVSSLSPKYGVGADNNMLEEDNFSTSTKQQDVFDSREQVIEEESPKQSANAPGKERAELKSRESLSQKEQPEICSTHTAIGDACENKLECIDGTHNQSLVSSCVHADRTTNQAEDSSASVSVGVNSHQQPNNSTRPSQSKSRFSPMLTFHRRVKNKIGLEEPAAGSCSRDNDKHCSKLSCNPPSSPLDAIPLCRQTAGSSLDVEDKVTIAGTSTGQSVIVDHLLEQKSSHIPKSSVHHMVPSQPAKDANQSSIPEEGTPVSEFTRVLETSESDARVEDSNRTLVDAIEVPKVIEVKRDEHGNGQTNCLQSPRKNINVNLLKPTNRSEAADLLESQDSTKNIPIIVLDDDSDERGKEQEKSEVLDQLIQEKNKGRFSLGQINLNLNCAELPQERLLSLDETSVYKLKDQDQCVHERKQMSHPVERLFFTEEKDAVHGKKHHEETSTMHSSYSNLFDPAPSSSWITGNFKEPSVMPSELKFRILDKAPEFNLDLKLDSFPDSSVSALRHDKLFRGGTSSGSHFLTERLGTYSYKRHSSPWSEEELDFLWIGVRRYGTNNWNAMLRDRRLRFSNSRNAEDLAKQWDKEQRNLLGVDFLQSLRSSARGPPPPSHIPEDYVGSSSWTGCSKSPFLSAPTDLSLGDMYLRSARTSERGQHHLSNLGMLNLHATDNVPRNLSLGGFPVASSPYGRSSSKRRRASKLPKSYYDNKAVWCQDPSERVAQFLPINQEPINNLPEWLTKDAEMAGVSRLDADLWPSMQAPGHSAADRLNEMKPHVLPDGSLKRAPKRKAEWRAFSKKLFKSGDGALDLNQRAAAIAGPLGAIGTSDTGASSEETVSDS >ONIVA02G40060.1 pep chromosome:AWHD00000000:2:34264514:34279370:1 gene:ONIVA02G40060 transcript:ONIVA02G40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTTSNKRKPEETQENKKKKKAQAAAVPPPPPRSPLPSPFTFPFSTRASPKHPEKREEREGVWTLERRRRETPAAQSRRALLLLVVVAAQATIVVGSQVWVEDPGVAWIDGEVIKVHGDTVIVKCSNEKTVTVKGSNVHVKDPEESPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMEQYKGADFGELSPHPFAVADVAYRLMLNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAAAEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEELKRYKLGDPRTFHYLNQSNCYKLEGLDESKEYLETRKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGDDGESSKPKDEKSLFHLKTAAELFMCDEKALEDSLCKRIIVTRDENIVKTLDPEAAKGSRDALAKTHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNNKRFTKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASQCSFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNILKPSIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEIAAVRRLLEKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSANMIQRKVRSFLAQKNFIKLRRSAVQLQTICRGELARKIYQNLRREAASLRIQTCYRMHAARKAYNELSASAVTIQSALRGMVARKELHFRQQTKAAIVIQSRCRQFLARLYYSRTKKAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQATLQEVQQQYKETQEMLVKEREAAKKAAEVAPVVKEVPVIDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYEETNKISEERLQKAMDAETKIVDLNMAMLRLQEKLSNMESEEKVQRQALLSSPVKSMSEHLSIPIVPKNLENGFHEVEDPKEPQSAPPAIKDYGNGDPKLRKSCVDRQLENVDALIDCVSKNLGYCGGKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIENEEDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFVNMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKKELSSLISLCIQAPRTMKASMLRMSGRLSGQAQSNHWQRIIESLDILLKKLQDNHVPPVLAQKVFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATTEYAAASWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSIQEKDFTDVKPAEELLENPAFQKVTSTGGEHGKPANFAAQSQMPT >ONIVA02G40060.2 pep chromosome:AWHD00000000:2:34264514:34279370:1 gene:ONIVA02G40060 transcript:ONIVA02G40060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTTSKRQLFLLLLPAPLSPRHSHSLSPRERAQNIRRRERRGRGFGLWRGAAAKPPRRNRGELSSSSSSLLLPAAAALCKADAVHFVGVERRGRVRWQSGGGGRYEQLLLLSWVEGFGGFTPPPISRFRVGDSAPPPAAQATIVVGSQVWVEDPGVAWIDGEVIKVHGDTVIVKCSNEKTVTVKGSNVHVKDPEESPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMEQYKGADFGELSPHPFAVADVAYRLMLNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAAAEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEELKRYKLGDPRTFHYLNQSNCYKLEGLDESKEYLETRKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGDDGESSKPKDEKSLFHLKTAAELFMCDEKALEDSLCKRIIVTRDENIVKTLDPEAAKGSRDALAKTHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNNKRFTKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASQCSFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNILKPSIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEIAAVRRLLEKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSANMIQRKVRSFLAQKNFIKLRRSAVQLQTICRGELARKIYQNLRREAASLRIQTCYRMHAARKAYNELSASAVTIQSALRGMVARKELHFRQQTKAAIVIQSRCRQFLARLYYSRTKKAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQATLQEVQQQYKETQEMLVKEREAAKKAAEVAPVVKEVPVIDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYEETNKISEERLQKAMDAETKIVDLNMAMLRLQEKLSNMESEEKVQRQALLSSPVKSMSEHLSIPIVPKNLENGFHEVEDPKEPQSAPPAIKDYGNGDPKLRKSCVDRQLENVDALIDCVSKNLGYCGGKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIENEEDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFVNMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKKELSSLISLCIQAPRTMKASMLRMSGRLSGQAQSNHWQRIIESLDILLKKLQDNHVPPVLAQKVFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATTEYAAASWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSIQEKDFTDVKPAEELLENPAFQKVTSTGGEHGKPANFAAQSQMPT >ONIVA02G40060.3 pep chromosome:AWHD00000000:2:34264514:34279370:1 gene:ONIVA02G40060 transcript:ONIVA02G40060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTTSKRQLFLLLLPAPLSPRHSHSLSPRERAQNIRRRERRGRGFGLWRGAAAKPPRRNRGELSSSSSSLLLPAAAALCKADAVHFVGVERRGRVRWQSGGGGRYEQLLLLSWVEGFGGFTPPPISRFRVGDSAPPPAAQATIVVGSQVWVEDPGVAWIDGEVIKVHGDTVIVKCSNEKTVTVKGSNVHVKDPEESPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMEQYKGADFGELSPHPFAVADVAYRLMLNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAAAEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEELKRYKLGDPRTFHYLNQSNCYKLEGLDESKEYLETRKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGDDGESSKPKDEKSLFHLKTAAELFMCDEKALEDSLCKRIIVTRDENIVKTLDPEAAKGSRDALAKTHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNNKRFTKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASQCSFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNILKPSIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEIAAVRRLLEKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSANMIQRKVRSFLAQKNFIKLRRSAVQLQTICRGELARKIYQNLRREAASLRIQTCYRMHAARKAYNELSASAVTIQSALRGMVARKELHFRQQTKAAIVIQSRCRQFLARLYYSRTKKAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQATLQEVQQQYKETQEMLVKEREAAKKAAEVAPVVKEVPVIDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYEETNKISEERLQKAMDAETKIVDLNMAMLRLQEKLSNMESEEKVQRQALLSSPVKSMSEHLSIPIVPKNLENGFHEVEDPKENVDALIDCVSKNLGYCGGKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIENEEDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFVNMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKKELSSLISLCIQAPRTMKASMLRMSGRLSGQAQSNHWQRIIESLDILLKKLQDNHVPPVLAQKVFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATTEYAAASWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSIQEKDFTDVKPAEELLENPAFQKVTSTGGEHGKPANFAAQSQMPT >ONIVA02G40060.4 pep chromosome:AWHD00000000:2:34264514:34279044:1 gene:ONIVA02G40060 transcript:ONIVA02G40060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTTSKRQLFLLLLPAPLSPRHSHSLSPRERAQNIRRRERRGRGFGLWRGAAAKPPRRNRGELSSSSSSLLLPAAAALCKADAVHFVGVERRGRVRWQSGGGGRYEQLLLLSWVEGFGGFTPPPISRFRVGDSAPPPAAQATIVVGSQVWVEDPGVAWIDGEVIKVHGDTVIVKCSNEKTVTVKGSNVHVKDPEESPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMEQYKGADFGELSPHPFAVADVAYRLMLNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAAAEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEELKRYKLGDPRTFHYLNQSNCYKLEGLDESKEYLETRKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGDDGESSKPKDEKSLFHLKTAAELFMCDEKALEDSLCKRIIVTRDENIVKTLDPEAAKGSRDALAKTHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNNKRFTKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASQCSFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNILKPSIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEIAAVRRLLEKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSANMIQRKVRSFLAQKNFIKLRRSAVQLQTICRGELARKIYQNLRREAASLRIQTCYRMHAARKAYNELSASAVTIQSALRGMVARKELHFRQQTKAAIVIQSRCRQFLARLYYSRTKKAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQATLQEVQQQYKETQEMLVKEREAAKKAAEVAPVVKEVPVIDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYEETNKISEERLQKAMDAETKIVDLNMAMLRLQEKLSNMESEEKVQRQALLSSPVKSMSEHLSIPIVPKNLENGFHEVEDPKEPQSAPPAIKDYGNGDPKLRKSCVDRQLENVDALIDCVSKNLGYCGGKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIENEEDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFVNMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKKELSSLISLCIQAPRTMKASMLRMSGRLSGQAQSNHWQRIIESLDILLKKLQDNHVPPVLAQKVFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATTEYAAASWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSIQEKDFTDVKPAEELLENPAFQFLQD >ONIVA02G40050.1 pep chromosome:AWHD00000000:2:34252158:34256495:1 gene:ONIVA02G40050 transcript:ONIVA02G40050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20360) TAIR;Acc:AT2G20360] MQAAAAWRRHLLDGNISPTTAAAISAFRSASQPALTPQGLGGADGARYMSARAPAVKGTGHLVRKGTGGRSSVSGIIATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYNPRDVDSIKAVMAKSNVVINLIGREYETRNYGFDEVNHHMAEQLAMISKEHGGIMRFIQVSSLGASASSPSRMLRAKAAGEESVLKEFPEATIMRPATMIGTEDRILNRWAQFAKNWGFLPLVDSGSTKIQPVYVVDVAAAIVNSLKDDGTSMGKTYELGGPEIYTVHDLAELMYETICEWPRYIDVPLPIARAIASPREMLLNKVPFPLPTPSIFNKDQINAFSVDTLVSDNALTFSDLGIVPHKLKGYPVEFLVCYRKGGPAFGSTVSEKIRSSEL >ONIVA02G40040.1 pep chromosome:AWHD00000000:2:34250221:34251937:-1 gene:ONIVA02G40040 transcript:ONIVA02G40040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGAWRGGASASSRISYKNATVAVCAINLLACALLFRNYYSSWPRIAGDHQFDSAQLRFIWESEELRRAMEPVDLIRRVKEIEQEAYGEHGMMTQEDAKQTAAVDASKRLQDLRAGNDGSSQKALEEWRKRKMERARQRAIEKNGTSSAAKTR >ONIVA02G40030.1 pep chromosome:AWHD00000000:2:34243780:34247834:-1 gene:ONIVA02G40030 transcript:ONIVA02G40030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24190) TAIR;Acc:AT3G24190] MEAAAAAASAAPHLLHCGGFGRLPGLAASLPGRRRRRPSRRVLAVATEPKPSASAPAPRSRSRTRTPNDISSTRFGEVSKEIQRVRKQMEEDEQLATLMRGLRGQNLRDSQFADDNVRLRLVEVSSMNNNEALPLVYSPEIISAYWGKRPRAVATRIVQLLSVAGGFISHLISDLINNKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFSDDLAMTLLEEELGRPWHEIYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVSIDVVGLVDEWAARFFEELDYVNEGENGNRFAEMMKKDLPQVVVPKTYQKYTSRKVLTTQWIDGEKLSQSTEDDVGSLVSVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIRAAKSGGGENLKGSMAELAEIGTLPSTSLVPAFPMAISQPEQPVKARAALSFLLSERGDFFREFILDEIVKAIDAVSREQLIQIAASFGLGNATPVFSMVPVRARALLPTITEEDRVILNNVEKVVKFLTAGNNPTTIDGDVNVVYLVQELLPVLPSISSKILPEVMSRLSSRVFARLIREAFL >ONIVA02G40020.1 pep chromosome:AWHD00000000:2:34241198:34245965:1 gene:ONIVA02G40020 transcript:ONIVA02G40020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLRSVSFSIHTPSIVVGLFPAVKNFTTFSTLFKMTLSSSVIVGSSALALTGTMLKTGVAFPSPKDAAICISCSLETASMALTICNAENKRSQYGRHWIILNNIPCNMQITVVWFKQNTKMLQHESSPHQE >ONIVA02G40010.1 pep chromosome:AWHD00000000:2:34238520:34240729:-1 gene:ONIVA02G40010 transcript:ONIVA02G40010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQIIGLPHRLALPLPSLPPPPAVTPSPMASSSPASPTGAAAALPSPTLPGSASGIGLFSLVSSVFG >ONIVA02G40000.1 pep chromosome:AWHD00000000:2:34229685:34236628:1 gene:ONIVA02G40000 transcript:ONIVA02G40000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVYYAASLDAERQTTHTALGHEDESGFRSLPPNHHSLLFPSPAARRSARAAAAAAWARSPAGLLLRRRGCNGALARSDPSGAMRGVQDRKQKKKQDLQVLGPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDGKDRGNAFKMAIGPFSSQIEDKKRDSQPRKQSPTKRLSSPTKRSGEAPVKLLMEQDMWKEGMSGEEPLNVVARLMGLNDAAGHQSDLKSGKRSDKEYRSGGFDENSRNLRPKKDSKGHPNQKAGTHSEPCSGFSDQTLRMNSSRNKHQGKEPSCEKRMTLVREKFAEAKRLATDEKLLHTKEFQEALQFLSSNKDLFLKFLDEPNPLLSHNNYEFQPDTPPSETKQITILKPSDSIKRNGNTLVGRQLYSDGDESEGNRCRRHQSLSVSPTNSTFSEPTRIVVLKPGLVKSQEPKILRSPSSSSTAADSEDDSMSAVDETVPSSRRLAKEITWQMRMRLKDKQDEENLLSYEFHDIYIGDDSFSKSEVENAKEVSGEISEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREAKKRIFERLSIVSSTVGGEEEREARRSMGTLGEMLTIPEVKKDQEVFGGVTLENPSPEMDSEEPFLCLPRSRSVPISLSFGGTELNGVATGCQEAEKEKNRKSLSFREKVSSLFSKNRKVARGKLDPSGIPSTDDRLKHGNSATVNDFSENADHSALDNPLNCTIQNVDEISMPRLMASSWHMNDMENMPAKDISSIPVIGAPGIFGESQDQPSPVSVLDGPFLSDNSRSLLCSSESFITASPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDQDSLTFIQKLVCSAGIDREGCMLSSPLELYLLEKFSDYQEEGTKLRERRSKEKFLFDAVNEALTELTWTAELMAYPLGRSSSLERKDCENAFSNSAADEIWRVIRNWSILDKYPPGETIERNLLVEMILKREVLEAASSDTTRLETFELTSMVCTMVLEDLIADAVVDLSA >ONIVA02G40000.2 pep chromosome:AWHD00000000:2:34230368:34236628:1 gene:ONIVA02G40000 transcript:ONIVA02G40000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSEMRGVQDRKQKKKQDLQVLGPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDGKDRGNAFKMAIGPFSSQIEDKKRDSQPRKQSPTKRLSSPTKRSGEAPVKLLMEQDMWKEGMSGEEPLNVVARLMGLNDAAGHQSDLKSGKRSDKEYRSGGFDENSRNLRPKKDSKGHPNQKAGTHSEPCSGFSDQTLRMNSSRNKHQGKEPSCEKRMTLVREKFAEAKRLATDEKLLHTKEFQEALQFLSSNKDLFLKFLDEPNPLLSHNNYEFQPDTPPSETKQITILKPSDSIKRNGNTLVGRQLYSDGDESEGNRCRRHQSLSVSPTNSTFSEPTRIVVLKPGLVKSQEPKILRSPSSSSTAADSEDDSMSAVDETVPSSRRLAKEITWQMRMRLKDKQDEENLLSYEFHDIYIGDDSFSKSEVENAKEVSGEISEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREAKKRIFERLSIVSSTVGGEEEREARRSMGTLGEMLTIPEVKKDQEVFGGVTLENPSPEMDSEEPFLCLPRSRSVPISLSFGGTELNGVATGCQEAEKEKNRKSLSFREKVSSLFSKNRKVARGKLDPSGIPSTDDRLKHGNSATVNDFSENADHSALDNPLNCTIQNVDEISMPRLMASSWHMNDMENMPAKDISSIPVIGAPGIFGESQDQPSPVSVLDGPFLSDNSRSLLCSSESFITASPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDQDSLTFIQKLVCSAGIDREGCMLSSPLELYLLEKFSDYQEEGTKLRERRSKEKFLFDAVNEALTELTWTAELMAYPLGRSSSLERKDCENAFSNSAADEIWRVIRNWSILDKYPPGETIERNLLVEMILKREVLEAASSDTTRLETFELTSMVCTMVLEDLIADAVVDLSA >ONIVA02G40000.3 pep chromosome:AWHD00000000:2:34229685:34230421:1 gene:ONIVA02G40000 transcript:ONIVA02G40000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVYYAASLDAERQTTHTALGHEDESGFRSLPPNHHSLLFPSPAARRSARAAAAAAWARSPAGLLLRRRGCNGALARSDPSGAE >ONIVA02G39990.1 pep chromosome:AWHD00000000:2:34219595:34228533:1 gene:ONIVA02G39990 transcript:ONIVA02G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSASAAAVARLRELTPAPGVELSESGAAALAECCARLLISAAGGDAGAARPALDALCAASGEAMRRHSDELAPLVVGRLGDGDPAVRDAARRFLVRLMEMKEMNARMGNGEKTPCISDVQDNRCMTIQIEPTDTNQAKKSPKVKIVTRDASLLAGDGDITRKSLGPIKVFSEKDLSREIERVASTLHPDNEWAIRITAMQRVEGLVLGGAADYSAFPMLLKQLVTPLITQILDRRSSVVKQACHLLNFLSKELLRDFEPCAELLIPVLLKNVVITIHVIAESSDNCIKEMLRNCKVARILPKIIEFAKNDKSAVLRARCCEYAILMLELWVDTPEIQRSVDLYEEFIKCCIEDATSEMINDEDAETQQRHLSPVERVKLMQPQSSSCNSTEIDKVVKVDSGTSFSSVDLQSVQVKASVQHDDMTSKIQPEGKTDGTTTTGSSFKDTSTLEKETSPDKGPDPDKCDSGINLSSCDPSSATQFILEPTSELLPSDATVVTIVQDKAECRPNTEQLTQQVQVLGHPSDLLNRSPPVSLKESGNSLKHNPIQVNFDGSSGGKLGAQKVKHHTSAPNKSVVRKEPRKNYIPNFRRPLLSKQMTNWFYASNRSDLDDKQLILGEMVSNMDVPSSLTEALSLGLKPKSDWMMRGPRGIQEVAQNFDKVMRFVSRYLADPHHKIAQAALSSLSEIMPVFKKPFEHYLDKTLPHVFSQLNDPKESIKQQCSAILKLAGEIYSIDSLLPALLRTLEEQKSPKSKLAIIEFANSSFVKCTVSSDNYSSSSFLKPWLEKLALLFKDKNSKLKEVSVAGFSSIYSHYDPASLLSFLVSLSMEEQKRLRRAIKQLIPTIESDLEEFLQQRKHKPKVPLFDSFVGAYQPYAKGLVIKQNKHHLHATYQSDCLQADDVFDSALHCLPRISLEVRERRAGKIESESYDESNGHDAEMMDKKSSDTRSSDPPRTFDYNVISDNTVESPRKEGTDIKRFEESNESELNITVRNRNVILNSCQDHGPSISRTYHQDEMSQMEEVPEINGPSVSIKNLHQMSSSLLEMLDDPEESTRELALTLLVEILEKQRKAMENCIDTLIVKLLHATKDAALKVVNQAHICLTTVVTQFDPLTCLRAIASQLANQDEKVLIVSINSLSKLVIRLSQDNLMAHLSIFLPALLDAFENHSPYVRKAVVLCLVDTYLKLGPAFLPYLERLDSAQLQLVTTYASRLSQTSFIAVDA >ONIVA02G39980.1 pep chromosome:AWHD00000000:2:34214305:34219566:1 gene:ONIVA02G39980 transcript:ONIVA02G39980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDHPNTSKHQEQRPAILVHKPGLLPALAGDVDSAACDHAAPNRSQLSKSQEVKLGQITFQTAKPAPI >ONIVA02G39970.1 pep chromosome:AWHD00000000:2:34193885:34195101:-1 gene:ONIVA02G39970 transcript:ONIVA02G39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSWLVLLSAALCIGALPASHAARAFFVFGDSLVDNGNNNYLITSARADSPPYGIDTPDHRATGRFSNGKNMPDIISEHLGAEPVLPYLSPELDGDRLLVGANFASAGIGILNDTGVQFANIIHIEKQIRYFEQYQDRLRAVVGDEQAKKVVAGSLVLITLGGNDFVNNYYLIPYSPRSREFSLPDYIRYILSEYKQVLRHIHALGARRVLVTGVGPIGCVPAELALHSLDGGCDAELQRAADAYNPQLVAMLAELNAEVGGDVFVGVNTKRAHDDFIEDPRAHGFETSTEACCGQGRFNGMGLCTLVSNLCADRDSYVFWDAFHPTERANRLIVQQFMHGSLDYITPMNLSTILKLDQQAQLRN >ONIVA02G39960.1 pep chromosome:AWHD00000000:2:34191584:34198509:1 gene:ONIVA02G39960 transcript:ONIVA02G39960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDPATTFFACSSPTTARSRSWYCSKYLICFSMWMMFANCTPVSFRMPMPADAKFAPTSSLSPSSSGLRHVLAIGEPPGGAVVGGVNAVRRRVGAGRRDESPKTKKARAACDAGSAPMQSAAESSTSHDDDDDAMNATTAITIHYLATIDKENKQTRLGET >ONIVA02G39950.1 pep chromosome:AWHD00000000:2:34189974:34192493:-1 gene:ONIVA02G39950 transcript:ONIVA02G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33255) TAIR;Acc:AT2G33255] MLPRLLLAHRLLAGAAAPLPRRRTAAAMSAAAPGTRLLRGVVFDMDGTLTVPVIDFPAMYREVLGGDEAYAAARAAGGGSVDILHCIEGWAPDEQRRAYEVIARFEQDGLDRLQIMPGASELCGFLDAKQIRRGLITRNVKTAVDLFHQRFGMMFSPALSREFRPYKPDPAPLLHICSTWNIPPNEVVMVGDSLKDDIICGKRAGAFTCLLDETGRYGPHDSLPEDVRPDFKVSSLSEVFSVLEEHFDLAPVVSESRI >ONIVA02G39940.1 pep chromosome:AWHD00000000:2:34184299:34187298:1 gene:ONIVA02G39940 transcript:ONIVA02G39940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G04150) TAIR;Acc:AT1G04150] MAKAAEKLVVEVVAAHNLMPKDGQGSSSAYVEVEFEHQRRRTRARPKELNPVWNERLVFAVADPDDLPYRAIDVGVYNDRAASGGVAGGGGAAPHGRNFLGKVRVPAAGVPAPGEEVVPQLFTLEKRSLFSHIRGEITLKIYRTNSGEVVVKSKPEKPVKAVVSGPEVVAAPPVTGPKKQQQQQPVVAVQPPPPQPEAPMDILPPPAPVLMKPVMLADPYPASAVFSGPGDFSLKETRPRLGGGTTADKASATYDLVEQMQYLYVRVVRARGVAAVGETVAEVKLGNYRGVTPATAAHHWDQVFAFSKETIQSSFVEVFVRARGSDDHVGRVWFDLSEVPRRAPPDSTLAPQWHIMEDRKGERGAAEVMIAVWFGTQADEAFAEAWHSKAAGVHGYGPLGSIKSKVYVAPKLWYLRVSVIEAQDLIPMDKGPMAIGRYPELFVRAQVGSQMLRTRPAPVAANRGPSSPFWNEDLMFVVAEPFEEFLVLSLEDHVSPGRDDVLGRLVVPVSSIERRWDEKLVVSRWFGLDRGTGGGNVASGNTNRFGSRVHLRLSLDGGYHVLDEATAYSSDLRPTGKQLWQPHVGVLELGVLGATGLIPMKARDGRGATSDAYCVAKYGQKWIRTRTVVDSVCPRWNEQYTWEVFDPCTVITVGVFDNCHVDKPASGNTTLAVRDNRIGKVRIRLSTLETDRVYTHAYPLLMLHPSGVKKMGELHLAVRFCCGNAGNMFHAYVRPLLPKMHYIEPLLVRQVESLRFQATNVVASRLGRAEPPLGREVVEYMLDHRSHLWSMRRSKANFFRLVTVLSGPITIGRWFELVRSWNRPVHSCLAVFTFLVFVTMPELILPTAFLAMAFTGLWRYRVRSRHPPHMEMRLSHADAATVDELDEEFDTFPSSRGDVVRFRYDRLRSVAGRVQTVVGDIATQGERMQALLSWRDPRATVLFSIACVLAAVIAYTIPMKVLVGLWGLYAMRPPRFRSRMPSPLMNFFRRLPSKADSLL >ONIVA02G39930.1 pep chromosome:AWHD00000000:2:34171061:34177116:-1 gene:ONIVA02G39930 transcript:ONIVA02G39930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGFFVLLMLGAVYTLHATALPSPAPHPSGSPDTRILGPRISPAFSPRAMSPESTGGPVHPPSHKHHRRPYHPHSPSPSPLPQGCTDNCSDPMTTTPIGSPCGCVLPICVIVDLDVAPYLLFMRIAELEVEVAAGTFLKQSQVKIMAAIPSIQDDQKTRVTIYLVPLREQFDGYTASLISDRFWNNKIQINSSIFGDYEVINVTYPGLRSPPPSLPETSHGSDPTGTGEDPITADVHHGKNKKLDSWIIVVVAGSSITLIAACIGLGVLLLKWYKLRQLQEAVSPATTPAVNRRYGGRSTLSVSRVSSASASMLSTVATCTTSVKTFSLSQLEKATDGFDSKRVLGQGGFGRVYHGTMDGGDEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIEHNKRCLVYELIRNGSVESHLHGADKAKGMLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKGSNILLEEDFTPKVTDFGLAREATNGIQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVCMSDTNGPQNLVTWARPLLCHKEGLERLIDPSLNGNFNFDDVAKVASIASMCVHNDPSQRPFMGEVVQALKLIYNDAEAACDDSYSHRDSSCDQYDDYHGALALDSGSGSWWNRSSNPSGFFDNRNPLPVITMEYSSGRIEGARDPRFALSTGGHAQSPALQNRSGPIRMKKKLASFYRSRGSFSEHGQLPRH >ONIVA02G39920.1 pep chromosome:AWHD00000000:2:34168075:34169592:-1 gene:ONIVA02G39920 transcript:ONIVA02G39920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT5G38890) TAIR;Acc:AT5G38890] MAATAMDHDGGEVVTPGELLGNSSLLAGLGAYADGRCVRASITGHRRLVPPPPGSTDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMASADIMCVDSKAVKEKFTGMIRQQDVRATEIDKVDMFQSYRPGDIVRALVVSLRGKYGAPI >ONIVA02G39910.1 pep chromosome:AWHD00000000:2:34162903:34167473:1 gene:ONIVA02G39910 transcript:ONIVA02G39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein [Source:Projected from Arabidopsis thaliana (AT4G17740) TAIR;Acc:AT4G17740] MATCSRPLFVPASAAAASPSPAASRRGAPLVRLHAVAIVSIWEPRRHLRAHMSLCCKATRRSSGGLTTGHPISALPRAIKRILSSYPSLLTSLGGNLARGYKAAKPFLSIGFGRVVLGMVLVMSVSAATYTAPSFDRAYYDKSFNGQSWFRYRENALRNEPMNTREETYAAIKKMLSTLDDPFTRFLEPEKFKSLRSGSQGTLTGVGLSISYPMALNGSPSGLSVMSATPGGPAENSGILPGDVILAIDNRSTEDMDIYDAAQRLQGPEGSSVDLDIRSGSNTRHVVLKRQTVTLNPVRSRMCEIPGAKDNSKVGYIKLTTFNQNAAGSVKEALQKLRENNVKSFVLDLRNNSGGLFPEGIEIAKIWMDKGVIVYICDSQGVRDIYEADGISTVAASEPLVVLVNKGTASASEILAGALKDNKRAVIYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLRDSNSACNLNAAQLFTR >ONIVA02G39910.2 pep chromosome:AWHD00000000:2:34162903:34167473:1 gene:ONIVA02G39910 transcript:ONIVA02G39910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein [Source:Projected from Arabidopsis thaliana (AT4G17740) TAIR;Acc:AT4G17740] MATCSRPLFVPASAAAASPSPAASRRGAPLVRLHAVAIVSIWEPRRHLRAHMSLCCKATRRSSGGLTTGHPISALPRAIKRILSSYPSLLTSLGGNLARGYKAAKPFLSIGFGRVVLGMVLVMSVSAATYTAPSFDRAYYDKSFNGQSWFRYRENALRNEPMNTREETFSLLEHNCISDAAIKKMLSTLDDPFTRFLEPEKFKSLRCYLSKLFPYIFARGPEGSSVDLDIRSGSNTRHVVLKRQTVTLNPVRSRMCEIPGAKDNSKVGYIKLTTFNQNAAGSVKEALQKLRENNVKSFVLDLRNNSGGLFPEGIEIAKIWMDKGVIVYICDSQGVRDIYEADGISTVAASEPLVVLVNKGTASASEILAGALKDNKRAVIYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLRDSNSACNLNAAQLFTR >ONIVA02G39900.1 pep chromosome:AWHD00000000:2:34160366:34162513:-1 gene:ONIVA02G39900 transcript:ONIVA02G39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diphthamide synthesis DPH2 family protein [Source:Projected from Arabidopsis thaliana (AT5G62030) TAIR;Acc:AT5G62030] MDAGETSTSDSLVLATGAAHGPKRKPPPKRFVHTPIPPSILSDPTLAAAATGLLPAAYNFELPKTAHRIRSSGARRAALQLPEGLLLFSLPLSHLLAPFLEPDPSNDVLILADPTYGACCLADRPAKALAADVLVHYGHSCLVPVTSSLLPVLYVFVEIRVDAQRLADAVRAAFPDPADAPRLAIAGTVQFISAVHAAREILSHDGYQGIVVPQAKPLSAGEVLGCTAPALKRSEGVGAVVFVADGRFHLEAFMIANPGVKAYRFDPFLGVLVLEEYDHVGMKQARKEAVLAARKAKSWGVILGTLGRQGSVKVLDRVVEHLEEKGLEHTVVLMSELSPARMELFGDSVDAWVQIACPRLSIDWGEGFKKSMLTTFEFDVALGYVPGWWEKGSRECGSGDATGCCSGSGTSTDCGCSNGGCDKDFGGEYPMDYYSQDGGDWNSCYMKKKPSTGERKLRVRIGSKVQVEDKQQS >ONIVA02G39890.1 pep chromosome:AWHD00000000:2:34155791:34159854:1 gene:ONIVA02G39890 transcript:ONIVA02G39890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G43940) TAIR;Acc:AT5G43940] MQYSNIQSGPGPINPTKTHAHLLSPSRLLFSPSLSSPLTSQSHPLPFSSPSHLPPSVQGGGVKMASSTQGQVITCKAAVAWEANKPMTIEDVQVAPPQAGEVRVKILFTALCHTDHYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRAATGVGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLDKVCLLGCGVSTGLGAVWNTAKVEAGSIVAIFGLGTVGLAVAEGAKSAGASRIIGIDIDSKKFDVAKNFGVTEFVNPKDHDKPIQQVIVDLTDGGVDYSFECIGNVSVMRSALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYLNKEIKVDEYVTHSMNLTDINKAFDLLHEGGCLRCVLATDK >ONIVA02G39880.1 pep chromosome:AWHD00000000:2:34152135:34155049:1 gene:ONIVA02G39880 transcript:ONIVA02G39880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRRPLLAAAAAAAAASSTSSSFRPSRFHLRRCRYPPPVFAVSSDSPKPVTSSSTGGDNPDEEPVLPLLQELADCLVLPPKFLSQLPRDLRLDLNDAAFDLSNGPVLDECGQEVGDLLLNLAKAWEMADTSTSNNLVKQLPSMEPYLTGTFGKRLMSAGRRFTSMGQYGKGELKKIAETMSKTGKLLSKRPVVQSEVEAMKIKRKLKFLELEFELTAEKANIGAAVGLVFGFLSWQLARGIQNIPDGSMQYANDNALQMAKSLKVSLLVLGYTSTALSVFASIGLLLLAQQINSDDKTE >ONIVA02G39870.1 pep chromosome:AWHD00000000:2:34150994:34151473:1 gene:ONIVA02G39870 transcript:ONIVA02G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of Synechocystis YCF37 [Source:Projected from Arabidopsis thaliana (AT2G23670) TAIR;Acc:AT2G23670] MAVSTTASNLVLRAAPSTTTTRRRRVAASAVRFDRRSAALLLLSAAAGAAPTVASPSPANAAGIGLFGIRKKLERAEEAAAEAVREVEEAAVEAAEVGGEAVKAAEKEAAEVAGEGVQLVAGAELAGDGLVQAGAVAATEALGVVVGLSVVNGILRPES >ONIVA02G39860.1 pep chromosome:AWHD00000000:2:34148038:34150463:1 gene:ONIVA02G39860 transcript:ONIVA02G39860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G60000) TAIR;Acc:AT1G60000] MANSSCLSTSPAALRLARPKLPDSAMIMQLQLQLQHAPLFPRPPAARAHHHHHHQRCLLLAVSDHVAAKPVAVPVGRMRMRAATAMVSQEEAAATAVEEQQEEEVEEEQLQEEDGAAVQEEEQGGVLEGSSGGGEAEAEAEAAGITTKLYFGNLPYNCDSAQLAGIVQDYATPEMVEVLYDRATGRSRGFAFVTMSTIEDCEQVIKNLDGSLYSGRTMRVNFADKPKPKLPLYPETEHKLFVGNLSWTVTSEMLTEMFQKCGNVVGARVLYDGETGRSRGYGFVCYSTKEEMDEALSSLNGTELEGREIRVNLALGKK >ONIVA02G39850.1 pep chromosome:AWHD00000000:2:34143642:34146361:1 gene:ONIVA02G39850 transcript:ONIVA02G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGLDLLTKPNLEQLRSLLLPHLPRRRRRRRRQAKEKAKMGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPKRFKSNFREGTEAAPRKKGAAASN >ONIVA02G39840.1 pep chromosome:AWHD00000000:2:34141689:34143539:-1 gene:ONIVA02G39840 transcript:ONIVA02G39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRARSFLFLPIAGGAAPASSAACSGDQGGHGILRGSVSGSEGRTSLGRSRNFVAVENLRRYWRAAMEELELPLPTEKLAVDPGREGGKRGVAVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKAMQKGFQRTLTVLSRIRNALSKDGLADGGSPNVMLLCGSDLLESFSTPGVWIPDQVRTICKDFGVICIRREGKDVEKIISSSEILNECRDNIISVDEIVPNQISSSRVRECIKKCLSIKYLVCDEVIQYIGEHKLYKEADGSDTRK >ONIVA02G39830.1 pep chromosome:AWHD00000000:2:34136575:34141163:1 gene:ONIVA02G39830 transcript:ONIVA02G39830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G44000) TAIR;Acc:AT5G44000] MPMWSQPPPPPSSLQLRRPPPPLPHRPRRLRSRLSPIAASQDPLTALSRLLWGRALPPSQLVLAVRHGWTAAWGLLMRQLAPSDPATGAFTRTPSRFPAVVGTPSARLHLYVGLPCPWAHRALLVRALLGLERRLPLSVAVPGDDGAWSFTPDSPDALYGKRKLREVYASARRGGFEGRASVPLLWDAERREVVCNESIEIAKFLCDLAAADGSAGGLDLWPPELRQDIDRWYSFIYPSVNNGVYRCGFAQSQEAYDDAAGELFAALDRLEDHLSGSRYLCGDTLTLADVCLFTTLVRFDLVYHSLFRCTRRKLVEYASLHAYTRDIYQMPGVAGTCDMAAIADGYFGALFPLNPGGILPLVPASCSPEALLEPHGREALSSSAAADAGGGGNGRQLEATSASN >ONIVA02G39830.2 pep chromosome:AWHD00000000:2:34139340:34141163:1 gene:ONIVA02G39830 transcript:ONIVA02G39830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G44000) TAIR;Acc:AT5G44000] MPMWSQPPPPPSSLQLRRPPPPLPHRPRRLRSRLSPIAASQDPLTALSRLLWGRALPPSQLVLAVRHGWTAAWGLLMRQLAPSDPATGAFTRTPSRFPAVVGTPSARLHLYVGLPCPWAHRALLVRALLGLERRLPLSVAVPGDDGAWSFTPDSPDALYGKRKLREVYASARRGGFEGRASVPLLWDAERREVVCNESIEIAKFLCDLAAADGSAGGLDLWPPELRQDIDRWCGFAQSQEAYDDAAGELFAALDRLEDHLSGSRYLCGDTLTLADVCLFTTLVRFDLVYHSLFRCTRRKLVEYASLHAYTRDIYQMPGVAGTCDMAAIADGYFGALFPLNPGGILPLVPASCSPEALLEPHGREALSSSAAADAGGGGNGRQLEATSASN >ONIVA02G39820.1 pep chromosome:AWHD00000000:2:34129101:34136313:-1 gene:ONIVA02G39820 transcript:ONIVA02G39820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLGLVRGFVDVLVLAGGRTSSGAAATWRSGDVKKALQWALFFEEVFKNLRDDGQYDESASELDAALVQLASSPNFPKGIEDIRSKTLAMARELVVKHFLKTTNAENLGAVLQAVVEMDMDGISASGECNVCQEYVQSILDMNLPSLMRTKNARDVGHSTSSDEFCEESLFTGNSQILLKELQKKLDSGSCISLAERELNTLLKSMKKDSFDSAGSTLCTSATAQKTQIIDKFILWKQWKAKCLSYLLDERTIRIMSGTNMIFNAPKEQWMGLFEPLKVSADSSQSGIIEVMEMDICEYARQSLESEPYILWLLPPVLTAAAMPPRSSLFKIYLVEIDKQLGEAASKDRKCNCGGDGIDQHQNSSNFTSDLYINKSESPNGKLSSESYAIDTSGCDSSLPPTQSLYKGNPSSLRMVYPKVSEQNSWSQEPLPGPFVCPTSVDFFDQQDMTIFDQQIQDNIAASPSTNLAKQNEWFSSGTSLQYLESSVSAGSVLKAVDATSTTPSNYLHCHAQRNTSNPPNFNEICSEATPKAVQKVMKVDGLTIYHVKSHLQKYRTVHHRPQLSDGRGMETTCEGLRVQIGLQKQLHEQLEIQRKLQLQVEEHSKYLAMIIEKQSESLRQLGALPRSLDAPTQVLDNRETCEGQTGDADSAEQKPEK >ONIVA02G39810.1 pep chromosome:AWHD00000000:2:34125928:34129299:1 gene:ONIVA02G39810 transcript:ONIVA02G39810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGDAAAAAAAACPAYPWPSDGAQRGRKVFMQSDCTACHGMFSSNAGLISDDDAAWEPKVAEIVVVEEAHQPMAAAATLRGGAYYPAPDLTFIAKGLRGNNLYSGGGASEAARMLADAAAACQELKKRALASPREASVRCAASQSITRAHSTRC >ONIVA02G39810.2 pep chromosome:AWHD00000000:2:34127120:34129299:1 gene:ONIVA02G39810 transcript:ONIVA02G39810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGDGGAAACPAYPWPNDGAQRGRKVFMQSDCAACHTSLPYAGLSDDGARARAAAVEPKAAEIVVVEEARQPAAETVNGGANSPDLTLITKGLRGNLYATAAPRMLAGAAAACQELKKRAMASPVWL >ONIVA02G39800.1 pep chromosome:AWHD00000000:2:34109648:34113512:-1 gene:ONIVA02G39800 transcript:ONIVA02G39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGPLTQWPWHNLGNYKYALVAPSAAYSTYRFVTASSAAERDLLNFMVFPMLLLRLLYGQLWITVSRHQTARSKHKIVNKSLDFEQIDRERNWDDQIILTALVFYLVSATMPQAQVAPWWSTKGMVVTAVLHAGPVEFLYYWLHRALHHHWLYARYHSHHHASIVTEPITSVIHPFAEEVVYFVLLAIPILSTVATGTVSVVTANGYLVYIDFMNYLGHCNFELVPKCLFHVFPPLKYLLYTPSFHSLHHTQFRTNYSLFMPVYDYIYGTTDKSSDELYERTLQGRDEAAWRPDVVHLTHLTAPESVFHNRLGFAAVASNPLGAAASGHLLRAASAVASPLLSLFASTFRSEANRLDKLNIETWVIPRFTSHYTSKSDGYKVSRLIEKAVSDAEASGARVLTLGLLNQGYDLNRNGELYVVRKPSLKTKIVDGTSLAVAAVLNMIPQGTKDVLLLGNANKISLVLTLSLCKREIQVWLVGDGVTDEEQMKAQKGSHFVPYSQFPPNKARNDCVYHCTPALLVPESFENLHVCENWLPRRVMSAWRAAGIVHALEKWDGHECGGRVTGVQKAWSAALARGFRPYDDHHHPGITHDGRGGL >ONIVA02G39790.1 pep chromosome:AWHD00000000:2:34108231:34108449:-1 gene:ONIVA02G39790 transcript:ONIVA02G39790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIDRQRLGLDSLRSELNDTTSTLGRGAHAADFRPSVCKRSDLSRATRTVQIDPRPPPWKHALDTSSSYLS >ONIVA02G39780.1 pep chromosome:AWHD00000000:2:34102577:34108199:-1 gene:ONIVA02G39780 transcript:ONIVA02G39780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid sterol acyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04010) TAIR;Acc:AT1G04010] MPRRRLRRGDLACSVAVAVAVAAVAVAAVGAVGGGDGGADFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCVEFGIEANAIIAVPYDWRLPPSMLEERDLLTFETALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIRWLDEHIHAYFAVGAPLLGSTEAVKAALSGATFGLPVSEGTARLMFNAFGSSLWLMPFSEYCKADNIYWKHFFEGKGGCHHRQQCDEMEYKSEYSGWPTNLVSIEVPTVRDTEAYPSIMDTTENITSSMECGKPTLLSFSAREVSDGTLFKTIKDWDPQSIGLIHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGLDSKTEGLYYQGRSGNSVTGKPNNSSGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTKMNVDHHVGQGILPNMTRTPHVKYITYFEDAESILGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPDAKSKFVTKDKLMDTD >ONIVA02G39780.2 pep chromosome:AWHD00000000:2:34102577:34108199:-1 gene:ONIVA02G39780 transcript:ONIVA02G39780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid sterol acyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04010) TAIR;Acc:AT1G04010] MPRRRLRRGDLACSVAVAVAVAAVAVAAVGAVGGGDGGADFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCVEFGIEANAIIAVPYDWRLPPSMLEERDLLTFETALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIRWLDEHIHAYFAVGAPLLGSTEAVKAALSGATFGLPVSEGTARLMFNAFGSSLWLMPFSEYCKADNIYWKHFFEGKGGCHHRQQCDEMEYKSEYSGWPTNLVSIEVPTVRDTEAYPSIMDTTENITSSMECGKPTLLSFSAREVSDGTLFKTIKDWDPQSIGLIHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGLDSKTEVGYYFAPSGKPYPDNWIITDIIYEFEGSGNSVTGKPNNSSGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTKMNVDHHVGQGILPNMTRTPHVKYITYFEDAESILGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPDAKSKFVTKDKLMDTD >ONIVA02G39780.3 pep chromosome:AWHD00000000:2:34102577:34108199:-1 gene:ONIVA02G39780 transcript:ONIVA02G39780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid sterol acyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04010) TAIR;Acc:AT1G04010] MPRRRLRRGDLACSVAVAVAVAAVAVAAVGAVGGGDGGADFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCVEFGIEANAIIAVPYDWRLPPSMLEERDLLTFETALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIRWLDEHIHAYFAVGAPLLGSTEAVKAALSGATFGLPVSEGTARLMFNAFGSSLWLMPFSEYCKADNIYWKHFFEGKGGCHHRQQCDEMEYKSEYSGWPTNLVSIEVPTVRDTEAYPSIMDTTENITSSMECGKPTLLSFSAREVSDGTLFKTIKDWDPQSIGLIHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGLDSKTEVGYYFAPSNSVTGKPNNSSGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTKMNVDHHVGQGILPNMTRTPHVKYITYFEDAESILGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPDAKSKFVTKDKLMDTD >ONIVA02G39780.4 pep chromosome:AWHD00000000:2:34102577:34108199:-1 gene:ONIVA02G39780 transcript:ONIVA02G39780.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid sterol acyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04010) TAIR;Acc:AT1G04010] MPRRRLRRGDLACSVAVAVAVAAVAVAAVGAVGGGDGGADFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWLTFETALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIRWLDEHIHAYFAVGAPLLGSTEAVKAALSGATFGLPVSEGTARLMFNAFGSSLWLMPFSEYCKADNIYWKHFFEGKGGCHHRQQCDEMEYKSEYSGWPTNLVSIEVPTVRDTEAYPSIMDTTENITSSMECGKPTLLSFSAREVSDGTLFKTIKDWDPQSIGLIHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGLDSKTEVGYYFAPSNSVTGKPNNSSGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTKMNVDHHVGQGILPNMTRTPHVKYITYFEDAESILGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPDAKSKFVTKDKLMDTD >ONIVA02G39770.1 pep chromosome:AWHD00000000:2:34099718:34100567:-1 gene:ONIVA02G39770 transcript:ONIVA02G39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWQEGYKVVLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYFFGGGIQSLAAGRTPYGRPVRVVEMGETHIPREVFEDYLRDISPRYTAETYRLLSHNCNNFSNEVAQFLVGAGIPDYILNLPAEVMSSPMGPLIMPMIQNLESTLRTNAAPQATQFVPSSVPPPPPPQNKPGEGSSSSKQEDKAAKAKQGSAADPLGGARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDATMQQS >ONIVA02G39760.1 pep chromosome:AWHD00000000:2:34081346:34090777:-1 gene:ONIVA02G39760 transcript:ONIVA02G39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQSTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLIQHRHAQLQRTNASHPSLNGPINTLNSDGILGHSTASVLAAKMYEERLKHPQSLDSEGSQLLDASRMALLKSASTNHAGQLVPGTPGNVSTTLQQIQARNQQTMDIKSEGNMGVAQRSLPMDPLSLYGQGIIQPKPGLGGAGLNQGVSGLPLKGWPLTGIDQLRPNLGAPMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLSNSSNYGDLDPRRYTALTRGGLNGKDGQPAGTDGCISSPMQSSSPKVRSDQEYLIKVQQTSSQQPQEQQQQQSQQQQQQQSQQQQMPQSNRKRKQPTSSGAANSTGTGNTVGPSTNSPPSTPSTHTPGDGLGMPGNMRHVPKNLVMYGADGTGLASSSNQMDDLEPFGDVGSLEDNVESFLANDDGDARDIFAALKRSPAEPNPAASKGFTFNEVNCLHTNNSKVVCCHFSSDGKILASAGHEKKAVLWNMDTFQSQYTSEEHSLIITDVRFRPNSSQLATSSFDRTIKLWNAADPGFCLHTFVGHNVQVTSLDFHPKKTDLLCSCDGNGEIRYWNLTQLSCMRAMKGGTAQVRFQPNTGQFLAAAAETMVAIFDVETHSKKYTLQGHNTDVQSVCWDSSGEYLASVSQDLVKVWSISSGECIHEVSSNGNKFHSCVFHPSYANLLVIGGYQSLELWNMLKNQSMTIQAHEGLIAALAQSPVNGMVASASHDNSVKLWK >ONIVA02G39750.1 pep chromosome:AWHD00000000:2:34080166:34080530:-1 gene:ONIVA02G39750 transcript:ONIVA02G39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRAPFGLALVAVAAALLLLVVSSCHASREHPDIRNTMAVESSKTVVILDDPKCEVMEKCDPKGCTDYCIGIGLGQNNGFCTFHDLQFYCCCPID >ONIVA02G39740.1 pep chromosome:AWHD00000000:2:34071772:34073163:1 gene:ONIVA02G39740 transcript:ONIVA02G39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GEQ8] MDLLPLVTLLLLAHAAAWVAWQAAARRRRATCYLLDYACHKPSDDRKVTTELAGAIIERNKRLGLPEYRFLLKVIVNSGIGEHTYSPRNVLDAREDCPTLRDALDEMDDFFDDAVAAVLARAAVSPRDVDLLVINVGSFSPSPSLADRVVRRFGLRDDVMAYNLSGMGCSAGLVSVDLARNVMLTRPRTMALVLTSESCAPNWYTGTDKSMMLGNCLFRCGGAAALLTNDPAFRSRAKMELRCLVRAHIGAHDDAHAAAVHREDADGRLGVSLSKALPKAAVRAFTENLQRLAPRILPAGELARFAARLLLRKLLRRKAAGGAAAKINFKTGVDHFCLHPGGTAVIEAVRKSLGLDSYDVEPARMTLHRWGNTSASSLWYVLSYMEAKRRLNAGDRVLMVTFGSGFKCNSSYWVVTKDLADAGAWEDCIHDYPPANLVNPYMEKFGWVNDLPSQGQGGAFPFF >ONIVA02G39730.1 pep chromosome:AWHD00000000:2:34063648:34067829:1 gene:ONIVA02G39730 transcript:ONIVA02G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASSAMKTSAADKLIKDDYCSRDGDEDDEFDEMHALSQIETPSGGGGRFGARGAAGGGEGDLGARRSCRWVWCGFRRTKTGRRRTPVQGSRMSRLSVTLSGGRSGASILPGCVLVLSR >ONIVA02G39720.1 pep chromosome:AWHD00000000:2:34055406:34061861:1 gene:ONIVA02G39720 transcript:ONIVA02G39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione-disulfide reductase [Source:Projected from Arabidopsis thaliana (AT3G24170) TAIR;Acc:AT3G24170] MARKMLKDEEVEVAVTDGGSYDYDLFVIGAGSGGVRGSRTSASFGAKVAICELPFHPISSDWQGGHGGTCVIRGCVPKKILVYGSSFRGEFEDAKNFGWEINGDINFNWKKLLENKTQEIVRLNGVYQRILGNSGVTMIEGAGSLVDAHTVEVTKPDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWKGMGAHVDLFYRKELPLRGFDDEMRTVVASNLEGRGIRLHPGTNLSELSKTADGIKVVTDKGEEIIADVVLFATGRTPNSQRLNLEAAGVEVDNIGAIKVDDYSRTSVPNIWAVGDVTNRINLTPVALMEATCFSKTVFGGQPTKPDYRDVPCAVFSIPPLSVVGLSEQQALEEAKSDVLVYTSSFNPMKNSISKRQEKTVMKLVVDSETDKVLGASMCGPDAPEIIQGMAVALKCGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPSSKPKTNL >ONIVA02G39720.2 pep chromosome:AWHD00000000:2:34055637:34061861:1 gene:ONIVA02G39720 transcript:ONIVA02G39720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione-disulfide reductase [Source:Projected from Arabidopsis thaliana (AT3G24170) TAIR;Acc:AT3G24170] MARKMLKDEEVEVAVTDGGSYDYDLFVIGAGSGGVRGSRTSASFGAKVAICELPFHPISSDWQGGHGGTCVIRGCVPKKILVYGSSFRGEFEDAKNFGWEINGDINFNWKKLLENKTQEIVRLNGVYQRILGNSGVTMIEGAGSLVDAHTVEVTKPDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWKGMGAHVDLFYRKELPLRGFDDEMRTVVASNLEGRGIRLHPGTNLSELSKTADGIKVVTDKGEEIIADVVLFATGRTPNSQRLNLEAAGVEVDNIGAIKVDDYSRTSVPNIWAVGDVTNRINLTPVALMEATCFSKTVFGGQPTKPDYRDVPCAVFSIPPLSVVGLSEQQALEEAKSDVLVYTSSFNPMKNSISKRQEKTVMKLVVDSETDKVLGASMCGPDAPEIIQGMAVALKCGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPSSKPKTNL >ONIVA02G39710.1 pep chromosome:AWHD00000000:2:34027270:34054307:1 gene:ONIVA02G39710 transcript:ONIVA02G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYKHGSSRWCQKLRENLIDSVHAARSGPSQGTDRGVDESYVISSMEEEAAAATCEIARLPEELLVAALSLTSPRDACRAAAVCRDFRAAADSDAVWSRFLPRDLPRLADGELSPPPPSTKGLFLRLSAAPLLLPHELTVRSPADSWKAQPNPPMCAHFFYTHRVMANWVANQISMWLEREKGGKFKEGAELLSVCWLEIHGKILSKMLSRNTNYAAYLVYRIADRSYGLDFPFQEASVSIGGSTTTRQVGSVERWLKRRCSHALVLAEDIEHPQKRSDGWMELKLGELYNEEGDDGEVCISFRETEGHWKRGLVVQGIEIRPKKTLTSNCLACSHEKPSYSLLTTSRSSKEEIFLTDGLTSMWLDMETGFKCYMLSARALQLANSTDTWRLISLTGASRFSEVIELTACYELVICGKIPCKMLSGNTNYAAYIVFVVVEDSFGLATILDASVSVGGSLCTTRQVCFDSTSSLSADEHFVEDNIEVPQDGSVILPQERDDGWMELEVGEFFHNEECNGDVCFSVLEAEDGRWLSKRGLVVQGIEIRPKKSSCRIVFEREREMERERGRRTMEEAWIDRLPQDLLQRVIPLETPRDACRAAAVSQAFRAVADSDVVWGKFQPDDSSLQLADGELFPPPRSKKERFLRLSAGLLLLPDRRRGMWLDRGTGARCYMLSARALVIIWGDTPRYWRWIPLADSSRFEEGAELIDVCWMEIRCNIDSRILSPNSTYAAFMVFKIAEGFYGLDTPLQEGTVSLGGRESRREVAFTSIDPRPPQGSAAYPQKRADGWMEVELGEFFNEDGEDGEVGISLMSKGPNWKRGLIKPDSSKGAEASPSMEEGEACDDCECEIARLPEELLSAAISLTAPRDAFRAAAVSRAFRAAADSDAVWASFLPRDLPDLADGELSPAPPSKKDLFLRLSAGHYHLLPDRLKSAGHYLDLYTKILALDPSHRLQIAEEFYQLDTVDATVNLGGSKSSREVALTRSRRRPEEEISAVLFPRTRADGWMEVELGEFFNEEGEDGNVNIRIFGKGPNWKKGLISMWLDREKGAKCYMLSARALQISWGDSPQYWSWIPLADSRFKEGAELLSVFWLEIRGKLPGKKLSQNTNYAAYLVYKIADRSYGLDFPFQEASVSIGGSITARQSMWLDRETGFKCYMLSARALQILSPPPPSNKALFLRLSGSDGNVPLLLPDRLRGIWFDRETGAKCYVLSARTLVIKCSETSDYRRWIPLADSRFAEAVEFMDAPPRMEIRSKIDSMVLTPNSTYAAFMVFKIADGLYELDTSPHDATVSIGENESRREVAFTGRYPERRADGWMEVELGEFFNEDGEDGAVYMRLMSEGPNRMRGLIFYFQPPRTHTHSTTIFSFSVFFSFAIKPDSRTEGSMEEEGEGLCEIARLPEELLSAAISRASPRDACHAAAVSPAFRAAADSDAVWASFLPRDLPDLADGELSPAPASKKELFLRLSDGPYLLSDRLMSMWLDRETGAKCYMLSARSLVIIWGDTPHYWRWIPLTDSRFAEGAELIDVCWLEIHGRIHSKMLSPNSTYAAYMVFKIADEFYGLDAPFQEASVSLGGRGSTKIVCVQSYDSEDEEVPENYWPMSIGPLLRQRARRRDRRLVLDEGVTVPQKRTDEWMELEMGEFINEEGEDGEVCFSLMETKGGNWKRGLIVQGIEIRLKKSG >ONIVA02G39710.2 pep chromosome:AWHD00000000:2:34027270:34054307:1 gene:ONIVA02G39710 transcript:ONIVA02G39710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYKHGSSRWCQKLRENLIDSVHAARSGPSQGTDRGVDESYVISSMEEEAAAATCEIARLPEELLVAALSLTSPRDACRAAAVCRDFRAAADSDAVWSRFLPRDLPRLADGELSPPPPSTKGLFLRLSAAPLLLPHELTVRSPADSWKAQPNPPMCAHFFYTHRVMANWVANQISMWLEREKGGKFKEGAELLSVCWLEIHGKILSKMLSRNTNYAAYLVYRIADRSYGLDFPFQEASVSIGGSTTTRQVGSVERWLKRRCSHALVLAEDIEHPQKRSDGWMELKLGELYNEEGDDGEVCISFRETEGHWKRGLVVQGIEIRPKKTLTSNCLACSHEKPSYSLLTTSRSSKEEIFLTDGLTSMWLDMETGFKCYMLSARALQLANSTDTWRLISLTGASRFSEVIELTACYELVICGKIPCKMLSGNTNYAAYIVFVVVEDSFGLATILDASVSVGGSLCTTRQVCFDSTSSLSADEHFVEDNIEVPQDGSVILPQERDDGWMELEVGEFFHNEECNGDVCFSVLEAEDGRWLSKRGLVVQGIEIRPKKSSCRIVFEREREMERERGRRTMEEAWIDRLPQDLLQRVIPLETPRDACRAAAVSQAFRAVADSDVVWGKFQPDDSSLQLADGELFPPPRSKKERFLRLSAGLLLLPDRRRGMWLDRGTGARCYMLSARALVIIWGDTPRYWRWIPLADSSRFEEGAELIDVCWMEIRCNIDSRILSPNSTYAAFMVFKIAEGFYGLDTPLQEGTVSLGGRESRREVAFTSIDPRPPQGSAAYPQKRADGWMEVELGEFFNEDGEDGEVGISLMSKGPNWKRGLIKPDSSKGAEASPSMEEGEACDDCECEIARLPEELLSAAISLTAPRDAFRAAAVSRAFRAAADSDAVWASFLPRDLPDLADGELSPAPPSKKDLFLRLSAGHYHLLPDRLKIAEEFYQLDTVDATVNLGGSKSSREVALTRSRRRPEEEISAVLFPRTRADGWMEVELGEFFNEEGEDGNVNIRIFGKGPNWKKGLISMWLDREKGAKCYMLSARALQISWGDSPQYWSWIPLADSRFKEGAELLSVFWLEIRGKLPGKKLSQNTNYAAYLVYKIADRSYGLDFPFQEASVSIGGSITARQSMWLDRETGFKCYMLSARALQILSPPPPSNKALFLRLSGSDGNVPLLLPDRLRGIWFDRETGAKCYVLSARTLVIKCSETSDYRRWIPLADSRFAEAVEFMDAPPRMEIRSKIDSMVLTPNSTYAAFMVFKIADGLYELDTSPHDATVSIGENESRREVAFTGRYPERRADGWMEVELGEFFNEDGEDGAVYMRLMSEGPNRMRGLIFYFQPPRTHTHSTTIFSFSVFFSFAIKPDSRTEGSMEEEGEGLCEIARLPEELLSAAISRASPRDACHAAAVSPAFRAAADSDAVWASFLPRDLPDLADGELSPAPASKKELFLRLSDGPYLLSDRLMSMWLDRETGAKCYMLSARSLVIIWGDTPHYWRWIPLTDSRFAEGAELIDVCWLEIHGRIHSKMLSPNSTYAAYMVFKIADEFYGLDAPFQEASVSLGGRGSTKIVCVQSYDSEDEEVPENYWPMSIGPLLRQRARRRDRRLVLDEGVTVPQKRTDEWMELEMGEFINEEGEDGEVCFSLMETKGGNWKRGLIVQGIEIRLKKSG >ONIVA02G39710.3 pep chromosome:AWHD00000000:2:34027270:34054307:1 gene:ONIVA02G39710 transcript:ONIVA02G39710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYKHGSSRWCQKLRENLIDSVHAARSGPSQGTDRGVDESYVISSMEEEAAAATCEIARLPEELLVAALSLTSPRDACRAAAVCRDFRAAADSDAVWSRFLPRDLPRLADGELSPPPPSTKGLFLRLSAAPLLLPHELTVRSPADSWKAQPNPPMCAHFFYTHRVMANWVANQISMWLEREKGGKFKEGAELLSVCWLEIHGKILSKMLSRNTNYAAYLVYRIADRSYGLDFPFQEASVSIGGSTTTRQVGSVERWLKRRCSHALVLAEDIEHPQKRSDGWMELKLGELYNEEGDDGEVCISFRETEGHWKRGLVVQGIEIRPKKTLTSNCLACSHEKPSYSLLTTSRSSKEEIFLTDGLTSMWLDMETGFKCYMLSARALQLANSTDTWRLISLTGASRFSEVIELTACYELVICGKIPCKMLSGNTNYAAYIVFVVVEDSFGLATILDASVSVGGSLCTTRQVCFDSTSSLSADEHFVEDNIEVPQDGSVILPQERDDGWMELEVGEFFHNEECNGDVCFSVLEAEDGRWLSKRGLVVQGIEIRPKKSSCRIVFEREREMERERGRRTMEEAWIDRLPQDLLQRVIPLETPRDACRAAAVSQAFRAVADSDVVWGKFQPDDSSLQLADGELFPPPRSKKERFLRLSAGLLLLPDRRRGMWLDRGTGARCYMLSARALVIIWGDTPRYWRWIPLADSSRFEEGAELIDVCWMEIRCNIDSRILSPNSTYAAFMVFKIAEGFYGLDTPLQEGTVSLGGRESRREVAFTSIDPRPPQGSAAYPQKRADGWMEVELGEFFNEDGEDGEVGISLMSKGPNWKRGLIKPDSSKGAEASPSMEEGEACDDCECEIARLPEELLSAAISLTAPRDAFRAAAVSRAFRAAADSDAVWASFLPRDLPDLADGELSPAPPSKKDLFLRLSAGHYHLLPDRLKLDRETGAKCYVLSARALVIIWTCTPRYWRWIPLTDSRFKEGAELLSVFWLEIRGKLPGKKLSQNTNYAAYLVYKIADRSYGLDFPFQEASVSIGGSITARQSMWLDRETGFKCYMLSARALQILSPPPPSNKALFLRLSGSDGNVPLLLPDRLRGIWFDRETGAKCYVLSARTLVIKCSETSDYRRWIPLADSRFAEAVEFMDAPPRMEIRSKIDSMVLTPNSTYAAFMVFKIADGLYELDTSPHDATVSIGENESRREVAFTGRYPERRADGWMEVELGEFFNEDGEDGAVYMRLMSEGPNRMRGLIFYFQPPRTHTHSTTIFSFSVFFSFAIKPDSRTEGSMEEEGEGLCEIARLPEELLSAAISRASPRDACHAAAVSPAFRAAADSDAVWASFLPRDLPDLADGELSPAPASKKELFLRLSDGPYLLSDRLMSMWLDRETGAKCYMLSARSLVIIWGDTPHYWRWIPLTDSRFAEGAELIDVCWLEIHGRIHSKMLSPNSTYAAYMVFKIADEFYGLDAPFQEASVSLGGRGSTKIVCVQSYDSEDEEVPENYWPMSIGPLLRQRARRRDRRLVLDEGVTVPQKRTDEWMELEMGEFINEEGEDGEVCFSLMETKGGNWKRGLIVQGIEIRLKKSG >ONIVA02G39710.4 pep chromosome:AWHD00000000:2:34027270:34054307:1 gene:ONIVA02G39710 transcript:ONIVA02G39710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYKHGSSRWCQKLRENLIDSVHAARSGPSQGTDRGVDESYVISSMEEEAAAATCEIARLPEELLVAALSLTSPRDACRAAAVCRDFRAAADSDAVWSRFLPRDLPRLADGELSPPPPSTKGLFLRLSAAPLLLPHELTVRSPADSWKAQPNPPMCAHFFYTHRVMANWVANQISMWLEREKGGKFKEGAELLSVCWLEIHGKILSKMLSRNTNYAAYLVYRIADRSYGLDFPFQEASVSIGGSTTTRQVGSVERWLKRRCSHALVLAEDIEHPQKRSDGWMELKLGELYNEEGDDGEVCISFRETEGHWKRGLVVQGIEIRPKKTLTSNCLACSHEKPSYSLLTTSRSSKEEIFLTDGLTSMWLDMETGFKCYMLSARALQLANSTDTWRLISLTGASRFSEVIELTACYELVICGKIPCKMLSGNTNYAAYIVFVVVEDSFGLATILDASVSVGGSLCTTRQVCFDSTSSLSADEHFVEDNIEVPQDGSVILPQERDDGWMELEVGEFFHNEECNGDVCFSVLEAEDGRWLSKRGLVVQGIEIRPKKSSCRIVFEREREMERERGRRTMEEAWIDRLPQDLLQRVIPLETPRDACRAAAVSQAFRAVADSDVVWGKFQPDDSSLQLADGELFPPPRSKKERFLRLSAGLLLLPDRRRGMWLDRGTGARCYMLSARALVIIWGDTPRYWRWIPLADSSRFEEGAELIDVCWMEIRCNIDSRILSPNSTYAAFMVFKIAEGFYGLDTPLQEGTVSLGGRESRREVAFTSIDPRPPQGSAAYPQKRADGWMEVELGEFFNEDGEDGEVGISLMSKGPNWKRGLIKPDSSKGAEASPSMEEGEACDDCECEIARLPEELLSAAISLTAPRDAFRAAAVSRAFRAAADSDAVWASFLPRDLPDLADGELSPAPPSKKDLFLRLSAGHYHLLPDRLKLDRETGAKCYVLSARALVIIWTCTPRYWRWIPLTDSRFTEAAELLSVCWLEILGNIDSRMLSPNSTYAAVLVFKIAEEFYQLDTVDATVNLGGSKSSREVALTRSRRRPEEEISAVLFPRTRADGWMERRADGWMEVELGEFFNEDGEDGAVYMRLMSEGPNRMRGLIFYFQPPRTHTHSTTIFSFSVFFSFAIKPDSRTEGSMEEEGEGLCEIARLPEELLSAAISRASPRDACHAAAVSPAFRAAADSDAVWASFLPRDLPDLADGELSPAPASKKELFLRLSDGPYLLSDRLMSMWLDRETGAKCYMLSARSLVIIWGDTPHYWRWIPLTDSRFAEGAELIDVCWLEIHGRIHSKMLSPNSTYAAYMVFKIADEFYGLDAPFQEASVSLGGRGSTKIVCVQSYDSEDEEVPENYWPMSIGPLLRQRARRRDRRLVLDEGVTVPQKRTDEWMELEMGEFINEEGEDGEVCFSLMETKGGNWKRGLIVQGIEIRLKKSG >ONIVA02G39700.1 pep chromosome:AWHD00000000:2:34015168:34023391:1 gene:ONIVA02G39700 transcript:ONIVA02G39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSRGGGGGAAAGEDPEDLSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIEYTLSWLESAGVEECFVFCCAHAQQVKEHLGKAGWTGKPAAREMTVTAVESHDAISAGDALRVMYGRGVIHGDFVLISGDTISNMNLKDALQEHKDRRKKDPLAVMTMVIKHSKPSILTNQTRLGNDEIVMAIDPETKELLYYEDRADVSNLYVTIDKDILASNPTLQLRNNMEITPNILHYFKSTYFSQIFFYLCSQDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIRSSYAARIDNFRSYDTVSKDIIQRWTYPMVPDVLSFGDRQEIKLHRQGIYKASDVTLSHSAQIGANSVVGNGTSVGENCKVSNSVIGQGCNIGKNVLIHGSYIWDNVTIEDGCKVSNSLVCDGVHLGAGAIVEPGCILSFKVEVGKNVVVPAYSKVALLPQPSNEDSDEELEYADTNSGVTDSPPFSSMRSADHPTVSDDDDLEASETGTCGVVGYVWENVDAGIQEEWRQSIAPIPKDKLEELQHAASFDDDDDGSEDDFKNRPTVLDQDDDSDVSAVEDDDYSKFEKEVEETFQRALDGIHQDNLILEINALRLSYSLQHADCAGALFHSVMRSALVAAQSTNGNLLKTTAEALGKWKDLLRNYTKSVDEEMEILLKFEEMCQENTKEFSPLFSKILPYLYDKEVVSEDAILRWAEEKENADESDKVFVKQSEAFIQYANFPPFYVFSGLRKLKRKMKKRSRAGAYACIPLSSWRNAAFALFEWSAGEFASGKF >ONIVA02G39690.1 pep chromosome:AWHD00000000:2:33993023:34010179:1 gene:ONIVA02G39690 transcript:ONIVA02G39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEANNNNNGEKQLVCVTGAGGFIGSWVVKELLIRGYHVRGTARDPADSKNAHLLELEGAEERLSLCRADVLDAASLRAAFSGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEMTATEEASKRGLELAVVVPSMTMGPMLQQTLNFSSNHKSYPNAVAAYVDVRDVARAHVLVYERPDARGRYLCIGTVLHRAELLRMLRDLFPQYPATAKCEDDGKPMAKPYKFSNQRLKDLGLEFTPLRKSLHEAVLCMQQKSHLPLIYPVPKLRSPSIYALSGEGEGEVDSRASEDDDRERGKRLESDTTIKLFNMSCNSAEVAANDGSSNGGEKQQQQEEEVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPSKNAHLLALDGAGERLTLCRADVLDCESLRAAFAGCHGVFHVASPVSNDPNLVPIAVDGTRNVMNAGADMGVRRVVFTSSYGAVHMNPNRSPDTVLDETCWSDPKFCRQTDVYCYAKTMAEKAAEEEAAKRGVQLAVVLPCVTVGPILHPAINTSINHVVRYLTGAAPTYPNAVAAYVDVRDVARAHALVYERPDASGRYLCIGTVLHRAHLLQMLKELFPQYPVTSKASTMSSNNSMEANNGNNNGDDEKKQEQVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPRKNAHLLALEGAEERLTLCRADVLDFASLRAAFAGCHGVFHIASPVSKDPNLVPVAIEGTRNVMKAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCQREDIYCYAKMMAEKTATEEASRRRLQLAVVVPCVTVGPILQPSVNFSCHHVVRYLTGAAATYPNAVAAYADVRDVARAHVLVYENHGARGRYLCIGTDEGNQMVKPYKFSNQRLRDLGLEFTPLRKSLHEAIECLQRKGHLPVVTVAQQRACFSASPSSSPNMSSISKANDNNGDQKRQQQQPQQLVCVTGAGGFIGSWVVRELLLRGYRVRATVRDPADRKNAHLLALEGADERLSLRRADVLDFDGLLAVFAGCHGVFHVACPLSNRDPELMAVAVEGTRNVMKAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDETCWSDPEFCRQKDMYCYAKTMAEMAATEEAAKRGLELAVVVPSMTMGPMLQRALNLSSTHVANYLTGAKKSYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAQLLQMLMDLFPQYTIAAKCDDKGKPMVKPYEFSNQRLKDLGLEFTPLRKSLYDAVMCMQRNGHLPVVLP >ONIVA02G39690.2 pep chromosome:AWHD00000000:2:33993023:34010179:1 gene:ONIVA02G39690 transcript:ONIVA02G39690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEANNNNNGEKQLVCVTGAGGFIGSWVVKELLIRGYHVRGTARDPADSKNAHLLELEGAEERLSLCRADVLDAASLRAAFSGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEMTATEEASKRGLELAVVVPSMTMGPMLQQTLNFSSNHVARYLMGTKKSYPNAVAAYVDVRDVARYLMGTKKSYPNAVAAYVDVRDVARAHVLVYERPDARGRYLCIGTVLHRAELLRMLRDLFPQYPATAKCEDDGKPMAKPYKFSNQRLKDLGLEFTPLRKSLHEAVLCMQQKSHLPLIYPVPKLRSPSIYALSGEGEGEVDSRASEDDDRERGKRLESDTTIKLFNMSCNSAEVAANDGSSNGGEKQQQQEEEVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPSKNAHLLALDGAGERLTLCRADVLDCESLRAAFAGCHGVFHVASPVSNDPNLVPIAVDGTRNVMNAGADMGVRRVVFTSSYGAVHMNPNRSPDTVLDETCWSDPKFCRQTDVYCYAKTMAEKAAEEEAAKRGVQLAVVLPCVTVGPILHPAINTSINHVVRYLTGAAPTYPNAVAAYVDVRDVARAHALVYERPDASGRYLCIGTVLHRAHLLQMLKELFPQYPVTSKASTMSSNNSMEANNGNNNGDDEKKQEQVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPRKNAHLLALEGAEERLTLCRADVLDFASLRAAFAGCHGVFHIASPVSKDPNLVPVAIEGTRNVMKAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCQREDIYCYAKMMAEKTATEEASRRRLQLAVVVPCVTVGPILQPSVNFSCHHVVRYLTGAAATYPNAVAAYADVRDVARAHVLVYENHGARGRYLCIGTDEGNQMVKPYKFSNQRLRDLGLEFTPLRKSLHEAIECLQRKGHLPVVTVAQQRACFSASPSSSPNMSSISKANDNNGDQKRQQQQPQQLVCVTGAGGFIGSWVVRELLLRGYRVRATVRDPADRKNAHLLALEGADERLSLRRADVLDFDGLLAVFAGCHGVFHVACPLSNRDPELMAVAVEGTRNVMKAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDETCWSDPEFCRQKDMYCYAKTMAEMAATEEAAKRGLELAVVVPSMTMGPMLQRALNLSSTHVANYLTGAKKSYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAQLLQMLMDLFPQYTIAAKCDDKGKPMVKPYEFSNQRLKDLGLEFTPLRKSLYDAVMCMQRNGHLPVVLP >ONIVA02G39690.3 pep chromosome:AWHD00000000:2:33993023:34010179:1 gene:ONIVA02G39690 transcript:ONIVA02G39690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEANNNNNGEKQLVCVTGAGGFIGSWVVKELLIRGYHVRGTARDPADSKNAHLLELEGAEERLSLCRADVLDAASLRAAFSGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEMTATEEASKRGLELAVVVPSMTMGPMLQQTLNFSSNHVARYLMGTKKSYPNAVAAYVDVRDVARYLMGTKKSYPNAVAAYVDVRDVARAHVLVYERPDARGRYLCIGTVLHRAELLRMLRDLFPQYPATAKCEDDGKPMAKPYKFSNQRLKDLGLEFTPLRKSLHEAVLCMQQKSHLPLIYPVPKLRSPSIYALSGEGEGEVDSRASEDDDRERGKRLERASTMSSNNSMEANNGNNNGDDEKKQEQVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPRKNAHLLALEGAEERLTLCRADVLDFASLRAAFAGCHGVFHIASPVSKDPNLVPVAIEGTRNVMKAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCQREDIYCYAKMMAEKTATEEASRRRLQLAVVVPCVTVGPILQPSVNFSCHHVVRYLTGAAATYPNAVAAYADVRDVARAHVLVYENHGARGRYLCIGTDEGNQMVKPYKFSNQRLRDLGLEFTPLRKSLHEAIECLQRKGHLPVVTVAQQRACFSASPSSSPNMSSISKANDNNGDQKRQQQQPQQLVCVTGAGGFIGSWVVRELLLRGYRVRATVRDPADRKNAHLLALEGADERLSLRRADVLDFDGLLAVFAGCHGVFHVACPLSNRDPELMAVAVEGTRNVMKAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDETCWSDPEFCRQKDMYCYAKTMAEMAATEEAAKRGLELAVVVPSMTMGPMLQRALNLSSTHVANYLTGAKKSYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAQLLQMLMDLFPQYTIAAKCDDKGKPMVKPYEFSNQRLKDLGLEFTPLRKSLYDAVMCMQRNGHLPVVLP >ONIVA02G39680.1 pep chromosome:AWHD00000000:2:33982320:33988768:1 gene:ONIVA02G39680 transcript:ONIVA02G39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRKSFLLICRLSPVTPAASAQQLPGCPDKCGNISVPYPLGIGARCARDFGFELFCNHSATPPHLLTLQFEQHPQLVSLSLADGEARVLLKPESKCYPPPEERGSSDVPTSSYTSINGSTTYRYSPKNRLVALGCPNLGYIVDGSGNYVSGCMSACRRPSPGNAVPSLPGRCTGERCCQSTIPHTLNLYVPRMFNFENRMTDQEDAELRGGTTPSRRVFLVEHTWIDTVYDDRKDFNRSDAEFEAAVPVVLDWAIRNVANCDIAKRNKTDYACRSVNSSCVDSTNGSGYLCNCSQGYEGNPYLDDGCTDINECLRPEKYGCYGDCTNMLGSHTCVCPPGTSGNPTDSNGCHPKDNFTLALKVVTGVCVGVFLLVFTCFWLYLGLQKRKLIRTKQKFFEHNGGVILQQQMHSGGGTHGFRIFSTEELKRATNNFASDRVLGRGGHGVVYKGVLEDKTVVAIKKSKMMEEAETKEFAREMFILSQINHRNVVKLLGCCLEPMSDMATGTLSLQGVALAVVLLCSLAPVTPAASAQQLPGCPDKCGNISIPYPFGIGAGCARDEGFRLNCSNSESPPRLLTLQFEQPQQLVSLSLADGEARVLLKPKSACYLPSPRRPSPVEGYGATYTSIDGSTTYRYSPEKNRLVALGCPNLGYIVDGSGNYVSGCMSACRRPSSSLSNAVPRLPGRCTGERCCQSIIPPTLNFYELRMFNFENGTAEEDAEFCGSTTPCRYVFLVEHTWIDTVYDDMKDFNRSDSEFEAVPVVLDWAIRNVYNCSAAKRNKTDYACRSAHSECFNTSDRQGYRCNCPKGYEGNPYLDDGSIDINECLRQKEYGCYGDCTNMLGAYTCVCPPGTSGNPTERNGCRPKDKFTLALKVVTGVSVGVFLSVFMCFWLYLGLQKRKLIRTKQKFFEQNGGVILQQQMHSGGGAHGFRIFSTEELKRATNNFASDRVLGRGGHGVVYKGVLEDKTVVAIKKSKMMEEAETKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPKADIPLDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKFNTKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVMLELLTRKKALYLDGPEENRSLVSCFTTAMKVGRHQELLDSQVRNEMSAEMLEEITYLLMRCISMNGEERPTMKEVAERLEMLRRYQQHPWVEAEDNAEEIESLLGREQQNANYLLEQQNVLYLEEGRNYTFSM >ONIVA02G39670.1 pep chromosome:AWHD00000000:2:33976727:33978919:-1 gene:ONIVA02G39670 transcript:ONIVA02G39670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARTRAIPAGPQMRDLGPTTRCSPKVVQPSLSSGASNSRLLATSTPGIRHNCERGQHPEHKRPEPTEDQWERGSVDPILNLLRKHSWNSKGRLTMGKN >ONIVA02G39660.1 pep chromosome:AWHD00000000:2:33973211:33976657:-1 gene:ONIVA02G39660 transcript:ONIVA02G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRKSERPTAYKANPKLQAKVSCSWSEVPACCYQWRYAVADAKSITDSMVDNDKSSDINKLFQGSGAKRTCEILRNQGLLKNEQIKVYLYSSVIDCGCSFAREAKNESGPSKSFACVLRPAANQSLVIHARGVSWPWPGPSPLDFWEPSDLSDWTEKKLEDGEGVYLGAEVAVKIPLSVDVEIHRTKA >ONIVA02G39660.2 pep chromosome:AWHD00000000:2:33972877:33976657:-1 gene:ONIVA02G39660 transcript:ONIVA02G39660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRKSERPTAYKANPKLQAKVSCSWSEVPACCYQWRYAVADAKSITDSMVDNDKSSDINKLFQGSGAKRTCEILRNQGLLKNEQIKVYLYSSVIDCGCSFAREAKNESGPSKSFACVLRPAANQSLVIHARGVSWPWPGPSPLDFWEPSDLSDWTEKKLEDGEGVYLGAEVAVKIPLSVDVEIHREQPVHVQGRSLVADRRPACRIPGRCLNPGPRRPAYRRWGTRPRARVPSPTYTDRPSPVCVHAWPRPRPRCTYVSIYSIL >ONIVA02G39660.3 pep chromosome:AWHD00000000:2:33972877:33976657:-1 gene:ONIVA02G39660 transcript:ONIVA02G39660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRKSERPTAYKANPKLQAKVSCSWSEVPACCYQWRYAVADAKSITDSMVDNDKQIKVYLYSSVIDCGCSFAREAKNESGPSKSFACVLRPAANQSLVIHARGVSWPWPGPSPLDFWEPSDLSDWTEKKLEDGEGVYLGAEVAVKIPLSVDVEIHREQPVHVQGRSLVADRRPACRIPGRCLNPGPRRPAYRRWGTRPRARVPSPTYTDRPSPVCVHAWPRPRPRCTYVSIYSIL >ONIVA02G39660.4 pep chromosome:AWHD00000000:2:33973211:33976657:-1 gene:ONIVA02G39660 transcript:ONIVA02G39660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRKSERPTAYKANPKLQAKVSCSWSEVPACCYQWRYAVADAKSITDSMVDNDKQIKVYLYSSVIDCGCSFAREAKNESGPSKSFACVLRPAANQSLVIHARGVSWPWPGPSPLDFWEPSDLSDWTEKKLEDGEGVYLGAEVAVKIPLSVDVEIHRTKA >ONIVA02G39650.1 pep chromosome:AWHD00000000:2:33957800:33958546:-1 gene:ONIVA02G39650 transcript:ONIVA02G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHHHHHHHHHHHMMSGGGQDPAAGDGGAGGATQDSFFLGPAAAAMFSGAGSSSSGAGTSAGGGGGGPSPSSSSPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLKYMDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREVRETQARARGISYEKKKRKKPSSAGAGAGPSSEGSPPPPGGSASGGGDTSASPQFIIP >ONIVA02G39640.1 pep chromosome:AWHD00000000:2:33942822:33945961:1 gene:ONIVA02G39640 transcript:ONIVA02G39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIELTLPPGFRFYPSDEELVCHYLHNKVVNQHRFAGVGGGAAAAAAGGGTMVEVDLHTHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIHNPKLHAAAHRRASIVGMRKTLVFYRGRAPNGVKTNWVMHEFRMENPHTPPKEDWVLCRVFYKKKAETETESSYSMENEQEAVIAMARSAAVIKAGGCYSNSSSSHDPAAAGHHSPPPFPASLAACSSSHHYSSHPPPPPDHHHHHHMPVTGGGGGSLNEFIPTTSMALYSSIFDFSQHLDGGAVAASASAAGSRVDGGEQCGLMELGLEEHYNYNGLMPM >ONIVA02G39630.1 pep chromosome:AWHD00000000:2:33923427:33930741:1 gene:ONIVA02G39630 transcript:ONIVA02G39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMMFMACCYNDPDMLIDPDTVYPIRPECREDAAKTRFKPRPGLTLSPRRWKLLHNEEGVLDIAGMIKRVQRGGTHPNIKGEVWEFLLGCYDPKSNTEQKSQLRQQRRLEYEKLKTKCREMDTAVGSGRVITMPVITEDGQPIQDPNSVDAEQQASDTPLPKEVIQWKLTLHQIGLDVNRTDRQLVYYESQENLARLWDILAVYSWVDKDIGYCQGMSDLCSPMSILLEHEADAFWCFERLMRRVRGNFVSSSTSIGVRSQLTILSSVMKAVDPKLHEHLENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWELMWSMEYNPGLFSMLESDNSTSQANTKDENALKQCGKFEQKNLQAAKKEEQIPLSVFIVASVIEARNKQILTDAKGLDDVVKILNDITGSLDAKKACRGALKIHERYLTTSTAGGQPPVEPVKMKGLLKGLRYISQIFDPSVKEPEMQIGNPTDVKHVAHIGWDNASVTAPSWMNEFKPTAGGTEGSEAEENQGGGGGRADQAERPRRAGRGKERRDGGSEGSRRPPKTEVGENNCEGEAAAGAAPKQRRRKARASGGTSSGRSKSSSVGGGGGPSAAARPAAAGDDDGDKCF >ONIVA02G39620.1 pep chromosome:AWHD00000000:2:33913812:33923318:1 gene:ONIVA02G39620 transcript:ONIVA02G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSKPLMRPSERTSGAAGPSMEKMEKAPGEASGRRNPTGSLNQSDNYAQRPSMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAVASSSRPGSSVEPMEQQYSRTSRLFSSSGSRPSSTQRVNPSVGETRATSLSRAAVARGSRDEPLHRSLELLSLGGVNEEIFLAWAPRTHLRGPIVALANLIPEDDAVDELDSYMYQTMKFDAISLIGLACREQGLKYNVTTGDEVEDMFALLSEVKRQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLDEMIRRGIVAIIVKVAAMGLKPSAHLGKELAELKCHLLQLNESYGINVCGEGGEYETLTLDCPLFRNARIVLDDFEVILHSPDSIAPVGILHPLKFHLEHKPNSFGTVGDSAIAQENSSYLYEVDGAIAHSDVEKKQETLSPVTTVDACTNIDLCISKTGKKLFSIGCWIQDPCGTSEGLKTDLVAVLSRIENQLKEEGLGWMNVLYVHLFISSMKEFGLANEVYVSFITEQKCPLGVPSRSTVELPLVQVGLGHAYVEVLVTKEQVKRVLHVQSISCWAPSCIGPYSQATLHGEILYMAGQLGLDPPTMKLCPGGPTAELEFALRNSEAVANAFGCSIFSSAIHFLVYCSAHLTSSEKEQVEHTLRSSYITSLDCSNTGSYPTILYVFASDLPKGAYVEIKPILYVPSPTNDDGVPTREQEAGRSLPASSEAFSAWSAQYSDLDDSCCQVHTIGGKICSAVVSVTNDIALKICSTTEQLYHSEEHLKALARFCAFQLAKILIDNGFSWDNLTMLRFYYSVEHPVTADVMSRVFSEAFAELEEGGVGSCTPDGVPIFNIVPVSASGCFTSLSDIISCELLASKV >ONIVA02G39620.2 pep chromosome:AWHD00000000:2:33914108:33923318:1 gene:ONIVA02G39620 transcript:ONIVA02G39620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSKPLMRPSERTSGAAGPSMEKMEKAPGEASGRRNPTGSLNQSDNYAQRPSMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAVASSSRPGSSVEPMEQQYSRTSRLFSSSGSRPSSTQRVNPSVGETRATSLSRAAVARGSRDEPLHRSLELLSLGGVNEEIFLAWAPRTHLRGPIVALANLIPEDDAVDELDSYMYQTMKFDAISLIGLACREQGLKYNVTTGDEVEDMFALLSEVKRQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLDEMIRRGIVAIIVKVAAMGLKPSAHLGKELAELKCHLLQLNESYGINVCGEGGEYETLTLDCPLFRNARIVLDDFEVILHSPDSIAPVGILHPLKFHLEHKPNSFGTVGDSAIAQENSSYLYEVDGAIAHSDVEKKQETLSPVTTVDACTNIDLCISKTGKKLFSIGCWIQDPCGTSEGLKTDLVAVLSRIENQLKEEGLGWMNVLYVHLFISSMKEFGLANEVYVSFITEQKCPLGVPSRSTVELPLVQVGLGHAYVEVLVTKEQVKRVLHVQSISCWAPSCIGPYSQATLHGEILYMAGQLGLDPPTMKLCPGGPTAELEFALRNSEAVANAFGCSIFSSAIHFLVYCSAHLTSSEKEQVEHTLRSSYITSLDCSNTGSYPTILYVFASDLPKGAYVEIKPILYVPSPTNDDGVPTREQEAGRSLPASSEAFSAWSAQYSDLDDSCCQVHTIGGKICSAVVSVTNDIALKICSTTEQLYHSEEHLKALARFCAFQLAKILIDNGFSWDNLTMLRFYYSVEHPVTADVMSRVFSEAFAELEEGGVGSCTPDGVPIFNIVPVSASGCFTSLSDIISCELLASKV >ONIVA02G39610.1 pep chromosome:AWHD00000000:2:33907139:33911446:1 gene:ONIVA02G39610 transcript:ONIVA02G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDDEGWRRSGIEVSALQFDYDGQPPLFARFNLRIAPGSRCLLIGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQLVCNGDLSYLGGSWSRAIGSAGDVPLQGDFSAEHMIFGVDGVDPVRREKLVDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLESWATDIAYIQEGELRKSAKYSDVEELKSAKNLLSVVESWLRSETKLPKKEHPRPETQPRHSSPFDASPFRSSRHMAYYR >ONIVA02G39600.1 pep chromosome:AWHD00000000:2:33901424:33905948:1 gene:ONIVA02G39600 transcript:ONIVA02G39600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14330) TAIR;Acc:AT4G14330] MAPPTPSPRPGPPPTPQAAMTTPLKTPASKHRLHFPAMTPRNGGGGGAAAGGTEHPVEVIGRIRNLAAGAGGASALEIAGGGTAVRVRGDAGGCRDFTLDGVSVSEEEDLEGFYRRFVRSRIEGVRVGAKCTVMVYGPTGSGKSHTMFGCAKQPGIVYRALRDILEGGGGGGVSGGGGEGDGRGEDDAGFGMGLFVQVAVLEIYNEEIYDLLVGSGANAKGNAPKARLEVMGKKAKNATYISGNEAGKISREVAKVEKRRIVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHAATPRDKMSSEESSTMLNSRIVAMNQFIYNLQKENKLREKERNEAQSVLRKKEEELAQLRAKLKLIEGQGAAAKEEEINSKVMEKTQSLRTELMKMEEKMLRQQQELLALQQRLKEVECEKPVQQDIIGGRLLARLSEMSARADQSMSMDMSIDFDMGDQPAAQDVKVIKEDTRQQGQIWSQANTAGSCTSAVEQEDAVRLSGYPEKVVLSTVFEEGDEEEDKDSGVEEEVCKEVVEESYVMQQPLAEPEDPATRNNRIQNIFRLCGNHRELAKKVQSPAKKAFGDENSEPAKQTFGDENKQQPAKLVFGDENMQPAKQVFGDENKNPSAWGAIELPMCDVRVTDSPASSQLSPIVCQVVDDAKLPASEQLKSCNALEANDENKENNASGQDGLLEIYIKWESGHLIKGLKLLSNSCLSDLRKLLEAHFEEAGSKQQQFTFLLLGDPSGAPVSREKEAGVPISKLPSCNNQPNSYLACLRAVKKQPATEQMPFSPLESKLNSALNDVHLAALSPKVNPMSPNYIRELRA >ONIVA02G39590.1 pep chromosome:AWHD00000000:2:33889469:33892920:-1 gene:ONIVA02G39590 transcript:ONIVA02G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G04470) TAIR;Acc:AT3G04470] MEDASKYAHSPAHLAVVRRDHASLRRLVAGLPRLPRAGEVATEEESIAGEAVADAVSAAIDRRDVPRRETPLHLAVRLRDPVAADILMSAGADWSLQNADGWSALQEAVCTREDAIATIIARHYQPLAWAKWCRRLPRVLASINRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGAALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELHPGSLIVLAHKDKEITDALEGAGVQPTEAEVAHEVALMSKTNMYRPGIDVTQAELVPHLNWRRQERTEAVGHWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMEGEEKNGRGTELDAELDEVLTAEERKQLDSALRMGNQEEEFEERCEEGDGGADHLDANGVAKDKKGWFGWGGKKGTKNDEKPSKANQGSKDESGDLGKGKEKNSSKKKKGASSGDSTKHESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPPGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPSSPTQFQDAKGKESEGSGSWYSWVRGGRGAQSSDSGDSRNWKDEVDPFQIPSDYTWVDANEKKRRMKAKKAKNRRGSTRKQSSKSTSSEGGHHPMMDGFEE >ONIVA02G39580.1 pep chromosome:AWHD00000000:2:33884530:33887977:-1 gene:ONIVA02G39580 transcript:ONIVA02G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14310) TAIR;Acc:AT4G14310] MASRIKDRGKAAPAAAGSRPLTPKAFSASSSARRTPAAAAAGKENSASKPSKPAAAVRWSTSSIPRASRIPSSVESSKLVSTLRASSVMPGRASVGKEMEAEAGLRRSVSGGIRAAAPERARRSVGGVGGRVPDARRASSVPRADEIGRRRDGFDARAKASDVTSGRREGFDGKAKQMHAVDRKRESFDAKAKQISGKRGSFDVNVTKQCDEIKGKREGFGTNAKKQCDGIDGDNEGFDVKARLGDEINKKKDGFDTKLVKEIHPKKVISSVTGSAEASSKPAPFPATEKDGDGGNNAVIPVFTVHVVDVDDVPCRAREEQKNTDEPKKQEEKSKLADKIRVFEKASASGGSVKSVSSLNKYPSKLHEKLAALEGRVQKIATDIKKTKEMLDENNPDEPKQILSNIQKEITAIEKAISHVKDDNKIQLGTADNSECEISYAKKAAECAVTKPSDPKHAAKGMNTDELEARFFPHHKLLRDRKSSSATQQESSMAVKKDCNTDMELSSLEPQEDENSIAMEFLASLDGEESGFFKNRRAKNLEKTIICEAADVSSKTSGQGSSNNPVGPNHDKEIELLATEKLEEFDEQENKSSLILQEETEEFSSDQLLGIGNKSSTGGWFVSEGEAVLLAHGDGTCSYYDIANREFKSEYKPPSVISNNMWGDCWLIRAPGVDGCSGRYVVAASAGNALDPGFCSWDYYSREAKAFHIEEISHASSVPSSRTVLGPLPNVGSSRSSSAISTVERQQWWYRPCGPLLLSAASKQKMVTAYDIRDGDVVMKWEVSNPVQGMEYSSPLQWRSRGKVVIAGTESIGLWDVNSLNPQPLLSVASAGKKLYCLHVNNTDAELGGGVRQRVSSCEVEGNDGVFSTQESVNVFDFRVPAGIGLKMARHGGTASSIFSRGDSVFIGSTEGRLQIKGGLKSRVQQYSLRKGKLVATYELPDFNAHSHHSSITQVWGNSNLVLAACGMGLFAFDAFKEDGQQTYSFDRGATLGVREAIGSDDLYCPTFDYSSSRVLLVSRDRPAQWRYLS >ONIVA02G39570.1 pep chromosome:AWHD00000000:2:33878041:33885895:1 gene:ONIVA02G39570 transcript:ONIVA02G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate 1 [Source:Projected from Arabidopsis thaliana (AT3G23430) TAIR;Acc:AT3G23430] MVKFSREYEASIIPEWKAAFVDYKRLKKLIKRIKVTRRDDSFAAANAAAAADHLLPPPPAEKEAGGYGFSILDPVRAIAARFSAGQQPSASEDEECPDRGELVRSTDKHEREFMERADEELEKVNAFYTGQEAELLARGDALLEQLRILADVKRILADHAAARRARGLARSRSMPPPPPPPSSSPPSSVHGSSGRYLLSGLSSPQSMSDGSLELQQAQVSEGAAVADEVMAALERNGVSFVGLAGKKDGKAKDGSGKGRGGGGGGGGGVLQLPAMVRIDIPATSPGRAALKVWEELVNVLRKDGADPAAASLNVKAFTKILKKFVKVSEQQRATDLFSEKVKRSPFSSSDKVLQLADEVECIFMKHFTGNDRKVAMKYLKPQQPRNTHMITFLVCSRALLFHSHVSGIFTSTGNSAYMEIVYHVFSMFALISLHIFLYGCNLFMWKNTRINHNFIFDFSSNTALTHRDAFLMSASIMCTVVAALVINLFLKNAGVAYANALPGALLLLSTGVLFCPFDIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFTACYFMAGSFRTHPYETCTSGQQYKHLAYVISFLPYFWRTLQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPFWVWMVIISSSGATIYQLYWDFVKDWGFLNPKSKNRWLRNELILKNKSIYYVSMMLNLALRLAWTESVMKIHIGKVESRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >ONIVA02G39570.2 pep chromosome:AWHD00000000:2:33881131:33885895:1 gene:ONIVA02G39570 transcript:ONIVA02G39570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate 1 [Source:Projected from Arabidopsis thaliana (AT3G23430) TAIR;Acc:AT3G23430] MDGSSSLNVKAFTKILKKFVKVSEQQRATDLFSEKVKRSPFSSSDKVLQLADEVECIFMKHFTGNDRKVAMKYLKPQQPRNTHMITFLVCSRALLFHSHVSGIFTSTGNSAYMEIVYHVFSMFALISLHIFLYGCNLFMWKNTRINHNFIFDFSSNTALTHRDAFLMSASIMCTVVAALVINLFLKNAGVAYANALPGALLLLSTGVLFCPFDIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFTACYFMAGSFRTHPYETCTSGQQYKHLAYVISFLPYFWRTLQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPFWVWMVIISSSGATIYQLYWDFVKDWGFLNPKSKNRWLRNELILKNKSIYYVSMMLNLALRLAWTESVMKIHIGKVESRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >ONIVA02G39570.3 pep chromosome:AWHD00000000:2:33878041:33880737:1 gene:ONIVA02G39570 transcript:ONIVA02G39570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate 1 [Source:Projected from Arabidopsis thaliana (AT3G23430) TAIR;Acc:AT3G23430] MVKFSREYEASIIPEWKAAFVDYKRLKKLIKRIKVTRRDDSFAAANAAAAADHLLPPPPAEKEAGGYGFSILDPVRAIAARFSAGQQPSASEDEECPDRGELVRSTDKHEREFMERADEELEKVNAFYTGQEAELLARGDALLEQLRILADVKRILADHAAARRARGLARSRSMPPPPPPPSSSPPSSVHGSSGRYLLSGLSSPQSMSDGSLELQQAQVSEGAAVADEVMAALERNGVSFVGLAGKKDGKAKDGSGKGRGGGGGGGGGVLQLPAMVRIDIPATSPGRAALKVWEELVNVLRKDGADPAAAFVHRKKIQHAEKNIRDAFMALYRGLELLKKFRHIFPLHLSFLPYLTS >ONIVA02G39550.1 pep chromosome:AWHD00000000:2:33869954:33870194:1 gene:ONIVA02G39550 transcript:ONIVA02G39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPKDGLLRHGCNNLRLGPGMVVEHMSHNRVEPTTMLPRNFEPTGAVPLKEGISVRGKALREAGA >ONIVA02G39540.1 pep chromosome:AWHD00000000:2:33865332:33869086:1 gene:ONIVA02G39540 transcript:ONIVA02G39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNGHSNSSKSLERFISRRALQMGSSAPCKTWALGFFCGVCIVYLFGVALPPIQILMIRSVHPPVRRAILLNSTSTEPGGATETDDLSVLQEKIEIATNSKDINEADKMHLYNAWSTLLDTTSDEVMKSSDVPRPPHLENCRLKWERNKKFDSYSDNGVFPPWTLWKGSLGLELFNQNYSDSEEWRQMFFSDPSLRFLYADWERLPGFGIGAQLAGMSGLLAIAIKERRILVAGYYNRADHNGCQGLARSSWSCYFLPETSADCQKRALDLMQSKDSRENGIIKVKENYTSKQIWAGHIPRYHFDALALRYLMRFQSEYMCRLLNVARHSAFGMQAAKMVLENVPDPPKPSISRTESDIERLVWSEHKPYIPGPLISMHEVIDETKLYPKWNFYFTNVTRQDVNVSMAMYEASLGRETSTNYPLVNFIMATEADFFIGALGSTWCYLIDGMRNTAGKVMSGYLSVNKDRFCSSE >ONIVA02G39540.2 pep chromosome:AWHD00000000:2:33865857:33869086:1 gene:ONIVA02G39540 transcript:ONIVA02G39540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNGHSNSSKSLERFISRRALQMGSSAPCKTWALGFFCGVCIVYLFGVALPPIQILMIRSVHPPVRRAILLNSTSTEPGGATETDDLSVLQEKIEIATNSKDINEADKMHLYNAWSTLLDTTSDEVMKSSDVPRPPHLENCRLKWERNKKFDSYSDNGVFPPWTLWKGSLGLELFNQNYSDSEEWRQMFFSDPSLRFLYADWERLPGFGIGAQLAGMSGLLAIAIKERRILVAGYYNRADHNGCQGLARSSWSCYFLPETSADCQKRALDLMQSKDSRENGIIKVKENYTSKQIWAGHIPRYHFDALALRYLMRFQSEYMCRLLNVARHSAFGMQAAKMVLENVPDPPKPSISRTESDIERLVWSEHKPYIPGPLISMHEVIDETKLYPKWNFYFTNVTRQDVNVSMAMYEASLGRETSTNYPLVNFIMATEADFFIGALGSTWCYLIDGMRNTAGKVMSGYLSVNKDRFCSSE >ONIVA02G39530.1 pep chromosome:AWHD00000000:2:33857451:33857699:1 gene:ONIVA02G39530 transcript:ONIVA02G39530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLGFSGVWTKSEMGDDHVYAKARSRGGCFLSTAREEKQLRELCVIHRVSDSIITIHRWLCRRLSKTCP >ONIVA02G39520.1 pep chromosome:AWHD00000000:2:33856572:33857217:-1 gene:ONIVA02G39520 transcript:ONIVA02G39520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARWIGDGRRPAAALPLLGLCAFLCAVMLVVSLAPPLGEEEEEAKVRSSSLPAAATSVPAGGRRLLLPAARTRRFRPRRWNSAGIDDSKHEMVNVATPVLFPEQMITE >ONIVA02G39510.1 pep chromosome:AWHD00000000:2:33846834:33848357:-1 gene:ONIVA02G39510 transcript:ONIVA02G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDSSPHSAAATDDAHHEGSEAAAAQAAPPPPAPPAKVRLMVSYGGRIQPRPHDHQLSYVNGETKILSLERPLRFADFAARLAGLAGSPGDFCVKYQLPGEDLDALVSVTNDEDLEHLVLEYDRLHLLRPAPGSGGGSSRGGSTPRLRVFLFPVQSPTPPPPPQPSGLLEPKQEQRQWFVDALNSVHQPPPPSPPQPKQESVSVQSPPPAVVPMPQPPPVLPAPTGPDYLFGLDNGFVPPPAVKVKDPAGDPPTVKDNVPVEIPAKNDDRHTTNPVSDHVVVSPVVSPGEFQRQIQGLEKLQFADTAAQQPPPPPAPATAAPPPAALPRNGSDDSLTRAYPPATVTPTPPTATPEYYLPKYQEKPAAPPPSSAPPPTAFLPVPGRYTSVAPGSGADHAPVFFIPAPPHGYFTTAAGPGATSFPAVYAVAQHNGNANASGNGPSPAAASNAQAYAPQVAYDSNGRAIYYTSVLPQYASAVSGVPAAATVLGTDPAKPVAVKPTVS >ONIVA02G39500.1 pep chromosome:AWHD00000000:2:33844502:33845160:1 gene:ONIVA02G39500 transcript:ONIVA02G39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEDFRIIHSRRDMLAVSDIQAETPYAQLNAASGKLADRADGEVSQPRELYVAHACWQLISLMSLLSHPSISCAVSHHAHEPPDTSKDHGK >ONIVA02G39490.1 pep chromosome:AWHD00000000:2:33838210:33839615:-1 gene:ONIVA02G39490 transcript:ONIVA02G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEANNNNNGEKQLVCVTGAGGFIGSWVVKELLIRGYHVRGTARDPADSKNAHLLELEGAEERLSLCRADVLDAASLRAAFSGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADMGVRRVVFTSSYGAVHMNPSRSPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEMTATEEAARRGLELAVVVPSMTMGPMLQQTLNFSSNHVARYLMGTKKSYPNAVAAYVDVRDVARAHVLVYERPDARGRYLCIGTVLHRAELLRMLRDLFPQYPATAKCEDDGKPMAKPYKFSNQRLKDLGLEFTPLRKSLHEAVLCMQQKGHLPLIYPVPKRAYL >ONIVA02G39480.1 pep chromosome:AWHD00000000:2:33820368:33823031:-1 gene:ONIVA02G39480 transcript:ONIVA02G39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAYTCVCPPGTSGNPTERNGCRPKDKFTLALKVVTGVSVGVFLSVFMCFWLYLGLQKRKLIRTKQKFFEQNGGVILQQQMHSGGGAHGFRIFSTEELKRATNNFAADRVLGRGGHGVVYKGLLEDKTVVAIKKSKMMEKAETKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLIYEFVSNGTLYHYIHAKEPKADIPLNIRLQIAAESAEALSYMHSSASPPILHGDVKTANILLDDKFNAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVMLELLTRKKALYLDGPEEDRSLVSCFTTAMKVGRHQELLDNQVRNEMSDEMLQEIAHLLMRCISMNGEERPTMKEVAERLEMLRRYQ >ONIVA02G39470.1 pep chromosome:AWHD00000000:2:33818091:33818467:-1 gene:ONIVA02G39470 transcript:ONIVA02G39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKKSYPNAVAAYVDVRDVARAHVLVYERPDARGRYLCIGTVLHRAELLRMLRDLFPQYPATAKCEDDGKPMAKPYKFSNQRLKDLG >ONIVA02G39460.1 pep chromosome:AWHD00000000:2:33816818:33842546:1 gene:ONIVA02G39460 transcript:ONIVA02G39460.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEDVRTFWNRINQRQSTSLCVVGKAGSRPGMGMAQATGTLTRRPGVALLPGPGAPQPKQHNRVWTRPLFIPAETARRHATEEEDDKRKIASYFSCALARNTGHHIARPNSPMGWGVRVGAVEAQSRIRCAQVSKLEYLVD >ONIVA02G39450.1 pep chromosome:AWHD00000000:2:33804684:33810586:1 gene:ONIVA02G39450 transcript:ONIVA02G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFVDTVGYYVSGCTSICRPSQGSGASTGGCTGEGCCQSRIPTNTDYSEPYIKSFKPEEEDPILRGGTTSCRYVFLAEDKWIDTTYRGSPDFNRTDDFAVPVVLDWAIRDVYNCSAAMRNMTNYACRSAHSGCFNTSDGQGYQCKCSQGYEGNPYLNDGCQGIMGTLIMLQIYTELFFRSTRLFLYSLQIIVILTKKMMNLFSTPNSKDIDECKHLDKYPCHGDCTNVPGNYTCECPQGTSGDATMKNGCRPKDKFTLALKMHSSGGVGGFKVFSTEELEKATNNFTADRVLGRGGHGVVYKGVLEDDMVVAIKKSKMMEEAQTMEFAREMFILSQINHKNIVKLLGCCLEVKVPMLVYEFVSNGTLYHYIHGKEPIDQISLDTCLRIAAESAEALSYMHSSSSPPILHGDVKTANILLDDKLNTKVSDIGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGPEEDRSLVSCFTTAMKAGRHQELLDNQVRNEMNEEMLTEIAHLLMRCLSMNGEERPTMKEVAERLEMLRRYQQHPWVEAKGNAEKNQSLLGMEQQNSNYQLRQHDVLDLEEGSTYTFSL >ONIVA02G39440.1 pep chromosome:AWHD00000000:2:33796974:33800265:-1 gene:ONIVA02G39440 transcript:ONIVA02G39440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATTTLCLQGAALVVLIVCLAPVAPAWAQQPPGCPDKCGNTSIPYPFGIGAGCARDFNFRLVCNRAYSPPRLYVSEVELVSLSLDGEARALINARKHCNDGYISYYDLRKDNQGQLPLSDVSFGRSTAYRFSEARNRFVVLGCPVLGYLVDAEEYYVSGCISMCRKSQAGDDHLPSRCTGERGCCQNTIPRPLNFYKPYLLSLNNSENEPLYHRLSSTFCNYMFLVEDKWIDTTYSYRAYFNRTDKFTVPVVLDWAIRNVRNCRVAKRNATKYACRSEWSECFDASDGVGYRCRCSQGYQGNPYLDGGCTDIDECQDKEKYGCYGDCTNTIGGYTCICPRGTIGNVHEKNGCRPKDKFTFALKARGGFNVGAGGTQVPPTPTGPMEPPLSPPFKNFAMNHRLGGVGLGVFMSMSMAFWLHLGLQKRKLIRMRQKFFEQNGGILLQQQMRSYGGAGGGVGGFKIFSTEELKNATNNFAVDRILGRGGHGIVYKGVLEDNTVVAIKKSKMMEEAQTKEFAREMFILS >ONIVA02G39430.1 pep chromosome:AWHD00000000:2:33782818:33796916:-1 gene:ONIVA02G39430 transcript:ONIVA02G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEFVSNGTLYHYIHGKDPEVDIALDTRFRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKFDAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGPEEDRSLVSCFTTAVKVGRHQELLDSQVRNELSDEMLQEITHLLMRCLSMIGEERPAMKEVAERLESLRRYQQHPWAEAEGNEEEIQSLLGMEQNNANDQLKQQDVLVVALAPVRPASGQPLPGCPDRCGNISVPYPFGIGARCARDFGYELFCNHSYSPPRLTFFPPLPTPTSILAGRRLNLVSLSIADGEAVALVNVFRQCYSSNESYVSDNFRNYTVCLSLLGSNTYRVSAARNRFVALGLGYLSDDAGYYFTGCTSVCRPSQWNSVSPAACTGVGCCQSRIPPNVTYYEASVQGFQEAQGRIFRENTTSCRYAFVVEDRWVDTTYRDTADFNRTDDFAVPVVLDWAIRNVANCEIAKRNRTDYACRSTNSDCIDSTNGIGYRCKCSNGYDGNPYLDGGCTDIDECQHLDKYPCHGVCTNLLGGYKCDCPHGFCGDAKRNDCRPNDKFTLALKIVTGVSVGVFLSVFMCFWLYLGLQKRKLIRTKQRFFEQNGGVILQQQMHSGGGVGGLKIFSTEELEKATNNFAADRVLGRGGHGVVYKGVLEDNMVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPTTNIALDTRLRIAAESVEALAYMHSSASPPILHGDVKTSNILLDDKLNAKVSDFGASKLAPTDEAAIATLVQGTCGYPDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLEGPEEDRSLASRFTTAVKAGHHQELMDNQVRKEMNDEMATEIADLLMRCLSMNGEERPTMKEVAERLEMLRRYQQHPWAEANGNAEENQSLLSIEHQNPNYQFRQHDVLDLEEGSTYTFSLARRQRVQKMGHLQLQTIAAVVLLLALAPVWAQQPADCPDKCGNISVPYPFGIGARCARDFGYELFCNHSYSPPRLIYFPPLPPPDSSGFQPVYAGRRLYLASLSLADGEAIAKLNAVRECYNNTEGFLGNNYDNYTVYMSLLGSTTYRLSTERNRFVALGCPNLGYLTDEDGSYITGCSSMCRPSRQRNFVSPAACTGVGCCQSRIPPNVTYYEAFVKGFKEDDGRIFRENTTSCRYAFVVEDKWVHTTYRDSADFNRTDDFAVPVVLNWAIRNVANCIQAKRNMSDYACRSANSHCVDSTNGIGYRCNCFQGYDGNPYLDGGCKDIDECQHLDKYPCHGVCTNLLGDYKCDCHHGFSGDAKKNDCRPNDKFTLALKIVTGVSVGVFLSMFMCFWLYLGLQKRKLIRTKQRFFEQNGGVILQQQMHSGGGVGGFKIFSTEELEKATNNFAADRVLGRGGHGVVYKGVLEDNMVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPTTDIALDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDDKLNAKVSDFGASKLAPTDEAAIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGLEEDRSLVSRFTTAMKAGYHQELMDSQVRKEMNDEMATEIADLLMRCLSMNGEERPTMKEVAERLEMLRRYQQHPWAKAKGTAEENQSLLGIEHQNPNYQFRQHDVLDLEEGSTYTFSL >ONIVA02G39420.1 pep chromosome:AWHD00000000:2:33780063:33780715:-1 gene:ONIVA02G39420 transcript:ONIVA02G39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRVSGAARPAGYSVLSACRRHRQPEIASLPLVGDAGHRWHSYLLPRRPCLAGRNLYPTTSLPGDTLDRTSSPCRSRPFLRNIVDFRSPSSKLLSSTHIVLLLEENAGRSATTRCLQGIISSSCVPNHRAGVAVDTAEPPKSIDGEALLANASPHHHPLPAGEGHCQVAKVLVADIRKSLIYHPQVAMGIVGPLLPAPVMMRPCWARMSH >ONIVA02G39410.1 pep chromosome:AWHD00000000:2:33765097:33772416:-1 gene:ONIVA02G39410 transcript:ONIVA02G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G52050) TAIR;Acc:AT3G52050] MACCCLRASTAPRFLLFRAAARRAPLPVAVSRKGFSEQSVLPITDMIENFQGPSMENIPRIPLYDDSLPSSLLTTSPNPSDSVAHADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLVLDMLEFIPSHAAVVFDHDGVPYGHYTAMPSKECHMAKGMTFRHMLYPSYKSNRIPTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVSSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGSLENLLKSVDEVEEERIKQALISQSEQAMLCKSLDDGAKFIKLLRALEAYAEGSSADLIIRRAAYLWNKLNS >ONIVA02G39410.2 pep chromosome:AWHD00000000:2:33765097:33772416:-1 gene:ONIVA02G39410 transcript:ONIVA02G39410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G52050) TAIR;Acc:AT3G52050] MACCCLRASTAPRFLLFRAAARRAPLPVAVSRKGFSEQSVLPITDMIENFQGPSMENIPRIPLYDDSLPSSLLTTSPNPSDSVAHADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLVLDMLEFIPSHAAVVFDHDGMTFRHMLYPSYKSNRIPTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVSSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGSLENLLKSVDEVEEERIKQALISQSEQAMLCKSLDDGAKFIKLLRALEAYAEGSSADLIIRRAAYLWNKLNS >ONIVA02G39400.1 pep chromosome:AWHD00000000:2:33760719:33765994:1 gene:ONIVA02G39400 transcript:ONIVA02G39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRWLDAAVISGLLQALLFHLATSQSFIGVNYGTIADNLPPPASTANLLKSTSIGKVRLYEPQPDLVAALAGSNISILLGVPNGDVPNLASSPAAASAWAAANIPTTVPVSAISVGNELLNSGDPTLAPQLLPAMQNLLAALPAGSTTKARISSQHLYVRIAVISTVHSMAVLSSSDPPSSGAFHADLAGSLDPVLDFLKQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNPGRVDAGSGLTYTNMFDAQLDAIRAALDAKGYSGVDIVIAETGWPYKGDADEGGATVDNARAYNGNLVAHLKSQVGTPRTPGKSVDTYLFALYDEDLKGGPESERSFGLYRTDLTANYDIGLAAAPGTAAPATVTPVTVQNTPQPSRGMTPTGYCVTAAGVPGTTQGQQVPQTSSCYIPAGAVSRRADAAVRRLDYQDS >ONIVA02G39390.1 pep chromosome:AWHD00000000:2:33751218:33754678:-1 gene:ONIVA02G39390 transcript:ONIVA02G39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAKLVEQLREHQIRSAQSYSAALAVFSPSPHIASRRDLKVALFYAILFCFVMVSCYVALYLRWFRLSAIFVVFGILLPVGLKISRHRRLKRKRERRLLLPLSM >ONIVA02G39380.1 pep chromosome:AWHD00000000:2:33750100:33750448:1 gene:ONIVA02G39380 transcript:ONIVA02G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPAADKRIRQPASNVPIMAQSFAPTRAKLGASNTVSVALCRTMDNDDGLIPSGNQTWCLCLFYKALKLSRNDASNQYCRSSASGKYIKDLRT >ONIVA02G39370.1 pep chromosome:AWHD00000000:2:33729877:33730844:1 gene:ONIVA02G39370 transcript:ONIVA02G39370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIQIPSWGQAVLLEAYNNSSWTALGQPSRKKMARASAMDDVSCPAKSKLSKRSRICSFERLFQSPSEKIVLLYACILLAQLLNPLFHFPLYYSENGSTRLQGNSKNTQ >ONIVA02G39360.1 pep chromosome:AWHD00000000:2:33728121:33734393:-1 gene:ONIVA02G39360 transcript:ONIVA02G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSELLFFLPFILLALLTFYTTTVAKCHGGHWWRGGTTPAKRKRMNLPPGAAGWPLVGETFGYLRAHPATSVGRFMEQHIARYGKIYRSSLFGERTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDPHREMRAISLNFLSSVRLRAVLLPEVERHTLLVLRAWLPSSTFSAQHQAKKFTFNLMAKNIMSMDPGEEETERLRREYITFMKGVVSAPLNLPGTPYWKALKSRAAILGVIERKMEERVEKLSKEDASVEQDDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELREEHLGIARRQRLRGECKLSWEDYKEMVFTQCVINETLRLGNVVRFLHRKVIKDVHYKGYDIPSGWKILPVLAAVHLDSSLYEDPQRFNPWRWKSSGSSGGLAQSSSFMPYGGGTRLCAGSELAKLEMAVFLHHLVLNFRWELAEPDQAFVFPFVDFPKGLPIRVHRIAQDDEQE >ONIVA02G39350.1 pep chromosome:AWHD00000000:2:33724548:33727043:-1 gene:ONIVA02G39350 transcript:ONIVA02G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELEEALAIPKPALSHLYPSTHRRRCPLHEKHPLVQVGRGGNGGFRSWMDLLILRRPWIYRRKLLLLLVLAFGGSDMEPIPLRLHRSTIARESKRGATAQQPSIRLENSLSNLSEISSCSCPEFTALVRLNIN >ONIVA02G39340.1 pep chromosome:AWHD00000000:2:33712134:33717048:1 gene:ONIVA02G39340 transcript:ONIVA02G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34500) TAIR;Acc:AT4G34500] MMDAAGPPPPPTAVGSDVDGGTGSGGARTTTFFGLSTSALVAAGAAALVLVVVLVAAGTARLCARRRRGAKHLSMSRVEHAPSSGSLRQASSSSAPKEKDHAEAGAGTGTGTSSSDVASSSAAASYLESPVRRKPERISCAAAMDMGWGRWYDLEELEAATGGFSEENVVGEGGYGTVYRGVLAGGEVVAVKNLLDHKGQAEKEFKVEVEAIGKVRHKHLVGLVGYCAEGPKRMLVYEFVENGNLEQWLHGDVGPVSPLTWDIRMKIAVGTAKGIAYLHEGLEPKVVHRDIKSSNILLDKKWNPKVSDFGMAKVLGSGSSYVTTRVMGTFGYVAPEYASTGMLNESSDIYSFGVLLMELISGKRPVDYSKSVGEVNLVEWFKGMVGSRRVEQLVDPRIEDPPGARALNRVLLVCLRCIDSDAHKRPKMGQIVHMLEGDEFPFRTEHRSPRATHRTSPNNTRPLLMSEKVGADDLDRSMWR >ONIVA02G39330.1 pep chromosome:AWHD00000000:2:33704511:33704972:1 gene:ONIVA02G39330 transcript:ONIVA02G39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVEDEEEVAAEERAPAAALLRRIMAPIAWRAAPTAASASSSSYLVAAEAGGVEKISMLSGYERLPSVYGTAFHDDDGGGAWSSSSSPPACPPRAARLLWGALTRAVQRPGRCRCPGDEEAGVTTTKERRRSSSSSWRPDPDRRWPVQGWS >ONIVA02G39320.1 pep chromosome:AWHD00000000:2:33701034:33703456:1 gene:ONIVA02G39320 transcript:ONIVA02G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPGSAVAMATPPEPSSWLSLKVFFLRVSRCEVNESMLDSVTVTHAPLTPDTVLEVSGGSVASNGHVSLRLDRAGGVGAAATEGGDSCTFVSTADVRVSGSARFDVQCGGERLVVGILDTRDAGAGGGGWVMKCQVAAQRGSGLVRRGSKEAKPPVVEVYVAGLARGAPVVFTRAMQLRFRRRRHVKAFMEPIPEHGEPAEDSKETLPPKHETEVSEYRCYKPEQDAGDADYDGFYVKPAGEEDDDGDFSWFTAGVRVGVGISVGICLGIGIGAGLLARSYHSTSRSLRSRLISSLF >ONIVA02G39320.2 pep chromosome:AWHD00000000:2:33701267:33703456:1 gene:ONIVA02G39320 transcript:ONIVA02G39320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPGSAVAMATPPEPSSWLSLKVFFLRVSRCEVNESMLDSVTVTHAPLTPDTVLEVSGGSVASNGHVSLRLDRAGGVGAAATEGGDSCTFVSTADVRVSGSARFDVQCGGERLVVGILDTRDAGAGGGGWVMKCQVAAQRGSGLVRRGSKEAKPPVVEVYVAGLARGAPVVFTRAMQLRFRRRRHVKAFMEPIPEHGEPAEDSKETLPPKHETEVSEYRCYKPEQDAGDADYDGFYVKPAGEEDDDGDFSWFTAGVRVGVGISVGICLGIGIGAGLLARSYHSTSRSLRSRLISSLF >ONIVA02G39310.1 pep chromosome:AWHD00000000:2:33694091:33696231:-1 gene:ONIVA02G39310 transcript:ONIVA02G39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complex small chain family protein [Source:Projected from Arabidopsis thaliana (AT3G50860) TAIR;Acc:AT3G50860] MIQAVMVMSTQGKPRLLKFYSYQPPEKHQDLVRGVFQLLSARPDSVSNFVKVDAIFGPGAKLVYKHLATLYFVFVFDSSENELAVLDLVQVFVETLDRCFKNVCELDIVFNFNKLHTILDEMILGGQVIETSSEQIMRSVEEIARLEKQSNTTSLIPKSISERFSR >ONIVA02G39300.1 pep chromosome:AWHD00000000:2:33687586:33692820:-1 gene:ONIVA02G39300 transcript:ONIVA02G39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRELGMRREPSRAEVVGRAKDDGDFDALRRAIIRKVKDNEVLRSNIIAEVKQSVVINEDGSEKLKLKDLSDAIYQDIGSKIMGQISDEIWGVILSNEIDIRGTSETVYNRMMNPEQQQDPPSKKLKRNAKEEQVSPAKASTSVAVQLEDDDPEEPPGFGFSDHQRSNIMATQQQQSSNTENHNQVKPNEGEPNAVSCPGDDDEEDPDVPPGFGKWS >ONIVA02G39300.2 pep chromosome:AWHD00000000:2:33689766:33692820:-1 gene:ONIVA02G39300 transcript:ONIVA02G39300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRELGMRREPSRAEVVGRAKDDGDFDALRRAIIRKVKDNEVLRSNIIAEVKQSVVINEDGSEKLKLKDLSDAIYQDIGSKIMGQISDEIWGVILSNEIDIRGTSETVYNRMMNPEQQQDPPSKKLKRNAKEEQVSPAKASTSVAVQLEDDDPEEPPGFGFSDHQRSNIMATQQQQSSNTENHNQVKPNEGEPNAVSCPGDDDEEDPDVPPGFG >ONIVA02G39290.1 pep chromosome:AWHD00000000:2:33671565:33675411:1 gene:ONIVA02G39290 transcript:ONIVA02G39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSMAAAATSTLLLLLLLLLATATHGAAADTVSSPASPEAAALLNLSAALGDPSGYLSTHWTHDTAFCSWPRLSCDADGSRVLSLDLSGLNLSGPIPAAALSSLSHLQSLNLSNNILNSTFPEGLIASLKNLRVLDFYNNNLTGALPAALPNLTNLVHLHLGGNFFFGSIPRSYGQWSRIKYLALSGNELTGEIPPELGNLTTLRELYLGYFNSFTGGIPPELGRLKELVRLDMANCGISGVVPPEVANLTSLDTLFLQINALSGRLPPEIGAMGALKSLDLSNNLFVGEIPASFASLKNLTLLNLFRNRLAGEIPEFVGDLPNLEVLQLWENNFTGGVPAQLGVAATRLRIVDVSTNRLTGVLPTELCAGKRLETFIALGNSLFGSIPDGLAGCPSLTRLRLGENYLNGTIPAKMFTLQNLTQIELHDNLLSGELRLDAGVVSPSIGELSLYNNRLSGPVPVGIGGLVGLQKLLVAGNRLSGELPREIGKLQQLSKADLSGNLISEEIPPAIAGCRLLTFLDLSGNRLSGRIPPALAGLRILNYLNLSHNALDGEIPPAIAGMQSLTAVDFSDNNLSGEVPATGQFAYFNATSFAGNPGLCGAFLSPCRSHGVATTSTFGSLSSASKLLLVLGLLALSIVFAGAAVLKARSLKRSAEARAWRLTAFQRLDFAVDDVLDCLKEENVIGKGGSGIVYKGAMPGGAVVAVKRLPAMGRSGAAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRYKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDAEFEAHVADFGLAKFLRGNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVHWVRMVTGSSKEGVTKIADPRLSTVPLHELTHVFYVAMLCVAEQSVERPTMREVVQILTDLPGTAAAAAAAATAMDAPSHGSGKEQDRSAEMQQQDGSRESPPQQDLLSI >ONIVA02G39280.1 pep chromosome:AWHD00000000:2:33659739:33664076:-1 gene:ONIVA02G39280 transcript:ONIVA02G39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPHPRNGTARTHHCTAGLAPRSPHAVAISAPAAEGSVGVAASGAAPSSPPQSGPLTVMHQAVAGRTGARQEPMAFGFKMLYEEGQGDFVILFFIDWPLVDIYELIYSIGTTKSSSTGSLEIHQSISDGSKSKEDQKLKRHENKSFPLFECLDQLIGFTSSTSNHVNLKGKDMATTNNIAEERLVDGP >ONIVA02G39280.2 pep chromosome:AWHD00000000:2:33659739:33664076:-1 gene:ONIVA02G39280 transcript:ONIVA02G39280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPHPRNGTARTHHCTAGLAPRSPHAVAISAPAAEGSVGVAASGAAPSSPPQSGPLTVMHQAVAGRTGARQEPMAFGFKMLYEEGQGDFVILFFIDWPLVDIYELIYSIGTTKRSDGSKSKEDQKLKRHENKSFPLFECLDQLIGFTSSTSNHVNLKGKDMATTNNIAEERLVDGP >ONIVA02G39280.3 pep chromosome:AWHD00000000:2:33659739:33663168:-1 gene:ONIVA02G39280 transcript:ONIVA02G39280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHQAVAGRTGARQEPMAFGFKMLYEEGQGDFVILFFIDWPLVDIYELIYSIGTTKSSSTGSLEIHQSISDGSKSKEDQKLKRHENKSFPLFECLDQLIGFTSSTSNHVNLKGKDMATTNNIAEERLVDGP >ONIVA02G39270.1 pep chromosome:AWHD00000000:2:33651233:33652195:1 gene:ONIVA02G39270 transcript:ONIVA02G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQEEHARMQEQFAGTPLVEQPVRFDQFYPASMAPNQFHPSHCSSFPAFGGSSALPSLAFGAVATTKKEQVQQPSPSSSNVLSFAGQVQGSTTTLDFSGRGWQQDDGVGVFQQPPERRSRPPANAQEHVIAERKRREKLQQQFVALATIVPGLKKTDKISLLGSTIDYVKQLEEKVKALEEGSRRTAEPTTAFESKCRITVDDDDGGSASSGTDDGSSSSSSPTVEASIHGSTVLLKICCKERRGLLVMILSELEKQGLSIINTSVVPFTDSCLNITITAKARLALPVYYS >ONIVA02G39260.1 pep chromosome:AWHD00000000:2:33635033:33635647:-1 gene:ONIVA02G39260 transcript:ONIVA02G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVGNEENQNNENVANNDVDPIERETAKASSSGEHDREERKTEKNQLTLFIVFPNGEADVLHEDAPGRREESQG >ONIVA02G39250.1 pep chromosome:AWHD00000000:2:33623863:33626122:1 gene:ONIVA02G39250 transcript:ONIVA02G39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GEJ2] MCNSGTSSSPSAPAPPPPPLTSFKHSSHLLRLVDDDADDGHALLLSKVAGEAQAIGRVSVPMAVTGLVMYSRALISMLFLGRLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKLLALALHRTVLLLLAVALPISLLWVTSTGYILKQLGQDEGVADAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSLFSVLLHGPINYLLVVRLRMGVAGVALAVALTDLNLLLALLCFLAISGAHRDSWVGPTSDCLRGWPALLRLAVPTATAVCLEWWWYELMIVLSGLLANPRATVASMGILIQATSLVYVFPSSLGQGASTRVSHQLGAGRPAGARRAAGAALSIGLVVGAAAATFMVSVRSHWGRMFTSDGEILRLTAVALPIAGLCELGNCPQTAGCGVLRGSARPASGARINLASFYLVGMPVGVALAFGARLGFAGLWLGLLAAQAACAVWMARAVAATDWDVEVARAKELTKASTTGSGTNHQHECNNSNTNTANAKANTKTTTSPAASNINAGGGGSSDNRGYVPISESGHNDGSDDLEKLEEGLMVATSGGCGDALGVDTKAGDKQQCSNGGAGTAEGNAGQRRGSASSERAPLISVGDDEEAGEENDGDGGGGGHV >ONIVA02G39240.1 pep chromosome:AWHD00000000:2:33608885:33622547:1 gene:ONIVA02G39240 transcript:ONIVA02G39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALFRFERSMTGGVHRKRDGHGPTKQRLNASEYLPSSPGRNTRKSRIFLPVLLPTSPAPRTTAATGSRRGAAANERGLSTDQNRLKFPTSSEPSFTKDISNPEGLLWGVLHRASTVKAGQEDNQGAHNSSSTGGFLLNAAKKATWIKKGNGGTTISCCARVAVNNGARDKAIGSSEE >ONIVA02G39240.2 pep chromosome:AWHD00000000:2:33608885:33622547:1 gene:ONIVA02G39240 transcript:ONIVA02G39240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALFRFERSMTGGVHRKRDGHGPTKQRLNASEYLPSSPGRNTRKSRIFLPVLLPTSPAPRTTAATGSRRGAAANERGLSTDQNSFTKDISNPEGLLWGVLHRASTVKAGQEDNQGAHNSSSTGGFLLNAAKKATWIKKGNGGTTISCCARVAVNNGARDKAIGSSEE >ONIVA02G39230.1 pep chromosome:AWHD00000000:2:33604787:33608434:1 gene:ONIVA02G39230 transcript:ONIVA02G39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLRLILGSSSASRRQILAEMGYSFTLLSADIDEKEIRKEKPEELVVALAHAKADAIMEKLRDNGMMKEILDSQETTLLITADQVVVHDGVIREKPSTPEEARKFIKGYSESHAATIGSVLVTNVKSGARKEGWDKAEVYFHKIPDEVVESLIEEGDVFYVAGGLLVEHPLTSPLVEAIVGTIDSVMGLPKSLTEKLIKESLEEP >ONIVA02G39220.1 pep chromosome:AWHD00000000:2:33601549:33603233:1 gene:ONIVA02G39220 transcript:ONIVA02G39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHGGRDGRQVAQGGRRRHQRWRKACNGAAEGDVATVSERGRRDDGLGGTWHRGRGMGRDARPSKRTRPPTPFVVGDASRMHGSTIVDCRRGGPPAPCTRRCLKCARARHRRRRSGAAAAATVITRLLPRRRFPPLPPPPSDPLARPLTARGNRRGTVASSRSASQAAAVVVVEVVVASRRRRHPRPELRRRRRHPGERLPPPAPPRRAPPPASPRPPRAMRRPPLSSAWLTGRKEMGKEGKERKKVGRRERKKRGRGLHVVCFYFGED >ONIVA02G39210.1 pep chromosome:AWHD00000000:2:33582148:33584889:-1 gene:ONIVA02G39210 transcript:ONIVA02G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GEI7] MDFSGDVKPAIHRPSVAAARGGGNGGAIPLLSGWQAFRRSGAPARLLCFEGGAWADVAGEVVGLLRRAFMEGKAVCEAACGGRVFLFDFMRMVRIDEATAEEAALGWIDDRGACFFPAPEGGRKRKRERDEAGSEVKGEDRRRRQPAAEEEDGDEASSGVEERSGESRPEADEPDRKKARGTLWGKAVRLDEADKFYKVVEKLFVSRMAPVAAARGVAITAVHKVAQGPRARAFHLQGQLLAAARGVGDGSNAKFAWYGAPAADVAAAVEHGFGRTNGQFLGGRAHGDGVHLSPPQYPHASAMLTKPDENGEAHIVLCRVLMGRPEAVPASSPQFHPSSDEYDSAVDNLENPRWYVVWSTDMNTRILPEYVVSFRWLNLPRMEGSSGLGSKLKKPSPAATRDMFPMLLTEIQRFVPSPKLQTLQRTYNCFKRGQMKKDQFIRFLRSHIGDNVLTTVAKKLRGY >ONIVA02G39200.1 pep chromosome:AWHD00000000:2:33573078:33577833:-1 gene:ONIVA02G39200 transcript:ONIVA02G39200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GEI6] MRLHGEVSFDEGEEEVVMVPAAALSSSPLNGGAVPVTRLVVGYALTKKKVKSFLQPKLLLLARKKGINLVAIDDTRPLAEQGPFDVILHKITSKEWQQVLEDYHEEHPEVTVLDPPNAINHLNNRQSMLAEVSDLNLSSFYGEVCTPRQLVIMRDPSSIPTAVAMAGLTLPLVAKPLVVDGTSKSHELSLAYDEASLSMLDPPLVLQEFVNHGGILFKVYIIGETIQVVRRFSLPDVNTYDLLNNVGVYRFPRVSCAAASADHADLDPHISELPPRPLLEKLGKELRGRLGLRLFNIDMIRELGTKDRYYIIDINYFPGFGKMPGYEHIFTDFLLNLAQSKYKKCLSGG >ONIVA02G39190.1 pep chromosome:AWHD00000000:2:33571063:33571472:-1 gene:ONIVA02G39190 transcript:ONIVA02G39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRHQSTTWTRTATAPRVAAAGQRGGRVSLMALLEQAKRQWVTVAGDANRRHVVEDHAIAAMAEEEWMNKGVLAADEVAAAEAGPEDVEQREGSLHGGCGSVVPVVARLGGHGGVKRKEEKKGEEEGL >ONIVA02G39180.1 pep chromosome:AWHD00000000:2:33543013:33544418:-1 gene:ONIVA02G39180 transcript:ONIVA02G39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVGHLSDAGLALGLSLGGGGGGTTDAAAAHRGGCRRPSPSSQCPPLEPSLTLSLPDDAAAGAAATATATASGGGGPAHSVSSLSVGAAAAAAVKRERAEEADGERVSSTAAGRDDDDDGSTRKKLRLTKEQSALLEDRFREHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQRELQELRALKFAPPPPSSAAHQPSPAPPAPFYMQLPAATLTICPSCERVGGPASAAKVVAADGTKAGPGRTTTHHFFNPFTHSAAC >ONIVA02G39170.1 pep chromosome:AWHD00000000:2:33534234:33535097:-1 gene:ONIVA02G39170 transcript:ONIVA02G39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPWLGEARGRITGPVGGAGLERHRHRRPDGSAKGAGGGGLSSSLPVGTIALPGAPPLLCGEFLGGSSSAGEAQAVEAMTPSTGFPFGKNWRGGWRVVEQRGPGPALRGGGSMKSADGGASVRCGGCYMGDGGILDVMTTLVASFSEPHLCGVAIGLAAFGHA >ONIVA02G39150.1 pep chromosome:AWHD00000000:2:33525192:33527675:-1 gene:ONIVA02G39150 transcript:ONIVA02G39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GEI2] MAAAAAAAAASSAKRALLPWARDAHHALARALQGCGGGGGGLGLRGALPTGPCGCAGRRQMVSAPVPVEVVAAAARLRRQCCLCHCRRGVYECACRSDEESGGEIDWDNLGFGLTPTDYMYVMRCSLEDGVFSRGELSRYGNIELSPSSGVINYGQGLFEGLKAYRAANQQGSYMLFRPEENARRMQHGAERMCMPSPSVEQFVHAVKQTVLANRRWVPPQGKGALYIRPLLIGSGPILGLAPAPEYTFLIYAAPVGTYFKEGLAPINLVVEDSIHRAMPGGTGGVKTITNYAPVLKAQMDAKSRGFTDVLYLDAVHKTYLEEASSCNLFIVKDGVVATPATVGTILPGITRKSIIELARDRGYQVEERLVSIDDLVGADEVFCTGTAVVVAPVSSVTYHGQRYEFRTGHDTLSQTLHTTLTSIQMGLAEDKKGWTVAID >ONIVA02G39140.1 pep chromosome:AWHD00000000:2:33512814:33518542:1 gene:ONIVA02G39140 transcript:ONIVA02G39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGGRSPPLLPPSPPLPINRNNLEASARLRLLLPPPPPPLPFSPLARRRLAATRIGAAPRPQQRSPPPRAERPRRPHHHWCGMAEVAAGTGQLIGVAVATLLAAIFLAAALLGSRRRRRRAPLAGKPAAVGGCGVADGEGCGGDGRTDVIVVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVQEIDAQRVLGYALFKDGKDTKLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLVEEDGTVKGVKYKTKSGEELKAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCQLPHANHGHVVLANPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMAKYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASALCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGVRLISSACGIIFPIIKAEGVRQMFFPATVPAYYRAPRPMERRKSRWDRADEIPEDVEMGMGEIEAAGELTATRIETAGELPAPRIETAGELPKGAQIGMGEVVVVIAVIRRFTW >ONIVA02G39140.2 pep chromosome:AWHD00000000:2:33518189:33522872:1 gene:ONIVA02G39140 transcript:ONIVA02G39140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAGAGQLVGLAAATLLTAAFLVAVKMGWRRRRRQREVAPEGGCRVVGGDGGDRTDIVIVGAGVAGSALAYTLGKDGRRVHVIERDMTEPDRIVGELLQPGGYLKLMELGLEDCVEEIDAQRVLGYALLKDGRNTKLSYPLEKFHSDVAGRSFHNGRFIQKMRQKAASLPNVHLEQGTVTSLLEEGGTVKGVQYKTKSGEELKAYAPLTIVCDGCFSNLRRVLCSPKVDVPSCFVGLVLENCQLPHPNHGHVILANPSPILCYPISSTEIRCLVDIPGQKVPSMATGEMAKYLKTVVAPQIPPELHDSFIAAIDKGSIRTMPNRSMPAAPLPTPGALLMGDAFNMRHPLTGGGMTVAFSDIVVLRNLLKPLGNLHDAPSLCKYLESFYTLRKPVASTINTLAGALYKVFCASTDQAKNEMREACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPVPSPKRMWIGARLVSGACGIIFPIIKAEGVRQMFFPATVPAYYRAPPPME >ONIVA02G39130.1 pep chromosome:AWHD00000000:2:33485456:33494535:1 gene:ONIVA02G39130 transcript:ONIVA02G39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMDQRGGAGGAAETHRVQLPDTATLSDVKAFLATKLSAAQPVPAESVRLTLNRSEELLTPDPSATLPALGLASGDLLYFTLSPLPSPSPPPQPQPQAQPLPRNPNPDVPSIAGAADPTKSPVESGSSSSMPQALCTNPGLPVASDPHHPPPDVVMAEAFAVIKSKSSLVVGATKREMENVGGADGTVICRLVVALHAALLDAGFLYANPVGSCLQLPQSGSFVPVSMKYTLPELVEALPAVEEGMVAVLNYSLMGNFMMVYGHVPGATSGVRRLCLELPELAPLLYLDSDEVSTAEEREIHELWRVLKDEMCLPLMISLCQLNNLSLPPCLMALPGDVKAKVLEFVPGVDLARVQCTCKELRDLAADDNLWKKKCEMEFNTQDTCGCMMCKCIYSDQRKDIVLADKYTCGNYMQKPVSQPGRWLIILVYHSLLCQYITIGLSLLWYHLVDLVQPQRSKYKNPIRCQHTSACRWQDAPAAGIHFDCIIPLPINPYQLPPSAGACCSTTQASASAKDGGNVLQIPKPLEMYSPPCSAAASSQGHCFAVGANQLASLDLAMDFDEPILFPVHNASLQEGIQFYNPTGDTQLSRNMSIDKCLKGSKRKGSGEGSSSLHSQEETGEMPQRELSMEHAGEKAGDADASREEYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSTISPELNSDLDLQDILCSQDARSAFLGCSPQLSNAHPNLYRAAQQCLSPPGLYGSVCVPNPADVHLARAGHLASFPQQRGLIWNEELRNIAPAGFASDAAGTSSLENSDSMKVE >ONIVA02G39130.2 pep chromosome:AWHD00000000:2:33485456:33494535:1 gene:ONIVA02G39130 transcript:ONIVA02G39130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMDQRGGAGGAAETHRVQLPDTATLSDVKAFLATKLSAAQPVPAESVRLTLNRSEELLTPDPSATLPALGLASGDLLYFTLSPLPSPSPPPQPQPQAQPLPRNPNPDVPSIAGAADPTKSPVESGSSSSMPQALCTNPGLPVASDPHHPPPDVVMAEAFAVIKSKSSLVVGATKREMENVGGADGTVICRLVVALHAALLDAGFLYANPVGSCLQLPQSGSFVPVSMKYTLPELVEALPAVEEGMVAVLNYSLMGNFMMVYGHVPGATSGVRRLCLELPELAPLLYLDSDEVSTAEEREIHELWRVLKDEMCLPLMISLCQLNNLSLPPCLMALPGDVKAKVLEFVPGVDLARVQCTCKELRDLAADDNLWKKKCEMEFNTQDTCGCMMCKCIYSDQRKDIVLADKYTCGNYMQKPVSQPGRWLIILVYHSLLCQYITIGLSLLWYHLVDLVQDAPAAGIHFDCIIPLPINPYQLPPSAGACCSTTQASASAKDGGNMYSPPCSAAASSQGHCFAVGANQLASLDLAMDFDEPILFPVHNASLQEGIQFYNPTGDTQLSRNMSIDKCLKGSKRKGSGEGSSSLHSQEETGEMPQRELSMEHAGEKAGDADASREEYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSTISPELNSDLDLQDILCSQDARSAFLGCSPQLSNAHPNLYRAAQQCLSPPGLYGSVCVPNPADVHLARAGHLASFPQQRGLIWNEELRNIAPAGFASDAAGTSSLENSDSMKVE >ONIVA02G39130.3 pep chromosome:AWHD00000000:2:33485456:33494535:1 gene:ONIVA02G39130 transcript:ONIVA02G39130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMDQRGGAGGAAETHRVQLPDTATLSDVKAFLATKLSAAQPVPAESVRLTLNRSEELLTPDPSATLPALGLASGDLLYFTLSPLPSPSPPPQPQPQAQPLPRNPNPDVPSIAGAADPTKSPVESGSSSSMPQALCTNPGLPVASDPHHPPPDVVMAEAFAVIKSKSSLVVGATKREMENVGGADGTVICRLVVALHAALLDAGFLYANPVGSCLQLPQSGSFVPVSMKYTLPELVEALPAVEEGMVAVLNYSLMGNFMMVYGHVPGATSGVRRLCLELPELAPLLYLDSDEVSTAEEREIHELWRVLKDEMCLPLMISLCQLNNLSLPPCLMALPGDVKAKVLEFVPGVDLARVQCTCKELRDLAADDNLWKKKCEMEFNTQDTCGCMMCKCIYSDQRKDIVLADKYTCGNYMQKPVSQPGRWLIILVYHSLLCQYITIGLSLLWYHLVDLVQMYSPPCSAAASSQGHCFAVGANQLASLDLAMDFDEPILFPVHNASLQEGIQFYNPTGDTQLSRNMSIDKCLKGSKRKGSGEGSSSLHSQEETGEMPQRELSMEHAGEKAGDADASREEYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSTISPELNSDLDLQDILCSQDARSAFLGCSPQLSNAHPNLYRAAQQCLSPPGLYGSVCVPNPADVHLARAGHLASFPQQRGLIWNEELRNIAPAGFASDAAGTSSLENSDSMKVE >ONIVA02G39120.1 pep chromosome:AWHD00000000:2:33477822:33482121:1 gene:ONIVA02G39120 transcript:ONIVA02G39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGSSNWLGFSLSPHMPAMEVPSSSEPSTAAHHHHHHHPPAAAAAAGAMSSPPDSATTCNFLFSPPAAQMVAPSPGYYYVGGAYGDGTSTAGVYYSHLPVMPIKSDGSLCIMEVGMMPSSSPKLEDFLGCGNGSGHDPATYYSQGQEAEDASRAAYQHHQLVPYNYQPLTEAEMLQEAAAAPMEDAMAAAKNFLVTSYGACYGNQEMPQPLSLSMSPGSQSSSCVSAAPQQHQQMAVVAAAAAAGDGQGSNSNDGGEQRVGKKRGTGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKDGQTRKGRQGGYDTEDKAARAYDLAALKYWGLSTHINFPLENYRDEIGEMERMTRQEYVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKIMESSSLLPGEAARKVKAIEAAPDHVPIGRELGATEEASAATVTGTDWRMVLHGSQQQQAAACTEATADLQKGFMGDAHSALHGIVGFDVESAAADEIDVPGGKISGINFSNSSSLVTSLSNSREGSPERLGLAMLYAKHHPTAVSLAAMNPWMPMPAPAAAHVMRPPSAIAHLPVFAAWTDA >ONIVA02G39110.1 pep chromosome:AWHD00000000:2:33467813:33468046:-1 gene:ONIVA02G39110 transcript:ONIVA02G39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPSSTAADCTMAHIAFPCDGGRQATSRTPTRQRRRRSSDCFLLGWEPPFGCLGVVASIGAAGTNVYGVVHLRAS >ONIVA02G39100.1 pep chromosome:AWHD00000000:2:33464170:33464951:1 gene:ONIVA02G39100 transcript:ONIVA02G39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREILLRTGPARYSYGVDCYAAVHEVRIREDDMPDWQNALHPACIVVARFAASELSRIRHAWLCEPTPSELGSSQRKRMLEYS >ONIVA02G39090.1 pep chromosome:AWHD00000000:2:33456557:33456985:-1 gene:ONIVA02G39090 transcript:ONIVA02G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLSALFLTAILLCLICTRSQAARPEPGSSGHKSQGVVASSIAHQKSVGSSGIGVEMHQGEPDQAVECKGGEAEEECLMRRTLVAHTDYIYTQGNHN >ONIVA02G39080.1 pep chromosome:AWHD00000000:2:33444026:33447946:-1 gene:ONIVA02G39080 transcript:ONIVA02G39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRMHQAVALAVLIICLPPVTPASAGCPDHCGNIRNIPYPFGVGVNCARDSSFQLECNHTYSTPRLYLDTQEVDSLSLADGELRVRVTARSNCHDYRFKTISGEYRTPGNRGSTTYRFSAEKNRLVVLGCPVHGYLVDDEGKYVTGCTSMCRQSQRAGDLPGRCTDAVRQSPASRMPHGRSGSAQPPAASGLSVERRTPTCRLQLCSACSCSADGLEQPPAWLPPGPLQAAVPAAARGTPSPVGHGLGGQGARRVGNWGKIANGLICGPIRPQWARPCTDESSGCCQHTIPRSLNFYKPYMLKLEKSVKDDNVPYQMKPPPKEPAKPIYNVDLDSTTCQYVFLAEEKWINTTNNYRDYFNHTDDFAVPVVLDWAIRNVGNCDIAMRNKADYACRSANSECFTTPDGQGYRCNCLQGYEGNPYLDDGGSCKDIDECQRTKEYPCFGKCTNTIGSYTCECRPGTSGNATQENGCHPTDKFTLALKVVTGVSVGVFLLLFMLFWLYLGLQKRKLIRTKQRFFEQNGGVLLQQQMRSYGGSSGGAGGFKIFSKEELEKATNSFAADRVLGRGGYGIVYKGVLEDNMVVAIKKSKMIEEAQTKEFAKEMCILSQINHKNVVKLLGCCLEVEVPMLVYEFVSNGTLDHYIHGGTLNTVISLDSRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGTSKLVPNDEFEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFGGSEEDRSLVSCFMTAVRDGRHEELIDSQVRNEMTEEVLQEITHLVMRCVSMSGEERPMMKEVAEKLEMLRRYELHPWDKGDANPEEKQSLLDMEQRNVDQKFRHHHDYDPENPACRS >ONIVA02G39070.1 pep chromosome:AWHD00000000:2:33436562:33446320:1 gene:ONIVA02G39070 transcript:ONIVA02G39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGLVVGAALRAPPPPPPLRCSPRGAADRLLVFSREPRPRLAPLTRCYCSREGKGNARSKPHREGSNSVREWLIFVSLSRLNVKANNDQRIMNLELMSQETYLADERHEGVDIWELFSEAQRNILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKVIDNRYMIHSVFSDIHHKQDTELLSELRLFLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHFLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMPSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKTLKRRVGLHSGSSVVVGCICNGDSNTDGLREAVRVALHGGAQVIFMENKGPVMNSTVQALKEELKGDRVMFVEIYDEALEHLIFAGSDIFLCSSFYGPSLQIAIRAIKYGSAPVQINFPSNGSRQTEWHDYPSTALSQYIISTYGDMSLSQALDGIKNNPSQWDWRIKDAMSKVLSWDAECYDLHWEAYSVIRKL >ONIVA02G39070.2 pep chromosome:AWHD00000000:2:33436562:33446192:1 gene:ONIVA02G39070 transcript:ONIVA02G39070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGLVVGAALRAPPPPPPLRCSPRGAADRLLVFSREPRPRLAPLTRCYCSREGKGNARSKPHREGSNSVREWLIFVSLSRLNVKANNDQRIMNLELMSQETYLADERHEGVDIWELFSEAQRNILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKVIDNRYMIHSVFSDIHHKQDTELLSELRLFLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHFLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMPSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKTLKRRVGLHSGSSVVVGCICNGDSNTDGLREAVRVALHGGAQVIFMENKGPVMNSTVQALKEELKGDRVMFVEIYDEALEHLIFAGSDIFLCSSFYGPSLQIAIRAIKYGSAPVQINFPSNGSRQTEWHDYPSTALSQYIISTYGDMSLSQALDGIKNNPSQWDWRIKDAMSKVLSWDAECYDLHWEAYSVIRKL >ONIVA02G39070.3 pep chromosome:AWHD00000000:2:33436562:33446320:1 gene:ONIVA02G39070 transcript:ONIVA02G39070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGLVVGAALRAPPPPPPLRCSPRGAADRLLVFSREPRPRLAPLTRCYCSREGKGNARSKPHREGSNSVREWLIFVSLSRLNVKANNDQRIMNLELMSQETYLADERHEGVDIWELFSEAQRNILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKVIDNRYMIHSVFSDIHHKQDTELLSELRLFLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHFLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMPSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKTLKRRVGLHSGSSVVVGCICNGDSNTDGLREAVRVALHGGAQVIFMENKGPVMNSTVQALKEELKGDRVMFVEIYDEALEHLIFAGSDIFLCSSFYGPSLQIAIRAIKYGSAPVQINFPSNGSRQTEWHDYPSTALSQYIISTYGDMSLSQALDGIKNNPSQWDWRIKDAMSKVLSWDAECYDLHWEAYSVIRKL >ONIVA02G39060.1 pep chromosome:AWHD00000000:2:33430204:33431395:-1 gene:ONIVA02G39060 transcript:ONIVA02G39060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGELALRQEYVIGDEIGRGRFGTVRRCYAVATGEAFAVKSTPKAPLREAEAADALDLALAEQEPKVHLVASAPGPGASPHVVALHAAFEDDAAVHLVVDLCAGGDLLSLVSSRGRLPEHEAAGLTAQLASALASCHRRGVAHRDVKPDNLLFDGGGVLKLGDFGSAGWFGDGRPMTGLVGTPYYVAPEVVAGREYGEKVDVWSAGVVLYMMLSGTLPFYGATAAEVFQCVLRGNLRFPPRAFASVSPEAKDLMRRMLCKDVSRRFSADQVLRHPWIVSRGGAAVMG >ONIVA02G39050.1 pep chromosome:AWHD00000000:2:33423932:33428477:1 gene:ONIVA02G39050 transcript:ONIVA02G39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLPVANATAAALARVSAMFNAPLARAVVFGIHIDGHLVIEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDEWQPEPLCPPIKEGARIEAPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKILDSCIGSVEKYGVGSCGPRGFYGTIDVHLDCETKIAKFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASTLEKLTHGNKRTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSIRVVDHQRLSSSGYVFSASLPPYLASAAISAVDHLEENPSVLANLRSNITLLQKELSDVQGLEIASNILSPIVFLKLKTSTGSSVADLELLEVISEKVLKEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDILKVSESLKRVAASVL >ONIVA02G39050.2 pep chromosome:AWHD00000000:2:33424795:33428477:1 gene:ONIVA02G39050 transcript:ONIVA02G39050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASTLEKLTHGNKRTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSIRVVDHQRLSSSGYVFSASLPPYLASAAISAVDHLEENPSVLANLRSNITLLQKELSDVQGLEIASNILSPIVFLKLKTSTGSSVADLELLEVISEKVLKEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDILKVSESLKRVAASVL >ONIVA02G39040.1 pep chromosome:AWHD00000000:2:33421546:33422877:1 gene:ONIVA02G39040 transcript:ONIVA02G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSKRASGEEDGGRDGKLELTDSNPLSLASVFSPAVSSPYSPSPCSRLQEEGDESHKPERTEINPSLDKVTVVGDVDSRVLVKKLSKVGKITEVMAPPPPPSPAAPSEEGKKSDSNGGEKPTSPADEKSTRKDEGKDGKGDKSPAAAAAACKQECSKCTAGKEAATRADEAGRAAGKTASSKDATTKRSGDGDKSEPAAVVVEYQYHHHYNRLEPAMVVLVHLPYFAANATPYHAGGYYPMPPPMSVLRHPSQLRPQPSRFDEDYFNKDNTAAGSRPSLAPVRRWPSSHGCRSPKVDEERMIYVGPTCQWVPSILLVNDKRVQHIFFISNATKAPRQRHVERRPSQYYHVGATSAKPPSKTVEEVKLHRF >ONIVA02G39030.1 pep chromosome:AWHD00000000:2:33403665:33407499:-1 gene:ONIVA02G39030 transcript:ONIVA02G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWRKAKMSLGLNLCVYVPRTLDDGDSPSTGSSTAALVSPVASSSSAATSANTTPTAEQRVKGAGALMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTTMKPGQGHALFTAECSHTFHFHCIAANVKHGSNNCPVCRTKWKELPFRGPLPGEFPQGSARINPVNGHQNGGQMTILRPLPRARSSGRLHHMTSLLPDTDRSIFNDDEPLDSLSEANEGSQQGCLRTVEIKTYPEFTEVPESTSERNFTVLVHLKAPLAQTLQTSSKLEDGNSLGTTRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTETGRQQSLQAVYSLTSNGGTNIAEGLRKGSKVIEDRQAKNPVCSIILLSDGQDTYTVSPTAGVHKAAPEYCSLLPYTSNGCQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQDLHVKVESLHPDVHFGSIRSGSYSSRLADDKRNGSIDVGDMYAEEERDFLVSVNVPPGYGETALLKVGCVYKDPLMKETINMADVQVKISRPAFVSVQSMSIEVDRQKNRLHAAEVMAEARLSAERGDLTHAVSLLEDCRRMIMGSTSGQSGDRLCQALDAELKEMQERMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTSSMVDMLLRSQTMSRSSTPRQMRHVKSFPARPQPR >ONIVA02G39020.1 pep chromosome:AWHD00000000:2:33406977:33409528:1 gene:ONIVA02G39020 transcript:ONIVA02G39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKKEHPSQDLLPDLERRSPAEVGVGVVGMSAPAPLTLCSAVGVVLALVAADDEDATGDTSAAVDDPVDGESPSSSVLGTC >ONIVA02G39020.2 pep chromosome:AWHD00000000:2:33402538:33409528:1 gene:ONIVA02G39020 transcript:ONIVA02G39020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLETGTFPGGAAQAVADGDRPPPRGFGGARIGRSSWRDAAPWPAVEGGCDLSTIGQAFQGVKRMFDTYSPGKQNDTFIELNLKTCPKIVSQVRKSQTRSKKARAREREEMKGRKKKNK >ONIVA02G39020.3 pep chromosome:AWHD00000000:2:33402538:33407117:1 gene:ONIVA02G39020 transcript:ONIVA02G39020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLETGTFPGGAAQAVADGDRPPPRGFGGARIGRSSWRDAAPWPAVEGGCDLSTIGQAFQGFLLQFWALKARRVVGSAVLSWNSKRTQKGSENSVEQCPLQAVLKRGCYGYGTDLFFMPPPRKGVK >ONIVA02G39010.1 pep chromosome:AWHD00000000:2:33393121:33397627:-1 gene:ONIVA02G39010 transcript:ONIVA02G39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLAEIAQATQNYHEYQMVMNVVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHSYQISTLADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRSAFATSGTHRSPGGYDNDRYEGSYGSRYDNRNGYGGEREYGYRDDDRYGVAGTTPNREGDRYSRDSNEQRYSRDREDEYKGSHSNHEYAEGSGRRSYGRDRDSYGDDEAYSSRGRQSNADGPTQDERPMERKPSNQQIASPPPNYEDVTRDTQDNNHDGRNGGTVPVPVAAAKVSSPPRTSVPPGQVNGVHDNTVEDVPAPPPTHPEVNGFDEFDPRGSVPDTSPPVNPSQAVNSLEMDLFGPDPINSLALVSVPQPTASPNVEPSANPGFESNSFMGMPPASTGFNEAFDATNPFGDPTPFKAVHEETPAVSQTNAAPAGSFHATEPAADANPFQPASAASFGFGDTLGDLSFGSNAAPGQQDIFVPTSSHSEVPPANPSVHPEQAVPSYVSSQAPQPAAAGPQTHAAPASFASQAPPTSFASQAPQAGAPYPQAASTFPHSQASHPAATNPSTIPQNVATPFAPLQMPQPVPSGQSNYFMQPVPGTGINGMSGAPSQNGAPSYIPSQASQFAAPTNLQPSQPTFPPQTAMAASQATSISRGASQPLAVPNSMPSGVNFPLQSSSSAPPETILSALQVSQSEPVKKFESKSTVWADTLSRGLVNLDISGPKANPHADIGVDFDSINRKEKRQEKKVSQAPVVSTITMGKAMGTGSGIGRAGASAMAPPANPMGASRGIGMGMGAAGSGYGGGMGMNRPMGMGMGMNQQMGMGMGMNQQAMGMGMNQQAMGMGMNQQPMGMNMGMGMNQGMGMNMRPPMGMGPGSGYNPMGTGYGGQQPYGGYR >ONIVA02G39000.1 pep chromosome:AWHD00000000:2:33386275:33389523:-1 gene:ONIVA02G39000 transcript:ONIVA02G39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRAHIVKNDALEGMLGEFVGGGKGGGKAGRAAARHSSRLVAALTCLQLAFAIYATFLLYYMSPAVDLRAKPDFAWATRIAQHWKQLMAQPDGGGGGMAVSPEEVCEHESIDFEQKKSTDAVMIRLKRELYDEVRDFQSRSFGAETLPELLRMRSRWSAAAAAAGNQPRVTVILNHFKRRTLCAQLDTLRRQTVPFHRAWVLAFGSPNEAALRRIVGSYNDSRISFVSSGHDFKYYGRFQMALQSESDFVYVLDDDMIPGARMLEILTHVAGTDKYRNAVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDIAVDRIVQVDFLSSSWFLAADLVKALFIEVPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDAGDKETWGDSEHRLAYVAETTVIFKDIVQVRDEQWWRALTSGYVTQWAAMHPQKVDALFYAHSLGEVRALAPLLERFRTTAGRKAYLVVSGGGHCPCEEAAAVLKWPKVVCKERRFKIFDLAVGALSGPSHSDVPVLHAVYSSMRGIVRMHNPSVIVAVADVDSKIKDALRMAADAAINRTALVLLPRNSISKNRAKSLRRLLASLRDAYYVGDEVPISFNMDSRVDAATLNVVNAFDWPHGGKTLRRRIIQGGLIRAVSESWYPATDDDYGLLLEDDIEVSPYYYLWIKYALLAYRYDPQVSLPELSSISLYTPRLVEVVKERPRWNATAFFGRSKNHHPNTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVALYPNFPDQSSFSTNHMEPGAHISAKGNVVKHDKRDFEVPLVADDFSPLLPAGRMPPASKLPVLNLFNQPVSFKALKAAGAKLRQDVIGCAATQLVAVDHVTGLPKNCTAF >ONIVA02G38990.1 pep chromosome:AWHD00000000:2:33372137:33377990:1 gene:ONIVA02G38990 transcript:ONIVA02G38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGFVEDMLREQSLLEATCGDLFDHIDDLLDFPKEESAADVLLLDAPAPGSPLSSRIIGGHATMAAAPPPPPQMMALPPPPAPAKDDASALFDAAGALGAEVFDRKDAHIGPCDELDMDMAQLEWLSGLFDDGTIPHEPSFPGVNCAAPIKASALTANAGVVLPDKAEEALFRSSSPISVLEHSGFNVATNGGSSSSSSSSASSSSESFSGSGRAWSAPVSPRPEPPVLVIPARARSKRSRPSAFPAVRGAPAATETTILVPTPMYSSTSSHSDPESIAESNPHPPPMKKKKKAKKPAAPAAASDAEADADAADADYEEGGALALPPGTVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFMPSIHSNSHKKVVEMRQKATRTADPSCDLLQYIRRRD >ONIVA02G38980.1 pep chromosome:AWHD00000000:2:33351232:33358433:1 gene:ONIVA02G38980 transcript:ONIVA02G38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39000) TAIR;Acc:AT2G39000] MMPPAISLARSPTSSASASPLYPVRRSAAVAVRHHRCPSFAAKPAAGVCCASPAVELLPSLSPDILVRDARLEDCWEVADTHCSSFFPDYTFPLDLVLRIDRYIALLSGFSVPPGCMRTCLVAVNSNSLSRSISIECGDLRDADFQEKHGLSKASIAGILTVDTVADYLPRRGPLKQRRTGIAYIANVAVRKEERRKGIAKMLVAEAEERAKSWGCRSMALHCDVNNIAALRLYKNLGYKCIRVPEDAKWPEPKIAKGVQYNFMMKLRRRKKMAGLTGDRRCRTPAAAGGVAVSVADGRIGARNIGITNT >ONIVA02G38970.1 pep chromosome:AWHD00000000:2:33341654:33351011:-1 gene:ONIVA02G38970 transcript:ONIVA02G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGLNRSSSRGQLPPQELLDDLCSRFVLNVPKEDLESFERILFLLEQAHWFYEDNSVEHNPSLKSLSFKDFTTLMFNSCAALRPYRAHLDDIYKDFTHYKFRVPVCGAIILDDTYEKCLLVKGWKSSASWSFPRGKRSKDEEDHTCAVREVLEETGCDVSKLLKLEDYIEVSMWQQRVRLYIITGVKGDTVFAPQTKKEISEISWHKIDELLPAGDDAISRGVNGMKLYMVAPFLQGLKAWIATHRHPQYQKSDTSARGTVWKAKNSSTGAAPVENPVITRTGSDPQTLDSRPGKSFRNFRFDTASILQSMEASFLPSGIWSRADAMAALVRPAVLPVCAMTGGGGNDKRSPPQQRSWWGGNKQRLPHQPRRQPGGNGGRGGGGGALDQVLGVLRRDGEFLQAAAGAPLRDIFWLRFLEKKKQQRRRKQPKPKPKPPEQQQQQEEAAAASQAPSFPPPSYQPGLSCLDLMTADFEALKLYIGYSKHAIEQQFLKGKRQPQHQQTPKPKLQQQQQQQQKKQQQQEHQNQTLQPPAFPPPSYPPGLSCMELMMADIEALKLYINYYVAILTTPLPQHYDPEILSRYFTSRPHILAFRTIQIIFAFVSAAVKMQISKRTNLITDATYSGNSTGSKGFNASQYMVGQLLKETFLDLGPTFVKVGQSLSTRPDITGSEISEALAELHEKVPPFPRKDAMKIIGGEFELPVSHIFSYISDEPVAAASFGQVYQGRTVDGALVAIKVQRPNLLPSVLRDIYILRLGLSFVRKVAKRKSNIALYADELGKGFVGELDYNIEAANATKFLEYHSRYSFMLVPKVLKQLTRRRVLTMEWVSGENPTDLLSLSKGISSEIAESSEKQKIEATARLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGRVGFLDFGLLCMMEKKHQHAMLASIVHIVNGDWASLVYDLTAMDVVPPRTNLRRVTMDLEDALGEVTFEDGIPDIKFSRVLGKIWSVAFKYHFRMPPYYTLVLRSLASLEGLAIAADGTFKTFQAAYPYVVRKLLSDNSVATRRLLHQAIFNKRKEFQWNKITVFLKLASARYNTGVLPERKGLDVAKLVEISDASSSLHLNRATPERALHTANLCLRLLLSKESIVIRRLVMTANAKSLARDLISKDASIYRVLLSRVLADVIFQWMVKATGFKRAGQLEPHPRVTAGKNDEDLGLSKEAPALVTFQTAVRDRRLKVIFSKFVRDIREDPILMVRVSWNMFAISVVSAAIGVHRFIVVLSEEFLSTLPPPVPPPRLVQIQRI >ONIVA02G38960.1 pep chromosome:AWHD00000000:2:33339368:33340998:-1 gene:ONIVA02G38960 transcript:ONIVA02G38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRGGGGGGGGGGWGGAGRKQERRALMVAFAVALLMGTAVYFRIWARQSTDPSFTVDDREELRRQFERANLEAMDESAEWRMKYDTEFAKNKQMQDELLKAKASLSASTKRFSLLKKDNEVLKRQIQIMKQQCNCTVTSNLTQE >ONIVA02G38950.1 pep chromosome:AWHD00000000:2:33336317:33340529:1 gene:ONIVA02G38950 transcript:ONIVA02G38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductase, zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT4G21580) TAIR;Acc:AT4G21580] MRAVVIARAGGPEVLEERDVGEGLPPPGEGEVLVGVSAAGVNRADTVQRQGRYPAPPGASPYPGLECSGTILALGPNVPSRWAVGDQLLPVPEGVSLTDAAGLPEVACTVWSTVFVTSHLSPSESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAACKGLGADVCINYKTEDFVARVKEETNGKGVDVILDNIGGLYLQRNLNSLAVDGRLFIIGFQGGAVTEVNLQPMLARRLTIQAAGLRNRSLDNKALIVSEVEKNVWPAVVQGKVKPVIYKTFPLSEAAEAHKLMEESSHIGKILLIP >ONIVA02G38940.1 pep chromosome:AWHD00000000:2:33332068:33334373:-1 gene:ONIVA02G38940 transcript:ONIVA02G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) TAIR;Acc:AT5G54390] MGCSGLRLPTWAAAAPPSPSDWDGRRPRRGAPVVRRRCSAPSSTPAPSAAAAPSHLAAGGSPRVGTAEHDWLWDCRGIGGGGRDYAREMEVAVRVVQAACTLCQRVQSSLLLPASASASGSVHSKIDRSPVTVADWGVQAIVSWLLSDCFQDENISIIAEEDDETLSSSDGAALLESVVAAVNGCLIEAPKYGLRFPEKELKAHDILQAIRRCSSIGGPKGRFWVLDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMKKEWLNYHQRYYRLMSKVSPPTSGSWHKGCVMYAHRGCGQAWMQPLVHDFGKLDWRNSREVRVSTVSDPISATFCEPVEKANTSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDVEIFMKFARAGYKEKIWDHAAGVVIIREAGGVITDAGGRPLDFSRGVFLEGLDRGIIACSGPLLHHRIVGAVDASWNSSTL >ONIVA02G38930.1 pep chromosome:AWHD00000000:2:33330190:33331395:1 gene:ONIVA02G38930 transcript:ONIVA02G38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDGDAATSPSFSSTGSSGDGNGGGGGGGRVGIYACFALGGSNSLECYEPGANTWRRVGELPGVPDGHVLKGFAVVALGDFVYVIGGRLCRRERGGGGEYRDTDVDVRGDVVRYDARRGEWGRCAPLLVPRFDFACAPCGGRICVAGGQRSLSGARGTAAAEVFDADKGGWSRLPDMSTRRYKCVGVTWHGRFHVVGGFAESTSSSSPAAAAADEAAAAPPGRATALLLLLPQSSALERSSAEVFDCARGVWEIIPGMWQLDVPPNQIVAVAGRLLSSGDCLNSWKGHVEVYDGELNIWSIMDHSAMPDMPLLAALPPSAQRRYHTMAVVGNQLYFLAGYQVAAGGDGGFRTVSLVHSFDTSANPGLMPPWRSFQPTMDQDGVEDGSKELFSQCCSVQL >ONIVA02G38920.1 pep chromosome:AWHD00000000:2:33321591:33322393:-1 gene:ONIVA02G38920 transcript:ONIVA02G38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKWMAEVMVSRGGAAPCERGVGLGALGGVAPCGCGVVHEASGGSALCSRGGLRALCSGVVLCGGADGYGALGGSALCGAAHSFHGFAVASDCYFSVTVKIFHGVSFLQSDLLLSICRVLLQFLLDLSSQCFYKSSAILGLLQREVLLHPSDYSCDVGYLFFGILLPFYPCIVRVEQIMLLRSNGKLRGTNLLSPVTPTSRSTAQQLTSNWCHFRGDSRRSFPVRQAVSIPW >ONIVA02G38910.1 pep chromosome:AWHD00000000:2:33315052:33320896:-1 gene:ONIVA02G38910 transcript:ONIVA02G38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAPASPPKADGGEDEEEECSRAVVKEEPHHQQEEEDDDAAAAADGGEDEKEKVEEEERELLARCMTCPLCGRLLRDATTVSECLHTFCRKCIYEKLNDEEVESCPVCKIDLGCTPVEKLRADHNLQDVRSKIFPFKRKKISADEVAAPVLLPSKRKERSISSLVVDTPTVTPTGLTGRRTRAVTRKAAALRGLGPGIDDPVKKEIDNGEKHAQNSSLPTNLGKVPQTRRQMSSNAEASNHSSNKDTEGDRKDLADKTDELWRPLNCLVEAANRTKSSRSSSQSPIVKREQLSDSPGSTSVNKTKSREYMQKSKIEDDKKDVPLLKRKNQRTGRRRELHAQSDSKPEAAATQNEKKFSSIWFSLVASFEQEGDPPLPQIPSHYLRIKDGNIPASSIQKYLMQKLGLPNEAEVEINCCGQPVNPTQPLCNLVEVWLRGRSTQTTQTMIGSPAKEFVMVLTYGRPKAITP >ONIVA02G38910.2 pep chromosome:AWHD00000000:2:33315052:33320896:-1 gene:ONIVA02G38910 transcript:ONIVA02G38910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAPASPPKADGGEDEEEECSRAVVKEEPHHQQEEEDDDAAAAADGGEDEKEKVEEEEVEERGRRRRGRPGRKRGRRSGGGGGSAAAAAAARGGVVMVKRELLARCMTCPLCGRLLRDATTVSECLHTFCRKCIYEKLNDEEVESCPVCKIDLGCTPVEKLRADHNLQDVRSKIFPFKRKKISADEVAAPVLLPSKRKERSISSLVVDTPTVTPTGLTGRRTRAVTRKAAALRGLGPGIDDPVKKEIDNGEKHAQNSSLPTNLGKVPQTRRQMSSNAEASNHSSNKDTEGDRKDLADKTDELWRPLNCLVEAANRTKSSRSSSQSPIVKREQLSDSPGSTSVNKTKSREYMQKSKIEDDKKDVPLLKRKNQRTGRRRELHAQSDSKPEAAATQNEKKFSSIWFSLVASFEQEGDPPLPQIPSHYLRIKDGNIPASSIQKYLMQKLGLPNEAEVEINCCGQPVNPTQPLCNLVEVWLRGRSTQTTQTMIGSPAKEFVMVLTYGRPKAITP >ONIVA02G38900.1 pep chromosome:AWHD00000000:2:33309535:33312017:-1 gene:ONIVA02G38900 transcript:ONIVA02G38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELGLGPCFLEPAQGTMAQYEGKHGPARYGYGPCLGQGIRQVGDLDAVPGGIAREAASSLPPPFFRWNAQRGMPLHFVAIGSKILAMPPMEEKRDTDHLDVGGACFDVRTGCVVFVPRHGDPVYFQIGSRLFTLGCSRFQLLDLLPLALDGDPRSTRRQQWSWRDLPMPPFLHSMRALSHVLLPQEDQTILVGVGFLSPSSSSTYSFRIAEDGSSAWKCLGNWGLPFHGRGYFDPKLNAMIGLSMDGRICSCQLVSDHCPDVKYCRENLFSRDARRRHPRLHGTEKQILPG >ONIVA02G38900.2 pep chromosome:AWHD00000000:2:33309310:33312017:-1 gene:ONIVA02G38900 transcript:ONIVA02G38900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELGLGPCFLEPAQGTMAQYEGKHGPARYGYGPCLGQGIRQVGDLDAVSTSMLYLILDDWIFRKIDLSSNQPDGRQVPGGIAREAASSLPPPFFRWNAQRGMPLHFVAIGSKILAMPPMEEKRDTDHLDVGGACFDVRTGCVVFVPRHGDPVYFQIGSRLFTLGCSRFQLLDLLPLALDGDPRSTRRQQWSWRDLPMPPFLHSMRALSHVLLPQEDQTILVGVGFLSPSSSSTYSFRIAEDGSSAWKCLGNWGLPFHGRGYFDPKLNAMIGLSMDGRICSCQLVIAGRICSAETRVGATLVYMGQRSRFCLVESVAVNYLCQEGVFSFKLEGPFFRYMFRLTTFRLKFDDDDGHLTTGRSRRVRYYYAPANDVSYFACRFPVAFYI >ONIVA02G38900.3 pep chromosome:AWHD00000000:2:33309535:33312017:-1 gene:ONIVA02G38900 transcript:ONIVA02G38900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRELGLGPCFLEPAQGTMAQYEGKHGPARYGYGPCLGQGIRQVGDLDAVSTSMLYLILDDWIFRKIDLSSNQPDGRQVPGGIAREAASSLPPPFFRWNAQRGMPLHFVAIGSKILAMPPMEEKRDTDHLDVGGACFDVRTGCVVFVPRHGDPVYFQIGSRLFTLGCSRFQLLDLLPLALDGDPRSTRRQQWSWRDLPMPPFLHSMRALSHVLLPQEDQTILVGVGFLSPSSSSTYSFRIAEDGSSAWKCLGNWGLPFHGRGYFDPKLNAMIGLSMDGRICSCQLVSDHCPDVKYCRENLFSRDARRRHPRLHGTEKQILPG >ONIVA02G38890.1 pep chromosome:AWHD00000000:2:33297953:33299098:1 gene:ONIVA02G38890 transcript:ONIVA02G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRSNEKPRHLYLVLDDWSQGCSIRKIKLSHEDIHPPLIPADVARGGDDHVFTGRHTLPSALLRFEARRGEPKSIVGAFDHKILATPPPAHGKKKKKSLIVNIVDVHARAFVVGSWPMLQDVLLDPIIYIPIDEYRLFTLGSASFDMLYMPPPTYDDDDADDDANWKKNFSCLNLPKPAFQCDLVTSYAVHPDKQTIFVSSVEQSPGVVVPATFSFRTAEPMMWRRHGQWQLPFTGRGYLDPRLDAWVGLSGDLNTVGRICSCDVVSTDDAVASRPPALKISKEKLFSMVPAERHIGATLVYMGGGESNFCLLESIHIEDDIVADQLTDSDETNEVSSVDEVNEIDFDDSNEANDEDSADEVGEEDQLDPKRFLRLTTF >ONIVA02G38880.1 pep chromosome:AWHD00000000:2:33290921:33292586:-1 gene:ONIVA02G38880 transcript:ONIVA02G38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GEE4] MRRGVDPVPTASGRLLDFASDQVVAYLLMSALSAATPITNRMRSAVINRFTDTTAAAISMAFLAFVSLALSAIVSGYKLSKQTYM >ONIVA02G38870.1 pep chromosome:AWHD00000000:2:33284147:33287557:-1 gene:ONIVA02G38870 transcript:ONIVA02G38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGLPGDRKPGDGGAGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVVSQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKAIRSDGAHSVPANDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >ONIVA02G38870.2 pep chromosome:AWHD00000000:2:33284147:33287557:-1 gene:ONIVA02G38870 transcript:ONIVA02G38870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGLPGDRKPGDGGAGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVVSQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >ONIVA02G38860.1 pep chromosome:AWHD00000000:2:33280433:33283391:1 gene:ONIVA02G38860 transcript:ONIVA02G38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTQIQMIPRKQANNHMLLESDYKTSKARKTTP >ONIVA02G38850.1 pep chromosome:AWHD00000000:2:33273295:33279783:1 gene:ONIVA02G38850 transcript:ONIVA02G38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHEVGNEYSLGGRELYRRANQHDPEAVLDGVAMAGLVGVLCQLGDLAEFAAQVFHGLYDEVMTASARGHGLMLRVQQLEAELPLVEKDSCHTDYLYVASNRGIEWHSNPRLESGVVTKGDTPRFIMDSIKKCHGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSSMLEQGIQRERRPLRAMEIKPTLQNSEIFRPPNAANNDSKLETDLSGEALDEVPTRRRQLKYRQLNGSVFRSFRPQMQNLYGETSPDEKPCSMNHSEVQISFTDSPDTNTEERDIMVDTFSSMDKGKENNYVMAGKNRLISEEALSRSSDARSAGSSKGYNSEVDIYVDALTTMDSEVETDTEHRDHGHRALVPVESSKPCSDVHGAVVSGSISFRNNGSMVPNSSDVVPAEEENNDHHQGYVCVPSPQAKPVAGEHERSSSLEELFAQEKPVSCEHERTSSLEELLLGDVHPSGHNMRSSATESNTNGVVSTVESNGTVGTTKKEKDNPIIAGISFKKTASKKSKYVGSMELIVSKVGILPRKLSKKHDPFSDSLRNMAKQLLELKIDGTKDTELYEFEANGEGCDMKCLEMSHPPIEIMGSATQSVPPDSPQDNVDSRACRPEEVNQEYDHDVPPSDSPQDSVDGNVFRDIALLRSQEEQQCAGPVTVDNLLDHTPENTQGQIGEHFYREVTEDIHTEVVPENGPDIGEELEEGSITEEKVNEEDVEESNESDAYTLDENTEYIEEQVVSDDLISSPISSKQSDDPCQMAPLALTDADDALAGTITESNVSTVVVESATTNDVAVQYNEQCCLHPETSFAQDLTHVSSCEVEGQNEPLPLCSSSMVGTTPDLSVDTEEIHENPILCIDSSSDLFRDALAPDSRDVPLPNISSFDWMLNGAMQKSLNVLPAKPPNGILQENNSSKDTEDAPPPLPPLPPMQWRTTKLQPGPAVLSAKFGRPPRPKPPVKYQENESYSSQDERNREPEILQEASLQNGSTSVTPEKEMVVAKVSNEIQTNIMFGRDSQESHLKGLNEYDVQASDSFSTSEFKSVGGVASVEGDNLETSQLSELIVIPEEAWSELVDIKSISGQEKGGKRQLSTGVFNCNGMHTTGLSAEKRDDYKIYDQNEKNLLAGKGNAIADSEEKEPNGVTCQDDTKNSDLLVQQEDGQHDSSAGTARESSSSFEEEVAKFSPPRVPTPPKYPLFQVTAHDRSMLKKAPTLVQPSIKLSDENNTILEQIKNKSFNLKPVLAKRPNMMGAPRTNLQVVAILERANAIRQAVADDDDEDSWSD >ONIVA02G38840.1 pep chromosome:AWHD00000000:2:33264848:33272636:1 gene:ONIVA02G38840 transcript:ONIVA02G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDIPPNQTVYLRNLNEKVKKEELKRSLYALCSQYGRILDVVALKTPKLRGQAWVVFSEITAATNAFRGLQEFDFYGKRMRVQYAKTRSDCLATEDGSTAPKEKRKKQEEKAEKKRRAEEAQQSGPNAAAQSNGTGYQASRLGKTSQEPPAPPNNILFIQNLPAETTSMMLQILFQQYPGFREVRMIEAKPGIAFVEYEDDSQSMVAMQALQGFKITPYNPMAISYAKK >ONIVA02G38840.2 pep chromosome:AWHD00000000:2:33265756:33266148:1 gene:ONIVA02G38840 transcript:ONIVA02G38840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSSSHSSLVMLASNLGDNDSDSCSMSLLFAVPWFGNAEFDCNCQDMGNPVPWRNGCRRGGPCRRGGAVGGRHGSIELEKKRADRKLRELDREPDANPLAGLLRGLARD >ONIVA02G38840.3 pep chromosome:AWHD00000000:2:33265856:33266148:1 gene:ONIVA02G38840 transcript:ONIVA02G38840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTVTAKTWEIQSPGGMAAGGEAHAGAVGRGVQEVHGEPVHRGRHGSIELEKKRADRKLRELDREPDANPLAGLLRGLARD >ONIVA02G38830.1 pep chromosome:AWHD00000000:2:33249705:33250809:-1 gene:ONIVA02G38830 transcript:ONIVA02G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTLASYAQDLAMPAAALDLLPDKAHQPSMAPSLHAWDSPNGAPTPMPKRLEGKVAIVTGGARGIGEAIVRLFVKHGAKVVIADIDDAAGEALAAALGPHVGFVRCDVSVEEDVERAVERAVARYGRLDVLCNNAGVLGRQTRAAKSILSFDAGEFDRVLRVNALGAALGMKHAALAMTQRRAGSIISVASVAGVLGGLGPHAYTASKHAIVGLTKNAACELGAHGIRVNCISPFGVATPMLINAWRQGHDASTADDADADIDLDIAVPSDQEVEKMEEVVRGLATLKGATLRPRDIAEAALFLASDDSRYISGHNLVVDGGVTTSRNLIGL >ONIVA02G38820.1 pep chromosome:AWHD00000000:2:33236198:33243287:1 gene:ONIVA02G38820 transcript:ONIVA02G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYTGARFLDFVSNTGRSGGRSEPKRGSRQELANHRQGTAAAAREASASVSELAELDGARDQPEEQDAKFLVLRLYEALNSGDARRAQELLAPDLEWWFHGPPAHQHMMRLLTGADHGESRFLFSPRSVDAFGSTVIAEGTDDTRQLYWVHAWTVGPDGVITQLREYFNTDLTVTRLSASAAKTTAAISSSNSNHASSSAPPPPASKPKCLWQSRRADRAHKSLPGLESCDTSQVSRDTYQVSRDTHPTLTPPTTAALAPLPP >ONIVA02G38810.1 pep chromosome:AWHD00000000:2:33235022:33235903:-1 gene:ONIVA02G38810 transcript:ONIVA02G38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVTFKRRALIAGGDDCWSWSCDLKDKIFFLCWCRTRWSALCADGGCLGSPDGATSYSGGGGPGSLGAGALCGGSGLEISGDVAPSDNLGSPSVAALCGSLQTPGAVASCDSLGALRTTAPCAGDTLSCCFSATVKTLCGCDILFLQSKGSIIRVEQSLLLRSNERLHGTNLLSPMISTSKSMAQQQTFDLCRFRGDSCRSLPVCQAVCMSMEAKGFNRCGFAAELC >ONIVA02G38800.1 pep chromosome:AWHD00000000:2:33223895:33229047:1 gene:ONIVA02G38800 transcript:ONIVA02G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGAQALVLSARKPHAVLKSPSARCCLEQEPGADMVPDGGEGHEIVEVAGEPGAPSSTMRLMDFIPIYIPTVETGALSRSVRKRRFLDFLRAHPSRDWFLRSTFVGRLRRQGQGQAASGDDEEVDSGGGRRRPRRRFRVPFVRKIKWGKLWSYAVSWCRKPENFAMIIWLAFVAAGLLMLFMLMTGMLDSAIPDDEQRKKWTEVINQILNALFTIMCLYQHPKIFHHLVLLLRWRPGAGADREEIRKVYCKDGAPRPHDRAHMLVVVVLLHATCLAQYFCCALFWSYARKERPDWALNIGYGLGTGCPVIAGLYAAYGPLGRKQHEDSDEESAAAQAGGGNRPVENDREVEIKIYNRRVVVSSPEWSGGLFDCCDDGTVCALSATCTFCVFGWNMERLGFGNMYVHAFTFILLCVAPFLIFSVTALNVHDDDIRDTVVSVGVLLGLCSFLYGGFWRTQMRKRYKLPASGCGCGCECGAGGQGHACRAAVSDCAKWLFCWSCALAQEVRTANFYDVEDDRFVFHGARNEDGRAVLVPLPREASTATAHSRSMSCPPKIDAVAALSGASPLGVQMAAINMERSATYSGENHPAAMRPPVPPLMQMDQE >ONIVA02G38790.1 pep chromosome:AWHD00000000:2:33209481:33212472:-1 gene:ONIVA02G38790 transcript:ONIVA02G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33680) TAIR;Acc:AT4G33680] MAASPAAGAAAATVSSFVSPSSFSSVKASKPDRLRPARRAAAVNVRCVSSPPATETSFKTKVPRNANMAKLQAGYLFPEIARRRAAHLLKFPDAKIISLGIGDTTEPIPDVITNAMAERAHALSTVDGYSGYGAEQGEKKLRAAIAATYYADLGIEETDIFVSDGAKCDISRLQVLFGSNVKIAVQDPSYPAYVDSSVIMGQTGLYQEDVQKYGNIEYMKCSPENGFFPDLSSVPRTDIIFFCSPNNPTGAAASRDQLTKLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNISQAGGLGCLSPEGLKAMSDVVGFYKENTKIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKAHVVTTPGSGFGPGGEGFVRVSAFGHRENIIEAARRLKQLYK >ONIVA02G38780.1 pep chromosome:AWHD00000000:2:33206381:33207727:1 gene:ONIVA02G38780 transcript:ONIVA02G38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAERVVGERRMREIQRFARNAKLTVVCLLLTVVVLRGTVGAGKFGTPQQDLIELRHRFISHPHRALAEHHDALSRGGGSSSSSGRAAQRDDEPDPPPRTLRDPPYTLGPKISDWDEQRAAWHRRHPETPPFVNDVKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKMDYCRVHGLEIFYNMALLDAEMAGFWAKLPLLRALLLAHPEIEFLWWMDSDAMFSDMAFELPWERYGPYNLIMHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDFLDTWAPMGPKGPVRIEAGKVLTKYLKDRPVFEADDQSAMVYILATEREKWGDKVYLENGYYLHGYWGILVDRYEEMLENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMERAFNFGDNQILQMYGFTHKSLGSRKVKRIRNETSNPLDVKDELGLLHPAFKAMKTTST >ONIVA02G38770.1 pep chromosome:AWHD00000000:2:33205890:33206276:-1 gene:ONIVA02G38770 transcript:ONIVA02G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARNHRYTASTATAAASAARSGGGGGGGGGRSRGGFEPRSWRRLNKRKGEAEWCRDPRASEARTLASESGLRWLSERSGGGMEGEIWGDAKSESKSNQPPDPAAARRRKKEKEKAEGEGGGGKRGRE >ONIVA02G38760.1 pep chromosome:AWHD00000000:2:33197572:33203797:1 gene:ONIVA02G38760 transcript:ONIVA02G38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNAEKLRGLTITSLDEEDDEPELPHRSPPASGGGGGGGAGYEDDDEEEEEEAEVTLGFLEKPKHPGLLLRHLFPSKAGGIPAWLDPVNLPSGNSRCCGFCGEPLQFVLQIYAPIEDNAASFHRTLFMFMCPSMACLLRDQHDQWKHRQGNPCRSVKVFRCQLPRSNAFYSSEPPKHNDSDKPLCPGAPVCHWCGTWKGDKICSSCKKARYCSEKHQTLHWRSGHKSDCLQLISSSEASSSIFPAVGKVPASKSWPEYEIAIDYEGAFDSDSCDESNSKSLVMQRPGKPDDMMQSWMDQFEADADNKCWASFQERVSRAPKQVLRYCREENAKPLWALSAGCPSNADIPSCSYCRGPLCYEFQIMPQLLYYFGVKNEPDSLDWATIVVYTCKGSCDQNVSYKEEFAWVQLYPTTTTRS >ONIVA02G38750.1 pep chromosome:AWHD00000000:2:33193555:33198625:-1 gene:ONIVA02G38750 transcript:ONIVA02G38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G11240) TAIR;Acc:AT5G11240] MKWVQLSSKKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWRVTDCHPGGVTAVAYSKHGRSLYTGGTDGMVCRINASDGSVVEKFKSSSKAISALAVSPDGEILATAAGQLRTFDASDNKKIQKFSGHPVAVRSMVFSGDSQYVLSSGVGERYVAIWKLGSGKTQSSSCILSMEHPAIFVDCKCSDINDTEGEIHVLAISEIGVCYFWSGTNMDDLRNKKPTKIALSDSSLSRSKQGFAIFAAKLQGIDGPNSAHVLLAYGSVVKPSFDKLLVRYGMDISLGVSDDGVLLPMIQPTKPQKGQSAKKQGIITALDRANAEDAILPLPQLHTQEKKRKHNATESSGDIQSAPHSDLSSTKLIEKKAPVQRIEDDSVCIEDMMRKCGVIDSRVDQGMEGHPSIPTSILSDLFGSSSKIDANLPNKKIRAHLRSLKPGDACELLEKLVSSWKTRSGSAEVVLRWIYCLLIIHGRFIPFEKSKKIISDLEKMCGERYKAAEDLLMLSGRLRLLMAQIVKDPNDVSELPSEEMQDSAAAQSDEEEEDDEIDETVYGEDADSSQTSDDDAE >ONIVA02G38740.1 pep chromosome:AWHD00000000:2:33192163:33192807:-1 gene:ONIVA02G38740 transcript:ONIVA02G38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNSWTHEIESPVAAPRLFRAAVMDWHTLAPKIASHIVASAHPVDGDGSVGSVRQFNFTSAMPFSHMKERLEFLDVDKCECKSTLVEGGGIGKAIETATSHIKVEPAANGGSVVKVESTYKLLPGVEVKDEITKAKESLTGIFKTAEAYLIANPDAYN >ONIVA02G38730.1 pep chromosome:AWHD00000000:2:33188431:33190128:1 gene:ONIVA02G38730 transcript:ONIVA02G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GEC6] MRKGAIIGASTVLVVAVVAAVCVVSFKNGSSNAKEDGELSTSVKSIKSFCQPVDYRETCETTLEQTAGNATNPTDLAKAIFKATSERIEKAVRESAVLNDLKNDPRTSDALKDCEELLDYAIDDLKTTFDKLGGFQTSNFKRAVDDVKTWLSSALTYQETCLDGFENSTSTEASEKMRKALKSSQELTENILAIVDQFADTLANLDITGFSRRLLGDDGVPVWMSNAKRRLLEATPGSKEFKPDVTVAADGSGDFKTINEALAKVPVKSTGTYVMYVKAGTYKEYVSVARNVTNLVMIGDGATKTIITGNKSFMLNITTKDTATMEAIGNGFFMRGIGVENTAGSKNHQAVALRVQSDQSAFYECQFDGHQDTLYTHTSRQYYRDCTITGTIDFIFGNAQVVLQNCRIQVRRCMDNQQNIVTAQGRKEKHSAGGTVIHNCTIEPHEDFKADAAKFKTFLGRPWKEYSRTLYIQSDIGGFIDPQGWLPWLGDFGLNTCYYAEVENRGDGADMSKRAKWRGVKTVTYQQAQQKYTVERFIQGQTWLPKFGVPFIPGLLPQEQSGRIH >ONIVA02G38720.1 pep chromosome:AWHD00000000:2:33183667:33185464:1 gene:ONIVA02G38720 transcript:ONIVA02G38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASAGSGNASGGAGAGASACCYYSLLGIRKNASATDVRAAYRRLAMKWHPDRCVSDPGEANRRFQRIQEAYSGKAHPLGRPQFALATFLSDKGKRAMYDAGLFDPLDDDDQDFSDFMQEMLVMMDNVKNEKPDTLEDLQKMLQDIVSGDGGSRGGGVGGRVPSDGTRRTRVAPYPAQSRR >ONIVA02G38720.2 pep chromosome:AWHD00000000:2:33183669:33185464:1 gene:ONIVA02G38720 transcript:ONIVA02G38720.2 gene_biotype:protein_coding transcript_biotype:protein_coding KYLASARALEPSPGPNPRRPKTLFRYFPTHPSPPRGIITFFFSFSKRPSNPSPLPSPSQFDAAAVAIRIAPPSSPPPPPHPHGRLRRIRQCLRWRRRRRVRVLLLLPARHPEERVRHRRARRLPEARHEVAPGPVRERPRRGQSAVPADPRGVLRSVRQGEASHVRRRAVRSPRRRRPGFLRFHAGDAGDDG >ONIVA02G38700.1 pep chromosome:AWHD00000000:2:33171235:33172341:-1 gene:ONIVA02G38700 transcript:ONIVA02G38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GEC3] MLWAARVSGFFSAAMVMVVLSPSLQSFPPAEAIRSSQFDSHVRFPGQIAGGARGLAFRRAPAFRNAADCGNATGNVCDPSLVHIAITLDEEYLRGSVAAVHSVVQHATCPESVFFHFLVSDPALGDLVRAVFPQLQFKVYYFDPDRVRGLISTSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDVAKLWRTDLGGRTVGAPEYCHANFTKYFTDRFWSDKQFAGTFAGRRPCYFNTGVMVLDLARWRRTGYTRRIERWMEIQKSPAGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVFGSCRDLHPGPVSLLHWSGSGKPWARLGAGRPCPLDALWAPFDLYGPADSAAEGSR >ONIVA02G38690.1 pep chromosome:AWHD00000000:2:33155335:33157350:-1 gene:ONIVA02G38690 transcript:ONIVA02G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCIVVVFGVLVLVAGMAAAQGQVPSPTPAAAAAAPAPTPDCNGILLTYNFEGRAKIRPYVADRNKQPYSFRANATVLNSGTRPLKSWAMLVTFGYGEILVGVDGAVLTGGGEMPYNTTQDAGNATSFSGYPQTDLLTPIATAGDISQIQASVGIVGTLFAGPGPFVPLPTALSLDDPAYRCPQETNVSSGVLSTCCVLTPEAEANATVINANATDPTKNFLPRGTGDLVITYDVLQAYPSSYLALVTLDNNAKLGRLDNWRLSWEWRRGEFIYSMKGAYPSEKDTTGCIYGAAGQYYQSLDFSQVLNCDKKPVILDLPLSRYNDTQIGKIDHCCRNGTILPKSMDETQSKSAFQMQVFKMPPDLNRTKLFPPANFKIAGASSLNPDYTCGQPVPVSPTEFPDPSGLDSTTLAIATWQVVCNITTSKGAKPKCCVTFSAYYNDSVIPCNTCACGCPSNQRGPTCSTTAQSMLLPPEALLVPFNNRTQKALAWAELKHYNVPKPMPCGDYCGVSINWHISTDYNKGWSARMTLFNWDNVDLANWFAAIVMDKAYDGFEKAYSFNSTSVGKNTIFMQGLEGLNYLVKQTNMSGSDYLVPGKQQSVLSFTKKLTPGINVVAGDGFPSKVFFNGDECAMPQRIPMSNSGFRTHLSSVLSLVLVLAASAFVLLQQ >ONIVA02G38680.1 pep chromosome:AWHD00000000:2:33150719:33155140:1 gene:ONIVA02G38680 transcript:ONIVA02G38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVPLDPITPNLVNPNFFDLVMAKKMPLTVDHFMGMDRGRHPDQLAKPRGDDGLLCAGQRKAPSIVSKSRKVAAGGAMEERMAAGEAKTVATDTERKPTRTAPTVRRTTSAEEKTPPSVYRSASSALFPRRPLLVLPPFQPPPHGSRRRRRWGGHRWVAALGRRQRDWGGGLEETWVPPDDNTLLLLSCNSEQVWTLWMEEMDSGK >ONIVA02G38670.1 pep chromosome:AWHD00000000:2:33144515:33149438:-1 gene:ONIVA02G38670 transcript:ONIVA02G38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFPSLASSCLLLSSVIPEPGGRRRLGGSCSALRGAQLICTMSYTNCLRRYPVRAIFPYTNAILRASLEKGSPEKSLTDYNSMLRFTAFCPDYRTYVLLLKACAKCSNIYAIMEIHSQLVKLGLLSNQRIVTHLFKLYIDHGRVMEACKLFWLMLEWSAEPFYGNLMLMGFLKCGQIDKAYQIFKRMPVKDLVSWNSMIAGTARNSCLKDAMNIFSKLVNSGLVPDGFSFSSVLSACAQAGARCYGMWVHQLMAELGLEMNHILSSSLVDMYAKCGRIDVAIGIFKTIKRNHVSVWNTMIGGLAAHGLGSDAVMFFREMESEGLVPDGVTFVALLTACSHSGMVEEARQYFEAMTTKYSITPRIEHYGAMVDTLSRAGLLDEAYDLVKTMNVKPDAVIWRALLSACCRYRQTKLGEITVKEIAFQGSGGYTLLSNIYSSANRWEDSEEVWKERKKKGIRKSKGLSWVELGRSTHEFKAGDRSHPDTDGIYKVLHRLSNKAKSEGYIPLTELVSKDVSEEEREENLTVHSEKLAVAYSILKTMPRTEIMVSKNLQTCSDCHEWMKIVSKNRGNKTRPISTTILARSTRKESKQSFKTSRHLHSESVESSIEVLKQSDLEHLKSLQCYNIPQKVSGVKTDWPATILVFDIETTGFSRRYERIIEFAVRDLMGGKNSTIQTLINPEREIKNAYVHGISSSMVCKPDIPRFREFIPILLQYVLSRQMADKPVLWVAHNGRSFDVPFLMYEFQRSKIEMPGDWLFVDTLPIARQLIDSDGEKLKSVSLDNLREHYKIPLAGSAHRAMQDVITLCYVLQKLTFELKLTVPQLLERSFRVSDLSTPRPGK >ONIVA02G38670.2 pep chromosome:AWHD00000000:2:33144515:33149438:-1 gene:ONIVA02G38670 transcript:ONIVA02G38670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFPSLASSCLLLSSVIPEPGGRRRLGGSCSALRGAQNRGNKTRPISTTILARSTRKESKQSFKTSRHLHSESVESSIEVLKQSDLEHLKSLQCYNIPQKVSGVKTDWPATILVFDIETTGFSRRYERIIEFAVRDLMGGKNSTIQTLINPEREIKNAYVHGISSSMVCKPDIPRFREFIPILLQYVLSRQMADKPVLWVAHNGRSFDVPFLMYEFQRSKIEMPGDWLFVDTLPIARQLIDSDGEKLKSVSLDNLREHYKIPLAGSAHRAMQDVITLCYVLQKLTFELKLTVPQLLERSFRVSDLSTPRPGK >ONIVA02G38670.3 pep chromosome:AWHD00000000:2:33144515:33146249:-1 gene:ONIVA02G38670 transcript:ONIVA02G38670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYSIFCGNFHQLRNSIGSCSSTSLLKCGLIYRTVLQNRGNKTRPISTTILARSTRKESKQSFKTSRHLHSESVESSIEVLKQSDLEHLKSLQCYNIPQKVSGVKTDWPATILVFDIETTGFSRRYERIIEFAVRDLMGGKNSTIQTLINPEREIKNAYVHGISSSMVCKPDIPRFREFIPILLQYVLSRQMADKPVLWVAHNGRSFDVPFLMYEFQRSKIEMPGDWLFVDTLPIARQLIDSDGEKLKSVSLDNLREHYKIPLAGSAHRAMQDVITLCYVLQKLTFELKLTVPQLLERSFRVSDLSTPRPGK >ONIVA02G38670.4 pep chromosome:AWHD00000000:2:33146981:33149438:-1 gene:ONIVA02G38670 transcript:ONIVA02G38670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFPSLASSCLLLSSVIPEPGGRRRLGGSCSALRGAQLICTMSYTNCLRRYPVRAIFPYTNAILRASLEKGSPEKSLTDYNSMLRFTAFCPDYRTYVLLLKACAKCSNIYAIMEIHSQLVKLGLLSNQRIVTHLFKLYIDHGRVMEACKLFWLMLEWSAEPFYGNLMLMGFLKCGQIDKAYQIFKRMPVKDLVSWNSMIAGTARNSCLKDAMNIFSKLVNSGLVPDGFSFSSVLSACAQAGARCYGMWVHQLMAELGLEMNHILSSSLVDMYAKCGRIDVAIGIFKTIKRNHVSVWNTMIGGLAAHGLGSDAVMFFREMESEGLVPDGVTFVALLTACSHSGMVEEARQYFEAMTTKYSITPRIEHYGAMVDTLSRAGLLDEAYDLVKTMNVKPDAVIWRALLSACCRYRQTKLGEITVKEIAFQGSGGYTLLSNIYSSANRWEDSEEVWKERKKKGIRKSKGLSWVELGRSTHEFKAGDRSHPDTDGIYKVLHRLSNKAKSEGYIPLTELVSKDVSEEEREENLTVHSEKLAVAYSILKTMPRTEIMVSKNLQTCSDCHEWMKIVSKVLCRVIIMRDRVRFHRFEGGCCSCKDYW >ONIVA02G38660.1 pep chromosome:AWHD00000000:2:33141449:33143379:-1 gene:ONIVA02G38660 transcript:ONIVA02G38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELSFVSPARSSCFSFEGGGSGSPTWVSTVEALLRSPTSSVSDGGGGGGGGYNSPARASSPLQKQIPDCRDAGDFSSLTWASTLEKPLESPSSCISDGRGGGFGSPTSAFPPEKLLISPPTCVSDNRGVGNGGGFPSLPWASSLERLLTSPSSCVSDSRGVGNADGFPSLPWASSLEKPLTSPSSCVSDGRSGGYSSPLGASAEREREVREAEMLLRAIAERYDDCFLRLRDAAAELSDLHRERLRLAAENLHLSLLLEELESEQRKQASAMAPPKLEEDEAAQGGAPKSISIRSPGYLSQKQPQGQARPQRLRVRASQAMEISHPNCLIFVMGNQCSPKEAAAAGDEEDEEDKGGGEVEVEAYRQGAAKTELCNKWERGACPYGARCRFAHGLQELRPVIRHPRYKTLPCQMFAAASGCPYGHRCHFRHSPLRAAAAESFCY >ONIVA02G38650.1 pep chromosome:AWHD00000000:2:33136513:33139155:-1 gene:ONIVA02G38650 transcript:ONIVA02G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRVLEVEEEVVDGDEDELASPEAKRRRTFINNSSMQEAIGAQYMQRHLPKLEPFLRRVVQEEVQNVLIRHIDSAHRLPLQLKTSSKRYKLQFQGNLPLTLFTGNRVEGENKQPLRIVLTDAVSNQTITSGPLSSMKVELLVLDGDFNADERLEYTEKEFSESIVFEREGKRPLLSGEVIIVLEKGVASIRDISFTDNSSWIRSRKFRLGARISRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGSGMSNKMWEATVEHARECVLDDKLYSYCSGHGIILLFNCIHEVVGVIVGSHCFTLNALTPTQKALVVKLQQDAYKFPNRIVEFKVQSQCTSQSSPTTIQSQSVQMPASENAQILNLPQGAHCFPSGELPSSSQDCLLNPLQYQPLNEALEDVLQTAGGSHHQHQGGSGELPWIASSFGAGGFVDARDPFDVQFSGSQPCGLLLSSSGARL >ONIVA02G38640.1 pep chromosome:AWHD00000000:2:33131647:33135340:1 gene:ONIVA02G38640 transcript:ONIVA02G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAGEEGKAAPSLPLATLIGRELRGGGSERPLVRYGHFGFAKRGEDYFLVKPDCLRVPGDPSSAFSVFAVFDGHNGVSAAVFSKEHLLEHVMSAVPQGIGRDDWLQALPRALVAGFVKTDIDFQRKGEASGTTATLVVVDGFTVTVASVGDSRCILDTQGGVISLLTVDHRLEENVEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSEAAAQACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHSSTPPSLSPKKNQNKLRSLLFGRRSHSSVGKLGNKSASFDSVEELFEEGSAMLGRNFPSKANSSPSRCAICQVDQAPFEDLVTDNGGGCCSAPSTPWVGPYLCSDCRKKKDAMEGKRSSRSTACR >ONIVA02G38630.1 pep chromosome:AWHD00000000:2:33121085:33127004:1 gene:ONIVA02G38630 transcript:ONIVA02G38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAALFSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVPKACAHVVFVNLIRSRCAVCHCSVMVVVNTAADSVLQPDGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSASGKSFVRKNSEPFRRCQSMNEGEVPYEEAGFSGDYHLDSGDMSTSRPLKMLVSAVLSDKRPDEVPQVKAALKNGTDGTKSFSKSKMDTIEVYSKHRQTKKEAYGEVTLKQYSMLQLQSKHVEELKADIRATKAGMEFMQMKYSEDINILGRHLFSLAHAASGYHIVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQVSSCAVGSIDEGNITIITPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALSDLFKLAEQRKGAFIYDIAVQMIEIYNEQVRDLLVNDEIRNNSQNGLNVPDASLVRVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKSAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGESISTLKFAERVSTVELGAARLNKESGEVKELKEQIARLKSSLAMKDSGSEQNINRDPEAFNMKMPSPGFSNRRQGSCELVSSQTNFRQPMEDVGNIEVRANPTLRQKKPSFDLQDLLASNDSPSWPDSISRANFQMGEERETIGGEWIDKVVVNNNNSVGDWEGDSAALPDFFYQRYHSGTRDKQYLRNNSRKKDGNEFEQQRPRFYSTNTDDSDDIDIATSDSSESDALWQFNVQSINSSISENGSKIKKPQTKLRESSDTRTPLHSQIPSASRKTSNGNRSGRQPLSGSDSRRLSSNGRHAGTK >ONIVA02G38620.1 pep chromosome:AWHD00000000:2:33111719:33112735:-1 gene:ONIVA02G38620 transcript:ONIVA02G38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMLGISAGVAVAVAAYGEARFDAFGVMLQLAAVAAEATWLVLIQILVTSKGKSLNPHHLALLYRASRTEDEKEGKTNKDSDTSTQG >ONIVA02G38610.1 pep chromosome:AWHD00000000:2:33110751:33111515:-1 gene:ONIVA02G38610 transcript:ONIVA02G38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAGSPSSSSARKPASRPRLPRRDILVHVEAYLSRRDGVDNLLKVSLYAARLALALAAGQPPLPHAATARLRSFESSVGLSRKAFRLGKFVQSINALRAAAYHPHPHVHPLLVLLAYGGQGVYNFLEQFAWLAKAGLLPARLLPRRLHRIGVWAQLLAHVGSIAIKLEEVAELECGVEARLEEGCGEESEVVRTLSRKLLLKRMSLVQDMVDSAMTVGDVTGRKGLLGSSTLMASAGLLSALISVHKNWNSC >ONIVA02G38600.1 pep chromosome:AWHD00000000:2:33108814:33109542:-1 gene:ONIVA02G38600 transcript:ONIVA02G38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAGSSDSRKPAAHPPPRDFLVHVEAYLSRRDGVDKLLKISRYAARLALAAGPLPPAASARLKSFESSVGLSRKAFRLGKFVQNVNALRAHPHPPPAVALLAYGGEGVYYFLEQFVWLAKAGLLPAHLLPRLQRLSAWAELLGYVGSITIKLEEIGKLESSVKMRLKEGCREESDVVRTLRVKLLLKRMSVVQDVADAVMALGDVTDGKGLLGSSTLMASAGLLSALISAHKNWNSC >ONIVA02G38590.1 pep chromosome:AWHD00000000:2:33102403:33106005:-1 gene:ONIVA02G38590 transcript:ONIVA02G38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSWVVVVVVRGRRWAVLVFSRGWCDRRGMGKGGEGAVPVGESGGRRRRRPGEDGGDDDDEEYVVEEDEEEECDEDLSASSAGEGGEGTDEEYEEGDEDEEEDETPRPRQPVKSRENGRKGKADPPDARSRRRKYEDDDDYSEEEDDGVDEYGEDLEEEEEEDDEAPRSKRMKKRGGRNVEGKLPLERSNCRRYEEDMDFDPDMDEEEEEEDVDFDPEVEDEEEEDFEDEEDDELEATKVRVKNMGRRKSALNQRRGKMKSSSKVASRKVGSVKARNAASIRRRQKKRSMLDRYEDDDFIVEDEVTADWQPRKKARIRKQMEVDPPTPVFEAEIWPTIDSDTTDFEFVTSDEEAAIAEPTRVIKKGRKKRVFVSDSSSDSEFVVSDKELGNLKESEPPESLKVLPSSPRKISVTGNGEHKGKEKKEPQEAGRATCGICLSEEQRVTVQGVLDCCSHYFCFACIMQWSKVESRCPLCKRRFTTITKSSKEDTGLELTNSVIRVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLDGEAHSYHNHVNGNSGMFGAISPIGTFERQGIDLNVSPREIPRGNHSVESQASTAGASTPSGRQTNATNFRRRQMHDWIRSLLSRPRTTLGPVMHHNGVHQSSFVPSTEPDHMNFCAPLESDTLHNTGSVPRSEPSQNFHVMSEANTSETSFGRHAALSERRQIYERFFMLLSRPSPTIRPDLCHNASEHGSSIPRVEPNHMNFHAPPVANSPQTLLDGIPNRSNGFSFTQAHSNFVDGNNFQGTEGV >ONIVA02G38590.2 pep chromosome:AWHD00000000:2:33102403:33105613:-1 gene:ONIVA02G38590 transcript:ONIVA02G38590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEGAVPVGESGGRRRRRPGEDGGDDDDEEYVVEEDEEEECDEDLSASSAGEGGEGTDEEYEEGDEDEEEDETPRPRQPVKSRENGRKGKADPPDARSRRRKYEDDDDYSEEEDDGVDEYGEDLEEEEEEDDEAPRSKRMKKRGGRNVEGKLPLERSNCRRYEEDMDFDPDMDEEEEEEDVDFDPEVEDEEEEDFEDEEDDELEATKVRVKNMGRRKSALNQRRGKMKSSSKVASRKVGSVKARNAASIRRRQKKRSMLDRYEDDDFIVEDEVTADWQPRKKARIRKQMEVDPPTPVFEAEIWPTIDSDTTDFEFVTSDEEAAIAEPTRVIKKGRKKRVFVSDSSSDSEFVVSDKELGNLKESEPPESLKVLPSSPRKISVTGNGEHKGKEKKEPQEAGRATCGICLSEEQRVTVQGVLDCCSHYFCFACIMQWSKVESRCPLCKRRFTTITKSSKEDTGLELTNSVIRVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLDGEAHSYHNHVNGNSGMFGAISPIGTFERQGIDLNVSPREIPRGNHSVESQASTAGASTPSGRQTNATNFRRRQMHDWIRSLLSRPRTTLGPVMHHNGVHQSSFVPSTEPDHMNFCAPLESDTLHNTGSVPRSEPSQNFHVMSEANTSETSFGRHAALSERRQIYERFFMLLSRPSPTIRPDLCHNASEHGSSIPRVEPNHMNFHAPPVANSPQTLLDGIPNRSNGFSFTQAHSNFVDGNNFQGTEGV >ONIVA02G38580.1 pep chromosome:AWHD00000000:2:33095417:33096173:1 gene:ONIVA02G38580 transcript:ONIVA02G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGRRAWQGRAWQERNVAAEGVALEAEGVLAGAEGGVGGRATEASSASAAGHAGDLRLHRRSSGRASPPPSVV >ONIVA02G38570.1 pep chromosome:AWHD00000000:2:33094488:33094925:-1 gene:ONIVA02G38570 transcript:ONIVA02G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADYEYRAYGAPADHNRPYHGREVVPYGDRRIDVVVKPPGTTTTTTTRSPPPPLPVTKVGGGGGGMGSAWCFSDPEMKRRRRVASYKAYSVEGKVKSSLRRGFRWIKAKCSELIHGWYGSLLLPLSFSLDDFIITSKQALS >ONIVA02G38560.1 pep chromosome:AWHD00000000:2:33089228:33091941:-1 gene:ONIVA02G38560 transcript:ONIVA02G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKILQWHSVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGSCNHAFHLHCILKWGRKLHLVHVVLSRVQAPGSLATVGKSRDLPFYMAPNFR >ONIVA02G38550.1 pep chromosome:AWHD00000000:2:33086630:33087274:-1 gene:ONIVA02G38550 transcript:ONIVA02G38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAAAAANPKQKQKQQKASIGRRAWRLLRLAVLWARKGSAVHSLRLLSNLRRAGVGLGVVGRGDRLGYGEREYSIEETPAFRFRTPSARVLRLIPCIAPAVPDTPGLYGDEDRYFFARRDTEPECGGGVGYYDYNGEPGECGGVDNESFRDGAMEEQLLELSMLEASAAAVTEDAGVDAKAEEFIAKFHAQMKLQRQISWLQYNEMMERSLR >ONIVA02G38540.1 pep chromosome:AWHD00000000:2:33073639:33077529:-1 gene:ONIVA02G38540 transcript:ONIVA02G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKGGAKIGGGGGGGGGGGGGGLFNLFDWKRKSRKKLFSNSPAFFRVIFVTEGAKLVKRGEETLPSGRLHLIDDDEGIGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDAMPSTGVPEPYCTPFRDTRSFRDSQSLKRSPEFSGSDQFSYVPRRVDGYMRKPLDLRAQKMPSSPIERFQIETLPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEAAAKILEPRPQVSSREKICSYSPARIPLRISETRENIPASQRAVSRQLQSSRTNLELPDVRFSRGQQMNRSWNSEDDIVIFRTSSDSYEINNPGFSKNNKGKSISLALQAKVNVQKREGLGSSGKNSGGQKDRDECRTSQPFRSQSNAQKNKQQKKPSSSGTSSPVLRQNNQKQNSMVSRGKSAPNKSVSSQQGRKMAGDSSTGKLKNASKISKGGSRKDIVESISCDKEGSSSNNKDFPQKKRLIERNSTNEKGMFVPEKSAARLQKQVQPNVVMDEHIKWNNDSKDSTDVVSFTFTSPLVKPSAGPSRLSGKWDTRSNFNLDAINEKDDSDKKSEGLSSVGLNFVNGDALSLLLEKKLKELTSKIEPSINFTRGDTFVPTNFSLEEPVVSSSSNWDMESGVFDCSPSEGKPSQYVDYCQSAQSSTKGQIFRGSKLQVEEPEECSSISNARKEQEHEDLSPLSVLEPTFLNESCWSSDCCSGSSDGSKGYSSSSEVKNMPKNFLSNPPSVDAEAKTTDSVSSSSIDASDTSASIDASDISDITQCSKKSRNSELEYIGDVLGNVNLTKGGLGSLFISQDDVSVMDPHLFNKLESMNLYTQGKKNLDRRGYRKLLFDCVSECLETRRLTYFRAGYAAWSKGMAAMSRGIETEVCNEISGWRSMGEWVEDELVDKDMSSGLGTWVDFRVEEFETGEELEREILSSLVDEVIGDVFVRRRDGRSVNL >ONIVA02G38530.1 pep chromosome:AWHD00000000:2:33068816:33069886:-1 gene:ONIVA02G38530 transcript:ONIVA02G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIDSSCSTPFASAPSSPGRSPATGGGYFFSAPASPIHHLLLSSSSVASGVVSGAGYGGVGDAEFEFGGPGGPMISADELFHNGQIRPLTLPPLPDLDPGSDDDDGGGGDFRPGPVRGRELTLRSGSVHRRTRSMSPLRGASPRLKLLNALVPAPDLGSEPTPSHSAGSEEATPPVTASSRSSSSSSTSSSSSSSSSARGSRRWVFLKDMLLHRSKSEPGGAHAHDAPAKPEKAWQFSPSWASSRDRIAAKLRAARSPLPPQPQSEASSDAGGGEEAQATRGRARGGKGRRRSSTVAAAHERLYAARNRAQAEEMRRRTFLPYRQGLLGCLGFSSRGYGALHGFTKTLNPVFSR >ONIVA02G38520.1 pep chromosome:AWHD00000000:2:33058998:33059730:-1 gene:ONIVA02G38520 transcript:ONIVA02G38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMYRHLRDRSKGGASHLHGGKSSRDKKARASPAAGAKGGGAGHGMADASAEMTKRSAYFRQIEEDVGTHPAAILELKDAVGAFQSMDMGELARFHCSSRVRPGAHDKPAHSPRVRLLCHGAVHARRVPAGRPDWLPLLVLARFEGFPSKKLEALRMAAALYSKLDGVVATPSILNMQKKILTF >ONIVA02G38510.1 pep chromosome:AWHD00000000:2:33052809:33056975:-1 gene:ONIVA02G38510 transcript:ONIVA02G38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGRGSQEEEHLDLIMRHHASMGLDRCESEEALGSSESEQPTRPARPRGKRSRAAEVHNLSEKRRRSRINEKMKALQSLIPNSSKTDKASMLDDAIEYLKQLQLQVQMLSMRNGLYVPPVNLSGAPEHLPIPQMSAALDQNSAKASDPSVVLQPVNQTSGALLPFELASQHKPLFLPGVPNATALEPRFLVESSRSNLQSLRFTEPAEMIYPDEMMLKHRLTSANESTIVPGTDEKSVRQNTYMMNADRFDRYALSKDQLQHIMPKNTENYKLTIQLPILDRLQTSDTKVRVEGRIKMNEHNYRTDASQLLKDTDNVGSSNISSGRELNTLLGHRDDNWNAK >ONIVA02G38500.1 pep chromosome:AWHD00000000:2:33051951:33056520:1 gene:ONIVA02G38500 transcript:ONIVA02G38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen [Source:UniProtKB/TrEMBL;Acc:A0A0E0GE99] MLELRLVQGSLLKKVLEAIRELVTDANFDCSGTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPNQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSSEFSRICKDLSSIGDTVIISVTKEGVKFSTAGDIGTANIVCRQNKTVDKPEDATIIEMQEPVSLTFALRYMNSFTKASPLSEQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEMKS >ONIVA02G38490.1 pep chromosome:AWHD00000000:2:33049176:33050580:1 gene:ONIVA02G38490 transcript:ONIVA02G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GE98] MELELGLAPPNSGHLVVDELSSSSGGGGSGSAPVSASSAGKRGFREAFQETLLLFDDGSCCNTSDDDCRRRKKTVVGWPPVSSARRACGGANYVKVKKEGDAIGRKVDLALHSSYDELAATLARMFPTNDHQGEKKMANDDHGDAAGPVVTYEDGDGDWMLVGDVPWDDFARSVKRLKILG >ONIVA02G38480.1 pep chromosome:AWHD00000000:2:33037239:33044728:-1 gene:ONIVA02G38480 transcript:ONIVA02G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMGPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIREAIGENQQEIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCAIRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCVEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKNESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDSADPDFKEDLTAKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVWHALVVVISNASELQGYSVRLLYMALQAFVDQGSLVRVAVWCIGEYGEMLVNNVGMLQGEEPITVTESDAVDAVQLALNRYSADVTTRAMCLVALLKLSSRFPSTSERIKQIVSQNKKNIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLLRRASATQATLAADKPTPAVTPGGLKLPNGVAKPATSPLVDLLDLSSDDVPAITTASTTTAPNDFLQDLLGIGGISSSPAAAPSSASTDILIDLLSIGSSPSQNGPPAADSTPAQGTKSAPAVPQDMDLLDGLPSNTSVSGLVNHSSITAFQSATLKINFDFKKQPEKPHETTVHATFTNLTSSSYMDFVFQAAVPKFIQLRLDPASGNIVPASGNGSVTQGFSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPAGL >ONIVA02G38480.2 pep chromosome:AWHD00000000:2:33037239:33044728:-1 gene:ONIVA02G38480 transcript:ONIVA02G38480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMGPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIREAIGENQQEIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCAIRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCVEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKNESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDSADPDFKEDLTAKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVWHALVVVISNASELQGYSVSLVRVAVWCIGEYGEMLVNNVGMLQGEEPITVTESDAVDAVQLALNRYSADVTTRAMCLVALLKLSSRFPSTSERIKQIVSQNKKNIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLLRRASATQATLAADKPTPAVTPGGLKLPNGVAKPATSPLVDLLDLSSDDVPAITTASTTTAPNDFLQDLLGIGGISSSPAAAPSSASTDILIDLLSIGSSPSQNGPPAADSTPAQGTKSAPAVPQDMDLLDGLPSNTSVSGLVNHSSITAFQSATLKINFDFKKQPEKPHETTVHATFTNLTSSSYMDFVFQAAVPKFIQLRLDPASGNIVPASGNGSVTQGFSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPAGL >ONIVA02G38480.3 pep chromosome:AWHD00000000:2:33037239:33044728:-1 gene:ONIVA02G38480 transcript:ONIVA02G38480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMGPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIREAIGENQQEIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCAIRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCVEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKNESNKNAANAILYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDSADPDFKEDLTAKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVWHALVVVISNASELQGYSVRLLYMALQAFVDQGSLVRVAVWCIGEYGEMLVNNVGMLQGEEPITVTESDAVDAVQLALNRYSADVTTRAMCLVALLKLSSRFPSTSERIKQIVSQNKKNIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLLRRASATQATLAADKPTPAVTPGGLKLPNGVAKPATSPLVDLLDLSSDDVPAITTASTTTAPNDFLQDLLGIGGISSSPAAAPSSASTDILIDLLSIGSSPSQNGPPAADSTPAQGTKSAPAVPQDMDLLDGLPSNTSVSGLVNHSSITAFQSATLKINFDFKKQPEKPHETTVHATFTNLTSSSYMDFVFQAAVPKFIQLRLDPASGNIVPASGNGSVTQGFSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPAGL >ONIVA02G38480.4 pep chromosome:AWHD00000000:2:33037239:33044728:-1 gene:ONIVA02G38480 transcript:ONIVA02G38480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMGPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIREAIGENQQEIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCAIRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCVEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLMRILGQGDADCSEYMNDILAQVATKNESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDSADPDFKEDLTAKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVWHALVVVISNASELQGYSVRLLYMALQAFVDQGSLVRVAVWCIGEYGEMLVNNVGMLQGEEPITIIIAIFFSAIIYLAVLGSWFCTYFGHLVEDNWRIKQIVSQNKKNIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYLLRRASATQATLAADKPTPAVTPGGLKLPNGVAKPATSPLVDLLDLSSDDVPAITTASTTTAPNDFLQDLLGIGGISSSPAAAPSSASTDILIDLLSIGSSPSQNGPPAADSTPAQGTKSAPAVPQDMDLLDGLPSNTSVSGLVNHSSITAFQSATLKINFDFKKQPEKPHETTVHATFTNLTSSSYMDFVFQAAVPKFIQLRLDPASGNIVPASGNGSVTQGFSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPAGL >ONIVA02G38470.1 pep chromosome:AWHD00000000:2:33034231:33036779:-1 gene:ONIVA02G38470 transcript:ONIVA02G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDDGEQRACTAAAGDGDGGGDDHLSGLLDDVLLDILEKVVMDGDAHAAARTCILSRWWRHLPWHDITTVSLDVDDFIPDKENACTTGNLAAALASFLAAPPSKRAIDKLHLKLVLTTDDDRVHRVGALVGHAFDTGRVKAGAVELEILAESACAFVDDDDEEQKRLMLGNGRRFTRLRRACPGAFRSLARLTVHNLWFDGGDTAATITHLLHGCPALEYLDMFYCGFVPFSVMTIDAPPESRLATLVFDQCHAAGIELVNAPKLLRVARRRAGGLTDNHVCSAEWRKRWPSPPARQPSPGFKHHHLAELRIRHAFGVAVDLPFARMAMEVAVNLELLAMGVESLECDG >ONIVA02G38460.1 pep chromosome:AWHD00000000:2:33028523:33033956:1 gene:ONIVA02G38460 transcript:ONIVA02G38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GE92] MYVVKRDGRQEAVHFDKITARLKRLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLGELAAETAAAMTASHPDYASLAARIAISNLHKTTMKSFSETIKVMYMHYDERSGLLAPLIADDVYEIIMKNTTRLDSEIIYDRDFDYDYFGFKTLERSYLLKVVGKVVERPQHMLMRVSIGIHKDDIESAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECATISKCAGGIGLSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWIPDLFMERVQNNENWSLFCPNEAPGLADCWGDEFQNLYKKYEREGKAKKVVSAQALWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIGLPRFVREKGVPMESHPAKLVGSNGSKNRYFDFDKLAEITSIVTRNLNKIIDTNYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSTEAQQLNKDIFETIYYHALKASAEIAAKEGPYETYSGSPVSKGILQPDMWNVVPSDRWNWSDLREMISKVGVRNSLLIAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPVLKNKIVYEDGSVQKISEIPDDLKAIYRTVWEIKQKTIVDMAIDRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKDKQHNAEEEDVQSKMAEVVCSLNNRDECLACGS >ONIVA02G38450.1 pep chromosome:AWHD00000000:2:33025203:33027735:1 gene:ONIVA02G38450 transcript:ONIVA02G38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFPCCIWGFFFLPRLRVNDPIALELLSKSGEIFHYIMNKKNLVCWWPRRPNNRAIFEG >ONIVA02G38440.1 pep chromosome:AWHD00000000:2:33020677:33024075:-1 gene:ONIVA02G38440 transcript:ONIVA02G38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GE89] MEGGGDGDGGRSRAEAIMRELERLRAEREELDGRIRLLESQLRLGAAPLPPSAAAEVEPTGSPSSSSAAAADMISRYRRHLLLPQFGLEGQRKLSQSSILVVGAGGLGSPVAMYLAACGVGCLGIVDGDRVELDNLHRQIIHIEAYVGQPKVKSAAASCRAINSSIKVFEYHITLNASNALDIMRQYDIVVDATNNLPSRYMISDCCVLMNKPLISGSAVGLEGQLTVYHHNGSPCYRCLYPNPPSSPTSQSCSDNGILGIRVIGCLQALEAIKVATAVGKPLCGRMLHFDALSSHTRIVKISRSSPTCKVCGENPVFTKEDFVNFDYESFTQSPMSKNSTTRSLNLLPENARVSCRDYKKMLDSGRPHLLVDVRPSHHFLIASMAHSINVPLSLLEEKLPLLRDSAREVSSRRDGRQHCPVYVICRRGNDSQVAVQILRENGFLYASDVAGGFESWAKEVDPSFLLY >ONIVA02G38440.2 pep chromosome:AWHD00000000:2:33020677:33024075:-1 gene:ONIVA02G38440 transcript:ONIVA02G38440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GE89] MEGGGDGDGGRSRAEAIMRELERLRAEREELDGRIRLLESQLRLGAAPLPPSAAAEVEPTGSPSSSSAAAADMISRYRRHLLLPQFGLEGQRKLSQSSILVVGAGGLGSPVAMYLAACGVGCLGIVDGDRVELDNLHRQIIHIEAYVGQPKVKSAAASCRAYDIVVDATNNLPSRYMISDCCVLMNKPLISGSAVGLEGQLTVYHHNGSPCYRCLYPNPPSSPTSQSCSDNGILGIRVIGCLQALEAIKVATAVGKPLCGRMLHFDALSSHTRIVKISRSSPTCKVCGENPVFTKEDFVNFDYESFTQSPMSKNSTTRSLNLLPENARVSCRDYKKMLDSGRPHLLVDVRPSHHFLIASMAHSINVPLSLLEEKLPLLRDSAREVSSRRDGRQHCPVYVICRRGNDSQVAVQILRENGFLYASDVAGGFESWAKEVDPSFLLY >ONIVA02G38430.1 pep chromosome:AWHD00000000:2:33012085:33018583:-1 gene:ONIVA02G38430 transcript:ONIVA02G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT1G80030) TAIR;Acc:AT1G80030] MALATSTLPFAPSNPSPSSRSAAAAFSPRGGVHFAAARSGLPLACAAPRHRGRPPPRRRRGGCLVVWASADYYATLGVPRSASNKDIKAAYRKLARQYHPDVNKEPGATDKFKEISAAYEVLSDEKKRALYDQYGEAGVRSAVGGSAGAYTSNPFDLFETFFGASMGGFSGMDQGTFRTRRRSTAVQGEDIRYDVNLGFSEAIFGTEKDIILSHLETCDACAGSGSKIGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSICPTCAGEGEVISEYCKKCAGEGRVRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGGPPGDLFVCLDIEEPADIKRDGINLYSTVSVSYIEAILGTVKKVRTVDGNSELRIPPGTQPGDVVVLAKQGVPSLNKPSVRGDHLFTIKVTIPKRISGREKELLEELASLSNGGFARAPVKPKSIRQENETTVAQENSDQPDEGEGDWLKKLQDFAGSIANGAAKWLKDNL >ONIVA02G38420.1 pep chromosome:AWHD00000000:2:33010694:33011286:-1 gene:ONIVA02G38420 transcript:ONIVA02G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTINKATTMFGLVMSGNRMLPYLIAAAPPTDPRPNFQSIADLDSPRVRLRVDVGGFTGEASGHATAAALAPLLLEAAAWRRV >ONIVA02G38410.1 pep chromosome:AWHD00000000:2:33007415:33012136:1 gene:ONIVA02G38410 transcript:ONIVA02G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57280) TAIR;Acc:AT5G57280] MVTHGGGGGGGARTIWDNEAAAAAGVMRRSPRCVGEFIGDPLLGRDIVLGLWDSRPISRVSLHRRPMKSPVERRSVVGTLGESRREHTTDLCGGGEERRGEKPRRRQRGERMPRPEVQAPPEIFYNDSEARKYTTSSRIIEIQSRITERALELLALPNDGVPKLLLDIGCGSGLSGETLTEQGHHWIGYDISKSMLDVALEREAEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSCHNPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTAAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSLNSSLPKGKGQDGAMCSDDDESDDGSGDEDGAQTVGIYERNRPKKRQKTKKNCKGKAWLLNKKEQLRRRGREVPADTKYTGRKRKSYF >ONIVA02G38410.2 pep chromosome:AWHD00000000:2:33007613:33012136:1 gene:ONIVA02G38410 transcript:ONIVA02G38410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57280) TAIR;Acc:AT5G57280] MKSPVERRSVVGTLGESRREHTTDLCGGGEERRGEKPRRRQRGERMPRPEVQAPPEIFYNDSEARKYTTSSRIIEIQSRITERALELLALPNDGVPKLLLDIGCGSGLSGETLTEQGHHWIGYDISKSMLDVALEREAEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSCHNPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTAAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSLNSSLPKGKGQDGAMCSDDDESDDGSGDEDGAQTVGIYERNRPKKRQKTKKNCKGKAWLLNKKEQLRRRGREVPADTKYTGRKRKSYF >ONIVA02G38400.1 pep chromosome:AWHD00000000:2:33007127:33007426:-1 gene:ONIVA02G38400 transcript:ONIVA02G38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S30 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GE84] MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >ONIVA02G38380.1 pep chromosome:AWHD00000000:2:32986648:32988041:1 gene:ONIVA02G38380 transcript:ONIVA02G38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GE83] MGEGGGGGLDVVMFPWLAFGHMIPFLQLSKRLAARGHAVSFLSTPRNLARLPPGLPEGAESTADVTPDKDGLVKKACDGLAAPFAAFLAGRAKRPDWIVVDFCHHWLPPIADEHCVPCAMFHIIPAAMNAMFGPRWANARYPRTAPEDFTVPPKWIPFPSTIAFRRREFGWIAGAFKPNASGLPDVERFWRTEERCRLIINRSCHELEPPQLFDFLTGLFRKPTVPAGILPPTTNLVTDDDDDDDRSEVLQWLDGQPPKSVIYVALGSEAPLSANDLHELALGLELAGVRFLWAIRSPTAGGVLPDGFEQRTRGRGVVWGRWVAQVRVLAHGAVGAFLTHCGWGSTIEGVALGQPLVMLPLVVDQGIIARAMAERGVGVEIARDESDGSFDRDAVAAAVRRVAVGGEREAFASNANRIKDVVGDQEREERYIDELVGYLRRYS >ONIVA02G38370.1 pep chromosome:AWHD00000000:2:32974051:32977669:-1 gene:ONIVA02G38370 transcript:ONIVA02G38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPAAAAAMAVDDADDDQLASMSTEDIVRATRLLDNETRVLKDELQRTNLEVESYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPEKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >ONIVA02G38360.1 pep chromosome:AWHD00000000:2:32971801:32973792:1 gene:ONIVA02G38360 transcript:ONIVA02G38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIQYAAVARGAVVMAEHGDAAFPNAGAVARQILDRLSAGDGGGGGDCNVSYTQDLHVFHVKRTDGVTALCMADDAAGRRIPFAFLEDIHGRFVKTYGRAALTALAYAMNDEFSRVLGQQMDYYSNDPNADRISRMRGEMDQVRNVMIDNIDKVLERGDRLELLVDKTATMQGNTMRFKRQARRFRNTVWWRNVKLTAASVFILLVIIYIVLVYMCHGFTLPSCI >ONIVA02G38350.1 pep chromosome:AWHD00000000:2:32968980:32971802:-1 gene:ONIVA02G38350 transcript:ONIVA02G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 (VPS55) family protein [Source:Projected from Arabidopsis thaliana (AT1G32410) TAIR;Acc:AT1G32410] MDLVVTSLVEKKTRDASSWAVHRITNPSIQLASSSSPTTPGNSSAPRLASPPRHPRPLVAASPPSLRVRRRAAAAEAAWGAFPRDAARACALYNNWWPMLTVLMYLILPMPLIFFLGSNSPSMMSNDGDTWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFLVFGVAILWLIQMNSEDEYSSAF >ONIVA02G38350.2 pep chromosome:AWHD00000000:2:32968980:32969873:-1 gene:ONIVA02G38350 transcript:ONIVA02G38350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 (VPS55) family protein [Source:Projected from Arabidopsis thaliana (AT1G32410) TAIR;Acc:AT1G32410] MARSMRTCLHSGRLALLAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSNSPSMMSNDGDTWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFLVFGVAILWLIQMNSEDEYSSAF >ONIVA02G38340.1 pep chromosome:AWHD00000000:2:32963468:32968502:1 gene:ONIVA02G38340 transcript:ONIVA02G38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTSIRKYVGALKDTTTVSIAKVNSDYKDLDIAIVKATNHVENLPKEKYIRGDIFYHLSAGRARADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDPTFRDELISYGRSSTHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERLESFRVLKYDVEKDPPRTRDLDTVGLLEQLPALQQLLFRLLGCQPQGSSSYNNIIQHALSMVALESVRIHTAINDGILNLVDKFFEMQRDDALRALDLFKRAINQAGQLSEFYEMCKTIHIGRGERFLKIELPPTSFLQAMEEYVRDAPLASINQRNQAVLAIEYKRKPEDEESSSSAPLPPPPVSTSESEPEPEPEPVKEVSPVHEPTDLLGMNEPTPDVSEIDQKNSLALAIVQPDNTPKAAAPTTENVATSWELALVAAPSSNGNAATSNKLAGGLDLLTLDSLYNEAHRQAQQNASYNPWEAAAPASSGPMMQQPMQNPFYASNAIAPPLNVQMAAMAQQQQHMFMLQQQQQQYDQQQQQMMMMMGRQPYDQQQGSSSNPFASPYMSAGVHPYGPGMQLHAGNSYTYSGTGMM >ONIVA02G38330.1 pep chromosome:AWHD00000000:2:32951190:32959630:1 gene:ONIVA02G38330 transcript:ONIVA02G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) TAIR;Acc:AT2G11000] MEASSSSTSSPPPPPPPSIPASSAWADASPLLDAACRDLQDGELIHGENFSLFGAMSALEIMDPKMDCGIEKSGYYSIDEAIEDGIAPVPLSLDRTLDIQRTLDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAILNSFCRILRATCYAVVSVVSTARTHEEEDLFTMSFGLPLRDEGDEKCLSILNSVEETIARQLRACKAQALSRKKTLEGLESLQDNPDLEEDYCRALLCRLRFRKHFYHVVTCLRKPHGRGLELARKHVASCLTELSLMLNSRDFLRSQSNNTQQQGDEICTTASGVRPVGFDASLNSRLLSPAPPRAVKLLSWSDAIRYFEKLLRDLDIICSSPLDPVLENVLHFVVQFQKSVPDLVPRAFLQTLLVQDGKLYGRDLSCDVISRALSLPDIIGDKEFQMNEFVVQLGQLEFALKREFGETRNVLPHENMCMRVSKQLLVWTQEHTYWVAYRFLILGFELDLYSPSEYCMVYWYMYVVLMKLIEQMQLRILATRRKGKKKKDHSKDSSRDTAFPSSCLLLQCYVLLSEGLSMMLAALRNESNSFQLPSIFNSEQEHFDLLQKARLPEHITYYSFRESASHASIADLTKYNFFKEIHKITPSLRGSFASEPEKLAEIRQIEQVAEHNRIALNIISQVGAGDPSLRVSFEFTHHPHFAVAVVKRS >ONIVA02G38320.1 pep chromosome:AWHD00000000:2:32941613:32950703:1 gene:ONIVA02G38320 transcript:ONIVA02G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRINLWKNPLLLPRPFSSSSSPIPTAPPASSIDDIRRQIEGAPPGSSPDGAAPSLLDLVRSTSSPTSPQGPNSGHFSSLAESLRNLPSGRQPQQRRQPRSTTPFLSPTAHPIFGRELGENARKAEGKEENSAIELKKEYSYAELGKKLGQLRPSGAGNDGKEWFSLEELQGRIAKLANLDIADDMRLGGQYVALRKSLLGIQADQKTKDDIKKTRSRIVIFGEHWWAGNAAILAASSTGGVAREDHMSSEEKMKLELQRVRDEFKMSENDCGSARVQIAQLTVKIKHLSTVLHKKDKHSRKGLQDMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKAPDYNNKSTSKAKSKKSKSKKRKMKA >ONIVA02G38320.2 pep chromosome:AWHD00000000:2:32947351:32950703:1 gene:ONIVA02G38320 transcript:ONIVA02G38320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSADEPRLAGPAHRVLRGRGTRPNARPIHHSSPSASLLLPPKTLCRGGRSEPRPPPAAAAAMALRRINLRKKPLLLFPRPFSSSSSPNPPFPPPPPPPNDRDDASPKPSEGEGRNPAASLFQDLRDRLMSTPSHLPSRRIPTAPPPRPSGNAEPVASIDDIRRQLESYRGSLAARGAPPGSSPDGAAPSLLDLVRSTSSPTSPQGPNSGHFSSLAESLRNLPSGRQPQQRRQPRSTTPFLSPTAHPIFGRELGENARKAEGKEENSAIELKKEYSYAELGKKLGQLRPSGAGNDGKEWFSLEELQGRIAKLANLDIADDMRLGGQYVALRKSLLGIQADQKTKDDIKKTRSMQGLSFLANIGGPATPQYLQHPPQEELLERYFHPDHMSSEEKMKLELQRVRDEFKMSENDCGSARVQIAQLTVKIKHLSTVLHKKDKHSRKGLQDMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKAPDYNNKSTSKAKSKKSKSKKRKMKA >ONIVA02G38320.3 pep chromosome:AWHD00000000:2:32941613:32947541:1 gene:ONIVA02G38320 transcript:ONIVA02G38320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRINLWKNPLLLPRPFSSSSSPIPTAPPASSIDDIRRQIESLAARGAPPGSSAASRSPPEPGEGEGRNPETSLFQEMHDQIPIAPSFHHHQLDQEVGNFPYVLDNGAGECWAGPPRSARARHASECSPNPPLVALRFASAPPQNPMQRRTK >ONIVA02G38310.1 pep chromosome:AWHD00000000:2:32938812:32940029:-1 gene:ONIVA02G38310 transcript:ONIVA02G38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDFLRVLRALRDAARRVEAAAGGDDGPALHALLALDASADDLLAGDPDLGTLRRLLARIGALSWSIRFAGDGGGGGGVVGCLRGRWRRCEARRGIARAAGGVAGEIQAWIDRENVARLVAALRSSGGDDAARARLAELEARLGRFDPRLQRALLRHGVFAAVEAKLGDPGVGDGCAAAVLALVRFNKDVFVGPVLMGRAVGALVASASASPEPLRALNGLVAAIRSPLVDELHARGELPRLVSLLCSADPRIRALALEFALRVGYYARKEIVDALLAEGLVKRLLCLQRSDSETFDSYFSPQEKPDHGIIRGVIFFAGILGCQREDDDADDGGGGGAATSSARPFVSAVARFAVQVEVGEGLSQREKRAAKLEILRRVREAAVSPAEEATVLADVLWGATP >ONIVA02G38300.1 pep chromosome:AWHD00000000:2:32931699:32936487:1 gene:ONIVA02G38300 transcript:ONIVA02G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSSMASPRGRSIRETVLETVAAYHQQQRMRRKFRKSLSYAGELSSAGRARGEGGASSSASTTSLCGPDEDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFGDDYRVFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHTTSPRWVHCFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFVTVITDLNTCHPTWFHADVNRCYCPSEEVAKRAALDDLQPSQIRVFGLPIRPSFCRAVLVKDDLRKELELDPELPAVLLMGGGEGMGPVKKTAKALGESLFDKELGKPIGQLIVICGRNKTLSSSLQALEWKIPIKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSSRETAKLVARWFGPDSDELKRMSEKALKLAQPEAVFDIVRDIHELSREQGVISQISSSLTSSFFIPSPETTPIQLM >ONIVA02G38290.1 pep chromosome:AWHD00000000:2:32925240:32929058:1 gene:ONIVA02G38290 transcript:ONIVA02G38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTCVMLLPCWCREACCIWLVSERHTADTSELVMNNHIMCGIGVKKSVSRQHLKAPVWNRLANCSVREGAGAIDLSSKTRTATTTGFAWMATHTTRACNAAYSYLISSFYSKLSAQRGTLYKDATLQVCNRDANANANANAEAHGLPIGLTKRGPQYFVATPNSELQLPIIVRRKSRVIITVTDSFVSPKSSGVCLSTTNFLVLGKLFHNPLSNECIIDLVSRYELGLTDWWRREYGGLDFAYGTQQKTQASNDSVLL >ONIVA02G38280.1 pep chromosome:AWHD00000000:2:32919189:32923221:-1 gene:ONIVA02G38280 transcript:ONIVA02G38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDVATEVLIPIAAIIGIGFSIAQWVLVARVKLAPSQPGASRSKDGYGDSLIEEEEGLNDHNVVAKCAEIQNAIAEGATSFLFTEYQYVGVFMSIFAVVIFLFLGSVEGFSTKTHPCTYSKDKECKPALFNALFSTVSFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASNGLLVLYIAINLFKMYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSMGIIVCLITTLFATDFFKIKAVKEIEPSLKKQLIISTALMTVGIALVSWLALPYKFTIFNFGEQKEVTNWGLFLCVSIGLWAGLIIGYVTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIALGIYVSFTIAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVIIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTGKPDYANCVKISTDASIKQMIPPGALVMLTPLIVGTLFGVQTLSGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKLF >ONIVA02G38270.1 pep chromosome:AWHD00000000:2:32915706:32918096:-1 gene:ONIVA02G38270 transcript:ONIVA02G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT3G18430) TAIR;Acc:AT3G18430] MGNASSMLTQYDIEEVQEHCNYLFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKRLLRMVDGLNFKDFVSFLSTFSAKASVQQKIELIFKVYDIDGKGKVTFKDLVEVLRDQTGSFMTEEQRERVITNVLEEAGYTRDCTLSLEDFTRIIDHPGLKMEVEVPID >ONIVA02G38260.1 pep chromosome:AWHD00000000:2:32912550:32916046:1 gene:ONIVA02G38260 transcript:ONIVA02G38260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNPIVFMDVSIGSLKLTGRMFHRISLMVAVF >ONIVA02G38250.1 pep chromosome:AWHD00000000:2:32907928:32912129:1 gene:ONIVA02G38250 transcript:ONIVA02G38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLKLVGFCFWAVFWLGGAATVSTNAGGDAAAAAVVVDARSAIAVTDEDFVCATLDWWPPDKCDYGTCSWGLATLLNMDLSNKILLNAVKAFSPLKLRLGGSLQDVLIYDTGDPRQPCTPFTKNSSAMFGFTQGCLPLHRWDELNAFFQKSGAKIIFGLNALNGRVPMSDDSLGGPWNYTNAASFIRYTVSKGYDIHGWELGNELSGSGVGARVDADQYAQDVLALKQIIDNSYQGHASKPLVIAPGGFFDAAWFTELISRTKPNQMDVMTHHIYNLGPGVDTHLIDKILDPSYLDGEAGTFSSLQGILKSAGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKTYCRQTLIGGNYGLLNTTTFEPNPDYYSALLWNRLMGTKVLSATFNGTNKIRAYAHCARDSRGITLLLINLSGNNTNHIYMTSEGVQPYSTKKEGRRRFGRIPGLGEEAQLIREEYHLTPKDGSLQSQHVLLNGNVLAPDANGEIPKLEPVQVEGTQPITVAPYSIVFAHIPRFYAPACR >ONIVA02G38240.1 pep chromosome:AWHD00000000:2:32900605:32900988:1 gene:ONIVA02G38240 transcript:ONIVA02G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHSSSFFLSFLLSCLSYPDAGDDDNLGKRQSDLNDDPGRGGWRRSRTARRTLVADGDGSEDGGCGRGRRRGPRSWPAGTAQRAACAEDGSGRGQHGGRRSQPGTARRAAVAAGDDTEDGGGRGQ >ONIVA02G38230.1 pep chromosome:AWHD00000000:2:32895846:32898419:1 gene:ONIVA02G38230 transcript:ONIVA02G38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQRRLGDEEIWAVDPVMSRCVGAGSGSVGVVLRSASASSTTRPAVATSWRMSSPARGRPPVNLRRDGWGWISASTAPWPTTAAALTTSLALVGGRRSASITHFCHLRPRAAARRRGWDKAHLRT >ONIVA02G38230.2 pep chromosome:AWHD00000000:2:32896530:32898419:1 gene:ONIVA02G38230 transcript:ONIVA02G38230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQRRLGDEEIWAVDPVMSRCVGAGSGSVGVVLRSASASSTTRPAVATSWRMSSPARGRPPVNLRRDGWGWISASTAPWPTTAAALTTSLALVGGRRWDKAHLRT >ONIVA02G38220.1 pep chromosome:AWHD00000000:2:32890248:32892083:-1 gene:ONIVA02G38220 transcript:ONIVA02G38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDLTTATCEKTRQWPHQYHSKILIKQAYHSSEIKTWSVATYLKVGSEVQKAYGKGMPKSEIFKDWKTIAGDNALDYAAEFSHMLGFDGVKMLGLMQLCVTKHTWFLPVIMKVKMSVLILEQSH >ONIVA02G38210.1 pep chromosome:AWHD00000000:2:32885964:32886347:1 gene:ONIVA02G38210 transcript:ONIVA02G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTMALLLATLVAVVAVVRATEEKDIEEAVCSEHCNDEEKEGTIDHKHCVDICILTNRELFGALERGMKPSMEQFSALCNEGCSKEFKEDPATNKKCVDSCIVDAKKLNGHLAKGGASSVPARA >ONIVA02G38190.1 pep chromosome:AWHD00000000:2:32873684:32878868:1 gene:ONIVA02G38190 transcript:ONIVA02G38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPMHRVLVLGITPTHGYLLVVIKEGQLLAGGGRPVAAALGTTVAVAPGTTVMGMEALGHRKWRGGGRLDEAAVLRATKPGRRRWRCGWQRMGRAAALRATAPIRRRRGGQRTGRALALRATGRAAALQMTGRVAALWAMTPGRRRWRHGEQRKGRAAARRATAPGCRRWWRGGERRGGRRRGGRRGQDVRGGGLVGGQREGRRRCGRQRQDAGGGGMVGGGARMPAVESWWLDDGEGGAWSAAVRI >ONIVA02G38180.1 pep chromosome:AWHD00000000:2:32871390:32871911:-1 gene:ONIVA02G38180 transcript:ONIVA02G38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATRRPSGPVLSAAHYRSASPTRVKLAGGGARASVSVSSVSRRSCMCSPTNHPGSFRCSLHKEQKRSVHHKAAAAPSSPPSPTSPPASGGAVRLGGARRMGGSALVRIGAVESGQWARRALAATIRPSPAAQQAQHRRRVAGLRPRPSRLSAVSMAGDRAGDNHHHHR >ONIVA02G38170.1 pep chromosome:AWHD00000000:2:32866202:32866537:1 gene:ONIVA02G38170 transcript:ONIVA02G38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAINSEASMSSRPKLACLCSPTNHPGSFRCIRHRPIPRARHLSSSSSPPPPPPSSSPSSGGVASAGARAKGGRSVRAHLLRMISCSNGGRRRRRGDFQPRPSRLRQCAS >ONIVA02G38160.1 pep chromosome:AWHD00000000:2:32853680:32858563:1 gene:ONIVA02G38160 transcript:ONIVA02G38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVSLLRIAAVLLAILPFCATHPSPGFHAPREFHKALVPDRYGFVARRSIAEAPVDVNVTTNSSFVLAQERTYRKDPLNGFRKYTGGWNISEVHYMASVGYTAFPLFIIALVWFVLFFLVMLGICCKHCCCPHRSYTYSRVAYALSLILLILFTCAAIVGCVMLYDGQGKFHKSTTTTLNFVVSQANFTVENLNNLSDSLSAAKKVDIGRSFLPNDVQNQINEIQGKLNSSATELATRTTDNSEKIQKLLNQVRIALIIIAAVMLLLAFIGFLLSIFGLEFIVSILVIIGWILVTGTFILCGVFLLLHNVVADTCVSMEEWVAHPTEHTALDDIIPCVEPATANESLYRSRQVTYQLVNLVNQVITNVSNGNFPPQTPFFYFNQSGPLMPTLCNPFTADLNNRTCTRGEVTLDNATRVWKNFECETTTVSGTEICTTVGRVTPTILGQMAAGVNVSQGLYQYGPFLIQLEDCTFVRDTFTNINQNHCPGLERYSKWVYVGLVMVSSAVMLSLVFWVIYARERRHRAYSKQHNYADKPHPTGPDA >ONIVA02G38150.1 pep chromosome:AWHD00000000:2:32848841:32849232:1 gene:ONIVA02G38150 transcript:ONIVA02G38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSKLSMATAVILLLVVMAMEVEGIRLDAETRAATSNQMVNKSTENVPKDSGDSLGEAKRSIAGNEVRAVAHKLPEFHEDYYGPSDHTPRHH >ONIVA02G38140.1 pep chromosome:AWHD00000000:2:32843370:32846511:-1 gene:ONIVA02G38140 transcript:ONIVA02G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAAARGGGVIPAGKGGSLRSPGKPVVLADITNTGRPNPTGSVHAIADVLKENAKLRHLLAERNKVIEVSRVELQKIRLALQAMQQKNLQLVQANSQMFAEINQGKDRIKLLQHELACTIAVLKVKGSELEKMSKTSNNQQNRAKILEKKTRSSKCAPTKAHQMAAGSIREHLVEIQSAVPSYTSCHEPPQDKTNKRCTNRRKSESCEVTMDTNTVQHSCRPHVEYNGSSHDDDPRKTRRRRSARLNPGSFEVAEICDKLHEDATVPSAPSSNVPKLQEPNAGKDMICGGKMKSLQKELPCDAIAQVVEAPELKEIQEAGSSVAGGEAHKFDIEDPEPPRKSMRIDANKRKLESCESQLASNKEDCINAICDSTSSVPIQHEQKRKLSRRKSSRLDPGPWEVTNGTFEIVQEDTVAPSAPSSSNALIEQTKNDMQNDRSCSTKPSDEQVIGRRSSVGRPSRRAAEKIVSYKEVPLNIKMRRP >ONIVA02G38130.1 pep chromosome:AWHD00000000:2:32838139:32843992:1 gene:ONIVA02G38130 transcript:ONIVA02G38130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEELEGAERLDFGGVAELETTPADFEMEKVCENTASLDFKQARSSSFVPVIRSGDWSDIGGRDYMEDAHVCISDLAKNFGHNSVDDEIISFYGVFDGHGGKDAAHYVRDNLPRVIVEDADFPLELEKVVRRSFVQTDSQFAERCSHQNALSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGTAIEMSKDHRTCCLNERKRIESLGGYVDDGYLNGQLAVTRALGDWHLEGLKEVGEPGGPLSAEPELKMITLTKEDEFLIIGSDGIWDFFSNQNAVDFTRKRLQEHNDLRLCCKQIVEEAIRRGASDNLTAVMVSFHQEAPPQLRVNRTGRVERSISAEGLHSLRVLLEGQ >ONIVA02G38120.1 pep chromosome:AWHD00000000:2:32817203:32827156:1 gene:ONIVA02G38120 transcript:ONIVA02G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:neurochondrin family protein [Source:Projected from Arabidopsis thaliana (AT4G32050) TAIR;Acc:AT4G32050] MDSTPAPAAAAAETPTTAPAFEDCLRLLRGERDEQKLAGLLVAANLCRAGDAASVVEVYRAVGPRFLRRLLNTGLGKVEGGKEEDREAYLRLSVTVLSGLARFPEVAADEGVVSTVPLIAEIVSKSSDLAITEECFELLSLIAIASDGGAHTFCEPGVMDMLFLQISNFPDGSRCLELAIHLMQLLVHKIRIDNMTVEKLQGMTSMVTSLARLFAVLHTTVKFEALHMLTALLSQKESPLHDALRSVPSMIWKCQIRVGITAVLQNRVVSSEKLQALLLAECMMSILGENWLSEEYKVPDDQNMMPVDKFVLLVLESARIEVSVLLNELAYLKYESSKNSQRDDAISQKERNLAILFSLIERIIKMISNASSGEGAVCQTIRESTIMKAITGLNETVGLVLDFLQDAKDHGQRKGDDLLAAVRIVGSYLAEAPYACKEKIRHLLEFIFSVEGQDESSSFHSICFMLPMLSQITMEADGCRILASFGGYKMVIDCLIKMIEQNGMMIDTGNMFLACDTIINFLSNMKNAHIQMGSCFVGLLKALVSWTGTADDSSVIITASCLCTLVLDLTTEEFLLSSSDFDSKTLEKLSKLIARSLHQGIPDDDIEQSNQKQIILSGKYYTLFNINWKKEKKSSHLICYRLQEVV >ONIVA02G38120.2 pep chromosome:AWHD00000000:2:32817203:32827156:1 gene:ONIVA02G38120 transcript:ONIVA02G38120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:neurochondrin family protein [Source:Projected from Arabidopsis thaliana (AT4G32050) TAIR;Acc:AT4G32050] MDSTPAPAAAAAETPTTAPAFEDCLRLLRGERDEQKLAGLLVAANLCRAGDAASVVEVYRAVGPRFLRRLLNTGLGKVEGGKEEDREAYLRLSVTVLSGLARFPEVAADEGVVSTVPLIAEIVSKSSDLAITEECFELLSLIAIASDGGAHTFCEPGVMDMLFLQISNFPDGSRCLELAIHLMQLLVHKIRIDNMTVEKLQGMTSMVTSLARLFAVLHTTVKFEALHMLTALLSQKESPLHDALRSVPSMIWKCQIRVGITAVLQNRVVSSEKLQALLLAECMMSILGENWLSEEYKVPDDQNMMPVDKFVLLVLESARIEVSVLLNELAYLKYESSKNSQRDDAISQKERNLAILFSLIERIIKMISNASSGEGAVCQTIRESTIMKAITGLNETVGLVLDFLQDAKDHGQRKGDDLLAAVRIVGSYLAEAPYACKEKIRHLLEFIFSVEGQDESSSFHSICFMLPMLSQITMEADGCRILASFGGYKMVIDCLIKMIEQNGMMIDTGNMFLACDTIINFLSNMKNAHIQMGSCFVGLLKALVSWTGTADDSSVIITASCLCTLVLDLTTEEFLLSSSDFDSKTLEKLSKLIARSLHQGIPDDDIEQSNQKQIILSGKYYTLFNINWKKEKKSSHLICYRLQEVV >ONIVA02G38120.3 pep chromosome:AWHD00000000:2:32817203:32825473:1 gene:ONIVA02G38120 transcript:ONIVA02G38120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:neurochondrin family protein [Source:Projected from Arabidopsis thaliana (AT4G32050) TAIR;Acc:AT4G32050] MDSTPAPAAAAAETPTTAPAFEDCLRLLRGERDEQKLAGLLVAANLCRAGDAASVVEVYRAVGPRFLRRLLNTGLGKVEGGKEEDREAYLRLSVTVLSGLARFPEVAADEGVVSTVPLIAEIVSKSSDLAITEECFELLSLIAIASDGGAHTFCEPGVMDMLFLQISNFPDGSRCLELAIHLMQLLVHKIRIDNMTVEKLQGMTSMVTSLARLFAVLHTTVKFEALHMLTALLSQKESPLHDALRSVPSMIWKCQIRVGITAVLQNRVVSSEKLQALLLAECMMSILGENWLSEEYKVPDDQNMMPVDKFVLLVLESARIEVSVLLNELAYLKYESSKNSQRDDAISQKERNLAILFSLIERIIKMISNASSGEGAVCQTIRESTIMKAITGLNETVGLVLDFLQDAKDHGQRKGDDLLAAVRIVGSYLAEAPYACKEKIRHLLEFIFSVEGQDESSSFHSICFMLPMLSQITMEADGCRILASFGGYKMVIDCLIKMIEQNGMMIDTGNMFLACDTIINFLSNMKNAHIQMGSCFVGLLKALVSWTGTADDSSVIITASCLCTLVLDLTTEEFLLSSSDFDSKTLEKLSKLIARSLHQGIPDDDIEQSNQKQIILSGKYYTLFNINWKKEKKSSHLICYRLQEVV >ONIVA02G38120.4 pep chromosome:AWHD00000000:2:32817203:32825473:1 gene:ONIVA02G38120 transcript:ONIVA02G38120.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:neurochondrin family protein [Source:Projected from Arabidopsis thaliana (AT4G32050) TAIR;Acc:AT4G32050] MDSTPAPAAAAAETPTTAPAFEDCLRLLRGERDEQKLAGLLVAANLCRAGDAASVVEVYRAVGPRFLRRLLNTGLGKVEGGKEEDREAYLRLSVTVLSGLARFPEVAADEGVVSTVPLIAEIVSKSSDLAITEECFELLSLIAIASDGGAHTFCEPGVMDMLFLQISNFPDGSRCLELAIHLMQLLVHKIRIDNMTVEKLQGMTSMVTSLARLFAVLHTTVKFEALHMLTALLSQKESPLHDALRSVPSMIWKCQIRVGITAVLQNRVVSSEKLQALLLAECMMSILGENWLSEEYKVPDDQNMMPVDKFVLLVLESARIEVSVLLNELAYLKYESSKNSQRDDAISQKERNLAILFSLIERIIKMISNASSGEGAVCQTIRESTIMKAITGLNETVGLVLDFLQDAKDHGQRKGDDLLAAVRIVGSYLAEAPYACKEKIRHLLEFIFSVEGQDESSSFHSICFMLPMLSQITMEADGCRILASFGGYKMVIDCLIKMIEQNGMMIDTGNMFLACDTIINFLSNMKNAHIQMGSCFVGLLKALVSWTGTADDSSVIITASCLCTLVLDLTTEEFLLSSSDFDSKTLEKLSKLIARSLHQGIPDDDIEQSNQKQIILSGKYYTLFNINWKKEKKSSHLICYRLQEVV >ONIVA02G38110.1 pep chromosome:AWHD00000000:2:32808080:32813396:-1 gene:ONIVA02G38110 transcript:ONIVA02G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSSRADAPPRRRAAARGLGLGLAGCFGGGSSGAATGGGGATAASSSRAHEVESWQAARAVAEMDFRASVAAKDIRISSESDPRVHPSSSTISHHLRFNHLNSHENKEDALGTEIAETSVRQSSSGKEVMPRGNFSNEVAYAEITSREGISHIGRDILEPAANNAETDTVCIPEVGGPVSESGFSSSQRASERIMADLEAGEIAHGTSSTTIMSSERSDTSQSSLTSVLPATSTAPSTIGESLPDTVPSREDVPIFSGTQGEIGGNTLHDDMMSIFSNDGPARVRDSSSNETRRSHRRVLWDTFSRRSSRGYLDSDTDDLGFYSRWLDLGDELFADEIEEARFFHRRRHGSIRVSQYSRSRIREHRRAVFDSGTDQSTVACPLGIHQIGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSAQAPESVVNSLPCKSYKKQTAQCSDDMEQCHICLTEYEDGDQIRSLPCKHEFHLLCVDKWLKEVHRVCPLCRGDVCEGAA >ONIVA02G38100.1 pep chromosome:AWHD00000000:2:32803851:32804127:-1 gene:ONIVA02G38100 transcript:ONIVA02G38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTIAVALLLATLVAVMGKEPETLEEALRAGCKEECSEQKKKAPIDEKQCEDFCFIKTKSIFEAHKGVKDLKADRFIDFCNNDI >ONIVA02G38090.1 pep chromosome:AWHD00000000:2:32796607:32796996:-1 gene:ONIVA02G38090 transcript:ONIVA02G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTIAVALLLATLVAVMGKEPETLEETLRAGCKEECSEQKKKAPIDEKQCEDFCFIKTKSIFEAHKGVKDLKADRFIDFCNNECNAVYKEDPATSKKCAESCEADAKEAEVFLDKVVAYMQTTKQA >ONIVA02G38080.1 pep chromosome:AWHD00000000:2:32793492:32795648:1 gene:ONIVA02G38080 transcript:ONIVA02G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAQQVDPPPHGCIASQLQSPCDVAPSRPQQPPPSLFASSAFDPRVPSIHLQFFETGWPLGENH >ONIVA02G38070.1 pep chromosome:AWHD00000000:2:32785006:32791401:1 gene:ONIVA02G38070 transcript:ONIVA02G38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,2-N-acetylglucosaminyltransferase II [Source:Projected from Arabidopsis thaliana (AT2G05320) TAIR;Acc:AT2G05320] MDKIVQAITFCQVKQIFAPYSPHLFPEAFPGVSSGDCQGKDKAAEKHCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLDETRDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPSKCPQCYAINLAPSDVKAKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGSPVYSLRGPRRSAAHFGKCGLHQGQGLSNVCVDNGDATVQLDAIDKVPNIKANWPVHIIKRQEGYQAGFKGWGGWGDKRDQELCLSFAYMHHFKDPSSP >ONIVA02G38070.2 pep chromosome:AWHD00000000:2:32785006:32791401:1 gene:ONIVA02G38070 transcript:ONIVA02G38070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,2-N-acetylglucosaminyltransferase II [Source:Projected from Arabidopsis thaliana (AT2G05320) TAIR;Acc:AT2G05320] MDKIVQAITFCQVKQIFAPYSPHLFPEAFPGVSSGDCQGKDKAAEKHCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLDETRDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPSKCPQCYAINLAPSDVKAKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGSPVYSLRGPRRSAAHFGKCGLHQGQGLSNVCVDNGDATVQLDAIDKVPNIKANWPVHIIKRQEGYQAGFKGWGGWGDKRDQELCLSFAYMHHFKDPSSP >ONIVA02G38070.3 pep chromosome:AWHD00000000:2:32784799:32792479:1 gene:ONIVA02G38070 transcript:ONIVA02G38070.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,2-N-acetylglucosaminyltransferase II [Source:Projected from Arabidopsis thaliana (AT2G05320) TAIR;Acc:AT2G05320] MATASSHHRARLRSRAAPLLAVVVLAVLALTAILRASRHDFVPGAARAAAAAAGVGGGGNNHTIAQRKILLDPDFTPRLPRQSALSLSLSQRNALPPRNADRFPRLPDGHLNIVLYVHNRPRYLRLVVDSLSRAHGIGEALLIVSHDGYFPEMDKIVQAITFCQVKQIFAPYSPHLFPEAFPGVSSGDCQGKDKAAEKHCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLDETRDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPSKCPQCYAINLAPSDVKAKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGSPVYSLRGPRRSAAHFGKCGLHQGQGLSNVCVDNGDATVQLDAIDKVPNIKANWPVHIIKRQEGYQAGFKGWGGWGDKRDQELCLRPVYNTVVIICLVNEWCWDYAANTARQPVGVGGCHK >ONIVA02G38070.4 pep chromosome:AWHD00000000:2:32787309:32791401:1 gene:ONIVA02G38070 transcript:ONIVA02G38070.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,2-N-acetylglucosaminyltransferase II [Source:Projected from Arabidopsis thaliana (AT2G05320) TAIR;Acc:AT2G05320] MVLMAGMLPGVECARRRRLRQGGGEAPCGTRRPSLCLYAGGHDHALLGSSACKQQRSACEEQQPGWWTLDSNVAGTSSDGGEQSTATTAAPQWEVYTRKEGRRRMWFRRLGRRPTPEEEECAVCLEELRAGEAVAHLPCTHRFHWGCAVPWVQTASRCPVCRAAVYLTSPAPAASNNYN >ONIVA02G38070.5 pep chromosome:AWHD00000000:2:32784799:32792479:1 gene:ONIVA02G38070 transcript:ONIVA02G38070.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,2-N-acetylglucosaminyltransferase II [Source:Projected from Arabidopsis thaliana (AT2G05320) TAIR;Acc:AT2G05320] MATASSHHRARLRSRAAPLLAVVVLAVLALTAILRASRHDFVPGAARAAAAAAGVGGGGNNHTIAQRKILLDPDFTPRLPRQSALSLSLSQRNALPPRNADRFPRLPDGHLNIVLYVHNRPRYLRLVVDSLSRAHGIGEALLIVSHDGYFPEMDKIVQAITFCQVKQIFAPYSPHLFPEAFPGVSSGDCQGKDKAAEKHCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLDETRDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPSKCPQCYAINLAPSDVKAKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGSPVYSLRGPRRSAAHFGKCGLHQGQGLSNVCVDNGDATVQLDAIDKVPNIKANWPVHIIKRQEGYQAGFKGWGGWGDKRDQELCLRPVYNTVVIICLVNEWCWDYAANTARQPVGVGGCHK >ONIVA02G38070.6 pep chromosome:AWHD00000000:2:32784799:32792479:1 gene:ONIVA02G38070 transcript:ONIVA02G38070.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,2-N-acetylglucosaminyltransferase II [Source:Projected from Arabidopsis thaliana (AT2G05320) TAIR;Acc:AT2G05320] MATASSHHRARLRSRAAPLLAVVVLAVLALTAILRASRHDFVPGAARAAAAAAGVGGGGNNHTIAQRKILLDPDFTPRLPRQSALSLSLSQRNALPPRNADRFPRLPDGHLNIVLYVHNRPRYLRLVVDSLSRAHGIGEALLIVSHDGYFPEMDKIVQAITFCQVKQIFAPYSPHLFPEAFPGVSSGDCQGKDKAAEKHCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLDETRDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPSKCPQCYAINLAPSDVKAKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGSPVYSLRGPRRSAAHFGKCGLHQGQGLSNVCVDNGDATVQLDAIDKVPNIKANWPVHIIKRQEGYQAGFKGWGGWGDKRDQELCLRPVYNTVVIICLVNEWCWDYAANTARQPVGVGGCHK >ONIVA02G38060.1 pep chromosome:AWHD00000000:2:32779760:32783619:1 gene:ONIVA02G38060 transcript:ONIVA02G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIIEGEGPSKFLPSKVSFYFFSPWLSDRATNQSTTGEILRIIPPQKRSSLYEMGNVKIIAATYGWKGTMIATGITVIEAKEDSIVILNILMIAIGLGTMILKAEITSVPLLHMRTACLYNESIVGFYQDATTGRLKRLLPRGIQRRNTPKEKEKSEGKHQGDVSKI >ONIVA02G38060.2 pep chromosome:AWHD00000000:2:32779760:32784239:1 gene:ONIVA02G38060 transcript:ONIVA02G38060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIIEGEGPSKFLPSKVSFYFFSPWLSDRATNQSTTGEILRIIPPQKRSSLYEMGNVKIIAATYGWKGTMIATGITVIEAKEDSIVILNILMIAIGLGTMILKAEITSVPLLHMRTACLYNESIVGFYQDATTGRLKRLLPRGIQRRNTPKEKEKSEGKHQGDVSKI >ONIVA02G38060.3 pep chromosome:AWHD00000000:2:32779760:32783619:1 gene:ONIVA02G38060 transcript:ONIVA02G38060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIIEGEGPSKFLPSKVSFYFFSPWLSDRATNQSTTGEILRIIPPQKRSSLYEMGNVKIIAATYGWKGTMIATGITVIEAKEDSIVILNILMIAIGLGTMILKAEITSVPLLHMRTACLYNESIVGFYQDATTGRLKRLLPRGIQRRNTPKEKEKSEGKHQGDVSKI >ONIVA02G38060.4 pep chromosome:AWHD00000000:2:32779760:32784239:1 gene:ONIVA02G38060 transcript:ONIVA02G38060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIIEGEGPSKFLPSKVSFYFFSPWLSDRATNQSTTGEILRIIPPQKRSSLYEMGNVKIIAATYGWKGTMIATGITVIEAKEDSIVILNILMIAIGLGTMILKAEITSVPLLHMRTACLYNESIVGFYQDATTGRLKRLLPRGIQRRNTPKEKEKSEGKHQGDVSKI >ONIVA02G38060.5 pep chromosome:AWHD00000000:2:32779760:32782842:1 gene:ONIVA02G38060 transcript:ONIVA02G38060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIIEGEGPSKFLPSKVSFYFFSPWLSDRATNQSTTGEILRIIPPQKRSSLYEMGNVKIIAATYGWKGTMIATGITVIEAKEDSIVILNILMIAIGLGTMILKAEITSVPLLHMRTACLYNESIVGFYQDATTGRLKRLLPRGIQRRNTPKEKEKSEGKHQGDVSKI >ONIVA02G38060.6 pep chromosome:AWHD00000000:2:32779760:32782882:1 gene:ONIVA02G38060 transcript:ONIVA02G38060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIIEGEGPSKFLPSKVSFYFFSPWLSDRATNQSTTGEILRIIPPQKRSSLYEMGNVKIIAATYGWKGTMIATGITVIEAKEDSIVILNILMIAIGLGTMILKAEITSVPLLHMRTACLYNESIVGFYQDATTGRLKRLLPRGIQRRNTPKEKEKSEGKHQGDVSKI >ONIVA02G38050.1 pep chromosome:AWHD00000000:2:32771052:32773049:-1 gene:ONIVA02G38050 transcript:ONIVA02G38050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family [Source:Projected from Arabidopsis thaliana (AT5G35160) TAIR;Acc:AT5G35160] MARLLPFLVAAAAALVAVSLSAPGADGFYLPGSYPHKYNPGEPLSVKVNSLTSIDTEIPYSYYSLPFCTPPDGVKDSAENLGELLMGDRIENSPYRFRMHANDSDLFLCRSPPLAADAFNLLKKRIDEMYQVNLILDNLPAIRYTKKDDYFLRWTGYPVGIRVGVDYYVFNHLQFTVLVHKYEEANVARVMGTGDATDGFPSTGKDGSGGAAGSSGWMVVGFEVVPCSIKHNPDDVKSLKMYGKYPRSIKCDPTTVSMSIKENEPIVYTYEVSFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTRYEELDSEAQAQMNEELSGWKLVVSDVFRAPSNPMLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGILAGYAGVRVWKTIKCGDHTGWMAVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPFSLFVILILLWFCISVPLTLVGGLLGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYLGYSLFMVIAIMLATGTVGFISSFCFVHYLFSSVKAD >ONIVA02G38040.1 pep chromosome:AWHD00000000:2:32766974:32767630:1 gene:ONIVA02G38040 transcript:ONIVA02G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVLGSHDALDNRMHLGAFAVSPASKPRRRRHSKAASPPPKVAAVSSPPVVKSAARVASPPPKAAAAAAVPQGRRSPVARKQGSPTKELPKQRLVMGEIRILKRGEEPPVPSPSPAPVAAQAAAVDQRAPRVPAVAQAAPTDQKAPRPARSKQQQHTAAVPTKIKSVADAPVYAGPAFSSASPEPSSLPFPAFIRRAEAEATRGLRCLLRIGELP >ONIVA02G38030.1 pep chromosome:AWHD00000000:2:32760737:32765257:1 gene:ONIVA02G38030 transcript:ONIVA02G38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate aminotransferase 5 [Source:Projected from Arabidopsis thaliana (AT4G31990) TAIR;Acc:AT4G31990] MASAPFAVSSSPAASAVAARSKVLRGGRSEARTGCRLGITRKNFGRVMMALAVDVSRFEGVPMAPPDPILGVSEAFKADQNDLKLNLGVGAYRTEELQPYVLNVVKKAETLMLEKGENKEYLPIEGLAAFNKATAELLFGADNPVLKQGRVATLQSLSGTGSLRLAAAFIQRYFPEAKVLISSPTWGNHKNIFNDAKVPWSEYRYYDPKTVGLDFQGMIADIQAAPDGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHMPFFDVAYQGFASGSLDEDASSVRLFVQRGLEVFVAQSYSKNLGLYAERIGAINVVCSTPEVANRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTMFGEWKQEMEEMAGRIKNVRQKLYDSLSAKDDSGKDWSFILRQIGMFSYTGLNKTQSDNMTDKWHIYMTKDGRISLAGLSLAKCEYLADAIIDSFHNVS >ONIVA02G38020.1 pep chromosome:AWHD00000000:2:32755256:32759127:-1 gene:ONIVA02G38020 transcript:ONIVA02G38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT2G07690) TAIR;Acc:AT2G07690] MSGWDEGAVFYSDQAQFPRGGPGGDPSADLTRHSALRKFKEFLRGFTGPTGDFPYRESLVHNRDHVTVAIEDLDAFDAELSDKIRKSPADYLPLFETAASEVLASLRSKVAGETGEMEEPATGDVQIFLSSKENCLSMRSIGADYMSKLVKIAGITIAASRVKAKATHVTLLCKNCRSVKTVPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTVIGIYSVYQASANQKGAVGVKQPYIRVVGLEQSRDANSNGPSNFTLDEEMEFKEFAQRPDAYVKICSMIGPSIYGHSDVKKAIACLLFGGSKKFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDGSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPIAGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYDQDKRIASHIIKVHASGAAASSKNTDASEGENWLKRYIEYCRVTCKPRLSEKAAEMLQNKYKMRQQAHETGRAAAIPITVRQLEAIIRLSESLAKMRLTSVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPDIANEIKQAEAQIKRRMGIGSHISERRLIDELNRMGMNESIVRRALLIMHQRDEVEYKRERHVIVRKA >ONIVA02G38010.1 pep chromosome:AWHD00000000:2:32750288:32755789:1 gene:ONIVA02G38010 transcript:ONIVA02G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GE30] MASISLEDVRNETVDLETIPVEEVFQHLKCSKQGLSAAEGQNRLNIFGPNKLEEKTESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGRPPDWQDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWQEQDASILVPGDIISIKLGDIIPADARLLEGDPLKVDQAALTGESMPVNKHAGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNIGHFQLVLTAIGNFCIISIGVGMIIEIIVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVYGRGLDKDSVLLYAARASRVENQDAIDTCIVGMLADPKEARAGIKEVHFLPFNPVEKRTAITYIDGNGEWHRISKGAPEQIIELCKMSKDAEKKVHTLIDQYADRGLRSLGVSYQKVPEKSKESEGEPWQFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGTNMYPSTTLLGDKSSEMSGLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALLWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGIVLGTYMALITALFFYLAHDTDFFTETFGVRSIKTNEKEMMAALYLQVSIISQALIFVTRSRSWSFVERPGALLVIAFLAAQLVATCIAVYAEWEFCKMQGIGWGWGGAIWAFSVVTYFPLDVLKFIIRYALSGRAWNNINNKTAFVNKNDYGKGEREAQWATAQRTLHGLNQSLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >ONIVA02G38000.1 pep chromosome:AWHD00000000:2:32745512:32749155:1 gene:ONIVA02G38000 transcript:ONIVA02G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTISVYASLGCDSMMLFIKPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >ONIVA02G37990.1 pep chromosome:AWHD00000000:2:32737431:32738063:-1 gene:ONIVA02G37990 transcript:ONIVA02G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRARTNFPLADATAAAAAAAASSSFLSAALVAKLHRFNLASVQATQRQREAAATAAAASSASATTPPLGNAAAADDDARTTTTYGAEWSGRFLEEQHVEQMIDELLDSNFSMEICY >ONIVA02G37980.1 pep chromosome:AWHD00000000:2:32732274:32733591:1 gene:ONIVA02G37980 transcript:ONIVA02G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDPYAGQAVGIILLCVWSLCGLRGFAMVLIGKQTNAVHLPLVQFYAIEANATYFLTVIMLQIVIVYELATIFLR >ONIVA02G37970.1 pep chromosome:AWHD00000000:2:32719490:32727104:-1 gene:ONIVA02G37970 transcript:ONIVA02G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G29380) TAIR;Acc:AT4G29380] MGNKIAKTTQASATEYYLHDLPSTYNLVLVEVVSRGRFLKSVRCKHDEGLLLVKVYFKRAGEPLDLKEHERRLERIRNAFEGLEGSHVWPFQVWLQTDKAAYLLRQYFYSNLHDRLSTRPFLSKIEKKWLAFQLIHAVEQSHSKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPDDDPSDFSFFFDTGGRRRCYLAPERFYEHGAETQVAADAPLQRSMDIFSLGCVLAELFLEGQPLFELSQLLAYRRGQYDPIHTLEKVSGIVPCSFCVAQIILVIGPAISYALSFIPSVFLPNSQEIQDTGIRDMVLHMIQLDPKERLSCKNYLQKYESVVFPVYFSKFLHKFFSDIVPLHSDARVEKTQEYFEKMHDIMISGSTLEKIQGSATVPVHNELSGKMGIGGQTVNSPKEYAKSVPVVKINSPAQHKHIVGDLNFLLKELEKDDRTSTKITQDRDRSVTPNVSHANGIQSLQQVCQAGRTESGLMGQNNCVSHLQKISKSNLLALVSSYDAQSDTYNFDIFQQTEYKVSCEGMVLLASLLCSCIRSVKKPELRRASLILLKICSTYIDDDSRLQLVIPYVIAMLSDPAAIVRCAALETLSDVLCLIQDFPISDAKIFPEYILPMLSLLPDDTEESVRVCYASNIYKLALTAYRFLLRSRSIEDARPLDESMVAPRSQSVESPVKRQQDSINGQLARLRKSIYEIVQELVMGQKQTPNVRRALLQDIGYLCYFFGHRQTNDFLLPILPAFLNDRDEQLRAVYFGQIVVVCYFIGSRSVEEYLLPYLEQALSDEMEVVLVKVLDCLTMMCKSGYLRKRAIVGLFGKALPLLCHPVQWVKRSAVRFVAACSESIGPVDTFVYLFPHLKHFLHREPPSLSSETALLSCIKPPVSKLVLYQALEDVHDAGDILLKGDGKKELTVHGGRYPAMVQSGSSIMIEDDSRLRGPKMSNDVSLNIKDFSSASSAYISSAVHGSSSFYDGVPKGIPLYSMQGTALHANLGSDRHGSLSSSVPGIRTRENHFSNMHRDGSISDSMNSSSSIPGDIISNSDNSGSFTRPALSLETSWKPRGVLVAHLQEHRLSVNDIAVSTDNTFFVTASDDSSVKIWDTRKLEKDIAFRSRLTYSLGSSRALCTTMLHGTPQVIVGASDGTLHLFSVDCARGVGSVIERYSGIVDVKRKDLKEGAILSVVNCSTESFSPTILFSTEHCGIHKWDTRTNAESWSFRSSPEEGYVSALVVGQCGNWFISGSSRGVLTLWDNRFLLPVNSWKYLPATPIEKLCLFIPPPNSISSSGRPVVFVAAGCNEVTLWDAENGSRHQIFRTASTENEAVVPKAPSIHPNTRDETRPANYKYRIEELCDPPPRLPGIRSLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSVKGAGNDETYDIRSSSCVQVVQEICRQPTTASRLTHKTQLAMAAADSAGCHRDAILALASVNLSSHRLISGSRDGAVKVWK >ONIVA02G37960.1 pep chromosome:AWHD00000000:2:32710095:32713382:-1 gene:ONIVA02G37960 transcript:ONIVA02G37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARLAFFPPEPPTYELVAEEGGGGGGVVRMTGVSADAGVEVHALPTKGGTRVVAAFWRHPSARLTLLYSHGNAADLGQMLGLFLELRAHLRVNIMSYDYSGYGASTGKPSEYNTYCDIEAVYDCLTKVYGIEPEDLILYGQSVGSGPTLHLASRLEKLRGVVLHSAILSGIRVLYPVKVTLWFDIFKNIDKIKQVDCPVLVIHGTADDIVDFSHGKRLWELAKEKYEPLWVKGGGHCNLETYPEYIRHLRKFINAMEKLSKDKTAKAPQLAPSSSNNNMTEVKHNKYLRFGKR >ONIVA02G37950.1 pep chromosome:AWHD00000000:2:32689668:32693462:-1 gene:ONIVA02G37950 transcript:ONIVA02G37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:A0A0E0GE23] MRAAEERKGVVPAARRRDQFPVGMRVLAVDDDPVCLKVLETLLLRCQYHVTTTNQAAIALKMLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVLKGITHGACDYLLKPVRIEELRNIWQHVIRRKFSTRDRANLDFYEECNKPPNADSDHVHGHVTCGSPDQSGRPSKKRKEYCSEEEDEGEVNTQDIDDPSAPKKPRVVWSVELHRKFVAAVNQLGIDTCTGNDKYRLYLKRLSAVASQQVSIVAALGGRDPFLHMGGFEGLQGYQAFTSSSALSSFTPHGLLNSPRNNPAALGTQGVPASKSIQTMSGSHTLSHSINDANKYHLSLPGNQKGNLGQGLATSLGQTQMQQKWIHEETDDLSTILSGNGLSNGMSGTLQSVTSSPLLPQELAECTQAKIVSQPSIRTSSVSSEHIEGAVGVSSGLLESRVSQQSTIPLSGFSANGLLIHGSFNNTCANKLGGTSSSCAPARSSNDLMVARDTKGGASSFGGAMLLPPDTEQKYLNFGGGNGLKQKFDDRTADSLFDPKFVWSSVPSSQLASNIGAHHAMSQRWNNSSSNSSNIGARMIGQATSSGSTVIPQMKTDFLVSGDMAMPKNASDLSIPKLQSELSSSSCSFDGLLNSIVKVEKDDVTFSDDLGCGDFYSLGACI >ONIVA02G37950.2 pep chromosome:AWHD00000000:2:32689668:32693462:-1 gene:ONIVA02G37950 transcript:ONIVA02G37950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:A0A0E0GE23] MRAAEERKGVVPAARRRDQFPVGMRVLAVDDDPVCLKVLETLLLRCQYHVTTTNQAAIALKMLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVLKGITHGACDYLLKPVRIEELRNIWQHVIRRKFSTRDRANLDFYEECNKPPNADSDHVHGHVTCGSPDQSGRPSKKRKEYCSEEEDEGEVNTQDIDDPSAPKKPRKYRLYLKRLSAVASQQVSIVAALGGRDPFLHMGGFEGLQGYQAFTSSSALSSFTPHGLLNSPRNNPAALGTQGVPASKSIQTMSGSHTLSHSINDANKYHLSLPGNQKGNLGQGLATSLGQTQMQQKWIHEETDDLSTILSGNGLSNGMSGTLQSVTSSPLLPQELAECTQAKIVSQPSIRTSSVSSEHIEGAVGVSSGLLESRVSQQSTIPLSGFSANGLLIHGSFNNTCANKLGGTSSSCAPARSSNDLMVARDTKGGASSFGGAMLLPPDTEQKYLNFGGGNGLKQKFDDRTADSLFDPKFVWSSVPSSQLASNIGAHHAMSQRWNNSSSNSSNIGARMIGQATSSGSTVIPQMKTDFLVSGDMAMPKNASDLSIPKLQSELSSSSCSFDGLLNSIVKVEKDDVTFSDDLGCGDFYSLGACI >ONIVA02G37940.1 pep chromosome:AWHD00000000:2:32687057:32688894:-1 gene:ONIVA02G37940 transcript:ONIVA02G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27460) TAIR;Acc:AT5G27460] MAAVVRRSRLLALLVGASRPNPRPFCCSSSSSSAPPATAREDGDGGDLLSRCLLRIPRKSGRAAAAAAVERWARERGRVSPPELRRDVVRLRLARRYEQALEILSWMDSHNDFRLSPSDHMVRLELIAKVHGTSQAEEYYRKLSTAASKKAASFPLLHCYVIERNVQKAETFMAELQRYGLPVDPHSFNEIMKLYVATCQYEKVLSVIYLMKRNNIPRNVLSYNIWMNACAEVSGLASVQSAFKEMLNDDMVEVGWSTYCTLANIFKKYGQSSKALACLRTAETKLSSTGRLGYSFIMTCYAALNDRDGVIRLWEASKIVPGRIPAANYMSAMVCLIKVGDIGRAEWTFGSWEAESKKHDVRVSNVLLGAYVRNGWIEKAERLHLHMLEKGAHPNYKTWEILMEGFVQSKQMDKAVNAMKKGLSLLKTCHWRPPLELLEAIAKYFEEQGSVEDADRFIKVLQKFNLTSLPLYKSLLGAYINADIVPQNIPQMIAGDQIDMDEEMDQLIIRASKIDIT >ONIVA02G37930.1 pep chromosome:AWHD00000000:2:32683845:32684726:1 gene:ONIVA02G37930 transcript:ONIVA02G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPTAAVIPKPGGIGVGGGGSDDEEPVEIREVWADNLEEEFALIRDVVDEFPFVAMDTEFPGIVCRPVGAFRSPADYNYATLKANVDMLHLIQLGLTFSSPRGELPALGPGRRRCVWQFNFREFDDARDIFASDSIELLRRSGIDFRRNSERGVDARRFAELLMSSGVVLNDSVYWVTFHAGYDFGYLLKILTCSSLPDTQAGFFKLMKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLKDSFFAGSTEKYAGVLYGLNAENVVSAH >ONIVA02G37920.1 pep chromosome:AWHD00000000:2:32682074:32682277:-1 gene:ONIVA02G37920 transcript:ONIVA02G37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGACPSLPPLTRCNQDSIIIRLWKKVATPCQVPVSSPRAHGHHQGQGGMASGGQNPRPSTSRGT >ONIVA02G37910.1 pep chromosome:AWHD00000000:2:32681037:32681948:-1 gene:ONIVA02G37910 transcript:ONIVA02G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDRAQPVAVREVMKASFQPAKLNDYLKPYAWKFQGEENLDDIYSNALKKIPDLTLHSSGAQGLILANTSDCLLPTNANVAETHLVDQIIERHTYFLQYTKLIATVAWQMLTELSINPQFLSSGPRLVHASEEIHEVILVVDWNTFSAVLSFSMAGLLEFNPRRDNHMVVMTGIYAWLIMLCKAWLSDAPTQIGMVAVLEHQVLQEELQTSFDPGGFLHRLGDKPNFKKKELSGSRLGYTWATRQPVAKKPKQAQAETIQGQQQLTGEEGK >ONIVA02G37900.1 pep chromosome:AWHD00000000:2:32673768:32677504:1 gene:ONIVA02G37900 transcript:ONIVA02G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAAAAAADDDDDYHATTGGMEPRGEEDNGASTRGVTGRLLAWRGEEEDDDDAEDSGGGSGAGANADEDDAASAVSLDTISNDSILLPPEPTSANLASLLRARKLILVVDLDHTLNYATAVAKLLDPDGAYFGERIISSGESSQPDRKSLGDVFGWAPEMERAAVVILDDTAEVWKGYRDNLIEMERYLYFASSRGKFGIAARSLAERNRDESEREGALAVALRVLRRVHGEFFSGSVCSGSFADVREVIRQARREVLRGCTVAFTGVIPSGDGGRASDHPVWRRAEQLGATCADDVGEGVTHVVAGKPVTRKALWAQTHGKFLVDTEWINAAHFRWSKPEERMYPVEDDASAGAAPNPGGIAGEKEGDLDAEDSGGGSGADDAAAAVSHGATSTDSIVLPPEPTSGHLASLLRARKLILVVDLDHTLVNSTADYDISGTEYVNGLAELVTDDPGRGLFILDHASWFSAFITKLRPFVHGFLREASAMFEMHVDYAAAVAKLLDPDGVYFGERIISRDESPQPDRKSLDVVFGSAPASAAERAAVVILDDTAEVWEGNSDNLIEMERYHYFASSCRDFGSPWECTHSLSERGVDESERAAALRVLRRVHAGFFAGGGGSFVADVREVIRRTRRLVLRGCTVAFTRAIASDDHHSVWRRTEQLGATCADDVGPAVTHVVATNPTSFKAVWAQVFGKFLVNPEWINAAHFRWSKPKEEHFPVRW >ONIVA02G37890.1 pep chromosome:AWHD00000000:2:32668621:32673363:-1 gene:ONIVA02G37890 transcript:ONIVA02G37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) TAIR;Acc:AT4G15850] MATKEEEGGPSSRVPHLPWMRNPVDIDSFSGCPVAHLPRLDPRLVKPLQRMGIESFFPVQVAAWLETIGPGAFERDICINSPTGSGKTLAYALPIVQMLATRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSIADEVSNLIEKSKQGLFPSLDEEYIQMEPQTKVDILVATPGRLMDHISMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQLTRSSDQNHSWSDMNGETLLHPLTTIRRSGVERGFKGKSFPRLAKIVLSATLTQDPSKLSQLELQHPLLLNSGKKRYRIPTKLQSYKLVCKSNLKPLSLIVLLQELRGEKCLVFTSSVESSHRLSTLLEFFEDLPFKFSEYSRLQRESTRRKTLDAFKEGKIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKAFDKMLKKADNSSCSLHSLPEESVETLRPVFSSALKKLEESLESEATKKSKSGDKAPNASKRKRTINTCAASSVSH >ONIVA02G37890.2 pep chromosome:AWHD00000000:2:32669606:32673363:-1 gene:ONIVA02G37890 transcript:ONIVA02G37890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) TAIR;Acc:AT4G15850] MATKEEEGGPSSRVPHLPWMRNPVDIDSFSGCPVAHLPRLDPRLVKPLQRMGIESFFPVQVAAWLETIGPGAFERDICINSPTGSGKTLAYALPIVQMLATRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSIADEVSNLIEKSKQGLFPSLDEEYIQMEPQTKVDILVATPGRLMDHISMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQLTRSSDQNHSWSDMNGETLLHPLTTIRRSGVERGFKGKSFPRLAKIVLSATLTQDPSKLSQLELQHPLLLNSGKKRYRIPTKLQSYKLVCKSNLKPLSLIVLLQELRGEKCLVFTSSVESSHRLSTLLEFFEDLPFKFSEYSRLQRESTRRKTLDAFKEGKIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKAFDKMLKKADNSSCSLHSLPEESVETLRPVFSSALKKLEESLESEATKKSKSGDKAPNASKRKRTINT >ONIVA02G37880.1 pep chromosome:AWHD00000000:2:32663429:32669916:1 gene:ONIVA02G37880 transcript:ONIVA02G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNARQMHDGGGGGGGGQDDFFDQMLSTLPAVWSELGSGKPAWDLTAGAVGGGGGASDDHSAAAFDDSALLASRLRQHQIDGGGDKPIMLQLSDLHRHHGLAAGDDSGGAAGFLPLSLFADRSQDDIDAAFKSPNGARGDHALYNGFGAAGMHGAAAMQPPPFGQGGSMPAQSFGGGAAASGGGGGSASAAAAAGASSGGGAAAPPRQRQRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGASAVAPLVANMSSESNGNGNATSSSGNGEAANGSSNGDNNGGGTLRVTEQQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISSATSSSLLPRTGGGAGGSLHEGGNGTSPPLVNGTATGCDDAGRKQ >ONIVA02G37880.2 pep chromosome:AWHD00000000:2:32663429:32669916:1 gene:ONIVA02G37880 transcript:ONIVA02G37880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYLDYVTAAKHKNRRHGHLSNVPASSVLHELDREHYKSHSYMPWLALLELPASRLISYFAQTVICFVVSEAIMGADLMGFGIKTDIHLHILADRVAPAQSRVTRITVTEMTMWNFVLQTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGASAVAPLVANMSSESNGNGNATSSSGNGEAANGSSNGDNNGGGTLRVTEQQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISSATSSSLLPRTGGGAGGSLHEGGNGTSPPLVNGTATGCDDAGRKQ >ONIVA02G37880.3 pep chromosome:AWHD00000000:2:32663429:32665355:1 gene:ONIVA02G37880 transcript:ONIVA02G37880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNARQMHDGGGGGGGGQDDFFDQMLSTLPAVWSELGSGKPAWDLTAGAVGGGGGASDDHSAAAFDDSALLASRLRQHQIDGGGDKPIMLQLSDLHRHHGLAAGDDSGGAAGFLPLSLFADRSQDDIDAAFKSPNGARGDHALYNGFGAAGMHGAAAMQPPPFGQGGSMPAQSFGGGAAASGGGGGSASAAAAAGASSGGGAAAPPRQRQRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKAVVGKGRSDMMPK >ONIVA02G37870.1 pep chromosome:AWHD00000000:2:32633967:32659444:-1 gene:ONIVA02G37870 transcript:ONIVA02G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANNVFQVTLLLSYLLLTIGGKELKSINSREKANESLTSPQVNITIQGAGIEYWDEVYGIWGSIYVYDPKVKKDSQDLTASWIQINNLPKAAVGVGIGVGSCVSPSLSGDNFARFHIFWVRHMMGQKESMMDHDNEELKKGCVDHNCPGFVQVSRNVGLGGRIHPISIYNGPQYVINVLIFKDPKTENWWLAYGSNNTPIGYWPSSQFSYLKAKGDYAFWGGYVQGPIAASDPPQMGSGHFASEGFGKTTFIRNIQVIEDKNNKLVTPNIHDSDPFSSDPKLYSYDGYGLNDNRTHMEPSSFPIGMDIKSPLVGAISQAQLSTIDCPIGTIPIVRNNNLANMMVQRIGTLANDDLPMLGAGIEYWDEIYGMQASINVYEPSVKKDSKDVSASWIQISVVPKGTNGIGIGAGSCVYPRSGDSFARFHIRWDNEELNKSCSDHNCPGFMQVSHSVGLGGRINPISVYNGPQYVINVLIFKVPCLSMNIQVIEDKDNKLVTPNIRNANPFSNNLKLYSYDGYGLNDDGGVKIHIDRDGIIGSTIPSKDLNMTIQMEPSSYPLDLDIQSILSSNISESNFPDIKCPTGTIPILRHNSSEAHMPNGGSQEEYAGIKYWDDNSFYGTHATLSVNQPFLTRNNGDHIASFVQLNNGPEEIAAGSIVWPSFSGDNFVRFHIRWDRKTKDWWLERLDKSSAIGYRPLGYWPSKLFDTLQEKATYAFWGGWVRGPTVSLDPPPMGSGHFAKEGYRKAALVKGIRIANKDNNFVNPNVGKATTVTTRGLCYTVDGFGVLKMGMHLTAIQPYPHYRPSSSSTHPAPPHASTAPPHSHPPQRRPTPSAAARPPPLPLPARIRLLPPAPFTWPCLLGLVVSAFLLPLPLPLGISRGSSGAGAGQRLPAQRLLSGSLAQRLPGGAAARDSAPSSGPPQRRGEDQPIMSSLCPFAKLASAGATCPVKSDNKTTSCPVTANNHTDDDDNEKTGNANTDPRVVPAKCPFGYDSNNTFKLGPLSCVVCHALLHQSSKCTPCSHKFCKACILRFKDCPLCGADIQGIEPDDELQGLVDRFIDGHARIKRSHAAGDGEAASDKTKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAEDIREELKSKEDNQELCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAEFLSKLPKKDLEVLVHTLSVSLNKIGDLCYYDGDLHSARSYYARSLDVRRSAVKEHSAVASQVIDVATSLAKVADVDRNLGNESMAVEGFEEAIKCLENLKLESGEASLEQRRLSVLDFLQKQLDDK >ONIVA02G37870.2 pep chromosome:AWHD00000000:2:32633967:32659444:-1 gene:ONIVA02G37870 transcript:ONIVA02G37870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKANNVFQVTLLLSYLLLTIGGKELKSINSREKANESLTSPQVNITIQGAGIEYWDEVYGIWGSIYVYDPKVKKDSQDLTASWIQINNLPKAAVGVGIGVGSCVSPSLSGDNFARFHIFWVRHMMGQKESMMDHDNEELKKGCVDHNCPGFVQVSRNVGLGGRIHPISIYNGPQYVINVLIFKDPKTENWWLAYGSNNTPIGYWPSSQFSYLKAKGDYAFWGGYVQGPIAASDPPQMGSGHFASEGFGKTTFIRNIQVIEDKNNKLVTPNIHDSDPFSSDPKLYSYDGYGLNDNRTHMEPSSFPIGMDIKSPLVGAISQAQLSTIDCPIGTIPIVRNNNLANMMVQRIGTLANDDLPMLGAGIEYWDEIYGMQASINVYEPSVKKDSKDVSASWIQISVVPKGTNGIGIGAGSCVYPRSGDSFARFHIRWDNEELNKSCSDHNCPGFMQVSHSVGLGGRINPISVYNGPQYVINVLIFKVPCLSMNIQVIEDKDNKLVTPNIRNANPFSNNLKLYSYDGYGLNDDGGVKIHIDRDGIIGSTIPSKDLNMTIQMEPSSYPLDLDIQSILSSNISESNFPDIKCPTGTIPILRHNSSEAHMPNGGSQEEYAGIKYWDDNSFYGTHATLSVNQPFLTRNNGDHIASFVQLNNGPEEIAAGSIVWPSFSGDNFVRFHIRWDRKTKDWWLERLDKSSAIGYRPLGYWPSKLFDTLQEKATYAFWGGWVRGPTVSLDPPPMGSGHFAKEGYRKAALVKGIRIANKDNNFVNPNVGKATTVTTRGLCYTVDGFGVLKMACGWQSIDPLSHPLRSQSLFSLSAHAQIPANSGTPLAAARPFLHSLLLLHQPIMSSLCPFAKLASAGATCPVKSDNKTTSCPVTANNHTDDDDNEKTGNANTDPRVVPAKCPFGYDSNNTFKLGPLSCVVCHALLHQSSKCTPCSHKFCKACILRFKDCPLCGADIQGIEPDDELQGLVDRFIDGHARIKRSHAAGDGEAASDKTKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAEDIREELKSKEDNQELCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAEFLSKLPKKDLEVLVHTLSVSLNKIGDLCYYDGDLHSARSYYARSLDVRRSAVKEHSAVASQVIDVATSLAKVADVDRNLGNESMAVEGFEEAIKCLENLKLESGEASLEQRRLSVLDFLQKQLDDK >ONIVA02G37870.3 pep chromosome:AWHD00000000:2:32637723:32659444:-1 gene:ONIVA02G37870 transcript:ONIVA02G37870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKANNVFQVTLLLSYLLLTIGGKELKSINSREKANESLTSPQVNITIQGAGIEYWDEVYGIWGSIYVYDPKVKKDSQDLTASWIQINNLPKAAVGVGIGVGSCVSPSLSGDNFARFHIFWVRHMMGQKESMMDHDNEELKKGCVDHNCPGFVQVSRNVGLGGRIHPISIYNGPQYVINVLIFKDPKTENWWLAYGSNNTPIGYWPSSQFSYLKAKGDYAFWGGYVQGPIAASDPPQMGSGHFASEGFGKTTFIRNIQVIEDKNNKLVTPNIHDSDPFSSDPKLYSYDGYGLNDNRTHMEPSSFPIGMDIKSPLVGAISQAQLSTIDCPIGTIPIVRNNNLANMMVQRIGTLANDDLPMLGAGIEYWDEIYGMQASINVYEPSVKKDSKDVSASWIQISVVPKGTNGIGIGAGSCVYPRSGDSFARFHIRWDNEELNKSCSDHNCPGFMQVSHSVGLGGRINPISVYNGPQYVINVLIFKVPCLSMNIQVIEDKDNKLVTPNIRNANPFSNNLKLYSYDGYGLNDDGGVKIHIDRDGIIGSTIPSKDLNMTIQMEPSSYPLDLDIQSILSSNISESNFPDIKCPTGTIPILRHNSSEAHMPNGGSQEEYAGIKYWDDNSFYGTHATLSVNQPFLTRNNGDHIASFVQLNNGPEEIAAGSIVWPSFSGDNFVRFHIRWDRKTKDWWLERLDKSSAIGYRPLGYWPSKLFDTLQEKATYAFWGGWVRGPTVSLDPPPMGSGHFAKEGYRKAALVKGIRIANKDNNFVNPNVGKATTVTTRGLCYTVDGFGVLKMGMHPSNLIHTTAPPPPPPTQRRRTPPQRRPTPTHPSVAPPPAPPHAHLPYLCRPASACCHRPPSRGRASSVSSSPPSSSLSLSLSASPEAAAAQGRVNGSQRRGCSVAPWRSGCPAAPRLGIRLRARDLHSGEEKIDIDRD >ONIVA02G37870.4 pep chromosome:AWHD00000000:2:32637723:32659444:-1 gene:ONIVA02G37870 transcript:ONIVA02G37870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKANNVFQVTLLLSYLLLTIGGKELKSINSREKANESLTSPQVNITIQGAGIEYWDEVYGIWGSIYVYDPKVKKDSQDLTASWIQINNLPKAAVGVGIGVGSCVSPSLSGDNFARFHIFWDNEELKKGCVDHNCPGFVQVSRNVGLGGRIHPISIYNGPQYVINVLIFKDPKTENWWLAYGSNNTPIGYWPSSQFSYLKAKGDYAFWGGYVQGPIAASDPPQMGSGHFASEGFGKTTFIRNIQVIEDKNNKLVTPNIHDSDPFSSDPKLYSYDGYGLNDNRTHMEPSSFPIGMDIKSPLVGAISQAQLSTIDCPIGTIPIVRNNNLANMMVQRIGTLANDDLPMLGAGIEYWDEIYGMQASINVYEPSVKKDSKDVSASWIQISVVPKGTNGIGIGAGSCVYPRSGDSFARFHIRWDNEELNKSCSDHNCPGFMQVSHSVGLGGRINPISVYNGPQYVINVLIFKVPCLSMNIQVIEDKDNKLVTPNIRNANPFSNNLKLYSYDGYGLNDDGGVKIHIDRDGIIGSTIPSKDLNMTIQMEPSSYPLDLDIQSILSSNISESNFPDIKCPTGTIPILRHNSSEAHMPNGGSQEEYAGIKYWDDNSFYGTHATLSVNQPFLTRNNGDHIASFVQLNNGPEEIAAGSIVWPSFSGDNFVRFHIRWDRKTKDWWLERLDKSSAIGYRPLGYWPSKLFDTLQEKATYAFWGGWVRGPTVSLDPPPMGSGHFAKEGYRKAALVKGIRIANKDNNFVNPNVGKATTVTTRGLCYTVDGFGVLKMGMHPSNLIHTTAPPPPPPTQRRRTPPQRRPTPTHPSVAPPPAPPHAHLPYLCRPASACCHRPPSRGRASSVSSSPPSSSLSLSLSASPEAAAAQGRVNGSQRRGCSVAPWRSGCPAAPRLGIRLRARDLHSGEEKIDIDRD >ONIVA02G37870.5 pep chromosome:AWHD00000000:2:32633969:32637507:-1 gene:ONIVA02G37870 transcript:ONIVA02G37870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCPFAKLASAGATCPVKSDNKTTSCPVTANNHTDDDDNEKTGNANTDPRVVPAKCPFGYDSNNTFKLGPLSCVVCHALLHQSSKCTPCSHKFCKACILRFKDCPLCGADIQGIEPDDELQGLVDRFIDGHARIKRSHAAGDGEAASDKTKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAEDIREELKSKEDNQELCSQLGAVLGMLGDCCRTLGDAPSAITYYEESAEFLSKLPKKDLEVLVHTLSVSLNKIGDLCYYDGDLHSARSYYARSLDVRRSAVKEHSAVASQVIDVATSLAKVADVDRNLGNESMAVEGFEEAIKCLENLKLESGEASLEQRRLSVLDFLQKQLDDK >ONIVA02G37860.1 pep chromosome:AWHD00000000:2:32631704:32633167:1 gene:ONIVA02G37860 transcript:ONIVA02G37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERNAAPRVSASSSAAASPSTVGALLSKASVAAAPAREIPSPRSLLSRILHRSGGGGGGFGCRLRLPRYCSSGAAAKEDAAAEYVEVEAEAAAPKVVGRQAVDRESPRSSLGKKAAEEVSPASLGLGASLVLLLSKSAAELNRMAELRAQMERLVLDTKEEEEARSSNHPNASDDHADITKEEPTAFSGGALSRCSRTAAAPGNAGHHAAVPMDQMEAELEAELTLLQCATPRRDRQLEIGDDEEESTDTHAATFADADDDTDGADDEEEEEEESGAAAQGGVSARELERRLHELLQWRHEERIAELETALERARKRLQEKEREVCWWRNTAKLVTRHKDDSRLR >ONIVA02G37850.1 pep chromosome:AWHD00000000:2:32624138:32630588:1 gene:ONIVA02G37850 transcript:ONIVA02G37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEAEEELMHRRIEFHAARRPPRAVEGAGGRFWVEILSPDADKAAVVAAARSEGLVRGLEKGEGSGGGIDPELRVARMYLRRIGAGLQNFGNTCYLNSVLQCLTYTEPFVAYLQSGEHMSSCRTIGFCALCALQRHVNSALQSTGKILRPVHIVGISRSFRISRQEDAHELMVRLLESMHKCCLPSGVPSGSPSAYEKSLVHRIFGGLLRSQVRCTTCSHCSNKFDPFLDLSLEIANAATLVKALQHFTAEELLDGGEKQYNCEYCRQKVVAKKRFMIEKAPSVLTIHLKRFSPFNPCHKIDKKVQFQPTLNLKPYVSNPEGMEFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGIWHNLDDNEVYQVREADVLRQKAYMLFYVRDRTRSSVMYSDNCTVNLSVNKMISEKITCMNGSIKKDTVETKTLRVPSFVKEDVNLKKQNSENGQSSNISNAPQDQCSKSHSNTEVLEAAASPNNDPASTQKASCIRPDTAAVNLPMKTEQIAPDNRREITSPAQADVSVLHNASFNQKLYEKQLQEHQLETDDALTDSRKDAPAALCTYGVGDGLLGRNGQSSEPHIGPCPAALPIHNGGEGLLGPNGQASEAHSGPCSSAFPIHNGGEGLLGASGQSSEPHTGPCPAALPIHDGGQGLLGPNGQASEPHTDSCPAAFPIHSGGEGLLGANGQASELHTDPCPAAFPIHSAGEGLLGANGQDSEHRTGPIPAAFPVWNGTGVILEKYGQVSGPADPFCKPTPTISDTVSIAQIIPTEHAAVSNGTVSSSDDLTGNTEANESSEFVKNYGEQVMVRDLSAETSGDRANADEQTSMQNNTLEVGKDVAKDTDNVANAEEQVLNHPLAEQVKSEKQIYPGISTTLICSEDTTQLIDKDTGSGKLNKKMNCKSKRQVKYPAVRMFFGPKQLLLASVKLHKKRKHKRSKKHHALSVHIESIITDQQTSTSETVFSKIISHKSRGQKRSCASASSEDGTQLFNKKQHIEGTTNSVPMDNNDTKLASADSNDAKLASAELPSSCTNSLVNQTDSRNNVNANERGPWHFNLLTRGLREITVPRWDDTEIKNTKETEILHPRTRSIGYVLDEWDEEYDRGKRKKIRKPKHGFSGPNPFQETANIRSRQRMRLQSDQTKSGNQPLRI >ONIVA02G37840.1 pep chromosome:AWHD00000000:2:32619524:32621559:-1 gene:ONIVA02G37840 transcript:ONIVA02G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFFKKPPDGLLLITDNIYVFDHCFSMKEMEEDHFEAHIRGVAAHLLDNFGDHSFMISNFGIRDEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMVHCILKSSESWLSLGQRNFLIMHCEQGCWPILAFMLAALLIYLGQYSDEQKTLDMLYKQSPVELLEMFSPLNPMPSQLRYLRYVSMRNVVPEWPPADRALTLDSVILRMVPDFHGQGGFRPIFRIYGPDPLMPTDQTPKVLFSTPKRSNVVRFYSQADELVKINLQCHVQGDVVLECINLYEDLDREDMVIFSDMDATTSHITTEPVSHQEKQGLGIEEFAKVLDIFNHLDWLDGKKDTSLHIPQRKASSTSQGNIDESPADGSETFFDTKEELDFDSLSVNR >ONIVA02G37830.1 pep chromosome:AWHD00000000:2:32618243:32619383:1 gene:ONIVA02G37830 transcript:ONIVA02G37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVCIPGTIGGGGGGGGGGTAGACCPGAAGGGGGGVGGVFIPGIIGGGGGGGGGGTGDACSPGTAEGGCGGGCGGENDDTGNDGGGGGGSGGGGAGDWPLFSGAETTDEVDEYIEFEINVGCIGGGGGNITVDGFRGGGSGGGRGGDAAVEIEINEVRVSEVRGIAGKASGTYVLVLLDSFSMDNRESDDNAVTLEALENSGGNFSRFSQEASGEADDSGGCEGEGMIDLRETLIILVLVFMGKMGETGANETVGGGGGRGGGVHKAIKDAVDAELFTNLSVPVAELVRTVLGVLPCLKVVPLMKHWSLAS >ONIVA02G37820.1 pep chromosome:AWHD00000000:2:32612979:32617959:-1 gene:ONIVA02G37820 transcript:ONIVA02G37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GE02] MITWPPPPPPGPPPKNSSNSLPSKGNVVSSSPPPPPTFSFGAKDRSTARSRSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRTPEIDISELESLFSVAMPNMEEKRARQRPSVAAKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMNSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGFTGNKENLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVADLKNSLNTINSVAEEVRNSVKLKRVMQTILSLGNALNQGTARGSAVGFRLDSLLKLIDIRARNNRMTLMHYLCKVLSDKLPEVLDFNKDLTYLEPASKIQLKELAEEMQAITKGLEKVEQELTTSEKDGPGSEIFYKKLKEFLADAQAEGRSLAFLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENLRQMELEKKRAQMEAEKEKVKAAAHKEDLLEP >ONIVA02G37820.2 pep chromosome:AWHD00000000:2:32612979:32617959:-1 gene:ONIVA02G37820 transcript:ONIVA02G37820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GE02] MITWPPPPPPGPPPKNSSNSLPSKGNVVSSSPPPPPTFSFGAKDRSTARSRSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRTPEIDISELESLFSVAMPNMEEKRARQRPSVAAKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMNSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGFTGNKENLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVADLKNSLNTINSVAEEVRNSVKLKRVMQTILSLGNALNQGTARGSAVGFRLDSLLKLIDIRARNNRMTLMHYLCKVLSDKLPEVLDFNKDLTYLEPASKCGGESQIQLKELAEEMQAITKGLEKVEQELTTSEKDGPGSEIFYKKLKEFLADAQAEGRSLAFLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENLRQMELEKKRAQMEAEKEKVKAAAHKEDLLEP >ONIVA02G37820.3 pep chromosome:AWHD00000000:2:32612979:32617959:-1 gene:ONIVA02G37820 transcript:ONIVA02G37820.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GE02] MITWPPPPPPGPPPKNSSNSLPSKGNVVSSSPPPPPTFSFGAKDRSTARSRSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRTPEIDISELESLFSVAMPNMEEKRARQRPSVAAKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMNSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGFTGNKENLGKCEQFFLEMMKVPRVESKLRILSFKIKFLTQVADLKNSLNTINSVAEEVRNSVKLKRVMQTILSLGNALNQGTARGSAVGFRLDSLLKLIDIRARNNRMTLMHYLCKIQLKELAEEMQAITKGLEKVEQELTTSEKDGPGSEIFYKKLKEFLADAQAEGRSLAFLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENLRQMELEKKRAQMEAEKEKVKAAAHKEDLLEP >ONIVA02G37810.1 pep chromosome:AWHD00000000:2:32606403:32609424:-1 gene:ONIVA02G37810 transcript:ONIVA02G37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTSAAAVAAASRLLVRRAPPRLLRRLPRAALAASRPSPPSSSSYGAAAVALGRQPLGHRARMGHTAAAAAAAGPALGLTKPNAVEPPQVSFAAKDVEFSEWKGDILAIAVTENDLVKGSDSKFENAVLKKLDGQLGGLLSEASAEEDFTGKAGQSVVLRLPGQGFKRVGLIGLGQNAPSTTTACKGIGESVASVAKSAQASSAAIVFASVGGIQEDFKLTAAAAIASGTVLGLHEDSRYKSESKKVHLKQVDLIGFGSGPEVDQKLKYANDLSSGVIFGKELVNSPANVLTPAVLAEEASNIASTYSDVFTATILDVEKCKELKMGSYLGVAAASANPPHFIHLCYKPPGGNAKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIIDLATLTGACVVALGPSIAGIFTPSDELAKEVAAASEISGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWVLKNSS >ONIVA02G37800.1 pep chromosome:AWHD00000000:2:32596207:32604104:1 gene:ONIVA02G37800 transcript:ONIVA02G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GDZ9] MATRGRIVAAVASVVVAWLAVAVGVNGGGCEAERDRVEALPGQPPVAFAQYAGYVAVSEASGRALFYWLTEAAAAAAATKPLVLWLNGGPGCSSIAYGASEEIGPFRIKTNGTGLYLNKYSWNREANLLFLESPAGVGFSYSNTTSDLKTSGDERTSQDALQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEFNKASPYPFINLKGILVGNGVTDNYYDNIGTVTYWWTHAMISDTTYKAIMSSCNFTSANVSRLCNRAMSYAMNHEFGDIDQYSIYTPSCAAAAAANATGRRRGKAAVLRFKDTFLRRRSFGYDPCTETYAEKYYNRPDVQKAMHANITGIPYRWTACSDVLIKTWRDSEFSMLPTYKLLMKAGLRIWVFSGDTDSVVPVTATRFALSHLGLKTKIRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFQSFLAGEPLPKS >ONIVA02G37790.1 pep chromosome:AWHD00000000:2:32586204:32589368:-1 gene:ONIVA02G37790 transcript:ONIVA02G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLAFAPGVPRVVPRRLAAGGHAMACSSSSARFMARRPRLVVVARYNASSSSSYESDEEEEEGFGGGGGWGRRDRGPDPDYDPALDIERIEASTVRLLDEEKRMVGVVSVSEAVQIADENDLILAILSLDGDPPVLRLFQEKDYKKHKYEQQKKKRTQQKRSVAKRMGLKELKMGYNIDIHDYSVRLKAARKFLKAGDKVKIMVNLKGRENLYKKQAIELLRRFQNDVGEMATEESKNFQERNIYLVLVPNKIAIQKEQDELNKKDTAIEDSDQSDDQPEESEPVPAQPEESKETETEVSANVLLNARLLLLYLTPRM >ONIVA02G37790.2 pep chromosome:AWHD00000000:2:32585733:32589537:-1 gene:ONIVA02G37790 transcript:ONIVA02G37790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPISPVASPKPEVGVVSVSEAVQIADENDLILAILSLDGDPPVLRLFQEKDYKKHKYEQQKKKRTQQKRSVAKRMGLKELKMGYNIDIHDYSVRLKAARKFLKAGDKVKIMVNLKGRENLYKKQAIELLRRFQNDVGEMATEESKNFQERNIYLVLVPNKIAIQKEQDELNKKDTAIEDSDQSDDQPEESEPVPAQPEESKETETEVSANFQLDIL >ONIVA02G37780.1 pep chromosome:AWHD00000000:2:32570367:32583750:1 gene:ONIVA02G37780 transcript:ONIVA02G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACGIRAPGDVLLRKSELSSAAAAAKNYGNGHDDAAVRRKAAAGSPATPRRHPSPNAGRSSAAAAEAAGSQARRSQSTERRPATPSRLSPGGSRADAPSSRISAPTSPSSAPSSPSSSSSSSSTPVRDAVAAESQSAPRRLAGGRAPPDGLWPSMRSLSSSLQLEAKGKRSNGGSADQAKARDAGDRKRSPSRGRSAAEQQPENPHAKVIDHHRWPAMMGGRVSVSAMSRSVDLTDKISRPALSSIPSRGVSPKKATMASATNALTRSIDLADKIDRLVSLSVSSPRTPTASNGAADESKSMSISKGTKPAAVAIPSRVSAIITATSGGIRALSKSMDLTEKNIGTLSSAASSPGISPSVSVSSMSNATSQTTAKSTRGLSPRRTSTSIGSGALSRNIDLPENDKRPASSSASLRGNSPRRRLASDSVNAVVKNIDFAEKDSRAAISSTSSRGFSPRRRLASDGLDAISRSTDFSDKDSRPSTSSSSAQRGISPLRRLTISKGTDFTDKSYRPSTSSAASRGVSPRTRLASDSAGNILKSMDLADRDNKPSTSSASLRGMSPRRRLASDGISKNITFTEKDDRTMPSSVASQEISTIRRLPSDGADSISKNIDLPEKVTRPATSSAASRGLSPRRRLASDGVNAISKSIDLADKDTGPARSTAALRGVSPRRQLASDRVDSISKNTDFTEKDKDTRPSTSSGASRGISPRRRLASDGVDDLSKGINFSQKSIRPSTSSMASRGTSPRRRLASDGVNSLLKSTDFTDKDHRPSTSSAALRGMSPRNRVTSKSIDAKSLDFSDKDSRPFTPSGASQGTLQEVALASDGINALSEAVDTAVIGSLQSTSSVESGETSDARLNNGSGTVVNRIDFAQEVNIATPDGCNGHISESMDSHDIGTSAPSMSITSQEQSPSRTVSNGPKTLSEDINATKKNNRAMTVKIPSRGASPRRRLASEGFGTIYKSMDFSEKDRTSINMATPSRGMSPRRTARSGIVDMSKSMDFSEKCNGPISSIAPSHVVSARRILGPDGANAMSRSMDLTDKIRQPISSTVRKMSLADSRAKAPDLLSGDIESPGSANGNESQEENAGSSLDAPSNDSEKSAPPKRLARTLSSPSPTKASSISSFTPRRMPSPSRNRPSTPVSPCSSTRSDSASSILSYMGDVTRGKRSPSHMEDAHQLRLLYNRSLQWRFTNAYVDEMQSVQKMSAETMLYSVWDANSSLCDSMVMKRSYVQRLRQEVKLGVVLKEQVRFVEIYDICYSIIILAESDIFYFADHWRAVILFRYLV >ONIVA02G37780.2 pep chromosome:AWHD00000000:2:32570367:32583750:1 gene:ONIVA02G37780 transcript:ONIVA02G37780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDACGIRAPGDVLLRKSELSSAAAAAKNYGNGHDDAAVRRKAAAGSPATPRRHPSPNAGRSSAAAAEAAGSQARRSQSTERRPATPSRLSPGGSRADAPSSRISAPTSPSSAPSSPSSSSSSSSTPVRDAVAAESQSAPRRLAGGRAPPDGLWPSMRSLSSSLQLEAKGKRSNGGSADQAKARDAGDRKRSPSRGRSAAEQQPENPHAKVIDHHRWPAMMGGRVSVSAMSRSVDLTDKISRPALSSIPSRGVSPKKATMASATNALTRSIDLADKIDRLVSLSVSSPRTPTASNGAADESKSMSISKGTKPAAVAIPSRVSAIITATSGGIRALSKSMDLTEKNIGTLSSAASSPGISPSVSVSSMSNATSQTTAKSTRGLSPRRTSTSIGSGALSRNIDLPENDKRPASSSASLRGNSPRRRLASDSVNAVVKNIDFAEKDSRAAISSTSSRGFSPRRRLASDGLDAISRSTDFSDKDSRPSTSSSSAQRGISPLRRLTISKGTDFTDKSYRPSTSSAASRGVSPRTRLASDSAGNILKSMDLADRDNKPSTSSASLRGMSPRRRLASDGISKNITFTEKDDRTMPSSVASQEISTIRRLPSDGADSISKNIDLPEKVTRPATSSAASRGLSPRRRLASDGVNAISKSIDLADKDTGPARSTAALRGVSPRRQLASDRVDSISKNTDFTEKDKDTRPSTSSGASRGISPRRRLASDGVDDLSKGINFSQKSIRPSTSSMASRGTSPRRRLASDGVNSLLKSTDFTDKDHRPSTSSAALRGMSPRNRVTSKSIDAKSLDFSDKDSRPFTPSGASQGTLQEVALASDGINALSEAVDTAVIGSLQSTSSVESGETSDARLNNGSGTVVNRIDFAQEVNIATPDGCNGHISESMDSHDIGTSAPSMSITSQEQSPSRTVSNGPKTLSEDINATKKNNRAMTVKIPSRGASPRRRLASEGFGTIYKSMDFSEKDRTSINMATPSRGMSPRRTARSGIVDMSKSMDFSEKCNGPISSIAPSHVVSARRILGPDGANAMSRSMDLTDKIRQPISSTVRKMSLADSRAKAPDLLSGDIESPGSANGNESQEENAGSSLDAPSNDSEKSAPPKRLARTLSSPSPTKASSISSFTPRRMPSPSRNRPSTPVSPCSSTRSDSASSILSYMGDVTRGKRSPSHMEDAHQLRLLYNRSLQWRFTNAYVDEMQSVQKMSAETMLYSVWDANSSLCDSMVMKRSYVQRLRQEVKLGVVLKEQVRFVEIYDICYSIIILAESDIFYFADHWRAVILFRYLV >ONIVA02G37780.3 pep chromosome:AWHD00000000:2:32577268:32585576:1 gene:ONIVA02G37780 transcript:ONIVA02G37780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDACGIRAPGDVLLRKSELSSAAAAAKNYGNGHDDAAVRRKAAAGSPATPRRHPSPNAGRSSAAAAEAAGSQARRSQSTERRPATPSRLSPGGSRADAPSSRISAPTSPSSAPSSPSSSSSSSSTPVRDAVAAESQSAPRRLAGGRAPPDGLWPSMRSLSSSLQLEAKGKRSNGGSADQAKARDAGDRKRSPSRGRSAAEQQPENPHAKVIDHHRWPAMMGGRVSVSAMSRSVDLTDKISRPALSSIPSRGVSPKKATMASATNALTRSIDLADKIDRLVSLSVSSPRTPTASNGAADESKSMSISKGTKPAAVAIPSRVSAIITATSGGIRALSKSMDLTEKNIGTLSSAASSPGISPSVSVSSMSNATSQTTAKSTRGLSPRRTSTSIGSGALSRNIDLPENDKRPASSSASLRGNSPRRRLASDSVNAVVKNIDFAEKDSRAAISSTSSRGFSPRRRLASDGLDAISRSTDFSDKDSRPSTSSSSAQRGISPLRRLTISKGTDFTDKSYRPSTSSAASRGVSPRTRLASDSAGNILKSMDLADRDNKPSTSSASLRGMSPRRRLASDGISKNITFTEKDDRTMPSSVASQEISTIRRLPSDGADSISKNIDLPEKVTRPATSSAASRGLSPRRRLASDGVNAISKSIDLADKDTGPARSTAALRGVSPRRQLASDRVDSISKNTDFTEKDKDTRPSTSSGASRGISPRRRLASDGVDDLSKGINFSQKSIRPSTSSMASRGTSPRRRLASDGVNSLLKSTDFTDKDHRPSTSSAALRGMSPRNRVTSKSIDAKSLDFSDKDSRPFTPSGASQGTLQEVALASDGINALSEAVDTAVIGSLQSTSSVESGETSDARLNNGSGTVVNRIDFAQEVNIATPDGCNGHISESMDSHDIGTSAPSMSITSQEQSPSRTVSNGPKTLSEDINATKKNNRAMTVKIPSRGASPRRRLASEGFGTIYKSMDFSEKDRTSINMATPSRGMSPRRTARSGIVDMSKSMDFSEKCNGPISSIAPSHVVSARRILGPDGANAMSRSMDLTDKIRQPISSTVRKMSLADSRAKAPDLLSGDIESPGSANGNESQEENAGSSLDAPSNDSEKSAPPKRLARTLSSPSPTKASSISSFTPRRMPSPSRNRPSTPVSPCSSTRSDSASSILSYMGDVTRGKRSPSHMEDAHQLRLLYNRSLQWRFTNAYVDEMQSVQKMSAETMLYSVWDANSSLCDSMVMKRSYVQRLRQEVKLGVVLKEQMDYLTHWAALETEHSTSLSSAIEALRASTLRLPVTGGAKADVFTVKNAVSSAVDIMQAMGSSVCYLLSKLQATHSLVTELSAVAANESSMLNEYRELLGTAAALQVLESSLRTQLIQETE >ONIVA02G37770.1 pep chromosome:AWHD00000000:2:32564338:32567462:-1 gene:ONIVA02G37770 transcript:ONIVA02G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASRAPNPPPPAAAAAENVRSDAGHAEPSCSTPAHHQVFRPVTRSMTRKPTAAAASSDVKGGESASTSKRRDSTDPCFSTQSAAARPSVTRVRTPHKVASSAWKPLTQPIVMSEDLKRASVPSTNPSAKRSRVASSQAAEDSPTVHRGKKRNEESASKGDQLDGAVIPSPSKKLQTGKSPSDVLPKRKPTIRNKDGKLAAPLSMVKLETESGESSVIASSKIGPATTNDNCQSAELAQQLQLDTKNNSNDIITEAIAYGTNQADLLVAPVTTDAIASGSSQVNLSAFPVTAEAIPNRTHQVNHSAGPLNIKAMINRTSLVNKPVAPVNAKAIANRAQQVAAHNKLPSPVIAAPRQNLQDDLQRKLAKLLIARKQPSGQAGATAPLVTPKLEIGKAKGSSSNVLSDPAYANVKALLIKQQEQLLQQFKSANSQPQVHIKGPALTDKDEAPPVEPLGTRCQLCKLDIAFRPQGDDARDNAPPVAAVLGCHHAFHSSCIEAIYGLAEPSQCIGCLDSAKA >ONIVA02G37760.1 pep chromosome:AWHD00000000:2:32559466:32562842:-1 gene:ONIVA02G37760 transcript:ONIVA02G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEQMKGFCLLYLFVSRLQVRH >ONIVA02G37760.2 pep chromosome:AWHD00000000:2:32560039:32562279:-1 gene:ONIVA02G37760 transcript:ONIVA02G37760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPASADPPRIFWKSRRRSASANGRSLQQELNKEAADEQLNNQAHEEAMKIDDANAVSTDDDVHPDPKANLSEKRKALFEPLEPINGKRSSAEMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREINGLNEQLEEDSRVLELLQKQLADERKKRTEIEKENSMLHEQVSMLMNMLDENEAFDEEGEAPPPDTL >ONIVA02G37750.1 pep chromosome:AWHD00000000:2:32554988:32559457:1 gene:ONIVA02G37750 transcript:ONIVA02G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHQYVPRKRRAERSLAPAAIFNVEAEEGRAGDVPLHKRVKEELQLQPPPPSLQDMHILDGSSPLGLRLRKSPSLLELIQMKLAMENTKKEDIKSRSLIASERVKASNFAADFLKIGTWECTSQYEGDLVAKCYFAKHKLVWEVLDAGLKRKIEIQWSDIIALKATCPENGIGTLDLVLARPPTFFKETDPQPRKHTLWQVASDFTGGQASINRRHILQCQSSLLSKNFEKLIQCDQRLNYLSLQPYMIDSPVFRPKTEGSIFENPNKSKSYHGFSYLEGEHESHLSKYIDHVSPCDFPLMSKKDGMKDDIANQQQSFSRPINWGASDVDLQVDVSQELKSPHPNSLSQARSLSIDDLLSHLDDCIVEQKPAGNNPSLPISEALSNELLEKITQQLLSDSHVAPASDEKRVMARVGSLLSLLQKDAVPANLPKFEPNDIGKIGVVEVGISSALDMGIANGTNPPGISRKDSYEELLSNLFNISEDFDD >ONIVA02G37740.1 pep chromosome:AWHD00000000:2:32552595:32552903:1 gene:ONIVA02G37740 transcript:ONIVA02G37740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:membrane-associated progesterone binding protein 2 [Source:Projected from Arabidopsis thaliana (AT2G24940) TAIR;Acc:AT2G24940] MAAELTAAQLRAYDGSDPSKPIYVSVRGKVYDVTSGRGFYGPGGAYAVFAGREASRALGKMSKDDADVSGDLSGLSDKELGVLADWETKFQAKYPVVARLTE >ONIVA02G37730.1 pep chromosome:AWHD00000000:2:32547782:32549603:-1 gene:ONIVA02G37730 transcript:ONIVA02G37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKGSDRLSKLPDDILLNILDRLHVRDAARTSVLSRRWRHLPSMLSQLVIDFVHFMPNGASMLSDDVLVWTNAAVVEATKSILERRNPDEYTIHLLRMLFYLNEGDCISIGQTVGHAMTTQKVEMAEFTIIVEKLPTRCTDDDLIDYGRRFMSFFDACPTAFGGLTRLIVGNLRFGESDIHNVLKTCQNLQYLRLFNCDSGNLTVLQLEHPQLNVLNIANCRFESIKLNCLPKLAQLMVEGWLSFQDPLTFGYVPSLEAVRLAGVGLKRHKLVKLSKILGKISVRDLRLNFKSEKIWVQPELPQKLASVFYKLRLVNLFRVPEGCDLTWTMFILEAAPFLKELRMTVWDHWCNMEKDEEMRASLYSSNKSVEWESSAEDFKHHNLSVLTIFCFQSEDFLVAFIKRIMEVAVNLEDVFLYNMLACDTCKDIRRPCKFPRTKRQRCSLKKRINEGNSFAKFHFLTSVTADHVPISEYP >ONIVA02G37720.1 pep chromosome:AWHD00000000:2:32532207:32545105:1 gene:ONIVA02G37720 transcript:ONIVA02G37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 3 [Source:Projected from Arabidopsis thaliana (AT1G79690) TAIR;Acc:AT1G79690] MHLDEYKSCLAKESGEYVPYDVNGTYGQLFSIIEERYKDNIESRSLTLQKQINRYAPIHLEPELTSLSEGDREALGYILKASIVIDDIFYEQVWNSNCTLRDWLKARANYSSFDKLKWLYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKSVSGWKGIEYRAAFPRDNPPGANFYPPDMDKMEFELWKNGLPEKEQKYATGFFTVIKRHDALLPSILAQSDGSNQTKTSDDLFVVPYSEEYKSSLEKAAELLHKASECSDSPSLKNLLKTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDGLFSYKATFEAFVGVRDDIATSQVKLFGDQLQDLEKHLPLDNIYKSDNVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQEDYVDFEPYYTHIVCHECCHGIGPHSITLPTGKRSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSESMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYEKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGDKPAAQSLLQSHASLKQPLRVALDKIEHMQVPVDITPIFGTANKLLANDQ >ONIVA02G37720.2 pep chromosome:AWHD00000000:2:32532207:32545105:1 gene:ONIVA02G37720 transcript:ONIVA02G37720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 3 [Source:Projected from Arabidopsis thaliana (AT1G79690) TAIR;Acc:AT1G79690] MHLDEYKSCLAKESGEYVPYDVNGTYGQLFSIIEERYKDNIESRSLTLQKQINRYAPIHLEPELTSLSEGDREALGYILKASIVIDDIFYEQVWNSNCTLRDWLKARANYSSFDKLKWLYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKSVSGWKGIEYRAAFPRDNPPGANFYPPDMDKMEFELWKNGLPEKEQKYATGFFTVIKRHDALLPSILAQSDGSNQTKTSDDLFVVPYSEEYKSSLEKAAELLHKASECSDSPSLKNLLKTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDGLFSYKATFEAFVGVRDDIATSQVKLFGDQLQDLEKHLPLDNIYKSDNVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQEDYVDFEPYYTHIVCHECCHGIGPHSITLPTGKRSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSESMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYEKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGDKPAAQSLLQSHASLKQPLRVALDKIEHMQVPVDITPIFGTANKLLANDQ >ONIVA02G37720.3 pep chromosome:AWHD00000000:2:32532207:32545105:1 gene:ONIVA02G37720 transcript:ONIVA02G37720.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 3 [Source:Projected from Arabidopsis thaliana (AT1G79690) TAIR;Acc:AT1G79690] MHLDEYKSCLAKESGEYVPYDVNGTYGQLFSIIEERYKDNIESRSLTLQKQINRYAPIHLEPELTSLSEGDREALGYILKASIVIDDIFYEQVWNSNCTLRDWLKARANYSSFDKLKWLYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKSVSGWKGIEYRAAFPRDNPPGANFYPPDMDKMEFELWKNGLPEKEQKYATGFFTVIKRHDALLPSILAQSDGSNQTKTSDDLFVVPYSEEYKSSLEKAAELLHKASECSDSPSLKNLLKTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDGLFSYKATFEAFVGVRDDIATSQVKLFGDQLQDLEKHLPLDNIYKSDNVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQEDYVDFEPYYTHIVCHECCHGIGPHSITLPTGKRSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSESMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYEKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGDKPAAQSLLQSHASLKQPLRVALDKIEHMQVPVDITPIFGTANKLLANDQ >ONIVA02G37720.4 pep chromosome:AWHD00000000:2:32535645:32545105:1 gene:ONIVA02G37720 transcript:ONIVA02G37720.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 3 [Source:Projected from Arabidopsis thaliana (AT1G79690) TAIR;Acc:AT1G79690] MAAEPEERLDVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLSSAQRELDEELGIKLPSDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMHLDEYKSCLAKESGEYVPYDVNGTYGQLFSIIEERYKDNIESRSLTLQKQINRYAPIHLEPELTSLSEGDREALGYILKASIVIDDIFYEQVWNSNCTLRDWLKARANYSSFDKLKWLSCLDENKAFLSTADSAVKLLTDATKSVSGWKGIEYRAAFPRDNPPGANFYPPDMDKMEFELWKNGLPEKEQKYATGFFTVIKRHDALLPSILAQSDGSNQTKTSDDLFVDSNLDVTIGPYETYEDGLFSYKATFEAFVGVRDDIATSQVKLFGDQLQDLEKHLPLDNIYKSDNVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQEDYVDFEPYYTHIVCHECCHGIGPHSITLPTGKRSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSESMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYEKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGDKPAAQSLLQSHASLKQPLRVALDKIEHMQVPVDITPIFGTANKLLANDQ >ONIVA02G37710.1 pep chromosome:AWHD00000000:2:32525958:32532028:1 gene:ONIVA02G37710 transcript:ONIVA02G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAQINTDLRSSDALRQSSALLQALQQCAAGRDVSALARTVATEILSAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLRSDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSSAESLRLAAVTSLSSLLPRDDLALMCSTNPSLMGHATTWWGRLAELALDPADAVAATAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAAEAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGMVNTLRQIAKPGDTTIDDSVASSAEKLVGVSDIISHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATTAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDANVKGDLNSITSKSLFREELVASLVESCFQLSLPLPEQKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCGGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIKDLREVHTPRISGRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFKIDSSTTTSSRIQDVQSVLICAQRLGSRNARAGQLLTKELEEFRASTSADSVTKHQSRYVLQIIKYLTNHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAIEELWRPNPTQLTLLQMKGIGALHKELPKTLTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELHRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEEDSQTVRQKRSLRPELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDDTATMICKFVVRASDESITREIQSDLQGWLDDITDGAVEYMPEEEVKSAAAERLKVSMERIALLKAAKPKVPPAKTKEEEEEEKKEQEDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKALKAQ >ONIVA02G37700.1 pep chromosome:AWHD00000000:2:32520596:32523952:-1 gene:ONIVA02G37700 transcript:ONIVA02G37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type) family protein / D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G24830) TAIR;Acc:AT2G24830] MAGEEGEDEAASIELQLEHHLQEQRASLTAVDEALAADPSNADLLEVHEELLAAIKDAEEGLLHLKRSRLVKQIDEIFPNQEPTSEAPEVAVDPPDDVESEPLEPQEFSVGSKCRFRHKDGRWYNGCVIGLEGSSDARISFLTPTSENMSMCKFFLQQRCRFGSNCRLSHGIVIPILSLKQFTPTRWQQSLVGSSILAASGHHSGLWRRAELESWDDDLKVGQVVFQDDGSSARLPSDSLSISEYADESDEDGEGSSSDEGSDFSEDGDQEDESVHQGLGLLESKNLSGVQTETAIFAKWEHHTRGVASKMMAKMGYREGMGLGVSGQGMLDPIPVKVLPPKQSLDHAVAASEVNDSVGPGKKRSRGGKRKREKKFAEQARAAKAEEEERSVFSFINSQLVGQDVAEGSAVKSKKDSSGEANGHAKKEDRRSLLAYDDEVKELRSRVEKLEEMMKRNRKDKSFYEAASKKLKQTRKALADAEATHASATNAVARKEKEKKWLKF >ONIVA02G37690.1 pep chromosome:AWHD00000000:2:32516615:32519466:-1 gene:ONIVA02G37690 transcript:ONIVA02G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein [Source:Projected from Arabidopsis thaliana (AT1G16180) TAIR;Acc:AT1G16180] MWAASCLASCCAACACEACRTAVGSIGRRSARIAYCGLFALSLFASWALREVAAPLLQSIPCERLRNPFRVIRFGSFFFLSKSIGISHGAPLAAICAGINHFHKTPDREWFETDAVLRVSLGNFVFFTILAIIMAGIKDQKDPRDKIHHGGWMAKIFCWVVIVFLMFFVPNGVVSFYESISKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLVVSVVCYIVTFSFSGLLFHWFTPSGHDCGINLFFIVFTLILVFVFAIVALHPKINGSLLPASVIALYCTYLCYSGLSSEPRDYECNGLHNHSKAVSTGSLSLGLLTTILSVVYSAVRAGSSATVLSAPDSPRAGADKPLLPFSKADEEAEKKDVPRPVTYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIATQWATAGLYIWSLVAPLLFPDREF >ONIVA02G37680.1 pep chromosome:AWHD00000000:2:32514230:32515849:1 gene:ONIVA02G37680 transcript:ONIVA02G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon at the inner envelope membrane of chloroplasts 55-II [Source:Projected from Arabidopsis thaliana (AT2G24820) TAIR;Acc:AT2G24820] MTTPRATTTTSPFSSLLLLPISPSAATPPRRARTPPPAVAHGGVRWTAGGRRRRRGCRAAAAVVEDGVLLPKEQEDDASAARYDWREEWYPLYLAKEVPDDAALPLTVFDRQLVLYRDAAGVLRCHEDRCPHRLAKLSEGQLVDGKLECLYHGWQFDGEGKCVKIPQLPDGAKIPRNACARSYEVRDSQGVVWAWMSGTNPPDERKLPWFEPYARPGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQPLFFEVTERTPRGFAGYWGKQRTPHLRNLLRFEAPCVLTNTLEFVDKDGKEQCFSAHFLCRPAGQGKSMLLVRFGSTQTSPLVKVLPRWYFHQNACKVFEQDMGFLSSQNEVLLREKVPTKELYLNLRSSDTWVAEYRRWMDRAGHGMPYYFGHSTLSPPPVPAVVEQAPAGAVAGMSASFPAKGGVGATHAPNPTNRYFRHVVHCKGCRDSVKRYASLKNAFAALAAAAVAAAILAATRQLKAVLLASAAALAAASYACNWVLSLITTNFIRTHRRL >ONIVA02G37670.1 pep chromosome:AWHD00000000:2:32505776:32508001:1 gene:ONIVA02G37670 transcript:ONIVA02G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAIALTPQGQHRHFPPSPPPPLRALARRRSSYTSRPISGLQRHCRVWIGRFKSLFSHLVASHKYYIFISKESEIIKNLVRIDQRAVPAAAAAEDRRRKGEKRRSGSGETKSSSSSCSSSSMEERVKIGGDGEEEEEEAEEEVAPLEVVRAAKRRFGVVVVVGPPPS >ONIVA02G37660.1 pep chromosome:AWHD00000000:2:32483943:32493509:1 gene:ONIVA02G37660 transcript:ONIVA02G37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLTPSAAIAAIAAFSGDMKSKPTQSVVSGHSSLNDPSSADGGSARCLRLFRLAGWLVTFSSSHALPLTPTVTARGCSVARAGRQFYQAGGNGAASAAVSSTGCTLRAFLTAANHRVAVGTTAAAAVAASMGCTVRALLPAAHHRAAAFQPVQQTRDLLCSATSPMTMAKGNTKSPNIDSCGQYLGLLFGTYRLMKNINKIISKIKNRLRATATQLGDAALFDRPEPKG >ONIVA02G37650.1 pep chromosome:AWHD00000000:2:32478677:32481458:-1 gene:ONIVA02G37650 transcript:ONIVA02G37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast autophagy 18 (ATG18) B [Source:Projected from Arabidopsis thaliana (AT4G30510) TAIR;Acc:AT4G30510] MASSSSPPPPPQIRCASFNQDNSLFYVGTKDGFRIFDAHTGKLHYQKNIGGIGNMEMYFRTNILAIVGTGEQPVLSPRCLRLIDTVAAVTKKDLNFKTSVLAVRLSRTRLVVVLQDRTFIYDVNSTTILEEIETVPNTKGLCAFAPNSEACYLAIPASTSKGSALVYKASEPELICQIDAHESPLAAMAFSSNGTYLATASGKGTIIRVFLVAQATKSHSFRRGTYPSTIYSLSFGPSDDLPDVLVATSSSGSLHMFFLDAARNRRNQTSKLLGSMIPGAITRALDPANHHIIHNVIPAGIKSCVAVHKVENSQNSSKLPALRTVVYIITHDGYFREYSINTTKSNESSWVLQRELNLLDTGCSTPQREEQHKD >ONIVA02G37640.1 pep chromosome:AWHD00000000:2:32472633:32478735:1 gene:ONIVA02G37640 transcript:ONIVA02G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAWEKVATAAGLGGSGERRKYPIRVEDYELYEEIGQGVSAIVYRSLCKPLDEIVAVKVLDFERTNSDLNNIMREAQTMILIDQPNVMKAHCSFTNNHSLWVVMPYMAGGSCLHIMKSVYPDGFEEAVIATVLREVLKGLEYLHHHGHIHRDVKAGNILVDSRGVVKLGDFGVSACLFDSGDRQRARNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKFPPMKVLLMTLQNAPPGLDYERDKKFSRHFKQMVAMCLVKDPSKRPTAKKLLKQPFFKQARSSDFISRKLLEGLPGLGARYLALKEKDEVLLSQKKMPDGQKEEISQDEYKRGISSWNFDMDDLKSQASLITECDDSISCKDSDASCFYDLDTILPERATGPHMSRVFSIKYDTDTEYINAQDYKRGTCKWPGQETQLHRNSKHQINIHNRDKCNGGPLQVADEPSPEAVPKVPKSSAANVEDHDDRSKPPLIQQRGRFKVTPGHVELDKAHSPGLQKSHSMQAISHLPSLSIPSSIEAASTIIGGSLYMQLYNVLQTNMLQREQILHAMKQLSGCDMAMTSPACIAPASRASSPSSALSIDRSLLEAAHEKEKELVNEITELQWRLVCSQDEIQRLKAKAAQLLSIIYIHKKDESSLTIGGHIYDTLVQLELESQPKPQTSPTSTLSYKLYVHTAEASVIGEPTSLLVSPCVVPPAGECYNRYPVD >ONIVA02G37630.1 pep chromosome:AWHD00000000:2:32467418:32468731:1 gene:ONIVA02G37630 transcript:ONIVA02G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEDDMFPSTPGKVKIERATAMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKYFAASWGGLHWERQIRASAAPRRPPGSAAGAGMSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNSYYDPSLKKARRSLLASHGVFVIEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVTLLEACKDADPQPAIVWASSSSVYGLNDKVPFTESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNRVDLARDFTYIDDIVKGCLGSLDTAGKSTGTGGKKRGPAPYRIFNLGNTSPVTVPNLVSILEKHLRVKAKKNVVEMPGNGDVPFTHANISLARQQLGYKPTTNLDVGLKKFVKWYLSYYGYTRGSKNL >ONIVA02G37620.1 pep chromosome:AWHD00000000:2:32464896:32465160:-1 gene:ONIVA02G37620 transcript:ONIVA02G37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLGMVTIANCSCVVGPSLTAATAEETALASWLASRCSCAYVDVTIAENGAGVMSSAVTTTPQLHACNICSIQLRRRR >ONIVA02G37610.1 pep chromosome:AWHD00000000:2:32456725:32458303:-1 gene:ONIVA02G37610 transcript:ONIVA02G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPTIKVETTPGDWRFPSANQTKRCFTCYIEYNKQVRHRSLPIQCIEAKGEGSDECTKYAKCYRSLCPGEWIERWKEQRANGTFAGPL >ONIVA02G37600.1 pep chromosome:AWHD00000000:2:32452978:32454732:1 gene:ONIVA02G37600 transcript:ONIVA02G37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSARVQAGGGGSGRFKRALLRNLILGLRKGGVASGEMGFHERKSAIKRAADAALAAARGAAPCWSRSLAAELAQAPQQHRSPPSPSPRKMICRKIVRRSLIRRRRRPIRPANNTTAATTKAYGGGGGGGGVARAMVRKRASVLKEIVPGGKALDMCALLGETLDYAVSLKAQVDVMQLLVRTLQEQKLKNSGN >ONIVA02G37590.1 pep chromosome:AWHD00000000:2:32444445:32448233:1 gene:ONIVA02G37590 transcript:ONIVA02G37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGRPRGLGNFRVKSSIRGLPVHAKRGDPQLRASMGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLMLFNANVHRTDYLNGGSALHFAALHGHARCLRLVLADYVPSMPNFWNSMKDSLSEEGPSADLDEDGLFKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEATIEDGTTIDLIGAGSTPLHYAACGGNAVCCQLLIARGASLSAQNASGWTPLMVARSWHRNSLEEILSKEPESRIRTVPSPYLCLPLMSIMSIAREFGWRYLNQSPVCIDPCAVCLEGSCSVAAEGCKHEFCTRCALYLCSTSYTSVSPAGAIPCPLCRHPIIAFTALPGTSPIRELPRNSLSLSFCTTCPAVNSDSTPSIASHLYRTEFQCARMPPMGSSSFRSLSCQRLPAMKLNPSFCMGAMDTNPCLIRCSRFGPSFRRSASQGESSRRAWPLTFDPIAATGS >ONIVA02G37590.2 pep chromosome:AWHD00000000:2:32444458:32448233:1 gene:ONIVA02G37590 transcript:ONIVA02G37590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGRPRGLGNFRVKSSIRGLPVHAKRGDPQLRASMGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLMLFNANVHRTDYLNGGSALHFAALHGHARCLRLVLADYVPSMPNFWNSMKDSLSEEGPSADLDEDGLFKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEATIEDGTTIDLIGAGSTPLHYAACGGNAVCCQLLIARGASLSAQNASGWTPLMVARSWHRNSLEEILSKEPESRIRTVPSPYLCLPLMSIMSIAREFGWRYLNQSPVCIDPCAVCLEGSCSVAAEGCKHEFCTRCALYLCSTSYTSVSPAGAIPCPLCRHPIIAFTALPGTSPIRELPRNSLSLSFCTTCPAVNSDSTPSIASHLYRTEFQCARMPPMGSSSFRSLSCQRLPAMKLNPSFCMGAMDTNPCLIRCSRFGPSFRRSASQGESSRRAWPLTFDPIAATGS >ONIVA02G37590.3 pep chromosome:AWHD00000000:2:32444478:32448233:1 gene:ONIVA02G37590 transcript:ONIVA02G37590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGRPRGLGNFRVKSSIRGLPVHAKRGDPQLRASMGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLMLFNANVHRTDYLNGGSALHFAALHGHARCLRLVLADYVPSMPNFWNSMKDSLSEEGPSADLDEDGLFKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEATIEDGTTIDLIGAGSTPLHYAACGGNAVCCQLLIARGASLSAQNASGWTPLMVARSWHRNSLEEILSKEPESRIRTVPSPYLCLPLMSIMSIAREFGWRYLNQSPVCIDPCAVCLEGSCSVAAEGCKHEFCTRCALYLCSTSYTSVSPAGAIPCPLCRHPIIAFTALPGTSPIRELPRNSLSLSFCTTCPAVNSDSTPSIASHLYRTEFQCARMPPMGSSSFRSLSCQRLPAMKLNPSFCMGAMDTNPCLIRCSRFGPSFRRSASQGESSRRAWPLTFDPIAATGS >ONIVA02G37580.1 pep chromosome:AWHD00000000:2:32435131:32435963:-1 gene:ONIVA02G37580 transcript:ONIVA02G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQARWLILCTLQSALRLVMHPLIMHPRINQCKVSSTSFLSFLTVNSGLDHALTNQWSALLRERITRAERKLWHRMHKLQQITMLLQALHCRLQICSLFLSFCMDKELMEGTFGNLLRQKPSGNWQKSNPPPTVEYYSRNPHQNSHHDHEQHGVLKYLDLCLVIRAPLFLLPDLLLRLCIVALPLCLG >ONIVA02G37570.1 pep chromosome:AWHD00000000:2:32431258:32432544:-1 gene:ONIVA02G37570 transcript:ONIVA02G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTWQPSPPQTPAAAASSASGIDGVENKISPSIVFIVAVLAIVFFVCGLLHLLVRHLLRLHRQRRAREDAESATAFEGQLQQLFHLHDAGVDQAFIDALPVFLYRNVVDVGGEDGKDPFDCAVCLCEFAADDQLRLLPKCSHAFHLECIDTWLLSHSTCPLCRRSLLAELSPTCTPVVMVLESESSRDMVHAADDEPADVGGEDAPGAEEVVEVKLGKFMCVEGNVSFNVNAIAGEGDRAGTSSNGNGDANAKAGGLGQRRCHSMGSYEYVMDAHASLRVSIKPPRKKPAAAASKSRRRGAMSECEFGASKRGESSLRLPPFRATPRKNPDNDAAAAAGAKLAKDSFSVSKIWMVPSKKEPGAAAERRAVSFRWPAAKDWDVEAGSCGGNSAVSSVAAEERPSFARRTLLWVVGGSRQLSRVGSCS >ONIVA02G37560.1 pep chromosome:AWHD00000000:2:32420722:32425116:1 gene:ONIVA02G37560 transcript:ONIVA02G37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSCHNLLDLVAAADDAAPSPASLRLPRVMSAASPASPTSPSTPAPARRVVVSHRLPLRAAADAASPFGFSFTVDSDAVAYQLRSGLPPGAPVLHIGTLPPPATEAASDELCNYLLANFSCLPVYLPADLHRRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFDRALYHSFLSANRAFADRLTEVLSPDDDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVREDLLRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETGDLVRRLTESYKGRRLMVGVDDVDLFKGIGLKFLAMEQLLVEHPELRGRAVLVQIANPARSEGRDIQEVQGEARAISARVNERFGTPGYTPIVLIDRGVSVHEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESTGLDDAAKRSVIVLSEFVGCSPSLSGAIRVNPWSVESMAEAMNAALRMPEPEQRLRHEKHYKYVSTHDVAYWAKSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVDHIVPSYRKSDNRLILLDYDGTVMPEGSIDKAPSNEVISVLNRLCEDPKNRVFIVSGRGKDELGRWFAPCEKLGIAAEHGYFTRWSRDSAWETCGLAVDFDWKKTAEPVMRLYKEATDGSTIEDKESALVWHHDEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQGISKGVVVDNLLSSMVSRGKAPDFVLCIGDDRSDEDMFESIVCPSNSSVKLPASSEVFACTVGKKPSMAKYYLDDTVDVIKMLQGLANAPSQRPRQVQLRVSFEGSL >ONIVA02G37550.1 pep chromosome:AWHD00000000:2:32418590:32420655:-1 gene:ONIVA02G37550 transcript:ONIVA02G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLPLSLSCNGRVLSEIFSCLAASGIAEALTGGIRRVVRAAADSWARRWGATVSVLRGSSDGRRRRQIWSPRLAGVIGDGLGRRWRRSADLAGRWLAAAMVADVAATKLATTVADCGACCDAGPH >ONIVA02G37540.1 pep chromosome:AWHD00000000:2:32416900:32417477:1 gene:ONIVA02G37540 transcript:ONIVA02G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCGRYGYTFERCWGLNSKPPVGDGGEARGALSFGKVGKAPPPGPCSAGCPRELPRYVADFGWGLSLDATAPGQSIGLSCRGWPPRASPTVCAGLTALALSRQRGHSSSHVSVLFLTGSTAGQDYCPQRKENEGSGVGVFGSKLLSLGS >ONIVA02G37530.1 pep chromosome:AWHD00000000:2:32414601:32414960:1 gene:ONIVA02G37530 transcript:ONIVA02G37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSEGGVVATPSRDARWEVGGSAVTVASVGRSAAVHRFDGGGVLREVGAGAIARRRRTASGAATCRGRSAPPCGACRDVRGGAVVRWSGGGVQRVVHSGTRHQPPSSRYCLLNFSN >ONIVA02G37520.1 pep chromosome:AWHD00000000:2:32406163:32409961:1 gene:ONIVA02G37520 transcript:ONIVA02G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTGQGAMGGGATVQVAGWRGDTDGVAMGNASEEAAAPVDLQWGQHGRRPDGGGASTSAISSTSEGAAAPAVGAAQAESGWWRRAAEEQWREQWGGADGGASTPAISNCRPEQPIRGVSGVEMKWESTGGQRNCQQLGGGVFGGDAHHLFDEMSTCPRGDSAAVLRVTVSQIIYPVTYEVLHQVYDTYGAVAVQVLAVSTWQVKALVSFMSSHDAERARSATHGRDIYDGGCLLDVQHVQMFPGDGATATHTTCLTMVPSSATARPAAKSTAATPERVFPATTASSVPSITSAAMVTSVPFSETKKAETDMDKVVENLDRTIQDLCTKIDRMLEAFRHTKVDLSLSKDSTRDVAALSANTDPTSIALXDKWIQQWAGSTSDGSKVIKQLFWDSAQQDISLQEARQVGWLWAHSAREKDTRKEAKQPAARHSQGQNGHDPDQQRKR >ONIVA02G37510.1 pep chromosome:AWHD00000000:2:32401415:32404809:1 gene:ONIVA02G37510 transcript:ONIVA02G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHSREDLDLTSSDGEDDDEEYDAQTATSAASREDILRTSTPSSLEFLDAKLKALDLKYQAPNAAKLYLHVGGASASARWVPAERRATYAFVDKATAAGDSDCGGPRWVLEVGPGPRVSAPVGPALQLKALPAQRRADFAAGGSVWALRLPTDAAFRRFRQEYDRCLFENTYGVEATDEGRKEVFGADFAAWARPGESDDAVWADAEDSFTPPVATPARDLLEEFEEEAGDGSIQSLALGALDNSFLVGGSGIQVVKNFRHGVHGKGVSVRISDGRGGGNAYMTPQKALLMRGETNMLLMSPGETGTRHSNGVHHVDIETGKVVAQWRFEKDGTDITMRDIANDSKGAQLEPSGSTFLGLDDNRLCRWDMRDSRGRVQTIGSSSESPVLQWSQGHQFSRGTNFQCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKTGFSGRMGNRIAAPRLLKLSPLDSILAGSENKFHGGQFSWVTENGKQEKHLVATVGKFSVIWNFQQVKDSNHECYRDQEGLKSCYCYKVVLKDESIVDSRFMHEKFATTDSPEAPLVVATPMKVSSFSLANRRLH >ONIVA02G37500.1 pep chromosome:AWHD00000000:2:32395880:32399758:-1 gene:ONIVA02G37500 transcript:ONIVA02G37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYVGNLDPRVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDALRDLDGKNGWRVELSRNSSSRGGRDRHGGSEMKCYECGETGHFARECRLRIGPGGLGSGKRRSRSRSRSRSPQYRKSPTYEATVLVIALQGAAVFHQFVGAATAGHHVAELLRIWIKNWRSCSIPYH >ONIVA02G37500.2 pep chromosome:AWHD00000000:2:32397111:32399758:-1 gene:ONIVA02G37500 transcript:ONIVA02G37500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYVGNLDPRVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDALRDLDGKNGWRVELSRNSSSRGGRDRHGGSEMKCYECGETGHFARECRLRIGPGGLGSGKRRSRSRSRSRSPQYRKSPTYGRRSYSPRDRSPRRRSVSPVRGRSYSRSPRGRGGSPYADGRDGGRYRRSRS >ONIVA02G37500.3 pep chromosome:AWHD00000000:2:32396806:32399758:-1 gene:ONIVA02G37500 transcript:ONIVA02G37500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYVGNLDPRVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDALRDLDGKNGWRVELSRNSSSRGGRDRHGGSEMKCYECGETGHFARECRLRIGPGGLGSGKRRSRSRSRSRSPQYRKSPTYEATVLVIALQGAAVFHQFVGAATAGHHVAEVVLLMLMEGSFCLSDSANYQLCLHGFVLHSSFLKRVACFM >ONIVA02G37490.1 pep chromosome:AWHD00000000:2:32389941:32390933:1 gene:ONIVA02G37490 transcript:ONIVA02G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPARVDSLPVAALEETARLVRRAAPKRRVAELNIEAQQASGGRRGGCRRHGGRGGGVLGADGVQVLTEERHGGRVLGADGVQLLTEDQHACGYLSDAEWHPGGCFVPESEDQACGGIDNSELPPDSGFVHVSQDEASNDVPDSDLPPDGGFVPDSEDEASGGVDNSELPPDGCVVPDSEDEASSGGDDSELPPNGCVVPDSEDEASGCVHDFELAPDGCVVPDSEDETSGGVHDSELPPEGCIVPDSEDEASGGGVLNLEQKPEKGIFANLEEQHMDGIEQLVGGEEVAGLQDDVGVSAGDGGVDEFAEIRESMLRILLPLYFTIPHK >ONIVA02G37480.1 pep chromosome:AWHD00000000:2:32382543:32387162:-1 gene:ONIVA02G37480 transcript:ONIVA02G37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAGKVDDEMALKRQRTVRFYDEKAKPTIPTHQKQAGFAASKLGVASSGKNKIFVPGEELWYKRILDPSSDFILTWNHIFLFSCFVALFIDPLYFYVPKISYGTPNSCIGTDRHLAITVTFFRSISDLLYFTHIIIKFRTAYINPSSTMRVFGRGDLITDPKEIAWQYLRSDFVVDAVAALPLPQILIWFVIPAIKYSTDEHNNNILVLIVLAQYFPRLYLIFPLTYEIVKTTGVVAKTAWQGAAYNMLLYMIASHVLGALWYLLSVDRQTACWKSNCKNETGCDIKFLDCDVIPNQNWASKTAIFNTCDATNTSISFDYGMFQPALFNQAPGQRFLMKYFYSLWWGLQNLSCYGQTITVSTYIGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPHELRERVRRFIQYKWLATRGVNEESILQALPADLRRDIKRHLCLGLVRRVPFFSQMDNQLLDAICERLVSSLCTQGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSTTLKSGDFCGEELLGWALVPKPTVNLPSSTRTVKALIEVEAFALQAEDLKFVANQFRRLHSKRLQHTFRYYSHHWRTWASCFIQAAWRRYKRRKMARDLSMRESFCSMRSDDSNGEDDSPPKQNLAMKIMSGSRKGPQNMKELPKLRKPDEPDFSAEPCE >ONIVA02G37470.1 pep chromosome:AWHD00000000:2:32368596:32373963:-1 gene:ONIVA02G37470 transcript:ONIVA02G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT2G41190) TAIR;Acc:AT2G41190] MAAAAAAAAAKHRKEEEDEEEMTLEDGDIEESPRRSFDDDYEDGGSGDDDRDDDGEGLGSPRSFQSRQWPQSYRETTDTYTIAASPSFGYLGPSTSKYSILDGGRSSLGSDLKLPLLSDKLDGKQDSVKSLRKTLGSAIDRKSSLLTQHTGEVYIAQGCSVTQTVFNGVNVLAGVGLLSTPFTIHEAGWSKDGISTYPDIGEAAFGRIGRLLISIILYTELYSYCVEFIILEGDNMTSIFSHIGFDWLGVHIDGKHFFGVLTALIVLPTVWLRDLRVLSYLSAGGVIATLLVFLSVVLVGATDGVGFHLTGKAVNLGGIPFAIGIYGFCYSGHSVFPNIYQSMSDRTKFTKALFICFAICTAIYGSFAIIGYLMFGDKTLSQITLNLPKHSFASKVALWTTVINPFTKYALLLNPLARSLEELRPEGFLNETICSIILRTALVASTVCIAFLMPFFGLVMALIGSLLSILVAVIMPALCFLKIRQNKATTAQVVASIGIIILGIISAALGTYSSVLRIVENY >ONIVA02G37460.1 pep chromosome:AWHD00000000:2:32358926:32362046:-1 gene:ONIVA02G37460 transcript:ONIVA02G37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYSATAAAAAVASLPSAAPPLTPDAAAHHHAAPPLSNALAAALKRAYAHHRRIGSGVVEADDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPAAPDSGVYVNARVLHRQVSHREEEVNKVVEVLKRGKKRNPVLVGDTVDVDAVVQEVVTMIQRQRLGNARVISFQREFGDLVDLDRAELAAKIKELGEAIRSELLSPASRSAGVVVNLGNLQWLVEERCVAHGEQEKRRDVVLDTARAAVAEMARILRQSGEREHRVWVIGTATCATYLKCQVYHPSLESEWDLQAVPITPRPPPPPPSSLGLSPSVNGVNRGILSSSVEVLSSAMTTSAMQSRSPSLCSACLDGYERERADMASSPGCGALHATEQPMSQWLQIGTPSSARPPFDRAQDKAREADELRRRWLDRCAQLHSHGSGGCGGGRPSSMVTCSEWNGASVLANMQAIPVRPPPPAAAAAPAAAVDTDLALGPAASTASRPPAYCDTDEKLLVKRLTEAVRWQPEAAAAVAAAITKARSGERKRRGMGPTRADTWVLFSGHDVAGKTKMAEALSMSVFGTNAVALRLAGNGGEPIASCRGRTALDCVADAIRANPLRVIVLDGFDHHDDDRVVQASILRAVESGRLVDSRGRDVALGEAIFVVMSLDDTRRCQEDHQFTDSPWNLELRVRNNARKRRPEPQPLDGAGDRRLKPRKDSPPLHLDLNLSMCEDHTDDDDSGGEESRNSSSDLTVEHEQEYGQPAAAAAKFSAPSSFSELTKAVDATVVFKPVDFGPLKRSVSDVVSAKLGDAAGAGAGLSVHVDDDVLDRLAGAAWTAGESATSLEAWADEVLCPTIRQLKRSLSANDVDGATTVSLSAVEGSGGRRRKDGEVFPTSVTVAVDGN >ONIVA02G37450.1 pep chromosome:AWHD00000000:2:32352606:32354412:-1 gene:ONIVA02G37450 transcript:ONIVA02G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTPARAWWRAAAPASASTAAVSCFRVGAKSLTGLQMTSTRANKVRTVHVKSGEAEGSPSTESITHDEETLQRDLQTAIQEENYAQAAKLRDELRVLQEDSRSAVLAANARFYNAFKNGDLVAMHSAWAKGDHVYVVHPSAGRISGYDMVMQSWEMVCDADYEFPLQIDLQDVEVHVRGDLGYVTCLELVKTKGSSSWGRQVATNVFEKVDGKWFICIHHASHFDE >ONIVA02G37440.1 pep chromosome:AWHD00000000:2:32349720:32352177:1 gene:ONIVA02G37440 transcript:ONIVA02G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G [Source:UniProtKB/TrEMBL;Acc:A0A0E0GDV2] MAVEVATQKIRWGELEEDDEGDLDFLLPPRVVSGPDENGFKKVVEYRFDDKGNKVKVTRTFRVRKIARARLSKSAIERRSWPKFGDAVQEDVGARLTMVSTEEIVLERPRAPGSKAEEPSASGDPLASKSGAVLMVCRTCGKKGDHWTSKCPYKDLAPQTEAFVDRPPTADGSGPPGGAVKGAYVPPSMRSGAVDRVGHDMRRRNDENSVRVTNLSEDTREPDLLELFRTFGPVSRVYVAVDQKTGASRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >ONIVA02G37430.1 pep chromosome:AWHD00000000:2:32347907:32349527:1 gene:ONIVA02G37430 transcript:ONIVA02G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G [Source:UniProtKB/TrEMBL;Acc:A0A0E0GDV1] MAATTAKHQTMRWSDLDEDDDYGGGGGGGLSALQLPPRVVIGPDENGIKKTVEYRLNEEGKAVRVTTTTRVREVARTRVTKRAAERRGWAKFGDAAHNDDAGARLTVVSPEEIVLERPSAPGSKSEDPLIPALDKGAALMVCRICNAKGKHWTSKCPNKDFAPLQLDALVDNNIPPNSGGDNGGGSRPGKYVPPKPRESEMRRRNDENSVRVTNLSEDTREEDLRELFGSFGPLTRAYVALDHRTGESRGFGFLSFVYREDAERAIAKLNGYGYDSLILNL >ONIVA02G37420.1 pep chromosome:AWHD00000000:2:32343533:32345009:1 gene:ONIVA02G37420 transcript:ONIVA02G37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPANHLPHHVGTPTNFHVSPRLRQGPPAMAQPVHWLAREAADDDRMGIAETAAARASRGGVGHHYIPSFYMPISDHSRKADQTAYYRRPCILVKQPNNWAGAGISTGDIVRAPAIDHASATLATQFMVLSVGRHGSGRSGGERGVKDDGAAELPSLFPVTCSGSSPTYGMFDKLVAAASCACDTIGARCAAAGQQQNNQSNRPLKQTSAR >ONIVA02G37410.1 pep chromosome:AWHD00000000:2:32333316:32340392:-1 gene:ONIVA02G37410 transcript:ONIVA02G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GDU9] MERAEETPRAPSTAPSARVSIWESVRACGVWGKEVDKAELRRQVVMPLYARRAVAAAVKAKDEAVGVAAAAERGEEGEVEVEAAVTPVVVFVNSRSGGRHGPELKVRLHELISEEQVFDLSVVKPSDFINYGLGCLEKLAEQGDNCAETIRKKLRIMVAGGDGTVGWVLGCLTDLYRLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATAPTCRLDSWQAVVMMPDGEIKELPYALKKTEPADCLELCQENGTELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLSVRSLVVLNLYNYGSGRHPWGDLKPDYLEKKGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGQWNRAYVQMDGEPWKQPLLQEQSTIIEINKVPYPSLMINGEQ >ONIVA02G37400.1 pep chromosome:AWHD00000000:2:32325890:32332479:1 gene:ONIVA02G37400 transcript:ONIVA02G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0GDU8] MARRSCFFFLLPLLVAALAGSPVVTAQRNALPAAAAAAASVRVGVILNLTSAVGVRRRVGIQMAVEDYYAANPGSATRVELHFRDSAGDVLPAASAAVDLIKNVQVQAMIGPPSSAATEFVAHIGSHSRVPVLSYSATSPSLSPAQTPFFVRAAVNDSFQAAPVAAVLDAFRWRAAAVVYEDSPYGSGILPALADALQGAGAKIMDRTAVPVDATDDRLDALLYRLRAMPTRVFVVHMLHNVAGRLFRRAKMLGMMSDGYIWVATDGVATFMDRFSPEEVDAMQGVVSLRPYVQETDAVKNFSARFKARLRRDHPTVDDVREPTVLRFWAYDTAWAIAAAAESAGVAGPAFQTPQTSAPLTDLDRLGVSATGTALLNAVLSTTFDGLAGKFRLVDGQLQPPAYEVVNIIGKGARTVGIWTPEFGITQDLNAGSAKTLRQILWPGEPRDTPRGWTVSPSGLPLRVSVPTKRGFTQFVDVGNVTATGRRNITGYCIDVFDEVMKIMPYPVSYVYDPYPDSPESYEKLVDQVSSQKADAVVGDVTITASRMEEVDFTMPFTESGWSMVVAVQKETSTSMWIFLQPLTTSLWLASLAFFCFTGFVVWVIEHRINEEFRGTPWQQFGLIFYFSFSTLVFSHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPTVTDVRELLRRGDYIGFQEGTFIVPVLEKMGFEGRMRSYSTVDQYADALSKGSANGGVAAIFDEIPYLKLFLSQYCNGYTMVGPIYKTDGFGFVFPRGSPMVADVSRAILTLAEGEKMAQIEKKWFGEPGACQSQGSAVGSSNLSFRSFGGLFLITGVVTSAMLLIYLAVFFYRERDELRAAEAAAAASGSGSGSGSRSLRRLRAWARHYDQKDLKSPTFKRRWSDESVRNGSEYAASRTPRWGDESPCNVAGAADAGRIPEEVVGGMSPFSISTSSEERNGAVSPAAAEFNNSSDRAAVVAGTSQPR >ONIVA02G37390.1 pep chromosome:AWHD00000000:2:32313845:32318569:1 gene:ONIVA02G37390 transcript:ONIVA02G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASRMEQTTGVSGHEHIIDIPRDSGPSTSTSHSVARENHGEPNPVDRSATRALVPALQAPSAVGAPSAGHTSGARRSDNYVRRHRSPLNSGLWISIEVLVNVSQIVAAIVVLSLSRKEHPQAPLFEWVIGYTVGCFATLPHLYWRYIHRNIVNGENEPAHTLQGSSQNNSTEPSASASERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINSLPTYKFKTKKRRHSSGNEAEGQDGGIVAAGTDKERSLSAEDAVCCICLAKYAHNDELRELPCTHCFHKECVDKWLKINALCPLCKSEIASSSGTSDTRRSDHTDIPVQEIEMH >ONIVA02G37380.1 pep chromosome:AWHD00000000:2:32300165:32301274:-1 gene:ONIVA02G37380 transcript:ONIVA02G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGPPSLRAGLLQQQQQQPGTPGRSRRRPDLTLPLPQRDLTSLAVPLPLPLPPSSAPSSTSSSGSSSLGGVPTPPNSVGSAPPAPPPLSELERVRRIGSGAGGTVWMVRHRPTGRPYALKVLYGNHDDAVRRQITREIAILRTAEHPAVVRCHGMYEQAGELQILLEYMDGGSLEGRRIASEAFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSGRRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYMGRFPLGENLGKQGDWAALMCAICYSDSPAPPPNASPEFKSFISCCLQKNPARRPSAAQLLQHRFVAGPQQQQQPQPQPLAPPPS >ONIVA02G37370.1 pep chromosome:AWHD00000000:2:32291519:32296599:1 gene:ONIVA02G37370 transcript:ONIVA02G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGGDPGGGGGGGYPLVAVCIDKDKNSQNALKYATESLAHKGQTIVLVHDAAGYKQPSDRQMKDLFLPFRCFCTRKDIHCKDVVLDDHDVSKAIVEFAAHAAIEKLVVGATARGGFVRFKAEISSSISKTAPDFSTVYVVSKGGKVTSVRQAVRQAPAVSPLRTMIQGPKPDNVSTQKWTPPPPPSTTRPDIAGTPKIQDNFIMSPFARGANTSVRKAFPDYSMPESSDISFISSGPRRSLDRYPPRLSCGSDAHDHHSFEATRPPSMWGGDSFGSDSQSSNSSFASSLPMEDMEAEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRWKTEEEQRSHETRLTEESAMALIEQEKAKARAAIEAAEASQRLAEMEAQKRISAEKKALKETEERLKSMGGGGSRGAVRYRKYTIEEIELATEHFADGRKIGEGGYGPVYKGHLDHTPVAIKVLRPDAAQGRSQFNQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADSVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIVTAKPPMGLTHHVARALDHGTIVDMLDPAVHDWPVDEARCFAEISIRCCELRRKDRPDLATVVLPELNRLRALGEDNMQLCNTMSGGGRSSMHSSPYNSNSSMHQPHRQTDMAIEHSVGRSSYDADTSQQAMQGRRLNYN >ONIVA02G37360.1 pep chromosome:AWHD00000000:2:32287199:32291067:1 gene:ONIVA02G37360 transcript:ONIVA02G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRLNPSSATCSLAAASASTSASPRRRGRGRRPASAMGQAPSSPPGPGRSRSRGISRWPPPPPPLQGLRLDLGLLLGRKARTRSGGGKLDLANWLRCFVAPPLPQPSEAEASAEGRDAGNREEEEAGNEEADHLVVMVNGLYGSSADWKFAAEQFVKRLPGKVFVHRSQCNHSKLTYDGVDLMGERLAEEVRQVVQRRSNLQKISFVAHSLGGLVTRYAIGKLYDPSINEEASLDKENFSNELRTSDGGKIAGLEPINFIAVATPHLGSRWNKQLPFLFGVPLLERTAAVTAHFIVGRTGKHLFLTDSDDGKPPLLLRMAEDCDDGKFMSALRSFKRRVAYANLTPSDKKYPHIIHVDKGNSEHHQQEESVEASLTDSMEEVMIHGLTQVPWERVDVCFHKSWLRYNAHHNIQVRIHPVNSDGEDVIYHLIDNFLV >ONIVA02G37350.1 pep chromosome:AWHD00000000:2:32284499:32285035:-1 gene:ONIVA02G37350 transcript:ONIVA02G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP (Cysteine-rich secretory proteins, Antigen 5, and Pathogenesis-related 1 protein) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G31470) TAIR;Acc:AT4G31470] MEYSSRRVSCCVALAAVLLLSSRTLGGAAGGAPRRLLQISEAQQFVVPQTHLRAIYGLHPLKWSSDLADLATRWADQYKGDCAAASAESAAGGVNVFRGYGGEAWQPSDAVAAWAEEAQHYDYGANACAAGKECGHYKQMMWRDSTQVGCATVTCSSGETLMACHYEPQGNIMGQKPF >ONIVA02G37340.1 pep chromosome:AWHD00000000:2:32283008:32283607:1 gene:ONIVA02G37340 transcript:ONIVA02G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVALLVSTLLVHSLLADGSRRGKEAAAAALGYSNATVYDMSVAKCAGCGALGAWAESLEFLYYHNLVRLARWELPLAWSPRLESYARWWAAQRRGDCALRHSFPEGQFALGENIFWGGVGGAWRPGDAVKDWAAEGVDYSYAANACAAGRECGHYTQIVWRGTTSVGCARVACDDGGVFMTCNYYPPGNVVGERPY >ONIVA02G37330.1 pep chromosome:AWHD00000000:2:32269193:32271315:1 gene:ONIVA02G37330 transcript:ONIVA02G37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLSELPDDVLLSILKRVDLRDAIRTAILAKRWRHLPAALPDIVLDVLSFRKKQDDDHQDGFTFTSRLSREARANLAVAHAAKAILARRSGEHAIDRLLVRFYLRAESIGIVRSVDDAIASGRARFREAAFDVRGEKRALECTGRDTLANGRRLASLVGGCPRAFAGLTRLRVESVTLRGSNDVANVLAACENLEILSLHSCDASAGSNVAALEMEHPRLVRLDVDACDFETVDLKWLPRLVQVSNNIWFPSRTLPPLVFGHVPQLRTVILSTVGTVNYRTLKLSELLVNATGIRTLQMIFESEKIWFQPESPKHLAPLLRNLRIACLDKIHKECDLIWTMFVLEAAPLLKELRISQFVVVRRVRVTEHSCGGLAAADVMRKLLYCKKNNIEWHIYSDFKHYNLLLVTVVGFEIKDKFVKLIKRLAHAAVNLEDIHLEDEVKLLPNYVVSKYRQRERVNEEADQRGKIIANKNQYKVITVFANALNCC >ONIVA02G37320.1 pep chromosome:AWHD00000000:2:32265543:32268468:1 gene:ONIVA02G37320 transcript:ONIVA02G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVTDSFLGPLCRPAGSTAAHGRATQRSNQPILLSHSLPYDDDDDDDVTRIAKWMHVYMDDEER >ONIVA02G37310.1 pep chromosome:AWHD00000000:2:32262151:32265501:1 gene:ONIVA02G37310 transcript:ONIVA02G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLPFAAVTAVLLLLHGAADAKSSSSSGKTKSLASGFLDAHNAARRQVGVPPLRWDERLASYAARYAAARSGAGGGCALVHSHGPYGENLFHGSGVGWAPADVVAAWVSRERALYDAASNSCRGADAAACGHYTQVVWRRTTAVGCALATCAGGRGTYGVCSYNPPGNYVGVRPSANPQLSILPPMASSTAIALALLGIVLLLPGNAFVVVAYPRGGGGGDYRMQFLGQQNAARAAMGLPALVWDERVAGYARWYAESRRGDCALVHSSGPYGENLFWGSGTGWSPAQAVGAWLAEQPRYNYWSNSCYGGMCGHYTQIMWRATRRVGCAMVACYNGRGTFITCNYDPPGNYVGMRPY >ONIVA02G37300.1 pep chromosome:AWHD00000000:2:32255908:32261003:1 gene:ONIVA02G37300 transcript:ONIVA02G37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARDDDLGNDDLDDDRSNPRRQSGGRTAGPIRQGLHGGIGLQMTAAMAGDDANDGGHGWRRWLSTAAVAAVLLSLGDGGDDDKATEGIRGSSAGMYTDKRSVSEDTHLYPSTKPTIKPARPQPQMHPLTAAAKHAITIPPPPPPAAAASYTSSPSSGTSDPSVLDLSSAEIDDDGDGDDDRAEQQEIKNSKELVMGRAPCCDKASVKKGPWSPEEDAKLKAYIEENGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRARANQDHCGLAGGAAAAMCGGVGTAAAAAAPHQALSSSALERIQLHMRLQGLYNSAFGCTTTSSNGGGVGVAPPQWPKLEALLPSRPLPAVQPTDAVVATVQHPHHLVVGGHTLATAAAAAATTSEAFQAAEHLDPAAATGSNYMPGVAGVEMTSSSSMAGGGGFVAGYGLHDELYDFLFKCESIGGAQGGIIPSSLPELQCPDGSAIIGADEKFSTWTSSSCDYGSGGAGDYVLGTHYLAV >ONIVA02G37290.1 pep chromosome:AWHD00000000:2:32243600:32250102:1 gene:ONIVA02G37290 transcript:ONIVA02G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein with octicosapeptide/Phox/Bem1p domain [Source:Projected from Arabidopsis thaliana (AT5G57610) TAIR;Acc:AT5G57610] MAPAAAPASVSAGSAEADGAPRMAKFLCSFGGSILPRPLDGRLRYVGGETRIVMLPRDVSYTDLAARMRELYEDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRIFLFSQHLDDEAAAAAVHYNGDERETERRYVDALNSLGDVRTPSSPVSVEQLFGIGGNESGIPDIAGLRHLNVPRPSHSQRYGEMDSPWSPAYISPGHYGVHDPRDFPISPRFQVGAEDFDERIPDDFVRHSPKYRRYEVHSPQHVDNLVWLPPGAVIQQNAGFPGNLGRPGNFLDGSSMYDHCRSPFHKGQGDPRYVDPRWRPIQHFDQTSMTNEYSGHPTNSCPDCNRPGERFVLNQDVRLENGVYVKEQTGGHPPPMFYNESHSHDRAWHAHANQSHQRYEDPRLHMPGSARAMEPYIVDNSSVTSLSRSSHESPHYFHGSSEHVSDTYHNQQVGVGGPYVQTPGFEESTGQHYSHSSTYGADPFYQMQQNLPPLQSLRRRANSPVHTGSPYESPHLPIPNGNFVRNTGDVSPRIPGMPAYDRIPNPWPSPNGSIPYRVVGHDIPAVVENRSNPNSGQYVQPLFAPESVQNQPGAPLMEIHPERACGGSVLSSQVDGRVAALPLTDQLSRMDINPLKKLEGPEHEKFTRNANETTSLHAMNDPSALTHHIGVVPEVDPKQRKPVEYETVTSKVHEGGATALQECGDISEDRLNFLPELAASVKKAALEDSEEKEKAQQDAGPTLLPTCDEEGNGKKLEETPAGNTGTDQDSDVHGSGEQQKSSGIEATTAEAEALSKGLQTIKNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEAQILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMINGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKSLASNGCYLTVIPPPFSAQIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYSDMRAAAIIGGIVNNSLRPQIPSWCDPEWKSLMENCWASEPADRPSFTEISQRLRKMAAAMNVK >ONIVA02G37290.2 pep chromosome:AWHD00000000:2:32244063:32250102:1 gene:ONIVA02G37290 transcript:ONIVA02G37290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein with octicosapeptide/Phox/Bem1p domain [Source:Projected from Arabidopsis thaliana (AT5G57610) TAIR;Acc:AT5G57610] MAPAAAPASVSAGSAEADGAPRMAKFLCSFGGSILPRPLDGRLRYVGGETRIVMLPRDVSYTDLAARMRELYEDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRIFLFSQHLDDEAAAAAVHYNGDERETERRYVDALNSLGDVRTPSSPVSVEQLFGIGGNESGIPDIAGLRHLNVPRPSHSQRYGEMDSPWSPAYISPGHYGVHDPRDFPISPRFQVGAEDFDERIPDDFVRHSPKYRRYEVHSPQHVDNLVWLPPGAVIQQNAGFPGNLGRPGNFLDGSSMYDHCRSPFHKGQGDPRYVDPRWRPIQHFDQTSMTNEYSGHPTNSCPDCNRPGERFVLNQDVRLENGVYVKEQTGGHPPPMFYNESHSHDRAWHAHANQSHQRYEDPRLHMPGSARAMEPYIVDNSSVTSLSRSSHESPHYFHGSSEHVSDTYHNQQVGVGGPYVQTPGFEESTGQHYSHSSTYGADPFYQMQQNLPPLQSLRRRANSPVHTGSPYESPHLPIPNGNFVRNTGDVSPRIPGMPAYDRIPNPWPSPNGSIPYRVVGHDIPAVVENRSNPNSGQYVQPLFAPESVQNQPGAPLMEIHPERACGGSVLSSQVDGRVAALPLTDQLSRMDINPLKKLEGPEHEKFTRNANETTSLHAMNDPSALTHHIGVVPEVDPKQRKPVEYETVTSKVHEGGATALQECGDISEDRLNFLPELAASVKKAALEDSEEKEKAQQDAGPTLLPTCDEEGNGKKLEETPAGNTGTDQDSDVHGSGEQQKSSGIEATTAEAEALSKGLQTIKNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEAQILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMINGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKSLASNGCYLTVIPPPFSAQIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYSDMRAAAIIGGIVNNSLRPQIPSWCDPEWKSLMENCWASEPADRPSFTEISQRLRKMAAAMNVK >ONIVA02G37280.1 pep chromosome:AWHD00000000:2:32218982:32239355:1 gene:ONIVA02G37280 transcript:ONIVA02G37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQSIPLDPGDSDRVVLLRVLGRYLLAVCPASLHLWSAAHHKVRLARLDRSPESLAAHGDNAHSVWSPDAKTVAVLTSSFYLYIYKLQFSGKPLIIGGKPQPGLCLASISHIIVEKVPLANDAFITSNFVCDSKSMLLGLSNGHLQVISWNAEFSDSFKLGCSACSSNRTPTVGDALVFDPPSLRENSDASPAPCCTGNSAIIHVELSVKLRLLVALYSGCQIGLCAVGKKGLKQTSSIRVERWLNTDDAMCTSVASDQQILAVGCSRGVVDLYDLAENARHIRTISLYDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDYGYKLFAVEENLSERILAFSFAKCCLNRGLSGTTYTRQILYGEDRILLVQPDDTDELKMLHLNVPASYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRVFGDVTQEQKIQCKGLLWLGKIVIVCNYIESSNTYELLFFPRYHLDYSSLLYRKSLLGRPIVMDVFQDYILVTYSPFDVHIFHVVISGELSPASSPVLQLSTVRELSIMSPKSPPVSMRFIPEPTDEGKPKHDTNGSSDLSQQPSRCLILRMNGELSVLDMDDGHEQSLTNSVELFWVTCSQYEEKGNLIKEVSWLDYGHKGMQVWYPSHGENPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGISQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKHEEALRLANLSAEKPHFSRCLEWLLFTVFDAEISSQSASKNQLSQKNEPAKKSLLDKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFHAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENASTDSEKLSPRFLSYFQLRSPFKRQSSDLRSNSMKELSPHIASVMNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRTEVLVDLFRHDLRLWKAYNITLQSHDVFREYLDLLNTLEEELSSVSDLTLQNRPVS >ONIVA02G37280.2 pep chromosome:AWHD00000000:2:32218982:32239355:1 gene:ONIVA02G37280 transcript:ONIVA02G37280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQSIPLDPGDSDRVVLLRVLGRYLLAVCPASLHLWSAAHHKVRLARLDRSPESLAAHGDNAHSVWSPDAKTVAVLTSSFYLYIYKLQFSGKPLIIGGKPQPGLCLASISHIIVEKVPLANDAFITSNFVCDSKSMLLGLSNGHLQVISWNAEFSDSFKLGCSACSSNRTPTVGDALVFDPPSLRENSDASPAPCCTGNSAIIHVELSVKLRLLVALYSGCQIGLCAVGKKGLKQTSSIRVERWLNTDDAMCTSVASDQQILAVGCSRGVVDLYDLAENARHIRTISLYDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDYGYKLFAVEENLSERILAFSFAKCCLNRGLSGTTYTRQILYGEDRILLVQPDDTDELKMLHLNVPASYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRVFGDVTQEQKIQCKGLLWLGKIVIVCNYIESSNTYELLFFPRYHLDYSSLLYRKSLLGRPIVMDVFQDYILVTYSPFDVHIFHVVISGELSPASSPVLQLSTVRELSIMSPKSPPVSMRFIPEPTDEGKPKHDTNGSSDLSQQPSRCLILRMNGELSVLDMDDGHEQSLTNSVELFWVTCSQYEEKGNLIKEVSWLDYGHKGMQVWYPSHGENPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGISQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKHEEALRLANLSAEKPHFSRCLEWLLFTVFDAEISSQSASKNQLSQKNEPAKKSLLDKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFHAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENASTDSEKLSPRFLSYFQLRSPFKRQSSDLRSNSMKELSPHIASVMNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRTEVLVDLFRHDLRLWKAYNITLQSHDVFREYLDLLNTLEEELSSVSDLTLQNRPVS >ONIVA02G37280.3 pep chromosome:AWHD00000000:2:32218982:32239355:1 gene:ONIVA02G37280 transcript:ONIVA02G37280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQSIPLDPGDSDRVVLLRVLGRYLLAVCPASLHLWSAAHHKVRLARLDRSPESLAAHGDNAHSVWSPDAKTVAVLTSSFYLYIYKLQFSGKPLIIGGKPQPGLCLASISHIIVEKVPLANDAFITSNFVCDSKSMLLGLSNGHLQVISWNAEFSDSFKLGCSACSSNRTPTVGDALVFDPPSLRENSDASPAPCCTGNSAIIHVELSVKLRLLVALYSGCQIGLCAVGKKGLKQTSSIRVERWLNTDDAMCTSVASDQQILAVGCSRGVVDLYDLAENARHIRTISLYDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDYGYKLFAVEENLSERILAFSFAKCCLNRGLSGTTYTRQILYGEDRILLVQPDDTDELKMLHLNVPASYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRVFGDVTQEQKIQCKGLLWLGKIVIVCNYIESSNTYELLFFPRYHLDYSSLLYRKSLLGRPIVMDVFQDYILVTYSPFDVHIFHVVISGELSPASSPVLQLSTVRELSIMSPKSPPVSMRFIPEPTDEGKPKHDTNGSSDLSQQPSRCLILRMNGELSVLDMDDGHEQSLTNSVELFWVTCSQYEEKGNLIKEVSWLDYGHKGMQVWYPSHGENPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGISQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKHEEALRLANLSAEKPHFSRCLEWLLFTVFDAEISSQSASKNQLSQKNEPAKKSLLDKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFHAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENASTDSEKLSPRFLSYFQLRSPFKRQSSDLRSNSMKELSPHIASVMNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRTEVLVDLFRHDLRLWKAYNITLQSHDVFREYLDLLNTLEEELSSVSDLTLQNRPVS >ONIVA02G37280.4 pep chromosome:AWHD00000000:2:32221257:32238680:1 gene:ONIVA02G37280 transcript:ONIVA02G37280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQSIPLDPGDSDRVVLLRVLGRYLLAVCPASLHLWSAAHHKVRLARLDRSPESLAAHGDNAHSVWSPDAKTVAVLTSSFYLYIYKLQFSGKPLIIGGKPQPGLCLASISHIIVEKVPLANDAFITSNFVCDSKSMLLGLSNGHLQVISWNAEFSDSFKLGCSACSSNRTPTVGDALVFDPPSLRENSDASPAPCCTGNSAIIHVELSVKLRLLVALYSGCQIGLCAVGKKGLKQTSSIRVERWLNTDDAMCTSVASDQQILAVGCSRGVVDLYDLAENARHIRTISLYDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDYGYKLFAVEENLSERILAFSFAKCCLNRGLSGTTYTRQILYGEDRILLVQPDDTDELKMLHLNVPASYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRVFGDVTQEQKIQCKGLLWLGKIVIVCNYIESSNTYELLFFPRYHLDYSSLLYRKSLLGRPIVMDVFQDYILVTYSPFDVHIFHVVISGELSPASSPVLQLSTVRELSIMSPKSPPVSMRFIPEPTDEGKPKHDTNGSSDLSQQPSRCLILRMNGELSVLDMDDGHEQSLTNSVELFWVTCSQYEEKGNLIKEVSWLDYGHKGMQVWYPSHGENPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGISQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKHEEALRLANLSAEKPHFSRCLEWLLFTVFDAEISSQSASKNQLSQKNEPAKKSLLDKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFHAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENASTDSEKLSPRFLSYFQLRSPFKRQSSDLRSNSMKELSPHIASVMNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRTEYIFGCHFPVSSS >ONIVA02G37270.1 pep chromosome:AWHD00000000:2:32216360:32218630:1 gene:ONIVA02G37270 transcript:ONIVA02G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLISLRFYFWLKMS >ONIVA02G37260.1 pep chromosome:AWHD00000000:2:32187448:32187721:1 gene:ONIVA02G37260 transcript:ONIVA02G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSPSTPWAMFSSTAGAASSSDPPLGEVAHGEDDGASSQAGTDESTSRLRPPTLGGGIFHDGEEEINVG >ONIVA02G37250.1 pep chromosome:AWHD00000000:2:32182368:32182844:-1 gene:ONIVA02G37250 transcript:ONIVA02G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAATAGCHHLLLPTASRPCGAPPRRLARGAAAAAAAAGHVSVVGRRPLEEVYKVRVERGAAARERAEALRAMETWSTWRTGGRCRMPWDWHVDQLVYIVSGEVRVLPAEATTGEEYMHFVAGDLVRYPKWFEADLYFDGPYEERYRFLAYGDDN >ONIVA02G37240.1 pep chromosome:AWHD00000000:2:32180172:32180842:-1 gene:ONIVA02G37240 transcript:ONIVA02G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEMHIKPMQNAWSREKLQNYRKALKRWWSVGMLVLFTRMLQSEFINTSQIQYSIYQLTHLAETAWLILLRCLAFAFFSDAVVRQPDYGSHGMPRTCRSISSVHRLQSDGPDNNLTKSRRLLLFVAV >ONIVA02G37230.1 pep chromosome:AWHD00000000:2:32176100:32179498:-1 gene:ONIVA02G37230 transcript:ONIVA02G37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S protease regulatory subunit 7 homolog A [Source:Projected from Arabidopsis thaliana (AT1G53750) UniProtKB/Swiss-Prot;Acc:Q9SSB5] MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >ONIVA02G37220.1 pep chromosome:AWHD00000000:2:32173198:32174424:-1 gene:ONIVA02G37220 transcript:ONIVA02G37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEPSPAPTMADLPADLLREIFRHLRCVADRDAAADVCRTWRGALAEPTPPPSPPRPLPWLLLPSAGDDFIHVYCFYCGIDRCSLHHRLSPAHGARCFGSHEGGWLFVAFEHNRLHAMINLRSREDSKSSLIPFPDLLRSYQDEDDYQRAQNMVILAAALSSSPGGTSCIGAGIVMRWDLIAGSCRLAFWRMGDRVAVEGTMAPDSTVILRDEIQDVIYQDGAFRFVTTRGFLVTCIPMFYADGGLQGTTESVQRIRHRERLREHVHARYLVESRAKLLMIVRFAARPRSPTSLFKVFEMVQDYTGVEKIEDTWTELESLDGRLFFVGRGCSRSYESSAYPELGLGLKEGVYFLDDYVYADEGMPFRDEGHRRYPCSDNGRWCDGHVHRCFSEQRASSAHSPTWLLP >ONIVA02G37210.1 pep chromosome:AWHD00000000:2:32157722:32161724:1 gene:ONIVA02G37210 transcript:ONIVA02G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRKRKTVDSDSHGPPVKKASTGTPGDSSFSQFGGVADGRSVTWTAPSTTTVPMESIGRMDRSATPSRLDGNRSAGASGPLRIREPMPLLGNSKRSVGASRPLRIQVVLLGSGWLAESSSEEGRVGLGTPAPSQHPLPGGALTRRVDTSNLQEPLPTAVSKLPSQDKNASVIVIVPGWSSDDNNFSEAVKKLLFGRIGADHSVDQKVPPPAVRTVIVHIFGRYGPYLARHMEGLTAQAHLRCQEMTFEPNMCHRGIIKERVDELLEPARPSSPKCCSCDRPADWITSCCSLMLCSYCMPDKFHQKHKRGHSRELCRAQGAIGLLNPRSPLEEETRYLMKNDMLCKREIILQVEDEESGRTRECDIFVLRSMLPLADLWNRKRLNRLFTCGYHMRWNGVLDELFRTENKLSFMK >ONIVA02G37210.2 pep chromosome:AWHD00000000:2:32157722:32161724:1 gene:ONIVA02G37210 transcript:ONIVA02G37210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRKRKTVDSDSHGPPVKKASTGTPGDSSFSQFGGVADGRSVTWTAPSTTTVPMESIGRMDRSATPSRLDGNRSAGASGPLRIREPMPLLGNSKRSVGASRPLRIQGEYLIERASCPLLFSKIELPSSCHCEVVLLGSGWLAESSSEEGRVGLGTPAPSQHPLPGGALTRRVDTSNLQEPLPTAVSKLPSQDKNASVIVIVPGWSSDDNNFSEAVKKLLFGRIGADHSVDQKVPPPAVRTVIVHIFGRYGPYLARHMEGLTAQAHLRCQEMTFEPNMCHRGIIKERVDELLEPARPSSPKCCSCDRPADWITSCCSLMLCSYCMPDKFHQKHKRGHSRELCRAQGAIGLLNPRSPLEEETRYLMKNDMLCKREIILQVEDEESGRTRECDIFVLRSMLPLADLWNRKRLNRLFTCGYHMRWNGVLDELFRTENKLSFMK >ONIVA02G37200.1 pep chromosome:AWHD00000000:2:32157356:32158445:-1 gene:ONIVA02G37200 transcript:ONIVA02G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLRTKAASQEYEEERKNRGALDLGRKNKARWIFLCYLPRMRRGPDAPAERLPSRRLGVAERSIRPIDSIGTVVVLGAVQVTLRPSATEIQEKVESPTPNEKSSTDVLGHSPPPNWEKEESPGVPVEAFLTGGPCESLSTVLRLRFPSIWAERRTARVRLNSQEPRPTAPPRGDGTVGRGPWKRATGLRERGEGGEMVRSGGKGDVRGETVGGEGSGADEDGMALAARQATGRGMDGLQRIQAYIVAF >ONIVA02G37190.1 pep chromosome:AWHD00000000:2:32152882:32153217:-1 gene:ONIVA02G37190 transcript:ONIVA02G37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGRWKEEEEELKAAKRGYREAVAEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSVKYLPQRHLLPSCQSLGEVHLRLGNSSEALTYQVTTILPFHITRI >ONIVA02G37170.1 pep chromosome:AWHD00000000:2:32129297:32130503:-1 gene:ONIVA02G37170 transcript:ONIVA02G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIIIRIPGDLTIVTIVGFDRFVVECFSCFDVGALRFALALEAYHIFTSQVTIKHVMERIQELTCVDVDRIEAEASIAPPTEIDRTGGVGVTSNDE >ONIVA02G37160.1 pep chromosome:AWHD00000000:2:32129050:32129292:-1 gene:ONIVA02G37160 transcript:ONIVA02G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDDHEGPLKGALEAAVLAEVCEITRNGGGGGGEGCGGGGGGGGGGGGGIESMAVAARVQASTTTNLFQSSFTPRSSSF >ONIVA02G37150.1 pep chromosome:AWHD00000000:2:32124252:32124794:-1 gene:ONIVA02G37150 transcript:ONIVA02G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAGFNATAVAAATAAVVAPVAAGGAAAHSAWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLEGGAGGRGGNDDGGAPADGAKPTASELPPPIWEEKILVIMAGDVKPTYLATPMSSRASSFGDRSSSNGDQADDEKKKVQEVAMGSLVKDGENGEHSESQRDRDEHHIPEV >ONIVA02G37140.1 pep chromosome:AWHD00000000:2:32112624:32112941:1 gene:ONIVA02G37140 transcript:ONIVA02G37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEPVRDTAPMEAMGAGHPRQHLSFLEILEAHGALALPRLTRYRLLQRGGHGRARRGDNAGNPQPSSLYMLSVVPVPRLCCSMYIYDAEAAGRNPCPVLSSPL >ONIVA02G37130.1 pep chromosome:AWHD00000000:2:32106874:32112424:1 gene:ONIVA02G37130 transcript:ONIVA02G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GDR7] MATAAAASRAALSRPHAAAAAARASPAAGARVTLPRRRSVRSAVISSSASAAAVAASEPAAGRVTLGAGTDGALWPKPAVLVAEKLSEAGLAVLRGFADVECAYGMSPAELLAKVAQFDALIVRSGTKVTREVLEAGRGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHGIALLASMARNVSQADAALKAGKWQRTKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGAELVSFDEAIGRADFISLHMPLTPATSKVFNDESFAKMKNGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTEEPPAKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEVMSELAPYVSLAEKLGKLAVQLVAGESGGIKGVKVVYTTARGPDDLDTRLLRAMVTKGIVEPVSSTFVNLVNADYTAKQRGLRITEERVSHESPAAEAPLESIQVRLSHVQSKFAGAISDGGDIVLEGRVKYGVPHLTVVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQTNVNISFMSVGRTFRGKQAIMAIGVDEEPDKETLEHIGHIPAIEEFVFLEL >ONIVA02G37120.1 pep chromosome:AWHD00000000:2:32103144:32104135:-1 gene:ONIVA02G37120 transcript:ONIVA02G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GDR6] MHDRHLISSPASTIAPDAVVALDGSGMHTSISDAIAAVTAPPPAHHPTASGGGAGSRKVIYVKAGRYEESVSITSKQKNVMLLGDGKGKTVISGHRSVAGGYTTYASATVAAMGSGFIAKGLRIVNSARPGKGQAVALRVGGDLSVDTLYVHSNRQFYAADDISGTVDFIFGNAAA >ONIVA02G37110.1 pep chromosome:AWHD00000000:2:32075146:32078415:-1 gene:ONIVA02G37110 transcript:ONIVA02G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT2G44020) TAIR;Acc:AT2G44020] MLPFVRSLRRLGPAAADGRLLLPLAPLSSKAAAPPPEYEMPSVTWGVIQGRKERLVSRVLALDFLRSAGVSDPAGELEAVELPSSLEVLQERLDFLLRLGLSTDDLSAYPLLLACSLRKNAIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLTPVVKSLRGLDVDRQDLPRVLERYHDILGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHFPFFLGMRVGTTIKPLCEYITSLGLPMRILARILEKRPYILGYDLEETVKPNVEALLSFGIRKEMLPPVIAQYPPILGLPLKTKLAAQQYFFNLKLQIDPDAFACAIEKLPQLVSLHQNIILKLVEFLRGRGISNEDVARMVVRCPQILLLRMELMKNSLYFFKSEMKRPISELLDYPEYFTYSLESRIKPRYMRVSTKGIRCSLDWFLNCSDQRFEERMRGDFIEGDAPGPSFTMGGKLQMPGSQLVSDDDNAESDDEIWWRIIPKIFRDVLLTASCTQAIPSLSVNSCLVICICKLKFANHADILNCKMKKALALMEHSQTNRGHWSAGLSNSSKGLTKVLYRTLLKKNSNNSPS >ONIVA02G37110.2 pep chromosome:AWHD00000000:2:32075146:32078415:-1 gene:ONIVA02G37110 transcript:ONIVA02G37110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT2G44020) TAIR;Acc:AT2G44020] MLPFVRSLRRLGPAAADGRLLLPLAPLSSKAAAPPPEYEMPSVTWGVIQGRKERLVSRVLALDFLRSAGVSDPAGELEAVELPSSLEVLQERLDFLLRLGLSTDDLSAYPLLLACSLRKNAIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLTPVVKSLRGLDVDRQDLPRVLERYHDILGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHFPFFLGMRVGTTIKPLCEYITSLGLPMRILARILEKRPYILGYDLEETVKPNVEALLSFGIRKEMLPPVIAQYPPILGLPLKTKLAAQQYFFNLKLQIDPDAFACAIEKLPQLVSLHQNIILKLVEFLRGRGISNEDVARMVVRCPQILLLRMELMKNSLYFFKSEMKRPISELLDYPEYFTYSLESRIKPRYMRVSTKGIRCSLDWFLNCSDQRFEERMRGDFIEGDAPGPSFTMGGKLQMPGSQLVSDDDNAESDDEIWWRIIPKIFRDVLLTASCTQVLYRTLLKKNSNNSPS >ONIVA02G37100.1 pep chromosome:AWHD00000000:2:32074418:32103468:1 gene:ONIVA02G37100 transcript:ONIVA02G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKHITKGFIEYQYTKVAFAIEDVCVKRLGGISETRATVHRSHATAPRCADFTGCTHVAGLSWPPRRKEAAAAPRTRAKMRIFCLCN >ONIVA02G37090.1 pep chromosome:AWHD00000000:2:32072024:32074410:-1 gene:ONIVA02G37090 transcript:ONIVA02G37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GDR2] MHLHTHQAKDMETLGRRRRVAVLAWCNAIAMALALATPVAAVAANHGDTAAKDNVAVVPRIAASAAAAASSVNVTAICMATPYPSACETALSTIAPDAVVALDGSGTHTSISDAIAAVTAPPPPAHHPTASGGGGGGGSRKVIYVKAGRYEESVSITSKQKDVMLLGDGKGKTVISGHRSVAGGYTTYASATVAAMGSGFIAKGLTIVNSAGPGKGQAVALRVGADLSVVYNCGIEAYQDTLYVHSNRQFYAADDISGTVDFIFGNAAAVIQGCEIRARRPGPGQEDTVTAQGRSDPNQNTGISIHRCRITGAPDLGGTPVYLGRPWRRYSRTVVMGTFLDRSIAPAGWLEWSGQFGLSTLYYGEYGNTGPGAGTRRRVTWSGVHTLMSTSDATRFTVANFIVGDAWLPATGVTYTSGL >ONIVA02G37080.1 pep chromosome:AWHD00000000:2:32070667:32072691:1 gene:ONIVA02G37080 transcript:ONIVA02G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLKSLPSMQLVHHPSPSQTSKGMNQMAAMSSCHHLSSSISTPRFGTRKATMAKFIRAPVHSCSCSNAHLSQPAAPAKMVFEDQVRGVVCYRDDKGDMICEGYDEGPRLGMRLPEKACFPWPMGVQVTDFIELSTLRVFEDVDSLQPRKDQKGKL >ONIVA02G37070.1 pep chromosome:AWHD00000000:2:32059560:32070299:1 gene:ONIVA02G37070 transcript:ONIVA02G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGRWKKEEEEELKAAKRGYREAVAEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYKVSVKYLPQRHLLPSCQSLGEVHLRLGNFSEALTYQKKHLQLAKEADDLVEQQRASTQLGRTYYEILLRSENDHSAIRNAKKYFKSSMKLARVLKENPSSQKSLFLKELIDAYNNMGMLELELDNYEEAEKLLVQGLKICEEEEVHQYDDARSRLHHNLGNVYIELRNWNRAKGHIEKDIEICRKIRHTQGEAKGFINLGEVHSRVQKYEDAKLCYNKALQITKCLEDEDALMDQIHQNIETVTKAAKVLEEMKTDEQKLKKLVRDTSNARGTSKERKLLLEQYAWLDNLMEKARMITAWPKLKEFSKGQKRVANELHDKEKQSDSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGALQAYEEAYRQCLYTLLLISVEGGLSNVQLDALENMHYSHMVRFDNIEEAKKLQQEIDSLKRMSDQQEARDTVSDYCSETESEDGNVSDNILNTEDNDGNIANNSSEEFDDDVVLASLVHKSKSSKTKASKIHSSPKNVDGSCDMDGSPEEVVSKSFSNHSGRKRVRVVISDDEAEEAPEIDQSKRTLTGRADSLSTSERIANAANRNRNQHTSHPIETKEVDSVCTPCPAEESICSFKSGSPVCHGNDGPDLGASSIGKLSVSKPAASGSKVGTHASNSRPQCQNAVGLQSSDADHKFWVFKIGELLVYLDANACTCEGAFSIESLKVEVACVYYLQIPDDKRSKGLLPIIGELKCCGKVLDDTDSRDYIDQLASEQKCIDVVIDVIPHLIYHVYNEDWVPKRLMKLYVDFCTKLSEAPNKKLLKKLYNLEVSEDEVIVSDCGLQDLSITPFLDALRLHKTIAVLDLSHNMLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQVFLLSLNLFIKVKLQICECAVMTNRLEVLNLSGNRLTDACGSYLFTILQKCKECVLCLHIVTALYSLNVEQCSITSRTVQKMADALHEGSVLSHLSLGNNNPISGNTMLSLLSKLASLKRFSELSLTGIKLSKLMVDKLCVLAQSSCLSGFLLGGTYIGSGGATKLTEALSCASQELLRLDLSNCGLTTPDFSQLCTNLSQINIVDLNLGGNSFTLEECDAIRALLSNPQCSLRSLTLDRCNLGLAGTVGIIQALAGNDQLEELRVAENTNLALQRTLQYDEDAQDVSPGTDQNQRTNAEANDHIDPDKMEVPDSEDEEAVHEDTRAATGPDGSCASSCQRNSSSGCHAIQELADAIISAKQLKVLDLSRNGLSEEDIQSLYSAWASGPRGDGMARKHVAKEVVHFAVDGMNCCGLKPCCRRDLQM >ONIVA02G37060.1 pep chromosome:AWHD00000000:2:32050972:32053166:-1 gene:ONIVA02G37060 transcript:ONIVA02G37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIHHLKGHPEGSRRATEGLLWPEKKKPRWGGGGRRHFGGFVEEDDEDFEADFEEFEVDSGDSDLELGEEDDDDVVEIKPAAFKRALSRDNLSTITTAGFDGPAAKSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNSAEEAARAYDAEARRIRGKKAKVNFPEAPTTAQKRRAGSTTAKAPKSSVEQKPTVKPAFNNLANANAFVYPSANFTSNKPFVQPDNMPFVPAKNSAAPIEDPIINSDQGSNSFGCSDFGWENDTKTPDITSIAPISTIAEVDESAFIKSSTNPMVPPVMENSAVDLPDLEPYMRFLLDDGAGDSIDSLLNLDGSQDVVSNMDLWSFDDMPVSDFY >ONIVA02G37050.1 pep chromosome:AWHD00000000:2:32041224:32049567:1 gene:ONIVA02G37050 transcript:ONIVA02G37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVAVEQEAACLQSFELYESESRFYIFGTNTGKTHWRLLKINRSEPSDLDLHECCTVYTQSEYHELLKNLDEDHRLTGGVKFVTKFYGIIGFIKFVGPFYMLIITEQRKIGEIFDHPVYQVTKTSMVELANSKTRSRFLNSKDENRYKKILNTLDLRKDFFFSYSYHIMRSLQKNLSDPQEGWNIYESTFVWNEFLTQGIRNFLGSTLWTVALVYGFFKQDKISISGKDIMFTLIARRSRHFAGTRYLKRGVNEKGRVANDVETEQIVYGAGPRPTEETSKMNIKPDIILHQKGENYEATRLHFENLRRRYGDPIIILNLIKKRERRESILRREFDRAIRIINKSIPEENHLRFLHWDLHENSQGKPTNVLDVLLKVAFRALRLTEFFYCQLAPSTGSDTAHHWPSLLSGLDPFLCEENSNSDNTDCTEIVGDISQEDISGSSDSSCNGTTEDKAENNESPPLKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHVLGSVESPELGLDDPLAHHLMHFYERMGDTLAVQYSGSAAHNKIFSAKRGHLKLFIRSQEFFRTLQRHYSNTCIDANKQAAINLFLGYFQPQEGKPALWELESSSVDHIAVHARTIKRVRSDGSILYGSNTSISGCSGCHNEDKELLNAAPLDVKSGSQFPVLESDSVHGNEISLTCESEVSNLRYTPMIPQTHHVPGGVETESSIHSGDSNFLDLEWLSTSGNSSDERSIAISTPDVNLSAENVISGINSETMENQDADICTQNLPEHFVQWVNHGDTFWY >ONIVA02G37040.1 pep chromosome:AWHD00000000:2:32030089:32031683:1 gene:ONIVA02G37040 transcript:ONIVA02G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54050) TAIR;Acc:AT1G54050] MTELFDTAVTSLLHLPEVLDRLGAAAGDRRSAGDHAHHAAHGHGQHRISGIGSGAPVDIMETPGEYAFVLDVPGLSKSDIQVTLEEDRVLVMKSSNGAGNGKRKREEEEGECKYIRLERRASPRAFARKFRLPEDADTGGISARCENGVLTVTVKKRPPPEKKTKSVQVTIA >ONIVA02G37030.1 pep chromosome:AWHD00000000:2:32007759:32026101:-1 gene:ONIVA02G37030 transcript:ONIVA02G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEKCRDAAGEGGGGGDLYAVLGLKKECSDADLKLAYRKLAMRWHPDKCSSSSSAKHMEEAKEKFQEIQGAYSVLSDSNKRFLYDVGVYDDDNNDDDNLQGMGDFIGEMAQMMSQARPTRQESFEELQQLFVDMFQADLDSGFCNGPSKCYHTQAQSQTRTSSTSPSTSPSPPPPVATEAESPSCNGINKRGSSAMDSGKPPRASEVSAGQSQSGFCFGQKSDAKQAAKTRSGNTASRRRNGRKQKVSSKHDVSSEDEMPAPSCCRCHSAVAVALLGSNLNNSASNLQDSTGRPFTGSFSGQSGSVPGGFHHSGLHNMHGSLNMPNMPGSFSQRNAAMSGLPSSGVQQPGGSMPGRFASNNLPVGMSQIPHGHSGVGSRGLNVGGGPAFSSSLNIGGTIQGLSSNLGAGGSRNSVPGMSVSPSLGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLSGNVGSGGLNVQGSSRMMNGILQQGSPQMLNMMGSSYPTSGGSLSQNQIQGGNNSLGSMGMLHDASDGAPFDMSDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGNTTDYAMELHHKEQLHDNVPVMQAQQYPMSRSVGFNLGSNYPPNRQQHQQGANSVQNAGPQNIGLRPLNSPNQTSSLGSYEQLIQQYQQPQAQNPFRLQQVSSATQSYRDQSLKSIQGGQTPSDPYGLMGLLGVIRMNDVDLSSLALGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGEPEFHTPACYSAEQSPPLQPIHFQKFQTPTLFYIFYSMPRDEAQLCAASELYTRGWFYHKEVRVWLTRIPNVEPLVKTPHYERGSYGCFDPNNWETIRKDNFVLHYDQIEKKPAIPSSQNVRSPACRPATWIANKYLLQDVGLGGAGGGAPPLGRRCILRLRSVVQFPNSSSSLFTRMLFKLLNGAFFAKNFYTKVA >ONIVA02G37030.2 pep chromosome:AWHD00000000:2:32007759:32018700:-1 gene:ONIVA02G37030 transcript:ONIVA02G37030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSNLNNSASNLQDSTGRPFTGSFSGQSGSVPGGFHHSGLHNMHGSLNMPNMPGSFSQRNAAMSGLPSSGVQQPGGSMPGRFASNNLPVGMSQIPHGHSGVGSRGLNVGGGPAFSSSLNIGGTIQGLSSNLGAGGSRNSVPGMSVSPSLGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLSGNVGSGGLNVQGSSRMMNGILQQGSPQMLNMMGSSYPTSGGSLSQNQIQGGNNSLGSMGMLHDASDGAPFDMSDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGNTTDYAMELHHKEQLHDNVPVMQAQQYPMSRSVGFNLGSNYPPNRQQHQQGANSVQNAGPQNIGLRPLNSPNQTSSLGSYEQLIQQYQQPQAQNPFRLQQVSSATQSYRDQSLKSIQGGQTPSDPYGLMGLLGVIRMNDVDLSSLALGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGEPEFHTPACYSAEQSPPLQPIHFQKFQTPTLFYIFYSMPRDEAQLCAASELYTRGWFYHKEVRVWLTRIPNVEPLVKTPHYERGSYGCFDPNNWETIRKDNFVLHYDQIEKKPAIPSSQNVRSPACRPATWIANKYLLQDVGLGGAGGGAPPLGRRCILRLRSVVQFPNSSSSLFTRMLFKLLNGAFFAKNFYTKVA >ONIVA02G37030.3 pep chromosome:AWHD00000000:2:32018743:32026101:-1 gene:ONIVA02G37030 transcript:ONIVA02G37030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEKCRDAAGEGGGGGDLYAVLGLKKECSDADLKLAYRKLAMRWHPDKCSSSSSAKHMEEAKEKFQEIQGAYSVLSDSNKRFLYDVGVYDDDNNDDDNLQGMGDFIGEMAQMMSQARPTRQESFEELQQLFVDMFQADLDSGFCNGPSKCYHTQAQSQTRTSSTSPSTSPSPPPPVATEAESPSCNGINKRGSSAMDSGKPPRASEVSAGQSQSGFCFGQKSDAKQAAKTRSGNTASRRRNGRKQKVSSKHDVSSEDEMPDWKE >ONIVA02G37020.1 pep chromosome:AWHD00000000:2:32002944:32003342:1 gene:ONIVA02G37020 transcript:ONIVA02G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLIPCAGELLTLPESNSAVVTDRPLLYVHVTRLRCGGFVFGTQICHNLVDAAGITPSVSSRKAWSVRSV >ONIVA02G37020.2 pep chromosome:AWHD00000000:2:32002476:32002992:1 gene:ONIVA02G37020 transcript:ONIVA02G37020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHLFFFSLLLLLFPLLSSLFSERGSHRQSGVTGFSSVEATSTAAAATAGSRTRSQHIQPEQRLLHRRRILHRALLVAAGLTVQLLLDCSHGERHDQTGMAAAANDTTPAPGPGCSSSCWWKRSRLVLYTEARKDAVDFLIGLLRVRLVRR >ONIVA02G37010.1 pep chromosome:AWHD00000000:2:31994451:31996700:1 gene:ONIVA02G37010 transcript:ONIVA02G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFHDGHHVWLRSRVHGTYLRAGEDGSGVSLHEGRASVHAAWAVHILHLDGGDILMLHRTGWSWNSVDLRDLNQLPSFAVGWFAVTAGSGDYVMLRHSSGLFLRADGGNLLCNSVGVIVDMFDFRRREIRQWMVEAIPPRDSMPILPNRSPTAFSWCRIWYVRASPQGNFRREDWRSLLFHGRSVFHLRNTDLPRNTLVIAIVVITAGTNAALWLRYPNVHAA >ONIVA02G37000.1 pep chromosome:AWHD00000000:2:31989753:31991193:-1 gene:ONIVA02G37000 transcript:ONIVA02G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFHDRHHVWLRSREHGTYLQADLDDGSTLSLHSFCEAVRTAWTVHTIGRMLALHSAANGRYLAATAERFGLGGNRVILRDLDMFAAGWFPDMSASGDSIVLRHSSDQFLRAIDRGDGNGVTVEVSASRRANAHWVVEAIPSTDSIPRLPHIEATRHITRVIRFVRAERASTDGTFPHVAWACFEFTGRSLFNLRIELARRLNFAVASDAIMCVRAGFFGRLTPLVINLPDNNVTMEVIVVTAGTTDYKLLTTVTAFNKVLSLVIKEIVHMYSKEKLF >ONIVA02G36990.1 pep chromosome:AWHD00000000:2:31982077:31986858:-1 gene:ONIVA02G36990 transcript:ONIVA02G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINWCHDLTGRLAIGASVGERRRWRRGLLIVELDMGKYGPRGGVCGYISLCSGPSRSSPNVFNRRSKFQDTTADDDEGGGGPRWSLHCTVVLPHPFQTIFPFTHDYQGSVFFNVDCAVIYRYDVERGVVERVVDMLEEMTYFNCSTHKLYRRPGDWKLRTIQYSESLGRLAIGAPVGERRRRRRGLLISRLTDEGRAEGGEEFVATVTAHSAKAAAI >ONIVA02G36980.1 pep chromosome:AWHD00000000:2:31979081:31979884:-1 gene:ONIVA02G36980 transcript:ONIVA02G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSMMLVCNPATREFAELPACTPDYLRIQRVGFYADQPTGKTKVVRYFIRHCNETYTDYSVGCEVLSLGSPAWRPLADPPYLVLNKTSPCILGGIYWIAILPSPPTGSCTTPGKMLRFDVCSEEFTSFPSPPFMERQEICDVNGTLTELAGKLCYMHTPADGKVELWTASAADEGPRWSLHCTVVLSYPFQTIYPFDHDYQGSIFFNADCALIYRYDVERGVAERVVDMIDDMTYINRSKLYRGPGYLKYRTIQYSESLVSVQAN >ONIVA02G36970.1 pep chromosome:AWHD00000000:2:31965655:31972644:1 gene:ONIVA02G36970 transcript:ONIVA02G36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34357) TAIR;Acc:AT2G34357] MSSKPSNTIIKYFKALLDLHQPILTRSILEILHAVGDSLTVQLKSDVLLDIVCSLGLSVSVERKSGDEMASIARLLNVATRKIYNQNKNICVVKLPLVFTSLGDILASEFEEARFSAVEAFKSLIEDCIDESMILQGITQIKSRHPGIRSDPTTIEKICAILEGLLNVRYGDVWDKSFHVISMAFDKLGESSADLLPEALKNLADMQNLSDDDFSFRKQLDSCLGSAVAAMGPKNVLKILQIHSISDENEWIFPILEKHIVGASLQFFLTDIRDIIRAVEKNIPKLLKEDKLFSAKRAEGYVYSLWSLLPSCCNYARDTSIHFRALQNVLCDTLKNQLDLRGIICSSIQVLIKQNKEALSVPVEEAILAEDEISKSERRAKERYTKEFAEENLKAIRAFSSKFLEVLCSIFLASSNDAIGLLQPAISDIASISEKDTVGRFFLDAIRKLLDATKAVNAEQKNDSSMQIEANSNTNNMARALLLDFAASLMPGLAAKSINVLFSYVKPAIKDTDSLIQKRAYKVLSMLLKDTEFIERNLDTLLDLMISSLPCQFPSKRYRLECLHHLIVYILKDSSKLGKREIIGSFLTEILLALKEANKKTRNRAYDLLIEIGRACEDAENDGRKENLHQFFGMVAGGLAGQTPYAISASVTGLARLTYEFSELIGVAYKLLPSTFLLMQRNNREIVKANLGFIKALVAKSKADVLHEHLKGVVEGLLSWQTDTKNSFKAKIKSLMEILVKKCGLDAVKAVMPEEHIKLLTNIRKINERKMRKSKSSEDGDNMSMTSRATRQSRWNHTQMFSDFGSDEDDSNGPFSAQHTVASRTGTKASTRSTRKRQADKSLLEKFIDQSTGEPLDLLDQKTVRLALKSTKKRAMPDEDDDEVEMDPEGRIIVREERERRKKKQPISRDDEADDRSTVRSQSVKRRKTTSSGWAYTGHDYTSKKASGDLKKKDKMDPYAYWPLDRKLLNRRADRKAAARKGMSSVMKVTKKLEGKSAASALAAKRTQTKNRKQKKSK >ONIVA02G36960.1 pep chromosome:AWHD00000000:2:31956511:31962244:1 gene:ONIVA02G36960 transcript:ONIVA02G36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELERQFAGYKQRRAERSGAPRGDDDGADARGGGGEEEEEEEEGDGDGGDVRGRRYEAYTRRRDERLREREGWRARMERKEAEVRALWAQLERRAAGCATATATATDDDGGGAAGVREKAGKDGEKRRRSDVAAPASRISGKKHARTRSFSSTATKSSLPDAGARRALSQEPPPPPTSERPTTAGAGSHRVARVTGGGATTTAPKPRVFSGHRSSTAKEHGSSSAKGGTTKPKPPRSLPRRSSSGGLENLKEAVLSNTCAAVAPAQSCSTEQATVHGETGNASPPSPFAGAAAANARAASPDSDCGEAVDGGSYDREAEAKRVGEHDAEEVTVSPQKLANGEITSDSDTEPSYVYVKKDDVEGEEDAMARRSEALAVSDAKPAELEVEKNNSDAAARGEETTAPPSDAVAAESATTIVAEEAPARESSDESSSSSSSFSGIRSGRGSPPSSAPASYISRAPSIERLLEEDAALLRKKRQQSADKLALMAMTTTTMSTPPARVSGAARSRGFKSFLSFGKKNRRGKDVTVIDCTSPSVPSVADDDSGSGGWPSGETIKPRMASSDAASDDMDHGYAIAASPQGCSLQSLVVASPAKSELHEIDPQEKSPKAHRSFFSFRSFNCGRS >ONIVA02G36950.1 pep chromosome:AWHD00000000:2:31948287:31952415:-1 gene:ONIVA02G36950 transcript:ONIVA02G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHKPGLRVRLRITAARRRAWLSAGLQPACRKPPRRDPSDSVHKVARREIGGGHRRPPRPAAPSSSAFSCPEKFRNFQLQEEYDTYDDEVHFLVQLPFLWSRTKIIEIVAAKDVIFALAQSGLCGAFNRGKSHSSMLTGFAFVRFMFLTKLLLMLAATNKRICYLNISPDEVIRSLFYNKNNESLITVSVYESDRFSSLKCRTTPIEYIRRGQLNDGFPLFETESLKYPGFVEFDDVNGKVLTFSAQDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKSANHVPLKILSIEDGTPLKTFTQLLHRSRKVDFIEQFNEKLLVKQDKENLQIIDVRNSNLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFDDHELWHSNCNTNNIYITADQDLIISYCKASKEVRDSGGCEGIAPPTGSINMSNIFTGKCVAKISPLDPTLTIAPRKRGDSSRSTIRSTVSDALEDITALFYDEDRNEIYTGNSKGLVHVWSN >ONIVA02G36940.1 pep chromosome:AWHD00000000:2:31943304:31945872:-1 gene:ONIVA02G36940 transcript:ONIVA02G36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASSSSPAPAPEAAAAGRRVPEAAELKLRRRTLETVLEQCQRALEMMREADLGIAVSEEEEEEEGADAVAANPEVGGSDGCDEEGAPPPSPPSEADYETDELCDLLKSRVASPEFLEKLDNIQKSVYQNGAVDETISWDIISAADIWDDIDKGMNISDDSEDGYVLIKQEDIVDGIASFMAAYLLSLKQTKDLTPNQLQQEKLNSHLPFLPALGKTFSAKKRKSKLQKAWDGTKVIYNIASWSATAIGIYQNPAILKAATAAFWTSCRVASKFL >ONIVA02G36930.1 pep chromosome:AWHD00000000:2:31936260:31945851:1 gene:ONIVA02G36930 transcript:ONIVA02G36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT2G22530) TAIR;Acc:AT2G22530] MAGGGGASPSCAAVAGWTVTAVLLQVVGLSMFLYGFFPVKPTLPGFSGAESYRMPSCGLAGGGEQPALPPDQLRSLYRELSEVPPVYDRLVLMVIDGLPAEFVLGRVGKPPSKEMVESMPYTQSLLAGCKATGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLHMIGYKLVMLGDDTWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLESEFAAKDWNMLILHYLGLDHVGHIGGRQSVLMPQKLKEMDDVIRRVHNAVSGLEDNLDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVQSSYCSPYDQNEALQVDLAPTLSLLFGTPIPKNNIGVVLPELIAMSISILSQKMLVVVVGLPPPPPNYHQKLRTLELNSLQFLRLLQAQLPAFCFEDCINSKYGLGIDKIPQSVEKKLCHLLSKAFDSHHPSHLHQTSNVKSIEAGCNRTAVNAYYEFLRYASEWLSHRATNKPFYLLVSAISLMIVSCLSLMGIVSCLLKGKSLSQFEHHSEWNSDYHWHLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLIKTTQSMLRESNSAVARAEGKIFHGNDCSYFTSCKLIPSMRDGYKLCTIIIILVSGRILRAWHQGGVNWVHFPDISKSLAQADSFVVKALQIISVLAVVVLYSVSLLLLRPRKLNILLVWLSHFFCGILVVLHIWQSQINTSLPTKHSTTSIAQIFYVIASISLTFTFVASPWIFPIHSMEAEPTSSGSSPKTAIHLQGINHSMFLIGITYAAFWCLLQLLLQQPINAIPLLLIFLQIISSIMHFSLDKSLHKKWVQIVAMQLLGLSGHFGLGNTNNLASIDVAGAFIGISSYSTVLSGILMFMITYGSPLLLYLGMVFYISVISSDDISTPHQSKWSCILDKMITLPCLLPLLINSIALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTCAGVFIIAVTAVYTCTVFSFRMRNYKDKSV >ONIVA02G36930.2 pep chromosome:AWHD00000000:2:31936260:31945851:1 gene:ONIVA02G36930 transcript:ONIVA02G36930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT2G22530) TAIR;Acc:AT2G22530] MAGGGGASPSCAAVAGWTVTAVLLQVVGLSMFLYGFFPVKPTLPGFSGAESYRMPSCGLAGGGEQPALPPDQLRSLYRELSEVPPVYDRLVLMVIDGLPAEFVLGRVGKPPSKEMVESMPYTQSLLAGCKATGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLHMIGYKLVMLGDDTWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLESEFAAKDWNMLILHYLGLDHVGHIGGRQSVLMPQKLKEMDDVIRRVHNAVSGLEDNLDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVQSSYCSPYDQNEALQVDLAPTLSLLFGTPIPKNNIGVVLPELIAMSISILSQKMLVVVVGLPPPPPNYHQKLRTLELNSLQFLRLLQAQLPAFCFEDCINSKYGLGIDKIPQSVEKKLCHLLSKAFDSHHPSHLHQTSNVKSIEAGCNRTAVNAYYEFLRYANYHWHLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLIKTTQSMLRESNSAVARAEGKIFHGNDCSYFTSCKLIPSMRDGYKLCTIIIILVSGRILRAWHQGGVNWVHFPDISKSLAQADSFVVKALQIISVLAVVVLYSVSLLLLRPRKLNILLVWLSHFFCGILVVLHIWQSQINTSLPTKHSTTSIAQIFYVIASISLTFTFVASPWIFPIHSMEAEPTSSGSSPKTAIHLQGINHSMFLIGITYAAFWCLLQLLLQQPINAIPLLLIFLQIISSIMHFSLDKSLHKKWVQIVAMQLLGLSGHFGLGNTNNLASIDVAGAFIGISSYSTVLSGILMFMITYGSPLLLYLGMVFYISVISSDDISTPHQSKWSCILDKMITLPCLLPLLINSIALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTCAGVFIIAVTAVYTCTVFSFRMRNYKDKSV >ONIVA02G36930.3 pep chromosome:AWHD00000000:2:31936260:31945851:1 gene:ONIVA02G36930 transcript:ONIVA02G36930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT2G22530) TAIR;Acc:AT2G22530] MAGGGGASPSCAAVAGWTVTAVLLQVVGLSMFLYGFFPVKPTLPGFSGAESYRMPSCGLAGGGEQPALPPDQLRSLYRELSEVPPVYDRLVLMVIDGLPAEFVLGRVGKPPSKEMVESMPYTQSLLAGCKATGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLHMIGYKLVMLGDDTWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLESEFAAKDWNMLILHYLGLDHVGHIGGRQSVLMPQKLKEMDDVIRRVHNAVSGLEDNLDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVQSSYCSPYDQNEALQVDLAPTLSLLFGTPIPKNNIGVVLPEVFNSLTDQQKLRTLELNSLQFLRLLQAQLPAFCFEDCINSKYGLGIDKIPQSVEKKLCHLLSKAFDSHHPSHLHQTSNVKSIEAGCNRTAVNAYYEFLRYASEWLSHRATNKPFYLLVSAISLMIVSCLSLMGIVSCLLKGKSLSQFEHHSEWNSDYHWHLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLIKTTQSMLRESNSAVARAEGKIFHGNDCSYFTSCKLIPSMRDGYKLCTIIIILVSGRILRAWHQGGVNWVHFPDISKSLAQADSFVVKALQIISVLAVVVLYSVSLLLLRPRKLNILLVWLSHFFCGILVVLHIWQSQINTSLPTKHSTTSIAQIFYVIASISLTFTFVASPWIFPIHSMEAEPTSSGSSPKTAIHLQGINHSMFLIGITYAAFWCLLQLLLQQPINAIPLLLIFLQIISSIMHFSLDKSLHKKWVQIVAMQLLGLSGHFGLGNTNNLASIDVAGAFIGISSYSTVLSGILMFMITYGSPLLLYLGMVFYISVISSDDISTPHQSKWSCILDKMITLPCLLPLLINSIALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTCAGVFIIAVTAVYTCTVFSFRMRNYKDKSV >ONIVA02G36920.1 pep chromosome:AWHD00000000:2:31933429:31935608:-1 gene:ONIVA02G36920 transcript:ONIVA02G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQFSAAGVGAVAFATKGMASRDALRLPPPAAVRVLRQAPRPSRGLVVRAAAAIVAPKYTTLKPLADRVLVKIKSAEQKTTGGILLPSAAQSKPQGGEVVAIGEGRTVGDNKVEVSIQVGSQVVYSKYAGTEVELNDSNHLILKEDDIIGILETDDAKDMKPLSDRVLIKVAEAEDKTPGGLLLTETTKEKPSIGTVVAVGPGPLDEEGKRIPLSVSAGSTVLYSKYAGSEFKGSDGTSYIVLRVSDLMAVLS >ONIVA02G36910.1 pep chromosome:AWHD00000000:2:31919162:31919794:-1 gene:ONIVA02G36910 transcript:ONIVA02G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPVAASPPEMEKKYKGVRRRKWGKWVSEIRLPNSRDRIWLGSYDSPEKAARAFDAAFVTLRGHGAAGADLNFPDSPPSCDARSSDPRQVQAAALSHANRAHVTPQQAAAALMSPPSLSPPPGFATGSEVVAPAVRADGSIDWRPVMAHPPPLYSPPGWGGGHAYDFLQPPPPSPPLPSCDDDMVDVVDESSASLWSFDTRDSYFRY >ONIVA02G36900.1 pep chromosome:AWHD00000000:2:31913785:31913973:-1 gene:ONIVA02G36900 transcript:ONIVA02G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASTLELHRRWPQALSLVLPTPISSLHTTILGSSCAPSATSLLSVLVGGGGGLANDRPRR >ONIVA02G36890.1 pep chromosome:AWHD00000000:2:31906917:31917216:1 gene:ONIVA02G36890 transcript:ONIVA02G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSGGGGRLHPHGQRRSVAAFLAANKKLLAAAWVVGFTLVFLWQSAKVSVGGGGGGGGFLRLRSAPPPPPSRPAPLLRPKAYELTDFGGVGDGRAVNTEAFERAVKAIAALAERGGGQLNVPLGRWLTAPFNLTSHMTLFLAEGSEILGIPSFREVQKLVLLSGFDRDERYWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITGHNGSINGQGEVWWMKHRRRILNNTRPPLLQLMWSKDIIVANITLKNSPFWHFHPYDCTNITVSNVTILAPISSAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYGRPSRNIVIRNVMARSLVSAGISIGSEMSGGIANVTVEDVRIWESRRGLRIKTAIGRGGYIRDIFYRNITFDNVRAGIVIKVDYNEHADDGYDRDAFPDITNISFKEIHGRGVRVPVRAHGSSDIPIKDISFQDMSIGISYKKKHIFQCSFIEGRVIGSVFPKPCENLDLYNEQGQLVKRAAMWKLHGYMTRSSGVKAVNKRSIMKQGWTELEEVVNFLF >ONIVA02G36890.2 pep chromosome:AWHD00000000:2:31906917:31913056:1 gene:ONIVA02G36890 transcript:ONIVA02G36890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSGGGGRLHPHGQRRSVAAFLAANKKLLAAAWVVGFTLVFLWQSAKVSVGGGGGGGGFLRLRSAPPPPPSRPAPLLRPKAYELTDFGGVGDGRAVNTEAFERAVKAIAALAERGGGQLNVPLGRWLTAPFNLTSHMTLFLAEGSEILGIPSFREVQKLVLLSGFDRDERYWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITGHNGSINGQGEVWWMKHRRRILNNTRPPLLQLMWSKDIIVANITLKNSPFWHFHPYDCTNITVSNVTILAPISSAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYGRPSRNIVIRNVMARSLVSAGISIGSEMSGGIANVTVEDVRIWESRRGLRIKTAIGRGGYIRDIFYRNITFDNVRAGIVIKVDYNEHADDGYDRDAFPDITNISFKEIHGRGVRVPVRAHGSSDIPIKDISFQDMSIGISYKKKHIFQCSFIEGRVIGSVFPKPCENLDLYNEQGQLVKRAAMWKLHGYMTRSSGVKAVNKRSIMKQGWTELEEVVNFLF >ONIVA02G36890.3 pep chromosome:AWHD00000000:2:31906917:31911887:1 gene:ONIVA02G36890 transcript:ONIVA02G36890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSGGGGRLHPHGQRRSVAAFLAANKKLLAAAWVVGFTLVFLWQSAKVSVGGGGGGGGFLRLRSAPPPPPSRPAPLLRPKAYELTDFGGVGDGRAVNTEAFERAVKAIAALAERGGGQLNVPLGRWLTAPFNLTSHMTLFLAEGSEILGIPSFREVQKLVLLSGFDRDERYWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITGHNGSINGQGEVWWMKHRRRILNNTRPPLLQLMWSKDIIVANITLKNSPFWHFHPYDCTNITVSNVTILAPISSAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYGRPSRNIVIRNVMARSLVSAGISIGSEMSGGIANVTVEDVRIWESRRGLRIKTAIGRGGYIRDIFYRNITFDNVRAGIVIKVDYNEHADDGYDRDAFPDITNISFKEIHGRGVRVPVRAHGSSDIPIKDISFQDMSIGISYKKKHIFQCSFIEGRVIGSVFPKPCENLDLYNEQGQLVKRAAMWKLHGYMTRSSGVKAVNKRSIMKQGWTELEEVVNFLF >ONIVA02G36880.1 pep chromosome:AWHD00000000:2:31895949:31905276:-1 gene:ONIVA02G36880 transcript:ONIVA02G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G35740) TAIR;Acc:AT4G35740] MPTGGGKSMCYQIPALVKSGIVLVISPLIGELIHQTSENQVASLKSKGIPAEFLSSTQTSHNKQKIHEDLDSGNPSLKLLYVTPELVATSGFKAKLTKLYNRGLLGLVAIDEAHCISTWGHDFRPSYRKLSSLRNQFPDIPILALTATAVPKVQKDVISSLCLRNPLILRASFNRPNIFYEVRYKDLLDDVYSDISNLLKSSGNVCSIVYCLERAVCDDLTMHLSQQGISSAAYHAGLNSKVRSSVLDDWLSSRTQGIDRQDVRIVCHYNLPKSMEAFYQESGRAGRDQQPSKSVLYYGLDDRKKMEFILRNTKNKKSELSSSSTELSEKALADFSQELRRVPTCRYNKISPVFKSSLANPKHMETEFWNREDDASISVEDISDSDDGKEVVSNIAISKLPSKAGLDAKFKALERAENAYYQAKGQTKQQGGKLVDKKSISQTLRDASQKRLLNGLGQAKLRLGNLPFDEEPSAAHLEVECFKKYEKVGKTFYNSQIAATVRWLSSSSLDQIQDRLHALADQITDHGAAASSPSIVPESPPASPDVICKILGEATSNEAKDIPLKNNTEEFVTTEHSDEIAKVAVLSENMELPKIPSFREFMSQKGRDRATSSSKVESLPSGVRRKVGIEKQGTTGPSKKMKS >ONIVA02G36880.2 pep chromosome:AWHD00000000:2:31895949:31905276:-1 gene:ONIVA02G36880 transcript:ONIVA02G36880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G35740) TAIR;Acc:AT4G35740] MPTGGGKSMCYQIPALVKSGIVLENQVASLKSKGIPAEFLSSTQTSHNKQKIHEDLDSGNPSLKLLYVTPELVATSGFKAKLTKLYNRGLLGLVAIDEAHCISTWGHDFRPSYRKLSSLRNQFPDIPILALTATAVPKVQKDVISSLCLRNPLILRASFNRPNIFYEVRYKDLLDDVYSDISNLLKSSGNVCSIVYCLERAVCDDLTMHLSQQGISSAAYHAGLNSKVRSSVLDDWLSSRTQGIDRQDVRIVCHYNLPKSMEAFYQESGRAGRDQQPSKSVLYYGLDDRKKMEFILRNTKNKKSELSSSSTELSEKALADFSQELRRVPTCRYNKISPVFKSSLANPKHMETEFWNREDDASISVEDISDSDDGKEVVSNIAISKLPSKAGLDAKFKALERAENAYYQAKGQTKQQGGKLVDKKSISQTLRDASQKRLLNGLGQAKLRLGNLPFDEEPSAAHLEVECFKKYEKVGKTFYNSQIAATVRWLSSSSLDQIQDRLHALADQITDHGAAASSPSIVPESPPASPDVICKILGEATSNEAKDIPLKNNTEEFVTTEHSDEIAKVAVLSENMELPKIPSFREFMSQKGRDRATSSSKVESLPSGVRRKVGIEKQGTTGPSKKMKS >ONIVA02G36870.1 pep chromosome:AWHD00000000:2:31889605:31895093:1 gene:ONIVA02G36870 transcript:ONIVA02G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mono-/di-acylglycerol lipase, N-terminal;Lipase, class 3 [Source:Projected from Arabidopsis thaliana (AT3G14075) TAIR;Acc:AT3G14075] MATATMATAAGAAALLYYTLNRRLQVEKLNQEGGNERDAATRGALSTTSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNVPVASIYAGDDSVELNGAPVIADLKHLLNLLTLCWHFSKKPFPLFLEATGYSSEDVLMQEPKAGILKPAFTIILDRDKQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLAQALHTHPDYKIKIVGHSLGGGTAALLTYVLREQQEFASTTCVSFAPAACMTWDLAESGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSIANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPALQLSSWTCIGPRRRTNTVSTSTVTSEEIRATTNDGSESTSLLTETTEIVKTETMQFASSEEVQSSSEVSDAVGMMDEKVDSDGEDIIDHHVDEDRMTDVELWQQLENELYRRSEDDEIVEDMTESAITEEVGGTAEDVLSETNDKEVHRFYPPGKIMHILTSTIEETVSAEESSVPHEDDTTGDSDNRIGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLIAELEKDSSFPVSDCLDSEVP >ONIVA02G36860.1 pep chromosome:AWHD00000000:2:31884637:31888703:1 gene:ONIVA02G36860 transcript:ONIVA02G36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52210) TAIR;Acc:AT3G52210] MAVTPHHRLYEFAKTALIKIFAFPYATVCDLYCDDGVDTDKWGDAQIGHYIGIDASASGVNDARELWESRKKLFTSEFIELDPSADDFEAQMQEKGIQADILCFESEEHAQKLLNNVSSLLKPGGYFVGIIPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYVITFEVEEEKFPFFGKKYQLKFANESMFENHCLVHFPSFMRLAREAGLEYVEIQNLTEFYDDNRTQFAPLLGGYGSSLVDPRGKLVARLYSTFVFQKPDPDAMPPIVTPELHDPENDQEEEWLWTQQASMDDGRVSRTDILPPADNEKGILGPGPADMRL >ONIVA02G36860.2 pep chromosome:AWHD00000000:2:31884637:31888703:1 gene:ONIVA02G36860 transcript:ONIVA02G36860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52210) TAIR;Acc:AT3G52210] MAVTPHHRLYEFAKTALIKIFAFPYATVCDLYCDDGVDTDKWGDAQIGHYIGIDASASGVNDARELWESRKKLFTSEFIELDPSADDFEAQMQEKGIQADILCFESEEHAQKLLNNVSSLLKPGGYFVGIIPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYVITFEVEEEKFPFFGKKYQLKFANESMFENHCLVHFPSFMRLAREAGLEYVEIQNLTEFYDDNRTQFAPLLGGYGSSLVDPRGKLVARSFDILGDVAFSLQDSLKVPVYSLPDIISAGLYSTFVFQKPDPDAMPPIVTPELHDPENDQEEEWLWTQQASMDDGRVSRTDILPPADNEKGILGPGPADMRL >ONIVA02G36850.1 pep chromosome:AWHD00000000:2:31879475:31882933:1 gene:ONIVA02G36850 transcript:ONIVA02G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRSAGLGAVGGWLICKKMSSHGFSTKGGDAGSKLPSTVTTLNPNAAEFVPSTFRSPFGSRTVADVSKPDFKGLSGKTNLGRSESSKSNNSDDETHQFWHRQLPDDIIPDFSDMEKVEQQHGELSFSGLSLNAPPFFGTAASNLSREHHGLLSQAGKNLDLGHNDLYYDENSGSNSGKQNHADNLCYTNGKLDLLYDHDPLEYLASQFPGFSVESLAELYCANGCDFDLTVEILTQLEMQVDASSCQNLNLAPNTPNIGTGNFPVLPGTEDLNCLFEGNVGAHGITNRHNSSTMSRTGDFVSAIQRLALQDAGHMKFKNESPKYANGLLSIVARKQYGCDTRSSLGNKFLKANSNVHSAPVSLKTGDAMASMCSESRGEAGDFARIRNTCFEQATQAYMMGNKALAKELSMKGQLYNLQMKAAHETAREAIYQQRNPFSSEQGQDRLIDLHGLQVSEAIQVVKAELALLMGQLEKAQIMICIGTGHHTKGSREARLPDALEHFLLDEGLHHTQP >ONIVA02G36850.2 pep chromosome:AWHD00000000:2:31878747:31882933:1 gene:ONIVA02G36850 transcript:ONIVA02G36850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPPAALQLIGRRKGSKLPSTVTTLNPNAAEFVPSTFRSPFGSRTVADVSKPDFKGLSGKTNLGRSESSKSNNSDDETHQFWHRQLPDDIIPDFSDMEKVEQQHGELSFSGLSLNAPPFFGTAASNLSREHHGLLSQAGKNLDLGHNDLYYDENSGSNSGKQNHADNLCYTNGKLDLLYDHDPLEYLASQFPGFSVESLAELYCANGCDFDLTVEILTQLEMQVDASSCQNLNLAPNTPNIGTGNFPVLPGTEDLNCLFEGNVGAHGITNRHNSSTMSRTGDFVSAIQRLALQDAGHMKFKNESPKYANGLLSIVARKQYGCDTRSSLGNKFLKANSNVHSAPVSLKTGDAMASMCSESRGEAGDFARIRNTCFEQATQAYMMGNKALAKELSMKGQLYNLQMKAAHETAREAIYQQRNPFSSEQGQDRLIDLHGLQVSEAIQVVKAELALLMGQLEKAQIMICIGTGHHTKGSREARLPDALEHFLLDEGLHHTQP >ONIVA02G36850.3 pep chromosome:AWHD00000000:2:31878747:31882933:1 gene:ONIVA02G36850 transcript:ONIVA02G36850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGFSTKGGDAGSKLPSTVTTLNPNAAEFVPSTFRSPFGSRTVADVSKPDFKGLSGKTNLGRSESSKSNNSDDETHQFWHRQLPDDIIPDFSDMEKVEQQHGELSFSGLSLNAPPFFGTAASNLSREHHGLLSQAGKNLDLGHNDLYYDENSGSNSGKQNHADNLCYTNGKLDLLYDHDPLEYLASQFPGFSVESLAELYCANGCDFDLTVEILTQLEMQVDASSCQNLNLAPNTPNIGTGNFPVLPGTEDLNCLFEGNVGAHGITNRHNSSTMSRTGDFVSAIQRLALQDAGHMKFKNESPKYANGLLSIVARKQYGCDTRSSLGNKFLKANSNVHSAPVSLKTGDAMGNILIQTF >ONIVA02G36850.4 pep chromosome:AWHD00000000:2:31878747:31883737:1 gene:ONIVA02G36850 transcript:ONIVA02G36850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPPAALQLIGRRKGSKLPSTVTTLNPNAAEFVPSTFRSPFGSRTVADVSKPDFKGLSGKTNLGRSESSKSNNSDDETHQFWHRQLPDDIIPDFSDMEKVEQQHGELSFSGLSLNAPPFFGTAASNLSREHHGLLSQAGKNLDLGHNDLYYDENSGSNSGKQNHADNLCYTNGKLDLLYDHDPLEYLASQFPGFSVESLAELYCANGCDFDLTVEILTQLEMQVDASSCQNLNLAPNTPNIGTGNFPVLPGTEDLNCLFEGNVGAHGITNRHNSSTMSRTGDFVSAIQRLALQDAGHMKFKNESPKYANGLLSIVARKQYGCDTRSSLGNKFLKANSNVHSAPVSLKTGDAMGNILIQTF >ONIVA02G36840.1 pep chromosome:AWHD00000000:2:31865723:31878074:1 gene:ONIVA02G36840 transcript:ONIVA02G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGVVSREVLPACERLCFLCPSLRTRSRHPVKRYKKLLSEIFPKSQDEEPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRVEHFGSVKVVMAIYRKVICSCQEQLPLFANSLLNIVEALLEQNRQDDLRTIACRTLFYFVNNQVDSTYMFNLESQIPKLCQLAQEMGEKEKISIVHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSDNDAAIEDRRTQWVSEVLKAEDHEPSGITILTRVPSWKAIRAPRVKNQKVQIFGQGFVCTTLLEYPGKQQQSDEFWKLYFHLEHKNVLKQTDKILDIIEVTTRLAEHSKAQSSTALMAAISDMVRHLSKNMQLLVSDVGPGDGMVMNDRYGKATDECLVQLSRKVGDAGPILDALAVVLENISSTTPVARSTIAATYRTAQIIASLPNLLYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGSSQTSKIGIKRTLSRTTSVFSSSAALFGKLKRDVFSFRENSRLDGTNLIPISEDSDQINGNDPKLFKSQTIQRMYSAKDSFVTSSSEISNLSGTTQETDPVTLMLSSRQAILLLSSLWTQALSPENVPRNYEAISHTYCLMLLFSEDKLSACFFIAKHFITSRFFATITQAFTLYYGNFYACVDPFLRLVEDCKLQVVESCLTVYGSKDDDDLALKSLSNININDQSKQASVSLILNSLKDLSEAELSTIRKQLLEEFSADDACPLGSHSNESTSQSPAYNAKLHQKSLEVIPVGFIFEDDTLVEPANSLAEPQLQQPLDNGLIDVNQLLESVVETSRHVGRLSVSTNLDLPFKEVANRCEALLIGKQQKLSVCMSVHQKQDGESPMDKLGSPQQISPTAGFVSTNDEQCHSDFCKLPVLSPYDKFLAGSGC >ONIVA02G36840.2 pep chromosome:AWHD00000000:2:31865723:31878199:1 gene:ONIVA02G36840 transcript:ONIVA02G36840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGVVSREVLPACERLCFLCPSLRTRSRHPVKRYKKLLSEIFPKSQDEEPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRVEHFGSVKVVMAIYRKVICSCQEQLPLFANSLLNIVEALLEQNRQDDLRTIACRTLFYFVNNQVDSTYMFNLESQIPKLCQLAQEMGEKEKISIVHAAGLQALSSMVWFMGEHSHISAELDNVVSAVLENYESPYANSDNDAAIEDRRTQWVSEVLKAEDHEPSGITILTRVPSWKAIRAPRVKNQKVQIFGQGFVCTTLLEYPGKQQQSDEFWKLYFHLEHKNVLKQTDKILDIIEVTTRLAEHSKAQSSTALMAAISDMVRHLSKNMQLLVSDVGPGDGMVMNDRYGKATDECLVQLSRKVGDAGPILDALAVVLENISSTTPVARSTIAATYRTAQIIASLPNLLYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGSSQTSKIGIKRTLSRTTSVFSSSAALFGKLKRDVFSFRENSRLDGTNLIPISEDSDQINGNDPKLFKSQTIQRMYSAKDSFVTSSSEISNLSGTTQETDPVTLMLSSRQAILLLSSLWTQALSPENVPRNYEAISHTYCLMLLFSEDKLSACFFIAKHFITSRFFATITQAFTLYYGNFYACVDPFLRLVEDCKLQVVESCLTVYGSKDDDDLALKSLSNININDQSKQASVSLILNSLKDLSEAELSTIRKQLLEEFSADDACPLGSHSNESTSQSPAYNAKLHQKSLEVIPVGFIFEDDTLVEPANSLAEPQLQQPLDNGLIDVNQLLESVVETSRHVGRLSVSTNLDLPFKEVANRCEALLIGKQQKLSVCMSVHQKQDGESPMDKLGSPQQISPTAGFVSTNDEQCHSDFCKLPVLSPYDKFLAGSGC >ONIVA02G36830.1 pep chromosome:AWHD00000000:2:31857114:31859435:-1 gene:ONIVA02G36830 transcript:ONIVA02G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTLLVVFSLLHTLVLATSVGVEHATDDVSTYIIHVAHVHAAPPTHASQCMDQHAIAHYTSFLQGILPSHLSEPTPRLVYAYSHAATGFAAKLAKHQATHIVHHPSILAIFPDKRNELQTTLSPSFLGLSPSNGLVQASNDGGTGAVIAVVDTGVYPKNRRSFTADPSLPPPPSTFRGHCISTPSFNATAYCNNKLVGAKYFCRGYEAALGHPIDEMQESKSPLDTEGHGTHTASTAAGSAVPGANLFGYANGTAQGMAVRAHIAIYKVCWAKGCYDSDILAGMDEAIADRVNVISLSLGGRSEQLYNEPTSVGAFNAIRRGIFVSAAAGNDGPDMSTANNLAPWMVTVGASSINRRFPANVILGNGETYVGTSLYSGRNTAASLIPLVYSGDAGSRLCEPGKLSRNIVIGKIVLCEIGYAPAQEAAVQQAGGVGAIVPSRNVYGQFFLSSPDLIPASTVTFADANAIYSYTQSAANPVARIEFRGTMISQSPYAPRVAAFSSRGPNRFVAEILKPDIIAPGIDILAAWTGENSPSSLSIDTRRVEFNIISGTSMACPHVSGIAAMLKVARPDWSPTAIKSAMMTTAYEVDNGGNAIMSSVNGRAAGPFELGSGHVDPNNALDPGLVYNATADDYIAFLCGLGYTPNQIAIFTRDGTTTYCSRRPPIGDLNYPAFSMVFARSGGQVTQRRTVTNVGANTNAVYDVTITAPPGTRLTVAPMRLTFNAQRKTLDYAITLSAGSSNSPYNAWGDIVWSDGQHMVRSPVVATWK >ONIVA02G36820.1 pep chromosome:AWHD00000000:2:31847412:31856761:-1 gene:ONIVA02G36820 transcript:ONIVA02G36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVFYRGLKRASSCSMRTSKSSPSPAPARGNIAVDLRPFLVEFNDGRRWVLVRHETVAASDDKTRSANGVVTKDVVIDDETGVSVRVFLPVDAAVAAAAGDGRRLPLVVYVHGGAFCTGSASARMFHDYAESLSARAAAVVVSVDYRLAPAHPVPAAYDDAWAALRWAASRRRRLSDDTWVGDYADRSVGANIVHNVAVRAGEVFDDDIDIEGMILLQPYFWGTKWLPCETPYACWRTRGSPPMLLPERIDALWPYVTVGAAANNGDDPRIDPSAEAIASLPCRRALESVATEDVLRGRGRRYAAAWGDSGSHRAATLVESKGVDHCFHLLPEFSSHAETGVLMDRVAMFIAKGKTPPPNTRGFGASTINRRFTAHVVLGNGRTYVGTSLYSGNNTAGSLTRLVYGGDAGSDVCEYSKLSDIIGLGNIVLCEYGRNITTPPAQEATVNLAGGVGTIISSRDVYGEFLPTLC >ONIVA02G36810.1 pep chromosome:AWHD00000000:2:31844142:31847360:1 gene:ONIVA02G36810 transcript:ONIVA02G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIHRGIGRAHQRVRRRRLPAGGSQHSKKVRAATQETAPIQPAHRKLEIMRSPEQMAGHAVAPRREAPFRATTRRAVSRRRKKMAVVRLGDGSRRPRRFMGGAPEAAAAVGVLVVAMYRRALRRLRACYAKAIRDVLEGAALVGAVRADAGEMMSHTRTSIYCQMTATGLLTAVVIRADLIAAGLQLGRATLSMAATPRHGRAIDDAKLDAPVVDSDGAWRVFSRPCREDVDTGCYHIRLEDLSRDAVWPTAREGSDPRSRWRLADHGFMMPRNSILATGLVVDRR >ONIVA02G36800.1 pep chromosome:AWHD00000000:2:31833841:31836171:-1 gene:ONIVA02G36800 transcript:ONIVA02G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNFLIVLSLLNAALVATSVGAEQSDEVSTYIVHVVHAHAANTAHLAHAHYTSFLQRILPSHMHGGSAPRLASNGGGTGAVIAILDTGIYPKGRRSFTADSSFPPPPRTFRGHCVSTRSFNATAYCNNKLVGAKFFYKGHEAKMGHLINETQESKSPLDTEGHGTHTASTAAGSVVPGANFVGYANGTAQGMAVRAHIASYKVCWRDDGNASCATSDILAGMNEAIADGVDVISLSLGGLKPQLYNEPTSLGAFNAIRRGIVVSTSAGNDGPGTYTANNLAPWVITVGASSIDRRFPAHVVLGHNRGTYIGTSLYFGQNTAGSFLPLVYGGDAGSALCEYGMLSSNMVTGKIVLCYGTKNTTNPIVQEAAVQQAGGVGAIISIAPEYGDFLQSSADILPTSTITFKDTETIHSYTQSVADPVARIDFLGTVINQSPSAPRVAAFSSRGPNRFAPEILKPDMIAPGVDILAAWTREMSPTMANVIDNRRVEFNIISGTSMACPHVSGIAAMLKVAQPSWSPAAIKSAMMTTAYNVDNDGNAIKDMATGQAAGPFELGSGHVDPNRALDPGLVYNTTADDYITFLCSLGYNSSQIALFTNDGSTTDCLTRPRRSVGDLNYPAFSVVFARSGEQVTQRRALTNVGANTNVVYNVTITAPPGTTLTVTPTRLAFDAQRRTLDYSITVSAGATSSSEHQWGSIVWSDGQHMVRSPVVATWQ >ONIVA02G36790.1 pep chromosome:AWHD00000000:2:31818294:31818497:1 gene:ONIVA02G36790 transcript:ONIVA02G36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRLGDGSRRPRRFMGALRRLRLRWVVAAMYRRALRRLRACYAKAIRDVLEGAALFGAARADAGV >ONIVA02G36780.1 pep chromosome:AWHD00000000:2:31811441:31811827:1 gene:ONIVA02G36780 transcript:ONIVA02G36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVKHTAGHAGARRVKLFRVPRRPARAAEEAGAPLVPAGERRKRKMAVARLGGGAGGRRRLFGAFRRLRVRWLAALYRRSLRRLRAYYAKAVQDLLEGAAAMSTLRSQAAADCSFGTAFAPVVAVGY >ONIVA02G36770.1 pep chromosome:AWHD00000000:2:31804819:31807703:-1 gene:ONIVA02G36770 transcript:ONIVA02G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:Projected from Arabidopsis thaliana (AT3G14067) TAIR;Acc:AT3G14067] MATLRHLAAVLLILFAAASPAAAAAREQSTYILHLAPEHPALRATRVGGGGGAVFLGRLLRLPRHLRAPRPRLLYSYAHAATGVAARLTPEQAAHVEAQPGVLAVHPDQALQLHTTHTPAFLHLTQASGLLPAAAAASGGASSPIVGVLDTGIYPIGRGSFAPTDGLGPPPASFSGGCVSTASFNASAYCNNKLIGAKFFYKGYEAALGHAIDETEEHRVGHGTHIASTAAGSPVTGVEFDYARGQAVGMSPAAYKICWKSGCYDSDILAAMDEAAADGVDVISLSVDAGGYAPSFFRDSIAIGSLHAVSKGIVVSASAGNSGPGEYTATNIAPWILTVGASTIDREFPADVVLGNGQVYGGVSLYSGEPLNSTLLPVVYAGDCGSRLCIIGELDPAKVSGKIVLCERGSNARVAKGGAVKVAGGAGMILVNTAESGEELVADSHLVPATMVGQKFGDKIKYYVQSDPSPTATIVFRGTVIGKSPSAPRVAAFSSRGPNYRAPEILKPDVIAPGVNILAAWTGESAPTDLDIDPRRVEFNIISGTSMSCPHVSGLAALLRQAQPDWSPAAIKSALMTTAYNVDNSGAVIKDLATGTESTPFVRGAGHVDPNRALDPGLVYDAGTEDYVSFLCTLGYSPSIISLFTTDGSVANCSTKFPRTGDLNYAAFAVVLSSYKDSVTYHRVVRNVGSNANAVYEAKIDSPSGVDVTVSPSKLVFDESHQSLSYDITIAASGNPVIVDTEYTFGSVTWSDGVHDVTSPIAVTWPSNGRAASM >ONIVA02G36760.1 pep chromosome:AWHD00000000:2:31796273:31802971:1 gene:ONIVA02G36760 transcript:ONIVA02G36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLVVILLLCSSLLAVAAAAASPPSSTAAGHAGEPDDDVVSTYIVHVMPAHAPRRLPTHRASRRLTRGYASLVRGLLPRHIADPAPRLLYSYAHAATGFAARLTARQAAHLEAQPSIAAVVRDTAYQLHTTWSSDFLNLSPSFGLQAESNGAVDAVIGVIDTGIYPKDRASFAPDPSLPPTPPPTFRGSCVSSFRDSNASAYCNNKLVGAKTFYRGYEAQNGPIDEMVQTNHAVPNANLFGLANGITKGTAPDVRIAMYKVCWEYSIPECITTDILAALDEAIADDVDVISISLATTTLVLCCLLAVAAAAAATWIGHDDQQDNDVSTYIVHVMPAHAPRLATHRIARDHYAPFLCELLLPPHVARPPPRLLYSYAHAATGFAARLTARQAAHLEAHPCVAAVVRDEAYELHTTLSSSFLRLSPSSGLQAESNSATDAVIAVINSTMRPSYQTRLCPQHRLLPFVANMFYEGYERASGKPINETEDSKSPLDTTGHGTHSAAIAAGSPVSDANLFGLANGVAKGTAPGARIAVYKVCWKMGCFGSDVVAGMDEAIADGVDVISLSLAVNRKRTFAQDPTAISGFNAVRKGIVVVASAGSGGPKESTVTNTAPWLLTVGASSMNRQFQTIVVLGDGQTFSGTSLYLGDTDGSMKSLVFGGFAGSAACEIGKLDATKVAGKIVLCEAGQALDAEKGVAVAQAGGFGVIVSSRSSYGEYAKATAHLIPGTTVPNAAALEILRYMARTPYPVGKILFFGTVLSSSPRIASFSARGPSLAAPEILKPDLVAPGVSILAAWSGLVSPTELDVDTRRVKFNILSGTSAACPHVSGVAALLKMARPSWTPAMIMSALTTTAGLDPGLVYDAGVDDYLDVLCALGYSDEDIVGIFLRDGTITNCSTRASTTVADLNRASISVAVKAYGDDITVRRTVRNVGGSVDAVYTVGGVPPPGTQLRIRPSKLVFDAEHQTRTYDVVIRTVSSGSFDEYTHGSIVWSDGAHKVRSPIAVTWPPSQSAAVAAI >ONIVA02G36750.1 pep chromosome:AWHD00000000:2:31794424:31795060:-1 gene:ONIVA02G36750 transcript:ONIVA02G36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGVGIDLLAPTLDLGDYERSQRHRADGGHRRSKADGGRQRTVGGGGGSSCSVRAESVPPAAAVSGHRREQRGGDRRAPIVESQEGQRGIRAAATRTRESPRSGTGDGEAGAGVIQPGGDGDDGEAGARRDGIDCNDDDIQIQAAAWMAEDASTVNRRPAGVGGCGGHELPRRRPPQRRSRQGQVPPYPVPHRRRRDDLDDAGGA >ONIVA02G36740.1 pep chromosome:AWHD00000000:2:31782842:31786112:1 gene:ONIVA02G36740 transcript:ONIVA02G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALESGPGLGGGGGGGGGPRFGRVARCAYAASPPPASVGARSSSSVGRDSDSPAAAAKWEWDGEEVEGGDGEVQSSYKGPFDTMDALQEALPFRKGVCKFYNGKSGSFAKLQDSVIPSPPEKSLPKPENPSPRKRKGLLPFSFKWGKPQNKEVFPEDDVIISPTNCRRMTLSPAATSSSGSNSGSDDEHYRSPKLHTRQPLRRPSNAAMGVFASPPAPRPPQVLSAHMRSHSMLDLQDVTESTAMVSPRDKRRRN >ONIVA02G36730.1 pep chromosome:AWHD00000000:2:31779107:31781661:1 gene:ONIVA02G36730 transcript:ONIVA02G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl hydrolase 9A4 [Source:Projected from Arabidopsis thaliana (AT3G43860) TAIR;Acc:AT3G43860] MKPRSSRDGHNAAAAAALLLAALVLSGDVLPAVVAGGAPSFNYKDALTKSIMFLEAQRSGKLPPTNRIKWRGDSGMEDGKLANVDLTGGYYDAGDNVKYGLPLAFTVTTLAWTAMAFEKELKAAGELENVHAAIRWGTDYFLKAATKKDHLWVQVGDPNADHQCWVRPENMPTPRTLYQINDKTPGSEIAAETAAAMTASSMVFRKDKPYSRRLLNKAKLLFQFAKTHQGTYDGECPFYCSYSGYNDELLWAATWLYLATKRQVYADFIGHEAISSSVAEFSWDLKFPGAQVLLAELNMTSSGGLQSFKSQADNFVCAVLPDTPFHQVSITPGGMIHLRDGANSQYVTSTAFLFVAYSDILRRINQPVMCGAQAVQPARLLQFAKQQIDYLLGANPRGRSYVVGFGVNPPTQPHHRGASTPVLPPGYQVNCGMSFSEWFTPDRPNPNELTGAIMGGPDGGDNFSDKRGNSSCTEPCTYINSLSIGPLAALAIRGPNLIATQ >ONIVA02G36720.1 pep chromosome:AWHD00000000:2:31774494:31778648:1 gene:ONIVA02G36720 transcript:ONIVA02G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDWSIFSKFDHNGGYLHKFPIDSPISHDIGLGLISHFGTLVESSFQHPRHICSTGNGAVQEAFSCFNKFAGAFYFWLSRASNPKIFHRLSAIAGSSSRACQSQIKQVTSCMQHLAGLRFGSQVREEHAIQILLAKLANATFGQLWNEVEERHACNILMLAAATVPPFENISPKMLADSMTLGRDNGRTREPVDQHSLEENHSGCTCVAVPRIILPEDATEPKTGIKFPTLLEDNSNPTSEVLVGMGFRSMRIMRVKNLNLYAFGLYIQPDSICKRLSPKYASVPVSELKDHPDFYEDLLRENIHMTVRLIVSYNGLSIGTVRDAFEKSLCFRLQKMNPNTDYHCLKTFGSYFSEDICIPAGTKIDFRQTSDGQLITERAFFDMYIGDPPVSVETKQDIAQNVGGLIRRCY >ONIVA02G36710.1 pep chromosome:AWHD00000000:2:31772572:31773059:-1 gene:ONIVA02G36710 transcript:ONIVA02G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERAEAGGIRMAGVPALVPANCYLQPATPAVLVIVCSLALFPLHGVTINQACRFQITEKGPKR >ONIVA02G36700.1 pep chromosome:AWHD00000000:2:31768659:31772583:1 gene:ONIVA02G36700 transcript:ONIVA02G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVDAPTVVAGQEEVTDNMLGDKKVTVVFVLGGPGSGKGTQCANIVEHFGFIHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQEAMIKSGNDKFLIDGFPRNEENRAAFENVGRVDDNIETIRKRFKVFVESSLPVIEYYNAKDKVKKIDAAKPIPEVFEDVKAIFAPYVPNALLSGVTTNL >ONIVA02G36690.1 pep chromosome:AWHD00000000:2:31762766:31768301:1 gene:ONIVA02G36690 transcript:ONIVA02G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHERGGAASSAAAPATEEDALFIDLLHEAPLSGHREPRSIVGGTLYCILLAGYAAVAVSAPWIFYLVPDMIPPLLCSSNVILLILTGIFQQYWVHQVRKVRLQGYYDFSEKLKRLARLPFATVASGVASMLLIIVWQPLVHILSVSLLLRIAIVVEAICAGCFMSLYLWHIHKYNSLNGHPDILRSLYSALQLQPSSSLEERRYYDGRFSDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTDVGSTPQADLAHLLASRDQELRALSAEMNQVHSELQLARSLIDEKDSEIQRIRVSNNQYIEENDRLRAILGEWSARAAKLERALEAERISSIELRKNIAKLRGQTYKDQNT >ONIVA02G36680.1 pep chromosome:AWHD00000000:2:31751240:31762702:1 gene:ONIVA02G36680 transcript:ONIVA02G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) TAIR;Acc:AT5G49030] MDAASCCRVFSTQRCRFPLRRLAPPISRRPFGTESTSELFAASTSKRRSRGPVMAAKKAAEGAKQEEGKYKHTVDLPKTTFGLRANSVVREPELQKLWEENQVLKRVSERNTGATFVLHDGPPYANGDLHMGHALNKILKDIINRYKSLDKETLNALTPIKLRQKAAKFAKATVTTQMNSFKRFGVWADWDNPYLTLSPEYEAAQLEVFGQMVMKGYIYRGRKPVHWSPSSRTALAEAELEYSENHISKSMYAAFKITNLSKPGLLEEFLPNLCLAIWTTTPWTIPANAAVAVNPELTYVVVELQSVLESESTSGGNQRKLGSILSPGSQKPFIIVAADLVSALESKWGTKLIIQKSFPGSALEHCRYIHPVNGNECSVVLGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLPIVSPVDDEGNFTAEAGQFSGLSVLGAGNAAVVNYLDEQCSLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMDAIRRVSWIPSQAENRIVAMTSSRSDWCISRQRTWGVPIPVFYHVDSNEPLITEETIEHIKGIVSKKGSDAWWYMTIEELLPEKYRDKASEYRKGTDTMDVWFDSGSSWAAVLAKRDGLNFPADIYLEGSDQHRGWFQSSLLTSIATTGKAPYSSVITHGFVLDEKGFKMSKSLGNVVDPEKVIVGGKNSKQEPGYGADVLRLWVSSVDYTGDVLVGPQILRQMSDMYRKLRGTMRFLLSNLHDWKPENSVRYSDLPKIDKYALFQLENVVTSMKDSYENYQFYKIYQILQRFAIVDLSNFYLDVAKDRLYVGGRVSFTRKSCQTVLSAHLLYLVRAIAPIMPHLAEDVWQNLPFQHTLDDGSVAEFVFNLKWPVKNEEWLSVPKDDVDFLSVILELRSEVNKILESARTGKLIGSSLEAKVYLHAENANTVSKLKELVSATNDADALHRLFITSEVEILPSVSSETTSGVSYTGTFSSERTGNIWIGVTRADGEKCERCWNYTRDVGSFLDHPTLCARCHGVIDLPPVPAAAAVS >ONIVA02G36670.1 pep chromosome:AWHD00000000:2:31750053:31750845:-1 gene:ONIVA02G36670 transcript:ONIVA02G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTLAVKGGGRPGARWGEAEEEEGGAATMAGGDGGGGGGRSGAPRWPKMGPGRGQRGAERHHCASPLSAARRAASSPFNLAASASYRPAPCGSPQCVLVPSALLLIESLQDLLYVFMQRNYHGLEDKSPGCSNFLALVPWTPPQIATASNWSSSDSEKREFFEVPMESDETEVDSMDVEESLEANAAAIDGESLHQWAVATTLHDPIVATKPFSTCYVFKVTE >ONIVA02G36660.1 pep chromosome:AWHD00000000:2:31732333:31735017:-1 gene:ONIVA02G36660 transcript:ONIVA02G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLRCFFGGGGGGDETGGDEEKKASAAAVVKNKKAVRRMRSATGRLRSLSLEDLSRTLAQSGLQAFTLAELKAATRSFSGSNFIGEGGFGPVYKGFIDAKLRPGLLQPQHVAVKYLDGEGDQGHREWLAEVVYLGMLSHPHLVKLIGYCCQDDHRMLVYEYMARGSLEHHLFKNLLSSLPWATRLKIAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRPERLHRVMDPSLEGGYSDKAAGKAAMVAYHCLHSVPKSRPHMRDVVAALEPLLQTSCGDVLAGPFVYTVPSAAAVVVAAMDDEKKAAAAAGEDGEEEVAAAAKAKRRYVASAVHAEGAMRKGEHRYASSVSGSPRQSRDRGG >ONIVA02G36650.1 pep chromosome:AWHD00000000:2:31712872:31730555:1 gene:ONIVA02G36650 transcript:ONIVA02G36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGLKVWVEEKGEGWVEAEVVEVKDRAVFVLTSQRKKITVLAEKLLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNDSRSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAIDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDANGRISGAAIRTYLLERSRVVQINDPERNFHCFYQLCASGKDAELYKLGHPRSFHYLNKSKTYELEGTNNEDEYWKTKRAMDIVGISRNDQDAIFRILAAILHLGNIEFSPGKEIDSSKIKDPTSNFHLRMAAKLFMCDPDLLISTLCTRSINTLEGAIIKALDCSAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGVLDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEKIDWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHHRLEKTKFSETDFVISHYAGKVTYQTESFLEKNRDYIVAEHCNLLSSSRCPLVSGLFGSLPEESLRSSYKFSSVASRFKQQLQALMETLNSTEPHYVRCVKPNSVNRPQMFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMLGSYDERALTKGILEKMKLENFQLGSTKVFLRAGQIAILDMRRAEVLENAARHIQGRFRTFITRKEFVKTREASISIQAYCRGCLARKMYMVKRETAAAIIVQKYVRRWRLHRTYQQAHSAALLIQSCIRGFIARRYFSVIREQKAALVIQSLWRKRKVIILFQQYRQATVKIQCAWRQKLARRELRRLKMAAGEEAKSVEILKRDKMIESLSAECAAAKSDAQSEHDKNRLLQRQLDDSLREITMLRGSKIMTAEAEKENSNLKNLVESLSKRNSSLEYELTSARKGSDDTMKKLKDVEGKCNHLQQNLDKLQEKLTNLENENHVLRQKALNMSPLNNMSMATKAFPQKFATPIGLPNGEQKHGYETPPAAKYLASLPQSLTGSRRTRMPVERQEENHEILLRCIKENLGFKDGKPVAACIIYSCLLHWRAFESERTAIFDHVIEAINNVLKKNLRSNGLFATPSRRSGGPLGIGDKIVQTLRSPSKLMGRIDTLGQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSSRAQPGKASKPPGVGAQPPSNSHWDNIVNFLDLLMSTLHANYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWISDATDEVIHQKRKKTLEEIRQDLCPNLSVRQIYRICSMYWDDKYNTQGISNEVVSAMREEVNKYTQNLVSNSFLLDDDLSIPFSTEDLSMAIPAIDYADVELPESLHHYPSVQLLVKHHDPQPV >ONIVA02G36640.1 pep chromosome:AWHD00000000:2:31708485:31708771:1 gene:ONIVA02G36640 transcript:ONIVA02G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVWTRNRGRKPCRAIWLANNSNAHTPFLSLPYSPGENLASVSNERWRRSTSHPPWGHRFGETFLCKDIVIGLCIGFELQS >ONIVA02G36630.1 pep chromosome:AWHD00000000:2:31707263:31708456:1 gene:ONIVA02G36630 transcript:ONIVA02G36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKHGSMPWGQKKKPARRGELLVICGARGVMALRARRERKWDALSVPVRFSTCCRGRDRDATRPAVRGRRRDALGWDAAFDRARGPSSSSVPFSWAGRRPITGHQCASAGWADAELLLGQDRRTPYVEAGKGSGSGEGGVQVRTRHRQREQLWAVALAESLKGQCC >ONIVA02G36620.1 pep chromosome:AWHD00000000:2:31698847:31706374:1 gene:ONIVA02G36620 transcript:ONIVA02G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERGNKYSRTITTTRAKVAVIHMDVPPLCSSQAKKPTQPIRTAETPAAALVKPQQSVVDAPARTPRLGAMTTTTTTRLLLAAILLAVAAADDDGQTLLEIKKSFRNVDNVLYDWAGDGAPRRYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAIGNLKSVESIDLKSNELSGQIPDEIGDCTSLKTLDLSSNNLGGDIPFSISKLKHLENLILKNNQLVGMIPSTLSQLPNLKILDLAQNKLNGEIPRLIYWNEVLQYLGLRSNNLEGSLSPEMCQLTGLWDLSYNRLTGEIPFNIGFLQVATLSLQGNNFSGPIPSVIGLMQALAVLDLSFNQLSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLELNDNQLTGFIPPELGKLTGLFDLNLANNNLEGPIPDNISSCMNLISLNLSSNYLSGAIPIELAKMKNLDTLDLSCNMVAGPIPSAIGSLEHLLRLNFSNNNLVGYIPAEFGNLRSIMEINHLGGLIPQEVGMLQNLILLKLESNNITGDVSSLINCFSLNVLNVSYNNLAGIVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCYSTSHVQRSSVSRSAILGIAVAGLVILLMILAAACWPHWAQVPKDVSLSKPDIHALPSSNVPPKLVILHMNMAFLVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHGSSKKQKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKAADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPPSKPALPPALPQSSTVPSYVNEYVSLRGGSTLSCENSSSASDAELFLKFGEVISQNTE >ONIVA02G36620.2 pep chromosome:AWHD00000000:2:31698847:31706374:1 gene:ONIVA02G36620 transcript:ONIVA02G36620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPERGNKYSRTITTTRAKVAVIHMDVPPLCSSQAKKPTQPIRTAETPAAALVKPQQSVVDAPARTPRLGAMTTTTTTRLLLAAILLAVAAADDDGQTLLEIKKSFRNVDNVLYDWAGDGAPRRYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAIGNLKSVESIDLKSNELSGQIPDEIGDCTSLKTLDLSSNNLGGDIPFSISKLKHLENLILKNNQLVGMIPSTLSQLPNLKILDLAQNKLNGEIPRLIYWNEVLQYLGLRSNNLEGSLSPEMCQLTGLWDLSYNRLTGEIPFNIGFLQVATLSLQGNNFSGPIPSVIGLMQALAVLDLSFNQLSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLNLANNNLEGPIPDNISSCMNLISLNLSSNYLSGAIPIELAKMKNLDTLDLSCNMVAGPIPSAIGSLEHLLRLNFSNNNLVGYIPAEFGNLRSIMEINHLGGLIPQEVGMLQNLILLKLESNNITGDVSSLINCFSLNVLNVSYNNLAGIVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCYSTSHVQRSSVSRSAILGIAVAGLVILLMILAAACWPHWAQVPKDVSLSKPDIHALPSSNVPPKLVILHMNMAFLVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHGSSKKQKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKAADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPPSKPALPPALPQSSTVPSYVNEYVSLRGGSTLSCENSSSASDAELFLKFGEVISQNTE >ONIVA02G36610.1 pep chromosome:AWHD00000000:2:31690058:31690483:-1 gene:ONIVA02G36610 transcript:ONIVA02G36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWADAIDISTNAFRDFSPNNFQTKMQQMDFRNDPISRLYEKLNAALETMVKAERGGMAWVRVRLVHVKIVSLIKIETM >ONIVA02G36600.1 pep chromosome:AWHD00000000:2:31675378:31686899:-1 gene:ONIVA02G36600 transcript:ONIVA02G36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G35560) TAIR;Acc:AT5G35560] MAWRQARHPATRRATRLGSTQAPSFARAPRAERQIAPQFQQTPTREKKRRGGGGGEGKGRRPPSHSLLVRRVHFDGGGGARARSGERRAEARRSSRGEASKPSPPPPRQEAFRMVDKNDGSEGLKFNTSHLMQTTEEVARAFIAAASAATVQSTRPSVVYSSREESGSPMQKLQQQFSKILKGFSTSPEVSGSYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGSNEEDVKKPRNIFGNNHHQVHALSNLEPQVLFAYPPERPLPLKYKDILSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLTSEKPVFPRRSRYVITTPRCYCIFSRLPFFELHFGVLQSILMEERLEWLTDGELSDTDSSSECRDNQLDLNCKEVQQECVVQDDLVTGTAPQCDTLERPDNCLSENTTADQSGIELHELDSVPVILKESDTTENCGYSLQDDVNDEQLDIFVNDTILPLMRSRLCEGSESSPGSQDSPSEGINFRSDSHESDLEEPSSIGHGDLVGHNNISQWAKAKKYGSLQVVSQYYQLQCPARGSSLTFHPLDHLHPLRFHRPGETVLHIAGSTIDLRSCDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIIIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPNDMMDFLDAPVPYINKTSDVHSRLVNAVVIDANKNQIKSTSVPQLPQQKELLSALRPYHSRLVGESFLARKRPVYECTDAQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPTRERPFMKL >ONIVA02G36600.2 pep chromosome:AWHD00000000:2:31676385:31686899:-1 gene:ONIVA02G36600 transcript:ONIVA02G36600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G35560) TAIR;Acc:AT5G35560] MAWRQARHPATRRATRLGSTQAPSFARAPRAERQIAPQFQQTPTREKKRRGGGGGEGKGRRPPSHSLLVRRVHFDGGGGARARSGERRAEARRSSRGEASKPSPPPPRQEAFRMVDKNDGSEGLKFNTSHLMQTTEEVARAFIAAASAATVQSTRPSVVYSSREESGSPMQKLQQQFSKILKGFSTSPEVSGSYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGSNEEDVKKPRNIFGNNHHQVHALSNLEPQVLFAYPPERPLPLKYKDILSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLTSEKPVFPRRSRYVITTPRCYCIFSRLPFFELHFGVLQSILMEERLEWLTDGELSDTDSSSECRDNQLDLNCKEVQQECVVQDDLVTGTAPQCDTLERPDNCLSENTTADQSGIELHELDSVPVILKESDTTENCGYSLQDDVNDEQLDIFVNDTILPLMRSRLCEGSESSPGSQDSPSEGINFRSDSHESDLEEPSSIGHGDLVGHNNISQWAKAKKYGSLQVVSQYYQLQCPARGSSLTFHPLDHLHPLRFHRPGETVLHIAGSTIDLRSCDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIIIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPNDMMDFLDAPVPYINKTSDVHSRLVNAVVIDANKNQIKSTSVPQLPQQKELLSALRPYHSRLVGESFLARKRPVYECTDAQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPTRERPFMKSPATNS >ONIVA02G36600.3 pep chromosome:AWHD00000000:2:31675378:31686899:-1 gene:ONIVA02G36600 transcript:ONIVA02G36600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G35560) TAIR;Acc:AT5G35560] MAWRQARHPATRRATRLGSTQAPSFARAPRAERQIAPQFQQTPTREKKRRGGGGGEGKGRRPPSHSLLVRRVHFDGGGGARARSGERRAEARRSSRGEASKPSPPPPRQEAFRMVDKNDGSEGLKFNTSHLMQTTEEVARAFIAAASAATVQSTRPSVVYSSREESGSPMQKLQQQFSKILKGFSTSPEVSGSYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGSNEEDVKKPRNIFGNNHHQVHALSNLEPQVLFAYPPERPLPLKYKDILSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLTSEKPVFPRRSRYVITTPRCYCIFSRLPFFELHFGVLQSILMEERLEWLTDGVSLLTSLSPEETCEDDVVCEGTELATEKQYFDGHAVDLEKSSESSVGVCSKELSDTDSSSECRDNQLDLNCKEVQQECVVQDDLVTGTAPQCDTLERPDNCLSENTTADQSGIELHELDSVPVILKESDTTENCGYSLQDDVNDEQLDIFVNDTILPLMRSRLCEGSESSPGSQDSPSEGINFRSDSHESDLEEPSSIGHGDLVGHNNISQWAKAKKYGSLQVVSQYYQLQCPARGSSLTFHPLDHLHPLRFHRPGETVLHIAGSTIDLRSCDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIIIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPNDMMDFLDAPVPYINKTSDVHSRLVNAVVIDANKNQIKSTSVPQLPQQKELLSALRPYHSRLVGESFLARKRPVYECTDAQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPTRERPFMKL >ONIVA02G36600.4 pep chromosome:AWHD00000000:2:31676385:31686899:-1 gene:ONIVA02G36600 transcript:ONIVA02G36600.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G35560) TAIR;Acc:AT5G35560] MAWRQARHPATRRATRLGSTQAPSFARAPRAERQIAPQFQQTPTREKKRRGGGGGEGKGRRPPSHSLLVRRVHFDGGGGARARSGERRAEARRSSRGEASKPSPPPPRQEAFRMVDKNDGSEGLKFNTSHLMQTTEEVARAFIAAASAATVQSTRPSVVYSSREESGSPMQKLQQQFSKILKGFSTSPEVSGSYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGSNEEDVKKPRNIFGNNHHQVHALSNLEPQVLFAYPPERPLPLKYKDILSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLTSEKPVFPRRSRYVITTPRCYCIFSRLPFFELHFGVLQSILMEERLEWLTDGVSLLTSLSPEETCEDDVVCEGTELATEKQYFDGHAVDLEKSSESSVGVCSKELSDTDSSSECRDNQLDLNCKEVQQECVVQDDLVTGTAPQCDTLERPDNCLSENTTADQSGIELHELDSVPVILKESDTTENCGYSLQDDVNDEQLDIFVNDTILPLMRSRLCEGSESSPGSQDSPSEGINFRSDSHESDLEEPSSIGHGDLVGHNNISQWAKAKKYGSLQVVSQYYQLQCPARGSSLTFHPLDHLHPLRFHRPGETVLHIAGSTIDLRSCDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIIIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPNDMMDFLDAPVPYINKTSDVHSRLVNAVVIDANKNQIKSTSVPQLPQQKELLSALRPYHSRLVGESFLARKRPVYECTDAQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPTRERPFMKSPATNS >ONIVA02G36590.1 pep chromosome:AWHD00000000:2:31656610:31657767:-1 gene:ONIVA02G36590 transcript:ONIVA02G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSGRPSGGAGGGRYPFTASQWQELEHQALIYKYMASGTPIPSDLILPLRRSFLLDSALATSPSLAFPPQPSLGWGCFGMGFGRKAEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPPPPSSSATSAASNTSGHATKEVHGEHAFFSDGTEREHHHAAAGHGQWQFKQLGMEPKQSTTPLFPGAGYGHTAASPYAIDLSKEDDDEKERRQQQQQQQQHCFLLGADLRLEKPAGHDHAAAAQKPLRHFFDEWPHEKNSKGSWMGLEGETQLSMSIPMAANDLPITTTSRYHNDE >ONIVA02G36580.1 pep chromosome:AWHD00000000:2:31648941:31651976:-1 gene:ONIVA02G36580 transcript:ONIVA02G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication protein A 1A [Source:Projected from Arabidopsis thaliana (AT2G06510) TAIR;Acc:AT2G06510] MAMAMARLTPNGVAAALAGDTNLKPVLQIVELRGVQVNGAGVTRGERFRAVVSDGTAASSALFAAQLSDHARSGALRRGSIVQLSEYVINDVGPRRIIVILNLEVLVSECEIIGNPTALSETGSPIPNPTRVEQFNGAPQYGLMAGNSSNTTTKPSDNVPLFQNSMAGNSSNFATRPSDKVPVFQPTVQPSYRPAPNYKNHGAIMKNEAPARIIPISALNPYQGRWAIKARVTAKGDIRRYHNAKGDGKVFSFDLLDSDGGEIRVTCFNALLDRFYEVVEVGKVYVVSRGNLRPAQKNYNHLNNEWEILLENGSTVDLCPDEDSSIPTQRFDFRPINEIEDAQNNAILDIIGVVTSVNPCTTIQRKNGMETQKRTMNLKDMSGRSVEVTMWGDFCNREGSQLQGMVERGIFPVLAVKAGKVSDFSGKSVGTISSTQLFINPDSAEAHSLRQWFDSGGRDASTQSISRDITPGASRNEIRKTVAQIKDEGLGMGDKPDWITVKATVIFFKNESFFYTACPNMIGDRQCNKKVTKSTTGNWTCDKCDREFEECDYRYLLQFQIQDHSGTAWVTAFQEAGQELLGCSATELNALKEREDPRFADTMLNCLFQEYLLRLKVKEESYGDERKVKNTAVKVEKVDPSGESKFLLDLISKSSALH >ONIVA02G36570.1 pep chromosome:AWHD00000000:2:31643502:31650675:1 gene:ONIVA02G36570 transcript:ONIVA02G36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKKIPRLVSSAPCAISRLNRGGAPISVWRAEQGEGILVGGPQDALDNRSDDNDFDKNDNSDPVVYQLVRVEGDGTLIPATEDEVLQFETFLHDEKVDDDLPSIDDVTHVEEYFTNDCIVKKPEFEEGPSKLDTADVQTQKLDAGLEEDRLCTLNDSIVLPSNCSAVHDQQLDKLNTEQGANIIAQQDNASTETTKSTVLNDLSSDKEKADACSKPVNEASAGQSVSGVTSSVPDFSILKGEVCLDDLTMRELQEAFRATFGRQTTVKDKLWLKRRIAMGLINSCDVPSSGCVVRDYKVIAMGAKQEIPVVEAIPKMELEANLVRDQVMNPGHERDLPSSLSYHSEEQQRSSKRLKRVPTDNDEPQVTIFAEQGTTKRIRKPTKRYIEELSDIDTHESTGRLSSPGKRHVYDEVLLRPRIAPLHEVDSLSTAYPTREDTLGGCSVHVPYVSRMRRGRPRSNFIPFLDPEPSVECTEAPAADVVNLEKEGERKNHKNTGKKGVHVETSGEKDVQGLQDKDFCNSDDNPKTKRDGKRKHHRAWTLCEVVKLVDGVARYGAGKWSEIRRLAFSSYSYRTSVDLKDKWRNLIRASQTQLSTENDGVCPRKSNPSAIPIPVSILLRVKKLAEMQSQAGDVRVPIKFSGQSTTVVQGKVSGFL >ONIVA02G36560.1 pep chromosome:AWHD00000000:2:31638691:31639602:-1 gene:ONIVA02G36560 transcript:ONIVA02G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHHSGRLAPPRRNRENPSFSAALLDAIYHSLDADGSLPASPADAEGSPVPGRRRRPSQCNNLSPSASSVRSPRLQKTPRPCRVRPDPQPSLLLPPPQPPPLMPESTGDVAEKKRGRRKNKNGAKSAPFACLLNALLCNRRSARSAEPTTPRALAVAPAAVAVTAAEPASARSILSSRASRRQSAATGGILTPARRAVRFSPVAVVVDDGEHGCRDAGVARLRGAEREVAAVQESAAEAERRVEELLRALGVAEESERAKESSESSSDLFELESLPAFDDAELPRPRAAAGLVLARPRPRVC >ONIVA02G36550.1 pep chromosome:AWHD00000000:2:31616837:31620738:-1 gene:ONIVA02G36550 transcript:ONIVA02G36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRGAAAEATAGRSRGGCRRSEQQQLLRAAEAPREEARGSHASPLIVFLFLRLQRRTVDGPMRSTLTFDNNHSVVPSQNIDYGQPMACISYPYNDSGSGVWASYSSRSVFHSQIVGGGTSPRVPLPSLEIADDGPIYVNPKQYHGILRRRQLRAKLEAQNKLVKTRKPYLHESRHRHAMKRARGTGGRFLNTKQLQLQQQSHTTSTKTTTDSQNSSGSVHLRLGGGAIGDQTPFPFKAMDSQANIKRAAASASTFTVTSAAQKDDAFFDRHGHHLSSFSGHFGQASAQGGVGSMHNGSQQRVPAMR >ONIVA02G36540.1 pep chromosome:AWHD00000000:2:31606262:31606632:-1 gene:ONIVA02G36540 transcript:ONIVA02G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIHAALLILAIVLVASSSSPPGGVTAQLETCTEVINREYPTCDSGLCVANCQRQYRGGIGQCVGNKCKCDYSCAFLPPPPPPATARN >ONIVA02G36530.1 pep chromosome:AWHD00000000:2:31603104:31603528:-1 gene:ONIVA02G36530 transcript:ONIVA02G36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESEMKAFHAALLLLLAMVLVASSSPGVMAAKIAGPKYFQDTCSAVLNPGAPSCDSGECATNCPRQYKGGVGQCIGTQCKCVYTCASPAPASN >ONIVA02G36520.1 pep chromosome:AWHD00000000:2:31601276:31601716:-1 gene:ONIVA02G36520 transcript:ONIVA02G36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMTPDPWISLLEEEEESCVSVNKRPKGEDTVTSDGEGEAACANKRLKVEATVTSDGAVVRQRHEAAVAARGYGDRMPLVPQPFLRVRQITEMPDRYRFLDRFTDEQLATMPESLHATLVRIEEGFKKSWVESELRRMEMYKNVI >ONIVA02G36510.1 pep chromosome:AWHD00000000:2:31599729:31600081:-1 gene:ONIVA02G36510 transcript:ONIVA02G36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVQLLLVAIALMSLSSVMVARSADISALTPNCSSVILNPGKACSAAKCNADCSRMYKGTGTCFGPVGCDCEYCPSASAPTATGSKN >ONIVA02G36500.1 pep chromosome:AWHD00000000:2:31586303:31587139:-1 gene:ONIVA02G36500 transcript:ONIVA02G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQDMRSVDSFSQLPFIRPAPPPQQQARDTIRLFGCEFSNDLQLRPTEAGAGSPDAANGSTVTSEGSNGGTKNGGAATAAAERKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQASLAMHRYMPGHMYGLFNYHHHIGGRFDHHPPPPPPPPPPPPAHYPMWTSAAPGAFAGPGSMAQPINGSPVQAGLWSVPPPTENFGSTAGRQGADKLATTVAGTPAAGEVACKDEMVPMSLLSSSPSLSSCSSTSPEMLGRCELGQKEGVSLDLHL >ONIVA02G36490.1 pep chromosome:AWHD00000000:2:31567168:31576195:-1 gene:ONIVA02G36490 transcript:ONIVA02G36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPASRQRRASSAAAAVAVVRSSPQPQQQQLPIPQSGSPTSTTTTTTSSSRLTPELSLDGPASPLFAGLDEDAAPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPSVAYAYDRVFAPTTTTRQVYDVAAQHVVSGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTVESSPCGESNEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEVQASQNKIIDEKSLIKKYQNEIRRLKEELEQLKMGIITGTPVKDAGEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATQTSRFSPHPGPRRRHSFGEEELAYLPYKRRDIVLDNESNELLSPVEGLGMTLEDSKEEKKNRKGILNWFKLRKREGGASILTSSEGDKSSLTKSTAPSTPIGESVNFPSEPRISNSLVGESASVDLFSIGHGEFATDSLHGEETPLASRKTIDHVDLLREQLKILSGEVALHTSVLKRLTEEAGRSPNNEKIQMEMKKVNDEIKGKKHQIASLERQIPHSISNNQGMADKLELTPSYAELLEQLNEKSFDLEVKAADNRVIQDQLNEKTTECMELQEEVAHLKEQLYQALQAKDSLSNSIMMQKNAGINHETDNHADQELSVPREVPGETSPKEPQSVEIDELKQKVCELIEVKAQLETRNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLASELASVRSPTPRRANSGLRGTRRDSISRRHEPAPRRDNNAGYEREKALEAVLMEKEQKEAELQRRIEESKQKEAFLESELANMWVLVAKLKKSQGHDLEDFDTKYIGS >ONIVA02G36480.1 pep chromosome:AWHD00000000:2:31564791:31565258:-1 gene:ONIVA02G36480 transcript:ONIVA02G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGYYDDRGAPSSYRYDARQAADGAGTSFHLFIFLATASLLGAASLYSRCESAVESLFDQVRVVVVLSPLLLLLAMQYWAAAAGGGGRRSGLSSLLMAPLVGEHSGGGGGWYDQRRDGSSPWGVALALALVLLLISYQSCFQDWWFPLVNRRR >ONIVA02G36470.1 pep chromosome:AWHD00000000:2:31559635:31563407:-1 gene:ONIVA02G36470 transcript:ONIVA02G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G77470) TAIR;Acc:AT1G77470] MAGATAATPMDIDAAAPPPGAAAKGKAPLSSTPGGRAAPWVEKYRPQSLGDVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYGNMILELNASDERGIDVVRQQIQDFASARSLSFGAKQSVKMVLLDEADAMTKDAQFALRRVIEKHTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGTHVRERLKHIIQSEGLDVDDGGLTALVRLSNGDMRKALNILQSTHMASKQITEEAVYLCTGNPMPKDIEQIAYWLLNESFSTSFKCISDMKMRKGLALVDIIREVTMFVFKIQMPSDVRIKLINDLADIEYRLSFACNDKLQLGALISTFTGARTAMVAAAH >ONIVA02G36470.2 pep chromosome:AWHD00000000:2:31559635:31563407:-1 gene:ONIVA02G36470 transcript:ONIVA02G36470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G77470) TAIR;Acc:AT1G77470] MAGATAATPMDIDAAAPPPGAAAKGKAPLSSTPGGRAAPWVEKYRPQSLGDVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYGNMILELNASDERGIDVVRQQIQDFASARSLSFGAKQSVKMVLLDEADAMTKDAQFALRRATSSNLPHLTVIEKHTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGTHVRERLKHIIQSEGLDVDDGGLTALVRLSNGDMRKALNILQSTHMASKQITEEAVYLCTGNPMPKDIEQIAYWLLNESFSTSFKCISDMKMRKGLALVDIIREVTMFVFKIQMPSDVRIKLINDLADIEYRLSFACNDKLQLGALISTFTGARTAMVAAAH >ONIVA02G36460.1 pep chromosome:AWHD00000000:2:31556686:31560048:1 gene:ONIVA02G36460 transcript:ONIVA02G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDRRTPLLGGEGGSTRPPSLRRRDSARSLRSTFLSRLPDKVRGGGGDPERPAADVDLTRAKGLSQGEKEYYEKQLATLKIFEEVEALCMPGEFESDAEVLELEDKEQKQSESAMKISNYANIILLVFKVYATIKTGSMAIAASTLDSLLDFLAGGILYFTHLTMKSVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLIQAIEQLVENKAGEKMTPEQLIWLYSIMLSATVVKLALYIYCRSSGNSIVQAYAKDHYFDVVTNVVGLVAAVLGDKFFWWIDPVGAVLLAVYTIVNWSGTVYENAVTLVGQCAPSDMLQKLTYLAMKHDPRVRRVDTVRAYSFGALYFVEVDIELSEDMRLGEAHSIGESLQDKIEKLPEVERAFVHVDFESTHKPEHRVRSRLPSTEP >ONIVA02G36450.1 pep chromosome:AWHD00000000:2:31552997:31554250:1 gene:ONIVA02G36450 transcript:ONIVA02G36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLENLRDMCGDIIERATLARDLMVPIASQLISEDRDRYNDLHRHCVLAQDFTNFLQKLNKVSALDQVRTDMVTFGAIEAIALCERPSNALIVFRDEDSVAITVHRQEEVENGLYFAVPPLHLALPSCFIESKIIKNINTVVDAAEACDCAQPEPELPESYDNGDDHSSWSWRQKVTMEHHFSHQFYAVYRVRTLRTKARSGRSPVDGWSQFH >ONIVA02G36440.1 pep chromosome:AWHD00000000:2:31541674:31545889:1 gene:ONIVA02G36440 transcript:ONIVA02G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPTASSAPRRIESAVSEESHDDRTENEHIIHDHEHRHHHHHHEFMTMNTNMIIMHTSIPMILGVHIIFQGRIMWEPNEPCINKIVFIVNNLKWGRTGETASKIAYSTEGISEKRDSNRWCILQSNQSTT >ONIVA02G36440.2 pep chromosome:AWHD00000000:2:31541720:31545959:1 gene:ONIVA02G36440 transcript:ONIVA02G36440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWITTASTWCLSSTRCSSQSAIWAASHCHRSVPSPLSRITRLLYSSCCAAAACVITYGGSRRRSKDDVPPSSGSSSEEESSIRRPVLTSNRGALLATNSVASASSYTSTPASPPLHATTAHAYSAPARWSLAARQRP >ONIVA02G36440.3 pep chromosome:AWHD00000000:2:31544351:31545761:1 gene:ONIVA02G36440 transcript:ONIVA02G36440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSAEVKEMVRSSTTTIGRASDADDEDAPQVEIRVLVVQQVPRRHERPEEPRLGLSSGPPPLRLIVQCVQDVSARVAASARLHKSTFILSRSTS >ONIVA02G36430.1 pep chromosome:AWHD00000000:2:31540219:31541366:-1 gene:ONIVA02G36430 transcript:ONIVA02G36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLGNLRDLHGDIVERATLARDLLVPITSQLISEGGDMHSLHRRCVLAQGLDFIFQKLDDLQADMVAFGAIEAITLCVILDNTVIVFRDEDSVAIAVHRQEEAKHGLYFAVPPLHLALPICFIKPEIIEVALYPSPPSSEGTIGPTYDAEASAARAMVELNIGLCTISMPLWMRPRHVIVSNENLNLLSPPTTTTTRHGDGGQGPSPKTIIDTEFVLSTKSTLYGPRLGTDGHLWMDGGTITRYTGYTEIDGASVRVVQLSPPNYWMAISEPMKMVANTNSDPI >ONIVA02G36420.1 pep chromosome:AWHD00000000:2:31536890:31538881:-1 gene:ONIVA02G36420 transcript:ONIVA02G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase (MAG) [Source:Projected from Arabidopsis thaliana (AT3G12040) TAIR;Acc:AT3G12040] MKSLPSICTVHPHAHTPTPPPPPVAGGSRPSPMTTTTTSGAPTPPRFKRSPHRKKRQPRTRRVAAGETIAAGKPPHPACTAVSLQSPFGPALPRDFFEVDALDLAPRLLGKLLRRDEVVLRITEVEAYRPNDSACHGRFGITARTAPVFGSGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPGWQLFSSVEASRLISHFYSLDQERLVKLWGFPLTGPTILCTHLVLDGPEPENILVGPRVGIEYASPEHVAAPWRFAVAGTPWISAPKNTLRPRGEG >ONIVA02G36420.2 pep chromosome:AWHD00000000:2:31536991:31538881:-1 gene:ONIVA02G36420 transcript:ONIVA02G36420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase (MAG) [Source:Projected from Arabidopsis thaliana (AT3G12040) TAIR;Acc:AT3G12040] MKSLPSICTVHPHAHTPTPPPPPVAGGSRPSPMTTTTTSGAPTPPRFKRSPHRKKRQPRTRRVAAGETIAAGKPPHPACTAVSLQSPFGPALPRDFFEVDALDLAPRLLGKLLRRDEVVLRITEVEAYRPNDSACHGRFGITARTAPVFGSGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPGWQLFSSVEASRLISHFYSLDQERLVKLWGFPLTGPTILCTHLVLDGPEPENILVGPRVGIEYASPEHVAAPWRFAVAGTPWISAPKNTLRPR >ONIVA02G36410.1 pep chromosome:AWHD00000000:2:31530338:31534264:-1 gene:ONIVA02G36410 transcript:ONIVA02G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRAARRRDLASPLGTLTANAQSAYSANICSRWGSFARAFSVKPTGNEVIGIDLGTTNSCVSVMEGKNPKVIENSEGTRTTPSVVAFNQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFEDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMKETAESYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRSEAIDLAKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADASGAKHLNITLTRSKFESLVNSLIERTREPCKNCLKDAGITTKEVDEVLLVGGMTRVPKVQEIVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMATDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSEAEIQKMVQEAELHSQKDQERKALIDIRNNADTTIYSVEKSLGEYRDKIPAEVATEIETAIADLRSVMTSDDIEKIKANIEAANKAVSKIGQHMSGGGGGAGGSETGGSQGGGEQAPEAEYEEVKK >ONIVA02G36400.1 pep chromosome:AWHD00000000:2:31528117:31528422:-1 gene:ONIVA02G36400 transcript:ONIVA02G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEATSLMISPEIRDAVTKVAVFVLVQALVYLILRSSSSVFSKDGKLRSSMSFRSMRSMSVRRFLAPLSDVPVGTDEPSPSPSSSPSPTLSRRWASRRED >ONIVA02G36390.1 pep chromosome:AWHD00000000:2:31525777:31528715:1 gene:ONIVA02G36390 transcript:ONIVA02G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 [Source:Projected from Arabidopsis thaliana (AT5G06690) TAIR;Acc:AT5G06690] MALIAPSPRVLRAREAPAAGALQPPAAACSTVAGGGGAAGRPLGMWSGGGGGGGGKGRRRERGDGMLRAEAYFWDVSKPVEMEEIDSMEKLDDALRWSVENKQPIIIDWMASWCRKCIYLKPRLEKIAGEFPGVRFYFVDVNKVPQTVVKRGNITLWKDGEWAAEVIGGHKAWLVMDEVREMIQKHK >ONIVA02G36380.1 pep chromosome:AWHD00000000:2:31520520:31522911:1 gene:ONIVA02G36380 transcript:ONIVA02G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPRRRHTAIALTHVEGDTSAHTAGSPPRTTKRGRGVRARRPPLAPHFTPLSLPRLLFFPLFSCPRQLFSSVVIGPSMVVRPQSSAIVATSIQSIISTVCELELEMQCFPISCESFGPCESQLLKVNERIRLHRQPADTQTMLIYHPSFQVQVNIHDFDKQSATFISSATGAITISYIMRICTMCFVQRDRDLGDY >ONIVA02G36370.1 pep chromosome:AWHD00000000:2:31513447:31515953:-1 gene:ONIVA02G36370 transcript:ONIVA02G36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGAWKQSGVADHVGYLGGEGGALVGRARRARLCLYGLALAFGAFAAFLAFAPSLPAPSPSSPAAAWFDGLLASASPYRAQVSGYFSSLFPANSTSPEPPGGAATNRRGSSGGGGFSATGGQAGTNGSSTVVAGEQGRGVEVSSSNAGGVPSGNSPSGNATAAMQSNPPPNDQAGGGAAANNSTTGSAGEAAVPSRSSARNGTMTKDGAPDRINGTDVIASSSGDVTAVKANARNAAGSTHQLGGASAIVDSSNGTAAPSINKTGNAAVATDGNGAAPQRGGAPGKNQTVPNPPAALDQNKSGSRAAASGGSNSTMDATPQGIASNTTEAAVDAGGKKKKTHWIEAMASCDMFYGNWVRDDSYPLYPEGSCPHIDESFNCPLNGRPDNAYQRLRWQPSGCSIPRLNPSDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEVSGRQQFRAEGSYSFLFQDYNCSVEFFRSPFLVQEWEFPVRKGLTKETLRLDMISNSFPRYKNADIIIFNTGHWWTHEKTSLGKDYYQEGNRVYSELNVDDAFQKALITWAKWVDSSVNPKKTTVFFRGYSSSHFSGGQWNSGGSCDKETEPITNEKFLTTYPRKMSILEDVLSGMKTPVVYLNITRMTDYRKEAHPSVYRKQKLTEEEKKSPQIYQDCSHWCLPGVPDSWNELLYAQIMVKQHQMLHQ >ONIVA02G36360.1 pep chromosome:AWHD00000000:2:31508649:31510232:-1 gene:ONIVA02G36360 transcript:ONIVA02G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQSLQALSSLHPSSDPSTAPQPPPRNHGRYWLSVDKVDEEEKKSERLHLHPNADLADDDSSAAMREAAALPLFPQDNAVVECSKIRPRGGAQQGAADGHRSLENGHYSKPDPAIRSTTGERLVNGVVRAMPVAANAKEETKNDGGGGGGAKKRRGPAVLMEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRMRSVTGGRGGAGQLGRTEPRKNTAAAAVAAAPKAAAAVAEPPVVRPC >ONIVA02G36350.1 pep chromosome:AWHD00000000:2:31505850:31506443:-1 gene:ONIVA02G36350 transcript:ONIVA02G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLPSRGAATRPLHQPSRRRVAGVFTARPAAAASSSNVEVIDTTAAAAARGDVAKNRQEWRAAGGLGLGLNLSEDMRRGMMWRMLAPPAAAVAAEAAFLRVLDGAASDAAPAWAGAAGSAVLFAVGLLGFHYGFLSSRWNAAERGSLVGWELAASHWSELSMAKHSSIDDEVDDDEDEEEEDEDEEEVEDEDTD >ONIVA02G36340.1 pep chromosome:AWHD00000000:2:31490735:31502088:1 gene:ONIVA02G36340 transcript:ONIVA02G36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWGSEQRVGAAATVQNPPEMSDQERDDIPMLLRNVEPPTFPSRSTSMCIPVRDDEYEEDTFVPHTGPLFVQPPTQTAAAGIPFTNTPDMPPRPPQGKQVNKPHAIMPEEIGGNRWSYSGNVPKNEHLMMSGPLGQCDDPDCVNCPPACKNKRHFHRGSSTLDSKFHNFLCEHGGGWKKEIERFLSRIPVMNPHAKVVQQWNQFFVISCLVAIFIDPLFFFLLSVQKDNKCIVLNWHFATALAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKIAVRYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSTANYAKNLLRITVLLQYVPRIIRFVPLLGGQSDSSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRNACSASKIPSCDGFIDCGRGINIGKQNQLSRQQWFNDSASTACFDTGDNGFHYGIYEQAVLLTTEDNAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQGGPVEKMVFIVRGKLESISADGSKAPLHEGDVCGEELLTWYLEHSSANRDGGRMRFHGMRLVAIRTVRCLTNVEAFVLRASDLEEVTSQFSRFLRNPRVQGAIRYESPYWRTIAATRIQVAWRYRNRRLKRAGMSKLNDQSYNSALERGARECDARQHGWV >ONIVA02G36330.1 pep chromosome:AWHD00000000:2:31487510:31490823:-1 gene:ONIVA02G36330 transcript:ONIVA02G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-cysteine desulfhydrase [Source:Projected from Arabidopsis thaliana (AT1G48420) TAIR;Acc:AT1G48420] MARGAHQAPGGFWTVAAAPTRCSLPHSLPIPLLAAAAAAAWMAGVSAASTAGKIGSFLSKRPYAPPSWASHLSPAPSQTFSLGHFPTPIHKWNLPNLPNGTEVWIKRDDISGMQLSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAAKYINLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKKLLEEGRKPYVIPVGGSNSLGTWGYIEAIREIEHQIQISGDVQFDDIVVACGSGGTIAGLALGSKLSSLKAKVHAFSVCDDPGYFHSYVQDLIDGLHSDLRSHDLVNIENAKGLGYAMNTAEELKFVKDIATATGIVLDPVYSGKAAYGMLKDMGANPAKWEGRKILFVHTGGLLGLYDKVDELSSLSGSWRRMDLEESVPRKDGTGKMF >ONIVA02G36330.2 pep chromosome:AWHD00000000:2:31487510:31490823:-1 gene:ONIVA02G36330 transcript:ONIVA02G36330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-cysteine desulfhydrase [Source:Projected from Arabidopsis thaliana (AT1G48420) TAIR;Acc:AT1G48420] MARGAHQAPGGFWTVAAAPTRCSLPHSLPIPLLAAAAAAAWMAGVSAASTAGKIGSFLSKRPYAPPSWASHLSPAPSQTFSLGHFPTPIHKWNLPNLPNGTEVWIKRDDISGMQLSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAAKYINLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKKLLEEGRKPYVIPVGGSNSLGTWGYIEAIREIEHQIQISGDVQFDDIVVACGSGGTIAGLALGSKLSSLKAKAKGLGYAMNTAEELKFVKDIATATGIVLDPVYSGKAAYGMLKDMGANPAKWEGRKILFVHTGGLLGLYDKVDELSSLSGSWRRMDLEESVPRKDGTGKMF >ONIVA02G36330.3 pep chromosome:AWHD00000000:2:31487510:31490823:-1 gene:ONIVA02G36330 transcript:ONIVA02G36330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-cysteine desulfhydrase [Source:Projected from Arabidopsis thaliana (AT1G48420) TAIR;Acc:AT1G48420] MARGAHQAPGGFWTVAAAPTRCSLPHSLPIPLLAAAAAAAWMAGVSAASTAGKIGSFLSKRPYAPPSWASHLSPAPSQTFSLGHFPTPIHKWNLPNLPNGTEVWIKRDDISGMQLSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAAKYINLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKKLLEEGRKPYVIPVGGSNSLGTWGYIEAIREIEHQIQISGDVQFDDIVVACGSGGTIAGLALGSKLSSLKAKVHAFSVCDDPGYFHSYVQDLIDGLHSDLRSHDLVNIENAAYGMLKDMGANPAKWEGRKILFVHTGGLLGLYDKVDELSSLSGSWRRMDLEESVPRKDGTGKMF >ONIVA02G36320.1 pep chromosome:AWHD00000000:2:31485895:31487355:1 gene:ONIVA02G36320 transcript:ONIVA02G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03270) TAIR;Acc:AT3G03270] MAAEKRTIGLGMDYSPSSKAAAKWAVDNLVKAGDRIILVHVLPKGADASHKELWKSTGSPLIPLLEFMEMNVQARYGINPDKEVLEILQAESKSKQVEVLAKVYWGDAREKLCEAVDDLKVNTFVLGCRGLGPLKRALLGSVSNYVVNNATCPVTVVRAPTGSNA >ONIVA02G36310.1 pep chromosome:AWHD00000000:2:31480134:31481609:-1 gene:ONIVA02G36310 transcript:ONIVA02G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVAGGRVLRHPRPMPELVVDGRILHRLRHVGAHHRQPLPSSSTSHDGARRRQPRPSSSMSHAGARRRRPHPAPSTPRRSSSPADASFVIHVPRWSSSLTAVSFIIHVPRRSSSQTAASSTVHAASELVAGGRVLPHLDELAKYVLVVLRADGGGEGNTFALYFLICXQEPGTKASSPVVQPSMSHARARCWQPHPPPSTPCQSLSPAAASSVIHAPCRSSSSTAASSTVYATSELITGNRFLRHPRPTMELVADSRVLHHPCPTPELTAASCTVHATSELITGGRFLRHPRPTTELVAVGRRADGGGEGNTFALYFLICCRVRVVLLLPCTGADAAGEELTITGQCVDSAGVGAAAYVSHARALERHCVLQRLLLLFRTCMVRYRYYLNKQGDKRDPVRTEFRCVAFPFSSTPF >ONIVA02G36300.1 pep chromosome:AWHD00000000:2:31469865:31470354:1 gene:ONIVA02G36300 transcript:ONIVA02G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLELVQILRAQPGPFPPRPCRFRLVAGIVGSSIGPQIRPEAPPSRSLRARLSADLLLLALDPLSTDPRAAASSMPEPAATIQGSNSLSAILSLWRTPDALKSGQP >ONIVA02G36290.1 pep chromosome:AWHD00000000:2:31465844:31466329:1 gene:ONIVA02G36290 transcript:ONIVA02G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWPVAEAGEASLGIGVAEAARGGVRDGDDGWWQRHGTGGGTREGGLSAGDGDVWRLGSTPSLRHLEWRRWLVAEAVFGMRAAAGSRLGMGAAASGGGEGGEVVDPVTAVSFARLSCRCHYHPPLPLRVLRCGGGGGGSYAMGGFEFAVEAARSTANSTT >ONIVA02G36280.1 pep chromosome:AWHD00000000:2:31455591:31458231:-1 gene:ONIVA02G36280 transcript:ONIVA02G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDNYHSYYVDDHFVLPPPPPQLDWDWDQLQLHTLGGGGGDAAQDGIHGAFLPAMLGLESPESSSSEASSGYLQDAVAHWSDRCNKRQRMAEAAAPPRRPAAAAANEDLHCLLQSFWDSSSSGGEGGLMHELNIMIPESGSFVSGDEDDASGWEQEQRGPISAAATSAVQVPAAQGGGGGEAADPILHNHNSSPATSRTTTGQGAAQQLQLQKATSAAGAGHAAAAAGRPGRRGNYSCEEHVIVGKQQQQPSPSSRAASASSSRRSSSLTGKEKRDTGVLYPFAVVKPLGLEGGGAATLNDVNQRILKRPARPVRHPVGQFACSPAVYAHGLGLSGKAVVSLTRIRTAGKGTITIIRTRG >ONIVA02G36270.1 pep chromosome:AWHD00000000:2:31446038:31455548:1 gene:ONIVA02G36270 transcript:ONIVA02G36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPEIPPPPPAFAAGGEGDPHAPTTAAARSSSSSSLFPLFPLSAPEESSAPAAGSQWLSNPSFSFDASSLNIPATASSSVPPPLSASSDEEEAPRPAPAKYDLLPYSPSPPASDEERRDRRKDRKRRKRRREKERYNSAEASRKPGVRAWAGSETKLAKDYYFDAKGDQDNLAFGSIYRMDIARYKSQNMPEARGLKRLLFHNLGVSVHMGHESDLDGLDSKARAGGRYYSAKYAVVERNKGFKHLKVLKKDNSAILPEDFIPLGIPSLPENNTTGEQELEESWEDEILRRTREFNKMSRELPHNEKIWLDFARFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKAYGERDSTQNLLDKWEQILMKHPDSWEFSRFKVSDLRKSYAYAVQALSAACTKLCRQDTQYDRLEPKYSSLIHLELGLVDIFVNLCRFEWHTGHRELATALFQAQIEFSLFSPPLHLTTSSKQRLFEHFWNNGGARIGEDGALGWSRWLAKDEESRQNIDIQENTQETERGGWSGWFDPSLRTNSETSKVEPSTSDGNDAENPDDEDPSAQEDVESLLKKLGIDGDADYNSEVKDPKTWNRWSFMELSRDNEQWMPLHEKLGSLYSDDAPTGEDNDQLSRVILFEDITEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWIDRILSLEMITDDIQEDLSTVSNLIDKNQTSVHNKMVSLLGTMHEFSQRPGTAKFLKNAILLFLDVFPRNHILEEAVLVTPQIHTAQEKSLATPATASRALAKNLLKKDRQDLLLCGIYGRIEAMNGDFVKARHIFDLALSTSQGASEDLRKKVPILYFWYAEMELAIYASRNNSDSVDRAIHVLSCLGDKAKYASFDGSISRPQVLKARQGFKEQIRSLRSSFASDGMKEESVALICSASLFESMTSGFASGLEVIEETFYMTPSENNHSLEFEELWMHYIKQLQKNLNQLSLSRVWPKISQGIQTYPYNPKSYAAMLTLGCLYSVSNNLRLTLDKFNQRDPSIIGLLFALSFELCKAGSDNRIHNLFERALTDDKLQKSVLLWRCYLAYEAEIACNASAARRVFFRAIHACPWSKRLWLDGFEKLSSILTLKELSDLQEVMRDKELHIRTDIYEILLQDETDT >ONIVA02G36270.2 pep chromosome:AWHD00000000:2:31446038:31456398:1 gene:ONIVA02G36270 transcript:ONIVA02G36270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPEIPPPPPAFAAGGEGDPHAPTTAAARSSSSSSLFPLFPLSAPEESSAPAAGSQWLSNPSFSFDASSLNIPATASSSVPPPLSASSDEEEAPRPAPAKYDLLPYSPSPPASDEERRDRRKDRKRRKRRREKERYNSAEASRKPGVRAWAGSETKLAKDYYFDAKGDQDNLAFGSIYRMDIARYKSQNMPEARGLKRLLFHNLGVSVHMGHESDLDGLDSKARAGGRYYSAKYAVVERNKGFKHLKVLKKDNSAILPEDFIPLGIPSLPENNTTGEQELEESWEDEILRRTREFNKMSRELPHNEKIWLDFARFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKAYGERDSTQNLLDKWEQILMKHPDSWEFSRFKVSDLRKSYAYAVQALSAACTKLCRQDTQYDRLEPKYSSLIHLELGLVDIFVNLCRFEWHTGHRELATALFQAQIEFSLFSPPLHLTTSSKQRLFEHFWNNGGARIGEDGALGWSRWLAKDEESRQNIDIQENTQETERGGWSGWFDPSLRTNSETSKVEPSTSDGNDAENPDDEDPSAQEDVESLLKKLGIDGDADYNSEVKDPKTWNRWSFMELSRDNEQWMPLHEKLGSLYSDDAPTGEDNDQLSRVILFEDITEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWIDRILSLEMITDDIQEDLSTVSNLIDKNQTSVHNKMVSLLGTMHEFSQRPGTAKFLKNAILLFLDVFPRNHILEEAVLVTPQIHTAQEKSLATPATASRALAKNLLKKDRQDLLLCGIYGRIEAMNGDFVKARHIFDLALSTSQGASEDLRKKVPILYFWYAEMELAIYASRNNSDSVDRAIHVLSCLGDKAKYASFDGSISRPQVLKARQGFKEQIRSLRSSFASDGMKEESVALICSASLFESMTSGFASGLEVIEETFYMTPSENNHSLEFEELWMHYIKQLQKNLNQLSLSRVWPKISQGIQTYPYNPKSYAAMLTLGCLYSVSNNLRLTLDKFNQRDPSIIGLLFALSFELCKAGSDNRIHNLFERALTDDKLQKSVLLWRCYLAYEAEIACNASAARRVFFRAIHACPWSKRLWLDGFEKLSSILTLKELSDLQEVMRDKELHIRTDIYEILLQDETDT >ONIVA02G36260.1 pep chromosome:AWHD00000000:2:31441354:31444223:1 gene:ONIVA02G36260 transcript:ONIVA02G36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G18335) TAIR;Acc:AT1G18335] MATAEKKRPRSSSGGGGGGGVEKRPSRKQILGRKKAVEELIRKAVAVKDHLAQFPDFHKYQRNGLLVYLESGHGNQLPLSTRKYIQNLLKVNMEGQYGPEWPSEEKIKRREMVAPEARYIFVRQSSNAITTQNIMKQDSGLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMVPSAQGKGLGKFLMELIELIACKHEGSADFDGVYMQSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLCLQIGLEKNYEILCKACESEAKSKLEEGN >ONIVA02G36260.2 pep chromosome:AWHD00000000:2:31441354:31444872:1 gene:ONIVA02G36260 transcript:ONIVA02G36260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G18335) TAIR;Acc:AT1G18335] MATAEKKRPRSSSGGGGGGGVEKRPSRKQILGRKKAVEELIRKAVAVKDHLAQFPDFHKYQRNGLLVYLESGHGNQLPLSTRKYIQNLLKVNMEGQYGPEWPSEEKIKRREMVAPEARYIFVRQSSNAITTQNIMKQDSGLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMVPSAQGKGLGKFLMELIELIACKHEGSADFDGVYMQSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLCLQIGLEKNYEILCKACESEAKSKLEEGN >ONIVA02G36260.3 pep chromosome:AWHD00000000:2:31441354:31444891:1 gene:ONIVA02G36260 transcript:ONIVA02G36260.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G18335) TAIR;Acc:AT1G18335] MATAEKKRPRSSSGGGGGGGVEKRPSRKQILGRKKAVEELIRKAVAVKDHLAQFPDFHKYQRNGLLVYLESGHGNQLPLSTRKYIQNLLKVNMEGQYGPEWPSEEKIKRREMVAPEARYIFVRQSSNAITTQNIMKQDSGLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMVPSAQGKGLGKFLMELIELIACKHEGSADFDGVYMQSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLCLQIGLEKNYEILCKACESEAKSKLEEGN >ONIVA02G36250.1 pep chromosome:AWHD00000000:2:31439349:31439588:-1 gene:ONIVA02G36250 transcript:ONIVA02G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNDAGGVAKEAPGPRTERARRRPPMQRVYAFQFMLLGATAIIGAYAEPVSSLPRLFLALVIWLVGYLALFMGIMARD >ONIVA02G36240.1 pep chromosome:AWHD00000000:2:31435928:31436287:-1 gene:ONIVA02G36240 transcript:ONIVA02G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGEGCGADAEGGEVRPEVNGDHLQEHALQQLHLQVDGEEEEVEVLEQVVAGVAAAEAPGPPASSASTVAAEDPPALIGKEAAGAAGKDGGTSGSREAGDGDLGEEREGGGQQPRRRQ >ONIVA02G36230.1 pep chromosome:AWHD00000000:2:31426848:31435667:1 gene:ONIVA02G36230 transcript:ONIVA02G36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDSVIVIKGDLKNLEGYVEKEEDATVHIRSKLPGLLDTLVFNEGDLCNCFNPGDHVKVVSGVQEGATGLVVKVEGHVLIILSDTTKEHIRVFADHVVESSEVTTGLTRIGDYELHDLVLLGNLSFGVIIKVEKEALQILKGEPDKPELVLVKLREIKSKIYRRTSAKDRSSNIVSTKDVVRVIEGACKGKQGSVEHIHRGVLFIYDRHHLEHSGFICARAQSCLLVGGSTGSRRGNGMGTVDPRFGAFRSSARILQSPGRLPPKAPHTNYGRWFGGRDHGGKGHDTLVNRCIKIKSGPYKGYRGRVKEMTGALVRVELDSLMSCS >ONIVA02G36230.2 pep chromosome:AWHD00000000:2:31426848:31435667:1 gene:ONIVA02G36230 transcript:ONIVA02G36230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDSVIVIKGDLKNLEGYVEKEEDATVHIRSKLPGLLDTLVFNEGDLCNCFNPGDHVKVVSGVQEGATGLVVKVEGHVLIILSDTTKEHIRVFADHVVESSEVTTGLTRIGDYELHDLVLLGNLSFGVIIKVEKEALQILKGEPDKPELVLVKLREIKSKIYRRTSAKDRSSNIVSTKDVVRVIEGACKGKQGSVEHIHRGVLFIYDRHHLEHSGFICARAQSCLLVGGSTGSRRGNVCLKLFTSVGCLRRFS >ONIVA02G36230.3 pep chromosome:AWHD00000000:2:31423991:31427391:1 gene:ONIVA02G36230 transcript:ONIVA02G36230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSREEEDEEEVYDSEEEEEEEGEVEERGGKRSRGGGGGGKWSGVESFIDDAASEDEDEEEEEDDDEDYVGGGGGRARKRKRASILIDDMAQVDDEEEEEEDGEFEDGFIDDTRADDPDQDVGRSSRRHPSSMLDEEEDVDALVKLIHDRYIIPSSHFVDDDDGVTEVEQQTLLPSVKDPKLWMVKCAIGHERETAICLMQKSIDTPDLQIKSVLALDHLKNYIYVEAYKEAHVKEACKGVRNIFASRKVTLVPIREMADVLSVQSKSTDISINTWVRMKLGAYKGDLAKVVDVDDVHQKVTVKLIPRIDLQALANKFDGLKVVKEKKSFVPPPKLFSANEAREMNIRVDRRRNRDSGEYYEMVDGLKFKDGFLHKTFSIKSISAHNIQPSFDELEKFREPDNDINEDAASLSTLFTNRKKGHFMKGDSVIVIKGDLKNLEGYVEKEEDATVHIRSKLPGLLDTLVFNEGDLCNCFNPGDHVKVVSGVQEGATGLVVKVEGHVLIILSDTTKEHIRVFADHVVESSEVTTGLTRIGDYELHDLVLLG >ONIVA02G36230.4 pep chromosome:AWHD00000000:2:31433907:31435667:1 gene:ONIVA02G36230 transcript:ONIVA02G36230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNESTKISFGLAINGKFLSLYISLLQPGTPVPQPHEAPTPGSGWAVTPGVSFGDASGKNPSSYATPTPSGQPMTPNPASYLPSTPGGQPMTLGYIEMDIMSPAIGEEGGRNWLLPDVLVNVLREGYDTTCGVVKSVLPDGSCRVALGSSGSGDEITAFPNEFEVVKPKKNDKLKIMSGSWRGLTGKLLGVDGSDGIVKVDGLETTDQTKILDTAILGKLAA >ONIVA02G36220.1 pep chromosome:AWHD00000000:2:31422175:31423054:-1 gene:ONIVA02G36220 transcript:ONIVA02G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKQTHRLVVADVEMEDAVASGTPDMPFEQTTEAGAQLVSYLVHNTSIHPFHLIGSPYNDGGDVSYGWDGEVGIEALDSVNEKVDILDA >ONIVA02G36210.1 pep chromosome:AWHD00000000:2:31415156:31419870:-1 gene:ONIVA02G36210 transcript:ONIVA02G36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSAQGGGGVGVMESGVKRHFFPLTSLQIGDLQSYLAELTIFLCPHTKKFLILLDNRPWLQDQDTKPAHLWQLMVTKSRLSPFANTRTRRKGDETGKKLVFSKDPRHGSHLWNPSSRWYTLIDDAMRNKKLHVNRLKDSRLLNKELHRTLYGFIIFEVDWADVRGMNYFNELQTDTSMAVEAKTMKRWEFESVNQASSLITSWFSGNYSECQLLQDYLNSISPKGNVFYDAQNYFSTPEGDSENVQSEDDDSGPSQCMRESSSFTSSSYTPPPCSGPYKRRKIIRSDAGNNMSEESYSEVVTSPTHSSSPSSSCCSDDDCGKTLLEPSTYKDVLILFRFDDHDLPFRLKEVILSDVRLLTLLEYGLPSWVIFLQSYPVFCKTYRPWMCPLARALYVLMSIVTVLIGFYDLYKNVPMLKATASRLFGPFFDWIETWEMISRLKYLGTMLFLHNFQQAFTWSLKIVTATKSALSVLTKPIMGPILEVLEFTLPLWNLCAETVGHLSSVIMLAMETSCSVVISTMQMIIWPFWLVFSVVLNVANSVLYPFVWLLGEILAAPFRLVVAIASFVADSFVDIVGVLRETWSTLSSLYQVGSASGSTGLASETTIWGSLWKDLLYQIFRAIRSILYGFVAFFSTCNRHRLSIYNHIQVFLRRLSRVLTGAQHTTSCEGTRSKGKLTRDNSGPGNLTCQVTTSFREPMRGINRDSQS >ONIVA02G36200.1 pep chromosome:AWHD00000000:2:31406657:31409183:-1 gene:ONIVA02G36200 transcript:ONIVA02G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGRLRALILAVALPLLFLSASEAGTVGINYGRVANDLPNPAAVVQLMKQQGIAQVKLYDTEPTVLRALANTGIKVVVALPNEQLLAAASRPSYALAWVRRNVAAYYPATQIQGIAVGNEVFASAKNLTAQLVPAMTNVHAALARLSLDKPVKVSSPIALTALAGSYPPSAGVFREDLAQAVMKPMLDFLAQTGSYLMVNAYPFFAYSGNADVISLDYALFRPNAGVLDSGSGLKYYSLLDAQLDAVFTAVSKLGNYNAVRVVVSETGWPSKGDAKETGAAAANAAAYNGNLVRRVLSGNAGTPRRPDADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGGNSAGGGGSSGKDNGGLGWQDNGGVNAGNAPAGAGGGVKATSTGEAWCVANAMAGEERLQKALDYACGPGGADCKAIQPGAACFEPNTMVAHASYAFNDYYQRKGRTIGTCDFAGAAYVVNQAPKMGKCELPSTV >ONIVA02G36200.2 pep chromosome:AWHD00000000:2:31407646:31409183:-1 gene:ONIVA02G36200 transcript:ONIVA02G36200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGRLRALILAVALPLLFLSASEAGTVGINYGRVANDLPNPAAVVQLMKQQGIAQVKLYDTEPTVLRALANTGIKVVVALPNEQLLAAASRPSYALAWVRRNVAAYYPATQIQGIAVGNEVFASAKNLTAQLVPAMTNVHAALARLSLDKPVKVSSPIALTALAGSYPPSAGVFREDLAQAVMKPMLDFLAQTGSYLMVNAYPFFAYSGNADVISLDYALFRPNAGVLDSGSGLKYYSLLDAQLDAVFTAVSKLGNYNAVRVVVSETGWPSKGDAKETGAAAANAAAYNGNLVRRVLSGNAGTPRRPDADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGGNSAGGGGSSGKDNGGLGWQDNGGVNAGNAPAGAGGGVKATSTGEAWCVANAMAGEERLQKALDYACGPGGADCKAIQPGAACFEPNTMVAHASYAFNDYYQRKGRTIGTCDFAGAAYVVNQAPSE >ONIVA02G36190.1 pep chromosome:AWHD00000000:2:31399648:31402311:1 gene:ONIVA02G36190 transcript:ONIVA02G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASFPVINMENLETEERGAAMEVIRDACENWGFFEMLNHGIAHELMDEVERVSKAHYANCREEKFKEFARRTLEAGEKGADVKGIDWESTFFVRHRPVSNLADLPDVDDHYRQVMKQFASEIEKLSERVLDLLCENLGLEKGYLKKAFAGSNGPTFGTKVSSYPPCPRPDLVDGLRAHTDAGGIILLFQDDQVITNGRYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPALAAAEAERADAAAAAYPRFVFEDYMNLYVRHKFEAKEPRFEAMKSAAEVVHAAPIATA >ONIVA02G36190.2 pep chromosome:AWHD00000000:2:31397680:31402011:1 gene:ONIVA02G36190 transcript:ONIVA02G36190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASFPVINMENLETEERGAAMEVIRDACENWGFFEMLNHGIAHELMDEVERVSKAHYANCREEKFKEFARRTLEAGEKGADVKGIDWESTFFVRHRPVSNLADLPDVDDHYRQVMKQFASEIEKLSERVLDLLCENLGLEKGYLKKAFAGSNGPTFGTKVSSYPPCPRPDLVDGLRAHTDAGGIILLFQDDQVSGLQLLKDGEWVDVPPMRHAIVANIGDQLEVITNGRYKSVMHRVLTRPDGNRMSIASFYNPGADAVIFPAPALAAAEAERADAAAAAYPRFVFEDYMNLYVRHKFEAKEPRFEAMKSAAEVVHAAPIATA >ONIVA02G36180.1 pep chromosome:AWHD00000000:2:31397577:31397984:-1 gene:ONIVA02G36180 transcript:ONIVA02G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSPGGVASWIAHVHIVLCIYKLSLIVVVNLCSSSSTTAASTRPQWWLDEGRLDPHLPRPPCRVDLVDVVRFETPPRRGPLDGGRGEAADHRRREEEEELKLEAAGWGEAGPPTVGGEEMWSPGAVWARWEATG >ONIVA02G36170.1 pep chromosome:AWHD00000000:2:31384861:31386728:1 gene:ONIVA02G36170 transcript:ONIVA02G36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRGVQVDLVYAGVCLPWCPTTWSGPQRDRSPHGIRVADEILRLVPDTAAFRTMLRCVKHWAKARGVYSNVAGFLGGIGWAILVARMCQLYPNTSPACCSRASSASLRGRSGPARTTTASSASDATLRVTTEQLAVGDDVCQEIVKAGAMWVGWVESRLRQLSARVEADTSGMLLCHLHPQAPSAVHSPGERGVRAFASIVSKSNHVAVSAN >ONIVA02G36160.1 pep chromosome:AWHD00000000:2:31377272:31380950:-1 gene:ONIVA02G36160 transcript:ONIVA02G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEISPRQRSQQQKEEEGEHQQRAGEEAVGAVFSIEPWVDAAAVLVPPLNFAEVNDGIFRSGFPAADNFAFLLSLKLRSIVYLCPEPYPEENTRFLEQNGIKLHQFGIDGSKELLVNIPEEKIREALKVILDVRNQPVLIHCKRGKHRTGCVVGCLRKLQKWCLTSVFDEYQHFAAAKARSTDQRFMELFDTSSLMHLTASQC >ONIVA02G36150.1 pep chromosome:AWHD00000000:2:31374769:31375722:-1 gene:ONIVA02G36150 transcript:ONIVA02G36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPTPPPPPPPVASSEETASGSDSDESEEEEEEESPLAQPAPVVSNKGAESDSSGEEESEEEEEEDLVRSSATKSRDPPQENREEDDSSDEEEDESSESEKAEAPPPPPLNPAPKQGAEGNGPKVSSPKRQAFHRIWSTEDEVRILEALAAHRREHGSLPQTDALIATLAGSLDNTGYGRKELQGKVSTLKRRYESTAKKKGDLPSKGHDRRLYDLSKSVWGSEAAAAAANGTTTAPREFGEMCELYPHLAEEVKLLEAAHPGLFKRDFGKLDDDKAHALDMKIKKQRIAEISVVLRRGDLTKEVTKVLTELVE >ONIVA02G36140.1 pep chromosome:AWHD00000000:2:31367353:31372247:-1 gene:ONIVA02G36140 transcript:ONIVA02G36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G32950) TAIR;Acc:AT2G32950] MGDSTVAGALVPSVPKQEQAPSGDASTAALAVAGEGEEDAGARASAGGNGEAAADRDLLCPICMAVIKDAFLTACGHSFCYMCIVTHLSHKSDCPCCGNYLTKAQLYPNFLLDKVLKKMSARQIAKTASPIDQFRYALQQGNDMAVKELDSLMTLIAEKKRHMEQQESETNMQILLVFLHCLRKQKLEELNEIQTDLQYIKEDISAVERHRLELYRTKERYSMKLRMLLDEPAASKMWPSPMDKPSGLFPPNSRGPLSTSNPGGLQNKKLDLKGQISHQGFQRRDVLTCSDPPSAPIQSGNVIARKRRVQAQFNELQEYYLQRRRTGAQSRRLEERDIVTINKEGYHAGLEDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSTVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASAINIDMKANICSVKYNPGSSHYVAVGSADHHIHYFDLRNPSAPVHVFGGHKKAVSYVKFLSTNELASASTDSTLRLWDVKENCPVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPAANHRFVSSDLDDADDDPGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAP >ONIVA02G36130.1 pep chromosome:AWHD00000000:2:31356941:31359979:-1 gene:ONIVA02G36130 transcript:ONIVA02G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GDD5] MAASVEYKLAPHPWASNAPSSNLDLFPSGGGKRRSGSETDSDDEDSIPPDWRSLYHPRLEVAEPAVKDPRDEATSDAWVRRHPALVRLTGKHPFNSEPPLPRLMSHGFITPAPLHYVRNHGAVPKADWSTWAVEVTGLVKRPARLTMEQLVTGFEAVELPVTLVCAGNRRKEQNMVRQTVGFNWGPGAISTSVWRGVRLRDVLRWCGVMGASAGAANVCFEGAEDLPGGGGCKYGTSLRREVAMDPARDVILAYMQNGEPLTPDHGFPVRVIVPGFIGGRMVKWLKRIIVASSESESYYHYRDNRVLPSHVDAELANAEAWWYKPEYMINELNINSVITTPGHDEVLPINALTTQRPYTMKGYAYSGGGRKVTRVEVTLDGGETWQVCNLDHPERPTKYGKYWCWCFWSVDVEVLELLAAKEIAVRAWDESLNTQPEKLIWNLMGMMNNCWFRVKTKTCRPHKGEIGLVFEHPTQPGNQTGGWMARQKHLETSESAVSTLKRSTSTPFLNTATTQYTMSEVRRHTTPESAWIIVHGHVYDCTGFLKDHPGGADSILINAGTDCTEEFDAIHSDKARGLLEMYRIGELIVTGSDYSPQSSSADLTSIVESPTAAAAAAAAPAVPVSTVALSNPREKVKCRLMDKKSLSYNVRLFRFALPSPDQKLGLPVGKHVYVCASIGGKLCMRAYTPTSSVDEVGYIELLIKIYFKGEDPKFPDGGLMSQYLDYLPLGATIDIKGPIGHIEYAGRGAFTVNGERRFARRLAMVAGGTGITPVYQVIQAVLRDQPDDGTEMHVVYANRTEDDMLLREEIDRWAAAHPARLKVWYVVSKVARPEDGWEYGVGRVDERTLREHLPPGDGETLALVCGPPAMVECTVRPGLEKMGYDLDKSCLVF >ONIVA02G36120.1 pep chromosome:AWHD00000000:2:31348017:31356309:-1 gene:ONIVA02G36120 transcript:ONIVA02G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAADLLAALSSPSSQSGLTSRFAAYLEPFSPYLPTLNPSAKPPAKRTAKQRKQQPPPPPPDAATVRPLAKRFLPFLCRALQVLPPLLRPNPSSGDAGCTDELLDVYALLLDCLAVISACLAGKPYSVLLQRGRFVCCLESRGHYARAEADAAATLDSLRSVLSVPTASKSRRAATASFASLLPDPGISGEAGADPEVTILAIELTVCFANCASKCKVKEAAPYERVVSLVDQLQPWLRILAEDVSRKYLTLLITHKDAKDDESVDSAPLLYHSLMGCTPPLPTKLVGLILEQELLAYALVESRGTMFCVEMQKRITNILLNKIYCSKEYYLERSRVLVRKARVLRTCGVQSISSCLESLSEAISLLRDIPLDSSQGNAPAIHQLAIAYCLHAHCAQEANLGAEQPSENLVPLLCSLVDLLAMKATVNDQSTFLAGYLYFDLSERLLSRGELFQAFSYGKEALHLRKKLLRKKFKFNFGKFTSGEAQCSGGKNSVSLEAWGSTITEIWPDSTRSTGTRDSFLTPWNVLQCYLDSILQVALLHELIGNGAEAEVLLRTGKDISQFQGLPVFGVLFASALGQIYRKRQQWDTAEGELKYARDLLAQNATFISCKLCKLTLDISLDVQAGDLFWSLYEKDFQKQSAGNLSNALGMYQSALDKLNGTKLESPVDSYDKLKTTCIICSKDGKEPLAANDGVLPSCTVCANFSQASGDHSNEFTALKFLKHKDSECCPPLDVKVKRTTRNSSRLAKEQNVEAHVKTRTRSSKRTAHMKGEKASTELHCKNGLSCSDNLSTDTLVRGKANCILDGVDQSIDYTCSIFGCWNCLFVNTLNSGSIQNILQFRWDCVWHHNHQKPWVLMEDCMELIKFIISIGSAYHCCTLDPFLRIVIELMSIIYLD >ONIVA02G36120.2 pep chromosome:AWHD00000000:2:31342621:31348014:-1 gene:ONIVA02G36120 transcript:ONIVA02G36120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSTGDFLISERAEILYSMSLFLLKGFLSEQSRDICCRFCSVQMSDVVPWLLKAFVLSRENPSLFQEVCRLLACIFLLATIDSTAQLPLYSSGSLSLNHWAAYFHQNSVGTYLDCQYFAGLKSLLRKNDSKAALEDFSNASDESLSNMLEGDFVNVLGEILLLPSYFPAWMMLSRFDSTNKPITMLLPVDAISEETQHEDSCTKELDNLMRAADKNWQCPWGYTIIDYVAPTFRKILEENFISLSSATLTLNDGQANHVKWWSHRMKLNNHLDKMLKDMEESWLGPWKCLLLGYDLTDQHIEEALTNLIAGLESEFKFEVNPVLIKVILGGAMSVDEVQDCVSQLISYKGYFGRGGCCGKDRLRALSSCCIESEALETVECLIKSTVNELTEPVDRDPVIFVLDTNVQMLPWENLPALRNQEIYRMPSIGSVFLALTRSNNYWKDARVIAPPFPAIDPFNAFYLLNPSGDLSSTQEEFDQMFKNYEWKGKAGYAPTAEELVLALRNHDLFLYFGHGSGTQYVSGKEIEKLDNCAAALLMGCSSGTLRCKGCYAPQGAPLSYLSAGSPAVIANLWDVSDKDIDRFSKALLGSWLQENFVAAKNCSKCCQLTREFESMTIAVEGNGRPRRRGTRGKKSERMNNCSKRCTCGNRRVASYLSEARRACRLPLMIGGSPVCYGVPTIIRKK >ONIVA02G36110.1 pep chromosome:AWHD00000000:2:31331996:31343128:1 gene:ONIVA02G36110 transcript:ONIVA02G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDNRIKEESSLLIALLIRLKHPDIDLDASGEVALGFKTVPHFSCTKRDKGTQDNQKEARSMSSKKKRAAIDLSLEAERRRPEEGGGGSDREASDGAAAAAEEDGDVKQREGPKEETGGEEEKVVEVVVDQGEDGSNEEIKYRTQQAEMIEEDKQPAAAANVDDDGGDSDGVGASTEEKHMVTEATGGEGDDGGDSRTPMAQDELSEMQEEMERMKEENRMLRRVVDKTVRDYYELQMKLAAYQQQPAAADEPKETEVFLSLGATAAASAGCGGGFPEAKSKEQAAWRRRSVGSDDSDGGKEDLGLSLSLGASSSYDDDQKAVEARPHDVDGAAAAAMIGGDGSRPAPRGYALLESSKVQGGAAPAAGELAAGGGITSQSVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTTSSSSVSDASAAPSSSYLSPYLLNSASPLLMPGATGGGGGMQHLNLFGNSPSSSSLLAPQAPGSSKYPWSPNHPPLAGAGGNKRPFWSAGGDGDKPAPAALAENVGAVMSDPNKFSAAIAAAINNFMGKDGESSSGKSSTFAWTRSMDCTATPASVPAPARPRREPGCPCLSPFSLSLGLLLSSSSSSSPTPSRPRAHRRRNPADLLRSRIGRSPPGSRSGRGPDMLHVSEEMARSARARRHVARQLRSAPYPIPSYRWKAMKESNRKKTLPAAQKMDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSHRHSNCLDQFKKAYTKGALLEELPANTVGTNLDSTPLIAGEKNESVDLACPLCRGKVKGWTIVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPILEQKWRLLEIERERQDALSTITATMGRAIVFGDYVLDLEDEDDLDDVESDEDDNANGHGTDNTRRMLMFLMRQVARHHQNQRLQNAIGTTGGAEDNYAVSSGANATTPYHYPLEGDDEDDLVMAGGGSTGMVRPERRRRRRRRNRERLFLGAN >ONIVA02G36110.2 pep chromosome:AWHD00000000:2:31331996:31343128:1 gene:ONIVA02G36110 transcript:ONIVA02G36110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDNRIKEESSLLIALLIRLKHPDIDLDASGEVALGFKTVPHFSCTKRDKGTQDNQKEARSMSSKKKRAAIDLSLEAERRRPEEGGGGSDREASDGAAAAAEEDGDVKQREGPKEETGGEEEKVVEVVVDQGEDGSNEEIKYRTQQAEMIEEDKQPAAAANVDDDGGDSDGVGASTEEKHMVTEATGGEGDDGGDSRTPMAQDELSEMQEEMERMKEENRMLRRVVDKTVRDYYELQMKLAAYQQQPAAADEPKETEVFLSLGATAAASAGCGGGFPEAKSKEQAAWRRRSVGSDDSDGGKEDLGLSLSLGASSSYDDDQKAVEARPHDVDGAAAAAMIGGDGSRPAPRGYALLESSKVQGGAAPAAGELAAGGGITSQSVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTTSSSSVSDASAAPSSSYLSPYLLNSASPLLMPGATGGGGGMQHLNLFGNSPSSSSLLAPQAPGSSKYPWSPNHPPLAGAGGNKRPFWSAGGDGDKPAPAALAENVGAVMSDPNKFSAAIAAAINNFMGKDGESSSGKSSTFAWTRSMDCTATPASVPAPARPRREPVHGPGPRRGEQAAKLGVLVFRHFLSRSVCCSPPPRRRLQLRRGLALTAAGIRLTSSAPASGARPPGLGFRRNGKKCKGKEACGSSA >ONIVA02G36110.3 pep chromosome:AWHD00000000:2:31340777:31344644:1 gene:ONIVA02G36110 transcript:ONIVA02G36110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKESNRKKTLPAAQKMDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSHRHSNCLDQFKKAYTKGALLEELPANTVGTNLDSTPLIAGEKNESVDLACPLCRGKVKGWTIVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPILEQKWRLLEIERERQDALSTITATMGRAIVFGDYVLDLEDEDDLDDVESDEDDNANGHGTDNTRRMLMFLMRQVARHHQNQRLQNAIGTTGGAEDNYAVSSGANATTPYHYPLEGDDEDDLVMAGGGSTGMVRPERRRRRRRRNRERLFLGLH >ONIVA02G36100.1 pep chromosome:AWHD00000000:2:31311434:31311974:-1 gene:ONIVA02G36100 transcript:ONIVA02G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKAGARGAGRRLLKAAGMAMVVGTEPAAGTKVVVVVPCGLVVTTAVTVSPRSAPLGRIWRVAGGNTGAGVDGSGDGGAGKESAARRGWRLATARWLGVRQQRLRWW >ONIVA02G36090.1 pep chromosome:AWHD00000000:2:31307703:31309390:-1 gene:ONIVA02G36090 transcript:ONIVA02G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSAAPHIRRGSACRHGSTVSDRTHAGSARATGDGGRSNTHGRTPASPCAMYPNVDTALVTTRSQKLSRY >ONIVA02G36090.2 pep chromosome:AWHD00000000:2:31307451:31309390:-1 gene:ONIVA02G36090 transcript:ONIVA02G36090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSAAPHIRRGSACRHGSTVSDRTHAGSARATGDGGRSNTHGRTPASPCAMYPNVDTALVTTRSQKLSRPQQPKRSENSPRSDQNLAMNMSCSIYGWGVEVNLKIKFRSVIPLLLFMTFKW >ONIVA02G36080.1 pep chromosome:AWHD00000000:2:31301993:31321955:1 gene:ONIVA02G36080 transcript:ONIVA02G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVRGAGGSWEKAESEACVHESARRRGVPMDEKRAARTPRAGARNAVNGGESGCCSEKAARWGWRAPVEVAVVGFVATLTLLVLLYGGTGSLLSFTSPRTEFVRKLAADKRGRRGWAAAGGGVARGGGGAGDGRDGEDKCRPAMEAAEAGSMAWRTAVRGRQRSARTTVRPTVAGAIGAERRGQAGGGGEAGTMGMGGGLVSTFSRMLRSNRMLAGLRLRCTSDSGLVWWRNSSAVVISAEILNRWLHGIDGRRLQLHRAAAAASPSHGAPEDTPESSTRHDDDRLLGGLLSQAFDEHSCRSRYTSSLYRRRSPFRPSTYLVERLRRYEARHKRCGPGSALFQEAVEHLRSGRNAARSECQYVVWTPFNGLGNRMLALASTFLYALLTDRVLLVHAPPEFDGLFCEPFPGSSWTLPADFLITDFDGVFTMWSPTSYKNMRQAGTISNATAEQSLPAYVFLDLIQSFTDAAFCDDDQQVLAKFNWMVIKSDVYFAAMLFLMPAYERELTQLFPEKEAVFHHLARYLFHPSNDVWGIVHRFYEAYLARADELVGLQVRVFPEMPIPFDNMYEQIIRCSEQEGLLPKLGQTVVVTAANGSSVVAPSTKLTSILVTSLFPDYYDRIRGVYHARPTETGEYVAVHQPSHEREQRTEARGHNQRALAEIYLLSFCDRVVTSAVSTFGYIAHGLAGVRPWVLLRPPSPVARAEPACVRSETVEPCLQALPRRMCGAAEGSDIGALVPHIRHCEDVQKGVKLGWPPAGRAAENEARRWGRLRRASARAALVLCSLTMIPVVVVLHRCAVSSSWPDRVFEAKHIAGAGEQALPIETHMERSGGGGADGDEERLPLHHGFETERAAPWAAAESKPPPPPRGRFGRASVRAALAVCFLAIPAVLLLQRWQAGSSPEWLFEIEPPADGDRDMQDDLPDDLTASQYIGYDKFLGGLLQEGFDEVSCRSRYQFARYHKNFTRIPSSYLLERLRRQEALQKKCGPGTKSYKQAVKLLRSSQGVNMTTDCNYLFLTVHAGLGNRMLEIASAFLYALLTNRILLLDRYQEIGDLFCEPFPGTSWLMPSDFPLNYGEFTQSSPESYGNMQQNKVVGDNTDRSLAGFRPPYVFLYLDGNYEFHDKLFFCEDDQQFLQDVPWLIMRTDMYFIPSLFLIPSYQDELSRLFPEKDAVFHHLARYLFHPTNSIWYSVKGYYRSYLAKANKTVGIQIRIFEKEGILQKNGRFPYVLEQILSCAQNEKLLPEISMKDEAEAPTATKNNQTIAVLTTSLSSWYSDQIQKKYSEHPTVDGTRVEVYQPSHEEYQRSKNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLSGLTPWIMFRSENHAMPDPPCRRAMSIEPCFHQAPFYDCKAKRNADLGKMVPFVRHCEDVSWGLKVVLAAKSQMGVWEAELVGKGSEIGLAITLLPLLAVFLLGENVRLAVNDFAKAHQPAGTSDTNTSAFRRRQAQPPAGAQAARGEALPAAADELRRLRHRRRGHLQLCKGVLLNFEDGEGKVWRFRYSCWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSGSAYGPDKLLFIDCKKNNTAAATGDEKPITSGEATRVVRLFGMDITGGGGDCRKRERAVEMGQEAFLMKRQCVHSTPHYTVRSAKAI >ONIVA02G36080.2 pep chromosome:AWHD00000000:2:31301993:31321955:1 gene:ONIVA02G36080 transcript:ONIVA02G36080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVRGAGGSWEKAESEACVHESARRRGVPMDEKRAARTPRAGARNAVNGGESGCCSEKAARWGWRAPVEVAVVGFVATLTLLVLLYGGTGSLLSFTSPRTEFVRKLAADKRGRRGWAAAGGGVARGGGGAGDGRDGEDKCRPAMEAAEAGSMAWRTAVRGRQRSARTTVRPTVAGAIGAERRGQAGGGGEAGTMGMGGGLVSTFSRMLRSNRMLAGLRLRCTSDSGLVWWRNSSAVVISAEILNRWLHGIDGRRLQLHRAAAAASPSHGAPEDTPESSTRHDDDRLLGGLLSQAFDEHSCRSRYTSSLYRRRSPFRPSTYLVERLRRYEARHKRCGPGSALFQEAVEHLRSGRNAARSECQYVVWTPFNGLGNRMLALASTFLYALLTDRVLLVHAPPEFDGLFCEPFPGSSWTLPADFLITDFDGVFTMWSPTSYKNMRQAGTISNATAEQSLPAYVFLDLIQSFTDAAFCDDDQQVLAKFNWMVIKSDVYFAAMLFLMPAYERELTQLFPEKEAVFHHLARYLFHPSNDVWGIVHRFYEAYLARADELVGLQVRVFPEMPIPFDNMYEQIIRCSEQEGLLPKLGQTVVVTAANGSSVVAPSTKLTSILVTSLFPDYYDRIRGVYHARPTETGEYVAVHQPSHEREQRTEARGHNQRALAEIYLLSFCDRVVTSAVSTFGYIAHGLAGVRPWVLLRPPSPVARAEPACVRSETVEPCLQALPRRMCGAAEGSDIGALVPHIRHCEDVQKGVKLGWPPAGRAAENEARRWGRLRRASARAALVLCSLTMIPVVVVLHRCAVSSSWPDRVFEAKHIAGAGEQDMQDDLPDDLTASQYIGYDKFLGGLLQEGFDEVSCRSRYQFARYHKNFTRIPSSYLLERLRRQEALQKKCGPGTKSYKQAVKLLRSSQGVNMTTDCNYLFLTVHAGLGNRMLEIASAFLYALLTNRILLLDRYQEIGDLFCEPFPGTSWLMPSDFPLNYGEFTQSSPESYGNMQQNKVVGDNTDRSLAGFRPPYVFLYLDGNYEFHDKLFFCEDDQQFLQDVPWLIMRTDMYFIPSLFLIPSYQDELSRLFPEKDAVFHHLARYLFHPTNSIWYSVKGYYRSYLAKANKTVGIQIRIFEKEGILQKNGRFPYVLEQILSCAQNEKLLPEISMKDEAEAPTATKNNQTIAVLTTSLSSWYSDQIQKKYSEHPTVDGTRVEVYQPSHEEYQRSKNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLSGLTPWIMFRSENHAMPDPPCRRAMSIEPCFHQAPFYDCKAKRNADLGKMVPFVRHCEDVSWGLKVVLAAKSQMGVWEAELVGKGSEIGLAITLLPLLAVFLLGENVRLAVNDFAKAHQPAGTSDTNTSAFRRRQAQPPAGAQAARGEALPAAADELRRLRHRRRGHLQLCKGVLLNFEDGEGKVWRFRYSCWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSGSAYGPDKLLFIDCKKNNTAAATGDEKPITSGEATRVVRLFGMDITGGGGDCRKRERAVEMGQEAFLMKRQCVHSTPHYTVRSAKAI >ONIVA02G36080.3 pep chromosome:AWHD00000000:2:31301993:31321955:1 gene:ONIVA02G36080 transcript:ONIVA02G36080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVRGAGGSWEKAESEACVHESARRRGVPMDEKRAARTPRAGARNAVNGGESGCCSEKAARWGWRAPVEVAVVGFVATLTLLVLLYGGTGSLLSFTSPRTEFVRKLAAAAASPSHGAPEDTPESSTRHDDDRLLGGLLSQAFDEHSCRSRYTSSLYRRRSPFRPSTYLVERLRRYEARHKRCGPGSALFQEAVEHLRSGRNAARSECQYVVWTPFNGLGNRMLALASTFLYALLTDRVLLVHAPPEFDGLFCEPFPGSSWTLPADFLITDFDGVFTMWSPTSYKNMRQAGTISNATAEQSLPAYVFLDLIQSFTDAAFCDDDQQVLAKFNWMVIKSDVYFAAMLFLMPAYERELTQLFPEKEAVFHHLARYLFHPSNDVWGIVHRFYEAYLARADELVGLQVRVFPEMPIPFDNMYEQIIRCSEQEGLLPKLGQTVVVTAANGSSVVAPSTKLTSILVTSLFPDYYDRIRGVYHARPTETGEYVAVHQPSHEREQRTEARGHNQRALAEIYLLSFCDRVVTSAVSTFGYIAHGLAGVRPWVLLRPPSPVARAEPACVRSETVEPCLQALPRRMCGAAEGSDIGALVPHIRHCEDVQKGVKLGWPPAGRAAENEARRWGRLRRASARAALVLCSLTMIPVVVVLHRCAVSSSWPDRVFEAKHIAGAGEQALPIETHMERSGGGGADGDEERLPLHHGFETERAAPWAAAESKPPPPPRGRFGRASVRAALAVCFLAIPAVLLLQRWQAGSSPEWLFEIEPPADGDRDMQDDLPDDLTASQYIGYDKFLGGLLQEGFDEVSCRSRYQFARYHKNFTRIPSSYLLERLRRQEALQKKCGPGTKSYKQAVKLLRSSQGVNMTTDCNYLFLTVHAGLGNRMLEIASAFLYALLTNRILLLDRYQEIGDLFCEPFPGTSWLMPSDFPLNYGEFTQSSPESYGNMQQNKVVGDNTDRSLAGFRPPYVFLYLDGNYEFHDKLFFCEDDQQFLQDVPWLIMRTDMYFIPSLFLIPSYQDELSRLFPEKDAVFHHLARYLFHPTNSIWYSVKGYYRSYLAKANKTVGIQIRIFEKEGILQKNGRFPYVLEQILSCAQNEKLLPEISMKDEAEAPTATKNNQTIAVLTTSLSSWYSDQIQKKYSEHPTVDGTRVEVYQPSHEEYQRSKNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLSGLTPWIMFRSENHAMPDPPCRRAMSIEPCFHQAPFYDCKAKRNADLGKMVPFVRHCEDVSWGLKVVLAAKSQMGVWEAELVGKGSEIGLAITLLPLLAVFLLGENVRLAVNDFAKAHQPAGTSDTNTSAFRRRQAQPPAGAQAARGEALPAAADELRRLRHRRRGHLQLCKGVLLNFEDGEGKVWRFRYSCWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSGSAYGPDKLLFIDCKKNNTAAATGDEKPITSGEATRVVRLFGMDITGGGGDCRKRERAVEMGQEAFLMKRQCVHSTPHYTVRSAKAI >ONIVA02G36080.4 pep chromosome:AWHD00000000:2:31298704:31302213:1 gene:ONIVA02G36080 transcript:ONIVA02G36080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKRARSPRAPGVDADDDKKRAAEWRGAVRPHMVLVGFLITLPVLVFVFGGRWGSFQTTSAPNVGGRHVVPGGVTTTQKNEAPKNVSVPATATKSLPQPQDKLLGGLLSAAFEESSCQSRYKSSLYRKKSPFPLSPYLVQKLRKYEAYHKKCGPGTKRYRKAIEQLKAGRNADNAECKYVVWFPCNGLGNRMLTIASTFLYALISNRVLLMHVAAEQEGLFCEPFPGSSWVLPGDFPHNNPQGLHIGAPESYVNMLKNNVVRNDDPGSVSASSLPPYVYLHVEQFRLKLSDNIFCDEDQLILNKFNWMILKSDSYFAPALFMTPMYEKELEKMFPQKESVFHHLGRYLFHPTNKVWGIVSRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLTRCIREQRLLPELGTAEPANTTAEAGKVKAVLIASLYSGYYEKIRGMYYENPTKTGEIVAVYQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMSAWSTFGYVAYSFAGVKPWILLRPDWDKERSEVACVRSTSVEPCLHSPPILSCRAKKEVDAATVKPYVRHCEDVGFGLKLFDS >ONIVA02G36070.1 pep chromosome:AWHD00000000:2:31287264:31288613:1 gene:ONIVA02G36070 transcript:ONIVA02G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNKYSAGAPPTAPPPATYQLPTMNTPRTGGGLTRWSTGLFHCMDDPGNCLITCVCPCITFGQVADIVDKGTCPCLASGTAYALLCASGMGCLYSCFYRSKMRAQFDLDEGDCPDFLVHFCCEYCALCQEYRELKNRGFDLGIGWAANVDRQRRGVTGASVMGAPGVPVGMMR >ONIVA02G36060.1 pep chromosome:AWHD00000000:2:31282735:31283037:-1 gene:ONIVA02G36060 transcript:ONIVA02G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYHRALPQGETTVEEFRAWLSQFDANGDGRIGREELERALRSLNLWFAWWKAREAMREADANRNGVVDRDEMVRLYAFAQRHLHLKMNDLDDVASY >ONIVA02G36050.1 pep chromosome:AWHD00000000:2:31265695:31280236:-1 gene:ONIVA02G36050 transcript:ONIVA02G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of RAD54 [Source:Projected from Arabidopsis thaliana (AT3G19210) TAIR;Acc:AT3G19210] MPSTSKCNRISRVADEEEEEEIVAVSSDADESESESEVGSGAEEEDDDYVGESSDAAGGSGSGSGDGDGDEEGGRGDIGDGEGEGGGRRVRSACRGVRANDRERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCQNGYSENNEQLARRLSARKRFVPWGSVQPFAVTNILPQSPAVSSDDSVEKEESLPPGIEPLILWQPEGCDKENSNFSAIKVDHLLVRYLRPHQREGVQFMFDCVSGLLNDDGISGCILADDMGLGKTLQSITLLYTLLCQGFDAKPMVKRAVVVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSRLQVLIVSYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCKRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDATYFRRYYEAPIICGREPTASAEEKNLGSERSAELSAKVNLFILRRTNALLSNHLPPKIVEVVCCKLTALQTALYNHFIHSKNVKRLISEGTKQSKVLAYITALKKLCNHPKLIYDTIKSNNSGGSGFDDCLRFFPPELFSGRSGSWTGGGGMWVELSGKMHVLARLLGHLHLKTDDRIVLVSNYTQTLDLFAQLCRERRYPYIRLDGATSINKRQKLVNQFNDPSRDEFVFLLSSKAGGCGLNLVGGNRLILFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQADGKMQGSSLSTEDLRDLFTFHEQVRSEIHENLKCNRCNKDGCMVLDGSKFDSAATEHEASNSGENSYIDIGGFGAISGCVQKMNSSNQQVSFVFTNQIDGKLVPVESMARAATHRTHEVTVNAEKEVGKINSSNVPGTERQSLLGKNLKMMGFNLKNSSMKFPTKSRRMLPNCLQGMNKTSTSSDHQQTKKLHVISDASDDDFALLVPNPGQESYHRPTPCKAARLYVEKGEHEREQKRARRGHDHHQSVKMACINMYNPDGGAAFGGGQPPALGPRISFSSDFVVEPPPPVQNRAMNLRCQEEDINFEFSVGSHPMMAADQLFSKGRILPLKDGGFSSGRPPTTLRDELRCDDRASAKGSSRWKEMLGLRKPLCVGGVNGTAKKSTTVDTEMVTDVADSKQVSEP >ONIVA02G36050.2 pep chromosome:AWHD00000000:2:31265695:31280236:-1 gene:ONIVA02G36050 transcript:ONIVA02G36050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of RAD54 [Source:Projected from Arabidopsis thaliana (AT3G19210) TAIR;Acc:AT3G19210] MPSTSKCNRISRVADEEEEEEIVAVSSDADESESESEVGSGAEEEDDDYVGESSDAAGGSGSGSGDGDGDEEGGRGDIGDGEGEGGGRRVRSACRGVRANDRERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCQNGYSENNEQLARRLSARKRFVPWGSVQPFAVTNILPQSPAVSSDDSVEKEESLPPGIEPLILWQPEGCDKENSNFSAIKVDHLLVRYLRPHQREGVQFMFDCVSGLLNDDGISGCILADDMGLGKTLQSITLLYTLLCQGFDAKPMVKRAVVVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSRLQVLIVSYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCKRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDATYFRRYYEAPIICGREPTASAEEKNLGSERSAELSAKVNLFILRRTNALLSNHLPPKIVEVVCCKLTALQTALYNHFIHSKNVKRLISEGTKQSKVLAYITALKKLCNHPKLIYDTIKSNNSGGSGFDDCLRFFPPELFSGRSGSWTGGGGMWVELSGKMHVLARLLGHLHLKTDDRIVLVSNYTQTLDLFAQLCRERRYPYIRLDGATSINKRQKLVNQFNDPSRDEFVFLLSSKAGGCGLNLVGGNRLILFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQADGKMQKICVIFLPSMNKSEIHENLKCNRCNKDGCMVLDGSKFDSAATEHEASNSGENSYIDIGGFGAISGCVQKMNSSNQQVSFVFTNQIDGKLVPVESMARAATHRTHEVTVNAEKEVGKINSSNVPGTERQSLLGKNLKMMGFNLKNSSMKFPTKSRRMLPNCLQGMNKTSTSSDHQQTKKLHVISDASDDDFALLVPNPGQESYHRPTPCKAARLYVEKGEHEREQKRARRGHDHHQSVKMACINMYNPDGGAAFGGGQPPALGPRISFSSDFVVEPPPPVQNRAMNLRCQEEDINFEFSVGSHPMMAADQLFSKGRILPLKDGGFSSGRPPTTLRDELRCDDRASAKGSSRWKEMLGLRKPLCVGGVNGTAKKSTTVDTEMVTDVADSKQVSEP >ONIVA02G36040.1 pep chromosome:AWHD00000000:2:31256750:31258583:1 gene:ONIVA02G36040 transcript:ONIVA02G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKFRGATGEELAAMEVTQVVGVRTRSRSAAAAGATTTKVQAASAASTRRRKALLPTAVVGTTRRDGGSCYLQLRSRMLFMAPPRPAPAARAPVVAEAAGSGNGAAAHAAAGLSRCSSTASSVDAAAQDRSLACRSDVAEAGSEHVPEGSASDSASGRDRERRETTPSSFLPGEVSDLESDLAGGQKRSRPLPSAATASAQQATRPKIPPAAEIEAFFAAAEEAEAKRFAAKYNFDVVRGVPLDAGRFEWTPVVSSRS >ONIVA02G36030.1 pep chromosome:AWHD00000000:2:31243574:31247250:-1 gene:ONIVA02G36030 transcript:ONIVA02G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GDB7] MVACNPRFLWAPLLHRSNRPTEKPPSVPRTASPRRRPDARSIDAERPKRRPLDTLSPVAPRGLAAEGWEAEAGAPSTELRVPLKPIPSTDHAASRKIFCPSQLPMDSAVDGPRQPPARAGSRLCTRCGERKAALKRPKTLEQICRECFYVVFEDEIHQTIVDNNLFKPGDRVAIGASGGKDSTVLAYVLSELNRRHKYCLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEILDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTKGIAGGDGDCEQQATRSERNRSSLQGKHGNFDF >ONIVA02G36020.1 pep chromosome:AWHD00000000:2:31237114:31242883:-1 gene:ONIVA02G36020 transcript:ONIVA02G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GDB4] MAAAAPTGCYKCGRPGHWSRDCSSEPAGAGAASTDNPNPNPNPKPSASRFAPYPRPRFGKSAAAAAAAEGEDGSGGQAQGKKKKKERATRPKLTPDLLLSDDGLGFVLRYFPKAFKPRARPGHEVEDLGNLIKLYTDWHSRLIPYYSFDQFVRKVEKVGASNRVRRCVSELRDRVARGGDPTLLHEPPVEVIPEGEPDGATAEDPIFGTEVPVTENHGVDQVQEDIDIPVESNDVDPMQEDLLNEIYNKEADEPQIPAVGGTAEETTPAMAPKEAKPQDDPPREAQNQPGKIQLTEEQRARMEANRLRALERAAAARGASAGVNKQLSSHPDEPATEIESEVPTPNHCSLPRDKEVIEANMAALPLATAEVCDANSNPIIAGELRALPPIFKIYGRRQVFAGPVATVKVFEDNVLVRELLQEKGHGRVLVVDGGGSVRCALLGGNLAQLAQINGWVGIVVNGCIRDVDEINGCDVGVRALNSHPMKSGKKGAGEKRVPVTIEGTRICDGEWLYADADGILISRTELTV >ONIVA02G36020.2 pep chromosome:AWHD00000000:2:31239732:31242883:-1 gene:ONIVA02G36020 transcript:ONIVA02G36020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GDB4] MAAAAPTGCYKCGRPGHWSRDCSSEPAGAGAASTDNPNPNPNPKPSASRFAPYPRPRFGKSAAAAAAAEGEDGSGGQAQGKKKKKERATRPKLTPDLLLSDDGLGFVLRYFPKAFKPRARPGHEVEDLGNLIKLYTDWHSRLIPYYSFDQFVRKVEKVGASNRVRRCVSELRDRVARGGDPTLLHEPPVEVIPEGEPDGATAEDPIFGTEVPVTENHGVDQVQEDIDIPVESNDVDPMQEDLLNEIYNKEADEPQIPAVGGTAEETTPAMAPKEAKPQDDPPREAQNQPGKIQLTEEQRARMEANRLRALERAAAARARASQPA >ONIVA02G36020.3 pep chromosome:AWHD00000000:2:31237114:31238660:-1 gene:ONIVA02G36020 transcript:ONIVA02G36020.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GDB4] MNASCVESFILVPDEKKAQTNMAALPLATAEVCDANSNPIIAGELRALPPIFKIYGRRQVFAGPVATVKVFEDNVLVRELLQEKGHGRVLVVDGGGSVRCALLGGNLAQLAQINGWVGIVVNGCIRDVDEINGCDVGVRALNSHPMKSGKKGAGEKRVPVTIEGTRICDGEWLYADADGILISRTELTV >ONIVA02G36010.1 pep chromosome:AWHD00000000:2:31235750:31248277:1 gene:ONIVA02G36010 transcript:ONIVA02G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAAGPPNNESSGGDGNNEWQLRGVCNDGGLSTVVEATSSGDGKLISNGAFMSTTNCNGTTYFGSSWLDPPFLRPDLVTALTRVPWMAIQGGCPKQVNRGGKKGQRIGESEKRIRFRNHTGWRRGPWRGWVSRADHPELGARGSSLRLPPFRREPARRDRRQRVEGSALRPFGVDASGVRAASRTRGSGDGGRLLGWTVGPVEEWSPKKSRNMTII >ONIVA02G36000.1 pep chromosome:AWHD00000000:2:31232513:31234486:-1 gene:ONIVA02G36000 transcript:ONIVA02G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GDB2] MEFGSCEIRGKCRGLCAKSSEDSSPTFARRRGHEETLTLTLLPGITCCARARPRPRPRGRSGWWVIRCPPPPATSPAPLLPIFTNTIPTSDPDRANHHPQSDPSPDWPGQIAADPTPRNPLPRPRASRTESNMAALPLATAEVCDANAHLIMNGELRALQPVFQVYGRRQVFAGPIVTLKVYEDNVLVREFLEEKGQGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGIGEKHVPVTIAGTRICDGEWLYADTDGILISRTELTV >ONIVA02G35990.1 pep chromosome:AWHD00000000:2:31225727:31229764:-1 gene:ONIVA02G35990 transcript:ONIVA02G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine aminopeptidase 1D [Source:Projected from Arabidopsis thaliana (AT4G37040) TAIR;Acc:AT4G37040] MATSSSPRLLSSFLGDRLLSASARPLLRGAAPGSRRAAYQATRTLCNLVDILFNRGQSDKPEDNPRRLRPGKVSPRLSVPKHIQRPPYVNARQRPGLHNGPEIHDERGIECMRASGKLAAQVLKFAGTLVEPGITTDEIDKAVHQMIVDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNRVFLCISSLYLHKCQSMTMVAGQLGYHGDTSATFLCGNVDDKAKKLVQVTRECLDKAISICAPGVEIKRIGRTIQDHADKFKFGVVRQFVGHGVGQVFHAEPVVLHFRNNEWGRMTLNQTFTIEPMLTVGSVNPVIWSDDWTAVTEDGSLSAQFEHTILITEDGAEILTQC >ONIVA02G35990.2 pep chromosome:AWHD00000000:2:31225727:31229764:-1 gene:ONIVA02G35990 transcript:ONIVA02G35990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine aminopeptidase 1D [Source:Projected from Arabidopsis thaliana (AT4G37040) TAIR;Acc:AT4G37040] MATSSSPRLLSSFLGDRLLSASARPLLRGAAPGSRRAAYQATRTLCNLVDILFNRGQSDKPEDNPRRLRPGKVSPRLSVPKHIQRPPYVNARQRPGLHNGPEIHDERGIECMRASGKLAAQVLKFAGTLVEPGITTDEIDKAVHQMIVDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNRVFLCISSLYLHKCQSMTMVAGQLVITYPSLGLGFVQPMVEIRHGIFMCILSPICLCTVIDMGYHGDTSATFLCGNVDDKAKKLVQVTRECLDKAISICAPGVEIKRIGRTIQDHADKFKFGVVRQFVGHGVGQVFHAEPVVLHFRNNEWGRMTLNQTFTIEPMLTVGSVNPVIWSDDWTAVTEDGSLSAQFEHTILITEDGAEILTQC >ONIVA02G35990.3 pep chromosome:AWHD00000000:2:31225727:31229764:-1 gene:ONIVA02G35990 transcript:ONIVA02G35990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine aminopeptidase 1D [Source:Projected from Arabidopsis thaliana (AT4G37040) TAIR;Acc:AT4G37040] MATSSSPRLLSSFLGDRLLSASARPLLRGAAPGSRRAAYQATRTLCNLVDILFNRGQSDKPEDNPRRLRPGKVSPRLSVPKHIQRPPYVNARQRPGLHNGPEIHDERGIECMRASGKLAAQVLKFAGTLVEPGITTDEIDKAVHQMIVDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGNVDDKAKKLVQVTRECLDKAISICAPGVEIKRIGRTIQDHADKFKFGVVRQFVGHGVGQVFHAEPVVLHFRNNEWGRMTLNQTFTIEPMLTVGSVNPVIWSDDWTAVTEDGSLSAQFEHTILITEDGAEILTQC >ONIVA02G35980.1 pep chromosome:AWHD00000000:2:31215713:31224467:-1 gene:ONIVA02G35980 transcript:ONIVA02G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVARAASFGGRAATARWCSYRRITVAVCLGNLVAALLVLRSLTSLAPTPPKREEVVEYTEEQIRKAEESIRIRREAEPVELVEAVKNLRKIFRREEKRRKELPLELKQKVSYEIVQLLLDLGDNSSFAQQLEAVESWRFEKLKDIKSASIQNSTKLDLSNEEARTLKRALQFNWHVLLEDIGLWIPSEVSHTEHDDKPENEPEEEEIIAGPPLPSQCNAELHTDYDGAAVRWGLTHPKESAADCCQACLDQAKNARPGELRCNIWVYCPSEFGCFSPDKYEHKHQECWLKQADHPKLNFKDKYSESYRDSHPTAPVVVPWMSGVISA >ONIVA02G35970.1 pep chromosome:AWHD00000000:2:31213880:31214347:-1 gene:ONIVA02G35970 transcript:ONIVA02G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGFGRGGQGWSPFDAIRGFPSTPEALMSQIDAAIAATEYARSCAQLDPATASSEPQQAAPPPGGEARVEGEASAAAACYDAKVADEAYRAACAALGAGRADAAVRSLRVALASCPPEKAAAVAKVRSMLAIASAQLHKQQHQAQQQLQRGVRK >ONIVA02G35960.1 pep chromosome:AWHD00000000:2:31206337:31214682:1 gene:ONIVA02G35960 transcript:ONIVA02G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRNSGRRLLHRCRRPRPVVQAAGPSAPYRPSSSSHSRAGLPGGARLLAAAAPLHCAGRYWPHAAPRFVRRLSAPAVSTSPSPVPSDTDDVHEYAAKLGFEKVSEQSIDECKSTAVLYKHKKTGAEVMSVSNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNAKDFYNLVDVYLDAVFFPRCVEDFQTFQQEGWHYELDNPEEEISYKGVVFNEMKGVYSQPDNLMGRVSQQALFPENTYGVDSGGDPNEIPKLTFEEFKEFHSKYYHPSNARIWFYGDDDPKERLRVLSEYFDQFEASPAPNESKIWPQRLFKEPVRIVEKYPVGQEGDLKKKFMVCINWLLSEQPLDVETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEDNIKEVEELVMQTLKNLAEEGFAPEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIGKWIYDMDPFEPLKYERPLQQLKARIAAEGSKAVFSPLLEKFLLNNAHRATIEMQPDPEKASRDEAAEKEILKQVKASMTREDLAELARATKELKDKQETPDPPEALKAVPSLSLQDIPKEPIHVPIEVGEINGVKVLQHDLFTNDVVYSEIVFDMSSLKKDHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSIRGKDDPLTRIVVRGKSMATRVEDLFNLIYCILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWIAEQMGGISYLEYLRDLETKIDQDWDKISSSLEEMRQSLFRKDGCLVNITSDWKNLEKSNKHIAKFLDSLPSTTSLGSDPWLSRLPSVNEAIVVPTQVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMDDDCLTKAIIGTIGDVDSYQLPDAKGYSSLMRYLLGITVEERQQRREEILSTSLKDFKEFADAVETINDNGVVVAVASPEDVEAANKENPLFSDVKKCL >ONIVA02G35950.1 pep chromosome:AWHD00000000:2:31203092:31204390:-1 gene:ONIVA02G35950 transcript:ONIVA02G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTALPASPPPPPPPPPQEDFRFDGPAFSAFPEGVASAGTNPFFSADAMDSNPFLATAVTAPPSPNPFELNHQSASPGAADPFDLFQHFTSAPASPARAAAIYAQFDGGVGDGNGADHDMAVVGDDDDDFQPRASYSSGTATSTVPFDWEEKPGKPKPKSELATCAAAATSANVGEVDDADFDFGVLLDKSVQVPELTTADELFDEGKIRPLKPPPGLLDGGSVASSPRSPISKSPMWSPRLRGKVGSGVDFDPFSTALAKAAKGPSPLGAGAKDTADAGTASSPKKPDPVSVTSPRCIPPATMINGGRKKWRLSDMLLFRRSAAKARAAGANISKEPVFKYSPVQLGTPVKKATAGQSAAANGDVSAGKHKKQSKKATAAEDGMASPHRQSVMGCVRLNPGLHRLAKGFNGSSLHFGHRRAAARSVMNR >ONIVA02G35940.1 pep chromosome:AWHD00000000:2:31196910:31199970:-1 gene:ONIVA02G35940 transcript:ONIVA02G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33945) TAIR;Acc:AT4G33945] MAIAISQEAFDAMVRENMEDLGMDADEALADAVDALTLQGADLSGIIKRVPGEAAAAEVSPVMRVLDEVKASSASDSDSGGRSEEDAERLASLLDELRELCSGDGLENAAVAARNGGVEALVALCASAGVKQERLLASGLKALSSLLCDVGSTEKFRQSEGPQVVMGILKGGSESSDILEGGFRVVASASAGNEVVKECFMVLKVDELIFQVMGEKSNSNVQSLYDAIRVLLTPDDNRVVASQVYGYSRRFAETGIAAVLVNALREKVAPSSLPSACAALKAIAVNDEICRSISENGGIDVLLRCIDEASEQKNKVIAKSCCSLLSKLAGSDANKTTIIERGGFDKFLKLTSRFSEDPPIIQEVMSMVTILTLRSPENAARAMGAGYGTLAIQAMQKFPSSAQTQKQACLMIRNLVVRNPENRTILLNDGVEKLIRKTKMMHGSCKDAATSALRDLGVDKYNA >ONIVA02G35930.1 pep chromosome:AWHD00000000:2:31191881:31196484:1 gene:ONIVA02G35930 transcript:ONIVA02G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGTTTAAAAAAVAPGKEEEAEVVVVRNPRCYLDVSIGGDMEGRIVVELYASVAPRTAENFRALCTGEKGVSAATGVPLHYKISLKAVSVCTRWTKGSCIHRIVKGFMVQGGDITAGDGTGGESIYGLNFEDENFVLKHERKGMLSMANAGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSMEHVSVGESDRPITDIVIVDCGELPEGASDGVVNFFSDGDMYPDWPNDLEEKPAEISWWMTAVDSAKSFGNEYFKKKDYKTALKKYRKAMRYLDLCWEKEEIDEEKSSALRKTKSIILTNSSACKLKLGDLKGALLDADFALREGEGNPKAFFRQGQARIALNDIDAAVESFKHALQLEPNDGGIKRELAAAKKKIADRRDQERKAFSRMFQPSGGSEKIDEENN >ONIVA02G35930.2 pep chromosome:AWHD00000000:2:31191881:31197666:1 gene:ONIVA02G35930 transcript:ONIVA02G35930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGTTTAAAAAAVAPGKEEEAEVVVVRNPRCYLDVSIGGDMEGRIVVELYASVAPRTAENFRALCTGEKGVSAATGVPLHYKISLKAVSVCTRWTKGSCIHRIVKGFMVQGGDITAGDGTGGESIYGLNFEDENFVLKHERKGMLSMANAGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSMEHVSVGESDRPITDIVIVDCGELPEGASDGVVNFFSDGDMYPDWPNDLEEKPAEISWWMTAVDSAKSFGNEYFKKKDYKTALKKYRKAMRYLDLCWEKEEIDEEKSSALRKTKSIILTNSSACKLKLGDLKGALLDADFALREGEGNPKAFFRQGQARIALNDIDAAVESFKHALQLEPNDGGIKRELAAAKKKIADRRDQERKAFSRMFQPSGGSEKIDEENN >ONIVA02G35930.3 pep chromosome:AWHD00000000:2:31191881:31197666:1 gene:ONIVA02G35930 transcript:ONIVA02G35930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGTTTAAAAAAVAPGKEEEAEVVVVRNPRCYLDVSIGGDMEGRIVVELYASVAPRTAENFRALCTGEKGVSAATGVPLHYKISLKAVSVCTRWTKGSCIHRIVKGFMVQGGDITAGDGTGGESIYGLNFEDENFVLKHERKGMLSMANAGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSMEHVSVGESDRPITDIVIVDCGELPEGASDGVVNFFSDGDMYPDWPNDLEEKPAEISWWMTAVDSAKSFGNEYFKKKDYKTALKKYRKAMRYLDLCWEKEEIDEEKSSALRKTKSIILTNSSACKLKLGDLKGALLDADFALREGEGNPKAFFRQGQARIALNDIDAAVESFKHALQLEPNDGGIKRELAAAKKKIADRRDQERKAFSRMFQPSGGSEKIDEENN >ONIVA02G35920.1 pep chromosome:AWHD00000000:2:31190290:31191323:-1 gene:ONIVA02G35920 transcript:ONIVA02G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQRLTTTAEARGDDDDLSNGEGCLLLSGRAGKWAMFSEEELFKIHYHTTSVFKHASDWVEVFGLGLREMVNKENISLTSGLASHIKN >ONIVA02G35910.1 pep chromosome:AWHD00000000:2:31183126:31189282:1 gene:ONIVA02G35910 transcript:ONIVA02G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSHFASSSMNEIIDKYNTHSNNLGKAEQPSLDLNLEHSKYAHLNEQLAEASLRLRQMRGEELEGLSIDELQQLEKNLEAGLHRVMLTKDQQFMEQISELQRKSSQLAEENMQLRNQVSQISPAEKQVVDTENFVTEEGQSSESVMTALHSGSSQSQDNDDGSDVSLKLGLPCGAWK >ONIVA02G35900.1 pep chromosome:AWHD00000000:2:31168926:31169315:1 gene:ONIVA02G35900 transcript:ONIVA02G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAEFPSYVSVPPSDLHRHLGKLLTSGDGTDVTLEAGGETYKAHRSVLAARSSVLKAELLGPMAQPRSTAAATPTRINDIEAPVFRAMLHFIYTDHLSSTMATDGFEHLTTSCPAILKELMSKLVVH >ONIVA02G35890.1 pep chromosome:AWHD00000000:2:31168236:31171561:-1 gene:ONIVA02G35890 transcript:ONIVA02G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09740) TAIR;Acc:AT1G09740] MATGNLASVVVAVDGSEESMNALRWALDNLRLRPDGALVVLHVQPPPSIAAGLNPGPIPFGGPSEVEVPAFTQAIEAHQRRITQAILDHALKICSEKNVEVKTDVVVGDPKEKICEVTANLKADLLVMGCRAFGPLKRMFLGSVSNYCINNVVCPVVVIKGT >ONIVA02G35890.2 pep chromosome:AWHD00000000:2:31170229:31171561:-1 gene:ONIVA02G35890 transcript:ONIVA02G35890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09740) TAIR;Acc:AT1G09740] MATGNLASVVVAVDGSEESMNALRWALDNLRLRPDGALVVLHVQPPPSIAAGLNPGPIPFGGPSEVEVPAFTQAIEAHQRRITQAILDHALKICSEKNVEVKTDVVVGDPKEKICEVTANLKADLLVMGCRAFGPLKREWNH >ONIVA02G35890.3 pep chromosome:AWHD00000000:2:31168236:31169278:-1 gene:ONIVA02G35890 transcript:ONIVA02G35890.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09740) TAIR;Acc:AT1G09740] MAGQLVVRCSKPSVAMVELRWSVYMKCSIALNTGASMSLMRVGVAAAVLRGCAIGPRSSALSTDERAASTDLCALMFLGSVSNYCINNVVCPVVVIKGT >ONIVA02G35880.1 pep chromosome:AWHD00000000:2:31166505:31167374:1 gene:ONIVA02G35880 transcript:ONIVA02G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGCVVIRLPDPRVLRVIARSVLLAVALLSLAWLRDAEAPAGDALQVGLLLRDLRREGLLAPGARAVFLGAADGDCHHHPPALDGDDMRRITPRELLTTGDLSVDFVLDFGYFDKDGDRVGLVDRVLKDGGIFAAPIGSASAFRLPPNYRVVYIRRFTETFVGIKKIARVGGDNGIAGARTGMAATTPALKEGVLAFSAQTADTALAELKNFRRKLLLPHITGASAAHAHQAWLKLRHRPVIAVDFPAMWNVNKLQLAHPLVLQDKAVHGAQQQQLNRSVRLNPSTGY >ONIVA02G35870.1 pep chromosome:AWHD00000000:2:31162520:31164576:-1 gene:ONIVA02G35870 transcript:ONIVA02G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein 12 [Source:Projected from Arabidopsis thaliana (AT5G64350) TAIR;Acc:AT5G64350] MGFEKTILKAGTGPKPVKGQKVTVHCTGFGKDNDLAKKFWSTKDAGQEPFSFNIGQGSVIKGWDEGVMTMQVGEVARIQCTPDYAYGASGFPAWGIRPNSVLVFEIEVLSAQ >ONIVA02G35860.1 pep chromosome:AWHD00000000:2:31152098:31161683:1 gene:ONIVA02G35860 transcript:ONIVA02G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQGHGGAVHSHDRIDALRAVRGAGGGLGMPPPEKFRSGHLPRAAAPPLRTDDGSVASGSDMEESSDTEEVEVCSGRYSVDSSPRREDILRRTAVPQYRYATVPGMPSYYSSDYSDLSSSRDTALPRTKQQQVRRPQAQVGRYVEEEEYSDSAGSSEFSSQVETRSKGVASRGGYASEYSHNGPARREANNAVPKTRMAAAENYSCTAPLNSRTYQQDKYSAHVPAQDNVKSSQMRNPLLGLSVINLEHLLPLEKSMCAEQDGLSDVPSAPPIHAYDQEISQVSQNVDANVCDGSTVKKEEYNDDGLEPNLPEKSERSTLNPGHSSKPSSSIPLRVPTFHASLQNVLLQSEEELMAKRTSELVSDGVASKPKKTIGKMKVQVRKVRMSVDVPSGCNFSSLPMVKLNSVRYRLSNVQSTLSSGWESVRRIQTLPQLPANSSFSKHSLAYMQASAQYIKQVSGVLKVGVTTLRNSSSYETPQETYSCQLRLKSTPEDDVVPMQPGSGETHVFFPDSLGDDLIIDVSDSKGKPCGRVVAQVATMAEESTDKLRWWSIYREPEHELVGRIQLYIHYTTAADENNTKYGSVAETVAYDIVLEVAMKAQHIQQRNLILHGSWKWYLSYIMDVATPTADWLNLVHDLLLPVLMKTQGTAALSHQENRILGEVEEQIEQTLAMVFENYKSLNESLPSGLVEDFRPPTGLAACALEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRYMLETDEYVAGNSEGIRVDLVTFTTAYQKMKSLCCNLRNEIFTDIEIHNQHILPSFVDLPNLAASIYSVELSNRLRAFLVACPPTGPSSPVADLVIATADFQKDLASWNICPIKAGVDAKELFHLYIVLWIEDKRRTLLENCRMDKVKWSGVRTQHMTTPFVDEMYDLLKNTLTEYEVIICRWPEYIFVLENAIADIEKAVIESLEKQYGDVLAPLKDCIAPKKFGLKYVQKLTKRNSVGPYTVPEDLGILLNTMKRLLDVLRPRIESHLKSWSSCMPNGGNSAAIGERLSEVTVTLRAKFRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKELVMESDIRSRMQALKDQLVEAINHVHKVTEVHVFVAICRGFWDRMGQDVLSFLENRKENRAWYKGARVAVSVLDDTFASQMQQLLGNSIQQKELEPPRSIMEVRSILCKDAPRQKNSSFYY >ONIVA02G35850.1 pep chromosome:AWHD00000000:2:31145518:31147969:-1 gene:ONIVA02G35850 transcript:ONIVA02G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33735) TAIR;Acc:AT2G33735] MWWEWEEDGEEAARPGEEVPVDFDFISLLCKPKDYYKILEVGYDASEEAIRSSYIRLALKWHPDKKQGEENATSRFQEINEAYQVLSNPAKRREYDKKGILYVQDHNVVHGHADLPLLGGNDDAEFILCFDKWKVVGRELRKISADLLVTLLLPLNFQCVKTQ >ONIVA02G35840.1 pep chromosome:AWHD00000000:2:31142536:31148086:1 gene:ONIVA02G35840 transcript:ONIVA02G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFVSTVASARATAPLSFASSFHHRRAAPPAVAAAATLRRSNRRLPTRGWRCASAAAPDPVPSEEPASASASTVVVTEDKPDTPPAEEKSEEVAAVSNGGSLETVAAAPVSSGAAEEDGGLDDILSKLDIQVTPTLVLYGSGALVVLWVLSSVVSAIDSIPLVPKVLELIGTGYSIWFTSRYLLFKESRDKLFAKFEDLKERII >ONIVA02G35830.1 pep chromosome:AWHD00000000:2:31139985:31141808:-1 gene:ONIVA02G35830 transcript:ONIVA02G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKELLPTPKAAASTFYDHSSDPWFKERYGGESAQSDAAAAAAKPSGPAKPVPPYGKRGGFVPRRPEDFGDGGAFPEIHVAQYPLGMGRRDEKGGSKILALTVDAKGSVAFDAVVKQGENASKIVYSKHSDLVPKIATADSEATADDEEYQKQIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMAQDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELQLKEKERKEQELRALAQKARMERTGAPPAPTGVPAGGGRGAVDDREEDMDLEQPREQRRESREEREARIERDRIREERRRERERERRLEARDAAMGKKSKLTRDRDRDVSEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFATDDQYNIYSKGLFTAQPTLSTLYRPKKDGDSDVYGDADEQLEKVMKTDRFKPDKGFSGASERSGKRDRPVEFDKQEENDPFGLDQFLTEVKKGKKAVEKIGSGGAMRASGGSSMRDDYEGGGSGRSRINFERGR >ONIVA02G35820.1 pep chromosome:AWHD00000000:2:31129698:31134569:1 gene:ONIVA02G35820 transcript:ONIVA02G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRPVPFLSSSGHHPSILAPNKPKTEAKKRRKKNWEGVRERERENKKAFLFAQSQSSSLLRSNHYLILFPQCHMSTSPSCSSSSPIPQSLTLASTSSSSSSSGMRDAGEGSDSPPSEMSEDGSGGSGDGDGGGGGGDRWMPDLRGGNGGGGGGGGGGGRWAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGVRAVVLKGKPRFADFSLVPYGWGAYVSPWVAALGPAYPRLERICLKRMTVSNDDLALIAKSFPLFKELSLVCCDGFSTLGLAAIAERCRHLRVLDLIEDYIDEEEDELVDWISKFPESNTSLESLVFDCVSVPFNFEALEALVARSPAMRRLRMNHHVTVEQLRRLMARAPQLTHLGTGAFRSEPGPGGALSVTELATSFVASRSLICLSGFRDVNPEYLPAIHPVCANLTSLNFSFANLTAEELTPIIRNCVRLRTFWVLDTVGDEGLRAVAETCSDLRELRVFPFDATEDSEGSVSDVGLQAISEGCRKLESILYFCQRMTNAAVIAMSKNCSDLVTFRLCIMGRHRPDRITGEPMDDGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSVAFAGNSDMSLQSVFEGCTRLQKLEVRDSPFSDKGLLSGLSYFYNMRFLWMNSCRLTMRGCRDVAQQMPDLVVEVMKDHLDDEGEMETVDKLYLYRSLAGARNDAPSFVNIL >ONIVA02G35810.1 pep chromosome:AWHD00000000:2:31114926:31126683:1 gene:ONIVA02G35810 transcript:ONIVA02G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73400) TAIR;Acc:AT1G73400] MHAHQHQHALLAALLACALAASSSTAGAQPAGQQGYAYGDVSGQQVHVSTTMIVLLAAVVGVFLFIAISTIYLRHCTGYDPATEGGGVGGRRSMILPANSFVSRRQRRPRGLDSSVVRMFPTMKYAEAKALRVGKVAGAALECAVCLSEFEDDEMLRFLPKCSHAFHPDCIGQWLASHVTCPVCRRNLDPNKDTTEEVIIPATAAADPNSTSSEIVVIRQEDGAHPAAVVIDVVTEEDDEERRKEELELQAIGTQLRAMRSRSGLRPKTSAAKLPRSHSTGHSLAVRLDGDLERYTLRLPEHVHREMVAAGEQSVRRGRRLGEGVGMGARCSPRFSRSGRWSSFLSNSLSGKLSFLSPSSRRTPDSTQVEVSSSSSSSVTKVKGKRVAAVDVADDGSAHGTAQYPGCTVASSAAAAAVDVEKAATRQVRT >ONIVA02G35810.2 pep chromosome:AWHD00000000:2:31119896:31126683:1 gene:ONIVA02G35810 transcript:ONIVA02G35810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73400) TAIR;Acc:AT1G73400] MNCRHLALRLRHLRRLLAVAPLSSLAAAHSANRSSPRLNPTSVPPPPRQLHLPILQARRLCSTTHVVLPTNLQDERFAALSDRIYDAVIETAAGSSEGTEAALDALGAELTTPLVADVLHRLRYDEKLAFRFFVWASHQDGYEHEAATYNDVIDILSGTRYKARQFGVLCDVLDHMKRRRTRSVPVDDLLGILRAYTEKHLTHLRKLAKKRRVRMRTPPETDALNVLLDAFCKSGMVREAEAVFSRVKRKLLGNAETYSILFFGWCRARDPKRAMKVLEEMIQMKHTPENFTYNAAIDSFCSAGLVSEARDLFEFMRTEGSTISSPTAKTYSIMIAALAKAGQMEECFELISEMRKCGCMPDVSTYKDLIEGMLLVDKLDAAYCVLDEMAKAGFPPDIVTYNCFLKVLCGLQKADDALELCERMIEAHCEPSVHTYNMLMVMFFEMREPDRAINIWIEMDKRGCHRAVDTYETMIDGLFDSGRTEDATTLLDEVINRGMKLSYKKFDAIMLRLSAVGNLGAIHRLSEHMRRFYNVAMSRRFAITQKKKSIEYMRPSTSDTIHRAVQTKPRNPLKLRPREQIGDCVRVPTSFHEFRVPRTQEGK >ONIVA02G35800.1 pep chromosome:AWHD00000000:2:31109480:31110255:1 gene:ONIVA02G35800 transcript:ONIVA02G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGKSLMLPIELAAVGWHCWLVAAGGLVPVLALSETCRVMQERKGGKKLESPERLANKDGNGSLTYEAVAVTCTEMERKRNVQSSVSISPIGPIQSGINTFH >ONIVA02G35790.1 pep chromosome:AWHD00000000:2:31106309:31107941:-1 gene:ONIVA02G35790 transcript:ONIVA02G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQEHLSCAAAMYEAPPSSLGCGVDMDEFEENGGVVLQEEAGVAVHGGGGGGAGGMMSVWVNAATCARSIAASGAVEEFWDERQYPVSSPPAAPTPAASGGGGGHAKAAARRRRRRPKVVKNKEEAESQRRNHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVAGAINFVKELEQLLQSLEAQKRRAEHAPPAPPFAGFFTFPQYSTTVGDNNAAGSGAGDGEGGCGARPGAADIEVAMAESHANVRVLAPRRPRQLLRMVVALQCLGLTVLHLNVTTTADHLALYSFSLKMEDECRLSSVDEIAGAVNQMVTKIAGECIS >ONIVA02G35780.1 pep chromosome:AWHD00000000:2:31099147:31100002:-1 gene:ONIVA02G35780 transcript:ONIVA02G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGASCLTIAALLLAACASSAAATSYTVGDASGWTIGVDYTSWAGSKSFKVGDSLVFKYASGAHTVVEVSAAGYLACAAANALGSDSSGSTTVALKTPGKHYFICTIAGHCAGGMKMEVDVSGSSSSSGGGGGGGGGGGSTPSSPSSPTPTTPNPSTPTPTTPYPSTPTPTTPYPSTPMTTPTTPYTTPTSPACSGGAGATPVTPVTPGTVPFMSYNGAGGLGPVALATIGMVCFVVFVQLGLL >ONIVA02G35770.1 pep chromosome:AWHD00000000:2:31082877:31083434:-1 gene:ONIVA02G35770 transcript:ONIVA02G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRKNKAAEGARCRRHRQRGGGGGGGAGVCAPCLRERLSHLTSASASASASLPSVVRGEEEEASSCCSSSSEASSDASSSAASGSSSPWAARFHREMVAAGGRGGGRLSLLMRNERVLLDSDEVASVVRRMRERRRQARSFWAKLLHATVGGGGGKKEGCSMAHSKTLDQERSTTAAAKWILF >ONIVA02G35760.1 pep chromosome:AWHD00000000:2:31074026:31081537:1 gene:ONIVA02G35760 transcript:ONIVA02G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVTVTLRYFAGPGVPRYVIATVGYAWFCSLSFIILVPADIWTTLTGREKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLFGLILLLVMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWTHRQKVLSHRVAKMAVKLDNAHQEYSNAIVVAQATSNQMSKRDLLRPYMDIIDKMLAQMLREDPSFKPSGGRLGENDMDYDTDDKTMATLRRQLRRAHEEYYRCKSEYMTYVMEALELEDTIKNYERRDANGWKFVSSFRESRPGTLGSLLDTMEFIWRCVLRKQLQKGFAIVLGCMSAAILLAEATLLPSGVDLSLFSILVKSVGKQEVLVQVAAFVPLMYMCICTYYSLFQIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGDAKTTFEKRMGNIDDAVPFFGRGFNRIYPLFMVVYTLLVASNFFGRLINFFGSWKRFKFQREEENMDGFDPSGMIILQKERSWIEQGCKVGEQVIPLARNFNNVNTDVESGKVPLVENTLEMKSGATSSRADGRVGQSKYANNRETIATKYSAIREQSRQAVKPAKREISSTSVSLLEEGSSEQRSNTGAPVGSSAGISQTWATMKIGFQNFKANMGSKKFIPLRQDPGFAPHSNVSSPESLDEIFQKLKRRPADMPVDYLDDDDENTGDMDPTFPGSTR >ONIVA02G35750.1 pep chromosome:AWHD00000000:2:31071094:31072193:-1 gene:ONIVA02G35750 transcript:ONIVA02G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDALALIVSRTKAGMVASRVGRLVSYPRPLSSSDSSLSCRRSCAPVLYARDPPATATGLRAEAAPWAGDAARSCSTRRNSGILFDATMEAMALHSSLALAA >ONIVA02G35740.1 pep chromosome:AWHD00000000:2:31070792:31072612:1 gene:ONIVA02G35740 transcript:ONIVA02G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVASKRIPLFRLVEQLLAASPAQGAASALRPVAVAGGSRAYNTGAQLRRHERDESDDDSGRGYDTRRPTRDATMPAFFSDVFRDPFSAPQSLGRLLSLMDDLATPAGRAGAATLRRGWNAKESEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEAGEDEGAAPARYSGRIELAPEVYRMDQIKAEMKNGVLKVVVPKVKEEQRRDVFQVNVE >ONIVA02G35730.1 pep chromosome:AWHD00000000:2:31066722:31070729:-1 gene:ONIVA02G35730 transcript:ONIVA02G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGADVDMASGGDDAAKLQELDQMKRRLKEMEEEAAALRDMQAKVAKEMQGGPPGGDPSASTAEAKEQVDARSVYVGNGSAEIKPFSNRVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYPYRSYGAPYFPPYGYGRVPRFRRPMRYRPYF >ONIVA02G35730.2 pep chromosome:AWHD00000000:2:31066722:31070729:-1 gene:ONIVA02G35730 transcript:ONIVA02G35730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGADVDMASGGDDAAKLQELDQMKRRLKEMEEEAAALRDMQAKVAKEMQGGPPGGDPSASTAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYPYRSYGAPYFPPYGYGRVPRFRRPMRYRPYF >ONIVA02G35730.3 pep chromosome:AWHD00000000:2:31066722:31070729:-1 gene:ONIVA02G35730 transcript:ONIVA02G35730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGADVDMASGGDDAAKLQELDQMKRRLKEMEEEAAALRDMQAKVAKEMQGGDPSASTAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYPYRSYGAPYFPPYGYGRVPRFRRPMRYRPYF >ONIVA02G35720.1 pep chromosome:AWHD00000000:2:31058758:31062621:1 gene:ONIVA02G35720 transcript:ONIVA02G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGGGEYRCWEELLPDALGLVFRNLPLREVLTVVPRVCKSWSRVVAGPYCWQEIDIEEWRQQQGKPEQLVRMVEMLVARSCGSCRRISVSGLPGDPLFSFIGDHARALRTLEIPRSEISDAAVESVAPRLPNVTFLDISSCTKIGARALEAFGKNCKSLVGLRRVMHPTDVAGKACQRDEARAIACTMPRLRHLEMGYMVIATDAVLDILARCRDLRFLDLRGCWAVDDKFLQERHPGLRVLGPGVDDCFENSYLEECSDYSDDSSIYSWELMEDDDDDYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESYAGFDWPPSP >ONIVA02G35720.2 pep chromosome:AWHD00000000:2:31058758:31062621:1 gene:ONIVA02G35720 transcript:ONIVA02G35720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGGGEYRCWEELLPDALGLVFRNLPLREVLTVVPRVCKSWSRVVAGPYCWQEIDIEEWRQQQGKPEQLVRMVEMLVARSCGSCRRISVSGLPGDPLFSFIGDHARALRTLEIPRSEISDAAVESVAPRLPNVTFLDISSCTKIGARALEAFGKNCKSLVGLRRVMHPTDVAGKACQRDEARAIACTMPRLRHLEMGYMVIATDAVLDILARCRDLRFLDLRGCWAVDDKFLQERHPGLRVLGPGVDDCFENSYLEECSDYSDDSSIYSWELMEDDDDDYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESYAGFDWPPSP >ONIVA02G35720.3 pep chromosome:AWHD00000000:2:31058839:31062621:1 gene:ONIVA02G35720 transcript:ONIVA02G35720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGGGEYRCWEELLPDALGLVFRNLPLREVLTVVPRVCKSWSRVVAGPYCWQEIDIEEWRQQQGKPEQLVRMVEMLVARSCGSCRRISVSGLPGDPLFSFIGDHARALRTLEIPRSEISDAAVESVAPRLPNVTFLDISSCTKIGARALEAFGKNCKSLVGLRRVMHPTDVAGKACQRDEARAIACTMPRLRHLEMGYMVIATDAVLDILARCRDLRFLDLRGCWAVDDKFLQERHPGLRVLGPGVDDCFENSYLEECSDYSDDSSIYSWELMEDDDDDYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESYAGFDWPPSP >ONIVA02G35710.1 pep chromosome:AWHD00000000:2:31050207:31050692:1 gene:ONIVA02G35710 transcript:ONIVA02G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTLASPPVREEELVVSGPNQLDEDKNGLEQRSRRHSSYCGTLISLAAGLKGGLHIITNIADGMVFMHDALREDGGTNTTKLDAKTPTEAKSMCMRREDGGTNTPSWVTADESPQA >ONIVA02G35700.1 pep chromosome:AWHD00000000:2:31035351:31041587:-1 gene:ONIVA02G35700 transcript:ONIVA02G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongation factor family protein [Source:Projected from Arabidopsis thaliana (AT5G13650) TAIR;Acc:AT5G13650] MLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPENLGDDLGPLFEAILRCIPEPRIEKDGALQLLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRISKISELFVYQNFSRVPVDNVSAGDICAVCGINDIMIGETIADKVSGTPLPTIKIEEPTVRMSFSINTSPFVGKEGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGFEFMIGPPKVINKTVDGKLQEPYEIAAVEVPEEYMGSVVELLGKRRGQMVDMQPSGPEGTTLLKYKIPTRGLIGLRNAVLTASRGTAILNTIFDSYGPWAGDLSSRDQGSLVAFEDGSTTSYALLNAQERGILFVSPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTVVLDEALSYSLDDCIEFIQEDELVEVTPASIRMCKNPKVSKKNR >ONIVA02G35690.1 pep chromosome:AWHD00000000:2:31034582:31042129:1 gene:ONIVA02G35690 transcript:ONIVA02G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISHRGKNPRRRRRRCRLSSFLEQLLPDGLLDGFDEVEMQNIMAEVEPDRLTWAMAQGLGLKSIGSKALRPLQPDLPSLKMQGTKKKRRGNLYQAPAYTFCAHCT >ONIVA02G35680.1 pep chromosome:AWHD00000000:2:31027866:31034556:1 gene:ONIVA02G35680 transcript:ONIVA02G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAESQGLSPGFKFNPSVEQLLCFFLLPYLQHRRLLVDGVVFLDDPASAPPWALLHRHGRGGEDEAYFIGPVPAGDGHGGWRQQQVSRTVTGGGGGGGKWIKQRTERPRGEEEPVVVFGGETFRWEEFSLNFHADERCRSGSTGWVMHEFAVVPPAGSRVAATHTACRIAFTGHGQKRKRVPDGYVFVDVHVQTAAAVAPPLPMLSSYGEPPHEHFSDDHPPPHSYTYYTQEYQQFLPAAEQSDQEQEYCAPVQQNFQDYHVAAAEQTDQDYFYTEMINQEQDYAYQQQDYAYQQQQQHLFHGDFLATSQQFLGQDHEVMFTGLGGGLVVSDNGEHASAAAPATEPPVHDVFLETLVPEPPENAYVDGAGESAMASASSAGGAPLLEQPFATPPQQFLDQEPAPAGLNDGGAMIYNNNGDGEHDAAPAAQPPARYYSGPVPAVDSVFLDKMREYLMADAKGLCRIDAPINNGEHAAAPAPAADDPLAAQHGHGDAPPLPVPPDAAELERVVGHLLREVEDIIKVAAAGGYGGSSDKPLSEFDKAQNQILAKLMAVFNQVAETEDGSVTMTVTAVRSGRQQLLWRELRTSLGEANLPSMRLGEADPSLPSSGVSDLSLPISGVVDPPLMGSGSRRPMAAAARSQGLSPGFKFNPSDQMLVELFLLPYLIDGELPVRGLVFVEDDHLGGLPLPPWILLDRHGRGDEDEAYFVAPMGAGDGARQVRSVAGGGKWVKQRSEGKGEVVVAPGGEAFLWEKFSLNFHRDDRRSGSTGWVMHEYIVSPPAGSAVAASHRATHIAFTGHGQNRKRVPDGYVLVLDDAVPAAAAAAAPPPESEQSNQEEQEYAAYTDQIQQQCFVPEQQMSNQEYFPEAAAEQSNQQFFVPAEEQSSHQLFLPAEEQSNQQFFMPAEEQSSHQFLPAEEQSNYQQFLPALEQMTQSNQEFAYGEQSQCYIVPEQQQLSNQEYAYSEQSQCYILPEQQQLSDQEYAYSEQSQCYILPEQQELSNQEAEYAFVCYDEQQQQQQQSNQEAEYAFACYDEQQQQQQYLHGDLTSWQEPFVTSSSSSSQQFLGQEQLLPDGLLLDGFGEISQQQGDQEYAYCEESQCYIMPEQQQQSNQEAEFAFACYD >ONIVA02G35670.1 pep chromosome:AWHD00000000:2:31021142:31024018:-1 gene:ONIVA02G35670 transcript:ONIVA02G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATILSEIEYTDVLLENGFIPSRLHLMTSFEPESSADNAPRFVIAFRGTITEKETISRDIALDLHLVQNGLHRTSRFTIAMQAVQNVASVFPGSNIWLAGHSLGAGMAILTGRNMVKKGVLLESYLFNPPFVAAPIERISDERVKHGFRIARSVITAGLAIAMKGKGEGSSQRSVAEDSFHILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIVNVSPSPDFKYAHGISQWWQPELNLQCSKYRYSVGA >ONIVA02G35670.2 pep chromosome:AWHD00000000:2:31021144:31024525:-1 gene:ONIVA02G35670 transcript:ONIVA02G35670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVQNVASVFPGSNIWLAGHSLGAGMAILTGRNMVKKGVLLESYLFNPPFVAAPIERISDERVKHGFRIARSVITAGLAIAMKGKGEGSSQRSVAEDSFHILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIVNVSPSPDFKYAHGISQWWQPELNLQCSKYRYSVGA >ONIVA02G35670.3 pep chromosome:AWHD00000000:2:31021144:31024018:-1 gene:ONIVA02G35670 transcript:ONIVA02G35670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATILSEIEYTDVLAVYVLERDRQLGRQSVEALGPPWWEFFHFELIRKLVDDADLSIFGAIFEFNPPSSKESSADNAPRFVIAFRGTITEKETISRDIALDLHLVQNGLHRTSRFTIAMQAVQNVASVFPGSNIWLAGHSLGAGMAILTGRNMVKKGVLLESYLFNPPFVAAPIERISDERVKHGFRIARSVITAGLAIAMKGKGEGSSQRSVAEDSFHILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIVNVSPSPDFKYAHGISQWWQPELNLQCSKYRYSVGA >ONIVA02G35660.1 pep chromosome:AWHD00000000:2:31016125:31016935:-1 gene:ONIVA02G35660 transcript:ONIVA02G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCVQGNGGQGEEEMVSQGSAGGGVAASSGVVVNITTGPMTETEDDMAVGEEEEVVAASAETEEHVQRILLAIDAFTRQVSEMLEAGHALFKNLAADFEDRLCSIHKERRGWRGGRTRSGSCAPMTPPMSRHAPSAATALVCRRRTVPARRRRTALAHRRRLSATVLARHRRRFACSGHHSGAATAPSPAATVPG >ONIVA02G35660.2 pep chromosome:AWHD00000000:2:31016536:31017180:-1 gene:ONIVA02G35660 transcript:ONIVA02G35660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRRPRSWPASPRSCVRCGGLDSVVSCVRCFDGTKATRFRSDRHGNGGQGEEEMVSQGSAGGGVAASSGVVVNITTGPMTETEDDMAVGEEEEVVAASAETEEHVQRILLAIDAFTRQVSEMLEAGHALFKNLAADFEDRLCS >ONIVA02G35660.3 pep chromosome:AWHD00000000:2:31016048:31016463:-1 gene:ONIVA02G35660 transcript:ONIVA02G35660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIINRCNLDPQGEERVERWEDEIRELRAHDAANEQARSLRRHRSRLPTSHRSSPSTPHRSRPPTPPLRNRARPPPSPLRLLWPPFWCGDGSFPSGDGARLKRCPLGRPAPSPPAGLPSRQKITVE >ONIVA02G35660.4 pep chromosome:AWHD00000000:2:31016536:31016935:-1 gene:ONIVA02G35660 transcript:ONIVA02G35660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCVQGNGGQGEEEMVSQGSAGGGVAASSGVVVNITTGPMTETEDDMAVGEEEEVVAASAETEEHVQRILLAIDAFTRQVSEMLEAGHALFKNLAADFEDRLCS >ONIVA02G35650.1 pep chromosome:AWHD00000000:2:31010761:31010982:1 gene:ONIVA02G35650 transcript:ONIVA02G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDGVPYAINNCMCMRNLGDTKEVARRTIGGLMVAFLRDALEDQHDDLKLVLKGVNPGLAPAVIKPVAYDLA >ONIVA02G35640.1 pep chromosome:AWHD00000000:2:31008317:31008547:1 gene:ONIVA02G35640 transcript:ONIVA02G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAPGYEGLLSHVASHGFIALYGIALDLNDATRKVACWAADERQGLAYVLGSVLNLPGVKPDLSRLALVA >ONIVA02G35630.1 pep chromosome:AWHD00000000:2:31002708:31007134:1 gene:ONIVA02G35630 transcript:ONIVA02G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATGALPSVIAKLGDLLVGEYNLQKAVKGVIRFLQSELESMQGALAKVSATPADQLDPQDKIWARDLRELSFDIEDTIDAKAKARHGIASEIMDIKSRVVEVHERRRRYEINIGAGGGDKTATIDPRLFTRYTDAKELVGIGETRDELIKILTEENGVSMQRAGKVVSIVGFGGLGKTTLANAVYEKIRSLFDCCAFVSVSQTPDLKKLFMDIIYQLDKEKYKDLNEKPLDLDEVQLINELREFLQQKRYFIVMDDIWDISIWKMIKCALPDNDVGYKIITTTRISEVAEKAGGVYKLKHLSLNNSRRLLYGRIFGNCEDTEKYPDEELAEVSERILKKCAGVPLAIITMASLLACKARNKMEWYKVYNSVGTGLENSLDVKNMRKILSFSYYDLPPHLRTCLLYLSVFPEDYKIEKDRLIWMWVAEGFIQCGKQGRSLFELGESYFNDLVNRSMIQPIYDMYTDMVSECRVHDMVLDLICSLSSEENFVTILNGRDQGSLSYTIRRLSLQNGNEDHAMTSATRSLQQARTALVFPSATDLVPVLRSFRVLRVLDLQGCDLSQGYSLKYVGNLFHLRHLTSLCFDGFARVPGGIGSLTRLEHLAYVLIDCATVGILEELGNLTELRVLCIIFWDGWNDKLVGLLHKLQKIQRLSIDVCMNNVRKNMGGLDAWVAPRHLVALDTEKICWFSSLPAWMTNPSHVPNLRSLSIAVREIRQADVETLGRLPALRDLQLQVDHEELGIRGVVLVIGSAGSFACLVCCGLWGFVGPAVFRRGAMPRLRTLRSRFSVREAIAVAGAGDDGLDLGLGSLPSLQEVNVSLDCEGASEEEVKELKAALRRATKIHPNHPSISIDGEKEEEDTYLISIYHTWHIGCALGMVAVFHAAPHRVEAKHVDHSVLPSVPKAWCPKPLMVVAPANAGTYPVAIFLHGCNMVNSWYEQWRKQD >ONIVA02G35620.1 pep chromosome:AWHD00000000:2:30972175:30991232:1 gene:ONIVA02G35620 transcript:ONIVA02G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYQGGEETNTLARTNTGAQPWRNLSLRQRIAAAIRAKSIKASKLAHFALTVTMSVAAYMDDSP >ONIVA02G35610.1 pep chromosome:AWHD00000000:2:30968872:30973190:-1 gene:ONIVA02G35610 transcript:ONIVA02G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNGCDATNSDVKVQENSASCHGCSMRWQEWQDLRGSPLSKGQVPPWLCSRVRPRQCVGKIHSNAMNTQVDVENVDVVIKPSMVPAHQGCQASLCDCFGDGCESFCLSAWFPWLSISCIGEIVDQGSTEWCCMCICFIYLIAAYFGVWWAYAGWYRGKLRAQYGLPESPLPDCLTHLFCHWLGRPAAGGCSTASVA >ONIVA02G35600.1 pep chromosome:AWHD00000000:2:30946742:30953852:1 gene:ONIVA02G35600 transcript:ONIVA02G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRVAVLIIAVSLPSFSASDRQGDALYDMKQKLNVTGNQLSDWNQNQVNPCTWNSVICDNNNNVIQVTLAARGFAGVLSPRIGELKYLTVLSLAGNRISGGIPEQFGNLSSLTSLDLEDNLLVGEIPASLGQLSKLQLLILSDNNFNGSIPDSLAKISSLTDIRLAYNNLSGQIPGPLFQVARYKIFHITGGSHSSKIGIVLGTVGGVIGLLIVAALFLFCKGRRKSHLREVFVDVAGEDDRRIAFGQLKRFAWRELQIATDNFSERNVLGQGGFGKVYKGVLPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLKLIGFCTTQTERLLVYPFMQNLSVAYRLRDFKPGEPVLNWPERKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGSIVDRNLNQNYDDEEVEMMIQIALLCTQSSPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQEYERMQRRFDWGEDSVYNQEAIELSGGR >ONIVA02G35600.2 pep chromosome:AWHD00000000:2:30946742:30953961:1 gene:ONIVA02G35600 transcript:ONIVA02G35600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRVAVLIIAVSLPSFSASDRQGDALYDMKQKLNVTGNQLSDWNQNQVNPCTWNSVICDNNNNVIQVTLAARGFAGVLSPRIGELKYLTVLSLAGNRISGGIPEQFGNLSSLTSLDLEDNLLVGEIPASLGQLSKLQLLILSDNNFNGSIPDSLAKISSLTDIRLAYNNLSGQIPGPLFQVARYKIFHITGGSHSSKIGIVLGTVGGVIGLLIVAALFLFCKGRRKSHLREVFVDVAGEDDRRIAFGQLKRFAWRELQIATDNFSERNVLGQGGFGKVYKGVLPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLKLIGFCTTQTERLLVYPFMQNLSVAYRLRDFKPGEPVLNWPERKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGSIVDRNLNQNYDDEEVEMMIQIALLCTQSSPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQEYERMQRRFDWGEDSVYNQEAIELSGGR >ONIVA02G35590.1 pep chromosome:AWHD00000000:2:30937833:30938045:1 gene:ONIVA02G35590 transcript:ONIVA02G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGSGKGVMAVVVNSSKRVVAVAKGPDHTVEEGEQRWRPQQRRRLMTWIRAGGSDGGGEEVARHARDH >ONIVA02G35580.1 pep chromosome:AWHD00000000:2:30914437:30917978:-1 gene:ONIVA02G35580 transcript:ONIVA02G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATITRFLVFFFLLLVVAVRGDDPYRFYTWNITFGDIYPLGVKQQGILINGQFPGPQIDAVTNDNIIINVFNNLPSPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYIMQFKDQIGSYYYFPSLAFHKAAGGYGGIRVLSRPGIPVPFAPPAGDFTILAGDWFKLNHTDLQGILDSGNDLPPPDGLLINGQGWNGNRFTVDQGKTYRFRVSNVGIATSVNIRIQGHSLLLVEVEGSHTVQSTYTSIDVHLGQSYSFLVTADQPPQDYSIIVSTRFTNPVLTTTAVLHYSNSNGALSTVAPPPAPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRASINGKLRYAVNSVSFIPADTPLKVADFYNIQGVFALGSMPDNPTGGGAYLQTAVMAANMRDYVEVIFENSENFVQSWHIDGYAFWVVGMEGGQWTPASRQSYNLRDAVARYTLQVYPQSWTAIYMPLDNVGMWNIRSESWARQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL >ONIVA02G35570.1 pep chromosome:AWHD00000000:2:30901639:30913590:-1 gene:ONIVA02G35570 transcript:ONIVA02G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVRGVADLLRKAPAPPPAPPAVPSSSFGVREGSFHGADQDVAPSPRVVFSDSTEERVLNTLWKKYENALNKAEKEKSLQIFVLQFVQTFRDWGPYHNIHLVDQEQGSDETVVGCSHGHPSEVILILIQEMSIITSTIAESGNSPESSPNYSEQPGDLGLSTERLHVLECLTILTRSMHNCRVFSYYGGVKKVTSLLKAAVAQLKIQNSLLAADNHVSCQAVENIKMMLNMLKYIVTIISNFMKLEPTILRIPHFLNSTKCASSKNHLATVTPSTSESGIFDTLQRWQQRAIVLVMGAGGVNSLVELLRVIQRLNSEQWTDLSLHFITLCTLRSTISGTRAQNHVRSIGGLEILLDGLGLPSSKFSVLKNSSIPKDESTTRSRSSSERVLVFSLLAVVDVPHSVTSTGGSCPWRRRKSGGVHHRRRCNASRTEFGCRGQRHAGEWPWRACWVELRAASWPAKRIRAAPDPCQSRSVHRRPPACALAMASGSGAGAGLPLEELGLDGAVLDALWRCAAAAAPPPSRLCSAARKKGKRKEERERKPCAGMPPCVVTVGGEPWRCAAAAAPLWPPSRLCSAARKKGKRKEERGRTVFGNVNNLQFLCENGRVHKFANCICWPAFMILEFHRQRDNTGPSLALDSISGPIYFLDITEWREYSVKLSNALCSFIIPSKVISYCRNEIACNKISLSIPSAYREQSVRWFIRVLMTVFLCIKACTSETELPNHIKILAKTLQLYMIRTFRMVLVSKPTLLTAFREEGVWDLIFSENCFYFGSSVEDMQFHIVAEVQNEDINGNTEPTDSESLYLSDVNILQLEAISFLEYAATLNENKYNLPECSALLEALEHCIYDPVVVSILLKSFRVILQLATEQTLVSFKSLDVITGVLKAACQQAKELQNFSCFPSDDVISSGYGSKIENIEMSSSGKRTEYAIICIELALSLFKEYVTISSYGRILILHNPDCIECLFNLFQEKNFRKHVLEQIFALFRVKLCIFQIFSFDLVNVWNYNTLTVYFNQLPPSSKQDHAAKLQLCSKYLENFTRANEKEKVNSELLVDLLVNMREIIMMDRMYYQNLFRDGGCFLYIVSLLNGTFNEATGEQLVLNVLETLTLLLEGNDASKASFRMLVGVGYQTLQSLLLDFYKWLPSPSERLLHALLSMLNEDVVILVLNILQKSSTSLQHYGLVVLQQLLKQSIANRTSCFRAGLLSYLLDWFSVEERDDTVGQIADLIQIIGAHSISGKDIRKIFALLRCGKIVAKKKHSSLLLTCLSHMLKEKGPEAFFEFDGHDSGIEIKSPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFFTEDGKGCSAVLSKSALVYEVMVVFLLIQNSNF >ONIVA02G35570.2 pep chromosome:AWHD00000000:2:30901639:30913590:-1 gene:ONIVA02G35570 transcript:ONIVA02G35570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVRGVADLLRKAPAPPPAPPAVPSSSFGVREGSFHGADQDVAPSPRVVFSDSTEERVLNTLWKKYENALNKAEKEKSLQIFVLQFVQTFRDWGPYHNIHLVDQEQGSDETVVGCSHGHPSEVILILIQEMSIITSTIAESGNSPESSPNYSEQPGDLGLSTERLHVLECLTILTRSMHNCRVFSYYGGVKKVTSLLKAAVAQLKIQNSLLAADNHVSCQAVENIKMMLNMLKYIVTIISNFMKLEPTILRIPHFLNSTKCASSKNHLATVTPSTSESGIFDTLQRWQQRAIVLVMGAGGVNSLVELLRVIQRLNSEQWTDLSLHFITLCTLRSTISGTRAQNHVRSIGGLEILLDGLGLPSSKFSVLKNSSIPKDERFGNVNNLQFLCENGRVHKFANCICWPAFMILEFHRQRDNTGPSLALDSISGPIYFLDITEWREYSVKLSNALCSFIIPSKVISYCRNEIACNKISLSIPSAYREQSVRWFIRVLMTVFLCIKACTSETELPNHIKILAKTLQLYMIRTFRMVLVSKPTLLTAFREEGVWDLIFSENCFYFGSSVEDMQFHIVAEVQNEDINGNTEPTDSESLYLSDVNILQLEAISFLEYAATLNENKYNLPECSALLEALEHCIYDPVVVSILLKSFRVILQLATEQTLVSFKSLDVITGVLKAACQQAKELQNFSCFPSDDVISSGYGSKIENIEMSSSGKRTEYAIICIELALSLFKEYVTISSYGRILILHNPDCIECLFNLFQEKNFRKHVLEQIFALFRLPPSSKQDHAAKLQLCSKYLENFTRANEKEKVNSELLVDLLVNMREIIMMDRMYYQNLFRDGGCFLYIVSLLNGTFNEATGEQLVLNVLETLTLLLEGNDASKNEDVVILVLNILQKSSTSLQHYGLVVLQQLLKQSIANRTSCFRAGLLSYLLDWFSVEERDDTVGQIADLIQIIGAHSISGKDIRKIFALLRCGKIVAKKKHSSLLLTCLSHMLKEKGPEAFFEFDGHDSGIEIKSPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFFTEDGKGCSAVLSKSALVYEVMVVFLLIQNSNF >ONIVA02G35570.3 pep chromosome:AWHD00000000:2:30901639:30913590:-1 gene:ONIVA02G35570 transcript:ONIVA02G35570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVRGVADLLRKAPAPPPAPPAVPSSSFGVREGSFHGADQDVAPSPRVVFSDSTEERVLNTLWKKYENALNKAEKEKSLQIFVLQFVQTFRDWGPYHNIHLVDQEQGSDETVVGCSHGHPSEVILILIQEMSIITSTIAESGNSPESSPNYSEQPGDLGLSTERLHVLECLTILTRSMHNCRVFSYYGGVKKVTSLLKAAVAQLKIQNSLLAADNHVSCQAVENIKMMLNMLKYIVTIISNFMKLEPTILRIPHFLNSTKCASSKNHLATVTPSTSESGIFDTLQRWQQRAIVLVMGAGGVNSLVELLRVIQRLNSEQWTDLSLHFITLCTLRSTISGTRAQNHVRSIGGLEILLDGLGLPSSKFSVLKNSSIPKDERFGNVNNLQFLCENGRVHKFANCICWPAFMILEFHRQRDNTGPSLALDSISGPIYFLDITEWREYSVKLSNALCSFIIPSKVISYCRNEIACNKISLSIPSAYREQSVRWFIRVLMTVFLCIKACTSETELPNHIKILAKTLQLYMIRTFRMVLVSKPTLLTAFREEGVWDLIFSENCFYFGSSVEDMQFHIVAEVQNEDINGNTEPTDSESLYLSDVNILQLEAISFLEYAATLNENKYNLPECSALLEALEHCIYDPVVVSILLKSFRVILQLATEQTLVSFKSLDVITGVLKAACQQAKELQNFSCFPSDDVISSGYGSKIENIEMSSSGKRTEYAIICIELALSLFKEYVTISSYGRILILHNPDCIECLFNLFQEKNFRKHVLEQIFALFRVKLCIFQIFSFDLVNVWNYNTLTVYFNQLPPSSKQDHAAKLQLCSKYLENFTRANEKEKVNSELLVDLLVNMREIIMMDRMYYQNLFRDGGCFLYIVSLLNGTFNEATGEQLVLNVLETLTLLLEGNDASKNEDVVILVLNILQKSSTSLQHYGLVVLQQLLKQSIANRTSCFRAGLLSYLLDWFSVEERDDTVGQIADLIQIIGAHSISGKDIRKIFALLRCGKIVAKKKHSSLLLTCLSHMLKEKGPEAFFEFDGHDSGIEIKSPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFFTEDGKGCSAVLSKSALVYEVMVVFLLIQNSNF >ONIVA02G35560.1 pep chromosome:AWHD00000000:2:30889306:30900054:-1 gene:ONIVA02G35560 transcript:ONIVA02G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAMTHCTIGTKLMPVGEQPISIGYERTFAFTGQMGPIYVFSDALSSEQIKGIYNLGPSYMYSFHGDDSLYRGILDARDGISSKIIFGFNAQASDSRSLFSVSSALDSADRSTFEATIMGGTKLCSRHLPQDIIYCVGGVSVFFPLFSCNAVTDGEQSCHTSVINGKLRAEVIELVASVLDANVSNQQQMYLLSGLSIMGFLLQSATPKLLNIETLSALKYMFDVLRNCGMSKVLLKDAILQIYLNPQIWVHSSYEVQRDLYMFLLKYFETDGRFLPLLCGLPRIIDIVCQYYSEYVDCRCAVGSKSLLRTGNKQVVGDRPKIEEICKLRLLLLSLAEMSLKALASLFERNQDVACVEDILKMIIRALSEGPVLSSFLEHVNCLGGCCIFINLLKRESEPVRLLGLQLVGKLMAGIPSEKKGAKLFTLPIGQSRPLSDNSKNEITAASHLFFYTISERLFKFPLSYNLCAAFFNVLLGGTSPIKEYSQSEQSKNKSCSTSHLVPFFLPQILVCIFRYLQSCQDSSARIRILSELIGLLDSNPTNIEALMEHSWNYWLETSTKLDVLKEYSLVSKGELDNVEIDEVILVRKLYALVLAYYLSAVKGGWHQLEDTVNYFLLKFGQGQLSSSYLLRDILDDIVGSLLQTSSEENIILSQPCCDNVLYLLKLIQELLFNQIGIKLLFPSNPSEESLSSIKWKDDIKSTLNEILIDESHSQYKSFPWKSCQFADEDEKSEDWWNFFDKVWDLICNLNGKGPNKLLPKGPNIEVPSLGQRARGLVESLNVPATEMAAAVVSGGIGTALGAKINRFSEKATLLREEIFPRVFFHLVILYLCKAGLENASKSLLITRSQYGQLDDGARYHVLSHLILETIICGKSMLVPNILGRDDSMEIGNSNKDTGFILNFVQKDRVLAAATVEVKHMKAVQADRLSQLDELQSKLNEHFTEETQLEKMIEDNIHISITSALSADDKRKIAFRLAFDEDQQIVADKWIHISRALIDERGPWSANPFPNDVVTHWKLDKTEDKWRRRLKLKRNYKFDERLCQPSYSRNESTEACVDQSSLSTKVPLKLKRFLLKGVRAIFEDNAYEPIEDTNDTGAMFSSLCAYNPKEKTSRTIGYYSDRTGGSSVFSKFKEIEDSDCKSDLGSVERLDGGRDYVIKTPNGSLLVSLRNEALFPKGSTKGKSRVISFVDRRVALEMAENARDRWIKREISNFEYLMILNTLAGRSYNDLTQYPVFPWVLTDYTSEKLDFNKSSTFRDLSKPIGALDEKRFEVFEDRYVNFDDPDIPSFYYGSHYSTMGIVLHYLLRLEPFTTLHRSLQGGKFDHADRLFQSIDSAYKNSLSNTSDVKELIPEFFYMPEFLENSNSYHLGVRQDGEPLGDVVLPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEAANIFYYVTYEGAVDLENMDDLLQKSAIEDQIANFGQTPIQIFRVKHPRRGPPVPIAHPLYFAPQSIALTSSVSSTISHMSALLFIGLLDNTVILMNEGLVLSIKLWLTTQLQSGGNFTFSGPQDHFFGIGSDVISPRKIGTFLAENVNFGRQCLATMQINSDKYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVACVAVSSRGNVVATGSYDTTVMIWHAFRGRPSDHVVMERPVHIFCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGRYVRSIRHPSGIGLSKLVASQHGRVVFYSESDLSLHMYSINGKHIASSASGGRINCMELSCCGQFLVCAGEHGQIVLHSMHCLDIIRRYDGAGKTITSLSVTPEECFLAGTKDGSLLVFSMESPLLRRKSMPRTRIKPPTAS >ONIVA02G35560.2 pep chromosome:AWHD00000000:2:30889306:30900054:-1 gene:ONIVA02G35560 transcript:ONIVA02G35560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAMTHCTIGTKLMPVGEQPISIGYERTFAFTGQMGPIYVFSDALSSEQIKGIYNLGPSYMYSFHGDDSLYRGILDARDGISSKIIFGFNAQASDSRSLFSVSSALDSADRSTFEATIMGGTKLCSRHLPQDIIYCVGGVSVFFPLFSCNAVTDGEQSCHTSVINGKLRAEVIELVASVLDANVSNQQQMYLLSGLSIMGFLLQSATPKLLNIETLSALKYMFDVLRNCGMSKVLLKDAILQIYLNPQIWVHSSYEVQRDLYMFLLKYFETDGRFLPLLCGLPRIIDIVCQYYSEYVDCRCAVGSKSLLRTGNKQVVGDRPKIEEICKLRLLLLSLAEMSLKALASLFERNQDVACVEDILKMIIRALSEGPVLSSFLEHVNCLGGCCIFINLLKRESEPVRLLGLQLVGKLMAGIPSEKKGAKLFTLPIGQSRPLSDNSKNEITAASHLFFYTISERLFKFPLSYNLCAAFFNVLLGGTSPIKEYSQSEQSKNKSCSTSHLVPFFLPQILVCIFRYLQSCQDSSARIRILSELIGLLDSNPTNIEALMEHSWNYWLETSTKLDVLKEYSLVSKGELDNVEIDEVILVRKLYALVLAYYLSAVKGGWHQLEDTVNYFLLKFGQGQLSSSYLLRDILDDIVGSLLQTSSEENIILSQPCCDNVLYLLKLIQELLFNQIGIKLLFPSNPSEESLSSIKWKDDIKSTLNEILIDESHSQYKSFPWKSCQFADEDEKSEDWWNFFDKVWDLICNLNGKGPNKLLPKGPNIEVPSLGQRARGLVESLNVPATEMAAAVVSGGIGTALGAKINRFSEKATLLREEIFPRVFFHLVILYLCKAGLENASKSLLITRSQYGQLDDGARYHVLSHLILETIICGKSMLVPNILGRDDSMEIGNSNKDTGFILNFVQKDRVLAAATVEVKHMKAVQADRLSQLDELQSKLNEHFTEETQLEKMIEDNIHISITSALSADDKRKIAFRLAFDEDQQIVADKWIHISRALIDERGPWSANPFPNDVVTHWKLDKTEDKWRRRLKLKRNYKFDERLCQPSYSRNESTEACVDQSSLSTKVPLKLKRFLLKGVRAIFEDNAYEPIEDTNDTGESSQSSLLENQNPNNVSDLSDYRTAVQNKKESASNNGDNDYTKVLCSVHCVLITPKRKLAGQLDITRTVLHFSFEFLVEGTGGSSVFSKFKEIEDSDCKSDLGSVERLDGGRDYVIKTPNGVLMQKQSNKIKHHRRWNITKIKAVHWTRYLLQYTATEIFFDDSNAPIFLNFSSQKDAKNAGSLLVSLRNEALFPKGSTKGKSRVISFVDRRVALEMAENARDRWIKREISNFEYLMILNTLAGRSYNDLTQYPVFPWVLTDYTSEKLDFNKSSTFRDLSKPIGALDEKRFEVFEDRYVNFDDPDIPSFYYGSHYSTMGIVLHYLLRLEPFTTLHRSLQGGKFDHADRLFQSIDSAYKNSLSNTSDVKELIPEFFYMPEFLENSNSYHLGVRQDGEPLGDVVLPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEAANIFYYVTYEGAVDLENMDDLLQKSAIEDQIANFGQTPIQIFRVKHPRRGPPVPIAHPLYFAPQSIALTSSVSSTISHMSALLFIGLLDNTVILMNEGLVLSIKLWLTTQLQSGGNFTFSGPQDHFFGIGSDVISPRKIGTFLAENVNFGRQCLATMQINSDKYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVACVAVSSRGNVVATGSYDTTVMIWHAFRGRPSDHVVMERPVHIFCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGRYVRSIRHPSGIGLSKLVASQHGRVVFYSESDLSLHMYSINGKHIASSASGGRINCMELSCCGQFLVCAGEHGQIVLHSMHCLDIIRRYDGAGKTITSLSVTPEECFLAGTKDGSLLVFSMESPLLRRKSMPRTRIKPPTAS >ONIVA02G35560.3 pep chromosome:AWHD00000000:2:30889306:30900054:-1 gene:ONIVA02G35560 transcript:ONIVA02G35560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAMTHCTIGTKLMPVGEQPISIGYERTFAFTGQMGPIYVFSDALSSEQIKGIYNLGPSYMYSFHGDDSLYRGILDARDGISSKIIFGFNAQASDSRSLFSVSSALDSADRSTFEATIMGGTKLCSRHLPQDIIYCVGGVSVFFPLFSCNAVTDGEQSCHTSVINGKLRAEVIELVASVLDANVSNQQQMYLLSGLSIMGFLLQSATPKLLNIETLSALKYMFDVLRNCGMSKVLLKDAILQIYLNPQIWVHSSYEVQRDLYMFLLKYFETDGRFLPLLCGLPRIIDIVCQYYSEYVDCRCAVGSKSLLRTGNKQVVGDRPKIEEICKLRLLLLSLAEMSLKESEPVRLLGLQLVGKLMAGIPSEKKGAKLFTLPIGQSRPLSDNSKNEITAASHLFFYTISERLFKFPLSYNLCAAFFNVLLGGTSPIKEYSQSEQSKNKSCSTSHLVPFFLPQILVCIFRYLQSCQDSSARIRILSELIGLLDSNPTNIEALMEHSWNYWLETSTKLDVLKEYSLVSKGELDNVEIDEVILVRKLYALVLAYYLSAVKGGWHQLEDTVNYFLLKFGQGQLSSSYLLRDILDDIVGSLLQTSSEENIILSQPCCDNVLYLLKLIQELLFNQIGIKLLFPSNPSEESLSSIKWKDDIKSTLNEILIDESHSQYKSFPWKSCQFADEDEKSEDWWNFFDKVWDLICNLNGKGPNKLLPKGPNIEVPSLGQRARGLVESLNVPATEMAAAVVSGGIGTALGAKINRFSEKATLLREEIFPRVFFHLVILYLCKAGLENASKSLLITRSQYGQLDDGARYHVLSHLILETIICGKSMLVPNILGRDDSMEIGNSNKDTGFILNFVQKDRVLAAATVEVKHMKAVQADRLSQLDELQSKLNEHFTEETQLEKMIEDNIHISITSALSADDKRKIAFRLAFDEDQQIVADKWIHISRALIDERGPWSANPFPNDVVTHWKLDKTEDKWRRRLKLKRNYKFDERLCQPSYSRNESTEACVDQSSLSTKVPLKLKRFLLKGVRAIFEDNAYEPIEDTNDTGESSQSSLLENQNPNNVSDLSDYRTAVQNKKESASNNGDNDYTKVLCSVHCVLITPKRKLAGQLDITRTVLHFSFEFLVEGTGGSSVFSKFKEIEDSDCKSDLGSVERLDGGRDYVIKTPNGVLMQKQSNKIKHHRRWNITKIKAVHWTRYLLQYTATEIFFDDSNAPIFLNFSSQKDAKNAGSLLVSLRNEALFPKGSTKGKSRVISFVDRRVALEMAENARDRWIKREISNFEYLMILNTLAGRSYNDLTQYPVFPWVLTDYTSEKLDFNKSSTFRDLSKPIGALDEKRFEVFEDRYVNFDDPDIPSFYYGSHYSTMGIVLHYLLRLEPFTTLHRSLQGGKFDHADRLFQSIDSAYKNSLSNTSDVKELIPEFFYMPEFLENSNSYHLGVRQDGEPLGDVVLPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEAANIFYYVTYEGAVDLENMDDLLQKSAIEDQIANFGQTPIQIFRVKHPRRGPPVPIAHPLYFAPQSIALTSSVSSTISHMSALLFIGLLDNTVILMNEGLVLSIKLWLTTQLQSGGNFTFSGPQDHFFGIGSDVISPRKIGTFLAENVNFGRQCLATMQINSDKYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVACVAVSSRGNVVATGSYDTTVMIWHAFRGRPSDHVVMERPVHIFCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGRYVRSIRHPSGIGLSKLVASQHGRVVFYSESDLSLHMYSINGKHIASSASGGRINCMELSCCGQFLVCAGEHGQIVLHSMHCLDIIRRYDGAGKTITSLSVTPEECFLAGTKDGSLLVFSMESPLLRRKSMPRTRIKPPTAS >ONIVA02G35550.1 pep chromosome:AWHD00000000:2:30884285:30884614:-1 gene:ONIVA02G35550 transcript:ONIVA02G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLNVRCSEIESESSAILPEKKVVIGGGGGGNGEVAERQARAIIRMAKRALEEEEEAGGGGGGEGKLSMKRSMEWFLETRRKRMAAAADAGVHRGRAGECGSSSSCSN >ONIVA02G35540.1 pep chromosome:AWHD00000000:2:30882861:30883869:1 gene:ONIVA02G35540 transcript:ONIVA02G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRASLLLQVVRTSSRSRKGEGGVVFSEVAPWQQQQPISAPAGAGAAGIGSPRPAAKLDTIVEEDHSSMMMQEAATAFQAGPVVVIVSSGGHGLPFRRRRRTWPWRSVADKSSDRADTSVHKSRRNISPETQIICQFTEGFS >ONIVA02G35530.1 pep chromosome:AWHD00000000:2:30870434:30875116:-1 gene:ONIVA02G35530 transcript:ONIVA02G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase 3, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G34630) UniProtKB/Swiss-Prot;Acc:Q5HZ00] MSWRWALARRVAALGATSGGGDGATAQAQRLFSSAAALLGRHPPPPSPPHYQIRSKVVGCRGATFVSSRWLHDAQYQVRQDGLSRSEEQQDPFELVADELSLLANRLRSMVAAEVPKLASAAEYFFKVGAEGKRFRPTVLLLMASALKFPLSDSTEVGVLTILANKLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNCIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMDYYLQKTYYKTASLISNSCKAVAILAGHTADVSMLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLTDIRHGIITAPMLYAMEEFPQLHEVVDRGFDNPANVELALDYLQKSRGIEKTKELAREHANRAIKAIEALPDSDDEDVLTSRRALIDITERVITRTK >ONIVA02G35520.1 pep chromosome:AWHD00000000:2:30868632:30869852:1 gene:ONIVA02G35520 transcript:ONIVA02G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRCTMGSVLPRQRSSDHHAGGGGGGGRQSLAPDDFRDVFGGPPRTVLLRSFYGGEAAAESYHSPAMAQQHHHHVYYGGAADAFCRRGAAAAAAVVPTEEGFFDDIFGAGRHARSRSRSTKSSSVVSSDEFGAGPAFYRPAAASSGCRGGDATLSSFASKLRPIAIPSRRYDSSPPSTASTRCDYQSSFTCSTAAYPASRCYYYAGRTAATNHSSAASSHAAAQQHRHHHRGGGGFCCFTSNPETTSNGHSFRRTRAGGRRARSPAATDTDTATEWSQYSHADYGYYYSPPSAASSSAFGNPLPRTPRRLEEVVMEVRERAPLLMDDDGGGDIDSVGAAAVDEAIAWAKERFWSQS >ONIVA02G35510.1 pep chromosome:AWHD00000000:2:30842383:30847446:-1 gene:ONIVA02G35510 transcript:ONIVA02G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0GD42] MRLSSSSGSVLPAQAASPEAVEEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPYLPAELGSANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLSKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKDDDLTSSLMWLRDSANPGFQSLNFGGLGMNPWMQPRFDASLLGLQPDMYQTIAATAFQDPTKQVSPTILQFQQPQNIGGRANTLLPSQILQQVQPQFQQQQYLQNINETTIQGHAQSEFLQQQLQRCQSFTEQKPQLQTQQQQQESQQQQQQQSQCMQVPQHQQMQQQKNMTNYQSVPNALSPFSQLSSPSQSSPMTLQTVLPFSQPQSYPDTSMSSLSPSNTSTMHNALRPFSSEAPSHLSMPRPTAVPVPDPWSSKRVAVESLLPSRPQVTSQMEQLDSTAPSIPQSSALAPLPGRGCLVDQDGNSDPQNHLLFGVNIDSQSLLMQGGIPSLQGENDSTAIPYSTSNFLSPLQNDFPLDQTLSSADCLDESGYVPCSQNSDQVINRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLENPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPFELLSSAPGKRLGSSCDDYVSRQESRSLSTGIASVGSVEF >ONIVA02G35500.1 pep chromosome:AWHD00000000:2:30834939:30840594:1 gene:ONIVA02G35500 transcript:ONIVA02G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 30 [Source:Projected from Arabidopsis thaliana (AT1G30460) TAIR;Acc:AT1G30460] MDDGDLSFDFEGGLDQGPAGGGGGPAPHSSDPGGVGGGGVGGGGGGGPGDGGGHGRGRGRGSYRQTVCRHWLRGLCMKGEACGFLHQFDKARMPVCRFFRDFGECREPDCAYKHSYDDVKECNMYKMGFCPNGPNCRYKHVKLPGPPPPVEEVLQKILQIRSFNKFNQHRHNNYNQQGERPQHPQGSGLPNQNSIDNTTTTTAQPAVGQQAQTTNQQPPQQQQQQQQQQQQQQKPNTNDQVQSVPNGSSNQATRIATPLPQGPSRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESIENVILIFSINRTRNFQGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSIQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTAILIAAEAKKEEEKAKGVSADEAADNQDIVLFDDNEEEEEEESEEEEEGNGQESQGRGRGRGMMWPPQMPMLRGVGPMMGGRGFPPNMIGDGFSFGGGFGMPDPFGVPRGFPPFGPRFPGDFARGGPMPGMVFPGRPPQPGGMFPMGLEMMMGPGRGPLMGGLGMGGPGRPNRPVGMAPFMPPPPPPNNRGTKREQRRPGGERGDRYETTSDQGSRGHDATGNSGAEGARSQSGDRYGRSALRDDDSESDEEAAPRRSRKR >ONIVA02G35490.1 pep chromosome:AWHD00000000:2:30832858:30833208:-1 gene:ONIVA02G35490 transcript:ONIVA02G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT4G18372) TAIR;Acc:AT4G18372] MPDVISAPDAETTGGGGSSPHVEKLRKMLFRRMLVGVNDGRYFLGLFHCVDKQGNILLQDAVEYRTSARRRSPSSPSSSPAEQRGLGLILIPAACRSSCHVDCAVEESMSLLSLDR >ONIVA02G35480.1 pep chromosome:AWHD00000000:2:30827257:30832130:1 gene:ONIVA02G35480 transcript:ONIVA02G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRPDKPEDAAAAEAKAAKLRDLQAQVLHNHHSRTYTKEALGLSFKLLEINPEAYTAWNYRKLAFQHNIGELSEPEAIKSAIDDELRVVEVALRQNPKSYGAWYHRKWLLNQKLAPVDFKREFGLLDKLLKVDARNFHGWNYRRFLARFMGVPEEEELKYTIDKISDNFSNYSAWHNRSILLSNLLIKQSKGFESKQKIFSEEFELVTQALFTDPSDQSGWFYHLWLLAQTSSPENPQLIASWPSNGSNLSLSSLSSICCYSLKEGILPIVLYFNEPVKGLSSSSVSLNSDLVVSKNIQWRPLSVTDSGHSNCWVTYLEVSNLECNSLQQFSVEVSITNSDEIVSRSGSNYNCPVHFSFTFELSNNDSTAKDIDPIHELISWDFSEPLLSHVNPSCICFEQLKITNSLVHKESNWHLERLSDEIDLFRELHDDNSKFAKLTLARLLLACAAIKSRGSSLVERKGYCEESLGLFNDLIDLDPSHKRYYEDERSLVLMDQLTCDMEAFKKYCSVKALPKLAPLNHVQLCRLSLTRIGFAERLLWVQMLDLSHNNLRSIEGLEALQQLVCLNLGNNQISSFTALEPLTKIISLKVLDLSCNEIGTHPIDTTRYICPSPFSHRVEACEAFEECRKKNINVEEFWDAILFFKHVNLVQLCLEGNAVTNKENLRTLVVTLNPSLKWFDGKFVH >ONIVA02G35470.1 pep chromosome:AWHD00000000:2:30822679:30826178:1 gene:ONIVA02G35470 transcript:ONIVA02G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT4G20930) TAIR;Acc:AT4G20930] MGVVGWRVRSMVQHLGWNCRRGLSSAAVQSQLENVGFIGLGNMGAHMARNLVMAGYKVTVHDVNENTMKKFSDDGIPTKLSPLEVSKSSDVVITMLPSSAHVLDVYNGRNGLLANGGCLGPWLYIDSSTVDPQTSRKISMDISRCTLKEKKAYAEKPMMLDAPVSGGVPAAEAGKLTFMVGGSEEAYLAAKPLLLSMGKKTIYCGGAGNGSVAKICNNMAMAISMLGVSEAFALGQNLGIKASVLTDIFNCSSARCWSSDTYNPVPGVMMDVPSSRNYDGGFTSKLMTKDLDLAMASASGVGFNCPFGSQALEIYRKLCADGCELKDFSCAFRHNYAGKDEN >ONIVA02G35470.2 pep chromosome:AWHD00000000:2:30822679:30826178:1 gene:ONIVA02G35470 transcript:ONIVA02G35470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT4G20930) TAIR;Acc:AT4G20930] MGVVGWRVRSMVQHLGWNCRRGLSSAAVQSQLENVGFIGLGNMGAHMARNLVMAGYKVTVHDVNENTMKKFSDDGIPTKLSPLEVSKSSDVVITMLPSSAHVLDVYNGRNGLLANGGCLGPWLYIDSSTVDPQTSRKISMDISRCTLKEKKAYAEKPMMLDAPVSGGVPAAEAGKLTFMVGGSEEAYLAAKPLLLSMGKKTIYCGGAGNGSVAKICNNMAMAISMLGVSEAFALGQNLGIKASVLTDIFNCSSARCWSSDTYNPVPGVMMDVPSSRNYDGGFTSKLMTKDLDLAMASASGVGFNCPFGSQALEIYRKLCADGCELKDFSCAFRHNYAGKDEN >ONIVA02G35470.3 pep chromosome:AWHD00000000:2:30822822:30826178:1 gene:ONIVA02G35470 transcript:ONIVA02G35470.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT4G20930) TAIR;Acc:AT4G20930] MGVVGWRVRSMVQHLGWNCRRGLSSAAVQSQLENVGFIGLGNMGAHMARNLVMAGYKVTVHDVNENTMKKFSDDGIPTKLSPLEVSKSSDVVITMLPSSAHVLDVYNGRNGLLANGGCLGPWLYIDSSTVDPQTSRKISMDISRCTLKEKKAYAEKPMMLDAPVSGGVPAAEAGKLTFMVGGSEEAYLAAKPLLLSMGKKTIYCGGAGNGSVAKICNNMAMAISMLGVSEAFALGQNLGIKASVLTDIFNCSSARCWSSDTYNPVPGVMMDVPSSRNYDGGFTSKLMTKDLDLAMASASGVGFNCPFGSQALEIYRKLCADGCELKDFSCAFRHNYAGKDEN >ONIVA02G35470.4 pep chromosome:AWHD00000000:2:30823066:30826178:1 gene:ONIVA02G35470 transcript:ONIVA02G35470.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT4G20930) TAIR;Acc:AT4G20930] MGAHMARNLVMAGYKVTVHDVNENTMKKFSDDGIPTKLSPLEVSKSSDVVITMLPSSAHVLDVYNGRNGLLANGGCLGPWLYIDSSTVDPQTSRKISMDISRCTLKEKKAYAEKPMMLDAPVSGGVPAAEAGKLTFMVGGSEEAYLAAKPLLLSMGKKTIYCGGAGNGSVAKICNNMAMAISMLGVSEAFALGQNLGIKASVLTDIFNCSSARCWSSDTYNPVPGVMMDVPSSRNYDGGFTSKLMTKDLDLAMASASGVGFNCPFGSQALEIYRKLCADGCELKDFSCAFRHNYAGKDEN >ONIVA02G35460.1 pep chromosome:AWHD00000000:2:30817273:30821755:1 gene:ONIVA02G35460 transcript:ONIVA02G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAEEGQSSEPADSAAPAQDDSVQTASGHNSRRPNLSLQIPARTLDNQIGTSARINISPSPSSTRAGLPPRPNSTRTKSSLKSIIPQQSFRARSSAQEGDRAILLVPGTPSEGQQDNTSTLRSFSFRKVINSLSAKRTHSLPVTPIATSDKTSSPANQINNLPTTDDQDVQARIRRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPIPVNTTASSDGIEETVDVPGDGGEDIPEEEAVCRICLVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTQTANRRVTNGAHQRASQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLRSHALAISLPFSCVLGLLSSMIASTMVTKSYLWAYASFQFAIVILFAHIFYNVLKVNPVLAVLLSSFTGFGIAISANSMLVEYLRWRSRRNQQLAQTVDDGQRQEPESNAVNENNGDRQQAQHRESGDNIV >ONIVA02G35450.1 pep chromosome:AWHD00000000:2:30813307:30813556:-1 gene:ONIVA02G35450 transcript:ONIVA02G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQQQQQHYATFNNNQINHHTPYALAQDAAGQRMESTVSINWFRRYPRYLPSTVKPL >ONIVA02G35440.1 pep chromosome:AWHD00000000:2:30792673:30794442:-1 gene:ONIVA02G35440 transcript:ONIVA02G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0GD32] MAPRARKKTTKPDHPPQLLSFSFASLQKSISEKPRTKRLSSTSRLLMATTSSLALPLFAGGGGSDRLSQEIFSILESNFLFGAPPPEGPAGYYSSVGRVRVLSIDGGADGGALAAAALVRLERRLKELSGNPDARVADYFDLAAGSGAGGFLAAALFACRMPAEAARDVVARNRKVFSGRRGRGGGLFWRPESVFKKVFGDLTVRDAAKPLLIPCYDMATAAPFVFSRADAVEADAFDFPLWQVCAAACGVGPAEVASLDGRTRLRAAAATGGGGAVSNPAAVAVTHVLHNKREFPFAAGAGDLVVLSLGGNNAAAGPRASSSSLLRIAGACQADMVDQAVSMAFGECRATNYIRIQGNGIVAGAAAATAEAAMTERSVESVLFRGKKVMAQTNGERLDGVAEQLVREHHRRMESKAPVVLIKPSATPRTSSSSASTLITVSTNASSESP >ONIVA02G35430.1 pep chromosome:AWHD00000000:2:30783412:30789334:1 gene:ONIVA02G35430 transcript:ONIVA02G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRARPPLVLLLCLCLASCLSSAIGGVSAAGNGYRTTAFLVDEGGRRLRAELAAVAGAGGGSTAAYGDDVQRLDVYASLETDSRLHVRIADADGPRWEVPQDVIPRPSPEFFLQTSRPGRPVLSTATSDLTFAIHASSPFRFAVSRRSTGDVLFDTSPNLVFKDRYLELTSSLPPPGRASLYGLGEQTKRTFRLQRNDTFTLWNSDIAAGNVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGFHQCRYGYKNVADLEGVVAGYAKARIPLEVMWTDIDYMDAYKDFTLDPVNFPADRMRPFVDRLHRNGQKFVVIIDPGINVNTTYGTFVRAMKQDIFLKWNGSNYLGVVWPGNVYFPDFLNPRAAEFWAREIAAFRRTLPVDGLWVDMNEISNFVDPPPLNAIDDPPYRINNSGVRRPINNKTVPASAVHYGGVAEYDAHNLFGFLEARATHDALLHDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWDDLHYSINTMLSFGLFGIPMIGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLMYEAHTTGAPIARPLFFSYPGDVETYGIDRQFLLGRGVLVSPVLEPGATTVTAYFPAGRWFSLYDFSLAVATKTGKRVTLPAPADTVNVHVAGGNILTLQQPALTSSRVRQSVVHLLVALADDGTATGDLFLDDGESPEMAGPRSRWSQIKFSGATESGGGVVRVRSHVVHDSYAPSRTMAIGKVVLMGLRSPAPPKGFAVYANGVQVNASTAVGGAAGSPEKGELGVAHVSGLTLVVGQEFDLKPGATTVTAYFPAGRWFSLYDFSLAVATKTGKRVTLPAPADTVNVHVAGGNILPLQQPALTSSRVRQSVVYLLVALAEDGTATGDLFLDDGESPEMVGARSRWSQIKFSGATESGGSVVRVRSHVVHDSYAPSRTMVIAKVVLMGLRSPAPPKGFAVYANGVQVNASTAVDGGGGGNPEKGALGVAHVGGLSLVVGQEFDLKVVMTY >ONIVA02G35420.1 pep chromosome:AWHD00000000:2:30772574:30775645:-1 gene:ONIVA02G35420 transcript:ONIVA02G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRSRTGQQQSDPYNEVFSGAENITRYSYKELAKATLNFDQSNKIGEGGFGPVYKGTLKDGTDVAVKLLSLQSRQGVKEFLNELMAISDISHENLVKLHGCCVEGRHRILVYNYLENNSLAHTLLGSRQSNIQFNWRARVNICIGVAKGLAFLHDGVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPSDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLVEIVSGRCNTDTKLPYEDQILLEKYHKCHDSHVLFRDKSFYNFGFCHPTTWKCYDQGCLEKAIDSSMVDDVDVDEACRFLKVGLLCTQDISKRRPTMSMVISMLTGEMEVDKEKISKPDVIRDFRDLKLRSKATSSSSLLTSIMARSTPSSSQETTRTSITVTAISDRD >ONIVA02G35410.1 pep chromosome:AWHD00000000:2:30741831:30746863:-1 gene:ONIVA02G35410 transcript:ONIVA02G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLQVLRVLALGEGEMSGPMQRSSQPQFISSVERNNQSNGPGTPLIDSIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKATNFILWILAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAILVSLIATCFLVELGYSKPNSSEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALTIAFLINISIISVSGAVCGSDNLSPEDQMNCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSRTKMGQHTNSKAISVITWGIGSFIVVINTYFLITSFVKLLLHNGLSTVSQVFSGIFGFLGMLIYMAAILYLVFRKNRKATLPLLEGDSTVRIVGRDTATEGEGSLGHLPREDISSMQLPQQRTASDLD >ONIVA02G35410.2 pep chromosome:AWHD00000000:2:30741829:30747219:-1 gene:ONIVA02G35410 transcript:ONIVA02G35410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPMQRSSQPQFISSVERNNQSNGPGTPLIDSIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKATNFILWILAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAILVSLIATCFLVELGYSKPNSSEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALTIAFLINISIISVSGAVCGSDNLSPEDQMNCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSRTKMGQHTNSKAISVITWGIGSFIVVINTYFLITSFVKLLLHNGLSTVSQVFSGIFGFLGMLIYMAAILYLVFRKNRKATLPLLEGDSTVRIVGRDTATEGEGSLGHLPREDISSMQLPQQRTASDLD >ONIVA02G35410.3 pep chromosome:AWHD00000000:2:30741829:30747214:-1 gene:ONIVA02G35410 transcript:ONIVA02G35410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPMQRSSQPQFISSVERNNQSNGPGTPLIDSIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKATNFILWILAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAILVSLIATCFLVELGYSKPNSSEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALTIAFLINISIISVSGAVCGSDNLSPEDQMNCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSRTKMGQHTNSKAISVITWGIGSFIVVINTYFLITSFVKLLLHNGLSTVSQVFSGIFGFLGMLIYMAAILYLVFRKNRKATLPLLEGDSTVRIVGRDTATEGEGSLGHLPREDISSMQLPQQRTASDLD >ONIVA02G35400.1 pep chromosome:AWHD00000000:2:30733171:30776416:1 gene:ONIVA02G35400 transcript:ONIVA02G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLDGAKPSDAYSPGEQVQEEEKTLETQQKGRTASTAELKHEEAKQRHRHSSNGRALVAIASETTEGEAATEPVNVAMDALLITAILRRGNGPPLSDTLANKSAKENQEREQQSNEHRVSQGHGSLTRNENRIREHERGKYKRMEMSDMSEPGEENAARRRTWDGWGVLSVGRRRRAGEQRAARAEATPTTSSSSSRRLGEERRERVDVVANALLPNCSETAAPAGQPEDLSDSQGTKNVGFDAKEKLSITERKNGTTFCLRASEVISNPILLYISNTPVGGIWNYTVSRRPELTAGFKTYGEQNPMTIIGSPRSKRRNRRSPVETGKRERSKNPTASASGASRDPAGPRRARQRKISAPAD >ONIVA02G35390.1 pep chromosome:AWHD00000000:2:30729296:30740167:-1 gene:ONIVA02G35390 transcript:ONIVA02G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVRNGQITGPFPRRRIAVIRRASMATLTGSVAASPSVVSDAMATRARPLLLCLCLCFASSCLSSAVDAAAAAGNGYRTTAFLVDDEGRRLRAELVAGGGGGNTAYGGDVQRLDVYASLETDSRLHVRITDADHPRWEVPQDVIPRPSPDSFLAATRPGGGRVLSTATSDLTFAIHASSPFRFAVSRRSTGDVLFDTTPNLVFKDRYLELTSSLPPPGRASLYGLGEQTKRTFRLQRNDTFTLWNSDIAAGNVDLNLYGSHPFYMDVRSGGGGAAHGVLLLNSNGMDVIYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGFHQCRWGYKNVSDLEGVVAGYAKARIPLDVMWTDIDYMDAFKDFTLDPANFPADRLRPFVDRLHRNGQKYVVIIDPGISVNATYGTFIRAIKEDIFLKWNGSNYLGVVWPGNVSFPDFLNPRAAEFWAREIAAFRRTLPVDGLWVDMNEISNFVDPPPLNAXHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLMYEAHTTGAPIARPLFFSYPGDVETYGIDRQFLLGRGVLVSPVLEPGATTVTAYFPAGRWFSLYDFSLAVATKTGKRVTLPAPADTVNVHVAGGNILTLQQPALTSSRVRQSVVHLLVALADDGTATGDLFLDDGESPEMAGPRSRWSQIKFSGATESGGGVVRVRSHVVHDSYAPSRTMAIGKVVLMGLRSPAPPKGFAVYANGVQVNASTAVGGAAGSPEKGELGVAHVSGLTLVVGQEFDLKVVMTY >ONIVA02G35380.1 pep chromosome:AWHD00000000:2:30720633:30725493:1 gene:ONIVA02G35380 transcript:ONIVA02G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMNSLSMVEARLPPGFRFHPRDDELVLDYLERKLLDGGVGGAAAAAAAVTIYGCPVMVDVDLNKCEPWDLPEIACVGGKEWYFYSLRDRKYATGQRTNRATESGYWKATGKDRPISRKGLLVGMRKTLVFYKGRAPKGKKTEWVMHEFRKEGQGDPMKLPLKEDWVLCRVFYKSRTTIAKLPTEGSYNNIDSVATTSLPPLTDNYIAFDQPGSMQNLEGYEQVPCFSNNPSQQPSSSMNVPLTSAMVDQEQNNMGRAIKDVLSQFTKFEGNVKREALQSNFSQDGFDYLAESGFTQMWNSLS >ONIVA02G35370.1 pep chromosome:AWHD00000000:2:30703690:30704763:-1 gene:ONIVA02G35370 transcript:ONIVA02G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLQSIGPLARFRLSTHTYQGQPGLFERVHRYRRHGPSRPAISHCSSSWSPATMTAFARLPPKVASNTNAELSSPPKLLKCVEVYPPDPVL >ONIVA02G35360.1 pep chromosome:AWHD00000000:2:30701493:30702659:1 gene:ONIVA02G35360 transcript:ONIVA02G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAASFLSLKNPNTGREHTGTFSTTGATRTPEEYDRSTARERGFAAAWRVHGELELRSRPWNTILPEEFMRGESTERQRGGGGGEGRRRAGLRPARDEEDEEVEWRWEERTRRMRGGLGSAAESRERRGMSSWSWPEEDDDDEREKREEARERDASESELSRRSGAEASSDAEAPDERPLFRWSSNFSDLAAVEFIALPGVLAGADDGDGFGLCAAALFSPSNSRHRLEQLGALGEKTYGSAASTAAAAARSGFIGSEGAGIASGCGGRGAAASISGTAAAFRSGSSFPSAKSSSAGSMVAEPPRLNSKSMKSLDIAGSGVVVVATAAAAARGEEHTTTAAASSAPAASRLNETRGPCHPPNGMTAAGAAAGKLLATAEAMAGGG >ONIVA02G35350.1 pep chromosome:AWHD00000000:2:30701405:30702643:-1 gene:ONIVA02G35350 transcript:ONIVA02G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASNLPAAAPAAVMPFGGWHGPRVSFSRDAAGAEEAAAVVVCSSPLAAAAAVATTTTPEPAISKDFIDFEFSLGGSATMLPADELFADGKLLPLRKAAAVPEMDAAAPRPPQPEAMPAPSEPMKPLRAAAAAVDAADPYVFSPKAPSCSSRWRELLGLKRAAAQSPKPSPSSAPARTPGRAMNSTAARSLKLLLQRNNGRSSGASASELASAPLLRDSSDSEASLSLASSRFSLSSSSSSSGHDHDDIPRLSLDSAADPNPPRIRLVRSSHRHSTSSSSSSRAGRSPARRRPSPPPPPRCLSVDSPRMNSSGKIVFQGLERSSSSPCTLHAAAKPRSRAVDRSYSSGVRVAPVVLNVPVCSRPVFGFFKDKKDAAAKDAMAARTRSSLGRKTTAAPQGWSGELGRSCG >ONIVA02G35340.1 pep chromosome:AWHD00000000:2:30690497:30700419:1 gene:ONIVA02G35340 transcript:ONIVA02G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAASKAAGKEKSRRKGGGGGAGGGGGEQLLTDQVLSLRARLHLALALGLAKSDGGPKKWQSTDAGIQSHVLKAASAFLGCLTNEMLRLPPIKESISDILIALEGILQSKNVSVLIQATDVSLKLVSSVGNLARQYPVLEIVTCLASQLSANQITIAVSSASTLNCILNTLATARSSIHAEIWEALEKTDAVTSVIGALQNYSPDVHPLNYLMEMMSLLRIILWIWPSSRYHVWSNCNLMGKLAQYCVASEMDVAVRVLKLYAALALCGNGAMVLLNNEDLMAKVGALLGKSNPSIARIEALKFYQILLRSSKGCDLLMAPHYQHIIEGTINAMSRDDERLLTIEGCRTALLVLRYAGDHHRLFWSHAIDDVLYKILTGGCTSSHKANQILCHNKLFNMVFENFMDIHSYVWDILGNLAVHCKNDSLAADAMQKSNTMKLSKDVHEPALRAVLMMLLSPSGYILSEASSKLLHVLPLGDDCLNILFTSLESNTTRSITASFDNVKIMSNLMSLAGMVMLQPSNNSLNTRRAVAVLSTIIKECVHNNIHITRPKVVSHLQFCFEGGSCCNLVKEWEGLIRVLQNASCQNLSPGPKLYISRILSLFGLCGFPSKLGGKMRRALDDNELADLELLLSNGESLKAHTAIISVRCPKLLPSAKSLGSDGKITDEWGRSFYHVRMSDRVDSCGLKKILEYTYTNSVMVDDDNIKPVRTLAKYCHLKSLQEMLQKEQPRWNSDCPRYDLTAALEPVKSPLIHINSRDIILEAQSNEEMKCYHGSCQLSTSHVHCHKIVLSMSCDYLRALFQSGMHESFSEVINVPLGWQALNKLIHWFYSGELPKIDPDCRWRNLNSEEQLSQLRPYAELSSLSEFWFLEGVKEESLSVVTSCLSSTSTAASVEFVVFAAQLGQWEMVEAAVGSVAHLYPKLRDSGQLEQLDDDVLNMLRTEYVRRTRRTGVGSAAAQAGARVVTAVVRRAASLVWCRKSRRIGSRQMAVTSRLGVASDGAGDKARRRAQRRGERRKRNQAKQRAQPQSRARANGRKWPPPRRWRSGIREEQGVPSVKAWQEKRKRTQQQRCALPAATAASRLQL >ONIVA02G35340.2 pep chromosome:AWHD00000000:2:30690497:30700419:1 gene:ONIVA02G35340 transcript:ONIVA02G35340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAASKAAGKEKSRRKGGGGGAGGGGGEQLLTDQVLSLRARLHLALALGLAKSDGGPKKWQSTDAGIQSHVLKAASAFLGCLTNEMLRLPPIKESISDILIALEGILQSKNVSVLIQATDVSLKLVSSVGNLARQYPVLEIVTCLASQLSANQITIAVSSASTLNCILNTLATARSSIHAEIWEALEKTDAVTSVIGALQNYSPDVHPLNYLMEMMSLLRIILWIWPSSRYHVWSNCNLMGKLAQYCVASEMDVAVRVLKLYAALALCGNGAMVLLNNEDLMAKVGALLGKSNPSIARIEALKFYQILLRSSKGCDLLMAPHYQHIIEGTINAMSRDDERLLTIEGCRTALLVLRYAGDHHRLFWSHAIDDVLYKILTGGCTSSHKANQILCHNKLFNMVFENFMDIHSYVWDILGNLAVHCKNDSLAADAMQKSNTMKLSKDVHEPALRAVLMMLLSPSGYILSEASSKLLHVLPLGDDCLNILFTSLESNTTRSITASFDNVKIMSNLMSLAGMVMLQPSNNSLNTRRAVAVLSTIIKECVHNNIHITRPKVVSHLQFCFEGGSCCNLVKEWEGENIALIYGLMVLFNLLKSINFVCIHCKRNLDVGIVCNDCRDHYSEGLIRVLQNASCQNLSPGPKLYISRILSLFGLCGFPSKLGGKMRRALDDNELADLELLLSNGESLKAHTAIISVRCPKLLPSAKSLGSDGKITDEWGRSFYHVRMSDRVDSCGLKKILEYTYTNSVMVDDDNIKPVRTLAKYCHLKSLQEMLQKEQPRWNSDCPRYDLTAALEPVKSPLIHINSRDIILEAQSNEEMKCYHGSCQLSTSHVHCHKIVLSMSCDYLRALFQSGMHESFSEVINVPLGWQALNKLIHWFYSGELPKIDPDCRWRNLNSEEQLSQLRPYAELSSLSEFWFLEGVKEESLSVVTSCLSSTSTAASVEFVVFAAQLGQWEMVEAAVGSVAHLYPKLRDSGQLEQLDDDVLNMLRTEYVRRTRRTGVGSAAAQAGARVVTAVVRRAASLVWCRKSRRIGSRQMAVTSRLGVASDGAGDKARRRAQRRGERRKRNQAKQRAQPQSRARANGRKWPPPRRWRSGIREEQGVPSVKAWQEKRKRTQQQRCALPAATAASRLQL >ONIVA02G35340.3 pep chromosome:AWHD00000000:2:30690497:30700419:1 gene:ONIVA02G35340 transcript:ONIVA02G35340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAASKAAGKEKSRRKGGGGGAGGGGGEQLLTDQVLSLRARLHLALALGLAKSDGGPKKWQSTDAGIQSHVLKAASAFLGCLTNEMLRLPPIKESISDILIALEGILQSKNVSVLIQATDVSLKLVSSVGNLARQYPVLEIVTCLASQLSANQITIAVSSASTLNCILNTLATARSSIHAEIWEALEKTDAVTSVIGALQNYSPDVHPLNYLMEMMSLLRIILWIWPSSRYHVWSNCNLMGKLAQYCVASEMDVAVRVLKLYAALALCGNGAMVLLNNEDLMAKVGALLGKSNPSIARIEALKFYQILLRSSKGCDLLMAPHYQHIIEGTINAMSRDDERLLTIEGCRTALLVLRYAGDHHRLFWSHAIDDVLYKILTGGCTSSHKANQILCHNKLFNMVFENFMDIHSYVWDILGNLAVHCKNEYLSVRKGQDSALQALIHCICSLAADAMQKSNTMKLSKDVHEPALRAVLMMLLSPSGYILSEASSKLLHVLPLGDDCLNILFTSLESNTTRSITASFDNVKIMSNLMSLAGMSINFVCIHCKRNLDVGIVCNDCRDHYSEGLIRVLQNASCQNLSPGPKLYISRILSLFGLCGFPSKLGGKMRRALDDNELADLELLLSNGESLKAHTAIISVRCPKLLPSAKSLGSDGKITDEWGRSFYHVRMSDRVDSCGLKKILEYTYTNSVMVDDDNIKPVRTLAKYCHLKSLQEMLQKEQPRWNSDCPRYDLTAALEPVKCSFSFSEVINVPLGWQALNKLIHWFYSGELPKIDPDCRWRNLNSEEQLSQLRPYAELSSLSEFWFLEGVKEESLSVVTSCLSSTSTAASVEFVVFAAQLGQWEMVEAAVGSVAHLYPKLRDSGQLEQLDDDVLNMLRTEYVRRTRRTGVGSAAAQAGARVVTAVVRRAASLVWCRKSRRIGSRQMAVTSRLGVASDGAGDKARRRAQRRGERRKRNQAKQRAQPQSRARANGRKWPPPRRWRSGIREEQGVPSVKAWQEKRKRTQQQRCALPAATAASRLQL >ONIVA02G35340.4 pep chromosome:AWHD00000000:2:30690497:30700419:1 gene:ONIVA02G35340 transcript:ONIVA02G35340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAASKAAGKEKSRRKGGGGGAGGGGGEQLLTDQVLSLRARLHLALALGLAKSDGGPKKWQSTDAGIQSHVLKAASAFLGCLTNEMLRLPPIKESISDILIALEGILQSKNVSVLIQATDVSLKLVSSVGNLARQYPVLEIVTCLASQLSANQITIAVSSASTLNCILNTLATARSSIHAEIWEALEKTDAVTSVIGALQNYSPDVHPLNYLMEMMSLLRIILWIWPSSRYHVWSNCNLMGKLAQYCVASEMDVAVRVLKLYAALALCGNGAMVLLNNEDLMAKVGALLGKSNPSIARIEALKFYQILLRSSKGCDLLMAPHYQHIIEGTINAMSRDDERLLTIEGCRTALLVLRYAGDHHRLFWSHAIDDVLYKILTGGCTSSHKANQILCHNKLFNMVFENFMDIHSYVWDILGNLAVHCKNDSLAADAMQKSNTMKLSKDVHEPALRAVLMMLLSPSGYILSEASSKLLHVLPLGDDCLNILFTSLESNTTRSITASFDNVKIMSNLMSLAGMVMLQPSNNSLNTRRAVAVLSTIIKECVHNNIHITRPKVVSHLQFCFEGGSCCNLVKEWEGENIALIYGLMVLFNLLKSINFVCIHCKRNLDVGIVCNDCRDHYSEGLIRVLQNASCQNLSPGPKLYISRILSLFGLCGFPSKLGGKMRRALDDNELADLELLLSNGESLKAHTAIISVRCPKLLPSAKSLGSDGKITDEWGRSFYHVRMSDRVDSCGLKKILEYTYTNSVMVDDDNIKPVRTLAKYCHLKSLQEMLQKEQPRWNSDCPRYDLTAALEPVKCSFSFSEVINVPLGWQALNKLIHWFYSGELPKIDPDCRWRNLNSEEQLSQLRPYAELSSLSEFWFLEGVKEESLSVVTSCLSSTSTAASVEFVVFAAQLGQWEMVEAAVGSVAHLYPKLRDSGQLEQLDDDVLNMLRTEYVRRTRRTGVGSAAAQAGARVVTAVVRRAASLVWCRKSRRIGSRQMAVTSRLGVASDGAGDKARRRAQRRGERRKRNQAKQRAQPQSRARANGRKWPPPRRWRSGIREEQGVPSVKAWQEKRKRTQQQRCALPAATAASRLQL >ONIVA02G35330.1 pep chromosome:AWHD00000000:2:30687217:30687835:-1 gene:ONIVA02G35330 transcript:ONIVA02G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGPRRRRGKRSTALAVFDASSPAPPSSSRRRRLPSLSTRWRSTGRRLHRRSLDSSSSSSAHLSRCWPPSPSSSRRRRGSASPSRWLSAATSSVPPRLHLITAVCPGLLDCDLSLVPVIASVLKLSNYESVPVNSVELVCNIQSFFIEDLICSTVNSCTPRWIYRDQSMAQCQLCYTLSVSKCLTPLIFLAHV >ONIVA02G35320.1 pep chromosome:AWHD00000000:2:30686055:30686294:-1 gene:ONIVA02G35320 transcript:ONIVA02G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGCGHHAEIDSTTPPSSRNRRASAMAARGCDWRGRWRRYARMPSPSSSTLPLMTRSALLTSSYASSSSKLATPAAA >ONIVA02G35310.1 pep chromosome:AWHD00000000:2:30685585:30685854:1 gene:ONIVA02G35310 transcript:ONIVA02G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTRGGPWCRLPSLLPHVTRGAIDVTVRHRWGEAAGSWRGRSTAERRANAVAAASPMRQRRGSARPTRKERRRGEGVVKRVSAADEE >ONIVA02G35300.1 pep chromosome:AWHD00000000:2:30681696:30682013:-1 gene:ONIVA02G35300 transcript:ONIVA02G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLPREHEQRGEAAVIAIDDGDDGGVQDDDAAVRLALLDAGRALMLCGALASVGSISHNHHGAFVGLLLWLLGVSLLALVPAPPAAARFAAAVLAYFLSPPWW >ONIVA02G35290.1 pep chromosome:AWHD00000000:2:30680331:30681472:1 gene:ONIVA02G35290 transcript:ONIVA02G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTPERVDQHQRCAVVEAVESRMSGGLGNRCHVPTVIVAAFPKPAAVITAVVSDGSGLPAVAGHGSGIPEVAGHGSGLPTEAGDESGIPEVAGHGSSLPPTAPQSPRTRGRGRGRWEEGGAVVDAAASAALAERAAIAEDSREREKCGRRRMMISVGGAAWREPLYFRGVDYFRVWVC >ONIVA02G35280.1 pep chromosome:AWHD00000000:2:30666396:30669530:-1 gene:ONIVA02G35280 transcript:ONIVA02G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLEAAKDVVDLEKAIDIIDAAAVVEAIAGEEKVPADLQVQVQRDASKLNNQHARKKYWLLLWFLIVVWVMILTDHFFDVDGDQQKDHAESDSPHSTLAKFIGFLSVICLMFRALD >ONIVA02G35270.1 pep chromosome:AWHD00000000:2:30659193:30663372:1 gene:ONIVA02G35270 transcript:ONIVA02G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHAYSPNFFLASKYAMLSFTLHSCSHMFIDLTVVCVYVRLQLPPIRRPRHHSLLQRMREWPASRNSRRDRWASRRTGTTTTCS >ONIVA02G35270.2 pep chromosome:AWHD00000000:2:30659652:30663372:1 gene:ONIVA02G35270 transcript:ONIVA02G35270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHAYSPNFFLASKYAMLSFTLHSCSHMFIDLTVVCVYVRLQLPPIRRPRHHSLLQRMREWPASRNSRRDRWASRRTGTTTTCS >ONIVA02G35260.1 pep chromosome:AWHD00000000:2:30658736:30661492:-1 gene:ONIVA02G35260 transcript:ONIVA02G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAPKSAHVVKGVPAQAAVAAGDDDERELPLGGELLHPPQPLQEMGDSQDKEIQEGVQALLNNHGGDIEGGGVDPSNMLPDENGSASSTARKEGKRLTHHYLKFALLLVTISTVPLIDILFLRGDALKLPLGLKFAAFFAFTAFVTAISLMFHTLKLMTIKPEHVISAINQLKLSIVLLATSISSLILSFISITCSLLPKAYYFLPISLVPSILVGVFHFIYNGKFDVRDVRPEESKALKKALKSANQLTLSLVTTSFSGFIGDLLGIYHKTEKLGGQYSYAKVSIFFMLGSGLAGILALLLCRLLSNSEVHRRRRQSPSGIWWQKTVLAIANVVMLAMLVPALLLIAATILHGLLLPAVVFPVVAGAAAWAFIEFCTAAVGEEEEEDGKSELGTMYAIAVAVASVSFGAVLAVFGGLLGGAVGKAQLKVCTFFLTSAFVGAVSLGVVASVAPARKASVAVAAAVLACCGLGTLVLAALALFYQIGA >ONIVA02G35250.1 pep chromosome:AWHD00000000:2:30653335:30653679:-1 gene:ONIVA02G35250 transcript:ONIVA02G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGERDDEAAGCFPAGGGKGKAPSPPPPPPSHEFDGGHRRGLIRRRRRWRPVRGEVFAVGFMVAGAAYFLLADALAVGRDPADGGDPSGGWFFAAYVLWITGLNLLYVLDYLMN >ONIVA02G35240.1 pep chromosome:AWHD00000000:2:30650604:30659144:1 gene:ONIVA02G35240 transcript:ONIVA02G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRLALQIWRELEEEPWPFRKLKSSIQHFSICRGGEEPPAGRVAAVGRVPAHGESIGKKEVSRARHHEPDGEHLAADRPPPPPPPDQPASVPTVELACCPDDEASWKRVYITERRRRRDSSPPLTSRDQVFDMRALVNCCHGADHKRARAARTRVPKPQHASTAAATATLAFLAGATEATTPRLTAPTNADVRKNVHTFSCALPTAPPSSPPNTASTAPNDTDATATAMAYIVPSSDFPSSSSSSPTAAVQNSMNAHAAAPATTGKTTAGNRSP >ONIVA02G35240.2 pep chromosome:AWHD00000000:2:30650606:30659144:1 gene:ONIVA02G35240 transcript:ONIVA02G35240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKTEEQHSAFFHLQRQALTQKQACCPDDEASWKRVYITERRRRRDSSPPLTSRDQVFDMRALVNCCHGADHKRARAARTRVPKPQHASTAAATATLAFLAGATEATTPRLTAPTNADVRKNVHTFSCALPTAPPSSPPNTASTAPNDTDATATAMAYIVPSSDFPSSSSSSPTAAVQNSMNAHAAAPATTGKTTAGNRSP >ONIVA02G35240.3 pep chromosome:AWHD00000000:2:30650606:30659144:1 gene:ONIVA02G35240 transcript:ONIVA02G35240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKTEEQHSAFFHLQRQVLFMFVYLPITERRRRRDSSPPLTSRDQVFDMRALVNCCHGADHKRARAARTRVPKPQHASTAAATATLAFLAGATEATTPRLTAPTNADVRKNVHTFSCALPTAPPSSPPNTASTAPNDTDATATAMAYIVPSSDFPSSSSSSPTAAVQNSMNAHAAAPATTGKTTAGNRSP >ONIVA02G35240.4 pep chromosome:AWHD00000000:2:30650606:30659144:1 gene:ONIVA02G35240 transcript:ONIVA02G35240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKTEEQHSAFFHLQRQALTQKQITERRRRRDSSPPLTSRDQVFDMRALVNCCHGADHKRARAARTRVPKPQHASTAAATATLAFLAGATEATTPRLTAPTNADVRKNVHTFSCALPTAPPSSPPNTASTAPNDTDATATAMAYIVPSSDFPSSSSSSPTAAVQNSMNAHAAAPATTGKTTAGNRSP >ONIVA02G35230.1 pep chromosome:AWHD00000000:2:30640642:30641741:-1 gene:ONIVA02G35230 transcript:ONIVA02G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPAATTTGTQRREWGDSGGGARARLPDAGVRPHQLHDALRHRPRRHRPSRHFPPHRPQPPPPWCLGGVVATLAADSPQLWFMSIPDGLPDDHAHAMGDIVELLESLGTNGSRVKGEGDKEFSVTLELHARLWKTPSTLVAIAALAWSARWCATKLGVHSIRQSPSRVAQLMERRVGGGGLVMPSAGLQSFTYIALLPVYNRMVVPLARRLAGGGRDSITMLQHVGAGMAIACLTTVVAALVEARRLRVARDTGLVDRPDATVPMDVWWLVPQHVLVGVTEVLAFFYDQLADELHIVKLAVSHGNFISSSHIPAKIKSKMEKMEKMAK >ONIVA02G35220.1 pep chromosome:AWHD00000000:2:30638338:30639273:1 gene:ONIVA02G35220 transcript:ONIVA02G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAFAACLLACALLFQMCVASRKLTALVQDQPITMTYHKGALLSGRIAVNLIWYGNFSAPQRAVITDFVSSLSTPPSPQPQPEPSVASWFKTAQKYYANSKARFPALSLGQHVLDQSYSLGKRLGEKDLVRLAARGSPSRAINVVLTADDVAVDGFCMSRCGTHGASPRSRAGRFAYVWVGNPATQCPGQCAWPYHQPVYGPQAAPLTPPNGDVGVDGMVISLASMIVGTVTNPFGNGFFQGDADAPLEAATACAGVYGKGAYPGYAGSLLVDPASGASYNANGAHGRKYLVPALVDPDTSACSTVG >ONIVA02G35210.1 pep chromosome:AWHD00000000:2:30637662:30638104:1 gene:ONIVA02G35210 transcript:ONIVA02G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTPASGDSPQRSKLGWRWPTLHAIPTFVFPCSPHSTLQAASSLLEKPTCFYPLPQCPLYPFRPQSPNCPCLYAGFTIAIEPLIYSIRGASLRGRMVRCPLSNSWEQAGHAKPAGQ >ONIVA02G35200.1 pep chromosome:AWHD00000000:2:30627609:30628595:-1 gene:ONIVA02G35200 transcript:ONIVA02G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRRVCTVHHMSHAAIVLVSVLLLCSAHPSAGARRLMELYKPPPSEQLTYHNGTVLRGDIPVSVVWYGRFTPAQKAVVSDFLLSLTVASPAPTPSVSQWWNTINQLYLSKAAAQGKNGGGGGKITTQVRLAGQLTDDQCSLGKSLKLSQLPALAARAKPKKGGIALVLTAQDVSVEGFCMSRCGTHASNAKARTAYVWVGNSATQCPGQCAWPFHQPVYGPQTPALVPPSGDVGMDGMVMNIASMVAGVVTNPFGDGFYQGPKEAPLEAATACPGVYGSGAYPGYAGNLAVDPATGASYNANGAHGRKYLLPALFDPATSTCSTLV >ONIVA02G35190.1 pep chromosome:AWHD00000000:2:30617467:30623156:-1 gene:ONIVA02G35190 transcript:ONIVA02G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAVMLALLVLVSTAQVSMGARRRMELYKPDPADMLSYHSGAVLQGNIPVSIYWYGKFTPAQKSILFDFLLSLSVAPYAAAPSVAQWWSSIDELYLSKAVQTNSNGQSKKTQVLVASQVSDINCSMGKSLTLAQVAALAAQAKPKKGGIALVFTAQDVTVEGFGMSRCGLHGSDAKSGTAYIWVGNPATQCPGECAWPFHQPMYGPQGAPLVAPNGDIGADGMVMNLASMLAGTVTNPFGDGYYQGSRDAPLEAATACPGVFGSGAYPGFAGELKVDQATGASYNANGANGRKYLLPALYNPSTAAVSLSLVQLSMGSRRLMELYIPPASDQLTYHHGSVLSGDIPVSILWYGKFTPTQMSIIADFVVSLTGAPNAATPSVGQWWGTIEQLYLSNAATNSQTSTRVLLDEQVSDEQCSLGKSLTLAQIDQLAARVGTKRGGVALVFTDEDVTVEGFCSSRCGKHGSDASAGTTHIWVGNSAKQCPGQCAWPFAQPVYGPQGTPLVAPNNDVGADGMVMILASMVAGTVTNPYGDGFYQGPQDAPLEACSACPGVYGSGAYPGNAGKLLVDATTGASYNANGANRRKYLLPALYNPATSSCDTLV >ONIVA02G35180.1 pep chromosome:AWHD00000000:2:30607967:30608400:-1 gene:ONIVA02G35180 transcript:ONIVA02G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSPHRAFLVAMILVSFLLGAAAGIRSTTLSSSQSLAEDKSRLGSTPPSCHNRCNACNPCTPVQVAALPGVSRPASAADRVDVAGFAQYSNYKPLGWKCRCAGRLFDP >ONIVA02G35170.1 pep chromosome:AWHD00000000:2:30598936:30601201:1 gene:ONIVA02G35170 transcript:ONIVA02G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCZ6] MLTFSYHKHKYCLLCGISCSCTINTSCPAPISRTNSPIRPHSHHRSSSFPRRQETPGESMGSLGAAGKPHAVCMPYPAQGHITPMLNVAKLLHARGFDVTFVNTEYNHARLVRTRGEAAVAGAPGFRFATIPDGLPPSDDDDVTQDIPSLCRSTKETCLAPFRRLLAQLNDPATGHPPVTCVVSDVVMGFSMAAAKELGLPYVQLWTASSISYLGYRHYRLLMERGLAPLKDVDQLTNGYLDTPVEDVPGLRNMRIKDFPSFIHTTNPEEYMVGYVIEETERCKDASAIIVNSFGDLEGEAVAAMEALGLPKVYTLGPLPLVARKDPPSPRRSSIRLSLWKEQEECLQWLDGKEAGSVVYVNFGSITVMTNEQLVEFAWGLANSGREFLWIVRRDLVKGDTAVLPPEFLAETAERGLMASWCPQQDVLNHPAVGAFLTHSGWNSTLESLAAGVPVISWPFFADQQTNCRYQCNEWGVGMEIDSNVKRGAVAGLIAELMEGQKGKEMRRKAEEWREKAIRAAKPRGSSHRNFEELVRHVLLAKES >ONIVA02G35160.1 pep chromosome:AWHD00000000:2:30588875:30589560:-1 gene:ONIVA02G35160 transcript:ONIVA02G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNRRRQQGSWATTKSRAPPLAVVSSSAPTRRDIPISLDGRRNRTQGTQSHLVAAKVQSASSGSGSGGGRERWRRWARAVRTPSPPHPPAHGHRRGTDAAAGLKGDPYAVGVNAVMEFPGNPWYSPDRVIYLRPLSGEFPGYYG >ONIVA02G35150.1 pep chromosome:AWHD00000000:2:30585366:30587189:-1 gene:ONIVA02G35150 transcript:ONIVA02G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCZ4] MVLPDSDTFGLHAPVRHCSVYTALSQDQICTNPPDSNTFGLTAAPSVRPSARRRRRHTAMSSCEARRAHAVLIPQPAQGHVTPMLHLAKALHARGFFVTYVNSEYNHRRLLRSSGPGALAGAAGFRFEAVPDGMPESGNDDVTQDIAALCVSTTRHSAEPFRELLVRLNSTPGTPPVSCVIADGVMSFAQRVAEEMGILALVFWTTSACGFMGYLHFAELIRRGYVPLKDESDLTNGYLDTPIDWIPGMRGIRLKDVPSFIRTTDPDDVMLNFDGGEAQNARKARGLILNTYDALEQDVVDALRREFPRVYTVGPLPAFAKAAAGEVGAIGGNLWKEDTGCLRWLDAQQPGSVVYVNFGSITVMSPAHLAEFAWGLACCGRPFLWVIRPDLVSGEKAMLPEEFVGETKERGVLASWCPQELVLSHPSVGLFLTHCGWNSTLESICAGVPMICWPFFAEQPTNCRYVCDKWGVGMEIDSNVSRTEVARLVREAMEGERGKAMRVNAMVWKEKAKEATEEGGSSSRNLDRLIEFLHSSGSDAR >ONIVA02G35140.1 pep chromosome:AWHD00000000:2:30580746:30582295:-1 gene:ONIVA02G35140 transcript:ONIVA02G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCZ3] MGSNSRLHAVLIPYPAQGHVTPLLHLAKVLHSRGFHVTFVNSEYNHRRLLRSRGTGALAGLDDFRFETIPDGLPPPSESDNDDVTQDIPTVCTSFLTHGPAAFGALLARLNSEPGTPPVSCVIPDGVMSFAQRVASDMGILAPAFWTTSACGFMGYLHYAELIDRGYVPLKDESYLTNGYLDTVLDWVPGMPGIRLRDMPSFIRTTDRDEFMLNFDSGEAQNARHAQGLILNTFDAVEDDVVDALRRIFPRVYTVGPLLTFAGAAAARRPEVGAIGGNLWKEDASCLRWLDAQQPGSVVYVNFGSITVMSPAHLAEFAWGLARCGRPFLWVIRPDLVAGEKAMLPEEFVSETKERGIFLSWCPQEQVLEHPATGLFLTHSGWNSTLESISAGVPMICWPFFAEQMTNCRYACTKWDIGLEIDTDVKREEVARLVQEAMDGEKSKDMRAKAMAWKEKAVAATEEGGTSSAGIDRLVEFLLARGDHAS >ONIVA02G35130.1 pep chromosome:AWHD00000000:2:30574490:30580539:1 gene:ONIVA02G35130 transcript:ONIVA02G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTSSAGSAAPAHAPAAGGAGGGGSSGVPNHRTRFGDTTLTKVFVGGLAWETPSKGLQDHFQQYGEILEAVVITDRETSRSKGYGFVTFREPESAREAVRNPNPTIGGRRANCNIASMGPPRPSPSRGRAPRGSHFPDQPHMGPQPYMGGRLPPQHMTAPPQQMYYHPQFGRPCTIHKRYSITTLSCMVQHPLQHRRTSLWGTCRVPWVQGLASLRCINKLHGHLSFSSLRRSSTGALSLQDLLSHRISDSSCPLMHSQGNQMKQPVLNQLRQSPRVQLQLQPQTARKHQRLIDDHDGASYYDR >ONIVA02G35130.2 pep chromosome:AWHD00000000:2:30574490:30582051:1 gene:ONIVA02G35130 transcript:ONIVA02G35130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEQAGRRMLQDLLLRAPAQKDPSLFGLADELFRQHGLLAGDEVGPDDPQEWPAAARQPPRELGEMSRRHDGDATEVDVHDRAGLLGVQPPEAARVLLPEVAADRAHLGAPRRCGPRERQERADGVHAREDAAQRVDDVVLHRVERVEDEALRVARVLRLAAVEVEHELVPVGRADEAGHVAKPDAWHPRHPVEHGVEVSVGQVALICNMSMHEQKHFTVRTAIHNKTVIVSSSVLPLSGT >ONIVA02G35130.3 pep chromosome:AWHD00000000:2:30578403:30582051:1 gene:ONIVA02G35130 transcript:ONIVA02G35130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEQAGRRMLQDLLLRAPAQKDPSLFGLADELFRQHGLLAGDEVGPDDPQEWPAAARQPPRELGEMSRRHDGDATEVDVHDRAGLLGVQPPEAARVLLPEVAADRAHLGAPRRCGPRERQERADGVHAREDAAQRVDDVVLHRVERVEDEALRVARVLRLAAVEVEHELVPVGRADEAGHVAKPDAWHPRHPVEHGVEVSVGQVALICNMSMHEQKHFTVRTAIHNKTVIVSSSVLPLSGT >ONIVA02G35120.1 pep chromosome:AWHD00000000:2:30566822:30569371:-1 gene:ONIVA02G35120 transcript:ONIVA02G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1-like 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) TAIR;Acc:AT1G62830] MEEGSEAQPPLQPEAVSAEASEPPPPVPMDQDEGQAAAAEAMEGEAEGAAAAAGTIEGEAGYAAADADPMEDEAADEAGAAEPMEDDPPTSSAPSATAAVDDSTIARKRRRRKKQFPGMIPTAGVRVLRAAASAPSAAHLNGVPRRRGRPPTSSSLRLARELDAEALIALAAGFPADSLSEDEVAAAVLSRIGGVDQTNYLVVRNHVLALWRSNPLSPVASNAALASIRAEHAHLVAAAHSFLSDHAYINFGLAPSVISLPPCPPPSLPPPSVLIVGAGFAGLAAARHLMSLGFKVAIVEGRLRPGGRVFTKSMRSTAAEYPDIAAAADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPDGRPVDPDMDARVEAAFNQLLDKVCQLRQVVADSIPHGVDVSLGMALEAFRAAHGVAAEREERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSRFVRALADGIPIFYGQNVRRIQYGCDGAMVYTDKQTFRGDMVLCTVPLGVLKKGNIQFVPELPAQKREAIERLGFGLLNKVVLLFPYDFWDGRIDTFGHLTEDSGQRGEFFLFYSYSSVSGGPLLIALVAGESAIEFEKTSPAENVEKVLETLRKIFSPKGIEVPKPLQAICTRWGTDKFTYGSYSYVAIGSSGDDYDILAESVCDRVFFAGEATNRRYPATMHGALLSGYREAANIVRAARRRAKKVDSPKKMDVNNEVKYEVKVDNIDLDDLFRTPDAAFGGFSVLHDPSTSEPDSISLLRVGIGARKLGSGSLFLYGLIMRKNVANLAAMEGDEQRLSTLYRDFGTKLVGLDGLGDSGSSLISRIKAAVRK >ONIVA02G35110.1 pep chromosome:AWHD00000000:2:30563777:30564346:1 gene:ONIVA02G35110 transcript:ONIVA02G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETAALQLSGEKARALTSIRRYSNAPILAAQRLDTDKIHLPHLTIQVHPLDRDADAVGEEKRGKRRRVVTDLKMIDGSLDPSPWRQNRTAKDF >ONIVA02G35100.1 pep chromosome:AWHD00000000:2:30556796:30561493:-1 gene:ONIVA02G35100 transcript:ONIVA02G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78240) TAIR;Acc:AT1G78240] MSRALYRGASGIGGKPAAGYDAADEARYDPKEPSENGIGGAGGRGRKRHLAVAAVKIGVLVLAAAALVGSVAWAGSLYAGRGAAAAAAAAAASRGYRRLQEQLVTDLLDIGELAGGGVRAREAEVCVPEYENYVPCYYNVSDTVDVADLGGGVVISYERQCSREGKIACLVAPPRSYRIPVRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTLGAHLFQRDLLTMCIANYEASGSQVQITLERGIPAMIGSFASKQLPYPYLSFDMVHCAKCNIEWDKNDGGFLVEVDRLLRPSGYFVWTSSLNTHRALRDKENQKKWRTIRDFADSLCWEMLSQQDETIVWKKTNKLDCYSSRKSGPVLCTHDPESPYYQPLNPCIAGTRSQRWISIEHRTTWPSQSRLNSTELDIHGVHSEDFAENTANWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGFLSLEKHQKHRCSTLDIFLEVDRILRPEGWVIIRDTAPLIEAARSVVTQLRWDARILDLDIASDEKLLVCQKPFIRKQ >ONIVA02G35090.1 pep chromosome:AWHD00000000:2:30552399:30554470:-1 gene:ONIVA02G35090 transcript:ONIVA02G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLCWTALLLPIIAATAAASPLPEACPVPTAAEEILGPGGTCTPLDRRGDPVGVIEGDEVTLAKAITLVHMNKDDYIAVLFYASWCPFSQECKPNFEILASLFPSIRHFAFEESSIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKSLAAFYRDVSGFDVSMTSEAVLHSVDGIELKKDAEQENCPFWWARSPEKILQQDTYLALATAFVILRLLYLLFPKIGSFAKRAWRRHTLFPNLVGVHEYFFTYLEQARHKFFRLYPSKRGNLQEGARNATAWASKSLASVSIGEPSTIGRTNSTNELR >ONIVA02G35080.1 pep chromosome:AWHD00000000:2:30534982:30554534:1 gene:ONIVA02G35080 transcript:ONIVA02G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGARKLAGTLRLLAAGRGSGCIPRARASPCETSFLPHRSYSAAKTGENGGATGGGKRSRIRRIVSIGVISIAGGVALSALNDLAIFHGCSSKAIKKATENQEVVEAIGVPIVRGPWYDASLAVGHRRRSVSCTFPVSGPQGSGIFQFKAIRNGDDGVLSFLRHHDWDILVMEAHLHVPSDDDKQKTLKINLIDCPDHPSRVSDCESIPWEREEQES >ONIVA02G35070.1 pep chromosome:AWHD00000000:2:30529977:30534747:-1 gene:ONIVA02G35070 transcript:ONIVA02G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASLRHHLLLRRRPRFPLGPSPTFRTSSLPRRHQRLFASAPSSSTVENGEPSSPVEPSDGASVVDVNPPRGTRDFPPEDMRLRTWLFDQFREVSRVMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGMPKVRAESELLQAIILLFERLGITSSDVGIRVSSRKVLQAVLNMYSIPEHLFTEVCVIVDKLGKLTREEIEKKLVTIGLSSEAVLGIIEVLSLKSLPKLEEVLGSDVEAVADLKKLFSFAEQYGYADWICFDASVVRGLAYYTGIVFEAFDRDGKLRAICGGGRYDRLLSTFGSEDIPACGFGFGDAVIVELLKEKGLLPDLSRQIDDIVFPLDEELEGPACSVASSLRRQGRSVDLVEDKRLKWVFKHAERINAGRLILVGKSEWERGMVRVKILSTREEFEVKAGELQ >ONIVA02G35060.1 pep chromosome:AWHD00000000:2:30519919:30530811:1 gene:ONIVA02G35060 transcript:ONIVA02G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon at the outer membrane of chloroplasts 64-V [Source:Projected from Arabidopsis thaliana (AT5G09420) TAIR;Acc:AT5G09420] MDSSARSGGGGTGGYTSTRVWIVAGVAIAGAIVFVEAARRRRRWLRDRSEVPPDFGAFCYRFEIAPAPQPPPPAARQLLSGLTFAASDNFEIEGYVAGFGNPDWKRTHKAATRTAVPVTMLQKQGGTYVGSTVMDELGFGVSGGNLHNGTPINPASPSLFPGGSCSGSAVAVSAQLVDFALGTDTTGDVRIPACFCGVLCFKSSHGVVSTLGTIANSQSLDTIGWFARDPSVLHRVGDVLLPAATGGLTQTRQLFFADDCFQLLKVPNEKTVNVIENAIQTLPGYQPPKHINIGEYISSHVPSLKDFCEPTVEMLEGMSALKALSTVMLLLQRYEFKTNHEDWVNTVKPKLGPDTSTRVLQAVNSKSDNIKSLYIVRNELRAALKTLLKDTGILVLPTTAGYPLKRNARQRLSPGFEDRMSAFVGIATLSGCCQAVIPLGSHNDDPISLSLLAAHGSDKFLLRNVLYMFSSIKEQVVLASKLVTAPIINRDADFGAAELLKEKGNSAFKGRKWSKAVEFYSDAIKLNGTNATYYSNRAAAYLELSRYKQAEADCEQALLLDKKNVKAYLRRGIAREAALNHQEALQDIRHALALEPQNKAGLLAERRLQKKLR >ONIVA02G35060.2 pep chromosome:AWHD00000000:2:30519919:30526512:1 gene:ONIVA02G35060 transcript:ONIVA02G35060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon at the outer membrane of chloroplasts 64-V [Source:Projected from Arabidopsis thaliana (AT5G09420) TAIR;Acc:AT5G09420] MDSSARSGGGGTGGYTSTRVWIVAGVAIAGAIVFVEAARRRRRWLRDRSEVPPDFGAFCYRFEIAPAPQPPPPAARQLLSGLTFAASDNFEIEGYVAGFGNPDWKRTHKAATRTAVPVTMLQKQGGTYVGSTVMDELGFGVSGGNLHNGTPINPASPSLFPGGSCSGSAVAVSAQLVDFALGWFARDPSVLHRVGDVLLPAATGGLTQTRQLFFADDCFQLLKVPNEKTVNVIENAIQTLPGYQPPKHINIGEYISSHVPSLKDFCEPTVEMLEGMSALKALSTVMLLLQRYEFKTNHEDWVNTVKPKLGPDTSTRVLQAVNSKSDNIKSLYIVRNELRAALKTLLKDTGILVLPTTAGYPLKRNARQRLSPGFEDRMSAFVGIATLSGCCQAVIPLGSHNDDPISLSLLAAHGSDKFLLRNVLYMFSSIKEQVVLASKLVTAPIINRDADFGAAELLKEKGNSAFKGRKWSKAVEFYSDAIKLNGTNATYYSNRAAAYLELSRYKQAEADCEQALLLDKKIIYVLNVKAYLRRGIAREAALNHQEALQGVTIFYLDITFY >ONIVA02G35050.1 pep chromosome:AWHD00000000:2:30504933:30506436:-1 gene:ONIVA02G35050 transcript:ONIVA02G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTTEEDEKLMEYIQKNGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTSAEKDTILQLHAVLGNKWSAIAKHLPGRTDNEIKNYWNTHLKKDLIQKGIDPTTHRPRTDFFAALPQLIALANLRQLVGQLPWDDPAAAAAGLQQAEAAQAAKFGQYLHLQALLQPPPPSAATSPRSGCIGGAAAAMPGGDMEQMGAGLLSPPAMSSAALSPMSTSSLSPLPSPMSSTALSPLPPSSFFGNGGQGSFLAGQLPNIQMHGSSLFDQSAAIINDANHNQDYAASTGEREIINGATKTTTTTLLPEGSLPPLADYPTISNLGDVYSTPSCDGNITEFPLLPDALFDELMRDYVSPSSSF >ONIVA02G35040.1 pep chromosome:AWHD00000000:2:30499784:30502614:1 gene:ONIVA02G35040 transcript:ONIVA02G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L29 family protein [Source:Projected from Arabidopsis thaliana (AT5G65220) TAIR;Acc:AT5G65220] MATMSLAAASPLASTPRGLAVSTPRTAFLGLRTGGASLAPFPGLAAAAAPPRLPAGRGDAAVARMAKREQELEEIRAMPTEKIEEEVVDLKGELFMLRLKRSARQEFKSSEFGRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENKEE >ONIVA02G35030.1 pep chromosome:AWHD00000000:2:30491912:30496266:-1 gene:ONIVA02G35030 transcript:ONIVA02G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R family protein [Source:Projected from Arabidopsis thaliana (AT1G77680) TAIR;Acc:AT1G77680] MRATGEHTAAVPIPAPPQLPAPGAVTEDAAEKERRRRRRAPRRTKQAAAQQEEPAAAAGPRSCRSMPPMHVSAPLDAEAVVEEEAAGTSRSCPLLPTPSPVEALPVAGMGRGAAGRRFFQSHWPEQAVEEAIKGGNAFVGKFRAYCTIEGIPVDVLITGVAQNRAIEGDLVAMTLEPVAYWTRMKGPNITCNPAIGEGSAVREVGETNGNHDGKKGQTDANCRFGNCSNGQPVSDRTHYRHKNSGFSKAVTCENGHATVPENCGKDSGEGKSEASRALHRICSMVYSNPSRRPTGKVLAIIKKSPRRDTVVGFLAPFAVCPDPEQQKNQMSMLSKRMNHRASSYFSGLILLLPTDSKFPHMVVNVSTLPDSVRNHLEEGDAAKELVAARISDWNEESLYPCAHVIQLLGKGGQVKTHMDAILFENAISDAEFSPESMECLPDICWKIPQEELETALDAEAQIRSTSVYTLRRKISMLPSRLSEDLVSLNPGVDRLTFSIIWDIDPHGNIINRWIGRTVISSCCKLSYDLVQDLICSDGTKFKSASSLQVHGIFEQDDVIKSLRCLYEISKNLKEIRFKGGALSLETAKLMIQFDEGGAPCDSFRYERNDACFIVEELMLLANMSAAEVISNAFPNCALLRRHPEPNLRKSREFEAFCARNGFELDGSSSGQLHLSLSRMKEKLKDDPVLFDILMFYASKQMQSAEYFCTADLISKRDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEKMYLKHRRSLTGQNGFNTASCEMMHGCFTGLQFSKDAAESKEGSDALSAAAKKFKVPSPDNLGEVAEHCNERKWASRRAEDAGQKLYMWALIKRKEVLVANARVLGLGPKFMSVYVPKLAMERRIHYDEVEGLSIEWLEATGTLVLDSCRNRPPQRRGNQVKYRAFEEVAMMVNPSESIFSEEDEESGATEAGCDTAKSVLLSDEAVKAQVAPAVLPLVIQYLSEIPVVLHAIGGEDSALDIGVRLYMSSYFK >ONIVA02G35030.2 pep chromosome:AWHD00000000:2:30491912:30496266:-1 gene:ONIVA02G35030 transcript:ONIVA02G35030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R family protein [Source:Projected from Arabidopsis thaliana (AT1G77680) TAIR;Acc:AT1G77680] MRATGEHTAAVPIPAPPQLPAPGAVTEDAAEKERRRRRRAPRRTKQAAAQQEEPAAAAGPRSCRSMPPMHVSAPLDAEAVVEEEAAGTSRSCPLLPTPSPVEALPVAGMGRGAAGRRFFQSHWPEQAVEEAIKGGNAFVGKFRAYCTIEGIPVDVLITGVAQNRAIEGDLVAMTLEPVAYWTRMKGPNITCNPAIGEGSAVREVGETNGNHDGKKGQTDANCRFGNCSNGQPVSDRTHYRHKNSGFSKAVTCENGHATVPENCGKDSGEGKSEASRALHRICSMVYSNPSRRPTGKVLAIIKKSPRRDTVVGFLAPFAVCPDPEQQKNQMSMLSKRMNHRASSYFSGLILLLPTDSKFPHMVVNVSTLPDSVRNHLEEGDAAKELVAARISDWNEESLYPCAHVIQLLGKGGQVKTHMDAILFENAISDAEFSPESMECLPDICWKIPQEELEVRKDLRNVLTFTIDPPTATDLDDAISIEMLSGGIVRIGVHIADVSYFVHPETALDAEAQIRSTSVYTLRRKISMLPSRLSEDLVSLNPGVDRLTFSIIWDIDPHGNIINRWIGRTVISSCCKLSYDLVQDLICSDGTKFKSASSLQVHGIFEQDDVIKSLRCLYEISKNLKEIRFKGGALSLETAKLMIQFDEGGAPCDSFRYERNDACFIVEELMLLANMSAAEVISNAFPNCALLRRHPEPNLRKSREFEAFCARNGFELDGSSSGQLHLSLSRMKEKLKDDPVLFDILMFYASKQMQSAEYFCTADLISKRDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEKMYLKHRRSLTGQNGFNTASCEMMHGCFTGLQFSKDAAESKEGSDALSAAAKKFKVPSPDNLGEVAEHCNERKWASRRAEDAGQKLYMWALIKRKEVLVANARVLGLGPKFMSVYVPKLAMERRIHYDEVEGLSIEWLEATGTLVLDSCRNRPPQRRGNQVKYRAFEEVAMMVNPSESIFSEEDEESGATEAGCDTAKSVLLSDEAVKAQVAPAVLPLVIQYLSEIPVVLHAIGGEDSALDIGVRLYMSSYFK >ONIVA02G35030.3 pep chromosome:AWHD00000000:2:30491912:30496266:-1 gene:ONIVA02G35030 transcript:ONIVA02G35030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R family protein [Source:Projected from Arabidopsis thaliana (AT1G77680) TAIR;Acc:AT1G77680] MRATGEHTAAVPIPAPPQLPAPGAVTEDAAEKERRRRRRAPRRTKQAAAQQEEPAAAAGPRSCRSMPPMHVSAPLDAEAVVEEEAAGTSRSCPLLPTPSPVEALPVAGMGRGAAGRRFFQSHWPEQAVEEAIKAYCTIEGIPVDVLITGVAQNRAIEGDLVAMTLEPVAYWTRMKGPNITCNPAIGEGSAVREVGETNGNHDGKKGQTDANCRFGNCSNGQPVSDRTHYRHKNSGFSKAVTCENGHATVPENCGKDSGEGKSEASRALHRICSMVYSNPSRRPTGKVLAIIKKSPRRDTVVGFLAPFAVCPDPEQQKNQMSMLSKRMNHRASSYFSGLILLLPTDSKFPHMVVNVSTLPDSVRNHLEEGDAAKELVAARISDWNEESLYPCAHVIQLLGKGGQVKTHMDAILFENAISDAEFSPESMECLPDICWKIPQEELEVRKDLRNVLTFTIDPPTATDLDDAISIEMLSGGIVRIGVHIADVSYFVHPETALDAEAQIRSTSVYTLRRKISMLPSRLSEDLVSLNPGVDRLTFSIIWDIDPHGNIINRWIGRTVISSCCKLSYDLVQDLICSDGTKFKSASSLQVHGIFEQDDVIKSLRCLYEISKNLKEIRFKGGALSLETAKLMIQFDEGGAPCDSFRYERNDACFIVEELMLLANMSAAEVISNAFPNCALLRRHPEPNLRKSREFEAFCARNGFELDGSSSGQLHLSLSRMKEKLKDDPVLFDILMFYASKQMQSAEYFCTADLISKRDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEKMYLKHRRSLTGQNGFNTASCEMMHGCFTGLQFSKDAAESKEGSDALSAAAKKFKVPSPDNLGEVAEHCNERKWASRRAEDAGQKLYMWALIKRKEVLVANARVLGLGPKFMSVYVPKLAMERRIHYDEVEGLSIEWLEATGTLVLDSCRNRPPQRRGNQVKYRAFEEVAMMVNPSESIFSEEDEESGATEAGCDTAKSVLLSDEAVKAQVAPAVLPLVIQYLSEIPVVLHAIGGEDSALDIGVRLYMSSYFK >ONIVA02G35020.1 pep chromosome:AWHD00000000:2:30484079:30491288:1 gene:ONIVA02G35020 transcript:ONIVA02G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPSSLGSKAAHFVSDLTTVILNPISEREPSSPLPEVDKDEEESEDDKDSEQNSDTPDGPDTSSFRAFLISFLSSSGSSNGSMEIIPDQNGELGYPTLTPMGKSKKGKSGLLSRGKHSIGKIISKAARIGGFKQNVEPKIDREVVDHVESVSPVLELEESKEVASFINLPAMSEPSVLLSEVMRFNIYASFPVLAKGMNWVLLYSTWRHGISLSTLYRRSMLCPGYSLLFNWYSKASSQCANIHIEVPNILTWVVGDKEGAVFGGLVEAPLQPTSAKKYQGSNSCFVFTNLHSNPSIYRPTGANNYFTVCSTDYLALGGGGHFALYLDADLLSGSSSNSETFNNMCLSHSPDFAVKDVELWGFVYPSKYDETLALCRTEKPGICRW >ONIVA02G35020.2 pep chromosome:AWHD00000000:2:30484079:30491288:1 gene:ONIVA02G35020 transcript:ONIVA02G35020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVGRRWGFDPCDEVTHVVMNDFALMNDHTVLLQGHDKSRISPAGYLTRSGPTQGGGIRNNIGYCDGRSINESCGKRSTYPPTYKKDVTVPKSTKPSIFDADEYVSVSNVSDVPSSEGNTMQDEHRNKGKDLLYCDWSELLNLDDLEADLRSFESTFEIGSNHFEDPLWSSVCLPDAQLVPSSCLLDNTNLSTVSNESTTKSILSSVSVSDTTSAEPLFLDQNNMANPINIQQPPSKGRSSATLNHEALACSSGEIERFSQHSDVDVFYPFDNVTSSERISGCEGLEAIFCTNQEMLAPTTSSILCDDEIVSSSTFSAPDLVATYVPRSMKRSHDPLNGTPDMILDEMAGNPLEMYFPPSLTAYEHPEHLNNVTLTQTHQFPEGFAGDDVLKSADLQFLSKGKTSADLCVNPCSQLILEAVPVKDLGFHKLQEGMNQLDVASKARIRDALYRLANCVEHRHRIASTTETVNQLGVMESSASKRWREIQMMNPMDRSVAQLLLQKPLHHKSPPDSALGIGP >ONIVA02G35010.1 pep chromosome:AWHD00000000:2:30478407:30480364:-1 gene:ONIVA02G35010 transcript:ONIVA02G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCX4] MATLTVPAAVPPVAEDCEQLRKAFKGWGTNEKLIISILAHRDAAQRRAIRRAYAEAYGEELLRALNDEIHGKFERAVIQWTLDPAERDAVLANEEARKWHPGGRALVEIACTRTPSQLFAAKQAYHERFKRSLEEDVAAHITGDYRKLLVPLVTVYRYDGPEVNTSLAHSEAKILHGKIHDKAYSDDEIIRILTTRSKAQLLATFNSYNDQFGHPITKDLKADPKDEFLGTLRAIIRCFTCPDRYFEKVIRLALGGMGTDENSLTRIITTRAEVDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >ONIVA02G35000.1 pep chromosome:AWHD00000000:2:30474225:30477263:1 gene:ONIVA02G35000 transcript:ONIVA02G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILFRFVFILVPHKRHVDVTWGEGQVNPLLGNPLAAWSHERAASANRKSYELPVIEFISRKKWPMELYQVQQKGEKTSPYVSAWPKRSSAWRIYYRDNHRRAIPLANLHTLLSLCHEKPMYSCDHECTAPTHTAFGAKYQPCIGVSGRPDHHAVGRLQQPAGKAAAAAVVSAAAQPQRAAKKRHAAAAPPRPSASTSRRSSTTVVATDVSNFRSMVQELTGFPAAAIFRPLPRRIPVHAVNPSPAVRGYGGGALQGHGSDTATAAGSSSSSSPGVPTVQLMQCSPPGVFDGLPDLGSPEFDSWPDLSEE >ONIVA02G34990.1 pep chromosome:AWHD00000000:2:30463219:30466380:-1 gene:ONIVA02G34990 transcript:ONIVA02G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRRPPSGCAMFGIYSGMFRRRRSNSMSSIARINGVPSATAEHEHEAEAKAASAPANQAHRKGGGVHDDSSLAHRPAKPLPGTNNGAQRAHAPASDRAVHATKAANGGARNAASAAPAAEYTGMAAELDKMILDHQRVKGTTQLVRATSGNMMLHRNLGNLNAGVPGASARSSLERNPANKPANERKATNGYAFSGLGNIVKEPRAPPASSELCRALSHRTDPEKLKEMGNEEYREGHYAEAVALYDQAIMVDPTRPAYWSNKAAALAALGRLIEAVGDCREAVRIDPSYGRAHHRLGGLYLRLGEPDKAIHHFKQSANDSTGADVSRAQSVKSRVAKCGDARKLRNWITVLQESQAAVADGADCAPQVMALQAEALVKLSRHDEADAVLGGAPRFGVDESTKFFGTVAHAYVLMIRAQVDMAAGRFEDAVATAQTACQLDPSNREIANVHRRAKVVASARLRGNDLFKASRFAEACAAYGEGLDRETGNAVLLCNRAACHARLARYEKAVEDCNGALAMRPAYSKARLRRADCNVKLERWEASLRDYQVLIQELPENEDMKKALSEVEAKLRSQRNGGIASRSQQ >ONIVA02G34980.1 pep chromosome:AWHD00000000:2:30459655:30460593:1 gene:ONIVA02G34980 transcript:ONIVA02G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRGSNGAATDLLVCFPSRAHLALMPPKAICSPSRPSASEPVKRRHSTSRSGPPPPSAALFKAAASGRNPSRRAADVPVDNEPSSPKVTCAGQIKARRPAAKPKISGAGGGAGGAKAAKKATWLQALGIKKDALPFLNAVHGAFRLNVAGCFGSFPGAVVEYTSGEDDDDEELAAGKDTEHGAALAKWFMVLEEGKKVSSRKREQEPQKQQEEEEQDKKEADVAPPANALMLMRCRSAPAKGLPRRLGGDAEEEVIKNSKKEEEEEDEKEERLVLMSYAPDFFKVSVDIAKETWIVGGDDAVLRCRSWKR >ONIVA02G34970.1 pep chromosome:AWHD00000000:2:30454650:30455714:1 gene:ONIVA02G34970 transcript:ONIVA02G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDKLALAVAHVAFPDGDLFTFPDLEPHGAGAGGEGGTAGYLAACGDRLLLADDEYGVLRLTSPLTGDTVVLPGLVIGGGVSVRDVPVVLADEAAPSGTAPRRWRDSEEMSVLKLVVCPVGGGGGGLVVAAIVGREHFAKVALCTPEGFVWSISARDRWRWYDDMAFHGGRLYALTQAEDLLAFDVVDAGDGEPVVTGVERVVRSSVDALDVEDTRMHYLVTSLDGALLMVRREMADAGSTDGFEVFEADLAASRWVEVGGLGAGGEALFVGRLCSRAVRAPDDGDQIFFLDDTDGLSFRWELQPRPPYQVAAYDMVRRTFSMLMWKKPLEDGNTPVTWLFPDDDDDDDRVTK >ONIVA02G34960.1 pep chromosome:AWHD00000000:2:30433714:30438322:1 gene:ONIVA02G34960 transcript:ONIVA02G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELALAPPPPMAGEQDVPVAVAHLALPDGKLFTFPETAPVPHVHGGGEDSAGYDAACDGWLLFDDDEFVVLRLTSPLTGKTRLLPALSEDTVRIRDNAIEVVGAPWRDGDGDAGAEDMSVRKLVMSPYDAGLVAAVVGREHFAKVALCTMEGFSARDRWRWYDDAAFHSDGRLYALTHGEDLVAFDVGYEDTGEPVVSRVERVVRGDRAARPWHTRTHYLVASRAGPLLMVNRVMPDAGTTRGFAVFVPDMASRRWVEVSRLDAGGEAFYVGRLSSRAVPSLDDADKIFFLDDTDGMSFRTERQPRPPYHAAVYDMAGGKVSELLPRQPLVDGKTPVTWLFPADDDDAARTLEKLARSTAGRIRNVS >ONIVA02G34950.1 pep chromosome:AWHD00000000:2:30425413:30426492:-1 gene:ONIVA02G34950 transcript:ONIVA02G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIKSSLTDSWLGIDGTPINEVPKGIGGLKFLNDLEGFPIGGGEEDVSDIENMFEKLILPCTLEDLVLTRYFGRKYPTWLGTTCLCSLEYLTLRWCKSCVCLPTIGQLHNLKYLRIEGAIAVTKIGPEFLGCSMGKHRTIEETVAFSRLELLTFIDMPNWEEWSFVEDNDEAAATAEPVANEREANDAAAKPKIEAPVRRLQLLPCLKKLHLRNCPKLRAFLRQLGKVATSLKVLTIGEARCLKVVEDFPFLCDNLSIIGCNSLKRISNLPQLRDLCVARCPNLRCVKEFGSLQ >ONIVA02G34940.1 pep chromosome:AWHD00000000:2:30381241:30389724:1 gene:ONIVA02G34940 transcript:ONIVA02G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVINILLACCAISSPPLGYLPGLSIMHLHGMSDMAAECGSGNCDAWAARDPSGILSPYKFNRREVQSEDVSLRITHCGVCYADVIWTRNMFNDSIYPLVPGYCFKIPADYPLSKAAPLLCAGITVYTPMIRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKREEAINLLGADNFVISSDENQMESLKSSLHFIIDTASGDHQFDPYLSLLKVGGVMVLLSFPSEIKVHPENLNLAARSLSGSVTGGTKDIQEMINFCAANNVYPDIEMIKIDYVNEALQRLINRDVRFRFVIDIENSFK >ONIVA02G34940.2 pep chromosome:AWHD00000000:2:30381164:30389724:1 gene:ONIVA02G34940 transcript:ONIVA02G34940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMAAECGSGNCDAWAARDPSGILSPYKFNRREVQSEDVSLRITHCGVCYADVIWTRNMFNDSIYPLVPGYCFKIPADYPLSKAAPLLCAGITVYTPMIRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSESKREEAINLLGADNFVISSDENQMESLKSSLHFIIDTASGDHQFDPYLSLLKVGGVMVLLSFPSEIKVHPENLNLAARSLSGSVTGGTKDIQEMINFCAANNVYPDIEMIKIDYVNEALQRLINRDVRFRFVIDIENSFK >ONIVA02G34930.1 pep chromosome:AWHD00000000:2:30362612:30364156:-1 gene:ONIVA02G34930 transcript:ONIVA02G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWPVAEIESLRGNHVNVTDKLVRGGGGGNYFEVSGLATPAQADVEATFQVMDLDKAEPFDPAWRGADAQAVCAARGADARGGVGPFGLWVLASDELKERTAVFFSVFKRDDADVARVGGGKKHVVLTCNDPSRSSYAEQLYKPTYAGFVDIDHSVVESFGGHGKTAILARVYPTKAVGDKARLFVFNNGESDVKVTNLNAYDMGSAKITTDA >ONIVA02G34920.1 pep chromosome:AWHD00000000:2:30360159:30362103:1 gene:ONIVA02G34920 transcript:ONIVA02G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATMIASPFPAVEKCSSKDRGGDTIVADLDGTLLCGRSSFPYFAHMAFETGGVLRLLALILLAPLAGVLYYLVSESAGIQVLIFASMAGARVADVEAVARAVLPKFYCSDIHPESWRVFSACGRRCVLTANPRMMVEAFLKEYLGADIVVGTELVVWRGRATGLVRSPGVLVGENKAAALREAFGDASPEIGIGDRRTDYPFMRLCKEGYVVPVSPTPRPVPREELPKPVVFHDGRLVQKPSPALALLTVLWIPIGFVLACLRIAAGALLPMRVVYHAFRALGVRVTVKGTPPPPASRETGQSGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEILSPIRTVRLTRDRAADAAMIRRLLAEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKALDPFYFFMNPSPGYVVTFLSKLPGELTCNGGGGRSSHEVANYIQRLIASTLSYECTSFTRKDKYRALAGNDGTVVSKPNIDEKKAMGC >ONIVA02G34910.1 pep chromosome:AWHD00000000:2:30344997:30357158:1 gene:ONIVA02G34910 transcript:ONIVA02G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEKTNAGNPVFVGEEKDAVHIKFCTPLPPHYFRPLALPHNRRVSETQPPPPPPRTHRLLLTSHPRAAESSVARRPFLPALRRCPCRRRGRTLSSNLNNSASNLQDSSGRPFTASFSGQSGSVPGAFHHSGLHNIHANFNLPNMPGSLAQRNAAMSGLPSSGVQQPGGSISARFASNNLPVGMSQLPHGHSGVSSRVNVGGGPAFSSSLNIGGTIQGLSSNLGAGGNRNSVPGMSVSPALGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLSGNIGSGGSPQMMNMMGSSYPTSGGSLSQNQIQGGNNSLGSMGMLHDANDSAPYDMNDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGSTSDYAMELHHKEQLHDNVPVMQAQQYPMSRSVGFNLGSNYPPNRQQHQQGANSVQNAGPQNIGLRSSASQTSSLGSYEQLIQQYQQPQTQNPFRLQQVSSATQSYRDQSLKSIQGGQTPPDPYGLMGLLGVIRMNDADLASLALGMDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGEPEFHIPACYNAEQPPPLQPIHFQKFQTLTLFYIFYSMPRDEAQLCAASELYNRGWFYHKEVRVWLTRIPNVEPLVKTPHYERGSYGCFDPNNWETIRKDNFVLHYDQIEKKPAIPSSSQTVRGNISWTEKTHS >ONIVA02G34900.1 pep chromosome:AWHD00000000:2:30343310:30344530:-1 gene:ONIVA02G34900 transcript:ONIVA02G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77405) TAIR;Acc:AT1G77405] MSSPSRLVPQLLVALLQRRRFDATLRPSPAFRGFSPPTIAAALAAIPRLLLPRSSRRLCPQRPFPSPSSAPTRRLAAALTLAFLSWSHSDANPRRPAHLTEPPLRAAALSLARARALPALFRLLRDHAPLVSTAALTDVIRALGEEGLPRHALAAFHRARQFHCSPDAQCYNTLLAALCRNGRFKDARFLLDQMERPGARCGPDSYTYTVLISSYCRIGVETGCRKAARRRIYEAGRLFRRMGEKGLEPDVVTYNCLINGLCKTYRVERAHEVFDEMLRKGCSPNRVTYNSFVRYYSVVNEVDKAVKWMREMVARGHGGATSSTYTPIIHSLCESGRISEARQFIIEMAESGHLPREHTYKLVKDEIEKAHEEALPVELCQSIDAGIKERFQQVLRMKPIMRSVTR >ONIVA02G34890.1 pep chromosome:AWHD00000000:2:30337897:30344602:1 gene:ONIVA02G34890 transcript:ONIVA02G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCW0] MFGRDPWGGPLEISNADSATDDDRSRDLDRGALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCMVLDRKIFMWTVGTILGVGLFIGFVMMIVKLVPHKRPPPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSCMKDGLSDSTVRKSLVGGFYDAGDAIKFNYPMAWSMTMLSWSVIEYKAKYEAIGELDHVKELIKWGTDYLLKTFNSSADTIDRIVAQVGVGDTSKGGAQPNDHYCWMRPEDIDYPRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVRGAKALYKFGRLQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNTYLSVATAPGMAKHAGAYWLDSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPMYNSFNFTKGRHKWKLNNGRFTGGMIQLNHGRPQPLQYVVNAAFLASLYSDYLDAADTPGWYCGPTFYTTEVLRKFARSQLDYVLGKNPLKMSYVVGFGNKYPKRAHHRGASIPHNGVKYGCKGGFKWRETKKPNPNILIGALVAGPDRHDGFKDVRTNYNYTEPTLAANAGLVAALISLTNIHVKSGIDKNTIFSAVPPMFPTPPPPPSAWKP >ONIVA02G34890.2 pep chromosome:AWHD00000000:2:30337897:30344602:1 gene:ONIVA02G34890 transcript:ONIVA02G34890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCW0] MFGRDPWGGPLEISNADSATDDDRSRDLDRGALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCMVLDRKIFMWTVGTILGVGLFIGFVMMIVKLVPHKRPPPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSCMKDGLSDSTVRKSLVGGFYDAGDAIKFNYPMAWSMTMLSWSVIEYKAKYEAIGELDHVKELIKWGTDYLLKTFNSSADTIDRIVAQVGVGDTSKGGAQPNDHYCWMRPEDIDYPRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVRGAKALYKFGRLQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNTYLSVATAPGMAKHAGAYWLDSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPMYNSFNFTKGGMIQLNHGRPQPLQYVVNAAFLASLYSDYLDAADTPGWYCGPTFYTTEVLRKFARSQLDYVLGKNPLKMSYVVGFGNKYPKRAHHRGASIPHNGVKYGCKGGFKWRETKKPNPNILIGALVAGPDRHDGFKDVRTNYNYTEPTLAANAGLVAALISLTNIHVKSGIDKNTIFSAVPPMFPTPPPPPSAWKP >ONIVA02G34880.1 pep chromosome:AWHD00000000:2:30332500:30336091:1 gene:ONIVA02G34880 transcript:ONIVA02G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT3G28460) TAIR;Acc:AT3G28460] MASSSALASSPFLPPLSTPNPRALSLRLPARRLPVASSAAPSGAAAAASARERRRFLERYGLNPDDFEDDAEAEPREERRRDRRNRRSGRGEAEDAPAKAAAEPRETHKMLQVLGGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGFPASLRPGRWLDLYSGTGSVGIEAMSRGCSEVFPRTEVKAHFVEMDPWVVSEVLKPNLECTGFLDVSHIHMIRVENFLANAEKSSGKYPSFDYISVTPPYLEVNYSTLLDQLARSPLVGEDCFILVEYPLKTDMAESCGSLIKVADRRFGMLITGKERCLDSVTALLNSNEWLSSSRNYQQ >ONIVA02G34880.2 pep chromosome:AWHD00000000:2:30332500:30336091:1 gene:ONIVA02G34880 transcript:ONIVA02G34880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT3G28460) TAIR;Acc:AT3G28460] MASSSALASSPFLPPLSTPNPRALSLRLPARRLPVASSAAPSGAAAAASARERRRFLERYGLNPDDFEDDAEAEPREERRRDRRNRRSGRGEAEDAPAKAAAEPRETHKMLQVLGGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGFPASLRPGRWLDLYSGTGSVGIEAMSRGCSEAHFVEMDPWVVSEVLKPNLECTGFLDVSHIHMIRVENFLANAEKSSGKYPSFDYISVTPPYLEVNYSTLLDQLARSPLVGEDCFILVEYPLKTDMAESCGSLIKVADRRFGMLITGKERCLDSVTALLNSNEWLSSSRNYQQ >ONIVA02G34870.1 pep chromosome:AWHD00000000:2:30330139:30331074:-1 gene:ONIVA02G34870 transcript:ONIVA02G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:syntaxin of plants 111 [Source:Projected from Arabidopsis thaliana (AT1G08560) TAIR;Acc:AT1G08560] MNDLMTKSFMSYVDLKKAAMKDLEAGGDGVELPEVGVTDERLKGFFQETEAVEEEMAAIRDALARLNAANEEGKSLHQPDALRALRGRVNADIIAVLRRARDIRARLEAMDRANAAQRRLSAGCREGTPLDRTRTALTAALRKKLKDLMLDFQALRQRIMSEYKDTVERRYYTLTGEVPEEEVIERIISEGRSEELLCAAVAEHGKGAVLATVHEIQDRHDAAREVERSLLELHQVFLDMAVVVESQGEQLDDIERHVNSATTYVQGGNKELRKAREHQRSSRKWLCIGIIILLLLVLLVIVPIATSFKRS >ONIVA02G34860.1 pep chromosome:AWHD00000000:2:30325767:30326396:-1 gene:ONIVA02G34860 transcript:ONIVA02G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNQPINPGGHPAFPAARETGQLMPASVRFDGLSTQQSTAAAVGRAHAGQSPRWQAQTLRRPSSYVGVEHDEPADAAAAAALAPFQPLTLDFLRSLLDRNAAVAADQGGGADVAPPPPPLHALRVVVSSAVELDARQTELIARKMRRITGFASLTIENVVDPSLIAGFVVCYGPGESHVIDLSVKGKLAMLKNRVDSFDQTIAHQHQ >ONIVA02G34850.1 pep chromosome:AWHD00000000:2:30323947:30324978:1 gene:ONIVA02G34850 transcript:ONIVA02G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain family protein [Source:Projected from Arabidopsis thaliana (AT4G01600) TAIR;Acc:AT4G01600] MATSWAAPPPGYPYAQGQGGAQPPHPPQSTAVAVTPVSNGVGNPYVIVTPASASPSTCQFRTAPNMADAAVARLAQGTKVYAEGGHDRVFTQAFGVVPGEQLRKAYACYLSTSSGPVIGTLYISTARLAFCSDSPISYHAPAVAVAGAAPAHPPEAIYKATTFFIASVVLPLNQVKSVNPSASMTNRGERYIQIMTTDNHEFWFMGFVSYDKALKNLYEALQRRA >ONIVA02G34840.1 pep chromosome:AWHD00000000:2:30285034:30286486:-1 gene:ONIVA02G34840 transcript:ONIVA02G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSEKAAGAVGGKAARACDSCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRVSSPPPLTARASVEEEAAAAVGTTTTTTSKREGGVTPAWSKRKARTRRPQVKSVGQLLSRRLVVPEMAVESSDERKADEDGAHEELEGQLLYRVPVFDPSLAEFCSPPPIDDAAAASSSCFKEDAADGAVEDAKYPAAAASSPVQQLPDSFVNFEPTDAELREFAADMEALLGQGLDDSNELQDSFYMETLGLITPPVEESSRVKMELDGGVASSSRVSLPSCRAHPKPEDVESADVLDIDFNCTSPDEQKSSASNGAAADSQFFHRSLDLRLNYEAIIESWGNSPWTDGRPPHGQLDDFWPNDHHYSGLWAAGGGGHGAEVGMMTVRPRMDGPGREARVTRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRPSAAAAPCAVT >ONIVA02G34830.1 pep chromosome:AWHD00000000:2:30282444:30285333:1 gene:ONIVA02G34830 transcript:ONIVA02G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATACSTAPLLGGARLPAVGAALPPPSVLLLPQRNFPSPLRLHDAPRLSLLRARASSDDTSSSAATGDELIEDLKAKWDAVENKSTVLTYAGGAIVALWLSSVIVGAVNSVPLVRLHYIAPVNFVSVLVEQCSKFTCMWICYLLQLPKFMELVGLGYTGWFVYRYLLFKESRKELADDVDSLKKRIAGTDFDKFCVNFCANRVHEAYDRTQASLDTGHVRMVPRAGPCAIVTAHGAAAAEGRLTNRPFIRGRFSAFSFRTSYRIFLENSRVRRFSLYLVTRASRPGPSIRGLTVIIPTSAPCPPPPAAHNPCTNTVFDSVCMSHALA >ONIVA02G34830.2 pep chromosome:AWHD00000000:2:30282444:30283955:1 gene:ONIVA02G34830 transcript:ONIVA02G34830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATACSTAPLLGGARLPAVGAALPPPSVLLLPQRNFPSPLRLHDAPRLSLLRARASSDDTSSSAATGDELIEDLKAKWDAVENKSTVLTYAGGAIVALWLSSVIVGAVNSVPLLPKFMELVGLGYTGWFVYRYLLFKESRKELADDVDSLKKRIAGTE >ONIVA02G34830.3 pep chromosome:AWHD00000000:2:30284998:30286801:1 gene:ONIVA02G34830 transcript:ONIVA02G34830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRAGPCAIVTAHGAAAAEGRLTNRPFIRGRFSAFSFRTSYRIFLENSRVRRFSLYLVTRASRPGPSIRGLTVIIPTSAPCPPPPAAHNPCTNTVLSGT >ONIVA02G34830.4 pep chromosome:AWHD00000000:2:30282444:30283955:1 gene:ONIVA02G34830 transcript:ONIVA02G34830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATACSTAPLLGGARLPAVGAALPPPSVLLLPQRNFPSPLRLHDAPRLSLLRARASSDDTSSSAATGDELIEDLKAKWDAVENKSTVLTYAGGAIVALWLSSVIVGAVNSVPLVRLHYIAPVNFVSVLVEQCSKFTCMWICYLLQLPKFMELVGLGYTGWFVYRYLLFKESRKELADDVDSLKKRIAGTE >ONIVA02G34830.5 pep chromosome:AWHD00000000:2:30284998:30285333:1 gene:ONIVA02G34830 transcript:ONIVA02G34830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRAGPCAIVTAHGAAAAEGRLTNRPFIRGRFSAFSFRTSYRIFLENSRVRRFSLYLVTRASRPGPSIRGLTVIIPTSAPCPPPPAAHNPCTNTVFDSVCMSHALA >ONIVA02G34820.1 pep chromosome:AWHD00000000:2:30279868:30280788:-1 gene:ONIVA02G34820 transcript:ONIVA02G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTACRRYIGPLLCVNLVMHAAVLGLAGWSLNKFIDGETHHHLGGNTSSGYLLVFSLMAGVVGVCSVLPGLLHVRAWRGETLAAAASTGLVSWALTALSFGLACKHITLGNRGRRLRTLEAFIAILTLTQLLYLILLHTGSLGSSMTLGLSRRNCDDDEELRCDEIPREELYTNKKPAIVAGA >ONIVA02G34820.2 pep chromosome:AWHD00000000:2:30279868:30280788:-1 gene:ONIVA02G34820 transcript:ONIVA02G34820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTACRRYIGPLLCVNLVMHAAVLGLAGWSLNKFIDGETHHHLGGNTSSGYLLVFSLMAGVVGVCSVLPGLLHVRAWRGETLAAAASTGLVSWALTALSFGLTALCALTPAAYSLTHYYNPTTLGWRFDPLACKHITLGNRGRRLRTLEAFIAILTLTQLLYLILLHTGSLGSSMTLGLSRRNCDDDEELRCDEIPREELYTNKKPAIVAGA >ONIVA02G34810.1 pep chromosome:AWHD00000000:2:30278024:30281278:1 gene:ONIVA02G34810 transcript:ONIVA02G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGRGSACNGAAVLGAAAAVVIVGFLVMSAAPLAEAARYTVGDSGGWRFYAEGWAKGKTFRAGDVLEFKYNAVVHDVAAVDLAAYRSCTVPKGVRKMRSGRDKVTLRKGTHYFICTEPGHCKAGMKLAVRAI >ONIVA02G34800.1 pep chromosome:AWHD00000000:2:30269688:30274944:-1 gene:ONIVA02G34800 transcript:ONIVA02G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRGGFELLHMVAPSMRQQPHYLQERHNEITALYLGPMQSAKSLSCQKCFFLLQNTLDN >ONIVA02G34790.1 pep chromosome:AWHD00000000:2:30267033:30273619:1 gene:ONIVA02G34790 transcript:ONIVA02G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLVVFSSTGRLYEFSSTNMKTVIDRYTNAKEELLGGNATSEIKIWQREAASLRQQLHNLQESHKQLMGEELSGLGVRDLQGLENRLEISLRNIRMRKGSLIHQENIELSRSLNVMSQQKLELYNKLQACEQRGATDANESSSTPYSFRIIQNANMPPSLELSQSQQREGECSKTAAPELGRLHLP >ONIVA02G34780.1 pep chromosome:AWHD00000000:2:30260203:30266659:1 gene:ONIVA02G34780 transcript:ONIVA02G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAAAGGGTSRAGRARQSRRGRREHGTTMVTSVRGSWMQHYRSYFDSITAKIRSHTIYFGQWFKIKRHHYFIYINNTGGSIGLCVVK >ONIVA02G34770.1 pep chromosome:AWHD00000000:2:30258403:30259869:-1 gene:ONIVA02G34770 transcript:ONIVA02G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGCSLLSPATSGLPPKSPFSKRASNGAALRCRAAFSGDAVLRAFRRHHLDGRALHANPALVPALAACARLLPSAAAEAEQIHALLVKSGDPPSVSGVYASTSLVRVYARLGRLGDARKMFDGMPVKTVVSWNVLLDGIVRASDLDAAWEVFVEMPERNVVSWNTVIAGFVRHGWVQEAVDLFAEMTVVYGLAPDEATMVGFVSAVRDMGVLGIGRCAHGYVIRREFSLDGALGVALINMYTRCGSMGDAFRCFSSVASKNVEQWTSVIGGFAAHGHPEMALRLFTEMRQLGIEPNGVTFLAVLNACSHGGLVNEGFKYFNLMRSMGIKSTMQHYGCLIDLLGRAGFLEEAFELASSLPEDPGLVIWSSLLAACQSHGNVEMAEVAARKLAHAEPRHGSSYVLLSNTYARAGQWEDLKRTRRKMEEYGVMKQPGLSWIELDGRVHSFVSADKLHTESEDIYQMLEDLKVNLVSAGSEPETLALPEI >ONIVA02G34760.1 pep chromosome:AWHD00000000:2:30255716:30259431:1 gene:ONIVA02G34760 transcript:ONIVA02G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYDASWDGSYEFSCEIDDEASRDLAKMPGVLAVKPDTDKVDMSEKDNHGSGLSAANLGNFSDAVSNHSSSSGENEFWLVRMEKPGVEVVTKAQMVDHYTQTLMKVLGNEKDAQVSIYHISWERDYGFCCHIDEECAKELADVSGVLSVQPDTNFGSDNKNYKGDDSFKSSEATQAEVKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEEAGGAALKAMNGQIINGWMIVVDVAKHRSRDRQPPYSASGRSNQVLRSRYHTG >ONIVA02G34750.1 pep chromosome:AWHD00000000:2:30248158:30249509:1 gene:ONIVA02G34750 transcript:ONIVA02G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAASLLFLLAVASSATVPAHGRRDLLPTRIKLVRGADAGAGAVAGGDKMECVYTVYIRTGSIWKAGTDANITLELAGADGNGVGITDLPSWGGLMGEGHSYFERGNLDIFSGRGPCMAAPPCWMRVASDGTGPHHGWYCNYVEVTVTGAHKGCAQQLFTVEQWLATDAAPYKLEAIRDKCSAAGAGAAAA >ONIVA02G34740.1 pep chromosome:AWHD00000000:2:30244959:30247405:1 gene:ONIVA02G34740 transcript:ONIVA02G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLETNTEMSLSLLQGYSSAEEDDPAAAGAGAGELSDSGDSSAEDAGSDGEEASAAPRPAAKPRPRPNPSRGDAGGGGGDGSLLPSALDAFAEISGPPEFLNNRVAEPEEAVEALGVLDRRGKGGRGSDSKQPPPGAVVVAKPQLVAIRERVSSGTNGANPPVSTEGKRIIGAANPGPEDAADLLRMCLQCGIPKTYSHARGMVCPVCNDRPEQAREPEKKKGSTVKDKEKIKRMRGQSSHASWKSETEMALRQQFD >ONIVA02G34730.1 pep chromosome:AWHD00000000:2:30234807:30238125:1 gene:ONIVA02G34730 transcript:ONIVA02G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCT8] MGSTWRPPTCPIAGPTPRSYKYPVLSHSASTHLSNSRRSEHTHSLPVSSSSSSLEAVRLDECLALCLWCVIMTNQDVVVSEMGIAAGAALPGGPAGPAGGLFACRSAAASMRQTYLDLAAAAVAARSASCTSWADAMRASSPTRSSRSASDVDEFTAWVRKHPSALSKFEEIAAKSRGKKIVMFMDYDGTLSPIVADPDTAYMSDAMRAAVREVAKTFPTAIVSGRCRDKVRNFVGLSDLYYAGSHGMDIKGPSSNPESALCQPASEFLPMIDEVYKTLVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALGEQVKAVIKEYPKLKLTQGRKVLEIRPSIKWDKGKALEFLLESLGFANCGDVLPVYIGDDRTDEDAFKVLRKRGQGLGILVSKCPKDTNASYSLQDPTEVMEFLLRLVEWKRKSSSSSLMIRPRV >ONIVA02G34720.1 pep chromosome:AWHD00000000:2:30214519:30216608:1 gene:ONIVA02G34720 transcript:ONIVA02G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDMYKYNTSTHQIASSDQELMKALEPFIRSASSSSASSPCHHYYSSSPSMSQDSYMPTPSYPTSSITTAAATTTSSFSQLPPLYSSQYHAASPAASATNGPMGLTHLGPAQIQQIQAQFLAQQQQQRALAGAFLRPRGQPMKQSGSPPRAGPFAAVAGAAQSKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDKAAFRLRGDLARLNFPTLRRGGAHLAGPLHASVDAKLTAICQSLATSSSKNTPAESAASAAEPESPKCSASTEGEDSVSAGSPPLPTPLSPPVPEMEKLDFTEAPWDESETFHLRKYPSWEIDWDSILS >ONIVA02G34710.1 pep chromosome:AWHD00000000:2:30203095:30205115:1 gene:ONIVA02G34710 transcript:ONIVA02G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPAATSSEEEIKKEVAAVDVVVAAPDDDVAADREEEEEQKKRRRRKEKKKRRRRAPSEEEEAALRSVLRWARRGEAGDEEADGQRPAATGRRRPRVAVELHAHSTCSDGSLSPAALVQRAHRNGVKVLALTDHDTMAGVPEAIESAKQCSIRIIPGVEISAMYSPSDGIGAEEPVHILAYYGSLGPAKPQELDRFLGSIRDGRYTRAKGMLLKLRSLDMPMELEDVCTIAGDGVAPGRLHVARAMVEAGYVENIRQAFSRYLYDGGPAYATGNEPAGESVVQLVCRNGGVAVLAHPWALKNPVAVIKDLKAAGLHGIEVYRSDGKLSGLSDLADTYGLLKIGGSDYHGRDDKDEPDVGSVDLPVLAVSGFLDAAQPIWHNATKEILANITERAPNGSKGLQRTNSAKDLCNLRLLSSDLEVTDSTEVEVLQTELSDVVLSN >ONIVA02G34700.1 pep chromosome:AWHD00000000:2:30193351:30196708:-1 gene:ONIVA02G34700 transcript:ONIVA02G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAQGSGRRPFGDLTNVLGKRPAPLNLEKSAGGIKIVRVEKAVEPRKEFDETAKASGGATRNTLPLFDSIAKENLMRPSIFWETKMQHMAAEAAVLLSKESDDMRSCAMSLGSSGLHDKEQESSLESEGGCEEDDDDDMDSEYLAYTRDSTKTATNDGECLTQEEMAGSSGNQKPLSSLDFTTGCDDMPCSDVHHHSLGNSELENDDTTKSCACSFCLKAAFMWTDLHYQDTRGRLAALKKSIKFARLLGKRSQGDEYSVNAGRYNLKRAAEMEFELYQQQRSLFLHTENVLIRESAQLHSSLVKLKEFRENCKTDLETASSSLLGK >ONIVA02G34690.1 pep chromosome:AWHD00000000:2:30183003:30184974:1 gene:ONIVA02G34690 transcript:ONIVA02G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGDGCGHGSRPVRLVVLLKGSPAAAAAVGAATELQKHVAFFDSNHDGIISFSETYEGFRALGFGVVTSRFSATVINGALGTKTRPENATASRFSIYIENIHKGVHGSDTGAFDSEGRFVNEKFDEIFTKHAKTVPDGLTAAELDEMLRANREPKDYKGWVGASTEWETTFKLGKDKDGFLRKDTVRTVYDGSFFSKVASKKKGPSANQA >ONIVA02G34680.1 pep chromosome:AWHD00000000:2:30177476:30179479:1 gene:ONIVA02G34680 transcript:ONIVA02G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHHPLASPILTLTTTLLSLSNNQRFDGRAGGEAGAGAAAVHERRGPHRGGLHPVPGAQRRRDGGAGDHVAEGARHDVPQGRVGARRVHGRGRPPRGARGGRRPRRHRDVPRLLVLQEPPLRHHLRGLHVPSPRHRRAPPVARDVLPQAHLGHGAAGDGWMPARLDA >ONIVA02G34670.1 pep chromosome:AWHD00000000:2:30158214:30158456:-1 gene:ONIVA02G34670 transcript:ONIVA02G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMARDVDAGQQQRRLVVVHSQVRRIKQEEGEKVKVDETYQHQVSEMRRMVVLRDMEARQRSRSPLGRAARPAISIGGDS >ONIVA02G34660.1 pep chromosome:AWHD00000000:2:30155103:30158540:1 gene:ONIVA02G34660 transcript:ONIVA02G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G24610) TAIR;Acc:AT1G24610] MAAAAAAGATPATARKALLTTTATLLSSSLARSRRSLSCSAAAASAAPRIAPQPPDLLRWVQREGGFVHPALRVVDHPEHGLGVSAAAAEGDIPPGDVLIALPGRLPLRLRRPAGAADAVLVQLADQVPEELWAMRLGLRLLQERAKSDSFWWPYIANLPETFTVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLEFEKEVKHKLGTVPLEDHPFCGQDVNSSSLGWAMSAASTRAFRLHGEIPMLLPLIDMCNHSFNPNARIVQEGNVDSPDMSVVAETKIDQNAAVTLNYGCYPNDFFLLDYGFVITSNSYDQVELSYDGTLLDAASMAAGVSSPNFSAPAKWQQDILSQLNLYGEGAILKVSIGGPEIVDGRLLAALRVIIAADPDAVSGHDLKTLMSLKEKAPLGPAVEASALRTVLALCTFALQHFHTKIMEDEAILKREPPLTTELAVQFRLQKKLLLLDVIQNLSRRIKMLALDKSTV >ONIVA02G34650.1 pep chromosome:AWHD00000000:2:30152731:30153366:-1 gene:ONIVA02G34650 transcript:ONIVA02G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLSCGCLCRSRSRSRSLSPPPPSDRLDVHPSFWKWETEPERGRVFRCFDTDGDGRHLSAAEIRAFYGCGKAKETVAAADRQNGDGFLSIEELRAVMEDGDSEALHAVFDEYDEDSIEELRAVMEDGDSEALQAYDEDGDGVVTAEELRRAMHRLGGVDLTAEECAEIVAAADSDGDGVISFDEFKAMMAKYAETASSPSTSSSP >ONIVA02G34630.1 pep chromosome:AWHD00000000:2:30147458:30147982:-1 gene:ONIVA02G34630 transcript:ONIVA02G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVSAAASCGRLRRSRSRSPPPAVLDPSQSPLSLEREAEPELIRVFRCFDTDGDGLISAAEMREFYGCSVDEAEEMVAAADRDGDGFVSIEELRAVMEGGGLDALRAAFDEYDEDGNGVITAEELRRALRRLNLDGMDLTAEQCAEIVAAVDSDGDGVISFDEFKAMMSKQA >ONIVA02G34620.1 pep chromosome:AWHD00000000:2:30144802:30145456:-1 gene:ONIVA02G34620 transcript:ONIVA02G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAGMIPTSSSAETSPSSSDVDTESTGSSFFRDRSTTLGTLMGVSFDDDEEQQQQQQGEAARDGGEESERPRAAAAEEEEDGRRWRRRWRRRRWRGAGGSWWRLCRDDAGGTTSLGHFLHMERQLAGTGLLSGDGVEERKSSTPLFDNGRALPAREERAKWQLRRSAQATSSSLVRLPVLLTAICSGGA >ONIVA02G34610.1 pep chromosome:AWHD00000000:2:30140648:30148018:1 gene:ONIVA02G34610 transcript:ONIVA02G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCS7] MLAASLRVEAVAVVAAAVLVLLLSPAAVVVVAGQHDYGDALHKSILFFEGQRSGRLPPDQRLRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHKEEARKAVRWATDYLMKATAKPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAGSIVFRDADPAYSKRLLDRAIAVFEFADKYRGPYSSSLHDAVCPCYCDFSGYKDELLWGAAWLHKASRRREYREYIKKNEVVLGASESINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFMCSLLPGISNHPQIQYSPGGLLFKVGGSNMQHVTSLSFLLLAYSNYLSHAGARVSCGAGGSASPTQLRRVAKRQVDYILGDNPLRMSYMVGYGARFPRRIHHRGSSLPSVAAHPARIGCKGGAAYYASAAPNPNLLVGAVVGGPSDATDAFPDARAVFQQSEPTTYINAPLMGLLAYFSAHPNPAEWADD >ONIVA02G34610.2 pep chromosome:AWHD00000000:2:30140648:30145715:1 gene:ONIVA02G34610 transcript:ONIVA02G34610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCS7] MLAASLRVEAVAVVAAAVLVLLLSPAAVVVVAGQHDYGDALHKSILFFEGQRSGRLPPDQRLRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHKEEARKAVRWATDYLMKATAKPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAGSIVFRDADPAYSKRLLDRAIAVFEFADKYRGPYSSSLHDAVCPCYCDFSGYKDELLWGAAWLHKASRRREYREYIKKNEVVLGASESINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFMCSLLPGISNHPQIQYSPGGLLFKVGGSNMQHVTSLSFLLLAYSNYLSHAGARVSCGAGGSASPTQLRRVAKRQVDYILGDNPLRMSYMVGYGARFPRRIHHRGSSLPSVAAHPARIGCKGGAAYYASAAPNPNLLVGAVVGGPSDATDAFPDARAVFQQSEPTTYINAPLMGLLAYFSAHPNPAEWADD >ONIVA02G34600.1 pep chromosome:AWHD00000000:2:30134771:30137840:1 gene:ONIVA02G34600 transcript:ONIVA02G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSASIQPFVFFHCVPPASRYSHECTHRRRRRGLGRFSISAPASSFAYLPSPLHCARLSPLHHATLTLLPDDLYHATACPTEEAVAAPRLHQHPAPVGARRAVTDAGRRSSHRLSASRGASDGGQLSPLSGRAASGSPALRDSACPPLGRGGNSASPRVSLCLAAVSAPTLPLLARINLCSNSRYPSRRYRLASHRPPIPGAREVVACSTAGSSSAPVPPSAFALRFRPSPAVQITNVVTSITRSNARGH >ONIVA02G34590.1 pep chromosome:AWHD00000000:2:30133560:30134263:1 gene:ONIVA02G34590 transcript:ONIVA02G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRSQLANALDAGADRCTSPSAAAAALALRRPCFLALVVGPSSLDPQEVGKRRSSQQQTAVLCTRDGAAATTGQSREEKEMESR >ONIVA02G34580.1 pep chromosome:AWHD00000000:2:30128957:30131095:-1 gene:ONIVA02G34580 transcript:ONIVA02G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQEEGGLQAAGRRGGVVRALLGLGAIAEGAAAAEGGVPRKAGAGDGGGEERKAVVRVVAADMPPALQRRAFRCARDELAGMPRSPRRLEPKRLALALKKEFDTAYGPAWHCIVGTSFGSYVTHARGGFLYFSVDKVYILLFRTAVEPQPHCEDQTVSS >ONIVA02G34570.1 pep chromosome:AWHD00000000:2:30125228:30131283:1 gene:ONIVA02G34570 transcript:ONIVA02G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT5G57930) TAIR;Acc:AT5G57930] MTGALAMMPSTSSPYPLLAPPTCSSRLPPLRCFVGLRWSAPRIQVREYPDAVAGIAKGAGGRGGRFRAPASSISQPCSRTIIITNEHVQNADFPPSYSKREKKPFPIPVLELRRRAKERAKKAEGKPKRSLPPPKNGMLIKRLIPVAYKVYNARILLINNLKRLMKVIPVKGCKHCSEIHVGSVGHPFRTCKGMSSDKRRGQHDWGGTLVEAVFVPVEAYHLEDRLGKRIPHDQRFAVPRIPALVELCIQAGVNLPEYPTKRRRKPIIKIGKNEFVDANEDDLPDPEPYKLEHPILEELNDNEIIAPASPEEIVALAEETLEAWEVVRDGALKLMKGYAVRVCGYCPEVHIGASGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHLPESGEDLQRDLKSFYGQAPAIVEICVQAGAKVPEKYKATMRLDIGIPTSLREAEMVV >ONIVA02G34560.1 pep chromosome:AWHD00000000:2:30122319:30123935:-1 gene:ONIVA02G34560 transcript:ONIVA02G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALAAVVAIAVLAQSAAAAAAPPPAKGPVTYVFGDSMSDVGNNNYFPLSLAKSNYPWYGIDYPNGVATGRFTNGRTIGDYMADKFGVPSPPPFLSLSMVDDDVLGGVNFASGGAGILNETGVYFVQYFSFDQQISCFEMVKKAMIAKIGKEAAEVAVNAALFQIGLGSNDYINNFLQPFMADGQTYTHDTLYGLGARKVVFNSLPPLGCIPSQRVHSGNGKCLDHVNGYAVEFNAAAKKLLDGMNAKLPGARMALADCYSVVMELIVHPEKHGFTTAHTSCCNVDTTVGGLCLPNSRPCSDRKAFVFWDAYHTSDAANRVIADLLWDAMPSAGSGGAATTPLAASPAPSPSRAP >ONIVA02G34560.2 pep chromosome:AWHD00000000:2:30122319:30123935:-1 gene:ONIVA02G34560 transcript:ONIVA02G34560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALAAVVAIAVLAQSAAAAAAPPPAKGPVTYVFGDSMSDVGNNNYFPLSLAKSNYPWYGIDYPNGVATGRFTNGRTIGDYMADKFGVPSPPPFLSLSMVDDDVLGGVNFASGGAGILNETGVYFVQYFSFDQQISCFEMVKKAMIAKIGKEAAEVARLYGLGARKVVFNSLPPLGCIPSQRVHSGNGKCLDHVNGYAVEFNAAAKKLLDGMNAKLPGARMALADCYSVVMELIVHPEKHGFTTAHTSCCNVDTTVGGLCLPNSRPCSDRKAFVFWDAYHTSDAANRVIADLLWDAMPSAGSGGAATTPLAASPAPSPSRAP >ONIVA02G34550.1 pep chromosome:AWHD00000000:2:30110271:30121291:-1 gene:ONIVA02G34550 transcript:ONIVA02G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mediator complex subunit Med23 (InterPro:IPR021629); Has 187 Blast hits to 184 proteins in 67 species: Archae - 0; Bacteria - 0; Metazoa - 135; Fungi - 0; Plants - 43; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G23230) TAIR;Acc:AT1G23230] MQLRLHIPPSVDLCPFPQTARAHQVRSATLLNPRSEQAHRTTPVAGDGSGASAMDGAHGQRQPMSPAISASAVLPQQRQMQLHHHHHHPARSSIADLFTLYLGMNSKQRVEDPTRETSNKLQKRVTAMNRDLPPRDEQFISDFEQLHMQFPDQEQLQAVTESVLISFVLQCSSHAPQSEFLLFATRCLCARGHLRWDSLLPSLLNVVSSVEVPMGQGVSVTTGGPATSSSSAIAVPNAPSFHPSNPTSPLSAMNTIGSPTQSGIDQPIGANVSPIKGAEFSSPGQLGLTARGDQSRRGAEISYLHHLSCRIILAGLESDLKPATHAVIFQHMVNWLVNWDQRPHGVDQADALQLQTLRLERPLHEWMHLCLDVIWILVNEDKCRVPFYELVRSNLQFLENIPDDEALVSIIMEIHRRRDMVCMHMQMLDQHLHCPTFATHRFLSQSYPSIAGESVANLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRQHPQQSSTPGAVFSPDMIGEAVADRTIELLRLTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNSDQQILRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKSLDPNNISPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQMTKASGERMIDFTSLDERAMGMFWVLSFTMAQPACEAVMNWFTSVGVADLIQGPNLQPNERMTMMRETYPLSMSLLSGLSINLCLKLAFQLEETIFLGQNVPSIAIVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKVKRGEHRLFRLAENLCMNLILSLRDFFLVKKELKGPTEFTETLNRITIISLAITMKTRGIAEVEHIIHLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLMGRMDKRGQAIQAWQQAETTVINQCNQLLSPSAEPTYVMTYLSHSFPQHRQYLCAGAWMLMNGHLEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQLELQRGHQIQDLLSKAITNLAFFIWTHELLPLDILLLALIDRDDDPYALRLVINLLERPELQQRIKAFCTSRSPEHWLKNQPPKRVELQKALGNHLSGKERYPPFFDDIAARLLLVIPLIIYRLIENDATDIADRVLAVYSTFLAFHPLRFTFVRDILAYFYGHLPSKLIVRILNVLGVSTKTPFSESFAQYLASSNSSICPPPEYFANLLFGLVNNVIPPLSCKSKSNPSDAAGSTARTTYNKPYTSSAGGISNSDGQRAFYQNQDPGSYTQLVLETAAIEILSLCVPASQIVSSLVQIIAHVQAMLIQSNSGHGMSGGLGQNSGVPTSSGGGVEPVGANRPNTTASGINASNFVSRSGYSCQQLSVLMIQACGLLLAQLPPEFHTLLYAEAARIIKDCWWLADSSRPVKELDSAVGYALLDPTWASQDNTSTAIGNIVALLHSFFSNLPHEWLESTHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSQASPPVEASEIADIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVMEILRPDVQHLLSHLKTDPNSSVYAATHPKLVQNPS >ONIVA02G34540.1 pep chromosome:AWHD00000000:2:30105592:30107329:1 gene:ONIVA02G34540 transcript:ONIVA02G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIRRPRTCVWDVEETGNHWIQEVGEPIWRGSCMICGIACSEALLSLRKAEKGIGAGFLEDAATSIPLRHGRAALLMLCGAFSVSTGTDANSQSRRRDVGSSC >ONIVA02G34520.1 pep chromosome:AWHD00000000:2:30096992:30099542:-1 gene:ONIVA02G34520 transcript:ONIVA02G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like A1A [Source:Projected from Arabidopsis thaliana (AT5G37680) TAIR;Acc:AT5G37680] MGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSIPIAKSELHDLLTKQSLAGIPLLVLGNKIDKSEAVSKQALVDQLGLELIKDREVCCYMISCKDSVNIDVVIDWLIKHSRTAK >ONIVA02G34520.2 pep chromosome:AWHD00000000:2:30096992:30098453:-1 gene:ONIVA02G34520 transcript:ONIVA02G34520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like A1A [Source:Projected from Arabidopsis thaliana (AT5G37680) TAIR;Acc:AT5G37680] MSSTSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSIPIAKSELHDLLTKQSLAGIPLLVLGNKIDKSEAVSKQALVDQLGLELIKDREVCCYMISCKDSVNIDVVIDWLIKHSRTAK >ONIVA02G34520.3 pep chromosome:AWHD00000000:2:30098989:30099542:-1 gene:ONIVA02G34520 transcript:ONIVA02G34520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like A1A [Source:Projected from Arabidopsis thaliana (AT5G37680) TAIR;Acc:AT5G37680] MGLWDSLLNWLRSPFCGDEIVHVFRVEREVGFGRDWFGSGSPVRRRDDGAGLWSGVDSQQFYHVQ >ONIVA02G34510.1 pep chromosome:AWHD00000000:2:30091980:30094442:1 gene:ONIVA02G34510 transcript:ONIVA02G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAVASLTLQVPGGAHDVTSLATSPRTMAVPGTTEQLTIFYSGSMVKFDNVPREKSSFDYDLAIQHWGRGDSLCVQAEEVIFFAAKKSPDAGHQHVPQQQQPAYPNKKKRIFCYQAPERDADGLFIHENKADACSQRQHRSPEDGYATIKETNPCSRQIQIVPRADVSLLVKNASLVSFLESRKQRLASAAYTRREKSADEKDIFPTAFPRNKTPLGNTERHSAFTNLKNINGNHDEEALDTELKI >ONIVA02G34510.2 pep chromosome:AWHD00000000:2:30092472:30094442:1 gene:ONIVA02G34510 transcript:ONIVA02G34510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPGTTEQLTIFYSGSMVKFDNVPREKSSFDYDLAIQHWGRGDSLCVQAEEVIFFAAKKSPDAGHQHVPQQQQPAYPNKKKRIFCYQAPERDADGLFIHENKADACSQRQHRSPEDGYATIKETNPCSRQIQIVPRADVSLLVKNASLVSFLESRKQRLASAAYTRREKSADEKDIFPTAFPRNKTPLGNTERHSAFTNLKNINGNHDEEALDTELKI >ONIVA02G34500.1 pep chromosome:AWHD00000000:2:30087997:30090334:1 gene:ONIVA02G34500 transcript:ONIVA02G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGKRKGAKVMQLDGTSFRVKPPAVAADVLRDHPGFQLLESEEVKLLGARARPLAPDAPLRRGRLYFLVALPRRPAAGPPRRAWSGNLRVGARERLESLMLARRSTSDLSSFPAAQASASAPTSPLPGSACSGAATPVRLKMRLPRAQVEKLMGESKDASEAAAKIMELCAAAGAKSASVTPERPPGILRSPRFAATPEWGAGFMVPPPSPGAAKTPQRWPTLPRTKEKKARFVALPDELIA >ONIVA02G34490.1 pep chromosome:AWHD00000000:2:30081223:30086246:1 gene:ONIVA02G34490 transcript:ONIVA02G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCR1] MAAEAAAEIVREIAAVGAADLAAAAEPLRADCLRLARKVSLLSHLVAEVAEAGAGGGDADAADSWLGDLVRALQAARRFVELGRAPARPSRASDQDAVCNNVAVQFKFVTWQLQTVLARLPQSCFQISDEVQEEVDLVRAQLQREMEKKGDIDVNIFSKFHDILALHVSTVGSQSEQSHGQPDTPQMENLCNGHLELQNIIMLVSEISGVPKSDAERITSQLIEGLENMRVTDSKKPVSVSQSSDETKASPETHKKSDAVAIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQLKLQNITLTPNYVLRSLILQWCEEKGIEPPTRSKNDGAYLEVGGERVAIETLVRNLSSSSLDERKSAAAEIRSLAKKSTDNRILLAESGAISALVKLLSSKDLKTQEHAVTALLNLSIYDQNKELIVVAGAIVPIIQVLRKGGMEARENAAAAIFSLSLIDDNKITIGSTPGAIEALVELLQSGSPRGRKDAATALFNLCIYQANKVRAVRAGILAPLIQMLQDSSRNGAIDEALTILSVLVSHHECKIAIAKAHAIPFLIDLLRSSQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELSKTGTDRAKRKATSLLEHLSKLQVL >ONIVA02G34480.1 pep chromosome:AWHD00000000:2:30063306:30064763:1 gene:ONIVA02G34480 transcript:ONIVA02G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCR0] MTSSSGQLKRLKPLYQLAVNNILAVVAVPLAAAVVLKAAELGHEEILARARALPPAHLFLAAFVPAAAAVVYLMLRPRAVYMVDYACFRTSPNCRVPFATFLEHSRVWPGFEERSVRFMTRLLERSGLGEETCLPYAQHYIPPSRDLESSRAEAELIIFSAIDDLLAKTGISPQDIDILVVNCSLFAPTPSFTDMIINRYKLRKDVRNVHLSGMGCSAGLISVGLARNLLQVAPKGAHALVVSTETITPNYYMGQERAMLLPNCLFRMGGAAVLLSTNGAKARFRLARVVRTLTGAQDGAYHCVYQEEDGRGNVGINLSKDLMSIAGDALKANITAMGPLVLPASEQLLFALSFMARKVLSGRIKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYVEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIRPAANADGPWATCIHRYPVDIPDVLKH >ONIVA02G34470.1 pep chromosome:AWHD00000000:2:30058376:30061240:1 gene:ONIVA02G34470 transcript:ONIVA02G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTFSGKQRMMASIAWQRCIVYGLRRLNDVPGNSDEMACDVAGAGDALRAPSSDAPAGILLEQPADEFEDDDISTHTRKIDFCVRVTDDSAQLPVMFAGIVVKDIVKVAMLVVAQPQSCINILMKLSINVLQSNTERPL >ONIVA02G34460.1 pep chromosome:AWHD00000000:2:30048988:30050454:-1 gene:ONIVA02G34460 transcript:ONIVA02G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGCSLLSPATSGLPPKSPFSKRASNGAALRCRAAFSGDAVLRAFRRHHLDGRALHANPALVPALAACARLLPSAAAEAEQIHALLVKSGDPPSVSGVYASTSLVRVYARLGRLGDARKMFDGMPVKTVVSWNVLLDGIVRASDLDAAWEVFVEMPERNVVSWNTVIAGFVRHGWVQEAVDLFAEMTVVYGLAPDEATMVGFVSAVRDMGVLGIGRCAHGYVIRREFSLDGALGVALINMYTRCGSMGDAFRCFSSVASKNVEQWTSVIGGFAAHGHPEMALRLFTEMRQLGIEPNGVTFLAVLNACSHGGLVNEGFKYFNLMRSMGIKSTMQHYGCLIDLLGRAGFLEEAFELASSLPEDPGLVIWSSLLAACQSHGNVEMAEVAARKLAHAEPRHGSSYVLLSNTYARAGQWEDLKRTRRKMEEYGVMKQPGLSWIELDGRVHSFVSADKLHTESEDIYQMLEDLKVNLVSAGSEPETLALPEI >ONIVA02G34450.1 pep chromosome:AWHD00000000:2:30045890:30050016:1 gene:ONIVA02G34450 transcript:ONIVA02G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARASLLLAGGLAVSTSTSAVATAAQTVSIPHLSPHTRRRRQRRFLRLASAAASSPPPLPAASAQPHCSRWVVVMERPPAPAGGGEVSRAEAVDHYVATLARVLGSQEEAQMRIYDASWDGSYEFSCEIDDEASRDLAKMPGVLAVKPDTDKVDMSEKDNHGSGLSAANLGNFSDAVSNHSSSSGENEFWLVRMEKPGVEVVTKAQMVDHYTQTLMKVLGNEKDAQVSIYHISWERDYGFCCHIDEECAKELADVSGVLSVQPDTNFGSDNKNYKGDDSFKSSEATQAEVKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEEAGGAALKAMNGQIINGWMIVVDVAKHRSRDRQPPYSASGRSNQVLRSRYHTG >ONIVA02G34440.1 pep chromosome:AWHD00000000:2:30043417:30043785:1 gene:ONIVA02G34440 transcript:ONIVA02G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEWQMCLELHRHHRRGQPEVRAPPPRTRTKVRGTRGPAPVSWRHARGFPWHLEGPVGDVPMVKEVLEWPEGHEPRADPAKGVVEHLVIAPLPLSRRCSSSAMAPMRDSP >ONIVA02G34430.1 pep chromosome:AWHD00000000:2:30039147:30042261:-1 gene:ONIVA02G34430 transcript:ONIVA02G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPPAAPDPPQLHGVVIITLPPPDQPSKGKTITAFTYTDDDVTPPPPTPPPTHLPTRALVPAGAGAGAEARRSRRGFSPRRAAAMVLVLGALAVAAYYSFYSDVAVQFLGMQEEAQNERNETKSFLLPLYPKARQGRALREFGDIKLAARRFDNDGGGGVGRKSRNKLEVKKAAAAGTNSTALLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPAKEKIVPPKDLLCQELQGNQNYCETCKQCDYEIEYADRSSSMGVLARDDMHIITTNGGREKLDFVFGCAYDQQGQLLASPAKTDGILGLSSAGISLPSQLANQGIISNVFGHCITRDPNGGGYMFLGDDYVPRWGMTSTPIRSAPDNLFHTEAQKVYYGDQQLSMRGASGNSVQVIFDSGSSYTYLPDEIYKNLIAAIKYAYPNFVQDSSDRTLPLCLATDFPVRYLEDVKQLFKPLNLHFGKRWFVMPRTFTILPDNYLIISDKGNVCLGFLNGKDIDHGSTVIVGDNALRGKLVVYDNQQRQIGWTNSDCTKPQTQKGFPFFL >ONIVA02G34420.1 pep chromosome:AWHD00000000:2:30035970:30038768:1 gene:ONIVA02G34420 transcript:ONIVA02G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPVTMPPPQSPELRSLRSTLDQRGELRAPLLSFDWGFPGGIARWEGEEGRLRRAAGAARAAATEMWAFARKDPRKPVFAAKVATALALITLLVFLREPTDLANHAVWAILTVVVVFEFSIGATLSKGLNRGLGTLTAGGFALAVSELSSSMGNFGNVILIICTFVVAFGATLTKLHPKMKPYEYGFRVFLLTFCYVTVSGYNTGKFIATAISRFLLIAIGAAVSLALNIGIHPIWAGEDLHNLVAKNFDGVAKSLEGCVDGYLKCMEYERVPSTILTYQASDDDHLYSGCRAAVESSAQEEALLGFAIWEPPHGPYKMMKYPWMNYTKVGGALRHCSFSVMALHGCILSEIQAPPESRQVFSAELHRVGQEGAKVLRELGHRVKTMTRLSSQNILSEVHFAAEQLQKKIDQKSYLLVNTEKWQALIRRHGGGAKDGGLVPGRRAIASPGAVHKSSSFASSTSHSSLNSAPRTDASYKPQPPWPIRQPSFHPSLPFEAAAAEARTYESASALSLATFASLLIEFVARLRSLVDAFEELSESANFKEDPVEEPSAISRENGGVLYRLRRFFGLEELRQRAGEP >ONIVA02G34410.1 pep chromosome:AWHD00000000:2:30033501:30034891:-1 gene:ONIVA02G34410 transcript:ONIVA02G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPIGLSWAPKLPSLPTTSGGKKDTGASSSRAQGSLWKPASELVDGLFVPPRDPRKANKLARKNVKDTSGKGWFDMPAPTITPELKKDLEILQLRHVMDPKRHFKRAGKSKALPKYFQVGTVIEPASEFFSSRLTKRERKTTLVDELLSDQHLKNYRMRKVREIQESRTPGGNQKWRNKGKKTLKRAKDRRK >ONIVA02G34400.1 pep chromosome:AWHD00000000:2:30028312:30032938:1 gene:ONIVA02G34400 transcript:ONIVA02G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILALDGMSNAPHLTTCCTAARDPPLHESFHASLSPKPPKFRIVARRRVEIDRSSSEHHRACLFLRASSPFDLPLSLPLLLCSRKARILGGFPHHFPLLDLGLLPASVRLAFPPSVPACCALLCCGRMEGGGRGGGPVVAALLLAALLLAAVAPASASSYPAKVVSGFLSNAASSVMKRLWSLKSTTKTGSGGKSMVKYEGGYTVETVFDGSKLGIEPYAVEVTQGGELLVMDSMNSNIYRIALPLSRYSRPKLVAGSPEGFPGHVDGRPREARMNHPKGFTVDGRGNIYVADAMNMAIRKISDTGVTTIAGGKSSRGGHVDGPSDDAKFSTDFEVRYIGSSCSLLVIDRGNQAIREIQLNFDDCVYQYEAGFPLGVAVLLAAAFFGYMLALLQRRVLGMVSTEDEPETQTPLKASIASIPPYQKPLKQSVRPPLIPNEDESEKQEVEEGFFTSIGKLIVGAKSSVAEIVGAAFSRKKRLNIHQQQARVRSWPVQESYAIPRDETPPPLDTRTPTPRKNYAFMSKEPEKIHHIRHGRSQFNGWNGDAPQQQQQQQQQQQIHHQQYLQHHRQYSSGPQTFYEPSCEATNEIVFGAVQEVDSKRRAVEIKPMNHGDPQYDQDGLRHRSSYTGYSNNW >ONIVA02G34390.1 pep chromosome:AWHD00000000:2:30019638:30024800:1 gene:ONIVA02G34390 transcript:ONIVA02G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCQ0] MDLEAAHGAAAAPGKQRRRRARESWGASLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDIQHSAGNEEIYGVLSFVFWTLTLISLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGGGAGDELAVGGRRDARAMSRLRAMLERYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVYSAVSGLELSMEHEHHKYVQLPVTCAILIGLFALQHYGTHRVGFIFAPIVCVWLLCISAIGVYNIVHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFMSVVYPALVLAYMGQAAYISQHHSFENAYHIGFYVSVPEKLRWPVLVIAILAAVVGSQAVITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWILMILCLAVTLGFRNTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLIFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIIRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDIEFEKDLVSSIAEFIRSGDSHHNGVLEDTDKSCEKLSSISNGIPLWMEDGEVDASASPHKETDTQIISPNRKKARFVLPKNAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRRNSRGPSYAATIPHASTLEVGMVYQV >ONIVA02G34390.2 pep chromosome:AWHD00000000:2:30019638:30024800:1 gene:ONIVA02G34390 transcript:ONIVA02G34390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCQ0] MDLEAAHGAAAAPGKQRRRRARESWGASLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDIQHSAGNEEIYGVLSFVFWTLTLISLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGGGAGDELAVGGRRDARAMSRLRAMLERYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVYSAVSGLELSMEHEHHKYVQLPVTCAILIGLFALQHYGTHRVGFIFAPIVCVWLLCISAIGVYNIVHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFMSVVYPALVLAYMGQAAYISQHHSFENAYHIGFYVSVPEKLRWPVLVIAILAAVVGSQAVITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWILMILCLAVTLGFRNTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLIFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIIRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDIEFEKDLVSSIAEFIRSGDSHHNGVLEDTDKSCEKLSSISNGIPLWMEDGEVDASASPHKETDTQIISPNRKKARFVLPKNAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRRNSRGPSYAATIPHASTLEVGMVYQV >ONIVA02G34390.3 pep chromosome:AWHD00000000:2:30019622:30024800:1 gene:ONIVA02G34390 transcript:ONIVA02G34390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCQ0] MDLEAAHGAAAAPGKQRRRRARESWGASLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDIQHSAGNEEIYGVLSFVFWTLTLISLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGGGAGDELAVGGRRDARAMSRLRAMLERYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVYSAVSGLELSMEHEHHKYVQLPVTCAILIGLFALQHYGTHRVGFIFAPIVCVWLLCISAIGVYNIVHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFMSVVYPALVLAYMGQAAYISQHHSFENAYHIGFYVSVPEKLRWPVLVIAILAAVVGSQAVITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWILMILCLAVTLGFRNTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLIFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIIRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDIEFEKDLVSSIAEFIRSGDSHHNGVLEDTDKSCEKLSSISNGIPLWMEDGEVDASASPHKETDTQIISPNRKKARFVLPKNAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRRNSRGPSYAATIPHASTLEVGMVYQV >ONIVA02G34390.4 pep chromosome:AWHD00000000:2:30019622:30024800:1 gene:ONIVA02G34390 transcript:ONIVA02G34390.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCQ0] MDLEAAHGAAAAPGKQRRRRARESWGASLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDIQHSAGNEEIYGVLSFVFWTLTLISLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGGGAGDELAVGGRRDARAMSRLRAMLERYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVYSAVSGLELSMEHEHHKYVQLPVTCAILIGLFALQHYGTHRVGFIFAPIVCVWLLCISAIGVYNIVHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFMSVVYPALVLAYMGQAAYISQHHSFENAYHIGFYVSVPEKLRWPVLVIAILAAVVGSQAVITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWILMILCLAVTLGFRNTKHLANAQVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIIRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDIEFEKDLVSSIAEFIRSGDSHHNGVLEDTDKSCEKLSSISNGIPLWMEDGEVDASASPHKETDTQIISPNRKKARFVLPKNAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRRNSRGPSYAATIPHASTLEVGMVYQV >ONIVA02G34380.1 pep chromosome:AWHD00000000:2:30003324:30006958:-1 gene:ONIVA02G34380 transcript:ONIVA02G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLWKLCGETVAIPLLQTYPASLPDSFLADLDELSDNEAYPEGEDEEARNMEEDGDGGMPCCEFLNHDDLNSASELHKTQRYNDIMQLLGANKKNLSGFSTAASQFCVGYLEQTEVFQSTIPSLRTHACRIISAKSTLAARIDSIRGDPTGKAGHSLLEEICKKTEKLQELPPAKILKPLPVPDCMPKKKRGGCRLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGKGYGLLGQAGSGKLRLLAGQSRLAAKVAKRFKARSCDRSESRSGLTSTLAFTPVQGMELSNPLVHNDHSVSGTQSTYFSDVGTFSSIRGKDAIPIQSSEIQNPGV >ONIVA02G34370.1 pep chromosome:AWHD00000000:2:29998287:30001323:-1 gene:ONIVA02G34370 transcript:ONIVA02G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAASSLLSRGLIARPSAASSTGDSAILGAGSARGFLPGALHRFSAAPAAAATAAATEEPIQPPVDVKYTKLLINGNFVDAASGKTFATVDPRTGDVIARVAEGDAEDVNRAVAAARRAFDEGPWPRMTAYERCRVLLRFADLIEQHADEIAALETWDGGKTLEQTTGTEVPMVARYMRYYGGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNAVVLKTAEQTPLSALFVASLLHEAGLPDGVLNVVSGFGPTAGAALSSHMGVDKLAFTGSTGTGKIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHRALFFNQGQCCCAGSRTFVHERVYDEFVEKARARALQRVVGDPFRTGVEQGPQIDGEQFKKILQYVKSGVDSGATLVAGGDRAGSRGFYIQPTVFADVEDEMKIAQEEIFGPVQSILKFSTVEEVVRRANATPYGLAAGVFTQRLDAANTLARALRVGTVWVNTYDVFDAAVPFGGYKMSGVGREKGVYSLRNYLQTKAVVTPIKDAAWL >ONIVA02G34360.1 pep chromosome:AWHD00000000:2:29995227:29998029:1 gene:ONIVA02G34360 transcript:ONIVA02G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGATLSVLLLVAGVVLMLVLHVVVVFWALRRGVFLRGAFRVEERRDQRAAGLTPDEIAVLPCYERKEDGGGGGGGECAVCLEAFQAGDWCRVLPRCEHGFHARCVDSWLCQSRVCPICRAEVEVSGYAGKPAAAVAEASQATTLEIVTERLGGTERSQNVCEVHPFDSVDRMKGDNSNVFLVAGLSLVVVVHVLVLLWALWWGYGRSRLALARARVVRQHDVARGGLSAEQVGELPCHVVKEGAGECAVCLEAFRAGDRRRVLPRCEHGFHAQCVDSWLRVSRLCPICRAEVAASRGKEGDAPVAEAASLEIVAER >ONIVA02G34350.1 pep chromosome:AWHD00000000:2:29985022:29988244:1 gene:ONIVA02G34350 transcript:ONIVA02G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLIFSTAGSGAGQMLFLDCGAGGGGGGVGGGAMFHRGARPVLGMEEGGRGVKRPFFTTPDELLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKASFDALRADHDALLQDNHRLHSQVMSLTEKLQEKETTTEGSAGAAVDVPGLPAAADVKVAVPDAEEPALEEAAAAFEEQQEQQVKAEDRLSTGSGGSAVVDTDAQLVVGCGRQHLAAVDSSVESYFPGGDEYHDCVMGPMDHAAGGIQSEEDDGAGSDEGCSYYADDAVVLFADHGHHHHHQHADDDEEDGQQISCWWMWN >ONIVA02G34340.1 pep chromosome:AWHD00000000:2:29968147:29969838:1 gene:ONIVA02G34340 transcript:ONIVA02G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFHVTDRLGCKLTNDSVITYIEQSLGMWNGPTRPMALEGLTALELTGAGRTGLISEVFAVLADMDCGVVEGRAWMHRVHLGCLIFLRNEETDTERMARIEAASDTSSSATPSAPAAAPWPPSPPPPSRTPSAASTLDKTTSETTEGGDLLWFLEKKEVLYPVFRLRDVIHTSVGDEGGKMDLFLTNGIVD >ONIVA02G34330.1 pep chromosome:AWHD00000000:2:29967793:29968053:-1 gene:ONIVA02G34330 transcript:ONIVA02G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPGGRGRAGTREELAGRSQPTGSGGGARREAAAGRAPGRRSPGGHGQPGTGEELAGRPRLVACVGGARREAAAGWARRRSSLG >ONIVA02G34320.1 pep chromosome:AWHD00000000:2:29966874:29967147:-1 gene:ONIVA02G34320 transcript:ONIVA02G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPGGRGRAGAREELAGRSQPTGSRNQDRMGGARREAAAGRAPGGLGQTGTGEELAGRPRPAGRREASASRAQGRSSPRSHG >ONIVA02G34310.1 pep chromosome:AWHD00000000:2:29964412:29966044:-1 gene:ONIVA02G34310 transcript:ONIVA02G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium sensing receptor [Source:Projected from Arabidopsis thaliana (AT5G23060) TAIR;Acc:AT5G23060] MAPLSVSAILAPSPPPAQAAARASPRRAPASAAPVAAAISTALLALTPAAHAAAFSKEDVAGSVTKVVDTVDQVIGVGGKVAEQSAGVLKALGEAAKPALPALKSAGEQALKLASPVVSGASKQAREALQGAGVDPAPVLSAAKTVADAAQQGTKVIDAAKPIASATVETIGSLGSADYVVVAGAAFLAYLLLPPAWSLLSFTLRGYKGDLTAAQALDMVTSQDYVLIDVRTEKDKAKTGVPQLPSNAKNKLISIPLEELPSKTKSMVRNAKQAEAEIAALKISYLKRIGKGSNVIIMDSYCDSSKIVAKTLNSVGFKNCWVMAGGFSGRKGWAQSRLGTDSYNLSVVEVVTPSRVIPAAADRLVTASSSASRTTTSRKLLPGSVDG >ONIVA02G34300.1 pep chromosome:AWHD00000000:2:29958836:29960900:-1 gene:ONIVA02G34300 transcript:ONIVA02G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLRDAARAACASHIFLQSWRCRPNLIFTGETLGLIINGTGKDDAKRDFINIVQRILLNHSGIGVKTLKLELLHFSDLDLGCLEAWLQIAVAPGIEELTLMFPCVRYKFPCSLLFERGGNSVRYLHLMVCTFRPTIGLGCLIKLTQLHLSFVWITGDELELLSKCVALEWLKLSYCPEIICLKVPCMLRQLGSLEVAECRYLKVIEICAPNLSNFYLTGFLVRTSFENPLLVKKLRIMCLRQGNFVSYSRTKLPSLVPNVETLTVASNEIVKTPMVPGKFLHLKHLHVYFISLAISYDYLFLISFFEASPSLETFMLSVTQRRIENPFIQLNP >ONIVA02G34290.1 pep chromosome:AWHD00000000:2:29950451:29958622:-1 gene:ONIVA02G34290 transcript:ONIVA02G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASLPPSWPALQPVNPTLLRHHKPVIPSQPLSGPPLSPRSSTPRRNPQFWLESPPPPPSRSAVPFSADDMSEHHHKNLKSVKIIGFCSAKSMIELTCHILQNTSSLECLTLDTTDGATRCCVTEYDKCLSMDSDILTEAHEARCAVAEYGKCISMDRDVILDAHKSLLASITYVEGKVPPTVKLNVVEPCSRCHAVEL >ONIVA02G34280.1 pep chromosome:AWHD00000000:2:29948584:29949914:-1 gene:ONIVA02G34280 transcript:ONIVA02G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNLLVRRAASTTGLLLILLLLVAFTVCNYSSLKLSTRQYIDDTSARSSSTRASYASGGGGGAACDVARGEWVPDPAAPYYTNETCPLIDSRQDCMKYGKPGLESILRWRWRPHGCDLPRFDAAAFLRLVRDKSMAFVGDSVARNHMQSLMCLLSKVEFPTEIEAKDCIHCTRKYHYRAHNFTVCVFWAPFLVRWNLTRAGALQFMDPHNVFLDEADPEWSRGVAGYDYVVLNGAKWFTRPTILYEGGRLVGCNNDCHSGDPNATAATAPPEYAVRASFRTALRALREHPVFRGTVIVRTVAPPHYENGKWYDGGNCLRTRPMRSDETGLPETEAAFHAAQVEEFRAAAAAAAGGRFLLMDVSGIMQMRGDGHPGQYGHWPHEKVGFGIDCVHWCLPGPVDAWNELLLHLLRG >ONIVA02G34270.1 pep chromosome:AWHD00000000:2:29942337:29946882:1 gene:ONIVA02G34270 transcript:ONIVA02G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAKGCKCGSFSGGQRLGGVHLPAVPAGGDDGRRAQEIKRRRRYDLREQDGRAARPAAADQKLCRFCSANGTIMSGCSLQGALVSTAIMRRNVLTRIVGTQKNNLPLPKRSAAIAAPIALLLVVGLISLYDFTFADRYPNIDAASSSSSSSSSPSPATVSKCNLTRGEWVPDGEAPYYTNLTCPFIDDHQNCMKFGKPSLEYVSWRWKPDGCELPRFDAARFLEAMRGKSMAFVGDSLARNHFKSLLCLLSKVAQPVELVGAAPEIDVTGRAVRRDFRYDSHGFTASLFWSPFLVKANLANATLGLWDLHLDTADARWAAHVAEFDYVVLSDTNWFLRPSVYYEGGRAVGRNGAAPVTNATEIAVPRAVRAAFRTALGALAAAPGTFRGKAILRSVTPAHFENGEWNTGGDCVRTRPFRRDERALGAVEAEYLAVQVDAVREAEAAVRRNGGELRLLDITEAMDLRPDGHPSRYGHPPGGSVEGSFVVDCLHWCLPGPIDLWSELLFHMLVDQ >ONIVA02G34260.1 pep chromosome:AWHD00000000:2:29938069:29942131:1 gene:ONIVA02G34260 transcript:ONIVA02G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQSQSSSLQHLNHVEQRIVQLMQLAGAVMEELGNYQGPRPEKVVAHCREYMLAIKEIQTTLREEIKSACEYRPFEKSDYSARIANEISCKKVEYVLEKLDAMQTNIKNAPVNL >ONIVA02G34250.1 pep chromosome:AWHD00000000:2:29935857:29936351:-1 gene:ONIVA02G34250 transcript:ONIVA02G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRSTGAVRSSSCPTVATAPKTTLSRDGSGCMFWYWEDQYKEYLTNTGHLAASGVHAQCPAPVVHVQRYALVEQGSEGHEYAAEQLVRKMN >ONIVA02G34240.1 pep chromosome:AWHD00000000:2:29932145:29933828:1 gene:ONIVA02G34240 transcript:ONIVA02G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSNGVLGALSFAALLASVPLIGAGAYLLDHPASECQRLVRVPAVALGSAALLLSLMAIAGVTCCRGAALLWAYASAMFLLIVGMFFVTAFVFVVTNRGVATAVSGTGYGDYRVRDYSEWLRARIEDYETWHRIESCMADAAVCGGPLAGINPGEFYRLHLPLIQRVNETFWIAPARGLDAADVDCLEWSNDQAVLCFRCNACKASVLDTVRRNWRAVAVLNVAVLAILMLAYSLACCSVRDRSRYY >ONIVA02G34230.1 pep chromosome:AWHD00000000:2:29928089:29931816:1 gene:ONIVA02G34230 transcript:ONIVA02G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKASREAKADKKLVLGVKRKDLKRKKDRTLNGPVENEVAAEHGTAEDKGLVRKKKVVAMKQKKQMKLKSSQTDSDDMLELLTSKKDETKLKNKKKSKKNLKEGSNPVEEHQSLSDRINAGTPKLKKDRRSSDEPNNADEVLHGNQDEETPTARVNQLTAESGDMDIGEPEEVKRGNKSKTKKTKKSGKSSKKDKHESSRENKLDRHGEVDTANVDEIQSVDEDCSRGMKKWVLEYKQKRPGLKVLQQRIDEFITAHEEQEEQERKEREARAAEDGWTVVVHHKGRKKTTDTETGTAVGSVSLAAMQEKMANKKPKEVDMNFYRFQKREAHISELAMLQSKFEQDKKRIQQLRAQRKFKPY >ONIVA02G34230.2 pep chromosome:AWHD00000000:2:29928091:29931816:1 gene:ONIVA02G34230 transcript:ONIVA02G34230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKASREAKADKKLVLGVKRKDLKRKKDRTLNGPVENEVAAEHGTAEDKGLVRKKKVVAMKQKKQMKLKSSQTDSDDMLELLTSKKDETKLKNKKKSKKNLKEGSNPVEEHQSLSDRINAGTPKLKKDRRSSDEPNNADEVLHGNQDEETPTARVNQLTAESGDMDIGEPEEVKRGNKSKTKKTKKSGKSSKKDKHESSRENKLDRHGEVDTANVDEIQSVDEDCSRGMKKWVLEYKQKRPGLKVLQQRIDEFITAHEEQEEQERKEREARAAEDGWTVVVHHKGRKKTTDTETGTAVGSVSLAAMQEKMANKKPKEVDMNFYRFQKREAHISELAMLQSKFEQDKKRIQQLRAQRKFKPY >ONIVA02G34230.3 pep chromosome:AWHD00000000:2:29928071:29931816:1 gene:ONIVA02G34230 transcript:ONIVA02G34230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKKQMKLKSSQTDSDDMLELLTSKKDETKLKNKKKSKKNLKEGSNPVEEHQSLSDRINAGTPKLKKDRRSSDEPNNADEVLHGNQDEETPTARVNQLTAESGDMDIGEPEEVKRGNKSKTKKTKKSGKSSKKDKHESSRENKLDRHGEVDTANVDEIQSVDEDCSRGMKKWVLEYKQKRPGLKVLQQRIDEFITAHEEQEEQERKEREARAAEDGWTVVVHHKGRKKTTDTETGTAVGSVSLAAMQEKMANKKPKEVDMNFYRFQKREAHISELAMLQSKFEQDKKRIQQLRAQRKFKPY >ONIVA02G34220.1 pep chromosome:AWHD00000000:2:29925163:29927906:-1 gene:ONIVA02G34220 transcript:ONIVA02G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTEVEKKKAPTALAPIAKPLAGKKLCKRTLKLVRRASEAKLCVIAGNISPIDVITHVPILCEEANIPYIYVPSKEDLATAGTTKRPTCCVLVMTKPAKGEINEEVKEKLKSDYDHIVAEVAEVTSSILATEVQPCGHRCSDASEFRAGRTSQSAREPASDSGSNSGFGIGSDPSGISSDGEIWPPLFGILWQIQDKAHREGSATIPSLVIPCKTCSTMLVNTPTNMICTP >ONIVA02G34210.1 pep chromosome:AWHD00000000:2:29918908:29922034:-1 gene:ONIVA02G34210 transcript:ONIVA02G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALAVYSLVLLASFSFPCRLASALLSPKGVNYEVQALMMIKTSLKDPHGVLKNWDQDSVDPCSWTMVTCSPENLVTGLEAPSQNLSGLLSASIGNLTNLEIVLLQNNNINGPIPEEIGRLTKLKTLDLSSNHFSGGIPNSVGHLESLQYLRLNNNTLSGAYPSSSANLSQLVFLDLSYNNLSGPVPGSLARTFNIVGNPLICAAGTEHDCYGTLPMPMSYSLNNTQGTLMPAKSKSHKVAIAFGSTIGCISFLIPVMGLLFWWRHRRNQQILFDVDEQHTENVNLGNVKRFQFRELQVATENFSNKNILGKGGFGNVYRGKLPDGTVVAVKRLKDGNAAGGQAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVALRLKGKPPLDWITRQRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKKLDVLVDKGLRSNYDRVELEEMVQVALLCTQYLPGHRPRMSEVVRMLEGDGLAERWEASQRADSHKFKVPEFTFGRCYSDLTDDSSLLVQAVELSGPR >ONIVA02G34200.1 pep chromosome:AWHD00000000:2:29912899:29916072:1 gene:ONIVA02G34200 transcript:ONIVA02G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPPVDWEAESYPAYSDFAAIPLFAVFLFAVRYLLDRFVFEWLARRLIFEKDEKLDLATHAGRIKIRKFKESAWKCIYFLSAELLALSVTYKESWFTSTKNFWVGPGDQVWPDQRIKFKLKLVYMYAAGFYTYSIFALQFWEIKRSDFGISMVHHVVSVILIALSYIFRFARVGSIVLAIHDASDVFLELGKISKYSGYQLLADVSFLIFVCSWAVLRLIYYPFWILWSTSYEVVPMLDKKKHKFDGPLYYYVFNCLLFSLLVLNIYWWVLMYRMLVEQILSKGHVGDDVRSDSEGEEEHED >ONIVA02G34190.1 pep chromosome:AWHD00000000:2:29911195:29911746:-1 gene:ONIVA02G34190 transcript:ONIVA02G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEEEEAASPSATAHGDCVLPTACRVFDSEPVELSAKVLLLQLRPVEATAGLHGGYWCTVIASGIDGSAAVEVLAQVEAACAEHGVHLASKSMSLVVECDCDDSPWHVARRRAHTTVPLHILADGRGSFFSPDHWPLFVQLVRAMERPAEAHEDDLPAAGRLAVPSGGRTAKDATAKHV >ONIVA02G34180.1 pep chromosome:AWHD00000000:2:29897933:29898442:1 gene:ONIVA02G34180 transcript:ONIVA02G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAEIASFPYLSPASAVSFKTHYHVDTNDFLLQYNSLLVPQATSYQHVAHLPHETNLPVGNKSNSDESDDYQRSLAEERRRRRMISNRESARRSRMRKQKQLSELWAQVVHLRSTNRQLLDQLNHVIRDCDRVTHENCQLRDEQAKLQKQLEKTPVENTESTFMGPDN >ONIVA02G34170.1 pep chromosome:AWHD00000000:2:29898239:29908373:-1 gene:ONIVA02G34170 transcript:ONIVA02G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal peptide peptidase [Source:Projected from Arabidopsis thaliana (AT1G73990) TAIR;Acc:AT1G73990] MARLLVLRSAPYHRSHLSATATFLLSPSNSKQPHSASASASASFPSTARRILLPSPLRVPARAIESSPGTTKQEPTPAAGEGEAQEPPPPAASAFEVEELGWGTQLAVKLRMLVAPPWKRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGRYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDTISSKHGKKKEEIEEFINSGVYQVARLKEEGWITDLLYDDEVMAMLKERVGQKDKKSLRMVDYSKYSRVSKWTLGLQGGGEQIAVIRASGSITRTRSPLSVPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLADTKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEIISKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMNVDQMETVAQGRVWSGQDAASRGLVDSLGGFSQALAIAKQKANIPHDRKVQLVEISKPSPTLPEILSGIGSSLLGVDRAVKGVLQDVTSLNGVQARMDGILFERLEDLSGENQLLLLVWISNVTNSETKTAWAINFVEKDRGLLKLLLQFGLFISQLAVFVGNTIAIPDDMVQLIKELTISAAEVDNLCPELT >ONIVA02G34170.2 pep chromosome:AWHD00000000:2:29894612:29908373:-1 gene:ONIVA02G34170 transcript:ONIVA02G34170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal peptide peptidase [Source:Projected from Arabidopsis thaliana (AT1G73990) TAIR;Acc:AT1G73990] MARLLVLRSAPYHRSHLSATATFLLSPSNSKQPHSASASASASFPSTARRILLPSPLRVPARAIESSPGTTKQEPTPAAGEGEAQEPPPPAASAFEVEELGWGTQLAVKLRMLVAPPWKRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGRYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDTISSKHGKKKEEIEEFINSGVYQVARLKEEGWITDLLYDDEVMAMLKERVGQKDKKSLRMVDYSKYSRVSKWTLGLQGGGEQIAVIRASGSITRTRSPLSVPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLADTKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEIISKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMNVDQMETVAQGRVWSGQDAASRGLVDSLGGFSQALAIAKQKANIPHDRKVQLVEISKPSPTLPEILSGIGSSLLGVDRAVKGVLQDVTSLNGVQARMDGILFERLEDLSGENQLLLLVWISNVTNSETKTAWAINFVEKDRFVGGSED >ONIVA02G34160.1 pep chromosome:AWHD00000000:2:29893052:29893410:-1 gene:ONIVA02G34160 transcript:ONIVA02G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTRRITYRFSNYLQIACKTIHFNNIRVAQRKRGGPITHRSQDQNLALIIEGFQANSVILPAKLTPAHTRARCILLSRANPCINKYYASLYLAKQLSLVNHHHAR >ONIVA02G34150.1 pep chromosome:AWHD00000000:2:29885027:29888953:1 gene:ONIVA02G34150 transcript:ONIVA02G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRVGSPHESIGRAREKGSPSLLLQRPPSHLPPGAPATAPRGGVGEEADDNPRGGALVMPSVATVKAVASGESAQTPSSVEGREGRGLCGPHMIDATQWRF >ONIVA02G34140.1 pep chromosome:AWHD00000000:2:29882436:29884597:1 gene:ONIVA02G34140 transcript:ONIVA02G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSSSFPRSLLIPLAAGGCSDDDDGYDEGPSDTVSFPSFWPPFPAILSDSDSDVALFPPPPPHVDHCPAPQGAASAFFGLGFREEDDHDGGEWAPPGEVELPLCWDCLQLEEPDHQRWDIGVNGGGGDEWEQVGIRVEEEEEEAAAAVRSLEWEVLLATNSLGSLVVDGADYDGGIDTFFLDDADDVLFGQLAAEHEPPAAKGARAAAKAAVESLPTVVVDAARGDTQCAVCKDGMEAGERARRLPCAHLYHDGCILPWLAIRNTCPLCRHELPTDDPEYENWKARRAAAGGNGDGDRS >ONIVA02G34130.1 pep chromosome:AWHD00000000:2:29881666:29882541:-1 gene:ONIVA02G34130 transcript:ONIVA02G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSREEGSMEPDRVMFLVAIIGSLLLSSSAMATTAEGGGDVPPPGGEACRRVYDPPDENCDPDSCKAICSLRYNGVGVCDPVGCQCTYCHPPSPPPKFRTSGQ >ONIVA02G34130.2 pep chromosome:AWHD00000000:2:29881666:29882029:-1 gene:ONIVA02G34130 transcript:ONIVA02G34130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRVMFLVAIIGSLLLSSSAMATTAEGGGDVPPPGGEACRRVYDPPDENCDPDSCKAICSLRYNGVGVCDPVGCQCTYCHPPSPPPKFRTSGQ >ONIVA02G34120.1 pep chromosome:AWHD00000000:2:29876314:29877911:-1 gene:ONIVA02G34120 transcript:ONIVA02G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYCGGSSEVPADPNDELTEADKMGEEGNLQGDYDHLTPAERRYMEQKQKIDMHKLAKVANKSHRDRIQDFNQYLANLSEHYDIPKVGPGFSPRLGATAETVS >ONIVA02G34110.1 pep chromosome:AWHD00000000:2:29874028:29878208:1 gene:ONIVA02G34110 transcript:ONIVA02G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQSPASHKKDSAILFALSKMRRSIDLKYLMLIYPFMVFKVKGGLGGYAIYFGMEGVSFNMKTLLYFF >ONIVA02G34100.1 pep chromosome:AWHD00000000:2:29867624:29873456:1 gene:ONIVA02G34100 transcript:ONIVA02G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCL5] MLLVVSGDVRQQNFNESGAAIMERQTARRTPSSVTQMPEFPPACVNLAKELTARCRARALQLTDDEILSITQDLESVIENLCDYIGRVPTSALGSNAYTDGTVKALSVRGFLEDDMLTNAVNSMPNRKYFHDSNMPRLVEFLQGMYHESDEFGGHLFSSLPEVSEYIEPLYDALFCPLTNQVMTNPVLTESGVTYERRAIEEHFERFADSSENVICPVTKMPLQSKTLMNNASLKSVIAEWTMRNEAMRIRIARTALSLSSTEAMVLEAIHELKSLAKLRGKNREQMHKIGVTRLLARLLDNHNVQIRHSALELLCFLVEDEEGKDIIGKTKAIARTIKLLSTNGTDERHAAISFLLELSKSQLLLEDIGSTAGSILILTTMRINDSDDPIAAQKAGEVLKNLEKCSKNIKYMAESGYLEPLQSHLVEGSEEMQMEMVGYLAELIQEQELTIDINGSTSGVLIKMVHSCNTVARKAALDVLVQLSSHRPNSKTLVEAGVVPVMVEELFIRKVDDEPLSYKAMAAAVLANVVESGIDPDNTVVNKEGHVLTSKYSIYDFVHMLKCFMPDELNLSIIRVLLALTAHAKPLAAVVSVVRENHRGHSIVELLDGSARRRRDEAARHLSMHMGHTIAKPLAAVVSVVRENHRGHSIVELMSSWTEALGVASTRLLITLSAHMGHTIAERLCKTQGQPRRLVRSIFRAGRAAITERHVAAVTLLSRLPYRNVSLNLALVQEGAVPAILRGIEEMGSGAARMTTSRHAAPYMEGLVGTLVRLTATLYNPDVLKAAMDHDFASVLTALAGAAGSGEVQRLAAVGLENLSYHSIKLSRPPPPPEDEPRPKKMTILKRLKDARVHNHSSSKNPPLNVCPVHRGVCTPATTFCLLEAGAVEGLLACLENDDARVVDAALGALCTLVDDRVDVEKAVVALAGQGAARRVLAALRQHRGNSLWHRCFSVVEKLLVHGDDVCVREVTGDRMLPTALVSAFHRGDANAKQAAESILRRLHKMPDYSATYMSVEF >ONIVA02G34100.2 pep chromosome:AWHD00000000:2:29869320:29873456:1 gene:ONIVA02G34100 transcript:ONIVA02G34100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCL5] MLLVVSGDVRQQNFNESGAAIMERQTARRTPSSVTQMPEFPPACVNLAKELTARCRARALQLTDDEILSITQDLESVIENLCDYIGRVPTSALGSNAYTDGTVKALSVRGFLEDDMLTNAVNSMPNRKYFHDSNMPRLVEFLQGMYHESDEFGGHLFSSLPEVSEYIEPLYDALFCPLTNQVMTNPVLTESGVTYERRAIEEHFERFADSSENVICPVTKMPLQSKTLMNNASLKSVIAEWTMRNEAMRIRIARTALSLSSTEAMVLEAIHELKSLAKLRGKNREQMHKIGVTRLLARLLDNHNVQIRHSALELLCFLVEDEEGKDIIGKTKAIARTIKLLSTNGTDERHAAISFLLELSKSQLLLEDIGSTAGSILILTTMRINDSDDPIAAQKAGEVLKNLEKCSKNIKYMAESGYLEPLQSHLVEGSEEMQMEMVGYLAELIQEQELTIDINGSTSGVLIKMVHSCNTVARKAALDVLVQLSSHRPNSKTLVEAGVVPVMVEELFIRKVDDEPLSYKAMAAAVLANVVESGIDPDNTVVNKEGHVLTSKYSIYDFVHMLKCFMPDELNLSIIRVLLALTAHAKPLAAVVSVVRENHRGHSIVELLDGSARRRRDEAARHLSMHMGHTIAKPLAAVVSVVRENHRGHSIVELMSSWTEALGVASTRLLITLSAHMGHTIAERLCKTQGQPRRLVRSIFRAGRAAITERHVAAVTLLSRLPYRNVSLNLALVQEGAVPAILRGIEEMGSGAARMTTSRHAAPYMEGLVGTLVRLTATLYNPDVLKAAMDHDFASVLTALAGAAGSGEVQRLAAVGLENLSYHSIKLSRPPPPPEDEPRPKKMTILKRLKDARVHNHSSSKNPPLNVCPVHRGVCTPATTFCLLEAGAVEGLLACLENDDARVVDAALGALCTLVDDRVDVEKAVVALAGQGAARRVLAALRQHRGNSLWHRCFSVVEKLLVHGDDVCVREVTGDRMLPTALVSAFHRGDANAKQAAESILRRLHKMPDYSATYMSVEF >ONIVA02G34090.1 pep chromosome:AWHD00000000:2:29865279:29867168:-1 gene:ONIVA02G34090 transcript:ONIVA02G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPSPASFRIHIREKRNHHRRRLLTPMSFPLPASSQSLRGSTLRRDWESRKIKAASQFKNERNLIIK >ONIVA02G34080.1 pep chromosome:AWHD00000000:2:29862624:29864635:-1 gene:ONIVA02G34080 transcript:ONIVA02G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPMPTEGSEPLLPRKQRDGGDDGAASSHDHFDGASFSGAVFNLSTTIVGAGIMALPATMKVLGLVPGLILVMLAAVLTDASIELLVRFSRAVGATSYGEAMGDAFGAFGRGLLQLCVVVNNVGVMVVYMIIIGDVLSGKSSSGGVHHHGVIEGWFGPNRWNGRFSILVIVTLGVFTPLTCFKRVDSLKYTSALSVALAVVFVVITAGITTIKLMRGQIPMPKLFPDVHDWSSTWRLFTAAPVLVTAYICHYNVHPIHNELKDHSQIRPIVRASLLLCLAVYTTTSFFGFLLFGEATLDDVLANFDSDLGIPYSLVLDDAVRVSYVLHLMLVFPIVFHALRFNMDGLLFPSARPLSSDNRRFGAITAALLTVIFLAANFVPNIWDAFQFTGATAAVAIAYIFPAGMALRDRHGIATKGDKYLAVFMIVLALVANGVAVYSDACLGTF >ONIVA02G34070.1 pep chromosome:AWHD00000000:2:29855319:29857683:-1 gene:ONIVA02G34070 transcript:ONIVA02G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLRAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLELAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARMENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEGLKYFVNSCHTLIRRETKLLSFRRLYCNFSLVKHFVIEYIRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKINEDEEPIQITKRRCNKS >ONIVA02G34070.2 pep chromosome:AWHD00000000:2:29855319:29857683:-1 gene:ONIVA02G34070 transcript:ONIVA02G34070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLRAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLELAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARMENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEATRAEGRSSASSADQGPSTPRSKHSATEQRRRTKINDRQASRGTNQAQQDIILAAFNSKTISYKYMVQEMCSKMQFFMLSFRLEILRELLPHTDQKRDKASFLSEVIEYIRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKINEDEEPIQITKRRCNKS >ONIVA02G34070.3 pep chromosome:AWHD00000000:2:29855319:29857683:-1 gene:ONIVA02G34070 transcript:ONIVA02G34070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLRAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLELAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARMENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEATRAEGRSSASSADQGPSTPRSKHSATEQRRRTKINDRQASRGLKYFVNSCHTLIRRETKLLSFRRKSKSMRKPIQKGITRIPNPCHGYYHSHAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKINEDEEPIQITKRRCNKS >ONIVA02G34070.4 pep chromosome:AWHD00000000:2:29855319:29857683:-1 gene:ONIVA02G34070 transcript:ONIVA02G34070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLRAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLELAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARMENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEATRAEGRSSASSADQGPSTPRSKHSATEQRRRTKINDRQASRGTNQAQQDIILAAFNSKTISYKYMVQEMCSKMQFFMLSFRLEILRELLPHTDQKRDKASFLSEVIEYIRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKIVCLIIILYLCPDFTSQINEDEEPIQITKRRCNKS >ONIVA02G34070.5 pep chromosome:AWHD00000000:2:29855319:29857683:-1 gene:ONIVA02G34070 transcript:ONIVA02G34070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLRAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLELAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARMENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEATRAEGRSSASSADQGPSTPRSKHSATEQRRRTKINDRQASRGLKYFVNSCHTLIRRETKLLSFRRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKIVCLIIILYLCPDFTSQINEDEEPIQITKRRCNKS >ONIVA02G34070.6 pep chromosome:AWHD00000000:2:29855319:29857683:-1 gene:ONIVA02G34070 transcript:ONIVA02G34070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLRAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLELAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARMENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEGLKYFVNSCHTLIRRETKLLSFRRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKINEDEEPIQITKRRCNKS >ONIVA02G34070.7 pep chromosome:AWHD00000000:2:29855319:29857683:-1 gene:ONIVA02G34070 transcript:ONIVA02G34070.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLRAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLELAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARMENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEGLKYFVNSCHTLIRRETKLLSFRRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKIVCLIIILYLCPDFTSQINEDEEPIQITKRRCNKS >ONIVA02G34060.1 pep chromosome:AWHD00000000:2:29854146:29854829:1 gene:ONIVA02G34060 transcript:ONIVA02G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast thylakoid membrane, chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 14 growth stages; BEST Arabidopsis thaliana protein matc /.../Uncharacterised conserved protein ycf60 (TAIR:AT2G47840.1); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G55710) TAIR;Acc:AT5G55710] MASAVSLLLLSSPRPLRRAAPVPALRSQARHPLLLGHAGETALGVWATRARLPAPPPRASNPNNDNDNSGAVEAPDRLVAAVAYLYPFLDGVHHGRFLLAQFPLFSTLLSPLAPAARLFRSSPLTPFLLFLTLYFAVVRNQQAFSRFVRFNAMQAVALDVLLIFPDLLVQSFAPSTGGGIGFELFQSMESTVFLFLLVCLVYGGGACLLGKTPRLPIVADAAERQVM >ONIVA02G34050.1 pep chromosome:AWHD00000000:2:29848779:29852825:1 gene:ONIVA02G34050 transcript:ONIVA02G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKATMGGVKVAGGGGGVEKVRCPSVAAVARSRMRPWVLRATTTVLLWTCVMQLTAVGERWGPRVLKGWPSCRTAQEAASAALAATRLPMPVPVVEKAPLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVELGMFHSMPPISWSDISYYRNKILPLIRKHKVLHLNRTDARLANNGLPLDVQKLRCRVNFGSLKFTSDIEELGRRVIRLLRQNGPFLVLHLRYEMDMLAFSGCTEGCTREEADELTRMRYAYPWWKEKVINSYAKRKDGLCPLTPEEIALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETLLQPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVELVDQYNSGSMLWDEFSSLIKSVHANRMGAASKRTVIHDKPKEEDYFYANPQECLRDPNLLRTS >ONIVA02G34040.1 pep chromosome:AWHD00000000:2:29842529:29846689:1 gene:ONIVA02G34040 transcript:ONIVA02G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETATKSREIAAEATKQADLLAGQIKRLSTDLPVPSIPAIPPIPTAVAPEPDAAELERYGITEDLREFVKGMTISTFRDFPLQDEPEMSDVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRVYFLLVNNYTSLYENKYFEELKVKSEEEKMDAKKEVTETSQATTAEHKDMKVQSKTSTSTNPEHDLDVFLLGDLGSDDEGPDGDDDGLDDDFDKIDGTSGLESDDDDDKEKAAGKAESAKE >ONIVA02G34030.1 pep chromosome:AWHD00000000:2:29829142:29829993:-1 gene:ONIVA02G34030 transcript:ONIVA02G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFQSIPGLAGRLFGGAAAADIRRAQAQQGPASRCGGIPSPEAVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKTKRSGSSSAASSAPSTPTAATDNAKNQRRASASSPRSSSGGSGNTSPTAAAATTPTTPATPSSNTIAVINHATTTTTTTTNPFPTDVPPPAPIFADQAAALASLFAPPPPPPLPVFSFAAQAKTEDGIASVLLAGQTTAPTAATVADMTPFTSLDAGIFELGDVPPAAYWNAGSCWTDVPDPNVYLP >ONIVA02G34020.1 pep chromosome:AWHD00000000:2:29825690:29825988:1 gene:ONIVA02G34020 transcript:ONIVA02G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHSWIMVVRVTSELCQPASYRATTSGDWRCAVLSSSSPAITLDRTTEALPVPVQYGVYYVLRHQSYCSRCVGVNVTTGAGATTAPVTVGSWP >ONIVA02G34010.1 pep chromosome:AWHD00000000:2:29820788:29821588:-1 gene:ONIVA02G34010 transcript:ONIVA02G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARVVHVMLLLFVALGACRATHNITAILADHRELAEFGRQLTATGLADDIDGRNTITVLAVDDAHMAQLRARGLPREALRHVLSLHVLVDYYDDAKLHRLPGGSAVVSTLFQASGDAPGSEGMVKIAVRRGGRVAFVPQDVDDARANVFYVKSVHEAPYNISVLQVSAVITSPAAEAPSSATESKPNSTDASSKHGPPNAGAHAAPSPVGQGSSSDDGADEGKKSGDGGDGGKKNGASVGAAPRGLPFALAFLMAASVILVVNW >ONIVA02G34000.1 pep chromosome:AWHD00000000:2:29813590:29814290:-1 gene:ONIVA02G34000 transcript:ONIVA02G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKKRGGRNMDQVEKAAVRSDGVGGSATNAELPMANLVRLMKKVLPGKAKIGGAAKGLTHDCAVEFVGFVGDEASEKAKAEHRRTVAPEDYLGSFGDLGFDRYVDPMDAYIHGYREFERAGGNRRVAPPPPAAATPLTPGGPTFTDAELQFLRSVIPSRSDDEYSGSSPAIGGYGYGYGYGKNM >ONIVA02G33990.1 pep chromosome:AWHD00000000:2:29800254:29807909:-1 gene:ONIVA02G33990 transcript:ONIVA02G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIQVRELLGSLEAEMPAFLSDTTIRRFLRARNWSTEQATKSLKETVKWRRQYRPESICWDDIADKEYEGRRAYIADYLDAKGRSILITKLTIKGRVSGKEQIKHFVYLLESLAMNSADEQEEHVTWLIDLRGWSISSTPLSTSRESMHIVQNYYPGIIAVAILSNTPRIFESFWKTAFGGRNSITIDIDNYAERMRRSDLARGVLIIQTDINLISRHH >ONIVA02G33990.2 pep chromosome:AWHD00000000:2:29800254:29809022:-1 gene:ONIVA02G33990 transcript:ONIVA02G33990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLKTRSESDKPKSPSSEELQQKIVQVRELLGSLEAEMPAFLSDTTIRRFLRARNWSTEQATKSLKETVKWRRQYRPESICWDDIADKEYEGRRAYIADYLDAKGRSILITKLTIKGRVSGKEQIKHFVYLLESLAMNSADEQEEHVTWLIDLRGWSISSTPLSTSRESMHIVQNYYPGIIAVAILSNTPRIFESFWKTAFGGRNSITIDIDNYAERMRRSDLARGVLIIQTDINLISRHH >ONIVA02G33990.3 pep chromosome:AWHD00000000:2:29807981:29809022:-1 gene:ONIVA02G33990 transcript:ONIVA02G33990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLKTRSESDKPKSPSSEELQQKRATSGEPLISTPTSSSMRNEEEPLLPSYIGDQRSPHAIAFTAFDAGTMLLSSAIPSLSMSIFYGC >ONIVA02G33980.1 pep chromosome:AWHD00000000:2:29789761:29796809:-1 gene:ONIVA02G33980 transcript:ONIVA02G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEKPTPPRHPPPHPPAPEVAPLVCVFGGGPPGRGGSGSASHPTPAPPPGHPRPLLPSPVAAVLPPLFFPLGHLRWKQWIKKLQFIINIQGSFDEIAIVAGGCDKNGQVLKSAELYNSETGHWETLADMNLARRLSSSFFLDGFYRCKVAYDIALNRPVVENGQCMQQRYHLFGHAGCPNHLTCLGRH >ONIVA02G33980.2 pep chromosome:AWHD00000000:2:29789857:29796517:-1 gene:ONIVA02G33980 transcript:ONIVA02G33980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGHDAEVTWADFDGSCTPMKSNMSGSKNGQVLKSAELYNSETGHWETLADMNLARRLSSSFFLDGFYRCKVAYDIALNRPVVCGEWPMYATEISFVWPCWLSQPFDMFGKEVTFVSVEF >ONIVA02G33980.3 pep chromosome:AWHD00000000:2:29789954:29796809:-1 gene:ONIVA02G33980 transcript:ONIVA02G33980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEKPTPPRHPPPHPPAPEVAPLVCVFGGGPPGRGGSGSASHPTPAPPPGHPRPLLPSPVAAVLPPLFFPLGHLRWKQWIKKLQFIINIQGSFDEIAIVAGGCDKNGQVLKSAELYNSETGHWETLADMNLARRLSSSFFLDGFYRCKVAYDIALNRPVVCGEWPMYATEISFVWPCWLSQPFDMFGKV >ONIVA02G33980.4 pep chromosome:AWHD00000000:2:29789954:29796809:-1 gene:ONIVA02G33980 transcript:ONIVA02G33980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEKPTPPRHPPPHPPAPEVAPLVCVFGGGPPGRGGSGSASHPTPAPPPGHPRPLLPSPVAAVLPPLFFPLGHLRWKQWIKKLQFIINIQGSFDEIAIVAGGCDKNGQVLKSAELYNSETGHWETLADMNLARRLSSSFFLDGFYRCKSFEMGLAHLVSGTGGEWPMYATEISFVWPCWLSQPFDMFGKV >ONIVA02G33970.1 pep chromosome:AWHD00000000:2:29788041:29789458:1 gene:ONIVA02G33970 transcript:ONIVA02G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSYRSACSTPYLGAILLFLLFLHAAAPHRFGRSLRTVLAGLAGVPAAVHGISSPSGCALASPRTFLRRLIDLQGVPPWETLGLHFPQLY >ONIVA02G33960.1 pep chromosome:AWHD00000000:2:29734114:29734878:-1 gene:ONIVA02G33960 transcript:ONIVA02G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGYPGAAANGAAADGNGGAQQAAAAPAIREQDRLMPIANVIRIMRRVLPAHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVEPLGVYLHRYREFEGESRGVGVGVGAARGDHHHGHVGGMLKSRAQGSMVTHHDMQMHAAMYGGGAVPPPPHPPPHHHAFHQLMPPHHGQYAPPYDMYGGEHGMAAYYGGMYAPGSGGDGSGSSGSGGAGTPQTVNFEHQHPFGYK >ONIVA02G33950.1 pep chromosome:AWHD00000000:2:29728661:29731442:1 gene:ONIVA02G33950 transcript:ONIVA02G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: tRNA (guanine-N1-)-methyltr /.../ase (InterPro:IPR016009), tRNA (guanine-N(1)-)-methyltransferase, metazoa (InterPro:IPR016653), tRNA (guanine-N1-)-methyltransferase, eukaryotic (InterPro:IPR007356); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G47680) TAIR;Acc:AT5G47680] MADDAASEQATDVAAAGAADEGQPPAMSKSARKKLLKQERQAAQKAARKAAEKERRRADIERRRREWEEALAAAPSEEARAEMVEARRQTRRERVGRRAEERGARAERLRRAAEGAGQKVVLDLEFGDLMRPNEIHSLTQQIMYCYAVNGRSTNPAHLWLTGCNGEMATHLQRIPGYDKWMIEKEAKSYLEAFEDRKENLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITLKKAVDQGIQCAKLPIGNYLKMSSSQVLTVNQVFEIMLKFVETRDWKTSFFHVIPQRKIGEAEAGNDGVDISMNNVDAAEGAENQGDLTKVFDEDVDDDDVVDEELQEEDTDMAKKKQCIRHENGEAEDASTRPAEDHSPGAAAETTTPTGGALPQAEQSKESNGADD >ONIVA02G33940.1 pep chromosome:AWHD00000000:2:29727392:29728113:-1 gene:ONIVA02G33940 transcript:ONIVA02G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAIFAAVAFVLLAASVSSSSAALYTVGDARGWAVPPTGSESYNHWGLKNRFRVGDVVEFKYVNESVVVVNHEGYRNCSSLSPVIRFTDGDTKYLLDRPGLVFFISGVQERCERGLRMRLRVRPAAPGPAQAPAPGPTRAALTLRRPPIGAPRPAAVTAAFTPTSPSASRPSARTSPSPSPGPAQAPSGASGRALTGFSMAAALLVVCVVSVFILV >ONIVA02G33930.1 pep chromosome:AWHD00000000:2:29724718:29725808:-1 gene:ONIVA02G33930 transcript:ONIVA02G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDETGRTIPKFGAWDVNNPASADGFTVIFSKARDEKKGPVNVDASTRSNSDMKDSNNNKATEKINPYHRRTNSASKKWFCCVSPSPTQP >ONIVA02G33920.1 pep chromosome:AWHD00000000:2:29713694:29723662:1 gene:ONIVA02G33920 transcript:ONIVA02G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGGVVSGGRQRGPPLFATEKPGRMAMAAYRVSAATVFAGVLLIWLYRATHLPPGGGDGVRRWAWLGMLAAELWFGFYWVLTLSVRWCPVYRRTFKDRLAQRILIANSYSEDELPSVDIFVCTADPTAEPPMLVISTVLSVMAYDYLPEKLNIYLSDDAGSVLTFYVLCEASEFAKHWIPFCKKYKVEPRSPAAYFAKVASPPDGCGPKEWFTMKELYKDMTDRVNSVVNSGRIPEVPRCHSRGFSQWNENFTSSDHPSIVQILIDSNKQKAVDIDGNALPTLVYMAREKKPQKQHHFKAGSLNALIRVSSVISNSPIIMNVDCDMYSNNSESIRDALCFFLDEEQGQDIGFVQYPQNFENVVHNDIYGHPINVVNELDHPCLDGWGGMCYYGTGCFHRREALCGRIYSQEYKEDWTRVAGRTEDANELEEMGRSLVTCTYEHNTIWGIEKGVRYGCPLEDVTTGLQIQCRGWRSVYYNPKRKGFLGMTPTSLGQILVLYKRWTEGFLQISLSRYSPFLLGHGKIKLGLQMGYSVCGFWAVNSFPTLYYVTIPSLCFLNGISLFPEKTSPWFIPFAYVMVAAYSCSLAESLQCGDSAVEWWNAQRMWLIRRITSYLLATIDTFRRILGISESGFNLTVKVTDLQALERYKKGMMEFGSFSAMFVILTTVALLNLACMVLGISRVLLQEGPGGLETLFLQAVLCVLIVAINSPVYEALFLRRDKGSLPASVARVSICFVLPLCILSICK >ONIVA02G33920.2 pep chromosome:AWHD00000000:2:29713694:29723662:1 gene:ONIVA02G33920 transcript:ONIVA02G33920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGGVVSGGRQRGPPLFATEKPGRMAMAAYRVSAATVFAGVLLIWLYRATHLPPGGGDGVRRWAWLGMLAAELWFGFYWVLTLSVRWCPVYRRTFKDRLAQSYSEDELPSVDIFVCTADPTAEPPMLVISTVLSVMAYDYLPEKLNIYLSDDAGSVLTFYVLCEASEFAKHWIPFCKKYKVEPRSPAAYFAKVASPPDGCGPKEWFTMKELYKDMTDRVNSVVNSGRIPEVPRCHSRGFSQWNENFTSSDHPSIVQILIDSNKQKAVDIDGNALPTLVYMAREKKPQKQHHFKAGSLNALIRVSSVISNSPIIMNVDCDMYSNNSESIRDALCFFLDEEQGQDIGFVQYPQNFENVVHNDIYGHPINVVNELDHPCLDGWGGMCYYGTGCFHRREALCGRIYSQEYKEDWTRVAGRTEDANELEEMGRSLVTCTYEHNTIWGIEKGVRYGCPLEDVTTGLQIQCRGWRSVYYNPKRKGFLGMTPTSLGQILVLYKRWTEGFLQISLSRYSPFLLGHGKIKLGLQMGYSVCGFWAVNSFPTLYYVTIPSLCFLNGISLFPEKTSPWFIPFAYVMVAAYSCSLAESLQCGDSAVEWWNAQRMWLIRRITSYLLATIDTFRRILGISESGFNLTVKVTDLQALERYKKGMMEFGSFSAMFVILTTVALLNLACMVLGISRVLLQEGPGGLETLFLQAVLCVLIVAINSPVYEALFLRRDKGSLPASVARVSICFVLPLCILSICK >ONIVA02G33920.3 pep chromosome:AWHD00000000:2:29713694:29723662:1 gene:ONIVA02G33920 transcript:ONIVA02G33920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGGVVSGGRQRGPPLFATEKPGRMAMAAYRVSAATVFAGVLLIWLYRATHLPPGGGDGVRRWAWLGMLAAELWFGFYWVLTLSVRWCPVYRRTFKDRLAQSYSEDELPSVDIFVCTADPTAEPPMLVISTVLSVMAYDYLPEKLNIYLSDDAGSVLTFYVLCEASEFAKHWIPFCKKYKVEPRSPAAYFAKVASPPDGCGPKEWFTMKELYKDMTDRVNSVVNSGRIPEVPRCHSRGFSQWNENFTSSDHPSIVQILIDSNKQKAVDIDGNALPTLVYMAREKKPQKQHHFKAGSLNALIRVSSVISNSPIIMNVDCDMYSNNSESIRDALCFFLDEEQGQDIGFVQYPQNFENVVHNDIYGHPINVVNELDHPCLDGWGGMCYYGTGCFHRREALCGRIYSQEYKEDWTRVAGRTEDANELEEMGRSLVTCTYEHNTIWGIEKGVRYGCPLEDVTTGLQIQCRGWRSVYYNPKRKGFLGMTPTSLGQILVLYKRWTEGFLQISLSRYSPFLLGHGKIKLGLQMGYSVCGFWAVNSFPTLYYVTIPSLCFLNGISLFPEKTSPWFIPFAYVMVAAYSCSLAESLQCGDSAVEWWNAQRMWLIRRITSYLLATIDTFRRILGISESGFNLTVKVTDLQALERYKKGMMEFGSFSAMFVILTTVALLNLACMVLGISRVLLQEGPGGLETLFLQAVLCVLIVAINSPVYEALFLRRDKGSLPASVARVSICFVLPLCILSICK >ONIVA02G33910.1 pep chromosome:AWHD00000000:2:29709501:29712884:-1 gene:ONIVA02G33910 transcript:ONIVA02G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANFSKLPKRFPFQLLFLPSQHARRRSHMADPSRSSPTAAAAGDALLAAAAAPGDAPDATALAVAADADAEFGFQRAELGTEKLAGTVQFHERHVFLCYKGPEVWPSHVEAAESDRLPRLLAAAIKTHKSDLKKKTKLTICEGEDGTESSNGDVLIFPDMIRYRGLTHFDVDNFVQEVLVKDTEWLPGSPEAIKGSYVFVCCHASRDKRCGVCGPALIKRFKEEIGVQGLADQVSVSACSHVGGHKYAGNVIVFSADAKGEVTGHWYGYVSPDDVPVLLHKHIGQGEIVDHLWRGQMGLSEEEQRKALESKHVTNGVTEDGAHESPEETTNGSACNPVAAGGCCQGNGGFTCCQSDLPKEDKSITAEQNQKSSEKGADKECAAGSKKRHMKMCSMPTWFETWETADTYAALGIVAAAASVFVAFRIYKNLN >ONIVA02G33900.1 pep chromosome:AWHD00000000:2:29704523:29709005:1 gene:ONIVA02G33900 transcript:ONIVA02G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASKIIPQTLPEIPTTPEPQQYTKTAPDIPKSNNSRSSVPKLLQESDRGKLNHKLEPKEQKPNHHLKESVDVSSAKCPTGVSEEMVSEGIASQEKKVVEYESVNGSSTSFHTCEGSGPGKASGSARMTDRSETGERGSSSRCRPSTSSDISDESSCSSMSSTTKPHKSNDSRWEAIQTIRVRDGIIGLSHFRLLKKLGCGDIGSVYLSELSGAKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPDAEALRKNSQGYCVQPACVEPSCVIQPSCAAPTTCFGPRFFSKSKKDRKPKPEIATQISPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPIVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEIERPPKLPPSTSGTTDVSSGAPQKGSDGYLEFDFF >ONIVA02G33890.1 pep chromosome:AWHD00000000:2:29697674:29698805:-1 gene:ONIVA02G33890 transcript:ONIVA02G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLAAALFFAFAVVAATLAPAAEARVQGFEPAIAVEKTAVSGGAQQPPTTLPGLLPGLPFPLFPFLIFPIPGSPAGAGGAPPSAGSGGFPFPLPFPLPLPAPGSPAAGAPPSSGSSGFPFPMPSPLPLPAHGSPAAGAPPSSGSGLPFPLPFPLPQPSSPAQPQPKECMTPLMSVMPCADYLTNTAVPTPPATCCDGFRSLVSTAPICLCHGMNGDLNSFLPTPVDPMKMMLLPITCGAMPPLQTLFMCSSPSVPPLVPPRSPAAPAPASPSVRVALVYLMLHHSHGRLS >ONIVA02G33880.1 pep chromosome:AWHD00000000:2:29690876:29696252:1 gene:ONIVA02G33880 transcript:ONIVA02G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGAPRRQPPPPPPRQLAAGKKGKASPKAAKRAAPKKQRLLESSSDDSELEQQQGQLQEVESGSDLDVPSDSGAEELSDSDDASFEGGDSGDDEEEEDDEDGDDDPLADDFLAGSDDESDGGDDSGVDSDESDDLEAKSRAIDEEKEKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPNLKRRISEIVRVLSNFSKLRQKDVPRKDYVNQLKTDIMSYYGYNDFLVEAFIEMFPAVELVELLESFEKKPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLSAIDLVDANSKTGGYIVYSTCSLMIPENEAVVDYALKKRNVKLVPCGLDFGRPGFIRFREHRFDTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVASESSNVPEEAIEKADPSSDDPQKQPIQSKKHKDVKTTNEETSILDGVTKDKRQTHETLKNHKKGEKKRNGPESTKIKGDQKETHNEQEEPTSEKKQPVSAEIKKSVPKRISGNKGKKLDTGKGEKRKRNWMVRRDWEAYKKSRSKQV >ONIVA02G33870.1 pep chromosome:AWHD00000000:2:29686006:29690682:1 gene:ONIVA02G33870 transcript:ONIVA02G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRWWRVDASEVAAVTAMGVWEAVLAGGGRRFLKRKDSDAGETGRALEELRSSLYNEMHSSEGAKRQQQRFCGPSVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMAILKTMSLLPVAPPSKSTPFSSLFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVVAEFMIFQKRVSSQKVITLAIVSFGVAVATVTDLEFNFFGAVVALAWIVPSAVNKILWSNLQQSGNWTALALMWKTTPVTIFFLLALMPLLDPPGLLLFDWNFRNSLAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLIFSSDPGITSICGAIVALGGMSVYTYLGLKESTTTGKKPPLAQKPKAAGDGEKPGLEHEDSV >ONIVA02G33860.1 pep chromosome:AWHD00000000:2:29684386:29685240:-1 gene:ONIVA02G33860 transcript:ONIVA02G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEEIAIPAVPVDFFQLMIDGSSKQWRWGRRGESSNRSQWREERLLIEKMSMRKKMQLGRYPCSRCNQPRSRGGETSMATAAAAAAAAAAKCRCSRRRRLPEREWTAEEDERLRRLAKENLFRQWWKVAREMPGRSGDSCRARWRHHLARDVYHRPFTARDDEELVRLHRHTGGSWRKISRSVYGRTSAIMRDRWIQLRRSGLVPDAAKTAENAGCPPPAADDSEYMGSEAESKSPPPPPQQQQHPLADVLASSLDSCTLASDATDPRDGILALDFAFMSV >ONIVA02G33850.1 pep chromosome:AWHD00000000:2:29679347:29680024:-1 gene:ONIVA02G33850 transcript:ONIVA02G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITAIDDPKEFDMLLGRWHPIEGSSGYDGSPFCEKRIQIVTGKTTTPPPPPATPLCAAARGRFATCPAVRHRTPKENARLRYVDSGRQRRAAEGCTPLGRSRDVRRHRRVNRITVFAAVDHDSFAARGAEGTMLLHRHVQRSVVDGRASRISVGCRIKHHRLSGLVPAAETGATCPPPAEGGVAESESPPPPQHPLADALASSLDSCTLASDTADPGDGDLALD >ONIVA02G33840.1 pep chromosome:AWHD00000000:2:29674193:29680265:1 gene:ONIVA02G33840 transcript:ONIVA02G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSSGSFHGLYQYTYISPTLTKEWKQTHHYPGRIPLNSTVEQKARKVAVLVALSASGSAPVLYRTMDALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCVGQPAAVRCLEENTSLCQNCDWNGHGAASSAAGHKRQTINCYSGCPSSAELSRIWSFSMDIPTVAAEPNCEEGINMMSINDNDVNNHCGAPEDGRLLDIASTVLMSDLPTGDKFKPLIGSSSGDGMNLLPLNSDQPAEPVSTTPKAPCVTDKDMFNDGSVYGDFCVDDADLTFENYEELFGTSHVQTEQLFDDAGIDSYFEMKDVPADESNEQPKPVQPECSNVASVDSGMSNPAARADSSHCIPGRQAISNISLSFSGLTGESSAGDFQDCGVSSMILMGEPPWHPPGPESSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >ONIVA02G33840.2 pep chromosome:AWHD00000000:2:29674163:29680265:1 gene:ONIVA02G33840 transcript:ONIVA02G33840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCVGQPAAVRCLEENTSLCQNCDWNGHGAASSAAGHKRQTINCYSGCPSSAELSRIWSFSMDIPTVAAEPNCEEGINMMSINDNDVNNHCGAPEDGRLLDIASTVLMSDLPTGDKFKPLIGSSSGDGMNLLPLNSDQPAEPVSTTPKAPCVTDKDMFNDGSVYGDFCVDDADLTFENYEELFGTSHVQTEQLFDDAGIDSYFEMKDVPADESNEQPKPVQPECSNVASVDSGMSNPAARADSSHCIPGRQAISNISLSFSGLTGESSAGDFQDCGVSSMILMGEPPWHPPGPESSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >ONIVA02G33840.3 pep chromosome:AWHD00000000:2:29675293:29680265:1 gene:ONIVA02G33840 transcript:ONIVA02G33840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSSGSFHGLYQYTYISPTLTKEWKQTHHYPGRIPLNSTVEQKARKVAVLVALSASGSAPVLYRTMDALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCVGQPAAVRCLEENTSLCQNCDWNGHGAASSAAGHKRQTINCYSGCPSSAELSRIWSFSMDIPTVAAEPNCEEGINMMSINDNDVNNHCGAPEDGRLLDIASTVLMSDLPTGDKFKPLIGSSSGDGMNLLPLNSDQPAEPVSTTPKAPCVTDKDMFNDGSVYGDFCVDDADLTFENYEELFGTSHVQTEQLFDDAGIDSYFEMKDVPADESNEQPKPVQPECSNVASVDSGMSNPAARADSSHCIPGRQAISNISLSFSGLTGESSAGDFQDCGVSSMILMGEPPWHPPGPESSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >ONIVA02G33840.4 pep chromosome:AWHD00000000:2:29674163:29680265:1 gene:ONIVA02G33840 transcript:ONIVA02G33840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCVGQPAAVRCLEENTSLCQNCDWNGHGAASSAAGHKRQTINCYSGCPSSAELSRIWSFSMDIPTVAAEPNCEEGINMMSINDNDVNNHCGAPEDGRLLDIASTVLMSDLPTGDKFKPLIGSSSGDGMNLLPLNSDQPAEPVSTTPKAPCVTDKDMFNDGSVYGDFCVDDADLTFENYEELFGTSHVQTEQLFDDAGIDSYFEMKDVPADESNEQPKPVQPECSNVASVDSGMSNPAARADSSHCIPGRQAISNISLSFSGLTGESSAGDFQDCGVSSMILMGEPPWHPPGPESSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >ONIVA02G33840.5 pep chromosome:AWHD00000000:2:29676138:29680265:1 gene:ONIVA02G33840 transcript:ONIVA02G33840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYKFVVLLLVTDFCFWLFAHQYPGRIPLNSTVEQKARKVAVLVALSASGSAPVLYRTMDALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCVGQPAAVRCLEENTSLCQNCDWNGHGAASSAAGHKRQTINCYSGCPSSAELSRIWSFSMDIPTVAAEPNCEEGINMMSINDNDVNNHCGAPEDGRLLDIASTVLMSDLPTGDKFKPLIGSSSGDGMNLLPLNSDQPAEPVSTTPKAPCVTDKDMFNDGSVYGDFCVDDADLTFENYEELFGTSHVQTEQLFDDAGIDSYFEMKDVPADESNEQPKPVQPECSNVASVDSGMSNPAARADSSHCIPGRQAISNISLSFSGLTGESSAGDFQDCGVSSMILMGEPPWHPPGPESSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >ONIVA02G33830.1 pep chromosome:AWHD00000000:2:29667184:29667724:-1 gene:ONIVA02G33830 transcript:ONIVA02G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEDWADKFILEIDKVTRGASLLTAWMVHHSAICISATVPLRLLEGTVEKNRETLVLSTRHLGHVDVEYPALAEKHP >ONIVA02G33820.1 pep chromosome:AWHD00000000:2:29664710:29665838:-1 gene:ONIVA02G33820 transcript:ONIVA02G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEKEKKKNKQIITEKMEINRLNSTKTNLPAQYLEHLNIQPHVFELIAQYLENIKRRTKMAQSE >ONIVA02G33810.1 pep chromosome:AWHD00000000:2:29651453:29655331:-1 gene:ONIVA02G33810 transcript:ONIVA02G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSSKDTDDDHEPKQQEKKLPEHLEVQRTRVVCKADAPVNTEGFQYAGAYSAMGIDNSVSAEKFCKNFKVEISRLTEDDMEFDMIGIDASIANAFRRILIAELPTMAIEKVLMVDNTSVIADEVLSHRLGLIPLDADPRHFEYMSENDVPNERNTIVYKLHVSCKKGSPRLTAQACIVFPRMLIDIFYRIKFSHSFLCTVKSGDLEWLPEGSRLPLASPAQSRYKQKTYTSFSQSQKDILEKPLGVKFKDITIARLGPGQAIVLEVHGVKGMGKVHAKWSPVATAWYRMFPEVVFRKEIKGDNAEKLVKKCPVNVFDIEDLGNVTIESTGGLPPEALFTEAVRILEEKCERVISELS >ONIVA02G33800.1 pep chromosome:AWHD00000000:2:29638260:29658670:1 gene:ONIVA02G33800 transcript:ONIVA02G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCG7] MAFPLEAAEKPLDPLSAIPRVRRRRRWAAAAAVVGKGTPESPTSDDMKIKRRSKTSKHPRRTADDDDDGAGAIHGVFRLPTAGDGGEAAESSLTTATVTADRGGGGGGHGRRRSLFVKVYMEGVPIGRKLDLLPLDGYKGLVARLASMFRASITYHHCHRQFAVVGMKTNKVHHVLTYEDQEGDWMMAGDVPWELFLTSVKRLRIARADDNSCDPLAPAQGDPCKSTMFMQGESWNERYINGGVGLADNTRALDLKVFRKLLFLLLGLVIVVRVLARFAPHLPLAFPCYQGGEGEN >ONIVA02G33790.1 pep chromosome:AWHD00000000:2:29633520:29638312:-1 gene:ONIVA02G33790 transcript:ONIVA02G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGSRGFSAASKGKAIASKKGSLRQNSSANIPHVNIDSDSDSEGFVEELPRVNSKTNGKAASESLKTGGKASSKGQTGKGGNAGKGGKGSASARVSAKSDAELKLELDMPPNSCILMNCEVAELLQEIHEHMAILSEDPKIKIPESFDKAFQYVKEGNQFSTAQSVKQVLDPLRKYGVSDGEMCLIANVGPETIEEVYALVPSLKATRSLNESPIMEALTALADIKTANLYLSVYLRCKWPL >ONIVA02G33790.2 pep chromosome:AWHD00000000:2:29633520:29638312:-1 gene:ONIVA02G33790 transcript:ONIVA02G33790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGSRGFSAASKGKAIASKKGSLRQNSSANIPHVNIDSDSDSEGFVEELPRVNSKTNGKAASESLKTGGKASSKGQTGKGGNAGKGGKGSASARVSAKSDAELKLELDMPPNSCILMNCEVAELLQEIHEHMAILSEDPKIKIPESFDKAFQYVKEGNQFSTAQSVKQVLDSLTSIRSPLRKYGVSDGEMCLIANVGPETIEEVYALVPSLKATRSLNESPIMEALTALADIKTANLYLSVYLRCKWPL >ONIVA02G33780.1 pep chromosome:AWHD00000000:2:29631768:29633036:1 gene:ONIVA02G33780 transcript:ONIVA02G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRASRGRLADAGLFTAGAVLGSVLLLTLASPFSSSSSPSSGVGSGEVDRLGGGRTFYDDPGVAYTIDRPIVGWDEKRAEWLRAHPELAGGGGERVLMVSGSQPEPCGSPAGDSLLTRLLKNKLDYCRLNGVQLLYNTALLRPSMDRYWAKIPVVRAAMVAHPEAEWVWWVDSDAVLTDMDFRLPLSRYRDHNFVAHGWPHLVYESRSWTSLNAGVFLIRNCQWSLDFMDAWAAMGPDSPEYQHWGAVLTSTFKDKVFNESDDQSALVYMLLQSGSPWRDKVYLESDYYFEGYWLEIAGRLGNITERYEAMERGAAPLRRRHAEAEHASYAAARDAALAGAGLAESGVSGWRRPFVTHFTGCQPCSGHRNEHYTGKSCDEGIRRALSFADDQVLRAYGFRHAGPLSDAVSPLPFDHPTQTA >ONIVA02G33770.1 pep chromosome:AWHD00000000:2:29604012:29614191:-1 gene:ONIVA02G33770 transcript:ONIVA02G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTGNPNPNPNPSTPFEVSMLFKPPSNAPAAAPIFPGGPAAGPPPPSAAYSYPPATPPFHRAPFLHYPQDPMAAPHMPRPVISFPMPTPNPAAIPSAAAAAAAAGPSQNHGARLMQLLGSSGPAHLDSSASMPPPASEFAAAQPPQPIPAMPSAPPARMLSSTSSKMPRGRLLGGGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEDSKPQITGQIEIAIQIVGDAESYHPRICWHSHKQEILFVGIGNCVLRIDTTKVRRGRDVSAEEPIKCHLDKLIDGVRLVGKHDDDVTDLSLSQWMTTRLASGSKDGTVKIWDDRKPVPLSILKPHDGQAVYSVAFLTAPEHPDHINLVTAGPLNREVKIWASANEGGVLLPSDSETWNCTQTLELVSSLEPRVEEAFFNQVTVLPQASIILLANAKKNAIYAVHVEYGTDPASTCLDYIADFTVAMPILSLTGTHESQPGNDQVVQVYCVQTMAIQQYGLDLSLCSPPTSETTGLGRDPSISRVHETPLEVVGAESSMPTSFTDSYSVGSPSKSSTVDQQSELDPKPSAPPLTYTEGDGSVHLPSASLASNMDPSGSGSSLGNLEMDQPAFDYAMNRNVEPKILTRQDTPMPKDNFGKDDPRDGRNDVTMLPNPHLMFKDGTSSGHQMAEVEPKHTNEHTFDQTLDLEVAQVVCENTKQAGSSEQTVKMISERSVTTDKYSVEESQTSCDRSISEHTGAADESVTKKPVEVPEKSDYSSASVEQSSSYTKKEKIMHPQASGQSSPSTSAFNSTESSHEPPSSAYPPINSFPEVTTQGMLQQLIAMHKDLQKQLGTIVVAPLAKEGKRIEASLGRTMEKSIKANLDALWVRIQEENAKREKAERERMQQMITLITNSISKDLPATLEKSLKKEISSLGPVIARAITPIIEKCSASAVADSIQKVVGDRVVNQLDKSVSAKLEATVARQIQMQFHTSVKQTLQDALRASLEAFLVPAFEQSCKTMFEQVDSAFQKGMSEHTVAIQQQVEVAHTPLAQTLKDTISSASSITQNLTAELLDGHRKLLALLASGNAKAHSTNVLQPNNVPVTGPPEVEAPLDPMKELGRLISERKFDEAFTMALQRSDLSIVSWLCSQVDLRALCSMVPVPLNQGVLLALLQQLAVDIATDTPRKIQWMTDVAMAINPTDPVIAMHVKPIFEQVYNALLHLRSLPTTSPSDSTSIRLFMHKVTGSLHQMLRLEKASKYMHQMKHPDCDCTKGKVCIR >ONIVA02G33770.2 pep chromosome:AWHD00000000:2:29604012:29614191:-1 gene:ONIVA02G33770 transcript:ONIVA02G33770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTGNPNPNPNPSTPFEVSMLFKPPSNAPAAAPIFPGGPAAGPPPPSAAYSYPPATPPFHRAPFLHYPQDPMAAPHMPRPVISFPMPTPNPAAIPSAAAAAAAAGPSQNHGARLMQLLGSSGPAHLDSSASMPPPASEFAAAQPPQPIPAMPSAPPARMLSSTSSKMPRGRLLGGGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEDSKPQITGQIEIAIQIVGDAESYHPRICWHSHKQEILFVGIGNCVLRIDTTKVRRGRDVSAEEPIKCHLDKLIDGVRLVGKHDDDVTDLSLSQWMTTRLASGSKDGTVKIWDDRKPVPLSILKPHDGQAVYSVAFLTAPEHPDHINLVTAGPLNREVKIWASANEGGVLLPSDSETWNCTQTLELVSSLEPRVEEAFFNQVTVLPQASIILLANAKKNAIYAVHVEYGTDPASTCLDYIADFTVAMPILSLTGTHESQPGNDQVVQVYCVQTMAIQQYGLDLSLCSPPTSETTGLGRDPSISRVHETPLEVVGAESSMPTSFTDSYSVGSPSKSSTVDQQSELDPKPSAPPLTYTEGDGSVHLPSASLASNMDPSGSGSSLGNLEMDQPAFDYAMNRNVEPKILTRQDTPMPKDNFGKDDPRDGRNDVTMLPNPHLMFKVGGNTTHLVTPSEIISGALSSAESNHVPKSDGVKIQDGTSSGHQMAEVEPKHTNEHTFDQTLDLEVAQVVCENTKQAGSSEQTVKMISERSVTTDKYSVEESQTSCDRSISEHTGAADESVTKKPVEVPEKSDYSSASVEQSSSYTKKEKIMHPQASGQSSPSTSAFNSTESSHEPPSSAYPPINSFPEVTTQGMLQQLIAMHKDLQKQLGTIVVAPLAKEGKRIEASLGRTMEKSIKANLDALWVRIQEENAKREKAERERMQQMITLITNSISKDLPATLEKSLKKEISSLGPVIARAITPIIEKCSASAVADSIQKVVGDRVVNQLDKSVSAKLEATVARQIQMQFHTSVKQTLQDALRASLEAFLVPAFEQSCKTMFEQVDSAFQKGMSEHTVAIQQQVEVAHTPLAQTLKDTISSASSITQNLTAELLDGHRKLLALLASGNAKAHSTNVLQPNNVPVTGPPEVEAPLDPMKELGRLISERKFDEAFTMALQRSDLSIVSWLCSQVDLRALCSMVPVPLNQGVLLALLQQLAVDIATDTPRKIQWMTDVAMAINPTDPVIAMHVKPIFEQVYNALLHLRSLPTTSPSDSTSIRLFMHKVTGSLHQMLRLEKASKYMHQMKHPDCDCTKGKVCIR >ONIVA02G33760.1 pep chromosome:AWHD00000000:2:29599298:29604047:1 gene:ONIVA02G33760 transcript:ONIVA02G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTTPHSPRIPGLRAASSAVADQEKYLAELLAERHKLSPFIPVLPNSVRLLNQEILRVSTLLENASLLNQSGLEHGSPLTTGGLYSNGAAADMNGWTSAFQSEGSPAYSWRGGSQGSSSGLIVKKTMKVDIPVDKYPTFNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPAREDMMRGKPGYEHLNEPLHILVEAELPVEIIDTRLIQARDILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >ONIVA02G33750.1 pep chromosome:AWHD00000000:2:29590877:29598850:1 gene:ONIVA02G33750 transcript:ONIVA02G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGREGGGRGAAGGFAVVVGGSEVAGGGGAIAHRAVRRAGGAEPGRGETRKWSTSARRREDGAELVFWGGMVTIFSYSYFCKPNFPWATMADWRVGSRLQLPSRRRQWRGRAGEARRGGGGYWAREEPIFFAYTWTGYGSVETNRQMIELAVSSPRNGAMVVRIG >ONIVA02G33740.1 pep chromosome:AWHD00000000:2:29585963:29591011:-1 gene:ONIVA02G33740 transcript:ONIVA02G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKARKLKDLMLKSDNRICADCGAPDPKWASANIGVFLCLKCGDVHRALGPDVSKVLSVTLDDWSDSDIDSMLEIGGNSYANSIYESFLPKDHPKPKMDSTMEYRTKFIRAKYETQDFLKPSLRITSKGSFDATNAVKSVTSSISSASGKHVADDTREFVGELNITVVRGIQLAVRDMLTSDPYVVLTLGEQKAQTTVKPSDLNPVWNEVLKISIPRNYGPLKLEVYDHDTFSADDIMGEAEIDLQPMITAVMAFGDPSRVGDMQIGRWFMTKDNALVKDSTVNVVSGKLVLEENTPTAEPTEAKAPVARQAALKARTASHRGVHGATFFCTRYISTGKWMDSGQKVKAPSSPTTWLK >ONIVA02G33740.2 pep chromosome:AWHD00000000:2:29585963:29589327:-1 gene:ONIVA02G33740 transcript:ONIVA02G33740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSDNRICADCGAPDPKWASANIGVFLCLKCGDVHRALGPDVSKVLSVTLDDWSDSDIDSMLEIGGNSYANSIYESFLPKDHPKPKMDSTMEYRTKFIRAKYETQDFLKPSLRITSKGSFDATNAVKSVTSSISSASGKHVADDTREFVGELNITVVRGIQLAVRDMLTSDPYVVLTLGEQKAQTTVKPSDLNPVWNEVLKISIPRNYGPLKLEVYDHDTFSADDIMGEAEIDLQPMITAVMAFGDPSRVGDMQIGRWFMTKDNALVKDSTVNVVSGKLVLEENTPTAEPTEAKAPVARQAALKARTASHRGVHGATFFCTRYISTGKWMDSGQKVKAPSSPTTWLK >ONIVA02G33730.1 pep chromosome:AWHD00000000:2:29580022:29586178:1 gene:ONIVA02G33730 transcript:ONIVA02G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEGDGQTEPLLEKLSNSSSSEIDKRTGTAWTATAHIITAVIGSGVLSLAWSVAQLGWVGGPAAMVLFAGVTLVQSSLLADCYIFHGPDNGVVRNRSYVDAVRAIQKANCYHREGHDAPCTVGGDGYYMLMFGLAQVVLSQIPGFHDMAWLSVLSAAMSFTYSLIGFGLGVAKVITNGVIKGGIGGIAMVSATQKVWRVSQAIGDIAFAYPFASVLLEIEDTLRSPPPESETMRTASRASIAVTTFFYLCCGCFGYAAFGDATPEKTNNSHVRMQVYSQPVFAAVERRMGGAGAGVVEVAVPAAVAWPSRWRRGCRVNVYRLCFRTAYVAATTALAVWFPYFNQVVGLLGAFTFWPLSIHFPVEMYLVQKKVAPWTPRWLAVRAFSAACLATGAFASVGSAVGVFSSKTS >ONIVA02G33720.1 pep chromosome:AWHD00000000:2:29572743:29578021:1 gene:ONIVA02G33720 transcript:ONIVA02G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCF6] MSILGQLSQDLLVMAKGRIFSALLMVFLVLAPHCKAFYLPGSYMHTYRQGEEIWAKVNSLTSIETELPFSYYSLPYCHPQGGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTNPLDEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGVTIQWTGYPVGYTPEGSNEVYIINHLKFKVLVHRYEGGKVKVVGTGEGMEVISETETDAKSGYEIVGFEVVPCSVKRDLEAMSKLKMYEKVDPTSCPVEMEKSQLIREKEQITFTYEVEFVNSDIRWPSRWDAYLKMEGAKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCVMIGDGVQILGMAIVTIFFAAFGFMSPASRGMLLTGMIFLYMLLGIVAGYAAVRLWRTLKGTSEGWRSVSWSTACFFPDWRWWWKAFFASGAVALYVFLYSINYLVFDLRSLSGPVSATLYIGYAFVVSLAIMLATGTVGFLTSFSFVHYLFSSSKTSNCYLWP >ONIVA02G33720.2 pep chromosome:AWHD00000000:2:29572743:29576423:1 gene:ONIVA02G33720 transcript:ONIVA02G33720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCF6] MSILGQLSQDLLVMAKGRIFSALLMVFLVLAPHCKAFYLPGSYMHTYRQGEEIWAKVNSLTSIETELPFSYYSLPYCHPQGGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTNPLDEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGVTIQWTGYPVGYTPEGSNEVYIINHLKFKVLVHRYEGGKVKVVGTGEGMEVISETETDAKSGYEIVGFEVVPCSVKRDLEAMSKLKMYEKVDPTSCPVEMEKSQLIREKEQITFTYEVEFVNSDIRWPSRWDAYLKMEGAKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCVMIGDGVQILGMAIVTIFFAAFGFMSPASRGMLLTGMIFLYMLLGIVAGYAAVRLWRTLKGTSEGWRSVSWSTACFFPGIVFVVLTVLNFMLWSRNSTGALPISLFFTLLSLWFCISVPLTLLGGFFGTRAEPIEFPVRTNQIPREIPAKNYSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGAVALYVFLYSINYLVFDLRSLSGPVSATLYIGYAFVVSLAIMLATGTVGFLTSFSFVHYLFSSVKID >ONIVA02G33710.1 pep chromosome:AWHD00000000:2:29567919:29571666:1 gene:ONIVA02G33710 transcript:ONIVA02G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGSEEFLKLYIHGVLADGTTTSDYRLLPAWEFSSSERVVAASTSTTSRPSPADEGEPTGWEGPTLEVEKLASSTDVDAGESSQPQQPASVCVQEMVITMVSADDCVYSLLPMVPIALSDPGTALPDANSYFSISIHPVEGRCVLKHYQNRGSEKQWDDLGEDPIELDSPEDALGKDPIELHGQISMEIHMPPLMMKFQKDYRCEKQIGKGSEGRVYKCMSRFSPHCFAIKEVESSELTMASTHCEPTDVSTLALLDHVNIVDLYSAWIEKKKSFGSVTNVIYICMKECARSLSEYLNKRQELGLQNEHNMFAQLIDSLIFMHRHGIVHRDVKPENILLEENFTPKKKQPPYIIDFHGGWYGSLPYRAPELVNSYDRHNEKVDIFSIGMVYFELLMPGKRERKRKLNKLSETIRRKKQEYDQLDIEFDLDSALEGTDVLTDWKGDYNLLKKMTSPNAWERLSAVEIKEHFSSHKEG >ONIVA02G33700.1 pep chromosome:AWHD00000000:2:29566031:29566993:-1 gene:ONIVA02G33700 transcript:ONIVA02G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLDDVAFDINEDNVVINSDEKFAVLRSIGDECIYEDELLGLLKNKPSPTCCVWFEPSTNMDIEQGIMKTIYVNRMVKAGCAVKIVTADWFLQRHYKIGNNLSKIRNIGYLNIEMWKAAGMDLDRVELVWLSDELNLHAVDYWPVAMDVSRRYTMTRIARIFWSNAEHGPQILPAAEIIHPCMQVASILCEKVGF >ONIVA02G33690.1 pep chromosome:AWHD00000000:2:29534380:29546021:1 gene:ONIVA02G33690 transcript:ONIVA02G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRGEMARAEGLPEGAAPGVGVDLYAQARKALSVRTPFEGEGTAPRVPTLPARLVNWSGQSDARKKHKKIQPQDVADVELPPQPATEPSAKTGVWEQFEAYFRPVNLDDIDMLMPKFPFGYGRLDSCILIPFVGSGKELMDTAETFDVAVAETSSYLGLGGEERVSNKEHSERSERSEQSVEQGIHEVVVRQFVGNKERGEQSVEQGIHEVVVQQENWPLEVEQATSSAGIVSSKCEEEGESSLNWLLGSKERFVLTSERPNKKRKLLGVDAGLEQLVLLPRSGAEASSVCDVCCLGESSMVSNSMLNCNRCKVTVHQKCYGLHVVPDGQWLCTWCKDLESLQSLKKDADNTLSMPCVLCPKEKGALKPVKGEPGQTAHGGNLKFVHLFCSLWTPGALVEDMESMEPVTNVGSVQENQWKLVCSICKVKHGVCVRCSHGTCRTPFHPICARESKHQMEIWGKFGHPNVELRAFCSKHSTIGYANSLERSNCASHQSPTEARLKDANLITGKTIEQASLPHTVRSSDSLAIQGMEVDTDNLSVGGNLMRNSADVALVLRKLIDQGKVSVGDVASEVGISSESLEAALVGETTTFSHGLKLKIIKWLQNSAHIPAAQANTLKGGPMVVHNSKPGRSEDTNSVNMKNSLVPDDEKGTTAYLSDSVVMKSSSTRSKDNNKIMRDNTAVCATGVTTLLQNGIKKMAEAGAERECSSPAEDCAKGTPKEEHGGLISNNISGNTQFGTSMAIPNENKGTSPGKKRYNLTEAEPGSELEGVSSLNQYFPPGDNVKHELNSVENGVGNNHDCNADHVPGQPFSNFNDSHYYIHPLIKEKMTQLWDNTFKQDKLAPCHPEDPLCYPDERRRVGSSIKLTETTDVMDQVSRAKSLGILEHSPDDEVEGEMLYLQARLLDTAAFLKHKYEDLIAKVVQNLSRELDAFSRRKWDFIFVNQFLRDVREAKKRGRKEKRHKEAQAILAAAAAAVAASSRNSTVRKDANDDVVPAKQENSPKFGTGPPNVGQRTSSLLRLKDLSKPPNNKISQDNNRSTFHMPNYSKENALYCDVCMRSETVLNRIFVCSRCKAAVHIDCYRNIENISGPWKCELCEDISPEDTCVGDQSDCNGTNLSLVQCDLCHGTSGAFRKTADGQWIHAFCAEWLLETEYVRGQDSPVKGMESLVKDKDTCCVCLHTVGACLKCNNGDCQTTFHPYCARHAGFYMNTKGSGGILQHKAYCSKHSIEQKEADMQQYGLEEFNNMKRMRVELEKLRLLCERIIKREKVKRERVLCDHDILAKTKDTLVFSYLTHGASSESATTSVNNKSYSGTMQRSDDVTVDSTISGKKAIRFSLNNRDAEINTADSSRTLISFKRKFSERGSLAGKQLPRRPVTSQKLEAGEKKTKDKKNRETFQKELFMTSDQASTQNQRLPKGYAYVPRDSLSKDKLRNRNTQAHEPQEPGG >ONIVA02G33690.2 pep chromosome:AWHD00000000:2:29534380:29546021:1 gene:ONIVA02G33690 transcript:ONIVA02G33690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRGEMARAEGLPEGAAPGVGVDLYAQARKALSVRTPFEGEGTAPRVPTLPARLVNWSGQSDARKKHKKIQPQDVADVELPPQPATEPSAKTGVWEQFEAYFRPVNLDDIDMLMPKFPFGYGRLDSCILIPFVGSGKELMDTAETFDVAVAETSSYLGLGGEERVSNKEHSERSERSEQSVEQGIHEVVVRQFVGNKERGEQSVEQGIHEVVVQQENWPLEVEQATSSAGIVSSKCEEEGESSLNWLLGSKERFVLTSERPNKKRKLLGVDAGLEQLVLLPRSGAEASSVCDVCCLGESSMVSNSMLNCNRCKVTVHQKCYGLHVVPDGQWLCTWCKDLESLQSLKKDADNTLSMPCVLCPKEKGALKPVKGEPGQTAHGGNLKFVHLFCSLWTPGALVEDMESMEPVTNVGSVQENQWKLVCSICKVKHGVCVRCSHGTCRTPFHPICARESKHQMEIWGKFGHPNVELRAFCSKHSTIGYANSLERSNCASHQSPTEARLKDANLITGKVPKLRFTRKNKDKFMNYEATSFNSSNLIKVETIEQASLPHTVRSSDSLAIQGMEVDTDNLSVGGNLMRNSADVALVLRKLIDQGKVSVGDVASEVGISSESLEAALVGETTTFSHGLKLKIIKWLQNSAHIPAAQANTLKGGPMVVHNSKPGRSEDTNSVNMKNSLVPDDEKGTTAYLSDSVVMKSSSTRSKDNNKIMRDNTAVCATGVTTLLQNGIKKMAEAGAERECSSPAEDCAKGTPKEEHGGLISNNISGNTQFGTSMAIPNENKGTSPGKKRYNLTEAEPGSELEGVSSLNQYFPPGDNVKHELNSVENGVGNNHDCNADHVPGQPFSNFNDSHYYIHPLIKEKMTQLWDNTFKQDKLAPCHPEDPLCYPDERRRVGSSIKLTETTDVMDQVSRAKSLGILEHSPDDEVEGEMLYLQARLLDTAAFLKHKYEDLIAKVVQNLSRELDAFSRRKWDFIFVNQFLRDVREAKKRGRKEKRHKEAQAILAAAAAAVAASSRNSTVRKDANDDVVPAKQENSPKFGTGPPNVGQRTSSLLRLKDLSKPPNNKISQDNNRSTFHMPNYSKENALYCDVCMRSETVLNRIFVCSRCKAAVHIDCYRNIENISGPWKCELCEDISPEDTCVGDQSDCNGTNLSLVQCDLCHGTSGAFRKTADGQWIHAFCAEWLLETEYVRGQDSPVKGMESLVKDKDTCCVCLHTVGACLKCNNGDCQTTFHPYCARHAGFYMNTKGSGGILQHKAYCSKHSIEQKEADMQQYGLEEFNNMKRMRVELEKLRLLCERIIKREKVKRERVLCDHDILAKTKDTLVFSYLTHGASSESATTSVNNKSYSGTMQRSDDVTVDSTISGKKAIRFSLNNRDAEINTADSSRTLISFKRKFSERGSLAGKQLPRRPVTSQKLEAGEKKTKDKKNRETFQKELFMTSDQASTQNQRLPKGYAYVPRDSLSKDKLRNRNTQAHEPQEPGG >ONIVA02G33680.1 pep chromosome:AWHD00000000:2:29530300:29531172:-1 gene:ONIVA02G33680 transcript:ONIVA02G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRPSQSAMDAGAAPASPSPPRSSKKRSSRPKPRAGDAARHPAPNPSPPPAAAAPASSRSRERERKRRQRGAFADPAAVTAPAAGGQHGGAVQKLWGDADEVALLAGAAAFRARAGHVPRLPDMGALFDSIRGSLSPHIDQAKVYYKLKRLKGKYLHAAPGASAGPHERRVRDLCASVWGADLEPLAEGDDERAAAAAADQPRTVPDAAAMLPVLTEMLDEYWKTDGRALSSVSLAKGLSLLGTEEARFIEGKWRRQLDSEIQTQMRRHDLAKEVYALLMDAIKALGP >ONIVA02G33670.1 pep chromosome:AWHD00000000:2:29524730:29530587:1 gene:ONIVA02G33670 transcript:ONIVA02G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrophosphorylase 6 [Source:Projected from Arabidopsis thaliana (AT5G09650) TAIR;Acc:AT5G09650] MATAATASATAATRFTRLAGVGLRRTARLPTAVRFQRRVLATTALLRTAELRPKEQGLPETLDYRVFLVDGGGRKVSPWHDVPLRAGDGVFHFVVEIPKESSAKMEVATDESFTPIKQDTKKGNLRYYPYNINWNYGLFPQTWEDPTLANTDVEGAFGDNDPVDVVEIGERRANIGDVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPTSKEYALKVIEETNESWEKLVKRNIPAGELSLA >ONIVA02G33670.2 pep chromosome:AWHD00000000:2:29524730:29530589:1 gene:ONIVA02G33670 transcript:ONIVA02G33670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrophosphorylase 6 [Source:Projected from Arabidopsis thaliana (AT5G09650) TAIR;Acc:AT5G09650] MATAATASATAATRFTRLAGVGLRRTARLPTAVRFQRRVLATTALLRTAELRPKEQGLPETLDYRVFLVDGGGRKVSPWHDVPLRAGDGVFHFVVEIPKESSAKMEVATDESFTPIKQDTKKGNLRYYPYNINWNYGLFPQTWEDPTLANTDVEGAFGDNDPVDVVEIGERRANIGDVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPTSKEYALKVIEETNESWEKLVKRNIPAGELSLA >ONIVA02G33660.1 pep chromosome:AWHD00000000:2:29520412:29523411:-1 gene:ONIVA02G33660 transcript:ONIVA02G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMQAPPPPPILLLAPQYGFHYQPTLEEFWQSALVSVLVDSEVRKLFFGAISEENLEYSSEVQRRSLWNV >ONIVA02G33660.2 pep chromosome:AWHD00000000:2:29520640:29523411:-1 gene:ONIVA02G33660 transcript:ONIVA02G33660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMQAPPPPPILLLAPQYGFHYQPTLEEFWQSALVSVLVDSEVRKLFFGAISEENLEYCIKKREDTVVLQGASHNEGNLAISVAD >ONIVA02G33650.1 pep chromosome:AWHD00000000:2:29513197:29515652:-1 gene:ONIVA02G33650 transcript:ONIVA02G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCE5] MGVGRVMNDAKPYLAMILLQVGFAGMYVVAVASLKRGMSHFVLVVYRNLFATAVMAPFALWFERRVRPRLTLIIFLKIMGLAILEPVLDQNLYYMGANLTSAGFASALINVLPAVTFVMALVLRMEKVKLKSVHSQAKIAGTLFTVAGAVLMVLYHGPVVQFPWTKGQHHDGGSGAGGAAGGGFLQGTIFIVVACVCWSGFFVLQSNTLQSYPAELSLTTLICLMGSVLSGAVALVAERHNTHAWLIGFDTRLFTCVYAGIVCSGVAYYVQGIVSRQRGPVFVTAFNPLCMIITAIMGSIILKEEINLGSVIGAVIIVIGLYALIWGKGADKVEQTDAGAAAAGSNKGGGELPLTAVPNGHGSKHGNGGHVYDVETPPAANGHY >ONIVA02G33640.1 pep chromosome:AWHD00000000:2:29507696:29510422:-1 gene:ONIVA02G33640 transcript:ONIVA02G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAASPALTLAVAAAVITAACLLLCAEAVWLDLPQSGTKCVSEEIQSNVVVLADYALMYESHPSSHPTIAVKVTSPYGNTLHHNENATVGQFAFTTSEAGNYLACFWIDSAEKGSGISINLDWKIGIAAKDWDDIAKKEKIEGVELELRKLEVAVQSIHQNMIYLKAREAEMRTVSEKTNARVAWFSILSLSVCIVVSILQLWHLQGYFQKKKLI >ONIVA02G33630.1 pep chromosome:AWHD00000000:2:29503993:29506552:-1 gene:ONIVA02G33630 transcript:ONIVA02G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGDAEQAVHSTAPAAGHGSAVRRYAATIGGAVDAKCVLVLFLSIGVFVLVLFMLLPLHDHASETIPDDDPGVLPGEIQASFFLLKKRQQLIPHVRRLQKDIYREIGIPNTTILGFPGGITVPPPPDGNICPTALFNVTLNMTIQQLRVHLKELESELRIILQLTPYEDLIVEITNENGSTINLPVTVHILIAPNYPSNYLETYRLKQLAQIIIKLIPKNLDLNPIFGMIENLWLSPCLQSYIPSCAPNPAPAPAPSLSPSNPEHPQPTTTKPYGSFSCPALERRKTVSVHRRLSTISPMMVPPEIPTRFDSWSVHTDRKNRSPLAKPMSLRYG >ONIVA02G33630.2 pep chromosome:AWHD00000000:2:29503993:29506552:-1 gene:ONIVA02G33630 transcript:ONIVA02G33630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGDAEQAVHSTAPAAGHGSAVRRYAATIGGAVDAKCVLVLFLSIGVFVLVLFMLLPLHDHASETIPDDDPGVLPGEIQASFFLLKKRQQLIPHVRRLQKDIYREIGIPNTTVSVSMHTSKYKDSTYVKFGILPNPRNSSISAQSIIALRKNLIQLTLEQSNLSLTSSVFGDPYCLEILGFPGGITVPPPPDGNICPTALFNVTLNMTIQQLRVHLKELESELRIILQLTPYEDLIVEITNENGSTINLPVTVHILIAPNYPSNYLETYRLKQLAQIIIKLIPKNLDLNPIFGMIENLWLSPCLQSYIPSCAPNPAPAPAPSLSPSNPEHPQPTTTKPYGSFSCPALERRKTVSVHRRLSTISPMMVPPEIPTRFDSWSVHTDRKNRSPLAKPMSLRYG >ONIVA02G33630.3 pep chromosome:AWHD00000000:2:29504742:29506552:-1 gene:ONIVA02G33630 transcript:ONIVA02G33630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGDAEQAVHSTAPAAGHGSAVRRYAATIGGAVDAKCVLVLFLSIGVFVLVLFMLLPLHDHASETIPDDDPGVLPGEIQASFFLLKKRQQLIPHVRRLQKDIYREIGIPNTTVSVSMHTSKYKDSTYVKFGILPNPRNSSISAQSIIALRKNLIQLTLEQSNLSLTSSVFGDPYCLEILGFPGGITVPPPPDGNICPTALFNVTLNMTIQQLRVHLKELESELRIILQLTPYEDLIVEITNENGSTINLPVTVHILIAPNYPSNYLETYRLKQLAQIIIKLIPKNLDLNPIFGMIENLWLSPCLQSYIPSCAPNPAPAPAPSLSPSNPEHPQPTTTKPYGSFSCPALERRKTVSVHRRLSTISPMMVPPEIPTRFDSWSVHTDRKNRSPLAKPMSLVPASPSK >ONIVA02G33620.1 pep chromosome:AWHD00000000:2:29503166:29509219:1 gene:ONIVA02G33620 transcript:ONIVA02G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCE0] MAAAAALTVLPRAAGVLRLSQHGRSASRLLCAAAGDGEASPAPRAGRLVLYTKPGCCLCDGLKEKLQAAFLLAGTPYSLASLELQASRLDHERDITTNPDWEQMYQYEIPVLAKVLPDGSEEKLPRLSPRLSVELVQKKSVGGGGTVIPPGNPKISKQYGSPKTDDVKDKFDCSSKESVLTRKYPRVIIRDGF >ONIVA02G33610.1 pep chromosome:AWHD00000000:2:29499869:29502845:-1 gene:ONIVA02G33610 transcript:ONIVA02G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAIRQSQISPPTLPLLRRTRHPHAGGAGGADRRNLVAFGFRIDASTNLSAVKHYQMY >ONIVA02G33600.1 pep chromosome:AWHD00000000:2:29479917:29485514:-1 gene:ONIVA02G33600 transcript:ONIVA02G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSGQEAAPGSGGNAAAAAARQGGGGGGRGRQYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSAADLNFPVHLPFHIPAAAMSPKSIQRVAAAAAANATSPLQHHSGAASFATATGGYNPAAAPTTPPCSYGDMSSCSAVSSPETANYYGADHDMVAREDDVDYAALADIDAFFQSPKCMDYSMMDPCSTFFSPAPESLAAEWEDEASHPNPRGRDSLAFALLVSFLPSAAAAAAARAMGYTKEQLLARLQELNIEFSCYDHPVVLTVEEQAKHVGHLGGALSKNLLLKRLGLGKGGLRMAPEENLLEVLQVPLGCVTPFALLNESASFFHGYAIAFLTDSYNCVFASSAVSLLLDQGFKSKQSCYFHPLTNDVTIALSSSNLDKFLMSIGRQPAYVDLEASPVVGKDNPPDLADLVPSGVPNSAEPIEKVTPTNVPRQNDVPKEKTCLPEVKAKPKVQNKGAEKTQSKIPTNGANVEKFVNDVFDIMSPLFLSEVSKKLNVKQEELSSIFDGFKEQATIDLESVTTSLKNAAYTAGFEAGFETMLNSGLKGQASRK >ONIVA02G33590.1 pep chromosome:AWHD00000000:2:29478060:29481492:1 gene:ONIVA02G33590 transcript:ONIVA02G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMPAQGGVRHHRTCRMYWCYQCGRAIRIISYPSTDELTQNDRPGPAPAPSSAIDSLPTVQITGAHLSDGSQCPVCKEDFELGEAARQMPCKHVYHSDCIVPWLRLHNSCPVCRYQLPSSAAAGSNANSRARRGSANNGGGGGGGGDGRDREQTIVRWGPFSWMWPPRGLEDPDDGWEYGRRGRPEAGDAGGRMCNDHGNFRKLVTMMDG >ONIVA02G33580.1 pep chromosome:AWHD00000000:2:29473304:29476743:-1 gene:ONIVA02G33580 transcript:ONIVA02G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYTSSAARSFATGATRFPSPVSPASPAPGAVGGGAPISIQAPREKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGVLLKEQGPRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKHAVPVPKSECSKSFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPGAAEKALQASA >ONIVA02G33570.1 pep chromosome:AWHD00000000:2:29466683:29469385:-1 gene:ONIVA02G33570 transcript:ONIVA02G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCD5] MRRHPLLPLLAAVLVGAAVRAGKIATEVVVPDFVASYLLFIDTYGVFLQSGGGGAFQAVVYNPAGQQDRYYLAVVHAPSKTCVWVANRDAPITDRAAPLRLTARGISAEDPNGTVVWSTPAFASPVAALRLDESGNLALLDGRNRTLWQSFDRPTDVLVSPQRLPVGGFLASAVSDSDYTVGGYRLDVTAADAALTWNGSLYWLLSTDVKSTRDRDGAVASMAVNGTGLYLLAADDTVLIQLPLPDAKLRIVKLGVDGKLVITSYASANATSPSPTDAGFVAPNSGCDLPLSCGALGFCAPNGNASSCTCPPLFASSHDGGCTPADGSKAMSVASCGGAGGDAAPTSYISLGDGVAYYANRFSRPDMVGSNGSSCQALCSGNCSCLGYFYDESSLSCFLVQHQIGSLVNANSTRRGDMVGFIKVQSLQSPGSPSGSSSNNSTLIAILLPTIVAFVLVVVVGAVIVVSWRKQERRPGRRASRSRDVQLRRHRSPASDSAHLVYGNDDDGNDIVIPGLPTRFTHEEIEDMTNSFRIKIGAGGFGAVYKGELPDGSAVAVKKIEGVGMQGKREFCTEIAVIGNIRHVNLVRLRGFCVEGQRRLLVYEYMNRGSLDRTLFRPAAGQPLEWKERMEVAIGAARGLAYLHFGCDQRIIHCDVKPENILLADGGQVKIADFGLAKLLTPEQSGLFTTMRGTRGYLAPEWLTNTAITDRTDVYSFGMVLLELVRGRKNRSEHVSDGAGAATGDDSNSSNGTTGSSSRGARSDYFPLMALEGHEAGQYAALADPRLEGKVVAGEVERVVKVGLCCLHEDPQLRPSMAMVAGMLEGTMELWEPRVQSLGFLRLYGRGFAGPADGSGGSGGGIKQAMAGSNGDHTRSGTTTVTTMSGWPSYMSSSQLSGPR >ONIVA02G33560.1 pep chromosome:AWHD00000000:2:29464485:29465645:-1 gene:ONIVA02G33560 transcript:ONIVA02G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQSVQALASSLAALPPEFVRSEHERPGATTFRGGDAPEIPVIDMAAPESAARVAEAAAEWGLFQVVNHGVPAAAVAELQRVGREFFALPQEEKARYAMDASSGKMEGYGSKLQKDLEGKKAWADFFFHNVAPPAMVNHDIWPSHPAGYREANEEYCKHMQRLARKLFEHLSTALGLDGGAMWEAFGGDELVFLHKINFYPPCPEPELTLGVAPHTDMSTFTVLVPNDVQGLQVFKDGHWYDVKYVPDALIIHIGDQIEILSNGRYKAVLHRTTVDKDRTRMSWPVFVEPPPEHVVGPHPQLVTDGSPAKYKAKKFKDYRHCKINKLPM >ONIVA02G33550.1 pep chromosome:AWHD00000000:2:29454238:29457509:-1 gene:ONIVA02G33550 transcript:ONIVA02G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEDGGGGGGGFGGDFMVLRPDKGGIGDLFHLLWSCKVAENAAVDCPIGTEIAERRRRWALFVSLLAQMLLLWTKKPMALLGGATEYWMNLLNENGGSVFMLITNALQGKVKMPDKSSINYRSCIGLLDTRIDLDEKIKPEDRNYHAALSIMAAKLAYENELVVRTVVQNHWQMNFVGFYNCWNEFQEDYTTQAFMVSDKAEDASLAVVAFCGTKPFDTEQWCADVDFSWYEIPGVGKVHGGFMKALGLQRNGGWPEQPTGAGDDGGSDKKPFAYYVIRERLRAFLAENPRARFVVAGHSLGGALAILFPTVLALHGEEDMLARLHGVYTFGQPRVGDEGLCRFMDGHLATPVSRYFRFVYCNDIVPRVPYDDTALLFKHFGTCLYFDSFYKGHVTEEEPNKNYFSLLTVVPKYANAAWELARSFVIGYVDGPEYGEGWLMRIARAAGLLLPGLPPHAPQDYVNATRLGAASLEPLR >ONIVA02G33540.1 pep chromosome:AWHD00000000:2:29448765:29453773:1 gene:ONIVA02G33540 transcript:ONIVA02G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPAAAEAMDVEAPARPTTTTSTVPTSKGKSPHDLLAETRASVEEVAARILAIKKDDAPRTELRELVAQMSLLLITLRQANREILMEEDRVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPTEEFHRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLEQRKSSLLDTIANQKKFLSSLPTHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIVYTQLLGQKEAFGENIEVEITGSTKDAQMFAQQQAKQENAGTLSNGDNRVDDDAIDDEEDAQRRRSRSRKSVVKEASNSVVAYQLHPLKVILHVYDTEESGGKRRKLMVLRFDYLAKLNVVCVGIEDSKGLDHDILCNLFPDDTGLELPHQMAKLYAGEVPNFSEKDSRPYKWAQHLAGIDFLPEVPLSVGDDSNRASRSADLSSGLALYRQQNRAQTILQRIRSRKVAQMALTWQLDYLTKLKWPQIEHENAPWASRTPLCSLHSWSFTGIFPEPAPRSPLMVVGAAGSVDSDMERRSVTHWEETESTREDGELLAVVPAENTPSVSKISHSEVSPEVRNHSRGLSLISKSATPSKLSISHSFGRNEDDLDLLMYSDSELEEQTFVDQEVEKVNLATDKSWEDYASREFTLVLSKTLKNGPKVMLEAKIAISMEYPVRPPFFTLQLLSGKTEALKWHNDLRAMEAEVNLHILQVVPSSYEDYILTHQILCLAMLFDMHFDEDHGKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRSADCSSSCL >ONIVA02G33530.1 pep chromosome:AWHD00000000:2:29440764:29445443:-1 gene:ONIVA02G33530 transcript:ONIVA02G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLEPGLGFPDSASAAAAADRRHHPARRKKPPPQRRKRPAAAAAPAVGPRGATDPDPAPSPLRVHGIGIGSHASRGTAPRGEEEEEEEEWRGDGDAGSEEEEGEAVSDSFSHSLRECQKQRKLRAEGAALLLSPAKHELTGGGGGSIELLVLSPRCLVGGNVGGMSKSSTASSRSRSGTFPSPGTPNYHRHCASTMQYPKGWSSERVPLGGGTNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGIGRMSAPAPHHRRPKSKSGPLGHPGGIPGAYAAASPFVPCFDGVLAAANFAAHSPFSAGVLMPEHVRNGDFSSGRGRSGDDGSSRSYSAEKDPYILRSASIHAWTETLMEASAFANISEETAQDDKLQGLRGETPAISSPIIKKDVATQMSPDDSISSSPKARHSCSSLPSGHPIKEPNSNALKPEVRDVQVDDQVTVTRWSKRHVTRGSDRRSTNIVEWRKKTIETRAPSFDEKERESCVSKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDRILGKLRTAQKKAQDMRSAVSVSEDQCGVKATKKASYLRRTGKSFSCCFTYRAC >ONIVA02G33520.1 pep chromosome:AWHD00000000:2:29437409:29439039:-1 gene:ONIVA02G33520 transcript:ONIVA02G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02310) TAIR;Acc:AT1G02310] MGSKRRVILLPTLGVVVLAIAAAVLLHAGEAADVANGQFARASGTRFTVGGRPFYSNGFNAYWLMYMASDPGDRSKAAGVLQQAASLRATLVRTWAFSDGGYRPLQKSPGVYNEDMFMGLDFVIAESKKRGLYLILSLVNNWDGFGGKKQYVQWARDQGHNLGSDDDFFRSDVTKQFYKNHAVLTRVNKITGVAYKDDPTIFAWELINEPRCQSDLSGKTLQAWVTEMAGYVKSVDPNHMVEIGLEGFYGESMHKNFNPGYTVGTDFIANNLVPAVDFATIHSYPDQWVSGASSDEQVAFMRKWMADHIRDSAAVLRKPLLVTEFGWSARSNGYTVAARDAYFRTVYDAVYASAREGGACAGGLFWQVMAPGMESWTDGYEVVLERSKSTADVVAHQCARIAGLSPA >ONIVA02G33510.1 pep chromosome:AWHD00000000:2:29436069:29436800:1 gene:ONIVA02G33510 transcript:ONIVA02G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLTAACARMKESNASVLRCLYRRHASCTATAAHVRHPTSICSGGGRGACVDGGSRIKILCSFGGRIMPCPSDDALKYIDSETRILAVPRSIPFSPRADLKKKVEMFRTEVAVVAEDLDVLVSVTWDEDLSIVRLLQGETVAVGVATVPRLHLLLPRFRMHHDSSSGALAEEEEDVGCNRHDVVAAPVPVVRRGEGHLVGVVPRIAVPRREVRERKEGKREGAGMASLHVGSHADSAVT >ONIVA02G33500.1 pep chromosome:AWHD00000000:2:29434742:29436068:1 gene:ONIVA02G33500 transcript:ONIVA02G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSGVGEEDAGAAARRGEETGQVSAARSHLAGASQWVRGVSGVGDLRGGVGWVEWDKGQLAGGRRPVGEAGVQRPTTGDEAAATTTREQEGLNAGRRLLRARQQRLRARHRQA >ONIVA02G33490.1 pep chromosome:AWHD00000000:2:29430357:29434787:-1 gene:ONIVA02G33490 transcript:ONIVA02G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPGGSGRQQQQQQLPPMTPLPLARQGSVYSLTFDEFQSTLGGVGKDFGSMNMDELLRSIWTAEESHAVGAATTTTATTASVAAAEHAAVGAPPVQRQGSLTLPRTLSQKTVDEVWRDMMCFGGGGASTAPAAAEPPPPAHRQQTLGEITLEEFLVRAGVVREDMSVPPVPPAPTPTAAAVPPPPPPQQQTPMLFGQSNVFPPMVPPLSLGNGLVSGAVGHGGGGAASLVSPVRPVSSNGFGKMEGGDLSSLSPSPVPYVFKGGLRGRKAPGIEKVVERRQRRMIKNRESAARSRQRKQAYMMELEAEVAKLKELNDELQKKQDEMLEQQKNEVLERMSRQVGPTAKRICLRRTLTGPWLVQNRQIDLPL >ONIVA02G33490.2 pep chromosome:AWHD00000000:2:29430613:29434787:-1 gene:ONIVA02G33490 transcript:ONIVA02G33490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPGGSGRQQQQQQLPPMTPLPLARQGSVYSLTFDEFQSTLGGVGKDFGSMNMDELLRSIWTAEESHAVGAATTTTATTASVAAAEHAAVGAPPVQRQGSLTLPRTLSQKTVDEVWRDMMCFGGGGASTAPAAAEPPPPAHRQQTLGEITLEEFLVRAGVVREDMSVPPVPPAPTPTAAAVPPPPPPQQQTPMLFGQSNVFPPMVPPLSLGNGLVSGAVGHGGGGAASLVSPVRPVSSNGFGKMEGGDLSSLSPSPVPYVFKGGLRGRKAPGIEKVVERRQRRMIKNRESAARSRQRKQAYMMELEAEVAKLKELNDELQKKQDEMLEQQKNEVLERMSRQVGPTAKRICLRRTLTGPW >ONIVA02G33480.1 pep chromosome:AWHD00000000:2:29427152:29429241:1 gene:ONIVA02G33480 transcript:ONIVA02G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSHLMNGGCGGVGKRRGLPAAGVGLGLQKQNSWSPDMERDEAWERRRGMNKGSSSSSALRRVRSVTDDDLDELRGCMDLGFGFEAAGCPLCGAGRSRLVETLPALDLYYAVHGNAGGGGGGAGEVCAAASPCSCGASSSDVSEESPLGSPMSILSPGDTPETVKMRLKQWAQVVALSVRNRC >ONIVA02G33470.1 pep chromosome:AWHD00000000:2:29414278:29421327:-1 gene:ONIVA02G33470 transcript:ONIVA02G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRTSLPSHYFPVATTTTTHTELTSPWKPNPRVRTTTSPPPPLFFQPHRAQQRQTTARRRAAVPCRDRPEPTTTSTAAHMHPMAVAVAAAVSCPRLVPGRIPLSAASVAVARGRGCGVAVRARGQSAATPDPAAILRRPAVVTTAAAEGEGEGEGESEAESSPGGGSGEDETPEEGRRKGTEREWVDWEDLILEDTVPLVGFVRMILHSGKYENGDRLSPEHEKAILERLLPYHPQYEKKIGCGIDYLTVGLHPEFENSRCLFIVRKDGEQVDFSFWKCIKGLIRQKYPMYADSFILRHFRRRQDYRISDSFRVLRHTVRSKGTPLHFGEHDPTREIW >ONIVA02G33460.1 pep chromosome:AWHD00000000:2:29407970:29411035:-1 gene:ONIVA02G33460 transcript:ONIVA02G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSTRAPIPYQHNQTNSTRRATGMFVNFPPVAAISRSICPFELAKSQQQRPRAPSLLCNKQPHAPHPWPPSSQQRTTTTTVSSHGLFRLPTSLPPPVLTRGSIPPPPSRRPHPPRAVVDRVRAVLLDTGRGRCRVGGAAGAAGGVARGAVLGAQGEVPGRAEPVGEGEAGEGAHIVVHGGRHQGARQDAHGGDRGRQALQGGHRHGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKDGCADVTTRQNWQIRGVTLPDVPAILDGLNAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRSYTNLLSYITSNFQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKDGKFGFNLLVGGFISPKRWEEALPLDAWVPGDDIIPVCKAVLEAYCDLGTRGNRQKTRMMWLIDELGMEVFWSEAEKRMPNGVLERAAPEDLINKKWQRRDYLGVHPQKQEGLSYVGLHVPVGRVQAADMFELARLADEYGSGELRLTVEQNIVIPNVKDEKVEKLVSVPRAVRMHWTGCPNSCGQVQVADIGFMGCLTKDSAGKIVEAADIFVGGRVGSDSHLAGVYKKSVPCDELAPIVADILVERFGAVRREREENEE >ONIVA02G33450.1 pep chromosome:AWHD00000000:2:29406881:29407507:-1 gene:ONIVA02G33450 transcript:ONIVA02G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNLKSAALLEQLRVHLASGAGKELVEKIGFVYQLNISPKKLAFDEEVFVVDLKKGVVSKGPYEGKPDATFSFTDDDFLAISSGKLNPQMAFIMGKLKIKGSISAAQKFTPDIFPKPSKL >ONIVA02G33440.1 pep chromosome:AWHD00000000:2:29394366:29395975:-1 gene:ONIVA02G33440 transcript:ONIVA02G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKHFLSLSVLIVLLGLSSNLTAGQVLFQGFNWESWKENGGWYNFLMGKVDDIAAAGITHGRLHAWAAYGNEAQLKSLIEAFHGKGVQNAHRQELVNWVDRVGGANSNATAFDFTTKGILNVAVEGELWRLRGEDGKAPGMIGWWPAKATTFVDNHDTGSTQHLWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGLKEEIERLVSIRNRQGIHPASELRIMEADSDLYLAEIDGKVITKIGPRYDVEHLIPEGFQVVAHGDGYAIWEKI >ONIVA02G33430.1 pep chromosome:AWHD00000000:2:29388937:29390793:1 gene:ONIVA02G33430 transcript:ONIVA02G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCC0] MASKRFLSLSLLILLLGFSSSLAAGQVLFQGFNWESWKENGGWYNMLMGKVDDIAAAGITHVWLPPPSQSVAEQGYMPGRLYDLDASKYGNEAQLKSLIEAFHGKGVQVIADIVINHRTAEHKDGRGIYCLFEGGTPDSRLDWGPHMICRGDPYGDGTGNPDTGADFGAAPDIDHLNKRVQRELIGWLDWLKMDIGFDAWRLDFAKGYSADVAKIYIDATEPSFAVAEIWTSMANGGDGKPDYDQNAHRQELVNWVDRVGGANSNATAFDFTTKGILNVAVEGELWRLRGEDGKAPGMIGWWPAKATTFIDNHDTGSTQHMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGHKEEIERLVSIRNRQGIHPASELRIMEADSDLYLAEIDGKVITKVGPRYDVEHLIPEGFQVVAHGDGYAVWEKI >ONIVA02G33420.1 pep chromosome:AWHD00000000:2:29368802:29369530:-1 gene:ONIVA02G33420 transcript:ONIVA02G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRVERGGGGFHLPNSEREDSLFIRALISVVSGDTTVPTLLPEPTMATVVAGAATCARCGVDGCIGVDCEVVVLAAAAGSSSSDEEDEGECTTGAVASGGVTGGVGKRRPRRRSGGEGSRYRGVRRRPWGKWAAEIRDPRRAVRKWLGTFDTAEDAARAYDVAALEFRGQRAKLNFPASTAAQQPRPLLHHNLRENCGSNASSPVHAPEHARTAAAAKDQEIWDGLREIMMLDDGSFWSMP >ONIVA02G33410.1 pep chromosome:AWHD00000000:2:29364044:29365872:-1 gene:ONIVA02G33410 transcript:ONIVA02G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 5 [Source:Projected from Arabidopsis thaliana (AT1G45474) TAIR;Acc:AT1G45474] MASLGANSHGRVLHTCTLSPKPVTALSRSMAAIPGHHVFQSPRARIAVRASTERATWLPGLDPPPHLDGTLPGDFGFDPLGLGEEPANLKWYVQAELVHCRFAMAGVAGILATDVASWYVMLSYGAWSKLAVCPMEEFIRVSGINNLPVWFEAGATKFDFANTTALFFVQLLLMGFAETKRYMDFINPGSQAEEGTFLGIEAALAGSQPGYPGGPLFNPLGLAKDIENADEAKLKEIKNGRLAMVAMLGFIVQASVTHVGPIDNLLTHLSDPFNKNIIHTLSSS >ONIVA02G33400.1 pep chromosome:AWHD00000000:2:29361323:29365168:1 gene:ONIVA02G33400 transcript:ONIVA02G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKERIRRSPTPPTQGEELLAASPAGPRGGRKGRAVVLPLSAAALVACAVVLLLLAGGSAARRGQFVGADPTVLPSRGGGVGDLHLSQSKSNDGENVTIASSEVVNDKLLGGLLTTGFDEQSCLSRYQSVLYRKASSHFPSAYLLERLREHEALQKKCGPHTESYKKAIEQLKAGQGAKVMECNYLVWVAYSGLGNRILTMASAFLYAILTRRVLLVDSDKGTADLFCEPFPETSWLLPPKFPIKQFKNFSNGSPESYGNMLKNKAIHSNPAFLYLHMAHDYSDYDKLFFCEDNQQYLRNIPWLILKSDNYFVPSLFLIPAYQEELTRLFPQRDSVFHHLGRYLFHPSNVVWGMVTRYYDSYLARADERLGIQIRVFDPEPGPFQHVLDQVLACTLKENLLPAINSKQPIVSTRHSRLKSVLITSLNSGYYEKIRSMYWEHPTTNGEMISFHQPSHEEHQNSDKKMHNMKAWAEIYLLSLSDVMVTSAWSTFGYVAQGLSGLRPWLLFKPENRIAPDPPCRQVLSMEPCFHAPPFYDCKAKRGADTGKFVPYVSHCEDMSWGLKLVDQSEW >ONIVA02G33390.1 pep chromosome:AWHD00000000:2:29337855:29344573:-1 gene:ONIVA02G33390 transcript:ONIVA02G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEQSPIEAIGVAPPKQTATRRLRDSGATSYLLPVALQDPTSQRAPRVPDTAHVSVGAPLPGLRNAVTQRGRRAERARQVNLDARALTPTDAWAPHQKPLEKGNPRGKGRPWKRKREERADQTNETKQTLAPNSNFLRVALALALAASNPPSPPGVSPVGPPLRSEPDRRSPAPPPLVDACVPLAADLLLSRRRESNVDGIEISASNDERRDRGDAEISEDEPRQTRIRSLKKKALHASTRLTHSLKKRGKRKVGCRVPKITIEDVRDAEEEQAVSSFREVLFARDMLPERHDDYHTMLRFLKARKFDVEKAAHMWADMLHWRKDFGTDTILEDFEFHELEEVLQYYPHGYHGVDKEGRPVYIELLGKVEPSKLVQITTVERYIKYHVQEFERAFREKFPACSIAAKKHIDTTTTILDVHGVGWKNFSKIARDLVRCMQKIDGDYYPETLHQMFIVNAGPGFKLIWSTVKGLLDPKTSSKIHVLGTKYQHRLLEAIDSSQLPEFLGGSCTCSSQGGCLRSNKGPWSDPLIMKLVHCMESSALKDIGQVSDIEEAITGSLPERISYTSNAESGSDVDDLGSPIGQEDFEYHSLAPVHEEARESGSTCSGSDDKVVETNTRYNPPGNGSGQYSARQNPSINRVSPEPGHVPNDGEGNADHGILKYISKKVLGVILEVLSFLRIFIRHRQQLENVPQHTTTVHSNQADLQIIKEDRVNPCLERLERLETMFNQLSRKPPEIPQDKDRAIQDSFDRIKCIEFDLEKTKKVLHATVIRQMQMAETLEAVKESDLRRRKFCT >ONIVA02G33380.1 pep chromosome:AWHD00000000:2:29334051:29335856:-1 gene:ONIVA02G33380 transcript:ONIVA02G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRGLLLLVVSAAVLVGLASASPFISDSVFLGSVGSTGRSLLQAKKNCPVNFEFQNYTIITSKCKGPRFPAKQCCDAFKEFACPFNEYINDESNDCASTMFSYINLYGKYPPGLFANECREGKLGLSCEGVSQKDSVVSSAGQQAQSSLLAFIMLTFGLAALWFH >ONIVA02G33370.1 pep chromosome:AWHD00000000:2:29321348:29327279:-1 gene:ONIVA02G33370 transcript:ONIVA02G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDYDMDDTADDMGEENYERGMRDSDSEDEEHGQSNDKIPDTSSADARKGKDIQGIPWEKLAITRDKYRQTRLDQYKNYENMPNSGEAAAKECKPTAKGGMYYEFRRNTRSVKSTILHFQLRNLVWATSKHDVYLMSHFSVLHWSALSGLDTELMNVQGHVAPREKHPGSLLEGFSGTQVSTLSVKDNLLVAGGFQGELICKHLDREGISFCCRTTYDENAITNAVEIFNTTSGAVHFMASNNDSGVRDYDMERFQLYKHFQFEWPVNHTALSPDRKLAVIVGDDPNGLLIDANSGKTLHSLKGHFDYSFASAWSPDGRTFATGNQDKTCRIWDARNLSKSLHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHVFDVGSDYTRRQELDFFGEISGMSFSPDTDMLFVGVWDRTYGSLLQFGRLYNHSYLDSLC >ONIVA02G33370.2 pep chromosome:AWHD00000000:2:29323606:29327279:-1 gene:ONIVA02G33370 transcript:ONIVA02G33370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDYDMDDTADDMGEENYERGMRDSDSEDEEHGQSNDKIPDTSSADARKGKDIQGIPWEKLAITRDKYRQTRLDQYKNYENMPNSGEAAAKECKPTAKGGMYYEFRRNTRSVKSTILHFQLRNLVWATSKHDVYLMSHFSVLHWSALSGLDTELMNVQGHVAPREKHPGSLLEGFSGTQVSTLSVKDNLLVAGGFQGELICKRGH >ONIVA02G33370.3 pep chromosome:AWHD00000000:2:29321348:29322604:-1 gene:ONIVA02G33370 transcript:ONIVA02G33370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLDREGISFCCRTTYDENAITNAVEIFNTTSGAVHFMASNNDSGVRDYDMERFQLYKHFQFEWPVNHTALSPDRKLAVIVGDDPNGLLIDANSGKTLHSLKGHFDYSFASAWSPDGRTFATGNQDKTCRIWDARNLSKSLHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHVFDVGSDYTRRQELDFFGEISGMSFSPDTDMLFVGVWDRTYGSLLQFGRLYNHSYLDSLC >ONIVA02G33360.1 pep chromosome:AWHD00000000:2:29319174:29320428:-1 gene:ONIVA02G33360 transcript:ONIVA02G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESLAMATAPVPEPEPQPELSAAAARRQDEERKTALRIIRNELRLLWRDPPPYLRPGPEPVTDPFHWEVVIDGPAGTPYAGGTFPVDIQLPAAGYPFVHPKVTFKTLVYHPNIDEEGNMVLDAESWSCATKLRGLLIGFVSVLYDPLLDYPINYDIAEQYAYDYERYEAEARAWTREFSSAPVVSHYPPNAVVGRTPPAVPHFPATAARRRAEAEARRRAAAAAASSGSGESLWRRVIGYIQSWSPYRLLHTD >ONIVA02G33350.1 pep chromosome:AWHD00000000:2:29312871:29316700:1 gene:ONIVA02G33350 transcript:ONIVA02G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWESAGGQRNCQQLGGGVFGGDAHHLFDEMSTCARGDSAAVLRVTVSQIIYPVTYEVLHQVYDTYGAVAVQVLAVSTWQVKALVSFMSSHDAERARSATHGRDIYDGGCLLDVQHVQMFPGDGATATHTTCLTMVPSSATARPAAKSIAAALERVFPATTASSVPSITSAAMVTSTIPLFLLNFVSLNTYILEWIAIYRWHLCERFGVIVLVRDNMVSEQPIRGVSGVEMKWESTGGQRNCQQLGGGVFGGDAHHLFDEMSTCARGDSAAVLRVTVSQIIYPVTYEVLHQVYDTYGAVAVQVLAVSTWQVKALVSFMSSHDAERARSATHGRDIYDGGCLLDVQHVQMFPGDGATATHTTCLTMVPSSATARPAAKSTAATPERVFPATTASSVPSITSAAMVTSVPFSETKKAETDMDKVVENLDRTIQDLCTKIDRMLEAFRHTKVDLSLSKDSTRDVAALSANTDPTSIALEVSTEAGSTNHVDTAKLGMGTTIECSMKCENQLADDDGGKDMAKEEWMELMEVDTKFTTMYLCFRDPLLIINAIPPRNWSWCLSRDYFGVVGLSFVSLKLEVLYGCFDRSSEYAASPPLVPPWRAAIPWNKAEMTSGSRPLPWPDPQLCQGSGGVVVKLLQPWPPLIQTSVQAEIETLNLYGESHQVSLNYSVAQFMSRTINSTEGLLQNLIVGWCIWYEICLSGAFRKAYQHTHHSTYGWAYGDHELFLLLMLISYLSPDAWCDCLFSGANVDGNCTCKSHERRTHRHAQCYTIPISFQVQSNNNDVLDDTSWTQFGSNNGEAFRVSTRKLVNLQPWPPPSQWRSEVFSLCAAGGQGLNFSWKCISEGKLMLWTNVKVIRREYTNKVLWLSVANSWDLIWAVLQQLLCTSELILQWNNQHGQTHELLLQREQLKLGAVHLSLEASTFSKNSRGIELVKCSERCLICLDCDDNIVLHTWAYRVVKLVAARLVGDQGKTIQFLAIWEFANKEVALIQTKKHMHVSQSTDLNICMLHLGSHGVYADSSGEEGVKAWWLR >ONIVA02G33340.1 pep chromosome:AWHD00000000:2:29308084:29308549:1 gene:ONIVA02G33340 transcript:ONIVA02G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSQCKRSVTGSGPGRQYAGGSSQRSSSSREIRRCAAFRGSAFIHHLRAVVDCFGCGSAGAGGGSSMITVMRLDKWAGFGPIRLGRRRLEKRQSSQ >ONIVA02G33330.1 pep chromosome:AWHD00000000:2:29305423:29308298:-1 gene:ONIVA02G33330 transcript:ONIVA02G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIIDEPPPAPALPQPKQSTTARRWWMKADPRKAAQRRISRELEDLWLDPPAYCRPGPEPVTDLLHWEVIIDGPPGTPYAGGTFPVDVWYPNEYPFQPPKLTFKTKVYHPNIDDEGQMMVDVLHGYWLAGFTIHRLLLGFVSILYDPLLDYPINDDIAEQYENEYELYEKEAREWTRRYSSTPIASHWLPKAMRTPPAVPHIPATAERREESRR >ONIVA02G33320.1 pep chromosome:AWHD00000000:2:29304559:29305376:-1 gene:ONIVA02G33320 transcript:ONIVA02G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELPAAAPPQPKRSTAAAAVASRRIGRELWQFWLDPPPYCRPGPSPVKDHFHWEVVIDGPAATPYAGGVFPVDVWFPYDYPFRPPKLFFKTKVYHPNIDGKGRMALDIFQDNWSPALTINKLLLCFVSVLFDPLLDRPTNRCIAKQYKHEYEAYEEKARAWTQKHSSTPIVSHYPPYAVIGSTPPAVPHFPATAARRKAVASSASGSVSSSRIPLLMKDESIWRKTMKFFQG >ONIVA02G33310.1 pep chromosome:AWHD00000000:2:29291462:29296694:1 gene:ONIVA02G33310 transcript:ONIVA02G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLHLLAFSLLFAVATPIRDITDVCSSQIKDFQYLNSTGLHLELHHPRSPCSPAPVPADLPFTAVLTHDDARISSLAARLAKTPSARATSLDADADAGLAGSLASVPLSPGASVGVGNYVTRMGLGTPATQYVMVVDTGSSLTWLQCSPCLVSCHRQSGPVFNPKSSSTYASVGCSAQQCSDLPSATLNPSACSSSNVCIYQASYGDSSFSVGYLSKDTVSFGSTSLPNFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGYSFTYCLPSSSSSGYLSLGSYNPGQYSYTPMVSSSLDDSLYFIKLSGMTVAGNPLSVSSSAYSSLPTIIDSGTVITRLPTSVYSALSKAVAAAMKGTSRASAYSILDTCFKGQASRVSAPAVTMSFAGGAALKLSAQNLLVDVDDSTTCLAFAPARSAAIIGNTQQQTFSVVYDVKSSRIGFAAGGCS >ONIVA02G33300.1 pep chromosome:AWHD00000000:2:29280043:29284267:-1 gene:ONIVA02G33300 transcript:ONIVA02G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GCA5] MSSRGGEDDDHASLLRSHPAAGAGAGSGSSSPCPSPRAVGGHHHNQHADVEAVGEATVTASPRRSGGVRGLLRHLDRRLSARGSGGRRSQQPPQQLDRPEPSPTSSPQQRERVGEELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVSISLVLLTDWKLDYPLQVESTGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFDQLKLVKPPQKQGINFLAAIFPTVNAVQAAVTLGTGCSLGPEGPSVDIGKSCANGCSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGERPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFGRLVVWFSNFFGYLKERYDFPIVVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAGYLINSAVPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQSKDGEPSRFRTPRRGYSSLSSEDRNSKSWRRGDSVNDLELTSLRTDNYDTYNEEMLLDDLKVSQAMSKSYVKIPTSAMVTEALKLLHDKQQNCGLVVDCEDFLEGIVTLGDIRRMGFELHGDSFTSGDQLKPAVKTKQFTQAGKECVLINGDVAGKFFNHIIVSYSRIPARGIKQLPVVKRGVGHRTEGKRKLIALLHYDSIGHCLREEIENWKAIYQIKEDFHLIANGH >ONIVA02G33290.1 pep chromosome:AWHD00000000:2:29273061:29274543:-1 gene:ONIVA02G33290 transcript:ONIVA02G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSPLLLLLLCSYHSVVAHAGDGQSYKVLELNSEAVCSERNAISSSLSGTTVALNHRHGPCSPVPSSKKRPTEEELLKRDQLRAEHIQRKFAMNAAVDGAGDLQQSKVSSSVPTKLGSSLDTLEYVISVGLGTPAVTQTVTIDTGSDVSWVQCNPCPNPPCHAQTGALFDPAKSSTYRAVSCAAAECAQLEQQGNGCGATNYECQYGVQYGDGSTTNGTYSRDTLTLSGASDAVKGFQFGCSHLESGFSDQTDGLMGLGGGAQSLVSQTAAAYGNSFSYCLPPTSGSSGFLTLGGGGGASGFVTTRMLRSKQIPTFYGARLQDIAVGGKQLGLSPSVFAAGSVVDSGTIITRLPPTAYSALSSAFKAGMKQYRSAPARSILDTCFDFAGQTQISIPTVALVFSGGAAIDLDPNGIMYGNCLAFAATGDDGTTGIIGNVQQRTFEVLYDVGSSTLGFRSGAC >ONIVA02G33280.1 pep chromosome:AWHD00000000:2:29269311:29272451:1 gene:ONIVA02G33280 transcript:ONIVA02G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLTLILLSGLGFLPRHADAARGYVTVSTSSFAVSSTCADELPGRAASPRNGTSAVLRLTHRHGPCAPAGKASALGSPPSFLDTLRADQRRAEYIQRRVSGAAAAAPGMQLAGSKAATVPANLGFSIGTLQYVVTVSLGTPAVAQTLEVDTGSDVSWVQCKPCPSPPCYSQRDPLFDPTRSSSYSAVPCAAASCSQLALYSNGCSGGQCGYVVSYGDGSTTTGVYSSDTLTLTGSNALKGFLFGCGHAQQGLFAGVDGLLGLGRQGQSLVSQASSTYGGVFSYCLPPTQNSVGYISLGGPSSTAGFSTTPLLTASNDPTYYIVMLAGISVGGQPLSIDASVFASGAVVDTGTVVTRLPPTAYSALRSAFRAAMAPYGYPSAPATGILDTCYDFTRYGTVTLPTISIAFGGGAAMDLGTSGILTSGCLAFAPTGGDSQASILGNVQQRSFEVRFDGSTVGFMPASC >ONIVA02G33270.1 pep chromosome:AWHD00000000:2:29266617:29267480:1 gene:ONIVA02G33270 transcript:ONIVA02G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKKATAVLDEAARARLRGPFASGAASLRRDQDDDDDDLLVDLVHEFYDDGERGADATARGGVSSSPEPEPTEWKDALREALADATSDAAAARIRAEAERAVRDAVRNGGDVIRKRVVERLRARGFDAGVCRSSWERTGSVPAGSHAYVDVTAAASATGRRARYIVEVNVAGEFEIARPSAEYQDLLLSLPPVLVATPEAFRGVAAAMCAAAAESIRGAGMHLPPWRRARYVQAKWSAPYERVAAAAPPEGARTAPSGGRKRCGMEIGRREMAIGKERLVPFFRGL >ONIVA02G33260.1 pep chromosome:AWHD00000000:2:29262042:29265715:1 gene:ONIVA02G33260 transcript:ONIVA02G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNQYGVLALYFIKMISLRVISPYAISPTLYEYDTAITAETIPAVQIHIDGSVFLLPNQMPKFFFKKNSQKAEKLCVRKKKKKKRKTQLRTLPFAPSARAATGLPHHHHRPIERGGGCGEAGGGGEMRKRERENPCEICGHYHNSEEGERCGVCGHRSGPVAGEPPATLDPAFPTEVLKDFLFLGSYNNASRSEVLKTLSITHILNTVPDCQNLYRNSFTYHCIQDERSLDFDGANRFLEQCERETSRVLVHCMSGKNRCDSSVVSIHVVSIVVNDRLILLWYKISRSAAIVIGYLMKSRGWRLPQSYQWVKDRRPQVQLTDASQHQLVEYEQKLFGPNVGAPAQSSVPTESFRPLGFGFPKPAGDIQAPVFNQQPVPSIFERVNPSNIPSNFTFGAMEANTPMDDNGAPAPTSGDNPMDSS >ONIVA02G33250.1 pep chromosome:AWHD00000000:2:29256250:29256979:-1 gene:ONIVA02G33250 transcript:ONIVA02G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTTVCHHPSTIPRVRSSLLRHSVVPRAYDSFDDMAIVSVTTATAAAGVLILVIAAGAAAAAGARRHHVVGGDPGWAVASDVLAWSADRLFTVGDTLWFAYSAEDGGVAEVGGEEEFESCDAGSPVRMYTEGLSRVDLGGKGSRYFVSADPDKCGGGLKLRVDVRAPVAGTTPPPGSSRKGDRAAAPAPAPLASSGGRGVATSRTCVMLCCLLFLAI >ONIVA02G33240.1 pep chromosome:AWHD00000000:2:29248354:29261915:1 gene:ONIVA02G33240 transcript:ONIVA02G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASPPASLGKRRRRGGAGGGDGEGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAVVTEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKKTAHVLMEEVKESPVQPTTSTAQTITSSGTADFQGFHGAPKFAVGVPRNLPAVAALPATAPVTSASLVTLKPPGSSPVKSVNNPSVVTMPHTAPSHLKSDKGVNGPPNLVRTGATYGNLNKSFHDTCARSNLNAVQSSNPVAKNQDTKTASIDATAGNPLTGHHATPSVAPVPPKPTFANHSEIAKSVQRVLHQPANHPSWIPPSTEYMRSRLDCQICKVAIMDMESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKATPPPAGTQVSSQGAAENIAVKENHQKVAVNGNLLNQNSMQAGSVAQSSTVLALGVTAAVAQSQPLSISRLPEGNLNNDAALSSEKTGNVGPCSSIAHRNEKPPDELQSSGLPANSKTGTQSGKSPNEEVSSVLVSGSADSTNDTLHEQKSHEISGEKCSDNSSIVASEANIKSKADSELISGRDVEMVDNDIPPKDQTNNIATEDKPSTQETSEAHKMEVVEVSANTGIQISQGDSAGTEENLHTEVTSVPHMINDVAMATNAGTPICPSNNVAIEEKPKSTVISEVCTTKDTEMTTDATLDQNTNVATEEIPLPESISATEDADMTTDTGIPTNQRQEVNGLAENGRKEHPLGETDKHKSDHSTMPDMSTAPQITSNGVMHSKDEAACGHEGEIVDISAAATEENN >ONIVA02G33240.2 pep chromosome:AWHD00000000:2:29248354:29261805:1 gene:ONIVA02G33240 transcript:ONIVA02G33240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASPPASLGKRRRRGGAGGGDGEGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAVVTEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKMEEVKESPVQPTTSTAQTITSSGTADFQGFHGAPKFAVGVPRNLPAVAALPATAPVTSASLVTLKPPGSSPVKSVNNPSVVTMPHTAPSHLKSDKGVNGPPNLVRTGATYGNLNKSFHDTCARSNLNAVQSSNPVAKNQDTKTASIDATAGNPLTGHHATPSVAPVPPKPTFANHSEIAKSVQRVLHQPANHPSWIPPSTEYMRSRLDCQICKVAIMDMESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKATPPPAGTQVSSQGAAENIAVKENHQKVAVNGNLLNQNSMQAGSVAQSSTVLALGVTAAVAQSQPLSISRLPEGNLNNDAALSSEKTGNVGPCSSIAHRNEKPPDELQSSGLPANSKTGTQSGKSPNEEVSSVLVSGSADSTNDTLHEQKSHEISGEKCSDNSSIVASEANIKSKADSELISGRDVEMVDNDIPPKDQTNNIATEDKPSTQETSEAHKMEVVEVSANTGIQISQGDSAGTEENLHTEVTSVPHMINDVAMATNAGTPICPSNNVAIEEKPKSTVISEVCTTKDTEMTTDATLDQNTNVATEEIPLPESISATEDADMTTDTGIPTNQRQEVNGLAENGRKEHPLGETDKHKSDHSTMPDMSTAPQITSNGVMHSKDEAACGHEGEIVDISAAATEENN >ONIVA02G33240.3 pep chromosome:AWHD00000000:2:29248354:29261915:1 gene:ONIVA02G33240 transcript:ONIVA02G33240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASPPASLGKRRRRGGAGGGDGEGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAVVTEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKMEEVKESPVQPTTSTAQTITSSGTADFQGFHGAPKFAVGVPRNLPAVAALPATAPVTSASLVTLKPPGSSPVKSVNNPSVVTMPHTAPSHLKSDKGVNGPPNLVRTGATYGNLNKSFHDTCARSNLNAVQSSNPVAKNQDTKTASIDATAGNPLTGHHATPSVAPVPPKPTFANHSEIAKSVQRVLHQPANHPSWIPPSTEYMRSRLDCQICKVAIMDMESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKATPPPAGTQVSSQGAAENIAVKENHQKVAVNGNLLNQNSMQAGSVAQSSTVLALGVTAAVAQSQPLSISRLPEGNLNNDAALSSEKTGNVGPCSSIAHRNEKPPDELQSSGLPANSKTGTQSGKSPNEEVSSVLVSGSADSTNDTLHEQKSHEISGEKCSDNSSIVASEANIKSKADSELISGRDVEMVDNDIPPKDQTNNIATEDKPSTQETSEAHKMEVVEVSANTGIQISQGDSAGTEENLHTEVTSVPHMINDVAMATNAGTPICPSNNVAIEEKPKSTVISEVCTTKDTEMTTDATLDQNTNVATEEIPLPESISATEDADMTTDTGIPTNQRQEVNGLAENGRKEHPLGETDKHKSDHSTMPDMSTAPQITSNGVMHSKDEAACGHEGEIVDISAAATEENN >ONIVA02G33230.1 pep chromosome:AWHD00000000:2:29239504:29248012:1 gene:ONIVA02G33230 transcript:ONIVA02G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMAGNNSPWIDPLPPPMNARNGLANASLFSTSLPVLPHEKINFLDSARGTPLMDDASAKLKELDDDPEGKDYKFDFDLRQIDDLLPNEDDLFAGITNEIEPAGQTNSMEELEEFDVFGSGGGMELDTDPVESITAGLGNTSIADGLRGNGVNHFGPSNSASTVAGEHPYGEHPSRTLFVRNINSNVDDTELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARGAMRGLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDIDQDEPRSYRIPHVGSPIASSPPGAWAQYSSPTDNNLLQAFNASPTGNGMSPIGMPPSLISNAVKIAPIGKDSNWSKYDKVFSNNNQPHGAAFQHSHSYQDHKSEHMSSSPGTLTGPEFLWGSPKPYSEHAQSPIWRPPAIGHAIPSNTRSQGQGLLYGGRQASLFGSQDQLHHHHVGSAPSGAPFESHFGFLPESPETSYMNQVRFGNIGNIGSGRNGTGLMLNMAARASVNPVSALSGNMSDNNSSSFRPILSPRLGQSFYGNPTYQGPGSFGLDNSIERGRNRRVDSSVFQADSKKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPDAGNQEPFPINGICIHMPLEDGAIATGDPFGNEEDNNQNERTAGEEL >ONIVA02G33230.2 pep chromosome:AWHD00000000:2:29239504:29248012:1 gene:ONIVA02G33230 transcript:ONIVA02G33230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQDPFNSRGIAVPPAVKMRNMAGNNSPWIDPLPPPMNARNGLANASLFSTSLPVLPHEKINFLDSARGTPLMDDASAKLKELDDDPEGKDYKFDFDLRQIDDLLPNEDDLFAGITNEIEPAGQTNSMEELEEFDVFGSGGGMELDTDPVESITAGLGNTSIADGLRGNGVNHFGPSNSASTVAGEHPYGEHPSRTLFVRNINSNVDDTELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARGAMRGLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDIDQDEPRSYRIPHVGSPIASSPPGAWAQYSSPTDNNLLQAFNASPTGNGMSPIGMPPSLISNAVKIAPIGKDSNWSKYDKVFSNNNQPHGAAFQHSHSYQDHKSEHMSSSPGTLTGPEFLWGSPKPYSEHAQSPIWRPPAIGHAIPSNTRSQGQGLLYGGRQASLFGSQDQLHHHHVGSAPSGAPFESHFGFLPESPETSYMNQVRFGNIGNIGSGRNGTGLMLNMAARASVNPVSALSGNMSDNNSSSFRPILSPRLGQSFYGNPTYQGPGSFGLDNSIERGRNRRVDSSVFQADSKKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPDAGNQEPFPINGICIHMPLEDGAIATGDPFGNEEDNNQNERTAGEEL >ONIVA02G33230.3 pep chromosome:AWHD00000000:2:29239567:29248012:1 gene:ONIVA02G33230 transcript:ONIVA02G33230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQDPFNSRGIAVPPAVKMRNMAGNNSPWIDPLPPPMNARNGLANASLFSTSLPVLPHEKINFLDSARGTPLMDDASAKLKELDDDPEGKDYKFDFDLRQIDDLLPNEDDLFAGITNEIEPAGQTNSMEELEEFDVFGSGGGMELDTDPVESITAGLGNTSIADGLRGNGVNHFGPSNSASTVAGEHPYGEHPSRTLFVRNINSNVDDTELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARGAMRGLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDIDQDEPRSYRIPHVGSPIASSPPGAWAQYSSPTDNNLLQAFNASPTGNGMSPIGMPPSLISNAVKIAPIGKDSNWSKYDKVFSNNNQPHGAAFQHSHSYQDHKSEHMSSSPGTLTGPEFLWGSPKPYSEHAQSPIWRPPAIGHAIPSNTRSQGQGLLYGGRQASLFGSQDQLHHHHVGSAPSGAPFESHFGFLPESPETSYMNQVRFGNIGNIGSGRNGTGLMLNMAARASVNPVSALSGNMSDNNSSSFRPILSPRLGQSFYGNPTYQGPGSFGLDNSIERGRNRRVDSSVFQADSKKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPDAGNQEPFPINGICIHMPLEDGAIATGDPFGNEEDNNQNERTAGEEL >ONIVA02G33220.1 pep chromosome:AWHD00000000:2:29233985:29234614:1 gene:ONIVA02G33220 transcript:ONIVA02G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 5 [Source:Projected from Arabidopsis thaliana (AT1G12060) TAIR;Acc:AT1G12060] MGSYHYTSTSHFFFAGGGEGEYSSYKGTGSTDTHRPTTVRIPVTTPGPERPPDDAAAARIQAAFRGHLVRRHAAAVRGADDEATRLERLLRRQETVDAVRGDERERARFSEALMAVLLRLDAVPGYYPAVREARRAVTRRVVGLQEVFDAVLAAPDAADTCGVPASLDQVLEGIWGESPAAPPPPPAAAVEVEEEVVRSPCWRRFFGGV >ONIVA02G33210.1 pep chromosome:AWHD00000000:2:29230674:29232724:1 gene:ONIVA02G33210 transcript:ONIVA02G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEQDLHMSRGDGETSYAANSRLQEKAILKTRPLLHKAVEEAHASLSGLSRAPAGGKMVVADLGCSSGPNTLLVVSEVLSAVANRSSCDHKSSLVADVQFFLNDLPGNDFNLVFQSLELFKKLAEMEFGKALPPYYIAGLPGSFYTRLFPDRSVHLFHSSYCLMWRSKVPDKLASGEVLNAGNMYIWETTPPSVVKLYQRQFQEDFSQFLALRHDELVSGGQMVLTFLGRKNRDVLRGEVSYMYGLLAQALQSLVQEGRVEEEKLDSFNLPFYSPSVDEVKAVIRQSGLFDISHIQLFESNWDPQDDSDDDDVATLDSVRSGVNVARCIRAVLEPLIARHFGRCIVDDLFDMYARNVAQHLEQVKTKYPVIVLSLKARR >ONIVA02G33200.1 pep chromosome:AWHD00000000:2:29227912:29228397:-1 gene:ONIVA02G33200 transcript:ONIVA02G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLSQSSFGSPTPSSRCLLPPIPVVVSTFLQTLAIALRRCSVTALRRCIAAEIGYGSTKSGTITTTFASAAALHSRPCICLEGHQIRLALSVSGRQGPATAMHHFILPRRGRASSSLLVSAAAFPAGCSAFPAGRSTFRRRTPTEARCQGWVGGGGTGG >ONIVA02G33190.1 pep chromosome:AWHD00000000:2:29223813:29224976:-1 gene:ONIVA02G33190 transcript:ONIVA02G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLHQDRFNGAAIKLLSSAMAVHGYGGGGGGGDDTATRVCTVCLLEFADGDELCTLPLCAHSFHMDCVDVWLRAHASCSLCRNAIALPSPQHNSFSLFACSPFLGGIAPEVMNTSAQPSSRKFI >ONIVA02G33180.1 pep chromosome:AWHD00000000:2:29213327:29218402:1 gene:ONIVA02G33180 transcript:ONIVA02G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPSLQGQFTQVELRSLKAKFLSLKRDSGGHATTKNLPGLMKKLRGLNEVISEEEIAAHLSQSYPDADQEIEFESFLREYLNLQSRVSAKEGGGGGGGGGKTASSFLKSSTTTLLHNLNQAEKSSYVAHINTYLREDPFLKKYLPIEPTDNQLFDLVRDGVLLCKLINLAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLILGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVSNFSTDVKDGEAYAYLLKALAPEHSPETTLETKDPSERAKLVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTSDTRQVTLNQTASRDDVLLSREERSFRMWINSLGVDSYVNNVFEDVRHGLRSHSQGSQGKEITDADILKWANSKVKASGRTSQMESFKDKSLSNGRFFLELLSAVQPRVVNWKVVTKGEDDEEKKLNATYTISVARKIGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQKQPQSQSETSEQSEPSSMASDAASDIASEDAASITAASEGEEVNSLSDSMSNLTTYDAISNASPAENGNGVAG >ONIVA02G33170.1 pep chromosome:AWHD00000000:2:29207712:29210813:1 gene:ONIVA02G33170 transcript:ONIVA02G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVDAISCSKGIAAPPTEEATKERVAVVGKNGGVEHGGDAATMNGKQCGEAPHCRKESNEEEEDDEEKAPKAIDLGPRVSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLAILSPGRPDIFLPLPVEPNAKGVWFTLKEGSLYKLKFTFSVSNNIVSGLRYTNAVWKTGIKVDSHKEMLGTFSPQPEPYTYVTPEETTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRREWPALS >ONIVA02G33160.1 pep chromosome:AWHD00000000:2:29202288:29205711:1 gene:ONIVA02G33160 transcript:ONIVA02G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQANHPTVLQKFGGQFHLGSSFSEGVRARNICPSVSSYDRRFTTRSYMTQGLVNGGINVPMMSSSPIFANAPAEKGGKNFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFGGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGSLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >ONIVA02G33160.2 pep chromosome:AWHD00000000:2:29202109:29205711:1 gene:ONIVA02G33160 transcript:ONIVA02G33160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQANHPTVLQKFGGQFHLGSSFSEGVRARNICPSVSSYDRRFTTRSYMTQGLVNGGINVPMMSSSPIFANAPAEKGGKNFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFGGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGSLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >ONIVA02G33150.1 pep chromosome:AWHD00000000:2:29198813:29201058:1 gene:ONIVA02G33150 transcript:ONIVA02G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGEHGGRTVAEGKHGSTRWMETAHDDPKWRHDLDGKTSHNTATATGSEHGNDGGVQGFQICVEEF >ONIVA02G33140.1 pep chromosome:AWHD00000000:2:29193745:29193954:1 gene:ONIVA02G33140 transcript:ONIVA02G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGTVLLAVAAAVAVMFASVASAQVDGGVPPAPAPVTGAAAGGAASAALAVACSAVLSILVAGGIMH >ONIVA02G33130.1 pep chromosome:AWHD00000000:2:29190084:29190473:1 gene:ONIVA02G33130 transcript:ONIVA02G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGIRQSTHAPDGRAPHCLHEAGRGGDEDRHAPGRVSVEAGEERGVKEVQTLDNAVPEHLLLLVEDMDDVKRLDDAEQDLLLRIEDGVVAAATAGDEARVDDLEERTAGPGEQGAIWSHQAIFQRKWN >ONIVA02G33120.1 pep chromosome:AWHD00000000:2:29185679:29185861:-1 gene:ONIVA02G33120 transcript:ONIVA02G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLVVAASAATVAFAAEAPAPAPTSAAPAAVAPAIGAALGATVVSFFAYYLQ >ONIVA02G33110.1 pep chromosome:AWHD00000000:2:29181307:29181912:1 gene:ONIVA02G33110 transcript:ONIVA02G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLSRRLLSPAAETARLLPKPHPLLFLHPHRHFSAPSQPDGGGGPAPVSPESMKHQEIEGPTVERDTSPLADETRRELDALRAAVQRISGSLALLGGAHLAAGAWIASGAAPVGVGSAAAVQGVVAFAFPFTAALVLRRAIKPIAFFQKMEANGRLQVLTLCLQATKNVNLMLLRTRVVAISCALGVSVGSVATILMR >ONIVA02G33100.1 pep chromosome:AWHD00000000:2:29180252:29180842:-1 gene:ONIVA02G33100 transcript:ONIVA02G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRTALSHVADVHTLHRRVDPEAGRLQAARSITVRSPPLPFILRRLLPAAASSPSGAALCHCVETSLVDAPRRAMDVVVRNVSLRGLIEVEERSTYRPHPERPEEWTQFRQETTIRCRPLSALAAVAEKVETRCAERFLQNSAKGREVVERICRYLEAEAAGAASSAI >ONIVA02G33090.1 pep chromosome:AWHD00000000:2:29176178:29177627:-1 gene:ONIVA02G33090 transcript:ONIVA02G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRGAGTRKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKAIWTNGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPAEGLKGLGTKVVEDEE >ONIVA02G33080.1 pep chromosome:AWHD00000000:2:29173369:29173881:1 gene:ONIVA02G33080 transcript:ONIVA02G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPEPSTAAAAAAAVAETGCSVQIRRLEATDHEKGFVALLSQLSACPDLTASEFAACFADLAALGDDHVILVAEDPAAPESRILATGCLFVERKFLRGGGKVGHVEDVVVDAAARGRGLGLRVVRRLVEIAKEAGCYKVILDCTPELRAYYAKCGFVEKGVQMAIYF >ONIVA02G33070.1 pep chromosome:AWHD00000000:2:29166933:29170147:-1 gene:ONIVA02G33070 transcript:ONIVA02G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRRQGVVAIECVAGGSRAEEWGPGSSETVQTGDVVEEILIGVGGRGGPAAHAAPFKGGRAAVQRLLHSAYKRGDTSVEVRVRRPAHAQQLVTTSGELLPSPAAGAATTTTARMQACIVPQEAAVGGGGAMMAVVGRSRQYVLRSIRDPNYAVGFVDRMESECIAIRVWGYDQNILGLMYDRVRIARAGDNIVVGVGGWRAAGSRSSRVVCALSKAQLQDGYVAYPWEKKMREALPIPNSSSFLSMLVLPTALDRAASRYNSVEDTLARANAWILSSQSSGVPISFLNVQTEALLTKISGETASATVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYTAAAGEMPVEITLEAGDTKLGFAISRTEEGFIYISSVMEDDSGFLAPSTRSGLRDLYREAKRASKLLVISRVSGQKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALRPILLHLLMWEGKSADAPARPHEPRLPPQPAPYPEFAAGLVRQDSFGGGELPRQDSFAIGELRRQGSYGVELVRQDSFGVELVRQDSFACTEPPPVRRGDLQGRDTAGDTSFRFHNFSLPNNWV >ONIVA02G33060.1 pep chromosome:AWHD00000000:2:29163592:29167196:1 gene:ONIVA02G33060 transcript:ONIVA02G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48020) TAIR;Acc:AT5G48020] MDLSLVDLAPYLDRAVAGGGAAGEEAVRALCATVSASLRDTGALLVKDPRCPAADNDRFLDVVERYFARSADSKRLQERPNLHYQVGVTPEGVEVPRSLVDKEMQDKIKSMPEEFQPATPKGPDPKWRYMWRVGPRPANTRFKELNSEPVIPDGLPEWKETMDSWGSKMISAIEVVAEMAAVGFGLPKDAFTSLMKEGPHLLAPTGSDLERHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTKRTLEAIALAREQNRSLWRVSSTLFAHIASDATLKPLGHFAEASDAHSYPPICAGDYVEQELSVINLKGKNGF >ONIVA02G33050.1 pep chromosome:AWHD00000000:2:29144393:29155612:-1 gene:ONIVA02G33050 transcript:ONIVA02G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G52140) TAIR;Acc:AT3G52140] MAGKSKGARNKAKAQGGSQAAVAAEPEVPVTDGVEDAKPENEEVSEPAVVEGSDTGAEKEQGDAAGVTQAAKKPAEGEVHLYPVSVKTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLLLHTKDGSTHELEDYNEIAEIADITAGGCSLEIVAAIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQEKSADTAGDSGKTANQELDGLNFMEDSTGAVINLLPSVPAEIKCVGSIVFSSFNPPPSYRRLHGDLIYIDVMTLEGNKYCITGNSKSFYVNSSNGSILDPRPSKQALEDSTLVGLLQKISAKFKQGFREILDRKASAHPFENVQALLPVTSWLGAYPVPEHRRDAARAEDSVVLSYGTELIGMQRDWNEELQSCREFPHSNPQERILRGRALYKVTCDFVDAAVKGAVGVINRYVHNNIFFSFAVDSDYEQLPKDQKPNGQNGSGRSVIGSSDPGSKPNKNHADPSGTTNSKTEEPNGVLDNTSDASAEAQIADSEQATYASANNDLKGTKAYQESDIPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNESFHSKVVEAAKRLHVKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGLQHRFCVLRPELVASFIEAESTNKSTTQKVADAPGESNGQLATTAEGPAKSDENSVPRPDKFDEDSGPRPGTSDDSSATKPAEHNESTAEILFNPNVFTEYKLAGSPEEIAADEALVKKVGSYLLDTVIPKFVQDLCSLDVSPMDGQTLTDVLHSNGINVRYLGKVADMIKHLPHLRGLLSSEIIVRSAKHVVKEILRQSADHDIGPAIAHFLNCFIGNVLAPSTKGSVDSTDTKTQKGHEKTQNQKSAKGQKSSLSASSKNIVPTFSHLTSDGIWSNIKEFAKHKYLFEVADDAKTGAKRVAVLRNLCQKVGITIASRKYDLDAAAPFQPSDILNLQPVVKHSVPVCTDARNLMEAGKIRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPNHPDVAATLINVAMMYQDAGNMSTALRYLQEALTKNERLLGPDHIQTAICYHALAIAFSCMGAFKLSIQHEKKTYDILVKQLGSDDSRTKDAESWLNTFKSREQQVNAQKQKGQQGTNPPANPIELLKANPDLVRALKAAAKQPGEGSANVNRSLNAAVVGEGVPRVRGVDERAARATAEVRKKAAARGLNVRSGQAPDYMSNLSQILNYLGSAKAPTASGSTPATAAATSATASTQNASEGQQSNGPPQNGTAGNTNGPSSKKSGGSTPVGLGTSLELKKQKSKQKS >ONIVA02G33040.1 pep chromosome:AWHD00000000:2:29136656:29139547:1 gene:ONIVA02G33040 transcript:ONIVA02G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAPGRAPRRAPGAHPDAQDVRQLRGHVRRRRGPLHRRRAARAEPAQEERLRQRGRRRLRRRRHHIRLQRKEHPFCAHSWFLPGFYICCAGRWRQHYQSGQWQRVLSLHSRE >ONIVA02G33040.2 pep chromosome:AWHD00000000:2:29136698:29139547:1 gene:ONIVA02G33040 transcript:ONIVA02G33040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEDTPVTKTVKAAATGLAAGTIWGTVVATWHDVPRVERHVALPGLIRTLKMCGSYGATFAAVGGLYIGVEQLVLSQRKKNDYVNGAVGAFVAGATIFGYRGRSIPSALIAGSCLAFTSAALDVGGNTTRVDNGKEYYPYTVENKPAH >ONIVA02G33030.1 pep chromosome:AWHD00000000:2:29133891:29134319:1 gene:ONIVA02G33030 transcript:ONIVA02G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGKKLTIVRSHEDKGSGAEEAMPARKRRGRPQKRFADKIDQVDVENFVDNVIDGEEVEGDDVKLKASRLDKNTTASAAGNKRGRQLKESSNLVLEESNSSVRSSSDESTRTNGFRQIGSRRKNKPRRAAEAGLECK >ONIVA02G33020.1 pep chromosome:AWHD00000000:2:29126211:29129041:1 gene:ONIVA02G33020 transcript:ONIVA02G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19630) TAIR;Acc:AT5G19630] MEWTTVEAANGAKLSVRLFKPAAGAEAAAAAEDVAVVLVHPYTILGGVQGLLRGIAEGVARRGYRAVTFDMRGAGRSTGRASLTGSTEVGDVEAVCRWVADNLNPRGVLLVGSSAGAPIAGSAVDKVDQVIGYVSIGYPFGLMASVLFGRHHNAILKSEKPKLFVMGTKDGFTSVKQLQNKLKNAAGRVDTHLIEGAGHFQMEGPAFDARMVDLIVNFIKSLPK >ONIVA02G33010.1 pep chromosome:AWHD00000000:2:29116906:29122184:-1 gene:ONIVA02G33010 transcript:ONIVA02G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLGSSCVVVVVEVVASFVTCLSSSPSPEKCELPPLRVRTGGFISEVSTRATITTNRKSKAMAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >ONIVA02G33010.2 pep chromosome:AWHD00000000:2:29116904:29122172:-1 gene:ONIVA02G33010 transcript:ONIVA02G33010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >ONIVA02G33010.3 pep chromosome:AWHD00000000:2:29116906:29122184:-1 gene:ONIVA02G33010 transcript:ONIVA02G33010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLGSSCVVVVVEVVASFVTCLSSSPSPEKCELPPLRVRTGGFISEARMGCVNTRLTYGPPEKDEGPFVGSKAMAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >ONIVA02G33010.4 pep chromosome:AWHD00000000:2:29116906:29119944:-1 gene:ONIVA02G33010 transcript:ONIVA02G33010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >ONIVA02G33010.5 pep chromosome:AWHD00000000:2:29120411:29122184:-1 gene:ONIVA02G33010 transcript:ONIVA02G33010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLGSSCVVVVVEVVASFVTCLSSSPSPEKCELPPLRVRTGGFISEGSRNIKRKERN >ONIVA02G33000.1 pep chromosome:AWHD00000000:2:29106179:29107345:-1 gene:ONIVA02G33000 transcript:ONIVA02G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTEKEREEQQKLLGRAGNGAAVQRSPTDKPPFTLGQIKKAIPPHCFQRSVIKSFSYVVHDLVIVAALLYFALVMIPVLPSGMEFAAWPLYWIAQGCVLTGVWVIAHECGHHAFSDYSVLDDIVGLVLHSSLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSAMAWYTPYVYHNPIGRLVHIFVQLTLGWPLYLAFNVSGRPYPRFACHFDPYGPIYNDRERVQIFISDVGVVSAGLALFKLSSAFGFWWVVRVYGVPLLIVNAWLVLITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGEYYQFDPTPVAKATWREAKECIYVEPEDNKGVFWYNNKF >ONIVA02G32990.1 pep chromosome:AWHD00000000:2:29096314:29099989:1 gene:ONIVA02G32990 transcript:ONIVA02G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGTRFTLVKSSHDTSPVMWKHKGKYDQNEPSQKLQTTATDHNIIHSPTPRAVNNERRGEQGTRCWPVLLTRRRCDEALRRQSALGQGRGSRRQRRRETGYGRPHPRPDAGTSRRGGGGEEGRGSAWSGRRRRLILRPDLAPPKLGTAGSVAPAAAAAAAADVGSAAVVAAEDLGDALVEGRRRTSWAITEKKKSSGGWAWRRRRRERGRKEKAAAWWSRTRRRWRIRWSARRSVEEEAAVNPMVVEVGQPMRRPTRAGSQSSTVREGSLRATLAEAAPSSSSSAARVLSRQEKLPPNNAFPGASGGLRVPLLLSLLFTAPIAELLVVASTPAAVGRRQQGQRRAPAPTSVLHAQRANPHS >ONIVA02G32980.1 pep chromosome:AWHD00000000:2:29089812:29090429:-1 gene:ONIVA02G32980 transcript:ONIVA02G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVDSVWSSNDPPPFWALAPPPPPPERRFCCPYCPEGPQQGLFRSHLRRHYRAAVRGMVRGGLAPRARLAVLRAAAFRDSREARRRIRRDRRRRRRRGMMVAALSPNHAFWAAHRLRGTHPAEIDFLGLGLGVQQGAGMLDLPGAPPAAAAAAGISSGDVAASANAPVPAPAVVLAAADDDGEESVGDQAEEDVDGGSSAGSN >ONIVA02G32970.1 pep chromosome:AWHD00000000:2:29080853:29081128:-1 gene:ONIVA02G32970 transcript:ONIVA02G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGVVDPTTMVLGAHIDGNGTESYGSRWWWALGATDLGHVFQKQWIKDGRPRSTPLSLSPNLAFWAAHRLHGTHPMEIDFLDLGLQGMG >ONIVA02G32960.1 pep chromosome:AWHD00000000:2:29071440:29071919:-1 gene:ONIVA02G32960 transcript:ONIVA02G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGNGAPSFRPPRAPVPAERLYRCPVCPGPMILYSCQDLRNHLCIIHPNEAVELVTPFMRYMEASRRGRVGLPPRPPTSAPVVPQARMPTPTVQNSFVPLPPNLAFWEEYRKGGSRPVEIDFFVPVIVVSALELVAPAMVSGSNLSDSESSELDILV >ONIVA02G32950.1 pep chromosome:AWHD00000000:2:29067780:29068061:-1 gene:ONIVA02G32950 transcript:ONIVA02G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHRRGQIHLTPPSSRTDPPLLVTVEAGSAAPSSCGESGDGVGRPKLKDGQPKRPPVVAVLLHGASRRRTLAWGRLPDMLVVQTGGCNFHRW >ONIVA02G32940.1 pep chromosome:AWHD00000000:2:29059779:29060084:1 gene:ONIVA02G32940 transcript:ONIVA02G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGQREGNALKTAVVVTGGLVLAWFTMESAFKPFLDRLRGALTRNTDPARDPDEENSAAPADRAVEEPAAAAAPVEEGEGKGVELEEKGEGAAMTE >ONIVA02G32930.1 pep chromosome:AWHD00000000:2:29057979:29058527:1 gene:ONIVA02G32930 transcript:ONIVA02G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILENIQKARFLPTRPLKDELPTFQGGGGGGGGGGKESHLMGLRKRLSSFSDKIQPISSASAEWAFRRSKSAPSLGAFAGGPLKRWWDWGVGWLMSKKPGFATDLEMNEEEVAALGRGSRGSWGHILYKMRSGVRRLVTSHSLPTTHRAAAAASASAQCKPAATFNYTQSFHSGQTAMAY >ONIVA02G32920.1 pep chromosome:AWHD00000000:2:29052470:29057262:1 gene:ONIVA02G32920 transcript:ONIVA02G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33630) TAIR;Acc:AT2G33630] MHLSENEGIEGVRFAVTGGQGFVGSALCLELLRRGAREVRSLDLRASSPWSDQLLGAGVRFFQGDVRKKEDVGKALRGVDCVFHLASYGMSGKEMVQAGRADEVNINGTCNVLDACHEHGVRRLVYVSTYNVVFGGEPIVNGSEVLPYFPIEDHVDAYARSKSIAEQLVLKSNGRQTKSDKSSRLYTCSIRPAAIYGPGEERHLPRILSLAKLGLAFFKIGDPNVKSDWVYVDNLVLALILASMGLLDDIPDRKGIPVAAGQAYFICDGSPVNTFEFLSPLFQSLDYTVPRVRMDTSVALAISRFFVFMYTLLYPWLDSKWIPQPLLLPAEVYKVGVTHYFSYLKAREEIGYVPMVSPREGLAATISYWQERKRKELDGPTIFPWLFVTIGMLALFSAAYLPPVGPLKWVLDLHLFVFRSKLVIRLVFVIATALHVGEAVYAWFLAKKYDPRNATGWFWQTFMLGFFSLRYLPTSELEVPRTTSILQPDGRVTTASVGGERKQPNEKKKNR >ONIVA02G32920.2 pep chromosome:AWHD00000000:2:29052470:29056228:1 gene:ONIVA02G32920 transcript:ONIVA02G32920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33630) TAIR;Acc:AT2G33630] MHLSENEGIEGVRFAVTGGQGFVGSALCLELLRRGAREVRSLDLRASSPWSDQLLGAGVRFFQGDVRKKEDVGKALRGVDCVFHLASYGMSGKEMVQAGRADEVNINGTCNVLDACHEHGVRRLVYVSTYNVVFGGEPIVNGSEVLPYFPIEDHVDAYARSKSIAEQLVLKSNGRQTKSDKSSRLYTCSIRPAAIYGPGEERHLPRILSLAKLGLAFFKIGDPNVKSDWVYVDNLVLALILASMGLLDDIPDRKGIPVAAGQAYFICDGSPVNTFEFLSPLFQSLDYTVPRVRMDTSVALAISRFFVFMYTLLYPWLDSKWIPQPLLLPAEVYKVGVTHYFSYLKAREEIGYVPMVSPREGLAATISYWQERKRKELDGPTIFPWLFVTIGMLALFSAAYLPPVGPLKWVLDLHLFVFRSKLVIRLVFVIATALHVGEAVYAWFLAKKYDPRNATAIYLPLNWKSQELQAYYSLMAE >ONIVA02G32910.1 pep chromosome:AWHD00000000:2:29049552:29051429:1 gene:ONIVA02G32910 transcript:ONIVA02G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNSLLAMDSKLSMLFLLLGFVACSPTASHHDPSVVGYSQEDLALPNKLVGLFTSWSVKHSKIYASPKEKVKRYEIFKRNLRHIVETNRRNGSYWLGLNHFADIAHEEFKASYLGLKPGLARRDSQPHGSTTFRYANAVNLPWAVDWRKKGAVTPVKNQGECGSCWAFSTVAAVEGINQIVTGKLVSLSEQELMDCDNTFNHGCRGGLMDFAFAYIMGNQGIYTEEDYPYLMEEGYCREKQPHSKVITITGYEDVPENSETSLLKALAHQPVSVGIAAGSRDFQFYKGGIFDGECGIQPDHALTAVGYGSYYGQDYIIMKNSWGKNWGEQGYFRIRRGTGKPEGVCDIYKIASYPTKNVTGWGS >ONIVA02G32900.1 pep chromosome:AWHD00000000:2:29046454:29046721:1 gene:ONIVA02G32900 transcript:ONIVA02G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRTTRRRPEEQEGLQEVEDRERIADFSSLIGTAAKMETPRSKEQIEEWRLESSGVVDD >ONIVA02G32890.1 pep chromosome:AWHD00000000:2:29045927:29046235:-1 gene:ONIVA02G32890 transcript:ONIVA02G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLRVKEGQQHGGGERKGGQGGRVVVKKTSLCLEGGHEWVVRCGTRVAEDPVGGEACIGRRDGWIKGRALLREKIKLRWSFAVNFRRARRHMADFQGGEA >ONIVA02G32880.1 pep chromosome:AWHD00000000:2:29038493:29041073:1 gene:ONIVA02G32880 transcript:ONIVA02G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPTGDSPPSRTPEQRVYDEHRVSVVQMTCVLVTKEGRKLGVGTGFVVHNDGASCLVLTCAHVIDPKRRGTQPKELSVRFGADHIASARLVHVSEHVDLALIRVQGAPNCIPLGFSDEADLSGKEGGIDKQGAAADAGELLSGQVKAVRKPWRSRCRRRRGTSFGLDEKEELVACHRDARLGGELDGGHRDDGGDGEGEGVDDGGAAVADVVLEDKELATGVVDAARVAVGHGGDRGPGGGGRVGGVEEESLAAGRHDAMRRPVPGQVVRLGEEGRERREGADGAGEEVEERRGEVGGVDGERPPVRGDVEDAVAARSGGGVDGGGGEGGRR >ONIVA02G32870.1 pep chromosome:AWHD00000000:2:29028921:29032706:1 gene:ONIVA02G32870 transcript:ONIVA02G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLLYTFSKWQTLPVGSGLAPILAQLLRPNKQKEKRALRLGLASLCLSSSPLSTGSRCRRRRPLVPSRVGRRTGAHTTGPRRGAASAQPQTTAERRRPSAAPPPVASSGSSGTPSDWLLLALSPDPHPLPVAVDRRSRLLYPPRRGSRLPSPAPTGTSTRWPGYLLHRFTLYLGIVSPICKMLPKDTFDNLQAKVQMCFVRIIAMSAGKPTLFAPGIVIAKKKLSCYVITDKGTFCYGSEGLYAAVFPGLNMESVAINFTDVSIADSFASFMLSKPKCTNPLAAVKICESGPLINEDVYTLGYQNPKVPFISWIGPAIKDILQPFMDQKNVLLEKRKPPVDTSAGASKKSKTTR >ONIVA02G32860.1 pep chromosome:AWHD00000000:2:29018555:29021481:1 gene:ONIVA02G32860 transcript:ONIVA02G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G42910) TAIR;Acc:AT2G42910] MEVVVARQPKAKKQINLFYCSECEELALKVAASSDAIHLQSINWRSFDDGFPNLFINNAHDIRGQHVAFLASFSSPSVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDADNITIAFPDDGAWKRFHKLLLNFPMVVCAKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLAAHGAAKVSAYVTHAVFPKQSYERFTHTNSAGSADKFAYFWITDSCPQTVKAINQQPPFEVLSLAGSIADALQI >ONIVA02G32850.1 pep chromosome:AWHD00000000:2:29011595:29017648:1 gene:ONIVA02G32850 transcript:ONIVA02G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 25 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function /.../83, C-terminal (InterPro:IPR012880), Foie gras liver health family 1 (InterPro:IPR021773); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G65950) TAIR;Acc:AT5G65950] MEDYPEELRTPPLSLVSIVGCPELHPAISAALSSRQPPMNLLALPDFSKASILARTAKARDPLAPPQAPAGILKKDWLLKHRTRVPAAVAAMFRADQVSGDPAQWLQACSDLENLKSVIQGRNTKLVVILVQSQAGDELSEDVTVALRKRAEIDSKHLVVLVERDEMEWTKSLNKLTTVFAELCTTYYKDEGRRVKARIEKRNFSSVELSIRYCFKVAVYAEFRRDWPEALKFYEEGIRVLREMIGTSTRLPPTQRLVEVKAVAEQFHFKISTILLHGGKVVGAITWFRKHIRSYERVVGSPEVAFLHWEWFSRQFLVFGELIETTSTTVPDTLSPRFGTADNALTEWEFQPAYYYQLAANYLREKRYALEFSSSSVSLTKGVNGLPESVMPSVYVGQYVRLFEQGDTVAVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFRSLGATRMASACSGGMAIEYYAAGDFSNAKQLFDGVAGLYRQEGWATLLWENLGYLRECARKLKSLKDFISYSLEMAALPLFSGSGQGNSENKRKNGPAGSPTISSRELIQQEVINILEGKHASENTDDEFDLHLMEESTHLDIDQISPLRIVFLASVAFHDQSVKPDSPMLVSVSLQSHLPCPVMIDKLEVQFNQSDCNFVIVSAQEDCSASNSHVHDGAVQTPLTLFTDKWMRLTHEVKPGQSGKLECLAVKATISKRLVVCCQAESPVSMGEFSLWKFEDQVEALPMKDNVLAFSGQKLIQVEEPDAQVDLVLDCPGPALVGELFTVPVTILSKGHAVHSGELKINLVDAKGGGLLMSPREAEESESHHVELLGVSDVTTENGSKEEVDSIRKIQHSFGVVSVPTLYAGDSWSCKLEIKWHQAMSVMFYVSLGYSLDSTEEAALHRLNVHRSLQIEGKIPMIVSQQFLRPFRREPLLLSRIRSSSDDDKKSSLAFNESNMLILSARNCTEVPLRLHTMTIVSNDDGKQLCSVQQISGISNEYAVIAPSEEYKGIFSVNPHTISPSFFLGEICLSWSRDSSIGESQDSRVIMKERLPEVHIEEPPLVVTMECPPYAILGIPFTFHVKIYNSTSLLQEIKYSLVDSQNFVFSGAHNHAASILPKTEHIVSHKLVPLGSGSQQLPRITITSVRYSAALTPSASAATVFVYPSEPKFNLEKGYSSTDPCVS >ONIVA02G32840.1 pep chromosome:AWHD00000000:2:29004830:29009241:-1 gene:ONIVA02G32840 transcript:ONIVA02G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGATAGSGSGSDHDDARAAEEARGEEAAGRAVEVEEEEEEEEEEAVPVAEERAAWGGGGTPPTPEPKSDADAEGAGSLDRVELNAGAGGGREREDDEGEDEAVGARGVEMNGEDAMSHDGHDGDEDGDDEEDGDDGEEEEEEDDDEEDDDDDSTPDASPRAEAKAEGESSTVMAGCANQPAEPDPFLDGDDSGTEEEQAAFMVELERFHREHGLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKYKVRTGQLQVPLSALPQPGGTGREIGMNQSSSARVRRDSAARAMQGWHAHRLLTNGIYGDQMLKEKDSVPSSSRGVLKRKKASSPEYAIKVSRTKINKSQDDSMVTDVGEPAEWVKINVRQTKDCFEIYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPLRIDPHQTSAVVTLHGQLFVRAPFGHADM >ONIVA02G32830.1 pep chromosome:AWHD00000000:2:28997785:29003142:-1 gene:ONIVA02G32830 transcript:ONIVA02G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0GC47] MDSDYGVPRELSEVQKKRALYQPELPPCLQGTTVRVEYGDAAIAADPAGAHVISHAFPHTYGQPLAHFLRKTANVPDATVISEHPVVRVGVVFCGRQSPGGHNVIWGLHEAIKAHNPNSKLTGFLGGSDGLLAQKTLEITDEVLSSYKNQGGYDMLGRTKDQIRTTEQVNGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRMMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHGKGVSMENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVEDEMNRRMVLGHVCYHILAAGLNGYMATVTNLKSPANKWRCGAAPISSMMTVKRWSRGPAATQIGKPAVHMATVDLKGKAFELLRNNSTSFLIDDIYRNPGPLQFEGAGADSKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDVLKAALSAMSSVTETLAIMTSSSTGQGTPL >ONIVA02G32820.1 pep chromosome:AWHD00000000:2:28992572:28995041:-1 gene:ONIVA02G32820 transcript:ONIVA02G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRTTVALALWLGAIHFNAFLLLASLFLFPRRVAAMVLATQLFFMFAPVNDMSRLGRKIARFISKCVIGYFPVTLHVEDYKAFDPNRAYVFGYEPHSVLPIALGVLLELVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLVPASRKNFYSYLKAGYSCVIVPGGVQEMLHMDHDSEVAFLKSRKGFVKIAMETGSPLVPVFAFGQSYVYKWWRPGGKLIVKIARAIKFTPIMFWGKFGTPIPFATPMHVVVGRPIEVKKNAQPTFDEINEVHEQFVVALQELFEKYKTKAGYPNLHLRVL >ONIVA02G32820.2 pep chromosome:AWHD00000000:2:28992574:28994780:-1 gene:ONIVA02G32820 transcript:ONIVA02G32820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGNGEVRYGGGGAGAGDAAVMADDGTTVFRGTAQPPVRTTVALALWLGAIHFNAFLLLASLFLFPRRVAAMVLATQLFFMFAPVNDMSRLGRKIARFISKCVIGYFPVTLHVEDYKAFDPNRAYVFGYEPHSVLPIALGVLLELVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLVPASRKNFYSYLKAGYSCVIVPGGVQEMLHMDHDSEVAFLKSRKGFVKIAMETGSPLVPVFAFGQINEVHEQFVVALQELFEKYKTKAGYPNLHLRVL >ONIVA02G32810.1 pep chromosome:AWHD00000000:2:28987693:28991888:1 gene:ONIVA02G32810 transcript:ONIVA02G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQQGSGSGSASASSSSSGLHLLASPFGDTTYTKVFVGGLAWETTSERLRRFYDRFGEILEAVVITDRHSGRSKGYGFVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQPAVPLGRPRSAGSYFGVPVPRGVYVGGYGQHRTLPLGYYQGFPVPQYSYTTYGTEYIYPQGTLNPYVGQQYVPIYGVSSAANTASQPFSQFSPSISGGGNGYVAIHGYNVPGNPFVQLTGSNFSSASPTPRPTIQAPFLQHRFLLTRTWSSQLTHLSLHRLVVPLDCSRTKEQCCCICSTGSYLWQVIPLAFIRLPLSWSSDVPGIMMLPRWMGHDPRATVALVSFAWLHPMSQWDCDHCCSLKL >ONIVA02G32810.2 pep chromosome:AWHD00000000:2:28987693:28993916:1 gene:ONIVA02G32810 transcript:ONIVA02G32810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQQGSGSGSASASSSSSGLHLLASPFGDTTYTKVFVGGLAWETTSERLRRFYDRFGEILEAVVITDRHSGRSKGYGFVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQPAVPLGRPRSAGSYFGVPVPRGVYVGGYGQHRTLPLGYYQGFPVPQYSYTTYGTEYIYPQGTLNPYVGQQYVPIYGVSSAANTASQPFSQFSPSISGGGNGYVAIHGYNVPGNPFVQLTGSNFSSASPTPRPTIQAPFLQHRFLLTRTWSSQLTHLSLHRLVVPLDCSRTKEQCCCICSTGSYLWQVIPLAFIRLPLSWSSDVPGIMMLPRWMGHDPRATVALVSFAWLHPMSQWDCDHCCSLKL >ONIVA02G32800.1 pep chromosome:AWHD00000000:2:28980424:28984968:-1 gene:ONIVA02G32800 transcript:ONIVA02G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GC42] MDVRRGGGGGRIVGAARRALTWGALPLPMRITNGLAMVSLVLSSCDLLRLCSDRERPLGGREFATVVCQLASVVYLLSLFAHPDAPATTTGDDDDGQGGSRRARPAAAEPAPMHGHGGGMMEADDEEIVAAVASGALPSHRLESRLGDCRRAARLRREALRRVTGRGVEGLPFDGMDYQAILGQCCEMPVGYVQLPVGVAGPLLLDGREYHVPMATTEGCLVASVNRGCRAISASGGAFSVLLRDAMSRAPAVKLPSAMRAAELKAFAEAPANFELLAAVFNRSSRFGRLQDIRCALAGRNLYMRFSCITGDAMGMNMVSKGVENVLGYLQNVFPDMDVISVSGNYCSDKKPTAVNWIEGRGKSVVCEAIIKGDVVQKVLKTTVEKLVELNIIKNLAGSAVAGALGGFNAHASNIVTALFIATGQDPAQNVESSQCITMLEEVDDGDDLHISVTMPSIEVGTIGGGTCLASQAACLNLLGVKGSNHGSPGANAKRLATIVAGSVLAGELSLLAALASGHLVKSHMMYNRSSKDVAKAAS >ONIVA02G32790.1 pep chromosome:AWHD00000000:2:28966921:28968701:-1 gene:ONIVA02G32790 transcript:ONIVA02G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPGGGGAGAGSSRYFHHLLRPQQPSPLSPLSPTSHVKMEHSKMSPDKSPVGEGDHAGGSGSGGVGGDHQPSSSAMVPVEGGSGSAGGSGSGGPTRRPRGRPPGSKNKPKPPIIVTRDSPNALHSHVLEVAGGADVVDCVAEYARRRGRGVCVLSGGGAVVNVALRQPGASPPGSMVATLRGRFEILSLTGTVLPPPAPPGASGLTVFLSGGQGQVIGGSVVGPLVAAGPVVLMAASFANAVYERLPLEGEEEEVAAPAAGGEAQDQVAQSAGPPGQQPAASQSSGVTGGDGTGGAGGMSLYNLAGNVGGYQLPGDNFGGWSGAGAGGVRPPF >ONIVA02G32780.1 pep chromosome:AWHD00000000:2:28949812:28952243:-1 gene:ONIVA02G32780 transcript:ONIVA02G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGGYLSVLAPIETTPTIKQDHITSNADLQDRISQLPDDILLAILDGLNVRDAARTSLLSKRWRPLPTMISHLTIDVSDFDPKSMSSFSDDELGRINATVVKATKSILACRKSNEHTISLLSMRFYLRDDDCISFGHTVGHVMATQKVEMIEFTILTEKDDNQCIDDDFIVYGRRFALFFSYCPNTFGGLTGLQLENLRFGEPEIIDVLNTCKRLHYLRLYNCSSGISTFLEVEHLQLSELSIINCRFGIIKLSSLPKLTRMIFGGWIAFQDPLSFGHVPLLESVTLTNVGLSWHNAVKLSKFLSNISIRDLTLDFNSEKVWDHLCETETDDERRKAYSYSENKNADWNASASDFKNHSLSTLVMFGFQSNDENLIRYSLCPSMLVVLGWVSYISRRLVMDAAVNLEDIFLYKRMACEKCKGKNPRPLRYPSTKKQRQALRNRIALGTHSLATIHFPTVLRANHYAKTVVLRAQSRL >ONIVA02G32770.1 pep chromosome:AWHD00000000:2:28944783:28948331:1 gene:ONIVA02G32770 transcript:ONIVA02G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GC39] MEGSAGAPLRTRVCIIGSGPSAHTAAIYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPEGILGGELMDRCRAQSLRFGTSIISETVTAVDFSARPFRVASDSTTVLADAVVVATGAVARRLHFAGSDAYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEESNFLTKYGSHVYIIHRRNTFRASKIMQARALSNPKIQVFWDSEVVEAYGGEGGGPLAGVKVKNLVTGKISDLQVSGLFFAIGHEPATKFLGGQLELDADGYVATKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKAD >ONIVA02G32760.1 pep chromosome:AWHD00000000:2:28942053:28942505:1 gene:ONIVA02G32760 transcript:ONIVA02G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCASSLESYVRIGRRWRRRRPPARGFRLCPRNRFSVRRLRAKLLTFLGLVGRYVRQLLAVRRPRSSSSSSSSAGGGCSRSSSTRFLVGGGKHHVDGGRRTVPPSFMRSNSFYAQAIADCLEFIKRNSVPVEDYASSSGRRSSVDLTTI >ONIVA02G32750.1 pep chromosome:AWHD00000000:2:28936860:28939863:-1 gene:ONIVA02G32750 transcript:ONIVA02G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCQLFLVERQYLTFNSRRREAICIRELQNLLNFWAMDLPARAVKGTSRAGLRTLDESYLER >ONIVA02G32750.2 pep chromosome:AWHD00000000:2:28936860:28939863:-1 gene:ONIVA02G32750 transcript:ONIVA02G32750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCQLFLVERQYLTFNSRRREAICIRELQNLLNFWAMDLPARAVKGTSRAGLRTLDESYLER >ONIVA02G32740.1 pep chromosome:AWHD00000000:2:28927468:28935046:-1 gene:ONIVA02G32740 transcript:ONIVA02G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALALLLWCVSASVFLPSARAQTTTFTSAIDGKKATTFSFLTFDKSLMQLGANLTFSSNATVSQSALQVTPDSSNNPLDYLVNQAGRVFFPTPFVLWSSNSSNSTADGKYVASFSTVFKANLYRSNKTVKGEGLAFVIASTNAINPPPGSYGEYLGLTNASTDGNPTNGFAAVELDSVKQPYDIDDNHVGLDVNGVRSNASASLTPFGIQLAPSNTTADDGSYFVWVDYNGTSRHVWVYMAKNDTRKPSIPVLNASLDLSTVLRGNKGYFGFSASTGETYELNCVLMWNMTVEMLPDEGATGKKASLPGWKLGVVVGVSSCAVAVVLGLFAALYIRKRRKRIGDDPSSVFNTTIDFRSIPGVPREFDYRELRRGTNNFDEKMKLGQGGYGVVYRATVVGENGQNMEVAVKQFSGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHQNGVLLLVYDYMPNGSLDTHLFGGPESEVLNWQQRYNVVAGVASALNYLHHEYDQMVIHRDIKPSNVMLDSAFNARLGDFGLARALESDKTSYTDIIGVPGTLGYIAPECFHTGRATRESDVFGFGAVILEIVCGRRISCSNPAGCSQLLEAVWKLHGAAGGGGRILEAVDQRLAGEFDEAEAERLLLLGLACSHPNPGERPRTQAILQILTGAAPPPHVPPSKPAFMWPAMPVALDGDDDDETSRSSTVMNSSSSYYVSSSGWTQNYQVSKEHEGADRDVATATTFTSNVDGKEFTTFSFPKFDKPLLQLPDNLTFSGNATIAQDGLQLTPDSGNRPEIFLVNQAGHAFFTAPFVVWESKSSSSSSNSAAAAADGKYVASFSTVFKVNLFRSNLNKTVKGEGLAFVVASSNARGPPVGSHGGFLGLTNASTDGNATNGFVAVELDTVKQRYDIDDNHVGLDVNGVRSTAAAPLAPLGIQLAPRNTTVDDGICFVWVDYNGTSRRMSVYIAKNESKPSAAVLNASLDLSTILLGKTAYFGFSASTGAATYQLNCVRMWNMTVEKLHDGTTTTATKLAGTSGWKLAVGVLCGVAVVLGVVAALYIRKRRRRSGGDPSSAFNAAIDFRKIPGLPKEFDYMELRRGTNNFDEKMKLGQGGYGVVYRATVVGEDGRSTDVAVKQFSGANTKGKEDFLAELRIINCLRHRNLVKIVGWCRQNGRLLLVYDYMPNGSLDRHIFGEPGAAALDWKQRYNVVAGVASALNYLHHEYDQMVIHRDIKPSNIMLDSAFNARLGDFGLARALESDKTSYTDMAGVTGTLGYIAPECFHTGRATRESDVFGFGAVVLEIVCGRRVSCSDLPGWLSLLEWVWKLHGAAGGGRILEAVDQRLAGEFDEVEAERLLLLGLACSQPNPGERPRTQAILQILTGAAPPPHVPPSKPAFMWPAMPVALDGDDDDSETPTSRSSMLLTSSSTGWTKIFQVSKEHDVAEKDVAAV >ONIVA02G32740.2 pep chromosome:AWHD00000000:2:28927468:28935046:-1 gene:ONIVA02G32740 transcript:ONIVA02G32740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALALLLWCVSASVFLPSARAQTTTFTSAIDGKKATTFSFLTFDKSLMQLGANLTFSSNATVSQSALQVTPDSSNNPLDYLVNQAGRVFFPTPFVLWSSNSSNSTADGKYVASFSTVFKANLYRSNKTVKGEGLAFVIASTNAINPPPGSYGEYLGLTNASTDGNPTNGFAAVELDSVKQPYDIDDNHVGLDVNGVRSNASASLTPFGIQLAPSNTTADDGSYFVWVDYNGTSRHVWVYMAKNDTRKPSIPVLNASLDLSTVLRGNKGYFGFSASTGETYELNCVLMWNMTVEMLPDEGATGKKASLPGWKLGVVVGVSSCAVAVVLGLFAALYIRKRRKRIGDDPSSVFNTTIDFRSIPGVPREFDYRELRRGTNNFDEKMKLGQGGYGVVYRATVVGENGQNMEVAVKQFSGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHQNGVLLLVLDRHIFGEPGAAALDWKQRYNVVAGVASALNYLHHEYDQMVIHRDIKPSNIMLDSAFNARLGDFGLARALESDKTSYTDMAGVTGTLGYIAPECFHTGRATRESDVFGFGAVVLEIVCGRRVSCSDLPGWLSLLEWVWKLHGAAGGGRILEAVDQRLAGEFDEVEAERLLLLGLACSQPNPGERPRTQAILQILTGAAPPPHVPPSKPAFMWPAMPVALDGDDDDSETPTSRSSMLLTSSSTGWTKIFQVSKEHDVAEKDVAAV >ONIVA02G32730.1 pep chromosome:AWHD00000000:2:28915787:28919136:-1 gene:ONIVA02G32730 transcript:ONIVA02G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGARKDAGGGGGGVFVPCVDIKSFVLSLAFLTVFVALWQLQPYGSLLTAARSTASVSASPCSLIATPAAAAASAGVIRSENSTADTAKTAPAAVASAVPARLARAARPARVEDPNKRELRPYGSAAALFVQMGAYRGGPRTFAIVGLASKPTHVFSNPYFKCEWLPNPTAGNPSPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNADNAGGKLLVHAYYSTTSRRYERFVALEEAPGSYDESRFSPPFPYDYLYCGSSLYGNLSASRMREWVAYHAHFFGPRSHFVFHDAGGISPEVKAVLDPWVRAGRLTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPNGQTLDQVLGKLSGYSQFTIEQNPMSSKLCVQDPSKDYSREWGFEKLVFRNSITKVRRDRKYAIQARNAYSAGVHMSQNVYGRTTHKTESLIRYYHYHNSINVMGEPCREFVPVPVNGSKLMFEGIPYVYDDNMKRLAGQIKRFEKEAIGSAHT >ONIVA02G32720.1 pep chromosome:AWHD00000000:2:28910114:28912681:-1 gene:ONIVA02G32720 transcript:ONIVA02G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEYHRSSSEDSAASAAAAAAAAAAAMAPLAAAAAAVAAKEEQAARSGKPRPDLATSPPLRRRRPCGVLPSRPVGAAQLPRGDLLAGFAVKEVVKPIDGGFPHAWAATRRAATAAAAPRAREEGDNGCGGSPCA >ONIVA02G32710.1 pep chromosome:AWHD00000000:2:28894511:28906235:-1 gene:ONIVA02G32710 transcript:ONIVA02G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 7 [Source:Projected from Arabidopsis thaliana (AT3G03380) TAIR;Acc:AT3G03380] MESPAKEEAGGELAMEIESTVTAEDWRRALALVVPSVVVLRTTAPRAFDTEVAGASYATGFVVDKSRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPLYRDPVHDFGFFRYDPGAIKFLKYDEILLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERVVRALNLIRDSWEAFGSKPESDYIPRGTLQVTFQHKGFEETRRLGLRNETEQMVRLVSPSGETGMLVVDSVVPEGPAHKHLEPGDVLVRMNDEVVTQFLAMETLLDDSVGKEIDLQIERGGTPLTVKLEVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHAIIKKLAGEDIENLGDLIACISKLSRGARVPLEYVKYTDRYRNKSVLVTIDRHEWYAPPQLYTRNDATGLWTAKSAIPPESPFIASAHHAGPIDANSNSVSSLPESSPMDLKCQHESENLTDGCIKTQTDDEINVDGSHSSEDSLVEKKRRRVDEEIAAEGTISSSGDLDEIKGGGLRHLSSVDGSDLARTISSNASLAEQVIEPALVMFEATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSAFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKIISGTPGPFRIINGVRRPIPFIRLLEVELYPTLLSKARSYGLSDSWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIENACQKLDQSVDSDGVLNMTIFRQGKEIDLIVGTDVRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKPTPDLETFIQVVKGLENGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFEPETSTWKRGIIKALQSTVA >ONIVA02G32700.1 pep chromosome:AWHD00000000:2:28892225:28893359:-1 gene:ONIVA02G32700 transcript:ONIVA02G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYHLGKFVSKALGKCNGRERGWREERLDYAMAYPPGPPTETYYMRPVARTVTFSSANSVYVIPPAEPPHQQSPEPQPQTPPPPAQQQQHGPEHEHHQAQPQPQQEPPPPAQDAPPAEPKPPKRGKNKKSGRVRFGPEPPPPEQQQQQQQQQQPPQQQEQTTQQAPNAGAEHAPARHATSAPPGYFRYTPSPLPRWEAATPRRHEYFSGEYRYSYPTPVREGIYRMATDANRLTTIFSEENPNACAIM >ONIVA02G32690.1 pep chromosome:AWHD00000000:2:28886003:28886920:-1 gene:ONIVA02G32690 transcript:ONIVA02G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPNLDGALSRRITDGPARGAEASQTWRGGGESGLPAGGSGASTPELAGASRSRAATTGGGGHGGGGSGGRGGGGSAGRREEAAVEAATEAGTRVRLGRGRRAAGLEWRRRAAAFPVSAQAQMVQRELAAAGLRRPLVSGEAQAAEAMLPSPGFSFGQIWRGGRRVVERRGPGPALRDGGSRKSADGGASVRCGGSLVIGRTES >ONIVA02G32680.1 pep chromosome:AWHD00000000:2:28885620:28885964:-1 gene:ONIVA02G32680 transcript:ONIVA02G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMFRWWIRIGRRTTAIGIPSESLAQVFEPTMTTSSGVVTLLGVLLRRKPSPVLWTDDDGVFGIATFVRASFLSLRIVVVLLARWRSVSHSDGRFGAWLPCL >ONIVA02G32670.1 pep chromosome:AWHD00000000:2:28882840:28883256:-1 gene:ONIVA02G32670 transcript:ONIVA02G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSIAQAVAALMGTCARRLSRAARRLHLRPREGIAASFSSRAIVPFLGGGGGGKKAISSSRRRRKAGAELSFRAEDGVWRKEILMGERCQPLDFSGVIYYDAEGRRLEQPPPPRSPLRSPLPSSIKLAANAGGGGGY >ONIVA02G32660.1 pep chromosome:AWHD00000000:2:28879092:28879586:1 gene:ONIVA02G32660 transcript:ONIVA02G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVLSRMLLDRFFPGAGGVVAGEARPPMDWRETPVAHVFEMDLPGLAKDQVAVEVVDGHILRVRAGGEHEDANNAAKAGKASGEEEEENDGVRWHCRERAAGRRRAAVTQFRLPEDAAAEEASARMADGVLTVTVPKRKGKKRHAGNGKAAGDDKPVCCRFWP >ONIVA02G32650.1 pep chromosome:AWHD00000000:2:28876284:28879057:1 gene:ONIVA02G32650 transcript:ONIVA02G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26090) TAIR;Acc:AT1G26090] MAPSLLASAASQILAAPRRGGRGRRRLVIACSAGAGAPPKLVTFLGKGGSGKTTAAAVAAQYYASEGLKTCLVIQSQDPTAEQLMGCKIGNSLTECAANLSTMKLETSKMLLEPLDRLKKVDLQINLTQGVLEAVVGEELGVLPGMDSVCSVLALQKLLNFFSTQRNSSQEEFDVVVYDCNNTEEILRLIGATERARSYLRYVKDLAEKTDIGRLASPSAMRLIYDSARPNGKTSEGRLSSELWNDIEQLIQRISVWFADPSKFACFLIMDPRRSISVSSALRYWGCTTQAGGNICGAFGYTEKRFEIHQGVAEKFLPMTFSFLPFLPTDSSADWSRVLNSLSQNAKDLLRNTSNKVYPSVSFDSVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVVKLPPAMQGKVGGAKFVDRNLIVTIR >ONIVA02G32650.2 pep chromosome:AWHD00000000:2:28876284:28878757:1 gene:ONIVA02G32650 transcript:ONIVA02G32650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26090) TAIR;Acc:AT1G26090] MAPSLLASAASQILAAPRRGGRGRRRLVIACSAGAGAPPKLVTFLGKGGSGKTTAAAVAAQYYASEGLKTCLVIQSQDPTAEQLMGCKIGNSLTECAANLSTMKLETSKMLLEPLDRLKKVDLQINLTQGVLEAVVGEELGVLPGMDSVCSVLALQKLLNFFSTQRNSSQEEFDVVVYDCNNTEEILRLIGATERARSYLRYVKDLAEKTDIGRLASPSAMRLIYDSARPNGKTSEGRLSSELWNDIEQLIQRISVWFADPSKFACFLIMDPRRSISVSSALRYWGCTTQAGGNICGAFGYTEKRFEIHQGVAEKFLPMTFSFLPFLPTDSSADWSRVLNSLSQNAKDLLRNTSNKVYPSVSFDSVQKSVTLFMPGFDKSEIKLYQVRQLPVLFLDSKEHIISYRGGSELLIEAGDQRRVVKLPPAMQGKVGGAKFVDRNLIVTIRSMPTELPSACLRGII >ONIVA02G32650.3 pep chromosome:AWHD00000000:2:28876284:28878757:1 gene:ONIVA02G32650 transcript:ONIVA02G32650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26090) TAIR;Acc:AT1G26090] MAPSLLASAASQILAAPRRGGRGRRRLVIACSAGAGAPPKLVTFLGKGGSGKTTAAAVAAQYYASEGLKTCLVIQSQDPTAEQLMGCKIGNSLTECAANLSTMKLETSKMLLEPLDRLKKVDLQINLTQGVLEAVVGEELGVLPGMDSVCSVLALQKLLNFFSTQRNSSQEEFDVVVYDCNNTEEILRLIGATERARSYLRYVKDLAEKTDIGRLASPSAMRLIYDSARPNGKTSEGRLSSELWNDIEQLIQRISVWFADPSKFACFLIMDPRRSISVSSALRYWGCTTQAGGNICGAFGYTEKRFEIHQGVAEKFLPMTFSFLPFLPTDSSADWSRVLNSLSQNAKDLLRNTSNKVYPSVSFDSVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVVKLPPAMQGKVGGAKFVDRNLIVTIRSMPTELPSACLRGII >ONIVA02G32650.4 pep chromosome:AWHD00000000:2:28876284:28879057:1 gene:ONIVA02G32650 transcript:ONIVA02G32650.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26090) TAIR;Acc:AT1G26090] MAPSLLASAASQILAAPRRGGRGRRRLVIACSAGAGAPPKLVTFLGKGGSGKTTAAAVAAQYYASEGLKTCLVIQSQDPTAEQLMGCKIGNSLTECAANLSTMKLETSKMLLEPLDRLKKVDLQINLTQGVLEAVVGEELGVLPGMDSVCSVLALQKLLNFFSTQRNSSQEEFDVVVYDCNNTEEILRLIGATERARSYLRYVKDLAEKTDIGRLASPSAMRLIYDSARPNGKTSEGRLSSELWNDIEQLIQRISVWFADPSKFACFLIMDPRRSISVSSALRYWGCTTQAGGNICGAFGYTEKRFEIHQGVAEKFLPMTFSFLPFLPTDSSADWSRVLNSLSQNAKDLLRNTSNKVYPSVSFDSVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVVKLPPAMQGKVGGAKFVDRNLIVTIR >ONIVA02G32640.1 pep chromosome:AWHD00000000:2:28874716:28876185:-1 gene:ONIVA02G32640 transcript:ONIVA02G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQRPKRPSDSVAAASPAAKRARDPSAPAFPTYRDAPDLPPKIRLLCEILASSAPDVDAALDDADVRVTSSDVEQVLRFSYAHPRAAVAFFRWAGHRHLRHQHSPYSWNLVVDLLGKNRLFEPMWDTVSSMRTQGLLSLATFASVFSSLAANPASSPLRAFVELPMYGMDRDTPALNSLLSALCRASRLDDARAAIPVARAEAGTRPDADSYAILLEGCEAAGDPHVAREVFDEMRHVIGFDPANVPAYDSFLTTLISSGSSTALMDAMDYLAILHRQRCSPGEKFFRAALAAHLKARELRGAVVLWNDFVVRRGLIPDKEMYSTMIMLQGTLGHPEVIVEYLDEMTFDGVFPDADTYNMVFQFLLKGRKLREASAIFSEMVKNEFWPNEANCSLALRMFLDTRDWDSGIKLWKCMVENGLPPLEESGNMLVSKLKDERLPEACKYAEDMIDRCIKLSSSTMSKLKQCLMKVYKGHIHDHLLRKWKAH >ONIVA02G32630.1 pep chromosome:AWHD00000000:2:28869030:28871772:-1 gene:ONIVA02G32630 transcript:ONIVA02G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLAATRPWTGSSVGMESFRDDQRAGPQSEQHSDHVSDSAEDAISDEDVLAPTRLSLACAASKEREKENKMVVPDESTIWDEVLEEADELAYVHKVPPSISFLSAGTGKRRKGEHRLKFSIRGSSSVSLNVKTENPYIGEREVSSVMPTNRAPETMMAEQLENIKEETEDLPSEFACSTKKANVSISELLDSLQDRSASSAGTPFLLHQHTRPKEEKPKVPTSVKRTLALLGQRNLETENPLEHVIGETSSEEEDDAQNNLALINNDVKGQTMADIFQQVFNATSMDCFTLPVRSYGSGYYGRMQQILQMEKDRHAEFLRQYNREQDYLGDPKGVTVQILSRSLEGKLTICRCLFMEKSNLATTGEVSTDRDMDNSSVKRTIIFSPKICDNVDLLAGNIIHIFPPWKEVKVKEETVILCTYFSHRGV >ONIVA02G32630.2 pep chromosome:AWHD00000000:2:28869030:28871341:-1 gene:ONIVA02G32630 transcript:ONIVA02G32630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRDDQRAGPQSEQHSDHVSDSAEDAISDEDVLAPTRLSLACAASKEREKENKMVVPDESTIWDEVLEEADELAYVHKVPPSISFLSAGTGKRRKGEHRLKFSIRGSSSVSLNVKTENPYIGEREVSSVMPTNRAPETMMAEQLENIKEETEDLPSEFACSTKKANVSISELLDSLQDRSASSAGTPFLLHQHTRPKEEKPKVPTSVKRTLALLGQRNLETENPLEHVIGETSSEEEDDAQNNLALINNDVKGQTMADIFQQVFNATSMDCFTLPVRSYGSGYYGRMQQILQMEKDRHAEFLRQYNREQDYLGDPKGVTVQILSRSLEGKLTICRCLFMEKSNLATTGEVSTDRDMDNSSVKRTIIFSPKICDNVDLLAGNIIHIFPPWKEVKVKEETVILCTYFSHRGV >ONIVA02G32630.3 pep chromosome:AWHD00000000:2:28869030:28871311:-1 gene:ONIVA02G32630 transcript:ONIVA02G32630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRDDQRAGPQSEQHSDHVSDSAEDAISDEDVLAPTRLSLACAASKEREKENKMVVPDESTIWDEVLEEADELAYVHKVPPSISFLSAGTGKRRKGEHRLKFSIRGSSSVSLNVKTENPYIGEREVSSVMPTNRAPETMMAEQLENIKEETEDLPSEFACSTKKANVSISELLDSLQDRSASSAGTPFLLHQHTRPKEEKPKVPTSVKRTLALLGQRNLETENPLEHVIGETSSEEEDDAQNNLALINNDVKGQTMADIFQQVFNATSMDCFTLPVRSYGSGYYGRMQQILQMEKDRHAEFLRQYNREQDYLGDPKGVTVQILSRSLEGKLTICRCLFMEKSNLATTGEVSTDRDMDNSSVKRTIIFSPKICDNVDLLAGNIIHIFPPWKEVKVKEETVILCTYFSHRGV >ONIVA02G32620.1 pep chromosome:AWHD00000000:2:28862556:28868514:1 gene:ONIVA02G32620 transcript:ONIVA02G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 70 (Hsp 70) family protein [Source:Projected from Arabidopsis thaliana (AT4G16660) TAIR;Acc:AT4G16660] MAPPRTSGLLLLLAAVVAAAAVVLVPPAEAAVASIDLGSEWLKVAAVHLAPGRVPIAVAINEMSKRKSPALAALADGNRLAGEEASGITARHPSKVFARARDLLAKPFPYVRSVAEALFLPYDLVPDARGAAAVRADDGQVYTVEEIVAMVLHYAAGLADAHVGAPVRDAVVAVPPYFGQAERRALTQAAQLAGVNVLALINEHAGAALQYGIDKDFSNESRHVIFYDMGAGSTYAALVYYSAYKAKEFGKTVSVNQFQVKDVRWDSKLGGLEMEMRLVNYFADQFNKQLGNGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDLDFRSTITREKFEELCEDLWEQALTPVKEVLAHSGMKIDDIYAVELIGGATRVPKLQAKLQEFLGRSDLDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYGFVFEINGPDYVKDESTDQLLVPRMKKLGIKMFRSIRHTKDFDVSISYEKASELPPGVTSHKFVEYSVSGLTDASEKYSSRNLSAPIKANLHFSLSRSGIISLDRAEAVIEITEWVEVPKKNLTLESNSTSQTLSSEGGAANDTSDSKENVSSDGDANKSSAPIDESNAQDIVTEKVLKKRTFRVPLKVVEKMAGAGSILSKELYSEAKTRLEALDKKDAERRRTAELKNNLESYIYSMKEKLEENTEILTVSTEQERESFAEKLNEVQDWLYMDGEDAQANEFKERLDQLKAIGDPILFRLSELKARPAACENARLYLAELQKIVKNWDSNKPWLPKKRVDEVVSEAEKVKTWLEEKEAIQKSTSVYSPPAFTSEEVYEKVLDLQDKVSSVNRIPKPKPKIEKKPPKEEESANKEKTDSSESESKEAESTETSSESAAPEESQSEPQKTDDLEPEAHDEL >ONIVA02G32610.1 pep chromosome:AWHD00000000:2:28852147:28861964:1 gene:ONIVA02G32610 transcript:ONIVA02G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G01440) TAIR;Acc:AT2G01440] MIASSSAQSWIKGHTNQLARVFSLDFRRGRSRRIPSRMRSSNALGSKLFRWCSRENHTSVRKLLEVDGTSERSKLLNKVSVLMGYSNTQELIEQERARRGSATELISVCKEIEFPEMCAKFPCIKIGDSSPIELYAAAASMSQKETVLSENLTNFMRESGGNFGAAYEFSDKCHPLDPTLTNVDHLSISEESSLTAQSVSLEPAVDTDACPESLAVADATVSDSSILDRSIRCLPETTIRQYRQLEDGGFHTVRKLLQHFPRTYADLQNPQGSIEEGQYIMLFGTVVSSRGARLKHTLGYLEVVVSCSIIESELSSSVKSCNSQAEQKKTIHLHLKKFFSGTRFSSPSFLKCISSKYKEGDLAYVSGKIKKALTKDHYDLREYTIDMLEEEEQQCTLLDRKPYPIYPSKAGLKPSLLSLSISRALKMLTPDIDPMPHEVLVEFNLPNLFDAYMGIHKPKNRDEADFARRRLIFDDFFYLQLGRLFQMLEAVGTRVEKEELLLKCKNHELNAVGADEWSPLARKLLKVLPYLLTPSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTIVAFLACMEVISSGFQGLKTGEIAMVIGTHSLIGDKTEFSALRISVIDEQQRFGVVQRGRFNSKLYTPSTKSSDDDTISDENSASEIFMAPHITDLPPGRQPIETLALEGNDAGFESVFQMMSDELVDGGKVYLVYPIIEESEQLPQLHAAKAEFDSIKQKFEGYPCGLLHGRMRSDEKDGALSSFRSGETRILLSTQVIEIGVDVPDASMMVVMNAERFGMSQLHQLRGRVGRGERKSRCVFLCSTPSALPRLKVLEKSSDGFYLANADLLLRGPGDLLGKKQSGHLPEFPIARLEIDGSILQEAHLAALNVLGTSNDLAQFPGLKVELSMRQPLCILGD >ONIVA02G32610.2 pep chromosome:AWHD00000000:2:28852134:28861964:1 gene:ONIVA02G32610 transcript:ONIVA02G32610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G01440) TAIR;Acc:AT2G01440] MIASSSAQSWIKGHTNQLARVFSLDFRRGRSRRIPSRMRSSNALGSKLFRWCSRENHTSVRKLLEVDGTSERSKLLNKVSVLMGYSNTQELIEQERARRGSATELISVCKEIEFPEMCAKFPCIKIGDSSPIELYAAAASMSQKETVLSENLTNFMRESGGNFGAAYEFSDKCHPLDPTLTNVDHLSISEESSLTAQSVSLEPAVDTDACPESLAVADATVSDSSILDRSIRCLPETTIRQYRQLEDGGFHTVRKLLQHFPRTYADLQNPQGSIEEGQYIMLFGTVVSSRGARLKHTLGYLEVVVSCSIIESELSSSVKSCNSQAEQKKTIHLHLKKFFSGTRFSSPSFLKCISSKYKEGDLAYVSGKIKKALTKDHYDLREYTIDMLEEEEQQCTLLDRKPYPIYPSKAGLKPSLLSLSISRALKMLTPDIDPMPHEVLVEFNLPNLFDAYMGIHKPKNRDEADFARRRLIFDDFFYLQLGRLFQMLEAVGTRVEKEELLLKCKNHELNAVGADEWSPLARKLLKVLPYLLTPSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTIVAFLACMEVISSGFQGLKTGEIAMVIGTHSLIGDKTEFSALRISVIDEQQRFGVVQRGRFNSKLYTPSTKSSDDDTISDENSASEIFMAPHITDLPPGRQPIETLALEGNDAGFESVFQMMSDELVDGGKVYLVYPIIEESEQLPQLHAAKAEFDSIKQKFEGYPCGLLHGRMRSDEKDGALSSFRSGETRILLSTQVIEIGVDVPDASMMVVMNAERFGMSQLHQLRGRVGRGERKSRCVFLCSTPSALPRLKVLEKSSDGFYLANADLLLRGPGDLLGKKQSGHLPEFPIARLEIDGSILQEAHLAALNVLGTSNDLAQFPGLKVELSMRQPLCILGD >ONIVA02G32610.3 pep chromosome:AWHD00000000:2:28851899:28861964:1 gene:ONIVA02G32610 transcript:ONIVA02G32610.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G01440) TAIR;Acc:AT2G01440] MGLDSYGPNRNSTTLSWASRSQPNLRKLHSIVSDSSALPSCPLPKPPPRTASVPFSGDSDGTAPPAPPVRASTPLASTRGGKDDLTSAAAVPGRRARSSNALGSKLFRWCSRENHTSVRKLLEVDGTSERSKLLNKVSVLMGYSNTQELIEQERARRGSATELISVCKEIEFPEMCAKFPCIKIGDSSPIELYAAAASMSQKETVLSENLTNFMRESGGNFGAAYEFSDKCHPLDPTLTNVDHLSISEESSLTAQSVSLEPAVDTDACPESLAVADATVSDSSILDRSIRCLPETTIRQYRQLEDGGFHTVRKLLQHFPRTYADLQNPQGSIEEGQYIMLFGTVVSSRGARLKHTLGYLEVVVSCSIIESELSSSVKSCNSQAEQKKTIHLHLKKFFSGTRFSSPSFLKCISSKYKEGDLAYVSGKIKKALTKDHYDLREYTIDMLEEEEQQCTLLDRKPYPIYPSKAGLKPSLLSLSISRALKMLTPDIDPMPHEVLVEFNLPNLFDAYMGIHKPKNRDEADFARRRLIFDDFFYLQLGRLFQMLEAVGTRVEKEELLLKCKNHELNAVGADEWSPLARKLLKVLPYLLTPSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTIVAFLACMEVISSGFQGLKTGEIAMVIGTHSLIGDKTEFSALRISVIDEQQRFGVVQRGRFNSKLYTPSTKSSDDDTISDENSASEIFMAPHITDLPPGRQPIETLALEGNDAGFESVFQMMSDELVDGGKVYLVYPIIEESEQLPQLHAAKAEFDSIKQKFEGYPCGLLHGRMRSDEKDGALSSFRSGETRILLSTQVIEIGVDVPDASMMVVMNAERFGMSQLHQLRGRVGRGERKSRCVFLCSTPSALPRLKVLEKSSDGFYLANADLLLRGPGDLLGKKQSGHLPEFPIARLEIDGSILQEAHLAALNVLGTSNDLAQFPGLKVELSMRQPLCILGD >ONIVA02G32600.1 pep chromosome:AWHD00000000:2:28848580:28851214:1 gene:ONIVA02G32600 transcript:ONIVA02G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGRGGEEAGEGLRGARRPRLLQVPPRPDHDKGRLWRRRRGGEEEAAAGRRRRDGRTRVPADGDHVAAAAERHAVRRGGHRRDPGADGRRRGVRRGRRGGGGWAGGVLAPRRRHAARGRVREVQRRRRPAGRVTPLRVAAACRVVRCCCLRPVALVIGFIFLSNGLSCSPVTWIYITVSSELLFCGTLQNQNRSTAQKSVRFTRCKL >ONIVA02G32590.1 pep chromosome:AWHD00000000:2:28844432:28845013:-1 gene:ONIVA02G32590 transcript:ONIVA02G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKDKGVVDHNDGGEAESESGIVVPPHKCSNFSLAVMLMARQVCPVVEGHDAAGSASLTELGPLELSSRFAVELLIACSGTTKKNISCIQPLVRPELFAIATLSLTGRHRRRHHQLQTAVLHTYLTVALPRTRLATHEEKEGRGGGGDR >ONIVA02G32580.1 pep chromosome:AWHD00000000:2:28839906:28842955:1 gene:ONIVA02G32580 transcript:ONIVA02G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGEEGEAGSSLYRFRHRRLMDTTPATDSGGHSSHNGMPIMVSILVVVIICTLFYCVYCWRWRKRNAVRRAQIESLRPLSNSDLPLMDLSSIYDATNQFSKENKLGEGGFGPVYRGVLGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVEKEEKMLIYEYLPNRSLDAFLFDSRKRAQLDWKTRQSIILGIARGLLYLHEDSCLKVIHRDLKASNVLLDNKMNPKISDFGMAKIFEEESNEVNTGHVVGTYGYMAPEYAMEGVFSVKSDVFSLGVLVLEILSGQRNGAMYLQNNQQTLIQDAWKLWNEDKAAEFMDASLAGDYSKEEAWRCFHVGLLCVQESPELRPTMSNVVLMLISDQMQLPEPAQPPLFAAREMKKVSASEFSLAMKTETTKTQSVNDVSISMIEPR >ONIVA02G32570.1 pep chromosome:AWHD00000000:2:28837398:28837682:-1 gene:ONIVA02G32570 transcript:ONIVA02G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKDDNDVDDAVGEVVDDGDGFARPPLGPQAECLLHLRELEMAYQNRHAECVGETAAGNGAVVDLRASVVVTAVPTPSGVAANKGTSAADEQI >ONIVA02G32560.1 pep chromosome:AWHD00000000:2:28831684:28833274:1 gene:ONIVA02G32560 transcript:ONIVA02G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMALVRERAMVYGGGFDAEAFGGGFESSQMGYGHDALLDIDAAALFGGYEAAASAGCALVQDGAAGWAGAGASSSVLAFDRAAQAEEAECDAWIEAMDQSYGAGGEAAPYRSTTTVAFDAATGCFSLTERATGGGGGEGGRQFGLLFPSTSGGGVSPERAAPAPAPRGSQKRAHAESSQAMSPSKKQCGAGRKAGKAKSVPTTPTKDPQSLAAKNRRERISERLRILQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKAPEISQVKEALDAILSSSSSPLMGQLMN >ONIVA02G32550.1 pep chromosome:AWHD00000000:2:28825510:28827105:1 gene:ONIVA02G32550 transcript:ONIVA02G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMVHACMMSSLVVIIAGYKATWQTDVIPSHIIWIRPTFYLSRYAGHMGIWYPTNYTLQAKTQQSYATASRYVVESEIKPQDPSSRCTH >ONIVA02G32540.1 pep chromosome:AWHD00000000:2:28815240:28817022:-1 gene:ONIVA02G32540 transcript:ONIVA02G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVILSHVFSPLFPSLSLHEPQGTSFYCASLFSPGRLALGLPPPLKTHVHGLMLLLWLYLFDCMRTYVTDYVGDGDSWFTKFVLFVVAVPMADLFSIFAWLCLRLPLPGCSPLERPRDF >ONIVA02G32530.1 pep chromosome:AWHD00000000:2:28807978:28811406:-1 gene:ONIVA02G32530 transcript:ONIVA02G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPYLRRVSPAASCFGCAGGEAPTLDDNDVAPLLDVETGGGDRRGRPAAEEMMTGKQPRREPTTTDAEMMHEWNRAGVMAIKCVVRGVLIVFWLYMVDLVRRLFSTHILGALIAYVVAMFVSFYFWTRVIGRVACGTISHFHPTGSKQHYVNYNGKLFLFLERLLFACHLDKYKKFEKKEMIY >ONIVA02G32520.1 pep chromosome:AWHD00000000:2:28806337:28806826:-1 gene:ONIVA02G32520 transcript:ONIVA02G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLILIALCDSLLLVILTGPTRKSVTLGLVDRPVSHAFWATVAFPRRQLTCPVARNVTLAALNSSVKASHLHARTQQEFEQAQLNKAQCNKNSCTRLVRAVKNAQARELLELSSS >ONIVA02G32510.1 pep chromosome:AWHD00000000:2:28792938:28798248:-1 gene:ONIVA02G32510 transcript:ONIVA02G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQGKGWTGWSTPAPANQRSGGGAPAASAPLGKGKGSTLRVAELEQELHEYQYNMGLLLIEKKEWTAKLDEINQALTQKEEILKREQAAHLNAISEYERREESMRKALGVEKQCVTDLEKALREIRGEIAEVKFMSEKKITDAQSLEASLEEKRLEIEGKLHAADAKLAEANRKKSQADRDLEEVEARQRRLEKEKLYFENERKAGEDRIKHQEDSLRDWDKKLKESQNRILDLQRSLNDREERANENDKLFKIKQEELEEAKKALEHTKATLKIKEDDINKRLAELHLQEKEAESKNRKLEEREKKIAEREEKVSAREKVGLQKLLEDHNVKLESKRRDFDLQLENEKKSFDAMLVQKEADLMQREKDVRSSEEKLSKKEQVLNESKKKLEEWQNDLDTKSKALKKWEESLQNDEKQLSEQKLQIENERKQAEMYKLELESLKATVVAEKEKILQEQNNLKLTEEERQEHIMLTAQLKKEIDEYRMRSNSLSEETEDLRKQRQKFEEEWEQLDEKRTHLEEEAKKLNNEKKNLERWHDNEEKRLKDREDELDRKYKEQGENLALKEKSLIDNIDHQRLENEELLKRERADLQRNLQLHRHELEMEMEKKQASKERELEEKENELNRKMDFVENELKRAAELNESKIQKILLEKKQLQKEKEVLVEDRQKLETDKADIRRDIDSLNTLSKSLKERREAYNRDRNNLIDIFEKYKVCKNCGVIIFEGLDALALKDSTDIEYPSLAVEADDRSPNPDTLAQETGALVNSGGRLSLLQKCSRIFKFSPRKKAEQSSEQQAVKNTDFGARLEEASQSDDDYEPTPVYQVAYNSFDAEDLPSESGAFENEESERQDIADDVQMESSLGVADNCVDIHGTQSFDGNTDMVVDTTIVDVDQNGKDSAVLPVVDLEPETSKQGRRQQNRKGRAKGGVKRTRSVLAVVEDAKEILGENLEVKKDDGQGDSVTVGGTRKRRFAGATISEQDEDSEAHSESVSLGGQRRKRRQTAAAVTQAPGEKRYNLRRTTVANAATAAQTNKRKAAKKGSKQTVEATADDTEGTSKAEEPATGSKGASQSADDASQLPEYSQAEAGDTHGPVEVTSAEGVDIVDGIDAAPDAMPMTPSGSELGAEQDDEEDDDSERRNQSIGKKLWSFFTT >ONIVA02G32500.1 pep chromosome:AWHD00000000:2:28783674:28791145:-1 gene:ONIVA02G32500 transcript:ONIVA02G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G52580) TAIR;Acc:AT5G52580] MRREEASAAAAAAEAGTPRSPDLHDLSDDSDYAAAAAASSSHSAMRSDLVDQGSGETAKVDVVYEKERVTIHPTQYGSGRISGKLRLYLQQGSLFLSWEPNEGVDSLSSNSATMEIEKYRNLYTIKALPLSDVRFIRKHTPTFGFEYIIIVLSSGLAFPPFYFYNGGLRELFATLKKHVFIIRSDDDPNVFLVNDFQDPLQKSLSSLELPGVATVANAMSQQNSLSFTSSVDEAGHGSDAKHGASSSMTEYVSKQKHRSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFRENHSSGGHTYGRQQQEYVLDNRANDKYKDQQITPDKDSLLSDSMESNKILAWGKPREQPLSVDEWRSFLDPEGRVMDSKALRKKVFYGGIDHVLRKEVWKFLLGYHEYDSTYAEREYLAVMKRTEYEAIKSQWKSISSTQAKRFTKFRERKGLIDKDVVRTDRSVPYYEGDDNQNVLVLRDILLTYSFYNFDLGYCQGMSDFLAPILYVMEDESESFWCFAILMERLGANFNRDQNGMHAQLLALSKLVELLDPQLHNYFRKNDCLNYFFCFRWVLIQFKREFSFDQIMLLWEVLWTHYWSEHFHLYLCVAILKRYRSRIIGEQMDFDTLLKFINELSGEINLDRAIQDAEALCDQAGPNGAACIPPGTPPSMPIETDGGLYVQEDEVM >ONIVA02G32480.1 pep chromosome:AWHD00000000:2:28747534:28768978:1 gene:ONIVA02G32480 transcript:ONIVA02G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) TAIR;Acc:AT1G55350] MAATAMPSSMPPPPPAIATFAAPLQPDYQGSVTAAAEGAAARGHGGGGSIGTFFAVLAAVLVLTLLSCVLGRVCARHADGPDERYERYQPRNGMCGVAIKISVVEVQGDQKAADTNCHPYKVLHSRLKSHKIHSRYSGHRDLMVMTGNEGLFEPVYMISASRSICGFLFAVLGPLSFWILWAVNWRPWRLYSWIYARKWPAYVQGPQLSTLCSFFTLFAWLVVVSPITVLLVWGGILIALLERNIIGLAVIMVGVALLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYEFCAVYVTTGASASELNSPSGFFFGVSAISLAINMLFISKILFNGSGFDVDEYVRRLYKFAYSDCVEVAPVSCSPDPPDPSELYMTKSSRYLGHCISYAFVASVLLAAAVSCWLSISNPSVARIDALRSTVIKLREGFRRKGQTSSSNSSDGCGSSVKRSSGSVEAGPHGNATDSMYRSNSQSDGVNWNNVPFDRSNSCQEGQSSDKNIDSGRASLAHRSNSCLSAVAVQDPETAVVSADRHGDPTASLVVCSSSGLESQGCESSGSATASGNQQLLDLNLAAIFQDRLNDPRITSMLKRNGGLGDVELANLLQDKGLDPNFSYMMKDKVMDPRILALLQRSSLDADREHQDDVDVTGTDSDRLDTTIANQISLSEELRRSGLENWLNLSRLMFHQVAGSPIRAFVVFTLIFIIETVTVAVHRPKPIKVINATHEQYGFIAWLLSTCVGLLLSFLSKSSVILGLSLTVPLMVACLSFAIPIWMRNGYRFWIPGGELDSRENIRQAPGKKERALFAISITVFTASVIGLGAIVSAKPLDALGYKGWDADKKSFYSPYATSMYLGWALSSTIAVLATGVIPIVAWFATYRFSPSSAICVGLFATVLVSFCGVSYWGVVNSRQDGVPLKADFLAALLPLLCIPAVFSLFTGMYKWKDDDWKISHGVYLFVGMGVLLLLGAISAVIVTIRPWTVGVACLLVILFLVFAIGVIHYWTSNNFYLTRTQMLLVCSLAFLLALAAFLMGLFQEKPFVGASIGYFSFLFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASLILNPPFIGAAISAITLVIAFSFAVSRPCLTLKMMEDAVHFLSKDTVVQAMSRSANKIFNISLRNIVCFVCNQTRNAISGTYSAPQRSASSAALLVGDPAITLDRAGNFVLPRADVMKLRDRLRNEEITAGSFFCGVKNCLMIGSPVDVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRNFELIQESYIREKEMEEEVLMQRREEEGKGRERRKALLEREERKWKELEISLLSSIPNAGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIARHIRKAQLARRAEQTGIPDTVCILDDEPRSTGRHCGEIDLCLCESKKVSFSIAVMVQPVSGPVCLFGTEFQKKVCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHLVTVTIDADLGEATSFIDGVYDGYQNALPLPRNNGIWEPGTDIWVGARPPTDLDAFGRSDSEGSDSKMQIMDAFLWGRCLTEDEVDDWESEEANFELYDQEDVEWDGQYSSGRKRHARDSVAIDIDSFARRPRKPRFETREEVNQRMLSVERAVREALIAKGERNFTDQEFPPDDRSLFVDPMNPSLKLQVVSEWMRPSDIAKEVSISSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEMARISEVIITPEYNEEGIYTVRFCIQGEWVAVVVDDWIPCESPGKPAFATSRKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLHFKQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSQEWTERMKHKLKHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGMRILKTRGCRAAYNIYMHESVGGTDYVNSREISCELVLEPYPKGYTIVPTTIHPGEEAPFVLSVFTKAPIKLEAV >ONIVA02G32470.1 pep chromosome:AWHD00000000:2:28741507:28742055:-1 gene:ONIVA02G32470 transcript:ONIVA02G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSCAAGAEATGLVAMDCLVVCCCCPCLVLQITVFLFVRLPKKVVVKSKRIILRRWHGRRSSSSVAAAKRGCSTVDPAAGVKKQLEELFDDDDLFQGAFGGGINGGGGDDEEGWWKERCFAVDDDDGGVWEALIEQEGLFWFGSFWGRTTEQVDRPDQFGDDEHAHPALRFPLVLKRVCD >ONIVA02G32460.1 pep chromosome:AWHD00000000:2:28735649:28739328:-1 gene:ONIVA02G32460 transcript:ONIVA02G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASVEEPAAAAAAAAETKRGPSGASFIREHLRSLAPYQPILPFEVLSARLGRKPEDIIKLDANENPYGPPPEVAKALGNLKFPYVYPDPESRQLRAALAEDSGLESEYILAGCGADELIDLIMRCVLEPGDKIVDCPPTFTMYEFDASVNGALVIKDVAQIVKVVEQEKPKCIFLTSPNNPDGSIINDEDLLKILDLPILVVLDEAYIEFSSLQTRMSWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALQNPTYLEEVKNLLLQERDRLYDLLKEIPFLKPFPSHSNFILCEVTSGKDAKKIKEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMKGLKALQL >ONIVA02G32460.2 pep chromosome:AWHD00000000:2:28735647:28738896:-1 gene:ONIVA02G32460 transcript:ONIVA02G32460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSVRARIQSPHRSAAHFVAGEGGRRRPATSRVSFRPMASAASVEEPAAAAAAAAETKRGPSGASFIREHLRSLAPYQPILPFEVLSARLGRKPEDIIKLDANENPYGPPPEVAKALGNLKFPYVYPDPESRQLRAALAEDSGLESEYILAGCGADELIDLIMRCVLEPGDKIVDCPPTFTMYEFDASVNGALVIKDVAQIVKVVEQEKPKCIFLTSPNNPDGSIINDEDLLKILDLPILVVLDEAYIEFSSLQTRMSWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALQNPTYLEEVKNLLLQERDRLYDLLKEIPFLKPFPSHSNFILCEVTSGKDAKKIKEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMKGLKALQL >ONIVA02G32450.1 pep chromosome:AWHD00000000:2:28731751:28733594:-1 gene:ONIVA02G32450 transcript:ONIVA02G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPRLPLLLKIAAATAAGALALIVAAGLRRDDAVASLRREIRDAVAALVASNEDGVVEGEGEGEDAAEAPAPSVLITGFRAHGKSSLVNTACRALAAEEGPLLLRAEASPPGGGSDGTRKRRRVKAAVAGDSGDAGTDEAVVELLDAPPLPEATRATRADIDAAISGGNPECVVLALRCDAPAKERNAAVKRLPEISAAVRAKGLNLIVVLTFKKAMRSARQAEELIREVSFRARTDCVYFIENYTWNNNGPNLRHPPVIKNDFETHFTVLTIIRQCLEFIKLNRSQSKREENGEKGNSGDVKGILEKVLTANAKSSGELSVKPALEKVLTANAKSSGELSVKPADVKPVLTVQ >ONIVA02G32450.2 pep chromosome:AWHD00000000:2:28731753:28733594:-1 gene:ONIVA02G32450 transcript:ONIVA02G32450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPRLPLLLKIAAATAAGALALIVAAGLRRDDAVASLRREIRDAVAALVASNEDGVVEGEGEGEDAAEAPAPSVLITGFRAHGKSSLVNTACRALAAEEGPLLLRAEASPPGGGSDGTRKRRRVKAAVAGDSGDAGTDEAVVELLDAPPLPEATRATRADIDAAISGGNPECVVLALRCDAPAKERNAAVKRLPEISAAVRAKGLNLIVVLTFKKAMRSARQAEELIREVSFRARTDCVYFIENYTWNNNGPNLRHPPVIKNDFETHFTVLTIIRQCLEFIKLNRSQSKREENGEKGNSGDVKGILEKVLTANAKSSGELSVKPALEKVLTANAKSSGELSVKPADVKPVLTVQ >ONIVA02G32440.1 pep chromosome:AWHD00000000:2:28729208:28732360:1 gene:ONIVA02G32440 transcript:ONIVA02G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSRRESSETLRNKCAACYRQYNRMEHLVEHMKVSFHSAHEPRCGVCAKHCRSLESLREHLIGPLPKVECARVFAARGCSICLNLFDSAAAVRYHRASTCQFTRAAPMPRGSYGGRAVAMACKMVGGGSDGSLDICARVCLIGEDENVIFQTYVKPTTTVTNYRYEMTGIRPEYLRDAMPLKLVQRRIQDILCNGEPLWKIRPRSSGRARILVGHGLEHELERLGLEYPAFMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIHTGIQDPYEDCVAAMRLYIRMRSQAHPRDYASGSGETQNNYPAWRQRELERMSPEELLALSGSDYYCWCLDF >ONIVA02G32430.1 pep chromosome:AWHD00000000:2:28705637:28718474:1 gene:ONIVA02G32430 transcript:ONIVA02G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;sequence-specific DNA binding transcription factors;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G23740) TAIR;Acc:AT2G23740] MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHHNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAREASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSISIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSVEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGSQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLCAALEAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVERLEIYWNFSGAFHKLIRKLLDLREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >ONIVA02G32430.2 pep chromosome:AWHD00000000:2:28705637:28718474:1 gene:ONIVA02G32430 transcript:ONIVA02G32430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;sequence-specific DNA binding transcription factors;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G23740) TAIR;Acc:AT2G23740] MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMVSNKAGTDTLECHAVQKELQCTLQDLSEIACSIDPVRKISSPQEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHHNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAREASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSISIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSVEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGSQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLCAALEAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVERLEIYWNFSGAFHKLIRKLLDLREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >ONIVA02G32430.3 pep chromosome:AWHD00000000:2:28705637:28718474:1 gene:ONIVA02G32430 transcript:ONIVA02G32430.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;sequence-specific DNA binding transcription factors;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G23740) TAIR;Acc:AT2G23740] MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHHNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAREASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSISIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSVEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGSQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLCAALEAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVEREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >ONIVA02G32430.4 pep chromosome:AWHD00000000:2:28705584:28718474:1 gene:ONIVA02G32430 transcript:ONIVA02G32430.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;sequence-specific DNA binding transcription factors;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G23740) TAIR;Acc:AT2G23740] MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHHNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAREASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSISIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSVEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGSQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLCAALEAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVERFDDSLVISFI >ONIVA02G32430.5 pep chromosome:AWHD00000000:2:28705584:28710554:1 gene:ONIVA02G32430 transcript:ONIVA02G32430.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;sequence-specific DNA binding transcription factors;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G23740) TAIR;Acc:AT2G23740] MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMVSNKAGTDTLECHAVQKELQCTLQDLSEIACSIDPVRKISSPQEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHHNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAREASCCRDYTDLGKMLVKLQNVNLSTLFLKDISWNLLSIFL >ONIVA02G32420.1 pep chromosome:AWHD00000000:2:28698901:28702231:-1 gene:ONIVA02G32420 transcript:ONIVA02G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIIVDGVVTEEAQNEVNSSQNKDNSSAPRSPVASKSMHSEALEMHVEGSGAGEPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMEAMKVIEEEEGEGSGNAANAVTAAENGTPVKAMSSNSSKKSSKKAAKSQLESESSVGPNGKASTEEGEAEVSKPGSRVGRRRKASPNPHNGTEDAGLNNPDLGPFLLKHARDLIASDNPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIYCNLGKYDEAVPVLQRSLEIPVIEEGQEHALAKFSGCMQLGDTYGMLGQTALSLQWYAAGLEIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQKALDIHRENGEPASLEETADRRLMGLICDTKGDHEAALEHLVMASMAMVANGQETEVASVDCSIGDIYLSLGRYDEAVFSYQKALTVFKTSKGENHATVASVFVRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYETMNEHEQALKLLQKALKMYNNSAGQQSTIAGIEAQMGVLHYILGNYGEAYDSFKSAIAKLRTCGEKKTAFFGVALNQMGLACVQRYSINEAAELFEEARAVLEQEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEHVVGMREEKLGTANPDVDDEKRRLAELLKEAGRGRSRKAKSLENLLETNPYTVTKRDLVRGVIENRICFENLEMSNVLINCCKFAKYDSLPLVPCSWLFTVLGK >ONIVA02G32410.1 pep chromosome:AWHD00000000:2:28695989:28700624:1 gene:ONIVA02G32410 transcript:ONIVA02G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPLLDSAPPPPLFYFTSRLSPRGRLSSRPNLLLLALPSHPRVRHGQGRRRRGRAPLHRRRLLLRGQEGQALRDQKVERCLPLGLGHRRGQLRHLPQPHHGPLHRVPGEPGERHQRGVHRRLGSLQPCIPLPLHQPLAQDPSSVPSRQQRVGVPEVWSLDSSLSGAKAASSFSYQVLALSDN >ONIVA02G32410.2 pep chromosome:AWHD00000000:2:28696008:28700403:1 gene:ONIVA02G32410 transcript:ONIVA02G32410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGDVAVAVPPSIAGASSSGAKKGKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYEQL >ONIVA02G32410.3 pep chromosome:AWHD00000000:2:28696007:28702659:1 gene:ONIVA02G32410 transcript:ONIVA02G32410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQRLAVHAFRSHRRSWGGRIVLVLRGVHLVLCLLCDNSIHDNSRHFAGELYQDLSAQAPNFSWKQTATTISEAQTHRKKEIPKKHGGSNWRERNQSPDGSPIYSVSHQEMAREREGPRMEQRVPSSSIRAGDAGRARARGGGLRLNGSGFSSRRHALSSPLVFLFLSICSREERERGGRGGEEDEA >ONIVA02G32400.1 pep chromosome:AWHD00000000:2:28688728:28695226:1 gene:ONIVA02G32400 transcript:ONIVA02G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDSMADSPRRRNGLLRDQVQLVKRKDTNRYEIVRFPDPLSFEKGFFVMIRACQLLVQHNEGMIFVGVAGPSGAGKTVFTEKVLNFMPGVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKMSCRTGYRTVDVPSSRIVIIEGIYALSEKLRSVLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPMYILKSPRTITPTDIKVALGEDHTESIEETYDIYLLPPGEDPESCQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRKSRVFSDGKATVKIDWLEQLNRNYIQVQGRDRNHVKFVAEKLGLDGSYIPRTYIEQIQLEKLINDVMALPEDLKTKLSIDDELVSSPKEALSRVSADKRNKHLKSGLSHSYSTHGDKNIVKLSKLTETNRRFGSGRAPEPPAINQGAITQLSEQISTLNERMDEFTSRVEELNCKFAIKKSSTSQQNLALPNETCNGSAPTNLFVSHLGNGTLIPHSSSSNQLLKESPIVDEINAISRGQRQVIHQLDNLTSLLHEHLALTRQGNAVRRNGILEMDMSICPLIALTIGGFGYLVFKSLNRS >ONIVA02G32390.1 pep chromosome:AWHD00000000:2:28681188:28686154:1 gene:ONIVA02G32390 transcript:ONIVA02G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbamoyl phosphate synthetase A [Source:Projected from Arabidopsis thaliana (AT3G27740) TAIR;Acc:AT3G27740] MAAPPATASAPSLRPSAASPRAAAARSVAVPSGPRTVGPRRDGGRFLGVRAAKAVSGVQSGTVVDDGVQRPWKLSDARLVLEDGSVWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESNRCFLAGLIIRNLSICTSNWRCTETLEEYLMKRNIMGIYDVDTRAITRRLREDGSLIGVLSTDQSRTDDELLEMAKNWKIVGVDLISGVTCDAPYEWSDKTDSEWEFKKGQSTESFHVVAYDFGIKHNILRRLTSYGCKITVVPANWPASEVLNLKPDGVFFSNGPGDPAAVPYAVKTVQEIIGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVCDLRSGRVDITFRITGVGRGAGLLAVTLPDENLELLRNHNYAVDPESLPEGVKVTHINLNDNSCAGLQYPKMKLLSLQYHPESSPGPHDSDLAFGEFIEMMKNNRL >ONIVA02G32390.2 pep chromosome:AWHD00000000:2:28681188:28686154:1 gene:ONIVA02G32390 transcript:ONIVA02G32390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbamoyl phosphate synthetase A [Source:Projected from Arabidopsis thaliana (AT3G27740) TAIR;Acc:AT3G27740] MAAPPATASAPSLRPSAASPRAAAARSVAVPSGPRTVGPRRDGGRFLGVRAAKAVSGVQSGTVVDDGVQRPWKLSDARLVLEDGSVWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESNRCFLAGLIIRNLSICTSNWRCTETLEEYLMKRNIMGIYDVDTRAITRRLREDGSLIGVLSTDQSRTDDELLEMAKNWKIVGVDLISGVTCDAPYEWSDKTDSEWEFKKGQSTESFHVVAYDFGIKHNILRRLTSYGCKITVVPANWPASEVLNLKPDGVFFSNGPGDPAAVPYAVKTVQEIIGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVCDLRSGRVDISAQNHNYAVDPESLPEGVKVTHINLNDNSCAGLQYPKMKLLSLQYHPESSPGPHDSDLAFGEFIEMMKNNRL >ONIVA02G32380.1 pep chromosome:AWHD00000000:2:28671036:28676163:-1 gene:ONIVA02G32380 transcript:ONIVA02G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEPTRVMVAVNESSIKGYPHPSISCRAAFDWMLSKLVRSNAAGFHLLFLHVQVPDEDGFDDMDSIYASPPDFQRMKQRDKIRGLHLLEHFVNQCHQLEIKCEAWIKQGDPKEVICSEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHADCPVITIKRKADEAPQDPVDD >ONIVA02G32370.1 pep chromosome:AWHD00000000:2:28668570:28671680:1 gene:ONIVA02G32370 transcript:ONIVA02G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPQPMGYYPTSNSIMHAQPATTSRGSFGPVFTVLAVITFLAVAACVVGRLCGRRLSKKRAASAEDQFYGINAVGGDLEKGFEIKYPVMKPMASSRAMIHDIDDGFEIKFTPGKPAAWKNDSKGDGKGHQQQHQQHQHQHHPQQHGMPQHHPQHGMPMPPGFRYPANVVRQGQIRGGTFISAKPST >ONIVA02G32360.1 pep chromosome:AWHD00000000:2:28667805:28668250:1 gene:ONIVA02G32360 transcript:ONIVA02G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRWRCGRASEQGGAGGAKRRSSGTGCWAARRRRRPLGLLGGATGQGGAERRSSGMGC >ONIVA02G32350.1 pep chromosome:AWHD00000000:2:28649660:28652484:-1 gene:ONIVA02G32350 transcript:ONIVA02G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPMHICMDSDWLKGIVPEEHGMGSSSPSAELIACPRAPMQAAAAAADRRLRPQHDQPLKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRAPPKKAAAHAQPAVAVAAALQGRHMETGLHLSFSGMQHHLAPPPPPAATAADPLCSLGLFDWKQYDPVFAGSGGGGSPVAALESAGGSEAQFMGAGMMGIGGGGGGGVAEYHALSALRYAAGLGDHLALPFGAGRAEHDAVEVKPVAAERLLSLEWCGEASRTAAPESSISSLGGLGLWSGMIGGGHHHHGSSAAI >ONIVA02G32340.1 pep chromosome:AWHD00000000:2:28641492:28645294:-1 gene:ONIVA02G32340 transcript:ONIVA02G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVHVILGGGVAAGYAALEFARRGGYSRGELCIISEETVAPYERPALSKGYLLPEGAARLPGFHTCVGANDELLTAKWYKENGIELVLGTKVITADVRMKTLLTATGETISYKNLIIATGARALKLEEFGISGSDASNICYLRNLDDADKLVNVMKSCPGGNAVVIGGGYIGMECAAALVTNRIKVTMVFPESHCMARLFTPKIAEYYENYYTSKGVTFVKGTVLTSFEKDSTGKVTSVILKDGKHLPADMVVVGIGIRASTGLFEGQLLMEQGGIKVNGQMLTSDGSVYAVGDVAAFPIKLFDGVIRRLEHVDSARRTARHAVAAILEPSKTKDIDYLPFFYSRVFTLSWQFYGNNTGEVVHFGDFTNSSPRFGAYWVDKSWIRGAFLEGGSREEYEAISNVVRRKAKVINIAELEKQGLMFAIQESQKDLPDGGLALGEKPTYVWHATAGVIAAASIAAFGYWYGRKRRRW >ONIVA02G32330.1 pep chromosome:AWHD00000000:2:28640434:28641420:1 gene:ONIVA02G32330 transcript:ONIVA02G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGTMPCSPPLFTQRGPVVHGDAMLSSFPRHQEMTAHGWAGAFDSREKGILLDQGYTGLFSE >ONIVA02G32320.1 pep chromosome:AWHD00000000:2:28638070:28640337:-1 gene:ONIVA02G32320 transcript:ONIVA02G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVILGGGVAAGYAALEFVRRNGGASSQELCIISDEHFAPYERPALSKGYLLPQDAPRLPAFHTCVGSKDELLTEEWYNEHGIVLVLGTRVISADVRQKTLLTSSGETISYKTLIVATGARAVKLEEFGVSGSDARNVCYLRNVEDADKLVGVMRSCPGGNAVVVGGGYIGMECAAALVTNNIKVTMVFPEKHCMSRLFTPKIAEFYESYYASRGVTFVKEAAVTSMQISAGKVTAVNLGNGRRLPADMVVVGVGARANTGLFDGQLVMENGGIKVNGRMQASDASVYAVGDVAAFPVKLFGGDVRRLEHVDCARRTARHAVAAMLEGTGSVGHIDYLPFFYSRVFSLSWQFYGDNAGEAVHFGDLAPPGDGDGAAPKFGAYWVRDGRVAGAFLEGGSRQEYEAVAAAVRRGAAVADVAELERRGLAFATQATGGGGKPTCAWHATVGVAAAVSIAAFACWYGWQAPYVLKRDF >ONIVA02G32310.1 pep chromosome:AWHD00000000:2:28635476:28637496:-1 gene:ONIVA02G32310 transcript:ONIVA02G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFVEAQKPLLKRLMRMAGLRPVDVEIEPGTTMHIWVPKHHVSKKTGTIRPVVEHGGVDGDGEKAGAAKRKKSAAESRPNVVLVHGFAAEGIVTWQFNFGVLVSRYNLYIPDLLFFGKSATASADRSPELQARCVAAALARLGVARCDVVGFSYGGMVAFKLAETRPDLVRSLAVSGSVVAMTDAVNSATMTRLGATSSAELLMPETLKGLKQLLSISMYKKMWFPDRFYKDYLKAMFNNRKERMELLQGLITSNMDAKIPTFQQKIMLIWGEEDKIFDIELAKKMKEQLGDGCFLHGIPKAGHLLHVERPCAYNRQLQRFLSYVNSEEEAAGGGAN >ONIVA02G32300.1 pep chromosome:AWHD00000000:2:28617451:28619550:-1 gene:ONIVA02G32300 transcript:ONIVA02G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKRSSHVEEEEEEEEEEDDEEEDEEEQGHHQYTTAAAQQQLHPQVLGSSASSPSSLMDSAAFSRPLLPPNLSLVSPSAAAAAAPGGSYLHAAHHHGQGRRVEAPGGESQHQLQRHHEPARNGVLGGVAGAHAASTLALVGGGGGGPRGGEGAAGEAPTWRHRECLKNHAARMGAHVLDGCGEFMSSPGDGAAALACAACGCHRSFHRREPAVVAPASLSLCPASASASAAAGLVSLSPSATPTGANSSRLMPLLLAPPHMQKRPPVLPVSPASAPAALAESSSEELRPPPLPSSHPHAHAAAVVAASASAPPGPSKKRFRTKFTAEQKERMREFAHRVGWRIHKPDAAAVDAFCAQVGVSRRVLKGGDTSTALAAKPPFLRAFAAFFGGQFCLSCF >ONIVA02G32290.1 pep chromosome:AWHD00000000:2:28610353:28615746:1 gene:ONIVA02G32290 transcript:ONIVA02G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQEKTRLAELEAEKVQYIIQQHLRDIERQQKEGEKFRESLQQQAQAEAQKLRYDDELARKRMQTEREAQRRQDAELVKMQEAAALRKEEVRRTTEKKILEKMLEDEKEKALLKKQNIQANAEAKGEALTREAKALEDYNRKMLLERINGDKEKWIAAINTTFSHIEGGFRMLLTDRSKLLMGVGGVTALAAGIYTTREGAKVTWGYINRILGQPSLIRESSMPKFPLSRFKALKSTSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSRKGMLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPSDLDAAITDRIDEVIEFPLPGEEERFQLLRLYLNHYMLKEDGKNSFWDSLLKKQRQKIQVKDISDDLLREAARKINGFSGREIAKLMASVQAAVYGRPDCVLDPQLLMEVVEYKVAEHHQRIKLASETST >ONIVA02G32290.2 pep chromosome:AWHD00000000:2:28610443:28615746:1 gene:ONIVA02G32290 transcript:ONIVA02G32290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQEKTRLAELEAEKVQYIIQQHLRDIERQQKEGEKFRESLQQQAQAEAQKLRYDDELARKRMQTEREAQRRQDAELVKMQEAAALRKEEVRRTTEKKILEKMLEDEKEKALLKKQNIQANAEAKGEALTREAKALEDYNRKMLLERINGDKEKWIAAINTTFSHIEGGFRMLLTDRSKLLMGVGGVTALAAGIYTTREGAKVTWGYINRILGQPSLIRESSMPKFPLSRFKALKSTSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSRKGMLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPSDLDAAITDRIDEVIEFPLPGEEERFQLLRLYLNHYMLKEDGKNSFWDSLLKKQRQKIQVKDISDDLLREAARKINGFSGREIAKLMASVQAAVYGRPDCVLDPQLLMEVVEYKVAEHHQRIKLASETST >ONIVA02G32290.3 pep chromosome:AWHD00000000:2:28610353:28615738:1 gene:ONIVA02G32290 transcript:ONIVA02G32290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRSRTAAAAAVIAASAASLADVAYADSGYSFFRRSSPPPPPPAAAAAAAASEDSGTEVAVEVDSSGFDPESLERAARLLRKLNSSKYAKQLFELMRMQEKTRLAELEAEKVQYIIQQHLRDIERQQKEGEKFRESLQQQAQAEAQKLRYDDELARKRMQTEREAQRRQDAELVKMQEAAALRKEEVRRTTEKKILEKMLEDEKEKALLKKQNIQANAEAKGEALTREAKALEDYNRKMLLERINGDKEKWIAAINTTFSHIEGGFRMLLTDRSKLLMGVGGVTALAAGIYTTREGAKVTWGYINRILGQPSLIRESSMPKFPLSRFKALKSTSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSRKGMLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPSDLDAAITDRIDEVIEFPLPGEEERFQLLRLYLNHYMLKEDGKNSFWDSLLKKQRQKIQVKDISDDLLREAARKINGFSGREIAKLMASVQAAVYGRPDCVLDPQLLMEMMKS >ONIVA02G32290.4 pep chromosome:AWHD00000000:2:28610353:28616455:1 gene:ONIVA02G32290 transcript:ONIVA02G32290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQEKTRLAELEAEKVQYIIQQHLRDIERQQKEGEKFRESLQQQAQAEAQKLRYDDELARKRMQTEREAQRRQDAELVKMQEAAALRKEEVRRTTEKKILEKMLEDEKEKALLKKQNIQANAEAKGEALTREAKALEDYNRKMLLERINGDKEKWIAAINTTFSHIEGGFRMLLTDRSKLLMGVGGVTALAAGIYTTREGAKVTWGYINRILGQPSLIRESSMPKFPLSRFKALKSTSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSRKGMLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPSDLDAAITDRIDEVIEFPLPGEEERFQLLRLYLNHYMLKEDGKNSFWDSLLKKQRQKIQVKDISDDLLREAARKINGFSGREIAKLMASVQAAVYGRPDCVLDPQLLMEVVEYKVAEHHQRIKLASETST >ONIVA02G32290.5 pep chromosome:AWHD00000000:2:28610443:28616455:1 gene:ONIVA02G32290 transcript:ONIVA02G32290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQEKTRLAELEAEKVQYIIQQHLRDIERQQKEGEKFRESLQQQAQAEAQKLRYDDELARKRMQTEREAQRRQDAELVKMQEAAALRKEEVRRTTEKKILEKMLEDEKEKALLKKQNIQANAEAKGEALTREAKALEDYNRKMLLERINGDKEKWIAAINTTFSHIEGGFRMLLTDRSKLLMGVGGVTALAAGIYTTREGAKVTWGYINRILGQPSLIRESSMPKFPLSRFKALKSTSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSRKGMLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPSDLDAAITDRIDEVIEFPLPGEEERFQLLRLYLNHYMLKEDGKNSFWDSLLKKQRQKIQVKDISDDLLREAARKINGFSGREIAKLMASVQAAVYGRPDCVLDPQLLMEVVEYKVAEHHQRIKLASETST >ONIVA02G32290.6 pep chromosome:AWHD00000000:2:28610443:28614384:1 gene:ONIVA02G32290 transcript:ONIVA02G32290.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQEKTRLAELEAEKVQYIIQQHLRDIERQQKEGEKFRESLQQQAQAEAQKLRYDDELARKRMQTEREAQRRQDAELVKMQEAAALRKEEVRRTTEKKILEKMLEDEKEKALLKKQNIQANAEAKGEALTREAKALEDYNRKMLLERINGDKEKWIAAINTTFSHIEGGFRMLLTDRSKLLMGVGGVTALAAGIYTTREGAKVTWGYINRILGQPSLIRESSMPKFPLSRFKALKSTSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSRKGMLLFIDEADAFLCEYVHNLIFKHLSDH >ONIVA02G32280.1 pep chromosome:AWHD00000000:2:28601016:28606322:1 gene:ONIVA02G32280 transcript:ONIVA02G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTTKQNKVFERALAIYDRDTPDRWQNVARAVGGGKSVDDVKRHYEKLIKDVDRIDSTGGHQGSHYNSSNASSSSSSSNSRGSANEDQRRRYHNFQ >ONIVA02G32280.2 pep chromosome:AWHD00000000:2:28601016:28606322:1 gene:ONIVA02G32280 transcript:ONIVA02G32280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTTKQNKVFERALAIYDRDTPDRWQNVARAVGGGKSVDDVKRHYEKLIKDVDRIDSTGGHQGSHYNSSNASSSSSSSNSRGSANEDQRRRYHNFQ >ONIVA02G32280.3 pep chromosome:AWHD00000000:2:28601016:28606322:1 gene:ONIVA02G32280 transcript:ONIVA02G32280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTTKQNKVFERALAIYDRDTPDRWQNVARAVGGGKSVDDVKRHYEKLIKDVDRIDSTGGHQGSHYNSSNASSSSSSSNSRGSANEDQRRRYHNFQ >ONIVA02G32280.4 pep chromosome:AWHD00000000:2:28601016:28606322:1 gene:ONIVA02G32280 transcript:ONIVA02G32280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTTKQNKVFERALAIYDRDTPDRWQNVARAVGGGKSVDDVKRHYEKLIKDVDRIDSTGGHQGSHYNSSNASSSSSSSNSRGSANEDQRRRYHNFQ >ONIVA02G32270.1 pep chromosome:AWHD00000000:2:28565787:28573001:-1 gene:ONIVA02G32270 transcript:ONIVA02G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GBW3] MGTFNRARRFLARRLASHTQASGKKPTPPSLFLFLLYFYVRAEGEREEEESRVVAPSAVGPGSRYGRRRRRPGRRRRRRGRLGTSRKRIISERPGGVPICIHHFRDSTLSLSAAALSLSGLEGVAGGRRTPLSLPSSLTDRSLLTALIFWKDRFLPSWAASGAWERFGVGSSRGLGRREEERGFALSPVPTLRLIPPTEFRGSSSIRKVLTLDEEFKVLGLKIGSHSSWMENRNKEDMGPSQRNSCPKVHSSMCSELTMMLDKVSSILPLIEAARPGCKAGIQELCNLYNIVEKGKLIIQHCVECSKLYLAITGEAIVSRCERIRDSLRRSLFLIENMVPPALANQIVDVHDDLGDIKFVIDPTEEEAGKTILEMLRQSDVTEELELETFLQAASNLNLTSPKSMLIERRAIKKLLDKISGTDPKKEGVLKFLLYLVKKYGKNIKPETGERNENMQSESQSSTPSSSFVSDTSTPGKWYTPTDIQRNEDQTSMSGAATPPAEFCCPISTKLMRDPVIITSGQTYERENIERWFREGYDTCPRTHIKLENFAMIPNTCMRDLIFNWCKEHGFIISDILPPSKNAYSYLPEQLHGYSMSSLHNVSVPLIAGKVRDFVIDHSTSSVALSEASYMSDSYHVRDMEELKDSFSQFSWSADYQECLSFRNFNQDKFLRFFYELSKLPLELQDRSIGDLKIILNEENEASCAMVSNGFVEAFFDFLMNEDGSYSMQAQKVGFQFFRVFLSNSRTNILHMNEEAFRLFASFIDSELKTEALLTLHELVQHLSCRQSHLMASIITPLLESEDAEGLELCLKIVCNLSSDSDVKPYLISLGIVSRLSPVLSEGTFAECCLEILRNLCDVEEATVLITKTDRCLGSVAEYLDTGSPKEREHAVVILLAVCSHSSEDCLLVMKEGVIPALVDLSVNGIDEAKGCSMKLLHLLRDLRRTDQLNNSCSQEVVVTDVVEGPPDSSIHKQPIPKSSRFFQRKLNIFSKPRWYTLAEVVPAPIPLDPYGERGGGRRRGKEVGGVEEEKDPYGAYGEK >ONIVA02G32270.2 pep chromosome:AWHD00000000:2:28565827:28573001:-1 gene:ONIVA02G32270 transcript:ONIVA02G32270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GBW3] MGTFNRARRFLARRLASHTQASGKKPTPPSLFLFLLYFYVRAEGEREEEESRVVAPSAVGPGSRYGRRRRRPGRRRRRRGRLGTSRKRIISERPGGVPICIHHFRDSTLSLSAAALSLSGLEGVAGGRRTPLSLPSSLTDRSLLTALIFWKDRFLPSWAASGAWERFGVGSSRGLGRREEERGFALSPVPTLRLIPPTEFRGSSSIRKVLTLDEEFKVLGLKIGSHSSWMENRNKEDMGPSQRNSCPKVHSSMCSELTMMLDKVSSILPLIEAARPGCKAGIQELCNLYNIVEKGKLIIQHCVECSKLYLAITGEAIVSRCERIRDSLRRSLFLIENMVPPALANQIVDVHDDLGDIKFVIDPTEEEAGKTILEMLRQSDVTEELELETFLQAASNLNLTSPKSMLIERRAIKKLLDKISGTDPKKEGVLKFLLYLVKKYGKNIKPETGERNENMQSESQSSTPSSSFVSDTSTPGKWYTPTDIQRNEDQTSMSGAATPPAEFCCPISTKLMRDPVIITSGQTYERENIERWFREGYDTCPRTHIKLENFAMIPNTCMRDLIFNWCKEHGFIISDILPPSKNAYSYLPEQLHGYSMSSLHNVSVPLIAGKVRDFVIDHSTSSVALSEASYMSDSYHVRDMEELKDSFSQFSWSADYQECLSFRNFNQDKFLRFFYELSKLPLELQDRSIGDLKIILNEENEASCAMVSNGFVEAFFDFLMNEDGSYSMQAQKVGFQFFRVFLSNSRTNILHMNEEAFRLFASFIDSELKTEALLTLHELVQHLSCRQSHLMASIITPLLESEDAEGLELCLKIVCNLSSDSDVKPYLISLGIVSRLSPVLSEGTFAECCLEILRNLCDVEEATVLITKTDRCLGSVAEYLDTGSPKEREHAVVILLAVCSHSSEDCLLVMKEGVIPALVDLSVNGIDEAKGCSMKLLHLLRDLRRTDQLNNSCSQEVVVTDVVEGPPDSSIHKQPIPKSSRFFQRKLNIFSKPRARMIQGGAGSYPVGSLWREGRRKKERKRSRGR >ONIVA02G32260.1 pep chromosome:AWHD00000000:2:28547181:28549539:-1 gene:ONIVA02G32260 transcript:ONIVA02G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCGGGDVSRHRKGHLDTVESLCQGLLDDVMLDDDKCRAMFGYLQEWQDLASMCYGSLGGEPPLAPEASNGSGSSGGGGSFRKRRPDDAKGESNSICKRQRGKQQQQQQPCHPDQMAAAVGKGRPERARPGAKKKAEVASPKDSPATSASTVTAGQKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCNKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVNFNIVEDLFGRQLSQAACNPAALPAMALPMAQVEPSCLQMSPLQQMQTSAGSSGYGLEMVVSNQYSPPGGPMSVPAGASVEPCLNVNGAAGWDIGSHGLFSGFDAPFQSVQSDCLLDNLKMEMSRSKKASDLRI >ONIVA02G32250.1 pep chromosome:AWHD00000000:2:28543787:28548965:1 gene:ONIVA02G32250 transcript:ONIVA02G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21620) TAIR;Acc:AT2G21620] MDRSMETVEEEVEEYSWREVLLPRLIPVVSDAAPELERETGERRRGRELLVAVDFGPNSKHAFDWALVHFARMADTLHLVHAVSSVNNDLVYEKSQELMEDLAIEALKTSLVRTKARIVEGDAGKVICREAERLKPAAVILGTRGRGLIQREVSVNIASTTVKQPQLSLFQAKKLVNSLCFKGRKNEDRLQMMGLHVVDDLVEHAGLAGDLVATGHQLLQVPHPLADPLPPHLQKNNSFGHVVVSSRLATKTRDGGRINGGGVPVRRGCGCPWPAHGGL >ONIVA02G32250.2 pep chromosome:AWHD00000000:2:28543787:28548122:1 gene:ONIVA02G32250 transcript:ONIVA02G32250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21620) TAIR;Acc:AT2G21620] MDRSMETVEEEVEEYSWREVLLPRLIPVVSDAAPELERETGERRRGRELLVAVDFGPNSKHAFDWALVHFARMADTLHLVHAVSSVNNDLVYEKSQELMEDLAIEALKTSLVRTKARIVEGDAGKVICREAERLKPAAVILGTRGRGLIQREVSVNIASTTVKQPQLSLFQAKKLVNSLCFKGRKNEDRLQMMVQHKVTCKLDSQNQLLTLY >ONIVA02G32250.3 pep chromosome:AWHD00000000:2:28543787:28547737:1 gene:ONIVA02G32250 transcript:ONIVA02G32250.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21620) TAIR;Acc:AT2G21620] MDRSMETVEEEVEEYSWREVLLPRLIPVVSDAAPELERETGERRRGRELLVAVDFGPNSKHAFDWALVHFARMADTLHLVHAVSSVNNDLVYEKSQELMEDLAIEALKTSLVRTKARIVEGDAGKVICREAERLKPAAVILGTRGRGLIQREVSVNIASTTVKQPQLSLFQAKKLVNSLCFKGRKNEDRLQMMQTITCRRKQKLDSVT >ONIVA02G32240.1 pep chromosome:AWHD00000000:2:28538409:28543672:1 gene:ONIVA02G32240 transcript:ONIVA02G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GBV8] MSLKSIVRELREMRDGIGSMSRRAADGRAGGGRGGSRHSWPVLWSEQQQPPRQQQLQRQEHQQQQGRWANLPPELLLDVIQRVEASEATWPARRQVVACAAVCRSWREVTKEVVKTLEECGRITFPISLKQPGPREHPVQCFVRRDRATSTYLLYLGLSPSLHGENDKLLLAARKIRRATRTSFVISLVSNDFSLSSSTYVGKLKPNFLGTKFTIFDSQPPCDAVVLPNNRPSKRHFKQVSPRLPLGNYNVATVSYELTVLRNRGPRRMQCTMHSIPALCIQEGGKAPTPTGIIHSLDEQVPALSTSKGKEPAIEFSSTSLSADLSGPVCTNEVPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLGIPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >ONIVA02G32240.2 pep chromosome:AWHD00000000:2:28538409:28543672:1 gene:ONIVA02G32240 transcript:ONIVA02G32240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GBV8] MSLKSIVRELREMRDGIGSMSRRAADGRAGGGRGGSRHSWPVLWSEQQQPPRQQQLQRQEHQQQQGRWANLPPELLLDVIQRVEASEATWPARRQVVACAAVCRSWREVTKEVVKTLEECGRITFPISLKQPGPREHPVQCFVRRDRATSTYLLYLGLSPSLHGENDKLLLAARKIRRATRTSFVISLVSNDFSLSSSTYVGKLKPNFLGTKFTIFDSQPPCDAVVLPNNRPSKRHFKQVSPRLPLGNYNVATVSYELTVLRNRGPRRMQCTMHSIPALCIQEGGKAPTPTGIIHSLDEQVPALSTSKGKEPAIEFSSTSLSADLSGPVCTNEVPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLGIPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >ONIVA02G32230.1 pep chromosome:AWHD00000000:2:28536628:28537525:1 gene:ONIVA02G32230 transcript:ONIVA02G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKCGVGVVSTYALPHEYKMRCNECLEWIARGGRCNAMQSCQCANGNGNQQAAVTLMKGGQNEFIEPPLAFGRVNSVNIAISNLKSSDEPPYCQAWVHGSAAMMWIVRNPESKEDRVDATNTRGHHAIHLNSQQHFVTMKYMHLFSLQ >ONIVA02G32220.1 pep chromosome:AWHD00000000:2:28529736:28531616:-1 gene:ONIVA02G32220 transcript:ONIVA02G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G36530) TAIR;Acc:AT4G36530] MSVACAAALPTASARRRHRGSPQTALEGGGGKLVMMQRRDLVTKGVTLSVCCSMLSSSNSSAQALERLPFKADGYNFWTWRGRRIHYVEQGAGQPIVLIHGFGASAFHWRYNIPELAKKYKVYAIDLLGFGWSEKALVEYEATIWMEQVRDFLRDVVKDPAVVVGNSLGGFTTLFAATEVPELVRGVVLLNSAGQFGDPNRPPEAAEAAAGEESAVTRLVVRPLKEAFQRVVLGFLFWQAKQPARVEKVLKSVYKDATNVDEYLIGSITAPTADPNAGEVYYRLMSRFMANQSRYTLDRLLGKLSCPLLLLWGDLDPWVGPAKAAQIKKFYQDTTVVNLQAGHCPHDEAPEQFNGALLEWLASLDAGKPADQPEPALQSV >ONIVA02G32210.1 pep chromosome:AWHD00000000:2:28525655:28527831:-1 gene:ONIVA02G32210 transcript:ONIVA02G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like negative transcriptional regulator family protein [Source:Projected from Arabidopsis thaliana (AT4G34540) TAIR;Acc:AT4G34540] MCEEATRSRVLVVGATGRLGGCLVRASLAAGHPTFALVRPHHLAVPDSAPLTSLAGATVVKGSLEDYPSLLEAVRQVDVVICAVPTKQALEQKLLIRAIKDAGCVKRFIPAEYGLDPTKVQICGMDHGFYEKKIEIRHLIESECIPHTYICCNFLMRYLLPSLVQPGLDAPPRDEVKIFGDGNTRGVFVEETDVAKFTICTIDDPRTLNNTLYLRPLGNVYSMNKLVDLWEKKINKFLNKIYITEEQLLKNIEDAPLPLKMDLIFIYSTFIKGDQTYFEIDSRKEGTQLYPHVNYTTVDGYLDKLV >ONIVA02G32210.2 pep chromosome:AWHD00000000:2:28525655:28527831:-1 gene:ONIVA02G32210 transcript:ONIVA02G32210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like negative transcriptional regulator family protein [Source:Projected from Arabidopsis thaliana (AT4G34540) TAIR;Acc:AT4G34540] MCEEATRSRVLVVGATGRLGGCLVRASLAAGHPTFALVRPHHLAVPDSAPLTSLAGATVVKGSLEDYPSLLEAVRQVDVVICAVPTKQALEQKLLIRAIKDAGCVKRFIPAEYGLDPTKVQICGMDHGFYEKKIEIRHLIESECIPHTYICCNFLMRYLLPSLVQPGLDAPPRDEVKIFGDGNTRGLCILLLSFSPVCCLVPEKKKRGSFTFCIFNLNGELFFAGVFVEETDVAKFTICTIDDPRTLNNTLYLRPLGNVYSMNKLVDLWEKKINKFLNKIYITEEQLLKNIEDAPLPLKMDLIFIYSTFIKGDQTYFEIDSRKEGTQLYPHVNYTTVDGYLDKLV >ONIVA02G32200.1 pep chromosome:AWHD00000000:2:28519238:28523201:-1 gene:ONIVA02G32200 transcript:ONIVA02G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAERSSGHNRHSRNDSSTKHKSGYEPSDTETEWHESPWNDAVLPSGRNTQIGARRQNLSPNHTREYPNEKTSNLRNSRTPPRFTEQVHQNSSHSGGKNELRKKSNRTPPRFRPSMESFSRSSIKEKFSQNRSISTPKLRPHEKEHPPRAPAFLGTNLISKQGEMDSADNIKEDSHAENCSQEINELIANGKWPNSRYNEYACTSTESIPTGDIFFSRDCRAPIQRTPTKHNNDKSLTSETARAENYGTEANSNNLAQTPKSISAQTGLSRTIRNSNYGTSRHTQINSGATLSSQFNSGRYSGDSGKFSDFTGKLVGGVMKFTSNMQKAQNDSWLPCVTGKTCRKPKSPNSKTTDESESSFIQKALVVENIRLFWADKYRPRTLGGFTCHREQIEQLKQLVSTEFCPHIIFKGPPGSGKSSLCRAVVTEIFGDSSLNVSHYLKSCSGQGSTSMPVLVPLSSSDHHMELNLRYYSKNAGYVLMDLANEITNKKKTTDPSVRKKFKVIVLYDVDKVSESNQRLIKWMIDSSSDTHKILMTCQDESHILDSMKSRCKLICIGVPNTREIVDILTYISKKESFDLPSSFAATIASQSRQNMREAILALEACKANNYPFIDGQAIPLGWENVLQEIAAEILDDPSPKRLFLVRGKLQKLLVEFVPPKLILQKLAELFLKGIQSSIKREDKRLPVGASALLKLEEFVAKFMSIHRKTLPVRSTRPV >ONIVA02G32200.2 pep chromosome:AWHD00000000:2:28519238:28523201:-1 gene:ONIVA02G32200 transcript:ONIVA02G32200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAERSSGHNRHSRNDSSTKHKSGYEPSDTETEWHESPWNDAVLPSGRNTQIGARRQNLSPNHTREYPNEKTSNLRNSRTPPRFTEQVHQNSSHSGGKNELRKKSNRTPPRFRPSMESFSRSSIKEKFSQNRSISTPKLRPHEKEHPPRAPAFLGTNLISKQGEMDSADNIKEDSHAENCSQEINELIANGKWPNSRYNEYACTSTESIPTGDIFFSRDCRAPIQRTPTKHNNDKSLTSETARAENYGTEANSNNLAQTPKSISAQTGLSRTIRNSNYGTSRHTQINSGATLSSQFNSGRYSGDSGKFSDFTGKLVGGVMKFTSNMQKAQNDSWLPCVTGKTCRKPKSPNSKTTDESESSFIQKALVVENIRLFWADKYRPRTLGGFTCHREQIEQLKQLVSTEFCPHIIFKGPPGSGKSSLCRAVVTEIFGDSSLNVSHYLKSCSGQGSTSMPVLVPLSSSDHHMELNLRYYSKNAGYVLMDLANEITNKKKTTDPSVRKKFKVIVLYDVDKVSESNQRLIKWMIDSSSDTHKILMTCQDESHILDSMKSRCKLICIGVPNTREVRFLAHSIIVDILTYISKKESFDLPSSFAATIASQSRQNMREAILALEACKANNYPFIDGQAIPLGWENVLQEIAAEILDDPSPKRLFLVRGKLQKLLVEFVPPKLILQKLAELFLKGIQSSIKREDKRLPVGASALLKLEEFVAKFMSIHRKTLPVRSTRPV >ONIVA02G32190.1 pep chromosome:AWHD00000000:2:28512603:28514835:-1 gene:ONIVA02G32190 transcript:ONIVA02G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrophosphorylase 2 [Source:Projected from Arabidopsis thaliana (AT2G18230) TAIR;Acc:AT2G18230] MAGEADGKAPLGSRYPPAALNERILSSMSQKHVAAHPWHDLEIGPGAPAVFNCVVEIPRGSKVKYELDKATGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDIKEIPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAEDAINAIKYSMDLYGAYIIESLRK >ONIVA02G32180.1 pep chromosome:AWHD00000000:2:28508629:28511776:1 gene:ONIVA02G32180 transcript:ONIVA02G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ornithine carbamoyltransferase [Source:Projected from Arabidopsis thaliana (AT1G75330) TAIR;Acc:AT1G75330] MSAAISGASLVLSSPPAPGARPQPRPARVSVAAAAPAARRGVVAAAAVSSPAVSSSAGKDAKQIPKDFLHIDDFDKDTIMKILNRAIEVKAMIKSGDRSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFAHQDILDLAKYAAVPVINGLTDYNHPCQIMADALTMLEHIGRIENTKVVYVGDGNNIVHSWLRLAALFPLHFVCACPKGFEPDAKTVEIARSAGSKIEITDDPMEAVKGADVVYTDVWASMGQKEEAEYRKKVFQGFTVDEAMMEMAGPNAFLMHCLPAERGIEVTDGAIEAPNSIVFPQAENRMHAQNAIMLHVLGA >ONIVA02G32170.1 pep chromosome:AWHD00000000:2:28506077:28507174:-1 gene:ONIVA02G32170 transcript:ONIVA02G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTPTRAAFPPRSPVPTRFTSFFPSKNSGVLAHHGSVPPPPLTARAHRSDRAGYARDRFASPSTLVLELCTAGGRDGGGGGGRDTAARVSSQRRDPREVEAPLASHARARAAGGRWLGAAVDARKSKSPVISL >ONIVA02G32160.1 pep chromosome:AWHD00000000:2:28503268:28506059:-1 gene:ONIVA02G32160 transcript:ONIVA02G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITHYVLDPLLETGSATQSQKAASKPPPAPPLPQEKAAEKPIPVPVAPVRRAQTASATLYATPESTSLPDSPSSFPGTWSPYLINHKRRGPCLVKTLSQGDVGSDGSQQPTLSVTLPPLPKRSQSFEAQEPEFEFQQASNGVLEGDSGVVETLDWQNGMLQKGKGTVSGEYGHDQAEFEFQHGNPDATVRPVNVGRPANGGTPRNFNNDAFFELQDSLSMASNSEAEDAGGHERWWKPSSPLGTSVGTPGAEFYDAFEEISSDGATRSSQCMDDDLREMRLSLLMEIERRKQAEEALENWQKEWKKLSDHLSVIALTLPPPSLAEDTDDSSMDPGAELCQQITVSQLVAAAIARGFARAEVETDMETKIAAKNFEIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRNIRKKRQKWFWGSVGLAVTLGTAAIAWSYLPAAQPQASQDSNTNSD >ONIVA02G32150.1 pep chromosome:AWHD00000000:2:28499699:28503343:1 gene:ONIVA02G32150 transcript:ONIVA02G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPPAPPPLKPPVRLNRLPQRQPGGGGRFLLPRRRPRTPRSFGVVVCMAEMARVGTGSSWEELGVPEESDAILGGGGGDGQRRQATRWELVEARLNQTSKWLVAGCYTSAAIWKHDALIMWAMIGAVLNSMFSNLLKRIFNHERPVSALRSDPGMPSSHAQSFLYSAVFLILSLFYWLGRTYLSVILGVAILAMCCYLSWLRVSQRLHTLNQVLVGAIVGSAFGAMWFALFNLLVQEAFASSVPVQIAVTIGTAILCIGFVIHVVRHWFKDE >ONIVA02G32140.1 pep chromosome:AWHD00000000:2:28490260:28494957:-1 gene:ONIVA02G32140 transcript:ONIVA02G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLLPCRFLLSPSAAGRVPSRRAITLLSAGASPSTPSRSAGAPSVRQRRVRAAPSVPAKQAARPRPAGENGRTTTRRRRRRKQQGEEGEERGERGCVPSMEEASIRVGTLYQNGDPLGRKELGRCVVEWLRQGMQSMASKFASAELQGDMADLDAAALAQEWGSADGRLGFVIQAQPYMSAIPMPKGLEALCLKACTHYPTLFDHFQRELRDVLQSCQNQGLISDWRSTQSWKLLKEMANSVQHREAVRRTVPRPKAVHGSIGVSLNKVKLMQRRIEQYVTHMSDLLCIERDVELEFTQEELNASPMLDNDSEPPKPVEYLVSHGQSQQEQCDTICNLNVISSSTGLGGLHLVLFRIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFVYNLGEDGCSITLALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALIILQRNGLQKSNASIGVVATLFGDNKDVMKMEQNHLTDWGESSAPDPRISERLSNTGLNIVRVGNPARISPSVASKSLAEIVNRRLEQFRKELERKRSDLRKDLSYCIEDDSLAAGIRQLLKQLGRDLEKKEKEMIREVLSEAQVVLSTNTGAADPLIRRTCCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDHCQLAPVILSRKALDGGLGKSLLERASSLHNGLLTTRLTVQHRMNDSIASWASKEMYHGLLKSSHSVASQTLADSPVVKATWITRCPLLLLDTRMPYGALDTGCGEQIDLAGTGSFYNDGEADIVTQHVLNLVHCGVSPTAIAVQSPYIAQVQLLRERLEEYPGLSGVEVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRACRHVTVVCDTSTICHSTFLARLLRHIRRYGQVKHVVPGSLDGVSGLGFSQPTLPSIS >ONIVA02G32140.2 pep chromosome:AWHD00000000:2:28490260:28494957:-1 gene:ONIVA02G32140 transcript:ONIVA02G32140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLLPCRFLLSPSAAGRVPSRRAITLLSAGASPSTPSRSAGAPSVRQRRVRAAPSVPAKQAARPRPAGENGRTTTRRRRRRKQQGEEGEERGERGCVPSMEEASIRVGTLYQNGDPLGRKELGRCVVEWLRQGMQSMASKFASAELQGDMADLDAAALAQEWGSADGRLGFVIQAQPYMSAIPMPKGLEALCLKACTHYPTLFDHFQRELRDVLQSCQNQGLISDWRSTQSWKLLKEMANSVQHREAVRRTVPRPKAVHGSIGVSLNKVKLMQRRIEQYVTHMSDLLCIERDVELEFTQEELNASPMLDNDSEPPKPVEYLVSHGQSQQEQCDTICNLNVISSSTGLGGLHLVLFRIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFVYNLGEDGCSITLALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALIILQRNGLQKSNASIGVVATLFGDNKDVMKMEQNHLTDWGESSAPDPRISERYALDASQLKALSLGLNKKRPVLIIQGPPGTGKTVLLTELIVRAVQQGENVLVTAPSNAAVDNMVERLSNTGLNIVRVGNPARISPSVASKSLAEIVNRRLEQFRKELERKRSDLRKDLSYCIEDDSLAAGIRQLLKQLGRDLEKKEKEMIREVLSEAQVVLSTNTGAADPLIRRTCCFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDHCQLAPVILSRKALDGGLGKSLLERASSLHNGLLTTRLTVQHRMNDSIASWASKEMYHGLLKSSHSVASQTLADSPVVKATWITRCPLLLLDTRMPYGALDTGCGEQIDLAGTGSFYNDGEADIVTQHVLNLVHCGVSPTAIAVQSPYIAQVQLLRERLEEYPGLSGVEVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRACRHVTVVCDTSTICHSTFLARLLRHIRRYGQVKHVVPGSLDGVSGLGFSQPTLPSIS >ONIVA02G32130.1 pep chromosome:AWHD00000000:2:28475129:28476997:-1 gene:ONIVA02G32130 transcript:ONIVA02G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMRLSYIPPAASAAPQSPSYGRKKNASAAPPSAAASTTVLTSPPVTTTRTPKQTEQEDEQLVAKTKTTRTVIATTNGRAAPSQSRPRRRPAPAAAASAASLPMTFCNALEEVINTFIDPPALRPAVDPRNVLTSNFVPVDELPPTPCPVVRGAIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLPSPASSGDDPVLCSRYVQTYKYLVERDAGAPVLPNVFSGFHGVAGMARGAVVAARVLTGQMNPLEGVGLANTSLAYFAGRLYALGESDLPYAVRVHPDTGEVTTHGRCDFGGRLVMGMTAHPKKDPVTGELFAFRYGPVPPFVTYFRFDPAGNKGADVPIFSVQQPSFLHDFAITERYAIFPEIQIVMKPMDMVVGGGSPVGSDPGKVPRLGVIPRYATDESEMRWFKVPGFNIMHSVNAWEEAGGEELVLVAPNVLSIEHALEHMELVHSCVEKVRINLRTGVVTRTPLAAGNFDFPVINPAFLGRRNRYGYFGVGDPAPKIGGVAKLDFDRAGEGDCTVAQRDFGPGCFAGEPFFVADDVEGNGNEDDGYLVCYVHDEATGENRFVVMDARSPDLEIVAEVQLPGRVPYGFHGLFVTQAELQSQHQ >ONIVA02G32120.1 pep chromosome:AWHD00000000:2:28471562:28474604:1 gene:ONIVA02G32120 transcript:ONIVA02G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKERDGEGRRAHATMVGVQFINAGYHVIAKQALNVGVNRVVFCVFRDVLALAVLAPLAFLRHRGSPSQARTPLTWRLLASFFILGLTGIFANQLLFLVGLSYTNPTYAAATQPSIPVFTFILALLMGTETVSLSTHEGKAKIGGTIVCVMGAVFMVLYRGPAVLGSGKLEIDDVHSHGVITDMSQPETVGPLAFLFVAFGLQKWHIGVLCLVGNCLCMATYLALQAPILVKYPCSLSLTAYSYFFGAILMVISGVFATNGREDWSLTQSEFGAVVYAGVISSALNYGLMTWTNKILGPAMVALYMPLQPVLSALLSMFFLGSSIYFGSIIGGSLIISGLYLVTWARHREKLTGVGVPYEKCASESLESTSHVVKSGNMAVPYISLSRLWNVYPVLPCSERRYRKKCCEEDSSEGSETNNTVDAA >ONIVA02G32120.2 pep chromosome:AWHD00000000:2:28471562:28474886:1 gene:ONIVA02G32120 transcript:ONIVA02G32120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKERDGEGRRAHATMVGVQFINAGYHVIAKQALNVGVNRVVFCVFRDVLALAVLAPLAFLRHRGSPSQARTPLTWRLLASFFILGLTGIFANQLLFLVGLSYTNPTYAAATQPSIPVFTFILALLMGTETVSLSTHEGKAKIGGTIVCVMGAVFMVLYRGPAVLGSGKLEIDDVHSHGVITDMSQPETVGPLAFLFVAFGLQKWHIGVLCLVGNCLCMATYLALQAPILVKYPCSLSLTAYSYFFGAILMVISGVFATNGREDWSLTQSEFGAVVYAGVISSALNYGLMTWTNKILGPAMVALYMPLQPVLSALLSMFFLGSSIYFGSIIGGSLIISGLYLVTWARHREKLTGVGVPYEKCASESLESTSHVVKSGNMAVPYISLSRLWNVPHES >ONIVA02G32120.3 pep chromosome:AWHD00000000:2:28471562:28476664:1 gene:ONIVA02G32120 transcript:ONIVA02G32120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKERDGEGRRAHATMVGVQFINAGYHVIAKQALNVGVNRVVFCVFRDVLALAVLAPLAFLRHRGSPSQARTPLTWRLLASFFILGLTGIFANQLLFLVGLSYTNPTYAAATQPSIPVFTFILALLMGTETVSLSTHEGKAKIGGTIVCVMGAVFMVLYRGPAVLGSGKLEIDDVHSHGVITDMSQPETVGPLAFLFVAFGLQKWHIGVLCLVGNCLCMATYLALQAPILVKYPCSLSLTAYSYFFGAILMVISGVFATNGREDWSLTQSEFGAVVYAGVISSALNYGLMTWTNKILGPAMVALYMPLQPVLSALLSMFFLGSSIYFGSIIGGSLIISGLYLVTWARHREKLTGVGVPYEKCASESLESTSHVVKSGNMAVPYISLSRLWNVPHES >ONIVA02G32110.1 pep chromosome:AWHD00000000:2:28469294:28470559:-1 gene:ONIVA02G32110 transcript:ONIVA02G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTEDAPRRSWSELSTDLAGEIFGRILCHADRVRFGAVCRQWRISARRNPLPRQFPWLALPNRTFYSLPNSAFRRLPLHLHRHRQLPHAQSSCGEWLVFQHHDGAYTLVSPFSTATTMVLPRMPTDPPVTHDTPPPLQKLVVCSPTLVAAVVGTRPSQLLLCRPGSASWSCRHDRLQALEIQDMVSYQGKLHALVNSGDLLSISISEDDDSHAGGEPTVSSVDCLVRISPGRRTEPPLYLVESEGALLMVRKENHSTREGSYSDDDESYSSSDEQSNVILNPDDDDSYVPLYSAEQIELQTKFEVFAADMAGSRWRKVRSVGGDRVLFVGHWCSRSVHVVPDVHQGKAHNIGDRIFFLQDRGADGYGGQQWRTVEFFCTIYDMRERRSQLFLKTPLRPVGSLGTWLFPPSGRAAGMSEHC >ONIVA02G32100.1 pep chromosome:AWHD00000000:2:28452448:28455530:1 gene:ONIVA02G32100 transcript:ONIVA02G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLLFVCVLAPFLLVCAVRGRRRQAGSSEAAACGLPLPPGSMGWPYVGETFQLYSSKNPNVFFNKKRNKYGPIFKTHILGCPCVMVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRIVSRAFSPESIRASVPAIEAIALRSLHSWDGQFVNTFQEMKTYALNVALLSIFGEEEMRYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGAIVAHIISARRERQRGNDLLGSFVDGREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPAVLKAVTEEQLQIAKEKEASGEPLSWADTRRMKMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHNPDHFPCPEKFDPSRFEVSITNPLFRVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATKYRWSTSKSESGVQFGPFALPLNGLPMSFTRKNTEQE >ONIVA02G32090.1 pep chromosome:AWHD00000000:2:28440703:28442937:1 gene:ONIVA02G32090 transcript:ONIVA02G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRAVLAVHLVDLLAVVAGAGAPPEEQHKVVEDLGVLDCWYRHMMVKSALRNWLITSMAVTAALNGLGLALQIPTIYTFVADSFDGTSRGVVFGLLMVAGKVGTIKAEWRNAASLARAFFSGE >ONIVA02G32080.1 pep chromosome:AWHD00000000:2:28434360:28436978:1 gene:ONIVA02G32080 transcript:ONIVA02G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWSRGLSCRAAICGIVVLLCATAFSCSLAAEFRKVKEKDMKLDGSLCSLPKSSAFELGVAAIAFLSVAQLVGTTAAATTMCAASKRSKSSTTRRRAASVAILVLSWVSFALAVVLLATAASMNHGQRYGRGWMDGDCYVARNGVFGGAAALVVVTALLILGLTSTTKSSSCATSAASATTTIRLDAAATDAEQASGRSKQ >ONIVA02G32070.1 pep chromosome:AWHD00000000:2:28427886:28428735:-1 gene:ONIVA02G32070 transcript:ONIVA02G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPNGASAAAAWPQLRRGRARAATAAAAARSTSRVDSSSAHNRRSRASAAALVLSSLASVVAHLHHPSRPPSSPSLTRSSSVSRYSYLAAVRRLAVVRRRPSISPNSCLQLCVAGGDGDSTLVYSPLCRLHQDHHHLRYPAPYPAQPRGTSAA >ONIVA02G32060.1 pep chromosome:AWHD00000000:2:28424035:28427824:-1 gene:ONIVA02G32060 transcript:ONIVA02G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGAVRAPRRARGPDATRALVFQITTAVASYRRLLNSLGTPKDTPALRDQLQKTSHNILQLAKDAKEKLRRAAEADKSADTSADKRVADMKLAKDFATTMEEYGKLQNLAIQREMAYKPVVPQTSQPNYTTGGIEARDKIPEQHALLAESKRQEVLQLDNEIVFNEAIIEEREQAIQDIQQQIGEVHEAFKDLATLEIDTNIENSAAATKEAKTEIAKASKTQKSNSSLLCILLVIFGVVLLIVIIVLAT >ONIVA02G32060.2 pep chromosome:AWHD00000000:2:28424035:28427824:-1 gene:ONIVA02G32060 transcript:ONIVA02G32060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGAVRAPRRARGPDATRALVFQITTAVASYRRLLNSLGTPKDTPALRDQLQKTSHNILQLAKDAKEKLRRAAEADKSADTSADKRVADMKLAKDFATTMEEYGKLQNLAIQREMAYKPVVPQTSQPNYTTGGIEARDKIPEQHALLAESKRQEVLQLDNEIVFNEAIIEEREQAIQDIQQQIGEVHEAFKDLATLVHIQGVTIGKFYIALYISCVVPVLPKEIDTNIENSAAATKEAKTEIAKASKTQKSNSSLLCILLVIFGVVLLIVIIVLAT >ONIVA02G32050.1 pep chromosome:AWHD00000000:2:28420192:28420545:-1 gene:ONIVA02G32050 transcript:ONIVA02G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRWDKAERSTRRARSAPTREKRPVKGKSRQGRRVATAQSAAAVLPSVRRAGGETPVLMSGTRHDAMAMVRLTKVRMLFVRCRGSVRHSSEESVLDDDIWAAARKKGKRKEERERG >ONIVA02G32040.1 pep chromosome:AWHD00000000:2:28409238:28411453:-1 gene:ONIVA02G32040 transcript:ONIVA02G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) TAIR;Acc:AT1G31650] MTYNGLESCIINSSSYDDDSGLSATTGADGCVTTDSVDDEVSSCSSSKDVSSSSFSSQCHPLRKQEEHSLYELDTLSAVHLLPLKGKKPITYTLSASDIETMKEKFGKLLLGDDASGGARGVCAALALSNAIINLSATIFGELWKLEPLCEEKKVRWRKEMDWLLSPTTYMVELVPTKQNGADGCTFEIMTPKARSDVNVNLPALQKLDSMLIEVLDSMVDTEYWYVESGSRANGRGKKNGLRQTKKWWLPSPRVPDIGLSQFQRNRLVFQAKLSGRASLGEDLYHAITTEYIPIEEIFLSLSLKTEHTVLETMNRLEGAVFAWNQRISEEKSKKSPGRHSWNFMKDSSSELDKMSMCIERVETLMQLLKSRFPSLPPTFIEVVKIQYNVDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPLATLKFDLSSDVYLAGITETPPGHIRRSLMDQISLVDGSLDAVVRKKGVKQLRW >ONIVA02G32030.1 pep chromosome:AWHD00000000:2:28396053:28403822:-1 gene:ONIVA02G32030 transcript:ONIVA02G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSWEVDAAGPPWRPSESTAFLPFAAAAAAGDRAGASLSGRRNGLAARSSNLSSVRKRPFVARLTTDIVQTFGKCNPEFKYSDSLNPKRFLTNPSVPAHNDGLDNANSDLILYVNLELVNKKSDRRYVIKEMLGQGTFGQVAKCWDGETNSYVAVKVIKNQPAFYQQAIMEVSLLSMLNEKYDPDDQHHIVRMLDFFLYQNHLCIAFEMLGHNLYELLKRNSLRGLQLKYVRTFSRQILDALVVMKDAGIIHCDLKPENILITPNVKTAAGVKVIDFGSACLEESVLQISGKYDVLCRMIEILGGQPPDDLLREAKNTGRFFKQVGSIYPGIEMQNGPISAYRILTEEEIETRESKKPKVGRWYFPRGRLDKLIYTYPWKNLNGENLPETEKTDRLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEAFTGPYEPIQETPRIPVGRVAAVDHNPGGGHWLAAGLSPQVVGSINRGLPFNNAFAPKIPFSYGSSCGSFGSHGSFNDNVGLASSYGSYDVNSVNMYHSPLGPSGFNLHSQAGGTFLGSSPDIRRRSYLYHGGGIRLSPGCPGPMSLGASPSQFTPPNSQMQIPSTATGKYGSTSPARSSHGSLGKAAAVGQYNRRRNLGHPPISMPPHEYTSQLIQGHHGDGTISNHFDGYARGHSGYPQSALPNPGHFSWRPHTCAGSGLSTDTSNHGSFPPSRYGGFPPSHSSNVSADTLASTSSIPDPADWDPNYSEESLLQEDTSLSDALSDLHLKDASGQTNQSSRLAHIQSHAIANSNSLSMNQRGDRLFHASTLTESSASTGHVTYDGYHNANYSQLNFQSRHGQPFQRYNHMTASYLRPMGNHHNGQPVWPNYGMAEPPPATMADGMPWGGRPGHSFTAGGLPSSFAGKDFGRIF >ONIVA02G32030.2 pep chromosome:AWHD00000000:2:28396053:28403822:-1 gene:ONIVA02G32030 transcript:ONIVA02G32030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSWEVDAAGPPWRPSESTAFLPFAAAAAAGDRAGASLSGRRNGLAARSSNLSSVRKRPFVARLTTDIVQTFGKCNPEFKYSDSLNPKRFLTNPSVPAHNDGLDNANSDLILYVNLELVNKKSDRRYVIKEMLGQGTFGQVAKCWDGETNSYVAVKVIKNQPAFYQQAIMEVSLLSMLNEKYDPDDQHHIVRMLDFFLYQNHLCIAFEMLGHNLYELLKRNSLRGLQLKYVRTFSRQILDALVVMKDAGIIHCDLKPENILITPNVKTAAGVKVIDFGSACLEGKTIYSVGITDLRNGQPPDDLLREAKNTGRFFKQVGSIYPGIEMQNGPISAYRILTEEEIETRESKKPKVGRWYFPRGRLDKLIYTYPWKNLNGENLPETEKTDRLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEAFTGPYEPIQETPRIPVGRVAAVDHNPGGGHWLAAGLSPQVVGSINRGLPFNNAFAPKIPFSYGSSCGSFGSHGSFNDNVGLASSYGSYDVNSVNMYHSPLGPSGFNLHSQAGGTFLGSSPDIRRRSYLYHGGGIRLSPGCPGPMSLGASPSQFTPPNSQMQIPSTATGKYGSTSPARSSHGSLGKAAAVGQYNRRRNLGHPPISMPPHEYTSQLIQGHHGDGTISNHFDGYARGHSGYPQSALPNPGHFSWRPHTCAGSGLSTDTSNHGSFPPSRYGGFPPSHSSNVSADTLASTSSIPDPADWDPNYSEESLLQEDTSLSDALSDLHLKDASGQTNQSSRLAHIQSHAIANSNSLSMNQRGDRLFHASTLTESSASTGHVTYDGYHNANYSQLNFQSRHGQPFQRYNHMTASYLRPMGNHHNGQPVWPNYGMAEPPPATMADGMPWGGRPGHSFTAGGLPSSFAGKDFGRIF >ONIVA02G32030.3 pep chromosome:AWHD00000000:2:28396053:28403822:-1 gene:ONIVA02G32030 transcript:ONIVA02G32030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSWEVDAAGPPWRPSESTAFLPFAAAAAAGDRAGASLSGRRNGLAARSSNLSSVRKRPFVARLTTDIVQTFGKCNPEFKYSDSLNPKRFLTNPSVPAHNDGLDNANSDLILYVNLELVNKKSDRRYVIKEMLGQGTFGQVAKCWDGETNSYVAVKVIKNQPAFYQQAIMEVSLLSMLNEKYDPDDQHHIVRMLDFFLYQNHLCIAFEMLGHNLYELLKRNSLRGLQLKYVRTFSRQILDALVVMKDAGIIHCDLKPENILITPNVKTAAGVKVIDFGSACLEESVLQISGKYDVLCRMIEILGGQPPDDLLREAKNTGRFFKQVGSIYPGIEMQNGPISAYRILTEEEIETASYHPFITGEAFTGPYEPIQETPRIPVGRVAAVDHNPGGGHWLAAGLSPQVVGSINRGLPFNNAFAPKIPFSYGSSCGSFGSHGSFNDNVGLASSYGSYDVNSVNMYHSPLGPSGFNLHSQAGGTFLGSSPDIRRRSYLYHGGGIRLSPGCPGPMSLGASPSQFTPPNSQMQIPSTATGKYGSTSPARSSHGSLGKAAAVGQYNRRRNLGHPPISMPPHEYTSQLIQGHHGDGTISNHFDGYARGHSGYPQSALPNPGHFSWRPHTCAGSGLSTDTSNHGSFPPSRYGGFPPSHSSNVSADTLASTSSIPDPADWDPNYSEESLLQEDTSLSDALSDLHLKDASGQTNQSSRLAHIQSHAIANSNSLSMNQRGDRLFHASTLTESSASTGHVTYDGYHNANYSQLNFQSRHGQPFQRYNHMTASYLRPMGNHHNGQPVWPNYGMAEPPPATMADGMPWGGRPGHSFTAGGLPSSFAGKDFGRIF >ONIVA02G32020.1 pep chromosome:AWHD00000000:2:28391370:28395330:1 gene:ONIVA02G32020 transcript:ONIVA02G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GBS7] MIPKGKKRGHTSSHKRRGPAWKPNGGRPLCVLHRQRRGGEKKRPSLDGKLQAFALFACLSPPSRPVPSPRQEQRACEIRSRRRIRMANGGVCLSCSALVCALVFLTVDGDFVDITYVASAVAKGAVCLDGSPPAYHLARGFGSGVNSWLVHFEGGGWCSNVTTCLQRKRTRLGSSKQMAKQIAFSGILSNTPDYNPDFYNWNKVKVRYCDGSSFTGDVEKVDPATKLHYRGARVWQAVMDDLLAKGMNSANNALISGCSAGGLTSILHCDRFRDLFPVDTKVKCLSDAGFFINEKDIAGVEYIAAFFNGVATTHGSAKNLPSACTSRLSPGMCFFPQNEVKQIQTPLFILNAAYDSWQVRNILVPGFADPHGFRDDFLKALKEQGTPSTRGLFINSCFVHCQSETQETWFASGSPMLETKTIADAVGDWFYDRNPFQKIDCPYPCDSTCHNRIYDDPSEA >ONIVA02G32010.1 pep chromosome:AWHD00000000:2:28386501:28386695:-1 gene:ONIVA02G32010 transcript:ONIVA02G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPHRQPGGHLVHLIGNAQTLAVPVKLLVTLSAECHPVKGCRCAGTIAPTPGSQDVAVVISTV >ONIVA02G32000.1 pep chromosome:AWHD00000000:2:28377320:28377649:-1 gene:ONIVA02G32000 transcript:ONIVA02G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGKPTSGGSGTSRSSNIRGLLQDFLEQQHRLDVRRQEALERHAQERAAIEQQWRQSMQALERERLMLEQAWMEREEQRRVREEARAERRDELLTTLLNRLLQDDDL >ONIVA02G31990.1 pep chromosome:AWHD00000000:2:28373578:28377926:1 gene:ONIVA02G31990 transcript:ONIVA02G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonucleases [Source:Projected from Arabidopsis thaliana (AT2G15820) TAIR;Acc:AT2G15820] MATTSPCAAPSPSLRCPLALSHPFASPPPPPALRLAGPKLLPGRLAVSPPPGIPAVASALESLILDLDDDEEDEDEETEFGLFQGEAWAAADEREAVRSPELVVPELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWITQDDATYVAVHCLRIRNNDAAFRVYSWMVRQHWFRFNFALATRVADCLGRDGKVEKCREVFEAMVKQGRVPAESTFHILIVAYLSVPKGRCLEEACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGTAKYNLKQAEFVYHNVVTTNLDVHKDVYAGLIWLHSYQDVIDRERIIALRKEMKQAGFDEGIDVLVSVMRAFSKEGNVAETEATWHNILQSGSDLPVQAYVCRMEAYARTGEPMKSLDMFKEMKDKNIPPNVASYHKIIEIMTKAREVDIVEQLMNEFIESDMKHLMPAFLDLMYMYMDLDMHEKLELTFLKCIARCRPNRILYTIYLESLVKVGNIEKAEEVFGEMHNNGMIGTNTKSCNIMLRGYLSAEDYQKAEKVYDMMSKKKYDVQADSLEKLQSGLLLNKKVIKPKTVSMKLDQEQREILIGLLLGGTRMESYAQRGVHIVHFQFQEDSNAHSVLRVHIHERFFEWLSSASRSFDDGSKIPYQFSTIPHQHFSFFADQFFLKGQPVLPKLIHRWLTPRVLAYWFMFGGSKLPSGDIVLKLSGGNSEGVERIVNSLHTQSLTSKVKRKGRFFWIGFQGSNAESFWRIIEPHVLNNFASLVTQEGSSIGSDGTQDTDTDSDDDMQMSDTERDE >ONIVA02G31980.1 pep chromosome:AWHD00000000:2:28370609:28373223:1 gene:ONIVA02G31980 transcript:ONIVA02G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLSLLILVPPIGLLAALAFLARPRAARIPLKGRHVLITGGSSGIGLAMATAAAREGARVSILARNAARLEEARGAIRAATGRDVGVHAADVRDADAVARALAEAGPVDVLVCNHGVFVPQELEKQEMEEVKWMVDINLMGTFHLVKAALPAMKERTKETRLPASIAIMSSQAGQVGVYGYTAYSASKFALRGLGEALQHEVIADNIHVSLIFPPDTETPGFAEENKRRPELTNIIAGSSGGMKADDVARKALDGIKSGKFIVPCNFEGAMLAVATAGLSPQSSPLTAFLEIIGAGVMRFAAICFQFNWFMTIENWYAKNKKHA >ONIVA02G31970.1 pep chromosome:AWHD00000000:2:28365097:28366690:-1 gene:ONIVA02G31970 transcript:ONIVA02G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLTQTELLAKEKKDEKNRKLREWRAKRKAQSNSVDGGGASATVMQNLTHAQLLAKEKREKSRKQREWHEKSAKRKAESNNVPDEVTSYRTIHTPTSCHSQVTESQKVNHSEMLSIDKKSENEMSNIDKRREQKTKYQREWRA >ONIVA02G31960.1 pep chromosome:AWHD00000000:2:28357545:28357982:1 gene:ONIVA02G31960 transcript:ONIVA02G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVATVTRPLPSLFMVRTADGVPHGLLHAGLAEDVDEEVPGVAGVIHRPPRPDEEADGGLGTGVADAGPEHLLRDLRFRIPRLDPARISRNASARGESSSPSSRPSSVAALIGDKRRDLDLGTMKLLLGLNCFRFSESPLKQH >ONIVA02G31950.1 pep chromosome:AWHD00000000:2:28354027:28356821:-1 gene:ONIVA02G31950 transcript:ONIVA02G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQGGIQQLLAAEQEAQQIVNAARSAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETDTKIAQLKEQAANVSPEVIQMLLRHGPVIFVPDGAVLLLGYFVNYGAGKWVILKDDESETATSMDADCRRLRDRSTTRRAADRRLPDCAGACPCRASFGSPCGAGGVVSAPRHRRRMAVRRRADIVTLLHC >ONIVA02G31950.2 pep chromosome:AWHD00000000:2:28354027:28355814:-1 gene:ONIVA02G31950 transcript:ONIVA02G31950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANRRQGGIQQLLAAEQEAQQIVNAARSAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETDTKIAQLKEQAANVSPEVIQMLLRHGPVIFVPDGAVLLLGYFVNYGAGKWVILKDDESETATSMDADCRRLRDRSTTRRAADRRLPDCAGACPCRASFGSPCGAGGVVSAPRHRRRMAVRRRADIVTLLHC >ONIVA02G31940.1 pep chromosome:AWHD00000000:2:28349327:28354112:1 gene:ONIVA02G31940 transcript:ONIVA02G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-tocopherol methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G64970) TAIR;Acc:AT1G64970] MAHAAAATGALAPLHPLLRCTSRHLCASASPRAGLCLHHHRRRRRSSRRTKLAVRAMAPTLSSSSTAAAAPPGLKEGIAGLYDESSGVWESIWGEHMHHGFYDAGEAASMSDHRRAQIRMIEESLAFAAVPDDAEKKPKSIVDVGCGIGGSSRYLANKYGAQCYGITLSPVQAERGNALAAEQGLSDKVSFQVGDALEQPFPDGQFDLVWSMESGEHMPDKRQFVSELARVAAPGARIIIVTWCHRNLEPSEESLKPDELNLLKGICDAYYLPDWCSPSDYVKIAESLSLEDIRTADWSENVAPFWPAVIKSALTWKGLTSLLRSGWKTIRGAMVMPLMIEGYKKGLIKFTIITCRKPETTQ >ONIVA02G31930.1 pep chromosome:AWHD00000000:2:28331428:28338946:1 gene:ONIVA02G31930 transcript:ONIVA02G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFPTEQWRRSFSGKKKSLECQLDDDARRGGLARMTVEAGRVGPHGVTVTGTRPWEAHLGRPLPDSMRT >ONIVA02G31920.1 pep chromosome:AWHD00000000:2:28315536:28318915:-1 gene:ONIVA02G31920 transcript:ONIVA02G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPYASLSPAVADHRSSPAAATASLLPFCRSTPLSAGGGVVAMGEDAPMTARWPPAAAARLPPFTAAQYEELEQQALIYKYLVAGVPVPPDLVLPIRRGLDSLAARFYNHPALGYGPYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVETQLVAQSQPPSSVVGSAAAPLAAASNGSSFQNHSLYPAIAGSNGGGGGRNMPSSFGSALGSQLHMDNAAPYAAVGGGTGKDLRYTAYGTRSLADEQSQLITEAINTSIENPWRLLPSQNSPFPLSSYSQLGALSDLGQNTPSSLSKVQRQPLSFFGNDYAAVDSVKQENQTLRPFFDEWPKGRDSWSDLADENANLSSFSGTQLSISIPMASSDFSAASSRSTNGD >ONIVA02G31910.1 pep chromosome:AWHD00000000:2:28272641:28276525:-1 gene:ONIVA02G31910 transcript:ONIVA02G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSTKDGGEGGHRSATATPNSGKSLTSQLKDMVLKFSGSGGHQYKSGGSPSLRSSRFHRSSRLAAYPGIIDESGFTSDGAGEAYTYMRTTTASAGARAAPSTWDLPPKVNHRSFQPRVIRSPSASGVPSIGEEDYDDDDDDDDEETVVLEEDRVPREWTAQVEPGVQITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFSRQQGFSTPTSSVDEAMQRDSFYSRVGSTRESPAMMMPPPPPLPSSGAGREHPISRTASSKAQLSSSSSVAAARPPFYPSTAVPDPSDHVWAHHFNLLNSAAAGPAAPYDPSRGTTSSRDEASVSISNASDLEATEWVEQDEPGVSITIREFGDGTRELRRVRRERFGEERAKVWWEQNRDRIHAQYL >ONIVA02G31900.1 pep chromosome:AWHD00000000:2:28267176:28274653:1 gene:ONIVA02G31900 transcript:ONIVA02G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVSHHVPTQGNASELRNEKASTRLTLTGIMDRFKMIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVTSVPPYTEYVSTRWYRAPEVLLQSSIYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETMKFQFPQVSGNQLAEVMTSVSSEAVDLISSLCSWDPCKRPKAAEVLQHTFFQGCTFVPPTVRSKAGVLPKTPPCVGVKGVSEHGMPRRYSTGTLSTTKPHSNASLKSSGLSKTGVQRKLQMDRQAPQKIKKPTESHPVLRHSRSLPETGRATMHKVSTLTERLSHMSVTSRTRTTPKPAAPLLKAGLGKSDLLGKTDEIPPAKRLTRKLVS >ONIVA02G31900.2 pep chromosome:AWHD00000000:2:28266091:28274653:1 gene:ONIVA02G31900 transcript:ONIVA02G31900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVARLVRFKMIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVTSVPPYTEYVSTRWYRAPEVLLQSSIYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETMKFQFPQVSGNQLAEVMTSVSSEAVDLISSLCSWDPCKRPKAAEVLQHTFFQGCTFVPPTVRSKAGVLPKTPPCVGVKGVSEHGMPRRYSTGTLSTTKPHSNASLKSSGLSKTGVQRKLQMDRQAPQKIKKPTESHPVLRHSRSLPETGRATMHKVSTLTERLSHMSVTSRTRTTPKPAAPLLKAGLGKSDLLGKTDEIPPAKRLTRKLVS >ONIVA02G31900.3 pep chromosome:AWHD00000000:2:28266739:28274653:1 gene:ONIVA02G31900 transcript:ONIVA02G31900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSFHCPPSFSCLRPCPRRCTFACPGLAGWFICLLRRAADLCLQPPDLGGSRAFGAMRVCAFKMIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVTSVPPYTEYVSTRWYRAPEVLLQSSIYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETMKFQFPQVSGNQLAEVMTSVSSEAVDLISSLCSWDPCKRPKAAEVLQHTFFQGCTFVPPTVRSKAGVLPKTPPCVGVKGVSEHGMPRRYSTGTLSTTKPHSNASLKSSGLSKTGVQRKLQMDRQAPQKIKKPTESHPVLRHSRSLPETGRATMHKVSTLTERLSHMSVTSRTRTTPKPAAPLLKAGLGKSDLLGKTDEIPPAKRLTRKLVS >ONIVA02G31900.4 pep chromosome:AWHD00000000:2:28272904:28273278:1 gene:ONIVA02G31900 transcript:ONIVA02G31900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPGSSCSTHSVASRSLALLMDTDASSRDDVVPRDGSYGAAGPAAAELRRLKWCAHTWSDGSGTANGGRAAATDDDDDSCALLDAVRLIGCSLPAPDDGSGGGGGIIIAGLSLVEPTRE >ONIVA02G31890.1 pep chromosome:AWHD00000000:2:28261484:28264315:-1 gene:ONIVA02G31890 transcript:ONIVA02G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTPHGRRRNNNQVELQHPGHGEEEGGIGGSMADTGGRPEVSLATVRSPGHPAASTTAAAAADLGHADTGQEKPTVESAQPANGAAPMGECGTEYRGLPDGDAGGPMPSSARTVSMIPLIFLIFYEVSGGPFGIEDSVGAAGPLLAIIGFLVLPVIWSIPEALITAELGAMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGVPALGGGAPRAFAVVGLTAVLTLLNYRGLTVVGWVAICLGVFSLLPFFVMGLIALPKLRPARWLVIDLHNVDWNLYLNTLFWNLNYWDSISTLAGEVKNPGKTLPKALFYAVIFVVVAYLYPLLAGTGAVPLDRGQWTDGYFADIAKLLGGAWLMWWVQSAAALSNMGMFVAEMSSDSYQLLGMAERGMLPSFFAARSRYGTPLAGILFSASGVLLLSMMSFQEIVAAENFLYCFGMLLEFVAFILHRVRRPDAARPYRVPLGTAGCVAMLVPPTALIAVVLALSTLKVAVVSLGAVAMGLVLQPALRFVEKKRWLRFSVNPDLPEIGVIRPPAAPDEPLVP >ONIVA02G31880.1 pep chromosome:AWHD00000000:2:28259036:28259668:-1 gene:ONIVA02G31880 transcript:ONIVA02G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAAGSVLLRHLCPRVSSSTSAAAHAHAQRPPLAGAGGGGVALWARLLSTSAAAAKEETAASKENTGSTAAAKAEATKAAKEGPASATASPVASSYWGIEASKLASKDGVEWKWSCFRPWETYSPDTTIDLKKHHEPKVLLDKVAYWTVKALRMPTDIFFQVTNHN >ONIVA02G31870.1 pep chromosome:AWHD00000000:2:28254541:28257660:1 gene:ONIVA02G31870 transcript:ONIVA02G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGMERAGYGVGVGGAGAVGAGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQNKKDTGLEASRGAFAAHGISFASAAPPTIPSAENNNAGETPLADALRYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQTILEKAQNNLSYDATGTANLEATRTQLTDFNLALSGFMNNVSQVCEQNNGELAKAISEDNLRTTNLGFQLYHGIQDSDDVKCSQDEGLLLLDLNIKGGGYGHLSSNAMRGGESGLKISQHRR >ONIVA02G31860.1 pep chromosome:AWHD00000000:2:28248473:28251630:1 gene:ONIVA02G31860 transcript:ONIVA02G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSHGLAPRPPLQEAGSRPYMPSLSTASRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPKKDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSAADTASTHQAKPAKQRRYIPQSAERTLDAPDLVDDYYLNLLDWGSKNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGQHVAVGLNSSDIQLWDTSSNRLLRTLRGVHESRVGSLAWNNNILTTGGMDGNIVNNDVRIRNHVVQTYQGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGSPEAPKPAAKASHTGMFNSFNHLR >ONIVA02G31860.2 pep chromosome:AWHD00000000:2:28248473:28252443:1 gene:ONIVA02G31860 transcript:ONIVA02G31860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSHGLAPRPPLQEAGSRPYMPSLSTASRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPKKDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSAADTASTHQAKPAKQRRYIPQSAERTLDAPDLVDDYYLNLLDWGSKNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGQHVAVGLNSSDIQLWDTSSNRLLRTLRGVHESRVGSLAWNNNILTTGGMDGNIVNNDVRIRNHVVQTYQGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGSPEAPKPAAKASHTGMFNSFNHLR >ONIVA02G31850.1 pep chromosome:AWHD00000000:2:28241899:28245898:-1 gene:ONIVA02G31850 transcript:ONIVA02G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSEGGGGDGEVACCGVGDTSPGTIVWVRRRNGSWWPGRILGPDELPPSQIMSPRSGTPVKLLGREDASVDWYNIEKSKRVKAFRCGEFDACIEKAEATEGTSVKKREKYARREDAILHALELEKKLLASKHQTQGSRPANVSVCSKHNKDLGSTRYKSKKSKKRKGITASSDIKKEAEQYVLHAGSKRNFQDSPTRGISDNLFGNHLGDISHVRHIQAGENLDSKEKITTAEKIRSDGSDFDESIEKCDRRQPLVQILHSSPKLPHQSQHNDGYGDVLTQGEMDRSPANYRAKRSRYVFLPTDSGETHSHSDLPSVQVASTGGDFETESYLHHPAFSEEQTSSDLVEKHIYESSERECSDSETEDDAELLQCSDLILHPASHAHDPYFLPASDKFRHANIDADADELTYSSYMCQVNESEEDGSSELGVSQWHMKGKRNSRNAPKRSDMADGNPWLDKSDGFMEGSPYKINGRNPREGSMQIPNQQLLGQNFYQNEEVNYDSEETDFFEDTGHSEVNLYHGRTYSSCLKATRDFSRSYSYFNDYGNDSSKVSPLNRDSDKIFHFDRNAYWSGPSFYQKYSSRLGGRGPMLFDVDLKVQASYQGEHVPLVSLMSRLNGKAIVGHPVQIEILEDGSTDHLVFCGGDSLEGRTGGQPAWCTGRRTAMQRIPRSNPSGALDCDDEGTLAYPDWEMKPDFRKYSNSDFRKYSNSNHQVKVDKKSISNVRRPSASKSQKKQSKKASLSSQKVRTLSSISTGKKHHGVGGQAKAHKQSGIFGGLIKPGGVPLVTCVPAKVAFTRILEAVGRPPLAVAHRVRMASPALRDPS >ONIVA02G31840.1 pep chromosome:AWHD00000000:2:28239844:28240728:1 gene:ONIVA02G31840 transcript:ONIVA02G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPARAPREAAGHLPCILQDAVSRVSTAQILHSSGYTAAEPAALRALSDIAGRYVASLGRAASAIAEARGRTEPNLADLTLALEDHALGGFPGASDPARPVLRSGALSELAGFVRVVREVPFPKPVPRRGGAPRGKAWESFAAAGKEPPPKHVPRWLPRFPDKPEPEPEPKAAYDEATARWEARVRHEEEANAEEAVVLKPSVDGGGERRGVVPEKRGKVSFRVRAERKKRRVGLDQQCGGGFERFAENREKSAAIVRAASNHRSAVAVENGDGRHAAALPGDPCPVEGLKKT >ONIVA02G31830.1 pep chromosome:AWHD00000000:2:28235601:28238646:1 gene:ONIVA02G31830 transcript:ONIVA02G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDINWYQLPPQNKDYPCTFTQYCNNTPGGFQCSCPSSMIDSGHRSGTGCTPLVLVPPPPSPGAIIALPVTITTTASCIYWSFKKRERNRKRAELFKKNAKKFVNESQVEQFVNEISILSQIDHPNVVKLLGCCLHNIFTAGMLIALAHLQFVPSIPIVHREKHTIRWELCGQDSYDNCSRNNWIPYSQLTEKSDVYSFGVVLAELLTTEKPVSFARPEDLRKLAMYYLVMLVNKGCILQAVKPIILAEAREEQLYDVAHLSIMCLSLKGEQSTMKEVASVLNGLRRSLAKDKAIKGKEVYPQNKNEEEEYLLPGSGVGSSSTLHSSEGKET >ONIVA02G31820.1 pep chromosome:AWHD00000000:2:28234637:28241549:-1 gene:ONIVA02G31820 transcript:ONIVA02G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGIAVSCKAMLQLVIALRQIEVLSMHGLPLPAPETSRSIYISEGAMQMSEKKALTLAPDIQEWLAMASELPWHAVAQPTAISVFLPEKANGVPARSMSPVPLASVRLPKGRGAFRQLTFGFSGRGRVSTAADAAAGVDTLLYR >ONIVA02G31820.2 pep chromosome:AWHD00000000:2:28234637:28238754:-1 gene:ONIVA02G31820 transcript:ONIVA02G31820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTIMLSMDIPWFFPCMVFLFQLRKQEWLAMASELPWHAVAQPTAISVFLPEKANGVPARSMSPVPLASVRLPKGRGAFRQLTFGFSGRGRVSTAADAAAGVDTLLYR >ONIVA02G31820.3 pep chromosome:AWHD00000000:2:28235054:28241549:-1 gene:ONIVA02G31820 transcript:ONIVA02G31820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGIAVSCKAMLQLVIALRQIEVLSMHGLPLPAPETSRSIYISEGAMQMSEKKALTLAPDIQVRIPSKP >ONIVA02G31820.4 pep chromosome:AWHD00000000:2:28234637:28234892:-1 gene:ONIVA02G31820 transcript:ONIVA02G31820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASELPWHAVAQPTAISVFLPEKANGVPARSMSPVPLASVRLPKGRGAFRQLTFGFSGRGRVSTAADAAAGVDTLLYR >ONIVA02G31810.1 pep chromosome:AWHD00000000:2:28224191:28234898:1 gene:ONIVA02G31810 transcript:ONIVA02G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKISNVSTPAAASAAVDTLPLPLNPNVSCLNAPLPFGNRTEANGTGLMDLAGTPFAFSGRNTLMAVGCSKHNHLRHRVPGQLRRHR >ONIVA02G31800.1 pep chromosome:AWHD00000000:2:28223469:28230337:-1 gene:ONIVA02G31800 transcript:ONIVA02G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0GBP7] MAAAAARLPLQSSSGHNAAAGGGGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTAQLWVYEDGAMVSRSVTYVPGLYKIFDEILVNAADNKQRDPSMDSLRVEIDADEGRISVYNNGDGIPVEIHQEEGVYVPEMIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSTEFVIETADGRRQKKYKQVFSENMGKKSEPQITKCKQGENWTRVTFKPDLAKFNMTHLENDVVALMRKRVVDMAGTLGKTVKVELDHQKVPVHSFSDYVKLYIKSASKDRDDVNELPSISQKVNDRWEVCVSLSEGQFQQVSFVNRIATIKGGTHVDYVTNQIATHVMNIVNKRNKNAHMKAHNVKSHLWVFVNALIDNPAFDSQTKETLTTRQASFGSKCELSDDFLKKVGSSAIVLNLLSWAEFKLSKELQKTDGSKRSRLTGIPKLEDANGAGGKDSNNCTLILTEGDSAKALAMAGISVVGRDYYGVFPLRGKLLNVREASHKQIMENAEIQNIKQILGLQHGKQYDSTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLIKIPSFLVEFITPIIKATNKRDKKIVLPFYSMPEYEQWKESLGGNASGWSIKYYKGLGTSTSSEGRQYFQDIAKHKKDFVWKNDQDDNDIELAFSKKRITDRKEWLTNFQSGTHLDTEGKYIKYSDFINKELIQFSMADLLRSIPSMVDGLKPGQRKILFCSFKRNLVKEIKVAQFSGYVSEHSAYHHGEQSLASTITGMAQDFVGSNNINLLQPNGQFGTRDQGGKDAASARYIFTLLSPITRSIFPKDDDILLNYLDEDGQSIEPTWYVPILPMVLVNGSEGIGTGWSTFIPNYNPRDIVANLRRLLNDEPVEPMDPWYRGFKGSIQKTGTKAGGVSYTVTGIIEVVDDTTLRITELPIRRWSQDYKEFLISIGGTDKSKDKDKDKGKGKGKVKEKEKKEKDIEPFIEAFDTYSDDKNVEFLITLSKENMAIALQEGLEKKFKLTTTIGTTNMHLFDSNGKIRKYDTPEDILKEFFGLRLEFYEKRKRVLLENIELELKKLSNKVRFILAVVEGDIIVNNRKRAELFVELKQKGFDPFPRKKQRAGPSAVGAIEEDEENEESPEAANVGSSDYEYLLSMAIGTLTLERVQQLIAEKSRMENEVAELKRTRPKSLWMRDLDAFEKELDALDEKDSMDAEERRATRNAGGGAAPKAAPKRRPRKTATNTQAAESSDGNAAAPAVPKPAAPRKKPAGKASLADSEDEDYVAAIPKPAAQKKQPAKKASTQLSDDEDDEVLALKDRLAAYNLDDHSEDTAMETETTEEQAKGKKGRKEPSKRGAAKKAISSLAVISDDEEDETVPIDEDDEDDFAMEEVPVKKGRGKKPAAEKPKAATRKRAPAQGKSMRQKVMEEMFKPTEDSSTSAPSPEKKVRKMRASPFHKKSGSVLQRASTASTSTEETESSSPSGSSAEPVAARPKRQTRGNKKSYQEVQELSDDDTEDEVQDISDDSDFAGSDFGEDDD >ONIVA02G31790.1 pep chromosome:AWHD00000000:2:28218853:28219353:1 gene:ONIVA02G31790 transcript:ONIVA02G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARVMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGEVEIPSA >ONIVA02G31780.1 pep chromosome:AWHD00000000:2:28214652:28218070:1 gene:ONIVA02G31780 transcript:ONIVA02G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAAMAAAMDFHALSRRELQALCKRNGVRANMTNAAMADALQSLPTVDGVDEIGTAALCLPTPSRSTMKSALKAAAAIGEEQQHGSPLPRGRRVSVMSPEAIRLDVEEGEDEMKRDLIKEIVRTPGVALRSTSRRARATPAPIPTPATTRRTAAARKVEEAAPTPATLRRSQRTAARKAAAPVVEEVTATKTTTRRSARSKVMVDLEQEVEDMAVALQEVKVQEEDPKDVASDEKCDEEEEATKILGGNSKEEESEEGEEVVSSAAPTELAVISVMSCDDPKEEEIVATGEEPAKTQEVMEDSPILGVLSKPEPVEPLSEKIEDASVGDGLGFGKLSALKEITGEMNDKEVDADEVPEEKLPADVTDDKTSEEDDLNEVEKLSAVEIPQADLTGDKTSEEEDLNEVKEGSAYENPQADRIDAESSEEDDLDGEYSEESDIDEESNEEGMLDEESAAEEYASSEETDDESDPSEVATDSDEVEVEKLQVAMEDGLTAEANQVDDEEDDFSGDLPSDFDNADNFSDDETESDATVVISSASKAAVVKTLDDSSVTEASSEEEVSQQEVEASVNSIVKSLDEFTFTVEGTQKDELTEEMKSTDDAEDVGAKELKKEKKKKKPTVQELNATSMRKLKTMLKEELIAKAAAGEGKRLALAELDDNAGGVDC >ONIVA02G31770.1 pep chromosome:AWHD00000000:2:28205664:28213550:1 gene:ONIVA02G31770 transcript:ONIVA02G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPAASTSASDAGMLGRRVVMLQSAAAALGLRRGRARMRLGCVLEHVAPRLAVASAALLGAGEVIAAAAAAGKGGGAGHAAVASTLAQLTVTAVAIASGACLSTKVDFLWPRIEQLPDTLIFEGVEVTGYPIFEDPKVQKAIVFASTAHIGQFRKTGDPYVTHCIHTGKILAALVPSTGERAINTVVAGILHDVIDDTAENLKSIEEQFGDDVASLVSGVSKLSYINQLLRRHRQKNTGGSTLTSEEANNLRGMLLGMVDDPRVVLIKLADRLHNMRTIYALPIRKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPHVFKKMRSELTTMWNSTNKTKSTRRSSIRSGLPASTKDVHTTSVHDFFSLSNQEKPNMKDLLQAVLPFDLLLDRKRRSYFLNNLHGSSETSVPKPKIVDDAAVALASLAACEEELEQELLISTSYIPGMEVTLSSRLKSLYSMYCKMKRKHVGIKQIYDARALRVIIGDKNGALHGPAVKNCYSVLDIVNRLWTPIDGEFDDYIINPKGSGYQESKVDYRSGTSNKIGQSTSYPSSSSEDESYIQDVMPSKYSSMKMGHPVLRIEGSQLLAAVIVSIDKGGKELLVAVSFGLEASEAVAERRSCFQLKRWETYARVYKKVSEKWWCAPGHGDWSTNLEKYTLCQDGIFHKQDQFGRLLPTFIQLIDLTEEEEEEYWMVVSAIFEGKEASSLTPDSSNTERSTSEPPSSTPLSDPINNKVHLLRTMLQWEEQVRRGASLAEKSLSVGTCTEPILREVAIICWPYGKIMRMSLGSTAADAARRMGVEGKLLWVNGQLVLPQTELKDGDIVEVRM >ONIVA02G31760.1 pep chromosome:AWHD00000000:2:28200976:28205056:1 gene:ONIVA02G31760 transcript:ONIVA02G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGTSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIVNDPLMLNSVILVFANKQDMKGAMTPLEVCEGLGLYDLKNRIWHIQGSCALKGDGLYEGLDWLASTLKGLEASGRLPSGGTSLF >ONIVA02G31750.1 pep chromosome:AWHD00000000:2:28195768:28200114:1 gene:ONIVA02G31750 transcript:ONIVA02G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAMESGQRAALLPESHGPKTEDDSLQVPLLKDKKRSGSKAPAIVLGFECLESTAFNGISTNLVVYLETVLHGSNLASASNVTTWYGTSYLTPIFGAIVADTFLGNYNTILISLAVYLLGMMLVTFSAFLPATAALCAAGATCGTGAAAAQTVAFVGLYLVAVGSGGVRSSLLPFGAEQFDDDSAADRERKAAFFSWFYLCVDFGLIVSGVLLVWIQQNVSWGLGFGIATACIAVAFAAFVLATPMYKRRLPTGTPLKSLAQVVVAAFRKVGMKLPADAELLYEVSDKVDSQPKIAHTSEFTFLDKAAVVSESDLEERPEAASSWKLCTVTQVEELKILLRLLPIWATSIIVSAAYSQMSTTFIQQGSAMDMHIFSVPVPAASLSSFQVLCVLTWVILYRKVIVPALRGFSSSGAACEPSQLQRMGAGRLLMALAMAVAALVETKRLNAAASGEAINIAWQMPQYFFLAGAEVFCYIAQLEFFFGEAPDTMKSTCTSLALLTIALGSYLSSLIYAVVEAFTATAGGHGWISDDLNQGHLDYFFWMLAAMCTLNFVVYSGFAKNYKLKTVLS >ONIVA02G31740.1 pep chromosome:AWHD00000000:2:28194979:28195278:-1 gene:ONIVA02G31740 transcript:ONIVA02G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLCCTPLLWAETWVVYYFGSWQLGIGKWTELGGWRLENGDIMPEFRTRGLGLAMSLSVWVGGSEEREMKMRRWAVDGLAGNFGVKLYSSIVIITTGM >ONIVA02G31730.1 pep chromosome:AWHD00000000:2:28169673:28175230:1 gene:ONIVA02G31730 transcript:ONIVA02G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPLISSLPPLHLSFLLFFLSFRTYKTALSLLSLSLSRLATILSASIGSAATGWVYPTLYHHQYHCTTTLASVRERERERERGGGGGGGGGQIIMCDYFLQRMEGEQAAGDLADIVLRAGGAAAAAVAGGGIPSTEWQLPPAEEEEEEPGLFPLPPSSSDGSGMSGADAFGDPFAGLPDPFGGDYPSSSGGAAAAADFFDAVVAKAGFVDVGVLGGGGGGGCDGGGVDGGGGGSSLLGMSKPILPRAAMQLPSVSPRAIRPYPVMAGDTVKLGAPMAGGPCAFDGAAAAGLHMSSSPRGAVGGIKRRKNQARKVVCIPAPAAAGGRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHAKNSSSNSSSSGASSASKNNSSHSGYHHHHHQKPLVKAEPNDQSAAAAAATTAATVPVKEEAAMVGTSSEALAKTTQKSMEDAAAAASATAAAVEHSDLMQQMFSQSYRPMIPEAAAGGHHDDFFADLAELESDPMSLIFSKEYMATNYKPAGDPAGKEMNAVDKGLDPAYMLDWSSTTVVTRAGGSSFMQGEGGL >ONIVA02G31720.1 pep chromosome:AWHD00000000:2:28155752:28156864:-1 gene:ONIVA02G31720 transcript:ONIVA02G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPEFRDWANLPELPLSEVLRGLLPCLRSVYAFAAACQPWRRLLRDSAADLVRPRIPPLLLLCPTYRVVPFSQLVVAALLSSYPVPGDATLLSASRGHLVLLRRRDPFHGLHLVDALTGATRHALPLPSPHFAYHYAALAPSRRLLLFHSKHAFFSLPVGDAGHNPRLDWTKHSLPRAASFVRSILEFRGRVLGLTDRAQLLEFHLDANPPNKSAQMLHAAGLPEVSTFDRWHFGPHLVAAGDRLLLVLFMMGPKLGHMFETLVSVKKVGVYALDMVKMRWEEVDNIGAYSLFVDCAGRSTAACVDVENCGVEANRIYIAAPGCRDWYAWRPGREVPLGGQGLGPLSIQAMNHLPWPSQIWIYPRLLF >ONIVA02G31710.1 pep chromosome:AWHD00000000:2:28147260:28156404:1 gene:ONIVA02G31710 transcript:ONIVA02G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GBN7] MAISSLHATTSLHSPCTTNTSFRQNQVIFFTTRSNRRGSTRYGGARTFQVSCSVDKPVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKAIKEGKAIEKPIYNHVTGLLDPPELIQPPKIFVIEGLHPMFDERVRDLLDFSIYLDISDEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKNFNPVYLFDEGSSITWVPCGRKLTCSYPGIKFAYGPDTYFGHEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQIIAERAGAPTEAAKV >ONIVA02G31710.2 pep chromosome:AWHD00000000:2:28147260:28152143:1 gene:ONIVA02G31710 transcript:ONIVA02G31710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GBN7] MHHDPNPFDEGADENPFSNGGGGGARRGGGGGGGGGGGGGKSQFSFGFGGLGGGGGGGSKGGATVDIPLDNMSDSKGKGKELLQWEADLKRREADIRRREEALKSAGVPMEEKNWPPFFPIIHHDIANEIPANAQKLQYLAFASWLGIVLCLFWNFIAVIVCWIRGGDSKLFFLATIYGMLGMPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYMLHIAFCVFAAIAPPVIFRGKSLTGILAAIDTFSDHAIVGIFYFVGFALFCLETLVSIWVLQFNFTVDWSVGLRQEPCSLEPEDSNPSVWGSHLCFETWKESCTPWRFSFRRTWDQVQSQLQLLNLGSANTEPNEAVYHIFPSDAAADDVMRCSPAATRLRVDCVGGLDVHIWCTANSKVEGSSISDIQPPVSSLHSLILSQPSLRCHVA >ONIVA02G31710.3 pep chromosome:AWHD00000000:2:28147362:28152143:1 gene:ONIVA02G31710 transcript:ONIVA02G31710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0GBN7] MHHDPNPFDEGADENPFSNGGGGGARRGGGGGGGGGGGGGKSQFSFGFGGLGGGGGGGSKGGATVDIPLDNMSDSKGKGKELLQWEADLKRREADIRRREEALKSAGVPMEEKNWPPFFPIIHHDIANEIPANAQKLQYLAFASWLGIVLCLFWNFIAVIVCWIRGGDSKLFFLATIYGMLGMPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYMLHIAFCVFAAIAPPVIFRGKSLTGILAAIDTFSDHAIVGIFYFVGFALFCLETLVSIWVLQFNFTVDWSVGLRQEPCSLEPEDSNPSVWGSHLCFETWKESCTPWRFSFRRTWDQVQSQLQLLNLGSANTEPNEAVYHIFPSDAAADDVMRCSPAATRLRVDCVGGLDVHIWCTANSKVEGSSISDIQPPVSSLHSLILSQPSLRCHVA >ONIVA02G31700.1 pep chromosome:AWHD00000000:2:28145711:28147084:-1 gene:ONIVA02G31700 transcript:ONIVA02G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSAGAHRRAKSAVEVVETLAPNMNSGEPDSQAVPADSPHGIEVGVEFKPVEHPVEPVNLDQPVKCPLPEPSILHDGRIWKERIATAGVRVMTDLPVVKEGSQLESDSSGARSRSAVPKRAILPSVSAPENNILALLDECDVPESLRPAE >ONIVA02G31690.1 pep chromosome:AWHD00000000:2:28140249:28145172:1 gene:ONIVA02G31690 transcript:ONIVA02G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKAAAAALSTAGVVAFSSERAYADGGAPAFRFPGFSAPPTPPPAAQPPPPTPPAPAPAAEEKRKVRNDHPRTSAAGFDPEALERGAAMLKQIENSPHGKKVFEILKQQEDVRRAENLTKKVEFQKELAAIELEKTRVDYDERKKLEQQRAQVKSQMSRYEDELARKRMQADHEAQRVRNQELVKMQEESAIRQEQMRRAIEEQIQEERRKTDRAKAIVEKEIEQEKILAEANARIKLKKQTEDVERRLLIEGAKAEKEKWVQLINTTFEHIGGGLQTILTDQNKLVVAVGGVTALAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGVFSRAMSTMTSKLNKGSNLGNNGNGFGDVILNPSLQKRVKQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEDERSKLLKLYLDKYIMKAGEKHEKSWLRFFRGQPQKIEVKGVTDDLIREAAAKTEGFSGREIAKLMASVQAAVYGSKECVLTPDLFREVVDYKVAEHQQRRRLAGYEQKNA >ONIVA02G31680.1 pep chromosome:AWHD00000000:2:28132611:28134552:-1 gene:ONIVA02G31680 transcript:ONIVA02G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G31920) TAIR;Acc:AT1G31920] MVGGLVLSQAQHQVGIAATSPAQAQAAEQAAFRGRDHRAPCASLDEARKAHARHVKLGLDRSPRHARPLLAACALAADWPGSMAYAASIFAALDDPEAFDYNTLMRGYVAGGGGGGRDPAAALRLFVDMVDDGVEPDSYTFPFVFKACAQLGALQEGRQLQGHLVKLGFQRDEHSQNSLISFYGKCGEADLARRAFEQMEDDEQTTASWSALLAAYTRAGRWVECVESFGAMVRAGWRPDESSMVSALSACAHLGAHDVGRSIHCALLRNTARLNTFMSTSLVDMYAKCGCIENAAAVFDAMDDKNAWTYSAMVSGLALHGDGRKALEVFDAMVREGHRPDAAVYVGVLNACSRAGLLEEGLRCFDRMRLEHKLTPNAQHYGCMVDLMARAGRLDDARALIGSMPTGPTDTAWRSLLNACRIHGNLELAERALQELERLGATNAGDYIILSDMHARAHNRDAAAARRTEAVDRGLAQAPGYSAVEVHGATHRFVSQDRSHPRADDIYEMLHQMEWQLRFEGYTPDTSELALDAGEEEKRRVVAAHSQKLAMAFGLLSTPEGAPVRVVTNLRMSKECHAYSALISEIFGREIVVRDRNRFHRFKRGACSCGNYW >ONIVA02G31670.1 pep chromosome:AWHD00000000:2:28128274:28131362:-1 gene:ONIVA02G31670 transcript:ONIVA02G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:CoA ligase 3 [Source:Projected from Arabidopsis thaliana (AT1G65060) TAIR;Acc:AT1G65060] MITVAAPEAQPQVAVAVEEAPPEAVTVFRSKLPDIDIPSHLPLHEYCFARAAELPDAPCLIAAATGRTYTFAETRLLCRRAAAALHRLGVGHGDRVMVLLQNCVEFAVAFFAASFLGAVTTAANPFCTPQEIHKQFKASGAKLILTQSVYVDKLRQHEAFPRIDACTVGDDTLTVITIDDDEATPEGCLPFWDLIADADEGSVPEVAISPDDPVALPFSSGTTGLPKGVVLTHRSVVSGVAQQVDGENPNLHMGAGDVALCVLPLFHIFSLNSVLLCAVRAGAAVALMPRFEMGAMLGAIERWRVTVAAVVPPLVLALAKNPFVERHDLSSIRIVLSGAAPLGKELEDALRARLPQAIFGQGYGMTEAGPVLSMCPAFAKEPTPAKSGSCGTVVRNAELKATAATIDVEGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELESLLIAHPSIADAAVVPQKDDVAGEVPVAFVVRAADSDITEESIKEFISKQVVFYKRLHKVHFIHAIPKSASGKILRRELRAKLAAC >ONIVA02G31660.1 pep chromosome:AWHD00000000:2:28119788:28127707:1 gene:ONIVA02G31660 transcript:ONIVA02G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGELWDDSALVDAFDHAVATFKAMHSKNTQATTSENEEPGDPAVAAPAGEENISAEVADELIEKDGSQTEPCEASETPYQTHEERKSTEQAPLQEKDLDKEAHFSEPKIHASDVADAEQKDTSNQQTWDYNELVKKYYELEEQSRKVLEQLHQTNYWNYQVPGQSSVYQQPQVPAYSATAPDPHSSTIQSPCCCANVPLVSVSCCSTGQTSGVSSCMQPSGGCSISLTCDQCPGTSATDSTGATCVQQAEKVSTDSDQVAKAAMMTAEGAMNFMRSTISGDLGSFPRTDAASGKESMPMGMNPNFDTMGADSDLAVVLNAWYAAGFYTGREALVHVDVLHLPLFWAASNLYRWVVASWVQTCLCLATNCYVAVEVGRSPRCTFQGLGTRTTEREEREVFERLRRKQQLTNTVGGTKENRNSKAPSTRTSQELHREERRGEDTTTPPPARRDESTRTEYRRGDRLAPSLSSPLRLIPSRSLPVKMSDNLMDKVSAFGERLKITGSEVSKKMTAGMSSMSFKMKEIFQGQTPADKIVEEATSENLDGPDWSANLEICDLINTEKVNSVELIRGIKKRIMLKDARVQYLSLVLLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPARSVAEAEVDANFSQQTFEDVQVHTYTAEETKEAFDVARNSIELLSTVLSSSPQQDALQDDLTSTLVQQCYQSQHTIQRMIETAGDNEAMLFEALSVNDEIQKVLSKYEQMKKPAASENAEQRPVVIPIATEHEDSATVGNEDALVRKPAGSRARSGGDDDILDDLDEMIFGKKGGSSSQEGPKKQDPKKDDLISF >ONIVA02G31650.1 pep chromosome:AWHD00000000:2:28113578:28115978:1 gene:ONIVA02G31650 transcript:ONIVA02G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELTCGPFSEKGINKDLTGGTTLHHVICDERKPSPLMDVALVRAIGAQIHSPGSRGHSDLEADARELQNGSTRQRSALVVEKPTSGLLGPTEVEAVAEAADSPWAKAEAEVATRPWAEAVPNALEPIEVEAIRSNRSEVRGGQIQ >ONIVA02G31640.1 pep chromosome:AWHD00000000:2:28103897:28109231:1 gene:ONIVA02G31640 transcript:ONIVA02G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAHPDLQLQISPPPATKSMDLGFWKRALETSTTTTTAAAAASTGPSVATSSSPPVASGGVGGGAGGFYQQAAVAPAANGHGHGHGHRHHHHQHHQLGGALQFLHRTQPIPPQDAAAGGGLQDLAFARPIRGIPVYSTSRPLPFLQSHHLQHHQHCYADTIGVAPGAGPRSPSKQAAALRLAAAPAKRGARAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDHKQPAPPYGQTKTIIEIPDDNLFDINNTSGSESSVQQQSNLDGNEQGSSMCALRSNNSSSRGAWFHDKSRDATHGDIKSFEDVQSQSPEDDDASDLNSPPFQIPETTVSAMKPNLDFTLGRM >ONIVA02G31630.1 pep chromosome:AWHD00000000:2:28088709:28096758:1 gene:ONIVA02G31630 transcript:ONIVA02G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDEVALKPVSCGARLRRSCDASLRFGGSMRDPFLKHKVKKFDLSSLDWIDEIPECPVFSPSIEEFEDPLVYLNKIAPIAAKYGICKIVSPLCASVPIGPVLMKEQGGLKFTTRVQPLRLAEWSKDDKFAFFMSGRKYTFRDFEKMANKEFVRRYSSAACLPPRYMEEEFWHEIAFGKMQSVEYACDIDGSAFSSSPNDQLGTSKWNLKRLSRLPKSTLRLLRAAIPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGKAAPDFEKVVCEHVYDHEILSGEGENAAFDVILGKTTMFPPNILLRHHVPVYRAIQKPGEFVITFPRAYHSGFSHGFNCGEAVNFAIGEWFPLGALASQRYALLKRTPLLPYEELLCKEAALLDHEFSTCDYKDTTTLAGETHSQRCMKVPFVQLMRVQHRIRWSLMKMGARTHYKADIDATVLCGICRRDCYVAHIMCNCRIDAICLCHEEEIRRCPCSCDRVVFVRKDIFELETLSKKFEEESGILDAVKKQMARRDGTSQHSNFFDCTDHEAEYYPYCNIHIDPSPEIYSISETNFVGYDLNNPHPAASTVTFSFVPHEYSTQSDECTSSNRRALSSSCLENTITPENAISNACQLSTPDQTCLSDKLAAHDTDDSDCEIFRVKRRSGLTPEKRHMEDGTTNFTGNQVLKRLKKINAHDRQEHKLPELSCGARSEPVHTDDCIHCVDFISENGDDFIAPTKLKMIHQLDANIVEDEVASSQKYNSCNYQSPSIELGPKRLKIRGPSFPSRISELEVSCRFQDNDLGSQHAR >ONIVA02G31630.2 pep chromosome:AWHD00000000:2:28089350:28100642:1 gene:ONIVA02G31630 transcript:ONIVA02G31630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDEVALKPVSCGARLRRSCDASLRFGGSMRDPFLKHKVKKFDLSSLDWIDEIPECPVFSPSIEEFEDPLVYLNKIAPIAAKYGICKIVSPLCASVPIGPVLMKEQGGLKFTTRVQPLRLAEWSKDDKFAFFMSGRKYTFRDFEKMANKEFVRRYSSAACLPPRYMEEEFWHEIAFGKMQSVEYACDIDGSAFSSSPNDQLGTSKWNLKRLSRLPKSTLRLLRAAIPGITDPMLYIGMLFSIINYHHCGASKTWYGIPGKAAPDFEKVVCEHVYDHEILSGEGENAAFDVILGKTTMFPPNILLRHHVPVYRAIQKPGEFVITFPRAYHSGFSHGFNCGEAVNFAIGEWFPLGALASQRYALLKRTPLLPYEELLCKEAALLDHEFSTCDYKDTTTLAGETHSQRCMKVPFVQLMRVQHRIRWSLMKMGARTHYKADIDATVLCGICRRDCYVAHIMCNCRIDAICLCHEEEIRRCPCSCDRVVFVRKDIFELETLSKKFEEESGILDAVKKQMARRDGTSQHSNFFDCTDHEAEYYPYCNIHIDPSPEIYSISETNFVGYDLNNPHPAASTVTFSFVPHEYSTQSDECTSSNRRALSSSCLENTITPENAISNACQLSTPDQTCLSDKLAAHDTDDSDCEIFRVKRRSGLTPEKRHMEDGTTNFTGNQVLKRLKKINAHDRQEHKLPELSCGARSEPVHTDDCIHCVDFISENGDDFIAPTKLKMIHQLDANIVEDEVASSQKYNSCNYQSPSIELGPKRLKIRGPSFPSRISELELDHGTV >ONIVA02G31630.3 pep chromosome:AWHD00000000:2:28088709:28096759:1 gene:ONIVA02G31630 transcript:ONIVA02G31630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGKAAPDFEKVVCEHVYDHEILSGEGENAAFDVILGKTTMFPPNILLRHHVPVYRAIQKPGEFVITFPRAYHSGFSHGFNCGEAVNFAIGEWFPLGALASQRYALLKRTPLLPYEELLCKEAALLDHEFSTCDYKDTTTLAGETHSQRCMKVPFVQLMRVQHRIRWSLMKMGARTHYKADIDATVLCGICRRDCYVAHIMCNCRIDAICLCHEEEIRRCPCSCDRVVFVRKDIFELETLSKKFEEESGILDAVKKQMARRDGTSQHSNFFDCTDHEAEYYPYCNIHIDPSPEIYSISETNFVGYDLNNPHPAASTVTFSFVPHEYSTQSDECTSSNRRALSSSCLENTITPENAISNACQLSTPDQTCLSDKLAAHDTDDSDCEIFRVKRRSGLTPEKRHMEDGTTNFTGNQVLKRLKKINAHDRQEHKLPELSCGARSEPVHTDDCIHCVDFISENGDDFIAPTKLKMIHQLDANIVEDEVASSQKYNSCNYQSPSIELGPKRLKIRGPSFPSRISELEVSCRFQDNDLGSQHAR >ONIVA02G31610.1 pep chromosome:AWHD00000000:2:28072764:28075452:1 gene:ONIVA02G31610 transcript:ONIVA02G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GBM5] MALEARFFLAAVFAVAATCLCLSAVASAFAVPSVAFDEGYSPLFGDDNLVRSSDDKSVRLLLDRRSGSGFISSDYYLHGLFSASIKLPKAYTAGVVVAFYLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTARGREERYLLPFDPTLEAHRYSVLWAPTHIIFYIDDTPIREVIRHPGMGGDFPSKPMAVYATIWDGSTWATDGGKYKVNYKYAPFASEFSDLALLGCRADPVLRAPRDGGGAGCAEPDLLGLLTADYAVMTPRKRAAMRAFRARHMTYTVCYDAVRYAAGPFPECDVSDVEKESFSAWGESKNVVMKARGRGRRRGRKAGVAGAGAMLRLDVSSS >ONIVA02G31600.1 pep chromosome:AWHD00000000:2:28055240:28060689:-1 gene:ONIVA02G31600 transcript:ONIVA02G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAADERGLAAAAAGEDEEETSPIEEVRLTVPAGDDTALPVWTFRMWSIGLLSCALLSFLNQFFSYRTEPLIVTQITVQVASLPVGHFLARVLPRRAFRAPALLGGGEWSLNPGPFNMKEHVLISIFANAGCAFGNGNAYAVMIVDIIRAFYKRSISFVAAWLLIITTQVLGYGWAGLMRKFVVEPAHMWWPGTLVQVSLFRALHEKDELPHGSRQISRSKFFLVALICSFAWYAVPGYLFPTLTSISWVCWVFSKSVTAQQLGSGLKGLGVGAFSLDWTAISSFLFSPLISPFFATANIFVGFVLFLYVLVPIAYWGFDLYNAKTFPIFSSHLFMSNGTSYDITAIVNDKFELDIDAYNKLGRINLSVFFALAYGLSFATIASTVTHVGLFYGKEIYHRFRASQKEKPDIHTRLMKKYDDIPVWWFYSLMALSMTVALILCTVLKHETPGLNVITEYAIGLIIPGHPIANVCFKVYGYMSMSQAIAFLSDFKLGHYMKIPPKSMFLVQFIGTIVAGTVNLGVAWWLLGSIHDICQDSLPADSPWTCPNDRVFFDASVIWGLIGPIRIFGPHGNYSALNWFFLIGAAGPVIVYIFHKMFPNKKWITLINLPVLIGATASMPPATAVNYNSWLLFGTIFNFFVFRYRKKWWERYNYILSAALDAGVAFMAVLLYFSLSMENRSIDWWGTAGEHCPLATCPTAKGVDLGPTSVCPVF >ONIVA02G31600.2 pep chromosome:AWHD00000000:2:28055240:28058016:-1 gene:ONIVA02G31600 transcript:ONIVA02G31600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAMRGALHEKDELPHGSRQISRSKFFLVALICSFAWYAVPGYLFPTLTSISWVCWVFSKSVTAQQLGSGLKGLGVGAFSLDWTAISSFLFSPLISPFFATANIFVGFVLFLYVLVPIAYWGFDLYNAKTFPIFSSHLFMSNGTSYDITAIVNDKFELDIDAYNKLGRINLSVFFALAYGLSFATIASTVTHVGLFYGKEIYHRFRASQKEKPDIHTRLMKKYDDIPVWWFYSLMALSMTVALILCTVLKHEVQLPWWGLLFACGMAFIFTLPISIISATTNQASYTPGLNVITEYAIGLIIPGHPIANVCFKVYGYMSMSQAIAFLSDFKLGHYMKIPPKSMFLVQFIGTIVAGTVNLGVAWWLLGSIHDICQDSLPADSPWTCPNDRVFFDASVIWGLIGPIRIFGPHGNYSALNWFFLIGAAGPVIVYIFHKMFPNKKWITLINLPVLIGATASMPPATAVNYNSWLLFGTIFNFFVFRYRKKWWERYNYILSAALDAGVAFMAVLLYFSLSMENRSIDWWGTAGEHCPLATCPTAKGVDLGPTSVCPVF >ONIVA02G31600.3 pep chromosome:AWHD00000000:2:28058346:28060689:-1 gene:ONIVA02G31600 transcript:ONIVA02G31600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAADERGLAAAAAGEDEEETSPIEEVRLTVPAGDDTALPVWTFRMWSIGLLSCALLSFLNQFFSYRTEPLIVTQITVQVASLPVGHFLARVLPRRAFRAPALLGGGEWSLNPGPFNMKEHVLISIFANAGCAFGNGNAYAVMIVDIIRAFYKRSISFVAAWLLIITTQVLGYGWAGLMRKFVVEPAHMWWPGTLVQVSLFRIPICLSCKTEVAVKLVPLLKETH >ONIVA02G31590.1 pep chromosome:AWHD00000000:2:28054489:28057072:1 gene:ONIVA02G31590 transcript:ONIVA02G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGGGMIWATAEDLARSRPVVLSLYRQILRALNSPALPLGHAARLAKKAECRAIFIFAAEERSIHNIRDLLDAARHTLGLLHRGRRKSTKPTKMLAVAKKGEMSGLKRKEEIAVQSSEKAPTPKPFKPEPSCCAVTDLEKTQHTHEMDVSVGKR >ONIVA02G31590.2 pep chromosome:AWHD00000000:2:28054489:28057386:1 gene:ONIVA02G31590 transcript:ONIVA02G31590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGGGMIWATAEDLARSRPVVLSLYRQILRALNSPALPLGHAARLAKKAECRAIFIFAAEERSIHNIRDLLDAARHTLGLLHRGRR >ONIVA02G31590.3 pep chromosome:AWHD00000000:2:28054489:28057663:1 gene:ONIVA02G31590 transcript:ONIVA02G31590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAGGGMIWATAEDLARSRPVVLSLYRQILRALNSPALPLGHAARLAKKAECRAIFIFAAEERSIHNIRDLLDAARHTLGLLHRGRKRPTWVTVEAMVAKLRP >ONIVA02G31580.1 pep chromosome:AWHD00000000:2:28046854:28047429:-1 gene:ONIVA02G31580 transcript:ONIVA02G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAAARVTSINSSFCHIQIFWFFNLSLLTVMSSEFAANGGIHGSLTGISIGVGVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQETDTISYKDTIKAPPPSEAKVMKSATRLSVVTTTVFYMLCGCMGYALPDNLLTGLGFTIVVHLVGAYQVFVQPIFVFVER >ONIVA02G31570.1 pep chromosome:AWHD00000000:2:28045735:28045998:-1 gene:ONIVA02G31570 transcript:ONIVA02G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGRIVAAAGRGVAASGPGGRWAMALAPAAAPQSERRRRRGAGRSEGVAETRQKRRRDAIWGRIGAERRARLRGAPLRLSSATD >ONIVA02G31560.1 pep chromosome:AWHD00000000:2:28045474:28052906:1 gene:ONIVA02G31560 transcript:ONIVA02G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQLSLSPGPKQQQHDDGGNIGIGSDAAEVMAVPEESSAAKGRSVREERTIHLIPLLTFLCFLLLFLFSHDPSSADMSSFRDGGNGGNRRLRML >ONIVA02G31560.2 pep chromosome:AWHD00000000:2:28050978:28052733:1 gene:ONIVA02G31560 transcript:ONIVA02G31560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQLSLSPGPKQQQHDDGGNIGIGSDAAEVMAVPEESSAAKGRSVREERTIHLIPLLTFLCFLLLFLFSHDPSSADMSSFRDGGNGGNRRLRML >ONIVA02G31550.1 pep chromosome:AWHD00000000:2:28042320:28045417:-1 gene:ONIVA02G31550 transcript:ONIVA02G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPPHRAERRVRQHESSAGDPAESRDFAWVRRGASASVTASHEFTATLLAWRRPRLLVIHLPDTDMIFGL >ONIVA02G31540.1 pep chromosome:AWHD00000000:2:28040063:28040413:1 gene:ONIVA02G31540 transcript:ONIVA02G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGFPAPRYYPDNVIFQIIAFSGLRLADRAMVSEVVDGCLVRFNGVPCSAMSSGLGSRHPEPSNGLPYGACLRMATAGTSSMSRPSGWHPDAMPSEDGAPLLSLLGPLQRRLML >ONIVA02G31530.1 pep chromosome:AWHD00000000:2:28033216:28034345:-1 gene:ONIVA02G31530 transcript:ONIVA02G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNRGSWTPQEDMRLIAYIQKHGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTADEEDTIIKLHGLLGNKWSKIASCLPGRTDNEIKNVWNTHLKKRVSQRKKPGDTKKKGKAADASDDADAHSPSSSASSSTTTVANNNNSGDTAGEQCGTSKEPENVDVSFFEQDIDISDMLVDAPTEAPLVAAPMPPSPCSSSSLTTTTCVGAVSDELLDLPEIDIEPDIWSIIDGYGGDEPVVSGADGDATVPCTASPGEEGAEWWVENLEKELGLWGPMDESLAHPDPPGQVCYPGPLTETEGDPVSTYFQSGPTASPLQEIASPAVLS >ONIVA02G31520.1 pep chromosome:AWHD00000000:2:28027234:28028256:1 gene:ONIVA02G31520 transcript:ONIVA02G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase 10 [Source:Projected from Arabidopsis thaliana (AT1G32320) TAIR;Acc:AT1G32320] MAKLRERRQLRLSVPASPPPFPHLDHPFAALPSTPPGSPVLAELEMLSVVGRGAGGTVYRARHRRTGAALAVKEMRDDGAALREAGAHLRVAAAAPDHPSVVRLHGVCVGHPVAGNRFVYLVLEYLPEGSLSDVLVRGALPEPAIAGVARCVLRGLSHLHRLGVAHGDVKPSNLLVGHRGEIKIADFGASRVVTGRDEAHHQSPGTWAYMSPEKLHPEGFGGGGGADFSGDVWSLGVVLLECHAGRFPLVAAGERPDWPALVLAVCFAAAPEVPVAASPEFGRFVRRCLEKDWRRRATVEELLGHPFVAGKLSRCERQNEWRTTFQDKTGQVNTSIYGEE >ONIVA02G31510.1 pep chromosome:AWHD00000000:2:28022960:28026083:-1 gene:ONIVA02G31510 transcript:ONIVA02G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16810) TAIR;Acc:AT5G16810] MLKAPPTSFLSSLDPGGWRRRPFRRSPPPISAALMTNPAYFEVGRYLGGYGFMNITSYSSSQFGGLPDVAGIQNLGLGYSPEEIERLRAQDVGEGEVNIRLYEGRVVQGPLKGTEALFKVYPGSRSGASEADLMAVNELRTHAFLQNDASDICENIQFLLGAFETATGEQWLAFRDDGRYSAADYAKLTSERKLKEQSGSISFWNPYDRAYKLELKRYFVLKLLYGAMCGLVRMHNHDRLHQSLGPSSVVLKYGAGLLLAYMSFIPFCEAGTMDGISLQRLLENTFRLDIYAAREYFLADDRLSEAVDFLDLGDGAGWELLQAMLNPDYRKRPIAEAVLNHRFLTGAVLWN >ONIVA02G31510.2 pep chromosome:AWHD00000000:2:28022962:28026083:-1 gene:ONIVA02G31510 transcript:ONIVA02G31510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16810) TAIR;Acc:AT5G16810] MLKAPPTSFLSSLDPGGWRRRPFRRSPPPISAALMTNPAYFEVGRYLGGYGFMNITSYSSSQFGGLPDVAGIQNLGLGYSPEEIERLRAQDVGEGEVNIRLYEGRVVQGPLKGTEALFKVYPGSRSGASEADLMAVNELRTHAFLQNDASDICENIQFLLGAFETATGEQWLAFRDDGRYSAADYAKLTSERKLKEQSGSISFWNPYDRAYKLELKRYFVLKLLYGAMCGLVRMHNHDRLHQSLGPSSVVLKYGAGLLLAYMSFIPFCEAGTMDGISLQRLLENTFRLDIYAAREYFLADDRLSEAVDFLDLGDGAGWELLQAMLNPDYRKRPIAEAVLNHRFLTGAVLWN >ONIVA02G31510.3 pep chromosome:AWHD00000000:2:28022962:28026083:-1 gene:ONIVA02G31510 transcript:ONIVA02G31510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16810) TAIR;Acc:AT5G16810] MLKAPPTSFLSSLDPGGWRRRPFRRSPPPISAALMTNPAYFEVGRYLGGYGFMNITSYSSSQFGGLPDVAGIQNLGLGYSPEEIERLRAQDVGEGEVNIRLYEGRVVQGPLKGTEALFKVYPGSRSGASEADLMAVNELRTHAFLQNDASDICENIQFLLGAFETATGEQWLAFRDDGRYSAADYAKLTSERKLKEQSGSISFWNPYDRAYKLELKRYFVLKLLYGAMCGLVRMHNHDRLHQSLGPSSVVLKYSSVGSGALSDGLWRRASAAGASTPLEKRAFGIADDMQRLLENTFRLDIYAAREYFLADDRLSEAVDFLDLGDGAGWELLQAMLNPDYRKRPIAEAVLNHRFLTGAVLWN >ONIVA02G31500.1 pep chromosome:AWHD00000000:2:28007168:28023281:1 gene:ONIVA02G31500 transcript:ONIVA02G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGGSSSNPMSSEASSSAAAAAAGVAVRDVGDDKPLPSAEVDITYWAAQEEAAALLESMAARARGEDDLPEEQLQANNQLQEDEVIALQAIFGDDMVILENKDNLRFIQIFVHYTLPDSIRVFLNLRRSGAMVGTDDSENHNGGELYLLAAKWLDEPKVSYLCAALDEVWTELPGQEVIYRWVDWLNSSSWSSIALNDEIVLDPDKTLKIGDERAIARRILVESTIPLMQSYSEKRSHKIFLESLLVCGICLSEDVGRNFIKLPCHHSFCLKCVESHCKIHVKEGNLTQLACPDTNCRNPLPPSVLKSLLRDDGYAQWESFALQKLLDAMPDLVYCPRCSAACLEVDNDAQCPGCFFTFCTLCKRRRHVGDTCITPEEKIRILKDCFKLIERQKLYSIPEEQLLKEQREIDELINIQEALRDSKQCPRCKMAISKIEGCNKMTCGNCGRFFCYRCNKAIGGYDHFWNGNCDMFEREQDENPQQQDDENFDGDPDEDAELLEPEWVLLTYPCPNCGRRNEKANLTSTLVPPLFAVPSDYDFMDTSEDEGQVSSGSPSPISLNIKASEQSIPWEIPMSSEASSSSSAAVAVRDLGDDNPSASPEVDTTYWAAQEEATALLESMAARVRGEEELSEEQMQANDQLQEDEVIALEAIFGGDMVILENKDSLRFIQIFVHYSLPDGIRVFLNLRRSGALVGTGDNENHNGGEIAAFTTCCADMFVATVISKAPRARSHIQMVDWLNSSSWSFIALNDEIVLSPDRTSKFGDERAIARRILVESTIPLMQIYSEKRSHKVFLQSLSECGICLSEDAGVMLLACKLTMMLNVRTVFLPSALCANNAAMWGTHERQKLHSMSAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYRCNQAIKGYEHFWGGNCVLFGTHAHYQIRNPQQQRDENPGDHAELLEQRVQLTYPCPNCGSRNEKYPKLELSYSNEGQVSSGSLVAAVEITMMTPGSSSTSVPGDEAEAGNWDAGVETAARLEAMVHAEDELSEEQIQANNQTQEDELLALQAIYGDDLVIFNNKDGLRFFQISLHYQLAGDIRVYLNVCPNGRTETGAENDDDDDSDRLLYACSLQHLPPVVLTCLLPRLYPSHRAPYFVVAAKWLDEPEVSSFCSVLDEIWAEQPAGQEVVYKWVDWLSTSSWSCIASDDQIVFGPDADSAGGDDRAIGRSCSLDSMIPLIQRYSKERSHEIFARRIHECGVCLSENTGRNFIQLPCSHSFCVKCMETQCRIHVKEGSVARLTCPDTSCRRPLPPALLRGLLGDGEYARWESLVLRGCWTRCPTCSAACVAAGDDAQCSRCFFTFCAVCRERRHVGDTCVSPNQMLDIMLERQKEKRPLAAPSPDSQAVSEKRKMEELLSLREVMRTSRQCPSCKMAVSKTAGCNKMVCSNCGRPFCYRCSRAITGYKHFAGECKLFESVGKGWFPGQAMWMNLEYDYDEIAEIGTPSWIRAIRYPCPTCGAKRTKSGNNDLLTCRGCRTHYCALCSKKVWSIAEHYGPAE >ONIVA02G31500.2 pep chromosome:AWHD00000000:2:28007168:28023281:1 gene:ONIVA02G31500 transcript:ONIVA02G31500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGGSSSNPMSSEASSSAAAAAAGVAVRDVGDDKPLPSAEVDITYWAAQEEAAALLESMAARARGEDDLPEEQLQANNQLQEDEVIALQAIFGDDMVILENKDNLRFIQIFVHYTLPDSIRVFLNLRRSGAMVGTDDSENHNGGELYLLAAKWLDEPKVSYLCAALDEVWTELPGQEVIYRWVDWLNSSSWSSIALNDEIVLDPDKTLKIGDERAIARRILVESTIPLMQSYSEKRSHKIFLESLLVCGICLSEDVGRNFIKLPCHHSFCLKCVESHCKIHVKEGNLTQLACPDTNCRNPLPPSVLKSLLRDDGYAQWESFALQKLLDAMPDLVYCPRCSAACLEVDNDAQCPGCFFTFCTLCKRRRHVGDTCITPEEKIRILKDCFKLIERQKLYSIPEEQLLKEQREIDELINIQEALRDSKQCPRCKMAISKIEGCNKMTCGNCGRFFCYRCNKAIGGYDHFWNGNCDMFEREQDENPQQQDDENFDGDPDEDAELLEPEWVLLTYPCPNCGRRNEKANLTSTLVPPLFAVPSDYDFMDTSEDEGQVSSGSPSPISLNIKASEQSIPWEIPMSSEASSSSSAAVAVRDLGDDNPSASPEVDTTYWAAQEEATALLESMAARVRGEEELSEEQMQANDQLQEDEVIALEAIFGGDMVILENKDSLRFIQIFVHYSLPDGIRVFLNLRRSGALVGTGDNENHNGGEIAAFTTCCADMFVATVISKAPRARSHIQMVDWLNSSSWSFIALNDEIVLSPDRTSKFGDERAIARRILVESTIPLMQIYSEKRSHKSTAPGVMLLACKLTMMLNVRTVFLPSALCANNAAMWGTHERQKLHSMSAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYRCNQAIKGYEHFWGGNCVLFGTHAHYQIRNPQQQRDENPGDHAELLEQRVQLTYPCPNCGSRNEKYPKLELSYSNEGQVSSGSLVAAVEITMMTPGSSSTSVPGDEAEAGNWDAGVETAARLEAMVHAEDELSEEQIQANNQTQEDELLALQAIYGDDLVIFNNKDGLRFFQISLHYQLAGDIRVYLNVCPNGRTETGAENDDDDDSDRLLYACSLQHLPPVVLTCLLPRLYPSHRAPYFVVAAKWLDEPEVSSFCSVLDEIWAEQPAGQEVVYKWVDWLSTSSWSCIASDDQIVFGPDADSAGGDDRAIGRSCSLDSMIPLIQRYSKERSHEIFARRIHECGVCLSENTGRNFIQLPCSHSFCVKCMETQCRIHVKEGSVARLTCPDTSCRRPLPPALLRGLLGDGEYARWESLVLRGCWTRCPTCSAACVAAGDDAQCSRCFFTFCAVCRERRHVGDTCVSPNQMLDIMLERQKEKRPLAAPSPDSQAVSEKRKMEELLSLREVMRTSRQCPSCKMAVSKTAGCNKMVCSNCGRPFCYRCSRAITGYKHFAGECKLFESVGKGWFPGQAMWMNLEYDYDEIAEIGTPSWIRAIRYPCPTCGAKRTKSGNNDLLTCRGCRTHYCALCSKKVWSIAEHYGPAE >ONIVA02G31500.3 pep chromosome:AWHD00000000:2:28007168:28023281:1 gene:ONIVA02G31500 transcript:ONIVA02G31500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGGSSSNPMSSEASSSAAAAAAGVAVRDVGDDKPLPSAEVDITYWAAQEEAAALLESMAARARGEDDLPEEQLQANNQLQEDEVIALQAIFGDDMVILENKDNLRFIQIFVHYTLPDSIRVFLNLRRSGAMVGTDDSENHNGGELYLLAAKWLDEPKVSYLCAALDEVWTELPGQEVIYRWVDWLNSSSWSSIALNDEIVLDPDKTLKIGDERAIARRILVESTIPLMQSYSEKRSHKIFLESLLVCGICLSEDVGRNFIKLPCHHSFCLKCVESHCKIHVKEGNLTQLACPDTNCRNPLPPSVLKSLLRDDGYAQWESFALQKLLDAMPDLVYCPRCSAACLEVDNDAQCPGCFFTFCTLCKRRRHVGDTCITPEEKIRILKERQKLYSIPEEQLLKEQREIDELINIQEALRDSKQCPRCKMAISKIEGCNKMTCGNCGRFFCYRCNKAIGGYDHFWNGNCDMFEREQDENPQQQDDENFDGDPDEDAELLEPEWVLLTYPCPNCGRRNEKANLTSTLVPPLFAVPSDYDFMDTSEDEGQVSSGSPSPISLNIKASEQSIPWEIPMSSEASSSSSAAVAVRDLGDDNPSASPEVDTTYWAAQEEATALLESMAARVRGEEELSEEQMQANDQLQEDEVIALEAIFGGDMVILENKDSLRFIQIFVHYSLPDGIRVFLNLRRSGALVGTGDNENHNGGEIAAFTTCCADMFVATVISKAPRARSHIQMVDWLNSSSWSFIALNDEIVLSPDRTSKFGDERAIARRILVESTIPLMQIYSEKRSHKSTAPGVMLLACKLTMMLNVRTVFLPSALCANNAAMWGTHERQKLHSMSAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYRCNQAIKGYEHFWGGNCVLFGTHAHYQIRNPQQQRDENPGDHAELLEQRVQLTYPCPNCGSRNEKYPKLELSYSNEGQVSSGSLVAAVEITMMTPGSSSTSVPGDEAEAGNWDAGVETAARLEAMVHAEDELSEEQIQANNQTQEDELLALQAIYGDDLVIFNNKDGLRFFQISLHYQLAGDIRVYLNVCPNGRTETGAENDDDDDSDRLLYACSLQHLPPVVLTCLLPRLYPSHRAPYFVVAAKWLDEPEVSSFCSVLDEIWAEQPAGQEVVYKWVDWLSTSSWSCIASDDQIVFGPDADSAGGDDRAIGRSCSLDSMIPLIQRYSKERSHEIFARRIHECGVCLSENTGRNFIQLPCSHSFCVKCMETQCRIHVKEGSVARLTCPDTSCRRPLPPALLRGLLGDGEYARWESLVLRGCWTRCPTCSAACVAAGDDAQCSRCFFTFCAVCRERRHVGDTCVSPNQMLDIMLERQKEKRPLAAPSPDSQAVSEKRKMEELLSLREVMRTSRQCPSCKMAVSKTAGCNKMVCSNCGRPFCYRCSRAITGYKHFAGECKLFESVGKGWFPGQAMWMNLEYDYDEIAEIGTPSWIRAIRYPCPTCGAKRTKSGNNDLLTCRGCRTHYCALCSKKVWSIAEHYGPAE >ONIVA02G31490.1 pep chromosome:AWHD00000000:2:27997398:28004595:1 gene:ONIVA02G31490 transcript:ONIVA02G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAASIGPPQPPPPPTSPEEEKCLNLELWHACSSPLVCLPSVGTRVVYFPQGHSEQVSWLSAMGCSLLVSMVSLVCLVLLQGKPSKTMVDCLAIFVVMRQCTARWCFFSKTLAEREAFYYVVDNAAVMDFITVNTSGSILINMLKPEYRKFGEWGNRCIWFTTIQFSVISKDLASYISIQPVSGQTICVGLLWEYIIGHFLSSTALVSFICCSKTHGFIFEGAAGCSICVPTDSLVELIQILVEMYTHIRHWCPSLLSPSARPHSRRYTIRIL >ONIVA02G31490.2 pep chromosome:AWHD00000000:2:27997398:28003556:1 gene:ONIVA02G31490 transcript:ONIVA02G31490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAASIGPPQPPPPPTSPEEEKCLNLELWHACSSPLVCLPSVGTRVVYFPQGHSEQVSWLSAMGCSLLVSMVSLVCLVLLQGKPSKTMVDCLAIFVVMRQCTARWCFFSKTLAEREAFYYVVDNAAVMDFITVNTSGSILINMLKPEYRKFGEWGNRCIWFTTIQFSVISKDLASYISIQPVSGQTICVGLLWEYIIGHFLSSTALVSFICCSKTHGYIASAFYNAPQEVLALCSLHNFFNFGLSCTLCTSLLCLDIYPAVSILLGTCHCGWQIHL >ONIVA02G31490.3 pep chromosome:AWHD00000000:2:27997398:28004595:1 gene:ONIVA02G31490 transcript:ONIVA02G31490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAASIGPPQPPPPPTSPEEEKCLNLELWHACSSPLVCLPSVGTRVVYFPQGHSEQVSWLSAMGCSLLVSMVSLVCLVLLQGKPSKTMVDCLAIFVVMRQCTARWCFFSKTLAEREAFYYVVDNAAVMDFITVNTSGSILINMLKPEYRKFGEWGNRCIWFTTIQFSVISKDLASYISIQPVSGQTICVGLLWEYIIGHFLSSTALVSFICCSKTHGYIASAFYNAPQEVLALCSLHNFFNFGLSCTLCTSLLCLDIYPAVSILLGTCHCGWQIHL >ONIVA02G31490.4 pep chromosome:AWHD00000000:2:27997398:28003556:1 gene:ONIVA02G31490 transcript:ONIVA02G31490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAASIGPPQPPPPPTSPEEEKCLNLELWHACSSPLVCLPSVGTRVVYFPQGHSEQVSWLSAMGCSLLVSMVSLVCLVLLQGKPSKTMVDCLAIFVTLAEREAFYYVVDNAAVMDFITVNTSGSILINMLKPEYRKFGEWGNRCIWFTTIQFSVISKDLASYISIQPVSGQTICVGLLWEYIIGHFLSSTALVSFICCSKTHGYIASAFYNAPQEVLALCSLHNFFNFGLSCTLCTSLLCLDIYPAVSILLGTCHCGWQIHL >ONIVA02G31490.5 pep chromosome:AWHD00000000:2:27997398:28001357:1 gene:ONIVA02G31490 transcript:ONIVA02G31490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAASIGPPQPPPPPTSPEEEKCLNLELWHACSSPLVCLPSVGTRVVYFPQGHSEQVSWLSAMGCSLLVSMVSLVCLVLLQGKPSKTMVDCLAIFVVMRQCTARWCFFSKTLAEREAFYYVVDNAAVMDFITVNTSGSILINMLKPEYRKFGEWGNRCIWFTTIQFSVISKDLASYISIQPVSGQTICVGLLWEYIIGHFLSSTALVSFICCSKTHGYIASAFYNAPQEVLALCSLHNFFNFGLSCTLCTSLLCLDIYPAVSILLGTCHCGWQIHL >ONIVA02G31480.1 pep chromosome:AWHD00000000:2:27992114:27995635:-1 gene:ONIVA02G31480 transcript:ONIVA02G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIAYRPIQPSDLEVLENIHLALFPIRYEREFFLNVVNGNGIISWGAVDTSRSDDRRDELIGFVTTRIIAAQDSEIEDLFRYNSSRKDLTLLYILTLGVVESYRNLGIASSLVREVIKYAASISNCRGVYLHVISYNQPAISFYNKMLFKLVRRLPHFYYIRGQHYDSYLFVYYGFPEDGGCQVLEQRGEKYTQMVQVQGIDHSLGISE >ONIVA02G31480.2 pep chromosome:AWHD00000000:2:27992074:27995635:-1 gene:ONIVA02G31480 transcript:ONIVA02G31480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIAYRPIQPSDLEVLENIHLALFPIRYEREFFLNVVNGNGIISWGAVDTSRSDDRRDELIGFVTTRIIAAQDSEIEDLFRYNSSRKDLTLLYILTLGVVESYRNLGIASSLVREVIKYAASISNCRGVYLHVISYNQPAISFYNKMLFKLVRRLPHFYYIRGQHYDSYLFVYYVNGGRSPCSPLEVITSFVVDFRAFLKMVVARFWNKEERSTPRWSRCKESTTLLVSQNNKRIGGDDTRCHV >ONIVA02G31470.1 pep chromosome:AWHD00000000:2:27988312:27989912:-1 gene:ONIVA02G31470 transcript:ONIVA02G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHLIPHHAAGYTYARAYATTGGGGGDGGDGGGGGDPFEQFPEAVLGLIVSKLPFRSAVAASAISRRWRGVAAAAPALDLDFAAAFPAAPRRRAAFAAAATAALSRPHHPLRRLRLGLDGLFDQAFAASAADHLASWLAAAAARGVEQLELHLPRSRLALLPPSLIACTNLTSLTLRLDHYAHPLPSLCSLTRLSRLHLASIPLAGDDFFADLFSHCKQLRYLILEQCRIGALCLAGTTQLCSLAITDCSWTPQSSVAFSDMPALRTLHYSGAMATRHIIDNVDSLEEVVLAIKKPQVKLQEPNLRELLSLVGNVQSLMLSPWCIEQFARPEEWSKVRLNKVRQLSCIIERREEGASSIAPLLANCQNVEELSVSVVPSQCKRRWGSDDGANHWVMGGKGVVLRHLRAVRMVYIDESKSGLDLVKLLLKNTPMLEMMTIVPSMDGLEQAKFRRRVLKLRKASRDADIQFSATG >ONIVA02G31460.1 pep chromosome:AWHD00000000:2:27968656:27977775:-1 gene:ONIVA02G31460 transcript:ONIVA02G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVHGVQEHRQSGGGEKKAEQGEKEAAAKVEKVPFLKLFSFADRWDYVLMAVGSLGACAHGASVPVFFIFFGKLINIIGLAYLFPTTVSGRVAKYSLDFVYLGIVILFSSWTEVACWMHTGERQAAKMRQAYLRSMLDQDIAVFDTEASTGEVINAITSDILVVQDAISEKGRVILCLCQLCRSEARTPEWRRRRVIGNLVGNFMHYISRFLAGFAIGFSQVWQISLVTLAIVPLIAIAGGIYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRTYREALLRTYKYGKRGGLAKGLGLGSMHSVLFLSWALLIWFTSVVVHKNISNGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYPIFQMIERNTVNKASSKAGRTLPSVDGHIQFRDVRFAYPSRPDVVILDRFSLDFPAGKIVALVGGSGSGKSTVVSLIERFYEPLTGAVLLDGHDIKDLDVKWLRQQIGLVNQEPALFATSIRENILYGKGDASMDEINHAAKLSEAITFINHLPDRYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDSGRIVETGTHEQLMANPRSAYASLIQLQEAAQLQNKQSFSDSASLSRPLSSKYSRELSRTSMGGSFRSEKDSVSRYGTVEAHDEGGHKSKPVSMKKLYSMIRPDWFFGVSGTVSAFVAGSQMPLFALGVTQALVSYYMGWETTKREVRKIAVLFCCGAVLTVVFHAIEHLSFGIMGERLTLRVRERMFAAILRNEIGWFDDTSHTSSMLSSRLETDATLVRTIVVDRSTILLQNIGMIVTSLIIAFIINWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCAEEKVIKLYANELKEPAKQSFRRGQGAGLFYGVSQFFLFSSYALALWYGSELMSKEMASFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVLIDAGNDVKRVEGVIELRGVEFRYPARPEVVVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPIAGKVLIDGKDIRKVKLKSLRKHIGLVQQEPALFATTIYDNILYGKDGATEAEVVDAAKLANAHSFISALPEGYRTRVGERGVQLSGGQRQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMRNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHHQLIENRNGAYHKLVSLQQQQQQQDQMQRH >ONIVA02G31450.1 pep chromosome:AWHD00000000:2:27963797:27964896:-1 gene:ONIVA02G31450 transcript:ONIVA02G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGEKVPKLRGMVIGDDGAIGLTTSNGLVMAVGTMRGIWLGGEGEGIGREEPLETGKMTTKALSVASLRRRRPVLCSEISSLINQVIFEQFLSIFVCNLCIHARKQQPQKPLRLSAYAVQRKETTATTKTQYTCYLKRNKLRPSNSLQVHVPI >ONIVA02G31440.1 pep chromosome:AWHD00000000:2:27959364:27962070:-1 gene:ONIVA02G31440 transcript:ONIVA02G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALLAGVAAAFATGLVGVYLSMPRSDYSFLKLPKNLQELQILTDHLEDYTSDYTVQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGVALVVFAATAGASSCYFLSKLIGKPLVFSLWPDKLGFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFFLATFIGLIPAAYVTVRAGIALGDLSSLSDLYDKQSIALLFLIGVVSVTPTLLGKNEKQQGKGPEMIEGTADLIIIVYALLTRFSFSWNLVLVICESVHEMVCLGAEVNSQIACHFHSFVLCRDHNDFERRSFVRFCCQMPYNGFGR >ONIVA02G31430.1 pep chromosome:AWHD00000000:2:27953404:27959141:1 gene:ONIVA02G31430 transcript:ONIVA02G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNGAAAVSEAEAAPVQVETGAESGDAESEQYQPFFSMCQPIRSVSYSNSWDMSNLDSMDDKYMLSAPWPENEQPQSENDMDLLDGDTNMQSEPLSTEQPSSNDEIDIWDGKTKQDSSSLLDSKLCNSGSGAEPCNMEGQQFSLSFSRNTQSQLVGSGLGNMGNTCFLNSILQCVTHTVPLFLRLRSTDHCAPCSYDKDGFCSFCALKEHIDESIRRSGSVIRPVRPGQQEDAHEFLRCLLDNLDKCTTDPKPKDKPSSFDEESIVKQVFGGRLKSKLTCCECGHCSETYEPFLDLSLEIDQADNLIDALESFTKLERIGDAEDKLNCEHCNAKVCKNKQLMLDRSPDVVAIHLKRFTSLDRSVEKIDKHVVYPLELDLKPFHCDPDINKELKYDLYGVVEHSGSPSYGHYVCSVRSSPSTWHLMNDSHVDLISEASALNQEGYILFYIRQGKFQWFSSLLEQKDDLHPENTSGASPVSVLENIDVDCPTSSGEATNSSGDKLEKDETSQCKTSFLEEPAKGCPIDAINKADLKDEIVPCISSHHDCVAIRCPGSAADITNLDRPSTPSPKRKRFFSDDNEFNVFEFEDFGDEDETPLLGNLKFTSKAKKAKVESASKSTKGPCIDKNVTRLVRSMPSTRRKGIVDCLSQLNAEQDSGSCPRSHPLGKKKLGIPVPIKW >ONIVA02G31430.2 pep chromosome:AWHD00000000:2:27953404:27959141:1 gene:ONIVA02G31430 transcript:ONIVA02G31430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNGAAAVSEAEAAPVQVETGAESGDAESEQYQPFFSMCQPIRSVSYSNSWDMSNLDSMDDKYMLSAPWPENEQPQSENDMDLLDGDTNMQSEPLSTEQPSSNDEIDIWDGKTKQDSSSLLDSKLCNSGSGAEPCNMEGQQFSLSFSRNTQSQLVGSGLGNMGNTCFLNSILQCVTHTVPLFLRLRSTDHCAPCSYDKDGFCSFCALKEHIDESIRRPGQQEDAHEFLRCLLDNLDKCTTDPKPKDKPSSFDEESIVKQVFGGRLKSKLTCCECGHCSETYEPFLDLSLEIDQADNLIDALESFTKLERIGDAEDKLNCEHCNAKVCKNKQLMLDRSPDVVAIHLKRFTSLDRSVEKIDKHVVYPLELDLKPFHCDPDINKELKYDLYGVVEHSGSPSYGHYVCSVRSSPSTWHLMNDSHVDLISEASALNQEGYILFYIRQGKFQWFSSLLEQKDDLHPENTSGASPVSVLENIDVDCPTSSGEATNSSGDKLEKDETSQCKTSFLEEPAKGCPIDAINKADLKDEIVPCISSHHDCVAIRCPGSAADITNLDRPSTPSPKRKRFFSDDNEFNVFEFEDFGDEDETPLLGNLKFTSKAKKAKVESASKSTKGPCIDKNVTRLVRSMPSTRRKGIVDCLSQLNAEQDSGSCPRSHPLGKKKLGIPVPIKW >ONIVA02G31430.3 pep chromosome:AWHD00000000:2:27953404:27959141:1 gene:ONIVA02G31430 transcript:ONIVA02G31430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNGAAAVSEAEAAPVQVETGAESGDAESEQYQPFFSMCQPIRSVSYSNSWDMSNLDSMDDKYMLSAPWPENEQPQSENDMDLLDGDTNMQSEPLSTEQPSSNACDPVICLMVHTISLELKYLIQLFYINCDNQDEIDIWDGKTKQDSSSLLDSKLCNSGSGAEPCNMEGQQFSLSFSRNTQSQLVMIKMVSVHSVLLKNILMNQSEDYPELSSDFRPGQQEDAHEFLRCLLDNLDKCTTDPKPKDKPSSFDEESIVKQVFGGRLKSKLTCCECGHCSETYEPFLDLSLEIDQADNLIDALESFTKLERIGDAEDKLNCEHCNAKVCKNKQLMLDRSPDVVAIHLKRFTSLDRSVEKIDKHVVYPLELDLKPFHCDPDINKELKYDLYGVVEHSGSPSYGHYVCSVRSSPSTWHLMNDSHVDLISEASALNQEGYILFYIRQGKFQWFSSLLEQKDDLHPENTSGASPVSVLENIDVDCPTSSGEATNSSGDKLEKDETSQCKTSFLEEPAKGCPIDAINKADLKDEIVPCISSHHDCVAIRCPGSAADITNLDRPSTPSPKRKRFFSDDNEFNVFEFEDFGDEDETPLLGNLKFTSKAKKAKVESASKSTKGPCIDKNVTRLVRSMPSTRRKGIVDCLSQLNAEQDSGSCPRSHPLGKKKLGIPVPIKW >ONIVA02G31420.1 pep chromosome:AWHD00000000:2:27945941:27948097:-1 gene:ONIVA02G31420 transcript:ONIVA02G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16650) TAIR;Acc:AT5G16650] MDGASEKPPHKDYYKVLEVDYDASDDTIKLSYRRLALMWHPDKHKGDNDVTAKFQEINEAYTVLSDPAKRLEYDLSGCYELNRYTLREYLTRFKGMILTCNGLGIDHSSKWARHLRELEPH >ONIVA02G31410.1 pep chromosome:AWHD00000000:2:27942307:27942903:1 gene:ONIVA02G31410 transcript:ONIVA02G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKAAVAVGGGGGGDEWRCRKHPVARSGGGVCPHCLRDRLLRLCPNCAHVRPCPCTCASPSSSSSASGEAVGRVHTLIEREHRIARSRSVAASSSLAAASTASATAGAVGGRRKARVWGWPPFWKSAAKDGVAAAAEEDEEEEEGMGLARSSSVSATVVEAKAAAAAAKARWGWHFPSPLKAFRHRRSSASMPERG >ONIVA02G31400.1 pep chromosome:AWHD00000000:2:27923485:27924530:-1 gene:ONIVA02G31400 transcript:ONIVA02G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHASPFSLKNRGDMGGRGYEEEEVENQRWPPWLKPLLSTSFFVQCRIHADAHKSECNMYCLDCMNGALCSLCLSHHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSGGYRPRKKHGGCGGGGGGDGGKKKKKRAALKDARYESEDSCTSTSGGSSDKSSVVQSFTPSTPPPTSASYRTGNKRRKGVPHRSPFGSLIVEF >ONIVA02G31390.1 pep chromosome:AWHD00000000:2:27922764:27923402:-1 gene:ONIVA02G31390 transcript:ONIVA02G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPALPFPEAGRRNAGEGQEHSVTPSVPQHRRGMKRLLAVAAADTVRWLLGEMPVREGVTRAPGRTGGRHVFGLLGRA >ONIVA02G31380.1 pep chromosome:AWHD00000000:2:27906281:27907441:-1 gene:ONIVA02G31380 transcript:ONIVA02G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPTTPNLGSQPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPAFDITGSSSDDSSGPTFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFSSLRNRLLGSSAHRGSGGGADGGDNSRSQEPWSVALSDGMDETLINKITVCKYRRGDGFVDSTDCSVCLGEFRDGESLRLLPKCSHAFHVPCIDTWLKSHSNCPLCRCNIAFVTVGMVSPEPEARVPREDRRDNHELVLTIDNPEHVREEPQNVVTGVAVGNGGRNHEAKDGPGRSEDANGTAEIREDGALMPPTRAPSSLSDTHREGRMSIADVLQASLEDELMVARESGLLAGSSGSSRRCHGEHSKDGGGRSGRALPDGANMKRLAPAGRSCFSSRSGRGKDSVLPM >ONIVA02G31370.1 pep chromosome:AWHD00000000:2:27900431:27902637:1 gene:ONIVA02G31370 transcript:ONIVA02G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQITPQRPTRPRNDPLLVSALSLLNPLIPRSHPLPLANPSLFLSSAAAVVLPPDHSPPDHRLCCPLRCSRYAHPRYRCRQEIALMSPRFARSSTHRLAVPVRRRLRTRFRGSGQDRKNTMTMSPWPMSMYASSSQVII >ONIVA02G31360.1 pep chromosome:AWHD00000000:2:27882296:27884754:-1 gene:ONIVA02G31360 transcript:ONIVA02G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGSEEDLDPLSDDLPPQAAVSGSRAIGGGPIVSLPLARADLGRSLQAAVAAHVDPPSTVTGVADPTNSSPPAWILSSLRPPLVRINRRRRWEGRIRRHLPLPHISPSSLHPSLARIRRRRRREGWFRRPLPLSHGSHRLFAPATTGGAEAAASPLLSVPATAAQEQSCRGKIGYGTASEALACKLQFIFVRRDYFNEEPFLRILLEHYQSSIGMTRREFLNGYWKLYLLRALTLEPCYDGPTNGGEACRLKLPRILEAVWACGLVDVWKVCGLVD >ONIVA02G31360.2 pep chromosome:AWHD00000000:2:27882296:27884754:-1 gene:ONIVA02G31360 transcript:ONIVA02G31360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGSEEDLDPLSDDLPPQAAVSGSRAIGGGPIVSLPLARADLGRSLQAAVAAHVDPPSTVTGVADPTNSSPPAWILSSLRPPLVRINRRRRWEGRIRRHLPLPHISPSSLHPSLARIRRRRRREGWFRRPLPLSHGSHRLFAPATTGGAEAAASPLLSVPATAAQHYQSSIGMTRREFLNGYWKLYLLRALTLEPCYDGPTNGGEACRLKLPRILEAVWACGLVDVWKVCGLVD >ONIVA02G31350.1 pep chromosome:AWHD00000000:2:27880569:27881274:1 gene:ONIVA02G31350 transcript:ONIVA02G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRLSSRRASPGDVFCPLTMTSSLPGAAAVGICQRRRPAFYAAWRAMVNVPGQACMASIAKGCAAAAVSAIIHLLHRGSGRSTCCTTLRIGQYSTHFS >ONIVA02G31340.1 pep chromosome:AWHD00000000:2:27872744:27875153:1 gene:ONIVA02G31340 transcript:ONIVA02G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTIAVVEREGGTAIEADGERERGRNGCLRRRRTVLVRREGEGERERRSGGGSGTRRSSVEEEWRWRFWDEEEEQRIPVTPRCVDGCGGGGCGVNRRGGGGDCGREARRRWIRPPLCATAGWIRRDCRCSSDGRLRTGGVEAQRRQAGGEASADPAAAPHPTRMVLATAARIRRGCGRCGRGSGYGGVLLTWFIHCPRNNDRRAADGVYRDDEEGSDVSLMTRSAEMGLAPSPVSRDYGCHLGGRIRGGGNHGGGDSSPPSTAVPSIPLTSPPVYGGIHLVRRR >ONIVA02G31330.1 pep chromosome:AWHD00000000:2:27865321:27865776:-1 gene:ONIVA02G31330 transcript:ONIVA02G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMTSGMGNETYFPPSLHHIPPVATHVEAIREAHMLFFPALDDLFAKIGVPLSSVGVVVVNCSGFCATPSLSAIIANHYGMPGDVKTCNLSGMGCAAGAIGVNVAANLLRTHAMSYVVVVRSSPTNATATRGSEREGKEGKRGKRDDVAF >ONIVA02G31320.1 pep chromosome:AWHD00000000:2:27852463:27857412:-1 gene:ONIVA02G31320 transcript:ONIVA02G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEVATRSKSQKSSATQNEQSTPTNPPTAYPDWSQFQAYYNPAGTAPMTPPGFFHPNVAPSPQGHPYMWGPQMMPPYGTPPPYAAMYAQGTPYQQAPMLPGSHPYNPYPGQSPNGTVQTPTSAGGTETDKSGKSKRKTPLKRSKGSLGNLDVVATKNKKAPAKPSASSSNEGSSHSESGSGSSSEGSSTNSKSGSRTKDGSEHGQGNDASNKGATAQSSAVEPVQASTGPVVLNPMMPYWPVPPPMAGPATGVNMGMDYWGTPTSVPMHNKVIAAPASAPSSNSRDVVLSDPAIQDERELKRQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKCNSLTSENTTLHEKLKELEGEKSNGNWYKE >ONIVA02G31310.1 pep chromosome:AWHD00000000:2:27828595:27832606:-1 gene:ONIVA02G31310 transcript:ONIVA02G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast [Source:UniProtKB/TrEMBL;Acc:A0A0E0GBH7] MSAAPIPREWVGLQQFPAATQTKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVATVSAFQSEGLRPMMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTIDVLLYVDRLDAYRMDTLDDQVIRAVTNSFGKAIWRRTLVVLTHAQLSPPDGLDYNDFFTKRSESLLRYIRAGAGVSKRELGDFPLPIALVENSGRCKTNENGEKVLPDGTPWIPNLMKEITTVVSNGSKSIHVDQKLIDGPNPNNRWKMFIPLILMVEYFLVVKGIRRAIHADISNGKLDDWEQRYRDLVGSKDPVDQKGSSSGNRKA >ONIVA02G31310.2 pep chromosome:AWHD00000000:2:27828597:27832098:-1 gene:ONIVA02G31310 transcript:ONIVA02G31310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast [Source:UniProtKB/TrEMBL;Acc:A0A0E0GBH7] MSAAPIPREWVGLQQFPAATQTKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVATVSAFQSEGLRPMMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTIDVLLYVDRLDAYRMDTLDDQVIRAVTNSFGKAIWRRTLVVLTHAQLSPPDGLDYNDFFTKRSESLLRYIRAGAGVSKRELGDFPLPIALVENSGRCKTNENGEKVLPDGTPWIPNLMKEITTVVSNGSKSIHVDQKLIDGPNPNNRWKMFIPLILMVEYFLVVKGIRRAIHADISNGKLDDWEQRYRDLVGSKDPVDQKGSSSGNRKA >ONIVA02G31300.1 pep chromosome:AWHD00000000:2:27825136:27827766:1 gene:ONIVA02G31300 transcript:ONIVA02G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSDDWRFLFPVSSVFAPPSLATSSAAAASYGPLLFSPLPPHATLLALPSPFQPPHPSRRGLRHLLRHFVRSTSFLPFADLDPLSGALLTAPSPPFPAPSNLLAVLRAPSSSRSLVVFFPSGENAEQVSYVTLDPVADPTTPLSHSVQSDGFMHPRHRIQQLATTASWSSWPSRSRDSSIEGFLLAATLYSVNWFKVESRGSGSPALVPAAKQAFDAAVVHACWSKHLQSECVVLLENGQLCWFDLDTRRGGKMKVGFGSKDDLGDWLSCEYGAQPWTVIVASTAAILLVDMRFGDHGEYKVLARVGMEGLFETDPFVKTQCYLAFCKAPFDDFLISVVTERHLMVFDIRRPLIPVLAWQHGLDNPNHIAMFRLSELRPSKEHEWASNSGFAILVGSLWSTEFNLFFCGPKEQDATENAPLYAWDLPSRISLIGQHCSCSIGLMEEVFKGVVPGHGSASQLIRNYIIGYHVLPNTMLESSFTGFALIRLTSSGKLEMQRFRASGDLHDDAICDESQHKSVGSSSSISLDTHGENFSERYEFLKLHYLSKFLKGNLRSSLENHDSDVNKRSRHIVISEDVSVFAKDNSASCSQSVSDFLCNASAPMNIFEIACQSILSRLSSDILLVAFSKYEDMLASTNKKRIYEYLEVPACFPNSNKLRPYLLAKSSSISWNLTSKAKSGNSLVGPVLPIPVLLAMEDSNKGIDSPSREDSSSVSHRCREVIEAFVPEISIANTDNCNGWSASQEVKDDKPYFVYEPQTDRPTLDEAARKKDKQTQKLDDPSCLHAPTAPPMDENFMTFVCGRAGIPHSGPEQAASNLFDFSPVRMKFESPAIDIQPAEEKVYKCLKKQFLAWQNDFKPYQDFCNSYQIQKPPQ >ONIVA02G31290.1 pep chromosome:AWHD00000000:2:27797174:27797870:-1 gene:ONIVA02G31290 transcript:ONIVA02G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHFILSLHSFPFFSLTSPNPFPKHTLKSQISFLKKKIPNFSATAGRQTHAGRLTSEAAAAPGGGSGDAAAVDHLTSLVSPLHGRRAKGRRRREEEATVTPPPSTTSPPSSPASTADGQGGGGAATAAVGRGGATAAARGGEGKTSTICRAAPLPVTAAAAAAAVWLSTSPPALFPTGAASPAYLW >ONIVA02G31280.1 pep chromosome:AWHD00000000:2:27793466:27797086:-1 gene:ONIVA02G31280 transcript:ONIVA02G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADPVFKNDALDMDVLYRSGEMHQGIPTYCPRLVSVGSRGSLGSLSSSGNLGQTSASADQLNVATWQVYKIACANGSGSVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTPVLLYCVRDPMTLGSSRRNQRVSIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSYMSSYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMVTALDVAMPAPSLTDRDAMGNIEMKLHSLTPEISDEDEDPYSVESLVDFHITSERLVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVEDMAEHLAKLLGPFHPEMDLTSDSD >ONIVA02G31280.2 pep chromosome:AWHD00000000:2:27793466:27797086:-1 gene:ONIVA02G31280 transcript:ONIVA02G31280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADPVFKNDALDMDVLYRSGEMHQGIPTYCPRLVSVGSRVHPVISVRPVLLQISSIVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTPVLLYCVRDPMTLGSSRRNQRVSIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSYMSSYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMVTALDVAMPAPSLTDRDAMGNIEMKLHSLTPEISDEDEDPYSVESLVDFHITSERLVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVEDMAEHLAKLLGPFHPEMDLTSDSD >ONIVA02G31280.3 pep chromosome:AWHD00000000:2:27793466:27797086:-1 gene:ONIVA02G31280 transcript:ONIVA02G31280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADPVFKNDALDMDVLYRSGEMHQGIPTYCPRLVSCWLRVHGGIICRVPWFFEFIRSGSVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTPVLLYCVRDPMTLGSSRRNQRVSIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSYMSSYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMVTALDVAMPAPSLTDRDAMGNIEMKLHSLTPEISDEDEDPYSVESLVDFHITSERLVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVEDMAEHLAKLLGPFHPEMDLTSDSD >ONIVA02G31280.4 pep chromosome:AWHD00000000:2:27793466:27797086:-1 gene:ONIVA02G31280 transcript:ONIVA02G31280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADPVFKNDALDMDVLYRSGEMHQGIPTYCPRLVVPWFFEFIRSGSVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTPVLLYCVRDPMTLGSSRRNQRVSIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSYMSSYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMVTALDVAMPAPSLTDRDAMGNIEMKLHSLTPEISDEDEDPYSVESLVKYKELTIEICLHRWAKDFHITSERLVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVEDMAEHLAKLLGPFHPEMDLTSDSD >ONIVA02G31280.5 pep chromosome:AWHD00000000:2:27793466:27797086:-1 gene:ONIVA02G31280 transcript:ONIVA02G31280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADPVFKNDALDMDVLYRSGEMHQGIPTYCPRLVSCWLRVHGGIICRVPWFFEFIRSGSVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTPVLLYCVRDPMTLGSSRRNQRVSIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSYMSSYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMVTALDVAMPAPSLTDRDAMGNIEMKLHSLTPEISDEDEDPYSVESLVKYKELTIEICLHRWAKDFHITSERLVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVEDMAEHLAKLLGPFHPEMDLTSDSD >ONIVA02G31280.6 pep chromosome:AWHD00000000:2:27793466:27797086:-1 gene:ONIVA02G31280 transcript:ONIVA02G31280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQSSRTTRWTWTSSTAPARCTSVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTPVLLYCVRDPMTLGSSRRNQRVSIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSYMSSYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMVTALDVAMPAPSLTDRDAMGNIEMKLHSLTPEISDEDEDPYSVESLVDFHITSERLVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVEDMAEHLAKLLGPFHPEMDLTSDSD >ONIVA02G31270.1 pep chromosome:AWHD00000000:2:27789209:27792798:1 gene:ONIVA02G31270 transcript:ONIVA02G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGAMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPACAWP >ONIVA02G31260.1 pep chromosome:AWHD00000000:2:27785572:27788988:-1 gene:ONIVA02G31260 transcript:ONIVA02G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAVMLTLPAPRAVRKQIVGLTSMLLQPFAGILPFAAFQLLDIYWKNEHRLMCTSEICTADERIRFEKSIFKAQRNVILCVSACLLYWCIFRICKYNKDIKALEETEKRLKEEIHENH >ONIVA02G31260.2 pep chromosome:AWHD00000000:2:27785743:27788988:-1 gene:ONIVA02G31260 transcript:ONIVA02G31260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAVMLTLPAPRAVRKQIVGLTSMLLQPFAGILPFAAFQLLDIYWKNEHRLMCTSEICTADERIRFEKSIFKAQRNIVMWDSQCILFALEAKKILRCFGT >ONIVA02G31260.3 pep chromosome:AWHD00000000:2:27786573:27788988:-1 gene:ONIVA02G31260 transcript:ONIVA02G31260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAVMLTLPAPRAVRKQIVGLTSMLLQPFAGILPFAAFQLLDIYWKNEHRLMCTSEICTADERIRFEKSIFKAQRNVILCVSACLLYWCIFRICKYNKDIKALEETEKRLKEE >ONIVA02G31250.1 pep chromosome:AWHD00000000:2:27780101:27781438:-1 gene:ONIVA02G31250 transcript:ONIVA02G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GBG4] MALLARRARKAVMAKAPAPLLQKRGGGAAAELAIPAHFRCPISLDLMRDPVTAPTGITYDREGIEAWLDTGRAVCPVTHAPLRHEDLVPNHAIRRVIQDWCVANRSRGVERIPTPKIPVTPVQASELLFDVAESAARRGAAGRAAGAVARVRALARDSERNRRCFVSVGTGRVLAAAFESLAAAGEAGVLEDVLAALVCMMPLDEEAARVLASSSSMGSLVAIAKHGSLAGRLNAVLAIKEAVSRDGAFVDLADDKVDKVVDALVVIIKAPICPQATKAAMVATYHLASSDERVAARVASTGLVPTLIEALVDADKSVSEKALAVLDAMLASEEGRASARGHALAMPALVKKMFRVSDVATELAVSAMWRLGCKASSGDEEAAATGCLVEALRVGAFQKLLLLLQVGCRDATKEKATELLKMLNKHKGLGECVDAVDFRGLNRLS >ONIVA02G31240.1 pep chromosome:AWHD00000000:2:27773796:27774269:-1 gene:ONIVA02G31240 transcript:ONIVA02G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYFYFSATLELEPAGNLESVSPSPSPRRTTSRDVDVAGELRGRHHHYLDACFLCGRMLAGNKDIFMYRGDTPFCSEECRQRQIDADDASEMMKKRAKKQPAAARGEQQPQRRQSPHGIPVWAR >ONIVA02G31230.1 pep chromosome:AWHD00000000:2:27766351:27766871:-1 gene:ONIVA02G31230 transcript:ONIVA02G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSSYFHAFGNPDFAAVFSGGGSAQAIRPGTTTSSSGGAKAVNVGRGGAARQGAPSVFCVQDAEVEEAHHFLDECTLCRKGLAGDIFMYRGDTPFCSEECRREQIEMDRNRHRRKKQQYSPTAQAAAHHHRSERAPQRQLQPQR >ONIVA02G31220.1 pep chromosome:AWHD00000000:2:27762573:27763403:-1 gene:ONIVA02G31220 transcript:ONIVA02G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERVGDRGAHLPCHNTSASVSTRNPARAHRQGNHGRFRRKRGESAPDLAGGVSRPHGLFYGTTGSRAGVVRSTRGGGGGEAGVVWLRAAIRSIEEMGRGGHTHFLDACFLCRKRLAGNRDIFMYRCRPLDLSPLLFTLSVSSSSSPNAMGSHLTRSGDTPFCSEECRREQMEADAAAERTEKARRAGKLTRGAPSSRREVEGPQERGNSVRAGSILAL >ONIVA02G31220.2 pep chromosome:AWHD00000000:2:27762573:27763403:-1 gene:ONIVA02G31220 transcript:ONIVA02G31220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERVGDRGAHLPCHNTSASVSTRNPARAHRQGNHGRFRRKRGESAPDLAGGVSRPHGLFYGTTGSRAGVVRSTRGGGGGEAGVVWLRAAIRSIEEMGRGGHTHFLDACFLCRKRLAGNRDIFMYRGDTPFCSEECRREQMEADAAAERTEKARRAGKLTRGAPSSRREVEGPQERGNSVRAGSILAL >ONIVA02G31210.1 pep chromosome:AWHD00000000:2:27751807:27757669:-1 gene:ONIVA02G31210 transcript:ONIVA02G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWRLSQRAVTFIPRVRSQTLNPLPMAAAAAAAASPKRLRVYSSVSGDGRSANGAGSGKRVGTHNGSFHCDEALGCYLIRLTSEFAGADVVRTRDPQILDTLDAVLDVGGVYDPSRHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELEVSEDHEDVHRLYLAIYKSFVEALDAVDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPARSIVLECLLSRGKVDPSEEIMVLDRFCPWKLHLFELEEELKIDPLTKYVLYQDERSKSWRVQAVGVAPDRFESRKPLPEKWRGLRDDELSNDIGIPGCVFVHMSGFIGGNKTYEGALEMARAAINLQVSKEEQDPIGYLPLLVLATLRRSVLAIAAHMLSRPQVPLDLTLSYERTSHRSAKTRPRFRLPDPRLHRSGAAACSAEQGTMSGGEGEDERWRGCGKERKSSLAPR >ONIVA02G31210.2 pep chromosome:AWHD00000000:2:27753409:27757669:-1 gene:ONIVA02G31210 transcript:ONIVA02G31210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWRLSQRAVTFIPRVRSQTLNPLPMAAAAAAAASPKRLRVYSSVSGDGRSANGAGSGKRVGTHNGSFHCDEALGCYLIRLTSEFAGADVVRTRDPQILDTLDAVLDVGGVYDPSRHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELEVSEDHEDVHRLYLAIYKSFVEALDAVDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPARSIVLECLLSRGKVDPSEEIMVLDRFCPWKLHLFELEEELKIDPLTKYVLYQDERSKSWRVQAVGVAPDRFESRKPLPEKWRGLRDDELSNDIGIPGCVFVHMSGFIGGNKTYEGALEMARAAIKC >ONIVA02G31200.1 pep chromosome:AWHD00000000:2:27751797:27753806:1 gene:ONIVA02G31200 transcript:ONIVA02G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLHCAGSMRPIWAGCQDYQRRKRLLPDLPG >ONIVA02G31190.1 pep chromosome:AWHD00000000:2:27743020:27748582:1 gene:ONIVA02G31190 transcript:ONIVA02G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRAHSWSLYLAPHVIHSCYAAVEQSVIKKKFHTQTLAPHRSLSLSRRHRLRLRLTSRRRRRQEPPLPLPPLPRPSPSQLPKPKPYSSSFASAAMSSEPPPDAAAAAASSAGDLAADLSSATISKKQLKKDARKAEKAEKASQRQQQQQPQADADDPFAANYGDVPVEEIQSKTISGRVWTEVGGLDEAAAGRSVLIRGAAQAIRPVSKKMAFVVLRESMSTVQCVLVASADAGVSTQMVRFATSLSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKIYCINRAIPTLPINLEDASRSEAEIEKAEQAGEKLVRVGQDTRLNYRAIDLRTPANQAIFRIQCQVENKFREYFLSKNFVGIHSPKLIAGSSEGGAAVFKLQYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVAIFKHLNENCKKELETINRQYPFEPLKYLEKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVKEKYGTEFFILYRYPLAVRPFYTMPCYDNPAYSNSFDVFIRGEEIISGAQRIHLPELLTKRATECGIDASTISSYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLVP >ONIVA02G31180.1 pep chromosome:AWHD00000000:2:27740282:27742776:-1 gene:ONIVA02G31180 transcript:ONIVA02G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPNQTVARTSATSLRHFPGHSKPSLPLSAPALRKAAAALLLAAAVALPCAVLYRAAVLDAVQPVQVGWDRGPWWERGQPPPAVVVPEEDGDVDPAAADDLDSDDLKLEQVLQEASMDNKTIILTTLNAAWASSGSVIDLFIDSFRRGVRTSSLLRHLVIITFDWKAYKRCMKIHAYCFALATENVDFSQEKRFLTAGYLDMMWKRLDFLRLVLEKGYSFIFSDADITWFRNPFPHFYPDGDFQIAYIGLKIKFLSTTYFGGICEPSRDLNKSHNGRLEELYVYATKLKKIWGIVMGGTTKLQGKRGYYIINFANFDAFS >ONIVA02G31180.2 pep chromosome:AWHD00000000:2:27740282:27742776:-1 gene:ONIVA02G31180 transcript:ONIVA02G31180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPNQTVARTSATSLRHFPGHSKPSLPLSAPALRKAAAALLLAAAVALPCAVLYRAAVLDAVQPVQVGWDRGPWWERGQPPPAVVVPEEDGDVDPAAADDLDSDDLKLEQVLQEASMDNKTIILTTLNAAWASSGSVIDLFIDSFRRGVRTSSLLRHLVIITFDWKAYKRCMKIHAYCFALATENVDFSQEKRFLTAGYLDMMWKRLDFLRLVLEKGYSFIFSDADITWFRNPFPHFYPDGDFQIACDHYVGNATDLGNIANGGFNYVRSNNQSIEFYKFWYSSRLRYPGYHDQDVFNFIKHDPYITDIGLKIKFLSTTYFGGICEPSRDLNKVCTMHANCCIGLQSKLHDLRVIMEDWRNYMSMPPSLKRFGALSWGGKRGYYIINFANFDAFS >ONIVA02G31170.1 pep chromosome:AWHD00000000:2:27735869:27736486:1 gene:ONIVA02G31170 transcript:ONIVA02G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLPLLFFPFVFPNTSCLAPLPISASSSIEGIKPSPFTMLSVLVIAHTAAHVAARDDIEVVAPGPAHLVLHRHALLPAARLLAIRAKDLNEMFPVANAEVAPMANPRGFANLLWKQLNHLDNTGFDLALFRVNAYSKMLYLHADSTSPLTWDIDHWFPCARKKHRN >ONIVA02G31160.1 pep chromosome:AWHD00000000:2:27726847:27727416:-1 gene:ONIVA02G31160 transcript:ONIVA02G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLLEAAASGSVEDSLNSDLVVILAGLLCALICVLGLGLVARCACTRRWARAAGGGTAAGGGGGGAAAANKGVKKEVLRSLPTVTYVSDGGGGEAEECAICLVEFEDGQAVRVLPQCDHRFHAACIDTWLRAHSSCPSCRRVLVAAEMPPGERCGRCGARSGGRGIGALLLNYWKAPACDAEGPELA >ONIVA02G31150.1 pep chromosome:AWHD00000000:2:27716207:27722101:1 gene:ONIVA02G31150 transcript:ONIVA02G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGVTLRSKYFASFRGASQRHDEAGYAPVATSAAAAAADEPAGKKAPRGSAAAADAPHAASMKRGAPAPAELTANVLGHPTPSLSEHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITKEDVEDVRREIQIMHHLAGHRNVVAIKGAYEDPQYVHIVMELCAGGELFDRIIERGQFSERKAAELTRIIVGVIEACHSLGVIHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLRKCYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRPKERLTAHEVLCHPWICDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKAMDTDNSGAITYDELKEGMRKYGSTLKDTEIRDLMEAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMR >ONIVA02G31140.1 pep chromosome:AWHD00000000:2:27710283:27710777:-1 gene:ONIVA02G31140 transcript:ONIVA02G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLVCYCMAIPKPLIALAKLLAAIREALQLMLFVVGICHHPERSGRPAAVDAPLPDEVKDRLPPLEFAQLLAASEHGCHGCDDDEAVAGCIVCLEKLEADDVVRRLGNCAHAFHRGCIDRWIDLGRLTCPLCRSTLLPRARPAAGPRGRLGRLATRLTGVVW >ONIVA02G31130.1 pep chromosome:AWHD00000000:2:27698537:27706888:1 gene:ONIVA02G31130 transcript:ONIVA02G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGITKTLERYQHCCYNAQDSNNALSETQSWYHELSKLKAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKTQLMMEQVEELRRKERQLGEINRQLKHKLEVEGSTSNYRAMQQASWAQGAVVENGAAYVQPPPHSAAMDSEPTLQIGYPHQFVPAEANTIQRSTAPAGAENNFMLGWVL >ONIVA02G31120.1 pep chromosome:AWHD00000000:2:27676891:27678747:-1 gene:ONIVA02G31120 transcript:ONIVA02G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGAPWCDPRRGYGGYGVGSAALQAAARQQSQQPRSDGAGGAGVTGGVLKRSLGEMERWQQQRQVAAQQAMYLRSVRQRMDIGAVLGGAASSPAYGISGLSSGFGGISQQQPSSTMSSLTTASRTVMSGMQQQRRMMAVPTAQNQAVARAPAARPATATELVLLQELEKQLLGDDEEADAAGSGCGSGITSSDWGDTIQRLNSVTAASSPSLPLPTAVNSTALLARSPTNSSSSTASSSASSSPPISAASSRQLLSEAAAAVADGNHTAAASLLSALKLSANPRGDAEQRLVAMMVAALSSRVGTGPSQHLADLYSGEHRAACQLLQDVSPCFGLALHGANLAILDAVAGHRAIHFVDFDVSAAQHVALIKALADRRVPATSLKVTVVADPTSPFTPAMTQSLAATCERLKKLAQQAGIDFRFRAVSCRAPEIEASKLGCEPGEALAVNLAFTLSRVPDESVSPANPRDELLRRVRALGPRVVTLVEQELNTNTAPMAARFSDASAHYGAVLESLDATLGRDSADRTRAEAALASKVANAVGREGPDRVERCEVFGKWRARFGMAGFRAVAIGEDIGGRVRARLGPALPAFDVKLDNGRLGVGWMGRVVTVASAWR >ONIVA02G31110.1 pep chromosome:AWHD00000000:2:27666089:27666574:1 gene:ONIVA02G31110 transcript:ONIVA02G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGGGATAPMPAPSTHYPTRDRELLAGSSGAGAGEEEPSPDATADEEVEREGAALAASLAWSTTSTYLASSSRRRRKRSPATSSDVAWTVQDVTVGDELRRGTWMTKDTPVGDKLRRGMWMTKDAATGDELRGVDGGGCGRRRRAPAWGVDDGGRGRRR >ONIVA02G31100.1 pep chromosome:AWHD00000000:2:27659260:27663605:1 gene:ONIVA02G31100 transcript:ONIVA02G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRRLALPALLLWCLCALPAPARSQSAATPAPASNEGFNCTANATYPCPAYALYRAGFGGVPLEFAAIGDLFAASRFMVAHANNLSTSAVLAARQPLLVPLQCGCPSRSPNAYAPMQYQINAGDTYWIVSTTKLQNLTQYQAVERVNPTLVPTNLDIGQIVTFPIFCQCPTAEDNATALVTYVMQPGDTYASIATAFAVDAQSLVSLNGPEQGTRNLSSPEILVPLHRQVPEWLPPIVRVNNISTTPASPPPSNTPAPTVVSNNRDGVVTGLAIGLGVVGGLWLLQMLLLGCLWRRLKARGRRAEAVASGDGGEGGRFTKAASGGGGGGGGRFLVSDISEWLDKYKVFKVEELESGTGGFDDEHLIQGSVYKAYIDGEVFAVKKMKWDACEELKILQKVNHSNLVKLEGFCINSETGDCYLVYEYVENGSLDLWLMDRDRARRLDWRARLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDDRMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAVSDDSGEPLWADADERLFRGREERLEARVAAWMDPALAEQTCPPGSVASVVSVAKACLHRDPAKRPSMVDVAYTLSKADESFGDYSGESVSVASSGGIAAR >ONIVA02G31090.1 pep chromosome:AWHD00000000:2:27649790:27655839:1 gene:ONIVA02G31090 transcript:ONIVA02G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACGRTVRRRQLGGVARPMLAAVLALVSALPPPPPPPSPSLPPSPMARLGLDDWAVGLPQVPLVARWSGTVRLGGARRSDKGGAGRWRRSRSDSVSSVGAGLDGVLRYPSSLGKELWVKTLSNFGRMTTTSFGVATFVRVH >ONIVA02G31080.1 pep chromosome:AWHD00000000:2:27637123:27637761:-1 gene:ONIVA02G31080 transcript:ONIVA02G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSELLLPRLLLQVLLLLGHLHRFLLWAFHAVGLGDLIDNPPGLAATEQDMMLQGRGGGMAEGWASSSALQHRRPEFRAIPPMAIEEALPVVRFDELVASAPAAVCGGGDCAVCLSGICGRDEVRRLSNCRHVFHRGCLDRWMAHEQRTCPLCRAPLIPDELLPAASGLPDPSDYDLSYYPSPLPLAPTPTLLRPHELLLNGLGGFQ >ONIVA02G31070.1 pep chromosome:AWHD00000000:2:27633489:27635150:-1 gene:ONIVA02G31070 transcript:ONIVA02G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAATGGGGKETLAATLLRYLIILIVPFTVLYILYTLHAILSSTPSCPPDRPIVTSSVSLSQLSTTRNHTPSSSSLSTPPPAPVSMAATTLQHVVFGIAASARLWEKRKDYIKIWWRPNAGMRGFVWMDQPVRESGVPDGLPPIKISSNTSGFPYKNRRGHRSAIRISRIVSETFRLGLSGVRWYVMGDDDTVFLPDNLVAVLQKLDHRQPYYIGYPSESHLQNIFFSYGMAFGGGGFAISQPLAARLERMQDACIHRYPSLYGSDDRIHACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPNARSRPAALRRLFEGPVALDSAGAVQQSICYDARNRWTVSVSWGFVVMASRGMISAREMELPARTFLNWYKRADYKAHAFNTRPLARRPCEKPSFYYLSSARRTVARDGETTVTTYQRWRHRNDMRPPCRWKIADPDALLDTVVVLKKPDPGLWNRSPMRNCCRVLSSPKGQEGNKTMTIDVGVCKDWEFSQV >ONIVA02G31060.1 pep chromosome:AWHD00000000:2:27629237:27634355:1 gene:ONIVA02G31060 transcript:ONIVA02G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTAIILHRFRQAAASQSLVETSLQSCPYFGVPLRWLSCTEQTSKWETSTSYQIDDVDQYSPISSVAKICTHALSSHVNHCYHHSRSLGFSSVSSSGRMYSSDARAKPEDYKNAMAKVSSTETSEVGATDHSGNTWIDILDSARHSTIDATAAALKKLKAMTDPIVPCIQELYTTYPDLQRMVIPLGGTLMGTAVAWFVMPIVLRKLHKYTSENPLITLEGESTKKYMSYQTSLWSALEDPAKYVGPISHFKEKFIRLMHSIVIVQKWKTNFIANIMTNQSAIGVDRDRLLTFDKVSSLALIALGGMALAEACGVPVQSILTVGGVGGVATAFAARDVLGNILSGLSLQFSKPFLVGDNIKAGSIEGKVIEIGLTSTSLINPENLPVVVPNSLFSSQVIPPLW >ONIVA02G31050.1 pep chromosome:AWHD00000000:2:27606346:27610424:-1 gene:ONIVA02G31050 transcript:ONIVA02G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANQPPPDAAAAAAGSAGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPGYTIKADSSSMLRNSGMNATVSSWTHNSIPPIVASSMVKEDLGAGAMAPNNFCSSSTEGPARAWQPGETNDQINQVPSLRHFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQRKLLSSYSTPSDGLELGSTRSSVLADRPLSAPFMIKGE >ONIVA02G31040.1 pep chromosome:AWHD00000000:2:27602794:27604660:-1 gene:ONIVA02G31040 transcript:ONIVA02G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isoprenoid F [Source:Projected from Arabidopsis thaliana (AT1G63970) TAIR;Acc:AT1G63970] MLVSPSPCRKYFGASGAHKKTAGFLSIPQPKKPPNKTAKLARSLRAISPRDDAMATVSSLFLASPVATAPTARARSTPSASPARPSLRLRRPSTLAAAAVQAEHQPAVAAAPKPPALPFRVGHGFDLHRLEPGLPLIIGGIDIPHDRGCDAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPRWKGADSSVFMREAVKLMHEAGYELGNLDATLILQKPKISPFKETIRSNLCDLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >ONIVA02G31030.1 pep chromosome:AWHD00000000:2:27592786:27602254:1 gene:ONIVA02G31030 transcript:ONIVA02G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREVSGAAAEDRVGRRSGAMVAERQRAKPAARSGVMVDEDGDSDKFEEEEWDDDAQSQRGGARQSRQAKWGDGFMILMYIFETYLDIRQHRALKEPTLPKPLVGVISGEKFERSRAYSLDKSKFHFIHEAVTILMDTTILYYRVLPWVWKKSGELATNAGLNAENEILHTLAFLAGVMIWSQITDLPFSLYSTFVIEAKHGFNKQTIWLFIRDMIKGILLSILLGPPIVAAIIIIVQNGGPYLAIYLWGFMFALSLVMMTIYPIVIAPLFNKFTPLPEGVLREKIEKLAASLSFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCSSEDEIVSVIAHELGHWKLNHTVYSFVAVQLLMFLQFGGYTLVRNSKDLFESFGFEDQPVIIGLIIFQADAFAKNLGYAPQLRAALVKLQIRGIQPTTTPIHPLLKGSLLLKTQTAKRKTNGKLIHLKHRSLYSSMGYLTVSWNRFNVRDVNGMCEYMRSTNDLHVGVFSYYFRQEIEVNQLLKCSDAHAARRSPTDALMYLVHGTYAKKLARYRTVQLLNPFAGPLSLSVSLQAPMLSLSTPSSPPPPLPPRRATTAPTPVSLLRGAADRRDAPLTSALHAALLKSGALDRTQPLTASNSLLHAYLQCGLLSDALRLLDEMPRRDAATCASLVSALCRLGAPLDAIRAYMDMLTQDADDEDGGLRPNEFTAAALLQACGLAKVARLGRMVHGHLVTSGFCCDPFVVGSLVNTYAKVGDVVSAEKLLLGMDSRDVVSWTALLSGCVLNGMLAKALKVFVMMLEDNVLPNNVTMLSVIQACSLMGESGLFSSLHALVVRLGLENDVSVVNSLIIMYAKNGFVEEATGLFEDLYLRRGDVCPNSDVLSALLFGCTVSGSLKYGKGIHAHLIKMNDLPSISIENSLMGMYARFEQVDAAYVVFKGMQIKDIVSWNTMISCLAKSDHVDEALELFSILHGGDGLVPDFVTVLSVVQACSNAGLLQQGQMLHGYIIKSGSLYGVSICNALISMYAKLGRIDFSEQIFEQMDIKDIVSWNSMINAYGIHGDGLSSLRIFNELQDDGTCSPNAITFVSLISACSHSGLVSEGYRCFQSMKNDYGIEPSMDHYASVVDLLGRSGRFAEAEQFIRNMPVHPNSSIWGPLLAACSLYGNIDLAEKAAIELSILEPESDIWRVSLSNVYAVVGRWKDSAKIRTEMKRVGLKKEAGWSFVDVGGVEGFKFVAADTRHGDSEQIYAVLRSMNKHMADVAGDVHQSSLVSVIS >ONIVA02G31020.1 pep chromosome:AWHD00000000:2:27581164:27588042:-1 gene:ONIVA02G31020 transcript:ONIVA02G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLFLVVVVVVRRTRSSTCSAASSHGPTSPSTPRAVACHRPCTVCRCSARPLSLPLHRAASEKRTVDVTAVNPDELCPLSKARSIARSISWRSEMSVYTTTSTSVSGDRDDAREDAGDGSGDKRLRAKQAAEEATCIARALAVSSDGPGRKQEASLD >ONIVA02G31010.1 pep chromosome:AWHD00000000:2:27577459:27578313:1 gene:ONIVA02G31010 transcript:ONIVA02G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPGVSAKKRHAGAGFTLGCGCKDAKSVSVSASAAGTPSTTATRRRSAGTNPSGSTTTDTLTMTSASSSFLWEHSVVEFDHDGGGGCGPESFSGLLRELSELEQSVASWGRKSHHQHHDKKHSPAPSSPLPPQEDRKEKNGGNGDATDKPGDCRDGGGGGGGGDGVGVALDGSVAVVKQSDDPLGDFRQSMLQMIVENGIVAGEDLREMLRRFLTLNAPHHHDVILRAFAEIWDGVFAATASLVHHHHPPPSSRREPVPPAARPPAPRTPPRHRHPSPRAWRV >ONIVA02G31000.1 pep chromosome:AWHD00000000:2:27570017:27573299:1 gene:ONIVA02G31000 transcript:ONIVA02G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQIRFGHQIPFSRPDSDEEEEEDDEDEEVEEEEEEEEYEGEEEEMEGEVPVSSPLMLPAARGGGGGGVSVVETVAAALRRSLLLCSSVRAAEDEGAAAAAAAAAGMQIGRPTDVRHVSHVTFDRFVGFLGLPADLEPDVPRPAPSASVSVFGVSPTSMQCSYDNRGNSVPTILLTMQRKLYQLGGLQAEGIFRINADNSQELHVREQLNMGVVPDGVDMHCLTGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECALLASTLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKGREETAMPSSAFPSSSGSPSDKDEPQALEHLDKSTICSTQQNNDFPMISGATLDHFLFRAEPLRHNDAQGSAGRPKKRDNKDHDNSSREFSPIDSDSSSQASNSASKFSNDNVEGLFDRFKFRKGVGRLCRHPVFQLSRSMKKSGEAGQACV >ONIVA02G30990.1 pep chromosome:AWHD00000000:2:27565868:27566272:1 gene:ONIVA02G30990 transcript:ONIVA02G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFKEALHFLSDNCDIASQWLADIVKYLEDRSLAAALAFSRLAAAAAASSPAASSSPASPRQPRGRSSHRRGASRRPRRGGAAAPPSSPDAFPRRQQPESRSQRWWWRRRARPRRPSFAEPLSVERFGEGLET >ONIVA02G30980.1 pep chromosome:AWHD00000000:2:27560771:27566895:-1 gene:ONIVA02G30980 transcript:ONIVA02G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRHSLSLFPFLSLSPLSLSSLFSSGHGRRGRPQRRRSGSSGEGGRGGGVDPEVLRSLLVTVYSHSMAAAAAAAVKEEDDSIECAVCLAELEEGDEARFLPRCGHGFHAECVDMWLGSHSTCPRCRLTVIVSSPPLLSPVPPTPPVGRGRRSCGATSPRTPTGPAPMAAPSTRLPGRGGRGGGGRRRGGGGGCEAGEGEGGGEATVLEVLDDVSEPLGGDVAVVGEEVERLLEAGHHGEIAAVVLNHPSSALTPASTRSLSASLLAVAPSLPTPVADSVLKLLWHHAPRALLFFHSLLHLPPRAHAVGPSTLDLALDLSARLRRPRQLTNSILGLFPRHRLAFTPRTFPILFERLAVSQRRPDLAVRLFLSLHRSHRVAQDLPLFNSLLDALSKSRHAGKAASLVRALEQRFTPDVVTYNTLADGWCRVKDTSRALDVLRLMVESGIAPTKTTYNIILKGFFRAGQLRHAWDFFLQMKKRGSKDESCKPDVVSYTTMVHGLGVAGQLEKARKVFDEMAKEGCAPSVATYNALIQVICKKGNVEDAVTVFDGMLVKGYVPNVVTYTVLIRGLCHAGKIDRGLKLLERMKNGGCEPIVQTYNVLIRYLFEEGEMEKGLDLFEKMSKGEECLPNQDTYNIIISAMFMRKRAEDMVLAARMVDEMVDRGYLPRRFMFNRVLNGLMLTGNQELSRKLLRMQEKYRLFERAPTEIFSLSLLVQLQFGMIGSKAVYQMIYGDWKVLATVSRKSQSTATAPCLAISLKVGVFGVRVLQGHRAPVVIDLAKSILIFPGADHVDALLKKTDRASEHLYICTGRGTIKEIQQSANVKVDKPFSSSTDNRIH >ONIVA02G30980.2 pep chromosome:AWHD00000000:2:27561219:27566895:-1 gene:ONIVA02G30980 transcript:ONIVA02G30980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRHSLSLFPFLSLSPLSLSSLFSSGHGRRGRPQRRRSGSSGEGGRGGGVDPEVLRSLLVTVYSHSMAAAAAAAVKEEDDSIECAVCLAELEEGDEARFLPRCGHGFHAECVDMWLGSHSTCPRCRLTVIVSSPPLLSPVPPTPPVGRGRRSCGATSPRTPTGPAPMAAPSTRLPGRGGRGGGGRRRGGGGGCEAGEGEGGGEATVLEVLDDVSEPLGGDVAVVGEEVERLLEAGHHGEIAAVVLNHPSSALTPASTRSLSASLLAVAPSLPTPVADSVLKLLWHHAPRALLFFHSLLHLPPRAHAVGPSTLDLALDLSARLRRPRQLTNSILGLFPRHRLAFTPRTFPILFERLAVSQRRPDLAVRLFLSLHRSHRVAQDLPLFNSLLDALSKSRHAGKAASLVRALEQRFTPDVVTYNTLADGWCRVKDTSRALDVLRLMVESGIAPTKTTYNIILKGFFRAGQLRHAWDFFLQMKKRGSKDESCKPDVVSYTTMVHGLGVAGQLEKARKVFDEMAKEGCAPSVATYNALIQVICKKGNVEDAVTVFDGMLVKGYVPNVVTYTVLIRGLCHAGKIDRGLKLLERMKNGGCEPIVQTYNVLIRYLFEEGEMEKGLDLFEKMSKGEECLPNQDTYNIIISAMFMRKRAEDMVLAARMVDEMVDRGYLPRRFMFNRVLNGLMLTGNQELSRKLLRMQEKYRLFERAPTEIFSLSLLVQLQFGMIGSKAVYQMIYGDWKVLATVSRKSQSTATAPCLAISLKVGVFGVRVLQGHRAPVVIDLAKSILIFPGADHVDALLKKTDRASEHLYICTGRGTIKEIQQSAKIGLKA >ONIVA02G30970.1 pep chromosome:AWHD00000000:2:27554344:27555299:1 gene:ONIVA02G30970 transcript:ONIVA02G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKEADSPQPPSKLPRLSGADPNAGVVTMAAPPPPVGLGLGLGLGGDSRGERDVEASAAAAHKATALTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVASSSFGPHRFPSLMGLGNLCFDYRSSMEPDPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPVEASAAATPANNGGGGGIVFSPTSVLLAHGAARAT >ONIVA02G30960.1 pep chromosome:AWHD00000000:2:27549499:27549987:-1 gene:ONIVA02G30960 transcript:ONIVA02G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTARLIAVGGAVFGVALLKGLPHGPAVQLVSLPARAGLHAARRRRRRVLFGNDGDRVTENVASEGILSPAGDNYDHRSLIICPWRMLGAGAWRPLNLLQQRLLRGPGSRYPISIALQHANRSYSHIDQYINNLQPGGSISQDVSPPELSFAALCSVGRR >ONIVA02G30950.1 pep chromosome:AWHD00000000:2:27543456:27547557:1 gene:ONIVA02G30950 transcript:ONIVA02G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotide-rhamnose synthase/epimerase-reductase [Source:Projected from Arabidopsis thaliana (AT1G63000) TAIR;Acc:AT1G63000] MSVRSGMSCACACRWMAFLSQNRDADAQTNRRWIMVDHARCCRAAPHRCSVTVGAAHAAPPPARTSAPFSTLPSRPPTSHPDLAAPRRATLFRAASSGMGVATNGSSSSTSESAETAQPQAYKFLIYGRTGWIGGLLGQLCAARGIPFAYGAGRLENRAQLEADIDEVAPTHVFNAAGVTGRPNVDWCETHRTETIRANVCGTLTLADVCRARGLVLINYATGCIFEYDAGHQLGTGIGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKIARYDKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPNFSWKNFTLEEQAKVIVAPRSNNELDCTKLKAEFPELLSIKDSLVRYVFKPNQKTSKA >ONIVA02G30950.2 pep chromosome:AWHD00000000:2:27543521:27547557:1 gene:ONIVA02G30950 transcript:ONIVA02G30950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotide-rhamnose synthase/epimerase-reductase [Source:Projected from Arabidopsis thaliana (AT1G63000) TAIR;Acc:AT1G63000] MGVATNGSSSSTSESAETAQPQAYKFLIYGRTGWIGGLLGQLCAARGIPFAYGAGRLENRAQLEADIDEVAPTHVFNAAGVTGRPNVDWCETHRTETIRANVCGTLTLADVCRARGLVLINYATGCIFEYDAGHQLGTGIGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKIARYDKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPNFSWKNFTLEEQAKVIVAPRSNNELDCTKLKAEFPELLSIKDSLVRYVFKPNQKTSKA >ONIVA02G30940.1 pep chromosome:AWHD00000000:2:27537234:27539751:1 gene:ONIVA02G30940 transcript:ONIVA02G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVATTLFLFGQLLLCFSQQVRGVNYTFMREAVEAPVMAYYDYIIIGGGTAGCPLAATLSERYRVLLLERGGSPYDDARVLNMAHFADVLADTSGASPSQRFVSEDGVINARPRVLGGGSCINAGFFTRAGPGYVRALGWDPKEVVSAYQWVEDVVAFQPELGPWQAALRRGLLEIGVVPDNGFTYDHILGTKVGGSIFDAQGRRHTAADLLRYSRPDGIDVFLRARVARIVFSRKGTKPVARGVLYHDARGGSHMAYLNHGARNEIILSAGALGSPQLLMLSGVGPADHLEEFGISLVLDHPGVGQGMSDNPMNAIYVPSPSPVELSLIQVVGITRFGSYIEGASGSDWNSRTSGAAAAQVRSFGMFSPQTGQLATVPPKQRTPEAIARAVEAMRQVPDAALRGGFILEKVLGPQSTGRLALRNLDPDDNPTVSFNYFSHPDDLRRCAAGIATIERVIRSRAFSRFAYPNFAFPATINVTAEFPANLMRMRGGSDPRALEQFCRDTVMTIWHYHGGCQVGRVVDRDYRVLGIEALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQKERMIAEGSGIEP >ONIVA02G30930.1 pep chromosome:AWHD00000000:2:27530641:27536889:1 gene:ONIVA02G30930 transcript:ONIVA02G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGRNREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQADASQISELREAYREVGIDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMHSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAEPDHIVRENSVNTPSSDSGHRRNSNLEDGLAQPFLIDAKESLDENGEDNDENEEDPEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAMFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIAMSFRFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >ONIVA02G30930.2 pep chromosome:AWHD00000000:2:27530641:27536889:1 gene:ONIVA02G30930 transcript:ONIVA02G30930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGRNREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQADASQISELREAYREVGIDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMHSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAGLLQTNFKIYGFTFDQNTLPGWIMCLAWITYLFWLWISFKEPDHIVRENSVNTPSSDSGHRRNSNLEDGLAQPFLIDAKESLDENGEDNDENEEDPEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAMFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIAMSFRFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >ONIVA02G30930.3 pep chromosome:AWHD00000000:2:27530780:27536889:1 gene:ONIVA02G30930 transcript:ONIVA02G30930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPATAPARRPPPPCGEIVSPGGGRNQGIAEERARLMADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGRNREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQADASQISELREAYREVGIDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMHSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAEPDHIVRENSVNTPSSDSGHRRNSNLEDGLAQPFLIDAKESLDENGEDNDENEEDPEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAMFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIAMSFRFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >ONIVA02G30930.4 pep chromosome:AWHD00000000:2:27530780:27536889:1 gene:ONIVA02G30930 transcript:ONIVA02G30930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPATAPARRPPPPCGEIVSPGGGRNQGIAEERARLMADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGRNREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQADASQISELREAYREVGIDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMHSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAGLLQTNFKIYGFTFDQNTLPGWIMCLAWITYLFWLWISFKEPDHIVRENSVNTPSSDSGHRRNSNLEDGLAQPFLIDAKESLDENGEDNDENEEDPEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAMFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIAMSFRFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >ONIVA02G30930.5 pep chromosome:AWHD00000000:2:27532800:27536889:1 gene:ONIVA02G30930 transcript:ONIVA02G30930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGRNREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQADASQISELREAYREVGIDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMHSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAEPDHIVRENSVNTPSSDSGHRRNSNLEDGLAQPFLIDAKESLDENGEDNDENEEDPEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAMFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIAMSFRFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >ONIVA02G30930.6 pep chromosome:AWHD00000000:2:27530806:27536889:1 gene:ONIVA02G30930 transcript:ONIVA02G30930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGRNREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQADASQISELREAYREVGIDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMHSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAGLLQTNFKIYGFTFDQNTLPGWIMCLAWITYLFWLWISFKEPDHIVRENSVNTPSSDSGHRRNSNLEDGLAQPFLIDAKESLDENGEDNDENEEDPEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAMFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIAMSFRFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >ONIVA02G30930.7 pep chromosome:AWHD00000000:2:27531632:27536889:1 gene:ONIVA02G30930 transcript:ONIVA02G30930.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGRNREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQADASQISELREAYREVGIDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMHSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAGLLQTNFKIYGFTFDQNTLPGWIMCLAWITYLFWLWISFKEPDHIVRENSVNTPSSDSGHRRNSNLEDGLAQPFLIDAKESLDENGEDNDENEEDPEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAMFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIAMSFRFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >ONIVA02G30930.8 pep chromosome:AWHD00000000:2:27532800:27536889:1 gene:ONIVA02G30930 transcript:ONIVA02G30930.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGRNREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQADASQISELREAYREVGIDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMHSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAGLLQTNFKIYGFTFDQNTLPGWIMCLAWITYLFWLWISFKEPDHIVRENSVNTPSSDSGHRRNSNLEDGLAQPFLIDAKESLDENGEDNDENEEDPEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAMFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIAMSFRFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >ONIVA02G30920.1 pep chromosome:AWHD00000000:2:27513516:27515153:1 gene:ONIVA02G30920 transcript:ONIVA02G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNQDGLGRLVTISDEEIGLLDGDQTLVQQTELNVSERFSALLWMPHCQHKISKVWENGNHVIGGSSTQNMLTNTIEFGKAWLMRSNILTRQVCHNIVIVWDVHCFLDARSAQGLRDPIPYPLDNFDWTGYMPSGPPLSRLVY >ONIVA02G30910.1 pep chromosome:AWHD00000000:2:27496074:27510070:1 gene:ONIVA02G30910 transcript:ONIVA02G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKMKMMVMMPLSLLGDFSSDKYLPGRAQAQKKIMHAKWQTVNHMRAPMSTLYDQRIIKGQSIFPIVFEENPSHK >ONIVA02G30900.1 pep chromosome:AWHD00000000:2:27495672:27496025:1 gene:ONIVA02G30900 transcript:ONIVA02G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSFSGMANGGQVDNKLIQTFHKSFVQVQSILDQNRMLINEINQNHESRAPDNLTRNVGLIRELNNNIRRVVGLYADLSASFARTMDASSEGDSSGTLRSSDGAGRTGQKRVRPG >ONIVA02G30890.1 pep chromosome:AWHD00000000:2:27489875:27490955:-1 gene:ONIVA02G30890 transcript:ONIVA02G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stress enhanced protein 1 [Source:Projected from Arabidopsis thaliana (AT4G34190) TAIR;Acc:AT4G34190] MAISSVFLRPSLFSSPPAAAAASSPRRHAAVLRVTSSKRRPLFSRAATSLTVRCEQTAKPGGGNGAGAADVWLSRLAMVSFSTAVVVEVSTGEGLVANLGVATPAPTLALVVTSLAAGLAVYFIFQAGSRN >ONIVA02G30880.1 pep chromosome:AWHD00000000:2:27482758:27487981:-1 gene:ONIVA02G30880 transcript:ONIVA02G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQEARWLAAQGVAVGADLVAAALRQLEFLAAVDRRRWLYEGPLLERAIHRYKTCWLPLLAKHTQAAVVDGPLVVPLDCEWIWHCHRLNPVQYLKDCKRLYGRILDNSNVESSIRAESKHQSEKVWAEQYPKEPFELENTSSSDNSIYANAGAAEDISYDMVAAVKRQSSFFYQVDTPTMHDQRFLEEALARYKGFLYLIKTNQENKMKLFRVPTYDVDVMWHSHQLHPATYCHDMLKLIGRVLEHDDTDDDRSEGKKLDTGFSGTTEQFENAFGARYWKAGAMYRGNLPSPVTSNPQMFSGEVNGEFSVGKAESQITILETTVIELFLQIVDIKNLPPAIPKENVYIWFTKNQPDMFISDGGRLDISTKTGKSIGASIQCEPTGELILTVLVDRTSSSKKPKKIGKVSISLQEFTWSDSKLSFERWFELKPHDGHASSTPVSVRVAASSTVPVRAQQVLSMIRTEPFSLKSILSPNSVKDQKMSCWTRFVYDCNTELIRLQIRDRKAKNGMVVARELVGVTKSSKKPFKLAEFVDNKWSLSSSNLCITNDMKPSKDGSILELKCDNKMIKLYQGKRLEFQRKCCNNHAEEDASAITAVKFSAENPYGKAVALLDTKSELIMVKEDWFLLPWIVLSFLSQDINVKDGEKLILIGGAMAQKDAISEPDTAAMATSAETVAAPANCGTCGTACGSNMAGDKVVAAARCKAARCKAVAAGGGGQTESAGCGSGCGGGCGGGCGGGVAKVVEATKAGGGGVGGGHGKSGCGSGCGGGCGGGCGGGMVMESSKAGHVKSGGCGSGCGGGCGGGCGGGVAMESSTVGHAKSGGCGSGCGGGCGGMAAKSGGCGGGCGGGGCGGGCGAMLNAST >ONIVA02G30880.2 pep chromosome:AWHD00000000:2:27482758:27487981:-1 gene:ONIVA02G30880 transcript:ONIVA02G30880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQEARWLAAQGVAVGADLVAAALRQLEFLAAVDRRRWLYEGPLLERAIHRYKTCWLPLLAKHTQAAVVDGPLVVPLDCEWIWHCHRLNPVQYLKDCKRLYGRILDNSNVESSIRAESKHQSEKVWAEQYPKEPFELENTSSSDNSIYANAGAAEDISYDMVAAVKRQSSFFYQVDTPTMHDQRFLEEALARYKGFLYLIKTNQENKMKLFRVPTYDVDVMWHSHQLHPATYCHDMLKLIGRVLEHDDTDDDRSEGKKLDTGFSGTTEQFENAFGARYWKAGAMYRGNLPSPVTSNPQMFSGEVNGEFSVGKAESQITILETTVIELFLQIVDIKNLPPAIPKENVYIWFTKNQPDMFISDGGRLDISTKTGKSIGASIQCEPTGELILTVLVDRTSSSKKPKKIGKVSISLQEFTWSDSKLSFERWFELKPHDGHASSTPVSVRVAASSTVPVRAQQVLSMIRTEPFSLKSILSPNSVKDQKMSCWTRFVYDCNTELIRLQIRDRKAKNGMVVARELVGVTKSSKKPFKLAEFVDNKWSLSSSNLCITNDMKPSKDGSILELKCDNKMIKLYQGKRLEFQRKCCNNHAEEDASAITAVKFSAENPYGKAVALLDTKSELIMVKEDWFLLPWIVLSFLSQDINVKDGEKLILIGGAMAQKDAISEPDTAAMATSAETVAAPANCGTCGTACGSNMAGDKVVAAARCKAARCKAVAAGGGGQTESAGCGSGCGGGCGGGCGGGVAKVVEATKAGGGGVGGGHGKSGGCGSGCGGGCGGGGCGAMVVESSKDDVHAKSAGCGSGCGGGCGGGCGGGMVMESSKAGHVKSGGCGSGCGGGCGGGCGGGVAMESSTVGHAKSGGCGSGCGGGCGGMAAKSGGCGGGCGGGGCGGGCGAMLNAST >ONIVA02G30870.1 pep chromosome:AWHD00000000:2:27476086:27478987:-1 gene:ONIVA02G30870 transcript:ONIVA02G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGTLFLAVGAWHVWAAAARFAADPRGFRLRAWNPVDVGGGGAPAWLPVHLELYVIAGGAFLDMCVEVLYSTHLHIFADGGINPAHLNDLEHGGMLLMFFLFGILALLSQKTRYLPLPEGALCLVASTAFMAELLLFYFHSTTHQGLEGYYHYLLVVVVALCVATTVLGALLPASFPVDIASGAAIALQGLWFYQTAFTLYGPSLPAGCRRDADGHIDCHTHAAQERAEQLANFQLFGLVFLVCAYALGCFAVAAARHGHPDLATMHAKHVAAMEAQLAGAGAGEGDRFVGSALPLEDTAI >ONIVA02G30860.1 pep chromosome:AWHD00000000:2:27468955:27469440:1 gene:ONIVA02G30860 transcript:ONIVA02G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTKTLVDKILALRRRRFPMPPATGGERLWWVSPEFREELIAAELAAAAVFDASQDKFVECQAMIAEKRHPEHGYAVVEETGEVMTTICRAFFGRYNESDDDDDDDLLCDCVDAKECKCGGDDWANEFIDEEDDDCSEDVDEKEEEKDESCCQRMIYRLR >ONIVA02G30850.1 pep chromosome:AWHD00000000:2:27462304:27462695:1 gene:ONIVA02G30850 transcript:ONIVA02G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSLRVAPPDLQQGGNSRISYFLVPINKTSPFSSLFRNRYRNWCFFYFVYVSDWGISGKAYVGYLSGVGCSFNFCIHLVSYLPGCTAFSKASCRTGSYFNPCPLYSP >ONIVA02G30840.1 pep chromosome:AWHD00000000:2:27424726:27426845:-1 gene:ONIVA02G30840 transcript:ONIVA02G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGKEWGAVVVMSPSPPRHGARHGGRVNAASFSGGIVAGVAPTPPRFLTPATGFQLRSPSGSRYCQEPVVTHDFLNTRCRRRPNYGEVEEMIMKAAKMGQMSSQIGVVLRHQHGIPLVKSIASSKILHILKAHGLAPKILEDLYFLIKKAVAIRKHLERNRKDKDSSFRLILVESRIHRLVRYYKRTKKLPPTLRSWIIFLEFSTVFSCSRMVLTE >ONIVA02G30830.1 pep chromosome:AWHD00000000:2:27418455:27425471:1 gene:ONIVA02G30830 transcript:ONIVA02G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDGRFGNKRVHHRLGPANGAASSSTSGKVCIHWRAGRCNRFPCPYLHSELPEATAKRPSQSGGGGNVWRNPHSGGGGGRGAGGAGGPNKWGRGPGGADGGPRHKVPDRPCRYFLAGDCSYGEKCRYPHSYSMSDSITMLTPLQGHEKVVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVINMGREIGCMISEGPWLFVGIPDAVKVWNMQTQAEMNLTGPTGQVYALAVGNELLFAATQDGRILAWRFSAATNGFEPAASLVGHQLAVVSLVVGAMRLYSGSMDKTIRVWAATESGSLEVTYTHKEEHGALALSGMPDAQSKPVLLCSLNDNTVRLYDLPSFSDRGRIFSKQEIRAIQVGPSGLFFTGDGTGELKVWQWVIDGSQTK >ONIVA02G30820.1 pep chromosome:AWHD00000000:2:27416909:27417957:-1 gene:ONIVA02G30820 transcript:ONIVA02G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKKSPESAKKPLFTHPSCSSSSTLHDPDHPKAAFTAHISTSYRHKSIHPQPCPAALLSPLPAFAHYKSILATAGAAREGDGGGGSTMAVVSREGDSDGKKSGSATAGPRSTNSSSSQPSSLSSQPSSSLPHALVAVAVVVAVGAVAGPREHYLKWISQQQP >ONIVA02G30810.1 pep chromosome:AWHD00000000:2:27415109:27416194:-1 gene:ONIVA02G30810 transcript:ONIVA02G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPGSISTTSSNLRAQQKPSIPKKPCAFGAPPRHLHRPCKKNAAVSVACTGQGEQRSSPAIAAPQEAQAGTFSVEFRTRDGCRLGISRYPDFAYNAQGGRGVGVVASSGEDSGTVLVEFDVSSLYIPAMSGATTKFLGLPLPPFLKIDILPEALRGNIDPTSGQVDLKFRSRFCFSVGSIYRAPPLFVDTTLTSEESSGAIRRGTGERMDGEGRCKLVGVAVVDPIDDIFMNTFLSLPTECIAYLNATISITEPS >ONIVA02G30800.1 pep chromosome:AWHD00000000:2:27411497:27415080:1 gene:ONIVA02G30800 transcript:ONIVA02G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCYSSGGEPPAANRPCGGDGGVRRRRQTAPDNGIGGVRAPSATAVPMAASTATTACAAYAGRSVSGGGDRGRIRRRWWPLLPPAQWSRVVLTVVASTEGGSGNGGFLRSLSSPSDAVVAVEGGSGNMGCKFRPATFMRFTTH >ONIVA02G30790.1 pep chromosome:AWHD00000000:2:27408992:27409666:1 gene:ONIVA02G30790 transcript:ONIVA02G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAALSSDYSSGTPSPVAADADDGSSAYMTVSSAPPKRRAGRTKFKETRHPVFKGVRRRNPGRWVCEVREPHGKQRIWLGTFETAEMAARAHDVAALALRGRAACLNFADSPRRLRVPPIGASHDDIRRAAAEAAEAFRPPPDESNAATEVAAAASGATNSNAEQFASHPYYEVMDDGLDLGMQGYLDMAQGMLIDPPPMACDPAVGGGEDDNDGEVQLWSY >ONIVA02G30780.1 pep chromosome:AWHD00000000:2:27403042:27404534:-1 gene:ONIVA02G30780 transcript:ONIVA02G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVLHLLPRHIDAAGTTRSACRNLHGHLLTCRVLHAGLADRPNSRPWSWFRFPLPIPLPPVAASAGLLAFLSDASGHKTLLLAHPITRLLAALPITPTPRLSTTVGLTAGPTSIIAVVAGDDLVSPFAIKNISAVDACGHYAAAAAATEVEQAAAARGRKWGGCRWRCTCSLPRRRASAGSSARRTATMSCSRCVGPWPRCPRARSCLTPVVVVHHGGAGGTGGRRGGTVAAPGEEPDLVTLLELREAHRALDAIVLLLLAAAATVLRLYTVTDTPTEFFISSTVI >ONIVA02G30770.1 pep chromosome:AWHD00000000:2:27379502:27381364:1 gene:ONIVA02G30770 transcript:ONIVA02G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSSALSSFLYCCFSPTGGHRAGAYYYSSHPTSTNTYYYEGGLAGRRMGRSRPLSLQTVELKVRMCCSGCERVVTVTGYVERQRVLKEVRRAGKKAEFWPNPDLPLYFTSAKDYFHDEESFRPSYNYYRHGYNGDKHGHLPEPHRGADPVSNLFNDDDVNACSIM >ONIVA02G30760.1 pep chromosome:AWHD00000000:2:27365234:27368693:-1 gene:ONIVA02G30760 transcript:ONIVA02G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVIAETGYAGTANTDGFLFSDSALHNVYPEDQFSSIKQLHPWIPSTTGRSSWLEEKIPVIHQRTSAAASSDIGSSVLHKPSLFPSIIDCFDKEPVPIHQSDGRYSYDSHLSHLTSCSTSLNYGLSMPSVAASPVVCGMKRIDPSPSDPVLKGRFLQYANPCRFNIGHFDSVQDEQKDHAGFQTAYRHCSDWNRCTNDTGIVGNYLANSSGETCNVGENSITGRFSQEILCSEVPMSRVQEPLSHHHSLVQEDLNAFCENITYRCNYHAELIKSMYNLSVALISSCNGDYELDESYQELIQSAIQNLSSLSPKRSKNLSIEENKSGNDKDAHVLAYKNLWIEAEASMCKLKYELQLARMELALEYHSQQSGAPPTIPLDVQDSSLSKSKSLLCDEVLDDPSKQQNHVKENTICSATLLPEEGNTGDGQSPKVNRSIANEVEAGVFTQLRVLRSRGDSICSFGEGSDEEQQETSNNKKTNGFDNTAAVSMDTLKSGDDSMNSVVVEPIKERVESSKTDVDTAAPFYSFVKRLSGSSSSSDVDFDKFLSSIKKQTDVTVMARHNDFVCDKGNIRSLDDTTNQCQAASNTKQLEDDALRFFQSLKIPEGIPEDHSDDGSSDSDYYQTEHYPLRVEPGRLLFIHKVLGSGKVTTA >ONIVA02G30750.1 pep chromosome:AWHD00000000:2:27352377:27353303:-1 gene:ONIVA02G30750 transcript:ONIVA02G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFITPIVRPASAAAGGGEVQESGGRSLAAVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAASNEKGLLLSFEDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAARGRLFIDWRRRPDVVAALQPPTHRFAHHLPSSIPFAPWAHHHGHGAAAAAAGARFLLPPSSTPIYDHHRRHAHAVGYDAYAAATSRQVLFYRPLPPQQQHHPAVVLESVPVRMTAGHAEPPSAPSKRVRLFGVNLDCANSEQDHAGVVRKTAPPPLPSPPSSSSSSSGKARCSLNLDL >ONIVA02G30740.1 pep chromosome:AWHD00000000:2:27338509:27338935:1 gene:ONIVA02G30740 transcript:ONIVA02G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQITTVHGEIGDKERWGMDGPESIASRPGGGRSGEVDGGVAANQGFDVPILELAPIRLRIGAPNWFWIGI >ONIVA02G30710.1 pep chromosome:AWHD00000000:2:27324061:27325472:1 gene:ONIVA02G30710 transcript:ONIVA02G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFEEAERLVADVVERIAERESVSPSLPQELQRRTAEIRRKVAILETRLDMMQEDLSQLPNKQRISLKELNKLAAKHSTLSSKVKEVGAPFTRKRFSNRSDLLGPDDNHAKIDVSSIANMDNREIIELQRNVIKEQDDELDKLEETIVSTKHIALAINEELDLHTRLIDDLDEKTEETSNQLQRAQKKLKSVTTRMRKSASCSCLLLSVIAVVILVALLWALIMY >ONIVA02G30700.1 pep chromosome:AWHD00000000:2:27310788:27311863:1 gene:ONIVA02G30700 transcript:ONIVA02G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GB98] MTAESAAVSVLWLCTMAIVCAGFPANDGSLHPNFYAATCPQAETIVRQEVTRALYTNIGFAAGLVRMHFHDCFVRGCDGSVLLESTSDNVAERDSPINNPSLRGFEVIDAAKARLEAACPGVVSCADVLAYAARDGVALTGGPRYDVPGGRRDGTASLEPEVADNIPAPTFTLDQLTQSFAAKGLTQEEMVTLSGAHTVGRAHCTSFSDRLYNFSATGAADPSVDPALLPQLRRACPAAGPDGAVDAGLVVPMEPRTPNGFDALYYWAVLRNRALFTSDQALLSSPPTAAQVRQTAYGGYPWKLKFAAAMVKMGQIEVLTGGSGEIRTKCSAVN >ONIVA02G30690.1 pep chromosome:AWHD00000000:2:27307257:27308258:-1 gene:ONIVA02G30690 transcript:ONIVA02G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQTAPVATAEAELESSAAPPGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMSKPDAAAAAPADEEEEVDETGIEPRDIDLVMTQASVSRAKAVKALKAHDGDIVSAIMELTA >ONIVA02G30680.1 pep chromosome:AWHD00000000:2:27297283:27308345:1 gene:ONIVA02G30680 transcript:ONIVA02G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) TAIR;Acc:AT1G01040] MAGGGGVGGGAGEHAAAAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDTGADDGFVAEIDRILESINAESSPAPPPPPPPPLPEPVPVAPPELPIQEKQLQVASAPVANNAVAVVGVVQRSKGVVARKEPRRESHGCAANGGGGGEWRDGKRPRLASGGVGGPRQEWRRRPMLPPPPSRGWDDRRGRRDFDRVRKHEHHRREARGFWERDRGGKMVFRSGTWEQESDREAKRARTQDGGSMEKKAEADRMGAAQREKPVAEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSVCDKMLKENKKMLAVFLVPKVPLVYQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPAVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPLEVVVQYDKAATLWSLHEQIKQMESTVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESYLKKVVDLLHCQLTEGAAMKSETSDVEMQNTEKHNTNDLEEGELPDSHGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVLAELPSLSFIRCASLIGHNNNQEMRACQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNISHETFLRNARNSEETLRKEAMERTDLSHLDGTSVLSPVDTSPGSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILHPEFIMQKYEKPGGSVEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDTLLPDRGSGEGEKTEQNDEGEPLPGTARHREFYPEGVADILRGEWILSGRDGYQNSQFIKLYMYSVNCVNVGTSKDPFVTQLSNFAIIFGNELDAEVLSTTMDLFVARTMITKASLVFRGRIEITESQLVLLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGAEKCTDPLREIDWTLVNNIVNTDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKAHPTYGIRGAIAEFDIVKASGLVPARDRGHFSDYQNQGKLFMADSCWNAKDLAGMVVTAAHSGKRFYVDCICYNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYRKQPLIRARGVSYCKNLLSPRFEHSDAREGDFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKDIIDYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKTLQSYIQADRFAPSRWAAPGVLPVFDEESREYEPSIFDEESTGCELQKESYDDYADNMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIHAELDPEEIPPPKPYDIPESIMRSISFDTLKGVLGIEFQNKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGYDTSVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRAGNIATVEVFVDGVQIGVAQNPQKKMAQKLAARNALVVLKEKETATKKEDERDGEKKNGAQMFTRQTLNDICLRRQWPMPQYRCVNEGGPAHAKRFVYSVRVNTSDRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRDFPDKPDGKQP >ONIVA02G30670.1 pep chromosome:AWHD00000000:2:27282359:27290937:-1 gene:ONIVA02G30670 transcript:ONIVA02G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61960) TAIR;Acc:AT3G61960] MAAAPARSAGAAAEKRMVDPPRVVGEYKLLEEIGVGSFAKVYLATHLRTGDVVAVKEIDPRRIDERVRGGILEEKAILSTLSHPNILRLIDTIQEENLYLILEYCNGGDLEGYRTKGGEDARLPDATARDFMRQLAEGLKMLRGRSIVHRDLKPQNLLLSTNGDAITLKIGDFGFARSLVQENLAATMCGSPSYMAPEIMRCEDYDAKADLWSVGVILFQLVTGKLPFYGANLFKLRQNIHESNGVKFPKEIKDDLHPDFIDLCRGLLRLDPKKRISFEEFFNHKFLSTTGSTLYSGGSIQRKREISSEPNHPADLLRDTCQIISSDVLKDKSESVDSRNLQAFDSWEWIEREYVLVQANSTSTEILSSLEKSMKDGTGAKPASYDRSTVKRSAWNQNRNSVSRGVAIKSNGCTPLSTSHESTAAENLLNPPYCYTRLQLLNQYIVVLTELAEEKLFKGLDLEALSVELIILAIWNEALNACSLSTDATHDGFFLTQAHVNFLPKNDHRPSRNVVQGLDFTRLVSVCSWAESGFIKAYDRAEKISHRLRDNNDNTEMPDAVEIIFQTALIYGTTGAAKEVLGYQNRSVALYSKAIILLTFVLQEATNLPLNPPFSLSSSDQQRIHRYIANLRSHLCSAQLTGQQERYVCMGAWHQLAIDIASL >ONIVA02G30670.2 pep chromosome:AWHD00000000:2:27283508:27290937:-1 gene:ONIVA02G30670 transcript:ONIVA02G30670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61960) TAIR;Acc:AT3G61960] MAAAPARSAGAAAEKRMVDPPRVVGEYKLLEEIGVGSFAKVYLATHLRTGDVVAVKEIDPRRIDERVRGGILEEKAILSTLSHPNILRLIDTIQEENLYLILEYCNGGDLEGYRTKGGEDARLPDATARDFMRQLAEGLKMLRGRSIVHRDLKPQNLLLSTNGDAITLKIGDFGFARSLVQENLAATMCGSPSYMAPEIMRCEDYDAKADLWSVGVILFQLVTGKLPFYGANLFKLRQNIHESNGVKFPKEIKDDLHPDFIDLCRGLLRLDPKKRISFEEFFNHKFLSTTGSTLYSGGSIQRKREISSEPNHPADLLRDTCQIISSDVLKDKSESVDSRNLQAFDSWEWIEREYVLVQANSTSTEILSSLEKSMKDGTGAKPASYDRSTVKRSAWNQNRNSVSRGVAIKSNGCTPLSTSHESTAAENLLNPPYCYTRLQLLNQYIVVLTELAEEKLFKGLDLEALSVELIILAIWNEALNACSLSTDATHDGFFLTQAHVNFLPKNDHRPSRNVVQGLDFTRLVSVCSWAESGFIKAYDRAEKISHRLRDNNDNTEMPDAVEIIFQTALIYGTTGAAKEVLGYQNRSVALYSKAIILLTFVLQEATNLPLNPPFSLSSSDQQRIHRYIANLRSHLCSAQLTGQQERYVCMGAWHQLAIDIAR >ONIVA02G30660.1 pep chromosome:AWHD00000000:2:27273253:27275890:-1 gene:ONIVA02G30660 transcript:ONIVA02G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWAAAAAAADQAAASAGAGQMPFLALLQGAMEADGGGGGDGRKRHAAAAAFASCCPCPPVADLDLLESCVTQAAAPPVTAPATRAERRRKRPRPRPRAAPPPEKRKKPEEAENQRMTHIAVERNRRRLMNDHLASLRSLIPSNYIPRGDQATVVGGAIDYVKQLEQQLVALQAAAAERSGVGVVATAATAASDGVFVSPQYTSYSEARGGSGVDVEATAAVGGHVRVRVAGRRWTGRLVRAVAAMEDLRLTVLHLAVTSVGHDAVVYCFNLKMEEGCEMATADEVATVVHQIFAYAGACC >ONIVA02G30650.1 pep chromosome:AWHD00000000:2:27272525:27272977:1 gene:ONIVA02G30650 transcript:ONIVA02G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLTTTQALALRCHDRIACREHLVASLKQCKQAQGEKVANEQQLAFDYPELHTTLHFYTLPLATVEVFLEQ >ONIVA02G30640.1 pep chromosome:AWHD00000000:2:27268360:27270771:1 gene:ONIVA02G30640 transcript:ONIVA02G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLSLHGVPSPTATKLSSSFLGAPASFLRPTPPPLAAPSRRALAVRAMAPPKPGGKPKKVVGLIKLALEAGKATPAPPVGPALGAKGVNIMSFCKEYNAKTAEKAGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGIEKGSKEPQREKVGKVTADQVRTIAQEKLPDLNCKSIDSAMRIIAGTAANMGIEVDPPILEKKEKVLL >ONIVA02G30630.1 pep chromosome:AWHD00000000:2:27230714:27231499:1 gene:ONIVA02G30630 transcript:ONIVA02G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTEHPSPTAPPQVQTAGLPVAASPGPASPHSPSEQGDKTAPGAATASTMTTASSGEPSPRSSGKHAFYRGIRCRSGKWVSEIREPRKARRIWLGTYPTAEMAAAAYDVAARALRGADAVLNFPGATASRPVPASASPADIRAAAAAAAAAAAHLERPHGPTGTAYPATAAAEHHHQQQQQQYGSGSPAADDVSGYPPMEGGIGNDDFMDEEAIFELPQLLRNMAAGMMMSPPRLSPTTSDVSPEPSEAGESLWSYRDP >ONIVA02G30620.1 pep chromosome:AWHD00000000:2:27211417:27216135:1 gene:ONIVA02G30620 transcript:ONIVA02G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAARADAEADAAEGRVAAARAMAAGPAPFDFDVEFRATDLDRTIEHTRSGLEPFVVAAIPTMKYSYEAFQSKDDAQCSICLGEYNEKEILRIMPKCRHNFHLSCIDVWLQKQTTCPICRISLKDLPSGKPAESPVRSLPQLFSHPESSVSRSPHWILPIHRDRTGGRESSPASQETVEVVIEIQQEMH >ONIVA02G30610.1 pep chromosome:AWHD00000000:2:27203480:27210779:-1 gene:ONIVA02G30610 transcript:ONIVA02G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRQASLRQPVASKGRFAFGQRRDNRVRRWWETAIGRMNRKQAPVNPAAKED >ONIVA02G30600.1 pep chromosome:AWHD00000000:2:27200442:27202091:1 gene:ONIVA02G30600 transcript:ONIVA02G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0GB87] MSSPRRDGRGAVDDLTASLLHKGDGGEAVFVVVVVPPVAEEEELPPVLTCKPPGRFARAVKEAWSVPFPMMPSMSAGAAGAEARSILGLALPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGHYDLLGVTMQRTVLLLVAASVPIAGLWVHMRPLLLLCGQDAAIAAVAETYILASLPDLLLQAFLHPVRIYLRTQSINLPLTVCAALAIALHLPINYVAVSVLGLGIKGVALASVLANLNLVLFLFGYIWFKGVHKRTGGFALSADCLRGWGELVSLALPSCISVCLEWWWYEIMILLCGLLANPQATVASMGILIQTTSLIYIFPSSLGFGVSTRVSNELGANRPERACRAATVGLMLGFAFGGVASAFACHVRGAWATMFTADPAIVALTASVLPILGACELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALILAFWYHYDFRGLWLGLLAAQATCVVRMLLVIGETDWTAEAKRAQQLTGAADIKDCGGKGDHVAVIEQPDEQC >ONIVA02G30590.1 pep chromosome:AWHD00000000:2:27182867:27190927:1 gene:ONIVA02G30590 transcript:ONIVA02G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYMSGDAWKEGGIDATGALMVLTLKIISCAINYSDGMLKEEGLRDAQKKYRLAKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLEYTERKGLWASPTPSPLLPTLRALVQAGACMGLYLYLSPQFPLSRFSEPLYYEWGFWHRLFYQYMSGFTARWKYYFIWSLSEAAIIISGLGFSGWSDSSPPKAKWDRAKNVDVLGVELATSAVQLPLMWNIQVSTWLRYYVYERLVQKGKKPGFLQLLGTQTVSAVWHSYTDGNKQSAIQSSTLSWFL >ONIVA02G30590.2 pep chromosome:AWHD00000000:2:27186946:27190927:1 gene:ONIVA02G30590 transcript:ONIVA02G30590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYMSGDAWKEGGIDATGALMVLTLKIISCAINYSDGMLKEEGLRDAQKKYRLAKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLEYTERKGLWASPTPSPLLPTLRALVQAGACMGLYLYLSPQFPLSRFSEPLYYEWGFWHRLFYQYMSGFTARWKYYFIWSLSEAAIIISGLGFSGWSDSSPPKAKWDRAKNVDVLGVELATSAVQLPLMWNIQVSTWLRYYVYERLVQKGKKPGFLQLLGTQTVSAVWHSYTDGNKQSAIQSSTLSWFL >ONIVA02G30580.1 pep chromosome:AWHD00000000:2:27177248:27179720:-1 gene:ONIVA02G30580 transcript:ONIVA02G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEEGERDRGNEGVQWRSSLTSGGDVSEELWGDGWLGLEEKHMFIVKSTTREQRGNKDCGAYQDGQMSLNQSQAHDDDTLEDSIPSLLQPVQMTKANIDHISLVLLTWHIAGPLLSVSSVLLLDKSIAKNSLQRAGGCTAGGCTTASLASCRSHSAASPSSTQRSRGRSSTTCSSGKRQLPQLWRDWPLQRVLQQPQLVQPVAALELRWYNAGEAILLQVQNVERCQLCDLRQDAPDPKAAYGQLEQARAIAKLHWNAAGEHVRGEVQVAEQPKVIEPRRDGVG >ONIVA02G30570.1 pep chromosome:AWHD00000000:2:27176707:27178430:1 gene:ONIVA02G30570 transcript:ONIVA02G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGRAHLSTLADNWLSSELPKSFGRLTELSIVTLYNNSLEGPLPKLLFKLKLEPDALTNNRFSDVIPVVLAWSRCMVRLQLSGKRLAGTIPAELGKQTELKILELNNFSGDIPLTDAVPPWLDDLWLLGDLDLSSNVLTGGIPVELSDCSGLLKLSISGFGIRSILPEIAKLASLNILNLQKNGFTGVIPSELQRCNRLYELRLLENSLEGPIPPELGWYWTSLGISVLSSARRPNDSGNSLERRLCNLQQCSLLLAANSFQGLGLANTT >ONIVA02G30560.1 pep chromosome:AWHD00000000:2:27172368:27175727:1 gene:ONIVA02G30560 transcript:ONIVA02G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSILGADGEWGVTSLGDMPESCVAAVLLYLDPPEICQVARLNRAFRGAASADCVWAGKLPVNYRYLLAFAAAADDEGGDGGHGNGKRSSPSSKKDIFARLCRPTPFDFGNKEFWIDKNKGGICLSISSKAMVITGIDDRRYWSQLATEESRFHHIAYLQQIWWLEVDGELDFCFPAGSYSIFFRLHLGRPYRRMGRRICGTEQVHGWEAKPTRFQLSTSDEQHATSEYYLEQEGSWILYHVGDFVVLNSDELMKLKFSMLQIDCTHTKGGLCVDSVLIYPKGYRHEKANIVHM >ONIVA02G30550.1 pep chromosome:AWHD00000000:2:27155188:27158446:-1 gene:ONIVA02G30550 transcript:ONIVA02G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRPAATKLNISPAAARRPSSFLPIATVALLCSASYFLGAWQHGGFSSPSASPSSVSVATAVACTTTTTATTRSATRPRKRTPAGQGQALDFSAHHAAAADGAVLSSSGDSAATRRYQACPARYSEYTPCEDVKRSLRYPRERLVYRERHCPTGRERLRCLVPAPSGYRNPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPHGADAYIDDIGKLIPLHDGSVRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWHLYGSVSHIARSPYTLMISSSEYTLIGHYRTCQCADGLYLIEVDRVLRPGGYWILSGPPINWKKYWKGWERTKEDLNAEQQAIEAVARSLCWKKIKEAGDIAVWQKPANHASCKASRKSPPFCSHKNPDAAWYDKMEVCVTPLPEVSDASKVAGSALKKWPQRLTAVPPRISRGSIKGVTSKAFVQDTELWRKRVQHYKGVINQFEQKGRYRNVLDMNAGLGGFAAALASDPLWVMNMVPTVGNSSTLGVVYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKNRCEMDIILLEMDRILRPEGTVIIRDDVDMLVKVKSAADGMRWDSQIVDHEDGPLVREKILLVVKTYWTAKEQDQ >ONIVA02G30540.1 pep chromosome:AWHD00000000:2:27135385:27139244:-1 gene:ONIVA02G30540 transcript:ONIVA02G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVCVAVWAVAMAMVVASVMWAYRWSHPRANGRLPPGSLGLPLLGETLQFFAPNTTCDISPFVKERLNRYGSIFKTSVVGRPVVVTADPELNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKSLVLRLYGQENLRAVLLDETDRACRASLASWAAQPSVELKDSISAMIFDLTAKKLISYEPSKSSENLRKNFVAFIRGLISFPVDIPGTAYHECMKGRRNAMKVLKKMMRERMEEPGRQCEDFFDVLIEELGREKPVLTEGIALDLMFVLLFASFETTSLALTLGVRLLAENPTVLDALTEEHEAIVRGRKEGCDAAGLTWAEYKSMTFTSQVTLEMVRLANIVPGIFRKALQDIEFKGYTIPAGWGVMVCPPAVHLNPEIYEDPLAFNPWRWQMQLTLVVLMLSGLSVGLIPKIYRVQQDKVEITGGSKHFMAFGGGLRFCVGTDLSKVLIATFIHHLVTKYRWKTVKGGNIVRTPGLSFPDGFHVQFFPKN >ONIVA02G30530.1 pep chromosome:AWHD00000000:2:27133616:27136666:1 gene:ONIVA02G30530 transcript:ONIVA02G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPRERSRRQSSATNRERQGHLERRGSRRRRRRPSARSGRGSPPPSSSPASTPPAAAARPLLHPAPAPRVPLTRINRRRRGCRLTHDDRRRLDEEQRARAAVQVVLEIGTQDKSPGYIPRSNNGKETERSSMSVLREELNMESIWEAEAGETEATSKGHEMLRTTGDLNLVLRKQNPDSQSCGLHHACIYFAYPSKLKKAGGTPELMPTLNQLNVGFNK >ONIVA02G30530.2 pep chromosome:AWHD00000000:2:27133616:27136666:1 gene:ONIVA02G30530 transcript:ONIVA02G30530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPRERSRRQSSATNRERQGHLERRGSRRRRRRPSARSGRGSPPPSSSPASTPPAAAARPLLHPAPAPRVPLTRINRRRRGCRLTHDDRRRLDEEQRARAAVQVVLEIGTQDKSPGYIPRSNNGKETERSSMSVLREELNMESIWEAEAGGTDYVTSFYCLPSGMTRYMHMLEHRYSHMHAMFRKRTTCTCVTSDDQLHVPVFCHQVVYESCNQHLAEISSNTETEATSKGHEMLRTTGDLNLVLRKQNPDSQSCGLHHACIYFAYPSKLKKAGGTPELMPTLNQLNVGFNK >ONIVA02G30520.1 pep chromosome:AWHD00000000:2:27116712:27122834:-1 gene:ONIVA02G30520 transcript:ONIVA02G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLFDGGGGGGMQFPFASGFASSPALSLALDNAGGGIGGRMLGGGTGAGSSAGGAMTRDTEAENDSRSGSDHLDAISAAGEDDVEDAEPSNSRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRAELSRRLSLDARQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIREAMRSPMCGSCGSPAMLGEVSLEEQHLRIENARLKDELNRVCALATKFLGKPISLLSPPPLLQPHLSLPMPNSSLELAIGGIGGLGSLGTLPGCMNEFAGGVSSPMGTVITPARATGAAIPSLVGNIDRSVFLELAISAMDELVKMAQMDDPLWVPALPGSPSKEVLNFEEYLHSFLPCIGMKPAGYVSEASRESGLVIIDNSLALVETLMDERRWSDMFSCMIAKATVLEEVSTGIAGSRNGALLLMKAELQVLSPLVPIREVTFLRFCKQLAEGAWAVVDVSIDGLVRDHNSGTAPTGGNVKCRRVPSGCVMQDTPNGYCKVTWVEHTEYDEASVHQLYRPLLRSGLAFGARRWLATLQRQCECLAILMSSATVTANDSTAISQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGATGSIGEDVRVMARKSVSEPGEPPGVVLSAATSVWVPVAPEKLFNFLRDEQLRAEWDILSNGGPMQEMTQIAKGQRDGNSVSLLRASAVSANQSSMLILQETCTDASGSIVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPRIGATGYETGGSLLTVAFQILVNNQPTAKLTVESVETVNNLISCTIKKIKTALQCDA >ONIVA02G30510.1 pep chromosome:AWHD00000000:2:27108831:27112766:-1 gene:ONIVA02G30510 transcript:ONIVA02G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSPRGDARLDSAPLLGGGGGGGGRRHGGALRRPSLRGAARFLRRSGRRAMREPSLLVREAAADQLEERQADWAYSRPVVALDLLWNLAFILVAAAVLALSREESPSMPLRLWIVGYAVQCVLHMACVAIEYRMRRGQSGGSPMAADEETGTDGSSSSSDEDAGERAPRGRNGDYVRIAKHLESANTMFSFIWWIIGFYWVSAGGQVLTHDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRMDEPEKQSVNMTGSSGGIMIECGTNQPIEKVLAAEDAECCICLSAYDDGAELRELPCGHHFHCVCIDKWLHINATCPLCKFNVRKNSSSSGSEEV >ONIVA02G30500.1 pep chromosome:AWHD00000000:2:27106413:27106778:-1 gene:ONIVA02G30500 transcript:ONIVA02G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQADANDPNPARPYTELWMGTQLFAPSSLLAAANGLLRDWLARNPAVSACWGGDLPFLTMAMLSTSTPFTMVTLSVSVPFPTITLNLTQMPARGAGISIGAAGLLHALHRSPVIHPGAAA >ONIVA02G30490.1 pep chromosome:AWHD00000000:2:27103712:27104026:-1 gene:ONIVA02G30490 transcript:ONIVA02G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAAALARGEGAWTEEQHAAFLDRMELSFVQQELAAVAVSDERRASRRLCRRPAPPPAPAAAGGCGQHQLSLPLDRPLPDSAVESNRAAPSSRPAARGRGTG >ONIVA02G30480.1 pep chromosome:AWHD00000000:2:27065110:27067193:-1 gene:ONIVA02G30480 transcript:ONIVA02G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIKISHRGPGRGLGLVKPMEEMLMGANPNPNGSSNQPPPPPSSAASAQRPIAPPAAGAAAGAGAAGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSVVPSAAASASTSAAVSGSVPVGLAAKNPKLMHEGAQDLNLAFPHHHGRALQPSEFTAFPSLESSSVCNPGGNLAAANGAGGRGSVGAFSAMELLRSTGRTSTWRSLTTTGMPAEYAAAGFHLGEFRMPPPPQQQQQQQAQTVLGFSLDTHGAGAGGGSGVFGACSAGLQESAAGRLLFPFEDLKPVVSAAAGDANSGGDHQYDHGKNQGGGGGVIGGHEAPGFWNSSMIGNGSSNGGGGGGSW >ONIVA02G30480.2 pep chromosome:AWHD00000000:2:27065110:27066987:-1 gene:ONIVA02G30480 transcript:ONIVA02G30480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLFFVQIYARIGHILLALCGLGLVKPMEEMLMGANPNPNGSSNQPPPPPSSAASAQRPIAPPAAGAAAGAGAAGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSVVPSAAASASTSAAVSGSVPVGLAAKNPKLMHEGAQDLNLAFPHHHGRALQPSEFTAFPSLESSSVCNPGGNLAAANGAGGRGSVGAFSAMELLRSTGRTSTWRSLTTTGMPAEYAAAGFHLGEFRMPPPPQQQQQQQAQTVLGFSLDTHGAGAGGGSGVFGACSAGLQESAAGRLLFPFEDLKPVVSAAAGDANSGGDHQYDHGKNQGGGGGVIGGHEAPGFWNSSMIGNGSSNGGGGGGSW >ONIVA02G30480.3 pep chromosome:AWHD00000000:2:27065110:27067285:-1 gene:ONIVA02G30480 transcript:ONIVA02G30480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHWHQGLGLVKPMEEMLMGANPNPNGSSNQPPPPPSSAASAQRPIAPPAAGAAAGAGAAGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSVVPSAAASASTSAAVSGSVPVGLAAKNPKLMHEGAQDLNLAFPHHHGRALQPSEFTAFPSLESSSVCNPGGNLAAANGAGGRGSVGAFSAMELLRSTGRTSTWRSLTTTGMPAEYAAAGFHLGEFRMPPPPQQQQQQQAQTVLGFSLDTHGAGAGGGSGVFGACSAGLQESAAGRLLFPFEDLKPVVSAAAGDANSGGDHQYDHGKNQGGGGGVIGGHEAPGFWNSSMIGNGSSNGGGGGGSW >ONIVA02G30470.1 pep chromosome:AWHD00000000:2:27052314:27057300:1 gene:ONIVA02G30470 transcript:ONIVA02G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVPPPDLNRNTEWFMYPGVWTTYICILFFSWLLVLSVFGCTPGMAWTVVNLFHFAITYHFFHWKKGTPFADDQGMYNTLTWWEQMDNGKQLTRNRKFLVVVPVVLYLIASHTTDYQHPMLFLNTLAAAVLVVAKLPNMHKVRIFGINAGN >ONIVA02G30460.1 pep chromosome:AWHD00000000:2:27045953:27051492:1 gene:ONIVA02G30460 transcript:ONIVA02G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLGLGALCRGGGWCYAVIWRSDRRDPRLLTIGEFHSEDGTRNVVEKMLNQVHVVGEGIIGRALVSGECQWISDTSFSFAQTSDADNQDLFQGYTWWQHQFLCGIKTIAVIPIADLGVAQFGSMQKISECLEFLDQVKGIFCQREIVPWDLSAEEIQRNVLPYHQQFQLSSLSSADGLTNIKTDPENKKLLENSASVESLRSLASFSSKYSQSSSNGFTSYESCNSMNPHIVAMPVNSKSINTVRAFNSTGKLLQHNIGSENSLQIKFCQHPDSNLASATDVFLSLNNLPRIENEISCPRNKLGYCIQSEKPYSFQSSFSSCFSVGDELKPILFDSATSFVQNDLMQEFNLTGFTSQADSAVHELPKQILGETATGALYSDRKINNGSSDLLDGTIFDPFVQEWCDNNALLAGNTPHFGATTADSVTEHASSYPLSVEERSLFSESVFEELLGVSGNVNMDAPGDSAVVMAGDPLVGLVSGCQLPTYTLQDSLSVCKPQQEPSLDFPSGSDTSEHVPNGSSKMIPLSLGALSMDDCCSLNTAHSKVSQVKRPEEVKVVKKRARPGESTRPRPKDRQQIQDRVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISNKDSGAVLKENSSGVVLKDNSSAGSNNGGATWAYEVAGRTMVCPIIIEDLSPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQISKVIRNGVPSFAEHQQSPISVPVGLADR >ONIVA02G30450.1 pep chromosome:AWHD00000000:2:27006521:27007944:1 gene:ONIVA02G30450 transcript:ONIVA02G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKVLSDWRELCGQTQLRQEHCQVRWFGSRYSILDLSSSFIYRISWSVIWTGQSRTQEHWDDDYHIITNGRAAGRGARRRRQRQRDPPSPVAAPSAARASPASPVALAWAGRGCCGGGNGGKGGGLWYNATVAGVISYSRIASLSGVSVQDLFLWFPVRGIHVDVPSSGPIYFDVGVVTGLAHGEGGGRRSAGVFDLRAAATVGKEVDVAALLHVLPLSPPPPLPPEPTELVDPPAHEIPRSGRPLLGLFLLVGSIWSSSTPNPLTERIKIPRR >ONIVA02G30440.1 pep chromosome:AWHD00000000:2:26995062:26996040:-1 gene:ONIVA02G30440 transcript:ONIVA02G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFLKSPSSPEAARRSRGWRQRAQDDFGSAASMKSGSCEMSRSISAACSADAGRTMTGSTGATEEDADDAAEKADEQ >ONIVA02G30430.1 pep chromosome:AWHD00000000:2:26941735:26943207:1 gene:ONIVA02G30430 transcript:ONIVA02G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPSSLSSPALPLDIVVEIAERSDPITLLRCAAACKHLRRVISGEGFRRDLRLRNADGFVPGLLHGFFFQPRCPSPHYHGYNYDPLRFVAAGRHDQLSAAEDIASGGSGGGGDTYQIPSFVSDSNRVHKNKRSRRIEPVAARGGFVVLRTGKFSGKVCNPMTGYTRPIDMPRKTAKGEGSSYLLITADDGGDGVDVGVTSDESELHRFRLLAVRLFARTRVEVQELTPDTGTWGPVTTLPVVDADHYLHPHPVLVRPPVVIAGVAYFLGEMSGRDDQTYQLLLRMSLDRESLGRQYHHSPPSYSYFILAVDVSIRRDGETGTTAAATIMLLPTELRAPSYTGEATVTPTPGQLLLSPSSRGGGRRSSLALLVGRRTHVEIWTMKLIRRGMALLRMACTKVVDLMGVPRSPCSPPVSESEVALLWSGDASGGVVLRLGGTVCLLDRRRRAVVVRALGDGEEFVEFGSGRRHVLLPYEVGLSSWVPSISA >ONIVA02G30420.1 pep chromosome:AWHD00000000:2:26938438:26939808:1 gene:ONIVA02G30420 transcript:ONIVA02G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMTSEMKPPPSSSSLSSRGLPIDVIVEIAERSDPITLLRCAAACRQLRRAISGEGLRRELRLRNAAGFVPVLLRGFYYQPLHGAHGFLQEPVRFFAAGAGAGDHHGQLPAGDDAVESLAVGFETVEARGGFVVARTGSSSGKVCNPMTGYELPIPLPRKDLVTSYLLLTADDGVGLGASSDDDSELHRFRLLAVQLCQPTNKGRRLRLKMQALTPATGRWGPTTKIPVHGGGGDRHQHPGAELLARHPVVVNGVAYFLGVSHSFDRQRPHPPSHYFILRVDVSDHGGDGRNGTTKAATIIPAPEGLKPPSCSRCTSSEAAAAVVTSKQLLLTPSRDRRSVALLVCRTTRVEIHTLDMLATPSAWARATEVVDTAAVRRRPCDLSESEVELHWSGEASGAVVLRLGGTVCQLDRATMAIRTIDEEFPEFRYRSRHMLLPYEMGLSSWVPSISTSA >ONIVA02G30410.1 pep chromosome:AWHD00000000:2:26910326:26910673:1 gene:ONIVA02G30410 transcript:ONIVA02G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIAVRRIGAESQRCTPSSIDIQQTNTGKKVGALDTMFQVSVTNRCTCTVRTVFLRADGFTSAVAVDPKLFRQAGSAGYLVGDGRRIPSAKSVTFQYAWDHYFKMTPASIQAEC >ONIVA02G30400.1 pep chromosome:AWHD00000000:2:26895891:26898195:1 gene:ONIVA02G30400 transcript:ONIVA02G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVNGVLNWVATPAMVASLLLFYPPYYLFKTVHSFLSYLFPDDLARKVVLITGASSGIGEQLAYQYALNRASLVLVARREWSLRKVADQAFELGAPDVIILRGDVANPEDCKRFWTILYATLASQDVNFWGSVQSTFEALPHLKRSRGRIVVTASATGWNPVPRMTFYNAANAALINFYETLRTELGSQVGITIVTPGWIESEMSKGKFLKDHGEMEVDQEMRDAQIGLFPVEYAKNCAKAMVQAVRQGERCLTVPPWFSTMYLWRVFAPEVVEFCYRLLYMQSHGGSQADAPSKKMAEAGGKKLLYPTSLRSADIKDE >ONIVA02G30390.1 pep chromosome:AWHD00000000:2:26884347:26885306:-1 gene:ONIVA02G30390 transcript:ONIVA02G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNVVWQPQVVEEMLRYYKEKILADGRQLVFKEVHHEECAKQINGKYHTNFTSRQVYHKFHKLKAQWKVIMEAKNLSGANFDDVEKKILYDETEVVRMTNAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFNVLQTPYDHPMEDDDFIGDKNGSPGDVDPSSNYDSGCLPDQENNTGSSSSSRRAKGRKTDKGKRVRADDNVVYEITGAMDNMSETMRFTHMTYPNESLFKIIDEMTEYPVMVRLELQTYLATHENIAAMLKGRPLDSIKEYVAQWIIQNYPAAM >ONIVA02G30380.1 pep chromosome:AWHD00000000:2:26878788:26895681:1 gene:ONIVA02G30380 transcript:ONIVA02G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPSSSSSPALPLDILVEIAERSDPITLLRCAAACKHLRRVISGEGFRRDLRLRNADGFVPGLLHGFFFQPRCASPHDHGYNYEPLRFVAAGRHDHIASGDNCQIPSFVSDSDENNPCRRIEPVAARGGFVVLRTGEFSGKVCNPMTGYVRAIDMPRKTSKGEGSSYLVLTADDGGDGVDVGVTSDDSELHPFRLLAVRLFARTRVEMQALTTDTGTWGPATTLAVVNAGCLGPRPVLVRPPVVVAGVAYFLGEMSGRDDRTYQLQRRMSRMSFDPPYQHSPPPSYSYFILAVDVSIRRRDGETGGTTAAATIMLLPTTELRAPSCTGEATVTPGQLLLAPSCGDRRSSSLALLVGRSTQVEIWTMKLIRRGMALRRMACIKVVDLAGVPRSPCSPPVSESEVALVWSGEGSGAVVLRLGGTVCLLDRRRRAVVVRALGDGEEFVEFGSGRRHVLLPYETEIIGSEMAKRLWSSSPALPLDIVVEIAERSDPITLLRCAAACKHLRRVISGAAGFSRNLRLRNADGFVPGLLRGFFLQPRRPSPNPDYQPLRFVAAGHAIVGGGGGADQIRSFVSSSDHVYGSIHWRIEPVAARGGFVVLRTGDSSGNVCNPMTGYVRCIDMPRPWSSGSYLLLTGDDAGVTSELHPYRLLSVSLHLTGTGRERRRIHVEMEALSPDAGSWGPTTAIPVEIAGGGEYGSPRALLIRTPAVVDGVAYFLGGHPSLVFDLQYQRRQLPYDYFILCVDVSSETEIGSATSPATITRLPTELRLLSSCTGEADVSPGQLLLVPPSSCGGGDRKSLALLVGRRTQVEIWVMNFGGGGGASARSAPRLLSVSCTRVVDLTTTGVHRSPCSPPLPVPESDEVFVWSGEASGAVVLRLGGTLCLLDRRTMAVRALGEDFSEFRDGPNGVFLPYEMGRNEDGFDFKFKVVKDNACAYALASSPPAKQPIRLLGQGI >ONIVA02G30370.1 pep chromosome:AWHD00000000:2:26866078:26869968:-1 gene:ONIVA02G30370 transcript:ONIVA02G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGDLDKVDDAECVEVDPTRRYIRYNEVLGRGAMKTVYKAFDEVEGIEVAWSQVEIDEVMQSPDNLERLYSEVHLLKSLKHENVMKFYNYWVDDQKKTINVITELFTSGSLRQYRQKHPRVDLKAIKNWARQVLRGLDYLHTHQPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATVMLTPRAKSVIGTPEFMAPELYDENYDELVDIYSFGMCMLEMFTLEYPYSECTNAAQIFKKVSKGVKPAALAKITNIQAKQFIEKCLVPASERLSAKELLQDPFLCSDNSSVLVGTKFPSSLPKSVDVSLEALHMDVDTNESMCTSTCKRNDLGGPHRSVLEFTRTNKNTELKLTGEKLDDNSVSLVLRIADLCGHARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPGQQLMNDAVMSTSSESKMGESEHVITSQQHPSELTHDYVLVEGMMHSKEANASPSDYIDSLLNATNLGGPNSSEGSDISVQLDGSSKSLSEYGVDEYRTLECGAYKGTDKLGCRHPLSNGSSNFAIFQMDQASHHSELVIGASVSITENRDVLNGELGLIEAQYEQWFRELTRMREEALEGARKKWLPDK >ONIVA02G30360.1 pep chromosome:AWHD00000000:2:26863843:26865392:1 gene:ONIVA02G30360 transcript:ONIVA02G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0GB59] MEFCPGCGMLLQIQPATGGNRLRFYCPTCPYVCPIVKKARLVKKEVEPIFSDSDAMKNAPKTTTTCPRCQNGEAYYRQMQIRSADEPMSTFYKCCREECQFDWRED >ONIVA02G30350.1 pep chromosome:AWHD00000000:2:26862921:26863396:1 gene:ONIVA02G30350 transcript:ONIVA02G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHRHGGGTLLSPRFSLLDLAALSPSLVVSPSSVALFPPFRPLVLSSLPFPSHLPTVARRMTHGRRAGRRTGGRGEGCGTVHRRDGRQGDRRGERATQVTGEVKCTERSWTERCIMEIFVFLLDSI >ONIVA02G30340.1 pep chromosome:AWHD00000000:2:26854290:26859858:1 gene:ONIVA02G30340 transcript:ONIVA02G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNAadenosine methylase [Source:Projected from Arabidopsis thaliana (AT4G10760) TAIR;Acc:AT4G10760] MEAQADAGGDDLAAMREQCRSLEEAIGFRRETQMGLVASLQRLVPDLVPSLDRSLRIIAAFNDRPFVPTPNPDGGHGKSPAALKPHHRRALPDPARSTRRKTSPGSSPASVAAAPGGLDAVRTMVAVCLLELVPFAEIDAAALARRLQAESSSASEAERTALADLAAELGGSAASAVVLALRRIAEDTGGVQIEEAMIGGKSMTMVWAIDRNKLLKELPESATLPLLQPPPAPQMPPSETDAGSAMIPRTPQQQQPQPDMWPHSMPPIFPRPRGMTMQGMQRVPGVPPGLMPLQRPFMGPAGVITMGGGVGPSPNQQKQKSEEDELKDLELLLNKKTYREKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQSGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYELDQTPDIPPMMAGALAPPRQIRLQRAEYCSEVELGEAQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNAHAGWLSLGNQLNGVRLVDEGLRARYKAAYPDSEVQPPSPPRASAPIDGDQGTSQKPTVSDGERPA >ONIVA02G30330.1 pep chromosome:AWHD00000000:2:26852837:26853436:-1 gene:ONIVA02G30330 transcript:ONIVA02G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVDAQQEPTEGRRFYSPDRPEGLAFPTSYRALYDLPTSPECLFEEDKFRQTRTWGENLTFYTGVSYLAGATSGALVGLRRAAAEAERGESAKLRINRALNQSGSVGRAFGNRFGIVAMLFAGTESFVRDQRDGADDWVNTVAAGASAGALYRIASGPRSMIVAGILGGVLSGAAVAGKPMLQRFAPKLSARLDYLR >ONIVA02G30320.1 pep chromosome:AWHD00000000:2:26846367:26850765:-1 gene:ONIVA02G30320 transcript:ONIVA02G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEPEPKPEIETPPPAREPPTEPPAAAPAPAAAASDRPLQPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPIKREKSATKEAISILENSIGHANNYSSEKSEPSDTEVSAKKRKICSETPDIENSGDAVTYEKGDASETTGSVEKDSVSPHSKTSKNVDDTSNLSLVKLSRSGLLFFSFPSGGLRVVQMLTQMFHSLHSGKLKSPQWCHRIFPIQETCVLSEAELHTTVSKLFLDFVKSKEDQDEPIKFAVGYNRRGIDETEMKGQKNGNEGSKQQTLMDRDQCFKVVAGAVKSVAENSIVDLRSPEVAVLVEMLPISGVSLGSSVAGVSVLPSELISTKPRLCVKALVPDAKAAKKK >ONIVA02G30310.1 pep chromosome:AWHD00000000:2:26842444:26846049:-1 gene:ONIVA02G30310 transcript:ONIVA02G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAIMMQELSKSVANWLTLRPTKLHPGGPEQSVLKFLGDGEITQLASLGQEIAFAAFWSTASDSGAGAGAPESFHDLVLSVRTAPSSAPLFFPAPKIPVPDEGLKQQQQEHEHERQLQHRVDLISSYPWTLLAVAYNHR >ONIVA02G30310.2 pep chromosome:AWHD00000000:2:26843333:26845246:-1 gene:ONIVA02G30310 transcript:ONIVA02G30310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQELSKSVANWLTLRPTKLHPGGPEQSVLKFLGDGEITQLASLGQEIAFAAFWSTASDSGAGAGAPESFHDLVLSVRTAPSSSIMKLLPKQNI >ONIVA02G30300.1 pep chromosome:AWHD00000000:2:26841274:26844153:1 gene:ONIVA02G30300 transcript:ONIVA02G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGERKGAARQYNRSKVPRLRWTAELHRSFVRAIDCLGGQQKATPKLILQLMDVRGLTISHVKSHLQMYRGTRHGIGQNDMQPQLHLKQHSFGSDEQSPKEFMCPPIKRAKVGTEASGKYRCMEGSSDMRSSAPPAGTRYFIDDCMRLQEVSMDRRRSDQHDAAAAARARAPAAAASSLHQALGFWVQGRREEPFMVHQISKPKAHQLNHMVRNMKISCKENHESRFFMVRSATRDEQVKKRAPPLSLAVDQKAANAISWPSEASCVISPSPRNFSTDCSGPPGCSFVGRRVNLELSLSICGS >ONIVA02G30290.1 pep chromosome:AWHD00000000:2:26824427:26830753:-1 gene:ONIVA02G30290 transcript:ONIVA02G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKKKTEPRNAGESSGTQQATGAPGRGPSQRPERAQQHGGGGWQPANPQYAQQAGRGGGQHQGRGGRYQGRGGPTSHQPGGGPVEYQAHEYYGRGVQRQGGMPQHRSGSGGHGVPASPSRTVPELHQASQDQYQATVVAPSPSRTGPSSLPVEASSEEVQHQFQELAIQGQSPTSQAIQPATPSSKSVRFPMRPGKGTFGDRCIVKANHFFAELPDKDLHQYDVSITPEVPSRGVNRAVIGEIVTQYRQSHLGGRLPVYDGRKSLYTAGPLPFTSRTFDVILQDEEESLAVGQGAQRRERPFKVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVARSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDNHGTVKTVVQYFQETYGFNIKHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYHQDPYAQEFGIRIDERLASVEARVLPPPWLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVNNWTCINFSRHVQDNAARSFCRELAIMCQISGMDFSIDPVVPLVTARPEHVERALKARYQEAMNILKPQGGELDLLIAILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWKDPQRGTVSGGMIRELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLFYELDAIRKKRHHTRLFANNHKDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGAHTRGGGPLPGARSTKPAGNVAVRPLPDLKENVKRVMFYC >ONIVA02G30280.1 pep chromosome:AWHD00000000:2:26809179:26819616:1 gene:ONIVA02G30280 transcript:ONIVA02G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAALFGIRDGDHEDQIKPLFAQQQQHHHHQPPMAPSNAAAAASAAGSAAGQAAVAAPPAKKKRTLPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPAAAGHLYGSAGAANMALSLSQVGSHLASTLQDHGHHHHHHGASPDLLRFGGSGGGAMAARLEHLLSSSSASALRPLPPPQQQPPAPFLLGAAPQGFGDGGDGSGPHGFLQGKPFHGLMQLPDLQGNGTGGPSPSGPGLYNLGYIANSANSSGTSSHGHASQGQMTNTDQFSEGGGGGGGGGGSETSAAALFGAGGNFSGGDHHQVSPAGMYANDQAMMLPQMSATALLQKAAQMGSSTSSANGAGASVFGGGFAGSSAPSSIPHGRGTTMVDQGQMHLQSLMNSLAGGGNADHQGMFGSGSMIDPRLYDMDQHEVKFSLQRGGGGGGDGDVTRDFLGVGGGGFMRGMSMARGEHHGGGGSDMHGTLEAEMKSASSSFNGGRMQ >ONIVA02G30270.1 pep chromosome:AWHD00000000:2:26785156:26787666:-1 gene:ONIVA02G30270 transcript:ONIVA02G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATPSKRAKTAAGVATPQKMGKAAAAAALADQFLTPEKPMPKVAAAAAAAEQIWTPEKPKQPSAAERRARSSGGVAFSVKGVRRAALELRRRSERGAASPAAAAEDELEAVERQLGVGPAPVRSPVKRRAKLPESYEMLCEFFNCFESSTRLLRMKGSKASFPNICASIQHLSERRFTYSHLAQLKYIMPEVIVINKILLRDETTCCMKPDLQVNLLVDAVEGVAKQKGETGYSALRRIFRQRLVDYFRDHPEGHDIPEHELPHPFGPTRSSAPQAIQEDIPKTVSALPLSSATDRQPVAMSHMPNSFKRMFSQRSAAAAAATTSTTSPLSKVEPSITSPLSRKSLLGSPVSGSVSLVRESEGQEKSVEGVAFQSGVSEGTPAKFASTPVRLMAETPVLQTPKRPVSGTGYDTPPLKMAKRSARMKLFMTPTKDASSMDEKKQSTSASTLDGDDELLSFLPKSLLQSVKDKENRALVEKQTGFADHIKREKLIASLPSIFDIIFLIYQSRQRTVMTKQELIHKIIASNPKIVDRGEVEDQLKLLEEIIPDWISEKTARTGDVLCCVDTAMSQAEIRQRLYAAE >ONIVA02G30260.1 pep chromosome:AWHD00000000:2:26778232:26781489:1 gene:ONIVA02G30260 transcript:ONIVA02G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQQLRVLHALDIARTQLYHFIAIVIAGMGFFTDAYDLFSISLVADLLGHVYYHGELPRNIHAAVTGIALCGTVPGQLVFGWLGDKMGRKRVYGITLLLMVASSLASGLSFSKREGKNVIAVLCFFRFWLGVSIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLAAGIIGMIVSAAFKHSSPSKIDYAWRIILMFGAIPAALTYHWRMKMPETARYTALISKNAKKAAKDMSAVLNVNITPDDEAINELARQDEYGLFSFEFLHRHGLHLLGTTVCWFVLDVTFYSLNIFMKNIFTEVRLLPRLDSEYHHTLQRMITMTAVHTFIALCGALPGYFFTVAFIDRIGRVKIQLIGFTMMTLFMLCLAIPYDQWLRHKNKYGFAVMYGLTFFFANFGPNTTTFIIPAEIFPARLRSTCHGISGAVGKIGAIVGVFGFLYTEYHIRIFLFVLIGCNLVGFIFTLLLPESKGKSLEDLTGEIEELQEEDEGSEVALSRPIHNVPL >ONIVA02G30250.1 pep chromosome:AWHD00000000:2:26749791:26752593:1 gene:ONIVA02G30250 transcript:ONIVA02G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAEIGAMAGELKVLNALDSAKTQWYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRIYYFNPASKSPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSSAKGWLPKADTMSALEEMFKISRAQTLVALCGTIPGYWFTVFFIDIIGRFVIQLGGFFFMTAFMLGLAVPYHHWTTPGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSFGFLYAAQSTDASKTDAGYPPGIGVRNSLFFLAGCNVIGFFFTFLVPESKGKSLEELSGENEDDDDVPEAPSTADHRTAPAPPA >ONIVA02G30240.1 pep chromosome:AWHD00000000:2:26733515:26736543:-1 gene:ONIVA02G30240 transcript:ONIVA02G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSESPVSPMKSPVSENRSHRLRHNKYSTLVLLRRNDVGTAKKAELQLIYRSFIGEISLNLRLWKFDMLINYHPMGRLTLCCITFCWR >ONIVA02G30230.1 pep chromosome:AWHD00000000:2:26730316:26730605:-1 gene:ONIVA02G30230 transcript:ONIVA02G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVITIEKKPINYLFLLLGEMLGLFSLDQLNFLCAHTNRHRIGAKGSMLYSTYLERYNQFIPGTLPSWLKLSLGPVFYELWWTSTPPPPPLW >ONIVA02G30230.2 pep chromosome:AWHD00000000:2:26729843:26730313:-1 gene:ONIVA02G30230 transcript:ONIVA02G30230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEEGSQWCRCGVRIDFLANAYLQHHQQPCHTSNIIMNVRATHQRKALGSSGSHHDAATSVNIANATNSGGNDNNNDDSNVLLNPPNTWATNQVAKHHSIAVPSPSIIKGSAW >ONIVA02G30220.1 pep chromosome:AWHD00000000:2:26729412:26729675:-1 gene:ONIVA02G30220 transcript:ONIVA02G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDHDRERAYQLVIPIPWEILVLYLLRQLKIFCVHTNRLHTSTKDRILLLANKPALVFLCFCISSVK >ONIVA02G30210.1 pep chromosome:AWHD00000000:2:26719073:26720719:-1 gene:ONIVA02G30210 transcript:ONIVA02G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDRKVLDALDTAKTQWYHFTAVVIAGMGFFTDAYDLFSISLVTKLLGRIYYFNPASKSPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSSAKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIVSAAFKARFDAPAYRDDRAGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNDKKAAADMARVLNVELVDEQEKAAAATAAAAEEEAARREQYGLFSREFARRHGHHLLGTTVCWFVLDIAYYSQNLFQKDIYTAVQWLPKADTMSALEEMFKISRAQTLVALCGTIPGYWFTVLFIDIVGRFAIQLGGFFLMTAFMLGLAVPYHHWTTPGNHVGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISSAAGKMGAIVGSFGFLYAAQSTDPSKTDAGYPPGIGVRNSLFLLAGCNVVGFLFTFLVPESKGKSLEELSGENEMEAEPAAATNSYRQTVPDSGQSE >ONIVA02G30200.1 pep chromosome:AWHD00000000:2:26709736:26719192:1 gene:ONIVA02G30200 transcript:ONIVA02G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHRITMLSSSETCHLGSSSNNQAMDQQNLLPSNPTADEQNLLPNTLEDDDYPHYLLGSHEVEMPNGSVIGQQNTSLNLWDSAGSSSMGCVADHDSLFEAKREHFAPALSIRAPLIIGGRRHEGSSSLPSQSLNLDLNLNQADQFDSEDVDMIQSNGQPGINAFPLNRGLSIPEHVLRHTNSSSATGNPSQVASFSDGMTGQEVNLFGGHRSSCKRKNIDGSLAESSANGSSRNNQRNNIILEPSPSSHESTSGLTAPAPTNHVFSYSPVEQLNQNTNMSANAMLSDHYSLYGDHERERFLRNTRMRTSPNEYDQSLSNLLPEGSLRCSVYQPTQQQSLFIPVQPRASSSSTSSLSRPYVPAVTQFSQNLHRAPSSGNFGSRIGIFPSSADTTNQSSSQDPNRSSVRGNFPEPLLLGSSLFPSDSAELLSMPGGRSNQQNSSSTIRTAVNIGAQQIAGLNASQPTSSSRGSVDIVRRSLQAASVPQSRGSSITSQQQRGHSSTSHEIRSHQPGSSSRANQQHYVRAVPHSVDRQNSNYLDLQSFMQSIAASRDGIRTVSESANQLVHLRNVVEQIRQGRGGRFEDPNFERALFARRASLIDRHRDMRLDVDNMSYEELLALGERIGYVNTGLSEDKIRTGLKQWKYVSIPIEEPLTGVEPCCICQEEYAEGEDMGRLDCGHDFHTACIKQWLVIKNLCPICKKTGLGT >ONIVA02G30200.2 pep chromosome:AWHD00000000:2:26709736:26719192:1 gene:ONIVA02G30200 transcript:ONIVA02G30200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHRITMLSSSETCHLGSSSNNQAMDQQNLLPSNPTADEQNLLPNTLEDDDYPHYLLGSHEVEMPNGSVIGQQNTSLNLWDSAGSSSMGCVADHDSLFEAKREHFAPALSIRAPLIIGGRRHEGSSSLPSQSLNLDLNLNQADQFDSEDVDMIQSNGQPGINAFPLNRGLSIPEHVLRHTNSSSATGNPSQVASFSDGMTGQEVNLFGGHRSSCKRKNIDGSLAESSANGSSRNNQRNNIILEPSPSSHESTSGLTAPAPTNHVFSYSPVEQLNQNTNMSANAMLSDHYSLYGDHERERFLRNTRMRTSPNEYDQSLSNLLPEGSLRCSVYQPTQQQSLFIPVQPRASSSSTSSLSRPYVPAVTQFSQNLHRAPSSGNFGSRIGIFPSSADTTNQSSSQDPNRSSVRGNFPEPLLLGSSLFPSDSAELLSMPGGRSNQQNSSSTIRTAVNIGAQQIAGLNASQPTSSSRGSVDIVRRSLQAASVPQSRGSSITSQQQRGHSSTSHEIRSHQPGSSSRANQQHYVRAVPHSVDRQNSNYLDLQSFMQSIAASRDGIRTVSESANQLVHLRNVVEQIRQGRGGRFEDPNFERALFARRASLIDRHRDMRLDVDNMSYEELLALGERIGYVNTGLSEDKIRTGLKQWKYVSIPIEEPLTGVEPCCICQEEYAEGEDMGRLDCGHDFHTACIKQWLVIKNLCPICKKTGLGT >ONIVA02G30190.1 pep chromosome:AWHD00000000:2:26674819:26697284:-1 gene:ONIVA02G30190 transcript:ONIVA02G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESAPARNERRGQHVVLLASPGAGHLLPVAELARRIVEHDGFTATIVTHTNFSSAEHSSTFSSLPPSISIAALPEVSVDDLPADARVETRILTVVRRALPHLRDLLRSLLDSPAGVAVFLSDLLSPRALAVAAELGIPRYVFCTSNLMCLTSFLHNPVLDRTTTCEFRDLPGPVLLPGCVPLHGSDLVDPVQDRANPVYRLVIEMGLDYLRADGFLVNTFDAMEHDTAVAFKELSDKGVYPPAYAVGPFVRSPSGKAANDACIRWLDDQPDGSVLYSCS >ONIVA02G30180.1 pep chromosome:AWHD00000000:2:26667186:26671822:-1 gene:ONIVA02G30180 transcript:ONIVA02G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G01040) TAIR;Acc:AT4G01040] MPPRRRDRRRPRDPSPETSRASTSAASSSSGSRFRPALLAPLLLLLLLLILAALHFSGRLSLPRPQAPPQRTRLSVHERGLVRRDVSASEILAEHATVSENRSRRHFRNPVLAYVTPWNSKGYDMAKLYSTKFTHISPVWYDLKSDGNKLVLEGQHNFDAKWVSELQSNGSLVLPRVVLEAFPGVVLMKKKLRDKAIDLIVNECRDKGYDGVVLESWSRWAVYGVLDDSELRNRALQFVKHLGKTLHLTSSNLSTHHHLKLIYVVPAPRMKELNNQEFRPEDLLQLADSVDGFSLMTYDFSGPQNPGPSAPLSWIQYSLKTLLAAKGSASHGHSHMIFLGINFYGNDFLLSKGDGGNAITGRDFIYLLDKYKPSLQWDEKSSEHFFVYSDKGLRHAVFYPTLMSLSLRLDEAQDWGTGLSIWEIGQGLDYFFDVL >ONIVA02G30180.2 pep chromosome:AWHD00000000:2:26667186:26671822:-1 gene:ONIVA02G30180 transcript:ONIVA02G30180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G01040) TAIR;Acc:AT4G01040] MPPRRRDRRRPRDPSPETSRASTSAASSSSGSRFRPALLAPLLLLLLLLILAALHFSGRLSLPRPQAPPQRTRLSVHERGLVRRDVSASEILAEHATVSENRSRRHFRNPVLAYVTPWNSKGYDMAKLYSTKFTHISPVWYDLKSDGNKLVLEGQHNFDAKWVSELQSNGSLVLPRVVLEAFPGVVLMKKKLRDKAIDLIVNECSYNCQFVIWLSVNRDKGYDGVVLESWSRWAVYGVLDDSELRNRALQFVKHLGKTLHLTSSNLSTHHHLKLIYVVPAPRMKELNNQEFRPEDLLQLADSVDGFSLMTYDFSGPQNPGPSAPLSWIQYSLKTLLAAKGSASHGHSHMIFLGINFYGNDFLLSKGDGGNAITGRDFIYLLDKYKPSLQWDEKSSEHFFVYSDKGLRHAVFYPTLMSLSLRLDEAQDWGTGLSIWEIGQGLDYFFDVL >ONIVA02G30160.1 pep chromosome:AWHD00000000:2:26654621:26663772:-1 gene:ONIVA02G30160 transcript:ONIVA02G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKGSPCAWVPSLRSYHPYQIKEKMLSGWSVYQYVDQMLAIRAPVLAWEHLYWYLNIISPMKGLKVCVQAES >ONIVA02G30150.1 pep chromosome:AWHD00000000:2:26654096:26659755:1 gene:ONIVA02G30150 transcript:ONIVA02G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64350) TAIR;Acc:AT1G64350] MAERQVAELGAGAACVGWNHCGRRLAAGAVDGFVSVYDSQSQPSPSSKWQAHEHAILNIVWLPPDYGDAIACVCADGTLSLWEEVTAYSDGQVKVYELLDSLELDKWQLQAEFQNITDPVSRSGKPACTSASIAWSPRRGESQQASFAIGFNSDSPNFNSCKIWEFEEAHQRWLPLVELGSPQDKGDIVHAVAWAPNIGRPYEIIAVATCKGIAIWHIGLSAESDGSLSTENVAVLSGHDGEVLQLEWDMGGMTLASTGGDGMVKLWQANLNGVWHEQAVLDCNVSH >ONIVA02G30150.2 pep chromosome:AWHD00000000:2:26653831:26659755:1 gene:ONIVA02G30150 transcript:ONIVA02G30150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64350) TAIR;Acc:AT1G64350] MAERQVAELGAGAACVGWNHCGRRLAAGAVDGFVSVYDSQSQPSPSSKWQAHEHAILNIVWLPPDYGDAIACVCADGTLSLWEEVTAYSDGQVKVYELLDSLELDKWQLQAEFQNITDPVSRSGKPACTSASIAWSPRRGESQQASFAIGFNSDSPNFNSCKIWEFEEAHQRWLPLVELGSPQDKGDIVHAVAWAPNIGRPYEIIAVATCKGIAIWHIGLSAESDGSLSTENVAVLSGHDGEVLQLEWDMGGMTLASTGGDGMVKLWQANLNGVWHEQAVLDCNVSH >ONIVA02G30140.1 pep chromosome:AWHD00000000:2:26652542:26653227:-1 gene:ONIVA02G30140 transcript:ONIVA02G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPVAAESGSDLRFGLLEEGRPHPPVAAAAAAALVGGAQRRRNPTPPWLHALRLYCIVAATAMVAVFAAEVFPRCKGKQDVLLCVVALAGAVFTGPILGFMLTTCAAEAAAADDDHEAARVASRYTRCEENVGRSVILAVALLGLYAIYLAAVSCGGEVDRFLLGAYYGVMGVGVIVGHSVSWIMVMPIAFLVVPIGF >ONIVA02G30130.1 pep chromosome:AWHD00000000:2:26641798:26650553:1 gene:ONIVA02G30130 transcript:ONIVA02G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHAVTPHVVLLPSPGAGHVAPAAQLAARLAMHHGCTATIVTYTNLSTARNSSALASLPRGVTATALPEVSLDDLPADAHIVTRIVTVVRRSLPHLRELLLSLLGSSSLAGVTAFLTDMLCPAALAVAAELGIPRYCRDLPEPVVLPGCEPRHDDHLADPFHDNTFDAMEHDTLVAFKELSDKGVYPPAYAVGPLVRSPSGEAENDTCIRWLDEQPDGSVMYVCFGTGGTLSVAQTAELAAGLEASGQRFLWVVRFPSDKDVSASYFGTNNRGDDDDPTSYLPEGFVERTKGAGLAVPLWAPQVEVLNHRAVGGFVSHCGWNSTLEAASAGVPTLAWPLFAEQKMNAVMLSSERVGLAALRVRPDDDRGVVTREEVASAVRELMAGKKGAAARKKARELRAAAAVASAPGGPQQQALEAVVGEFKRSTSNLRTFKISEKTVSLDDLPADERIEMRVFTVVRRTLPHLRELLLSFLGSSPAGVTAFLADLLCPAALAVAAELGIPRYVFFTSNLLCLTTLLYTPELATTTTCECRDLPEPVVLPGCVPLHGADLIDPVQNRTNPVYQLMVELGLDYLLADGFLINTFDAMEHDTLVAFNELSDKGVYPPAYTVGPLVRSPSVEAANDVCIRWLDEQPDGSVLYVCLGSGGTLSVAQTAELAAGLEASGQRFLWVVRFPSDKDVSASYFGTNDRGDNDDPLSYLPEGFVERTKGAGLAVPLWAPQVEVLNHRAVGGFLSHCGWNSTLEAASAGVPMLAWPLFAEQRMNAVMLSSERVGLAVRMRPSSARPDNGVVPREEVGSAVRKLMVGEMGAVARKKAGELRAAAEMASAPGGPQHQALAEMVGKWKGRG >ONIVA02G30120.1 pep chromosome:AWHD00000000:2:26630545:26641705:1 gene:ONIVA02G30120 transcript:ONIVA02G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQEEGRKEAPARERGGGTMRGEPGAKTLEVGTILLLTMEGEAVDERIRSNPTPIFQWQSVAIIEVLSLLLLLLPPPSFLLAPGDDEHSSFKI >ONIVA02G30110.1 pep chromosome:AWHD00000000:2:26614411:26615934:-1 gene:ONIVA02G30110 transcript:ONIVA02G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GB30] MAIESAPARNERRGQHVVLLASPGAGHLLPVAELARRIVEHDGFTATIVTHTNFSSAEHSSTFSSLPPSISIAALPEVSVDDLPADARVETRILTVVRRALPHLRDLLRSLLDSPAGVAVFLSDLLSPRALAVAAELGIPRYVFCTSNLMCLTSFLHNPVLDRTTTCEFRDLPGPVLLPGCVPLHGSDLVDPVQDRANPVYRLVIEMGLDYLRADGFLVNTFDAMEHDTAVAFKELSDKGVYPPAYAVGPFVRSPSGKAANDACIRWLDDQPDGSVLYVCLGSGGTLSTEQTAEVAAGLEASGQRFLWVVRYPSDKNKTASYFSVSGDGDGEDSPTNYLPEGFLERTKGTGLAVPMWAPQVEILNHRAVGGFVSHCGWNSTLEAVAAGVPMVAWPLYAEQRMNAVMLSSSRAGLALRPSNAREDGVVTRDEVAAVARELITGEKGAAARRKARELREAAAKATRAPGGPSRQAFEAVVGGAWKKAAAAARGGRAGEPDDNGTAVTAQ >ONIVA02G30100.1 pep chromosome:AWHD00000000:2:26612995:26614261:1 gene:ONIVA02G30100 transcript:ONIVA02G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETLSWAEPECGDEFALCFLDKAACTTVSGAISTVTASRTGSPGCAWRGRRVPPPEAGGDDEQRLRDDGSGGDGECWRRRSGGCGDAAVGDGDDDDDGSGGATTATTTMA >ONIVA02G30090.1 pep chromosome:AWHD00000000:2:26612712:26613410:-1 gene:ONIVA02G30090 transcript:ONIVA02G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALFMPSKLSLTLTMVVVAVVAPPLPSSSSSPSPTAASPQPPLRLRQHSPSPPLPSSRSRCSSSPPAYAERSKPAGDVTSGGGTLLPRHAQPGEPVREAVTVEMAPETVVQAALSRKQSANSSPHSGSAQESVSPSILSKASPGREGEGRKEERGRCLQRERGKRRVAVRIKRKKKERGCEKLEVGEKEKKGKENVKNLKWVGGKGGRYFYVRSTNKSPHVKNDFPVRFF >ONIVA02G30080.1 pep chromosome:AWHD00000000:2:26602750:26607924:1 gene:ONIVA02G30080 transcript:ONIVA02G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAFDAEAGAAAKPPAADAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLTVLTAVGAVTFYEYSLMSRVLEHCEARGRRHIRFRELAADVLGITINSPDPFLSTAPHHHTHMTFPCIAPWSGWMFYFVVIVQTAINTGVSIGTILLAADCLEIMYTSLSPNGPLKLYHFIIIVAVALAFLSQLPSFHSLRHINFASLLLSLGYTILVSAACIGAGLSKDAPGKDYTLSSSKSEQTFNAFLSISILASVYGNGILPEIQATLAPPAAGKMMKALVLCYSVIAFAFYIPSITGYWAFGSHVQSNVLKSLMPDTGPALAPTWLLGLAVLFVLLQLLAIGLVYSQVAYEIMEKSSADATRGKFSRRNVVPRLLLRTLYLAFCAFMAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNIALAPPRRSPMFLANTAIMVVFSGVGAIGAFASIRKLVLDAGQFKLFSNNVVD >ONIVA02G30080.2 pep chromosome:AWHD00000000:2:26602750:26607924:1 gene:ONIVA02G30080 transcript:ONIVA02G30080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAFDAEAGAAAKPPAADAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLTVLTAVGAVTFYEYSLMSRVLEHCEARGRRHIRFRELAADVLGSGWMFYFVVIVQTAINTGVSIGTILLAADCLEIMYTSLSPNGPLKLYHFIIIVAVALAFLSQLPSFHSLRHINFASLLLSLGYTILVSAACIGAGLSKDAPGKDYTLSSSKSEQTFNAFLSISILASVYGNGILPEIQATLAPPAAGKMMKALVLCYSVIAFAFYIPSITGYWAFGSHVQSNVLKSLMPDTGPALAPTWLLGLAVLFVLLQLLAIGLVYSQVAYEIMEKSSADATRGKFSRRNVVPRLLLRTLYLAFCAFMAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNIALAPPRRSPMFLANTAIMVVFSGVGAIGAFASIRKLVLDAGQFKLFSNNVVD >ONIVA02G30080.3 pep chromosome:AWHD00000000:2:26602750:26607924:1 gene:ONIVA02G30080 transcript:ONIVA02G30080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAFDAEAGAAAKPPAADAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLTVLTAVGAVTFYEYSLMSRVLEHCEARGRRHIRFRELAADVLGSGWMFYFVVIVQTAINTGVSIGTILLAADCLEDYTLSSSKSEQTFNAFLSISILASVYGNGILPEIQATLAPPAAGKMMKALVLCYSVIAFAFYIPSITGYWAFGSHVQSNVLKSLMPDTGPALAPTWLLGLAVLFVLLQLLAIGLVYSQVAYEIMEKSSADATRGKFSRRNVVPRLLLRTLYLAFCAFMAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNIALAPPRRSPMFLANTAIMVVFSGVGAIGAFASIRKLVLDAGQFKLFSNNVVD >ONIVA02G30070.1 pep chromosome:AWHD00000000:2:26591332:26599834:1 gene:ONIVA02G30070 transcript:ONIVA02G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLKEMKYRRRIGLDERAQCSEQRALDWHALKQDPVELLRKLDELREQITRTCQIVEPPREHRRAGRRALSLLPENPEPPPMPGYHRSRYGGGRYGHGLPPSPYEPLRPEIGERYSRQSSGRYRQYQGRQWDGCGVGHGNYNPSYTCSCPHCLHGQRTAPQEEHIPMARYFAGQHECYRFERSPSVSSDYDRRSVASSLYSHRSVSKKRAEYFRKKAEHLCRPVYGAAPFVVCSSCYQLLQVPMEKCMGRNRLQCGSCSQIVSLKREEKVIPFSPSASFCVPKIEQGSNDQTRRDFEHQLNEFANSAFYNLNEHSSMQINIDFGDDHSVSSSISHDRTEKGCGSGRSIQLKTDGLLLSPSRSGDIESPKDILCERDAECQVEPSDARVSPCSPVLEDKLVDPLCSQEKDNNSEDLGMANISDVNCKGEHKVNDDDDGSLSMGSEQKRKECDEDSLVDESMCKTHEQKSKDDHSSPEDVSKTHEFDSTKDNISSAVDGNEKHEFESKKDDTNSLEGESLNKEHEQKSKEDENSGLEGENVKKGFDKNNKESENSALEDANAPLEDTRNASDAASLSEISEEKKTEEENGSLDQPFVEDGNAFAESGGSSFNERTNSGFSRGSSETALEEDQPSTGKSGDSSFFAGFLKKGFKDLSLFNQSMDSVKVSINGHPISERALKKAEKKAGPVEPGSYWYDYRAGFWGVMGRECIGIIPPFIREFNYPMASNCASGDSGVFVNGRELHQRDLDLLVGRGLPRISGKSYSVEISGNITDEETGKKLRSLGKLAPTQQLKY >ONIVA02G30070.2 pep chromosome:AWHD00000000:2:26593632:26599834:1 gene:ONIVA02G30070 transcript:ONIVA02G30070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLKEMKYRRRIGLDERAQCSEQRALDWHALKQDPVELLRKLDELREQITRTCQIVEPPREHRRAGRRALSLLPENPEPPPMPGYHRSRYGGGRYGHGLPPSPYEPLRPEIGERYSRQSSGRYRQYQGRQWDGCGVGHGNYNPSYTCSCPHCLHGQRTAPQEEHIPMARYFAGQHECYRFERSPSVSSDYDRRSVASSLYSHRSVSKKRAEYFRKKAEHLCRPVYGAAPFVVCSSCYQLLQVPMEKCMGRNRLQCGSCSQIVSLKREEKVIPFSPSASFCVPKIEQGSNDQTRRDFEHQLNEFANSAFYNLNEHSSMQINIDFGDDHSVSSSISHDRTEKGCGSGRSIQLKTDGLLLSPSRSGDIESPKDILCERDAECQVEPSDARVSPCSPVLEDKLVDPLCSQEKDNNSEDLGMANISDVNCKGEHKVNDDDDGSLSMGSEQKRKECDEDSLVDESMCKTHEQKSKDDHSSPEDVSKTHEFDSTKDNISSAVDGNEKHEFESKKDDTNSLEGESLNKEHEQKSKEDENSGLEGENVKKGFDKNNKESENSALEDANAPLEDTRNASDAASLSEISEEKKTEEENGSLDQPFVEDGNAFAESGGSSFNERTNSGFSRGSSETALEEDQPSTGKSGDSSFFAGFLKKGFKDLSLFNQSMDSVKVSINGHPISERALKKAEKKAGPVEPGSYWYDYRAGFWGVMGRECIGIIPPFIREFNYPMASNCASGDSGVFVNGRELHQRDLDLLVGRGLPRISGKSYSVEISGNITDEETGKKLRSLGKLAPTQQLKY >ONIVA02G30060.1 pep chromosome:AWHD00000000:2:26585816:26587363:-1 gene:ONIVA02G30060 transcript:ONIVA02G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKQEGVVERGGDGKVVWDMGSSLYDSYELASLCRILDRHIGTDLPSLHGEPRQEGLAAGAPPPPPTERNGQQVVVLRDDRRGRTGTGRKVTLRTLFRAAASWAIRQRKAHDCACVGAATTGAIQPVVSPGRPPLAAFPATDGGGGGGLARYCGGGDVRCRCATAGTGETLETIATRLRRREAYTNCAAFAVSGIVTAPVLRYCDLTSGEGKSRARGGGKSRPPLYIHLVTGVVGLALIFKSSL >ONIVA02G30050.1 pep chromosome:AWHD00000000:2:26578609:26582425:1 gene:ONIVA02G30050 transcript:ONIVA02G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQLGEAVGSINALMAFEADLRINPRQCRLLADACARALAAVTGQVRAQLRFDERGAKWRAIEAPLRELHRAFRDAEAYVRQCLDPRGSWWARAAAMAHGTECVEQHLHNVLWCVAVALEAIDAAGEIAGSDPDELARGRLVLARKYDRDMLDPKLFEHAFGKLYLVSQELVARMDMAWKEDRWVMSQMFDEMKGPAASKPLSKNEHRLAELLAAAMGKLHPASVLLGSDYSIRRRLGGRLKEVHWMGESFAMKHFIGDTDAAGAEVALLCSVAHPNVAHAAYCFHDEEKKEYFVVMDQLMAKDLGSYVKEVSCPRRRIPFPLVVAVDIMLQIARGMEYLHAKRINHGELNPSNVLVKPRQPDGGYVHVKVARYGQPAGITAGGAKASANGNANGNDNSCIWYAPEVLRSDGVADAAAAGRCTEKADVYSFAMICFELLTGKVPFEDNHLQGDKTSKNICAGERPLFPFQAPKYLTALTKRCWHADPAQRPAFASICRVLRYVKRFLILNPEQQQQQQGQTDDAPKPAVDYLDIEAQLLKKLPAWQRGGEAPRVADVPFQMFAYRVMEREKAAGAVHVAKDRASDSGSDGNSLYGDENGFGAMSPEHTFSAVSNGNLRSRPASSDGRLPTAKKADGKAPRQAGPQPKVKPVNTAARTPQSARRALGVKPDDHLQTNGAPTARRRTPEMASE >ONIVA02G30040.1 pep chromosome:AWHD00000000:2:26572405:26574408:-1 gene:ONIVA02G30040 transcript:ONIVA02G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT5G53310) TAIR;Acc:AT5G53310] MDRFRPLRRIQVDPEPAAAAPPPPAAAAANGGSGEDVSAAPAAGLLMASRVRRRSAVYRDCKGDYIGVPNDPCLTKILSKQGDNKVLFADKVLKFTQSGKMKRRILVITDFALYLVDPDADILKRRIALAAVDKLCISKLSDNFFAIIVPTEYDCLMASTRKKEIVDIIIKAIKSNSEYQPQVASSNRFEYHAAAEVIKEVEFEEAEGGVKTRITHKAKAKS >ONIVA02G30030.1 pep chromosome:AWHD00000000:2:26554782:26556734:-1 gene:ONIVA02G30030 transcript:ONIVA02G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASCGLGFGDSINSLDTSRHWSTVQHTSGLKATTIDYSTYSSFSIASSKTLKRKRSAMAGPEGTGNPLLTLGLGHSPSSSDNSKVSSATAYAMSPSSLKEADEESSADLGLNFELCLGNDMVHCQKKSPVGAENSPLTNSHKLDLQLSLSTGSPESAVTNTNMLSPIIHGGLEIPVTNCSPAIIGEGSVPCTWVFEKSVISSSYASEATYTFPFSKVPKTGDAAMSSPVISSTLVTSMKSPVACTSGSINPQQRNSITKNCQFPGCVKGARGASGHCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCQFLGCTKSAEGRTDHCIAHGGGRRCSHDGCSRAARGKSGLCIRHGGGKRCQKENCIRSAEGHSGFCISHGGGRRCQFPECTKGAQGSTKFCKAHGGGKRCTFSGCNKGAEGSTLFCKGHGGGKRCLFQGGGVCPKSVHGGTQYCVAHGGGKRCAISGCTKSARGRTEYCVRHGGGKRCKFEGCAKSAQGSTDFCKAHGGGKRCSWGQVDSNFGVGAPQCDKFARSKTGLCSAHCALVLDHCVRGGTLGAATFQFATDAKFDEMEVTPVKGDPHAQASSDDQSHLGGAHPPAVPAITSDRFSEGRVHGGGLLALLSRGGNHANAGNSKNGPSTMMTWE >ONIVA02G30020.1 pep chromosome:AWHD00000000:2:26547169:26557790:1 gene:ONIVA02G30020 transcript:ONIVA02G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl transferase family 1 protein [Source:Projected from Arabidopsis thaliana (AT4G01210) TAIR;Acc:AT4G01210] MGSLEDGGGAGAGAGAGAGGYKRGHPPPPSPLLRSVVGPTRRGSARSRVARFLLFEKVDYLQWIGTAAAFFFVTILVVAFLPGSAVFERPTMLLPSRRAGGGRGGGAEALLPRGLGVVETGEGVALEPTRLRERWARERREEADGLAKLGSPVRRFGVRKPRLAMVFGDLSPGAMQLQMVSVASVLEAMGYEMKVFTFKDGPCTNIWRTIGVTVDLLPEDTDLHISVDWLDYDGILVNSIEARPEPFKSIPVIWNVQESSLAHRISEYNSSGMIQILDGWKEAFSRANVIVFPNYVLPVMYAAFDSGNYFVIPGSPAVPFQDRISAKSYDQDVRVSMGLSPSDFVISIVGSQFSYGGFLMEEALVLQAVGSLLQQYPSENSTQLELKVRILAENVTEKHRMALEAVSLNVGFPRGAVEHVASEDKDNLLGISDLVIYGSCLNEQSFPSVLVQAMCLEKLVIAPDLEIIRKYIDDGMNALLFPSKNIGKLTQVLLQAVSNGKISVLGKKIASAGKVHAKNLMASETIEGYAVLLENVIKFPAEVLTPLTAGEIPVALKQEWKWHLFEDVKHLYHMNGTLAGYNILQKLEEKWRSNQMEDHHSNASKIDDTFSLMAWEEERADEIANIKKRLEEEELKERSEQPHGTWEEVYRNVKRVERMKNDLHERDDKELERTGQPLSIYEPFFGEGTWPFLHRSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQSWRVTARKANLSKKAETALLEAIQTQKHGDAFYFWVRMDQDERNLASQDFWSFCDAINAGNCRSAVLKAFQRMYGVQLDDDLHTLPLMPNDGDTWSVMQSWVLPTRSFLEFVMFSRMFVDALDAQMYDKHHETGHCILSLHRDQHCYSRVLELIVNVWAFHSSRRMVYVDPETGAMQEQHLLNGRRGQMSIQWFSLATLKSMDEDLAEEFDEDHPDRRWLWPKTGEVFWQGVYERERNMRQQEKERRKQQSKDKIQRIKKRARQKTLGRYIKPPPEDAGSLNDTRTVDR >ONIVA02G30010.1 pep chromosome:AWHD00000000:2:26539552:26542697:1 gene:ONIVA02G30010 transcript:ONIVA02G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase [Source:Projected from Arabidopsis thaliana (AT3G14930) TAIR;Acc:AT3G14930] MPGPSPLAHEATRARRRNPHQQLLLLHPNPNSRPQAGLDKQNSHLSPRREVAATAMISATATAAFLAAAPASSSSCTTHRPRSRLPAISASLATASSTEEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSETTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELTPIDFEKLRFVGESLKILRTEIDGQAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTAPNVLRGLLSHLADAISEYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVNKIKIECPNVPLVLYINGNGGLLERMTDTGVDVIGLDWTVDMADGRRRLGNKISVQGNVDPAFLFSPLPVLTDEIHSIYP >ONIVA02G30010.2 pep chromosome:AWHD00000000:2:26539552:26544085:1 gene:ONIVA02G30010 transcript:ONIVA02G30010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase [Source:Projected from Arabidopsis thaliana (AT3G14930) TAIR;Acc:AT3G14930] MPGPSPLAHEATRARRRNPHQQLLLLHPNPNSRPQAGLDKQNSHLSPRREVAATAMISATATAAFLAAAPASSSSCTTHRPRSRLPAISASLATASSTEEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSETTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELTPIDFEKLRFVGESLKILRTEIDGQAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTAPNVLRGLLSHLADAISEYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVNKIKIECPNVPLVLYINGNGGLLERMTDTGVDVIGLDWTVDMADGRRRLGNKISVQGNVDPAFLFSPLPVLTDEIHRVVKSAGPKGHILNLGHGVLVKTPEEAVAHFFDVTRSLRYDTLFQGCVTEVLEPVA >ONIVA02G30010.3 pep chromosome:AWHD00000000:2:26542843:26544085:1 gene:ONIVA02G30010 transcript:ONIVA02G30010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase [Source:Projected from Arabidopsis thaliana (AT3G14930) TAIR;Acc:AT3G14930] MVYHRSFVCKLCCSFCWPCLCRNITIRDQVNSILFITLNSCIPSSIHKTKTKRHATWFYKKSQSRFKVFCPFVFLEYVASVFIQVWN >ONIVA02G30000.1 pep chromosome:AWHD00000000:2:26537597:26538512:1 gene:ONIVA02G30000 transcript:ONIVA02G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCSGLWEAAPDEAARGGQRMQRRRREKGARGTPTLENTVPTPSSRKLEASASWANQPTPPRWLSSASAPPPSWAPPPPPELAKSGYCKAAVTRSEGRMGLDCGDSRRCDESNGWELHGADAREGADSARLPALAGDVDASAYEHAAPHRSQLANSQ >ONIVA02G29990.1 pep chromosome:AWHD00000000:2:26528804:26532670:-1 gene:ONIVA02G29990 transcript:ONIVA02G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTSRHHRAAPEQPPPQPKPKPQPQQQQQQQQQWPRPQQPTPPPAAAPDAAMGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHKATGKRFACKSIATRKLAHRDDIEDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLIMELCEGGELFDRIIARGHYSERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLSKSEDSPLKATDFGLSVFFKPGEHFKDLVGSAYYVAPEVLKRNYGAEADIWSAGVILYILLSGVPPFWAESEDGIFDAVLRGHIDFSSEPWPSISNGAKDLVKKMLRQDPKERLTSAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSDEEITGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEIKQLMEAADVDGNGTIDYAEFISATMHMNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKYDMGDDKTIKEIIAEVDTDHDGRINYQEFVAMMRNNNPEIAPNRRRMF >ONIVA02G29980.1 pep chromosome:AWHD00000000:2:26524976:26530394:1 gene:ONIVA02G29980 transcript:ONIVA02G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQAWAAGLGGLAVAGVGEEGGGGGPAPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEVSTLELKVACLNQQVLTCQTYTDKEGIRQQQMTGTATRHHKHYIVPTLANKRMQAFSEMQTDADIDSRPRPYPSAKTLFWHLASEKNSKTNGARQSEFVLEETKATKPASRGKEPSTSPLPKHLQTNLASSDFAMHNVGMKDQPGVRHLSSFSSFDNPRGRQIQKAPLRTKSMLAAFFVKHKSGKMKNVSVR >ONIVA02G29970.1 pep chromosome:AWHD00000000:2:26520714:26523700:1 gene:ONIVA02G29970 transcript:ONIVA02G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLVAGGQRACGGGRREGEEDCAARGTTVPAPSGEGADVGKDDGGRRMARRRGRRSEAPSLSSLPPPCTPPPYRPRRLPPLLSARGIRRRQPGPPQRSSPCVASSSSPYPRRCRLLANARVGSPRSRVVASTAVASSSPHRPPPYPPPSRPLPPPPREASTPPGRPSPLPLQIPKQIKIAYR >ONIVA02G29960.1 pep chromosome:AWHD00000000:2:26514355:26515842:1 gene:ONIVA02G29960 transcript:ONIVA02G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT1G80080) TAIR;Acc:AT1G80080] MVASALSATAAAAAVVVAVVAVLVAVCRGEFTVVVPDSSSSAALVNAPQTGLSDRARTDPAEQRAVQEVMAATGNGWASGIADVCRGRWHGIECVPDRGEVYHVVSLSFGALSDDTAFPACDAARATLSPAVLALPHLRSLFFYRCFTANPQPVPAFLGRLGPAFRSLVLRENGHVGAIPPELGNLTALRVLDLHGNNLTSAIPATVQSLAHLQLLDLSYNQLAGEVPPFKFQHLSILDLSHNALQGGVPASLGQCRSLLKFDLSQNRFAGTIPDALGDLSDLILLDLSHNALSGPIPAALGRLSSLRSLILGDNRMQFTTVPGDIFAGLRALTTLVLSGMGLEGSLPESIGELGHLRVLRLDNNEFTGVIPASFRRLERASELRVDGNRLVGPIPFGKEMMWRLGKKLRVGGNEGLCYDAKQQGLEGVVALAGVADCDSVRSRTTQHLGGRLRNTGGLPAAAAAAPSVGVGAGVCVGSWHVFVGVLVSLHLARL >ONIVA02G29950.1 pep chromosome:AWHD00000000:2:26484757:26485205:-1 gene:ONIVA02G29950 transcript:ONIVA02G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIFTIWKTYYQQTKQHVHIISRIISSRLLSVRRVLQKHKAGTQRRHEMLEFPCLPLRKNTIRTE >ONIVA02G29940.1 pep chromosome:AWHD00000000:2:26477234:26480118:-1 gene:ONIVA02G29940 transcript:ONIVA02G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHAGAVQLQYFMPQQGAAAAAAADHHQADSATACSASTSPAAAAATMWEYHHQLSTHAALQPSSSFPYSYWSPYSGSTALAGSAFAADSSSSSTDVMRLPAAGEHAHGHGWSHGELSNSTTGGGYRENFLDLLASKNVTPEMFEEVPASEHYNVAPAGTTLTTTARSFDHHARSDVSPIKYEIAGSPLYLGGTNTVLQVQDMTMMSSTPACYGEHHHHHHHQLTKEGSCNHQQQEQHELAISPMASFLQQISSGSASVGVHNSSLDYSGLGDQPDKICCQDGREMEASPFGMRSLPDLGSFAGYTPAIESTSVQPYMRCANSSDSNRQEQETVPARSSSSGSGAAATDRKKRKSEERQESTVKKSKQEASKASPPKQPVPKVKLGEKITALQQIVSPFGKLLSEPYTNSSRSNKQGNSVPWGDQAEASKGETMEHDLRNRGLCLVPVSWTPEVYRDGNAMDYWTPAYRGCLYR >ONIVA02G29930.1 pep chromosome:AWHD00000000:2:26473045:26474121:1 gene:ONIVA02G29930 transcript:ONIVA02G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGWSDLPSELLSEIAGGLVELGDIARFRSVCSSWRAAAGGAAAAPPPQPPWLLLPSSPSRLFFCPREDRLYPDLRMPALTAEAHHRRRRRLYASPHGWTLAIDPTDLAASLLHPFTGAVCALPPLPAFFAETDDLAWDWSPHGVMASCGEGLLFYASDPPAAAWAPIPAMADCNASSINYSGGEFFVFEEDVCRTTIVDALTLAIAAVIPAPAVELPSEARIAVAGDELFLLVKSKWMYLFGDDIDFSKVFRVDHRSVDSAWQELTGIGGRALFVDSLHGFAMETAGFENLEGNTIYSVTTKEVNDRRSTTVKYSVSVFSLEHRSSKKLACRLNKLEMALRGETPSWIIPSLNEG >ONIVA02G29920.1 pep chromosome:AWHD00000000:2:26452827:26458166:1 gene:ONIVA02G29920 transcript:ONIVA02G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPGKTGRRDAGGTAPAVEDRWTQLPPELLPLICKKLPDSADFVRFRTVCSAWRDAAPLSDVPPQLPWVVERRGSAFQARAHFRFYSPSSGRTYGVRGYGGRSWLVMGGACQEHLVTTVDLSTTALYNPLTGERLALPPAPYPQWRHGVVHVVADGRRRGGAPLVVNASTRTGHFGYCRQGDTKWTLVDGRQDMGHRAYHGGRFYVNTNAQETLVIDASTGAVESVLPPPPRSADAGAGVSCGDYLVESRGKLIRAVLFPRDGVVATSAEDYYLNVYQLQEDGKAAAAWAKVESVGDSVLFFDKHGHGFSLEPNGAAELKRDCVYFMHEKRTWLDAGEYRFLCRYNMETGEVDRVVSLPDTFGDSWEHGKATTVWAKVGSIEDRGHGFALEPNDASGPRWDCVYFMHEKRTWLDAGKYRNRFLSQYINVYELEEHEEAATMWAKVESVRVRMLFFDKYMHGFSLEPNDTSELRLGVLHTKKCA >ONIVA02G29910.1 pep chromosome:AWHD00000000:2:26449013:26450281:1 gene:ONIVA02G29910 transcript:ONIVA02G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNEASSSSSRLLDPAPLLPHHGGDGAGKLSSQPKTFANVFIAVVGAGVLGLPYTFSRTGWAAGSILLLSVAALTFYCMMLLVACRRRLADEHPKIASFGDLGDAVFRGPGRLAVDTMLVLSQASFCVGYLIFISNTMAHLYPVFAPSSNALLSPKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGEDVSVWLAKPPPVFAFGGLSAILYGIGVSVYAFEGIGMVLPLEAEAANKKKFGTTLGLSMGFIAVMYGLFGAMGYIAFGDATRDIITTNLGTGWLSAAVQLGLCINLFFTMPVMMHPVYEVAERLLHGKRYCWWLRWLLVLAVGLSAMYVPNFTDFLALVGSSVCVLLGFVLPASFHLKVFGAEMSWPGVLSDVLLVLLGLSLAVFGTYTSLLQIFHSSST >ONIVA02G29900.1 pep chromosome:AWHD00000000:2:26437539:26448817:1 gene:ONIVA02G29900 transcript:ONIVA02G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATDDNPMTLEVADYVTAIKLLKIKHLSEPGTEEEASGWPPASRPPEGRRQEQWRRGARVGEARVVRPCEGAALPLASGDIGVRSEERWRGETVGNRVGLCASRRNGRLLANERMDHLLYDSMILSPSLSGKLFSSADRIGTAHTPDTLPCGPMRRTDSNVPMDQVQDIFGGMARFPLFYKKGLLATAAIIRSSIPRRP >ONIVA02G29890.1 pep chromosome:AWHD00000000:2:26436889:26437248:1 gene:ONIVA02G29890 transcript:ONIVA02G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAATVAAASSALLLALLLALAWGAAAGAGEVPLGWELGVGGGGGSGDDDGFGFSGAAADGAAVVRRVLQGRGYISYGALRRDTTPCSVRGASYYNCRPGGQANPYSRGCSAITRCRG >ONIVA02G29880.1 pep chromosome:AWHD00000000:2:26433195:26435406:1 gene:ONIVA02G29880 transcript:ONIVA02G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKADASKKGEGRLKAAGGAGKRKKAAASGKPKRPPSAFFVFMSEFRQEYQAAHPGNKSVAAVSKAAGEKWRAMSEQEKAPYVDKAGQKKQDYEKTKANFDKKESTSSKKAKTHDDGEGSDKSKSEVDDDQDGGSDEENEDDEE >ONIVA02G29870.1 pep chromosome:AWHD00000000:2:26423195:26425673:-1 gene:ONIVA02G29870 transcript:ONIVA02G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSDDGEVEAVKVMARHALPQAAMARPVMVAIAQPNARVAMSPGRPPTGKLPSQATATSTGGGRSVAGGGGRTNAGGDASAEGRILEAPNLRIFTFAELRAATRNFKADTVLGEGGFGRVHKGWVDERTMSPARSGSGMAVAVKKLDPESLQGVQEWQSEVNFLGRLSHPNLVRLLGYCWEDKELLLVYEYMAQGSLENHLFRSEPRKGGSASPQQPLSWSLRLHIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTQFHAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLELLTGLRALDAGRPSGQHHLVDWAKPFLSDRRKLARLMDPRLEGQYSSRGAQRAAQLTLRCLAADHKNRPSMREVVAVLEEIESMSRGGSGGGAPGSASPRPAARGGGGGGAHGYGQSPRPGSDWAGPAAGHPSPRVR >ONIVA02G29860.1 pep chromosome:AWHD00000000:2:26416186:26419633:-1 gene:ONIVA02G29860 transcript:ONIVA02G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPVLFLPLMAEYAAPTWAILISGFFMLLSVSLSMYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEERTIAFLKREGGGDSGEPLLHGASEKGIIHHHFPVNYILKPWRMGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAGVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIGIMIAIMYSLGLVRSPLAQSLELKSSIQDFIICIEMGIASVVHLYVFPAKPYLLLGNHRSPENISVLGDYAATDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKKGKFGQSRDDNWLVLWTVGKAATRHQMGMSYGDAAGKSRNHDILLYRSYGIRAGLLTRWCGQSIVSAEFHKLCHASRGQV >ONIVA02G29860.2 pep chromosome:AWHD00000000:2:26416186:26419633:-1 gene:ONIVA02G29860 transcript:ONIVA02G29860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPVLFLPLMAEYAAPTWAILISGFFMLLSVSLSMYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEERTIAFLKREGGGDSGEPLLHGASEKGIIHHHFPVNYILKPWRMGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAGVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIGIMIAIMYSLGLVRSPLAQSLELKSSIQDFIICIEASKEYRLFFITCKLISVKRVLGLNSPTMGIASVVHLYVFPAKPYLLLGNHRSPENISVLGDYAATDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKKGKFGQSRDDNWVSTSTPQRAIHGIDDPLICGSSSDSGIGRGKRHRRDVSSAGVVDSWEGSDQTSDGCGQSIVSAEFHKLCHASRGQV >ONIVA02G29860.3 pep chromosome:AWHD00000000:2:26416403:26419633:-1 gene:ONIVA02G29860 transcript:ONIVA02G29860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPVLFLPLMAEYAAPTWAILISGFFMLLSVSLSMYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEERTIAFLKREGGGDSGEPLLHGASEKGIIHHHFPVNYILKPWRMGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAGVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIGIMIAIMYSLGLKSVGTELGVKVKHSRFHHLYRVLGDYAATDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKKGKFGQSRDDNWVSTSTPQRAIHGIDDPLICGSSSDSGIGRGKRHRRDVSSAGVVDSWEGSDQTSDGYVIRGRRWEIKKS >ONIVA02G29860.4 pep chromosome:AWHD00000000:2:26416186:26419633:-1 gene:ONIVA02G29860 transcript:ONIVA02G29860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPVLFLPLMAEYAAPTWAILISGFFMLLSVSLSMYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEERTIAFLKREGGGDSGEPLLHGASEKGIIHHHFPVNYILKPWRMGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAGVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIGIMIAIMYSLGLVRSPLAQSLELKSSIQDFIICIEMGIASVVHLYVFPAKPYLLLGNHRSPENISVLGDYAATDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKKGKFGQSRDDNWVSTSTPQRAIHGIDDPLICGSSSDSGIGRGKRHRRDVSSAGVVDSWEGSDQTSDGCGQSIVSAEFHKLCHASRGQV >ONIVA02G29860.5 pep chromosome:AWHD00000000:2:26416403:26419633:-1 gene:ONIVA02G29860 transcript:ONIVA02G29860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPVLFLPLMAEYAAPTWAILISGFFMLLSVSLSMYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEERTIAFLKREGGGDSGEPLLHGASEKGIIHHHFPVNYILKPWRMGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAGVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIGIMIAIMYSLGLVRSPLAQSLELKSSIQDFIICIEASKEYRLFFITCKLISVKRVLGLNSPTMGIASVVHLYVFPAKPYLLLGNHRSPENISVLGDYAATDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKKGKFGQSRDDNWVSTSTPQRAIHGIDDPLICGSSSDSGIGRGKRHRRDVSSAGVVDSWEGSDQTSDGYVIRGRRWEIKKS >ONIVA02G29860.6 pep chromosome:AWHD00000000:2:26416403:26419633:-1 gene:ONIVA02G29860 transcript:ONIVA02G29860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPVLFLPLMAEYAAPTWAILISGFFMLLSVSLSMYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEERTIAFLKREGGGDSGEPLLHGASEKGIIHHHFPVNYILKPWRMGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAGVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIGIMIAIMYSLGLVRSPLAQSLELKSSIQDFIICIEMGIASVVHLYVFPAKPYLLLGNHRSPENISVLGDYAATDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKKGKFGQSRDDNWVSTSTPQRAIHGIDDPLICGSSSDSGIGRGKRHRRDVSSAGVVDSWEGSDQTSDGYVIRGRRWEIKKS >ONIVA02G29850.1 pep chromosome:AWHD00000000:2:26411496:26415843:1 gene:ONIVA02G29850 transcript:ONIVA02G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLEQQEAVALCRGRADLLAAAVRHRYALAEAHAALADSLASMSASLHLVLAPAVAAGAAVALPSARKDVDAAAEAAASPPHSSSHINFAPSSGSELGSVSSSPSRRVAAGHEQLYQPSALPFPHYAYGYGYAPEPPFGYPPGSLQLYYARSRPPPPSVAVEQRAPASERVYFGSFEPAQYHPYGGETRRADRAAAPPPSPPRASSWDFFNVFDNYEVYDNYCYDAPGTGATTPAPYTPSRSSREVREEEGIPELEEDDAVVKEVSSEYSAHGSGGARSRRSSIGGVSSSIAEVDEEENPVVDKGVVGGGVARQQTPAHGNVAASVPTPRRAADGADVAGEIKAQFVRAADAVRALAPILEVGRRSYHPRSSVYHVSSRMVSVIALPHSGYGGSDLLDVGGGEKVVGARSLSLTLQKLYIWEKKLYDEVKAEEKMRLLLAKNSKRLKFLDQKGAEAPKIDATRNLVRKLSTKIRIAVRVIAKVSKKINRVRDEELWPQVNTLIQGFVKMWQDKLNCYHIQCQAISEAKNLDSIISGGTSRDLAMELELELIKWIVNFSSWVNEQRSFIKALNGWLALCLNYQQEETADGVPPYSPGRVGAPLVFVICNSWSQAMDRISEKEVITSMQALVSCVRSLWEKQNVEQTEQLIAIREREKWNKILERKTLEINKEADTLNRKLALVPGRQSLLPTAQTYQVHFLEANSVQVSLKRVLEALESYSSNSLRALDETLRHAEEERLSRERAKGVPHGYFSWSTSQEL >ONIVA02G29840.1 pep chromosome:AWHD00000000:2:26400118:26400885:-1 gene:ONIVA02G29840 transcript:ONIVA02G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKMLATSNLSSSNPVVDEEVKPISPPPRKEEEEEEPISPPQPLKREIWQEKARDGDGSVRLEIEEIAAGDRRHETRKRKNHLGARAPIASRIPSPSFTTAHPRRDVHAPCRWWWWQRRPPQWRKRQARGGARRRQRRRRPSLAPASSPPCSLVAALLSFPPVGWRRWPAQNPREKSERDREREEKGREERKERVG >ONIVA02G29830.1 pep chromosome:AWHD00000000:2:26396232:26399863:-1 gene:ONIVA02G29830 transcript:ONIVA02G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWAFLLGPILSVSYSSHENPNDAAFPFSSLPGNPGRRRPATSPPSRRLGAIEEGGGGDTGGGKGDIGEEFASLLLPSYPTEAHALEVVVCVIWELRFGLPMESDEDAKKGYADFEERVKRTIYIDHLSPQVTSSVIEAAISQCARVVNVDFIVNYTIPYDIPSAALVELDDEIQAKAAIDLMNNFPFIIGGKPRPVRAIYAKHEMFQDRPPCPGIKKEFQWVKQEDGIEYEGMNKLRLLARRQETENMALIKNLLEEDKDLAKQQQELLDGIYKKYCILDSDVMNDIKNLSRRYGVNLAGD >ONIVA02G29830.2 pep chromosome:AWHD00000000:2:26396232:26399863:-1 gene:ONIVA02G29830 transcript:ONIVA02G29830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWAFLLGPILSVSYSSHENPNDAAFPFSSLPGNPGRRRPATSPPSRRLGAIEEGGGGDTGGGKGDIGEEFASLLLPSYPTEDAKKGYADFEERVKRTIYIDHLSPQVTSSVIEAAISQCARVVNVDFIVNYTIPYDIPSAALVELDDEIQAKAAIDLMNNFPFIIGGKPRPVRAIYAKHEMFQDRPPCPGIKKEFQWVKQEDGIEYEGMNKLRLLARRQETENMALIKNLLEEDKDLAKQQQELLDGIYKKYCILDSDVMNDIKNLSRRYGVNLAGD >ONIVA02G29820.1 pep chromosome:AWHD00000000:2:26390854:26394559:1 gene:ONIVA02G29820 transcript:ONIVA02G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGFRRTISFPAPKAATAAKGEAYRIRSASLPCRFHPLVVQLDEDVATMRELVGRLASAASAGSVAGAAEQLGRVLVSLSELLHHPQAQEPLRRLGRSPFAERLLDDFLRLADAHGSFRAALVALSALQAEARAALRREDPARLASAARALRRSGRDLPRIASSARAVAAKPPPPPPAGLPADGTALAAAIADATAAVASASAAVFSGVSSLSIAAATARVEVAATPCWMPSPARFTTPSATPRHHIITTKPSSLRIWWVADLMRWMSRAKRRSASKQHADSDASSSSTSSAATARPQPNVAVDPDERERKAAFERLDNLGRCIADVESIGEKVFRALGMAFYEIKPRVQKGALRVHSSCGRSRVSTLCVCEPEVPGSPDVAMYGIEFDRLQSRSASTRFRAERGPRPCGFEISRPSGGPSPGVPVALILGGRKLPSCHAVVALEYDHASVQKERWLAGCPTGCVPPVPLAADYAACIFYLSVLAKAIFHICMDSGGMRSYFELGAWVVNSVI >ONIVA02G29810.1 pep chromosome:AWHD00000000:2:26381558:26381844:1 gene:ONIVA02G29810 transcript:ONIVA02G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHQHLSAEREAVDDELRDPRSSGGGDEEDVGSRGCSAQATMEESELAAMRSEAATRLIRVGCVALEVPLEPRRRNTSRPPPRSEAVAT >ONIVA02G29800.1 pep chromosome:AWHD00000000:2:26373479:26374451:-1 gene:ONIVA02G29800 transcript:ONIVA02G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERNTESHQGGEAAEQVEVKDRGLFDNLLGRKKDDQPEEKKHEEELVTGMEKVSVEEPKKEEHHAEGEKKESLLSKLHRSSSSSSSSSSSDEEEEVIDDNGEVVKRKKKKGLKEKIKEKLPGHKDHAGEHAPPPAATGFPAPAPPASVVTAAPTPAPAPVVTHGDHHHDTAVPVEKIEGDHAKTEATLPHAPEEEKKGFLDKIKEKLPGGHKKPEDATAVPPPAAAPAAPATTPAPAHPPPATEEVSSPDGKEKKGILGKIMEKLPGYHKGSGEEDKTAAAATGEHKSSA >ONIVA02G29790.1 pep chromosome:AWHD00000000:2:26366483:26370472:-1 gene:ONIVA02G29790 transcript:ONIVA02G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMLPPIALVAVAICITAVAAAKVPAIYVFGDSTADVGNNNYLTGAAVPRANFPHNGIDFPTSRPTGRFSNGYNGVDFLALNMGFRRSPPPFLAVANKTSNPLFRGLQGTNFASAGSGILDSTGQSIIPMSKQVQQFAAVQRNISARISQQAADTVLSRSLFLISTGGNDIFAFFSANSTPSSAEMQRFVTNLVSLYTNHVKDLYVLGARKFAVIDVPPIGCCPYPRSLQPLGACIDVLNELARGLNKGVKDAMHGLSVSFSGFKYSIGSSHAVVQSIMKHPQRLGFKEVTTACCGSGKFNGESGCTPNATLCDNRHDYLFWDLLHPTHATSKIAAAAIYNGSLRFAAPINFRQLVDDQH >ONIVA02G29780.1 pep chromosome:AWHD00000000:2:26364398:26365790:-1 gene:ONIVA02G29780 transcript:ONIVA02G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHSFSYVLVALCLLGVAAEATQLAPAVFVFGDSTVDVGNNNYLNITKQARANYPKHGVDFTGSTPTGRFSNGYNLADQLAQQLGFPMSPPAYLSLTAKTIVSQMYKGINFASGGSGLGDKTGQGAGDVIPMFQQVQYFSKVVAMMQKLSGSRTTNTLLSKSIFLISTGSNDMFEYSLSGGNGDDREFLLGFAAAYRSYVRALYRLGARKFSVVSITPLGCTPSQRARRLSEDGTRGCYGPINTLSLRSYPTLAASLRDLADELPGMAYSLSDSFAMVSFIFANPRTNAWSFTELESGCCGSGPFGALGCDETAPLCNNRDDHLFWDANHPTQAASAIAAQTLFTGNRTFVSPVNVRELALL >ONIVA02G29770.1 pep chromosome:AWHD00000000:2:26362463:26363734:1 gene:ONIVA02G29770 transcript:ONIVA02G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRPAPGRGGRGGPAAGDVAAVAAATTTREQVRGVGGGEGSKAELVGGIETVDTXGGGGGGGGPARRGGRGGRRRGGGGEGSKAELVGGIETVDTGGDGAVVTPGSCGGEGSIGLRLTGGGTADETGASGGGEGAVVRGDGLDGDDKESGGGGGSGFVGFLASTSSPPLSCQENLRFLHGFGVLELDVGFQAGGCSEVDLALTGMKEMDSPGPTGRFPTSGVGHELGALEEEVEVRGNQRESEGGTERRPEVADGGGGGLLTLAVADALPCSRVVVVAAALLP >ONIVA02G29760.1 pep chromosome:AWHD00000000:2:26340835:26341660:-1 gene:ONIVA02G29760 transcript:ONIVA02G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLIHERDDAMAEAELLLERSRAITLNGRDKRGRAVVRIVGKYFPARALGGRAEAELRGYVRRRVLPEIGEREFVVVYVHSLVDRGDNFPGVAAIRAAYEALPAAAKERLRAVYFVHPGFQARLFFATLGRFLFSSGLYEKLRYMSRLEYLWEHVSKGEMEVPECARQHDEELERRPLMDYGIEATDRRCMFDAASMDTSASLHSLRCIS >ONIVA02G29760.2 pep chromosome:AWHD00000000:2:26340835:26341660:-1 gene:ONIVA02G29760 transcript:ONIVA02G29760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLIHERDDAMAEAELLLERSRAITLNGRDKRGRAVVRIVGKYFPGKLSSASLVRSPKFRCFCRRKSDPVSFVAAARALGGRAEAELRGYVRRRVLPEIGEREFVVVYVHSLVDRGDNFPGVAAIRAAYEALPAAAKERLRAVYFVHPGFQARLFFATLGRFLFSSGLYEKLRYMSRLEYLWEHVSKGEMEVPECARQHDEELERRPLMDYGIEATDRRCMFDAASMDTSASLHSLRCIS >ONIVA02G29750.1 pep chromosome:AWHD00000000:2:26337909:26340094:1 gene:ONIVA02G29750 transcript:ONIVA02G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPASPPHPKRPKMSSSSDPDQEPTSPSAAGADGAQPRAPRYKRRKVAILLGYCGAGYQGMQKNPGARTIEGDLEEALYRAGAVPEADRAAPRRYDWARAARTDKGVSAAAQVVSGRFYVDPPVFTDRLNAQLAPQIRAFGYVRVTNSFNAKKFCDRRRYVYLLPVFALDPSAHPDREAVMASVGSGSELTKCLECSERGRKVPGVMGREGKVPDPALQEEKSVEASREEAAVGSNEDANCDPASTGDRSVDLPIPDNETNTQITEMRSNGAEKCDVQLSGGTLCSEAVPASISETVCPILNSDSNTNSDSVDVDPSFVAEKKGSIETVVTEDGKKQAMDVVTEDEKKQDVDYEGSSLEEMPLRSAFSYTHEVKERFNRILKKYVGTHNFHNFTTRTKAEDPAAKRFIISFAADRVVNLDGIDFIRCEVVGQSFMLHQIRKMIGLAVAVMRNCAPESIYDVAFRKDVNLNVPTAPEVGLYLDECMFTSYNKKWKDSHEAVSMEPYSEEAEEFKLKYIFPHIAAMEHKEGAVALWLHSLNSRNYPDFRYMDNAGTEASIAAAVESVDEGTVPSDNISSVMASYDA >ONIVA02G29740.1 pep chromosome:AWHD00000000:2:26336023:26336241:-1 gene:ONIVA02G29740 transcript:ONIVA02G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTPGLVWAVNVFPRRHRLASTGCSLSGSGNTVFAQAISKSGDCGGRLNCTVPGTPPDGRLWSRAIRAMA >ONIVA02G29730.1 pep chromosome:AWHD00000000:2:26322035:26322511:-1 gene:ONIVA02G29730 transcript:ONIVA02G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCMRKGRTVQLYGPDQSTRHAPAVAWRVSAEIVVVEFNERTTRTCKQLRQTKKKDRHAGSRARTPVGGIYQVSWQMKRRYMLRQSQVSPVR >ONIVA02G29720.1 pep chromosome:AWHD00000000:2:26319827:26320747:1 gene:ONIVA02G29720 transcript:ONIVA02G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGVCARFAPVWGPNVSSCVRLRLGHPHRISRYRRPSHLTLAPPHGCSSEEEGERFCNRRRTGGDILAAPRPQESSPPPLQGPPPQWPAVQLQGPVPYASRFAATVACAAAARPAPSSAPSAAVARG >ONIVA02G29710.1 pep chromosome:AWHD00000000:2:26317150:26320190:-1 gene:ONIVA02G29710 transcript:ONIVA02G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRMAPALQLLRRPRWRRTLKRMAPALATAPPATAVADLAAAAATTPAAAALPGCHHPYGDDYRSARPPPQMNTRAAALALGLGEEEEGAGYAVDLHRLQASWRWRLKRVSGREEAKHAGQLAAVKAELPPDALGALHVEVLDNIVDRLHIYEVVRTSVLSCAWWGCWESLPFVDLTWSPDVAASDVDVILLRCSATDDRYAPFLSRQPQRSSAVWRSRQ >ONIVA02G29700.1 pep chromosome:AWHD00000000:2:26312290:26316881:-1 gene:ONIVA02G29700 transcript:ONIVA02G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAKTDSDVTSLAPSSPPRSPRRSAYYVLSPAASHPDVVVASGGAGGGGGGVAAAEKMSFAGSTPAESPLHYHYHHSGAAVHHSRESSTGRLLFSDQLRSGAAAGVPWRRLAQGSGAGSVGDDDDDEGGLAGAASQWRCYALGAFAFVAVFAFFLLVLWGASKSYKPHVVVKSVVFETYHIQGGTDRTGVPTKMMSVNATVRLRFRNRGTFFSLHVTSTPFHLFYDDLTVATGHVRALSTTPTLAFLLVLLPEFAYAILNQQKGDLEWKRNNTQRQAVASLAIGGHGVHMAEFYQPRRSGRVVTVSVVGKQVPLYGAGAELHSKPNNGRLGPAVVPVRMAFVLRARAHILGLLVRSKFYRRVLCRLDVREASLGKPVHGVAADCEYHDGR >ONIVA02G29700.2 pep chromosome:AWHD00000000:2:26312290:26316881:-1 gene:ONIVA02G29700 transcript:ONIVA02G29700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAKTDSDVTSLAPSSPPRSPRRSAYYVLSPAASHPDVVVASGGAGGGGGGVAAAEKMSFAGSTPAESPLHYHYHHSGAAVHHSRESSTGRLLFSDQLRSGAAAGVPWRRLAQGSGAGSVGDDDDDEGGLAGAASQWRCYALGAFAFVAVFAFFLLVLWGASKSYKPHVVVKSVVFETYHIQGGTDRTGVPTKMMSVNATVRLRFRNRGTFFSLHVTSTPFHLFYDDLTVATGHMAEFYQPRRSGRVVTVSVVGKQVPLYGAGAELHSKPNNGRLGPAVVPVRMAFVLRARAHILGLLVRSKFYRRVLCRLDVREASLGKPVHGVAADCEYHDGR >ONIVA02G29690.1 pep chromosome:AWHD00000000:2:26308609:26314880:1 gene:ONIVA02G29690 transcript:ONIVA02G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLAVLGHLFVAAFMFHFASYMVIPAITDVTMDAVCPGRDECSVAIYLSGFQSAITGMGALVVTPIVGNLSDKYGRKALMTLPVTVAILPLFILACNRSKVYFYVYYVVKVLAGIFCEGSMHCLLLAYVADQVGARRRAAAFGLLSGVSAAGFVSGTLTARFLQTSTTFQVAAAVAAATAIYLRAVVPDSGGANSFVDEACDPFLQGSSCSAATSSSSSSDEEISPRLPPHKGGVPSLSDMVSLLTGSLTLSGAAIVTFFYSLGEHGLQTALLYYLKAQFGYSKDEFANLLLIAGAAGMLSQLTVMPVLARFVGEDILLIIGLLGGCTHVFLYGIAWSYWVPYLSAVFIILSAFVHPSIRTNVSKSVGSNEQGIAQGCISGISSFASILAPLIFTPLTAWVLSETAPFKFKGFSIMCAGFCTLIAFIISMRMRAGQSGASEKLAIVQHEQA >ONIVA02G29680.1 pep chromosome:AWHD00000000:2:26304310:26304702:-1 gene:ONIVA02G29680 transcript:ONIVA02G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAATPVPRSDGRKLARCPRLQMDAKTVTAIEQSTGAAIADAAAAGAEGAGGGMRVKIVLSKQQLKQVAAAVAGGGAFALPPALEQLVSVLKRQHAKKQVAAAADVVVGRRRCRWSPALQSIPEECFS >ONIVA02G29670.1 pep chromosome:AWHD00000000:2:26298506:26298934:-1 gene:ONIVA02G29670 transcript:ONIVA02G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCFFPREAEAGGRHHHHQSKAAAEALEQLHHGGRVLSREDVGGAVRVKIVVSKRELKHMVAALGSGTGGGGAVAAAAAVTAAGERHRQRAAGGAGGPGAEQRLQSLRRRSMRRAAEAARRMQANGEWEPGLQSIPEEVY >ONIVA02G29660.1 pep chromosome:AWHD00000000:2:26284386:26286198:-1 gene:ONIVA02G29660 transcript:ONIVA02G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRCHSMAHFMDLRAFILRARVLKLYRQALRMTRRAPVHARDELRQTVRAEIEKNRRCDDKQKIKFLISEGLQRLKGLDEMLDMTGNISTKN >ONIVA02G29660.2 pep chromosome:AWHD00000000:2:26284831:26286198:-1 gene:ONIVA02G29660 transcript:ONIVA02G29660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRCHSMAHFMDLRAFILRARVLKLYRQALRMTRRAPVHARDELRQTVRAEIEKNRRCDDKQKIKFLISEGLQRLKGLDEMLDMTGNS >ONIVA02G29660.3 pep chromosome:AWHD00000000:2:26284386:26285174:-1 gene:ONIVA02G29660 transcript:ONIVA02G29660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFMDLRAFILRARVLKLYRQALRMTRRAPVHARDELRQTVRAEIEKNRRCDDKQKIKFLISEGLQRLKGLDEMLDMTGNISTKN >ONIVA02G29650.1 pep chromosome:AWHD00000000:2:26282144:26282617:1 gene:ONIVA02G29650 transcript:ONIVA02G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQELWALYVGVASLAIGMLGVLGVWLCYLFQAVARGPPPDPPPPPTPPCTPETEEDDKNGLSEEELRMLGGICVAVAGDGEEEEEEQLCPICLDGMEAGRAVRVLPGCSRAFHQDCVDRWLTISPRCPVCNAWVTTQSPGTSPPPPPPTKPALNS >ONIVA02G29640.1 pep chromosome:AWHD00000000:2:26278643:26281887:-1 gene:ONIVA02G29640 transcript:ONIVA02G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGRESLGPQALSQGPFRGPVLEPLGRKNQYRRVNLERGNKISAEEETRGRETQRGRRGGFRALQPAMAKQGVKKTRDANKKRMDLILRLILAANVIYVVVRMAVMHSSFTWKHWIGLAVTSAAYFLPYKQLANMSEPEYSENGELINAGYDLNAGGMSEYLQDVIYITLFVQLMSIFSDKFWWTYLVIPAYGGYKISGLLRGAFFGGSSEGEEEDEKTRKKREKMEKKASRGKIIKTRTR >ONIVA02G29630.1 pep chromosome:AWHD00000000:2:26276446:26278062:1 gene:ONIVA02G29630 transcript:ONIVA02G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMAMSRALAARHRSHLGHRIEVKSHHASPPRLPLLPRSPGLTLASRPRMLPARPRMSSSESDLSPTPPSERTMTAWDLASLWVGLVVGVPSYYLAGSLVDLGMSALQGVATVAFANLVVLVSLVLTAAPAVTHGLPFPVLARAAFGVRGAHLPAVIRALVGCGWFGIESWIGGRAVFLLLPSRLKSYQPLLAPVPGLGAAPLEFACFLAFWAAQLGVIMHGMEGIRKLEKYSAPVLIVLTSALLAWAYVSAGGFGRILSLPPRLTRAEFWKVFFPSLTANISFWATVAINIPDFARYARSQADQVLGQAGLPVFMGMFTFAGLAVTSATEAIFGHVISDPIELLGRIGGPVTTVLAIVGISLATITTNIAANVVAPANALVSMSPRKFTFAKGALVTALLGIAFQPWRLLSSSESFVYTWLLGYSALMGPIGGIILADHYIVRRTALDVDALYSEDSHGPYYFQGGFNVAAMAAMAAGVAPIVPGFLHNVGVLPSVSKAFETAYNNAWFVSFFVAGAVYCLLCRRNRNELKHQHD >ONIVA02G29620.1 pep chromosome:AWHD00000000:2:26272425:26273018:1 gene:ONIVA02G29620 transcript:ONIVA02G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGSTTRRPRPTTSKCIAAALLAIVVLLVIIVILWLTVRPAKPLLVYVDHAAVTGFNFTSGGSLNGTFDITLRAYNQNKRAAVWYKSVEVGVWYGGTYLAGAVAPGFDLPPLNVKRIDVAAPAVRAALPRGVEAIVKKDRSDGKLPVDVHIKGKVRFRYGLVRTRRYKLRASCSPVVVDFLSPSSFDRVNCHVHI >ONIVA02G29610.1 pep chromosome:AWHD00000000:2:26262917:26264515:-1 gene:ONIVA02G29610 transcript:ONIVA02G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTWAVVVAVAAAYMAWFWRMSRGLSGPRVWPVVGSLPGLVQHAENMHEWIAANLRRAGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHAVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHHRLLPILDDAAAGKAHVDLQDLLLRLTFDNICGLAFGKDPETLAKGLPENAFASAFDRATEATLNRFIFPEYLWRCKKWLGLGMETTLASSVAHVDQYLAAVIKARKLELAGNGKCDTVAMHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKVVHELCAVLAASRGAHDPALWLAAPFTFEELDSLVYLKAALSETLRLYPSVPEDSKHVVADDYLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGSKFEPHDSYKFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAQGHRVEQKMSLTLFMKNGLRMEVRPRDLAPVADELRGADVRATAPCA >ONIVA02G29600.1 pep chromosome:AWHD00000000:2:26250175:26259871:-1 gene:ONIVA02G29600 transcript:ONIVA02G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWGKSSSKEVKKTAKENLIDTFHRLLSSNEQKGRTKSRGNRRHSKDPTAEKGCWSTAQSRSASPSKEVSRCQSFAAARAHAQPLPLPRSRAMVARTASDITESKVVLEKRGKGQQLPLPTTNWVKERPETTEPVAELSTASISSHGSIDSDDPGDLRLQGPVANDTDNVAKVATTGNSSVVHKECSSAITRKGTKEVTMPTNAFLSNQILSTSPRGTVVADSYQSNLQNSRKVVLDSAPNSVMSSPSRSPRILCPDQIPSSAFWAVKPHTDVTFVGSAQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRAGGMAPESPTRRLDEGKRKQTHRLPLPPLSICNNSTFLPNNSTPTSPISHSPGRVENPTSPGSRWKKGKLVGRGTFGHVYIGFNSDKGEMCAMKEVTLFSDDPKSKESAKQLCQEILLLNRLQHPNIVRYYGSEMVDDKLYIYLEYVSGGSIHKLLQEYGQFGEPAIRSYTKQILLGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCAFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAVFKIGNSKELPPIPDHLSEEGRDFIRQCLQRDPSSRPTAVDLLQHSFIRNASPLEKSLSDPLLQLSTTSCKPDLKVVGHARNMSSLGLEGQSIYQRRAAKFSSVHSDIHVRSYISCPVSPCGSPHLRSRSPQHQNGIMSPSPISSPRTTSGASTPLTGGNGAIPFNHARHLAYNNEGFTITSRCLDEPLPNQPPDPVLGRFVRVKQPSLGFQERAVPEADILSPQFGRMGHVSVWNLHDKPLPSEHASQKGFEDRVKLKPPLDLRSGPPHLGCNHEADRLNKGKCKNWMREIDLNETFEEAGALARFPLKSSSC >ONIVA02G29600.2 pep chromosome:AWHD00000000:2:26251799:26259871:-1 gene:ONIVA02G29600 transcript:ONIVA02G29600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWGKSSSKEVKKTAKENLIDTFHRLLSSNEQKGRTKSRGNRRHSKDPTAEKGCWSTAQSRSASPSKEVSRCQSFAAARAHAQPLPLPRSRAMVARTASDITESKVVLEKRGKGQQLPLPTTNWVKERPETTEPVAELSTASISSHGSIDSDDPGDLRLQGPVANDTDNVAKVATTGNSSVVHKECSSAITRKGTKEVTMPTNAFLSNQILSTSPRGTVVADSYQSNLQNSRKVVLDSAPNSVMSSPSRSPRILCPDQIPSSAFWAVKPHTDVTFVGSAQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRAGGMAPESPTRRLDEGKRKQTHRLPLPPLSICNNSTFLPNNSTPTSPISHSPGRVENPTSPGSRWKKGKLVGRGTFGHVYIGFNSDKGEMCAMKEVTLFSDDPKSKESAKQLCQEILLLNRLQHPNIVRYYGSEMVDDKLYIYLEYVSGGSIHKLLQEYGQFGEPAIRSYTKQILLGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCAFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAVFKIGNSKELPPIPDHLSEEGRDFIRQCLQRDPSSRPTAVDLLQHSFIRNASPLEKSLSDPLLQLSTTSCKPDLKVVGHARNMSSLGLEGQSIYQRRAAKFSSVHSDIHVRSYISCPVSPCGSPHLRSRSPQHQNGIMSPSPISSPRTTSGASTPLTGGNGAIPFNHARHLAYNNEGFTITSRCLDEPLPNQPPDPVLGRFVRVKQPSLGFQERAVPEADILSPQFGRMGHVSVWNLHDKPLPSEHASQKGFEDRVKLKPPLDLRSGPPHLGCNHGH >ONIVA02G29590.1 pep chromosome:AWHD00000000:2:26246015:26249981:1 gene:ONIVA02G29590 transcript:ONIVA02G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLKKASNLTTTLAHQGPRSTPVIITSFPFQNHLLPSSSSSSVGEATMEGKEEDVRLGANRYSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSSSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVASGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWNDHWIFWVGPFVGAALAAIYHQVIIRAIPFKSRS >ONIVA02G29590.2 pep chromosome:AWHD00000000:2:26246150:26249981:1 gene:ONIVA02G29590 transcript:ONIVA02G29590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSSSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVASGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWNDHWIFWVGPFVGAALAAIYHQVIIRAIPFKSRS >ONIVA02G29580.1 pep chromosome:AWHD00000000:2:26240224:26242475:1 gene:ONIVA02G29580 transcript:ONIVA02G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSPCTKAATYTLANHARSQDTESPKAHANPNLFPNSKPMILHLPLFACYMWFGFAKLLDCFSSEILENDLFFGGKIEVVQSSGPKLTCMIVVFLILRIFRACEVAHGKTLVAKFQAQVN >ONIVA02G29570.1 pep chromosome:AWHD00000000:2:26229993:26236974:1 gene:ONIVA02G29570 transcript:ONIVA02G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGEEYDAMWSARCSVSSSAGSLQDAGDQWDEQSLAAGEDKVFVAVDEDVEHGKSTFLWALQNLATDGANIVVAHVHSPAQTLSKVHCTRMKPEEISEYLMLAKEEAEKNLDEYALIAKSTGKDMKTDCQKVLIDMDDVAKGLEELITLHGITRLVMGAAADQHYSEEMKEPNSKIALKLMETASPSCKIWFTCNGHLICTREPNENLLAIYVPPAQSNTVPLSMCSISSQMSSIELKNEAPSSEEYTLRSLAQSAMSDWDYIFGDWGRTGYGSLRTDDAISIPEATTLAAIVDDTNKQRSVMHSPQESDSVNFSSPACDPQQEEEEPNLDEDMHDILNEACTRAELLKKEVDGESSKRRKAEMDLLIALQRVQESEKSYLQEVNQRKETERTLARQRLEIDEMKRRHNTLYDELQDTKKQKLLLEQHISEIKSAAKDYVQEITEYFIQESCEEAKKFQKIKMDLLAMLQRVKDVENLNRNEKMQRKDMEEKIARQRMEIEETKRQRDELYHELKDVKEQKLCLERLDSSKETKRRRKAESEMLSALKKVHDLEHQYLNELKRREAVEETFARQKEEIQETKRELNKIRSRHMTETKAHEEKLAESIRFIQKIQAKYDKTLHERDTAIAESEKLRQMNRDGASMIATTQIADFSFFELRQATQDFDTALKIGTGRFMNVYKGFIRNTAITVMLLHPQGLQGQLEFHQEVVVLSRLRHPNVMMLIGACPEAFGMVYEFLPNGSLEDQLSCKKNTPPLTWKMRTRIIGEICSALTFIHSQKPHPVVHGNLNPMNILLDANFVSKLHVCQLLRKYNTGNNTSGTSSYIDPEFLSTGELAPRCDVYSFGIIILHLLTGKSPQNITTIVEDAMEKRQLHSIMDTSAGSWPFVQANQLAHLGLRCANLSGRHRPDLTGEVWGVIKPLLKDASQNFGCKQAFEALSDDTQAPSYFICPILQEVMTDPHIAADGYTYEANAIRNWLDGGNARSVPLKSQSPSIGVHLLYYLRSQKVDAHERNRILTCARGRRRGGECWRRAGPGGVDVTATDARSGSDSARAAAKASGGLRQQRRSPHRLRPRRLAAKGDAAARCARLRPPRATALTGRVEPERQLGRQQAKSRRASVDAGGGRRAGGLLSPELHSRLLSDHRAPLLSLLRRRDDELRTKIKYHLLALGWSGRQQAESRRESVDAGGGRRAGGLLSPELHSRLLSDHRAPLLSLLRRWDDELRTKIKYHLLALGWTIASKPNLPGLTPRLRYVSLAGSMHACIAIHKHIYMVLN >ONIVA02G29560.1 pep chromosome:AWHD00000000:2:26229886:26236067:-1 gene:ONIVA02G29560 transcript:ONIVA02G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGEPTGLTNRAAFPARLCRVRQHRPSRRGGGGATGEGTYVAAAIRRLPSPPPALNPSRSARRLPSHRPHPARRGASTRRPSAVRAHSRGLITPHTSPVRSGRCLPLKLAHLSPRCASWLACTKGQLPAEVSMIECSCLFSIASSTIVVMFCGDFPVSRCRMIMPKEYTSQRGASSPVDKNSGSIVISGVVFSEKLADVQLAYKVGIKKNVHGVQITMNNRVRLLAYSSRFFSASSFANIRAVDMSNYNIGPVRGQVLQRPKECALAVLDVLVDGHEHLVLAGGQALLVPLVAGVLQRAGRRADAAPSAPHRVVLFAVAIHCCFLTLRNEKHKTMQLQNQKPKSTL >ONIVA02G29560.2 pep chromosome:AWHD00000000:2:26229837:26236067:-1 gene:ONIVA02G29560 transcript:ONIVA02G29560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGEPTGLTNRAAFPARLCRVRQHRPSRRGGGGATGEGTYVAAAIRRLPSPPPALNPSRSARRLPSHRPHPARRGASTRRPSAVRAHSRGLITPHTSPVRSGRCLPLKLAHLSPRCASWLACTKGQLPAEVSMIECSCLFSIASSTIVVMFCGDFPVSRCRMIMPKEYTSQRGASSPVDKNSGSIVISGVVFSEKLADVQLAYKVGIKKNVHGVQITMNNRVLLGLFLRQHQTRSQSRRYDFTQRWDEMPFALALA >ONIVA02G29560.3 pep chromosome:AWHD00000000:2:26229886:26236067:-1 gene:ONIVA02G29560 transcript:ONIVA02G29560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGEPTGLTNRAAFPARLCRVRQHRPSRRGGGGATGEGTYVAAAIRRLPSPPPALNPSRSARRLPSHRPHPARRGASTRRPSAVRAHRAYSSRFFSASSFANIRAVDMSNYNIGPVRGQVLQRPKECALAVLDVLVDGHEHLVLAGGQALLVPLVAGVLQRAGRRADAAPSAPHRVVLFAVAIHCCFLTLRNEKHKTMQLQNQKPKSTL >ONIVA02G29560.4 pep chromosome:AWHD00000000:2:26229837:26236067:-1 gene:ONIVA02G29560 transcript:ONIVA02G29560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGEPTGLTNRAAFPARLCRVRQHRPSRRGGGGATGEGTYVAAAIRRLPSPPPALNPSRSARRLPSHRPHPARRGASTRRPSAVRAHRRHIYCKKVFIGFPVLLGLFLRQHQTRSQSRRYDFTQRWDEMPFALALA >ONIVA02G29550.1 pep chromosome:AWHD00000000:2:26224104:26229338:1 gene:ONIVA02G29550 transcript:ONIVA02G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGLGVHRRWDTSGSGSQYSFRTSVSSVAEISTEVGVEVSPPPAAAAAAAADKVFVAVAADVKYGKSALQWALQNLAKDGAKVVIAHVHCPAQMIPMMGAKVHYTKMNTKQVNDYRNKEREKAEEKLDEYLLICRKLKVSCEKLIIEEDDIAKGLTDLVALHGVTKLVMGAAADKHYSRKMNTPKSKTALKILEAADPSCKIWFTCKGHLICTREANTTVPAIPPSPAHTVASTLSSSSISSRMRSMSLHPSESEASSSSGSPRHNLNRSRTEVAKYPSQGSGTAPSQLFGHSDQDVNDQPTRTAMGSIDSWDEFERSQNSCYDSSRNSDTVRVSGSAMQQPMYEPDDDHFVSPRKLENSGDDADIYGRLQEALSETQDLKRETYEESTKRRNAERNLISALQKASHFASKVKELENLYQKEVMHRKITEEALEKQIQETEETERHCNALYDKLHDVEEQKLMVEQHITEMEAVLKEREDRLHDVEEQKFTVEQRITEMQAVLKEHKDKLHDVEEQKLMVEHRITEIRSVLKEREEKLAESKYLLQVLQADKEKLQQERDAAVSESQDLRLKNKQRISMPGEDLNTEFSSYELEQATRGFDQELKIGEGGFGSVYKGTLRNTTVAIKLLHPHSMQGQSEFDQEIAVLSRVRHPNLVTLIGSCREAFGLVYEFLPKGSLEDRLACLNNTPPLTWQVRTRIIYEMCSALSFLHSNKPHPIVHGDLKPANILLDANFVSKLGDFGICRLLIQTNTGAAAAATTRLYRTTTPKGTFAYMDPEFLTTGELTPRSDVYSLGIIILRLLTGKPPQKIAEVVEDAIESGGLHSILDPSAGSWPFVQANQLAHLGLRCAEMSRRRRPDLATDVWKVVEPLMKAASLTAGRPSFVARPDDSPPPSYFVCPIFQEEMNDPHIAADGFTYEAEAIKGWLDSGHDTSPMTNLTLEHRELIPNRALRSAILEWQQQRQRHQQQEEDDDCT >ONIVA02G29540.1 pep chromosome:AWHD00000000:2:26219489:26221864:1 gene:ONIVA02G29540 transcript:ONIVA02G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGAVLCIRVALVVVLLPSLLATVAVAHNDTGEHKNYLIIVRKPYEYDHNVYKTVSSWHASLLASVCDTAKEELATDPGAETRLIYSYRNVVNGFCARVTREEVYEMAKKDWFVKAIPEKTYKLMTTYTPKMVGLTGAPAAYHGGLWNRSNMGEGMIIGVLDDGIAAGHPSFDAAGMGPPPARWKGRCDFNSSVCNNKLIGARSFFESAKWKWRGVDDPVLPVYELAHGTHTSSTAGGNFVPGANVMGNGFGTAAGMAPRAHLALYQVCSEDRGCDRDDILAAMDDAVDEGVDVLSISLGDDEAGDFAGDPVALGAYTAIMRGVFVSSSAGNNGPNPLTVSNEAPWLLTVAASTTGRKFVATVKLGTGVEFDGEALYQPPNFPSTQWPLIADTRGDGTCSDEHLMKEHVAGKLVVCNQGGNLTGLRKGSYLHDAGAAGMVLIGPEFMGSMVQPKSHILPVAQIVYLSGEELKAYMKSTKSPTAALIYKGTVFGDRKTPEVAPFSSRGPSRQNQGILKPDITGPGVNIIAGVPVTSGLATPPNPLAAKFDIMSGTSMAAPHLSGIAALIKKAHPKWSPAAIKSAMMTTADTLDRRRRPITDQKGNNANMFGLGAGFINPTKAMNPGLVYDLTAQDYVPFLCGLGYSDHEVSSIIHPAPSVSCKQLPAVEQKDLNYPSITVFLDREPYVVSVSRAVTNVGPRGKAVYAAKVDMPATVSVTVTPDTLRFKKVNQVRKFTVTFRGANGGPMKGGVAEGQLRWVSPDHVVRSPIVVSAQKFLNGNTGSSDHAGH >ONIVA02G29530.1 pep chromosome:AWHD00000000:2:26216309:26216617:-1 gene:ONIVA02G29530 transcript:ONIVA02G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGAAAATRASSSRRAAASCWGRFGLGLAALWRRLRWIGLPRRRLRTYVLSASGLNYDPLGYSQNFDNGGLGDGECEANFSVRFARHAGATRPHAPSVAS >ONIVA02G29520.1 pep chromosome:AWHD00000000:2:26211297:26217011:1 gene:ONIVA02G29520 transcript:ONIVA02G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G11440) TAIR;Acc:AT4G11440] MSSKCGAPKVRKPTINYHRLPLDGHQFDLDAFLTKDRNANNQSKPSTQSGSKSIDRRLTTPQLVSALTGIWNLVGQPESSGTAQISESHEILHKDEPVCFSKEQKEHALMSCCAENSTGLSSQNFLSTPKSIFEDLSLVKKMLMLTSCSSMAGGSSTWRHVHVGSAYYLKYQNIYPMQTRMMHTYAVSGSTEFKKDQSFRRDDNHSSQTRNMPTELCTSSSEEAHIYESSLHGTKSNLEIIPEYCSSSSCSSQQMVTCEETRIMPADQISSNTCTLTENSVCISCPVGDAVVINSEHTDQNVDGLMSQEHSVDKYSPQLESSVQHRFYGAVNLNRHAVAGALAGTVVSVSLHPIDTVKTIIQVNSSRRSSFYHTLRRALVERGVLGLYGGLASKIACSAPISAIYTLTYEIVKGSLLPILPKEYHSIAHCTAGGCSSIATSFVFTPSECIKQQMQVGSQYQNCWDALLGCLRKGGITSLYAGWGAVLCRNIPHSVIKFYTYESLKQFMLKSAPANANLDSGQTLFCGGFAGSTAALCTTPFDVVKTRVQLQALSPISKYDGVLHALKEIFQHEGLQGLYRGLAPRLAMYISQGAIFFTSYEFLKTIMFSEQELHARNF >ONIVA02G29510.1 pep chromosome:AWHD00000000:2:26209754:26210754:1 gene:ONIVA02G29510 transcript:ONIVA02G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKYSILELLLVSAEGLKHAHHLGLYSSPYLVSETVMLMTSDFAHWHGMLFAGTQRHYVNIQFGDQIFTSKITQGKGKKVWWNEKFRFPLSSDECKELAKVTLKIMERDKFSEDSLVGETKVHVGDIISEGIEREFLQMKPAPYNVVLEDGRYKGELKLGLKFLPNVSLESLEQCTVPPRRQTSVPYRPFLNITLPDIPWRRLFFFCTRSNAKGSRKTKNS >ONIVA02G29500.1 pep chromosome:AWHD00000000:2:26199411:26200359:-1 gene:ONIVA02G29500 transcript:ONIVA02G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGERSERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVITDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRAPCLHLHPSATIIAAITSVLAPSLTHRFPLRFALSPLLVASLHPHRIAPLIIPSRVTSFHIAASSGFVCSRPLADHP >ONIVA02G29490.1 pep chromosome:AWHD00000000:2:26195729:26199331:-1 gene:ONIVA02G29490 transcript:ONIVA02G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTTSEFLSMSQQDEHCRCRITAGQVDNPQTSKQANIRPCQVAHTSLRHCQFGCLMRPVPLNDSHISKTQGGEV >ONIVA02G29480.1 pep chromosome:AWHD00000000:2:26194254:26194749:1 gene:ONIVA02G29480 transcript:ONIVA02G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGVPELRLSSAEAHARFRYIVFEVNSIYTTLRSHRPRCMRPSPRRSPLPSILLAASLLPTHCQAPSSGFYLPPPPNDCHPSPCWSTATTHLSRDSASSFLFAGARDYAQASQRYSISQLLEILSPISTVWLTYDHDTDAAQMRSELPCNDVTV >ONIVA02G29470.1 pep chromosome:AWHD00000000:2:26189295:26193761:-1 gene:ONIVA02G29470 transcript:ONIVA02G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTTAADVTAAPPPPPGPTPPPEPVVTATVVAEQKVSPTAPPLDVPAPAPPPPKKRKVEEAGFHNSAYYKIRATVADLRVRFVQVYEATDFRNSDAAREILKEIKVVMELAKKMRHDLGATFEPAKPPEKPLAGVVKDGPVEPPPSAENNHAPQTEKMGETPSSEIAQGSCVTGGSPIGWNFLVWPGGEVVYYGRTKEVFRAGQAEN >ONIVA02G29460.1 pep chromosome:AWHD00000000:2:26182796:26185542:-1 gene:ONIVA02G29460 transcript:ONIVA02G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTDGARVRAGRGEATAISIIRPLAPAHAHAHGQPPLLFSLKPYEAEAEPLGAIESTPRAVPALPQMASYDDGTNLVDDEYDDLDDFIVDNEDDVVLGEEQQDEFEEEEEEQEEEEEEEPPVGQVEILTLREQLKADIRRKNQAQQGATAGRASCSSSVQPLAKDRFGSFFGPSRPSLARRVIEEGCSSIIKEKQNVPSNKSSVSSASKKQPIPSGQQQKPKFVKEEKRKVDALRQNRDYSCLFSDDADTPQATKEQPDNMPVLPMKSDVGDIASTSKLISQTDKVSKDSGLKGPSIQSRVRLVGKEPHPNTKRTIASSAKNGSSLPAMKKIQRVQPSSNGQKMQQTLQSKRPQAMLSQSHGQQSLQSRKPKPSLNGQNFRQKVSAPLAQKHLAPSSRPKPSSAVHNDHGKGKTRRLVKRKSKEDGCDEEEVDYKSIIRGMFNYNPAKFVGRDEDDRDMEANYASIQMEERRSARLARQEDDEELRRIMDEERREKQERKRKKLAQKAVVDASQD >ONIVA02G29460.2 pep chromosome:AWHD00000000:2:26182796:26185056:-1 gene:ONIVA02G29460 transcript:ONIVA02G29460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDDGTNLVDDEYDDLDDFIVDNEDDVVLGEEQQDEFEEEEEEQEEEEEEEPPVGQVEILTLREQLKADIRRKNQAQQGATAGRASCSSSVQPLAKDRFGSFFGPSRPSLARRVIEEGCSSIIKEKQNVPSNKSSVSSASKKQPIPSGQQQKPKFVKEEKRKVDALRQNRDYSYVGDIASTSKLISQTDKVSKDSGLKGPSIQSRVRLVGKEPHPNTKRTIASSAKNGSSLPAMKKIQRVQPSSNGQKMQQTLQSKRPQAMLSQSHGQQSLQSRKPKPSLNGQNFRQKVSAPLAQKHLAPSSRPKPSSAVHNDHGKGKTRRLVKRKSKEDGCDEEEVDYKSIIRGMFNYNPAKFVGRDEDDRDMEANYASIQMEERRSARLARQEDDEELRRIMDEERREKQERKRKKLAQKAVVDASQD >ONIVA02G29460.3 pep chromosome:AWHD00000000:2:26182796:26185056:-1 gene:ONIVA02G29460 transcript:ONIVA02G29460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDDGTNLVDDEYDDLDDFIVDNEDDVVLGEEQQDEFEEEEEEQEEEEEEEPPVGQVEILTLREQLKADIRRKNQAQQGATAGRASCSSSVQPLAKDRFGSFFGPSRPSLARRVIEEGCSSIIKEKQNVPSNKSSVSSASKKQPIPSGQQQKPKFVKEEKRKVDALRQNRDYSCLFSDDADTPQATKEQPDNMPVLPMKSDVGDIASTSKLISQTDKVSKDSGLKGPSIQSRVRLVGKEPHPNTKRTIASSAKNGSSLPAMKKIQRVQPSSNGQKMQQTLQSKRPQAMLSQSHGQQSLQSRKPKPSLNGQNFRQKVSAPLAQKHLAPSSRPKPSSAVHNDHGKGKTRRLVKRKSKEDGCDEEEVDYKSIIRGMFNYNPAKFVGRDEDDRDMEANYASIQMEERRSARLARQEDDEELRRIMDEERREKQERKRKKLAQKAVVDASQD >ONIVA02G29460.4 pep chromosome:AWHD00000000:2:26185108:26185542:-1 gene:ONIVA02G29460 transcript:ONIVA02G29460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTDGARVRAGRGEATAISIIRPLAPAHAHAHGQPPLLFSLKPYEAEAEPLGAIESTPRAVPALPQGKIVPPTAP >ONIVA02G29450.1 pep chromosome:AWHD00000000:2:26159653:26177080:1 gene:ONIVA02G29450 transcript:ONIVA02G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tripeptidyl peptidase ii [Source:Projected from Arabidopsis thaliana (AT4G20850) TAIR;Acc:AT4G20850] MWHLRGRSSVTAAAAAALHKPVAHLRLLLAVSAWSVPAAASNVAAASTTTRGGPSPSAGVAPRAMPSSSSSPPSAAEGTTAAAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDVIDWCCNPSEICSTGSGDVDTSKVVKADDDGSIVGASGTHLIINPSWKNPSQEWHVGCKLVYELFTDTLTSRLKKEREKKWDEHSQEAISEALKQLNEFEKKHSKSDDAKQKMAREDLQSRLEYLRKQAEGYDDRGPVIDIVAWHDGDVWRVAVDTQGLEGNKNCGKLADFVPLTNYRLERKFGIFSKLDACSFVANIYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLASEVVDKHRIIFISSAGNNGPALNTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVQAPAEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMSSPSACGGVALLVSAMKAEGIPLSPYTVRKAIENTAASISDVPEEKLTTGHGLLQVDRAFEYAQQTKELPLVSYRISINQVGKPTSKLRGIYLRGSNTCRQTSEWTVQLDPKFHEDASNMEQLVPFEECLQLHSTDSSVIKIPEYIMVTNNGRTFNIVVNPVNISSGLHYYEVYGIDCKAPWRGPIFRVPITVIKPIALSGEPPALTLSNLSFKSGHIERRFINVPIGASWVEVTMRTSAFDTPRRFFLDTVQICPLKRPIKWEAVVTFSSPSLKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGISVDQKIIGLDGSEAPVRVVARSLLASERLVPVATLNKVKTPYRPVESNLCSLPPSRDRLPSGKQIIALTLTYKFKLEDGAEIKPRVPLLNNRIYDNKFESQYYRISDSNKCVYSSGDVYPNYVKLSKGEYTLQLYIRHDNVQLLEKLKQLVLFIERKLEKKDFIQLSFYSEPDGPTVGNGTFKSSILVPGEPEAFYVGPPSREKLPKNVLPGSVLVGSITYGVVSSFSKKDDQNQHAPASYSISYLIPPSKVDNDKEKGVSSGRKSISERLDDEVRDTKIKFLSGFNQETEDDKSSWTALVASLKSEYPKYTPLLAKILECIVQKATSDDKFSHQKEIIAAADEVVDSIDKEDLAKSLSLKPDPEDEEAQKNKKKMEETRDQLADALYQKGLALAEIESLKTDESTEASAKDVFEENYKELIKWVDAKTTKYGSLTVLRERRCGRLGTALKVLNDMIQDDSEQPKKRLYDLKIQLIEEIGWVHVSAYEKQWMHVRFPPSLPPF >ONIVA02G29450.2 pep chromosome:AWHD00000000:2:26159653:26177080:1 gene:ONIVA02G29450 transcript:ONIVA02G29450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tripeptidyl peptidase ii [Source:Projected from Arabidopsis thaliana (AT4G20850) TAIR;Acc:AT4G20850] MWHLRGRSSVTAAAAAALHKPVAHLRLLLAVSAWSVPAAASNVAAASTTTRGGPSPSAGVAPRAMPSSSSSPPSAAEGTTAAAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDCSTGSGDVDTSKVVKADDDGSIVGASGTHLIINPSWKNPSQEWHVGCKLVYELFTDTLTSRLKKEREKKWDEHSQEAISEALKQLNEFEKKHSKSDDAKQKMAREDLQSRLEYLRKQAEGYDDRGPVIDIVAWHDGDVWRVAVDTQGLEGNKNCGKLADFVPLTNYRLERKFGIFSKLDACSFVANIYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLASEVVDKHRIIFISSAGNNGPALNTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVQAPAEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMSSPSACGGVALLVSAMKAEGIPLSPYTVRKAIENTAASISDVPEEKLTTGHGLLQVDRAFEYAQQTKELPLVSYRISINQVGKPTSKLRGIYLRGSNTCRQTSEWTVQLDPKFHEDASNMEQLVPFEECLQLHSTDSSVIKIPEYIMVTNNGRTFNIVVNPVNISSGLHYYEVYGIDCKAPWRGPIFRVPITVIKPIALSGEPPALTLSNLSFKSGHIERRFINVPIGASWVEVTMRTSAFDTPRRFFLDTVQICPLKRPIKWEAVVTFSSPSLKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGISVDQKIIGLDGSEAPVRVVARSLLASERLVPVATLNKVKTPYRPVESNLCSLPPSRDRLPSGKQIIALTLTYKFKLEDGAEIKPRVPLLNNRIYDNKFESQYYRISDSNKCVYSSGDVYPNYVKLSKGEYTLQLYIRHDNVQLLEKLKQLVLFIERKLEKKDFIQLSFYSEPDGPTVGNGTFKSSILVPGEPEAFYVGPPSREKLPKNVLPGSVLVGSITYGVVSSFSKKDDQNQHAPASYSISYLIPPSKVDNDKEKGVSSGRKSISERLDDEVRDTKIKFLSGFNQETEDDKSSWTALVASLKSEYPKYTPLLAKILECIVQKATSDDKFSHQKEIIAAADEVVDSIDKEDLAKSLSLKPDPEDEEAQKNKKKMEETRDQLADALYQKGLALAEIESLKTDESTEASAKDVFEENYKELIKWVDAKTTKYGSLTVLRERRCGRLGTALKVLNDMIQDDSEQPKKRLYDLKIQLIEEIGWVHVSAYEKQWMHVRFPPSLPPF >ONIVA02G29450.3 pep chromosome:AWHD00000000:2:26159655:26177080:1 gene:ONIVA02G29450 transcript:ONIVA02G29450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tripeptidyl peptidase ii [Source:Projected from Arabidopsis thaliana (AT4G20850) TAIR;Acc:AT4G20850] MWHLRGRSSVTAAAAAALHKPVAHLRLLLAVSAWSVPAAASNVAAASTTTRGGPSPSAGVAPRAMPSSSSSPPSAAEGTTAAAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDCSTGSGDVDTSKVVKADDDGSIVGASGTHLIINPSWKNPSQEWHVGCKLVYELFTDTLTSRLKKEREKKWDEHSQEAISEALKQLNEFEKKHSKSDDAKQKMAREDLQSRLEYLRKQAEGYDDRGPVIDIVAWHDGDVWRVAVDTQGLEGNKNCGKLADFEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLASEVVDKHRIIFISSAGNNGPALNTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVQAPAEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMSSPSACGGVALLVSAMKAEGIPLSPYTVRKAIENTAASISDVPEEKLTTGHGLLQVDRAFEYAQQTKELPLVSYRISINQVGKPTSKLRGIYLRGSNTCRQTSEWTVQLDPKFHEDASNMEQLVPFEECLQLHSTDSSVIKIPEYIMVTNNGRTFNIVVNPVNISSGLHYYEVYGIDCKAPWRGPIFRVPITVIKPIALSGEPPALTLSNLSFKSGHIERRFINVPIGASWVEVTMRTSAFDTPRRFFLDTVQICPLKRPIKWEAVVTFSSPSLKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGISVDQKIIGLDGSEAPVRVVARSLLASERLVPVATLNKVKTPYRPVESNLCSLPPSRDRLPSGKQIIALTLTYKFKLEDGAEIKPRVPLLNNRIYDNKFESQYYRISDSNKCVYSSGDVYPNYVKLSKGEYTLQLYIRHDNVQLLEKLKQLVLFIERKLEKKDFIQLSFYSEPDGPTVGNGTFKSSILVPGEPEAFYVGPPSREKLPKNVLPGSVLVGSITYGVVSSFSKKDDQNQHAPASYSISYLIPPSKVDNDKEKGVSSGRKSISERLDDEVRDTKIKFLSGFNQETEDDKSSWTALVASLKSEYPKYTPLLAKILECIVQKATSDDKFSHQKEIIAAADEVVDSIDKEDLAKSLSLKPDPEDEEAQKNKKKMEETRDQLADALYQKGLALAEIESLKTDESTEASAKDVFEENYKELIKWVDAKTTKYGSLTVLRERRCGRLGTALKVLNDMIQDDSEQPKKRLYDLKIQLIEEIGWVHVSAYEKQWMHVRFPPSLPPF >ONIVA02G29440.1 pep chromosome:AWHD00000000:2:26139260:26158508:1 gene:ONIVA02G29440 transcript:ONIVA02G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases;transferases, transferring hexosyl groups;transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G71220) TAIR;Acc:AT1G71220] MEAARGARSRVPVAAVVAVVLVAGLAAGGSAAEIRRQKNVQVALRAKWAGTPLLLEASELLSKEWKDLFWDFIDHWKELDKASECLTAKCCVQKIVEDARSFLSEPLASIFEFSLTLRSASPRLVLYRQLAEESLSSIPVKDGTLEQTSGPSTGENFHEAVKGTCCWVDTGSALLFNSADLRKWLDGLGKLAVDSTQQPELFEFDHIYPQSNITAPIAIFYGAFGTKCFKELHVHLAEASKQGKVRYALRHVLPSGCQATSSFCGSVGAIDAVTLSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPALNAEIMSFRDYLLSSTVSDTLEVWELKDLGHQTAQRILHASDPLQSMQEINQNFPSIVSSLSRMKLDDSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHEELSLADQFVKLKIPGSAVHKILSAAPPTESNSFRVDFRSSHVHYLNNLEEDALYKRWRSNINELLMPVFPGQMRYIRKNLFHAVYVFDPASTIDMVLSLYQDSVPIRFGIILYSSRLISVIEENDGNLPVNNGSKTEEDISILIIRLFLYIKETYSTQLAYQFLSNIHKSQNSGDDYNEESVEAHHVEGAFVDSLLSSAKSHPQDVLLKLQKENMHKQEAEESSRFVHKLGLYKLQCCLLMNGLVHESSEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSTENKRFVSLVGPYHQGDSTLHDITYLHSHGTTDDAKPVTHLVAVDISSKIGIKLLHEAIRYLMAGSNRARVGLLIYVRNDNPSPILHMKDIFDRTISSFSYKEKVLDFLHELCKFYEGQHVPSSGVGDKISTMREKVYSIAAETGLPVDNYKAWFTSYSHDAVLRGMNKLSDFLFGKLGLEFGSNAVITNGRVFVVNEGDSFLTDDLGLLESMEYELRTKHIYEIIEEIEWTGVDPDDLTSKFYSDVAMLISSSMSIRERPSERAHFEILHAEHSAIKLNGMSSGVHIDAVIDPLSPAGQKLAPLLRILWRQIQPSMRIVLNPIDDFSSTDYSVHGPKAFFANMPLSKTLTMNIDVPEPWLVEPVIAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCKEKDRDPPRGLQFILGTKRRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPSKLIAIDSLRGKLMHIEVQKRGGKEHEDLLNADDDNHFQEKMDNKGWNNNLLKWASSFISGDSSSKKKDEKISDLKAARQGETINIFSVASGHLYERFLKIMILSVLKQTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKEMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTASGDTLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGAKRIVPEWVDLDSEARQFTARILGDNPESPGTTSPPSDTPKSDDKGAKHDEL >ONIVA02G29430.1 pep chromosome:AWHD00000000:2:26136398:26139160:1 gene:ONIVA02G29430 transcript:ONIVA02G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GAT7] MPSRTAPFISRLRLLRTAAALSPFSSSAPPRHSPRILRASPVGAPHPPRVSAAVSPLVLPVAAGFALFSMATAASSAASVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGDNTAPIYKFLKSSKGGLFGDNIKWNFSKFLVDKEGRVVERYAPTTSPLSMEKDIKKLLGSS >ONIVA02G29420.1 pep chromosome:AWHD00000000:2:26130899:26133355:-1 gene:ONIVA02G29420 transcript:ONIVA02G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLPRSYARPAGMLLPNNEFGIREITPGLACSGPGGAYELVERMEYLYVRVVKARGLKWSDEFDPFAELRLGGYSCVTRHVEKTASPEWDDVFAFSRERIHAPFLDVLVRGRGFAKDEYVGSTRLDLGILPDAPASVQPDSSPAPQWYPVFDKKGEFRGEVMMAVWFGTQKDSYFDSAVHADAAFPVDDKLAAHIKHIRYDVPRLCYVRVKVTEVRDIVFADKARVGEVFVRSRILGQVHRTRTSMDHRWKDEENGHLFVATAPFKDYLNMSVVGVKNGKEEVIGHVNVLLDSFERRCDARPISPRWFSLMQPEGAAKIDKYSAKISVVLCLECGYKVLSEPVHYLSDVRPAAREQERERKCIGLVELGIREAILTATRTRDGRGSCDAYCVAKYGVKWYRTRTVTDSISPRFHQQYHWEVHDHCTVLTVAVFHNSQIGDKGGLVAGDPVKDVLLGKVRIRLSTLETGRTYAYAYPLMSLHGGGVKKMGELRLAVRFSSTSTLGLFQTYAQPHLPPMHYHRPLTVVQQEMLRREAVMIIAHRLGRMDPPLRRECVEHLCESHALRWSMRRSKAHFFRLAEALEPLSAASAWFYHVCRWTNPVTTVAVHVIFTMLVCYPRLVLPTFFLYKFMLGMRNYLRRPKHPWHVDMRVSHADTAHPDELDEEFDEFPTARPPEVVRMRYDKLRSLNARIQEIVGDIATHAERARCVMTWRDPRATGLYLLGCLCLAVITFSVPFQAVALLTGFYLMRHPILRQRLPDVVANFFRRLPCKVDCLL >ONIVA02G29410.1 pep chromosome:AWHD00000000:2:26126443:26130689:-1 gene:ONIVA02G29410 transcript:ONIVA02G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39350) TAIR;Acc:AT5G39350] MKPSPLVRQCLAVTIFHSKNTAPLVPAAAAQLHALLLTSGHLLRYHGLHPLFMVYCACGRPSSAHNLLAQMPQPPPVSFSNSLLRSYTGLGCHREALAVYSAMRAFDHLTFPFAAKACAGLRLGRHGRAVHCRALAAGFGGDTYVQNALISMYMSCGDVGAAEAVFGAMRNRTVVSWNAVIAGCVKNGYAERALEVFGEMAADGVGIDRATVVSVLPACAQAKDLNTGRAVHRLVEDKGLGDYVAVKNALIDMYGKCRSLEDARRVFDHCKHDKDVVSWTAMIGAYVLNDRAFEAISLGCQMLMSGAAWPNGVTMVYLLSACASMPSGKHAKCTHALCIRLGLKSDIAVETALIDAYARCGKMKLMRLTLERGSWRAETWNAALSGYTVSGREKKAIELFKRMIAESVRPDSATMASILPAYAESADLKEGKNIHCFLLTLGFLRSTEIATGLIDVYSKAGDLDAAWALFQWLPEKDVVAWTTIIAGYSIHGHARTAILLYDRMVESGGKPNTVTIATLLYACSHAGMIDEGIKVFKDMRNVHGLMPNGEHYSCLVDMLGRAGRIEEAHRLIQDMPFEPSTSVWGALLGACVLHKNVEFGEVAAKRLFQLDPENTGSYVLLGNIYAAADRWRDVQDVRRMMVERGLLKEPGSTMGGGAHVGCTQRFWFSHTKEGMCLVKCSSPNVVASCKPPSPPPALPSLYFASSFLSFRPSNLAPARVLAHSLLSPPCRACLFLTIVRKERRKEEEKKGSSTMSNSASGMAVCDECKLKFLELKAKRSFRFIVFKINEKVQQVVVDRLGQPGESYDDFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKSRAL >ONIVA02G29410.2 pep chromosome:AWHD00000000:2:26126443:26128375:-1 gene:ONIVA02G29410 transcript:ONIVA02G29410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39350) TAIR;Acc:AT5G39350] MGGGAHVGCTQRFWFSHTKEGMCLVKCSSPNVVASCKPPSPPPALPSLYFASSFLSFRPSNLAPARVLAHSLLSPPCRACLFLTIVRKERRKEEEKKGSSTMSNSASGMAVCDECKLKFLELKAKRSFRFIVFKINEKVQQVVVDRLGQPGESYDDFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKSRAL >ONIVA02G29410.3 pep chromosome:AWHD00000000:2:26126443:26128375:-1 gene:ONIVA02G29410 transcript:ONIVA02G29410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39350) TAIR;Acc:AT5G39350] MGGGAHVGCTQRFWFSHTKEGMCLVKCSSPNVVASCKPPSPPPALPSLYFASSFLSFRPSNLAPARVLAHSLLSPPCRACLFLTIVRKERRKEEEKKGSSTMNVDQDLSINEMVYVVGICKSNSASGMAVCDECKLKFLELKAKRSFRFIVFKINEKVQQVVVDRLGQPGESYDDFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKSRAL >ONIVA02G29400.1 pep chromosome:AWHD00000000:2:26094787:26114518:-1 gene:ONIVA02G29400 transcript:ONIVA02G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAPEMPDASPRLPWEPGGVEHFDAALLGDAAYIVEEEEVSDVEMSEGSPVAPSESSALPSPPSLRKRLAPVVPSDVPEEVVRAVDAVIMGGGLERLREMVSGEDGELSHFVVDVLMLTMGGVDGLDEGAGDGAVTLPSIMSSSRAAAIAAELLPYIPCGVEPSPRTRMARALLATLSSCTRNRTMCTSSGLLAILLDAAEKLFVGMGQRSKWDGAPLVQCIQMLGGHSVSVKDLHSWLLLIKKTLGTCWATSLTLALEKAVGCKEAKGPAVTFELGGEGSGLLAPAESRWPFSNGFGFATWIYVESFSDSLNTGMATAAIAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTMDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEYTSKQALLGKVESELRLYVDGELHESCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPIYIFTESIGPERMSRIASRGGDALPSFSNGAGLPWKATNAHIRHIAEDNYTLDIEIGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVLGMVHLSYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSSSLATASLSVPIFRIISLATQHPGNKEELCRAHGPELLSQVLHYLLETLSRLESGEKEILSDEELVAAIASLCQSQKNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMHDANALQMLLDGCRRCYWVIHEADSIDTFTFTGTERPLEKVNSLVDELLVVIELLLGAVSSTLASDDVRSLVGFVVDCPQPNQVARVLLLIYRLIVHPNTSRANVFAQSFISRGGVEALLVLLQREAKSGDNNISDSCIVPQNSLWNAGSDPKSTSSDLDLKTTAGEANCNGHKTQPLEHHEPPCHEGSTEPGFSSKWCLLKNQFLKNLGGIDFLNIADNVQNDIYNIDNGDGVLVGIVHVLGALVASGHLKFNLPAAKPKLPSSFLTTSNGEGNSMFEDRVSLLLFAMQKAFQAAPRRLMTRNVYKALFSAVINVPSANGSLKLHDSGHRVKHTPLLSVLLRSLPFASRAFQAHAIQDLLYLASTNNENRIALTSIAEWPEWILEVLISNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGNLLEFSAQELQVQTEGINAAAAGVAEEGMMPKETKIQADKATHLSLALAENAIVLLMLVEDHLRSRSQHYFLSCSIDSAASPASGASSAATRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADANGQISSEVMERVTAAAAAEPYGSVRHAFVSYGTCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSIAMLQALLLDSQLGGGLGIGGGSGAGMDAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDIFMRNISMKNVISEGLGCQTGSMMIHDDNSCSPSRKPQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDGQNPLVVDDCALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPIDATPNLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMCAAWLECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERRTQVDILNRRCASIGIRAWRRLVHCLIETSRLYGPFGELLCTPDNIFWKLDSTETSSRMRRFMKRNYKWSEHLSMANNYEENMLLCDGAESNYSHREDADSLFANALRTNSSIIVADAISVDGGHGNAKQTETETIYSSVDDSTSSDFSSVHNLVRSTVVAPCYSSSKNNERIIVELPSLMVRPFKVVRGTFQVTSKMINFIIDEHMSDSDSYMDDAASTSGQYDQQDKDRSWFISSLHKIYSRRYLLRRSALELFMVDRSNFFFDFEDTEACKHAYRAIVHTKPPYLNSIFLATQRPEKILKKSQLTERWARWEYPVFPWVLADYHSKTLDLEDPSAYRDLSEPIGALNPARLKKFQEHYSSFNDPTIPKFHYSSHYSCPGTVLYYLVRIEPFTALSIQQQGGRFGQDDHMFSCINKTWNSVLEDMNDVKELVPEMFYLPELFTNVNSVDLGSTQLTGKLCSVQLPPWAENPVDFICKHRKALESDYVSAHLHEWIDLIFGHKQRGKDAVMANNVFPYATYEGMVDIDQITNPVQRRSMQDQICNFGQTPSQLLTIPHTKRRPLADILKLQTIFRNPTEVRSYVLPNPENCNVPASAMLVSDDSIVVVGANVPAAHLALHHWQPNTSNGPGTPFLFHHGRNAINLSGGAIMRIFKGSAGSVDDYQFPRAIAFAASAIQNSSVVVVTCEREVITGGHADNSVKLISPDGARTIETAFGHLAPVTCVALSPDSNYLVTGSRDTTVILWRIRQIGSLRKKSTPEPPPSTPTTPNDSASGDSSTSNSSKVLETSRRRRIEGPMHVLRGHLGEVTCCSVSSALGLVASSSSTSGVLLHNLRTGRLIRKLDKQEAHLMCLSSEGIILIWNESEKRLSTFTVNGIPIGTSVLTPFSGRVSCIEISVDGKFALIGTCLSNSCNRDGFSAIDDYELDKPNGDDDLPELNETKPSVHVPSVCFIDLYKLENVTAIALQKDNTNLLVSTADKQLIVFTYPALSSKIGDRMLQEGNGVLESED >ONIVA02G29400.2 pep chromosome:AWHD00000000:2:26094787:26114518:-1 gene:ONIVA02G29400 transcript:ONIVA02G29400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAPEMPDASPRLPWEPGGVEHFDAALLGDAAYIVEEEEVSDVEMSEGSPVAPSESSALPSPPSLRKRLAPVVPSDVPEEVVRAVDAVIMGGGLERLREMVSGEDGELSHFVVDVLMLTMGGVDGLDEGAGDGAVTLPSIMSSSRAAAIAAELLPYIPCGVEPSPRTRMARALLATLSSCTRNRTMCTSSGLLAILLDAAEKLFVGMGQRSKWDGAPLVQCIQMLGGHSVSVKDLHSWLLLIKKTLGTCWATSLTLALEKAVGCKEAKGPAVTFELGGEGSGLLAPAESRWPFSNGFGFATWIYVESFSDSLNTGMATAAIAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTMDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEYTSKQALLGKVESELRLYVDGELHESCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPIYIFTESIGPERMSRIASRGGDALPSFSNGAGLPWKATNAHIRHIAEDNYTLDIEIGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVLGMVHLSYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSSSLATASLSVPIFRIISLATQHPGNKEELCRAHGPELLSQVLHYLLETLSRLESGEKEILSDEELVAAIASLCQSQKNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMHDANALQMLLDGCRRCYWVIHEADSIDTFTFTGTERPLEKVNSLVDELLVVIELLLGAVSSTLASDDVRSLVGFVVDCPQPNQVARVLLLIYRLIVHPNTSRANVFAQSFISRGGVEALLVLLQREAKSGDNNISDSCIVPQNSLWNAGSDPKSTSSDLDLKTTAGEANCNGHKTQPLEHHEPPCHEGSTEPGFSSKWCLLKNQFLKNLGGIDFLNIADNVQNDIYNIDNGDGVLVGIVHVLGALVASGHLKFNLPAAKPKLPSSFLTTSNGEGNSMFEDRVSLLLFAMQKAFQAAPRRLMTRNVYKALFSAVINVPSANGSLKLHDSGHRVKHTPLLSVLLRSLPFASRAFQAHAIQDLLYLASTNNENRIALTSIAEWPEWILEVLISNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGNLLEFSAQELQVQTEGINAAAAGVAEEGMMPKETKIQADKATHLSLALAENAIVLLMLVEDHLRSRSQHYFLSCSIDSAASPASGASSAATRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADANGQISSEVMERVTAAAAAEPYGSVRHAFVSYGTCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSIAMLQALLLDSQLGGGLGIGGGSGAGMDAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDIFMRNISMKNVISEGLGCQTGSMMIHDDNSCSPSRKPQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDGQNPLVVDDCALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPIDATPNLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMCAAWLECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEIFWKLDSTETSSRMRRFMKRNYKWSEHLSMANNYEENMLLCDGAESNYSHREDADSLFANALRTNSSIIVADAISVDGGHGNAKQTETETIYSSVDDSTSSDFSSVHNLVRSTVVAPCYSSSKNNERIIVELPSLMVRPFKVVRGTFQVTSKMINFIIDEHMSDSDSYMDDAASTSGQYDQQDKDRSWFISSLHKIYSRRYLLRRSALELFMVDRSNFFFDFEDTEACKHAYRAIVHTKPPYLNSIFLATQRPEKILKKSQLTERWARWEYPVFPWVLADYHSKTLDLEDPSAYRDLSEPIGALNPARLKKFQEHYSSFNDPTIPKFHYSSHYSCPGTVLYYLVRIEPFTALSIQQQGGRFGQDDHMFSCINKTWNSVLEDMNDVKELVPEMFYLPELFTNVNSVDLGSTQLTGKLCSVQLPPWAENPVDFICKHRKALESDYVSAHLHEWIDLIFGHKQRGKDAVMANNVFPYATYEGMVDIDQITNPVQRRSMQDQICNFGQTPSQLLTIPHTKRRPLADILKLQTIFRNPTEVRSYVLPNPENCNVPASAMLVSDDSIVVVGANVPAAHLALHHWQPNTSNGPGTPFLFHHGRNAINLSGGAIMRIFKGSAGSVDDYQFPRAIAFAASAIQNSSVVVVTCEREVITGGHADNSVKLISPDGARTIETAFGHLAPVTCVALSPDSNYLVTGSRDTTVILWRIRQIGSLRKKSTPEPPPSTPTTPNDSASGDSSTSNSSKVLETSRRRRIEGPMHVLRGHLGEVTCCSVSSALGLVASSSSTSGVLLHNLRTGRLIRKLDKQEAHLMCLSSEGIILIWNESEKRLSTFTVNGIPIGTSVLTPFSGRVSCIEISVDGKFALIGTCLSNSCNRDGFSAIDDYELDKPNGDDDLPELNETKPSVHVPSVCFIDLYKLENVTAIALQKDNTNLLVSTADKQLIVFTYPALSSKIGDRMLQEGNGVLESED >ONIVA02G29400.3 pep chromosome:AWHD00000000:2:26094787:26114518:-1 gene:ONIVA02G29400 transcript:ONIVA02G29400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAPEMPDASPRLPWEPGGVEHFDAALLGDAAYIVEEEEVSDVEMSEGSPVAPSESSALPSPPSLRKRLAPVVPSDVPEEVVRAVDAVIMGGGLERLREMVSGEDGELSHFVVDVLMLTMGGVDGLDEGAGDGAVTLPSIMSSSRAAAIAAELLPYIPCGVEPSPRTRMARALLATLSSCTRNRTMCTSSGLLAILLDAAEKLFVGMGQRSKWDGAPLVQCIQMLGGHSVSVKDLHSWLLLIKKTLGTCWATSLTLALEKAVGCKEAKGPAVTFELGGEGSGLLAPAESRWPFSNGFGFATWIYVESFSDSLNTGMATAAIAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTMDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEYTSKQALLGKVESELRLYVDGELHESCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPIYIFTESIGPERMSRIASRGGDALPSFSNGAGLPWKATNAHIRHIAEDNYTLDIEIGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVLGMVHLSYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSSSLATASLSVPIFRIISLATQHPGNKEELCRAHGPELLSQVLHYLLETLSRLESGEKEILSDEELVAAIASLCQSQKNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESACMHDANALQMLLDGCRRCYWVIHEADSIDTFTFTGTERPLEKVNSLVDELLVVIELLLGAVSSTLASDDVRSLVGFVVDCPQPNQVARVLLLIYRLIVHPNTSRANVFAQSFISRGGVEALLVLLQREAKSGDNNISDSCIVPQNSLWNAGSDPKSTSSDLDLKTTAGEANCNGHKTQPLEHHEPPCHEGSTEPGFSSKWCLLKNQFLKNLGGIDFLNIADNVQNDIYNIDNGDGVLVGIVHVLGALVASGHLKFNLPAAKPKLPSSFLTTSNGEGNSMFEDRVSLLLFAMQKAFQAAPRRLMTRNVYKALFSAVINVPSANGSLKLHDSGHRVKHTPLLSVLLRSLPFASRAFQAHAIQDLLYLASTNNENRIALTSIAEWPEWILEVLISNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGNLLEFSAQELQVQTEGINAAAAGVAEEGMMPKETKIQADKATHLSLALAENAIVLLMLVEDHLRSRSQHYFLSCSIDSAASPASGASSAATRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADANGQISSEVMERVTAAAAAEPYGSVRHAFVSYGTCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSIAMLQALLLDSQLGGGLGIGGGSGAGMDAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDIFMRNISMKNVISEGLGCQTGSMMIHDDNSCSPSRKPQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDGQNPLVVDDCALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPIDATPNLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMCAAWLECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEIFWKLDSTETSSRMRRFMKRNYKWSEHLSMANNYEENMLLCDGAESNYSHREDADSLFANALRTNSSIIVADAISVDGGHGNAKQTETETIYSSVDDSTSSDFSSVHNLVRSTVVAPCYSSSKNNERIIVELPSLMVRPFKVVRGTFQVTSKMINFIIDEHMSDSDSYMDDAASTSGQYDQQDKDRSWFISSLHKIYSRRYLLRRSALELFMVDRSNFFFDFEDTEACKHAYRAIVHTKPPYLNSIFLATQRPEKILKKSQLTERWARWEYPVFPWVLADYHSKTLDLEDPSAYRDLSEPIGALNPARLKKFQEHYSSFNDPTIPKFHYSSHYSCPGTVLYYLVRIEPFTALSIQQQGGRFGQDDHMFSCINKTWNSVLEDMNDVKEHKQRGKDAVMANNVFPYATYEGMVDIDQITNPVQRRSMQDQICNFGQTPSQLLTIPHTKRRPLADILKLQTIFRNPTEVRSYVLPNPENCNVPASAMLVSDDSIVVVGANVPAAHLALHHWQPNTSNGPGTPFLFHHGRNAINLSGGAIMRIFKGSAGSVDDYQFPRAIAFAASAIQNSSVVVVTCEREVITGGHADNSVKLISPDGARTIETAFGHLAPVTCVALSPDSNYLVTGSRDTTVILWRIRQIGSLRKKSTPEPPPSTPTTPNDSASGDSSTSNSSKVLETSRRRRIEGPMHVLRGHLGEVTCCSVSSALGLVASSSSTSGVLLHNLRTGRLIRKLDKQEAHLMCLSSEGIILIWNESEKRLSTFTVNGIPIGTSVLTPFSGRVSCIEISVDGKFALIGTCLSNSCNRDGFSAIDDYELDKPNGDDDLPELNETKPSVHVPSVCFIDLYKLENVTAIALQKDNTNLLVSTADKQLIVFTYPALSSKIGDRMLQEGNGVLESED >ONIVA02G29390.1 pep chromosome:AWHD00000000:2:26080845:26082992:1 gene:ONIVA02G29390 transcript:ONIVA02G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGAERSGVVDLGGIGGGNRGLFWPAGKGGLVVVEPRSVLDCTRSPSPRNSTSTLSSSQGGGGADSTGVAAVSESSAAAAEATKWGAPGEHGGGGGGGGGGGKEDWSSGCELPPIPGTLDVGLVGGEGWDTMLGNAAAAAAGQDQSFLNWIIGAAGDLEQPGPPLLDNAGFGIPAVDPLGFSLDHSLSGVASDLSSSGAHTATGGAGGGKASLGFGLISPEATSLEQPPPPMLFHEGIDTKPPLLGAQPPGLLNHYHHQPPNPAATFFMPHPSFPEHNHQSPLLQPPPKRHHSMPDDIYLARNQLPPAAAAAQGLPFSPLHASVPFQLQPSPPPTRGAMKTTAAEAAQQQLLDELAAAAKATEAGNSVGAREILARLNQQLPPLGKPFLRSASYLREALLLALADSHHGVSSVTTPLDVALKLAAYKSFSDLSPVLQFANFTATQALLDEIGGTATSCIHVIDFDLGVGGQWASFLQELAHRRAAGGVTLPLLKLTAFVSTASHHPLELHLTQDNLSQFAADLGIPFEFNAVSLDAFNPGELISSTGDEVVAVSLPVGCSARAPPLPAILRLVKQLSPKIVVAIDHGADRADLSFSQHFLNCFQSCVFLLDSLDAAGIDADSACKIERFLIQPRVHDMVLGRHKVHKAIAWRSVFAAAGFKPVPPSNLAEAQADCLLKRVQVRGFHVEKRGAALTLYWQRGELVSISSWRC >ONIVA02G29380.1 pep chromosome:AWHD00000000:2:26068398:26072201:1 gene:ONIVA02G29380 transcript:ONIVA02G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGAERSGVVDLGGIGGGNRGLFWPAGKGGLVVVEPRSVLDCTRSPSPPYSTSTLSSSLGGGSADSTGVAAVSESSTAAAGATKWGAPGEHGGGGKEEWGGGCELPPIPGALDVGLVGGEGWDATATLGNAAGPDQSFLNWIIGAAGDLEQPGPPLPVLQQPLIDNAGFGIPAVDTMGFSLDHPLSGVASDLSSSGAHTATGGGGKASLGFGLFSPEATSLEQPPPSMLFHEGIDTKPPLLGAQPQFLLNHYQPQPPNPAAALFMPLPPFPEHNHQSPHLQPPLKRHHAIPDDLYLARNQQQSSAVAPGLAYSPPLHGPAPFQLHPSPPPIRGAMKSTAAEAAQQQLLDELAAAAKATEAGNSVGAREILARLNQQLPQLGKPFLRSASYLKEALLLALADSHHGSSGVTSPLDVALKLAAYKSFSDLSPVLQFTNFTATQALLDEIGGMATSCIHVIDFDLGVGGQWASFLQELAHRRGAGELRIPFEFNAVSLDAFNPAELISSSGDEVVAVSLPVGCSARAPPLPAILRLVKQLCPKVVVAIDHGGDRADLPFSQHFLNCFQSCVFLLDSLDAAGIDADSACKIERFLIQPRVEDAVIGRHKAQKAIAWRSVFAATGFKPVQPSNLAEAQADCLLKRVQVRGFHVEKRGAALTLYWQRGELVSISSWRLEYDMQSNYRCRS >ONIVA02G29370.1 pep chromosome:AWHD00000000:2:26056125:26057330:-1 gene:ONIVA02G29370 transcript:ONIVA02G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSSPQTLNGKLKLNTSTTILLFIVFLFTLCIISCEARHDHLRISDKYSSKKSSLVPKDVAGDDVVGSKQPIDQSVGKEVTLNAKMELAASSGSSSGSLNKRFEGTKVRSVARERSVLGAETNREQVGSKPATTAYTAETLAAMDYPVAHTAPAVHNR >ONIVA02G29360.1 pep chromosome:AWHD00000000:2:26052004:26055391:1 gene:ONIVA02G29360 transcript:ONIVA02G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YbaK/aminoacyl-tRNA synthetase-associated domain [Source:Projected from Arabidopsis thaliana (AT4G16510) TAIR;Acc:AT4G16510] MEAAAADLEARQLRILRRVADLELAAQQHRLGALSISAAPSGGEAETGATEARLSSILTARGVLDFTFRRVPADYYDRPLEERRDLLRADSVNQLCKSIVMVNTQAAADVVDCSNPKNSKYYVVVVQYMARLNADSIKNFIYSLNEKQIPKKRFNMRLAPEEESLKLTGFVHNAVTCIGMETDIPVIIDEAITKLDKDFFWLGGGEVDLKLGVRTSQFLNAFKPFVVKCS >ONIVA02G29350.1 pep chromosome:AWHD00000000:2:26046396:26046782:1 gene:ONIVA02G29350 transcript:ONIVA02G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFLLVALNLVLFFTVASACGKYCPTPSTPSTTPSTPSYNTKCPKNALKFAACADVLGLVSAEVGQPPYEPCCGVLGGLADLEAAVCLCTAIKANVLGITLDIPVKLSLLVNYCGKNVPSGFICA >ONIVA02G29340.1 pep chromosome:AWHD00000000:2:26036824:26037225:1 gene:ONIVA02G29340 transcript:ONIVA02G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFALFLAVNLVVLGVASACGGSPSCPTPTPSTPTPSTPTPTPSAFGRCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIRGNILGINLNLPIDLSLILNYCGKTVPTGFKC >ONIVA02G29330.1 pep chromosome:AWHD00000000:2:26028466:26034263:1 gene:ONIVA02G29330 transcript:ONIVA02G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLRIYPSELKIPFELNRQNSGILELTNKTDHHVAFKVKTTNPRKYSVRPTTGIVLPRGSCGITISMQPPKEIPTDYHCKDKFLIQSVVVEDGTTQKDIHSDMFSKEAGKVVEEFKLRVVYIPANPPSPVPEEEEDEIDSLDSDVDHEVQMPSTFDAASRKGYTSGSQASHDEGVSLTKAVLSKYVDENQKLQQELDLLKKKRSSSDGGFTALFVPFVFAFFVFIGYLMAGSNV >ONIVA02G29330.2 pep chromosome:AWHD00000000:2:26029118:26030982:1 gene:ONIVA02G29330 transcript:ONIVA02G29330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASTSPPAKAQVTTAPAKSPLVGGLADLDAAVSLRLHALFLPVPRLLLKALEVAGDGRIWLPVPISLLLISTTTSSKVSPLLVGLVAGLVLDILFVGAAKLIVRRPRPAYNAADMYVAVAADHWSFPSGHSSRAFLVAAFLAAGGFPREALFLWAASTSASRVLLGRHYVLDVVAGACLGVFEAWLSSLLLRAMCARISFLIANQTMWETSW >ONIVA02G29320.1 pep chromosome:AWHD00000000:2:26021648:26022532:-1 gene:ONIVA02G29320 transcript:ONIVA02G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSWVRSFHCKSTAIGDVAAAITALPKKPYLHLPRSSCASSGDAHNHKNVSSSSSSSSKAKPASSSKVSPAKPRKPKAKAASVPPSSPPPVPLGPVPALTELPAGHSSRQVVEIIFLSSWSPLPATQAALPAAVASTAAAGASTAEVEMLFRVHNPARAVARFEDYRAAVRARAGGASRSAADGNEMMRFSPAPPDEGCSSAAGEDALRIRTFDGSGGAHANGRGPASGRRAMFLCRVIAGRVADGPATSGAGADAEPVPSKEYDSVRAGKGELVVFDRRAVLPCFLIIYKL >ONIVA02G29310.1 pep chromosome:AWHD00000000:2:26021030:26021260:-1 gene:ONIVA02G29310 transcript:ONIVA02G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKATAWPWGIRSSERATRGTATPRSLGTACRGAQAEAVLPGCWASEQERARGAALMEAIGFGTLAQQLGWARH >ONIVA02G29300.1 pep chromosome:AWHD00000000:2:26015999:26019953:1 gene:ONIVA02G29300 transcript:ONIVA02G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSTNKQGPRAPSSQASPARFRFVIGADAVYRSTRLRRYGIRSEPHKMNGRLEMSTGPVASPSAIAARVAADDTRSSACPPRAAERRRRRGWKAVTLAWYVLSSSGLSRASGSASGQEEAGWPYVFSEAYIAYRYVLKTFLCLHSQSHLHGCRQRVGIWMRSNHVYCAISVRQECMLDYKVSTNYT >ONIVA02G29300.2 pep chromosome:AWHD00000000:2:26015999:26019953:1 gene:ONIVA02G29300 transcript:ONIVA02G29300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSTNKQGPRAPSSQASPARFRFVIGADAVYRSTRLRRYGIRSEPHKMNGRLEMSTGPVASPSAIAARVAADDTRSSACPPRAAERRRRRGWKAVTLAWPYVFSEAYIAYRYVLKTFLCLHSQSHLHGCRQRVGIWMRSNHVYCAISVRQECMLDYKVSTNYT >ONIVA02G29300.3 pep chromosome:AWHD00000000:2:26015999:26019953:1 gene:ONIVA02G29300 transcript:ONIVA02G29300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSTNKQGPRAPSSQASPARFRFVIGADAVYRSTRLRRYGIRSEPHKMNGRLEMSTGPVASPSAIAARVAADDTRSSACPPRAAERRRRRGWKATKGGNLDAKQPCLLCDQRSAGQSDNAAYLYHRCVHECMLDYKVSTNYT >ONIVA02G29300.4 pep chromosome:AWHD00000000:2:26015999:26019953:1 gene:ONIVA02G29300 transcript:ONIVA02G29300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSTNKQGPRAPSSQASPARFRFVIGADAVYRSTRLRRYGIRSEPHKMNGRLEMSTGPVASPSAIAARVAADDTRSSACPPRAAERRRRRGWKAVTLAWYVLSSSGLSRASGSASQRVGIWMRSNHVYCAISVRQECMLDYKVSTNYT >ONIVA02G29300.5 pep chromosome:AWHD00000000:2:26015999:26019668:1 gene:ONIVA02G29300 transcript:ONIVA02G29300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSTNKQGPRAPSSQASPARFRFVIGADAVYRSTRLRRYGIRSEPHKMNGRLEMSTGPVASPSAIAARVAADDTRSSACPPRAAERRRRRGWKAVTLAWYVLSSSGLSRASGSASGQEEAGCHIYMGADKGWEFGCEATMFIVRSAFGRYIK >ONIVA02G29300.6 pep chromosome:AWHD00000000:2:26015999:26019668:1 gene:ONIVA02G29300 transcript:ONIVA02G29300.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSTNKQGPRAPSSQASPARFRFVIGADAVYRSTRLRRYGIRSEPHKMNGRLEMSTGPVASPSAIAARVAADDTRSSACPPRAAERRRRRGWKAVTLACHIYMGADKGWEFGCEATMFIVRSAFGRYIK >ONIVA02G29290.1 pep chromosome:AWHD00000000:2:26012611:26014269:-1 gene:ONIVA02G29290 transcript:ONIVA02G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAVRGAPQWLRGLLSEEFFDSCGAHPGERKNDKNHFCVDCAAALCRHCLPHDASHGVLQIWKYASCFVVRVDDLKLFDCNGIQSHTLSDHEVVFLNERTARKRSASVENPCAACARPLPSGHDYCSLFCKVKHLGESDQGLRRALRVNRRSAAAAGGGGGGEDPAVAEASQSGKRRASSSESRRSCGGTLRKRSRKQPAPARSPSRVSPEGGR >ONIVA02G29280.1 pep chromosome:AWHD00000000:2:26011144:26011782:-1 gene:ONIVA02G29280 transcript:ONIVA02G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFFAAWLSTLRSPLLPLLRRALSSSSSSSSGGWDDPLSSAAAAVEAHFQAHWSALDAAARQDPAQAVSAGDWRSPLELPFLWVGDLHPSLVTSLLRSLSPSPRLLAATDRVDRRIRAAVPSISDRLRRVQEAFISAEVSGAADVEAFLEELKDVALDANRLRRGVLSELVAAAGGYQAALFLEALSRFVLSMHDPEVLRRFDQCRASPGS >ONIVA02G29270.1 pep chromosome:AWHD00000000:2:26004927:26005276:-1 gene:ONIVA02G29270 transcript:ONIVA02G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDGDELDALSTHSASNAQRRWALGTRLPAGQYSTLALLVVSARRGTGRDADADGASGGWAEYVAVREKSGWGVGEVSNRGRGRRRGGRRAVS >ONIVA02G29260.1 pep chromosome:AWHD00000000:2:25999561:26001665:-1 gene:ONIVA02G29260 transcript:ONIVA02G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GAQ9] MDLSNSSPVITDPVAISQQLLGGLPSNLMQFSVMPGGYSSSGMNVGVSRLKIEEVLVNGLLDAMKSSSPRRRLNVAFGEDNSSEEEDPAYSAWMAKCPSALASFKQIVASAQGKKIAVFLDYDGTLSPIVDDPDKAVMSPVMRAAVRNVAKYFPTAIVSGRSRNKVFEFVKLKELYYAGSHGMDIMAPSANHEHSAEKSKQANLFQPAHDFLPMIDEVTKSLLQVVSGIEGATVENNKFCVSVHYRNVAEKDWKLVARLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDSENVIPIYIGDDRTDEDAFKVLRQRNCGYGILVSQVPKETEAFYSLRDPSEVMEFLNFLVRWKKHSV >ONIVA02G29260.2 pep chromosome:AWHD00000000:2:25999561:26001665:-1 gene:ONIVA02G29260 transcript:ONIVA02G29260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GAQ9] MDLSNSSPVITDPVAISQQLLGGLPSNLMQFSVMPGGYSSSGMNVGVSRLKIEEVLVNGLLDAMKSSSPRRRLNVAFGEDNSSEEEDPAYSAWMAKCPSALASFKQIVASAQGKKIAVFLDYDGTLSPIVDDPDKAVMSPVMRAAVRNVAKYFPTAIVSGRSRNKVFEFVKLKELYYAGSHGMDIMAPSANHEHSAEKVTKSLLQVVSGIEGATVENNKFCVSVHYRNVAEKDWKLVARLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDSENVIPIYIGDDRTDEDAFKVLRQRNCGYGILVSQVPKETEAFYSLRDPSEVMEFLNFLVRWKKHSV >ONIVA02G29250.1 pep chromosome:AWHD00000000:2:25996147:25997854:-1 gene:ONIVA02G29250 transcript:ONIVA02G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEENKSKISKEEYERYNNQLELMMKLNEVYEKEPENMAKIFEIMQNMQECGQPPSDLVQDIAPDLDLSKLGQL >ONIVA02G29240.1 pep chromosome:AWHD00000000:2:25986775:25988993:-1 gene:ONIVA02G29240 transcript:ONIVA02G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSVSLAPNTSCHPQHAGSAAGSSRRSHGHLGVLLHVGHSDGRRAGALYAATNLRSLEAIPATGPTLRSLEEAIAAPNLLSHEAVISANNTYQDAKPRARKFFELEMTVQDCDLDQYGVVNNTVYPSYIERVVAPMNVPMNELTITLIIKSLTCMCSTRGVDIRPWNEQNLDSRGEKFVVRLSLGRIKGARIYAEQYIERLPDRKLVVESTATIICLNRKHRPTRVWPELSSKLLDYFSSQED >ONIVA02G29230.1 pep chromosome:AWHD00000000:2:25952785:25953599:1 gene:ONIVA02G29230 transcript:ONIVA02G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITPDPAIDAFNVRQCVISSLPSSGSSVTKLDDATMDVDLEFKVTRAAESYSKYGCCRLGRLIKRRSRCCLTPHLPDAAPLQLAASIEIMRKKEERWKDGEERRLEEEDIVFDMCFPGGSHFIFLT >ONIVA02G29220.1 pep chromosome:AWHD00000000:2:25948361:25952067:-1 gene:ONIVA02G29220 transcript:ONIVA02G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRPPRSSSGGVEPKIRQVGFVTPDASSSAPPAEPPAAAAAAAAAAAAAASSAAAAAAQAQASSEGSPPASELSPGSLSPVMIPPPRHADHLAPGSPSPAASPSELGDDESWSRAPSAAELESNKNDLAEIRNDNVPASIPQKQKTSKAERRAIQEAQRAAKAAAKEAGLSGKSAGTGSGANPAMPKQAKSSKVSQKKDVPQAASTAASEKKVTERPSERDRKKDAPHPRMQFDDVHRVEKAKKRAIVNQSEARNRVELFRHLPQYVHGTQLPGLESKFFQLEPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFQVAIKDYSTPPKKTLSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRIAKLPLTLSESEAKASLQSDIDRFINEKIVVADKVIVSHAITKVRDNDVLLTYGSSSVVEMILDHAHELGRKFRVIVVDSRPKLEGQGLLRRLVEKGINCTYTHINAISYIMHEVTRVFLGASSILSNGTVYSRVGTASVAMVAHAFGIPVLVCCEAYKFHERVQLDSICANELGDPDVILKVPGKADLGHLKNLADNENLQLLNLTYDATPSDYVSMIITDYGMVKYLSPLIAVIYLSYLKIFI >ONIVA02G29210.1 pep chromosome:AWHD00000000:2:25943491:25948045:1 gene:ONIVA02G29210 transcript:ONIVA02G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0GAQ4] MAAASSLHAAPRVGSSSSSAGRRSASAARSVRVAAAAGSCAARRAGGRMVARAAVASKAESPASAASSKSDGHEVLLFEALREALIEEMKEDPTVCVFGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYVLCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAAPLEDATVVQPAQIVAAVEQICQ >ONIVA02G29200.1 pep chromosome:AWHD00000000:2:25936111:25939380:1 gene:ONIVA02G29200 transcript:ONIVA02G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAPRVASAASGSASVLASVFQPCTSIELHRELSGWSNGIATWYGDPNGAGSEGGACGYQYAVDQPPFSSRIAAGSPYIYDSGKGCGSCYRVVCAGNEACSGIPVTVVITDQGPGGPCLEELVDGQCMNEAAHFDMSGTAFGAMARPGQADQLRGAGLLQIQYTRVECEWTGVGLTFVVDSGSNPNYLALLVEYDDNDSDLAAVDIMPIGAGASGSWIPMQQSWGAVWRLNSGSALQGPFSVRLTFSSGQMFVASNAIPAGWNPGMAYQPGGVAMRVRGRNGGRRGYEAVGMLGGLCHLPLLLLLMLFEL >ONIVA02G29190.1 pep chromosome:AWHD00000000:2:25912445:25915160:-1 gene:ONIVA02G29190 transcript:ONIVA02G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQPSVSLAPNTSCQPQHALARAAAGSARSRRISCLHLAVLHVGRHHARALCSNESAFSGANNNTNHDVKLRPRKFFEMEMSVRDCELDQYGVVNNVVYGSYVERAREELAEFLGVSASTAACTGNAMAVSEQNFKYFTPLKRGDNFVVKVTIQIKGVRIYADQFIETLPDRKLVLEAKATIVCLDGEYRPTRVFPELSSKLLDFFSHREASD >ONIVA02G29180.1 pep chromosome:AWHD00000000:2:25904734:25909276:-1 gene:ONIVA02G29180 transcript:ONIVA02G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSVGIVVPNACSHPRNDAVPRVGSSRSRRSSLHLAGRHSHRCHARALCAAPDLRSREAIVSAKDNTNQDAKLRARKFFELEMSVSDCDIDPYGVVNNAVYANYVERAREELAAILGVSASTRGAKFVVKVTLHIKGVRIYAEQFIETLPDRKLVLESTAIIVCLNGEYRPTRVFPELSSKLLDFFSPQESCSD >ONIVA02G29170.1 pep chromosome:AWHD00000000:2:25901572:25904106:1 gene:ONIVA02G29170 transcript:ONIVA02G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTNARRRRLVERGADRLAFITGQAQTLPSDPLPDSPLNSVDAATPQISERNASEGGISGDKFSNITRLHKSQPTDVVPESQLSAKARQEIHDGDLLREFKTSSTVPEIQPVNETPMQRHGEETLGKRINHDRTATVTRKEMETRPKSVPPNQSNQAENAAWSVETLKEHLNFTPHEITQAISATEYNRFLASIAVAFLAVLSNWGLDIGGTITRVLVGTRPLLFLIITNVTIVFTLLMENKDPNVRGRSAGSNLGSADSLGQMLEIGLLLQKSLGALLMDCSICAVILICFL >ONIVA02G29160.1 pep chromosome:AWHD00000000:2:25894939:25901130:1 gene:ONIVA02G29160 transcript:ONIVA02G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAHGRIWMTGGAPRANWVCTQPRTRLGLATYGRTPGGGGHAARGGGGLGDAGPSDRI >ONIVA02G29150.1 pep chromosome:AWHD00000000:2:25892400:25893425:1 gene:ONIVA02G29150 transcript:ONIVA02G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNACKLCYRRFASPRALAGHMRSHSVAAANAAAAAAAAAAAKLQISSASSASTSFTAADEEEEEEEEEEDVGFKKPLSIYALRENPKRSLRVSEYAFSDRESEAESTPTPAAKGLRAGGGGGGGDGEPMSSLSYAGTPEEEVALALMMLSRDTWPSVERGGGGGEYSDDGSDDGYALPPPSPAPAPAPVPEKRTRFQCPACKKVFRSYQALGGHRASHVRGGRGGCCAPPVAPPPQPHPQPPLPEHDAGEEDMDGKAPPHECPYCYRVFASGQALGGHKKSHVCSAAAAAAHAQTLGGGAPPPQPKILGMIDLNFAPPVDEVELSAVSDPHFPSNPPGP >ONIVA02G29140.1 pep chromosome:AWHD00000000:2:25871571:25873430:1 gene:ONIVA02G29140 transcript:ONIVA02G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVAGSHRPPPPPQSLRLVPPPPPQPPPPPLTYRHHCKVCKKGFMCGRALGGHMRAHGIGDDNDTMDDDDGRDDDHSLSPCDGGGEPSEAAGSPTTTTTKRMYALRTNPGRPRNCRTCENCGKEFTSWKTLLDHGRCGLDEEDGRLDVSLRSPPLHDGGDENDGEDEEEGDDLTLAAGGWSKGKRSRRAKVMAVGTGSVSELQLPAPSTEEEDLANFLVMLSSSSSTSSRVAQPAIVVDDADQESCASGSKDEERNRFLVPQPISMAAPMMAQMTVIAPQVVPQHISTVPRGMFECKACRKVFTSHQALGGHRASHKKVKGCFAAKLESSRNETSQTQTQQQHVSAAPHDNTRATTSHVITSDISMDANTIGASADADGKAAASGVGAGEIVLAGASSTDMAMMMSVEDFAPTPLAPSAVSPFKKKGKVHECSICHRVFTSGQALGGHKRCHWLTSGATDPLTKLQPVAQDHAMMAAMCHQLTLGRPIFDPTDQRILDLNVPTNPLAEAVAARQQQQQQVAALNDGALCLNAAASVYLQSWTGHSNGSHVNKTTATSSRLNDAAGGVTTEDDEADSTSAKRAKIGDLKDMKVAGESLPWLQVGIRISSESKEKNTQE >ONIVA02G29130.1 pep chromosome:AWHD00000000:2:25866163:25870481:-1 gene:ONIVA02G29130 transcript:ONIVA02G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec20 family protein [Source:Projected from Arabidopsis thaliana (AT3G24315) TAIR;Acc:AT3G24315] MDKVTQAVENLKEEWNQAVAQLEGCIAAIESCGKMGKGTEEASSLPRLNGSAQDALQLLNSLQCRLDPLAEQLPTFEEVQSGQATLQSWKEQYQKLRMRLRNANLQANANIKKAAQEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERSANTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFLIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSISAEDVVAKVKNVPVPAPAAPAPAPHIYDEL >ONIVA02G29130.2 pep chromosome:AWHD00000000:2:25866163:25870481:-1 gene:ONIVA02G29130 transcript:ONIVA02G29130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec20 family protein [Source:Projected from Arabidopsis thaliana (AT3G24315) TAIR;Acc:AT3G24315] MGKGTEEASSLPRLNGSAQDALQLLNSLQCRLDPLAEQLPTFEEVQSGQATLQSWKEQYQKLRMRLRNANLQANANIKKAAQEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERSANTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFLIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSISAEDVVAKVKNVPVPAPAAPAPAPHIYDEL >ONIVA02G29120.1 pep chromosome:AWHD00000000:2:25851348:25853208:1 gene:ONIVA02G29120 transcript:ONIVA02G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCTLVLLLVALVGLSLLVSPIACSRKLSKPKPKPKPSMKKPVVRAHNNYTGSPSVTVTTGWAAAGATYYGAPNGDGSDGGACGYQTAVGQRPFSSMIAAGSPSLYKGGKGCGACYEVKCTTNAACSGQPATVVITDECPGGICLAGAAHFDMSGTSMGAMAKPGMADKLRAAGILQVQYRRVPCKYSGVNIAFRVDQGANPFYFEVLIEFEDGDGDLNAVDLMEAGCGWTPMVQNWGALWRYNSNTGKALKAPFSLRLTSDSGKVLVANNVIPASWKPGVTYRSLVNYS >ONIVA02G29110.1 pep chromosome:AWHD00000000:2:25841145:25843013:1 gene:ONIVA02G29110 transcript:ONIVA02G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKLLPSIVAFVALACCVLRSSVASVDHHRKLSGWSIGGATWYGPANGSGTDGGACGYQGDVGQPPFNSMIAAGSPSIYESGKGCGSCYQVKCSGNPSCSGKPVTVVLTDLCPGGACLEEPVHFDLSGTAFGALAKPGQDDQLRNAGKLPVQYARVPCKWQGVDIAFRVDAGSNQYYLAVLVEDEDGDGDLSAVDLMQSGGIGGGGSWAAMQQSWGAVWKYNSGPAPLQAPMSIRLTSGSGRTLVASNVIPAGWQPGGTYRSIVNFRRED >ONIVA02G29100.1 pep chromosome:AWHD00000000:2:25831909:25838335:-1 gene:ONIVA02G29100 transcript:ONIVA02G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPDVGVLGGRGLHRDGLKGWPDLRSLSGKKMTTPPPPPVEKQQQQARPAAVAPPDQTLEFSDGLLLRVLACLPEPHLTGAASLVCRRWMRLAGRLRRRLVVRDWAFVTHRLHHRFPELADLDLFPASIVAPAVPSPTSPLLTCAEVSLTLDTGADPPLGACRFLADDVLDRGLVAVAASFPNLRRLSATAASESGGLMDIAGGCATLQELELHRCTDLALRPVSAFAHLQILRLVAASSALYGTSEDGGVTDIGLTILAHGCKRLVKLELVGCEGSYDGIAAVGRCCAMLEELTIANHKMDNGWLAALAFCGNLKTLRLQGCCRIDDDPGPAEHLGACLTLESLQLQQCQLRDRRALHALLLVCEGARELLVQNCWGLEDDMFAMAGLCRRVKFLSLEGCSQLTTRGLESVITSWSDLQSLKVVSCDKIKDEEISPALSELFSTFKELKWRPDNKSRLAASLAGTGMGKKGRVLCKRLREREMKGKGQRTDAGAQLSTNRARMSVKGRAPPPEEGKKNGEKMAAAAAVPIPIPCVAEPTAASRVSPGSSPARSDASEGAAFYAADTEAEPEASVGRSTQMLLAMAAMGGRGGPYGRRPASSYGSCAAWSAGSLTDHRPASPSPICSPVSSNGGEGCRDGDDASSFVTPRLEEDQERLPNRGDFINPSTTPRHIRLQTPRQPSLLDRRFERTNPVPPRFIHKATPARLMRRACSSHNYRRRLGAMDAINEWRLPKVSEEEDEAVDQTNWQADTLSSRISSARDWNFEAGGAYEGSDHNGGAFNHSDGENSPVAVQRMGRWPQGSAVKHKENFVHAKLVAWKNAEIEKLIDKLRRKEADIDEWQMNQVTQAKEKMKRIEIKLEKKRARAAEKMQKAIKDAQKKADKKKIKEHAATDNQIASVERAMVKMSRTGKLPWSLAFL >ONIVA02G29090.1 pep chromosome:AWHD00000000:2:25829338:25830386:-1 gene:ONIVA02G29090 transcript:ONIVA02G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKDVIARCLEARLSKGQMFRQFRRSKIDPVIYYAVYKELRSQNEEFFELFMLKVSLKRQIDRVNHHLALCRKLWRQANESAGGHRCGNSSCSGGHRHRAVAVPVPHAEATPRAMPSTPLAVPLPATAMAQRTQCNLARMPRPQQPNCGGRPGSSRGGAVGLSAAGRMLETPGQPHVAPNGWPAGHGHPASGTLAAAMACSSRPVARQQGNRREQGWDAHQAQVGAQHQNLQRFPPQQGGGNIGERQGQGQFRPGTPSYVQEQRPPPQSSSSHEALQAGERQQANNNSSGSSRQQRQTDTATVASRREPMSK >ONIVA02G29080.1 pep chromosome:AWHD00000000:2:25826782:25829643:1 gene:ONIVA02G29080 transcript:ONIVA02G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCFRAAARMASSACRSAASRSIPSAARRGAPRISRLPVELGCSAGLSLLPLHSAVAAARLTSRLSTASRSCCALSQGTLCRTYPGL >ONIVA02G29080.2 pep chromosome:AWHD00000000:2:25826784:25828832:1 gene:ONIVA02G29080 transcript:ONIVA02G29080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCFRAAARMASSACRSAASRSIPSAARRGAPRISRLPVELGCSAGLSLLPLHSAVAAARLTSRLSTASRSCCALSQGTLCRTYPGL >ONIVA02G29070.1 pep chromosome:AWHD00000000:2:25823221:25823713:-1 gene:ONIVA02G29070 transcript:ONIVA02G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGTVCSMCGDVGFPDKLFRCARCRRRFQHSYALLRRYIVIRTDASWQRMHACSYCTNYYGDGAPVEAGAGVCDWCLSDAAVVAGKKGPSSEGNEEPFSREYRGRSKQAASTGGGEQEGGGGGGRRVSKAGAVRRYKLLKDVLC >ONIVA02G29060.1 pep chromosome:AWHD00000000:2:25814334:25815278:-1 gene:ONIVA02G29060 transcript:ONIVA02G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSLGDSFSATSVKAYVAEFIATLLFVFAGVGSAIAYGELNAFSGQLTNGGALDPAGLVAIAIAHALALFVGVSVAANISGGHLNPAVTFGLAVGGHITILTGLFYWIAQLLGASIACLLLKFVTHGKAIPTHGVAGISELEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIGSYQPVADQDYA >ONIVA02G29050.1 pep chromosome:AWHD00000000:2:25806324:25807830:-1 gene:ONIVA02G29050 transcript:ONIVA02G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHMLGRMRRRDHLLLMDAAPPPAAVAAAVQTLMEPMEFLSRSWSVSASDISRVLTGGVGARRSTNFVVDRLSGMLMPETLALAAASSTNLSPRKRYSRCRSAISAHQHTIGRWFHHRDGSSSSSSSRVDKARAERARVHAAVTVASVAAAVAAVASGAANDPDDLDDAKMDAALASATQLLASHCIEIAELAGADHDQVASAVEAAVDVRSPGDLMTLTASAATALRGATALRLRAQREARSKAAAVAPYEKTGSCRGDIWCKEGTLLKRSRKGALHRKRVAVYINKKSQVIVKLKSKHIGGAFSKKKKSVVYGVDDDVQAWPAPHACGGGAVPPAPETASSEKCQFGLRTAQGVVEFQCESRAQKQDWVESVKNLLRQAAGGTAQLEHSFESLRLSAS >ONIVA02G29040.1 pep chromosome:AWHD00000000:2:25801040:25806249:1 gene:ONIVA02G29040 transcript:ONIVA02G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATGEVAADEPHHEPSLVVLILVLPPQLPLICSPVPSAGVAREASISGRPSPPSSSSASPPPKRPPAKPRGRKDGGGGVPRFLVDLISSGRPGEQPRAVATVTARSAPPRPDLAGWRLAAGMETAAGRNCGDGVGRDAAGSTAAGMATAAEALDPATLKADLATRRPDRASSWRGRRSSRQRGCGNRASLGTRRGGSGGDIRRQELLEVVVPTAVLLTAPAICNVHGGSQPDLGAAGGGRLATPVGDSGGGVVVAVGGGGCWLLGGGGRRRNDGLRRRWFASVAAATAAVTVEAVATSNTETPRLLPLR >ONIVA02G29030.1 pep chromosome:AWHD00000000:2:25794529:25797401:-1 gene:ONIVA02G29030 transcript:ONIVA02G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSALGSGGGGGGASTSATSPVAASSSSGGRPRTRSGGRLLRATSPPPPSAIAAAACWESRTMRREGEEEWEEVVAGAEEAVHGAPVPVAEEEEEYRVVFWSPPTGDEVRAAFTSIEEVFGDPFRAHSYETEEQSALSTSVHSSSGNSSGSDDWIEPAAYALNSTALLTREHRNVLDAFRLLQKDPNVQKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDDKEAAGRKGNPGGPAGVLKWILGNTQAKIMEFMNNIMKIVNMLFHSDDDEAKPDLYTDAVKVSFMLSVFIFIVVAIARINFEPWDFKVW >ONIVA02G29030.2 pep chromosome:AWHD00000000:2:25794787:25797401:-1 gene:ONIVA02G29030 transcript:ONIVA02G29030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSALGSGGGGGGASTSATSPVAASSSSGGRPRTRSGGRLLRATSPPPPSAIAAAACWESRTMRREGEEEWEEVVAGAEEAVHGAPVPVAEEEEEYRVVFWSPPTGDEVRAAFTSIEEVFGDPFRAHSYETEEQSALSTSVHSSSGNSSGSDDWIEPAAYALNSTALLTREHRNVLDAFRLLQKDPNVQKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDDKEAAGRKGNPGGPAGVLKWILGNTQAKIMEFMNNIMKIVNMLFHSDDDEAKPDLYTDAVKVSFMLSVFIFIVVAIARIK >ONIVA02G29030.3 pep chromosome:AWHD00000000:2:25794787:25797401:-1 gene:ONIVA02G29030 transcript:ONIVA02G29030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSALGSGGGGGGASTSATSPVAASSSSGGRPRTRSGGRLLRATSPPPPSAIAAAACWESRTMRREGEEEWEEVVAGAEEAVHGAPVPVAEEEEEYRVVFWSPPTGDEVRAAFTSIEELPFAFRVFGDPFRAHSYETEEQSALSTSVHSSSGNSSGSDDWIEPAAYALNSTALLTREHRNVLDAFRLLQKDPNVQKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDDKEAAGRKGNPGGPAGVLKWILGNTQAKIMEFMNNIMKIVNMLFHSDDDEAKPDLYTDAVKVSFMLSVFIFIVVAIARIK >ONIVA02G29020.1 pep chromosome:AWHD00000000:2:25792824:25793864:1 gene:ONIVA02G29020 transcript:ONIVA02G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTKPHPSSNLLLPTTTALPNPSPNPSRVTPLASGRGRRGHHRVCASSVAPAQPASVAQPTAPALSRVDVLSEALPFIQRFRGKTVVVKYGGAAMKSPELQSSVIRDLVLLSCVGLRPVLVHGGGPEINSWLGRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKQLVSLISVAGATAVGLCGKDARLLTARPSPDAAALGFVGEVTRVNPSVLRPIIESGHIPVIATVAADETGQAYNINADTAAGEIAAALGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGKVGGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >ONIVA02G29010.1 pep chromosome:AWHD00000000:2:25788069:25792714:-1 gene:ONIVA02G29010 transcript:ONIVA02G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGGVGDDRAPELVRCARAAAAQPKPPQIVKCPQILPPPRLATSNRNPGIRLGVGAFPLRLAGGGIGTVVWAHWEGWLGFVSWRALMILTRSGSAPALLHRRRLAGCGGSHQSVRASPALRRKEEVIQKQQEGVPFLWIKLLG >ONIVA02G29010.2 pep chromosome:AWHD00000000:2:25788046:25792372:-1 gene:ONIVA02G29010 transcript:ONIVA02G29010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDDPDPERQRSSSVAPAPPRRLRWQPPIGPCLPRAPTKRRSHSETARRGALPVDQAFGLVMQPTPI >ONIVA02G29000.1 pep chromosome:AWHD00000000:2:25787418:25792098:1 gene:ONIVA02G29000 transcript:ONIVA02G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNCNKVIRSPNCLPVNEPSFHNWLCESRMKIGERHRRGFDTVVTLMAWTIWKERNNRIFNQQQKSWTEVVKAMAEEAMLWKSANHGIPARSTMLFACCNSCARSSNGFTNYHKEIDSS >ONIVA02G28990.1 pep chromosome:AWHD00000000:2:25774192:25774869:1 gene:ONIVA02G28990 transcript:ONIVA02G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSFGSEPTTSSSGGEAPASPPSTASSSSDGAGGKKKRPRKDGHHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRAAHLNFPDLAHELPRPATAAPKDVQAAAALAAAADFPASSANAGASNNPDGSDDASAGSASPPPPPDAADDALFDLPDLLLDLRYGPPSSGLSCASSWEDEVGLISGAGAAAAGVFRLEEPLLWEY >ONIVA02G28980.1 pep chromosome:AWHD00000000:2:25769566:25769865:-1 gene:ONIVA02G28980 transcript:ONIVA02G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGAALALAAAVCFLLMAPAPARRPADLPPQAVLLLPEPVDYREAAAELLLPPKPVADANADVADADLTTAAALTVPDEEERGTARARASLLCFVFR >ONIVA02G28970.1 pep chromosome:AWHD00000000:2:25763664:25767165:1 gene:ONIVA02G28970 transcript:ONIVA02G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFHGLLKWSEKLSGMDQHGKKEAQILISKQSKKELYLGKQRESLHTFERKAPTVSREPHGGVFVGTAKGLPIGRKSLAKEMRLQNCEEKKTKTNAPCTYLQGKREKRDMEDGEQGSLGLTCGGEECGCGSTAASTTGGLCRRLGHSQGSLDGGGGIGIGIGGEGSDGGGIESSGGGGDGERERAGRGEPTLKGEESWREERNRAEKAKAKEPPLLSCGIGSKGGGRRGTWRRPEQHSRGEGSCGGDGDGDGACERRRWASGCRIRRRGDRGHRIRRPRPPH >ONIVA02G28960.1 pep chromosome:AWHD00000000:2:25747723:25748604:1 gene:ONIVA02G28960 transcript:ONIVA02G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAIHAPTTTTSSDSGSCVTNGTREKREISKHKQLKRKRSTSPAPPPGCGGGQGQEAAVETDADAGAAGRGGGAGEEESSSCGGAGAGEGERKRGDAGRHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAAHLNFPSLAHTLPRPASTSPSDIQAAAALAAAAAATDQCESSSSAVAAATATDAEAAESTSSAAASPCAATSSVEENALFDLPDLLLDLSDGLWCSPVWTTAPADQYDAGDDGDDAAAPLLWAEQCWMDAPAAPVQPD >ONIVA02G28950.1 pep chromosome:AWHD00000000:2:25742256:25746641:1 gene:ONIVA02G28950 transcript:ONIVA02G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMIRPTGPEPRTMPVKSPSNDPPTYAAWSYADRLKEKFNKDALQPTGTRPLSLPRRAAAEPPPPPPPSPLPTRLRLLAPNRLGASARERAPLLLHGGCAASSRSTGPSSGSDLGAVASSSSVMYGRMPKKSNNTKYYEVLGVSKTATQDELKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLNDPEKREIYDQYGEDALKEGMGGGSSSDFHSPFDLFEQIFQNRGGFGGRGHRQKRGEDVVHTMKVSLEDLYNGTTKKLSLSRNALCTKCKGKGSKSGAAATCHGCHGAGMRTITRQIGLGMIQQMNTVCPECRGSGEMISDKDKCPSCKGNKVVQEKKVLEVHVEKGMQHGQKIVFQGEADEAPDTVTGDIVFVLQLKDHPKFKRKFDDLFTEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGQHKAINDEGMPQHGRPFMKGRLFVEFNVEFPEPGALTPGQCRSLEKILPPRPRNQLSDMELDQCEETTMHDVNIEEEMRRRQQHRRQEAYDEDDDEDAGAGPRVQCAQQ >ONIVA02G28940.1 pep chromosome:AWHD00000000:2:25734239:25738969:1 gene:ONIVA02G28940 transcript:ONIVA02G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKETYRVELRAAARQLGERGLYSAAKWAAELLVGIEPDATPAPSLVMDTPSSSGSAASGGRLLHLHRSGGSSFRRRLRPGAAEAGTPLGGVSYVSTPIPDDDAFDVGGDRYLLAKTYFDCREYRRAAHVLRGQTGRKAVFLRCYALYTAGEKRKEEETVELEGSLGKSNAVNQELVALERELATHRRTGAIDSFCLYLYGIVLRDKGSEALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNIKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYAVYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEEAIKCYERSANNNDTEGIALHQLAKLHGMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRAQSVLPLMDIDHFAIKLHIAGDVDLVCMMISSSC >ONIVA02G28930.1 pep chromosome:AWHD00000000:2:25727039:25738402:-1 gene:ONIVA02G28930 transcript:ONIVA02G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G51290) TAIR;Acc:AT5G51290] MPAISVTNYQASATGRDHHAHKVNISSNMEFSGKDTNNKDYIMKLGSGCSTGTGDTQKSKLKFSDVYAVELLEVGPVCEPWNARATVQGKINTEMNRFVIHTVTRPRKRPSPWVPCEYIFGHKDQQTCKTWVEHIKTCINKEQDRPKSLMVFVHPLCGKGRGCKNWETVAPLFERAKVKTKVIVTQRAGHAYDTLASLSDKDLKKFDGVIAVGGDGLFNEILNGLLSTRHTNSYPPTPEGFGYFRNNMKCQEHRNNDLSNSELTGDDANAISGSSNTPDDHEPLLSTTRSTGLDISSSDSSDEPCNGDQVPLVSFPNNWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRISLDIAQVVRWKSSPSAEVSPTVRYAASFAGESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTHSLTASAENNANGVQTLQYHQNRHRKTICRTNCLICKGTLTSEQNSEDENPDSSRTACETPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPFYLWHLTQFTKKGSDPLSFKFVEHHKTQAFTFISSHDESVWNLDGELLQACEVSVQAFRGLVNLFASGPEKMENTAPRLRNAEAWVASILPPERAGIVSF >ONIVA02G28930.2 pep chromosome:AWHD00000000:2:25727491:25733118:-1 gene:ONIVA02G28930 transcript:ONIVA02G28930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G51290) TAIR;Acc:AT5G51290] MEGGGEALFLDGVGEVTVAVGDDGLSFQPLHQDGVRKFVASGLRVLESKKRKEVSSSCWSSIIMQPKLESKLKFSDVYAVELLEVGPVCEPWNARATVQGKINTEMNRFVIHTVTRPRKRPSPWVPCEYIFGHKDQQTCKTWVEHIKTCINKEQDRPKSLMVFVHPLCGKGRGCKNWETVAPLFERAKVKTKVIVTQRAGHAYDTLASLSDKDLKKFDGVIAVGGDGLFNEILNGLLSTRHTNSYPPTPEGFGYFRNNMKCQEHRNNDLSNSELTGDDANAISGSSNTPDDHEPLLSTTRSTGLDISSSDSSDEPCNGDQVPLVSFPNNWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRISLDIAQVVRWKSSPSAEVSPTVRYAASFAGESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTHSLTASAENNANGVQTLQYHQNRHRKTICRTNCLICKGTLTSEQNSEDENPDSSRTACETPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPFYLWHLTQFTKKGSDPLSFKFVEHHKTQAFTFISSHDESVWNLDGELLQACEVSVQAFRGLVNLFASGPEKMENTAPRLRNAEAWVASILPPERAGM >ONIVA02G28930.3 pep chromosome:AWHD00000000:2:25727491:25733118:-1 gene:ONIVA02G28930 transcript:ONIVA02G28930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G51290) TAIR;Acc:AT5G51290] MEGGGEALFLDGVGEVTVAVGDDGLSFQPLHQEVSSSCWSSIIMQPKLESKLKFSDVYAVELLEVGPVCEPWNARATVQGKINTEMNRFVIHTVTRPRKRPSPWVPCEYIFGHKDQQTCKTWVEHIKTCINKEQDRPKSLMVFVHPLCGKGRGCKNWETVAPLFERAKVKTKVIVTQRAGHAYDTLASLSDKDLKKFDGVIAVGGDGLFNEILNGLLSTRHTNSYPPTPEGFGYFRNNMKCQEHRNNDLSNSELTGDDANAISGSSNTPDDHEPLLSTTRSTGLDISSSDSSDEPCNGDQVPLVSFPNNWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRISLDIAQVVRWKSSPSAEVSPTVRYAASFAGESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTHSLTASAENNANGVQTLQYHQNRHRKTICRTNCLICKGTLTSEQNSEDENPDSSRTACETPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPFYLWHLTQFTKKGSDPLSFKFVEHHKTQAFTFISSHDESVWNLDGELLQACEVSVQAFRGLVNLFASGPEKMENTAPRLRNAEAWVASILPPERAGM >ONIVA02G28930.4 pep chromosome:AWHD00000000:2:25733774:25738402:-1 gene:ONIVA02G28930 transcript:ONIVA02G28930.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G51290) TAIR;Acc:AT5G51290] MPAISVTNYQASATGRDHHAHKVNISSNMEFSGKDTNNKDYIMKLGSGCSTGTGDTQKD >ONIVA02G28920.1 pep chromosome:AWHD00000000:2:25724592:25725524:-1 gene:ONIVA02G28920 transcript:ONIVA02G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVVEAAASSSPSTQGGGGGGIHVVAKNAMNSSKQFSSALVPVAVLLAVAVGAVSLLPSLAQAVWEVPHLFLLGLVVSYGVFAQKISTGGSGGGGGGGGGDDGARAWNSRYLSDDPLVVVADNAASDDDDGGGASGRPLSLPVRRLKPPPPAPTMPGIESEAGDASDDGIGAETDSSASTAGFWGAAPSPPSVLDAVCRSRKPAATATAAAPSAMSKGFPSYISPAPRCDQSFSDDGEVTDWDDDDDEKADVQDEMAASPQRSYVDHDDYNGDGDELSELAAEVEDEVDRKADEFIAKFREQIRLQRL >ONIVA02G28910.1 pep chromosome:AWHD00000000:2:25723257:25723612:1 gene:ONIVA02G28910 transcript:ONIVA02G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKATVTLHGCDSTGIALKVKCAMGRDRDHAPDEAIVSDVIIIPDVTDRYILVMSSRFFRRSDQTEVWVKMKVCVLPLRSGCILHGCQDGCVGPNQA >ONIVA02G28900.1 pep chromosome:AWHD00000000:2:25718139:25720687:-1 gene:ONIVA02G28900 transcript:ONIVA02G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTAATAPPGDEPEAKGRSNSILLPILGILLVYLLYRYLRPRLRGLRLDRLTSRLPACLRRSRTANTMLPYFAPIADRLGALQPYLAPIADRLGVGAQGGVGAGAYGRADALVKFPGGEALSVAAILEAPGEVVAKSSHSTLYRAAMRSGEAAVLLRFVRPACAVTSDEASAAARRIGAVSHPNLVPLRAFYVGPRGEKLLVHPFYAAGSLRRFLQEGIVDSQRWNIICKLSISIVKGLDYLHTGLEKPIIHGNIKTNNILLDANYECKISDFGLYLLLNPAGAQEMLETSAAQGYKAPELIKMRDATRETDIYSLGVVLLEMLAQKESAKDNTPNPRDILLPVSFKNLVLERKISDAFSSDLVRQSKKSGKEKNLNAFFELATACCSPSPSLRPNTKFILKKLEEIAK >ONIVA02G28890.1 pep chromosome:AWHD00000000:2:25716596:25717036:-1 gene:ONIVA02G28890 transcript:ONIVA02G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVQAYRLQYNFRINIGVLLYYDLLPSTSARTTDSIDIFFTDEHSLYFVSESAPASHSRRARGDETPSWRKSGSAREGDLSRCKTEATQPPNSTTRAILASNPWADAPAARPLRRL >ONIVA02G28880.1 pep chromosome:AWHD00000000:2:25710190:25714646:1 gene:ONIVA02G28880 transcript:ONIVA02G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cationic amino acid transporter 9 [Source:Projected from Arabidopsis thaliana (AT1G05940) TAIR;Acc:AT1G05940] MSPIMTCTSPKGTLRNPVPTEPHRMTQEPTSLLPVWARAPTRRPKTTRRHPLHLHEAAMEEEGADHRPPSSSSGGRGFLSGLCAAALRRKPISAHAAHAASGEGLVRQLGVFELVLLGIGASIGAGIFVVTGTVARDAGPGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNELTAFLVFTQLMLDYHIGAASIARSLASYFVQFLELIPFLKGHIPTWIGHGEEFFGGVVSVNILAPILLIILTTILCYGVKESSAVNTFMTTLKIVIVIVVVFAGVFEVDVSNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKKPQRDLPIGILGSLLACVLLYVAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHPTRHTPLHSQIWVGCVAAVLAGLFNVHELSHILSVGTLTGYSVVSACVITLRWNDKTTSCRSLGNMSIWQEGVLCLVIIALCGFVAGMCYRFSYAIAFMIIALLIAVAAGFALQFRQVYVDPPGFSCPGVPIVPMVSVFFNMLLFAQLHEEAWYRFVILSLLAVGVYAGYGQYHAVPSSSDHPHPAVAYHGIPSEAP >ONIVA02G28870.1 pep chromosome:AWHD00000000:2:25700177:25704223:-1 gene:ONIVA02G28870 transcript:ONIVA02G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51180) TAIR;Acc:AT5G51180] MGDLGGAWHEAAAAEEEEAQAPGGGVGPEPDHLVVMVHGIVGSAADWKFGAEQFEKLLSDKVIVHRSNRNMYKLTLDGVDVMGERLAQEIVEETNKRPQIRKISFVAHSVGGLVARYAIGRLYRPPKQTSQSSQNLNNTNKGTIHGLEAVNFITVASPHLGSRGNKQVPFLFGFTAIETFASYIIHLIFGKTGKHLFLTDNDDGKPPLLLRMVDDWGGVQFMSALKVFKRRVAYSNVGHDHIVGWRTSSIRRNSELPKWTDSGSKIYPHIVYEELSKAETMNQCTDVADVDSCMLEERLLRGLKLVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHSEGADVINHMIDHFVL >ONIVA02G28870.2 pep chromosome:AWHD00000000:2:25700313:25704223:-1 gene:ONIVA02G28870 transcript:ONIVA02G28870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51180) TAIR;Acc:AT5G51180] MGDLGGAWHEAAAAEEEEAQAPGGGVGPEPDHLVVMVHGIVGSAADWKFGAEQFEKLLSDKVIVHRSNRNMYKLTLDGVDVMGERLAQEIVEETNKRPQIRKISFVAHSVGGLVARYAIGRLYRPPKQTSQSSQNLNNTNKGTIHGLEAVNFITVASPHLGSRGNKQVPFLFGFTAIETFASYIIHLIFGKTGKHLFLTDNDDGKPPLLLRMVDDWGGVQFMSALKVFKRRVAYSNVGHDHIVGWRTSSIRRNSELPKWTDSGSKIYPHIVYEELSKAETMNQCTDVADVDSCMLEERLLRGLKLVSWEKVDVSFHNSKVRSAAHSVIQVSLCPSKPCSFICCVQTESILFTRSFLLT >ONIVA02G28860.1 pep chromosome:AWHD00000000:2:25694641:25696936:1 gene:ONIVA02G28860 transcript:ONIVA02G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGNKGTATPVLLNVYDLTPANDYLYWLGFGVFHSGIEVHGMEYGFGAHDFPSSGVFEVESKSCPGFIYRKTVWLGTTDMSHGEYRSFIEKLAGKYHGNSYHLVSKNCNHFTDDVCSFFDCLLPESVQVSPVGRVPTLRPVADDDLDSISTVSDNNEEDKHLLPAVSDNNEEDKHLLPAPSNDLHSVDVPLKLAKDVL >ONIVA02G28850.1 pep chromosome:AWHD00000000:2:25688836:25692460:-1 gene:ONIVA02G28850 transcript:ONIVA02G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPALSLAEAAPVTAVLAPCPTPSRTFRRRSWVAAICRPALKCHHSRPLTAVAAAAAAAAAAGDSTSAGVFHGECFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGSFAFVGLPTAAYPTPFVAPGEETTRYAVIIGGANFGCGSSREHAPVALGAAGARAVVAEGYARIFFRNSVATGEVYPLELADTGAWKECKTGDVVTVELDNCVMINHTSGKQYKLKPIGDAGPVIEAGGIFAYARKTGMIASKSA >ONIVA02G28840.1 pep chromosome:AWHD00000000:2:25679815:25680852:1 gene:ONIVA02G28840 transcript:ONIVA02G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGDIDDLISLQLLHDQLLGVEADACLPVAVHHDGVAAFAEHQQGFHPAAFLPQQPMTMTPAGYVDMANDQYLGAHAAAGEAEAVYRAAAAEPVMIRFGGEVSPVSDPRRPPLTISLPPTSHAWAAAEAVHPAALLQAQAAAAAADPNDFRKYRGVRQRPWGKFAAEIRDPKKRGSRVWLGTYDTAIEAARAYDRAAFRMRGAKAILNFPNEVGSRGADFLAPPPPPPPPTTSTHGKRKRHETAAADPDVEVIGESSKSVKTETYTSPASSSLASTTTSTVTSSSTSPSPSSEAAACGGGGGGELFVPPMPSSWSWDQLEGFFGILSPLSPHPQMGFPEVAVN >ONIVA02G28830.1 pep chromosome:AWHD00000000:2:25665615:25668680:1 gene:ONIVA02G28830 transcript:ONIVA02G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKAFSSRSPAPPRTNSGERGLAAGSGGGGGGMDRWTGVLNVPLSRGGPPFRVAASLVLTPAKTLAVPCANAILFTGDRVRGSGDPAIERLSDAAYLARLLAGKLTGEANAWVVDAACFAGSFAVYRDLVPSVDAVGDPERNFALTFFFVNIQSMVTKATMKGSSGNQSPTTSLLKYCPPKTVILGFSKGGVVVNQLVTELACLASESAKISDDVSGSSPPLLTRNLLVPISATEFLSSVSEFHYVDVGLNHAGAYITDHAVIKEIANYLMHTSKNLCFVLHGTPRQWSDPNRSWIQMEKEVMLRLLQDEAQRCEGRLVLSQKRYFDGKPRSLLMHFEILEVMDIG >ONIVA02G28820.1 pep chromosome:AWHD00000000:2:25661261:25665593:1 gene:ONIVA02G28820 transcript:ONIVA02G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRSLSPSRPIDRPIADQSPSGLRRQPRADSDGRTKVVVLVEPEPEERPKVGGAAVAHGEAAEKEVVFPMAWTDEDESCPEGTMPMRQTTKRDSRWAQPLETEGSSNFGRPK >ONIVA02G28810.1 pep chromosome:AWHD00000000:2:25658800:25659711:-1 gene:ONIVA02G28810 transcript:ONIVA02G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPASREVAALDSIRHHLLEEEEETPATAPAPTRRPVYCRSSSFGSLVADQWSESLPFRPNDAEDMVVYGALRDAFSSGWLPDGSFAAVKPESQDSYDGSSIGSFLASSSSEAGTPGEVTSTEATVTPGIREGEGEAVAVASRGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFDSAEEAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAAAGNKRPYPDPAISGSSSPSSSSSSSSSSSSGSPKRRKRGEAAAASMAMALVPPPPPPAQAPVQLALPAQPWFAAGPIQQLVS >ONIVA02G28800.1 pep chromosome:AWHD00000000:2:25649522:25651525:-1 gene:ONIVA02G28800 transcript:ONIVA02G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCRERRRLMREAVRLRRHLAASHAAYLRSLTHAASALSRFAVGEPLPVSDHAPPAVIVHSPVVAPSTPPPLLRSIEQQQQLRRRAQELEEEVGAAVDDHLVGGGAGVPSVTREEGGGDEELRMVVRHRSLAEVAAGLEEAFLKASVAGDAVSSHLEASNDEFKRKPLATLLNSHIHIYCTCGHCLTGQYKGGPHSILGALCCLSAPSVAHDRVDSINGEQRHSSNLQQLLAWEKKLYREVKARERLQVQHDKKKAELSDQEYSRKIDVDVLKLKAAWEKARAQLTTASQAVAATSASIAELRDTHLARQLLGLCHATLDMWRAMRQHHEAQGLIAQQLRGLSSRTSMDPTTEIHHETTRALEVAMSSWCAALGHLAKHQRDYVHALHGWLKLTLQEAPAVVDGAEAVASPVAAELAAFVERWGQALDRVHCADVLKSIKSFAGAVRSLYGLQSDELRVARRVSQYSRELDRKSRMLRQVEKSYYDSYVPPMGLSLWHRGMRHWGDDGMHARDASNEVAQRRDEIAACRRTVEDEMRKHAKAIDATRSAAVTCVQGKLPAVFQSMAVFSASLAHALEAVCRHGTHVQ >ONIVA02G28790.1 pep chromosome:AWHD00000000:2:25644513:25651026:1 gene:ONIVA02G28790 transcript:ONIVA02G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSARVVSWWISVVGSMDVRLLSPRSCCAIRPCASWCCRIALHMSSVAWQSPSSWRARCVSRSSAMDAEVAATACEAVVSCARAFSHAALSFRTSTSIFLLYSWSLSSAFFLSCWTCSRSLACIATSNHSTGHTSYNTHGNTYGMTASSFDSTMCFLVFCHYLDLSIQLLLPGQQLLQVGAMPLLAIDAVDAVVRNRRRRQAAKRAQDAVRSTCNKINSFGGDQWQENFLLCNSCSLSVFTFK >ONIVA02G28780.1 pep chromosome:AWHD00000000:2:25636470:25641493:-1 gene:ONIVA02G28780 transcript:ONIVA02G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLTEHDHQPEQEPPHSENHLKQAEPNSFQFAEKETGYAGLQNFTGPKVDVGQTSGEQQHVKQMVGQQAPPGAQDARKRGYQPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFAQMQAQAQRSGQANANQQANANQYSLQSQVSSSGSAQLHDQQVHVSTTPNQGQKNQALSSSQTFVQSGTQVQSSMTAHDNSIQRPDAKGMHVTPNRPPVMNSAISAQTMNKQQQPTQVQQASQQIYGTTNRPDQPYTRPIGGSTPLSSLSSESEIRPSSHPAKMEILPSHPMTQQNAAAQQMQQNKDVKTNASNPRSNAKQDLGTGKGRAVGTGGSSTKSQGKQGPPNVSTPPAAKSNKKTAGQKKSLETSGSTPPPPSKKQKTSGTFQEQSFDQLNDVTAVSGVNLREEEEQLLSAPKEESWASEEARKIAQEEDGKLFLQKGPLLKKLAAIVPKCNLKSIGGDVEHCLSMCVEERLRRFISTLIRVSKQRIDTEKSGHRLVITSDVGRQILRMNQKAKEEWDKKQAEETDKNKKQNEVDGSGTVELDKEKEETRSKNAKPNKEEDDKMRTTAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDLAASSQRGTASRSHMAGKGPTDHHEASKRTHSAAFGTGGMNRQGRGPFAASHPKGPQRTISMKDVICVLEREPQMTKSRLIYRLYERLPGDSTRD >ONIVA02G28770.1 pep chromosome:AWHD00000000:2:25632631:25637765:1 gene:ONIVA02G28770 transcript:ONIVA02G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVADKIVEPKGPLVATAQLPNNVELLKSVVLNPSREGGSSQEQYEKDLLAEGEESFRSEESSDEGGRSSFSGVSHPPEPIDVDLMSTVYVAIDEEKPEPPECLMRGLSVKGASMEDLSVHAMDAKPDVIVCTVNVNGLVEERKVHCAVVAPDAVEAVSSTQASEEKDCVWDASFPPSGNVSPHSSSDSTGAVAATSIRGSSTSTCRSGVLTSESILTVEKTCESAKDSARGNSMESTKTSMSQASDSSGVSDDSNWSNITGSANKPHKGNDPRWRAILAVRGRGNVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPRKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASASDSDPRRAGGSFCVQPACMEPSSVCIQPACFMPKLFGQRSKKQGRRPRSELGQGGATALPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYAGRDLIRGLLVKEPQQRLGVKRGAAEIKQHPFFEGVNWALIRCSTPPEVPRHVEAELPAKYGVAEPVASGGGGGKRVVGAEVRSGGKYLDFEFF >ONIVA02G28760.1 pep chromosome:AWHD00000000:2:25625811:25629514:1 gene:ONIVA02G28760 transcript:ONIVA02G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT4G16800) TAIR;Acc:AT4G16800] MRILRGILAVSGHLAGRRAPANNVHLALFSRALQILAQQEPVRLQKLSAPDSGIVEMRLERPEARNAIGREMLQGLRSAIEKVKADATANVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFEALSIPTIAIVEGAAFGGGLELALSCDLRICGENATFSLPETGLAIIPGYVFKMALYFCKAGGTQRLPRIVGKSRAKELIFTGRRFDAVEAVTMGKKNHYDMYVLIAGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >ONIVA02G28760.2 pep chromosome:AWHD00000000:2:25625811:25629514:1 gene:ONIVA02G28760 transcript:ONIVA02G28760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT4G16800) TAIR;Acc:AT4G16800] MRILRGILAVSGHLAGRRAPANNVHLALFSRALQILAQQEPVRLQKLSAPDSGIVEMRLERPEARNAIGREMLQGLRSAIEKVKADATANVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFEALSIPTIAIVEGAAFGGGLELALSCDLRICGENATFSLPETGLAIIPGYVFKMALYFCKAGGTQRLPRIVGKSRAKELIFTGRRFDAVEAVTMGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >ONIVA02G28760.3 pep chromosome:AWHD00000000:2:25625811:25629514:1 gene:ONIVA02G28760 transcript:ONIVA02G28760.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT4G16800) TAIR;Acc:AT4G16800] MRILRGILAVSGHLAGRRAPANNVHLALFSRALQILAQQEPVRLQKLSAPDSGIVEMRLERPEARNAIGREMLQGLRSAIEKVKADATANVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFEALSIPTIAIVEGAAFGGGLELALSCDLRICGENATFSLPETGLAIIPGAGGTQRLPRIVGKSRAKELIFTGRRFDAVEAVTMGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >ONIVA02G28760.4 pep chromosome:AWHD00000000:2:25625811:25629514:1 gene:ONIVA02G28760 transcript:ONIVA02G28760.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT4G16800) TAIR;Acc:AT4G16800] MRILRGILAVSGHLAGRRAPANNVHLALFSRALQILAQQEPVRLQKLSAPDSGIVEMRLERPEARNAIGREMLQGLRSAIEKVKADATANVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFERLPRIVGKSRAKELIFTGRRFDAVEAVTMGKKNHYDMYVLIAGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >ONIVA02G28760.5 pep chromosome:AWHD00000000:2:25625811:25629514:1 gene:ONIVA02G28760 transcript:ONIVA02G28760.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT4G16800) TAIR;Acc:AT4G16800] MRILRGILAVSGHLAGRRAPANNVHLALFSRALQILAQQEPVRLQKLSAPDSGIVEMRLERPEARNAIGREMLQGLRSAIEKVKADATANVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFERLPRIVGKSRAKELIFTGRRFDAVEAVTMGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >ONIVA02G28750.1 pep chromosome:AWHD00000000:2:25618788:25625239:1 gene:ONIVA02G28750 transcript:ONIVA02G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAAAAVTSPPAAAQVHGVVPRHASPTPQQRAAPRREQSPLNPSSQSIRSASSGTELAGSAATEASRAHIANLDRVLGKPPQVPRPASHAAASKQERQQQDGELEPLNVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRSTIAPRWRSLHGEDGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSAMSMPPWAKRPNTPEWLTQQSNWIGYVAVCESEREVARMGRRDIAIVLRGTATCLEWAENLRASLVPLDGESGEGGGQEEPKVARGFRSLYKTAGEKVKSLSEEVMGEVRRLMEKYKGEELSITVVGHSLGGALALLVADEIATTVPDAPPVAVVSFGGPKVGNAAFVDKLQKSGRVNVLRIVNAGDMVTKVPGVAPRLPLTKEQYQHVGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQLQRGNVKKEYVNRARELGVDPSAPVDVGRSMAYGNCAVASPSS >ONIVA02G28740.1 pep chromosome:AWHD00000000:2:25604736:25609969:1 gene:ONIVA02G28740 transcript:ONIVA02G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLEHAFNFFLHDQVGWSNTRINKWAFTAATTRQAFPRVEANAAQHTAGPAPAALAFGSTPPLHDSHARAGRGLPPLPRPRYFIVSPPAKTRSHAHLPPRLPLSPPSSPRARVSLPPSLRPDPRGLEEAAGDPRRASRGHSPPSPSGMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKTSCCSS >ONIVA02G28740.2 pep chromosome:AWHD00000000:2:25606437:25609969:1 gene:ONIVA02G28740 transcript:ONIVA02G28740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTGDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKTSCCSS >ONIVA02G28730.1 pep chromosome:AWHD00000000:2:25603071:25603568:-1 gene:ONIVA02G28730 transcript:ONIVA02G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQALSNAPPPRGRAAAGGELGALTGDGCIFERCRCHRHGAWSSSTMITPPPRGAAVSKLGILSDNGAFCSAPTHLSSQSHRCCQRRVRVPARSRLSSAMAYSSGPEDLARFVSLLGERERGNDEEKKTGKRAYDTSKAFSQEWRIDKFDRDSDSFDDSSDLKT >ONIVA02G28720.1 pep chromosome:AWHD00000000:2:25590444:25593646:-1 gene:ONIVA02G28720 transcript:ONIVA02G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPAAAAAAAHVVHIEAVQTAVPTRVVEPGRTRLVAVAAPPLPAPALQRRVRAVLYYRGAGGAAPGAWEDGVWVKESLSEALADHPEMAGRLRRRADGSWEVKLNDTGVRLLQATVDATLDEFLAGKGALARREAALAPWTDVNADDPDMCPPFFMQLTRFQGDGGYAVGVSCALVLADPLTLARFLLSWARTHARIRAQGKATPLPMAQYLAYFQRPETTRKRVRSVPIDSFAGDGDGDAETVLFRAGTAAAAAADGGHGDHRALAAACVDKASEALGKKDKVSRLSVVVVDAAAGDDSLAGKTTIETCTASPGGGAAAGGASLEAVQWSELGLEELVLRDSKPVHVSCSIVTGGGGDEGLVVVMPDDGAGSLLVMATLPK >ONIVA02G28710.1 pep chromosome:AWHD00000000:2:25584119:25585798:-1 gene:ONIVA02G28710 transcript:ONIVA02G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHGLAAAGLVVLLLAAVAPAFAVDYTVGDTSGWSSGVDYVTWAKSKTFSVGDSLVFQYSMMHTVAEVSSADYSACSASNSIQSYSDQNTKIALTKPGTRYFICGTSGHCSGGMKLAVTVSAAAATTPTPTASSSPPSTATPATPSSDPGMDTPSSTPDATTTPTTTTTKSTGSTGGASGSEARSVMGLLVGAVGLAMMG >ONIVA02G28700.1 pep chromosome:AWHD00000000:2:25569372:25569767:-1 gene:ONIVA02G28700 transcript:ONIVA02G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFRPSGVARETTMVGLHQTTQEERSPQQQRGGSDARVFEVDATTGCAGLDATAAAAGSMRWRRPWWARCDGCRCDLDATGCPDLDATRSRGGLDATSAAAASMRHRPRRTSCDATAAAANSMWHGDAIG >ONIVA02G28690.1 pep chromosome:AWHD00000000:2:25563696:25566547:1 gene:ONIVA02G28690 transcript:ONIVA02G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17550) TAIR;Acc:AT4G17550] MAKPPPAPHRAHRAPPGLCALPAISYNSHRGLVLGLTFVAYALYHASRKPPSIVKRALSEAWPPFHDPALLGETDVAFLAFYSLGMFVAGHLGDRLDLRLFLAVGMIGSGTAVALFGVGYFLSLHSLAFYLAAQVIAGLLQSTGWPSVVAIVGNWFRGRRRGLIMGIWNAHTSIGNISGSLIAAAVLRYGWGWSFIVPGLLIAFGGVLVFFFLAPYPEDLGFSPTTLPKAVSEASTDEEDSSSSASAAGGAGKEERRDAVGMWKAFAIPGVITFALCLFFAKLVAYTFLYWLPFYLSQTAIGGKEMSVTSAGYLSALFDVGGIIGGILAGFISDHLNARATTAAIFMYLAIPSLYAFHAYGSTSEVANIGLMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTSIIDGTGSLGAALGPFITGFISKRGWDSVFTMLIFCALAAGVLLSRIVKSEIVHIIQNRRNHTLDMHNRTADSGAQPLLEGDT >ONIVA02G28680.1 pep chromosome:AWHD00000000:2:25561752:25562522:1 gene:ONIVA02G28680 transcript:ONIVA02G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRCRTTLASTTATRRWPPRCLHGRPRHPRGRATAFTTPRPPRPLSRQPAAAVDTATAAAAVTAAVDNRNHRQPDLTRGVVASSRIASVTTSSCGTSTAASVVRRASVLSTGGSTGSVARQVAADQSLQVAAAQNHRQTSPPSCQDAAARRWGTGSGHHGTGSRRHGTGSGRRRRHALSSCRANVLTVLAASEGERAPPPPSLQPPGFAGGGSGSGEAGEGRRKAGTARLVRRPRRPRDRATGRVVAVAGPSLR >ONIVA02G28670.1 pep chromosome:AWHD00000000:2:25560740:25562285:-1 gene:ONIVA02G28670 transcript:ONIVA02G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTGSGAVASRSGAVVAGSGPPATGGGVLAARRRGLAVVLGGGDLERLVGGNLAGDAAGAASGTEHGGAADDGCGGGGAARAGGDAGDAGGGNDASSQIWLAVVAVIDGGSDGGGGCGGIDCGGWLARQRPWWSRSREGGRAAPWVAGPAVQASWRPATCGCRGGQRCAAARGRHGDRRRTSHRHMRVVKEKALCGKFPEFLK >ONIVA02G28660.1 pep chromosome:AWHD00000000:2:25557373:25559988:-1 gene:ONIVA02G28660 transcript:ONIVA02G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASATAAISGALLPHAVACHRLPPRPQLLAVSSSFRRLSLTASPRRASHLVPRADASAEAGEPEPAAEAEAEAVAASTDAEVEEGEAEAAVAVAEEEQEEEDEPPPPSKPPVKFGEIIGILNKQFIEEAEKVNTLPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKSGVHTTIRVRRIIAGVGVEITFPIYSPRIKEIKVIRHKKVRRAKLYYLKHKLPRFSTFK >ONIVA02G28650.1 pep chromosome:AWHD00000000:2:25548468:25555740:-1 gene:ONIVA02G28650 transcript:ONIVA02G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKATATGPRIQFSSWKERPDFTLNEDNEGKGVAMGGGGTLISIYPEDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNANIVQPWDSCTITITLQAQKDYPQDMQCKDKFLIQSTRVAASTDMDEIPPDTFNKEVDKVIEEIKLKVVYTVPSGSSDDSGITSLGSRSFKSLSDDFTMLKNASIEEIQTIQRLKDERDNMLQQNQQMQRELDVIRRRRSRKSDTGFSLTFAAFVGLIGLLVGLLMSLIFSSPQPAA >ONIVA02G28640.1 pep chromosome:AWHD00000000:2:25537005:25540337:-1 gene:ONIVA02G28640 transcript:ONIVA02G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANAGSFVAVRRLAGSERAAGAAAFHHSSSAEVVTGSTAWIGKGLSCVCAQRRDSDARLSFDLTPVQEECLQRLQNRLEVQYDSSNSDHQEALKDLWRASFPGAELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLVYFSKNFPELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQSVKPRSFIGAVFLKLLSENDQAFDILYCITFKLMDQQWLDMHATYMDFNTVMKSTRRQLERELLLEDIQRIEDMPSYKLLAR >ONIVA02G28630.1 pep chromosome:AWHD00000000:2:25532764:25533006:-1 gene:ONIVA02G28630 transcript:ONIVA02G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRACSVLKLCFVLGEPLCSGLALRMRPEHRAQLAKPWGPGPWLHSRLILLSDLGGPWCPEQLEVGTRVSTFSSLVLL >ONIVA02G28620.1 pep chromosome:AWHD00000000:2:25529672:25530193:1 gene:ONIVA02G28620 transcript:ONIVA02G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPQAWWQLDLTVTRSAVTTTGASKTSSWTTGDLLFSPVTRAADLAAWRLGVTGSSCLEVPFWMCRPSCASKGFSLKLELARFIKSSKTLFFWLTEV >ONIVA02G28610.1 pep chromosome:AWHD00000000:2:25520124:25523452:-1 gene:ONIVA02G28610 transcript:ONIVA02G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGQLGTCLPNFYLLPDHHGMPLPPPLQLPCHPKLLQMPFDQEDQRGIHGVMLSSDHCGLYPLPALPLSNSAAAAAATVALGKHSAAAGSMPNIGGAEEVATTVTKAGNESTTCNGSTTWWRGSTMAAAGEKGKMKIRRKMREPRFCFQTRSEVDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTHSNCRVKKRVERLSTDCRMVITTYEGRHTHSPCDDNSSGEHTNCFSSF >ONIVA02G28600.1 pep chromosome:AWHD00000000:2:25515174:25517104:-1 gene:ONIVA02G28600 transcript:ONIVA02G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAELVGESAHQDMPRVVAALAGILERVAGRNDAAATPAELAAAPASPFRATAKPGISVRAYAARIARFAGCSPACYVVAYIYLDRLLRRGRRCLALALAVDSYSVHRLLITAVLSAVKFMDDICYNNAYFAKVGGISLAEMNYLEVDFLFGVGFDLNVTPETFADYCAVLQSEMLCAAAPPTRLHYCCLSEDDAGSSSSSSLREAAMEAS >ONIVA02G28590.1 pep chromosome:AWHD00000000:2:25511434:25512081:1 gene:ONIVA02G28590 transcript:ONIVA02G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGERRRERVVAAALAVLLLVFASAFVRCRGDADGEGDGAGAAEPGKTGPPLPPGWKGGSGSGQGSSPDGAWRYGWGWAASPGGKGSGFGFGYGGSRGEGGGGGGGGGGGSGRAYGFGGGYGGHPGGFGGGGGGGGGGGGGRNYGGGSGGIGGYGNYGGGYNGEPGGGGGGAGEGGGYGGDYGGGDVGANWSKRGSFRGGGGKTQQKDGGGNN >ONIVA02G28580.1 pep chromosome:AWHD00000000:2:25507997:25508426:1 gene:ONIVA02G28580 transcript:ONIVA02G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAGLLAPPLPGINSWKDEMGARMEGVADAALLDSELRQSSTGRRSQSGSRGRMGMEPKLGPSGGAGGWGRGPSGDDSEGGAREASEKRIRSLQPAGSASSPLTARYYPPACLPRPSPSRPRVAAAVRR >ONIVA02G28570.1 pep chromosome:AWHD00000000:2:25502504:25505579:1 gene:ONIVA02G28570 transcript:ONIVA02G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHPHSRRRSQPQVRLVRRGDAREPARQRRASRPRAAVAASKLPAPPSLLCFLLPVILLLLACCSFCFFRVLRPGGCPVPAAGTGTGEICPPVRGWGRGTFCFLCCGDGYGVHKPDGGSPVAIPNLPPVPPYHSHSQIRLFLRTFFCILRFILFRFDLFFHGCFVVLLCKRCDGSDDLICLDCEECESYLAAKLRRGSN >ONIVA02G28570.2 pep chromosome:AWHD00000000:2:25502504:25505294:1 gene:ONIVA02G28570 transcript:ONIVA02G28570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHPHSRRRSQPRAHRKHIASPIGEARRRQGASEAATCLQAARRRRRLEAPCAAVVAIETVKRAVIPQDPAIETVKRAVIPQDQN >ONIVA02G28560.1 pep chromosome:AWHD00000000:2:25492458:25493374:-1 gene:ONIVA02G28560 transcript:ONIVA02G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRRATTGDAAAAVSFLVDKSAKVFIAGHRIMVGSAVHRKLDSLGSTWRVFFAAELPRYVILAVANIGGVHAGSTAPAEYLTENLRITVNVVDAARRCGSARNLLVLASSTIYPADVPQPTPESPYHIN >ONIVA02G28550.1 pep chromosome:AWHD00000000:2:25487050:25489931:-1 gene:ONIVA02G28550 transcript:ONIVA02G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a close homolog of the Cauliflower OR (Orange) protein. The function of OR is to induce the differentiation of proplastids or other noncolored plastids into chromoplasts for carotenoid accumulation. Both proteins contain a Cysteine-rich zi /.../ger domain that is highly specific to DnaJ-like molecular chaperons. [Source:Projected from Arabidopsis thaliana (AT5G61670) TAIR;Acc:AT5G61670] MLCSARMLACSGLGGPGGRLRPSPRPGAYADRLRPPLPARRWRVASSAAASGGSPDLPSSSSSSSPPPTPAAASFGSGDEQAAGSPGFCIIEGPETVQDFEKLDLQEIQDNIRSRRNKIFLHMEEIRRLRIQQRIKNVELGISVDVPEGELPDFPSFIPFLPPLSAANLKIYYATCFTLIAGIMVFGGFLAPILELKLGVGGTSYADFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGTLVLTEPVSTFSDGDQPLSTPRTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >ONIVA02G28540.1 pep chromosome:AWHD00000000:2:25484950:25485921:1 gene:ONIVA02G28540 transcript:ONIVA02G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEKAMGSPAVLEPVKTPPPPATDGPISDLMQRQYKEDADATHGTLVGDDVEEARRLFLADVVERLDAVKRLEARIREVCAAAQPRSPPGRLLPTRRPSLRERERAERGGREREEGKGEVDVAR >ONIVA02G28530.1 pep chromosome:AWHD00000000:2:25484166:25484806:1 gene:ONIVA02G28530 transcript:ONIVA02G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGGRRRRVAGGGEEARCAGAKGCGSLEEEDEWAWILGVGGLGIGMPALGRARWRVRPGSSAGNGLASLSLSRRLRRSSAVEKRREQERRRARE >ONIVA02G28520.1 pep chromosome:AWHD00000000:2:25481868:25484051:-1 gene:ONIVA02G28520 transcript:ONIVA02G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVANYASDSDSDGDAPAATAGEAAPVPPEPSALLPPPPLDLLQPPNFVGMIDTSLPGVASGASHMWKATMRYMFTSLVNVNYWSFIAGCMLPQVVIPSDAKKHLALVMRRAASFVPDLYAIDADYALSELCKDEQKLEKVLLSREFHVHQIESLVAMLRQKFRSQQRYWMDFNKWEHFVNDDCTRSFLSLEVTSTGLPEISKQITMVDDVYRLHGLPEFYKNPRPHISLAWALGDVSCKLKQAIKEIEKSQSSLGTSQKSNLRCKFSHVVCKIGKKVYDICKLAD >ONIVA02G28510.1 pep chromosome:AWHD00000000:2:25477585:25481317:1 gene:ONIVA02G28510 transcript:ONIVA02G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GAG9] MNALAATSRNFRQAARLLGLDSKLQKSLLIPLREIKVECTIPKDDGTLATFVGFRVQHDNSRGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCTPGELSRSELERLTRVFTQKIHDLIGINTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLTEYSESISGSTFVIQGLGNVGSWAAKLIHQKGGKIVAVGDVTGAIRNKSGIDIPALLKHRSEGGSLEDFYGAEVMDAAELLVHECDVLVPCALGGVLNRENAAEVKARFIIEGANHPTDTEADEILAKKGVIVLPDIYANSGGVVVSYFEWVQNIQGFMWDEEKVNRELQKYMKNAFQNIKDMCKSQNCNLRMGAFTLGVNRVAKATLLRGWEA >ONIVA02G28500.1 pep chromosome:AWHD00000000:2:25470999:25476397:1 gene:ONIVA02G28500 transcript:ONIVA02G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRKGKGKGVEDEVEVYEPASPPERVLIILDSSEDDLDLQEVRRSLMITGRGRARAAERVGEEAPRGSGRRAAPVVASRRRRRRSRSRSRSPRAARPRAESSRRPTARRARARARSPSLEIIDVDSGSDRGVVRVKEEPRSGSDSDYNGARGRARARARAPVAATAAKKKKRKRGKEAPSRAQESREVVRVKEEPNSDGNGAGGRARARSPVAAAAKQRKRGGREAPSRAQESRVPVQIKEEPYSGSDSDGNVAGGRAVVPAADAKQGKRGKKTPSRGKGRRVVVRETSTPAAPSNGAPSVGRGKGRGPGRGRQRSKGAVRGRATPVNRVSTGVGSRTRSRLAEQGRAFAQEEEEQVEEREEEEEEEEQGRAFAQVKEEQVEEQEEDEEEGEEEMEMEVEVEVRSDDNDHGNGGIRGEGGGTDDVAEIEEEELGTDEDETSDDSDENFSDEEGDEEELEEEEEEEEEEDDDDDDDEEEEEPGVAPDQPGEAGEESPPRSRIMAMPLMGKRMFEGFSFLQQVDTSTGRDIRARTRSNFKRKKLLDKKLLKRGTFAKPYCIDVSSSGSEEDVPQPEQSAYGGDCGDDDGGSDGNEEHRAVKRRKLNRRQSAHSDSEEDTTFVCDVKEGSGSRRVQEGAPRRQVKKEGSNKKKDGSTPQCVRNNGPKVGRQTNGLNGQGGVSFKRNVKIAQRRKRRRATADQEKYGHLLDPMFDEIESNQYEPVPEEQIDRRLPLVFAFGDDDKLEEKSKHDKLQDEDELWKEFDFALESINVCSHNCEEGEKEDEQEIPADKAASCIQGKHELIIDEQIGLRCKHCNFVDLEIRFVLPSMVKSCTERDMRKDHELDLFFDDILTSAGYEGPRDFGGQKTGLVWDLVPGVREDMFPHQQEGFEFMWRKLAGGTSIEQLRNNANTIEGGCVISHAPGTGKTRLAITFVQSYFAFFPECCPVIIAPRGMLATWEQEFRKWKVKVPFHVLNSKEINWKEDRTIKQLAIMDENLAQSLARNKLDHKFRRKLKLASWRKGSSIIGVSYTLFRKLANQSSMDGNMVRNLLLEKPDLLVLDEGHTPRNKKSLIWKVLEEVRTKKRIILSGTPFQNSFLELSNVLYLIRPKFARHFASKSFKKIGLEDYWTSLTLNNITEKKIDEIRQILDPIVHIHNGDILQKSLPGLRESVVILNPLPHQKEIITAMENTVTMGTLDAEYKISLASIHPFLVTCAKLSEKETSSVDVSLLKSLRPNPCVGVKTKFVLEIVRLCEAMKERVLVFSQYLEPLSLIMDQLSKMFNWTEGEEILLMSGNVLVQNREALMEAFNNMKSNAKVMLASTKACCEGITLIGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLITEGTKEKDKYDRQAKKDHMSKLLFSKEPHAAGFNLSQEVIFNDKILEAMTSHRELKDMFVKILHSH >ONIVA02G28490.1 pep chromosome:AWHD00000000:2:25465287:25466286:-1 gene:ONIVA02G28490 transcript:ONIVA02G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDDMQRMRWSLAGNHCVPPPCSPTRLNPDYSHYVSNSRALCAIVCFMIECNGGAEDNNMISFVQFPHDSTTSTPMITITITTSNTTVTTISVQTAMANTSVVTCFWPLAQTHHRFGVGVERDKRDGKGWRRAGPSEGGSRCSQVRGKIEEIVKKAVVLRSQGRRKASNEEGCAAMKDEERGRQAAERRTIDAGGGRRPVEWEEEN >ONIVA02G28480.1 pep chromosome:AWHD00000000:2:25460307:25463629:-1 gene:ONIVA02G28480 transcript:ONIVA02G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGRVRDMIKKRKGKKRPPPHEGPAPPPPPPSALAGSFHSTSTVSSSAVATSQSTEDSSAAAARPAAGWSRSSGSVSSARSIPELYEERGASSLQEFGLRELQAATRDFSRLLKIGEGGFGSVYKGVVRLPGGPAGGTEVAIKKLNPNSRQGHKQWLTEVQFLGVVEHPNLVKLIGYCAAQSERGPQRLLVYEFMSNKTLDDHLFNKAYPVLPWDIRLKIALGAAEGLLYLHEGLEVQVIYRDFKASNVLLDEEFRPKLSDFGLAREGPTADNTHVSTAVMGTYGYAAPDYVETGHLTNKSDVWSFGVVLYEILTGRRSMERNRPKNEQKLLEWVRQYPVETKRFSRIIDIRLRHNYSKQGTREIAKLANSCLAKHAKDRPTMREVVESIKQVMQHNELDGDVEASGESSPPHEVPRKPTADDVAVAAARRRMLHLAALGENANNIARRRFMLMRAAAAPTPT >ONIVA02G28470.1 pep chromosome:AWHD00000000:2:25458158:25458394:1 gene:ONIVA02G28470 transcript:ONIVA02G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLLTKGQPVDVALDAAVGGEPRRSHRACNAAPVVARDREEGKPRATWAEALASCSMQCPRLPLVHVPVGGIEGVE >ONIVA02G28460.1 pep chromosome:AWHD00000000:2:25451338:25455472:-1 gene:ONIVA02G28460 transcript:ONIVA02G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERADADRTRVAPEIGSLHDEDAEADPARAREMERLQPWREQVTARGVVAAALIGFVLSVIVMKIALTTGLVPTLNISAALLAFLALRGWTRALERLGFSPRPFTRQENTVVQTCAVACYTIAFGGGFGSTLLGLNKRTYELAGNSPGNVPGSYKEPGIGWMVGLLLAISFAGNLSLIPLRKALVVDYKLTYPSGTATAVLINGFHTAQGDKNAKLQLHGFLKYFGLSLFWSFFQWFYTGGNACGFVQFPTFGLKAWKQSFFFDFSLTYVGAGMICSHLVNLSTLLGAVISWGIMWPLISKHKGDWYPANIPESSMTSLYGYKSFLCIALIMGDGLYHFVKVTGVTAKSLHNRFNRKSVSNTASEEGDMVSLDDLQRDEVFKRGTVPSWMAYSGYFLLSIIAVITIPIMFRQVKWYYVIIAYALGPVLGFANSYGAGLTDINMGYNYGKIALFVFAAWAGKDNGVIAGLVVGTLVKQLVLVSADLMHDLKTGHLTLTSPRSMLVGELIGTGIGCFIAPLTFMLFYRAFDIGNPDGYWKAPYALIYRNMAILGIEGISALPKHCLSLSVGFFAFAVLTNVARDALPARYKKLVPLPTAMAVPFLVGASFAIDMCVGSLVVFAWNKMNKKEAAFMVPAVASGLMCGDGIWTFPSSILALAKIKPPICMKFTPGS >ONIVA02G28440.1 pep chromosome:AWHD00000000:2:25426087:25429388:-1 gene:ONIVA02G28440 transcript:ONIVA02G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPEIERCDAGDVESDHDGAAAAAERVPPWREQVTARGMVAALLIGFVYTVIIMKLALTTGIIPTLNVSAALLAFLALRGWTRAPALLLPGGGAASSSSWRRPFTRQENTVVQTCAVACYTMGFGGGFGSSLLALNRKTYELAGVSTPGNSPGSYKEPGVGWMTGFLFAISFVGLLNLLPLRKALIIDYKLTYPSGTATAVLINGFHTPQGENSAKKQVRGFLNCFGISLLWSFFQWFYTGGESCGFLQFPTFGLKAWKQTFYFDFSLTYVGAGMICSHLVNLSALFGAILSWGIMWPLISIQKALIMGDGLYHFIKVTGITAKSLHERSNRRHAKKATDEDTFVIADMQRDEFFNKDYIPNWLAYAGYALLSIVAVIAIPIMFQQVKWYYVVVAFVLAPVLGFSNAYGTGLTDMNMSYNYGKIALFIFAAWGGRDNGVIAGLVGCGIVKQLVQVSADLMHDFKTGHLTLTSPRSMLVGQAIGTAMGCIIAPLTFLLFYKAFDIGNPDGYWKAPYALIFRNMAILGVEGFSALPKHCLELSAGFFAFSVLINLMRDFLPRKYRDYVPLPTAMAVPFLVGANFAIDMCVGSLIVFAWHKINSKESALLVPAVASGFICGDGIWMFPSSLLSLAKVKPPICMKFTPGS >ONIVA02G28430.1 pep chromosome:AWHD00000000:2:25416970:25417632:-1 gene:ONIVA02G28430 transcript:ONIVA02G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKAVYSFQEVSKHNDRKDCWLIIAGKVYDVSPFMEEHPGGDEVLLACTGKDATADFNDIGHTATAKELMPQYCIGEVDASTVPAKPAYRVVSEDASAKPDAASQGAWLTALQLAVPVVLLGLAYALQDFAKTKTA >ONIVA02G28420.1 pep chromosome:AWHD00000000:2:25410106:25416351:1 gene:ONIVA02G28420 transcript:ONIVA02G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAAETLAAASLPVASPSRSLLRPLPRRASAGGGCSASVRISAVPPRGLGFAVVQRRVLRRPPAARANVEREGDGAEASGPGEASSSSSGDGDRDGAAAAAEAGGDGASTSTTSAAATPPQPPSSKRGENKWRRKLIKGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYVSVPYSDFLASIDKNQVKKVEVDGVHIMFRLRPEVEARAMEQPQVQRGTDSVADNAGVPRRIVFTTTRPVDIKTPYEKMVENSVEFGSPDKRSGGLLNSALVALIYVVLIAVVLQRLPISFSQHSAGQLRNRKNSNSGGAKVSESTDIVTFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILKVHVSRKELPLGKDVDLSDIAAMTTGFTGADLANLVNEAALLAGRSNKEIVEKIDFICAVERSIAGIEKKHAKLKGNEKAVVARHEVGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVLSGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVATLSNGGLDESGGSPWGRDQGHLVDLVQREVKALLQSALDVALSVVRANPTVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIRGKQEQVLQLEAGS >ONIVA02G28410.1 pep chromosome:AWHD00000000:2:25400865:25405201:-1 gene:ONIVA02G28410 transcript:ONIVA02G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:esterase/lipase/thioesterase family protein [Source:Projected from Arabidopsis thaliana (AT3G50790) TAIR;Acc:AT3G50790] MAAPPTPSSPLVASTRRFVAPRLRSLLPAAAVSMSSTSSSSISAPSNGRPVSGGAGEQRPPPSPLLPQASLEVAGARCGLLAGFDSLRRPYRAFPIVASNRHVETIFAAFARSLPAVALRRECLRTPDDGAVALDWVSGDDRALPRDAPVLILLPGLTGGSDDTYVRHMLLRARNKGWRVVVFNSRGCAGSPVTTAKFYSASFTGDLRQVVDHVLGRFPQSNVYAVGWSLGANILVRYLGEETDKCVLSGAVSLCNPFDLVIADEDFHKGFNNVYDRALAKALRNIFKKHALLFEGLEGEYNIPKAANARSVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVSIPLLCIQADNDPIAPSRGIPREDIKANPNCLLIVTPQGGHLGWVAGEDAPFGCPWTDPIIMEFLEYVHNEKNSSTKGSISYEQQSVTQTSAPDVSVHVQR >ONIVA02G28400.1 pep chromosome:AWHD00000000:2:25397185:25398597:1 gene:ONIVA02G28400 transcript:ONIVA02G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDCQFLVAPPQPHMYYDTAAAAVDEAQFLRQMVAAADHHAAAAGRGGGDGDGGGGGGGGGERKRRFTEEQVRSLETTFHARRAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQIEHDYAALRAQYDALHARVESLRQEKLALAAQVDELRGKLNERQDQSGSCDGGGAEGDDDDKRNSVMNASSSGLVEEDYVSCLAVPVVDVSEDGSAACGGSSYEYDHHLDYLGGGQLPDPFCGMPDLWETWPMVEWNAVA >ONIVA02G28390.1 pep chromosome:AWHD00000000:2:25383655:25384947:-1 gene:ONIVA02G28390 transcript:ONIVA02G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAPILLLELAAAVLLVLQRVAAADAVVTTFPGDAAALASLKSAVDAATVPAYSCLASWDFARDPCAAFPCGVRCYAPPNSSSYHRVTGVSLDPAGYSGTLPATVLASLPFLAFLSLASNRFHGALPAGSPLPPSLRVLDLSGNAFSGEIPASLFTPASSLEELYLSRNALTGAIPPQVASLGSLKRMELQHNGLTGTLPRMDAMRSLAYLDLSGNALSGSLLDAPGRLPGSLVSVVARGNGFAGPLQAAALAALPAMRVLDLTGNAVSGAVPGAAFAHPSLQQLRLGSNKLGAVEEAPDGGASSQLVELDLGGNRLTGRLPGCVAAMPRLAVVGLDRNMFAGGIPDQYAARAAADGPTDKWVPFVRLMLQGNFLCGALPSQLRQLKEDGAVVSLADNCLPKCPHKFSFCRGAPQKSNATCPKCFP >ONIVA02G28380.1 pep chromosome:AWHD00000000:2:25378693:25378878:1 gene:ONIVA02G28380 transcript:ONIVA02G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAGWSVRAAMGGVINELDRPPDREELEADGRCGGHGRRSRCWQADSPGSTSVADSPAS >ONIVA02G28370.1 pep chromosome:AWHD00000000:2:25376848:25377504:1 gene:ONIVA02G28370 transcript:ONIVA02G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRVVVVGAGISCLTAAHRLCGAGGDKFEVAVVEAGDRVDCRILTSEFAGHRVEMGATWVQGVVGSPVYALARDAGAIGEEGGGLPYERMDGFPDRVLTVAEGGEVVDADTVAGPIEELYRGMIEAARDGEAGGGGGVEEYLRRGLRAYQAARSAGGGGKELEEVEEALLAMHINLERTDTSADDLDLTTEGEYRDFPNPEQAFVVSLINGFHI >ONIVA02G28360.1 pep chromosome:AWHD00000000:2:25373178:25373588:1 gene:ONIVA02G28360 transcript:ONIVA02G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGDGTPGGKTRSLGGGRSTVVEERQVAGEEACMATGWIRALPHQIPLGATKRSPRPMAVDKRTTAARLARSVAARGHGYRELARTMLRVTSGGMRRQARDDSDVGGRLEVGVPWVIWMRWRRWWYREVGAGDL >ONIVA02G28350.1 pep chromosome:AWHD00000000:2:25365378:25372082:-1 gene:ONIVA02G28350 transcript:ONIVA02G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GAF4] MEEKPQHGSLGGLVAGVREEYESGRTKELEWRKAQLGGLIRMITEEEDAIFDALHDDLGKHRVESFRDEADVPLISFPCNARVVPEPIGVVLIFSCWNLPIGLALEPLSGAIAAGNAVVLKPSEFAPSTAAFLAANIPKYLDAKAVKVVQGGAEVGEELMEHRWDKVLFTGNARVGRIIMTKAAKHLTPVALELGSKCPCIVDCLDSKRECQVAVNRIIGAKWSTCAGQACVAIDYILVEEQFAPFLIELLKSTLKRFFTEPEYMARILNEKHFHRLTNLLEDDQVKSSIVHGGNADPKTLWIEPTIVLNPPLDSDIMMEEIFGPLLPIITVKKTEDCIAFLKSKPKPLAIYAFTNNEKLKQRIVAETSSGSVLFNDAIVQYGLDSVPFGGIGESGFGQYHGKYTFELFSHRKAVVRRSLLVEFMFRYPPWDEYKMGMLRRVFRFDYVSLVLALLAFWLLGIRR >ONIVA02G28340.1 pep chromosome:AWHD00000000:2:25362287:25364845:1 gene:ONIVA02G28340 transcript:ONIVA02G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:Projected from Arabidopsis thaliana (AT1G77370) TAIR;Acc:AT1G77370] MAAARAAVPIAVFLLLVLAEADPAAATRSPSAFVQNAIYSNRITIFSKTYCPYSMRAKRIFRDLKENPYIVELDLREDGREIQSVLLDLVGRHTVPQVFVNGQHVGGSDDTANAHSNGQLQKLLGNSQSQR >ONIVA02G28330.1 pep chromosome:AWHD00000000:2:25358550:25360457:1 gene:ONIVA02G28330 transcript:ONIVA02G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLNPSASGRSPTSTTAPLCDICQEKRGFLFCKEDRAILCRECDVPVHTASELTMRHSRYLLTGVRLSSEPAASPAPLSEEENSSSFCCSADDAVPAPAAPATSHGGSSGSSSISEYLTTLPGWHVEDFLIDDATAEAAAAAAATSSGISANGPPCQGVTRIGGLQESAGYPAWMAQQQLCCDGLVAGDASPASRERWVPQMYADQLAAGSKRSRTSTASSYSYW >ONIVA02G28320.1 pep chromosome:AWHD00000000:2:25332696:25334614:1 gene:ONIVA02G28320 transcript:ONIVA02G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQALIPSTPPSAFSPASHFLHASSSSSSPSLSSQAVVAAAAAAMSSFAHHHHGSLVEKDGRMSALRSSLRPYEAAEEMAAAAAAGGPAAWGAVERGAGMMGDGFSVEDLLDLEELCEVDRDGGEQGEAAAAAAAAAAVEKERSSDSHGSSVVSYEPMPLLPPVMDLPAHDVEELEWVSRIMDDSLAELPLPQLPAAAAALAACGKPQHRRPHEGAASALLDPMRTPTICALSTEALVPVKSRRSKRSRASVWSLSGAPLSDSTSSSSTATTSSCSSSASFSPFLQYVDFPALVASDLLDEQPRSKKSKHGKNGKQKPKKRGRKPKHQQPPHLAAAAAAGGGALPATGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSLHSNSHRKVLEMRRKKETPVIVAAAAPAVASF >ONIVA02G28300.1 pep chromosome:AWHD00000000:2:25310645:25315859:-1 gene:ONIVA02G28300 transcript:ONIVA02G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDELVQWDKMGAAEAVNGGCGGAGKMDRIQVLVRLRPLSEKEVARGEPAEWECINDSTVMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYEEGVKEVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEYTVADIYDYINKHEERAFVLKFSAIEIYNEVIRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKGLISNFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKALVKHLQKELARLESELRHPVQSSSLETLLKEKDNQIRKMEKEIKELKSQRDLAQSRLQDLLQSVGDHDLNRQVQGKHSVRSPPSVGMPPSVSRDDSSQVSHDDSDLYKEVRCIESNRTGGNDQLDLSAGESSSPQDSNMNSGLHGNDSNASVNSRRSRPSGEAPITLEEHLENIRRPFVSLAKDLGSSTRNSSNLRVIGRSRSCRSLTGSTMFDDMEMDDCTPLNRSLVEFPGRPVESHRRGSALHYDAETDTLSRAGSMSSEISTFKDAKTNGSVACDTEFTGIGEFVAELKEMAQVHYQKQLGDQNANGKSIGLDPIEGVSQSPSRWPLEFEKKQQEIIELWQACSISLVHRTYFFLLFKGEAADSIYMEVELRRLSFLRDTYSRGSTPSNAIVGSLSTSPVASAKKLQREREMLARQMQKRLSTEEREHTYTKWGVSLDSKRRKLQVARRLWTETKDLEHVRESASLVAKLIGLQEPGQVLKEMFGLSFAPQQQPTRRRSSNGWRYGIPSFA >ONIVA02G28300.2 pep chromosome:AWHD00000000:2:25310645:25315859:-1 gene:ONIVA02G28300 transcript:ONIVA02G28300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDELVQWDKMGAAEAVNGGCGGAGKMDRIQVLVRLRPLSEKEVARGEPAEWECINDSTVMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYEEGVKEVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEYTVADIYDYINKHEERAFVLKFSAIEIYNEVIRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKGLISVCEGAKLITYSRHTLFVIGETNFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKALVKHLQKELARLESELRHPVQSSSLETLLKEKDNQIRKMEKEIKELKSQRDLAQSRLQDLLQSVGDHDLNRQVQGKHSVRSPPSVGMPPSVSRDDSSQVSHDDSDLYKEVRCIESNRTGGNDQLDLSAGESSSPQDSNMNSGLHGNDSNASVNSRRSRPSGEAPITLEEHLENIRRPFVSLAKDLGSSTRNSSNLRVIGRSRSCRSLTGSTMFDDMEMDDCTPLNRSLVEFPGRPVESHRRGSALHYDAETDTLSRAGSMSSEISTFKDAKTNGSVACDTEFTGIGEFVAELKEMAQVHYQKQLGDQNANGKSIGLDPIEGVSQSPSRWPLEFEKKQQEIIELWQACSISLVHRTYFFLLFKGEAADSIYMEVELRRLSFLRDTYSRGSTPSNAIVGSLSTSPVASAKKLQREREMLARQMQKRLSTEEREHTYTKWGVSLDSKRRKLQVARRLWTETKDLEHVRESASLVAKLIGLQEPGQVLKEMFGLSFAPQQQPTRRRSSNGWRYGIPSFA >ONIVA02G28290.1 pep chromosome:AWHD00000000:2:25305670:25306263:-1 gene:ONIVA02G28290 transcript:ONIVA02G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRANHTASPSVNGTTAAAAAAMITATPSPPPRLPLPNPGNADAGAGAWGPYASSRAFFSNVATILIILACVSLLAFCLHAAARCLIRCLARRRDSGAPALARAQAQAQPPKPSSDDGANTGSSGAASAASGVAMVGGWAEAECAICLSELADGGGERVRVLPACGHGFHGACVDGWLAARASCPTCRAPSRAGEP >ONIVA02G28280.1 pep chromosome:AWHD00000000:2:25298775:25300091:-1 gene:ONIVA02G28280 transcript:ONIVA02G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANINMADTVPSCDTYLLFNGETLLPNGVRAFIYTVVLAYCFIGLCAITGRFFKSMESIMRHSREVVTVDPHTNATIVKHEKVWNYTIADVALLAFGTSFPQISLATIDTIRNLDQLTAGGLGPGILVGSAAFDLFPIHAVCVVMPRAGKKKISDLGVWLVELFWSFRAYIWLYIILEVWTPRVITLWEALLTVLQYGLLLLHAYAQDKRWPYVSIPLARGDRPEDWVPTEDASVDYDDNYDGIGDILPGQNEDIVDIFSAHSYSNEGYHHVSEKDVEESPTGLTLKNKWEDTHWFSIWWQQFVDAATVI >ONIVA02G28270.1 pep chromosome:AWHD00000000:2:25292091:25293323:-1 gene:ONIVA02G28270 transcript:ONIVA02G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQKERASVAVKRENLPSSPSLCQERRAAAQHRRGGAPISIAEESRAGEGILVSAFGV >ONIVA02G28270.2 pep chromosome:AWHD00000000:2:25292091:25293323:-1 gene:ONIVA02G28270 transcript:ONIVA02G28270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQKERASVAVVRGATLKKKRENLPSSPSLCQERRAAAQHRRGGAPISIAEESRAGEGILVSAFGV >ONIVA02G28260.1 pep chromosome:AWHD00000000:2:25288244:25292047:-1 gene:ONIVA02G28260 transcript:ONIVA02G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GAE4] MAGSLAASAFFPGPGSSPAASARSSKNAAVTGELPENLSVRGIVAKPNPPPAAMQVKAQAQTLPKVNGTKVNLKTVKPDMEETVPHSAPKTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGMFRYNCRRLSVDQKNDRCIVPTCYGFRGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGRTILRATSVWVMMHKKTRRLSKMPDEVRAEIGPYFNDRSAITEEQSEKLAKTGNKVGDDATEQFIRKGLTPRWGDLDVNQHVNNVKYIGWILESAPISVLEKHELASMTLDYRKECGRDSVLHSLTTLSGECTSIGADKQASAIQCDHLLQLESGADIVKAHTEWRPKRSHAAAENA >ONIVA02G28250.1 pep chromosome:AWHD00000000:2:25279854:25284294:1 gene:ONIVA02G28250 transcript:ONIVA02G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTASASVQSDCSSDDEKLNCAPSQHARKRSRPLCSDSVVQTLHCLKRRPAIAFAYFKDTQSIGFNHDFSTNSEMIQILSHSRQGKMLVSLFSELVSSSNASGPEILPLVDHHRRTCATPCSLSFMVDCLIKACITCYDVQATICLFSGICRLGVVPSVWTWNLLLKFIAETGEYEMVLAAYNEMKCFQLTPDVYTFAIVTRSLFQAKKVDEALQVWAEMTEMGVKPDARGYSSFLIGLCDCRKYDLAYVILQEINREKVPVEAMAYNMVMDGLCKEMRLDEAEKLLENKARQGSNPDVYGYSYLIQSYCKMGNLIKAVDHYEAMVSHGIETNCHINGDMDKAHLWFHDMVQRGLSIDVIVYTILMNGYCKAGRLQEACQLFVQMTNLGIKPDVIAYTVLLDGHLKETLQQGWEGIAKERRSFLLRANHNKLLSSMKDMQIEPDVPCYTVLIDGKCKAEYLVEARELFDEMLQKGLTPDAYAYTALINGYCSQGEISKAEDLLQEMIDKGIEPDELTFSVLNQSSLRSRKIQFCA >ONIVA02G28240.1 pep chromosome:AWHD00000000:2:25277639:25277938:1 gene:ONIVA02G28240 transcript:ONIVA02G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSASVWGALLSACAMHNNVDVGEIAAFRLFELEEGSASNYVTLCGIYDAVGQSDGVAGLRSRMRELGMVKTPGCSRIDVKGRAHAFYQGSIPRYLRG >ONIVA02G28230.1 pep chromosome:AWHD00000000:2:25253456:25256874:-1 gene:ONIVA02G28230 transcript:ONIVA02G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDSAGGGGGGRAGAELMVPQFHLKALHAILAVRAPRPLAAAPAPAASFRRRDRWFHLPLHAPPPPASAEHLPEPSPGEPLVVDVYLTPSGGGGGAEAVVERWTVSCEPWSAGARGGGAAAASGEGLAVNRAYKRCITLLRSVYTALRLLPAYRVFRLLCASGQAYNYEMGFRVGSFAAPFTRSEEAAMSTRRFAPVETQLGRLVVSVQYLPSLAAFNLEICSLAPAMLITDYVGSPAADPMRAFPASLTEAASSAPAFPPRRPNSWAPSPAPWPYTPGQQAKFSPPPALYASPTPSPPTFAGGYLQSRLSGETAPMIIPGGGRGPVHNRNMSDPVRGFMLPPPSPKNIRGDSGGHETPMETGRTGIRMADLYTNLPSVPKIKIKDSRDESGRFSGVFSSSGSPRLGFSRSSSRLSMQDDTDDLDFPFAVDDVDTPDSRPGSSGGKDVGDQASSSSHKSQDAAVGYLVHMLKSARPLRDSSNSPLTSRVESVEGGNVSSFMSRRTSDALEELESFKEIKENLLARSRSRMQESLDKSLRHS >ONIVA02G28230.2 pep chromosome:AWHD00000000:2:25253458:25256874:-1 gene:ONIVA02G28230 transcript:ONIVA02G28230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDSAGGGGGGRAGAELMVPQFHLKALHAILAVRAPRPLAAAPAPAASFRRRDRWFHLPLHAPPPPASAEHLPEPSPGEPLVVDVYLTPSGGGGGAEAVVERWTVSCEPWSAGARGGGAAAASGEGLAVNRAYKRCITLLRSVYTALRLLPAYRVFRLLCASGQAYNYEMGFRVGSFAAPFTRSEEAAMSTRRFAPVETQLGRLVVSVQYLPSLAAFNLEICSLAPAMLITDYVGSPAADPMRAFPASLTEAASSAPAFPPRRPNSWAPSPAPWPYTPGQQAKFSPPPALYASPTPSPPTFAGGYLQSRLSGETAPMIIPGGGRGPVHNRNMSDPVRGFMLPPPSPKNIRGDSGGHETPMETGRTGIRMADLYTNLPSVPKIKIKDSRDESGRFSGVFSSSGSPRLGFSRSSSRLSMQDDTDDLDFPFAVDDVDTPDSRPGSSGGKDVGDQASSSSHKSQDAAVGYLVHMLKSARPLRDSSNSPLTSRVESVEGGNVSSFMSRRTSDALEELESFKEIKENLLARSRSRMQESLDKSLRHS >ONIVA02G28220.1 pep chromosome:AWHD00000000:2:25250338:25251036:-1 gene:ONIVA02G28220 transcript:ONIVA02G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLGCHVGCASPETKQEETADPTAAPVVVDDVEAAAGGRRPGDGGGVNYVARAQWLRAAVLGANDGLVSVASLMVGVGAANGTRRAMLVSGLAGLVAGACSMAIGEFVSVYAQCDIQAAQIERARGGKDADGGEEEEELPSPTMAAVASALSFAAGAALPLLAGGFVRPWAARVAAVCAASSLGLAGFGVASAYLGGAGVARSGVRMLVGGWLAMAVTYGVLKLFGMHGV >ONIVA02G28210.1 pep chromosome:AWHD00000000:2:25232410:25240070:1 gene:ONIVA02G28210 transcript:ONIVA02G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRYEEAARHFTDAIALAPGNHVLYSNRSAALASVHRYSEALADAEKTVELKPDWAKGYSRLGAAHLGLGDAASAVAAYEKGLALDPTNEGLKAGLADAKKAAAAPPRRPPPSGVDGIGQMFQGPELWTKIASDPTTRAYLEQPDFMQMLRDVQRNPSSLNMYLSDPRMMQVLGLMLNIKIQRPEASESSQPSSSPPSQPQEQPEAKAREVEPEPEPEPMEVTDEEKERKERKSSAQKEKEAGNAAYKKKDFETAIQHYTKAMELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMISRALTRKGTALAKLAKTSKDYDIAIETFQKALTEHRNPDTLKKLNEAERAKKELEQQEYYDPKLADEEREKGNQLFKEQKYPDAVKHYTEAIRRNPKDPKVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKAMETYQAGLKHDPNNPELLDGVKRCIEQINKANRGDLTQEEIQERQNKAMQDPEIQNILTDPIMRQVLVDLQENPRASQEHLKNPGVMQKIQKLRVTGLLVVMASVLQLHGQTYVSIC >ONIVA02G28200.1 pep chromosome:AWHD00000000:2:25227786:25241019:-1 gene:ONIVA02G28200 transcript:ONIVA02G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRLLRRSSGKASSLVPLLYADVCHAYQILRKGGLKEENIVVFMYDDIANNILNPRPGVIVNHPQGEDVYAGVPKDYTGDEVTAKNFYAVLLGNKTAVTGGSRKVIDSKPNDHIFIFYSDHGGPGVLGMPNLPYLYAADFMKVLQEKHASNTYAKMVIYVEACESGSIFEGLMPEDLNIYVTTASNAEESSWGTYCPGMEPSPPSEYITCLGDLYSVSWMEDSETHNLKEESIKKQYEVVKKRTSDMNSYGAGSHVMEYGDRTFKDDKLYLYQGFDPANAEVKNKLSWEGPKAAVNQRDADLLFLWRRYELLHDKSEEKLKALREISDTVMHRKLLDSSVDLVGKLLFGFGNGPSVLQAVRPSGQPLVDDWDCLKRMVRIFESHCGPLTQYGMKHMRAFANICNNGISGASMKEASIATCSSHNSGRWSSLVQGYSA >ONIVA02G28200.2 pep chromosome:AWHD00000000:2:25227786:25235268:-1 gene:ONIVA02G28200 transcript:ONIVA02G28200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWCFALLLALSAAAAGAGAKRTWEPVIRMPGEVVEEEVATVPRGSEGTEEEEKDGVGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGLKEENIVVFMYDDIANNILNPRPGVIVNHPQGEDVYAGVPKDYTGDEVTAKNFYAVLLGNKTAVTGGSRKVIDSKPNDHIFIFYSDHGGPGVLGMPNLPYLYAADFMKVLQEKHASNTYAKMVIYVEACESGSIFEGLMPEDLNIYVTTASNAEESSWGTYCPGMEPSPPSEYITCLGDLYSVSWMEDSETHNLKEESIKKQYEVVKKRTSDMNSYGAGSHVMEYGDRTFKDDKLYLYQGFDPANAEVKNKLSWEGPKAAVNQRDADLLFLWRRYELLHDKSEEKLKALREISDTVMHRKLLDSSVDLVGKLLFGFGNGPSVLQAVRPSGQPLVDDWDCLKRMVRIFESHCGPLTQYGMKHMRAFANICNNGISGASMKEASIATCSSHNSGRWSSLVQGYSA >ONIVA02G28200.3 pep chromosome:AWHD00000000:2:25235314:25240848:-1 gene:ONIVA02G28200 transcript:ONIVA02G28200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPDLGSGSTSLAFASGCSCGCDGGDELGCDDSEASGLWILMLSMRPSTCIIRGSERYMLRLLGFLCTSRSICMKSGCSRYARVVGSEAILAEVGGSEAGVPLSPVGLQLDGLLGVGERLGVAVDRGERGGAVGVEDVVTRGERDGVSEVPRRLLRPAEKAALPFAFASSAMARGGDQIGGAGSGSFWKGSGGGAGGEGGGEEKRRAAVAVAAQDDEAAAAPPVHLTPHASAPQATLLAWRHGAAPTSVLPLNHLGVP >ONIVA02G28190.1 pep chromosome:AWHD00000000:2:25220649:25222364:1 gene:ONIVA02G28190 transcript:ONIVA02G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSGCVVATAVVLVLISLGAAPPAAVDAATGTFIYAGCSPSKYEPNTAFQSNLNSLLSSIASTASSGAAYNSFTAGGGAGPDPAAGTAAYGLYQCRGDLSPGDCVACVRQTVARLGAVCANAYAASLQVDGCYVRYDAADFIGRADTTTAYRKCSSSTSRDGAFLSSRDGVLGELQAAAGYKLSTSGTVQGVAQCLGDVPANDCTACLAEAVGQLKGACGTALAADVYLAQCYVRYWANGYYFRPNSDNSGDDVGRTVAIIIGILAGLALLVVFISFLRKAFGLQSAKKKRLICV >ONIVA02G28180.1 pep chromosome:AWHD00000000:2:25214909:25215481:1 gene:ONIVA02G28180 transcript:ONIVA02G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRHRGFRLGRKLLGLWRWALCHRRRRRGRGYLRLQPCPGAAGGRSPLLAAGSVKKQPPPQQQQIVVHQRGGEKAVLKWGRSLARRMRLLRRRGSERLLEESPGEATTPKGQVAVYVGGGEPGESMRYVVPVVYFNHPLFGELLREAEEEFGFAHPGGITIPCAAARFERAAAVAAAGGGGRKVPTWW >ONIVA02G28170.1 pep chromosome:AWHD00000000:2:25198817:25199993:1 gene:ONIVA02G28170 transcript:ONIVA02G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVVGEGSRRGSGVVGGGRGMASPAERSACGRDKEISGRWRLEKERERIRAGRKNRGIRGAALSGSRLGWTRVQQKNEPPPPDLPREGVERGRREPPPLDLPTPAVDATTILKSTANPPPLREETRGRGRRDGPEGAATGEGGETGGRVPRQPLVGGRPPLQRLVESGGRAPPQSLVGGRGAVVAFRLREREGIGGREEMKFRVGINLDRPFDRTASASLTLGRRTIGPPNGPASLGWDKISVFSLSNFKHLCIFRNSISTILIIYYCLLI >ONIVA02G28160.1 pep chromosome:AWHD00000000:2:25198370:25198693:1 gene:ONIVA02G28160 transcript:ONIVA02G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQEGEGAAVAVGPPLAPLPLDLARGGRRRRWDVVRRVATASPPIPSPHAGSGMPGRRPFPPAGSGGGEGTAPAHRLPTRRKGRRRSLPPPATVEPSRGIMARERR >ONIVA02G28150.1 pep chromosome:AWHD00000000:2:25193393:25194908:-1 gene:ONIVA02G28150 transcript:ONIVA02G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSHVPPGFRFHPTDEELVDYYLRKKVASKKIDLDVIKDVDLYKIEPWDLQEKCKIGMEEQNDWYFFSHKDKKYPTGTRTNRATGAGFWKATGRDKPIYARSCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTTPEEGWVVCRVFKKRVATVRRMADGSPCWFDDHGAVGAFMPDLSSPRQLLPHHHHHHPGSSAALYHGHHHQQLQQMYGHCKPELEYHHVLPQEAFLQHLPQLESPKPPPPPPAAAAYIGGHLGSSSSTALTTHDDEASGSAAQQQPPSLEAVYMAGAGVGVGIGVDASVTDWRLLDKFVASQLLSKESMSSYGSHPAQVFQAADGGKHEEALDYASTSAGSGGGEADLWK >ONIVA02G28140.1 pep chromosome:AWHD00000000:2:25189537:25193613:1 gene:ONIVA02G28140 transcript:ONIVA02G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentapeptide repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G12250) TAIR;Acc:AT1G12250] MALPTTSPLAAAAARPCAFPTPWRCRSPPLRRLPHVSCQANRGGSRDGNSLSTSAAAAAASPPPRWRAAVSAALAAAIVSAAPAYADLNKFEAEQRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTAADMRESNFSGSTFNGAYLEKAVAYRANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAIIEGADFSDAVIDLTQKQALCKYANGTNPLTGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPPKLLDRDGFCDEATGMCDAK >ONIVA02G28130.1 pep chromosome:AWHD00000000:2:25177475:25177687:1 gene:ONIVA02G28130 transcript:ONIVA02G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMATMAGAAGVESVDPAAMRQDLAAARQTTCPTAGVAGGGGEEDGRIWLQIWRVLSRVGVAWNPVVV >ONIVA02G28120.1 pep chromosome:AWHD00000000:2:25177101:25177367:1 gene:ONIVA02G28120 transcript:ONIVA02G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTWREIFSPDWCYQYSCPSSRVALPLGGSGGDDPAALSLPPPSSASPPPERPPAKPRGCKDDGGGASRFTSSGGWGTHLGGSGGDR >ONIVA02G28110.1 pep chromosome:AWHD00000000:2:25171633:25173851:-1 gene:ONIVA02G28110 transcript:ONIVA02G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAVFPLDHLAAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPAPAPAPANQLHFGPSLLSPTSPHGLLDEVAFQTPSLLMEQAASASLSSITGRSSSSCASNAPAMQMPPAKPVQQEPELPKNAPASANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQGFKKTFKPQDGSEDILLKDSLYAAAAAAAAAAANMGVTPF >ONIVA02G28100.1 pep chromosome:AWHD00000000:2:25163751:25164071:-1 gene:ONIVA02G28100 transcript:ONIVA02G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDHSRGFDTIATLESRTWPEIARAMTGEADLWWMARAAIPAMVVPSLIDGSPNSLED >ONIVA02G28090.1 pep chromosome:AWHD00000000:2:25160449:25163018:-1 gene:ONIVA02G28090 transcript:ONIVA02G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAKKDSIFGGLASGAPVGADRFPFDPREYSPAMSSDSRELLGIDPPELIFPFELKKQISCSLHLTNKTDEYVTFKVKTTSPKKYCVRPNNGIVAPQSTSDVLVTMQAQREAPPDMQCKDKFLVQSAIVTQELTPKDITGDMFTKESGNVVDEVKLKVVYTQPHPTSLNGGSEEGLGSLSYQEATKGSRESETVTSEPLALISKLKEEKSSAIQQNMKLREELDLLRRQMGSQHGGFSLVFVLVIAILGILLGFLIKR >ONIVA02G28080.1 pep chromosome:AWHD00000000:2:25141957:25153099:1 gene:ONIVA02G28080 transcript:ONIVA02G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAVFPDGRRWCKGGSSSSSPVTTAIFLFFFVVVVGVLVSARWITTTSHLSITNLDEWRTKTAILTSTQATSIPGTPTAPPPPRRAYSISCSSPPLRRDPAVPKNISQTLYLALSSRPACARVPEAHPLPPTTTNSSCPAYFRFIHEDLHPWRAAGGITRRMLERARDTANFRLVVLRGRAYVERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVQADRYQGENATAMPPLFRYCGDDETLDVVFPDWSFWGWPEINIKPWDALQKDLDIGNKRVKWVDREPYAYWKGNPDVATKRKELDWIKESKAGYKQSDLASQCTHRYKIYIEGSAWSVSEKYILACNSMTLVVTPKYYDFFSRVLMPTQHYWPVRDDNKCSSIKHAVDWGNSNKKKAQKIGKQASNFIQQELSMDYIYDYMFHLLTEYAKLLRFKPTKPPEAIEICPELLACQAIGRERKFMEDSMVNSANDAGPCDLPPPFSPEEFKELQQRKEKSMKQVETWQQKASQT >ONIVA02G28070.1 pep chromosome:AWHD00000000:2:25132517:25140327:-1 gene:ONIVA02G28070 transcript:ONIVA02G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLATDYFAPSSSSAAGKALALEFFSFPSLPVPALPPDPHFLPFTSADELPAATVADDGLGPLPIASALSDFLAAVIPQALPVPTVPAADEGYGVINREKDEKGEGSRSDGLEISSVMKRWEQLKELRFEVVEVDLLMALQEDIASFGEEESGGGVTLLLRVPDMKIHLDFIDIETDIKIRYQSDLAESVYQVEKVPVKDNDDNGHSSLREDCCLEIAALDHGAVIPRLEVSRNSWELDDCLTETDRYGVFDNVVRHLDEAQIQHSVFKSTEFLRSTDMDMLTFVCEDAPCHDIQVDKPAEIKAAVEMDVVRINGNILLEKNSALYPLKPDGTCSDLPCSILLEEVQIIDFPSDNVFKMLVQSETTKMNISDEIFKDDFDPARRLYESMVSCELALVDDTFRSLPTPILNDDIAVRSRVPPIQEILCSLKPHPLSASDGIYLDWHLLLEGPCNREICCSYASMVEEAKTCHLSSELQRSCQSTSVFVSDFLEDFQRSPKLQDEDKHSDIYVPAPLSHDPQKLKATQKCEQEGGTRNHSSMKRPSPGKSSSFPELISHSGDLNFYLNVRSATKSGTNNENTSTLDVPHSEEQALSLSTRAKVDKLIEIHPVSPSNLIQGLIEQIHASYTSALQESTYWRHSFSDGQGLGISKQKLLELITGEGSEGSYNHCEHKDKMELIVLYALKQVAYYLCFFGLHAAHLYISNLTRSLENTPERLKHILWSISEAQRKSERQLFESHPSLSCIETILRSNKQIDQKILIVADRAFWLPLGQKLASMRMTFVEFGQNPATTFVDLVNKTNSTAWVLEELLKSDCILLDNKNIPASFPFDKFGIILEYGGPNKSSTLLSLAPKLDGLPPLHFLYVKVDGKDIPAALVEDNHKDQDLKSTLDKVLLTLQKDLQERMNKMRIVDSLNFIPATNQLQSLQEKRSKHFAADATKELLPDDQPHRLQNLNKKNTFDSHNVVLADEQLHIQQTLSNKPVVNSQCVPTVEKSSSTSSVSANVLKDPQENQSTTDLPSCVKNDCIMPGRLSVPDVVIVVNTGNHGKTMLVSRRSSYQQILALEKGGMQVVERDIDLPVDLILSAAVCLVWYETVLFEANELTTSAETSGIKENVENIATNILMSVSFSFTGCIMVFEGEADFLSAVMDSSDSLYTAAASLDMNLQLFFSHTPRSTDEIILNCITNVTSCYKAPLPDIPESESLAESFLTSFPSINPVSAYMLLSSGGSLVEFLSWPHERRIQAVGKYLLSPKIISLFNALCKFGELGESRSVMTECSSVDSDISSAFLQSPRKRKQRSLQACAVPTNKLLFSDSLNQIPGDYAEHAEVFSPSKLRKFSDMDNTIPELPDVFTFDESLNMRSEGFSYQQKKHDVDAIPGNQVINDDFSNGLTPNNQAYNRRTGNMVDTFDLPWQPEFGGTHPSKSTFHTSRPSCSRTHSNPVFSTAFEINDDPGEWNISGGTKQTWKGLAHGGTVDDSYRYDMDNRYHEPRDEIMQHPASSLAFQKLDFGSHATSQGSCWEIDYLRQMSAKRKARQERSRCSNSPGMSIPIMRDSNSKILNPPPKESFRYRGDRDTPSRDQSPSIGTQHYGKGKEGAKAQNRRARKDFNVQPTSHKKRIEPSIDPTWTPIDKRARQKLSFVTYGKEKQSKLVWRNQNSPGVGCGFRKRFREEGHPRQP >ONIVA02G28060.1 pep chromosome:AWHD00000000:2:25129990:25130730:-1 gene:ONIVA02G28060 transcript:ONIVA02G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGQNPRGNNAAPFGRYSNNHGAGFRRGSTGPNAPRNHHHPAAAAPPHVVMTPQQRDEVLLRAGRLAAEYLVNIGELPPDALLQGRHPPPPPHAPFQGYQQRQWPPPRGHPWHEGPHPQHGFQASRSSAVGPIRNIAKRAVVRGGGGGTFRGRGGRFPSRRPGASGAAAPETAGEPGHGQGVAPGAGVGGVVGVRGDGSDATAPAGPSSGRQPSAAAHPGGAAHGQPEKGQPGGHSNSDGPVGL >ONIVA02G28050.1 pep chromosome:AWHD00000000:2:25124440:25126817:-1 gene:ONIVA02G28050 transcript:ONIVA02G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVRAAPFTYVAHALAVAAATMVLVWCIHFRGGLAFEATNKNLIFNVHPVLMLIGYIILGSEAIMVYKVLPTWKHDTTKLIHLILHAIALVFGAVGIYCAFKFHNESGIANLYSLHSWLGIGTICLYGIQWIFGFVAFFFPRASPSVRKGVLPWHILFGLFVYILALATVELGFLEKLTFLQSSGLDKYGAEAFLVNFTALIVVLFGASVVVAAVSPARVEEPHEYAPIPES >ONIVA02G28040.1 pep chromosome:AWHD00000000:2:25116419:25117982:-1 gene:ONIVA02G28040 transcript:ONIVA02G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEALKEAGATPAAANAGEEKAVIPAASTSPVISKTDDDTEPPADDSKALVVFVEKVADKPHAEKATATATPTRTSNDRDIALAKVETDKRESLIKAWEENEKAKAENRASKKLLDIISWENTKKAVIKTQLKKKEEELERKKAEYAEKAKNKEAIVHKEAEEKRAMVMARRGEEVIKAEEIAAKYRATGVTPKKHIGCFGA >ONIVA02G28020.1 pep chromosome:AWHD00000000:2:25088402:25095866:1 gene:ONIVA02G28020 transcript:ONIVA02G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQEKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHLLVGTPGRILDLTKKGICILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDQAIYCQ >ONIVA02G28020.2 pep chromosome:AWHD00000000:2:25088403:25095866:1 gene:ONIVA02G28020 transcript:ONIVA02G28020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYNRGPPLQQQHNHHQQQQTSAPHHQQYVQRQPQQHHHHNHHQQHQQQQQQWLRRNQIAREAAGTDRNSEPKAVAQSPAVDGIDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQEKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHLLVGTPGRILDLTKKGICILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDQAIYCQ >ONIVA02G28020.3 pep chromosome:AWHD00000000:2:25088403:25095866:1 gene:ONIVA02G28020 transcript:ONIVA02G28020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYNRGPPLQQQHNHHQQQQTSAPHHQQYVQRQPQQHHHHNHHQQHQQQQQQWLRRNQIAREAAGTDRNSEPKAVAQSPAVDGIDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQEKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHLLVGTPGRILDLTKKGICILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYEQLPTTILFMYFILTFGSLN >ONIVA02G28010.1 pep chromosome:AWHD00000000:2:25081521:25084970:1 gene:ONIVA02G28010 transcript:ONIVA02G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKSCEKPRPNYRKGLWSPEEDQKLRDYILRHGHGCWSALPAKAGLQRNGKSCRLRWINYLRPGLKHGVFSPEEEETVMSLHAALGNKWSRIARHLPGRTDNEVKNYWNSYLKKRVESGGGKTSQGPPTTPASAASSPADSDDSHSLQQKPHEPANSDSSEPAHESSSASADSSCLTVTTDHPPVSRPHAAVTPKVMFADWLDMEYICGQVAAAPGLDAAGFAVVGGAAGDQQQQQQQQVMSQDGSVHQADGPSCGVDDSSLQQQQQEGFGGNGGCWDFQEQFDSIDQMQASGGGGGGGFCDLLSMSDFDLWAELERTA >ONIVA02G28000.1 pep chromosome:AWHD00000000:2:25064188:25064619:1 gene:ONIVA02G28000 transcript:ONIVA02G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVAAVTGKRRMQGLGPKRSSNKDRHTKVDGRGRRIRMPALCAAWIFQLTRELDHKSNSETVQWLLQQVEPAIVTAMGTGVGTDIQGPSLPSPNCALSRSHHHHHHMWAAAAAPPAASAAFAGATSGSRPCSPATWRQPCRG >ONIVA02G27990.1 pep chromosome:AWHD00000000:2:25061489:25062821:-1 gene:ONIVA02G27990 transcript:ONIVA02G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCKACDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSMCNYSSMDGVLYCKTHFEQLFKETGSFSKKFSQGGKSSEKSDQGRAPSKLSSAFSGTQDKCAACQKTVYPLEKGESYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIQTAQSKQKESEEAAPVAATEASEKEQEVPPQDAT >ONIVA02G27980.1 pep chromosome:AWHD00000000:2:25049396:25052489:1 gene:ONIVA02G27980 transcript:ONIVA02G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIVRQTFIRVHGSLHVSPVAMETRVAVVTGGNRGVGLEICRQLASNGILVVLTARDEKKGSQAVKALEQSGLSGVIFHQLDVTDRSSIMLLVEFIRTKFGKFNILVNNAAIGGTTIDPERLRELLEQDPKASFQEDLMGFLNSYMGSLQQNYEMAKECLEINFYGTKDVTDCLMPLLLLSNSGKVINLTSKISQLQFISNEGVIKVLSDIDNLSDEKLKDVASIFLKDFKDGNLEAHGWQPVVSAYAVSKTLVNAYSRLLAKRHPSLEVCCVNPGFVKTDMNYGIGLISVEEGANAPVRLALQEACSDSCLYFEQCEIDGGLAKDQRGADLTGAGKPLAAHSRSGASGRPRRPLAAVAVAPSRRSSNLRA >ONIVA02G27980.2 pep chromosome:AWHD00000000:2:25055034:25059567:1 gene:ONIVA02G27980 transcript:ONIVA02G27980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGSNNSFPVEKRVAVVTGGNKGLGLEICKQLAANGVTVVLTARSEERGAGAAAALRQLGLSEVLFHQFDVSEPSSAAGLADFIKHKFGKLDILVNNAGILGVTFDFGNLDLNKAIEGKSANETLEWLMQHTVETAENAEECLKINYHGNKKTIQALLPLLQSSPDGRIVTVSSVFGQLSFFSGEKLKEELNDFSKLSEERIDELAELFVRDFKDGELESRGWPARADAFAAYKTSKALQHAYTRVLARKHASSSSSPLRVNCVHPGYVKTDMTLGTGELTVEEGAAGPVALALSPPGGATGVFFIQTEPAI >ONIVA02G27980.3 pep chromosome:AWHD00000000:2:25055034:25059567:1 gene:ONIVA02G27980 transcript:ONIVA02G27980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGSNNSFPVEKRVAVVTGGNKGLGLEICKQLAANGVTVVLTARSEERGAGAAAALRQLGLSEVLFHQFDVSEPSSAAGLADFIKHKFGKLDILIEGKSANETLEWLMQHTVETAENAEECLKINYHGNKKTIQALLPLLQSSPDGRIVTVSSVFGQLSFFSGEKLKEELNDFSKLSEERIDELAELFVRDFKDGELESRGWPARADAFAAYKTSKALQHAYTRVLARKHASSSSSPLRVNCVHPGYVKTDMTLGTGELTVEEGAAGPVALALSPPGGATGVFFIQTEPAI >ONIVA02G27980.4 pep chromosome:AWHD00000000:2:25052495:25055523:1 gene:ONIVA02G27980 transcript:ONIVA02G27980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAAVATFMEITSCGSQEAAVQHLASCRWDLDAALNRYFIFGGVLAAAPAPAPVADVAPPAALDDGVRAPIPARSDTLYGDMYGGARRRDRDSRPAPSVWEDEPPAVTPSVAPVYIQMPSANPAVAPVQIQMPSETAASVPAAPVPVHAPSQPPVVAIAVKKEQNEKDEEEDNGRDDDDDGYSDSDYGTDTDDDDDRYDECIEKTPSPPPKSTQQQATTLAEMYRRPRELMHDADFHSTKVHAARQDRWLLLNLQSAGEFASQMHNRDLWADQVIARVVRESFVFSLLENSYGDDDDEASKVCCFYKLHYQLPAVLVIDPITGQMLAKWSGVIQPETFLVDIEEYSKSKPSMRSKPYIFQRKPMPVRSAPAASEQHHQEPAMVDTAAPMDIHNVQEPDTAAPAPAPVVEHGVQQPATAGAGAQQPADEQDDDDQPMEGEKMYRMRVRFPDGSVVTKEFGCKRRVAVLFNYCRSVLHDKPQAFKIKRLLGVGGAFHELPQDDVAIGATTVGEDFELAAGSSNTARKCGRRRLGLELAGGSGATTRNNRYQRGPELGGGGGVNVISLDVAYVFALEVCVF >ONIVA02G27980.5 pep chromosome:AWHD00000000:2:25052495:25055213:1 gene:ONIVA02G27980 transcript:ONIVA02G27980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAAVATFMEITSCGSQEAAVQHLASCRWDLDAALNRYFIFGGVLAAAPAPAPVADVAPPAALDDGVRAPIPARSDTLYGDMYGGARRRDRDSRPAPSVWEDEPPAVTPSVAPVYIQMPSANPAVAPVQIQMPSETAASVPAAPVPVHAPSQPPVVAIAVKKEQNEKDEEEDNGRDDDDDGYSDSDYGTDTDDDDDRYDECIEKTPSPPPKSTQQQATTLAEMYRRPRELMHDADFHSTKVHAARQDRWLLLNLQSAGEFASQMHNRDLWADQVIARVVRESFVFSLLENSYGDDDDEASKVCCFYKLHYQLPAVLVIDPITGQMLAKWSGVIQPETFLVDIEEYSKSKPSMRSKPYIFQRKPMPVRSAPAASEQHHQEPAMVDTAAPMDIHNVQEPDTAAPAPAPVVEHGVQQPATAGAGAQQPADEQDDDDQPMEGEKMYRMRVRFPDGSVVTKEFGCKRRVAVLFNYCRSVLHDKPQAFKIKRLLGVGGAFHELPQDDVAIGATTVGEDFELAAGSSNTARKCGRRRLGLELAGGSGATTRNNRYQRGPELGGGGGVNVTRRYR >ONIVA02G27970.1 pep chromosome:AWHD00000000:2:25045935:25047959:-1 gene:ONIVA02G27970 transcript:ONIVA02G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPELIMRSLPLILFLSLGSFHLAAAAVDDQFTFDGFAGVNLTLDGTAVVTPGGLLMLTNGTTLLKGHAFYPSPLRFFHEATSGGGSSTVRSFSTAFVFGIVSEYADLSSPGLAFVVAKSRDFSSALQSQYMGLANARNNGNASNHFLAVELDTIVNAEFGDMSDNHVGIDVDGLASAAADDAGYHDDRTGAFVNMSLLSRAAARVWVDFDARTSLVNVTMAPLELPKPTTPLLSAAVNLSAVIEDEAYVGFSSSTGVVASRHYVLAWSFKMDGPAPSLNVSKLPALPVTIARAPSNVLKILLPIASAALVSALAIAVLVIHRRRRRYAELKEEWEVAFGPHRFSYKDLFRATNGFSDERLLGFGGFGRVYKGVLPVSRVEIAVKKVSHESRQGMKEFIAEVVSIGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYAENSKILSWAQRFRIIKGIASSILYLHEDWEQVVLHRDIKASNVLLDAEMNCRLGDFGLARLYDRGTDPHTTHVVGTIGYLAPELGHTGRPSKASDIFAFGVFMLEVTCGRRPVSQDTNGGQLLLVDMVLEHWRQGTVTDAVDPRLQGDFAVEEASLVLKLCLLCSHPLPSARPGIRQVVQLLDGAMPLPELSQAHLSCNMLALMQNQMGNSCSVASSVAGNISDIPRAR >ONIVA02G27960.1 pep chromosome:AWHD00000000:2:25040180:25042018:-1 gene:ONIVA02G27960 transcript:ONIVA02G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGDRQERCLPSIHLRCRPCHRHLTTFSQGVHSAFAIAMGVHSALSVQCISFPTCRLCRRLNHTDQRHIQQAKSVRVGVADTTTQAQAQALHRLVSRGSPRPAVPCRGEPSISAAPSKHHPKAVQFVRPVSHLLCLPLTPYYECPVATTAHKHTRTRRGCLLSLPAFPLLCSPLPARALGSCIAPPSTEMVAAAEWWEATIAAYTGLSPAAFFTAVAVAAALYVAVSGLLTRRPPPLPRRQEEARASQPLPPPVQLGEVTEEELRVYDGSDPNKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGRDASRALAKMSFELDDLTGDVSGLGPIELEALHEWEGKFMSKYVKVGTIKKIIPVSEGDAATHGGTSDRGIDVGTIESNRVPEPEENGATSHADAVEKSDADVSTHSHEDVVEKSDELLESGVDTRSTHEDAVGKPKEETEDADVQKTISTEVAGEGKGAPDEDERNTCSLEDAIEKPKETAYIDVKDTSGHEVAGEPKEAPDVDGNNTSSNQDAVDEPKEASHEAKEA >ONIVA02G27950.1 pep chromosome:AWHD00000000:2:25036680:25041717:1 gene:ONIVA02G27950 transcript:ONIVA02G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLSEGFPCRPCARHSNTLAAGRWLSKKCLTACGLWLRVLTVPSRGGEPATNARGRRPWDPASPASTRSPLTHAGAGADPHSARLSVLNGPARIRPIPESSPIEPRGGERDGEESSRRILEVWTKTEEEILAETTAASSIRGGGFARAPTTAGPAPTPSSSPTRLQLGMLSGRAVTLHHRSDSGERLVGNVVPDEAESGEEAEASSKVLYRASFQELMPNYLQYDTIIWAVISLLLVLAWGVGLLMLLYLPYKRYVLKKDILSRKLYVTENKIVYKASRPSYIPFMGIVKKEIEVPLQLVVDVIIEQGCLQSVYSLYTFKVESIASGKPAPLDELQFHGVHNPDLLKTVIIREASKRIQEHQSWKYRIYSGEGPSDVTPIDRLDSPNAKVTASSRHNFQESKGRIPESDSILLHKLEEVCQSVKNLESLLLGSHSRA >ONIVA02G27950.2 pep chromosome:AWHD00000000:2:25036680:25041717:1 gene:ONIVA02G27950 transcript:ONIVA02G27950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLSEGFPCRPCARHSNTLAAGRWLSKKCLTACGLWLRVLTVPSRGGEPATNARGRRPWDPASPASTRSPLTHAGAGADPHSARLSVLNGPARIRPIPESSPIEPRGGERDGEESSRRILEVWTKTEEEILAETTAASSIRGGGFARAPTTAGPAPTPSSSPTRLQLGMLSGRAVTLHHRSDSGERLVGNVVPDEAESGEEAEASSKVLYRASFQELMPNYLQYDTIIWAVISLLLVLAWGVGLLMLLYLPYKRYVLKKDILSRKLYVTENKIVYKASRPSYIPFMGIVKKEIEVPLQLVVDVIIEQGCLQSVYSLYTFKVESIASGKPAPLDELQFHGVHNPDLLKTVIIREASKRIQEHQSWKYRIYSGEGPSDVTPIDRLDSPNAKVTASSRHNFQESKGRIPESDSILLHKLEEVCQSVKNLESLLLGSHSRA >ONIVA02G27950.3 pep chromosome:AWHD00000000:2:25036680:25041717:1 gene:ONIVA02G27950 transcript:ONIVA02G27950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLSEGFPCRPCARHSNTLAAGRWLSKKCLTACGLWLRVLTVPSRGGEPATNARGRRPWDPASPASTRSPLTHAGAGADPHSARLSVLNGPARIRPIPESSPIEPRGGERDGEESSRRILEVWTKTEEEILAETTAASSIRGGGFARAPTTAGPAPTPSSSPTRLQLGMLSGRAVTLHHRSDSGERLVGNVVPDEAESGEEAEASSKVLYRASFQELMPNYLQYDTIIWAVISLLLVLAWGVGLLMLLYLPYKRYVLKKDILSRKLYVTENKIVYKASRPSYIPFMGIVKKEIEVPLQLVIIREASKRIQEHQSWKYRIYSGEGPSDVTPIDRLDSPNAKVTASSRHNFQESKGRIPESDSILLHKLEEVCQSVKNLESLLLGSHSRA >ONIVA02G27950.4 pep chromosome:AWHD00000000:2:25036680:25041717:1 gene:ONIVA02G27950 transcript:ONIVA02G27950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLSEGFPCRPCARHSNTLAAGRWLSKKCLTACGLWLRVLTVPSRGGEPATNARGRRPWDPASPASTRSPLTHAGAGADPHSARLSVLNGPARIRPIPESSPIEPRGGERDGEESSRRILEVWTKTEEEILAETTAASSIRGGGFARAPTTAGPAPTPSSSPTRLQASRPSYIPFMGIVKKEIEVPLQLVVDVIIEQGCLQSVYSLYTFKVESIASGKPAPLDELQFHGVHNPDLLKTVIIREASKRIQEHQSWKYRIYSGEGPSDVTPIDRLDSPNAKVTASSRHNFQESKGRIPESDSILLHKLEEVCQSVKNLESLLLGSHSRA >ONIVA02G27950.5 pep chromosome:AWHD00000000:2:25036680:25040171:1 gene:ONIVA02G27950 transcript:ONIVA02G27950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLSEGFPCRPCARHSNTLAAGRWLSKKCLTACGLWLRVLTVPSRGGEPATNARGRRPWDPASPASTRSPLTHAGAGADPHSARLSVLNGPARIRPIPESSPIEPRGGERDGEESSRRILEVWTKTEEEILAETTAASSIRGGGFARAPTTAGPAPTPSSSPTRLQVIIREASKRIQEHQSWKYRIYSGEGPSDVTPIDRLDSPNAKVTASSRHNFQESKGRIPESDSILLHKLEEVCQSVKILSQQ >ONIVA02G27940.1 pep chromosome:AWHD00000000:2:25032411:25033392:-1 gene:ONIVA02G27940 transcript:ONIVA02G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGDGAPLPVVMFLVVVLLLANEVVTLGYGCRALKQADCAMAATPKHGGTVLMRAAAPPGPGESRAAMYGRQTKECWPKFVKEHEKNRFCSIDQGCQPSDNCHCLEES >ONIVA02G27930.1 pep chromosome:AWHD00000000:2:25026848:25031417:1 gene:ONIVA02G27930 transcript:ONIVA02G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGAREAAREKADGHPCHAPPPSSSCGGETERRGGAPRTPASEASSQPRISLRAASHEPPSEIRISAPAYHRSGNEAACSGAARQGGRREEKEEEEEMVHGTLEVLLVGAKGLENTDYLCNMDPYAILKCRSQEQRSSIASGKGSNPEWNENFVFTVSDKATELLIKLLDSDTGSADDFVGEATIPLEAVYTEGSIPPTLYNVVKDEHYCGEIKVGLTFTPEDVRQRGLPEDFGGWKQSR >ONIVA02G27920.1 pep chromosome:AWHD00000000:2:25023222:25026611:-1 gene:ONIVA02G27920 transcript:ONIVA02G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALAVSVAKPAASPPVAVAAVTPQRRLLPQCRGVRAAPVVRLRSGRARGVSVVCAAQGQETSFQVPDVTKSTWQSLVVESELPVLVEFWASWCGPCKMIDPVIGKLSKEYEGKLNCYKLNTDENPDIATQFGIRSIPTMMIFKNGEKKDAVIGAVPESTLVSSIDKYIGR >ONIVA02G27910.1 pep chromosome:AWHD00000000:2:25006717:25019141:1 gene:ONIVA02G27910 transcript:ONIVA02G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRHSQTPSSDDETRALNALLDAFSSAFSLDDIATAYCRANGDVNRAGDLLTELEHPMAKSNEVDSSVGTIHPPSGKAIEENSTESSGQAKSREKMQKSSASFGTVSSMLGKGSTRATVPLMNRASGKEKPPMVELPEYMRDDFNGKVDKSDSAPKRETLNNRDIEEFLFSMLGEGFKLSMDMIREVLGSCGYDIKKDAAVECSSTKGSCLESQSTFRFLLLLFIIGLFLSSHHEGYIYFTFIDTLLCRNGSAYSLRGKRHSSSQISPGELLESIFTVPERSEEEPIGKRYELGANRNRVPDQKPVVEPLEDISSETNCYPVKVILSKELVAHNEEDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQKEVEYLLGEGKHYYMMARLADEKSSAEIVKSKKVESKNELCLDLRGQDPANVANLVRLHLRQLSNIPSFEYLKVITGAEDGSFKSAQRRRKVMKYLEKKSIVWTEEESNPGTILIPINQKQDQQD >ONIVA02G27900.1 pep chromosome:AWHD00000000:2:25002020:25006207:1 gene:ONIVA02G27900 transcript:ONIVA02G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid desaturase family protein [Source:Projected from Arabidopsis thaliana (AT4G04930) TAIR;Acc:AT4G04930] MGAAAGDGREEEGVMATDFFWSYTDEPHASRRREILAKHPQIKELFGPDPLAFLKIAAVVSLQLWTATLLRDASWVKILTVAYFFGSFLNHNLFLAIHELSHNLAFTTPSYNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDIPSQAEAHAVKNTLSKSVWVVFQLFFYALRPLFLKPKPPGLWEFTNLIIQIALDASMVYFFGWKSLAYLILSTFVGGGMHPMAGHFISEHYVFNPDQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGTRLYKVREIAPEYYNNLKSYKSWSQVIYMYIMDQTVGPFSRMKRKAPKKDS >ONIVA02G27890.1 pep chromosome:AWHD00000000:2:24994200:25001224:1 gene:ONIVA02G27890 transcript:ONIVA02G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSSSAPMLIRSVLFVSLLSAAFVFDSGEAGAAHRVVDPEWHPATATWYGSADGDGSDGGACGYGTLVDVVPMKTRVGAVSPVLFKGGEGCGACYKVRCLDASICSRRAVTVIVTDECPGGVCAFGRTHFDLSGAAFARLAVAGHGGQLQNRGEISVVYRRTACKYGGKNIAFHVNEGSTTFWLSLLVEFEDGDGDIGSMQLKQANSAQWQDMKHIWGATWSLTPGPLVGPFSVRLTTLTTRQTLSAQDVIPKNWTPKATYTSRLNFA >ONIVA02G27880.1 pep chromosome:AWHD00000000:2:24988482:24989856:1 gene:ONIVA02G27880 transcript:ONIVA02G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLSHVVSDLCIGKPPARVLPPSTPVAAALAALRTGDDPFVFVVDADEALRHSRGKKIAAGCVVVKVSVADVLCYVCGDADNLSDPAAALGRPVSALAAAVHAGGGDHHGAALRVDSHTSLLDAIDALLSNDAQTLLVPLHAHAARSRKHHHVHVSGCSPANPAAATDYCVLTREDIVRHLFSYSISLFAPVAARTVASLGLVRRDVHAVHADDDALDAIPLLRRSIADGTAVAVVADDDALVGEICTGVLGSCDIESASAVFAALSVGDVMTYIDCSLSPPEFLLRSIRAQLKGRGMDAMADLMDAADDAASSLPLSPSSSSSASSDEDSPFGRARRARRSSSGSFRWRSTKDVAACHAGSSLVAVMAQALAHRVGYVWVVDEVSGALTGVVSFADVLAVLREHLRAGDTQMN >ONIVA02G27870.1 pep chromosome:AWHD00000000:2:24986298:24986920:-1 gene:ONIVA02G27870 transcript:ONIVA02G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRFRHVPTERGGSAGGESEDLSGGRGLKWDNCASRLSHAARRTPDWGGGWRGCSAESAPWRALSPAAASTTRVRDVEEGRCGATSCVSGGGEDGRAAATAHAFVLQQAQHPYDAKEIQSADAKMVAELTKLSDHNWRFAKDPVDTAKSVVVGSAVLAEHADEQHNCRPGKK >ONIVA02G27860.1 pep chromosome:AWHD00000000:2:24982003:24983753:-1 gene:ONIVA02G27860 transcript:ONIVA02G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKRCFCGSQENIKELLNLKNVQLFSYREIRAATSNFDDGNKIGRGGFGTVYKGTFEDGTAFAAKVLSAESEQGINEFLTEIESITEAKHANLVRLLGCCVQRQKRILIYEYVENNSLDNALQGSAAGVTDLSWSTRSDICMGVAKGLSYLHEEHEPSIVHRDIKASNVLLDRNYIPKIGDFGVAKLFPDNVSHVSTRVIGTTGYMAPEYVVHGQLTKKADVYSFGVLILEIISGRRMSQTIRSDMFLVRQAWVLHEQDSLLDMVDPSMKGGYPEEEALKFIKVALACTQAKPCSRPTMRQVVKLLSRPVCLEELEMLCPGFVGDGHTHDAAAADTVGSPGVMVVSPALSPKMRWPTGTATAISTEH >ONIVA02G27850.1 pep chromosome:AWHD00000000:2:24981134:24981557:-1 gene:ONIVA02G27850 transcript:ONIVA02G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNYVDRCRRYSWRLDLAAPKLAAAGSGRSTPEHMGRRGEGDVVSIFFRFGYPQARGTRACGEAGPREGRCRETVLPAKGRACRRAGERFLSSKQKERNEKVMAEDGLWLS >ONIVA02G27840.1 pep chromosome:AWHD00000000:2:24969484:24979134:1 gene:ONIVA02G27840 transcript:ONIVA02G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSMVYFGNTSIGEVEVWPSGDASLAAAAWAREIRVDRLSPPSERCQPLAVMHTVAVGARCLVMESRLPKAADEPPQPLVAMHAACLKENKTAVVPLGEEELHLVAMTSRRNLTNHACFWGYKVPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTTRSFEDRIDALQRKLSNETDPQRISGMIAEIKRYQDDKSILKQYIEGDQVYDDGKMYKVQPELVPPLSDNHQPMTRPVIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLNDRMVCVKSGLRKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDEGLLPRISNVFYEDEINEIPSAPDVGNYLISEDENVAAVNGNRDPLAFDGMADAEVERRMKEASGNAQAFTTTAANFVMPVLPGQNFVSSSVAPVAPSLGMVPLSNNQGPPPPFTQPVAQLSLSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPAVPPVQVPVPPVQPHGNWFPVEDGMNPNNLNRGSAGFPLESETMHYDKKQPPHPFFHGGENPISSDRFSYQNQRYPSQLPHSEDHRVLQNHAPSRYRSFPGEELATRHVSSSQRNNQIVPGQHFARHAGSSAGILEEIAMKCGSKVEYRSALCDTADLQFSIEVWIVGEKVGEGIGRTRKEAQCQAAEISLRNLANKYLSSDPNKMTGMKENGFGSNTNIFGYPGNSRDDVLPIASTSEETRFVKMGENNSRKAGGSIAALKELCTAEGYNLVFQARTSPDSSVGKEAYAEVEVCGQILGNGVGITWEEAKLQAADEALGMLRSMLGPLAQKRSSSPRSLAPSFDKRFKPDFPRAVQRLDETYQLCRE >ONIVA02G27840.2 pep chromosome:AWHD00000000:2:24969484:24979134:1 gene:ONIVA02G27840 transcript:ONIVA02G27840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSMVYFGNTSIGEVEVWPSGDASLAAAAWAREIRVDRLSPPSERCQPLAVMHTVAVGARCLVMESRLPKAADEPPQPLVAMHAACLKENKTAVVPLGEEELHLVAMTSRRNLTNHACFWGYKVPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTTRSFEDRIDALQRKLSNETDPQRISGMIAEIKRYQDDKSILKQYIEGDQVYDDGKMYKVQPELVPPLSDNHQPMTRPVIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLNDRMVCVKSGLRKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDEGLLPRISNVFYEDEINEIPSAPDVGNYLISEDENVAAVNGNRDPLAFDGMADAEVERRMKEASGNAQAFTTTAANFVMPVLPGQNFVSSSVAPVAPSLGMVPLSNNQGPPPPFTQPVAQLSLSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPAVPPVQVPVPPVQPHGNWFPVEDGMNPNNLNRGSAGFPLESETMHYDKKQPPHPFFHGGENPISSDRFSYQNQRYPSQLPHSEDHRVLQNHAPSRYRSFPGEELATRHVSSSQRNNQIVPGQHFARHAGSSAGILEEIAMKCGSKVEYRSALCDTADLQFSIEVWIVGEKVGEGIGRTRKEAQCQAAEISLRNLANKYLSSDPNKMTGMKENGFGSNTNIFGYPGNSRDDVLPIASTSEETRFVKMGENNSRKAGGSIAALKELCTAEGYNLVFQARTSPDSSVGKEAYAEVEVCGQILGNGVGITWEEAKLQAADEALGMLRSMLGPLAQKRSSSPRSLAPSFDKRFKPDFPRAVQRVPYGRYSRIEGHVP >ONIVA02G27830.1 pep chromosome:AWHD00000000:2:24962326:24968273:1 gene:ONIVA02G27830 transcript:ONIVA02G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTRAAAAALDLWTSEPAPIQERRRRLLQLMGLSGDPSLARFERGRSAPCDAVGPLPSSPVARSRSSGATPASAAKPPLGGGRLRGASSDVSDATLEAVEEDPRCLIRNLDDGSEFLVREEFGLREVGTGRQLTMEEFELFIGRSPIVQELMRRQSVVNSNSNSNSQSGASTPIERSSSGSSNGGARYKRRNSWLRSLRSAAGSMVTYTRDRRSSDEKDTSSDKGGHRSSSATDDSQDGVAHHGPDRVKVRQYGKSYKELSGLFMNQDIKAHSGSIWSIKFSPDGHFLASAGEDCVIHVWEVLEWKMIEEKGLEENGVFDPESMLVSTASEGSHREKKLRAKAVHNQRSVSSDRLMVPEHVFALSEKPVITFAGHSEDVLDLSWSKSQYLLSSSMDKTVRLWHVSSTYCLKTFSHSDYVTCIQFNPVNDKYFISGSLDKKVRIWSIQERKIVDWIDLHEMITAACYTPDGQGALVGSHKGKCHVYDISGSSSKVIITSADSRIRVIDSFELVCKFKGFRNTNSQISACSAVKGRYLISASEDSHVYMWRCNDDSEPNTKKGIVSITNTHEHFHCEGVTVAVSWPCASSTMTSREWPENGLSGSELDKDQEMPDAQHQSNIIRNTNPNHNGDTSATWSEELMTPTKQSPRSSASHPMEGDQAPSHSAWGLVIVTAGHEGHIRTFQNFGFPVRV >ONIVA02G27830.2 pep chromosome:AWHD00000000:2:24962463:24968273:1 gene:ONIVA02G27830 transcript:ONIVA02G27830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEEVVGEEEEEELFYESLDRILSSSGSSTSASDDDGDHPRRRRGYDAGAAAAAAALDLWTSEPAPIQERRRRLLQLMGLSGDPSLARFERGRSAPCDAVGPLPSSPVARSRSSGATPASAAKPPLGGGRLRGASSDVSDATLEAVEEDPRCLIRNLDDGSEFLVREEFGLREVGTGRQLTMEEFELFIGRSPIVQELMRRQSVVNSNSNSNSQSGASTPIERSSSGSSNGGARYKRRNSWLRSLRSAAGSMVTYTRDRRSSDEKDTSSDKGGHRSSSATDDSQDGVAHHGPDRVKVRQYGKSYKELSGLFMNQDIKAHSGSIWSIKFSPDGHFLASAGEDCVIHVWEVLEWKMIEEKGLEENGVFDPESMLVSTASEGSHREKKLRAKAVHNQRSVSSDRLMVPEHVFALSEKPVITFAGHSEDVLDLSWSKSQYLLSSSMDKTVRLWHVSSTYCLKTFSHSDYVTCIQFNPVNDKYFISGSLDKKVRIWSIQERKIVDWIDLHEMITAACYTPDGQGALVGSHKGKCHVYDISDNMLKHKKQIDLHIKKRKSSQKKITGIQFVPGSSSKVIITSADSRIRVIDSFELVCKFKGFRNTNSQISACSAVKGRYLISASEDSHVYMWRCNDDSEPNTKKGIVSITNTHEHFHCEGVTVAVSWPCASSTMTSREWPENGLSGSELDKDQEMPDAQHQSNIIRNTNPNHNGDTSATWSEELMTPTKQSPRSSASHPMEGDQAPSHSAWGLVIVTAGHEGHIRTFQNFGFPVRV >ONIVA02G27830.3 pep chromosome:AWHD00000000:2:24962463:24968273:1 gene:ONIVA02G27830 transcript:ONIVA02G27830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEEVVGEEEEEELFYESLDRILSSSGSSTSASDDDGDHPRRRRGYDAGAAAAAAALDLWTSEPAPIQERRRRLLQLMGLSGDPSLARFERGRSAPCDAVGPLPSSPVARSRSSGATPASAAKPPLGGGRLRGASSDVSDATLEAVEEDPRCLIRNLDDGSEFLVREEFGLREVGTGRQLTMEEFELFIGRSPIVQELMRRQSVVNSNSNSNSQSGASTPIERSSSGSSNGGARYKRRNSWLRSLRSAAGSMVTYTRDRRSSDEKDTSSDKGGHRSSSATDDSQDGVAHHGPDRVKVRQYGKSYKELSGLFMNQDIKAHSGSIWSIKFSPDGHFLASAGEDCVIHVWEVLEWKMIEEKGLEENGVFDPESMLVSTASEGSHREKKLRAKAVHNQRSVSSDRLMVPEHVFALSEKPVITFAGHSEDVLDLSWSKSQYLLSSSMDKTVRLWHVSSTYCLKTFSHSDYERKIVDWIDLHEMITAACYTPDGQGALVGSHKGKCHVYDISDNMLKHKKQIDLHIKKRKSSQKKITGIQFVPGSSSKVIITSADSRIRVIDSFELVCKFKGFRNTNSQISACSAVKGRYLISASEDSHVYMWRCNDDSEPNTKKGIVSITNTHEHFHCEGVTVAVSWPCASSTMTSREWPENGLSGSELDKDQEMPDAQHQSNIIRNTNPNHNGDTSATWSEELMTPTKQSPRSSASHPMEGDQAPSHSAWGLVIVTAGHEGHIRTFQNFGFPVRV >ONIVA02G27820.1 pep chromosome:AWHD00000000:2:24941107:24942522:-1 gene:ONIVA02G27820 transcript:ONIVA02G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDRGGGGGGGGARGHGRRWKGKGVSAAISSSAAETQQPVPVLEDAPAAAALLRPQKKIRSPDRRLQRSISSLSSAPASPDSSSVSNPMSPPAMSLPNQPPSSRHIFPFAYDPSPGAAAPRLLPLLQYSSLYPQPLLPQQQSPLQNQQMISFGSSQQQQQPQFGAASPLFPPQFLPPEEQQRLLLRYWSEALNLSPRGVRGGALRPSLYQHLLRAPGPPKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDLFLGKGRTGGSGRTSASAAASCSSSSSSAPPTPDESHTQQAQPQPQQPTEESSNTEPKPLLFVAEQDGIPEPELNPQLQTAEQHGNDGNTAMFQPSVTSGGIWGPADEAWFSAWGPGSSVWDYDMDSAHGLLLQSRLAGEQTGMDYAYTAPEVLVAPVPAAGTAMATAASSSLPPRPPPPCHSPTFAWKD >ONIVA02G27810.1 pep chromosome:AWHD00000000:2:24936578:24940226:-1 gene:ONIVA02G27810 transcript:ONIVA02G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPSPMLSVPEKKTAATELFRDRHFFNSAFFTDLREARASLSAPSSQATTQDAASRRALLLRYHCLLASARDDPCDFDDDLAFTWHDAFRPHLRRTAASLRFEKAAVVFNVGAASSRIATAVDRAAEGGVKAACGEFQRAAGAFRAAGEMMEGEEEDTEDTVDMGPEASAMLERLMLAQAQECCFERALAAGTSSAACSKVAKQAALYYEEAYASLVIPPLQNHFERSWVAHILLKAALFNAEASYRYAIELHEKTEIGEEIARLQFGINAIVDAKKAVRGAPGSLYDAASRLEQDMNQNLERALNENNRIYLLRVPAAKLLAPLPAASLVRSASLSEILDVKTETEGNVLNDRAGECTDAISTTVNVMENN >ONIVA02G27800.1 pep chromosome:AWHD00000000:2:24935351:24935800:-1 gene:ONIVA02G27800 transcript:ONIVA02G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAALAPPSTSTSSVLLPSAAAAAAARCLGPLPRRARLRTARHVALTPRDLSAEDVAAEEAAVAPKIGKRVRVTAPVRVYHVMKAPDLDIKGMEGVVKQYVAVWKGKRITANFPFKVEFHLSVEGQDKPVRFFVHLREDEFEFIDE >ONIVA02G27790.1 pep chromosome:AWHD00000000:2:24928549:24930470:-1 gene:ONIVA02G27790 transcript:ONIVA02G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCSKTQKETTPPHGGAEATTSPQVKRKSGKIAADDKGKKTRAGRAVEAAGDKKAVFVVKTKSGAVNVEERRPVVVVPTMPVRTSSCTKEEVDAILIQCGRLSRSSSGRAASSETGAGHQRSKRSYDFDQERRPGCGGGGDEERDWERHGGAVSRPSPHRGSPQRKRSGSRERSSGGGSRRASRSPGRRAEGVSPAPAPAGSGGGGGGGERVVRQQPGKMVSVPAREKARAPSPAAASGKRCASPRSSSPARMVAAGNENAGGGQMTAAQTPSLSRSSSPYRRSPMAEIDENSLRNNNGANRHKKISENALAIAAAPQKATERSKEKPKVVEETVLVAAAPPASKTTATRTASATAESLNTKARSRRASRDFDQNTNSYATQLLEDIQSYHQQQNTTSVAATAATLPSFSLPACVSKACSILDAVADLNSSSSDSHSCEPDRSANDRGSVNAPLGGGMDDLAEPGVHKRHATAPRGDIRGGGGETEPQESAGSNSVSGNPWTPSWEPNSVESTDRTWSASRSTNNGDEVVEQGSSSHAGARSPLNRSRQSSKQRAAQPEHSVRSRAGSSGGNSNNVVHRGRGAHRSGGGGGGSVASGRSGVRAVSAMS >ONIVA02G27780.1 pep chromosome:AWHD00000000:2:24921920:24925374:-1 gene:ONIVA02G27780 transcript:ONIVA02G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARLYTAAASKRVIAGVSSTISRSCHRTCRWKSHAAPLSAQEAPKGPKRMTKQERRVKIEKFVEEYKASNDGKFPTMTTVRQHVGGGHYTVREIVQELEYNQRMLQLDQSKAAELPETAEHSEHLKPKDVHGNAQFNSESFSGNQDTDDLHLSQKVAATSTEIIDKTETWRLEESQMTSGTSHYTGETEAVKQDLHTADSLQGANESIKSCQTESDSIKNEDSISLGLDTKSDPTDLELGESKSDKIELNSTARFKNVSEPPVSDPIEGDKTVKANVLDREENPEVEPKTGLFGSLKSFASGIRNFWRKLLDSKSRRNSRCRIS >ONIVA02G27780.2 pep chromosome:AWHD00000000:2:24923079:24925374:-1 gene:ONIVA02G27780 transcript:ONIVA02G27780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARLYTAAASKRVIAGVSSTISRSCHRTCRWKSHAAPLSAQEAPKGPKRMTKQERRVKIEKFVEEYKASNDGKFPTMTTVRQHVGGGHYTVREIVQELEYNQRMLQLDQSKAAELPETAEHSEHLKPKDVHGNAQFNSESFSGNQDTDDLHLSQKVAATSTEIIDKTETWRLEESQMTSGTSHYTGETEAVKQDLHTADSLQGANESIKSCQTESDSIKNEDSISLGLDTKSDPTDLELGESKSDKIELNSTARFKNVSEPPVSDPIEGDKTVKANVLDREENPEVEPKTGLFGSLKSFASGIRNFWRKL >ONIVA02G27770.1 pep chromosome:AWHD00000000:2:24920103:24923467:1 gene:ONIVA02G27770 transcript:ONIVA02G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVREYTNLSDPKDRKWGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKPILADSTPAVPAALRVEPKPKSDIRQQDLLKNIVGIKPKRTKVSSPSQPAENDKPKQSPEDSVNKVSSPQSQSGSRKESSQRDGAVSFEKPLLKPVEPRESKPQNATGSLLGLAYESSDEE >ONIVA02G27760.1 pep chromosome:AWHD00000000:2:24909586:24918081:-1 gene:ONIVA02G27760 transcript:ONIVA02G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVETWMREKPIRTFLAQFSTRRAAAGAAALLASSSAAAASADGEPGDRSIPQLSSIANSVVSRCSRVLALATETLQQNFEVDYPDSCKESNTYAKEFLEYCCHKALHEVTTRPDHLADKNLRRLMFDMMLAWEHPGAVVEDELPENHSALRTTVDIEDDDEGSIFYANSTRLAVQVNDKKTVGLGAFARIAPSCPIIADLVTVHNLFDALTCSSGGRLHFFVFDKYIKSLDKVFRSVKGILQSPLASSFHLDAGECILAMDGDRPIHPVFQHIGISAWPGRLILTTHALYFQSIKVGYGDKIVIKRDFTGPLGVRLFDKAVMYKSSTLTEPIYFDFPELGGPSRRDYWLAIAREVNKFIRKFNLENVQRAEALSKAILGILRYSAVKEAFHISPSHFKTTLTFSLAEKLPKGDMVLKALYDNYFQLLDTSLSHLVTESPVDNRLQNHSLPFSLYALSRMGFILLKRKDEAQSEISFCAVCFGVTKSLEAALEESICYSERIDAARATIDQVKVEGVDANLALMQELLFPFIQVVKLTYSLTKWEDPLKSFLFLAFTLYVIQRGFIAYMLPSFFLAFAVVMLWHKYNGREQLLGVLEVRTPPSKNPVEQILTLQDAISKLEETLQSVNIVLLKFRAVLFAAVPKTTEMVAVAFLAASAFLIFVPWRHLLLIAVLEVYTREMPLRKQNTEKFRRRIREWWARIPAAPWYEAPREPAGMAAASSPAAAITCRAAVAWAPGQALVMEEVEVAPPEAMEIRVKVVSTSICRSDVTQWQSTAQTDLFPRIFGHEASGVVESVGEGVTEFEVGDHVLTVFIGECMSCKHCVSGKSNMCQKLGLERRGVMHSDQKTRFSLRGKPVYHYCAVSSFSEYTVVHSGCAVKVGPTVPMDRICLLSCGVSAGLGAAWKVADISKGSSVVIFGLGTVGLSVAQGAKLRRASIIIGVDTNPEKQEKGKAFGVTDFINPEELNEPVQQVVKRLTNGGADYSFECVGDTGVVSTALQSCSDGWGLTVTLGVPKAKPEVSAHYALLLSGRTLKGSLFGGWRPKSDLPLLVDKYANKEIQVDDLVTHDLSFDDINKALELMLENKCLRCVIHMPQ >ONIVA02G27760.2 pep chromosome:AWHD00000000:2:24912923:24918081:-1 gene:ONIVA02G27760 transcript:ONIVA02G27760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVETWMREKPIRTFLAQFSTRRAAAGAAALLASSSAAAASADGEPGDRSIPQLSSIANSVVSRCSRVLALATETLQQNFEVDYPDSCKESNTYAKEFLEYCCHKALHEVTTRPDHLADKNLRRLMFDMMLAWEHPGAVVEDELPENHSALRTTVDIEDDDEGSIFYANSTRLAVQVNDKKTVGLGAFARIAPSCPIIADLVTVHNLFDALTCSSGGRLHFFVFDKYIKSLDKVFRSVKGILQSPLASSFHLDAGECILAMDGDRPIHPVFQHIGISAWPGRLILTTHALYFQSIKVGYGDKIVIKRDFTGPLGVRLFDKAVMYKSSTLTEPIYFDFPELGGPSRRDYWLAIAREVNKFIRKFNLENVQRAEALSKAILGILRYSAVKEAFHISPSHFKTTLTFSLAEKLPKGDMVLKALYDNYFQLLDTSLSHLVTESPVDNRLQNHSLPFSLYALSRMGFILLKRKDEAQSEISFCAVCFGVTKSLEAALEESICYSERIDAARATIDQVKVEGVDANLALMQELLFPFIQVVKLTYSLTKWEDPLKSFLFLAFTLYVIQRGFIAYMLPSFFLAFAVVMLWHKYNGREQLLGVLEVRTPPSKNPVEQILTLQDAISKLEETLQSVNIVLLKFRAVLFAAVPKTTEMVAVAFLAASAFLIFVPWRHLLLIAVLEVYTREMPLRKQNTEKFRRRIREWWARIPAAPVQMIKPNESKKKR >ONIVA02G27760.3 pep chromosome:AWHD00000000:2:24909588:24912190:-1 gene:ONIVA02G27760 transcript:ONIVA02G27760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPAAAITCRAAVAWAPGQALVMEEVEVAPPEAMEIRVKVVSTSICRSDVTQWQSTAQTDLFPRIFGHEASGVVESVGEGVTEFEVGDHVLTVFIGECMSCKHCVSGKSNMCQKLGLERRGVMHSDQKTRFSLRGKPVYHYCAVSSFSEYTVVHSGCAVKVGPTVPMDRICLLSCGVSAGLGAAWKVADISKGSSVVIFGLGTVGLSVAQGAKLRRASIIIGVDTNPEKQEKGKAFGVTDFINPEELNEPVQQVVKRLTNGGADYSFECVGDTGVVSTALQSCSDGWGLTVTLGVPKAKPEVSAHYALLLSGRTLKGSLFGGWRPKSDLPLLVDKYANKEIQVDDLVTHDLSFDDINKALELMLENKCLRCVIHMPQ >ONIVA02G27750.1 pep chromosome:AWHD00000000:2:24907341:24908011:-1 gene:ONIVA02G27750 transcript:ONIVA02G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHWLARPLVPKVSQTAGGGVIALRRRLPRYLYYRSPAVRPITVVRGGGGMTVRRNGPIAGSEHGGGLALGAIRSTRREGFTVASESTARETTGAWPTVEEGVHLIHEDPHPPSSSPSIPRCADPSRARVLILRDQTIDPTVCIRRRHKQSHFSSSGCKSANGTVCMNTATVSEEGQ >ONIVA02G27740.1 pep chromosome:AWHD00000000:2:24904110:24904811:-1 gene:ONIVA02G27740 transcript:ONIVA02G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKHDPQLGRSRTVGIHRSWPRRTGRRRVIGMSGVGARVVGWKGALDLGVDLGGGAEVHPKRLVD >ONIVA02G27730.1 pep chromosome:AWHD00000000:2:24893978:24894223:-1 gene:ONIVA02G27730 transcript:ONIVA02G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVAAVEAGVVAVARGRGSGRLLRGIYWRLRAGIRRMQSERGRWRGGRRDHARFSFHYDALSYALNFDDGRAAADFVLV >ONIVA02G27720.1 pep chromosome:AWHD00000000:2:24891310:24891541:1 gene:ONIVA02G27720 transcript:ONIVA02G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECNNRFNPEGFVVALSGGGSMTTVYIQIYNNDNIVAALVIDECDSRNGCNLGTGYLLPCSPNTIAASPGV >ONIVA02G27710.1 pep chromosome:AWHD00000000:2:24883935:24886425:-1 gene:ONIVA02G27710 transcript:ONIVA02G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLPLSVSWSLFDLSLQEARRRSIGGEARGDVRRRGRGCARRRPAGCSVRAVRRRRAAWLREVAACTLQAYGSCGGGGGVRACGGGGERRVATCGLVVAAACGRVVAANGVRRWLAVVREWKVLTANIGP >ONIVA02G27710.2 pep chromosome:AWHD00000000:2:24883935:24886425:-1 gene:ONIVA02G27710 transcript:ONIVA02G27710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLPLSVSWSLFDLSLQEARRRSIGGEARGDVRRRGRGCARRRPAGCSVRAVRRRRAAWLREVAACTLQAYGSCGGGGGVRACGGGGERRVATCGLVVAAACGRVVAANGVRRWLAVVREWKIGP >ONIVA02G27700.1 pep chromosome:AWHD00000000:2:24882878:24883456:1 gene:ONIVA02G27700 transcript:ONIVA02G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNCLLALAIAGLVLVSLPGLSRGDVDARRGRELAGGCNPSGTLRPSRSHSCQDCCKAGRSYPTYACSPATTGSTKAVMTLNDFEAGGDGGDPSECDGKFHKNTERVVALSTGWYANGRRCNKNIRINANGRSVLAKVVDECDSLHGCDKEHAYQPPCRPNVVDASQAVWDALRITGEDVGEYDITWSDA >ONIVA02G27690.1 pep chromosome:AWHD00000000:2:24871501:24872397:-1 gene:ONIVA02G27690 transcript:ONIVA02G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSQDHVIGIPVSNTAYGIEEPDFAAEETTTPDHAGFVVGSFQFNNDANSPTTTTTTTDRASKYGRKGDKIAQGIKEHVTLGPKLSETVKGKLTLGARILQAGGVEKVFRQWFSVDKNEKLLRASQCYLSTTAGPIAGMLFVSTERVAFRSDRPLAVSAPGGDKVRVPYKVTIPLRKVKAAKPSENKHKPEQKYIEVVTNDGFEFWFMGFVSYHRSLHHLEQAVAQARR >ONIVA02G27680.1 pep chromosome:AWHD00000000:2:24866877:24867791:-1 gene:ONIVA02G27680 transcript:ONIVA02G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSCAHVVGVPVTSKAYAIEEATTARDGGKKVDGDRLAVSLTHPSPYTSFGYKHSSKLQVIHWVNKLGRRAQGFRDHVTLGPKLSETVRGKLSLGARILQAGGVERVFRQAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSNRKIAFRSDRSLAVTSPAGDVVARVPYKVVVPLRRIKRVRPSENADKPEQKYIHVATVDGFEFWFMGFVSYQRCCKYMQQVISEL >ONIVA02G27670.1 pep chromosome:AWHD00000000:2:24865017:24865676:-1 gene:ONIVA02G27670 transcript:ONIVA02G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAMKHLFLLLLPLIMIFLPCIACDAPQLVYDAGGEELSSEHKYYIFLVNNRSGGGALSIIYAGNTHCEHFVFHRTDEALQGAKVRFTSLHNSTVSDAVRLSTDVQIDFVDIIWLCNRPSTWYISNFQPKLLPMPPSSSTSKLQYVVANTNIEPNYPSSAKVFRIERYSDDDNMTYKLLTCSTHGKPCKYLGFQVFGDNKFLVATDEPLAVQIVKEYT >ONIVA02G27660.1 pep chromosome:AWHD00000000:2:24858844:24861225:-1 gene:ONIVA02G27660 transcript:ONIVA02G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G23340) TAIR;Acc:AT5G23340] MSAASSSGAGGALINDVLTDDVLRAVLARLVPEAERDAFGLVCRRWLRIQSSDRRRLRARAGPAMLRRLAARFPGILELDLSQSPSRSFYPGVIDDDLDVVAGGFRNLRVLALQNCKGVTDVGMAKIGDRLPSLQSIDVSHCRKLSDKGLKAVLLGCQNLRQLVIAGCRLITDNLLIALSKSCIHLEDLVAAGCNNITDAGISGLADGCHKMKSLDMSKCNKVGDPGVCKFAEVSSSSLVSLKLLDCNKVGDKSIHALAKFCHNLETLVIGGCRDVTDASIEALAFACCSRLKCLRMDWCLKITDSSLRSLLSNCKLLVAIDVGCCDQITDAAFQDMDANGFQSALRLLKISSCVRITVAGVRNVIESCMALEHLDVRSCPQVTRQSCEQAGLQFPGSCKVNFEGSLSESDPSVAIFF >ONIVA02G27650.1 pep chromosome:AWHD00000000:2:24855198:24857727:-1 gene:ONIVA02G27650 transcript:ONIVA02G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGPASESSAKPEIWRYLKPKPSQQLLNVELVIWVNLLPPQTRVYSRTRTKSIPSGICDISWKEGYLAVVKLVLINRAQMRLTVGQVHRHVLALASSRSCFVLGDHLPFRMLSLPRVVRFHQTAWHDIQTVEDKSGPLTLASVEVQNKVEYVKKERATRTGGTKPSSRASALNMKPKVSSFNAKPVKSALPKSAVLKKTLKIDESLFSAKSFEELGLPPLLIDSLLLFLSFHKSTGSGKTLAYLLPILSEIGPLKRPTEQDSSDKRSGVEAVIVAPSRELGMQIVREVEKILGPNDKRLVQQLLGGANRSRQEEALKKNKPIIVVGTPGRISEISAAGKLHTHSCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGTSSRDILGPLARRSERQTILVSATIPFSVIRAARSWGHDPVLVRAMSVVPLESITVPRPVLSQPDANSNSPSNSVNQAAVDSLPPSLEHYYCTSKAQHKVDTLRRCIHALEAQTVIAFMNNTKPLKDVVFKLEARGMKATELHGDLGKLARSTVLKKFKDGEFRVLVTNELSARGLDVPECDLVINLDLPTDSTHYAHRAGRTGRLGRKGTVVTICEETETFVVRKMRKQLAVPIKPCEFTEGKLLVHKEEDVE >ONIVA02G27640.1 pep chromosome:AWHD00000000:2:24852657:24852998:1 gene:ONIVA02G27640 transcript:ONIVA02G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKLQSSLEASAIDIEDVPYKHAGHTAVKDNANETHFNIRVISPKFEGQSLVKRHRMINDLLTDELNSGLHAISIV >ONIVA02G27630.1 pep chromosome:AWHD00000000:2:24848726:24849982:-1 gene:ONIVA02G27630 transcript:ONIVA02G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAPANREELTRAALAFLRKVRSRFWLKPCVYKGLETILTEYGKYANASTSLVVDGAAALLGDHPDLIAEFNTFVRPEYKIELPADHLPAAATAKPQGKGDVSPLEAKRFLERVKAEDEKLYDRVVVKLSDLHKKRWMDAHQVYAQLEKVFGPARRDLLRCSAEFLPKGPPPEFAEDPGAGPGPSSWKRKRAAAAAANTFAADAVKPIRTVKPRAADLLQISQPAHDVDKDKGVKPSRPKRPRNAGIQIGQAAVAAAAADDDDGEAGGDPCWLVTERNPHAAAVTFRKILEFHARYSNLVATIKRAEELARTRHPRGTLEDLFPGRECHEILGELYGGGWRTMRAAVVEDGDGHVDVTLAAILLRLRAEEDVAVQLARSRRDRTRYGARQGERSPAGDRAANRSTRGVRAKWREA >ONIVA02G27620.1 pep chromosome:AWHD00000000:2:24840791:24841423:1 gene:ONIVA02G27620 transcript:ONIVA02G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDAAATFHVYQPVQIPTATVAPAAAVSAAPAEAVAQLVPAPSKKAAGAAGGKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTIEWLLRQAEPSILAATGTGTTPAAFVSSSAPSTSSHQHTLLGKRQRQESAAADAVSVAGAASAFWAALPAPGRPDAWGFSPLDAQPTYVPMAQAHHHHLNLLAALSGAARRAEEESR >ONIVA02G27610.1 pep chromosome:AWHD00000000:2:24831757:24837863:1 gene:ONIVA02G27610 transcript:ONIVA02G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVILLCSTLLLYSPAAVCTVGSSSSSTNATDKQAAALLSFRSMVSDPSGALTWWNASNHPCRWRGVACGRGRHAGSVVALSLGSSSLSGLISPFLGNLSFLRVLDLGANQLVGQIPPELGRLGRLRELNLSGNSLEGGIPPALAIGCSELESLSLDSNHLRGEIPGEIAALRNLAYLNLRANNLSGEIPPSLGNLSSLYFLNLGFNMLFGEIPASLGNLSQLNALGIQHNQLSGGIPSSLGHLNNLTSLLLQANGLIGSIPPNICNISFLKHFSVENNELSGMLPPNVFNTLPMLETFDAGENMFHGHIPSSLVNASKLSRFQIAENHFSGVIPPELGGLQGLKWFILTENDLEAKESNDWKFMKALTNCSQLEVLELEANKFSGTLPSVISNLSASLTILTLASNKIVGNMPREIGKLINLGALVAHNNFLTGSPPSSLGMLQNLRILWLDNNYFSGPFPRVICNLTHMDSLDLGRNNFSGSIPITVGNMVSLSSLRFSFNNFIGTIPTSLFNITTLSIYLDISYNHLDGSIPPEVGNLPNLVYLDARYNQLSGEIPITFEKCQLLQILYLQNNSFIGNIPSSFSEMKGLEILDLSSNNFSGQIPKFFGHFLTLYDLNLSYNNFDGEVPVFGVFANATGISVQGNNKLCGGIPDLHLPTCSLKISKRRHRVPGLAIVVPLVATTICILSLLLFFHAWYKKRLTKSPSTMSMRAHQLVSYQQLVHATDGFSTTNLLGTGSYGSVYRGKLFDETGENENLIAVKVLKLQTPGALKSFTAECEAMKNLRHRNLVKIVTACSSMDFNGNDFKAIVFDFMPNGCLEEWLHPQIDNQLEERHLNLVHRVGILFDVACALDYLHFHGNTPVVHCDLKPSNVLLDADMVAHVGDFGLAKILSSQPSTSSMGFRGTIGYAPPEYGAGNMVSTHGDIYSYGILVLEMITGRRPTDNTCEQGFSLRKCVEMALNNRAMDILDVELVTELENAPPATSMDVSSDDEIDYSVEPEFYDPDLDDVDERHETYVNQYRAMFVRNCKVKTDQILREGKGKRKNRKGKAADSSTTSEGEKKGAVYHPVCCEVCSTEVGVFDEDEVYHFFNVIPSNS >ONIVA02G27600.1 pep chromosome:AWHD00000000:2:24809236:24813372:1 gene:ONIVA02G27600 transcript:ONIVA02G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPSGSGGGPPVIAEVEMNGGADSGTATVRATVVQASTVFYDTPATLDKAERLIEEAAGYGSQLVVFPEAFVGGYPRGSTFGFGANISIGNPKDKGKEEFRKYHAAAIEVPGPEVTRLAAMAGKYKVFLVMGVIEREGYTLYCSVLFFDPLGRYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEIYCAPTADSRQVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYVFSGLGEEPSPDTVVCPGGSVIISPSGEVLAGPNYEGEALITADLDLGEIVRAKFDFDVVGHYARPEVLSLVVNDQPHLPVSFTSAAEKTTAAKSDSTAKPY >ONIVA02G27590.1 pep chromosome:AWHD00000000:2:24802874:24806337:1 gene:ONIVA02G27590 transcript:ONIVA02G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPASSGSGPVVTEVEMNAGADQGATGTVRATVVQACTVFYDTPATLDKAEKLVAEAAGYGSQIVLFPEVFVGGYPHGSTFGLTIGNRSAKGKEDFHKYHAAAIDVPGPEVTRLAALAGKYKIFLVMGVVERVGYTLYNTVLFFDPLGKYLGKHRKVMPTGLERVFWGFGDGSTIPVYDTPIGKIGAIICWENRMPLLRTAMYAKGVQIYCAPTADFSPSWQASMTHIAVEGGCFVLSANQFCRRKNYPPAPEYTFGGLDEEPSPESVIWPGGSSIVSPSGTVLAGPNYEGEGLLTADLDLGEIARAKFDFDVVGHYARPEVLSLTVKTESKLPVSFTSAAEKNSAAKSDGISRT >ONIVA02G27580.1 pep chromosome:AWHD00000000:2:24797566:24802360:1 gene:ONIVA02G27580 transcript:ONIVA02G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0E0GA57] MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISANNIEIGVIRSDREFKVLTPAEIKDFLEEVE >ONIVA02G27570.1 pep chromosome:AWHD00000000:2:24793887:24797560:-1 gene:ONIVA02G27570 transcript:ONIVA02G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSARRWWVLGRKSGPSVIGSAHDSPTSPSRRLPPHNFFPTGQRLRVFPFVLLSGPSPSDDATFPTPGSSSGEGSCELEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPFEGGVFQLAFSIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDIRGYQSMARMYTRLAAMPKKG >ONIVA02G27570.2 pep chromosome:AWHD00000000:2:24793887:24797560:-1 gene:ONIVA02G27570 transcript:ONIVA02G27570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSARRWWVLGRKSGPSVIGSAHDSPTSPSRRLPPHNFFPTGQRLRVFPFVLLSGPSPSDDATFPTPGSSSGEGSCELEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPFEGGVFQLAFSIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGSSTFLLILPHIVQPLFCNVKAIDVHALTESSYGNLLRSGDIRGYQSMARMYTRLAAMPKKG >ONIVA02G27560.1 pep chromosome:AWHD00000000:2:24786197:24795980:1 gene:ONIVA02G27560 transcript:ONIVA02G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 34 [Source:Projected from Arabidopsis thaliana (AT5G23210) TAIR;Acc:AT5G23210] MAGATAAAVSSSFLALALLSLCAAAAGGSPQLDAEAARQQEADRVTRLPGQPAVRFAQYAGYVTVNETHGRALFYWFFEATAGADKKPLVLWLNGGPGCSSVGYGEAEELEANLMFLESPVGVGFSYTNTSSDLQQLGDKITADDAYIFLLNWFKRFPQYKSHDFYIAGESYAGHYVPQLSEKIFDGNKQGPKENYINFKGFMIGNALMDDETDQTGMIDYAWDHAVISDRVYADVKKYCNFSMENVTDACDSALTEYFAVYRLIDMYSLYTPVCTEVSSSAAFGQRQVAVHGAAPKIFSKYHGWYMRPAGYDPCTSDHAEVYFNRADVQEALHANVTNIGYNWTHCSDVIGKWRDAPFSTLPIIRKLVAGGIRVWVFSGDTDGRIPVTSTRLTLNKLGLKTVQEWTPWYDHQQVGGWTILYEGLTFVTIRGAGHEVPLHAPRQALSLFSHFLADKKMPPTAFP >ONIVA02G27550.1 pep chromosome:AWHD00000000:2:24778535:24782918:1 gene:ONIVA02G27550 transcript:ONIVA02G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFIRFQEVHTLSLFAVYIPPQTITSGSRSAPCASERSAVDPAVKTLAKNPMFANNRLFARDPRHLQFEADVNRLFLYTSYYRLGANAEEKDAEEIIDMASKASVSEQQKQVQENVHYQLTNMCQAMDSILLPDTKNGASEANNYPRRSGLSFAVGTGVASANKPDVPSTRPLNRAELSNKFRDHFQYTLDIRPSQIPHKDAGQGLFLSGETNAGAVLAIYPGVVYSPAYYRYIPGYPKIDACNNYLITRYDGTIIDAKPWQLGGDSREIWDGSDLVDYNAVPSKSQESNSDRAWRMLSKPLKKGHTENFGEVLERRNPLAFGHFANHPPKGSTPNVMICPYDFPLTEKDMRVYIPNITFGGEEEPVTMKRFGSFWFKSGRSGNQVGESPVLKTLVLVSTRSICDEELFLNYRYSNSKKRPEWYIPVDEEEDKRRWS >ONIVA02G27550.2 pep chromosome:AWHD00000000:2:24778535:24781777:1 gene:ONIVA02G27550 transcript:ONIVA02G27550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFIRFQEVHTLSLFAVYIPPQTITSGSRSAPCASERSAVDPAVKTLAKNPMFANNRLFARDPRHLQFEADVNRLFLYTSYYRLGANAEEKDAEEIIDMASKASVSEQQKQVQENVHYQLTNMCQAMDSILLPDTKNGASEANNYPRRSGLSFAVGTGVASANKPDVPSTRPLNRAELSNKFRDHFQYTLDIRPSQIPHKDAGQGLFLSGETNAGAVLAIYPGVVYSPAYYRYIPGYPKIDACNNYLITRYDGTIIDAKPWQLGGDSREIWDGSDLVDYNAVPSKSQESNSDRAWRMLSKPLKKGHTENFGEVLERRNPLAFGHFANHPPKGSTPNVMICPYDFPLTEKDMRVYIPNITFGGEEEPVTMKRFGSFWFKSGRSGNQVGESPVLKTLVLVSTRSICDEELFLNYRYSNSKKRPEWYIPVDEEEDKRRWS >ONIVA02G27540.1 pep chromosome:AWHD00000000:2:24774753:24775649:1 gene:ONIVA02G27540 transcript:ONIVA02G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0GA51] MTPSALAHLASASPLPVFSPKPRARPGSAAGPALRRLAVAAPAPRAYFSSSPMPYQPQPQQPAGYSSHQAFGLVPMVIETTSRGERAYDIFSRLLKERIVLIHGPIADETASLVVAQLLFLESENPLKPVHLYINSPGGVVTAGLAIYDTMQYIRCPVTTLCIGQAASMGSLLLAAGARGERRALPNARVMIHQPSGGAQGQATDIAIQAKEILKLRDRLNKIYQKHTGQEIDKIEQCMERDLFMDPEEARDWGLIDEVIENRPASLIPEGATGVDLPHHSAAGVGGRGRDVEEPSAV >ONIVA02G27530.1 pep chromosome:AWHD00000000:2:24767023:24769753:-1 gene:ONIVA02G27530 transcript:ONIVA02G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0GA50] MGTGRKPVDGDGDGAASAVVFLVPFPAQGHVTPMLHLARALAARGDVAPTVVLPDFIHRRVVRAGGNGGGGGVALASFPSGIPGGDDGDGAPGFASIVHAMENRMPAHLERVLMLMRDDDDDRLAAAGRRAACLTVVVDVLASWAVPVATRCGVAAVGFWPAMLASYRVVAAIPELIDRGLVSEYGIPILANGFNKNQGQVKANLQAEIISLFPEELELSTTDLPWLVGDAATQKSRFAFWLRTMERVKTLRCILVNSFPGEAIAAGADQQQPLPQDQQILQVGPLLATIVTDRAKDNSNLRCSPMKTTKNDTSTCQADDRTSCMEWLDQQRPGSVAYVSFGTWVAPIAPGEITELAVGLEATGRPFLWVLKDDPSWRAGLPAGYTDQYSGRGKIVAWAPQEDVLAHGAVGCYLTHCGWNSTLEAIRHGVRMLCYPVAGDQFINCAYIVRAWGVGIRLRSADRGEVVDCVRRIMEGEDGRRLREKLDELRERVMAGEALCVAKRNIEEFIRGISGQRLQ >ONIVA02G27520.1 pep chromosome:AWHD00000000:2:24761091:24765357:-1 gene:ONIVA02G27520 transcript:ONIVA02G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPQIRQTLSEIDRRIPDALRVAMGLRLRPTAGAALVEVTRFAASCQPRPCPEGGDDPMECDEPAPARALRMEAASCFLPDHDEDSHFVRPEAGVVALADGVGGYRAPGVDAAAFARALVYNAFEMVVATTPGGAGGICPYALLGWAYEQAVSARTQGASTAVILSLAGATLKYAYIGDSAFAVFRDGKLFFRSEAQVHSFNYPFQLSVKNGNSVTSAARGGVEVKEGDVVVAGTDGLFDNVASEELQRIVAMGRALGLSPKQTADVVAGFAYEASTTMGRDTPFSLESRKKQGTIFRRGKRDDITVVVAYIMEKRMETLEQIKETLRETSKLVPDIVRAAVGLEHHYQTVELPHDDGCVKSFAAAFLRPQEQEQAHGDGEVQQAVRMESASCYVPDHDEDAHFVHDAAGVVGVADGVGGYRRRVGVDAGAFSRGLMTSAFAQLVTAEPGTPVCPYTLLERAYEETLESGAQGGSTAVILSLADGNVLRWAYIGDSAFAVLRDGRVVVRSVQQQRYFNAPYYLGGRRGDEGMTVGMVGEMKVRRGDVVMAGTDGLFDNMSDAELEKVVQIGTALGFSPKNMADIIGGTAYEMSRCLLKDSPFAVEWRKQHENEEEHFYGGKVDDITVVVACIVSSDS >ONIVA02G27510.1 pep chromosome:AWHD00000000:2:24754345:24757816:-1 gene:ONIVA02G27510 transcript:ONIVA02G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGVGGGGNYDGGGGNASSLFGGGGFMPSQATNAAEGTSGGGGGFPKSRNAQALLPLTVKQIMDASQTNDDKSNFAVNGMEVSTVRLVGRMLNKLDRVTDVSFTLDDGTGRVPVNRWENDSTDTKEMADIQNGDYVIVNGGLKGFQGKRQVVAYSVRRITNFNDVTHHFLHCVHVHLELTRPKSQVNANTATGTPNQTMPRDSMAYNQSPLTNQASTFSAPQNTGTGTNMIDLVLNVFHDPAVMNDDHGVGVDYVSRRLNLPEETVGKIIIDQVDLGHLYATIDDHHYKSTMNG >ONIVA02G27500.1 pep chromosome:AWHD00000000:2:24748647:24756219:1 gene:ONIVA02G27500 transcript:ONIVA02G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHSAAAAGGDEEVAEAEAAAGSTTTGGATLRHRHAGKGADEHEAAGGGGGGRNGGADDPDATSVERVFADKAVPSWREQLTLRAFVVSALLAVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTSAVERIGLLKQPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFGMSETIAKQATEAKDAQNIKDPHLGWMIGFLFLVSFIGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFLFSFFWGFFQWFYTAGDDCGFKNFPTLGLEAYNNRFFFDFSPTYVGVGMICPYIVNVSVLLGGILSWGVMWPLIAKKKGSWYPADISDNSLHGLQAYRVFISIALILGDGLYNFLKVLIRTLAGFISMVQNNSKGMLPVSDNGMSMSTAEEVSFDDERRTEIFLKDQIPKSVAYGGYVVVAALSIGTLPEIFPQLKWYYILVAYIVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLSHGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCVFWLFYKAFSNIGTSGTEYPAPYAIVYRNMAILGVDGFNSLPENCLTLCYIFFAAAIAINLIRDLAPHKVSRFIPLPMAMAIPFYIGSYFAIDMFLGSVILFVWEKLNKAKADAFGPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRAANAKVDSFLAG >ONIVA02G27490.1 pep chromosome:AWHD00000000:2:24742425:24743792:1 gene:ONIVA02G27490 transcript:ONIVA02G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASLLLLRRRGGSHAADALLRALTTAAGEQPLTASAAKTRLRREHDPDRVVSLFEAIDDASLSASSTRHALSLAARRLSRSRRFADAEALLSSHIPASPTEPQLAAVLCSYAAASLPEKALAAFRSAAPSLPSPISPLPFNAVLSVFLRCRRHRRVPVLFDELSKEFSITPDASSYGILVKAYCMLSKDAKAHEVLDQMRGQGFTPTNSIYTTMIDSMYKQKKMEQAERLWKQMLESGRKPDQAVYNTKIMHHSLHGKTEDVLEVIAEMEEAGVKPDTITYNFLMTSYCKHGKMETAKELYRSLGEKGCSANAATYKHMMAQLCAHGDLDGALVIFKESYRSNKVPDFRTMSGLVEGLTKAGRVAEAKNIVAKMKKKFPEQLLSGWMKLEKELGLNSDSGDAGTRAECISEETPSEAEAAMPKTLELEDPSSDETECMAEASTSEEMPRDSA >ONIVA02G27480.1 pep chromosome:AWHD00000000:2:24728149:24742039:-1 gene:ONIVA02G27480 transcript:ONIVA02G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVCARLSPGLPVTDLWPALRGALEAAGLAPGLDAKRVLWARLIALPIISLVVGEGDGAPVDPVEKDVEEAERRGVRLVASAALRDNFLGMYDRRFAKSELSAVQKGALERVGASRTSGVTQNDLCKTFRMKGNNFHFIVKSLQSQKLIVRQSTIIKVKDHGADAEDASQNKQIINTNSLYLSRYAKNLNMNSQQRIEIIKPELLGSNEESNGDVLQEDGAFGVNDKNDISIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMTYGHRAWRNVLHRLRDAQLIEEFDAKVDDKFIRCLRLLKKFDPNEFQPKSTTSNYKLGKKGQATDQVMELPLENCIYDMINAQGPKGITLVELGKRLGHNNSKRLHKRVSSMLKKYNLTWEAEVQDKTSQYRVWTSKNFSHYKAGAALHNLEALPDDHEKCSDLWSLVPSKGSESPISRVDLFVDNNKLLLEEECHNKPAGHPLQSNHEACVGVSQIVEQEKLALGQRKRRRCPPLTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCKCIKVSVPLVTDYTRSRLIDVILHSSVGDLSPELVDQIRNRQRNFDTETRSGAAAKLKQNQHTTAILGLRIPRRVKDNKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSGLPNWCDPFDNDKEGHHDKNLSQSSVLFSMVGATKKMPLELFLQVVGSAKKIDHMITKCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLVNILDKLKLVQLAKELVDDSGAPSDALPTHSIELRPYIEEPTPRILPSSHVNVNHRPKIRHDFVLSRQEFVDAYWETLEYCYLTAGLAEPSSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNASENGKLPFRDCRIIARELNLSVQQNRQLHGQPTVPAARKRRKVNSGSTSKKRKRSADEITLKFIKRNVETIESTEPRPAQSIPHEEVSERISPSIFHTDSLLQVDEDTISSPRISRSTILRGSCMREKRFVWTYDSDRKLLMIYTRSRATLGAGSHRVDWNSLSDLPAPPAACRRRIAYLRKKTNIRPAVSRVCDLLGIRYARYLEKEKRWKLRGLPSEISNSSHDNCVDPDSEQFFWDNFEDPEIKSALDEVLEFIRVEKMEQTKRVGSKNERNNDDNDATKEVPNGQKQPVLGARATCASTAIQESGLREHAKSYRLSNAIHASKNMDIPFRSHEKAINHNKDDIAKRDVCRSLAVANALELLKLAFLSTSSGPEVQASLAATLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASNSPFPFGSGKKASEFSQWVGQQKNTMDDGVCLYPDLQCGEIVQLFSLVLSGESFISPSLPSEGVGEADEPNSSSLFVDDNSELDESSHKRKADMVKLKSSKTKKHKPLPKIESDFCYRREKGFPGLQVALNQERIKTSNLTQELHDKECLIFTSAWEMGSKDVDSQVESHNMSSLYLNNSSSCRRLLSESHLENSYSGWPWDAIKTYAEQSPSLCCNKNEPDILSSDLFRNAFCVVHKAGEQGVNLREMSQALDPLGIQFVDLIVHTLKRFQLVIKVNAYDGVQIVDSIHKSKYHITTLADSSHCSCLRASAFEMAETGDTENLLREKHAMSSDVQGSVKMLGDGHTVTVLNVQSKSSSPQIRYQSPVGQKRSFTPAQDNRGSDCCHACERHIYHPILPWINGDGSMNNTVYEGLSRRIIGYTMQYPGVVEEDIIHRMDVLNPQTCRTLLEKLMFDKHLYARVFDERAPSAPTLLQSILKQDRCKEPSKCNKRYFANPMSTFLL >ONIVA02G27480.2 pep chromosome:AWHD00000000:2:24728147:24742039:-1 gene:ONIVA02G27480 transcript:ONIVA02G27480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVCARLSPGLPVTDLWPALRGALEAAGLAPGLDAKRVLWARLIALPIISLVVGEGDGAPVDPVEKDVEEAERRGVRLVASAALRDNFLGMYDRRFAKSELSAVQKGALERVGASRTSGVTQNDLCKTFRMKGNNFHFIVKSLQSQKLIVRQSTIIKVKDHGADAEDASQNKQIINTNSLYLSRYAKNLNMNSQQRIEIIKPELLGSNEESNGDVLQEDGAFGVNDKNDISIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMTYGHRAWRNVLHRLRDAQLIEEFDAKVDDKFIRCLRLLKKFDPNEFQPKSTTSNYKLGKKGQATDQVMELPLENCIYDMINAQGPKGITLVELGKRLGHNNSKRLHKRVSSMLKKYNLTWEAEVQDKTSQYRVWTSKNFSHYKAGAALHNLEALPDDHEKCSDLWSLVPSKGSESPISRVDLFVDNNKLLLEEECHNKPAGHPLQSNHEACVGVSQIVEQEKLALGQRKRRRCPPLTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCKCIKVSVPLVTDYTRSRLIDVILHSSVGDLSPELVDQIRNRQRNFDTETRSGAAAKLKQNQHTTAILGLRIPRRVKDNKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSGLPNWCDPFDNDKEGHHDKNLSQSSVLFSMVGATKKMPLELFLQVVGSAKKIDHMITKCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLVNILDKLKLVQLAKELVDDSGAPSDALPTHSIELRPYIEEPTPRILPSSHVNVNHRPKIRHDFVLSRQEFVDAYWETLEYCYLTAGLAEPSSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNASENGKLPFRDCRIIARELNLSVQQNRQLHGQPTVPAARKRRKVNSGSTSKKRKRSADEITLKFIKRNVETIESTEPRPAQSIPHEEVSERISPSIFHTDSLLQVDEDTISSPRISRSTILRGSCMREKRFVWTYDSDRKLLMIYTRSRATLGAGSHRVDWNSLSDLPAPPAACRRRIAYLRKKTNIRPAVSRVCDLLGIRYARYLEKEKRWKLRGLPSEISNSSHDNCVDPDSEQFFWDNFEDPEIKSALDEVLEFIRVEKMEQTKRVGSKNERNNDDNDATKEVPNGQKQPVLGARATCASTAIQESGLREHAKSYRLSNAIHASKNMDIPFRSHEKAINHNKDDIAKRDVCRSLAVANALELLKLAFLSTSSGPEVQASLAATLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASNSPFPFGSGKKASEFSQWVGQQKNTMDDGVCLYPDLQCGEIVQLFSLVLSGESFISPSLPSEGVGEADEPNSSSLFVDDNSELDESSHKRKADMVKLKSSKTKKHKPLPKIESDFCYRREKGFPGLQVALNQERIKTSNLTQELHDKECLIFTSAWEMGSKDVDSQVESHNMSSLYLNNSSSCRRLLSESHLENSYSGWPWDAIKTYAEQSPSLCCNKNEPDILSSDLFRNAFCVVHKAGEQGVNLREMSQALDPLGIQFVDLIVHTLKRFQLVIKVNAYDGVQIVDSIHKSKYHITTLADSSHCSCLRASAFEMAETGDTENLLREKHAMSSDVQGSVKMLGDGHTVTVLNVQSKSSSPQIRYQSPVGQKRSFTPAQDNRGSDCCHACERHIYHPILPWINGDGSMNNTVYEGLSRRIIGYTMQYPGVVEEDIIHRMDVLNPQTCRTLLEKLMFDKHLYARVFDERAPSAPTLLQSILKQDRCKEPSKCNKRYFANPMSTFLL >ONIVA02G27480.3 pep chromosome:AWHD00000000:2:24728147:24742039:-1 gene:ONIVA02G27480 transcript:ONIVA02G27480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVCARLSPGLPVTDLWPALRGALEAAGLAPGLDAKRVLWARLIALPIISLVVGEGDGAPVDPVEKDVEEAERRGVRLVASAALRDNFLGMYDRRFAKSELSAVQKGALERVGASRTSGVTQNDLCKTFRMKGNNFHFIVKSLQSQKLIVRQSTIIKVKDHGADAEDASQNKQIINTNSLYLSRYAKNLNMNSQQRIEIIKPELLGSNEESNGDVLQEDGAFGVNDKNDISIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMTYGHRAWRNVLHRLRDAQLIEEFDAKVDDKKFDPNEFQPKSTTSNYKLGKKGQATDQVMELPLENCIYDMINAQGPKGITLVELGKRLGHNNSKRLHKRVSSMLKKYNLTWEAEVQDKTSQYRVWTSKNFSHYKAGAALHNLEALPDDHEKCSDLWSLVPSKGSESPISRVDLFVDNNKLLLEEECHNKPAGHPLQSNHEACVGVSQIVEQEKLALGQRKRRRCPPLTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCKCIKVSVPLVTDYTRSRLIDVILHSSVGDLSPELVDQIRNRQRNFDTETRSGAAAKLKQNQHTTAILGLRIPRRVKDNKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSGLPNWCDPFDNDKEGHHDKNLSQSSVLFSMVGATKKMPLELFLQVVGSAKKIDHMITKCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLVNILDKLKLVQLAKELVDDSGAPSDALPTHSIELRPYIEEPTPRILPSSHVNVNHRPKIRHDFVLSRQEFVDAYWETLEYCYLTAGLAEPSSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNASENGKLPFRDCRIIARELNLSVQQNRQLHGQPTVPAARKRRKVNSGSTSKKRKRSADEITLKFIKRNVETIESTEPRPAQSIPHEEVSERISPSIFHTDSLLQVDEDTISSPRISRSTILRGSCMREKRFVWTYDSDRKLLMIYTRSRATLGAGSHRVDWNSLSDLPAPPAACRRRIAYLRKKTNIRPAVSRVCDLLGIRYARYLEKEKRWKLRGLPSEISNSSHDNCVDPDSEQFFWDNFEDPEIKSALDEVLEFIRVEKMEQTKRVGSKNERNNDDNDATKEVPNGQKQPVLGARATCASTAIQESGLREHAKSYRLSNAIHASKNMDIPFRSHEKAINHNKDDIAKRDVCRSLAVANALELLKLAFLSTSSGPEVQASLAATLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASNSPFPFGSGKKASEFSQWVGQQKNTMDDGVCLYPDLQCGEIVQLFSLVLSGESFISPSLPSEGVGEADEPNSSSLFVDDNSELDESSHKRKADMVKLKSSKTKKHKPLPKIESDFCYRREKGFPGLQVALNQERIKTSNLTQELHDKECLIFTSAWEMGSKDVDSQVESHNMSSLYLNNSSSCRRLLSESHLENSYSGWPWDAIKTYAEQSPSLCCNKNEPDILSSDLFRNAFCVVHKAGEQGVNLREMSQALDPLGIQFVDLIVHTLKRFQLVIKVNAYDGVQIVDSIHKSKYHITTLADSSHCSCLRASAFEMAETGDTENLLREKHAMSSDVQGSVKMLGDGHTVTVLNVQSKSSSPQIRYQSPVGQKRSFTPAQDNRGSDCCHACERHIYHPILPWINGDGSMNNTVYEGLSRRIIGYTMQYPGVVEEDIIHRMDVLNPQTCRTLLEKLMFDKHLYARVFDERAPSAPTLLQSILKQDRCKEPSKCNKRYFANPMSTFLL >ONIVA02G27480.4 pep chromosome:AWHD00000000:2:24728147:24742039:-1 gene:ONIVA02G27480 transcript:ONIVA02G27480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVCARLSPGLPVTDLWPALRGALEAAGLAPGLDAKRVLWARLIALPIISLVVGEGDGAPVDPVEKDVEEAERRGVRLVASAALRDNFLGMYDRRFAKSELSAVQKGALERVGASRTSGVTQNDLCKTFRMKGNNFHFIVKSLQSQKLIVRQSTIIKVKDHGADAEDASQNKQIINTNSLYLSRYAKNLNMNSQQRIEIIKPELLGSNEESNGDVLQEDGAFGVNDKNDISIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMTYGHRAWRNVLHRLRDAQLIEEFDAKVDDKPKSTTSNYKLGKKGQATDQVMELPLENCIYDMINAQGPKGITLVELGKRLGHNNSKRLHKRVSSMLKKYNLTWEAEVQDKTSQYRVWTSKNFSHYKAGAALHNLEALPDDHEKCSDLWSLVPSKGSESPISRVDLFVDNNKLLLEEECHNKPAGHPLQSNHEACVGVSQIVEQEKLALGQRKRRRCPPLTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCKCIKVSVPLVTDYTRSRLIDVILHSSVGDLSPELVDQIRNRQRNFDTETRSGAAAKLKQNQHTTAILGLRIPRRVKDNKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSGLPNWCDPFDNDKEGHHDKNLSQSSVLFSMVGATKKMPLELFLQVVGSAKKIDHMITKCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLVNILDKLKLVQLAKELVDDSGAPSDALPTHSIELRPYIEEPTPRILPSSHVNVNHRPKIRHDFVLSRQEFVDAYWETLEYCYLTAGLAEPSSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNASENGKLPFRDCRIIARELNLSVQQNRQLHGQPTVPAARKRRKVNSGSTSKKRKRSADEITLKFIKRNVETIESTEPRPAQSIPHEEVSERISPSIFHTDSLLQVDEDTISSPRISRSTILRGSCMREKRFVWTYDSDRKLLMIYTRSRATLGAGSHRVDWNSLSDLPAPPAACRRRIAYLRKKTNIRPAVSRVCDLLGIRYARYLEKEKRWKLRGLPSEISNSSHDNCVDPDSEQFFWDNFEDPEIKSALDEVLEFIRVEKMEQTKRVGSKNERNNDDNDATKEVPNGQKQPVLGARATCASTAIQESGLREHAKSYRLSNAIHASKNMDIPFRSHEKAINHNKDDIAKRDVCRSLAVANALELLKLAFLSTSSGPEVQASLAATLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASNSPFPFGSGKKASEFSQWVGQQKNTMDDGVCLYPDLQCGEIVQLFSLVLSGESFISPSLPSEGVGEADEPNSSSLFVDDNSELDESSHKRKADMVKLKSSKTKKHKPLPKIESDFCYRREKGFPGLQVALNQERIKTSNLTQELHDKECLIFTSAWEMGSKDVDSQVESHNMSSLYLNNSSSCRRLLSESHLENSYSGWPWDAIKTYAEQSPSLCCNKNEPDILSSDLFRNAFCVVHKAGEQGVNLREMSQALDPLGIQFVDLIVHTLKRFQLVIKVNAYDGVQIVDSIHKSKYHITTLADSSHCSCLRASAFEMAETGDTENLLREKHAMSSDVQGSVKMLGDGHTVTVLNVQSKSSSPQIRYQSPVGQKRSFTPAQDNRGSDCCHACERHIYHPILPWINGDGSMNNTVYEGLSRRIIGYTMQYPGVVEEDIIHRMDVLNPQTCRTLLEKLMFDKHLYARVFDERAPSAPTLLQSILKQDRCKEPSKCNKRYFANPMSTFLL >ONIVA02G27480.5 pep chromosome:AWHD00000000:2:24728147:24742039:-1 gene:ONIVA02G27480 transcript:ONIVA02G27480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVCARLSPGLPVTDLWPALRGALEAAGLAPGLDAKRVLWARLIALPIISLVVGEGDGAPVDPVEKDVEEAERRGVRLVASAALRDNFLGMYDRRFAKSELSAVQKGALERVGASRTSGVTQNDLCKTFRMKGNNFHFIVKSLQSQKLIVRQSTIIKVKDHGADAEDASQNKQIINTNSLYLSRYAKNLNMNSQQRIEIIKPELLGSNEESNGDVLQEDGAFGVNDKNDISIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMTYGHRAWRNVLHRLRDAQLIEEFDAKVDDKKFDPNEFQPKSTTSNYKLGKKGQATDQVMELPLENCIYDMINAQGPKGITLVELGKRLGHNNSKRLHKRVSSMLKKYNLTWEAEVQDKTSQYRVWTSKNFSHYKAGAALHNLEALPDDHEKCSDLWSLVPSKGSESPISRKNATINQQDIPSRVTMKLALGFLKLLNKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCKCIKVSVPLVTDYTRSRLIDVILHSSVGDLSPELVDQIRNRQRNFDTETRSGAAAKLKQNQHTTAILGLRIPRRVKDNKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSGLPNWCDPFDNDKEGHHDKNLSQSSVLFSMVGATKKMPLELFLQVVGSAKKIDHMITKCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLVNILDKLKLVQLAKELVDDSGAPSDALPTHSIELRPYIEEPTPRILPSSHVNVNHRPKIRHDFVLSRQEFVDAYWETLEYCYLTAGLAEPSSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNASENGKLPFRDCRIIARELNLSVQQNRQLHGQPTVPAARKRRKVNSGSTSKKRKRSADEITLKFIKRNVETIESTEPRPAQSIPHEEVSERISPSIFHTDSLLQVDEDTISSPRISRSTILRGSCMREKRFVWTYDSDRKLLMIYTRSRATLGAGSHRVDWNSLSDLPAPPAACRRRIAYLRKKTNIRPAVSRVCDLLGIRYARYLEKEKRWKLRGLPSEISNSSHDNCVDPDSEQFFWDNFEDPEIKSALDEVLEFIRVEKMEQTKRVGSKNERNNDDNDATKEVPNGQKQPVLGARATCASTAIQESGLREHAKSYRLSNAIHASKNMDIPFRSHEKAINHNKDDIAKRDVCRSLAVANALELLKLAFLSTSSGPEVQASLAATLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASNSPFPFGSGKKASEFSQWVGQQKNTMDDGVCLYPDLQCGEIVQLFSLVLSGESFISPSLPSEGVGEADEPNSSSLFVDDNSELDESSHKRKADMVKLKSSKTKKHKPLPKIESDFCYRREKGFPGLQVALNQERIKTSNLTQELHDKECLIFTSAWEMGSKDVDSQVESHNMSSLYLNNSSSCRRLLSESHLENSYSGWPWDAIKTYAEQSPSLCCNKNEPDILSSDLFRNAFCVVHKAGEQGVNLREMSQALDPLGIQFVDLIVHTLKRFQLVIKVNAYDGVQIVDSIHKSKYHITTLADSSHCSCLRASAFEMAETGDTENLLREKHAMSSDVQGSVKMLGDGHTVTVLNVQSKSSSPQIRYQSPVGQKRSFTPAQDNRGSDCCHACERHIYHPILPWINGDGSMNNTVYEGLSRRIIGYTMQYPGVVEEDIIHRMDVLNPQTCRTLLEKLMFDKHLYARVFDERAPSAPTLLQSILKQDRCKEPSKCNKRYFANPMSTFLL >ONIVA02G27480.6 pep chromosome:AWHD00000000:2:24728147:24743287:-1 gene:ONIVA02G27480 transcript:ONIVA02G27480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLTSGVTQNDLCKTFRMKGNNFHFIVKSLQSQKLIVRQSTIIKVKDHGADAEDASQNKQIINTNSLYLSRYAKNLNMNSQQRIEIIKPELLGSNEESNGDVLQEDGAFGVNDKNDISIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMTYGHRAWRNVLHRLRDAQLIEEFDAKVDDKFIRCLRLLKKFDPNEFQPKSTTSNYKLGKKGQATDQVMELPLENCIYDMINAQGPKGITLVELGKRLGHNNSKRLHKRVSSMLKKYNLTWEAEVQDKTSQYRVWTSKNFSHYKAGAALHNLEALPDDHEKCSDLWSLVPSKGSESPISRVDLFVDNNKLLLEEECHNKPAGHPLQSNHEACVGVSQIVEQEKLALGQRKRRRCPPLTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCKCIKVSVPLVTDYTRSRLIDVILHSSVGDLSPELVDQIRNRQRNFDTETRSGAAAKLKQNQHTTAILGLRIPRRVKDNKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSGLPNWCDPFDNDKEGHHDKNLSQSSVLFSMVGATKKMPLELFLQVVGSAKKIDHMITKCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLVNILDKLKLVQLAKELVDDSGAPSDALPTHSIELRPYIEEPTPRILPSSHVNVNHRPKIRHDFVLSRQEFVDAYWETLEYCYLTAGLAEPSSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNASENGKLPFRDCRIIARELNLSVQQNRQLHGQPTVPAARKRRKVNSGSTSKKRKRSADEITLKFIKRNVETIESTEPRPAQSIPHEEVSERISPSIFHTDSLLQVDEDTISSPRISRSTILRGSCMREKRFVWTYDSDRKLLMIYTRSRATLGAGSHRVDWNSLSDLPAPPAACRRRIAYLRKKTNIRPAVSRVCDLLGIRYARYLEKEKRWKLRGLPSEISNSSHDNCVDPDSEQFFWDNFEDPEIKSALDEVLEFIRVEKMEQTKRVGSKNERNNDDNDATKEVPNGQKQPVLGARATCASTAIQESGLREHAKSYRLSNAIHASKNMDIPFRSHEKAINHNKDDIAKRDVCRSLAVANALELLKLAFLSTSSGPEVQASLAATLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASNSPFPFGSGKKASEFSQWVGQQKNTMDDGVCLYPDLQCGEIVQLFSLVLSGESFISPSLPSEGVGEADEPNSSSLFVDDNSELDESSHKRKADMVKLKSSKTKKHKPLPKIESDFCYRREKGFPGLQVALNQERIKTSNLTQELHDKECLIFTSAWEMGSKDVDSQVESHNMSSLYLNNSSSCRRLLSESHLENSYSGWPWDAIKTYAEQSPSLCCNKNEPDILSSDLFRNAFCVVHKAGEQGVNLREMSQALDPLGIQFVDLIVHTLKRFQLVIKVNAYDGVQIVDSIHKSKYHITTLADSSHCSCLRASAFEMAETGDTENLLREKHAMSSDVQGSVKMLGDGHTVTVLNVQSKSSSPQIRYQSPVGQKRSFTPAQDNRGSDCCHACERHIYHPILPWINGDGSMNNTVYEGLSRRIIGYTMQYPGVVEEDIIHRMDVLNPQTCRTLLEKLMFDKHLYARVFDERAPSAPTLLQSILKQDRCKEPSKCNKRYFANPMSTFLL >ONIVA02G27470.1 pep chromosome:AWHD00000000:2:24722680:24742261:1 gene:ONIVA02G27470 transcript:ONIVA02G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDPTSVNYELRTMGMRGDDDDDVEEDRVEVFGNTSDIPIHVNVDDDDPPGFFARACLGRHGHDGLAGPSGQPGTARGYLGRAWAVFGLEGHDYGMPSILLQNTLQKGRCRRGTFIKHPSIKHPKATHCKSSPNEILTPLEDQGNLSLGDSKSRFLSWAKLVELEDQKESSNLRDEILLQVLHGIYRDGGLSMKLSILRGRYTEHLHKSVHPAIRWEPEYGRPIWLGMGWGWGDWEKAGRWDRGFGYGRICLCARGGLCGRRKRRITRHAKRSSPRLPAVWPRWGVVDRRLWSGDEACR >ONIVA02G27460.1 pep chromosome:AWHD00000000:2:24716559:24718915:1 gene:ONIVA02G27460 transcript:ONIVA02G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWRSMSKERHENDGHNHDTAAQVQSRTETAVELQGVCRQRPSVREVSKCGGEGEAWSASAVGLLLFPGAIRRPVPPPSAEAGRPPLPVVSSVRTETGALPCQTAISIVLLGVLVVVCII >ONIVA02G27450.1 pep chromosome:AWHD00000000:2:24699448:24703315:1 gene:ONIVA02G27450 transcript:ONIVA02G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHDSRVASAGSLGTWHTRPPPSFISLTVAYVGPSAGRRCVGTVREKERRGRVPSGANPYCVLRPAHPRSTAINLRGLASRGGSSEFRFTRCTQGWELRFTCGQKEHRGISSEERVGSTCAAIMNIWIPFVTGSALAAISKRNSLVGDILACALHAAARISVIPLYGFLASHVCDGPPHHHVIFLRQIKKIAQKAVRFHFPPVTVCALHIFIVIS >ONIVA02G27450.2 pep chromosome:AWHD00000000:2:24699448:24703315:1 gene:ONIVA02G27450 transcript:ONIVA02G27450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHDSRVASAGSLGTWHTRPPPSFISLTVAYVGPSAGRRCVGTVREKERRGRVPSGANPYCVLRPAHPRSTAINLRGLASRGGSSEFRFTRCTQDRGISSEERVGSTCAAIMNIWIPFVTGSALAAISKRNSLVGDILACALHAAARISVIPLYGFLASHVCDGPPHHHVIFLRQIKKIAQKAVRFHFPPVTVCALHIFIVIS >ONIVA02G27440.1 pep chromosome:AWHD00000000:2:24696572:24726123:-1 gene:ONIVA02G27440 transcript:ONIVA02G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFVAACAISFVLVCSAATTPRALAAVYGDGGGLLSIPSNDSLAHCPSSCGDVDDIAYPFGIGPGCFREGFELKCNTSTKTPKLYMKDCTTQILYVGDDDLWAPMHFNITMKPAGAPELPLKTIGSRIYEKTKGSGEEEQKGKRRRGRLDLEEWKGGDSSCRAGTGPTATGPCRAWAGPNSCAVGRAIGPRAIWPTIGTDTYNISWVSPRKGVTISQRNTFYIIGCNIDVTLFEYGTRDAVGYCVSRCDGEKVPTEGPCNGKGCCSIKLSRDLRGFRSTLVQVDATAAQSYQLQLRHGVMAFMSYNDYYVDNATDLFLSWTNTSNIQEALVQFAIMDQPSCEIARMKNTSYACSTGSNCLNMSSGGYTCECANYDLYYYYAEQSPYLLEGCIIRDYNPKRKEHCRRSCGNMAIPFPFGLEEGCFASERFRLNCTTGNITLFNPRDARYNVTDVSIEEGTMVVSNLLNDTEYGGEDIISQVYGGAEIKWAVANLTCDAAVKKDATYACRSIHSNCLNVTHGNIFMGYRCKCLPGFRGNPYIQDGCEDIDECSLPNYCNGTCQNLPGNFTCTSCPRRKEFNPITRQCVASAKQHNLIIVEEKHQKRIRRACFKKNQGLLLEQLISDESATNKTRIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQSVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFIPNGTLHDRLHTDVSVKSSLSWDDRIRIASEAAGALAYLHSAAAIPIFHQDVKSSNILLDGNFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGTKQSLSHYFVDRLREGSLIEIIDSHVLEEAHREDIDDIASLTEACLKLRGGDRPTMKEVEMRLQFLRSKRLRKFQLLPVPGSVGEIQHLLSPDAGKSQAQNNYTSAVCASSFVLVWLAATSPALGAVYGVGGGLLSIPSNDSLAHCPSRCGNVNISYPFGIGPGCFRQGFHVTCDNTTHHPKLFLGNSTTEITYLSQYSLQVSIGFNVTMIPGRSAYTMSWESPAKGFNISYDNYLFVVGCGVEVCLFDIDTNRTIGVCISTCIGDRKIMEGELANADYCNGLGCCSIDMLESSVRGFRLKLIHHDNIKSQSEVVPSTVKVFVAEEYVFDPNDLYSSWINTSNIYNNASLDFAVVDQPSCEIASVDKGSYACGANSFCRNASFGYNCNCNNRFSGNLGANPYVLDGCIEGYNPNPTRRNCKRSCGNLHIPYPFGLEEGCFASKKFQLSCTSDNFTILDRGRTKFHVSMVSINEGYLTVSNMLNGTSQEDEKLVVVHTIDGQLMYPADVTQDLFEFSEEFDMNMKWAIANLTCKTALQRSMTYACISNNSECLNATGGKMPLGYRCKCSAGFEGNPYVKGEDGCTDIDECFQPNSCNGICKNLPGSHSCTPCPHGKEFDRTKGRCITSAKKRNLILGIAVGISCGLGSIVLAFCATMLANKWKKGIQKRIRKAYFKKNQGLLLEQLISDESATNKTKIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHTDASAKCLLSWDDRIRIAVEAAGALAYLHSSAAIPIFHRDVKSSNILLDDSFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDLDYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGTKQSLSHYFVEGLQEGSLTEIIDPQVVEEANKEEINDIASLTEVCLKPRGGDRPTMKEVEMRLQFLRTKRLKKSQLTAGSDGEIKDLICPNASKSHAQNSSVGASDLTSEGISSCYSLEQEFSSSINIPPTQAMTQAAGMSVLLMCLLGAALPRPAATGVGDGGITYIPSAAYLQAHCPSRCGDAEFFYPFGTSPGCFRQGFGLTCDNTTVPPRLFWGDTTTQILSTDPTDRNFIYASIAFNITMVPGVSVYRMSWESPANGFYIDSDTAMYVVGCGVEVYLFDKDSNVSIGYCKTMCMGNKTSMEKALAAVVGGCNGLGCCRIDLPAYIRGFEVTASRVDEKTARSESWPPTVYVFLSEDYNFNTTDLYSPWTSKRVFTSLEAFVMDQPSCESALANKASYACSTNSLCQNMSGGGYMCYCDPVSSSGANPYVLDGCIGEYNPSPRGNCTKWCGNMSIPFPFGLEEGCSALRKFRLNCTSDNLTILDRIEEYDMMMWWAVTNMTCQEAIQRNDTYACRSVQSACQDVAHEGIPLGYRCKCTPGYEGNPYVHDGCTDVNECQLLNSCNGPCQNFPGGYNCTSCPHGKEFDAAKKECVASVKLLGSIILALSATALAAKWKKSIQKRIREGHFKKNKGLLLEQLILDKSAADRTKIFSLEELEKATNNFDATRILGGGGHGTVYKGILTDQRVVAIKKSNIAKQAEIEQFINEVAVLSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYNVLHNDESVKGQLRWDDRIRIAMEAAGALAYLHAAAIMPIFHRDVKSSNVLLDDNLNTKVSDFGASRSVSLDQTHVVTAVQGTFGYLDPEYYHTGKLTGKSDVYSFGVILVELLTRKKPIFDNDQGVKQSLSHYFIERFQEGTLMEIVDSTIVEEANKEEIDGIASLILACLKLKGEERPTMKEVDMRLQFLRTKRLLKCQHFPINTGEIRSFCPQANEYKETMDDASQYKIDRTRI >ONIVA02G27440.2 pep chromosome:AWHD00000000:2:24696572:24726123:-1 gene:ONIVA02G27440 transcript:ONIVA02G27440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFVAACAISFVLVCSAATTPRALAAVYGDGGGLLSIPSNDSLAHCPSSCGDVDDIAYPFGIGPGCFREGFELKCNTSTKTPKLYMKDCTTQILYVGDDDLWAPMHFNITMKPAGAPELPLKTIGSRIYEKTKGSGEEEQKGKRRRGRLDLEEWKGGDSSCRAGTGPTATGPCRAWAGPNSCAVGRAIGPRAIWPTIGTDTYNISWVSPRKGVTISQRNTFYIIGCNIDVTLFEYGTRDAVGYCVSRCDGEKVPTEGPCNGKGCCSIKLSRDLRGFRSTLVQVDATAAQSYQLQLRHGVMAFMSYNDYYVDNATDLFLSWTNTSNIQEALVQFAIMDQPSCEIARMKNTSYACSTGSNCLNMSSGGYTCECANYDLYYYYAEQSPYLLEGCIIRDYNPKRKEHCRRSCGNMAIPFPFGLEEGCFASERFRLNCTTGNITLFNPRDARYNVTDVSIEEGTMVVSNLLNDTEYGGEDIISQVYGGAEIKWAVANLTCDAAVKKDATYACRSIHSNCLNVTHGNIFMGYRCKCLPGFRGNPYIQDGCEDIDECSLPNYCNGTCQNLPGNFTCTSCPRRKEFNPITRQCVASAKQHNLIIVEEKHQKRIRRACFKKNQGLLLEQLISDESATNKTRIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQSVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFIPNGTLHDRLHTDVSVKSSLSWDDRIRIASEAAGALAYLHSAAAIPIFHQDVKSSNILLDGNFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGTKQSLSHYFVDRLREGSLIEIIDSHVLEEAHREDIDDIASLTEACLKLRGGDRPTMKEVEMRLQFLRSKRLRKFQLLPVPGSVGEIQHLLSPDAGKSQAQNNYTSAVCASSFVLVWLAATSPALGAVYGVGGGLLSIPSNDSLAHCPSRCGNVNISYPFGIGPGCFRQGFHVTCDNTTHHPKLFLGNSTTEITYLSQYSLQVSIGFNVTMIPGRSAYTMSWESPAKGFNISYDNYLFVVGCGVEVCLFDIDTNRTIGVCISTCIGDRKIMEGELANADYCNGLGCCSIDMLESSVRGFRLKLIHHDNIKSQSEVVPSTVKVFVAEEYVFDPNDLYSSWINTSNIYNNASLDFAVVDQPSCEIASVDKGSYACGANSFCRNASFGYNCNCNNRFSGNLGANPYVLDGCIEGYNPNPTRRNCKRSCGNLHIPYPFGLEEGCFASKKFQLSCTSDNFTILDRGRTKFHVSMVSINEGYLTVSNMLNGTSQEDEKLVVVHTIDGQLMYPADVTQDLFEFSEEFDMNMKWAIANLTCKTALQRSMTYACISNNSECLNATGGKMPLGYRCKCSAGFEGNPYVKGEDGCTDIDECFQPNSCNGICKNLPGSHSCTPCPHGKEFDRTKGRCITSAKKRNLILGIAVGISCGLGSIVLAFCATMLANKWKKGIQKRIRKAYFKKNQGLLLEQLISDESATNKTKIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHTDASAKCLLSWDDRIRIAVEAAGALAYLHSSAAIPIFHRDVKSSNILLDDSFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDLDYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGTKQSLSHYFVEGLQEGSLTEIIDPQVVEEANKEEINDIASLTEVCLKPRGGDRPTMKEVEMRLQFLRTKRLKKSQLTAGSDGEIKDLICPNASKSHAQNSSVGASDLTSEGISSCYSLEQEFSSSINIPPTQAMTQAAGMSVLLMCLLGAALPRPAATGVGDGGITYIPSAAYLQAHCPSRCGDAEFFYPFGTSPGCFRQGFGLTCDNTTVPPRLFWGDTTTQILSTDPTDRNFIYASIAFNITMVPGVSVYRMSWESPANGFYIDSDTAMYVVGCGVEVYLFDKDSNVSIGYCKTMCMGNKTSMEKALAAVVGGCNGLGCCRIDLPAYIRGFEVTASRVDEKTARSESWPPTVYVFLSEDYNFNTTDLYSPWTSKRVFTSLEAFVMDQPSCESALANKASYACSTNSLCQNMSGGGYMCYCDPVSSSGANPYVLDGCIGEYNPSPRGNCTKWCGNMSIPFPFGLEEGCSALRKFRLNCTSDNLTILDRIEVTYLVTNISVNDGYFVVRNLRNSSRYNDEDMKSTNGNRREMEPDSLLRDLFDLSQEYDMMMWWAVTNMTCQEAIQRNDTYACRSVQSACQDVAHEGIPLGYRCKCTPGYEGNPYVHDGCTDVNECQLLNSCNGPCQNFPGGYNCTSCPHGKEFDAAKKECVASVKLLGSIILALSATALAAKWKKSIQKRIREGHFKKNKGLLLEQLILDKSAADRTKIFSLEELEKATNNFDATRILGGGGHGTVYKGILTDQRVVAIKKSNIAKQAEIEQFINEVAVLSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYNVLHNDESVKGQLRWDDRIRIAMEAAGALAYLHAAAIMPIFHRDVKSSNVLLDDNLNTKVSDFGASRSVSLDQTHVVTAVQGTFGYLDPEYYHTGKLTGKSDVYSFGVILVELLTRKKPIFDNDQGVKQSLSHYFIERFQEGTLMEIVDSTIVEEANKEEIDGIASLILACLKLKGEERPTMKEVDMRLQFLRTKRLLKCQHFPINTGEIRSFCPQVNRNSHPENNLSNAVNFPNEASLQANEYKETMDDASQYKIDRTRI >ONIVA02G27440.3 pep chromosome:AWHD00000000:2:24696572:24726123:-1 gene:ONIVA02G27440 transcript:ONIVA02G27440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFVAACAISFVLVCSAATTPRALAAVYGDGGGLLSIPSNDSLAHCPSSCGDVDDIAYPFGIGPGCFREGFELKCNTSTKTPKLYMKDCTTQILYVGDDDLWAPMHFNITMKPAGAPELPLKTIGSRIYEKTKGSGEEEQKGKRRRGRLDLEEWKGGDSSCRAGTGPTATGPCRAWAGPNSCAVGRAIGPRAIWPTIGTDTYNISWVSPRKGVTISQRNTFYIIGCNIDVTLFEYGTRDAVGYCVSRCDGEKVPTEGPCNGKGCCSIKLSRDLRGFRSTLVQVDATAAQSYQLQLRHGVMAFMSYNDYYVDNATDLFLSWTNTSNIQEALVQFAIMDQPSCEIARMKNTSYACSTGSNCLNMSSGGYTCECANYDLYYYYAEQSPYLLEGCIIRDYNPKRKEHCRRSCGNMAIPFPFGLEEGCFASERFRLNCTTGNITLFNPRDARYNVTDVSIEEGTMVVSNLLNDTEYGGEDIISQVYGGAEIKWAVANLTCDAAVKKDATYACRSIHSNCLNVTHGNIFMGYRCKCLPGFRGNPYIQDGCEDIDECSLPNYCNGTCQNLPGNFTCTSCPRRKEFNPITRQCVASAKQHNLIIVEEKHQKRIRRACFKKNQGLLLEQLISDESATNKTRIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQSVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFIPNGTLHDRLHTDVSVKSSLSWDDRIRIASEAAGALAYLHSAAAIPIFHQDVKSSNILLDGNFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGTKQSLSHYFVDRLREGSLIEIIDSHVLEEAHREDIDDIASLTEACLKLRGGDRPTMKEVEMRLQFLRSKRLRKFQLLPVPGSVGEIQHLLSPDAGKSQAQNNYTSAVCASSFVLVWLAATSPALGAVYGVGGGLLSIPSNDSLAHCPSRCGNVNISYPFGIGPGCFRQGFHVTCDNTTHHPKLFLGNSTTEITYLSQYSLQVSIGFNVTMIPGRSAYTMSWESPAKGFNISYDNYLFVVGCGVEVCLFDIDTNRTIGVCISTCIGDRKIMEGELANADYCNGLGCCSIDMLESSVRGFRLKLIHHDNIKSQSEVVPSTVKVFVAEEYVFDPNDLYSSWINTSNIYNNASLDFAVVDQPSCEIASVDKGSYACGANSFCRNASFGYNCNCNNRFSGNLGANPYVLDGCIEGYNPNPTRRNCKRSCGNLHIPYPFGLEEGCFASKKFQLSCTSDNFTILDRGRTKFHVSMVSINEGYLTVSNMLNGTSQEDEKLVVVHTIDGQLMYPADVTQDLFEFSEEFDMNMKWAIANLTCKTALQRSMTYACISNNSECLNATGGKMPLGYRCKCSAGFEGNPYVKGEDGCTDIDECFQPNSCNGICKNLPGSHSCTPCPHGKEFDRTKGRCITSAKKRNLILGIAVGISCGLGSIVLAFCATMLANKWKKGIQKRIRKAYFKKNQGLLLEQLISDESATNKTKIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHTDASAKCLLSWDDRIRIAVEAAGALAYLHSSAAIPIFHRDVKSSNILLDDSFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDLDYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGTKQSLSHYFVEGLQEGSLTEIIDPQVVEEANKEEINDIASLTEVCLKPRGGDRPTMKEVEMRLQFLRTKRLKKSQLTAGSDGEIKDLICPNASKSHAQNSSVGASDLTSEGISSCYSLEQEFSSSINIPPTQAMTQAAGMSVLLMCLLGAALPRPAATGVGDGGITYIPSAAYLQAHCPSRCGDAEFFYPFGTSPGCFRQGFGLTCDNTTVPPRLFWGDTTTQILSTDPTDRNFIYASIAFNITMVPGVSVYRMSWESPANGFYIDSDTAMYVVGCGVEVYLFDKDSNVSIGYCKTMCMGNKTSMEKALAAVVGGCNGLGCCRIDLPAYIRGFEVTASRVDEKTARSESWPPTVYVFLSEDYNFNTTDLYSPWTSKRVFTSLEAFVMDQPSCESALANKASYACSTNSLCQNMSGGGYMCYCDPVSSSGANPYVLDGCIGEYNPSPRGNCTKWCGNMSIPFPFGLEEGCSALRKFRLNCTSDNLTILDRIEVTYLVTNISVNDGYFVVRNLRNSSRYNDEDMKSTNGNRREMEPDSLLRDLFDLSQEYDMMMWWAVTNMTCQEAIQRNDTYACRSVQSACQDVAHEGIPLGYRCKCTPGYEGNPYVHDGCTDVNECQLLNSCNGPCQNFPGGYNCTSCPHGKEFDAAKKECVASVKLLGSIILALSATALAAKWKKSIQKRIREGHFKKNKGLLLEQLILDKSAADRTKIFSLEELEKATNNFDATRILGGGGHGTVYKGILTDQRVVAIKKSNIAKQAEIEQFINEVAVLSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYNVLHNDESVKGQLRWDDRIRIAMEAAGALAYLHAAAIMPIFHRDVKSSNVLLDDNLNTKVSDFGASRSVSLDQTHVVTAVQGTFGYLDPEYYHTGKLTGKSDVYSFGVILVELLTRKKPIFDNDQGVKQSLSHYFIERFQEGTLMEIVDSTIVEEANKEEIDGIASLILACLKLKGEERPTMKEVDMRLQFLRTKRLLKCQHFPINTGEIRSFCPQVNRNSHPENNLSNAVNFPNEGISGCYRLQQEYANEYKETMDDASQYKIDRTRI >ONIVA02G27430.1 pep chromosome:AWHD00000000:2:24689642:24696520:-1 gene:ONIVA02G27430 transcript:ONIVA02G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLMAAFGVSFVLVCSAATPAASAAVYGVSGGLLSIPSNDSLAHCRSRCGNVGIHYPFGIAPGCFREGFELICRNITNSTPKLFLGDGTTEIAYLDNDRGRYNLVFVHIYFNITVKPGTDTYNISWVAPTEGITIFYYSTFYVTGCNLKATLFKYGTKDLIGSCMSRCDGEKAPIGGPCNGMGCCFIQLTRDLRGFQSTIILRSDGISVAQTDPVHPAIMAFLSWSDDYRSNTSDLYLGWTNTSNVVGTLLSFSTIDQPSCERARMNNTSYACSPGSNCQDVSSGGYYYYCSGYEQGNPYLLDGCTDYNPKYKEHCSTSCGDMKIPFPFGVEEGCFANERFRLNCTEGNLAVCELGKAQYHVTAVSLDDGTLTVGNMMNDTNYEKEEIIVQTTDTGDDDSFSGPVEDGFDLSMEYAIVIRWAVTNLTCEVAVQKNTTYACHSSHSYCLNVTHRKAFMGYRCKCSPGFEGNPYIEDGCTDINECLLPNYCNGTCQNLLGNYTCTSCPHRKEFDPIKKKCVTSAKQRNLLLGIAIGIGCGLGSIVIVLGAMILANKWRKGIQKRIRRAYFKKNHGLLLEQLISNESATNKTKIFSLEELEEATNNFDGTRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQTEIDQFINEVVILSQIIHRNVVKIFGCCLESEVPLLVYEFISNGTLHDHLHTDLSVRCSLSWDERIRIAVEAAGALSYLHSAAAIPIFHRDVKSSNILLDGSFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLIRKKPIFINEAGAKQSLSHYFVEGLQEGSLMEIIDPQVVEEANKEEIDEIASLTMACLKVKGVDRPTMKEVEMRLQFLKTKRLRKFQLLPGNDGEIEHLLSPNTSNSYAQNIYTNAGDLTSEGIPGSGCYSLEQELSSSINYDPYPRRGNCERSCGKLSIPYPFGLEEGCFANKKFRLTCALGNFTILDRGRTKYHVALISVNEGYLNVSNMLNDTSPEGDELIVVHTVDGEPMYPGDTVQGLFEFSQEFDIKMKWAIANLTCQTASQRSTTYACISAHSECVNATHGKMSLGYRCKCSAGFDGNPYVSDGCTDIDECSQPNLCNGICLNYPGGYNCTKCPRGKEFDQTKRKCVTSAKKRNLLLRIAVGISCGLVSIALAFCATMLANKWKKGIQKRIRRAYFKKNQGLLLEQLISDESATNKTKIFSLEELEEATNNFDATRFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHINVIAKCLLSWDDRIRITVEAAGALAYLHSAAAIPIFHRDVKSSNILLDDSFTTKVYDFGASRSVSLDETYVVTIVQGTFGYLDPDYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGAKQSLSHYFVEGLQEGSLIEIMDPQVVEDANKEEINDIASLTEVCLKPRGGDRPTMKEVEMRLQFLRTKRLKKSQVTAGIDGEIKDLICPNASKSHAQNSSVGASDLTSEGISSCYSLEQEFSSSINIPR >ONIVA02G27420.1 pep chromosome:AWHD00000000:2:24687732:24695538:1 gene:ONIVA02G27420 transcript:ONIVA02G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGAHWRRCEEEITCAAILDVWVSFKTGRTLATISHERFPVGDVQAVAVATVACVCSVLLHSYFTS >ONIVA02G27410.1 pep chromosome:AWHD00000000:2:24665975:24686869:1 gene:ONIVA02G27410 transcript:ONIVA02G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTGVVAFVLMMRVAAVLLAAPSGVAGGSDGLLHIPSSESLAHCPSSCGDVEGITYPFGIGPGCFRQGFELTCDNTSEPSKLFLGNTTTTQVLLTDTEITVVKIPTIGFNITMNQSINTYTTSWESPVKGFAILNRTTLLVVGCALKAELFDADTNEMTGSCVTLCLNDTIMEKKAAAGACVGIGCCTVRATRDMRSFRVRLVCLDKASVSDRRLQSRAQVFLPWYSYYRLNVTDLSSNWIDGRSVGNTYLYGTIMEQQTCQSASMNQATYACIANANCMNATNGGYYCSCPDGTSGNPYTSPGCISGFPDSSRATTQKAICKRSCGNTSIPFPFGLEKDCSALKRFQLDCSPQNGLTIHQGLSQWHVANISVNEGYLTITGMLNSQNSSNQALGMAYIGADGRQMGFGDDVLDYLFDIGQYEMKMWWAVFNMTCPTATATQNRATYACRSNSSSCIDVTSSRGNMTIQLGYRCKCKEGFEGNPYIPGGCTVFFFNVNLYRGVQLQSIDEDEQMVRFSSPSPVSPWAVAPCTPEPGIVLAVKAQATRSSATATDRQAATTRPTASSSSSSSFPPPAHASIRSPRASTATATAAAASSVIPRTTPGMAAFTKLEDSPMFRKQVNSMEQLSDELKQRCSNLHKGCKRFMGSLDEGYAGDLTFADALEAFGAGQDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVDHMLSERLMQFIDVDLHGVKDCRQRLDRATVGYDQAREKFVSVRKGTRAEVVTGLEEDLHNAKSAFERCRFNLVHALSNIEAKKKYEFLESISAGYELLSQMEPFIHQVLTYAQQSKEMAINEQDKLAKRIQEYRTQEEIANLRMASNVDTSTSGDGIHVVGLQSYKKIEALMQSTANGEVQIIKQGYLFKRSENSREWKRRFFVLDSHGTLFYYGNKGQSQGAASQQTAGEGTGVFSRFRFLNQKAPTQGDNALSCHKIDLRTSTIKIDAEENDLRFCFRVISPMKAYTLQAESGADQKDWIEKVTGVIASLLNLPFPRQVSYGNLEAEHHGSANSVDSHSLEENKSPEGHDDIFNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIMDLFRELGNDYTNSIWEAMLPKEDQGINEFNDAILFIEKPKPTDAFSIKERYIQTKYVDKLLIAKDTNQITIDILEAIRTNDVRAAYHILVLADVSPNMIYDELNNDVHHDPSVTDGKLFDPASCDVKDDSGKPEGCLQGCSLLHIACQYGHSIMAELLLLFGADINKQDFHGRTPLHHCVRRKNDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVRMSTFVSYYASTCGADAYAREAATATVLKLTLDGLPPSPASSSSMMTHRRRPAQPYSVDEPKYL >ONIVA02G27410.2 pep chromosome:AWHD00000000:2:24674786:24686869:1 gene:ONIVA02G27410 transcript:ONIVA02G27410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSLDEGYAGDLTFADALEAFGAGQDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVDHMLSERLMQFIDVDLHGVKDCRQRLDRATVGYDQAREKFVSVRKGTRAEVVTGLEEDLHNAKSAFERCRFNLVHALSNIEAKKKYEFLESISAGYELLSQMEPFIHQVLTYAQQSKEMAINEQDKLAKRIQEYRTQEEIANLRMASNVDTSTSGDGIHVVGLQSYKKIEALMQSTANGEVQIIKQGYLFKRSENSREWKRRFFVLDSHGTLFYYGNKGQSQGAASQQTAGEGTGVFSRFRFLNQKAPTQGDNALSCHKIDLRTSTIKIDAEENDLRFCFRVISPMKAYTLQAESGADQKDWIEKVTGVIASLLNLPFPRQVSYGNLEAEHHGSANSVDSHSLEENKSPEGHDDIFNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIMDLFRELGNDYTNSIWEAMLPKEDQGINEFNDAILFIEKPKPTDAFSIKERYIQTKYVDKLLIAKDTNQITIDILEAIRTNDVRAAYHILVLADVSPNMIYDELNNDVHHDPSVTDGKLFDPASCDVKDDSGKPEGCLQGCSLLHIACQYGHSIMAELLLLFGADINKQDFHGRTPLHHCVRRKNDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVRMSTFVSYYASTCGADAYAREAATATVLKLTLDGLPPSPASSSSMMTHRRRPAQPYSVDEPKYL >ONIVA02G27410.3 pep chromosome:AWHD00000000:2:24674786:24686208:1 gene:ONIVA02G27410 transcript:ONIVA02G27410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSLDEGYAGDLTFADALEAFGAGQDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVDHMLSERLMQFIDVDLHGVKDCRQRLDRATVGYDQAREKFVSVRKGTRAEVVTGLEEDLHNAKSAFERCRFNLVHALSNIEAKKKYEFLESISAGYELLSQMEPFIHQVLTYAQQSKEMAINEQDKLAKRIQEYRTQEEIANLRMASNVDTSTSGDGIHVVGLQSYKKIEALMQSTANGEVQIIKQGYLFKRSENSREWKRRFFVLDSHGTLFYYGNKGQSQGAASQQTAGEGTGVFSRFRFLNQKAPTQGDNALSCHKIDLRTSTIKIDAEENDLRFCFRVISPMKAYTLQAESGADQKDWIEKVTGVIASLLNLPFPRQVSYGNLEAEHHGSANSVDSHSLEENKSPEGHDDIFNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIMDLFRELGNDYTNSIWEAMLPKEDQGINEFNDAILFIEKPKPTDAFSIKERYIQTKYVDKLLIAKDTNQITIDILEAIRTNDVRAAYHILVLADVSPNMIYDELNNDVHHDPSVTDGKLFDPASCDVKDDSGKPEGCLQGCSLLHIACQYGHSIMAELLLLFGADINKQDFHGRTPLHHCVRRKNDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVRMSTFVSYYGEILSLTV >ONIVA02G27410.4 pep chromosome:AWHD00000000:2:24665975:24672364:1 gene:ONIVA02G27410 transcript:ONIVA02G27410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTGVVAFVLMMRVAAVLLAAPSGVAGGSDGLLHIPSSESLAHCPSSCGDVEGITYPFGIGPGCFRQGFELTCDNTSEPSKLFLGNTTTTQVLLTDTEITVVKIPTIGFNITMNQSINTYTTSWESPVKGFAILNRTTLLVVGCALKAELFDADTNEMTGSCVTLCLNDTIMEKKAAAGACVGIGCCTVRATRDMRSFRVRLVCLDKASVSDRRLQSRAQVFLPWYSYYRLNVTDLSSNWIDGRSVGNTYLYGTIMEQQTCQSASMNQATYACIANANCMNATNGGYYCSCPDGTSGNPYTSPGCISGFPDSSRATTQKAICKRSCGNTSIPFPFGLEKDCSALKRFQLDCSPQNGLTIHQGLSQWHVANISVNEGYLTITGMLNSQNSSNQALGMAYIGADGRQMGFGDDVLDYLFDIGQYEMKMWWAVFNMTCPTATATQNRATYACRSNSSSCIDVTSSRGNMTIQLGYRCKCKEGFEGNPYIPGGCTVVTGIGSCQGLSAGWSREGEPYPHVAILYPPPAKKNVGLVCALDGSLSVFVLTTRCFSTPVMMGIGE >ONIVA02G27400.1 pep chromosome:AWHD00000000:2:24659212:24660348:1 gene:ONIVA02G27400 transcript:ONIVA02G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSFIKAGLHRCVRRRACLRRRLAGEAAAAAARLMAGGPSRLGARAAALPAQGRPWSGVPDL >ONIVA02G27390.1 pep chromosome:AWHD00000000:2:24659047:24659714:-1 gene:ONIVA02G27390 transcript:ONIVA02G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTAGDGSGVIQAEEDPRGDHVLGECADKNQQMPGGRRGGFLGEARPAIRRVPALQPRATMSLAAAAAASPASRRRRHARRRTQRNNRISEPINGQ >ONIVA02G27380.1 pep chromosome:AWHD00000000:2:24642097:24649058:1 gene:ONIVA02G27380 transcript:ONIVA02G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLMICLAAAASGQLAGGNGGLLHIPSNESLAHCPSSCGDVDISYPFGIGPGCFRQGFELTCDTTTQPPTLFLGSSTSTDVIDTYAADLELDVSLIGFNITMAPGINTYIRSWEAPAKGFTIPNGSVLYVVGCGVGVHLLALDSNDTMGSCTTLCFKDPVDMMQANGTCDGIGCCKIDTMREVQGFRLRIVRQDGIVAVPSVKAFLTYYGSYEFRTEDLSSPWINGSNLLNTTIYGAIMDQPNCRSASKNKATYACSRNSQCVNADRGGYYCYCPDGNPNGIPYTQEGCTGYNPFPKGICNRFCGNTNVPFPFGLEEGCYALEKFRLNCRAGKLFLDRGDVHYLVRNISVDNGYMSVTNEQNNSTSNGTEIMVVARTMHGSSEDDPQLDLFDLTQENDMKMWWAVVSFTCQEATQDINNNSYACRSVHSECIDVNVTHGTQPGYRCKCSPGFGGNPYIEDGCTDVNECLLPNYCNGRCQNFIGGYNCTSCPRGKEFDPTRRECVTSAKLISMALGISCGLGFVMLALGATILITKWKRDENTKDKTRIFSLEELEKATDNFDATRVLGHGGHGTVYKGILSDQRVVAVKMSKIVEQAEIDQFVNEVAILSQIIHCNVVKLFGCCLETEVPLLVYEFISNGTLCELLHNDVSAKCLLSWDDRIRIAIEAAGALAYLHSAAVIPIFHRDVKSSNILLDDNFTAKVSDFGASRSIPLDQTHVVTMVQGTFGYLDPEYYNTSQLTGKSDVYSFGVILVELLTRKKPILINDVGTKQNLSQCFLEGLQQGVLMEILDSQVLEEAGQEEIDDIASIAQACLKAKGGERPTMKEVEMRLQFLRTTRLKKCQPISVMDEEIEPFICPKTISSDAQSSFIHTAGFTSEYSTRSYRLEQELSSSVGLPR >ONIVA02G27370.1 pep chromosome:AWHD00000000:2:24633492:24633830:-1 gene:ONIVA02G27370 transcript:ONIVA02G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVARAAVVGKGAAVALQGRGARDNRRQRTWEAGIRRQWRAWAANLVKATRGEEDSATATHMSCGSSDSDTHGWRGRTLLALLLVVFLVIMVEEASFRIGSSAATVASSLRR >ONIVA02G27360.1 pep chromosome:AWHD00000000:2:24630579:24632710:-1 gene:ONIVA02G27360 transcript:ONIVA02G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVWTLKDCGLCCETLEDRVFARGHCKNMTQSPLIGNGGNEAAVRGEDEVEDTLAVIVVARGRDDRCWSGGGEEQGIADGRDGGGGMKRIWDAEMTRPQSRGEEARQSEAGVVVDGEETISIQRTGRGSASPPDSRFAKHVRRREGVLVGWRRLSGGCGRGEHVMWEMLSRTSTARAARRAAGAATGQEAQPVPAAATVDREYLALRGLIEGLCHGLVVLALGMWKQSW >ONIVA02G27340.1 pep chromosome:AWHD00000000:2:24613482:24624047:-1 gene:ONIVA02G27340 transcript:ONIVA02G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLTQGLLKVFFPGKSGEDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSLEHLKDRETTQANLSLLSAFARQGRFFIGLQSHGQEAYDELFKDLNVTADQKKFFKKALNTYYDAVAELLQSEHASLRLMEAENAKVLTAKGELSDENTASYEKLRKSFDHLQRGVSSLAEALDMQPPVMPDDGNTTRVTTGSDVAPSTAKEPSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEAEPKLNEKGREQSEPVAEQDTDVHDNPQTSSITEYHLEGKADDGVKDSEEKDKDKGKGVDKEKSKEKDFDRKTEREKEKIRAVDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKASRKKLVRTLFNVPRTSLELLPYYSRLVATLSTCMKDVPSMLLSMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKIAPAALVFSCLKSCLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSSVEHVLRQLRKLPWVECQQYLIKCFLKVHKGKYSHVHLIALLTAGLSRHHDDFAVSVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIIVFGHGTPEQDVLDPPEDCFRIRLIITLLQTCGHYFNRGSSKRKLDKFLLHFQRYIISKGPLPLDIEFDVQDLFAELRPNMARYSSVEELDAALLELEESERAASVEKPENEKLSDSESQKVQPHDTAFSANGRSSANGAEENGKDHEGADSESYSDSGSIDGHEDEEDLMFEDKSNDASENEGDDEDDGIPAGSDEDEGVEVRHKVVQVDPKELEDFDRELKALLQESLESRKSEVRPRATLNMMVPMNVLEGSKDPRAVESESGEETVDEEGGSAGGGSKVRVKVLMKKGHKQQTKQMFVPGDCSLVQSTKQQEAAELEEKQSIKRRILEYNEREEEEMNGGSSQMGNWGQGGSNTGSSIRSGGRGIWDGSIRGGRQRHHIAGGFYHSYGRRR >ONIVA02G27340.2 pep chromosome:AWHD00000000:2:24613484:24623083:-1 gene:ONIVA02G27340 transcript:ONIVA02G27340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQNENSKEAKQDDETRQNKQDDEEARLEEYKKLVDQKTALRRSNLNSERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLTQGLLKVFFPGKSGEDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSLEHLKDRETTQANLSLLSAFARQGRFFIGLQSHGQEAYDELFKDLNVTADQKKFFKKALNTYYDAVAELLQSEHASLRLMEAENAKVLTAKGELSDENTASYEKLRKSFDHLQRGVSSLAEALDMQPPVMPDDGNTTRVTTGSDVAPSTAKEPSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEAEPKLNEKGREQSEPVAEQDTDVHDNPQTSSITEYHLEGKADDGVKDSEEKDKDKGKGVDKEKSKEKDFDRKTEREKEKIRAVDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKASRKKLVRTLFNVPRTSLELLPYYSRLVATLSTCMKDVPSMLLSMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKIAPAALVFSCLKSCLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSSVEHVLRQLRKLPWVECQQYLIKCFLKVHKGKYSHVHLIALLTAGLSRHHDDFAVSVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIIVFGHGTPEQDVLDPPEDCFRIRLIITLLQTCGHYFNRGSSKRKLDKFLLHFQRYIISKGPLPLDIEFDVQDLFAELRPNMARYSSVEELDAALLELEESERAASVEKPENEKLSDSESQKVQPHDTAFSANGRSSANGAEENGKDHEGADSESYSDSGSIDGHEDEEDLMFEDKSNDASENEGDDEDDGIPAGSDEDEGVEVRHKVVQVDPKELEDFDRELKALLQESLESRKSEVRPRATLNMMVPMNVLEGSKDPRAVESESGEETVDEEGGSAGGGSKVRVKVLMKKGHKQQTKQMFVPGDCSLVQSTKQQEAAELEEKQSIKRRILEYNEREEEEMNGGSSQMGNWGQGGSNTGSSIRSGGRGIWDGSIRGGRQRHHIAGGFYHSYGRRR >ONIVA02G27340.3 pep chromosome:AWHD00000000:2:24623170:24624047:-1 gene:ONIVA02G27340 transcript:ONIVA02G27340.3 gene_biotype:protein_coding transcript_biotype:protein_coding LSPLVRPSFGPFISHSPASVPNIGPRTCEVIAGPIFTGPFKERDPPASPLPCSPFFPCALPPGVHTPLLLARNLPLRAGLRPRKRGVSPATRSSPATAAPSPAVNY >ONIVA02G27330.1 pep chromosome:AWHD00000000:2:24592730:24599639:-1 gene:ONIVA02G27330 transcript:ONIVA02G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIGASGIGTRLWLFWAERRGEEQGRAWLCFFLRSSSGFSLAFGRRGRRFSGPPHWSAQRWTRTNLKAAEIEPNEGTEPSATTSHLTHCPAYLSVRARPCTPPPPPLPLQGHAKNLRARLLRFHTARVSGAFREQSLDGTLGYFGGFIELWMPNSPMLHQNGSVTGATKVPSLKKKGEHRISSGMQMSTNPNHYPVFPHSFCNQHVVSFQTSTIANGSGAIPVCPASSGGMNSDMTLLNTTPSTIVSTSSPNMLADSNQSLKYAAPMAVDWSYPELQLLNDGLLKYANEPGIMKYIKIAAMLPEKTVRDVAMRCQWMAAKKENTRRRKTDEHYLGKKTRDRKDKMVESSWATNRQVQTTDIRSPSTLACNTVRDNQFQSGASEIDRAMLNILEENARVLKQIGENIMTSQVQNNIDLFHHARRNISDLLQSMSQMPGIMSKMPPLPVSIDDRLASYILPGVNLAQVFGSSHLRS >ONIVA02G27330.2 pep chromosome:AWHD00000000:2:24592730:24599639:-1 gene:ONIVA02G27330 transcript:ONIVA02G27330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIGASGIGTRLWLFWAERRGEEQGRAWLCFFLRSSSGFSLAFGRRGRRFSGPPHWSAQRWTRTNLKAAEIEPNEGTEPSATTSHLTHCPAYLSVRARPCTPPPPPLPLQGHAKNLRARLLRFHTARVSGAFREQSLDGTLGYFGGFIELWMPNSPMLHQNGSGEHRISSGMQMSTNPNHYPVFPHSFCNQHVVSFQTSTIANGSGAIPVCPASSGGMNSDMTLLNTTPSTIVSTSSPNMLADSNQSLKYAAPMAVDWSYPELQLLNDGLLKYANEPGIMKYIKIAAMLPEKTVRDVAMRCQWMAAKKENTRRRKTDEHYLGKKTRDRKDKMVESSWATNRQVQTTDIRSPSTLACNTVRDNQFQSGASEIDRAMLNILEENARVQNNIDLFHHARRNISDLLQSMSQMPGIMSKMPPLPVSIDDRLASYILPGVNLAQVFGSSHLRS >ONIVA02G27330.3 pep chromosome:AWHD00000000:2:24593180:24599639:-1 gene:ONIVA02G27330 transcript:ONIVA02G27330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIGASGIGTRLWLFWAERRGEEQGRAWLCFFLRSSSGFSLAFGRRGRRFSGPPHWSAQRWTRTNLKAAEIEPNEGTEPSATTSHLTHCPAYLSVRARPCTPPPPPLPLQGHAKNLRARLLRFHTARVSGAFREQSLDGTLGYFGGFIELWMPNSPMLHQNGSVTGATKVPSLKKKGEHRISSGMQMSTNPNHYPVFPHSFCNQHVVSFQTSTIANGSGAIPVCPASSGGMNSDMTLLNTTPSTIVSTSSPNMLADSNQSLKYAAPMAVDWSYPELQLLNDGLLKYANEPGIMKYIKIAAMLPEKTVRDVAMRCQWMAAKKENTRRRKTDEHYLGKKTRDRKDKMVESSWATNRQVQTTDIRSPSTLACNTVRDNQFQSGASEIDRAMLNILEENARVLKQIGENIMTSQVQNNIDLFHHARRNISDLLQSMSQMPGIMSKMPPLPVSIDDRLASYILPGVNLVSFLLL >ONIVA02G27320.1 pep chromosome:AWHD00000000:2:24586773:24591148:1 gene:ONIVA02G27320 transcript:ONIVA02G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVELMGCVCRIKNCAVELLEMEEDLVIGMDDDDRDLFWSELQLKTTFLYIDLSRVISSSESDERREALTLLTNKLFYFLEELTDAVTSGSVSFTKLCYGDAAQALREVVAFLAPPQ >ONIVA02G27320.2 pep chromosome:AWHD00000000:2:24589195:24591148:1 gene:ONIVA02G27320 transcript:ONIVA02G27320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVELMGCVCRIKNCAVELLEMEEDLVIGMDDDDRDLFWSELQLKTTFLYIDLSRVISSSESDERREALTLLTNKLFYFLEELTDAVTSGSVSFTKLCYGDAAQALREVVAFLAPPQ >ONIVA02G27310.1 pep chromosome:AWHD00000000:2:24581094:24586219:1 gene:ONIVA02G27310 transcript:ONIVA02G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEAAAVGEEEKGKGKKEEGRRGRGVLGRMWRALFGGREDYEKRLQYLSKEEAAVHARMRRRTQFSRTAVRNLIVLSVLAEVVAIVYAIMTTRNEHITWEMRAIRVLPMFVLPAVSSVIYSTVVKFTRMLERKDQKTLEKLRAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGEETGLKVHVGEEPKLDSAVARSNDVEISPSEGLRNRKQSNARGSRTGGTTAAQNPAQGAESSLTSSSGLEQPPMVVEHFQGSGASDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHITYYCPHCHALNTSKQSLGQHSSSNSGRSTPVAPADGISASSSVVESEVSNMTTIQELKNEENTEKQEVQAS >ONIVA02G27300.1 pep chromosome:AWHD00000000:2:24578826:24579296:1 gene:ONIVA02G27300 transcript:ONIVA02G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVLRELRLSPNDDSNRREPLARHAGASNGVDDVAAATGHIGMARPDLRPDRLLPCWWFPAEFAYEAIHGEGSPDFSCLVSVFGNLHELLPSHIVLEVLKRKYGPEGGIWSIRVMLYTFLASVPPFWAELENTIFTAILHRPPPSAGDVERVGG >ONIVA02G27290.1 pep chromosome:AWHD00000000:2:24561138:24567313:1 gene:ONIVA02G27290 transcript:ONIVA02G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLPFSPVRQCNNSMVATSEAAQLERMVPEQAGKGASVTSPQRRLPDGSMGHRRNESRRPSILSCVVEFHVFSVSLS >ONIVA02G27280.1 pep chromosome:AWHD00000000:2:24549120:24553148:-1 gene:ONIVA02G27280 transcript:ONIVA02G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIADCAAEPPLADSYYALLRLGGDDDDDACTKVTTTPQPVSECELPMIDVGCLTAPTGAAAAAAVGQQHQAEERAACAAAIAAAAAEWGFFQVVNHGVAQELLEAMRREQARLFRLPFEAKSSAGLLNDSYRWGTPTATSLRQLSWSEAFHLPLAGISGKSCNYGDLTSLRDVTREVADAMSRLARALARVLAESLLGHAAGERFPEGCDDATCFLRLNRYPPCPFPPDDAFGLVPHTDSDFLTVLCQDHVGGLQLMKGSRWVAVKPIPGALIVNIGDLFQAWSNNRYKSVEHRVMTNATTERYSVAYFLCPSYDSPIGTCREPSPYKAFTFGEYRRRVQEDVKKTGKKTGLSNFLLSLTVYKYW >ONIVA02G27260.1 pep chromosome:AWHD00000000:2:24545265:24546461:1 gene:ONIVA02G27260 transcript:ONIVA02G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPDEIIANILTRLPPKELVRARVVCKQWHALTSEHLFMHTNLLRTNAGHPVIAGFFLNDEIHETFSYNPLLRGYSSPDLSFIPTTADTANSETYVTSSCHGLLLCRRRRRIDGELGVYRARHYVCNPETMEFFEVDVPAGAGGAGQYLNLAYDPLKSRHQYRIVARGHDGIRVYSSRTRSWRTAVRYDGRCRRSPFAGLRHPRGVFWNGSLVWAMLSPRLLRFAIDSGELSEMPLPPRLRSEGWFHAGWVYAYVGESGGRLQVIGYTDEERRAACFDVLEMRDDEEGEWTVLYRVDLTRVKELYDPGDDDGARVARVTLEHFSWGGAPLHVVRGPGEAGRHGVLFFSVPGKIACYDAESRAVSVVWQDTATTSSPKQLLSYTWFNFYAYTPSFLRL >ONIVA02G27250.1 pep chromosome:AWHD00000000:2:24543863:24544306:-1 gene:ONIVA02G27250 transcript:ONIVA02G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTKAPSTPSAALSPPQVLHQLCGCALASDHFRAGEAAASQLPREKVAEPVGLFAFLLSSTMEALPIFMQEQEILAKEISLGIYSMTSSTVVFLPFQLALTVEFTVVVYWMAGLRSKTFGYFVVLVWLMLYKVNSEGIAVKRYVG >ONIVA02G27240.1 pep chromosome:AWHD00000000:2:24524762:24527905:-1 gene:ONIVA02G27240 transcript:ONIVA02G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLTTTWPWRFFFCLFFHLLFLFPTNSLNQSYCDPGDASALLGFMQGLSGSGSGWTVPNATSETANCCAWLGVKCNDGGRVIGLDLQGMKLRGELAVSLGQLDQLQWLNLSSNNLHGAVPATLVQLQRLQRLDLSDNEFSGEFPTNVSLPVIEVFNISLNSFKEQHPTLHGSTLLAMFDAGYNMFTGHIDTSICDPNGVIRVLRFTSNLLSGEFPAGFGNCTKLEELYVDLNSITGSLPDDLFRLSSLRDLSLQENQLSGRMTPRFGNMSSLSKLDISFNSFSGYLPNVFGSLGKLEYFSAQSNLFRGPLPSSLSHSPSLKMLYLRNNSFHGQIDLNCSAMSQLSSLDLGTNKFIGTIDALSDCHHLRSLNLATNNLTGEIPNGFRNLQFLTYISLSNNSFTNVSSALSVLQGCPSLTSLVLTKNFNDGKALPMTGIDGFHNIQVFVIANSHLSGSVPSWVANFAQLKVLDLSWNKLSGNIPAWIGNLEHLFYLDLSNNTLSGGIPNSLTSMKGLLTCNSSQQSTETDYFPFFIKKNRTGKGLRYNQVSSFPPSLILSHNMLIGPILPGFGNLKNLHVLDLSNNHISGMIPDELSGMSSLESLDLSHNNLTGSIPSSLTKLNFLSSFSVAFNNLTGAIPLGGQFSTFTGSAYEGNPKLCGIRSGLALCQSSHAPTMSVKKNGKNKGVILGIAIGIALGAAFVLSVAVVLVLKSSFRRQDYIVKAVADTTEALELAPASLVLLFQNKDDGKAMTIGDILKSTNNFDQANIIGCGGFGLVYKATLPDGATIAIKRLSGDFGQMEREFKAEVETLSKAQHPNLVLLQGYCRIGNDRLLIYSYMENGSLDHWLHEKPDGPSRLSWQTRLQIAKGAARGLAYLHLSCQPHILHRDIKSSNILLDEDFEAHLADFGLARLICPYDTHVTTDLVGTLGYIPPEYGQSSVANFKGDVYSFGIVLLELLTGKRPVDMCKPKGARELVSWVLHMKEKNCEAEVLDRAMYDKKFEMQMVQMIDIACLCISESPKLRPLTHELVLWLDNIGGSTEATK >ONIVA02G27230.1 pep chromosome:AWHD00000000:2:24523628:24541629:1 gene:ONIVA02G27230 transcript:ONIVA02G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRMVASAFLLLAILVATEMGTTKVAEARHCLSQSHRFKGMCVSSNNCANVCRTESFPDGECKSHGLERKCFCKKPCVNSQMAGEAILFSDDIIANILAWLPPKNAARMRLVCKQWHAVTSEHHFMHTNFSRSRDGHSVAGFFLSNELHKKFSYNPLRDSSATHPASPDLSFVPESGSTVPRKINVTSSCNGLLLCRRPMDSSVASGARWCCYYVCNPATKRFVEIPTPPDGRGRHLNLAYDPSRSPVYKVVALGLAGVHVYSSQARSWRAALRYERGSNPFAGIHHSRGVHWNGSLVWVTSRSRSLLRFAVDDGEGELSSLPMPPARHLQPENRWICGYLGVGESAGAGRGHLRMIGYTEEEKLAARFDVVGMAGDCREWRVLYRVDLTRMKELYPDIQRKTRKHHLIWPRRARLVDCLDLWPLHVAEHGSLLLFGIPGKIMAYGMEDQAISVVWKDAAPPQPRFFRYAWFDFYPYTAGLFAV >ONIVA02G27220.1 pep chromosome:AWHD00000000:2:24519341:24523496:1 gene:ONIVA02G27220 transcript:ONIVA02G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEASAPEGGEFSAKDYTDPPPAPLIDVEELTKWSLYRAVIAEFIATLLFLYITVATVIGYKHQSDATVNTTDAACSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYIIAQCLGAICGVGLVKGFQSSYYARYGGGANELSDGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKDKAWDDQWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSYRSNA >ONIVA02G27220.2 pep chromosome:AWHD00000000:2:24518899:24523496:1 gene:ONIVA02G27220 transcript:ONIVA02G27220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEASAPEGGEFSAKDYTDPPPAPLIDVEELTKWSLYRAVIAEFIATLLFLYITVATVIGYKHQSDATVNTTDAACSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYIIAQCLGAICGVGLVKGFQSSYYARYGGGANELSDGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKDKAWDDQWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSYRSNA >ONIVA02G27210.1 pep chromosome:AWHD00000000:2:24514373:24518176:1 gene:ONIVA02G27210 transcript:ONIVA02G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQDKQAVADLQERMRPRRRSWWYMVQIDVKRWLHRRTMLFLRDNCGLNSLCEDRYIKLTRLGTHRILIHYARLMQCLYYNILMNEVGMKSWEEYTEKDREKVRALIDNIVELCRSSIPRSPHDVVRERRRHIPFGETMGLKTSLAQALPLERRRESYESTCGMPLARRPFRTPPLMLTQSHSRSLTVQSISTTTPSQNSQRRRILWIAYSMLVLGTERDPEDFGQKFLEISFVGEDELRQLMLSPLTACRLQLIEPGDKNLNL >ONIVA02G27200.1 pep chromosome:AWHD00000000:2:24511270:24511782:-1 gene:ONIVA02G27200 transcript:ONIVA02G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTRSAATEQAYSRFAPTAASSRGRGVGGGNGFDEFDESDIWGSFEPAAEVAESPRAARHQVPAARPPGRKPAAAASKPAAHGSLPVNIPDWSKILGDEYRGHHAGDWEADDVDDDDIDAASAVAVLPPHELAWRRRAASLSVHEDGMGIGRTLKVRDAVWKKTGFQA >ONIVA02G27190.1 pep chromosome:AWHD00000000:2:24506486:24508927:1 gene:ONIVA02G27190 transcript:ONIVA02G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAKCRQDYMAIVKQSRQLIEKLDAQNEPKKRQKRSKNSEKEKAVAPSSSKKMDREELEKTIRGFLKELDSTEAAPMRTTGCTSAMRPSTIDQDFNTQ >ONIVA02G27190.2 pep chromosome:AWHD00000000:2:24506505:24508927:1 gene:ONIVA02G27190 transcript:ONIVA02G27190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEVEMRQAKCRQDYMAIVKQSRQLIEKLDAQNEPKKRQKRSKNSEKEKAVAPSSSKKMDREELEKTIRGFLKELDSTEAAPMRTTGCTSAMRPSTIDQDFNTQ >ONIVA02G27180.1 pep chromosome:AWHD00000000:2:24491158:24494274:1 gene:ONIVA02G27180 transcript:ONIVA02G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0G9Z9] MLPWERQPKAATTNLSSSPPLPPPHDEHSHGHTTTPARSVAGAAGCGGGGGCVAMITFADLAEPAPGAERCVDRQLWLACAGGMCTVPPVGAAVYYFPQGHAEHALGLAAPELSAARVPALVPCRVASVRYMADPDTDEVFARIRLVPLRAAEDGDVEEDGAAAGEEHEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVAKDVHGVAWNFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRGDGGDLHVGIRRAKRGFCGGGGGAEEASLPGWDQYGGLMRGNASPCAAAKGRGKVRAEDVVEAARLASGGQPFEVVYYPRASTPEFCVRAAAVRAAMRVQWCPGMRFKMAFETEDSSRISWFMGTVASVQVADPIRWPQSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSSMPAIHLSSFSPPRKKPRIPAYPEFPFEGQLLNPAFPPNPLAHGHHHYHHNHPSFFPFPDVSAPAGIQGARHAQFGPSLSDLHLTHLQSSLMYPGLRRPDHVGPTSIPPPRISTDLTMGSSPPARALSMGAKKPDDAKPPGLMLFGQRILTERQMSLSGTTSPAATGNSSLNWNTEKGASEGSGSGVIQNSPTDNTSSERLQWFRENSTVSELGLEPGQCKVFIESDTVGRNLDLSSLASFEQLYGRLSEMFCIDSAELRSRVLYRGATGEVRHAGDEPFSEFIKLARRLTILTDAGSDNLGS >ONIVA02G27170.1 pep chromosome:AWHD00000000:2:24476540:24480721:1 gene:ONIVA02G27170 transcript:ONIVA02G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVRTGGSQHEGEHGNSGVVAVQEEEEEEAISVHFEGFLKLKETQVAKMTAGFQLGVIGSLTLSVASSVSIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRMRFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVMLETIFLKKRFSESIKFSLLILLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILTNTIQKRLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTSRSGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMALYSYFSVRESKKKSAGDPLPVSQMPEKEVEPLLATKDVNGDTKKANGVTHDC >ONIVA02G27160.1 pep chromosome:AWHD00000000:2:24467265:24470737:1 gene:ONIVA02G27160 transcript:ONIVA02G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G9Z7] MEIKDEETTAEVAMVVQSRFRRVCVFCGSSHGKKKIYQDAAIELGKELVARNIDLVYGGGSVGLMGLVSQAVHNGGRHVIGVIPKTLMPREISGETVGEVKAVSDMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNPLLSFIDKAVEEGFIRPSARHIIVLAPTPKELIEKLEEYSPQHEKVVSKMKWEMEQMSYPQNYDIPRPKEGKMIIEAQRGSRLWM >ONIVA02G27150.1 pep chromosome:AWHD00000000:2:24461724:24463281:-1 gene:ONIVA02G27150 transcript:ONIVA02G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLSAVLADLLSRSISFVIDRYCQQQQGVEENLQQLQRMLLRIQTVVEEANGRRITNQAMLLQLKTMRNVMYRGYYFLDNFRYRIALGHAPDEVDDHSLASFPFNPLKRFRFSTMARKIVSEDQEKKELLKMLGRLESIISEEFVMSLRSYPRMVRQPYCSYLLLENCMFGRQAEQERIISFLLEPHRAGAEGVAVLPIIGPARVGKSTLVENVCHDERVRKYFSTIVFYYTGSTEGAVADTGVIKHQNPASTKQSLVVIELVDDMDDETWRRILSSLRGDNIAAPVSKIIITSQSNKIATFGTTETLQLDMLPKEAFWYFLKTIAFGSTNPEEEPKLTSICMEIAAQANGAFLHANIIGGILRSNFSVQFWYKVLKRMKIITNWHFRLLGEHPRDMFAAKSGRTIVWFSKLNRFVPVTYNEASSSRLNDHPTSNAFIAKKGQLDENIDALEWQSSIPPYYKYRTRYAMIEQPNTLSTGKRSRSLSEGSV >ONIVA02G27140.1 pep chromosome:AWHD00000000:2:24461206:24461605:-1 gene:ONIVA02G27140 transcript:ONIVA02G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEICTLKLRTEFELTFLPASCASAALTTAKPLAPWYHRRDGPPKNLRLSILAVAGKQDRHCHNSAVVIL >ONIVA02G27130.1 pep chromosome:AWHD00000000:2:24445043:24447843:1 gene:ONIVA02G27130 transcript:ONIVA02G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclic nucleotide-gated channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) TAIR;Acc:AT2G28260] MACNGSRAVRFQNDMELPHWKTSSVPECTSSSRSTKHGKAQHQQQQHHDPRKWRRGSGGGGSLKDRVLSRAFSEELESLMSSGANHLFFDPRGQLIHLWSKIFLAACLASLFVDPLFLYLTGTRQNMCIELKYSLAFTLSMIRSLLDLFYAAHIFFRFRTAFIAPSSRVFGRGELVIQPCKIARRYLAGTFWFDLVTALPLPQFVIWIVIPKLKESATANRKNILRFSIIFQYLPRLFQIFPLSRQIVMATGVMTETAWAGAAYNLILYMLASHVLGALWYLFSVQRQEACWREACHVEGPSCQTLFFDCKTVSSNRTMWYELSNITSLCTPSNGFYQFGIYGEALDNGLTSSSFTQKYFYCFWWGLKNLSCLGQNLSTSLFIGEITFATVIGVLGLVLFALLIGNMQATMVRLEEWRTKRTDMERWMNHRQIPQPLKQCVRRYHQYKWLATRGVDEEALLEDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSYTTQGGRSGFFNSCRIGAGEFCGEELLPWALDPRPAASLPLSTRTVRAVSEVEAFALVADDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRNKRRRASMELRMREGGEARPGGSVRCRRHSCDGKALIKKPMEPDFTVEEED >ONIVA02G27120.1 pep chromosome:AWHD00000000:2:24405045:24407305:-1 gene:ONIVA02G27120 transcript:ONIVA02G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G9Z3] MECENGRVSANGMSGLCVAAPRADPLNWGKATEEMTGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENAVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKHAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGDLHVARFCEKDLLKEIDREAVFAYADDPCSHNYPLMKKLRNVLVERALANGAAEFNADTSVFAKVAQFEEELRATLPGAIEAARAAVENGTAAIPSRITECRSYPLYRFVREELGTKYLTGEKTRSPGEELNKVLVAINEGKHIDPLLECLKEWNSEPLPIC >ONIVA02G27110.1 pep chromosome:AWHD00000000:2:24397794:24404778:1 gene:ONIVA02G27110 transcript:ONIVA02G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLFSSSPGDRVFSPVRYAVPSSSRTNRCPCGQHRRWQRRAELLLELGDLGEHRGLGVELGRAVGEGALHKHVPELLHQRLALHGSSAYANTASRSISWRRSFSQKRAAWRPPVALVLRVFLATVMTQLLTALLTFSSMCRRWSVYSGLPFITSQNTADRTSARTARMFASKSTVEASPEPTAVPFTMARPSLGCNSKKPPWMPAILNASAAFTLLPSGATATEFSRPVTKPAMSNSE >ONIVA02G27110.2 pep chromosome:AWHD00000000:2:24397794:24406850:1 gene:ONIVA02G27110 transcript:ONIVA02G27110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLFSSSPGDRVFSPVRYAVPSSSRTNRCPCGQHRRWQRRAELLLELGDLGEHRGLGVELGRAVGEGALHKHVPELLHQRVVGAARVVGVREHGFAVDLLEKILLAEASGVETAGGARAQSLPGHCHDAALDGALDVLLDVPQVDGLAEGDQERGGHELQDVDCLGGLPGGDESKRVDVLVVLLGALDVVGHWVAQELQLGAVGGHGDLGALEPVVQARVAAAGQVGGQPVVVELVHELGELREHELADVGDGETGVVHGHTDGGALEVAAVERLAAVDVDHRVVVHGVDLTLDGLGGGTDNLNLRAEPLWGCPERVPVLLRLHQWVELTKLLGMLHVGASLQDVLHDGGGLDLSWMVLELVSQVVGVLRLAVHHLAEHGREDLGEDGKNVSLKEHGRCKARAHGSAIDHGKAFLGLQLEEAALDASNLERLSGVHLAAIWCNRHGVLAPSDKAGNVGQRDEVTGGGDRAPERQARCDVRVEQLGDRLEDLEPDAGVSLEECVDADEHCRAGCLRRQNMTVGASAERAGIEESAEKTSKFIIKKASKEFAQ >ONIVA02G27100.1 pep chromosome:AWHD00000000:2:24394055:24397721:1 gene:ONIVA02G27100 transcript:ONIVA02G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGAKGVQGSVGFGCLLADHTLAVGWDLPTNLTMRRCATRGPPGQLAWLLCLQDCDWGEAPSRGNRLVRRRRLRCVLPRWCVAVWGGWLETCAAGGFGGGWWDGASERERVCGREASAEPTLTVSRGV >ONIVA02G27090.1 pep chromosome:AWHD00000000:2:24390198:24404913:-1 gene:ONIVA02G27090 transcript:ONIVA02G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G9Y7] MQQQNLLRCETGLVRSLNGDGLCMSSVSAPPRADPLNWGKAADELAGSHLDEVKRMVEDFRQPLVKIEGASLTIAQVAAVAAGAGDARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGRKVTAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAILAEVLSAVFCEVMTGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLIALCQAIDLRHLEENMKTAVKNCVMQVAKKSLSMNHMGGLHIARFCEKDLLTAIDREAVFAYADDPCSANYPLMQKLRAVLIEHALANGDAERVLETSIFAKVAEFEQHVRAALPKEVEAARAAVENGTPLVPNRIKECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVAINERKHIDPLLECLKEWNGAPLPLC >ONIVA02G27090.2 pep chromosome:AWHD00000000:2:24390198:24397683:-1 gene:ONIVA02G27090 transcript:ONIVA02G27090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G9Y7] MSTEVVCETGLVRSLNGDGLCMSSVSAPPRADPLNWGKAADELAGSHLDEVKRMVEDFRQPLVKIEGASLTIAQVAAVAAGAGDARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGRKVTAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAILAEVLSAVFCEVMTGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLIALCQAIDLRHLEENMKTAVKNCVMQVAKKSLSMNHMGGLHIARFCEKDLLTAIDREAVFAYADDPCSANYPLMQKLRAVLIEHALANGDAERVLETSIFAKVAEFEQHVRAALPKEVEAARAAVENGTPLVPNRIKECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVAINERKHIDPLLECLKEWNGAPLPLC >ONIVA02G27090.3 pep chromosome:AWHD00000000:2:24390198:24393857:-1 gene:ONIVA02G27090 transcript:ONIVA02G27090.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G9Y7] MECETGLVRSLNGDGLCMSSVSAPPRADPLNWGKAADELAGSHLDEVKRMVEDFRQPLVKIEGASLTIAQVAAVAAGAGDARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGRKVTAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAILAEVLSAVFCEVMTGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLIALCQAIDLRHLEENMKTAVKNCVMQVAKKSLSMNHMGGLHIARFCEKDLLTAIDREAVFAYADDPCSANYPLMQKLRAVLIEHALANGDAERVLETSIFAKVAEFEQHVRAALPKEVEAARAAVENGTPLVPNRIKECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVAINERKHIDPLLECLKEWNGAPLPLC >ONIVA02G27080.1 pep chromosome:AWHD00000000:2:24386357:24390040:1 gene:ONIVA02G27080 transcript:ONIVA02G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLLRRRLVGLVPSLLAAHPFVSHDASVAAITAAASLPLPLPRRPSPGADALLLSRLIPLASLAEVRERRKGEKRGKRGGVDVDTLTCGAHADSTTTSNKTRVKTAEGPKKAACQAMRNCRLWQPQKLKQQQ >ONIVA02G27070.1 pep chromosome:AWHD00000000:2:24380169:24386174:1 gene:ONIVA02G27070 transcript:ONIVA02G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTISTGGEEVGSVRQERRRASAADDRRCGGGGNNKGEGEAVVAEAAGVEEGVGGRRRAKQEKGRQWRANSRCGCISSAAAPHCGRVERAVEHWHQGGAARYGRQPVGEQLGAGDGRRQRGEELRMGGGWRGSSWPPHFHLWHEPLEGLRMVLVVVTGEATHIHDTG >ONIVA02G27060.1 pep chromosome:AWHD00000000:2:24378215:24381658:-1 gene:ONIVA02G27060 transcript:ONIVA02G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G9Y4] MAGNGPINKEDPLNWGAAAAEMAGSHLDEVKRMVAQFREPLVKIQGATLRVGQVAAVAQAKDAAGVAVELDEEARPRVKASSEWILNCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGTGSDGHTLPSETVRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVTPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAISPDGRKVDAAEAFKLAGIEGGFFTLNPKEGLAIVNGTSVGSALAATVMFDANILAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSSFMSHAKKVNEMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVSRGKALHGGNFQGTPIGVSMDNTRLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTLEAVDILKLMTSTYIVALCQAVDLRHLEENIKSSVKNCVTQVAKKVLTMNPTGDLSSARFSEKNLLTAIDREAVFSYADDPCSANYPLMQKLRAVLVEHALTSGDAEPEASVFSKITKFEEELRSALPREIEAARVAVANGTAPVANRIVESRSFPLYRFVREELGCVFLTGEKLKSPGEECNKVFLGISQGKLIDPMLDCLKEWNGEPLPIN >ONIVA02G27050.1 pep chromosome:AWHD00000000:2:24366703:24367360:1 gene:ONIVA02G27050 transcript:ONIVA02G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVANSSITSLSPGVAWEATIRPPLSPTSLLLLTVARAAAGKAAWPQGWRRRGSPRLLALGRSREPPGAAMAVTAKSAPFRPDLAGWLATGKAVGTGDGGGRGTLGVHVTFGGSRRGCYG >ONIVA02G27040.1 pep chromosome:AWHD00000000:2:24357620:24365156:1 gene:ONIVA02G27040 transcript:ONIVA02G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSEEYISFYDSELEEGEFRECRAFEDQTVKVRQLALHGTCSGEYSRSYSSHVNSRSNKRHKQKEHDYYKYSDYLQVLKKIEKVSSRRFDKLLVWHNEDREEFNVVRKSQEFEFFQEHLRSYEVQYTRVIPTIKRCRMKLPKLLFSVLHKTFHKHFQSQLIEFVKRQIKDRDKEKRVRNRWIFEAEAGYLKTDFDMIPLSYSGLKIEKLKCSSTDYLNGDAQLNYFNMECLSTEIEAIASSSTKSEETCAGKRSDTSEPILDNSEVLLEINVSTKDGASVGAVEEVFTCERSSQSTCGPTTMVFGQNNGRQIDFPVAAQSNVGDAELSYASQSHISAASAHANVVAADSENANLLSRAKGRCPSSTYNVSLGSCSGSQRKLPFESASSPCETALLHKEAPCADHQISLNTVSPQEAPCANHQISLDTVSLQEAPSASPPSTNVIQMEQSEDISNVIRVEQSEDIRSEEAPNGQASSFAQVTEQPNMQANTSTCQAVTHQPPDGSIHSVRTEFINPRASNIESYSVNQILTRSIFEQRPNEAGFQSDPVAVELSRLQMLRCLMTKRHEEKRQQIILACEIEMAETKRKYDELIHKLEMETSQRKKGLQILADKVYKQQTLAEGFQTMFVSHGSRARRSMQPNRSSGQQALQIPASVSAPASAVMCQPSQQDAQSSMGSSPRHPFVTINHHSMDYLGRSATPLAHSRGAGMGSGIAYHAPESHLHSVVNPLPASGLQLGIASLEQ >ONIVA02G27030.1 pep chromosome:AWHD00000000:2:24353064:24353285:-1 gene:ONIVA02G27030 transcript:ONIVA02G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTTFFFLPFSPNPLPSPLCCSRQRSGSVRWCPSGRALTGRLISLNLAVEQRRHRHSLPSPTHPADEEAVA >ONIVA02G27020.1 pep chromosome:AWHD00000000:2:24352357:24352719:-1 gene:ONIVA02G27020 transcript:ONIVA02G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALRRGFKTLAVARAIVLSTAGPHFCAASSSAPQEPRHGPLLVGQQWPSERGRGAPPYHRGDAGSARKPMLAAVHVACVGGGVEVGAACAIVEKSRRRWGEESVWGPRLANDFWLAKFG >ONIVA02G27010.1 pep chromosome:AWHD00000000:2:24345660:24351150:-1 gene:ONIVA02G27010 transcript:ONIVA02G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGVLLGMGNPLLDISAVVDDAFLTKYDVKLNNAILAEEKHLPMYDELASKGNVEYIAGGATQNSIRVAQWMLQTPGATSYMGCIGKDKFGEEMKKNAQAAGVTAHYYEDEAAPTGTCAVCVVGGERSLVANLSAANCYKSEHLKKPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAANNKVFLMNLSAPFICEFFRDAQEKVLPFVDYIFGNETEARIFAKVRGWETENVEEIALKISQLPLASGKQKRIAVITQGADPVVVAEDGQVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQQKSIEDSVKAGCYAANVIIQRSGCTYPEKPDFN >ONIVA02G27000.1 pep chromosome:AWHD00000000:2:24339552:24341197:1 gene:ONIVA02G27000 transcript:ONIVA02G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTPKITGKTTSMSGELKRDYEIGEEIGRGRFGVVHRCTSRATGEAFAVKSVDRSQLADDLDRELAELEPKLAQLAAAGNPGVVQVHAVYEDDAWTHMVMDLCSGPDLLDWIRLRRGAPVPEPVAAAVVAQLAEALAHCHRRGVAHRDVKPDNILLDVVDDGIDGGGTPRARLADFGSAAWVGESGGSAEGLVGTPHYVAPEVVAGGEYGEKADVWSAGVVLYVLLSGGALPFGGETAAEVLASVLRGSVRFPPRLFAGVSPAAKDLMRRMMCRDTWRRFSAEQVLGHPWIVSGGGARAMEQPT >ONIVA02G26990.1 pep chromosome:AWHD00000000:2:24334687:24334869:-1 gene:ONIVA02G26990 transcript:ONIVA02G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCVASGGGRQVRHVEVSGGVEAGEVQPVSMGGWPGGGVGAVESMRWQRLAGGGGLEH >ONIVA02G26980.1 pep chromosome:AWHD00000000:2:24328509:24329990:1 gene:ONIVA02G26980 transcript:ONIVA02G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRTERLASCLAAVAAKPADFRRAHAILLTSGHLSSRYSVNCLIRAASAPSASALLLRFLLNHRFLPDHLSLSFSLHPCSRLPSHPVASVVHSLAVRLGHARDVYVVNAAASAYFAAADVASAERLFSEASRDVADVVTWTTMVTGHANAGDVERARWFFDAMPEKNVVSWNTMLGAYARAGMLPKARKLFDRMPNRNAATWGSMITGLLQSDHCEEALRVFSDMVACGVVPNEPALVSTVSACTQLRWMEHGTWVHGYAERELNGAMSAVLATAIVDMYGKCGSIRDAVRVFAAMPVRNIYSWNSMIAGLAMNGSERQALSLFWKMQLAGVRPNDITFIGLLSACSHSGLVDEGRWLFYKMVNHFGIQPVPEHYGLMVDLLGRSGHVREAVDFVKSMPVEPHPGLWGALAGACKIHGEVELGEEVAKKLIELEPQHGSRYILLSNIYATSNRWDDMANVRRILKDRKVPKGTGNAIVGNDSQSSGYEIDS >ONIVA02G26970.1 pep chromosome:AWHD00000000:2:24319938:24327031:1 gene:ONIVA02G26970 transcript:ONIVA02G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 2 [Source:Projected from Arabidopsis thaliana (AT1G04400) TAIR;Acc:AT1G04400] MAGSERTVVWFRRDLRIDDNPALAAAARDGVVLPVFIWCPADEGQFYPGRCSRWWLKQSLPHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDISPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLRRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDSISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSFCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNCVDEVEDSSTADSGSSISRQRKAA >ONIVA02G26970.2 pep chromosome:AWHD00000000:2:24320616:24326212:1 gene:ONIVA02G26970 transcript:ONIVA02G26970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 2 [Source:Projected from Arabidopsis thaliana (AT1G04400) TAIR;Acc:AT1G04400] MREHLKLRRAFTSSCGQLVFENIHDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDSISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSFCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNCVDEPT >ONIVA02G26970.3 pep chromosome:AWHD00000000:2:24319938:24327031:1 gene:ONIVA02G26970 transcript:ONIVA02G26970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 2 [Source:Projected from Arabidopsis thaliana (AT1G04400) TAIR;Acc:AT1G04400] MAGSERTVVWFRRDLRIDDNPALAAAARDGVVLPVFIWCPADEGQFYPGRCSRWWLKQSLPHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDISPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLRRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIGLREYSRYLCFNFPFTHEKSLLGNLKHYPWKVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDSISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSFCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNCVDEVEDSSTADSGSSISRQRKAA >ONIVA02G26970.4 pep chromosome:AWHD00000000:2:24320616:24327031:1 gene:ONIVA02G26970 transcript:ONIVA02G26970.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 2 [Source:Projected from Arabidopsis thaliana (AT1G04400) TAIR;Acc:AT1G04400] MAGSERTVVWFRRDLRIDDNPALAAAARDGVVLPVFIWCPADEGQFYPGRCSRWWLKQSLPHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDISPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLRRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIGLREYSRYLCFNFPFTHEKSLLGNLKHYPWKVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDSISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSFCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNCVDEVEDSSTADSGSSISRQRKAA >ONIVA02G26970.5 pep chromosome:AWHD00000000:2:24320616:24321806:1 gene:ONIVA02G26970 transcript:ONIVA02G26970.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 2 [Source:Projected from Arabidopsis thaliana (AT1G04400) TAIR;Acc:AT1G04400] MAGSERTVVWFRRDLRIDDNPALAAAARDGVVLPVFIWCPADEGQFYPGRCSRWWLKQSLPHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYGMATFSWRFLHQWSFLYVVLFYFFVKIFFFLYTLDAKKSDHDDFESLSQLHHVLLCCQKAQLNKLCNI >ONIVA02G26960.1 pep chromosome:AWHD00000000:2:24267929:24301436:1 gene:ONIVA02G26960 transcript:ONIVA02G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGKGGGKRDRGADRPPRVCHGWRVERGPYESGAHSGRTARPPSRAGPVGSSLDVMGMEGIRNEYPFSCDQSNTAEKTLYSPVDGGGGDNAYVVFLSLVEGAILASLRGGGAGDDELQLCIESARRRGLASDKESRSRRAAGGGPTQSRKQAPYEIFLAGKPTEMGPDQIGEIIVLQSDEHGDCHVAPPLADPPVSLGGIGKSDASPEDLHKNYSTPEVYNSYSIPPSLQPTSLATKGAKPTLHLGQTRPSLFPPPPLFSALVSERHSNRYGMVFVLLLHRSYETRTTNRWTWEEVSTRV >ONIVA02G26950.1 pep chromosome:AWHD00000000:2:24267381:24267764:1 gene:ONIVA02G26950 transcript:ONIVA02G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSSDMPERAKAAAGGRLVARRGRGILHAGAVLGRLRWPQRWQWQGRWDVERGGGRDGGGIVLPHHRSGAELGHGGVEEIGHASAAAATPFPFPFPRPPRNAASIILLGVSHRLLPPTPSPSSRW >ONIVA02G26930.1 pep chromosome:AWHD00000000:2:24225931:24228396:-1 gene:ONIVA02G26930 transcript:ONIVA02G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLMAVLIASAWPAAASTTTAAAQPAAACQRRCGDVDIPYPFGIGRGCYLYTGEGDVTFGLTCNRTADGSYRPFCWEYEVLDVSLRRGQARVRNDINRWCYNATTRSMDAESTWWWDVSDSWFHVSDEGNRLVVVGCNSLAYVTSVNETEYMTGCMATCPSVGRLENGSCSGMGCCEAAIPRGINSYVVGFEEKFNTTSGAVGRCSYAVVVEAASFEFRTTYVTTGDFVESTGGKVPLVLDWVVGKKTCREARRNATGYMCVSRDSECVDSRNGPGYLCNCSAGFEGNPYLLDGCQDINECEDSRFKYPCSVPGTCINTPGGFRCSCPDKTTGNAYFGTCEAKKSQLGVHIAIGVSIGIALLVIIMSSAYMIQQKRRLATVKRRYFNQHGGLLLFEEMKSNQGLSFTVFTKDELEEATNKFDERNVLGKGGNGTVYRGTLKDGRVVAIKRCKLINERQKKEFGKEMLILSQINHRNIVKLHGCCLEVEVPMLVYEFIPNGTLYQLIHGGRHGSRISFAARLKIAHEAAEALAYLHSWASPPIIHGDVKSPNMLIDENYTVKVSDFGASTLAPTDEAQFVTLVQGTCGYLDPEYMQTCKLTDKSDVYSFGVVLLELLTCRKALNLQALEEEKNLSSHFLLALSENRLEGILDSQIQSEQSIELIEQMADLAKQCLDMSSEKRPSMRQVAEELDRLRKLAEHPWGRHESEELEKLLVRGSPSTFSEIELSNGYVSLTDSAYLGIQSPR >ONIVA02G26920.1 pep chromosome:AWHD00000000:2:24219779:24225520:1 gene:ONIVA02G26920 transcript:ONIVA02G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELGDGEEGSLSVASSSNAGEVTSASSNQGGGRDGEHMAGDGEHMAGRYFSVPHSKHGRRDTEHWRSSDCSLWTTSLFGSLSLEDSDSGCLGRGRGRQRRSTLGISIVVSLGKGYAAGLLCCCASPKRVKGKQAGPPLATPLYRHLVPMLLEKGDMGRRRARLNQCVARCKLCQEATRPFLNPLICNDVYWAADPSPFYISRPVFGTKTNRTTCRYTVEVALCAAACKDYQQVELSDPPRYVCKDRFTDLCKLTASRTSIPKK >ONIVA02G26910.1 pep chromosome:AWHD00000000:2:24212029:24214460:-1 gene:ONIVA02G26910 transcript:ONIVA02G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLIILALSASQAAAAMQPSETCLRRCGDVEIPYPFGVGSGCHLETGDWTFVLSCNRSADGRLRVYNYEIEVVDVSVRRGQLRIYSAINPWCYNGSTSAMNGQSNWWYDMSITNFRINDALNRFTVVGCNSLAYILSPNGTAGADRYMTGCMAMCPGAGRLEKENGSCAGVGCCQTAIPAGLNGYQVSFEEKFNTSAIAGFSRCSYAVLVEASAFDFRASYVTTDEFMASNGGQLPLVLDWAIGNKTCEEAKRNASAYACVSANSECVDSRYGRGRGYLCNCSAGYDGNPYLLDGCQDINECDESRFRYPCSVPGTCVNTPGGFTCTCPDKTIGNAYNGTCGDNKSQLGWKIAIGISSGVVILIITASCVYMIHAKRRLAKIKREHFRQHGGLLLFEEMKSRQGLSFALFTQEELEQATNRFDERNVIGKGGNGTVYRGTIAKDNGAVVAIKRCRLATERQKKEFGKEMLILSQINHRNIVKLYGCCLEVEVPMLVYKYIPNGTLYRLIHGGEGGASARRIPFAARVRIAHQAAEALAYLHSWASPPIIHGDVKTSNILLDEDYAAKVSDFGASTLAPADAAQFVTFVQGTCGYLDPEYMRTCRLTDKSDVYSFGVVLLELLTCRKALNLEELEEEKYLSSQFLLAVGEGRLGEILDPQIKGEQSMEVLEQVAELAKQCLEISGEKRPSMREVAEELDRLGKLSLHPWGQPNSGELAALLGGSPSMAADSDQIELSTSTRNISFSDTAYIGIRSPR >ONIVA02G26900.1 pep chromosome:AWHD00000000:2:24197828:24209749:-1 gene:ONIVA02G26900 transcript:ONIVA02G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysyl-tRNA synthetase, class II [Source:Projected from Arabidopsis thaliana (AT3G13490) TAIR;Acc:AT3G13490] MEALRAWRASSNLLGFAASRAAKAAPAARPLRPHVRCCSPAAASTTKPPPPPPQDRRRRSASSSSSSTSDRESIRAIRLKKVEELRGKGYEPYAYKWDRTHTTKELQDGYTHLENGEVCADVAVSIAGRIVARRAFGKLVFMTVRDDSGTIQLYCEKDSLTEEQFEQLKTIIDIGDIIGANGSIKKTEKGELSVYVKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQGAAGGAEARPFITHHNSLQRDLYLRIATELHLKRMLVGGLEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNLAEEIVTRCAMATHGKLRVDYQGTEISLERPWRRETMHSLVKEATGIDFNSFGEDLESAKNAARGIKTESNENISLQACSSVGHVLNEVFETVVESSLVQPTFVLDYPVEISPLAKPHRRYAGLTERFELFICGREIGNAFSELTDPIDQRGRFENQIKQHNAKRAAMTKEVKSTEGKHEEDDFSYEVTLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDLFFPRNDERALPR >ONIVA02G26900.2 pep chromosome:AWHD00000000:2:24198294:24209749:-1 gene:ONIVA02G26900 transcript:ONIVA02G26900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysyl-tRNA synthetase, class II [Source:Projected from Arabidopsis thaliana (AT3G13490) TAIR;Acc:AT3G13490] MEALRAWRASSNLLGFAASRAAKAAPAARPLRPHVRCCSPAAASTTKPPPPPPQDRRRRSASSSSSSTSDRESIRAIRLKKVEELRGKGYEPYAYKWDRTHTTKELQDGYTHLENGEVCADVAVSIAGRIVARRAFGKLVFMTVRDDSGTIQLYCEKDSLTEEQFEQLKTIIDIGDIIGANGSIKKTEKGELSVYVKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQEKAGWGAAGGAEARPFITHHNSLQRDLYLRIATELHLKRMLVGGLEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNLAEEIVTRCAMATHGKLRVDYQGTEISLERPWRRETMHSLVKEATGIDFNSFGEDLESAKNAARGIKTESNENISLQACSSVGHVLNEVFETVVESSLVQPTFVLDYPVEISPLAKPHRRYAGLTERFELFICGREIGNAFSELTDPIDQRGRFENQIKQHNAKRAAMTKEVKSTEGKHEEDDFSYEVTLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIQQ >ONIVA02G26890.1 pep chromosome:AWHD00000000:2:24180615:24181675:-1 gene:ONIVA02G26890 transcript:ONIVA02G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEEEPAVAAAAYTTASKAGFLFFSAALTRLPTREEEISRRLLMELSPPNHESSPPTAGGGGGGGGDGAGGSSSAGASSSAGGGASTPPLGLAQCSGAHVLEFLRYLDQFGKTKVHTAACPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQPADTSGGGGHPHPPPPPPPPPSAGAAC >ONIVA02G26880.1 pep chromosome:AWHD00000000:2:24166114:24170179:1 gene:ONIVA02G26880 transcript:ONIVA02G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREIESTLPPGFRFFPSDEELVCHYLHKKVANERIAQGSTLVEVDLHAREPWELPEVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRGSSSSSSSSSSSSRAVVGMRKTLVFYQGRAPNGVKTGWVMHEFRLDSPHSQPREDWVLCRVFQKRKGDGDGPQDSGGAASPTFTGSMSTTTLSQLQPPDHRRHAAAAAGGYYVGSQQLAAGYDSAAGFANPTQPAVPHYQYGGAVIGFPEEFGGGGGVADEYGFGTYLDLGFELDDTASVLGGIRSFPQGWN >ONIVA02G26870.1 pep chromosome:AWHD00000000:2:24159456:24160930:-1 gene:ONIVA02G26870 transcript:ONIVA02G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQINFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKTAAA >ONIVA02G26860.1 pep chromosome:AWHD00000000:2:24155140:24156030:-1 gene:ONIVA02G26860 transcript:ONIVA02G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylinositolglycan synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G34980) TAIR;Acc:AT2G34980] MNNESRPKWRKVAYGGRQPGYDDNHTDESFLEEMVMNANVVKRDLLKVMIDSVSISQYLCIVALVVSTWTYTLNLVIDEVTLLKLDTSLLLAGFSMLLLTASPFSLKLLSKYVLNTSFFISGLYVLAPIYQTLTRSISSDSIWALAVCLLLVHLFLHDYSGSTIRPPGALNNPKLTSNISLNASIVASVLVASRLPSRLHVFAIMLFSLQIFLFVPLVAFCVKKFSLRLHLLFSFALMIMTLGVTYQLHHMFFILLLALLVFISIVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >ONIVA02G26850.1 pep chromosome:AWHD00000000:2:24147814:24156392:1 gene:ONIVA02G26850 transcript:ONIVA02G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQSSEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQMINRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPTEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYIFDWTKQGSESNRLRSSGRTSGLVGPSAERTERAAARQDVPDRFSGTVDPFARRTGSGSGHYGEHTKHRNILDSLLAPKTAVDLDKRRPTSSSRNGSTSRKALLSSSRPSSGDPIDPNRSNLIPTSSGSSRPSTMQRLHQSTGLETRSSLTKTARNVHDDPTLRTFERLSISADRRK >ONIVA02G26850.2 pep chromosome:AWHD00000000:2:24147814:24154437:1 gene:ONIVA02G26850 transcript:ONIVA02G26850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQSSEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQMINRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPTEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYIFDWTKQGSESNRLRSSGRTSGLVGPSAERTERAAARQDVPDRFSGTVDPFARRTGSGSGHYGEHTKHRNILDSLLAPKTAVDLDKRRPTSSSRNGSTSRKALLSSSRPSSGDPIDPNRSNLIPTSSGSSRPSTMQRLHQSTGLETRHNYMYRNEVVHGS >ONIVA02G26840.1 pep chromosome:AWHD00000000:2:24144253:24146643:-1 gene:ONIVA02G26840 transcript:ONIVA02G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSMNVVPAMATMNSTNPTLHLCSPAAGANVSGGGGSSSVFTRALHAAQARKMHQRKQLPGSAVSNSKKTSGIKGKKVVQEKIYTRCTPAILSDLFSGFGDQQKELVKQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSRHEICLTCEDVSLLLGIPYGRKEILPAIKNEVKDVKAYMCEIFEKDSFDGLTIVTIQRILEKKFNSTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKVLVDVENIHKYNWAEFVLHDIKDAAAALQHKIRHRKSIGYINGCIILPQLFYLDNLDFGADTPEQENIPRIGVYNDSMIAEFIERDVILKNRNPFPAYGKMKLRNKHDEKYNLGHHTGAIEAIHVDRTYDARSDIEPPSFNLGITQDIEEANMVACTPGHDISNVAEDSDKEQELNFLARTPDQPISKSVDASDKSGEGYQQTKLSSFSPYSMLKETSGARIFMREEYACTKLPPKSKRRIIGGPSDILFDRPKRSIKPSHSVKSPFLSKQHSFVRHDQKALDDLYTYAISITFREYARSAFFPLREIKVIYNEK >ONIVA02G26840.2 pep chromosome:AWHD00000000:2:24144253:24146643:-1 gene:ONIVA02G26840 transcript:ONIVA02G26840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENSMNVVPAMATMNSTNPTLHLCSPAAGANVSGGGGSSSVFTRALHAAQARKMHQRKQLPGSAVSNSKKTSGIKGKKVVQEKIYTRCTPAILSDLFSGFGDQQKELVKQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSRHEICLTCEDVSLLLGIPYGRKEILPAIKNEVKDVKAYMCEIFEKDSFDGLTIVTIQRILEKKFNSTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKVLVDVENIHKYNWAEFVLHDIKDAAAALQHKIRHRKSIGYINGCIILPQLFYLDNLDFGADTPEQENIPRIGVYNDSMIAEFIERDVILKNRNPFPAYGKMKLRNKHDEKYNLGHHTGITQDIEEANMVACTPGHDISNVAEDSDKEQELNFLARTPDQPISKSVDASDKSGEGYQQTKLSSFSPYSMLKETSGARIFMREEYACTKLPPKSKRRIIGGPSDILFDRPKRSIKPSHSVKSPFLSKQHSFVRHDQKALDDLYTYAISITFREYARSAFFPLREIKVIYNEK >ONIVA02G26840.3 pep chromosome:AWHD00000000:2:24144253:24146643:-1 gene:ONIVA02G26840 transcript:ONIVA02G26840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENSMNVVPAMATMNSTNPTLHLCSPAAGANVSGGGGSSSVFTRALHAAQARKMHQRKQLPGSAVSNSKKTSGIKGKKVVQEKIYTRCTPAILSDLFSGFGDQQKELVKQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSRHEICLTCEDVSLLLGIPYGRKEILPAIKNEVKDVKAYMCEIFEKDSFDGLTIVTIQRILEKKFNSTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKVLVDVENIHKYNWAEFVLHDIKDAAAALQHKIRHRKSIGYINGCIILPQLFYLDNLDFGADTPEQENIPRIGVYNDSMIAEFIERDVILKNRNPFPAYGKMKLRNKHDEKYNLGHHTGAIEVQSHAIHVDRTYDARSDIEPPSFNLGITQDIEEANMVACTPGHDISNVAEDSDKEQELNFLARTPDQPISKSVDASDKSGEGNAVHKTPSSHGGYQQTKLSSFSPYSMLKETSGARIFMREEYACTKLPPKSKRRIIGGPSDILFDRPKRSIKPSHSVKSPFLSKQHSFVRHDQKALDDLYTYAISITFREYARSAFFPLREIKVIYNEK >ONIVA02G26840.4 pep chromosome:AWHD00000000:2:24144253:24146643:-1 gene:ONIVA02G26840 transcript:ONIVA02G26840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSSKHLCSPAAGANVSGGGGSSSVFTRALHAAQARKMHQRKQLPGSAVSNSKKTSGIKGKKVVQEKIYTRCTPAILSDLFSGFGDQQKELVKQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSRHEICLTCEDVSLLLGIPYGRKEILPAIKNEVKDVKAYMCEIFEKDSFDGLTIVTIQRILEKKFNSTMTVHEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKVLVDVENIHKYNWAEFVLHDIKDAAAALQHKIRHRKSIGYINGCIILPQLFYLDNLDFGADTPEQENIPRIGVYNDSMIAEFIERDVILKNRNPFPAYGKMKLRNKHDEKYNLGHHTGITQDIEEANMVACTPGHDISNVAEDSDKEQELNFLARTPDQPISKSVDASDKSGEGYQQTKLSSFSPYSMLKETSGARIFMREEYACTKLPPKSKRRIIGGPSDILFDRPKRSIKPSHSVKSPFLSKQHSFVRHDQKALDDLYTYAISITFREYARSAFFPLREIKVIYNEK >ONIVA02G26830.1 pep chromosome:AWHD00000000:2:24110221:24110757:-1 gene:ONIVA02G26830 transcript:ONIVA02G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGTMRGKLKLATGAGGYGEIAEIYIPNVLVIHQVGRSWDTKEAIVFTGRDLPGYKNWTPSAPLDQGNWPDAKVAIPLKKMGKRV >ONIVA02G26820.1 pep chromosome:AWHD00000000:2:24103720:24106528:-1 gene:ONIVA02G26820 transcript:ONIVA02G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G04500) TAIR;Acc:AT3G04500] MSTQSISPAAAAASAQYSYPAAAAAAVPSYFPVPFHLQNVQQPATWPAAPAAYNAVYPMPQVQQVQQLFQKDSQIITPEALATVKAAIANSEKDKKIEANKKAVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLTKAFSKYPSFNMARVIRDKWTGKTRGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKNRIDYEALQKPKTQPQKKFKAQKKSILHK >ONIVA02G26810.1 pep chromosome:AWHD00000000:2:24100092:24106765:1 gene:ONIVA02G26810 transcript:ONIVA02G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVFTPSLEGMKHVKSESSVILTKPFLDVCKQILPVLDKFGAAMALVKSDIGGNITRLENKYSSDPSKYEQLYSMVQEEVQNKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLEHQDWTMSQACTDSYTKTLKKWHGWLASSSFTVAMKLAPNREKFMEVISGTGDIKADIEKFCTTFYPFLKENHDFLASVGLDDLKAS >ONIVA02G26810.2 pep chromosome:AWHD00000000:2:24100092:24106765:1 gene:ONIVA02G26810 transcript:ONIVA02G26810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVFTPSLEGMKHVKSESSVILTKPFLDVCKQILPVLDKFGAAMALVKSDIGGNITRLENKYSSDPSKYEQLYSMVQEEVQNKTAKVIYALLVNTYKSTSVHNWVAMKLAPNREKFMEVISGTGDIKADIEKFCTTFYPFLKENHDFLASVGLDDLKAS >ONIVA02G26810.3 pep chromosome:AWHD00000000:2:24100559:24106765:1 gene:ONIVA02G26810 transcript:ONIVA02G26810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTREMAETVFTPSLEGMKHVKSESSVILTKPFLDVCKQILPVLDKFGAAMALVKSDIGGNITRLENKYSSDPSKYEQLYSMVQEEVQNKTAKVIYALLVNTYKSTSVHNWVAMKLAPNREKFMEVISGTGDIKADIEKFCTTFYPFLKENHDFLASVGLDDLKAS >ONIVA02G26810.4 pep chromosome:AWHD00000000:2:24100185:24106765:1 gene:ONIVA02G26810 transcript:ONIVA02G26810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHSLFSIAAREMAETVFTPSLEGMKHVKSESSVILTKPFLDVCKQILPVLDKFGAAMALVKSDIGGNITRLENKYSSDPSKYEQLYSMVQEEVQNKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLEHQDWTMSQACTDSYTKTLKKWHGWLASSSFTVAMKLAPNREKFMEVISGTGDIKADIEKFCTTFYPFLKENHDFLASVGLDDLKAS >ONIVA02G26800.1 pep chromosome:AWHD00000000:2:24075199:24076671:1 gene:ONIVA02G26800 transcript:ONIVA02G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALVVPLLVGLLAVAAAPAPASAARAFFVFGDSLVDNGNNNYLITTARADAPPYGIDYPTHQATGRFSNGLNIPDIISEHLGAEPALPYLSPELRGDKLLVGANFASAGVGILNDTGVQFVNIIRIGDQLQYFREYQRKLRALVGEEQAKRIVNGALVLITLGGNDFVNLLYNLIKLGL >ONIVA02G26790.1 pep chromosome:AWHD00000000:2:24047631:24060355:-1 gene:ONIVA02G26790 transcript:ONIVA02G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLHEALEAAARRGLTSAEVTSLVDACMDLTKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYRNMGSQFHEELQRHNLPSYMLKDINSRLDKIEPKARSSDGARMQYKVIERSVSANPKRGSPRKKSDSDITEKPVEPIRVHSEKELLREMEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQACHLLNMLSKELLGDFEACAEIFIPVLRNCKVSRILPLIADTAKNDRSAILRARCSEYALLVLEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLREKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKKIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRIVTALCEKLFWEKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSTFLDISTGRTSSDVSIDNVKQCFEPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGRLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIHQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >ONIVA02G26790.2 pep chromosome:AWHD00000000:2:24047633:24060355:-1 gene:ONIVA02G26790 transcript:ONIVA02G26790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLHEALEAAARRGLTSAEVTSLVDACMDLTKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYRNMGSQFHEELQRHNLPSYMLKDINSRLDKIEPKARSSDGARMQYKVIERSVSANPKRGSPRKKSDSDITEKPVEPIRVHSEKELLREMEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQACHLLNMLSKELLGDFEACAEIFIPVLRNCKVSRILPLIADTAKNDRSAILRARCSEYALLVLEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLREKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKKIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSTFLDISTGRTSSDVSIDNVKQCFEPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGRLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIHQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >ONIVA02G26790.3 pep chromosome:AWHD00000000:2:24047633:24060355:-1 gene:ONIVA02G26790 transcript:ONIVA02G26790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLHEALEAAARRGLTSAEVTSLVDACMDLTKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYRNMGSQFHEELQRHNLPSYMLKDINSRLDKIEPKARSSDGARMQYKVIERSVSANPKRGSPRKKSDSDITEKPVEPIRVHSEKELLREMEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQVLRNCKVSRILPLIADTAKNDRSAILRARCSEYALLVLEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLREKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKKIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSTFLDISTGRTSSDVSIDNVKQCFEPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGRLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIHQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >ONIVA02G26790.4 pep chromosome:AWHD00000000:2:24047633:24055589:-1 gene:ONIVA02G26790 transcript:ONIVA02G26790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQACHLLNMLSKELLGDFEACAEIFIPVLRNCKVSRILPLIADTAKNDRSAILRARCSEYALLVLEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLREKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKKIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSTFLDISTGRTSSDVSIDNVKQCFEPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGRLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIHQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >ONIVA02G26790.5 pep chromosome:AWHD00000000:2:24047631:24055589:-1 gene:ONIVA02G26790 transcript:ONIVA02G26790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQVLRNCKVSRILPLIADTAKNDRSAILRARCSEYALLVLEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLREKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKKIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSTFLDISTGRTSSDVSIDNVKQCFEPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGRLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIHQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >ONIVA02G26790.6 pep chromosome:AWHD00000000:2:24047633:24055589:-1 gene:ONIVA02G26790 transcript:ONIVA02G26790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQVLRNCKVSRILPLIADTAKNDRSAILRARCSEYALLVLEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLREKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKKIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSTFLDISTGRTSSDVSIDNVKQCFEPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGRLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIHQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >ONIVA02G26790.7 pep chromosome:AWHD00000000:2:24055622:24060355:-1 gene:ONIVA02G26790 transcript:ONIVA02G26790.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLHEALEAAARRGLTSAEVTSLVDACMDLTKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYRNMGSQFHEELQRHNLPSYMLKDINSRLDKIEPKARSSDGARMQYKVTQILPKNQWNP >ONIVA02G26780.1 pep chromosome:AWHD00000000:2:24041530:24046082:-1 gene:ONIVA02G26780 transcript:ONIVA02G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRRRNPKSVPSGSSSMKPPRPPRGPSFQAPAVPRPLPEPSSPGGRPRKRVRFASEASSHQICVRQDANTGKRPQGCDAKTTEYKFFKKLWEQSGCRPHSFSKQNEEKQMESHNVMPGRKLPAQKSTLSSEETPATPCKNEEIYHEQVNAKASHSEHGNNDTPQLNPHDYLTVHVHTPITQVSFEAVGISRNTEFFADILQRLGANSMIKKHRKESMRMMKVCGHTPTGTGCHFENMLDYRISDFDLRTKLRTEKESSSSATQESRQFMALPWGYNQGPSGSLDWKIDLPHRGNEARESMALPWVHTVGLPNSGWKRDTAHNQVSNLLLEDVQPHSEGKLASATELNCNVETRPCTYHGWVPMLSPGFSGSIPNRFFTPCQIEETHVVPYEISNTYRRPDPCNPLEQCFPPVGLDRQGQKEARFSHNYGAELLEQFTSSSVGLERQDQHGSELVNFDTGLLSSFDQLYAKCSASSFLDTRNGILNHSDFSYISNLAASESNDIVSNASMSCLNSIFSTSEHPFQLGSKRLHETSLAGLEEKYSKEAEIFDNSDIGPIQELDQLPAKFTYTSFSNYISGTLDHQHHLRYMPPKDSSSTLFMDANGACLNSLSPYTDHPCKQDGKSLCDSSTELWSSVHHLQSHGDDFGAVLGFMSEENTCNDLEDHCSFMLAEGNPNDLCTSDLPLFGLCSAMDGIREASVRLDGLRFILLADGTSMNVEGTEVYVDDVMRYSQMFT >ONIVA02G26780.2 pep chromosome:AWHD00000000:2:24041530:24046082:-1 gene:ONIVA02G26780 transcript:ONIVA02G26780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRRRNPKSVPSGSSSMKPPRPPRGPSFQAPAVPRPLPEPSSPGGRPRKRVRFASEASSHQICVRQDANTGKRPQESHNVMPGRKLPAQKSTLSSEETPATPCKNEEIYHEQVNAKASHSEHGNNDTPQLNPHDYLTVHVHTPITQVSFEAVGISRNTEFFADILQRLGANSMIKKHRKESMRMMKVCGHTPTGTGCHFENMLDYRISDFDLRTKLRTEKESSSSATQESRQFMALPWGYNQGPSGSLDWKIDLPHRGNEARESMALPWVHTVGLPNSGWKRDTAHNQVSNLLLEDVQPHSEGKLASATELNCNVETRPCTYHGWVPMLSPGFSGSIPNRFFTPCQIEETHVVPYEISNTYRRPDPCNPLEQCFPPVGLDRQGQKEARFSHNYGAELLEQFTSSSVGLERQDQHGSELVNFDTGLLSSFDQLYAKCSASSFLDTRNGILNHSDFSYISNLAASESNDIVSNASMSCLNSIFSTSEHPFQLGSKRLHETSLAGLEEKYSKEAEIFDNSDIGPIQELDQLPAKFTYTSFSNYISGTLDHQHHLRYMPPKDSSSTLFMDANGACLNSLSPYTDHPCKQDGKSLCDSSTELWSSVHHLQSHGDDFGAVLGFMSEENTCNDLEDHCSFMLAEGNPNDLCTSDLPLFGLCSAMDGIREASVRLDGLRFILLADGTSMNVEGTEVYVDDVMRYSQMFT >ONIVA02G26770.1 pep chromosome:AWHD00000000:2:24029559:24035068:-1 gene:ONIVA02G26770 transcript:ONIVA02G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCRITPGCPVQQIYSDLEKATDSDKFHADRKPAPCDGSSCRQSNTTSLKVLLAKEMTKEVELKRKPPSVVARLMGLEEDLPANGLVLHQAKSGFRKSQSCNQLKALNKDLKQQEQHRLIKSTTQDIHPFCQEAVQYNDVYEVSEAQTRMSNFQDKISQKGGSSGNTSNRVDILRGSVMEKKCLGMAEKPLHSKDLQEALRVVSSNKDLFLKFLEEPNSIFSRQLVRSHTNLAPPQKKRIMVLKPLGSFESDGTRQTGTEQIQEQNGAAMREFHQSSNFKEENPSLPSRIVLLRPTPGKPSLTNAKLTPRTTPFLSINPSDFRVASDGNGATLRSTKVEPGIIHNQQDGCHQGDESFLSSSYSNGYGGDESSLGDSEIDQNSDSDIDYIEDEGGSFSDSGGCSPVSKRTWHYTKRHGNPYSGSSFSKISHFADSSVTKEAKQRLSERWATVTCDEISQEQVELPRSTCTLGEMLSLQDVKNDDFINELPSVSTSRCYDRKNELPTQAKYATACRKDEKNGERPMGLPRSTSVPLIPSTLNNMVANVKTSNHQGHERTKHVVASNKEKSFFRGRVSDFFFPRSKRTTRQISANHTSDLSSGNTEDCGGDSQQDANHNLDGNEKSTICEDIHDICAVQSTSTSEGTTALTDVPASLDCRSGNLNKLGLNEVLNSTRDQPSPTSVLDAPSEDSSCNEPESSASTTSKDAKAVSRSSAIEAVACSLSWDDTTSESALPGTRGQSSFLPDVDDDESECHVLVQNIMSSAGLDDAQSSMLFTGWHFPDCPLDPILFNKVLELREQSSYQRLLFDCVNVALVEIGENTLLSTFPWSKAHSRTWMDASSPALGIEVWSILKDWIYGARMFVVSRRDNAGIMIERVVKQEVEGTGWVKTMRTQLVDITEQIEGGVWEELVGEAVLDFVPACQR >ONIVA02G26760.1 pep chromosome:AWHD00000000:2:24013106:24014799:-1 gene:ONIVA02G26760 transcript:ONIVA02G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEERHGEPCHLEDHELEKIYGLHTYETDYDSDGSGVSFYSNGRNIFMKYGDGFRYEDGNPYYVADREETWEKQILRQMKFPIPDLSESWEGSLVVEGPFNLDPNLTFTDHLMPALPKWERRWLDRDGKEPCRRAIQVLGLNLSSPSNGPLEIYGTFAFRDTRNSQLRNYIFDYSREKPCKLKPGQKEEEDQVLIDGYSIYAPSFYADFQKLIWHINTGHFGTIDLTIFAIPKAVLVDLEFEVCQIEDNHEQDSLAIVATYFNINTSFIIFNGKLGVGKLPPLTLSARHDTHFSIKVYKYYNHSDCHPDGVVRNCRFGTEYDFEDFLCESLSFTPQKYGKSSKTLSRNLDGIQMAMKSTWSIMCEPCL >ONIVA02G26750.1 pep chromosome:AWHD00000000:2:24006034:24007359:1 gene:ONIVA02G26750 transcript:ONIVA02G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHLKSPLILLLLGYIATIVVYPAHCQVPAHKTHHHPLLYNPQPRDFPNERLYNAYVVIQRFKSTITSDPKNITTTWTGHDLCGEATYIGFYCGAPYGRAEKLTVTAVILNGYSLHAPTLKGFVDQLPDLALFHAASNNFGGDDIPRLTNLEYMYQLNVGNDLPAHPTGGDTWGLKGNGGAGIMGPCITTTIKFKFDVRVNHEKGGSSKGGGMLPGATDSKALLLNYNNLSGPLPADLGFSKLSYLALSNNKLSGPIPPSISHLQDSLLEVLLLNNQLSGCLPQELGMLYKTTVIDAGMNQLTGPIPSSFSCLTSVEQLNLGGNRLYGEIPDALCKLAAGPAGRLANLTLSSNYFTSVGPACLSLIKDGVLNVKNNCIPGFANQRRPAECASFHSQPKTCPAASATHAACPAAAANAAAAPADRVAKDYSSYVTYATLHK >ONIVA02G26740.1 pep chromosome:AWHD00000000:2:23987594:23992594:-1 gene:ONIVA02G26740 transcript:ONIVA02G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDPTKLSAYRDRRFTGTQEEYEAALQASVTVYVGNMSFYTTEEQAYELFSRAGEIRKIIMGLDKNSKTPCGFCFILYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQMTEVTGREDYQRKRYRNDERSSQRAPDSEFKRDAIDSEKNPRFREKGRPAVIEIMKIVEELLLPALAADLTSRLVSLLIRSYRSRATTGVVEDDDLEKLQLLLLELHAAVDEGQGRHVTSQRLLLWLRELTQSMYRGYYVLDTFRYRSVSIQAQQQDDARGAAAKRLRTSAGAAVRLVLGSSSRDDDDSSRALARALAHLQAVLQNVTPFLQMLGTYRRVPRRVSVDTERCVPIGRHVEKQRIVAFLLKEDEAAAHVVGVLPIVGPRGTGKSTLIHDASHDARVRAHFAVIERFGLDEVLLPLHAAGAGAAAATDDTSSRSLEDHRIYLDAVHNIVRQERFARNRSLLILEDAPAVIEFAETAGVLPMAHGSKVVVTSEYDQTKAGLGATEEAIRTKKMSKEEYWYHFKALAFRGAANDPAGPAHPALAATAKEIASVLNGSFLGMRILIALMRSDPRECFWRAVLQSLVDLCRSSNSKRIKADMGYVQEFALIGRIALKLVLPMRLTLRSCSITKQGGDPQLGPELNRVAGGIAYSCRSDDSGCVDVILCRSRIPPYEIYKLSCVMEEEVHLERAS >ONIVA02G26730.1 pep chromosome:AWHD00000000:2:23985002:23987510:1 gene:ONIVA02G26730 transcript:ONIVA02G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid N-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G80860) TAIR;Acc:AT1G80860] MEMAAAAVGVLLPFPFYWALWNHPQRWVDLCGGGGGGVDPCRRMAQVSHVLKALQLLALASVASFSWPPPLYSVALLAVGQYLNFKVYQLLGEAGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSMLSLVALLCWVPFQYVLLWCLGYVFMMWVESKEDPATQFAGQFTYESGDFFLVSK >ONIVA02G26720.1 pep chromosome:AWHD00000000:2:23979648:23983595:1 gene:ONIVA02G26720 transcript:ONIVA02G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone GrpE family protein [Source:Projected from Arabidopsis thaliana (AT5G17710) TAIR;Acc:AT5G17710] MAALLRTAAALAPPPSPARDPRRPSSAASSLALARRAAARPLRAQPLLPPAARRVPGFVAGPLLRRRPRVGATEADEAAQTATEEDSETGETGADDATAATEETPSVIVTALQSYKEALINDDETKVAEIEDFLFSIEEEKNSLLSKISTLGAELTTERDRILRISADFDNYRKRVEREKLSLMTNVQGEVIESLLPVLDNFERAKTQIKVETERETKINDSYQSIYKQFIDILNSLGVEDVETVGKPFDPMLHEAIMREESVEYEEGVILQEFRKGFKLGERLLRPAMVKVSAGPGPEKPVYDDPAMVEDSVAPQKVKEAEDDGFDDDNAE >ONIVA02G26720.2 pep chromosome:AWHD00000000:2:23979648:23983595:1 gene:ONIVA02G26720 transcript:ONIVA02G26720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone GrpE family protein [Source:Projected from Arabidopsis thaliana (AT5G17710) TAIR;Acc:AT5G17710] MAALLRTAAALAPPPSPARDPRRPSSAASSLALARRAAARPLRAQPLLPPAARRVPGFVAGPLLRRRPRVGATEADEAAQTATEEDSETGETGADDATAATEETPSVIVTALQSYKEALINDDETKVAEIEDFLFSIEEEKNSLLSKISTLGAELTTERDRILRISADFDNYRKRVEREKLSLMTNVQGEVIESLLPVLDNFERAKTQIKLHEAIMREESVEYEEGVILQEFRKGFKLGERLLRPAMVKVSAGPGPEKPVYDDPAMVEDSVAPQKVKEAEDDGFDDDNAE >ONIVA02G26710.1 pep chromosome:AWHD00000000:2:23969532:23973841:1 gene:ONIVA02G26710 transcript:ONIVA02G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVRGSTMVRPAEETPRVRLWNSSLDLVVPRFHTPSVYFFRRGEAAAAEGGSYFDGERMRRALAEALVPFYPMAGRLAHDEDGRVEIDCNGEGVLFVEADAPGATVDDFGDFAPTMDLKRLIPTVDYTDGISSFPILVLQVTHFKCGGVALGVGMQHHVADGFSGLHFINSWADLCRGVPIAVMPFIDRTLVRARDPPAPSHPHVEYQPAPAMLAPEPPQALTAKPAPPPTAVDIFKLSRSDLGRLRSQLPRGEGAPRYSTYAVLAAHVWRCASLARGLPAEQPTKLYCATDGRQRLQPSLPDGYFGNVIFTATPLAEAGRVTGSLADGAATIQSALDRMDSGYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSASGDGSLSVAISLQAEHMEKFRKMIFDF >ONIVA02G26700.1 pep chromosome:AWHD00000000:2:23961778:23962247:-1 gene:ONIVA02G26700 transcript:ONIVA02G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCEGTRSCDHRAVQATVQDCEAQCFEGHPKLLVGSKKGAGKKAFTVARRKYQ >ONIVA02G26690.1 pep chromosome:AWHD00000000:2:23958338:23958925:-1 gene:ONIVA02G26690 transcript:ONIVA02G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVEKKSGSEMTVVRGLDVARYMGRWYEIASLPNFFQPRDGRDTRATYALRPDGATVDVLNETWTSSGKRDYIKGTAYKADPASDEAKLKVKFYLPPFLPVIPVVGDYWVLYVDDDYQYALVGEPRRKDLWILCRQTSMDDEVYGRLLEKAKEEGYDVEKLRKTPQDDPPPESDAAPTDTKGTWWFKSLFGK >ONIVA02G26680.1 pep chromosome:AWHD00000000:2:23943723:23952532:-1 gene:ONIVA02G26680 transcript:ONIVA02G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGELEGKLRDVGEKLQSPPDDVDALLKLIHEAEIYILKVEQAPSESMISAITPAMKALIKKELLDNSSYEVKLSVVSCISEITRITAPDTPYDDDVMKDVFSIMVGSFEKLDDMENPLFRRIVAILETVAKVRLCVVMLDLECEDLILQMFHNFFTTVKPNHPENVTNCMTTIMILVIEEDDEVEIPIAECLLKHAKSELKETSAASFELAEKVIGACSEKLKPVFLQLLKGTSLNEYDNIIATICEDSSDVKEDMDADPSGKDVVDDGKLSERTISDELPQEPAKLEQDVTQTTAIGSGATPVDNGTESAAANPKELSNPDSEKKDGVKQSAKVANGASAETSERVDGSPAMVKSKRGRPPGLKSLEKKAAGKKVLGLKKVEETTDSTGKLSKQSSKDDSKSSTRKASGAGSSKKQQKFSLKQKDETDSKEDTAKDLSLKEMVSPKSMSKGSAKTKGSQGQDNNGSKRKRSQEDEQETPRSRKNKGLDASLVGARIQVWWPDDKKFYKGIVDSFDTASKRHKIAYDDGDVEVLLLRDEKWEFVSEEQDKTPDVASEISPKPRGRGRKGRGSSVQLKEGNAETPKSGGGDLPKKRGRPKGSSNGTPKSNISATSSKSKGKAARKDDNETPKVGSDLKKEAEEGSEDKATKSTEKTKDDLPEDGSNKSASKPKEASSGGKDLKGESKPSEGRAKPGRKPKVAAAAVAGEESKANVSAEKEKQKEAEGEAAAEVEQGGSAVEAAISLSQIATMLKLTTAWLSSALPALSMRGRVVFFAQFFVAPGPG >ONIVA02G26680.2 pep chromosome:AWHD00000000:2:23946205:23952532:-1 gene:ONIVA02G26680 transcript:ONIVA02G26680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGELEGKLRDVGEKLQSPPDDVDALLKLIHEAEIYILKVEQAPSESMISAITPAMKALIKKELLDNSSYEVKLSVVSCISEITRITAPDTPYDDDVMKDVFSIMVGSFEKLDDMENPLFRRIVAILETVAKVRLCVVMLDLECEDLILQMFHNFFTTVKPNHPENVTNCMTTIMILVIEEDDEVEIPIAECLLKHAKSELKETSAASFELAEKVIGACSEKLKPVFLQLLKGTSLNEYDNIIATICEDSSDVKEDMDADPSGKDVVDDGKLSERTISDELPQEPAKLEQDVTQTTAIGSGATPVDNGTESAAANPKELSNPDSEKKDGVKQSAKVANGASAETSERVDGSPAMVKSKRGRPPGLKSLEKKAAGKKVLGLKKVEETTDSTGKLSKQSSKDDSKSSTRKASGAGSSKKQQKFSLKQKDETDSKEDTAKDLSLKEMVSPKSMSKGSAKTKGSQGQDNNGSKRKRSQEDEQETPRSRKNKGLDASLVGARIQVWWPDDKKFYKGIVDSFDTASKRHKIAYDDGDVEVLLLRDEKWEFVSEEQDKTPDVASEISPKPRGRGRKGRGSSVQLKEGNAETPKSGGGDLPKKRGRPKGSSNGTPKSNISATSSKSKGKAARKDDNETPKVGSDLKKEAEEGSEDKATKSTEKTKDDLPEDGSNKSASKPKEASSGGKDLKGESKPSEGRAKPGRKPKVAAAAVAGEESKANVSAEKEKQKEAEGEAAAEVEQGGSAGGASTGGKKRRRKA >ONIVA02G26670.1 pep chromosome:AWHD00000000:2:23940922:23942298:-1 gene:ONIVA02G26670 transcript:ONIVA02G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKRGRVRLNVGGRVFETTASTLASAGRDTMLGAMIDASWNHGGGGDGDGEGGADEYFIDRDPECFAVLLDLLRTGGLHVPPHVADGVLCREALYYGLLDRVRAARWGPFDGDRLRLAASVAGSAAGDGTAVRAAPDGGCCVAHGGAVRVYNWVMEERRAVHLDHAPVNDAAYLDEATLLVAARERPGTVRRDGGVAAFSALTGDLRHRFRVAHDRHVRSYTPGALAFDSRCKVFASCKGRFNEYGIGVWDCTTGEQADFFYEPPGCALGDADKLQWLDGTSTLMAATMFPRTDSSFISLLDFRDKKNVAWSWSDVGTPASLEDKHVLHAIAMEDGRSLCVINQYDDLGFLDVRSSGGAGGVRWRSRSKLAARKKKAAPRGEETCYPKLAAHGGQLFASTNDTISVFSGPDHVLTSTLRGSDAGAICDFSIGGDRLFALHNEENVVDVWETSPPPII >ONIVA02G26660.1 pep chromosome:AWHD00000000:2:23912088:23914045:-1 gene:ONIVA02G26660 transcript:ONIVA02G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATADWAWWLGLVAGAVPLLALAVWHCTDAFHSAAFAFRRRGTRARLPPGHMGLPFVGETLALIWPRGGATATATAMTTAASNGRTCSARPRCSSARRRPTGSFGVGWPVPELVGASSLVNVHGGRHARLRRFVLGAINRPGSLRTIARVAQPRVAAALRSWAAKGTITAATEMKNVTFENICKIFVSMEPSPLTEKIHGWFTGRYHWTCQEQRSTTLEREELERRKVKMVTGEGGDDDGDLMSGLMHVEDEQGRRLDDDEVVDNIVSLVIAGYESTASAIMWATYHLAKSPSALAKLRSQMALTHEQIDPISGTLLSDEHSYI >ONIVA02G26660.2 pep chromosome:AWHD00000000:2:23912088:23914045:-1 gene:ONIVA02G26660 transcript:ONIVA02G26660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATADWAWWLGLVAGAVPLLALAVWHCTDAFHSAAFAFRRRGTRARLPPGHMGLPFVGETLALIWPRGGATATATAMTTAASNGRTCSARPRCSSARRRPTGSFGVGWPVPELVGASSLVNVHGGRHARLRRFVLGAINRPGSLRTIARVAQPRVAAALRSWAAKGTITAATEMKNVTFENICKIFVSMEPSPLTEKIHGWFTGLVAGFRSLPLDMPGTALHHARKCRRKLNSVFREELERRKVKMVTGEGGDDDGDLMSGLMHVEDEQGRRLDDDEVVDNIVSLVIAGYESTASAIMWATYHLAKSPSALAKLRSQMALTHEQIDPISGTLLSDEHSYI >ONIVA02G26660.3 pep chromosome:AWHD00000000:2:23912088:23914045:-1 gene:ONIVA02G26660 transcript:ONIVA02G26660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATADWAWWLGLVAGAVPLLALAVWHCTDAFHSAAFAFRRRGTRARLPPGHMGLPFVGETLALIWPRGGATATATAMTTAASNGRTCSARPRCSSARRRPTGSFGVGWPVPELVGASSLVNVHGGRHARLRRFVLGAINRPGSLRTIARVAQPRVAAALRSWAAKGTITAATEMKNVTFENICKIFVSMEPSPLTEKIHGWFTGLVAGFRSLPLDMPGTALHHARKCRRKLNSVFREELERRKVKMVTGEGGDDDGDLMSGLMHVEDEQGRRLDDDEVVDNIVSLVIAGYESTASAIMWATYHLAKSPSALAKLRIDPISGTLLSDEHSYI >ONIVA02G26650.1 pep chromosome:AWHD00000000:2:23900271:23905997:-1 gene:ONIVA02G26650 transcript:ONIVA02G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQADQAVISLRPGGGGGIGGPRAGRLFPFGASTGSLDFLRPRGGASSGFAAKLGDLRFEPLERVRYTRDQLVELHEIIDIPENILKLKQDIDIELHGEDEPWINNDSSVQTQSYNRYAETDNRDWRSRIEQPVQTPAIGGEEKSWDKFREAKESYISSGKQDQFNNQDKLSSQFSAKAQVGPAPALVKAEVPWSIQRGNLSNKERVLKTVKGILNKLTPEKFDLLKGQLIEAGITTADILKDVISLIFEKAVLEPTFCPMYAQLCFDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGADNLRSEVNKLTGLNQEMERRDKERLVKLRTLGNIRLVGELLKQKMVPEKIVHHIVQELLGSESNTCPAEENVEAICQFFNTIGKQLDENPKSRRFNDVYFNRLKDLTTNSQLASRLRFMARDVLDLRSNQWVPRREEMKAKKISEIHREAENNLGLRPGSTASIRTGRTGTGGGGPLSPGAFSMNQPGIVGMLPGMPGARKMPGMPGLGSDDWEVPRSRSKPRADPVRNLTPSLANKPSPNNSRLLPQGSAALISGKTSALVGSGGPLSHGLVVTPSQTTGPPKSLIPAPSVDPIVEQPAAAPKPSSTELQKKTISLLKEYFHILLLDEAQQCIEELKSPDYYPEVVKEAINLALDKGTNSIDPLLRLLEHLYNKNVFKATDLETGCLLYSSLLDELAIDLPKAPVHFGEVIGRLVLSHCLSIEVVEDTLKKIEDSFFRAAVFEAMMKIMKANPSGQAILGSHVAKIDACSKLLSSE >ONIVA02G26640.1 pep chromosome:AWHD00000000:2:23894800:23896656:-1 gene:ONIVA02G26640 transcript:ONIVA02G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0G9S0] MAPSALPAIHGCTPGWLAGRHTIILMQPSQNRASRTFMDYNSINHALDGLCGLYERKIRDINPMVPNITYDITDLYNFIDGLADISALVYDHSIQAFLPYDRQWIKQKLFQHLKKLAQR >ONIVA02G26630.1 pep chromosome:AWHD00000000:2:23890645:23895505:1 gene:ONIVA02G26630 transcript:ONIVA02G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G19520) TAIR;Acc:AT1G19520] MRALISLSKLTRRLLPSLAVARAPLPPLRRCLHAEPPPPPHHAPPPVASRILQSELTPGAGADADAEQEQQPAPDPLLDEFLARFVAALRPTLAAAFPTHARHVLDEMLRLVAEAVLCRLTGVEPEDGGAVELSDDLSAAVWEVSAAVRDGMQRDRVRAELRGYLHCEEVKEMTRFASDVGIRGEMLRELRFKWAREKLEEVEFYRGLDDMRAQAEAAANPVALPPPRLAALPQRKGEIKFKIHGLDLSDPTWGEVVERAAEAEAHFVPQEAKPIEGKAKKAEEKLMAVDPRKGDPAPAMEEWKEELLPKRADWMALLERLKARNVELYLKVAEILLADESFGATIRDYSKLIDLHSKANHVEDAERILGKMKENGIAPDVVTSITLVHMYCKVGNLEQANQAFQFLKGEGFPPDMKLFTSMIRAHLKSGEPKQAENLLREMERSIKPTKELFMDVILAFAQRGMIDGAERVKTSMLLAGFQLTPELYTSLIEAYGRGGHVGQAYTLFEQMRSSGHEPDDRCVAGMMVAYMMKNQLDHALSFLLKLEKDGLKPGVKTNLVLLDWLSRLQLVQEAEQVVQKIRKAGEEPLEMHVFLADMYAKSQQEERTRKSLKILEEKKKLLKAYHFERIIAGLLEGGFTEEANKYFKMMKSHGFVPSPTIEIGVKASFGVRGGVHPTGRHRG >ONIVA02G26620.1 pep chromosome:AWHD00000000:2:23886128:23889370:-1 gene:ONIVA02G26620 transcript:ONIVA02G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSTVCLPLSPQPPSTAAGAGGELAGEQPAAAAVTTAAVAVSASAEAEAAGEAESASGGDGAPVLPVECRWSGRVRSFAGAGEGAGAAAVPACPAPRRGGGKKPSSAPSPSSTVATAPAHPSGRPFEEYVKEWKAKKAALGVPAGRCELPFLTGAPKAQKIPQISLLKASNALNMAVWSVSKRCFFGVVDGVQLQHIQNVHHGQ >ONIVA02G26610.1 pep chromosome:AWHD00000000:2:23880482:23886115:-1 gene:ONIVA02G26610 transcript:ONIVA02G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEVLSVGGIPLIGSFKMRKYFADYLFHMLMKTSRLIQPSGISLQLSANHHTLHVYLIKKKRPDSRAEAGCTNCSADSTCKDDCECRGLYMSCSKNCHCSDMCTNKPFRKDKKIKAVKTKRCGWGAISLEPLEKGDFIIEYVGEVINDATCEQRLWDMKRRGDKNFYMCEISKDFTIDATFKGNTQVDGETRVGVFASRSIQVGEHLTYDYRFVHFGEKVKCYCGAQNCQGYLGNQIKNPTQRALAIAALENEWLESLKIQQDTSASRHKPMTHLLPWTNCIEVPFNLRSKRKINRICWGCKRRRSAVAVTSPTSIQASVTEAAAAAAASLPALP >ONIVA02G26610.2 pep chromosome:AWHD00000000:2:23880482:23886115:-1 gene:ONIVA02G26610 transcript:ONIVA02G26610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEVLSVGGIPLIGSFKMRKYFADYLFHMLMKTSRLIQPSGISLQLSANHHTLHVYLIKKKRPDSRAEAGCTNCSADSTCKDDCECRGLYMSCSKNCHCSDMCTNKPFRKDKKIKAVKTKRCGWGAISLEPLEKVINDATCEQRLWDMKRRGDKNFYMCEISKDFTIDATFKGNTQVDGETRVGVFASRSIQVGEHLTYDYRFVHFGEKVKCYCGAQNCQGYLGNQIKNPTQRALAIAALENEWLESLKIQQDTSASRHKPMTHLLPWTNCIEVPFNLRSKRKINRICWGCKRRRSAVAVTSPTSIQASVTEAAAAAAASLPALP >ONIVA02G26610.3 pep chromosome:AWHD00000000:2:23880482:23886115:-1 gene:ONIVA02G26610 transcript:ONIVA02G26610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEVLSVGGIPLIGSFKMRKYFADYLFHMLMKTSRLIQPSGISLQLSANHHTLRLSDAKKRPDSRAEAGCTNCSADSTCKDDCECRGLYMSCSKNCHCSDMCTNKPFRKDKKIKAVKTKRCGWGAISLEPLEKGDFIIEYVGEVINDATCEQRLWDMKRRGDKNFYMCEISKDFTIDATFKGNTQVDGETRVGVFASRSIQVGEHLTYDYRFVHFGEKVKCYCGAQNCQGYLGNQIKNPTQRALAIAALENEWLESLKIQQDTSASRHKPMTHLLPWTNCIEVPFNLRSKRKINRICWGCKRRRSAVAVTSPTSIQASVTEAAAAAAASLPALP >ONIVA02G26600.1 pep chromosome:AWHD00000000:2:23876481:23881150:1 gene:ONIVA02G26600 transcript:ONIVA02G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:A0A0E0G9R4] MAVLSVADSPPVSAIGFEGYEKRLEITFSEAPVFADPNGRGLRALSRAQIDSVLDLARCTIVSELSNEVFDSYVLSESSLFVYPYKIVIKTCGTTKLLLAIPRILELAEELSLPLEAVKYSRGTFIFPEAQPSPHKNFSEEVAVLNRYFGGLKSGGNAYVIGDPAKPGQKWHVYYATQHPEQPVVTLEMCMTGLDKKKASVFFKTSADGHTTCAKEMTKLSGISDIIPEMEVCDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGFNPASLAYGDLVKRVLRCFGPSEFSVAVTIFGGRNHAGTWAKGLDVGAYSCSNMVEQELPSGGLLIYQSFTATAEIATGSPRSVLHCFADENTEKAGKMEALYWEDDAVEEIDGTEGKKMRSC >ONIVA02G26590.1 pep chromosome:AWHD00000000:2:23863222:23873549:-1 gene:ONIVA02G26590 transcript:ONIVA02G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSWKFVGFKCFECQRGRYTGASEKFVKYYRSLYHGEWQQGTAVAPMAEEAITHATFGRCYYSGRVVFRTQACLMAFASEAFRMVLIPGCRFLPTKNRMSWGMDREIRRGLVRLIGRRSGGDSGEEDETTTELKDKQDNGFNTWATVLLVVHPDWQDRTRGSLQGGAVGGFRPLPARVPPRRGLRSVHGGHPRTRYSSSPSQRRWRFG >ONIVA02G26590.2 pep chromosome:AWHD00000000:2:23863222:23863802:-1 gene:ONIVA02G26590 transcript:ONIVA02G26590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAITHATFGRCYYSGRVVFRTQACLMAFASEAFRMVLIPGCRFLPTKNRMSWGMDREIRRGLVRLIGRRSGGDSGEEDETTTELKDKQDNGFNTWATVLLVVHPDWQDRTRGSLQGGAVGGFRPLPARVPPRRGLRSVHGGHPRTRYSSSPSQRRWRFG >ONIVA02G26580.1 pep chromosome:AWHD00000000:2:23846891:23848187:-1 gene:ONIVA02G26580 transcript:ONIVA02G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSGILFDAIVALAVSAGFPHAYPVSSYSDNHAASLIPQADYVWRIIVMFGTVPAALTYYWRMKMPETAWYTALIARNTKQAVADMSKVLHTQIEESVGHAETVRRWSSAARPEASSRASSCAATASTSSPPPAHPAAAVQPDGQWYLRAGEAKSLQVRRSVRDGGKRGGVRVAAPLAHVKLPLRRRTAAVAALDADLPAEAVRHFSKVLDACRGGLPYPFATACLVGRAEVFRSFGKAADAIADCNRALALDPPCAPDGERERKRKRGRGKGDGRE >ONIVA02G26570.1 pep chromosome:AWHD00000000:2:23842310:23844728:1 gene:ONIVA02G26570 transcript:ONIVA02G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding [Source:Projected from Arabidopsis thaliana (AT3G08010) TAIR;Acc:AT3G08010] MTTATAIVAGHGLALRRSLHLSKPSCATFSARALPPAAHCCRTVVAAAAPSSRTCRCRSVSSESSTAAAADTADDEEEETKSDSEEEEMDPLAEVCYLDPEADTEGIREWELDFCSRPILDARGKKVWELVVCDATLSLQFTRFFPNTSINSVTLRDALASVATSLGVPLPDRARFFRSQMQTIISRACNELGVKAVPSRRCVSLLLWLEERYETVYSRHPGFQSGTKPLLTLDNPFPTSLPENLFGDKWAFVQLPFSAVREEVESLERRYAFGAGLDLDLLGFELDENTLIPGVAVESSRAKPLAAWMNGLEICSMEVDTGRANLILSAGVSTRYVYAGYQKSAATTQEAEAWEAAKKACGGLHFLAIQENLNSDGCVGFWLLLDLPPPPV >ONIVA02G26560.1 pep chromosome:AWHD00000000:2:23839816:23842212:-1 gene:ONIVA02G26560 transcript:ONIVA02G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEERKTRGGRRRLLESIERGEEKEAVVGSKNGVLSACVPLGVGYSSSSICCCFLFVSVPLHGACVLGSVHVQASVKVLRDATWTVQYKQPGHTFYRRTHVQSFLAFASTDASEGKRSSGDNVVMVDPLEAKRLAAQQMQQIQAREKLKRRRRAEAINGALAMIGLTVGLVLEGQTGKGILAQLAGYLTALSSLFGQ >ONIVA02G26560.2 pep chromosome:AWHD00000000:2:23839816:23842212:-1 gene:ONIVA02G26560 transcript:ONIVA02G26560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEERKTRGGRRRLLESIERGEEKEAVVGSKNGVLSACVPLGVGYSSSSICCCFLFVSVPLHGACVLGSVHASEGKRSSGDNVVMVDPLEAKRLAAQQMQQIQAREKLKRRRRAEAINGALAMIGLTVGLVLEGQTGKGILAQLAGYLTALSSLFGQ >ONIVA02G26550.1 pep chromosome:AWHD00000000:2:23833536:23837448:-1 gene:ONIVA02G26550 transcript:ONIVA02G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVTAREIEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAEDAIRDLDGKNGWRVELSTKAGSGRGRDRSGGSDMKCYECGEPGHFARECRLRIGSGGLGSGRRRSRSRSRSPRYRGRSRSRSPRYRRSPSYGRRSYSPRDRSPKRRSYSRSPPPARARSYSRSPPPPRAALFACCGCRNIRGLHMLLDVSTASGCAVVTVLRHFPGSQLFWSSSHILLQHSLYHASRHHCHDHMRYMCTTPTPGTGTPIRRFTWLCAKAVYASTEVTWLHYAAAAIKLPWHLQQKRLQ >ONIVA02G26540.1 pep chromosome:AWHD00000000:2:23830791:23833179:1 gene:ONIVA02G26540 transcript:ONIVA02G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEDKAMVGVGGAVAAGYSSSSWGLGTRACDSCGGEAARLYCRADGAFLCARCDARAHGAGSRHARVWLCEVCEHAPAAVTCRADAAALCAACDADIHSANPLARRHERLPVAPFFGPLADAPQPFPFSQAAADAAAAREEDADDDRSNEAEAASWLLPEPDDNSHEDSAAAADAFFADTGAYLGVDLDFARSMDGIKAIGVPVAPPELDLTAGSLFYPEHSMAHSLSSSEVAIVPDALSAGAAAPPMVVVVASKGKEREARLMRYREKRKNRRFDKTIRYASRKAYAETRPRIKGRFAKRTADADDDDEAPCSPAFSALAASDGVVPSF >ONIVA02G26530.1 pep chromosome:AWHD00000000:2:23822120:23826106:-1 gene:ONIVA02G26530 transcript:ONIVA02G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAPSIDEAKAKSVLRQVEFYFSDSNLPRDKFLRETVEQREDGLVSLALICSFARMKSHLGLDAAVKPETVPEETVLAVAEVLRRSQMLRISEDGKMVGRASELLKADEIIKQVDSRTVAASPLPYNVKLEDVQSFFAQYAKVNSVRLPRHISNKKHFCGTALVEFSEDDEAKIVLENNLFFAGANLEIKLKKEFDAETESKKEAYEKAHPKKDEQNEGYPKGLIVAFKLKRITVDGGVQQNSADNDTATEEETPKSMKKTSTGESEERTTVNSDMEEQKSSDDMTEAKEVNAGEATESGDKCTVDALLESEKKGDNETSIKDDRGLSGKANSPISREDLKEAFKKFGTVRYVDFSIGDESGYLRFEDSKAAEKARMSAVLADEGGLIVKDHIVTLEPVTGEAEKDYWNTIRGIQGKYKDNRSYKGRTGKSYRGGKQFNGKRGRHSDSSEKGANKTQKVEAAA >ONIVA02G26520.1 pep chromosome:AWHD00000000:2:23811244:23821389:1 gene:ONIVA02G26520 transcript:ONIVA02G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLAFLLLLLLSYGAGSIRCEATLNSTADLLSLMDFKKHITEDPTQASPSASGPARRHPGRVTALNLFKLSLSGTISSSLGNLTFLKALNFSSNHFSGKLPPLNHLHRLKVLDLRHNSLRDTIPEGLANCSRLRVLDLSSNSLVGEIPTKLGLLTNLSSLCLSNNSFTGTIPPTLGNITGLNYLSLQINHLEGSIPHELGKLSDLLSLNIFMNNISGRLPHELFNLSSLQTLWLSDNMLGKEALPPNISDVLPNLQFLSLARNMFEGHIPTSLINASGLWLIDLTNNNFYGQVPSYLSELANLSDLYLAGNHLEASDNEKWLHAFANYTLLQALNLARNQIKGDIPSSIGNLSTNLQYLNLGVNHFVGVVPPSIGNLHGLTSLWLSKNNLIGTIEEWVGKLRNLELLYLQENNFTGSIPSSIGDLTNLIQFSLGKNSLDGQIPANLGNLRQLDRFNFSYNNLHGSIPYNVGKLRNLMVMEAGLACCAKANHRLHLHHSKEATLVAFLLLLSYGVGSVHCSTTVCRSWEARTPVCWWAGVRCGRKKRPGRVVDQLDLQYLFLSGTISPFLGNLMFLEELDLSLRGILRYFPLLVLRHGVLVLILRALRRLLPQVLLLHDAVRRLPGVALAVPELPSSCCKGQLSCCKCQSSCCEGEPSCCCCCCCSGGGKGSPAYCCGRSSRLGGATPAPSSGSGGSAGATVDSAEDGKRCDGGGREEMGRRNLSRNNLTGRIEESIGIWKANYLEFLHLQQNNFVGPIHPLLAIFHTCHCYLWQRTALKVTYQLVSEVFNSYGT >ONIVA02G26510.1 pep chromosome:AWHD00000000:2:23795118:23796887:1 gene:ONIVA02G26510 transcript:ONIVA02G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVSGLAMLMITRHHGHRDVEAAQIDRARWVDGSDTNRGTKKNLRLPPPTPQSLPYRPLSSLTSPPLGSLSSQASLTSSSSAKLLLIDPTQRFPSPRVSGPSWDCSPPQRAALAQHQETAGGVSLFTAIAFIFIPMMQTLKHINLFDQARKGQLIAIRNSAHVLSI >ONIVA02G26500.1 pep chromosome:AWHD00000000:2:23787360:23794614:1 gene:ONIVA02G26500 transcript:ONIVA02G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPMKGRATMSCSAGVMCASLDADTLVTLTMLRATARVNRMEPPPLPPPTSRAGSRSRRRHRRHRLPPRARGETSSPPPSSRFGWRLRPDSRAGVTSAALRTVAGLDLGPPRRCRPRPPPSAPSPAATAAVLRARGRRRREPPPPPLPASAQSTSMPASG >ONIVA02G26500.2 pep chromosome:AWHD00000000:2:23788385:23794394:1 gene:ONIVA02G26500 transcript:ONIVA02G26500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLLWLAKCSQPLPTSGPTTTPSPILPLSLLSTHHWSIGAPSTSERGKREEPPPLPPPTSRAGSRSRRRHRRHRLPPRARGETSSPPPSSRFGWRLRPDSRAGVTSAALRTVAGLDLGPPRRCRPRPPPSAPSPAATAAVLRARGRRRREPPPPPLPASAQSTSMPASG >ONIVA02G26500.3 pep chromosome:AWHD00000000:2:23787360:23788218:1 gene:ONIVA02G26500 transcript:ONIVA02G26500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRMGNPLTAVFLAVMVMSSTLPSCYAADEGTCYDVMFCRGDVCKLRCRYLGYPDNAPCYCKSKPDGSAQCCCERSSL >ONIVA02G26490.1 pep chromosome:AWHD00000000:2:23784025:23785913:1 gene:ONIVA02G26490 transcript:ONIVA02G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYIIGSVAASFAFAYVCEIYIAEGKLLGGTTTRTMATDEWGKETDKKFQAWPRTAGPPVVMNPVRRQNFIVKSSE >ONIVA02G26480.1 pep chromosome:AWHD00000000:2:23779486:23780675:-1 gene:ONIVA02G26480 transcript:ONIVA02G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTWYDDQFQVISRNGDLPHKIFVEQEYVRCPGYVLVHGKSFPRPIITLWYHKFTTPETDRDKARSTAAHCVHFLFHRQYIFTLKRIM >ONIVA02G26470.1 pep chromosome:AWHD00000000:2:23774379:23777720:-1 gene:ONIVA02G26470 transcript:ONIVA02G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTSSSSNSQNPNPFNLPPWLRSLRCPFTFLCPPPPPPPPPPPPPPPPPPLEVVSPSPRWRRPGLRVTTEYDSEEAMFAHKVSCKVAGGLAKLRLSFQSDPSGQGLGEEGDPRRQLFVAPVVGLIAKNFSVLYDVEARNALLSGQGSLPGGAIQLRGSHDVKERQGEVSVITRLGDPSYKLELSSLVPYNGLPRATLHFPIGQVSVEERRNEADEKMLSLYGIAKSDFLDGILTAQYSENDINLRYCYKDNELTLIPSVSLPSNAVSLGFKRRFGPSDKLSYRYDFTTDDWNAVYKRTVGKDFKVKAGYDSEVRVGWASVWVGQEDGKAKTAPMKTKLQLMLQVPQDNFRNPTFLFRVKKRWDL >ONIVA02G26460.1 pep chromosome:AWHD00000000:2:23772700:23773911:-1 gene:ONIVA02G26460 transcript:ONIVA02G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVHPLLVAFLVVLLVGTCQARPAPGKAASSSSSGVVDGITAIYNFGDSISDTGNYLREGAAAGAMMEHTVAPPYGAAIGGATGRCSDGYLMIDYLAKDLGLPLLNPYLDKGADFTHGVNFAVTGATALDAAALARIGVAAPHTNSSLSVQLQWFRDFMSATTKSPAEVRDKLASSLVIVGEIGGNDYNYAFAANRPRPGGRSAADVGRMVTGVVESVVLVPEVVRSVVGAAREVLEMGATRVVIPGNFPLGCAPSYLAAVDETERAAYDGNGCLVGLNLFAQMHNVLLQQGIRELRRSYPEATVAYADYFGAYVRMLERAREMGFDGTALTNACCGAGGGKYNFEMERMCGAGGTAVCARPEERISWDGVHLTQRAYSVMAELLYHKGFASPAPVKFPHQ >ONIVA02G26450.1 pep chromosome:AWHD00000000:2:23770216:23773811:1 gene:ONIVA02G26450 transcript:ONIVA02G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELDGCRGREALVVQKLGHDAVRALRQVDAIPADPLLGPRAHRRPARAAHPLHLEVVLAAARAAARVGQRRAVEPHLARALQHPHVRAEVVGVRDRGLRVRPPQLPDPLLQQHVVHLREQVETHEAPVPVVRRALRLVHRRQVARRATQREVARDHHPRRAHLEHLPRRAHHGPHHLRDEHHRLHHAGHHAPDVRGAAPSRPRPVRGEGVVVVVPADLAHYHQRARQLVAHLGGRLGGGAHEVPEPLELDAQGAVGVRRGDADPREGRRVEGGGAGDGEVDTVGEVGALVEVRVEQRQPEVLGEVVDHEVPVGAPPRGAADGRAVGRRHGVLHHGAGGRSFSEVVAGVRDGVPEVVDGRDAVHHSR >ONIVA02G26440.1 pep chromosome:AWHD00000000:2:23765315:23770120:1 gene:ONIVA02G26440 transcript:ONIVA02G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASPHHLRPSPPAPACRAGGVPARAAAALRPWCPRVRRAVAAASSGGGGGEAVTAVSAAAVGAPASAARDTECRVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKAMFTIVVSGTDRVLNQVIEQLNKLVNVLNVEDLSKEPQVERELMLIKINVEPDQRPEVMVLVDIFRAKVVDISENTLTIEVTGDPGKIVAVQRNLSKFGIKEICRTGKIALRREKIGATARFWGFSAASYPDLIEALPKNSLLTSVNKTVNGSFDQPSNAGGDVYPVEPYEGSSMNQVLDAHWGVLDDEDSSGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKSGLSRITTVAPGTDESIEKLVQQLYKLVDVHEVQDITHLPFAERELMLIKVSVNTAARRDILDIAEIFRAKSVDVSDHTVTLQLTGDLDKMVALQRLLEPYGICEIICANTVTVLTHIVSKTHSPHVARTGRVALVRESGVDSKYLRGYSFSL >ONIVA02G26430.1 pep chromosome:AWHD00000000:2:23756496:23761204:-1 gene:ONIVA02G26430 transcript:ONIVA02G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKLKRSISRQLSSGAARVWRQLSLEPVYTPRRAGAVGGGGGGGGGGGGGGARPTRFGLARQSSLDPTPREGGGAAEDGSGAAAMLAVPENLDATMRLLFAACQGDVAGVEELLRDGVDVDSIDLDGRTAMHIAACEGQGEVVRLLLSWKANMNARDRWGSTPAADAKHYGHFEVYNLLRARGAKTPKQKKTPMTVSNPKEVPEYELNPLELEFRRGEEGHYVARWYGSKVFVKILDKDSFSDANSINEFKHELTLLEKARHPNLVQFVGAVTQNVPMMIVSEYHQKGDLASYLETKGRLQPYKAIRFSLDIARGLNYLHECKPEPIIHGNLSTKSIVRDDEGKLKVAGFGSRSLIKVSEDNPQMDQTTSKFNSVYTAPEMYRNGTFDRSVDVFAFGLILYEMIEGTHAFHPKPPEEAAKMICLEGMRPPFKNKPKYYPDDLRELIQECWDPTPSVRPTFEEIIVRLNKISTSFTKQTRWRDTFKLPWYTALRALKL >ONIVA02G26420.1 pep chromosome:AWHD00000000:2:23746617:23753538:-1 gene:ONIVA02G26420 transcript:ONIVA02G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVIRRRKYLLDHVNAPTLSLSPFSTFQHGRSGSEDESRIGQRFLEQSSGDSKWEQGQYGVKLIKGDLLALGNGLLRRPAHGISLPAYGIGRKEFGLPMGARHLLQSVRTASTATAGQPKLDIEDEQSEDQKQNKRKKEASPEECDQAVEGLSSAKAKAKAKQVQESVKAGQSIVRKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKNLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGEIKQTAEDLDEFLNKVRRGEHVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDHYLRFMLRKKLQDIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKQEKEEKAKLKEPKAAEEDLALKEMTGPTAREEEELREAKQHDKEKLCNFSRALAVLASASSVSKERQEFLSLVNKEIELYNSMLEKEGTEGEEEAKKAYMAAREESDKAAEVDEEEKVSSALIEKVDAMLQKLEKEIDDVDAQIGNRWQILDRDLDGKVTPEEVASAAAYLKDTIGKEGVQELVSNLSKDKDGKIRVEDIVKLASQTDENNEDEEEGRQ >ONIVA02G26400.1 pep chromosome:AWHD00000000:2:23735800:23737721:-1 gene:ONIVA02G26400 transcript:ONIVA02G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRFPLCFVLLHTKNNSIREKVSCVLPLCHAILPRDPSSFVLTVKHGSDAWFMPGFMDSSLKNTNNMQFQRGDSSCRTPFIFFTMVRSTKKVDKVMEEMKPIIILSLLGGVSDDSAASYSTNWTLKQVIGETHTRVIPPQLLPKDQKIIFQKPSQHCYS >ONIVA02G26400.2 pep chromosome:AWHD00000000:2:23735800:23737721:-1 gene:ONIVA02G26400 transcript:ONIVA02G26400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRFPLCFVLLHTKNNSIREKVSCVLPLCHAILPRDPSSFVLTVKHGSDAWFMPGFMDSSLKNTNNMQVDKVMEEMKPIIILSLLGGVSDDSAASYSTNWTLKQVIGETHTRVIPPQLLPKDQKIIFQKPSQHCYS >ONIVA02G26400.3 pep chromosome:AWHD00000000:2:23735800:23737721:-1 gene:ONIVA02G26400 transcript:ONIVA02G26400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILRFPLCFVLLHTKNNSIREKVSCVLPLCHAILPRDPSSFVLTVKHGSDAWFMPGFMDSSLKNTNNMQVHHFLFISIFFVSTKKVDKVMEEMKPIIILSLLGGVSDDSAASYSTNWTLKQVIGETHTRVIPPQLLPKDQKIIFQKPSQHCYS >ONIVA02G26390.1 pep chromosome:AWHD00000000:2:23729216:23737235:1 gene:ONIVA02G26390 transcript:ONIVA02G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPLHLLFLPSYSTPSTSRVSILLCLQSTQRKPAPPSPRARRRRRRPQARRRLRPPPLPSRPPPRPRRAPP >ONIVA02G26390.2 pep chromosome:AWHD00000000:2:23729216:23737235:1 gene:ONIVA02G26390 transcript:ONIVA02G26390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPLHLLFLPSYSTPSTSRVSILLCLQSTQRKPAPPSPRARRRRRRPQARRRLRPPPLPSRPPPRPRRAPP >ONIVA02G26380.1 pep chromosome:AWHD00000000:2:23725472:23726267:1 gene:ONIVA02G26380 transcript:ONIVA02G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQSFVRFDGRYCVYRATPALGCGIAAAMFVLSGQAAVTAASGCFGRCRARRGEESSAPTPGEQRRRSVAAWLSVISCIRTRARGGDDHCRRRCRVLVATAVAMFLYGASRNAAVRRGLAAAALGRRGRRGRRRGGGRGRNVYGCAVLGSGLFSAASVASLAASACGIAAYVYVEADGESLTLTPTTPPPRPGGFAGAPGAATGGQPYFQPQVAYPATGYVANPAAAPPPPPYGGGGGGDYAGYVAKSREGTA >ONIVA02G26370.1 pep chromosome:AWHD00000000:2:23719947:23720144:-1 gene:ONIVA02G26370 transcript:ONIVA02G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMELGGDGLGTRRWQWTWPLLFSRATTASPASSSRRSPLTGALRVGAHRIWLWVTIAASWGMA >ONIVA02G26360.1 pep chromosome:AWHD00000000:2:23708405:23713715:-1 gene:ONIVA02G26360 transcript:ONIVA02G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSKEATPPPEAWWTGETVAVVTGANRGIGHALAARLAEQGLAVVLTARDGARGEAAAAALRARGLRSVRFRRLDVSDPASVAAFASWLRDELGGLDILVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLIEALLPLFRRSAANSRILNISSQLGLLNKVRDPSLRSMLLDEASLTEGKIERMASRFLAEVKDGTWSAPGRGWPAVWTDYAVSKLALNAYSRVLAARLARGGDRVAVNCFCPGFTRTDMTRGWGTRTAEEAGRVAAGLALLPPGDLPTGKFFKWCTPQLYSKL >ONIVA02G26350.1 pep chromosome:AWHD00000000:2:23696419:23705153:-1 gene:ONIVA02G26350 transcript:ONIVA02G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVPLFSSVLLALVVAVPADFDVGGRLLGVGLCSVRGDPNEHYDPHGDLYLRPFLQLDSVHQFESKFCHGCIDQYQTSINIEVELDLDALKSTSFFGVYDGHGGAEVAMYCAKRFHVMLREEESFLNNLPYAITSVCSRLDDELEAPNVWRASLYPHRSSESSSESSDCFQFLSTGSCANVWRSSEAVSYKLPSYEGSTACVVIIRGNQITVGNVGDSRCVLSKNGQAIDLSTDHKPNVPLERQRILRVGGQVWREKFPAKDSGGEIREQWGPYCIEGKLSTSRALAGIFLTTISGDFAYKNIVYRPQYQMVTHFPDIRVAKITGDTEFLVIASDGIWDHMSSQDVVDFVHEKLNSRRQELCQSLINQGKKRECFTEDSQLATNKNIAPNTTTLGEETLHTTCEKLVENWLESRNNATAILVQFKPGADQPIPALPNIQEGSDEVAGGADQPIPVLPNIQQVSDEVAGGTGQPIPVLPDIQEGSDEVAGGAAVAEQHQHNPEGGGEQQLDLDDALDGEALALLSASIRRPAARSLSGMAVARCSGGKPGEEGGCAVAEDEGVELADDDDGERGVGGGDGGSESFRTPARHPPAVAFAIGVGSHGIMVICWIGGGANEHPQPHGDLRFVSLSESKSCDGCICQQFSNVEAY >ONIVA02G26350.2 pep chromosome:AWHD00000000:2:23683463:23696416:-1 gene:ONIVA02G26350 transcript:ONIVA02G26350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRELIELDLDALRNTSFFGVYDGDGGAEVAMYCAKRFHAMLCEDENYLNNLPNAITSVCSRLDDDLQRSNEWKESLYPRGNGECFQFLKTGVCANLWHSEELGFMLPQQAYRAPLYEGSTACVVIIRGNQITVGNVGDSRCVVSHNGQAIDLSIDHKPTVGSERERILRAGGRVLVKRIPVMGSDGRLMRGWGYFELKKNQNIPASQQMVTCDPEFTIVDITADTEFLVIATDGIWGHMSSQDVVDFIRKELHSGEENLRAICEKLLDHCLTSRDNVTVILVRFKPGAAVIPILSDIDEEPVLSDVEEEPHEPQQNPGDGGGGGGGQQDIGGESEELPLAHFPQEYSNSSAPLPMFDSFSGGGTPPIDTDTFLRAIGALPPLAPPPEAPLAPAPPDSPHQTALPLFHPFSGGATPQMVDTDTFLRAIGALPPLAPPPAAPLAPAPPDSPRTPHTYGSLLPVYGDLPPLTGAVVQEPLPLPEGGDHPEPPKKKIKVAPLLPERADQPVVTSNSATTTRPQLCAPYDDEIEATLRAMETNPAERPSPYFLETTQGGRMTALVRASMIAFMDEFSQFHELADGTLQRAAYFLDRYLSVTPESDDALQLRLVGATAVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSIMETEMLAALGFNLGGPTAYTFVEHFTRYYGDGKKEKLLKEAAHRFADGSLLTYGFHRYLPSVVAASAIFLARLDVLGHEPWSQDLAELTGYKAIDLMGCPYVADLLADDITASMVELLSGDGGAAQMDVGVLDAYLRAIGALPAHPAAPGADLAAAAEVESMASNYDTNGVLYDWDTKVDVKVPCALLPPPPGFPPLPVPGLADEPVYAAPARRLPPPPGFPPLPVPAKAEPVYAAPVDEGDAIRAFMQQLEWSEQYNGDNDAPAPDNSTASRPQLCAPYDDDIDANLRDMEKDAAQRPSPDYLDTVQGGQISAAARASLVAWMGRLTHRYELAAGTLHRAVSYFDRFLSVRALPCHVADRSLESYGCLGYLPSVVAAAVISIARWTLNPPGALPWSSELHELTGYSSQHISSCVLTVLNTQ >ONIVA02G26340.1 pep chromosome:AWHD00000000:2:23675246:23680535:-1 gene:ONIVA02G26340 transcript:ONIVA02G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLGAPPPVLPSGSAAPTNTPATAAAEEEEEESLLEGHKAAREWAQVHGQHEGRTWAVGSGAAATLGQSNGRDAPARAEDGSFGLVLLLFVPHLLLHARLWLLSPTFASQPQQFSQVTSAAESRRVELDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDVDYINNLPNAITSDYVPPSYEGSTACVVIIRGNQIIVGNVGDSRCVLSKNGQAISLSFDHKPHHEAEHERIQRAGGHVFLRRILGMLATSRAIGDFAYKQNRNMPPSQQMVTCVPDIRVENITDDTEFLVIASDGVWDGMRNNNVVQFVRQELRPGEENLRETCEKLVGHCLHSNDNATAILVKFKPIEEDPDEVASARDEHQHNPEGGDEKLDINNDND >ONIVA02G26330.1 pep chromosome:AWHD00000000:2:23668061:23674369:1 gene:ONIVA02G26330 transcript:ONIVA02G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nicotinamidase 1 [Source:Projected from Arabidopsis thaliana (AT2G22570) TAIR;Acc:AT2G22570] MGSDASSAADAMGVLRAAVPLQPEADLVLATGGGERGQVVGLVLVDVSNGFCTVGAGNLAPVTPNKQIEKMVDEAARLAKVFCERNWPVFAFLDTHYPDKPEPPFPPHCIIGSGEENFVPALEWLEKDPNVTIRRKDCIDGYLGAFEKDGSNVFSDWVAKFQIKTVLVLGICTDFCVLDFASSALAARNIGRVPPLEDVVIYSEGCATYNLPVEVARSMQGTLAHPQDLMHHMGLYMAKSRGAKVVDRIII >ONIVA02G26330.2 pep chromosome:AWHD00000000:2:23668061:23674372:1 gene:ONIVA02G26330 transcript:ONIVA02G26330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nicotinamidase 1 [Source:Projected from Arabidopsis thaliana (AT2G22570) TAIR;Acc:AT2G22570] MGSDASSAADAMGVLRAAVPLQPEADLVLATGGGERGQVVGLVLVDVSNGFCTVGAGNLAPVTPNKQIEKMVDEAARLAKVFCERNWPVFAFLDTHYPDKPEPPFPPHCIIGSGEENFVPALEWLEKDPNVTIRRKDCIDGYLGAFEKDGSNVFSDWVAKFQIKTVLVLGICTDFCVLDFASSALAARNIGRVPPLEDVVIYSEGCATYNLPVEVARSMQGTLAHPQDLMHHMGLYMAKSRGAKVVDRIII >ONIVA02G26330.3 pep chromosome:AWHD00000000:2:23668837:23674372:1 gene:ONIVA02G26330 transcript:ONIVA02G26330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:nicotinamidase 1 [Source:Projected from Arabidopsis thaliana (AT2G22570) TAIR;Acc:AT2G22570] MGSDASSAADAMGVLRAAVPLQPEADLVLATGGGERGQVVGLVLVDVSNGFCTVGAGNLAPVTPNKQIEKMVDEAARLAKVFCERNWPVFAFLDTHYPDKPEPPFPPHCIIGSGEENFVPALEWLEKDPNVTIRRKDCIDGYLGAFEKDGSNVFSDWVAKFQIKTVLVLGICTDFCVLDFASSALAARNIGRVPPLEDVVIYSEGCATYNLPVEVARSMQGTLAHPQDLMHHMGLYMAKSRGAKVVDRIII >ONIVA02G26320.1 pep chromosome:AWHD00000000:2:23667169:23667478:-1 gene:ONIVA02G26320 transcript:ONIVA02G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVFKIFEICLFVLAKQTHSVPRLVSVLWQFLRLREGCSENLVCTKFDLNVLVKREIKFGIT >ONIVA02G26310.1 pep chromosome:AWHD00000000:2:23665472:23665957:-1 gene:ONIVA02G26310 transcript:ONIVA02G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDQQAELRRVFELFDRDGDGRITREELTESLERLGMPVHREELAATIARIDANGDGCVDMDEFTQLYETVMRVDGGGGGACDVDEASMREAFDVFDRNGDGFITVDELGAVLASLGIKQGRTAEDCGRMIGQVDRDGDGRVDFLEFKQMMRGGAFATLR >ONIVA02G26300.1 pep chromosome:AWHD00000000:2:23655401:23664684:-1 gene:ONIVA02G26300 transcript:ONIVA02G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56120) TAIR;Acc:AT3G56120] MEKLDESKFEQRLQLWALRIPCELASTVTRLLRSGYLLDKPRVKPVVEDPESDKNRLVVLSEKIQNPDLSDIEEKVYDSLKQICNIDVVPYSLTLGYSYWSAGHVAHLNIPDDLLPYKDVIAKVIYDKNYPRIQTVVNKVGTITNEFRVPQFEILAGKNDMVTEVKQYGATFKLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCIVYANDLNPDSVRYLRTNAQINKVDDYIFTYNMDARVFMQNLLEVPCSENKSDSQVAANCSSEDIDPANESSTSNGNHSDVQESCHKDINDSSMISTATKRRQESSNGDCFSGLVQKKYWTGPLPWIHCYCFIRSSESEELILSEAQNKLNAKIAEPIFHRVRDVAPNKAMFCLSFQLPSECLKDETEDHIQSVDFLESENWRSSSTAQPCGRNCGEGVSTATVGAGC >ONIVA02G26300.2 pep chromosome:AWHD00000000:2:23656734:23664684:-1 gene:ONIVA02G26300 transcript:ONIVA02G26300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56120) TAIR;Acc:AT3G56120] MEKLDESKFEQRLQLWALRIPCELASTVTRLLRSGYLLDKPRVKPVVEDPESDKNRLVVLSEKIQNPDLSDIEEKVYDSLKQICNIDVVPYSLTLGYSYWSAGHVAHLNIPDDLLPYKDVIAKVIYDKNYPRIQTVVNKVGTITNEFRVPQFEILAGKNDMVTEVKQYGATFKLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCIVYANDLNPDSVRYLRTNAQINKVDDYIFTYNMDARVFMQNLLEVPCSENKSDSQVAANCSSEDIDPANESSTSNGNHSDVQESCHKDINDSSMISTATKRRQESSNGDCFSGLVQKKYWTGPLPWIHCYCFIRSSESEELILSEAQNKLNAKIAEPIFHRVRDVAPNKAMFCLSFQLPSECLKDETEDHIQSVDC >ONIVA02G26300.3 pep chromosome:AWHD00000000:2:23656734:23664684:-1 gene:ONIVA02G26300 transcript:ONIVA02G26300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56120) TAIR;Acc:AT3G56120] MEKLDESKFEQRLQLWALRIPCELASTVTRLLRSGYLLDKPRVKPVVEDPESDKNRLVVLSEKIQNPDLSDIEEKVYDSLKQICNIDVVPYSLTLGYSYWSAGHVAHLNIPDDLLPYKDVIAKVIYDVRISSRVTCSIPDSSFLSTLNMIKAHVHEHEAYLQFVSECKTKNYPRIQTVVNKVGTITNEFRVPQFEILAGKNDMVTEVKQYGATFKLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCIVYANDLNPDSVRYLRTNAQINKVDDYIFTYNMDARVFMQNLLEVPCSENKSDSQVAANCSSEDIDPANESSTSNGNHSDVQESCHKDINDSSMISTATKRRQESSNGDCFSGLVQKKYWTGPLPWIHCYCFIRSSESEELILSEAQNKLNAKIAEPIFHRVRDVAPNKAMFCLSFQLPSECLKDETEDHIQSVDC >ONIVA02G26290.1 pep chromosome:AWHD00000000:2:23654991:23655910:1 gene:ONIVA02G26290 transcript:ONIVA02G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDACESAAAAVVCCADEAALCAACDVEVHAANKLAGKHQRLPLEALSARLPRCDVCQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFASASPCDGGSDAHDSDHHAPPMGSSEHHHHHQQPAPTVAVDTPSPQFLPQGWALQKESSPPLGFQELEWFADIDLFHNQAPKGGAAAGRTTAEVPELFASQAANDVAYYRPPTRTAAAAFTAATGFRQSKKGRVELPDDEEDYLIVPDLG >ONIVA02G26280.1 pep chromosome:AWHD00000000:2:23638176:23641833:-1 gene:ONIVA02G26280 transcript:ONIVA02G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor eIF2A family protein [Source:Projected from Arabidopsis thaliana (AT1G73180) TAIR;Acc:AT1G73180] MASQPPALDILVREPDGFSVWSGPPYPTGSAPPVRLPKTACSATYFSSDGSRLLATVASASATVYDCRTLSVVRSFELPGLLAAALSPAGTFLQTFQKSSSPQEKNVTVWHVDTATALYQHYQKNMSKATWPMVQFSADESVACRMMTNEIQFFDPKDFTKGFVYKLRMPGIAAMQLASAPGSHVAGFVPEAKGVPASVQIFSCDKDAQGQAVARRSFFRCSTVQFHWNKGSTGLLVVAQADVDKTNQSYYGETKLNYLTTDRAFEGIVPLIMPARATIFNKKCNPLLELGEGPYNTIRWNPKGRWFGNLPGDMAFWDYSEKKLVGKTKAECSVTSEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLQFKKMFEKLYQADWKPEAPEKFSDIADLTISLGSIKIEETKKQAQGSKSAQPSSKAPANIAAKPTAYRPPHSKNSADVQDKLFGGLASTGGEMSKNALRNKKRREKQKEKKAAEGSGASADDN >ONIVA02G26270.1 pep chromosome:AWHD00000000:2:23634006:23640126:1 gene:ONIVA02G26270 transcript:ONIVA02G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFTCKPNSGLIVDRPVAGLGRTGRLLSHPQYSLTTHSVRFPKLQKQVYPRLVLVAASQKKLPPLCALSGKVNPEAENDPFMESLKKAMDDAKKPRPIQDLLKEQIAKLREQGSGGGGGNRNRRGGSGDSGGPEDESFKESLDELVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWRNFSESFMQSEGSQEDQYERAATSKPTWWQQPQKFVHLMEELCRGNWRPHAQES >ONIVA02G26260.1 pep chromosome:AWHD00000000:2:23631926:23632830:-1 gene:ONIVA02G26260 transcript:ONIVA02G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSLLAVVLAMVAAVSASTAAAQSCGCASDQCCSKWGFCGTGSDYCGTGCQAGPCDVPATNDVSVASIVTPEFFAALVAQADDGCAAKGFYTRDAFLTAAGGYPSFGRTGSVDDSKREIAAFFAHANHETIKFCYIEEIDGPSKNYCDETSTQWPCMAGKGYYGRGPLQISWNFNYGPAGQSIGFDGLGDPDAVARSPVLAFQTALWYWTNNVHDAFVSGQGFGATIRAINGALECDGKNPTAVSNRVAYYQQFCQQFGVDPGSNLTC >ONIVA02G26250.1 pep chromosome:AWHD00000000:2:23627086:23627355:-1 gene:ONIVA02G26250 transcript:ONIVA02G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVDLAGDLSRSVAYAAASWLWTNAGAARRPPSSAAGRSCLAASTVAAHCSAATANSKQRKTKTDADVVVVRAAADSIALHAPKQCN >ONIVA02G26240.1 pep chromosome:AWHD00000000:2:23618086:23623616:1 gene:ONIVA02G26240 transcript:ONIVA02G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARCVGVCLAVFFFFLRHRRPPNQPTNQPRLEPSKTLAKGRGSAGGGDGAWGRRCAMDAIRKQLDQLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDMGPCPKLHSLQLRKEYEEAKAKGMDNYDRELEETIERLISECERKIQRALKRLEEDDAKAAIAISVTEVTQSKEVIEFSKQIKEKMKEIDAFDLEGNTEGKIRATEEVDKLKEQRAEEQAKHLLEAFNKDRVSLMTSLQTANQSIPPPPVAAAPDARTQEMIDEKLKKAEELGENGMIDEAQKLLDEAEALKKLGARPQPVPDSAKMSTHVQITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHMGYMLIREKLSELQEEKNKRRKIDRAEHERRSRDRSLERDRASSKDRHRGDRGSSRDRDRDYDRRRSHDRYHDRESRSDKDRESGRSHSYDSRGYRRSRSPRDRVTTVGIDARHMVEGFSMNRIVWCFNSEMYKLSVTDESYVKETLSKVGSTHQ >ONIVA02G26230.1 pep chromosome:AWHD00000000:2:23617070:23618044:-1 gene:ONIVA02G26230 transcript:ONIVA02G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMDYRRTATRPMSPLMTCSFLPPLLVGLLLSPPPRGADATRSPPARSLTLQRCAAPYRRPRTRGWAGRAYRSCSRRRLL >ONIVA02G26220.1 pep chromosome:AWHD00000000:2:23609900:23617042:-1 gene:ONIVA02G26220 transcript:ONIVA02G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLECTVGKDGLNFQCNLCDSDVVHSMAEILLRGLATASVDSTTGDIFKSPSSVAVGMKSELAEYLIQRSMTLVREAVDGGEDHSEQLIKASTMPTEFLSDLIDGFVASKRNLLSHVSGFLSSETRLNKIKDFIQKLEMENFWAPDVREATAGTILKSIDMKCIIHCPERFDTQDKLAEHRNLCRFRIVNCKNDGCLASFSANHIEKHDSVCPFKVLPCEQLCEQHVMRCEMDRHCASVCPMKLINCPFYQVGCESAFPQCVLDKHCSERLQIHLMYILELTTRHDAFVNDMNQRLHLLEKAQSLNELSGALDNRTLTLTAKEQEAKIKKLEQDLKVQETKLKKLESEFKSGKEQCKTANVTLEKLADAARAREVVMAGDLKRLCSPQEMTA >ONIVA02G26220.2 pep chromosome:AWHD00000000:2:23610763:23617042:-1 gene:ONIVA02G26220 transcript:ONIVA02G26220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLECTVGKDGLNFQCNLCDSDVVHSMAEILLRGLATASVDSTTGDIFKSPSSVAVGMKSELAEYLIQRSMTLVREAVDGGEDHSEQLIKASTMPTEFLSDLIDGFVASKRNLLSHVSGFLSSETRLNKIKDFIQKLEMENFWAPDVREATAGTILKSIDMKCIIHCPERFDTQDKLAEHRNLCRFRIVNCKNDGCLASFSANHIEKHDSVCPFKVLPCEQLCEQHVMRCEMDRHCASVCPMKLINCPFYQVGCESAFPQCVLDKHCSERLQIHLMYILELTTRHDAFVNDMNQRLHLLEKAQSLNELSGALDNRTLTLTAKEQEAKIKKLEQDLKVQETKLKKLESEFKSGKEQCKTANVTLEKLADAARAR >ONIVA02G26210.1 pep chromosome:AWHD00000000:2:23609327:23615122:1 gene:ONIVA02G26210 transcript:ONIVA02G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLSSWMRGFLLPSLWEAEVAVSAAALLVAALLLLFLDQAVQSSTKSPASSSSPPPSPTTTAAASCRRDGGCGGCGCRRRRAKGKPAAAELGGISKVALPDGSPHSRGRTSYVIKLELLSAKYLIGANLNGSSDPYAVISCGEQRRFSSMVPSSRNPLWGEEFNFLVRELPVEVTITMYDWDTVCKCKVIGSVTVAVLGEDETGATWFDLDSKSGQICLRFSSAKVFPTSERLFTKVILVLWRGLSCTMGVCTSPYGICASTPMWSWNTPFMQSKWYKFTSFWNRNRTFRALENALQSYRATLEAEKQVRMHSLQQRRSSDVICSKTDDLKTAERSIEQAKAFQPFINEHVLVDATSKTFPGTSEKFFSIILGDNSMFFQQYRHGRKDTDLKLSKWYPSDEYGGKIREVMFRSLCHSPLCPPDTAVTEWQRASFSKNKTNLIYETKHQAHDVPFGSYFEVASKNNLQFNMSRVNMKKWCILQSKIKSGATDEYRREVCKILEAASDYVLKEESNNQASHEIEAISLT >ONIVA02G26200.1 pep chromosome:AWHD00000000:2:23603489:23604836:-1 gene:ONIVA02G26200 transcript:ONIVA02G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNGDAAAKKQPWYRRALGGLIPSARRAAIAAPPPPAAAVAGAVERRPRWWWGSSLKTTSAAFAREVCICAPLCSYDGIMGIQVDAVAPTMMARSSAAISPSPPRRASPARSPTAGTAGGGGRRSPASPRTASSPRSSRARAHPAPVSVAAPAPAAAAVEGPRKRVSFSGGESLWNDELVRRFVRAQEGMPRRGEIDMANRHRRRRWRAPGKSRLRRMSLAHVADDDEDGETNALA >ONIVA02G26190.1 pep chromosome:AWHD00000000:2:23577831:23601220:1 gene:ONIVA02G26190 transcript:ONIVA02G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPRATPPARAYVQTFFQPPPLALPLTSVETSPDFLLLLITRTQRSQVPAMFGPYSGGGGVPLPQMDADTYVRTIAAMPPHPLAPPPDSPRTPHTYVGFLPLFGDLPPLTGAVLQEPVPVPPEQRADQPVAVATENSAPTRPQLCAPYDDEVEATLRAMETNPAERPSPYFLETTQGGRMSALVRASMIAFMGEFSRKNKLADGTLQRAAYFLDRYLSVTPESDDALQLRLVGATAVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSIMETEMLAALGFNLGGPTAYTFVEHFTRYYGDGEEEKQLKEAAHRVADETLLTYGFHRYLPSMVAASSIFLARLHELGHEPWSNDLAELTGYKAIDLMGCVCDIYSQIACPRFALLQDTLISLQLWPNSGFVEHFTRYNSRGEEELRVQRLERDIADQSLMNYGCPRATCRPWRRRPAASIFIARCSLNRPDRSGLWSTELQELTGTAPRTSLTASILAIEHDHDARDTGELVAALAGLGEDDEHLRGAGRVGSGEVGTAEAPHIIESDDDSLFEKNMVDTIGARLRRPHGRIFLPLNRNSTHRYVAVLNGAKEKIQILDSMRMEKSYYDKDKDLNNTHWNGKELSPEFNEMDTTTTFKHKVAANLINSTMNEVIEVQQDIKRLVTEANTMGDLILDPYMEDAILDHSCLAELLADQTALPLFHPFSGGATPQMVDTDTFLRAIGALPPLAPPPAAPLAPAPPDSPRTPHTYGSLLPVYGDLPPLSGAVLQEPLPLPEGSDHPVSPKKTIEVASLLQERADQPVVTSNSATTTRPQLCAPYDDDIEATLRAMETNPVERPSPYFLETTQGGRMTALLRLVAETTVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSCMETEFLAALDYNLGGPTAYTFVEHFTRYYGKGKEEKLMREAAHWFADGSLLTYGFHRYLPSMVAASAIFLARLHVRGHEPWRKDLAELTGFWYSDVSTYGPTADTFVEHFTRYKCTTAGERKSYGCMQRLERDVADQSLMNYVRLPGATIPAVHGGGGRRASISVARCSLNRHDAMVWSTELQELTGYSFEDLTTSARSIARALPLLAMLAAASRTTMLGIPDIGQLVAALTGLGEEDEHIRDAGRVGERGRRPACTHDAMHDAIMDHPCLAELLADQTSLPMFHPFSGGGTPQMVDTDTFLRAIGALPPLAPPPAAPLAPAPPPDSPRTPHTYGSFLPIYGDLSPLSVAVVQETLPLPEGGDHPVPPKKTIDVAPLLPEHADQPVVTNNLATTRPQLCAPYDDDIEATLRAMETNPAERPSPYFLETTQGGRMTALVRASMIAFMDEFSRFHELADGTLQRAAYFLDRYLSVTPESDDVLQLRLVGATAVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSCMETEILAALDYNLSGPTAYTFVQHFTRYYGDGKKEKLLKEAAHRFTDGSLLTYGFHRYLPSVVAASAIFLARLHVLGHEPWSRDLAELTGYEAIDLMGCVCDMYSQIACPRFALFQDLPHCSGERARAAMDSIMEPYVADLLADDITASMVELLSGDGGAAQMDVGVLDAYLRAIGALPAHPAAPGADLAAAAEVESMASNYDTNGVLYDWDTKVDVKVPCALLPPPPGFPPLPVPGLADEPVYAAPARRLPPPPGFPPLPVPAKAEPVYAAPVDEGDAIRAFMQQLEWSEQYNGDNDAPAPDNSTASRPQLCAPYDDDIDANLRDMEKDAAQRPSPDYLDTVHNGQISAASRASLVAWMGRLTHRYELAAGTLHRAVSYFDRFLSARALPSYTAHQLSLVAATAVYTAAKYEDQGTVFKLGAREIASYGEFASAQEMMAALGYRLGGPNVETFVEHFTRYSKGKEELRVQRLACHVADRSLESYGCLGYLPSMVAAAAISIARWTLNPPGALPWSSELQELTGHSSQDISSCILTVLNTQ >ONIVA02G26180.1 pep chromosome:AWHD00000000:2:23572678:23573751:1 gene:ONIVA02G26180 transcript:ONIVA02G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIGRSSPRGHGGSGSLLRKRCRSEMEAVRGLLKKAEALVRKAAAGAAARRPLPRRVKDKEAMTMAQKEQLVGLLSSLPAGILPSHVADFMRRRCSWRAVPGVDGDDDELEIDLGSTEDAVLFELRKMLDDEAAVRRTSPRGLEDGEVADEYMDICGGVSPLPAAARKPPPLALSSPPAAAEQEDDLIDIFGGDSPLPAHEDLLDVSPLVKPEADEFVDIDGDTIDKSPGNPSSTTTGSSSGNASGSSSTSASSSGSDSDSDGGGVGDTASSNTNTANHLPVVVVEAVATKPLEPQPPQVAEQAYKMGEKLTRSRREAAPAPAPAGRMSELIARAQAERLRRDAERKRAREEQPR >ONIVA02G26170.1 pep chromosome:AWHD00000000:2:23566703:23572302:1 gene:ONIVA02G26170 transcript:ONIVA02G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVVECSVCRSRLVVPSPRSVSRAYDKHRSKISSKFRALNVLLVVGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVTKVVFAIVMLIIQSRKQKVGEKPLLARSTFIQAARNNALLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMKRRFSVIQWEALALLLIGISINQLRTVPAGNTAFGLPVTAIAYIYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPESFNILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKAKDDKPAELLELEDTQNHRSSESSFVNMTAGAAEDVV >ONIVA02G26160.1 pep chromosome:AWHD00000000:2:23563199:23565895:-1 gene:ONIVA02G26160 transcript:ONIVA02G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVDFKHFRWAGMMLRNNVALCQVTVTSTANVCVYSDENKVDGKLFIIDEGIFEMLREPPPPRDNGPAVGVKVKFDWSWCMLPSPPFHNVISHGVHPDQRTMVFSMTKYSMKKRTGLLATFSFDLESSRWTQHADNDDGQPPACKLSKERLFCVDTAEKHIGATLVHVGGDRSMVCLVQYLSIDNHQGDIWKEFLPQRIRYLLRITTFSPKYDKHEDLRIAKCHHIGSYQLPEIATVYDDHLKSPMAFWILSLTNKFHEQLRRQKSKGMFGLPPKVGTTEPEATTVILVRACQRAPIPISADDKAEQMTGYVSIGLLSSHNVV >ONIVA02G26150.1 pep chromosome:AWHD00000000:2:23554910:23557585:1 gene:ONIVA02G26150 transcript:ONIVA02G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVVQKNRREWKQDRKRQAHGDPKTGKLKQRTAPVSVSGKRQRKLLRRLSREQKEAVMAKALENNMGDVEMVSAEGSSEASKDKSQLKFNVKKNSRVQIKRLKGKGRKKAKNAKPPTKDKVDAMVE >ONIVA02G26140.1 pep chromosome:AWHD00000000:2:23552715:23554622:-1 gene:ONIVA02G26140 transcript:ONIVA02G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPLVTVLLFAGSCLGSAPPTTSPAASAASTATRTVVVDGITAIYKPRRLAVGHRNLARQGATGGLLRYTTRLPYGVTVGRATGRCSDGYLIIDFLARDLGLPLLNPYHDEGADFAHGVNFAVAGATALNTTALAARRITVPHTNSPSDLRWFKEFMNSTTSSPQEIREKLSKSLVMLGEIGGNDYNYAFLQTWPMDGGYSLGNVTRMIESVATAVDLVPEVVQSIASAAKEVLDMGATRVVIPGNLPLGCVPSYMSAVNATDRAAYDARGCLVALNLFAALHNAWLRRAVGELRRAYRGAAVVAYADYSAEYAATLDGAAALGFDERRVFRACCGAGAGGKGGGGAYGFDVRAMCGAPGTAACADPGRYVSWDGVHLTQRAYGVMAELLFRRGLLHPPPINFTNSARA >ONIVA02G26130.1 pep chromosome:AWHD00000000:2:23543692:23549400:-1 gene:ONIVA02G26130 transcript:ONIVA02G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-enyl diphosphate synthase [Source:Projected from Arabidopsis thaliana (AT5G60600) TAIR;Acc:AT5G60600] MATGVAPAPLPHVRVRDGGIGFTRSVDFAKILSVPATLRVGSSRGRVLVAKSSSTGSDTMELEPSSEGSPLLVPRQKYCESIYETRRRKTRTVMVGNVPLGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADFVRITVQGRKEADACFEIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEQLEYTEDDYQKELEHIEKVFSPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCRRLANLGTHAADLQIGVAPFEEKHRRYFDFQRRSGQLPLQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYRSLAAKLVVGMPFKDLATVDSILLRELPPVEDAQARLALKRLVDISMGVLTPLSEQLTKPLPHAIALVNVDELSSGAHKLLPEGTRLAVTLSGDESYEQLDLLKGVDDITMLLHSVPYGEEKTGRVHAARRLFEYLETNGLNFPVIHHIEFPKSVNRDDLVIGAGANVGALLVDGLGDGVLLEAADQEFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEVSAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATDALIQLIKDHGRWVDPPVEE >ONIVA02G26120.1 pep chromosome:AWHD00000000:2:23542082:23543270:1 gene:ONIVA02G26120 transcript:ONIVA02G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVHPLLVAFLALLLVGTCQARPAPGKAASSSLSSAAKGAVVDGITDIYNFGDSISGTGNFLALMEHTVAPPYMHNVLLQQGIRELRRSYPEATIAYADYSGAYVRMLEGARDTGFDGVALTKACCGGKYNFEMERISWDGVAST >ONIVA02G26110.1 pep chromosome:AWHD00000000:2:23533373:23542036:1 gene:ONIVA02G26110 transcript:ONIVA02G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFLPVGGGGGGGVEPSSSSTTPQRGEAEAAGLRFGGGDISLGPHGGGGGGGGHGHQLQDGSVDLLARHSSSPAGFFSNLMASNGFPGSKGGGGSGAEAHHHHHHPSMAGSGSGSSSGGRKMKSQLSFTAGPPHLSHIAEDGAFPDRAGAEASVPRTFSAGGSSGGGGFSIVGPWEESRDIISTLGGYESQFGGMASTSALEMAGMDRYLQLQHDQVPFKVRAKRGCATHPRSIAERERRTRISEKLRKLQELVPNMDKQTSTADMLDLAVEHIKGLQSQLQALKHEQEKCTCCSRP >ONIVA02G26100.1 pep chromosome:AWHD00000000:2:23508280:23510139:-1 gene:ONIVA02G26100 transcript:ONIVA02G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT1G65380) TAIR;Acc:AT1G65380] MPNASPLHHLASLLLLALALAPRPAAPAATDRAALLAFRASLPPPSRAALASWRGPLSESWRGVSLHPPAAAGAPAPAPPPSVSGLALRGLNLSGQLPAAPLALLRRVRALDLSANALSGELPCSLPRSLLDLDLSRNALSGAVPTCFPASLPALRALNLSANALRFPLSPRLSFPASLAALDLSRNALTGAVPPRVVADPDASGLLLLDLSHNRFSGEIPVGITAIRSLQGLFLADNQLSGEIPTGIGNLTYLQALDLSRNRLSGVVPAGLAGCFQLLYLRLGGNHLSGALRPELDALDSLKVLDLSNNRISGEIPLPLAGCRSLEVVNLSGNKITGELSGAVAKWQSLRFLSLAGNQLSGQLPDWMFSFPTLQWIDLSGNRFVGFIPDGGFNVSAVLNGGGSGQGSPSEAVLPPQLFVSVSTDMAGRQLELGYDLQAATGIDLSRNELRGEIPDGLVAMKGLEYLNLSCNYLDGQIPSGIGGMGKLRTLDFSHNELSGVVPPEIAAMTELEVLNLSYNSLSGPLPTTDGLQKFPGALAGNPGICSGEGCSAHSRMPEGKMAGSNRHGWLGGWHGENGWVSLGAFCISTMTSFYVSLATLLCSRKARNFVFRPGRMEY >ONIVA02G26090.1 pep chromosome:AWHD00000000:2:23505020:23506363:-1 gene:ONIVA02G26090 transcript:ONIVA02G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMPPSLPPLPLPPQLSLADLKALSVLGRGARGVVFHVVPAGGTAAAVSATADEDPMALKAISRAAARHKCAEVAGGPGGDGHRRIWFERDVLLALRHPLLPSLRGVVATDSVVGFAIDRCAGGDLNALRRRQAGRVFSVAAIRFYAAELVLALEHLHGLGVVYRDLKPENVLIQDSGHIMLVDFDLSTTLPPPPPPPPPDTAPPPQTARSRGGRRDSTKAAAAVFGCFSSRRAAASRPSPSSSSSSRSPPSTSRTASSSSSSTRCSSAAAKSNSFVGTEDYVAPEIVAGSGHDHAVDWWGLGVVLYEMLYGRTPFRGRSRRETFHRVLAARPDMPGEPTPLRDLIGLLLEKDPGRRLGAHGVKRHAFFRGVDWDRVLHVARPPFIPTPDDDDAGAAAEALDVEKVLHEAFAASTAAAAGETAAVETAAPEAGSDRGRDEDFSVFF >ONIVA02G26080.1 pep chromosome:AWHD00000000:2:23501494:23503010:1 gene:ONIVA02G26080 transcript:ONIVA02G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGGGGGLVVMPGRSGLSIPSLPCGADPGAANFFERVQRQRGNRSINLCIQFSPFCVQGLEAVVLAIASCFLAAHGRLRPMLVHRQVPRFSVLLGEVKWICRLVAGNIDLNLIHINYMLGAC >ONIVA02G26070.1 pep chromosome:AWHD00000000:2:23493583:23497662:1 gene:ONIVA02G26070 transcript:ONIVA02G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLFTKKANIKGSVPFFLGLFLLFVRWPVAGIIMELYGSFVLFSGYGPPIQAFLYQIPVIGWILQYPFQLFGQFRRKRA >ONIVA02G26060.1 pep chromosome:AWHD00000000:2:23477104:23486820:1 gene:ONIVA02G26060 transcript:ONIVA02G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKRGRTRRATQAEAEVEQSEREMVGDEAVEEEDDDDVTKMNPPPATAGEREEEEEEGIEGLLEPFTRNELLDLLVEACLRNPALRSRLAATAESDAAHRRLFVHGLGPGVTAAAMAAAFAPFGALDECHAVADRATGRCRGYGFVTFRRRSAARRALAADASSRLAVGGRPVACQLASLGPTSPDRKLFVDNVPARAAHDELRRLFSRFGEIEAGPLGADRATGQFRGYAIFFYKYPEGLTKALEERKVVFDGCELHCRRAHRVNKEKHLMTMPADAGAQSNGFENAASPIIHVQPKELALASTTQTPLGSNRPVEMMAKGPRSGTVPFRQNAGAGLLGACPVATVTSSTPDQSTPVSHSGASISTPRTAQRLAKSAQLVKQGIVIVPWTALSHWYNTRMVVVVLDEL >ONIVA02G26060.2 pep chromosome:AWHD00000000:2:23477104:23488437:1 gene:ONIVA02G26060 transcript:ONIVA02G26060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKRGRTRRATQAEAEVEQSEREMVGDEAVEEEDDDDVTKMNPPPATAGEREEEEEEGIEGLLEPFTRNELLDLLVEACLRNPALRSRLAATAESDAAHRRLFVHGLGPGVTAAAMAAAFAPFGALDECHAVADRATGRCRGYGFVTFRRRSAARRALAADASSRLAVGGRPVACQLASLGPTSPDRKLFVDNVPARAAHDELRRLFSRFGEIEAGPLGADRATGQFRGYAIFFYKYPEGLTKALEERKVVFDGCELHCRRAHRVNKEKHLMTMPADAGAQSNGFENAASPIIHVQPKELALASTTQTPLGSNRPVEMMAKGPRSGTVPFRQNAGAGLLGACPVATVTSSTPDQSTPVSHSGASISTPRTAQRLAKSGRAHILQG >ONIVA02G26050.1 pep chromosome:AWHD00000000:2:23475529:23476464:-1 gene:ONIVA02G26050 transcript:ONIVA02G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Isy1-like splicing (InterPro:IPR009360); H /.../7 Blast hits to 965 proteins in 236 species: Archae - 12; Bacteria - 13; Metazoa - 351; Fungi - 230; Plants - 49; Viruses - 9; Other Eukaryotes - 483 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G18790) TAIR;Acc:AT3G18790] MARNEEKAQSMLNRFITMKQEEKRKPRERRPYLASECRDLADAERWRSEILREIGAKVAEIQNEGLGEHRLRDLNDEINKLLRERGHWERRIVELGGRDHSRSSNAPLMTDLDGNIVAIPNPSGRGPGYRYFGAAKKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGMLERLEAVAEKRMRNEVITEWHRVERVRREAMKGVVSGEVASAGGRGGEAAREVLFEEVEEEVEEERRLEEEKREREKGEEAGKEFIAHVPLPDEKEIERMVLERKKKELLSKYTSDALQVEQEEAKEMLNVRR >ONIVA02G26040.1 pep chromosome:AWHD00000000:2:23461761:23476501:1 gene:ONIVA02G26040 transcript:ONIVA02G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSHGGYRGYEVAREREHDVGVSRRSKEHYHHRHPSRHRDSERRRDGGRSGGRELSNGYSHRRDSPRPPPRRRPSEGRTEDREPGEVSGGSGSERSGERPMKTREPRENGVTRVSKEEAKMSPSKKRKQSPVIWDRNGSQRQARDPVRGIREVDAVVAEIIMHQSHSLPVMSSLSSIGDGHSPMILDVSVDKVQEYEKNRIVDEEEEGYPTMRNILTSRWADAGDEEENVFVPKKKKSVSPVDSIERGSTKKVTSPESGEVLVYNSVRSSSRSSDSGVLQGSANRDLEVEKGDNIDVEKAADDDYPAGHLLDSDFEGEDCRSETPECTRSPRRCINMLQGCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKVKMEKEREGFPLTSLREMNILLSFHHPSIVEVKEVVVGSNDRDIFMVMEYMEHDLKGVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKDYSTAIDMWSLGCIMGELLSKGPLFNGKSEIDQLDKIFRTLGTPDENIWPGYSKLPGATVKFGKQTHNRLRDKFRAVSFTGGPMLSEAGFDLLNRLLTYDPEKRISAEDALNHEWFRELPLPRSKDFMPTFPALNEQDRRFKKHMKSPDPLEEQWMKEQGNNGDRGLFG >ONIVA02G26040.2 pep chromosome:AWHD00000000:2:23461761:23476501:1 gene:ONIVA02G26040 transcript:ONIVA02G26040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSHGGYRGYEVAREREHDVGVSRRSKEHYHHRHPSRHRDSERRRDGGRSGGRELSNGYSHRRDSPRPPPRRRPSEGRTEDREPGEVSGGSGSERSGERPMKTREPRENGVTRVSKEEAKMSPSKKRKQSPVIWDRNGSQRQARDPVRGIREVDAVVAEIIMHQSHSLPVMSSLSSIGDGHSPMILDVSVDKVQEYEKNRIVDEEEEGYPTMRNILTSRWADAGDEEENVFVPKKKKSVSPVDSIERGSTKKVTSPESGEVLVYNSVRSSSRSSDSGVLQGSANRDLEVEKGDNIDVEKAADDDYPAGHLLDSDFEGEDCRSETPECTRSPRRCINMLQGCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKVKMEKEREGFPLTSLREMNILLSFHHPSIVEVKEVVVGSNDRDIFMVMEYMEHDLKGVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKDYSTAIDMWSLGCIMGELLSKGPLFNGKSEIDQLDKIFRTLGTPDENIWPGYSKLPGATVKFGKQTHNRLRDKFRAVSFTGGPMLSEAGFDLLNRLLTYDPEKRISAEDALNHEWFRELPLPRSKDFMPTFPALNEQDRRFKKHMKSPDPLEEQWMKEQGNNGDRGLFG >ONIVA02G26040.3 pep chromosome:AWHD00000000:2:23461761:23476182:1 gene:ONIVA02G26040 transcript:ONIVA02G26040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSHGGYRGYEVAREREHDVGVSRRSKEHYHHRHPSRHRDSERRRDGGRSGGRELSNGYSHRRDSPRPPPRRRPSEGRTEDREPGEVSGGSGSERSGERPMKTREPRENGVTRVSKEEAKMSPSKKRKQSPVIWDRNGSQRQARDPVRGIREVDAVVAEIIMHQSHSLPVMSSLSSIGDGHSPMILDVSVDKVQEYEKNRIVDEEEEGYPTMRNILTSRWADAGDEEENVFVPKKKKSVSPVDSIERGSTKKVTSPESGEVLVYNSVRSSSRSSDSGVLQGSANRDLEVEKGDNIDVEKAADDDYPAGHLLDSDFEGEDCRSETPECTRSPRRCINMLQGCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKVKMEKEREGFPLTSLREMNILLSFHHPSIVEVKEVVVGSNDRDIFMVMEYMEHDLKGVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKDYSTAIDMWSLGCIMGELLSKGPLFNGKSEIDQLDKIFRTLGTPDENIWPGYSKLPGATVKFGKQTHNRLRDKFRAVSFTGGPMLSEAGFDLLNRLLTYDPEKRISAEDALNHEWFRELPLPRSKDFMPTFPALNEQDRRFKKHMKSPDPLEEQWMKEQGNNGDRGLFG >ONIVA02G26040.4 pep chromosome:AWHD00000000:2:23461761:23476501:1 gene:ONIVA02G26040 transcript:ONIVA02G26040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSHGGYRGYEVAREREHDVGVSRRSKEHYHHRHPSRHRDSERRRDGGRSGGRELSNGYSHRRDSPRPPPRRRPSEGRTEDREPGEVSGGSGSERSGERPMKTREPRENGVTRVSKEEAKMSPSKKRKQSPVIWDRNGSQRQARDPVRGIREVDAVVAEIIMHQSHSLPVMSSLSSIGDGHSPMILDVSVDKVQEYEKNRIVDEEEEGYPTMRNILTSRWADAGDEEENVFVPKKKKSVSPVDSIERGSTKKVTSPESGEVLVYNSVRSSSRSSDSGVLQGSANRDLEVEKGDNIDVEKAADDDYPAGHLLDSDFEGEDCRSETPECTRSPRRCINMLQGCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKVKMEKEREGFPLTSLREMNILLSFHHPSIVEVKEVVVGSNDRDIFMVMEYMEHDLKGVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKDYSTAIDMWSLGCIMGELLSKGPLFNGKSEIDQLDKIFRTLGTPDENIWPGYSKLPGATVKFGKQTHNRLRDKFRAVSFTGGPMLSEAGFDLLNRLLTYDPEKRISAEDALNHEWFRELPLPRSKDFMPTFPALNEQDRRFKKHMKSPDPLEEQWMKEQGNNGDRGLFG >ONIVA02G26030.1 pep chromosome:AWHD00000000:2:23459465:23461193:1 gene:ONIVA02G26030 transcript:ONIVA02G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein [Source:Projected from Arabidopsis thaliana (AT1G67590) TAIR;Acc:AT1G67590] MRRSSQGKSSSGGGVGGVRRYDVHGGGNLLACYAKAARPRPSKWDDAQKWLSRAGDDDCGGEATRRRSSCASADDGLLLPPPPAARKGAGGWRSWSNVEWEGAPAAMAPALKAARGDEGVDTKVVDAVQAYVPQRCVVSLRDVGTEMTPGGSKEPSRANTPRVVAPAATARVVARGTASPGQCDGGSCDSAVAGGVVDLRAARKRADQGHDEATGTITAVSPATAWGDAERAKYMARYRREEMRIQAWENRERRKAELQMRTAEEKAERMRLRAQARTAGKLATAQAEAKARRARAEAELALGRPGGGAKGWLLTRSASWSSGSGRSPSSLSLRLPLLCR >ONIVA02G26020.1 pep chromosome:AWHD00000000:2:23455230:23455539:-1 gene:ONIVA02G26020 transcript:ONIVA02G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPPTSAAAAAARLRGHGGVRCTVAVTRFVVGSTKPCLGSSLVHVAVFSDGCDEGGPTELGRPPWPILRAEVGSVGLDELVWEEAEQGRPATVVVYDT >ONIVA02G26010.1 pep chromosome:AWHD00000000:2:23446434:23451157:-1 gene:ONIVA02G26010 transcript:ONIVA02G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVLMEFGQQRQIKRGYDEMAFRGMASAAPRGYAETVGESEGAAGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPAKMSSSERRHLRKRFRTELDSVRNLLKKPEFAVPVPVNRAPALSSSAAPRGKKGQRGNHVVRGAKGRFLPTKPRPEASTVLTEDAIFKQCDAILKKLMTQKCSNIFDSPVDAVKLNIPDYFQIIKKPMDLGTIRNKLDSGSYTSPSEFAADVRLTFSNAMTYNPRGHVVHDYAIQLNKMFESRWRTIEKKLASIATEAHVEVDRADSKRRKTPPVDCSEVSTECVRPTESVRPTESVKPKMTFEEKESFGNCLASLSEDPEVPSHIIDLLQQCIDNNTDQLGDGEIEIDIHAVSDDLLFELKKHVDKYLQEREQSQQAKSEPSENEAANVSGLSHSSTNPCKGGDPVEEDVDICGNASPILIEKDAHNNPNKCGSPSSSSSDSGSSSSDSESGSDSESEQEKGGSPGKPKGSKRSEQLVEQEKSDVISPVDAIRPADVVELREQDNESKPAPEGENSKPDRQVSPDKLLRAALLRSRYADVIVKAQGILSQRKQGCWLKEMQLWKLEELKLKLKPSMERTVEINDNLHLKDLEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADEEEEEEDPSSVPSTKDAEEGEIN >ONIVA02G26010.2 pep chromosome:AWHD00000000:2:23446436:23450242:-1 gene:ONIVA02G26010 transcript:ONIVA02G26010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGQQRQIKRGYDEMAFRGMASAAPRGYAETVGESEGAAGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPAKMSSSERRHLRKRFRTELDSVRNLLKKPEFAVPVPVNRAPALSSSAAPRGKKGQRGNHVVRGAKGRFLPTKPRPEASTVLTEDAIFKQCDAILKKLMTQKCSNIFDSPVDAVKLNIPDYFQIIKKPMDLGTIRNKLDSGSYTSPSEFAADVRLTFSNAMTYNPRGHVVHDYAIQLNKMFESRWRTIEKKLASIATEAHVEVDRADSKRRKTPPVDCSEVSTECVRPTESVRPTESVKPKMTFEEKESFGNCLASLSEDPEVPSHIIDLLQQCIDNNTDQLGDGEIEIDIHAVSDDLLFELKKHVDKYLQEREQSQQAKSEPSENEAANVSGLSHSSTNPCKGGDPVEEDVDICGNASPILIEKDAHNNPNKCGSPSSSSSDSGSSSSDSESGSDSESEQEKGGSPGKPKGSKRSEQLVEQEKSDVISPVDAIRPADVVELREQDNESKPAPEGENSKPDRQVSPDKLLRAALLRSRYADVIVKAQGILSQRKQGCWLKEMQLWKLEELKLKLKPSMERTVEINDNLHLKDLEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADEEEEEEDPSSVPSTKDAEEGEIN >ONIVA02G26010.3 pep chromosome:AWHD00000000:2:23446436:23450260:-1 gene:ONIVA02G26010 transcript:ONIVA02G26010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVLMEFGQQRQIKRGYDEMAFRGMASAAPRGYAETVGESEGAAGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPAKMSSSERRHLRKRFRTELDSVRNLLKKPEFAVPVPVNRAPALSSSAAPRGKKGQRGNHVVRGAKGRFLPTKPRPEASTVLTEDAIFKQCDAILKKLMTQKCSNIFDSPVDAVKLNIPDYFQIIKKPMDLGTIRNKLDSGSYTSPSEFAADVRLTFSNAMTYNPRGHVVHDYAIQLNKMFESRWRTIEKKLASIATEAHVEVDRADSKRRKTPPVDCSEVSTECVRPTESVRPTESVKPKMTFEEKESFGNCLASLSEDPEVPSHIIDLLQQCIDNNTDQLGDGEIEIDIHAVSDDLLFELKKHVDKYLQEREQSQQAKSEPSENEAANVSGLSHSSTNPCKGGDPVEEDVDICGNASPILIEKDAHNNPNKCGSPSSSSSDSGSSSSDSESGSDSESEQEKGGSPGKPKGSKRSEQLVEQEKSDVISPVDAIRPADVVELREQDNESKPAPEGENSKPDRQVSPDKLLRAALLRSRYADVIVKAQGILSQRKQGCWLKEMQLWKLEELKLKLKPSMERTVEINDNLHLKDLEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADEEEEEEDPSSVPSTKDAEEGEIN >ONIVA02G26010.4 pep chromosome:AWHD00000000:2:23446436:23450242:-1 gene:ONIVA02G26010 transcript:ONIVA02G26010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGQQRQIKRGYDEMAFRGMASAAPRGYAETVGESEGAAGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPAKMSSSERRHLRKRFRTELDSVRNLLKKPEFAVPVPVNRAPALSSSAAPRGKKGQRGNHVVRGAKGRFLPTKPRPEASTVLTEDAIFKQCDAILKKLMTQKCSNIFDSPVDAVKLNIPDYFQIIKKPMDLGTIRNKLDSGSYTSPSEFAADVRLTFSNAMTYNPRGHVVHDYAIQLNKMFESRWRTIEKKLASIATEAHVEVDRADSKRRKTPPVDCSEVSTECVRPTESVRPTESVKPKMTFEEKESFGNCLASLSEDPEVPSHIIDLLQQCIDNNTDQLGDGEIEIDIHAVSDDLLFELKKHVDKYLQEREQSQQAKSEPSENEAANVSGLSHSSTNPCKGGDPVEEDVDICGNASPILIEKDAHNNPNKCGSPSSSSSDSGSSSSDSESGSDSESEQEKGGSPGKPKGSKRSEQLVEQEKSDVISPVDAIRPADVVELREQDNESKPAPEGENSKPDRQVSPDKLLRAALLRSRYADVIVKAQGILSQGGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAEAKRKRDLEREKARQALQEASEKNCIYWWCRAFFFPLKFEWFNLMQMERTVEINDNLHLKDLEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADEEEEEEDPSSVPSTKDAEEGEIN >ONIVA02G26010.5 pep chromosome:AWHD00000000:2:23446436:23450260:-1 gene:ONIVA02G26010 transcript:ONIVA02G26010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVLMEFGQQRQIKRGYDEMAFRGMASAAPRGYAETVGESEGAAGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPAKMSSSERRHLRKRFRTELDSVRNLLKKPEFAVPVPVNRAPALSSSAAPRGKKGQRGNHVVRGAKGRFLPTKPRPEASTVLTEDAIFKQCDAILKKLMTQKCSNIFDSPVDAVKLNIPDYFQIIKKPMDLGTIRNKLDSGSYTSPSEFAADVRLTFSNAMTYNPRGHVVHDYAIQLNKMFESRWRTIEKKLASIATEAHVEVDRADSKRRKTPPVDCSEVSTECVRPTESVRPTESVKPKMTFEEKESFGNCLASLSEDPEVPSHIIDLLQQCIDNNTDQLGDGEIEIDIHAVSDDLLFELKKHVDKYLQEREQSQQAKSEPSENEAANVSGLSHSSTNPCKGGDPVEEDVDICGNASPILIEKDAHNNPNKCGSPSSSSSDSGSSSSDSESGSDSESEQEKGGSPGKPKGSKRSEQLVEQEKSDVISPVDAIRPADVVELREQDNESKPAPEGENSKPDRQVSPDKLLRAALLRSRYADVIVKAQGILSQGGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAEAKRKRDLEREKARQALQEASEKNCIYWWCRAFFFPLKFEWFNLMQMERTVEINDNLHLKDLEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADEEEEEEDPSSVPSTKDAEEGEIN >ONIVA02G26010.6 pep chromosome:AWHD00000000:2:23450257:23450963:-1 gene:ONIVA02G26010 transcript:ONIVA02G26010.6 gene_biotype:protein_coding transcript_biotype:protein_coding FGSLASPARISTSALRLGSAPSTSARLESSRFAKEKDKQETARLERRKKKREERREKKKRIRRGGVSSRRPPRDPQIRPSPAQIRLAGGRTGGGGRRPPRIPGLGFRPLQIRLLQIWRPGT >ONIVA02G26000.1 pep chromosome:AWHD00000000:2:23441425:23445862:1 gene:ONIVA02G26000 transcript:ONIVA02G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPASCCCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFSGAAGHRAGVARSLLLECECSKNGGAAAAAENTLLRAGYGGWLLYSAASAGDMAFVQELMERDPLLVFGEGEYGVTDMFYAAARGGNAEVFRLLLDHAMSPRCSTNCPNGGEGARGGGGGRSSVFRLEMMSRAVHAAARGGSVEMLRELIERRSDVSEYLDFRGSTVLHAAAGRGQLEVVKYLMATFDIVDSTDNQGNTALHVAAYRGHLPVVEALVAASPSTISAVNRAGDTFLHSAIAGFRTPGFRRLDRQMELMRHLIRGRTSDIQKIINLKNDAGLTVLHMAVVGCVHPDLVELLMTTPSIDLNAEDANGMTPLALLKQQLRSSTSDKLIRQIVSAGGVLNSTVLRTRSAIVSQIKMQGGIASSPGTTFKISDAEIFLYSGIGTAESRRPSSCSSNGKCDHAHHGDAKCGNAENHGSSEKRLSSASRAKDRLKLMLKWPRQKMSRGHKKSDDGDAMDSIKKLSEQAVETPAPLRQTFTKTTALNNKRTLAVKTSTPSSATKKKLNSKLIHGIMEAMPHLASSSPASAFPRSSTPPPPQSGKMKGVCLELDDENSMTTPVFGKLKDIVLNNDDDDDAMGEPSSSGSSVNDDASAEMPARRHGCGNGRLINICFGAQGLTVEDSASGQQTSKMFKQQCLRVS >ONIVA02G25990.1 pep chromosome:AWHD00000000:2:23437998:23438395:-1 gene:ONIVA02G25990 transcript:ONIVA02G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRQSNAVLSRSGFSLREYDRDPDRGSGRIPEYPQVDKDAKDGFRDGAEIRCLEKVTVDSVGITNSNTRTLPAPVS >ONIVA02G25980.1 pep chromosome:AWHD00000000:2:23435323:23436825:1 gene:ONIVA02G25980 transcript:ONIVA02G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04130) TAIR;Acc:AT3G04130] MRLMSVGCRHGAARALQPLPLCRLSISSCTQSSRDVSNVNLTVEEEEAARLIKNSLSKARKWSVQDLIQCLGADCSGIRLTGNIVDTLLFKFGDDWKSALGFFQWAQSRDDYRHTAYACNRMVDLLGKMRQIDQMWELLSDMHGRGLVTVETVAKSIRRLAGARRWKDVVLLFDKLEDMGLERNTETMNVLLDVLCKERKIEVAREVFAVLSPHIPPDAYTFNIFVHGWCSIRRIDEAMWTIEEMKRRGFPPSVITYTTVLEAYCKQRNFRRVYEVLDSMGSQGCHPNVITYTMIMTSLAKCERFEEALSVSHRMKSSGCKPDTLFYNSLINLLGKSGHLFEASQVFRVEMPMNGVSHNLATYNTMISIFCYYGRDDDALNVLKEMEAQSCKPDIQSYRPLLRLFLSRRGQADTVRHLLSELTSKHNLGLDLDTYTLLIHGLCRVGDTVWAYQLFDEMVSSEIAPRSKTCVMLLDEAQRTNMETYVERIGNYMSSFGISV >ONIVA02G25970.1 pep chromosome:AWHD00000000:2:23428672:23433887:-1 gene:ONIVA02G25970 transcript:ONIVA02G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVAYWFICACAFLALVLLVRLGAARRDVVRLPPGPWRLPVVGNLHQLMLRGPLVHRTMADLARGLDDAPLMRLQLGGVPVVVASSADAAREVTRTHDLDFASRPWPPTVRRLRPHREGVVFAPYGAMWRQLRKVCVVEMLSARRVRSFRRVREEEAARLVASIASSSSSSPTGHDGGAAPAVNVSAPIAAAVADATMRAVIGDRFERREEFLESITEAVRSFTGFSLDDLFPSSRLAAAVGGMTRRAEASIRKGHQLMDSAFRQHQQLRDAMAAQPHLDDCAMEEDLLDTLLRIQKEDNLDVPLTTGNIKAVLLDIFGARSDTSSHMVQWVLSELMRNPEAMHKAQTELRSTLQGKQMVSEDDFASLTYLKLVIKETLRLHPMVPLLLPRECRQTCKVMGYDVPQGTTVFVNVWAINRDPRHWDEPEVFKPERFHSGKIDFKGANFEYIPFGAGRRICPGMTFGHATVELMLAMLLYHFDWELPKGVAPNELDMTEEMGITVGRKNALYLHPIVACWSICAFLALLLLVRIGGKRGRGGDGARLRQPPPGPWRLPVIGNLHQLMLRGPLVHRTMADLARGLDDAPLMRLQLGGVPVVVASSPDAAREVTCTHDAAFASRPWPPTVRRLRPHREGVVFAPYGAMWRQLRKVCIVEMLSARRVRSFRRVREEEAASLAAAVAASLSSPPARRDAVNVSALVAAAVADATMRVVIGDRLERREEFLESMTEAVRSFTGFSLDDLFPSSRIAAAVGGMTRRAEASHRKGNELIESAIRQHEQVRDAMAAQGGGGAMEEDLLDTLLRIQKEGALDMPLTMDNIKAVIQDIFGAGSDTSSNIIQWAMSELMRNPKVMQKAQVELRNTLQGKHPVKEDDLVNIKYLKLIIKETLRLHPMVPLLLPRECLHVCKVMGYDVPKGTIVFVNIWAINRDPKHWDDPEVFKPERFDDGKIDFKGANFEYIPFGAGRRSCPGVTFGHATVELMLATLLYHFKWELLEGVAPNELDMTRR >ONIVA02G25960.1 pep chromosome:AWHD00000000:2:23424327:23429353:1 gene:ONIVA02G25960 transcript:ONIVA02G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G9H9] MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTEYMTYMFKYDTVHGQWKHHEVKVKDSKTLIFGTKEVAVFGCRNPEEIPWAAAGAEYVVESTGVFTDKDKAAAHLKGQAVDLFSIIFHMQGGAKKVVISAPSKDAPMFVVGVNEKEYKSDVNIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEEAEGKLKGILGYVEEDLVSTDFQGDSRSSIFDAKAGIALSDTFVKLVSWYDNEWGYSTRVIDLIRHMHSTN >ONIVA02G25950.1 pep chromosome:AWHD00000000:2:23405609:23412637:1 gene:ONIVA02G25950 transcript:ONIVA02G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPDDDPPAAASEAPSTSSAAADEDDRVFLVLHRWWREAQEGGGIEAAGVPYAAAPSGPTSYGIGMKVLSMFISDQAFTLRRADDLLQPDASASNAASSRTYALVAADLFSKARAWHIDSGKNAGKKSLSIEEGSVNIYPIMLRVSVTRDTNALTVKISKKDNSAENFKRANKILTADSEPVHIWDFSGRTTFILMNEWNRMPQDTRSSDQEMPLEIHFYDLSEPTANGTNGKKDELALTMSRSMSNGSIMGMDLDSSGSSKQVGTGLIGLDNLGNTCFMNSAVQCLAHTSKLGELAYSFGDLLRKLWALDRTPVAPRQFKGKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSEAKDSDGRPDEEVADEYWGNHIARNDSIIVDICQRKGSVGQIKFPSLAGVVVALLFLLPKWTHGQYKSTLVCPDCRKVSVTFDPFMYLSLPLPSTTMRTMTITVFNTDGTTGPSPYTVSVPKSGDTKTLIDALSIACSLKGDERLLVAEVYNSALIRYLEEPSEVISLIRDGDRLVAYKLPKDSEDAPIVVFRNQRMESTLTSFGRKSWKSFGTPLVSSLPDTINGSTIFELYQKVMTPFRVPKDDSSDADHIIGKSSPVEETTDVDMNSDATESTSIKNNDCDDETMTEDGMQFYFINERFPDQRMKIEMDQPIRLTASQKRLHVVVCWQDNGLEQYNFSSLDNLPEIYKAVLFSRRPQETCSLYACLEAFIKEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLETCVDFPVHDLDLSKYIGSRGQQISNHYRLYAISNHYGSMGGGHYTAYVYHEGKKGWYDFDDRHVGPISEESIKTSAAYVLFYRRIQGDDNRLDDTETGIDSSDCTT >ONIVA02G25940.1 pep chromosome:AWHD00000000:2:23402197:23405059:1 gene:ONIVA02G25940 transcript:ONIVA02G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESIRPDGVCPASQPLPRHGFGPCCRRKLHAFDSKKKLPALIHYQHEGLRCAGLLLLHHHRPKHHQRPGSNIW >ONIVA02G25940.2 pep chromosome:AWHD00000000:2:23402197:23405080:1 gene:ONIVA02G25940 transcript:ONIVA02G25940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESIRPDGVCPASQPLPRHGFGPCCRRKLHAFDSKKKLPALIHYQHEGLRCAGLLLLHHHRPKHHQRPGSNIW >ONIVA02G25940.3 pep chromosome:AWHD00000000:2:23402197:23404090:1 gene:ONIVA02G25940 transcript:ONIVA02G25940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVESIRPDGVCPASQPLPRHGFGPCCRRKLHAFDSKKKLPALIHYQHEGLRCAGLLLLHHHRPKHHQRPGSNIW >ONIVA02G25930.1 pep chromosome:AWHD00000000:2:23399262:23401242:-1 gene:ONIVA02G25930 transcript:ONIVA02G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSIMRIWAACLGLREQVAAGWPVRLSAVVGEAIDVWTPRRADSFEKIDKVRTPALREILILRRLHHPNVIKLEGLVTSRMSCSLYLVFKYMEHDLAGLAASPDINFTEPQVKCFPDMSSPRPQRPATRAAPPARTGRRHLAPAAPSCLPRCRGLLAPPRPRVPDMSSPPPMWPAATAAPPPGARHPRPHRPPPPPPSRSQYNRASTR >ONIVA02G25920.1 pep chromosome:AWHD00000000:2:23384088:23388105:-1 gene:ONIVA02G25920 transcript:ONIVA02G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMWPWPPPARKFRVRLVVRRAEGLTATASSSPVAEAKVAVEVRWKGPKASPLGSLRRVMHSNRTRLESAAEAAVAWEEEFERVETFTATSHRKSGAAFHPWDLAFSVFVNDSNKGPKGELILGTASLNLAEYTSASEEVEIILPLSVPNGSSESSPSLHLTLSLVELGPPHQSPDASQRSAVTAPLSPSSGDSVPSSKDEVSSVIKAGLRNLKILTDLVSTRRSKKTNRDDDGSEDKCYVHSDGAEYPSDTDSLDEDLDDRERDDGLGGSTVRKSFSYGSLQSVNYAGGLLYAHARIDGEHEDWIYYSHRKSEAGYSVEQEASSTAEEPVVSVSRRSLLPWKKKRKLNLRLLKVLKNKGEPLLKKGNDEEGGDDIDYDRRLLTTSDGNALEGSDSSINSMVSIFGDDNFVVGNWESKEVLSRDGHLRLSTQVFFASIDQRSERAAGESACTALVAVIADWFEANQDLMPIRSQFDSLIREGSLEWRKLCENETYRERFPDKHFDLETVLHAKIRPLTVSPNRSFIGFFQPESTEDGSGFDFLDGAMSFDNIWDEISRAAECSTEKPTLYIVSWNDHFFVLKVEAGAYYIIDTLGERLYEGCSQAYILKFDDNTTIHKVPAEKKEANPDSSGRLKDSSDSSSTDQDSGTDTEECELVSKGKESCKEYIKSFLAAIPIRELQADIKKGIIASTPLHHRLQIEFHYTESCPEEIPLPAPLPAIEAPFEFSWPEPPPAMEVTLAPAVAAI >ONIVA02G25910.1 pep chromosome:AWHD00000000:2:23380593:23382754:1 gene:ONIVA02G25910 transcript:ONIVA02G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRSHRLPRGSGPHVTVGLRVGGWLTLLTLTLLEGNTLISGQVVTRKLGFLMSLLNCPTSYNSIYGYAKADAASHSVPVLILCLGAKVFPPF >ONIVA02G25900.1 pep chromosome:AWHD00000000:2:23376331:23380342:-1 gene:ONIVA02G25900 transcript:ONIVA02G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDEQQQQAAAAQTAEVTEAAAKEVVSVEMPAPEGWTKKFTPQRGGRFEIVFVSPTGEEIKNKRQLSQYLKAHPGGPASSEFDWGTGDTPRRSARISEKVKAFDSPEGEKIPKRSRNSSGRKGKQEKKEATENEEAKDAEADKEAPSEDAPKETDVETKPAEEAKEAPSEDAPKDTDVEMKTAEDASKTADADTPAPAPAGTEKEDAKPAESEAVPPAPSEGGEKKEDAKPAEPEAAAAPPSNPTEPSAPKAAAAAPVENSADKGPHQDSQPPSAAAPAKESSPVNNGQLPAGASAVKCT >ONIVA02G25890.1 pep chromosome:AWHD00000000:2:23375053:23375896:1 gene:ONIVA02G25890 transcript:ONIVA02G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMLHMCARSGVPAAAAVASTRRRRETAGGGAAAGGGGVVVVAEAAAGGLDEAAIKALPKVVYGTAAAAESSCAVCLGEYGGGDELRVLPWHYRGIYPNHPA >ONIVA02G25880.1 pep chromosome:AWHD00000000:2:23364527:23372647:1 gene:ONIVA02G25880 transcript:ONIVA02G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSEMHGQCLFIIVFLIHSVHVLPGCIAQSSDEQTLLAFKAAISGDPNGVLDTWVTTKGSMNATDSICRWRGVSCQSRQHPGRVTALELMSSNLMGVISPSLSNLSFLHTLNLSGNRLTGGIPSELGQLPRIWVISLGGNSLIGNIPVSLTNCARLTHLELPRNGLHGEIPANFSNCRELRVFNISANSLSGGIPASFGSLSKLEFLGLHRSNLTGGIPPSLGNMSSLLAFDASENSNLGGSIPDTLGRLTKLNFLRLAFAGLGGAIPFSLYNISSLTVLDLGNNDLSGMLPPDFGITLPRIQFLNLYNCRLQGSIPPSIGNATKLRRIQLQSNGLQGIVPPDIGRLKDLDKLNLQFNQLEDKWDKDWPLMAALGNCSRLFALSLSSNKFEGDLPASLVNLTIGIEKIFMNENRISGAIPSEIGKFRNLDVLALADNALTGTIPDTIGGLSSMTGLDVSGNNISGEIPPMLVANLSKLAFLDLSENDMEGSIPLSFERMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGRLSSLGVLDLSNNRLSGEIPQALAGCQSMEYLFLQGNQFGGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFLATFQYLRYLNLSYNQLDGPVPTTGVFNATKDFFVGGNRVCGGVSELQLPKCPDRAGKGSHRSRTVLIVSVSVGSFVALVLIAGALFVCVLKPMKQVMQSNETSPRPLLMEQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGVVGSEEEEVAIKVLNLLQHGAERSFLAECEALRSVRHRNLVKIITACSTVDHYGNDFKALVYEFMPNRDLDKWLHPTIDDDDESFSRVLTMSERLRIALDVAEALDYLHRHGQVPIVHCDLKPSNVLLDNDMVAHVGDFGLSRFVLGTNNNSIQYSSISAGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDDLFQGSRSIRSYVATAYPDRAMEIVDQAMLQLKEKDMFEKKTEGCIMSVLRVALQCTEDSPRARMLTGYVIRELISVRNTYEDTVDYP >ONIVA02G25880.2 pep chromosome:AWHD00000000:2:23365736:23372647:1 gene:ONIVA02G25880 transcript:ONIVA02G25880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSEMHGQCLFIIVFLIHSVHVLPGCIAQSSDEQTLLAFKAAISGDPNGVLDTWVTTKGSMNATDSICRWRGVSCQSRQHPGRVTALELMSSNLMGVISPSLSNLSFLHTLNLSGNRLTGGIPSELGQLPRIWVISLGGNSLIGNIPVSLTNCARLTHLELPRNGLHGEIPANFSNCRELRVFNISANSLSGGIPASFGSLSKLEFLGLHRSNLTGGIPPSLGNMSSLLAFDASENSNLGGSIPDTLGRLTKLNFLRLAFAGLGGAIPFSLYNISSLTVLDLGNNDLSGMLPPDFGITLPRIQFLNLYNCRLQGSIPPSIGNATKLRRIQLQSNGLQGIVPPDIGRLKDLDKLNLQFNQLEDKWDKDWPLMAALGNCSRLFALSLSSNKFEGDLPASLVNLTIGIEKIFMNENRISGAIPSEIGKFRNLDVLALADNALTGTIPDTIGGLSSMTGLDVSGNNISGEIPPMLVANLSKLAFLDLSENDMEGSIPLSFERMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGRLSSLGVLDLSNNRLSGEIPQALAGCQSMEYLFLQGNQFGGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFLATFQYLRYLNLSYNQLDGPVPTTGVFNATKDFFVGGNRVCGGVSELQLPKCPDRAGKGSHRSRTVLIVSVSVGSFVALVLIAGALFVCVLKPMKQVMQSNETSPRPLLMEQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGVVGSEEEEVAIKVLNLLQHGAERSFLAECEALRSVRHRNLVKIITACSTVDHYGNDFKALVYEFMPNRDLDKWLHPTIDDDDESFSRVLTMSERLRIALDVAEALDYLHRHGQVPIVHCDLKPSNVLLDNDMVAHVGDFGLSRFVLGTNNNSIQYSSISAGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDDLFQGSRSIRSYVATAYPDRAMEIVDQAMLQLKEKDMFEKKTEGCIMSVLRVALQCTEDSPRARMLTGYVIRELISVRNTYEDTVDYP >ONIVA02G25880.3 pep chromosome:AWHD00000000:2:23364527:23370824:1 gene:ONIVA02G25880 transcript:ONIVA02G25880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSEMHGQCLFIIVFLIHSVHVLPGCIAQSSDEQTLLAFKAAISGDPNGVLDTWVTTKGSMNATDSICRWRGVSCQSRQHPGRVTALELMSSNLMGVISPSLSNLSFLHTLNLSGNRLTGGIPSELGQLPRIWVISLGGNSLIGNIPVSLTNCARLTHLELPRNGLHGEIPANFSNCRELRVFNISANSLSGGIPASFGSLSKLEFLGLHRSNLTGGIPPSLGNMSSLLAFDASENSNLGGSIPDTLGRLTKLNFLRLAFAGLGGAIPFSLYNISSLTVLDLGNNDLSGMLPPDFGITLPRIQFLNLYNCRLQGSIPPSIGNATKLRRIQLQSNGLQGIVPPDIGRLKDLDKLNLQFNQLEDKWDKDWPLMAALGNCSRLFALSLSSNKFEGDLPASLVNLTIGIEKIFMNENRISGAIPSEIGKFRNLDVLALADNALTGTIPDTIGGLSSMTGLDVSGNNISGEIPPMLVANLSKLAFLDLSENDMEGSIPLSFERMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGRLSSLGVLDLSNNRLSGEIPQALAGCQSMEYLFLQGNQFGGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFLATFQYLRYLNLSYNQLDGPVPTTGVFNATKDFFVGGNRVCGGVSELQLPKCPDRAGKGSHRSRTVLIVSVSVGSFVALVLIAGALFVCVLKPMKQVMQSNETSPRPLLMEQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGVVGSEEEEVAIKVLNLLQHGAERSFLAECEALRSVRHRNLVKIITACSTVDHYGNDFKALVYEFMPNRDLDKWLHPTIDDDDESFSRVLTMSERLRIALDVAEALDYLHRHGQVPIVHCDLKPSNVLLDNDMVAHVGDFGLSRFVLGTNNNSIQYSSISAGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDDLFQGSRSIRSYVATAYPDRAMEIVDQAMLQLKEKDMFEKKTEGCIMSVLRVALQCTEDSPRARMLTGYVIRELISVRNTYEDTVDYP >ONIVA02G25870.1 pep chromosome:AWHD00000000:2:23364306:23365117:-1 gene:ONIVA02G25870 transcript:ONIVA02G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCPSIQISLLDSIMHIQISLLDSIMQKRIQVPVQLLGREGRGGAVWARTLTGRRGSSTASWWRFANRLTTAVLL >ONIVA02G25860.1 pep chromosome:AWHD00000000:2:23352493:23354086:1 gene:ONIVA02G25860 transcript:ONIVA02G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILNMVNVLAIRIDDGDVPFPIAVYGSVIARDDLDRKCIPLFARSRDDPQLIASKDDSLILTGPHRGMVLIDTLYFEINLKLKGDQVGSAEEDEQISKTVWRMNGVFLETNFLSQNLFTAVRPMTIDSCRNLYPVQLMYAFVSNAVEATVSVKVLQGHFYGKITACTSMVKDNILLHDSSLMPGGGVMAADHGNDQFVQLLRPVTAVYLHETLIVTILAQVDGTKYNRRTMYFKPAVNGEGEAQITCGVNSLLVKGHFYGRITACTSTVNDSILLHDSGLVPVGGGVMAADRGNDQFACVRRLRPVMAVCLQETMMVTVLAQVDGTEYNGQTMHFKPAVNGEGEARITCGVNSLLVKVCWSLMD >ONIVA02G25850.1 pep chromosome:AWHD00000000:2:23307267:23309799:1 gene:ONIVA02G25850 transcript:ONIVA02G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEEVKMRFGRCPYCRAMIYQNPKAVIFYCSRCRTPIRGKNPEPTDEAEYALSRLEILSADTASVFSDEPEDAGSDRCADEVRPLSRRTRRPSSSSDWTTTTDSERSEEAFYTPRNAQEGRPWQSPSPVSSQELGASGGGGGLPRPPDEPGAVAAARLMDPAFHKELLHALDNLRSLIVTIEQPRPASGGGGRALTRRDSRLFRRLESQLERALPPQDTASTSASSSSCRGDGGGGRPSAPARREGTDPCRPVLGGAPFVICGKCSELLRTPPPPRPRRRRWTTRIRCGECNEVLELSLPAGGVPAQHRPIRTCSAPLVSDHHRPLPRRLE >ONIVA02G25840.1 pep chromosome:AWHD00000000:2:23302391:23304969:-1 gene:ONIVA02G25840 transcript:ONIVA02G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01920) TAIR;Acc:AT5G01920] MASSLLLPRATFAATTKHLAVLHPPAAAACRPHPPRLIRCGAAAVPDDELLRSLYLVQADAASPVVSADTGNDGWAALLDEIRGSLQAEDSSSSIPAATSGGVVVPDELLTAPPSVVIPDEILGADPSSTLQAPGPGGGAIPEDLLAALHLDASNPVVRAAWGALSRLDELTSGLSGPQRWAAAAFAAATWAYLTARPGVLSGAVDAYVLAPLQLAVDSAVGRRSLRMSDFVVGERIGEGSFGVVYSGAVVPRGGAAPAARKGKAKTRLELDERYKEKVILKKIKVGTAGAKECGDYEEWFNYRVARAAPESCAEFLGSFVADKTKSEFVKGGKWLVWKFEGDRTLGNYMSDRNFPFNLEGLMFGRAVRGLDDGSRAALVVKQVMRQLVTSLKRIHGTGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRALLDPDYCPPELYVLPEETPQPPAEPIAAILSPILWQINSPDLFDMYSAGIVLMQMASPMLRSPSGLKNFNAELKAAGYDLNRWRETTRRRPDLQILDLDSGRGWDLATKLISQRGADKRGRLTAAAALRHPYFLLGGDQAAAVLSKLSLSK >ONIVA02G25830.1 pep chromosome:AWHD00000000:2:23292525:23303258:1 gene:ONIVA02G25830 transcript:ONIVA02G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLVLIAFLSASFLFLHIPCARCADLNSDRQALLAFAASVPHGRKLNWTLTTQVCTSWVGIKCTPDGRRVRELHLPAVGLFGPIPSDTLGKLDALQVLSLRSNRLTISLPPDVASIPSLHSLYLQHNNLSGIIPTSLSSNLTFLDLSYNSFDGEIPLKVQNITQLTALLLQNNSLSGPIPDLHLPNLRHLNLSNNNLSGPIPPSLQKFPASSFFGNAFLCGLPLEPCPGTAPSPSPMSPLPPNTKKSFWKRLSLGVIIAIAAGGGLLLLILIVVLLICIFKRKKDGKPGIASFSSKGKAAAGGRAEKSKQEYSSSGIQEAERNKLIFFNGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVAGKREFEQQMEIIGRVGQHQNAVQLRAYYYSKDEKLLVYDYMTPGSLCAALHGNRTSGRTTLDWATRVKISLEAARGIAHLHAEGGGKFIHGNIKSSNILLSQGLSACISEFGLAQLMAIPHIPARLIGYRAPEVLETKRQTQKSDVYSYGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTSEVFDADLLRHPNSEDEMVQMLQLAMACVAIVPDQRPRMEEVVRRIEEIRNSSSGTRSSPEDKLKEEAIQIT >ONIVA02G25830.2 pep chromosome:AWHD00000000:2:23299874:23301957:1 gene:ONIVA02G25830 transcript:ONIVA02G25830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLAFPNPTYGDEQKAARVEAGQATAARQGDERTMGSCPGGSGLSGAEDTCILTNFLKSMGCCGSSTVDAEEHLDYSGGNVTLVTDQKNWDNTMEEVAEHGKTVVLKFSAIWCTPCRNAAPLFAELSLKYPDIVFVSVDVDEMPITKMNGIFTLIRQELVTQYDVRATPTFIFMKNNEEIDKLVGGNHEDLQEKFEQLNRPKLYDDV >ONIVA02G25820.1 pep chromosome:AWHD00000000:2:23276327:23280942:1 gene:ONIVA02G25820 transcript:ONIVA02G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLGAEVGKNDYDWLLTPPGTPRCPVLEVAEKTPSPNILPKRTATRSSSTTRASRLSVSQTENGHSTAPTRPARSNSVTRPSIQSTLMSSSNRTAVLNTSISSVSSRPTTPSRRSSTVVAPKQSIAASRPVPARSSTPVKTRPSTPTKTRPSTPVRTRQTANSTSDSAAARTTSAQNSRPSTPTSRSRAMPNSSSGAIPAMSRPGASTISATSRSNASTISATSRPGSSSSNVPGISRATSLSSSTVPSMSRSSSRSSTPTRQPAMRSSAPAVGRSPSVGRSSSISSLTSSINRPAANGGRNSAPSSAPSSRPSSPGPRPRAPVRPLDIPDFPNETPPNLRTKLPERPLSAGRSRPGMALGVRSTSNTEPSAASAPVKKVSVPAMSRSKFSDAPSRTPTLTNGRQNRQSERSTVDSQPSKVSRPATGTDNGFGMTMSKKSLDMAIRHMDIRQNLGGIRGASLFPHSIRSTAGKGRPARMSDPGHTISNGDHRHYADNGSTNGHFSGDSNGALSRNGGSSTDSPDRGSIGGKETLSELDMYGSSRYEAMLLREDVRNTSWLHGFDDSKPDQSPLFDHRFEPLPEPFSPL >ONIVA02G25820.2 pep chromosome:AWHD00000000:2:23276327:23280940:1 gene:ONIVA02G25820 transcript:ONIVA02G25820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLGAEVGKNDYDWLLTPPGTPRCPVLEVAEKTPSPNILPKRTATRSSSTTRASRLSVSQTENGHSTAPTRPARSNSVTRPSIQSTLMSSSNRTAVLNTSISSVSSRPTTPSRRSSTVVAPKQSIAASRPVPARSSTPVKTRPSTPTKTRPSTPVRTRQTANSTSDSAAARTTSAQNSRPSTPTSRSRAMPNSSSGAIPAMSRPGASTISATSRSNASTISATSRPGSSSSNVPGISRATSLSSSTVPSMSRSSSRSSTPTRQPAMRSSAPAVGRSPSVGRSSSISSLTSSINRPAANGGRNSAPSSAPSSRPSSPGPRPRAPVRPLDIPDFPNETPPNLRTKLPERPLSAGRSRPGMALGVRSTSNTEPSAASAPVKKVSVPAMSRSKFSDAPSRTPTLTNGRQNRQSERSTVDSQPSKVSRPATGTDNGFGMTMSKKSLDMAIRHMDIRQNLGGIRGASLFPHSIRSTAGKGRPARMSDPGHTISNGDHRHYADNGSTNGHFSGDSNGALSRNGGSSTDSPDRGSIGGKETLSELDMYGSSRYEAMLLREDVRNTSWLHGFDDSKPDQSPLFDHRFEPLPEPFSPL >ONIVA02G25810.1 pep chromosome:AWHD00000000:2:23271458:23274484:1 gene:ONIVA02G25810 transcript:ONIVA02G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTQIAHYARNDGHDDGCRRAPRGCVVVVVGGGGGGGGSFFIFSGVKQSSGKHEGSPEALQMNRTVSCTDGAMESTASGSINNYERFSLPFALPSSEEDHST >ONIVA02G25800.1 pep chromosome:AWHD00000000:2:23269657:23271094:-1 gene:ONIVA02G25800 transcript:ONIVA02G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit E2 [Source:Projected from Arabidopsis thaliana (AT3G08560) UniProtKB/Swiss-Prot;Acc:Q9C9Z8] MNDADVGKQIQQMVRFILQEAEEKASEISVAAEEEFNIEKLQLVESEKRRIRQDYERKAKQVDVGRKIEYSTQLNAARIKVLRAQDGVVGEMKEDAGKSLLRVTKDATAYRKVLKGLIVQSLLRLREPSVVLRCREADRGHVESVLEAAKKEYAEKAKVNLPKILIDGKVYLPPPKTARDAHGPFCSGGVVIASQDGKIVCDNTLDARVEISFKQKLPEIRKKLFSQKVSQ >ONIVA02G25800.2 pep chromosome:AWHD00000000:2:23269075:23271094:-1 gene:ONIVA02G25800 transcript:ONIVA02G25800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit E2 [Source:Projected from Arabidopsis thaliana (AT3G08560) UniProtKB/Swiss-Prot;Acc:Q9C9Z8] MNDADVGKQIQQMVRFILQEAEEKASEISVAAEEEFNIEKLQLVESEKRRIRQDYERKAKQVDVGRKIEYSTQLNAARIKVLRAQDGVVGEMKEDAGKSLLRVTKDATAYRKVLKGLIVQSLLRLREPSVVLRCREADRGHVESVLEAAKKEYAEKAKVNLPKILIDGKVYLPPPKTARDAHGPFCSGGVVIASQDGKIVCDNTLDARVEISFKQKLPEKIQHKGSQHQQRHNAAPV >ONIVA02G25790.1 pep chromosome:AWHD00000000:2:23267832:23270387:1 gene:ONIVA02G25790 transcript:ONIVA02G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEERASWREENETLVSNPLNYHALMDEVRHIYLRKLLLERDFHPRIQRVVADDLAVLRCNHHTSRAAKLGTQISFR >ONIVA02G25790.2 pep chromosome:AWHD00000000:2:23267832:23270387:1 gene:ONIVA02G25790 transcript:ONIVA02G25790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEERASWREENETLVSNPLNYHALMDEVRHIYLRKLLLERDFHPRIQRVVADDLAVLRCNHHTSRAAKLGTQISFR >ONIVA02G25780.1 pep chromosome:AWHD00000000:2:23265726:23267328:-1 gene:ONIVA02G25780 transcript:ONIVA02G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLYLHRLVQANWTRMCRRDRFCFHCRSPFCHHCCPEHWDRHHPAGGRGRVATIGLLGSGDPAAFAKYPVGRWGYNWNYIQRVKDWNRDWILLNPRMTPLQGRGRTCVNCNQKIGESSARYCCLMCKHNHVHQGKGRDMIQALAAGNYFQIHRPDRFCTICMSSFCSACCAEHIERHHPEEANAHGDQIIEVVHVDAWAAVVPSMLVPEDVLHGVQVVHAGGGALVYPVMRLEAPPAVQHVGDVPWQHNCGAPGCHEMILVQAQFCCLRCKAAVHWAA >ONIVA02G25770.1 pep chromosome:AWHD00000000:2:23257706:23259229:-1 gene:ONIVA02G25770 transcript:ONIVA02G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLYLQRLVQEDWRMTCRRNRFCFYCWLSFCDHCCKEHWDHHHPEEGLPRVATVGSKEDFFFFFFFFFFFFFFFFFFFFVSDRASCVSSYSRSQVELLAENPAVLARYPVGTEYDWEGIQRLRGDEQTNWILLRPWMPPMYGRKKDFSSCVDCHQRIKKPTNALYCCTMCKLNQVQEEDQGRDMVEALATGDYSTQALLHDNFCVLCTSSFSSDCCTYHMELHHPDVEDIGVWLVLIEVVYVDGWAAVAPSELVSENVLAGVQVLQVQADDETVLYPLRRTVAAAVDRLGHVPGWHGCGAPGCHEMIPAQALFCCLRCKAAVHWAA >ONIVA02G25760.1 pep chromosome:AWHD00000000:2:23253025:23256497:-1 gene:ONIVA02G25760 transcript:ONIVA02G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear shuttle interacting [Source:Projected from Arabidopsis thaliana (AT1G32070) TAIR;Acc:AT1G32070] MASAASASASAVVTPSSFRCVPTASCGLGARGKAPAPRRLLHDHAQGKKWAAATWSLKAGLWDSLRSGFLKSNNSTETVEPPSAPIEEEEPLPEELVLLERTLADGSTEQIIFSSAGDVNVYDLQALCDKTPNQNSSILKKQLPGCYTTFSYYAFKSRSYLTEGEERKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLQRDISNITLFADNKVVDFYKNLGFEADPQGIKGMFWYPRF >ONIVA02G25750.1 pep chromosome:AWHD00000000:2:23237046:23246229:-1 gene:ONIVA02G25750 transcript:ONIVA02G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLRRSTSRSAEIEADRRKEEESWAGLIPRPSSIAADYLEDMINFGGVLCAVTLVVLLPFLEAADGKSTDPSEVSALMAIKGSLVDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELQLFRRNLSGNLVPEVSLLSQLKILDFMWNNLTGNIPKEIGNITTLKLILLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLLVDNNNLSGPLPPELAAAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLLQGAIPDLSAIPQLDYLDLSWNQLTGSIPTNKLASNITTIDLSHNMLNGTIPSNFSGLPYLQLLSLKNNLLDGSVPSEIWAGVNPNRNGSLVLDFQNNSLNMLPAEISPPPPNVTVVLYGNPICENSSETLIINLCRLQSINLEKSKQETSTAMVCGACPTEKNYEYNPSFSDQCFCAVPLGVGLRLKSPGVTDFHPYENAFKIDLTSLLQLFPYQLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNLASSGLSKAALGGILASTIASAIALSAVVTALIMRRNSRTNRISRLSRFSVKIDGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSGKSKQPLGFGLRLHIALGASKGILYLHTDADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCSPECVDSFLQLAMKCSRDETDARPSMTEIVRELELILKIMPEGDLIQLETPQTYSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMVSPR >ONIVA02G25750.2 pep chromosome:AWHD00000000:2:23237046:23246229:-1 gene:ONIVA02G25750 transcript:ONIVA02G25750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLRRSTSRSAEIEADRRKEEESWAGLIPRPSSIAADYLEDMINFGGVLCAVTLVVLLPFLEAADGKSTDPSEVSALMAIKGSLVDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELQLFRRNLSGNLVPEVSLLSQLKILDFMWNNLTGNIPKEIGNITTLKLILLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLLVDNNNLSGPLPPELAAAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLLQGAIPDLSAIPQLDYLDLSWNQLTGSIPTNKLASNITTIDLSHNMLNGTIPSNFSGLPYLQLLDFQNNSLNMLPAEISPPPPNVTVVLYGNPICENSSETLIINLCRLQSINLEKSKQETSTAMVCGACPTEKNYEYNPSFSDQCFCAVPLGVGLRLKSPGVTDFHPYENAFKIDLTSLLQLFPYQLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNLASSGLSKAALGGILASTIASAIALSAVVTALIMRRNSRTNRISRLSRFSVKIDGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSGKSKQPLGFGLRLHIALGASKGILYLHTDADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCSPECVDSFLQLAMKCSRDETDARPSMTEIVRELELILKIMPEGDLIQLETPQTYSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMVSPR >ONIVA02G25750.3 pep chromosome:AWHD00000000:2:23237046:23245428:-1 gene:ONIVA02G25750 transcript:ONIVA02G25750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSVAADYLEDMINFGGVLCAVTLVVLLPFLEAADGKSTDPSEVSALMAIKGSLVDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELQLFRRNLSGNLVPEVSLLSQLKILDFMWNNLTGNIPKEIGNITTLKLILLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLLVDNNNLSGPLPPELAAAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLLQGAIPDLSAIPQLDYLDLSWNQLTGSIPTNKLASNITTIDLSHNMLNGTIPSNFSGLPYLQLLSLKNNLLDGSVPSEIWAGVNPNRNGSLVLDFQNNSLNMLPAEISPPPPNVTVVLYGNPICENSSETLIINLCRLQSINLEKSKQETSTAMVCGACPTEKNYEYNPSFSDQCFCAVPLGVGLRLKSPGVTDFHPYENAFKIDLTSLLQLFPYQLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNLASSGLSKAALGGILASTIASAIALSAVVTALIMRRNSRTNRISRLSRFSVKIDGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSGKSKQPLGFGLRLHIALGASKGILYLHTDADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCSPECVDSFLQLAMKCSRDETDARPSMTEIVRELELILKIMPEGDLIQLETPQTYSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMVSPR >ONIVA02G25750.4 pep chromosome:AWHD00000000:2:23237046:23246229:-1 gene:ONIVA02G25750 transcript:ONIVA02G25750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLRRSTSRSAEIEADRRKEEESWAGLIPRPSSIAADYLEDMINFGGVLCAVTLVVLLPFLEAADGKSTDPSEVSALMAIKGSLVDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELQLFRRNLSGNLVPEVSLLSQLKILDFMWNNLTGNIPKEIGNITTLKLILLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLLVDNNNLSGPLPPELAAAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLLQGAIPDLSAIPQLDYLDLSWNQLTGSIPTNKLASNITTMSLKNNLLDGSVPSEIWAGVNPNRNGSLVLDFQNNSLNMLPAEISPPPPNVTVVLYGNPICENSSETLIINLCRLQSINLEKSKQETSTAMVCGACPTEKNYEYNPSFSDQCFCAVPLGVGLRLKSPGVTDFHPYENAFKIDLTSLLQLFPYQLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNLASSGLSKAALGGILASTIASAIALSAVVTALIMRRNSRTNRISRLSRFSVKIDGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSGKSKQPLGFGLRLHIALGASKGILYLHTDADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCSPECVDSFLQLAMKCSRDETDARPSMTEIVRELELILKIMPEGDLIQLETPQTYSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMVSPR >ONIVA02G25750.5 pep chromosome:AWHD00000000:2:23237046:23244807:-1 gene:ONIVA02G25750 transcript:ONIVA02G25750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MINFGGVLCAVTLVVLLPFLEAADGKSTDPSEVSALMAIKGSLVDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELQLFRRNLSGNLVPEVSLLSQLKILDFMWNNLTGNIPKEIGNITTLKLILLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLLVDNNNLSGPLPPELAAAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLLQGAIPDLSAIPQLDYLDLSWNQLTGSIPTNKLASNITTIDLSHNMLNGTIPSNFSGLPYLQLLSLKNNLLDGSVPSEIWAGVNPNRNGSLVLDFQNNSLNMLPAEISPPPPNVTVVLYGNPICENSSETLIINLCRLQSINLEKSKQETSTAMVCGACPTEKNYEYNPSFSDQCFCAVPLGVGLRLKSPGVTDFHPYENAFKIDLTSLLQLFPYQLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNLASSGLSKAALGGILASTIASAIALSAVVTALIMRRNSRTNRISRLSRFSVKIDGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSGKSKQPLGFGLRLHIALGASKGILYLHTDADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCSPECVDSFLQLAMKCSRDETDARPSMTEIVRELELILKIMPEGDLIQLETPQTYSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMVSPR >ONIVA02G25750.6 pep chromosome:AWHD00000000:2:23237046:23246229:-1 gene:ONIVA02G25750 transcript:ONIVA02G25750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLRRSTSRSADALMAIKGSLVDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELDFMWNNLTGNIPKEIGNITTLKLILLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLLVDNNNLSGPLPPELAAAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLLQGAIPDLSAIPQLDYLDLSWNQLTGSIPTNKLASNITTIDLSHNMLNGTIPSNFSGLPYLQLLSLKNNLLDGSVPSEIWAGVNPNRNGSLVLDFQNNSLNMLPAEISPPPPNVTVVLYGNPICENSSETLIINLCRLQSINLEKSKQETSTAMVCGACPTEKNYEYNPSFSDQCFCAVPLGVGLRLKSPGVTDFHPYENAFKIDLTSLLQLFPYQLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNLASSGLSKAALGGILASTIASAIALSAVVTALIMRRNSRTNRISRLSRFSVKIDGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSGKSKQPLGFGLRLHIALGASKGILYLHTDADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCSPECVDSFLQLAMKCSRDETDARPSMTEIVRELELILKIMPEGDLIQLETPQTYSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMVSPR >ONIVA02G25740.1 pep chromosome:AWHD00000000:2:23232685:23237729:1 gene:ONIVA02G25740 transcript:ONIVA02G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein [Source:Projected from Arabidopsis thaliana (AT5G58280) TAIR;Acc:AT5G58280] MAAEAGSAAAGAAYEEERRKRVLENLKHLEDLGIKKMSKSLLEAARLQKSTRASPKPRKKFEVGATEVRRSSRARNSVSYKENFDELDSFLCRRRGSRIRSTEQGRDYTGRVASYEQQQRAFKKAERLQNSLDPENPSFVKTMVRSHVSSCFWLGLPTRFCKLHLPPKEYKMVLEDEEGGEFDSVYIGNRTGLSGGWRGFAMHHNLEDGDSLVFELAEPDRFKIYIIKAVDEDANESEPADEEAVGDKDTSTEDAAEQDDSPNAEPLKGTKRRKLRGRR >ONIVA02G25730.1 pep chromosome:AWHD00000000:2:23222141:23228525:1 gene:ONIVA02G25730 transcript:ONIVA02G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGTDGCFSPGRAMSPQVRPPVPPDAASGGQYLAELLQEHQKLGPFMQVLPICSRLLNQEIMRVSGMFRQPGVGDFERSQPASPNQMHPSHIVPNFCGNAFGPWNGMRPERVSFSQGPGWQGAPQSPSSYIVKKILRLEIPTDAYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPNKEEQLKGRAGYEHLDDPLHILIEAELPANVIDARLAKAQEILEELLKPVDESQDYYKRQQLRELALLNSPLREESPHPGSASPFSNGGMKRMKQ >ONIVA02G25730.2 pep chromosome:AWHD00000000:2:23222141:23228153:1 gene:ONIVA02G25730 transcript:ONIVA02G25730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGTDGCFSPGRAMSPQVRPPVPPDAASGGQYLAELLQEHQKLGPFMQVLPICSRLLNQEIMRVSGMFRQPGVGDFERSQPASPNQMHPSHIVPNFCGNAFGPWNGMRPERVSFSQGPGWQGAPQSPSSYIVKKILRLEIPTDAYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPNKEEQLKGRAGYEHLDDPLHILIEAELPANVIDARLAKAQEILEELLKPVDESQDYYKRQQLRELALLNSPLREESPHPGSASPFSNGGMKRMKQ >ONIVA02G25720.1 pep chromosome:AWHD00000000:2:23213963:23214284:-1 gene:ONIVA02G25720 transcript:ONIVA02G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHWLEAMLPLGIIGGMLCIMGNAQYYIHRAAHGRPKHIGNDNWDMAMARRDKVLLHQASSENN >ONIVA02G25710.1 pep chromosome:AWHD00000000:2:23208944:23211542:1 gene:ONIVA02G25710 transcript:ONIVA02G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKHGIQRSATFVEDHRQQPPQPGDTSSPAIASPRATRFADDSRRPDRSLAAASSSPQPDGSTPDPVTQLYTSARGAKGNETKHGFWGVLAQQAIVMLDENGGTDDNHSVTSQSRWSYDRVRKPENPPLDIGCKIKTALEEGLTKVEGSSRTGDGVHGRKLHIRRKACSMDLRNSRMGLSSPEAMSPTMSDTESPQIKASRDVASAMAAKVKLLQRELKTVKADMAFSRERCAQLEEENRMLRDGKHDADEDLIRQQLETLLAEKARLANENTVYARENRFLREIVEFHQLNMQDVVDLDDEDMAGDGDGEEGDDDHQQYGCHLRAHEAAHGLWAGGGLGTPPESPLGHAGRMGMSRSNSRAAESPTMRRSLKEENVDEPETPPTRRCLKDQEPDVDAPPETPPTRRSLKEKADVDAPPETPPTRRSLKEADVDEPDTPPTRRSIKEDADDAPETPTTKQDIGSPETATTPARRSSNDDLGAAETTTPTRRSFKDDNGVTEMKNEH >ONIVA02G25700.1 pep chromosome:AWHD00000000:2:23198896:23206993:-1 gene:ONIVA02G25700 transcript:ONIVA02G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G11900) TAIR;Acc:AT1G11900] MRRFSPAAAALLLRRSLAAAAAAASPALRSGPRQLVRASLLDESLSTQTSSAASTMAVQYHQWSSSADGDDDEVLEAFNRDCCTDAGAGVLDDSASTAYVEKLCTSGNLPDAVQILRHLHDRKIHVGLGTFNLVLEQTGEVNNFVLFAKIDKCLIIFEELKKDQRGLDVVTFNTILDMLGKAGRVDQMLQEVKLMDELGHSPDIVTYNTVINCLRRLGRLDQCKIFAREMVERGIDPDLRTYTALIDIFGRAGHITEALEMFDQMKRSHQPSIYVYRALISDLKKAGQFELAQKLSEEMKTSASELLGPEDFKKKFKGRKINKNKWREGGSYMRGEVMGAISSPVDPAAVRDELQC >ONIVA02G25700.2 pep chromosome:AWHD00000000:2:23198896:23206993:-1 gene:ONIVA02G25700 transcript:ONIVA02G25700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G11900) TAIR;Acc:AT1G11900] MRRFSPAAAALLLRRSLAAAAAAASPALRSGPRQLVRASLLDESLSTQTSSAASTMAVQYHQWSSSADGDDDEVLEAFNRDCCTDAGAGVLDDSASTAYVEKLCTSGNLPDAVQILRHLHDRKIHVGLGTFNLVLEQTGEVNNFVLFAKVFRYLLLSKIAPDLTSYTNVAKALQKLDDYELILKFVRQVMEITHDRDPTVMNRIVFTTAKYGQIDKCLIIFEELKKDQRGLDVVTFNTILDMLGKAGRVDQMLQEVKLMDELGHSPDIVTYNTVINCLRRLGRLDQCKIFAREMVERGIDPDLRTYTALIDIFGRAGHITEALEMFDQMKRSHQPSIYVYRALISDLKKAGQFELAQKLSEEMKTSASELLGPEDFKKKFKGRKINKNKWREGGSYMRGEVMGAISSPVDPAAVRDELQC >ONIVA02G25690.1 pep chromosome:AWHD00000000:2:23194802:23198252:1 gene:ONIVA02G25690 transcript:ONIVA02G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRSVLEMVVAAAQGGGGAAGESVLGMLRYAVLPIAKVFVVCFMGFLMASKRVGVLKPSGRKLLNALVFSLLLPCLIFAQLGRSITIDKIMEWWFIPANIALGAVSASLVGLIVALIVRPPYPYFKFTITHIGIGNIGNIPLVLISALCRDQLNPFGDSNKCTQDGNAYLSFGQWVGAIIVYTYVFKMLAPPPGQTFDNCDEERDKLPIKAPNTMSSVAKYPSSAHGNTHEEEPLLSIEEEEEEEGQDVHSLGSKIMIPIKGMVRFLQKKQLLQPPIIASVLAITLGVVPFLKNLILTDDAPLFFLTDSCLILGEAMIPCILLAVGGNLVDGPGEGSRRLGVRTTVAIIFARLILVPIAGIGIVSFADKLGFIPKGDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLTMLF >ONIVA02G25690.2 pep chromosome:AWHD00000000:2:23194802:23198252:1 gene:ONIVA02G25690 transcript:ONIVA02G25690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRSVLEMVVAAAQGGGGAAGESVLGMLRYAVLPIAKVFVVCFMGFLMASKRVGVLKPSGRKLLNALVFSLLLPCLIFAQLGRSITIDKIMEWWFIPANIALGAVSASLVGLIVALIVRPPYPYFKFTITHIGIGNIGNIPLVLISALCRDQLNPFGDSNKCTQDGNAYLSFGQWVGAIIVYTYVFKMLAPPPGQTFDNCDEERDKLPIKAPNTMSSVAKYPSSAHGNTHEEEPLLSIEEEEEEEGQDVHSLGSKIMIPIKGMVRFLQKKQLLQPPIIASVLAITLGVVPFLKNLILTDDAPLFFLTDSCLILGEAMIPCILLAVGGNLVDGPGEGSRRLGVRTTVAIIFARLILVPIAGIGIVSFADKLGFIPKGDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLTMLF >ONIVA02G25680.1 pep chromosome:AWHD00000000:2:23185464:23188460:1 gene:ONIVA02G25680 transcript:ONIVA02G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRSMAARAKAKQMNRPSATSATMKELALCHDNVVHIACLVAATSPEPIADLLSLRATCKAMHAAAKERDVGKCVPLERLDNMKWMENERYLAIVNHLVGAGNPDACFITGVTLVFAHQDMEQGLLFLNKAATAGHKAAAYVLGLLLYKFDDARATGKKYISQVEDDGNEASTGVGVKRTNRECQQYRKIVGDVIQEATWKVGGRRGRMLVLPEDSHHCTATGCGVESGWEGYGVFCSDDCRIKHEYSKFFTEAKQMKRPSMASATTKELALRHGNIVHIACLVAATSSEPITDLLSLCATCKAMHVVAKECDVGSYVPLERLDNMKWIENKRYFIVVNHLVTADNLDACFIVGVTLVFTHQDMEQGLLFLDKAAITGHKAVYVLGLLLHGDGEEAATGVGVKRTNQECQQYRKIAEDMIQEATWKVKG >ONIVA02G25680.2 pep chromosome:AWHD00000000:2:23188470:23190748:1 gene:ONIVA02G25680 transcript:ONIVA02G25680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSEDSHHCMATGCGVESGWGSYGVFCSDECAMVSTWSMAVKANAKKLKRPSAASATMKELVLCYDSIVHIACLVAATSSESITDLLSLHATYEGDVGRHVPLERPNNMKWMENERYLTVVNHLVSAGFIIGVTLVFTHQDMKQGLLFLNKAATSGHKMAAYVLILLLYKSNEAHATRKNQVEGDSDKAATGVGVKRINRECQRCWKITEDVIQEATWKVGGCRSRMLVLPEDSHQCMTACCGVE >ONIVA02G25670.1 pep chromosome:AWHD00000000:2:23158151:23167855:-1 gene:ONIVA02G25670 transcript:ONIVA02G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFVFFTAAALPVVVVAAAVIAGLCITAAWLARPRRVAEVFRRQGIDGPPPSSFLAGNLPEMKARVAAAASAAAPTADGEETASAGGGGGGRDFEKDGFDDYCTRIFPYFHKWRKAYGETYLYWLRRRPALYVTDPELIGEIGRCVSLDMGKPKYLQKGQEPLFGGGVLKANGACWARQRKVIAPEFYMARVRAMVQLMVDAAQPLIASWESRIDAAGGAAAAEVVVDGDLRSFSFDVISRACFGSDYSRGREIFLRLRELSGLMSETSVIFSIPSLRHLPTGKNRRIWRLTGEIRSLIMELVRERRCAARAAREHGGKAAPPSPPERDFLGSIIENSGGQPRPDDFVVDNCKNIYFAGHETSAVTATWCLMLLAAYPEWQDRARAEVLEVCGGDGAAAPAAPDFDMVSRMRTVGMVVQETLRLFPPSSFVVRETFRDMQLGRLLAPKGTYLFVPVSTMHHDVAAWGPTARLFDPSRFRDGVAAACKHPQASFMPFGLGARTCLGQNLALVEVKTLVAVVLARFEFTLSPEYRHSPAFRLIIEPEFGLRLRIRRAGGQDATSQVDTSTAPLHSSHN >ONIVA02G25660.1 pep chromosome:AWHD00000000:2:23156637:23157272:-1 gene:ONIVA02G25660 transcript:ONIVA02G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGDAAPARHNAGHGRRRRRVLVWASFAALVLLLVAAAAAIAALAVLRPRDPTTELLSVNATGATPRVAALPAVSVQLNVTFLLVVRVRNPNRAEFRHGAATTTLLYRGAEVGAAGVPAGTVPSRGAATLRLNMTVRADRVVAAAGVGGLLADVLAGEMEFEARTEVRGRVKLLGLVRRSAVARSLCRVVIGVADVKVRRQECHNESKL >ONIVA02G25650.1 pep chromosome:AWHD00000000:2:23149171:23152724:-1 gene:ONIVA02G25650 transcript:ONIVA02G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRISASPRPCSGRRVVARKRPRHEAAVNSVRKLQRREISSCRDRAFSMSAAQERFRNIQLQEEFDTHDPKENSLLLPYLRKRSKIIEIVAARDIVFALSQSGVCAAFSRETNRRICFLNGSPDEVIRSLFYNKNNESLITVSVYGSENFSALRCRTTRIEYIRRAKPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSISDKNVQEIKISPGIMLLIYTRTSSSVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQLTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSNDSSSEENAGSINISNILTGKCLAKIKASDLCKQKKAWKFQSTALEALEDITALYYDEERDEIYTGNRQGLVHVWSN >ONIVA02G25640.1 pep chromosome:AWHD00000000:2:23143081:23147858:1 gene:ONIVA02G25640 transcript:ONIVA02G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDFSWTLPDHPKLPKGKPVAVVVLDGWGEADADQYNCIHVAETPTMDSLKKGAPEKWKLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDTALASGKIFEGEGFKYIKESFDQGTLHLIGLLSDGGVHSRLDQLQLLLNGASANGAKKIRVHILTDGRDVLDGTSVGFVETLENDLSQLRAKGIDACIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFQNAVEAVKTLRSETKANDQYLPPFVIVDDSDKAVGPIVDGDAVVTFNFRADRMVMIAKALEYEDFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVKNGIRTFACRQFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNVKPKMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKMILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPQLDKKGEIQILTSHTLQPVPVAIGGPGLHSGVRFRNDVQTPGLANVAATVMNLHGFEAPADYEPTLIEVVDK >ONIVA02G25640.2 pep chromosome:AWHD00000000:2:23143002:23147858:1 gene:ONIVA02G25640 transcript:ONIVA02G25640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDFSWTLPDHPKLPKGKPVAVVVLDGWGEADADQYNCIHVAETPTMDSLKKGAPEKWKLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDTALASGKIFEGEGFKYIKESFDQGTLHLIGLLSDGGVHSRLDQLQLLLNGASANGAKKIRVHILTDGRDVLDGTSVGFVETLENDLSQLRAKGIDACIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFQNAVEAVKTLRSETKANDQYLPPFVIVDDSDKAVGPIVDGDAVVTFNFRADRMVMIAKALEYEDFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVKNGIRTFACRQFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNVKPKMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKMILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPQLDKKGEIQILTSHTLQPVPVAIGGPGLHSGVRFRNDVQTPGLANVAATVMNLHGFEAPADYEPTLIEVVDK >ONIVA02G25630.1 pep chromosome:AWHD00000000:2:23137530:23141634:-1 gene:ONIVA02G25630 transcript:ONIVA02G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALWCDLNADVLRLVHKRLPCLVDRRNMRRACKSWRAAVAAPAPPQQRPVPWILVPSAGGPTFSCAVGGCRRHDFGVPDYAREARYFGTYSGGWLFLNFGNTRGHGRHVLLSLRTKYRIGLPGIVYLHLNPEFIRDMVMIAATLSSPPEDEHCIGAAISSYWPPGMNGARVHAFWRMRRQVAVMPTAIEGVIGPILEDVIHHKEAFYFLTAQEHLHVFALPEFRVNRRGHLHIAPMEIRSFPHDGRDYDGRAVSRYLVESRENLLMVVRFVSDPPQMPPRTSAFKVFEMVELPINNGEARYAWNELESLGGRMLFVARGCSKSYEVADYPGLGFSAGVYFLDDGRIYDEFTVLDDTARRYPCRDSGKWLLGAAEADNFLPEQALRLPCHVDRRRMGRVCRNWRVAVAPQQPPPPPLPSILVPCADVEPSFACAIAGCATHAFRLPLPADARAARYFGAYDGRWLFVAFGQTKDYALLSLRTHHRLRIPYPYVSWATVAATLSSPPENEDCLAAAICHYCQETGPRVHRFWRMGQHQAALKRTRISVPTIMSATNLEDVIHHRGAFHFLTGEENLHVFPVPGFHEDGNGNLEIPPMVIRRFSRGGRDYDGKKVVVRYLVESGGYLLMVVRLAPFPPLQAPPPPRTSAFKVFEMVEPPPPTPINSSEAQYSWKELDSLGGRMLFVARGCSRSYDAGDYPRGLEFTAGVYFLDDGRLYGEERVIGAAAERRYPCRDSGKWLPLPAAAAAGRVDKLLPEQAPSNYSPPVWILP >ONIVA02G25620.1 pep chromosome:AWHD00000000:2:23133151:23133768:1 gene:ONIVA02G25620 transcript:ONIVA02G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASVGSTPPPPDQEDEDEETTRAHKPWRMFLIPEDHVFVVDYCAGDEPTVHIKPRSDADADADDDDQPPITCVRPPRHEAAGVPSLFDGGWYRALFWSAPAPEEGDIIVPAPWSRPLSEKVIKAILKDNGETKTTTTTVPESVRLSPDLVMFYRPVEGSTEVLVASRDYIEYLDLTKKPGCHQAVSLLDQHAVLPTSFSTHDA >ONIVA02G25610.1 pep chromosome:AWHD00000000:2:23124344:23124967:1 gene:ONIVA02G25610 transcript:ONIVA02G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGRRWGYRRVAVALAVCVHAAVLLSAVVYLSSVLSAAGPGASSSSLQETEMMRLTAKLEQIIENQDKYNSGMMHGFASMLSKNPGIIKEMTYRITNPDDTVRVQLAVTMRDDVQVQPLRINTSIYFRVYISFY >ONIVA02G25600.1 pep chromosome:AWHD00000000:2:23116236:23117429:-1 gene:ONIVA02G25600 transcript:ONIVA02G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALWRDLVGDVPRLVHKRLPCLVDRRRMARVCHDWRVAVAPQPQPPPGTRPLPSILVPRADEGHFFACALAGCATHAFGHPLPADARAARYFGAYDGGWVFVAFGQILDYALLSLRNGTRFHFPDTGTDMVAATLSSPPGDERCVAAAISHSCMMNNPRIHAFGILRHRGVEEATHDPAEFFTGHALEDVVHHKKAFHFLTREENLHVFSVPDFHEDDDGNLVIPPMEVRRFSRGGRDYGGCFAVRYLVESGKSLLMVVRLLPHPPLFPPTTWAFKVFEMVETPINNDGAPYDWKELESLGGRVLFVARGCSRSYDAGDYPGDEFNEGIYFLDDGRLYDEAFQILNPFAQYREYPCRDHGKWLPPVAPPAAVTGRVDKFLPEQGPSHYTPPVWILP >ONIVA02G25590.1 pep chromosome:AWHD00000000:2:23110266:23122463:1 gene:ONIVA02G25590 transcript:ONIVA02G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWGRNSINKSDELISTRIRDSRRQSVTFSGGRSGASLLPNLCFGAVGVWVVKEAEESIPPHTARLCLLAAGQPRHRLSPPASPMDARWCDLNADVLRLVHKRLPCLVDRRNMRRACKSWRAAVAAPAPPQQRPLPWILVPSSGGPTFSCAVGGCRRHDFGVPDYAREARYFGTYSGGWLFLDFGNTRGHGRHGLLNLRTKYCISIPGINCLDLNPSIIRDMVMIAATLSSPPEDEHCIGAAISSYWPGMNGARMHAFWYMRRQVAVMPTASEGVFGPFLEDVIHHKEAFYFLTAQERLHAFAVPEFRVGRRGYLDIPPRKIPSFPHDGRDYDGRDVARYLVESRENLLMVVRFVSDPPQMPPRTSAFKVFEMVELAINNGEAQYAWNELQSLGGRMLFVARGCSKSYNVADYPGLGSAPASTSWTTTESTTSSRSSSMAPDGATPAETAASGCWGRRRRTTSCRSKPRRATHRRLGFSPESVLMLTRTPRTRRATSAPRLRLASSPPASRASASPMDDASWCGLTADVLRLVHKRLPCLVDRRRMARVCRTWRAAVKGEQHPPESPLPWILVPRGADGPSFSCPIAGCRGHGFGIPDDARAARYFGTYGGGWLFLAFGQIKRHALLSLRTEQRFYLPDIARWDFAGRPAFDTDIVMVAATLSSAPEDKGCVGAAIVFHRASLYSPRVHAFWRMGKQIAVATTCTNTIAGRLLEDVIHHKGAFYFLTAQEHLHVFEVEEFYEDGDGNLKIAPMVFRRFSRGGRDYGGAIAVRYLVESGENLLMVVRLVPHPPRLPPRTSAFKVFEMVEPPLETPINNDEAPYGWNELESLGGRMLFVARGCSRSYDANKYPGAEFNEGVYFLDDGRLYCEAAVFVDQAAAAAADEPRCPCWPCTDSGKWLAAAGEVPRVDKFLPEQGPSNHYSPPAWFLHDQNFRPKQTVTINWAQAQLLARLAKGWPSLLLLPNQTTPSFPCFQKPSSNMAHNDEASSSRSTTSSAAQPSPPPPPRLASAPASASASPMDAAAPARDFMAEALRLVHNRLTCLVDRRTMARVCHAWRAAVKPLQPPPEERPLPYILLPGDGERSFSCALRGCATHRFHIPDIPRDARCFGAHPGGWLFFAVAQTTKNAILRDEFPSGIPDVLHLDDRPLDKDTDVVMFAATISSHPEDQRCIGAAILSHFPDVTNPSIYAFFRMGVLPAMVANGDDASNAGSASGLEDLIHYDDAFYFLTGEEGLLVFRVSEFHDFKDEELDIAPIEIRRFPRRGRGHYGEDDVAVVRYLVESRGRLLMVARIAAGAGPLRPSPPSPTTTSEFRVFEMARRSTAFAATNNDGAEYDWVELDSLGGRMLFVARGCSTSYEVARHPGFEEGVYFLDDGRLYGEVAMFRDPNLRQYPCRDSGRWLASAPEAVPRVDNFLPEQAPSNYSPPAWFLP >ONIVA02G25580.1 pep chromosome:AWHD00000000:2:23103188:23109632:-1 gene:ONIVA02G25580 transcript:ONIVA02G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELGGAPLLHEPPPPPQTPPRRRHTGVPVPILHGNNCNSDLYFWLPLVDAKGVHRGDLRLPDVRGIAEAVRDGARVVYADVVDGLGGGRVVARIRGQLVLLEVAPLAAYAGGDGGGGGDDGDGLQVIN >ONIVA02G25570.1 pep chromosome:AWHD00000000:2:23082597:23100793:1 gene:ONIVA02G25570 transcript:ONIVA02G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPSPFQTKHISSCFQKPRNPSVPFLLLASPPASRASASPMDSPWRDLDADVLGFILKRLPCLVDRRRMARVCRNWRVAVKPEQPRPGTRPLPSILVPRADGPSFACALAGCATHAFRPPLPADARTARYFGAYDGGWVFVGIYRTMDYALFSLRTDERFPLADIEMLTDILAATLSSPPDDEHCLAAAIINTSFSLMDDPRVHVFWRMEHQVVEKATAVEFVTTSVLEDVIHHKGSFHFLTGEENLHVFPVAGFHEDDDGNLEIPPMVVRRFSRGGRDYGGAIAVRYLVESGENLLMVVRLVPHPPQLPPGTWAFKVFEMVHETPINNDGAPYAWKELESLGGRMLFVARGCSRSYDADKYPGAEFNEGVYFLDDGRLYDETFQILNPFAQFPCSDNGKWLPPAAAAAAEAVTGRVDKLLPEQGPSYYTPPVWILPLILLFPRRKSLNQHGIHSDTAPPPLEPSPMDAPWFYLNADVLRLVHKRLPCLVDRRRMARVCHNWRVAVAPQPQPPPGTRPLPSILVRRAYRTSFACALAGCATHGFGHPLPADARAARYFGAYDGGWVFVCFYRTLDYALLSLRGDEKLPLADVETLTDMVAATLSSPPDDEHCLAAAIGHSCVMYDPRVHVFWRMEHQVEEKATAVESVTTSVLEDVIHHKKAFHFLTREENLHVFSVPGFHEDDDGNLEIPPKEVRRFSRGGRDYGGGFAVRYLVESGESLLMVVRLVPHPPLFPPTTWAFKVFEMVETPLGTPINNDEAPYAWKELESLGGRMLFVSRGCSRSYDANKYPGDEFKEGVYFLDDGRLYDEASQFVNPLPQYPCSDNGKWLPPAAAAAAEAEAVTGRVDKLLPEQGPSYYTSPVWILPLILLFPLRKSLNQHGTYRDTGEGAREGRPVGARDEDRRERPRPRRRLRLRLDGDAPVVADSGHAAAVDEAREALVDEAEDVGVEVEPWRVHWRGREAEARLARGEKPSSNMAHNDEASSSRSTSSAAAQPSPPPPPRLSPAPASASASPMDAAAPARDFMAEALRLVHNRLTCLVDRRTMARVCHDWRVAVKPLQHAPHRRPLPWILVPRADSPSFSCALRGCGGHGLGVPHDARAARCFGAYDGGWLFLAFRETFRHKLLSLRDVQLRLRLPFFVRPDMTAAELGRPVPYIGMVMLASTLSSPPEDEDCVGAAIITYGPYEAGRRTHAFWRVQSAKAFPDQAAAMGHGSDAIDEPALEDVIHHKGAFLFLITEEDLHVFAVRDFHEDGKGNMKMAPRAIRRFSRGGRDYGGDIVVRYLVESRGNLLMVVRRVPDRLHAPPRTSAFKVFEMVEPPSGTRIEALYAWNELESLGGRMLFVARGCSRSYDAGDYPGDEFGEGCSRLGGGDGDIPAETPASGYRRRMRRRFRVWTSSCRSKARRTTHRRAHMDLENASSTALTGQINNHHIKSRREGRAVGARDEDRWERPRLRQRLLRRDGEAPVVADSCHAAAVDEAEDVGVEVAPWRVVHWRGGGAACRWQGEEAMRYSPGLVPLLLGTGGHGRSGWATNTSNRKPRVGGRGGNLRGGGEPAEASGLPRFFLAQHTTPLRLTSGLWAAFFAELVTTQPLFAGDSEVQQLLHIFKLLGTPNEQVWPGVSKLPNWHEYPQWNPSKVSDLVHGLDADAVLNDVNKELY >ONIVA02G25570.2 pep chromosome:AWHD00000000:2:23082597:23100793:1 gene:ONIVA02G25570 transcript:ONIVA02G25570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPSPFQTKHISSCFQKPRNPSVPFLLLASPPASRASASPMDSPWRDLDADVLGFILKRLPCLVDRRRMARVCRNWRVAVKPEQPRPGTRPLPSILVPRADGPSFACALAGCATHAFRPPLPADARTARYFGAYDGGWVFVGIYRTMDYALFSLRTDERFPLADIEMLTDILAATLSSPPDDEHCLAAAIINTSFSLMDDPRVHVFWRMEHQVVEKATAVEFVTTSVLEDVIHHKGSFHFLTGEENLHVFPVAGFHEDDDGNLEIPPMVVRRFSRGGRDYGGAIAVRYLVESGENLLMVVRLVPHPPQLPPGTWAFKVFEMVHETPINNDGAPYAWKELESLGGRMLFVARGCSRSYDADKYPGAEFNEGVYFLDDGRLYDETFQILNPFAQFPCSDNGKWLPPAAAAAAEAVTGRVDKLLPEQGPSYYTPPVWILPLILLFPRRKSLNQHGIHSDTAPPPLEPSPMDAPWFYLNADVLRLVHKRLPCLVDRRRMARVCHNWRVAVAPQPQPPPGTRPLPSILVRRAYRTSFACALAGCATHGFGHPLPADARAARYFGAYDGGWVFVCFYRTLDYALLSLRGDEKLPLADVETLTDMVAATLSSPPDDEHCLAAAIGHSCVMYDPRVHVFWRMEHQVEEKATAVESVTTSVLEDVIHHKKAFHFLTREENLHVFSVPGFHEDDDGNLEIPPKEVRRFSRGGRDYGGGFAVRYLVESGESLLMVVRLVPHPPLFPPTTWAFKVFEMVETPLGTPINNDEAPYAWKELESLGGRMLFVSRGCSRSYDANKYPGDEFKEGVYFLDDGRLYDEASQFVNPLPQYPCSDNGKWLPPAAAAAAEAEAVTGRVDKLLPEQGPSYYTSPVWILPLILLFPLRKSLNQHGTYRDTGEGAREGRPVGARDEDRRERPRPRRRLRLRLDGDAPVVADSGHAAAVDEAREALVDEAEDVGVEVEPWRVHWRGREAEARLARGEKPSSNMAHNDEASSSRSTSSAAAQPSPPPPPRLSPAPASASASPMDAAAPARDFMAEALRLVHNRLTCLVDRRTMARVCHDWRVAVKPLQHAPHRRPLPWILVPRADSPSFSCALRGCGGHGLGVPHDARAARCFGAYDGGWLFLAFRETFRHKLLSLRDVQLRLRLPFFVRPDMTAAELGRPVPYIGMVMLASTLSSPPEDEDCVGAAIITYGPYEAGRRTHAFWRVQSAKAFPDQAAAMGHGSDAIDEPALEDVIHHKGAFLFLITEEDLHVFAVRDFHEDGKGNMKMAPRAIRRFSRGGRDYGGDIVVRYLVESRGNLLMVVRRVPDRLHAPPRTSAFKVFEMVEPPSGTRIEALYAWNELESLGGRMLFVARGCSRSYDAGDYPGDEFGEGCSRLGGGDGDIPAETPASGYRRRMRRRFRVWTSSCRSKARRTTHRRAHMDLENASSTALTGQINNHHIKSRREGRAVGARDEDRWERPRLRQRLLRRDGEAPVVADSCHAAAVDEAEDVGVEVAPWRVVHWRGGGAACRWQGEEAMRYSPGLVPLLLGTGGHGRSGTRVTGNLGLGEGEATSAAGVNQRRRPILTLWYRAPEVLLGATHYSTPDSCLDSVDISCAFQLSWSLLNLFSLEIPRLLGTPNEQVWPGVSKLPNWHEYPQWNPSKVSDLVHGLDADAVLNDVNKELY >ONIVA02G25560.1 pep chromosome:AWHD00000000:2:23080508:23081878:-1 gene:ONIVA02G25560 transcript:ONIVA02G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNDEASSSRSTSSAAAQPSPPPPPRLAPAPASASASPMDAAAPARDFMAEALRLVHNRLTCLVDRRTMARVCHDWRAAVKPLQNAPHLRPLPWILVPRADGPSFSCALRGCGGHGLGVPDGARAARCFGAYDGGWIFLGETCRNTLLSLRDHQLRFNLPFFVRPDKTAADLGRPDGPVPNTGVIMLAATLSSPPEDEDCVAAAIITYWPFQAARRTHAFWRVQSANASPDQVAAMGHGPSAIDEPALEDVIHHKGAFLFLTAEEDLHVFTARDFHEDGDGNMKMAPRVILRFWRGRRDYGGDVVARYLVESRGNLLMVVRRVPAPLAAPPTTSAFKVFEMVQPPPRKRNKALHGWKELESLGGRMLFVARGCSRSYDAGDYPGDEFGEGVYFLDDGRLYRESTVFASRAVAGKYPCRDTGKWLPAADAAGVPRVDKFLPEQGPSDYSPPAWLLP >ONIVA02G25550.1 pep chromosome:AWHD00000000:2:23075718:23076422:1 gene:ONIVA02G25550 transcript:ONIVA02G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNLAVPSLIQPPMAPPAAMAAGDSVMKTKAAAAGGDVVLTVWRKSLLFNCRGFTVFDASGDLVYRVDSYAADSRAEVVLMDAAGVPVLTVRRKKAIGSQLGLGGDQWLVHPGEEIRLPPLYAVKRTPQYVRGGGSVKTMAHVAPCGVALGAGGGGGYEIEGSYLRRSCAVYDARRRAVVAEVQAKEAVGTDVFRLVVRPGMEVSVAMAVVLALEQMFGKPSLLRSWSS >ONIVA02G25540.1 pep chromosome:AWHD00000000:2:23063752:23066910:1 gene:ONIVA02G25540 transcript:ONIVA02G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIMSGAAAAAGGTGGAVPLIKNATSASQMSRGKAGTGAGAVVCYSPMMVTAYGIWQGASPLDFSLPLFLLQVAIIVATTRLLVILLKPFRQPRVIAEILAGVILGPSVMGQVSTWAVKVFPERSLLTLETVAHLGLLYFLFLVGLEMDVNTIRRSGKKALIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEVKLLNSDLGRIAMSAAIVNDMCAWILLALAIAISEVNSSTFSSLWVLIAGVAFVLACFYVVRPLMWWIVRRVPEGEAIGDVHITLILTGVMVAGVCTDAIGIHSVFGAFVYGLVMPSGPLGVVLIEKLEDFVTGLLLPLFFAISGLRTNVTKVRDPITVGLLVLVFVMASFAKIMGTILIAVSYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAAHHSASNPGGASDHIFNAFESYEEMVGGVSVQALTAVSPYQTMHEDVCVLAEDKHVSLIVLPFHKQQTVDGGMEPINASLRGFNESILASAPCSVGILVDRGLSAAAARMAAVHYVALLFFGGPDDREGLAYAWRMVENPGVCLTIVRLIPPGYTAPAISPPQPPMPAAHSRAINVVPEVAKSERQMDEEYLNEFRSRNLGSDAILYVEQVVANSEETVAAIRSQLDNAHELYTVGRHPGEASSPLTSGLAEWMESPELGPIGDLLVSSEFSKMASVLVMQQYVITAPLPPPVALAGPPTDDPVRQYLTNANQRPSVAIGGNQMGAAGRGGWSGGAGGY >ONIVA02G25530.1 pep chromosome:AWHD00000000:2:23052787:23060660:-1 gene:ONIVA02G25530 transcript:ONIVA02G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) TAIR;Acc:AT3G28030] MGVHGLWELLAPVGRRVSVETLAGKRLAVDASIWMVQFMRAMRDDKGDMIRDAHLLGFLRRICKLLFLRARPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKARKLEELAAQIKSDRAKHDNKGKQVESSKMGEIEKINGEQKKNNDGENSGGIVAPIDQEKLDELLAASLAAEEEANLTGKGKQYTVSVPLQEAADISEDDDEDDGEMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDEVQRGAAGRGVGGVQTSKIASEANREFIFSSSFTGDKQTLAQRGGKEHIVDSIKSKREINPAVFKSNPTSSSSSIKPNNSEPLGSFGPDVETYRDERGRIRVSRVKAMGIRMTRDIQRNLDFIKEHEQVRNRGHDSVVEGLANNEEPPDFPEHLFEGNGLRSSLHLSEDYDETASDNHHTSSLVGSDKISEGDYHGSKETIEISFADDQTEVKDNDDQIFLHLASGASSNLFTTEQTDGSDCITKEGVLEGETPPMQVDEKDHQASLMDNFCTDDEIEWEEGGCDVPGGPSSNENDQSKVPKGDLEEDALVQEAIRRSLEDFKKQEHENVTPEDLQASFEDKPLQSYDDVPKPAGAAGKTADKIGKEINSEENDIVHGSLVVDGRENENQTQPENSDGHADMKRAYLLDPLPPCNMTASTSAAKSPEGSEVQHHNSMLHSIRTPEWPKNDSDKVMTQYSLNSDNSKCKIDDSCTGETSRSLQNDLLMDELVPDTAVQKENMIQRTTDLSTSEINYTKLNDNVGIYSVSASNLEKELSLLRQEQEYLGNERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEMTNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYLMKLKQWEVHVLAGPSTANIVIHFQDIESELGLTREQLIRMAMLLGSDYTEGISGIGIVNAIEVAHAFPEEDGLQKFREWVESPDPTLLGKLGMESGSSSKKKKSGRNHSDGKGNSLEPEYTKGSDDSQSSNETQRIKEIFMSKHRNVSKNWHIPSTFPSEAVINAYISPQVDDSTEPFSWGRPDSGLLRKRSNVEFLQVMLGKTQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKSFLETDELDHDSPSTSNTSKKKERTSSGRGRAKGQRTKDVGPGNTGNQDYDIADSLVDAGEHTTEKSTSSKKRTANSSGGSRGKGRRSMNAAHVIIGNGEDSDVSNLASDEDSHIRHTNDYESEGLTLRRSNRKRKQVTYAEDGQEADDNDVSIHQIDENQGQGSLEEDMCHMAGLDTQSNLLHQDTSELNIDQTHTDPSDMNEDPSGFELPEDCHTDTAPKDYLFTGGGFCMEEGDEQDTGVDQSGAEMEHETRDACEGIDEVSESQSGKSMSYSATGEGTENANTEARGASSSQGRNASRGSGAVPKLTKRRRKS >ONIVA02G25520.1 pep chromosome:AWHD00000000:2:23049907:23052026:-1 gene:ONIVA02G25520 transcript:ONIVA02G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSKLPTPSLLPSCSSPHISPRSTPLPLTSATPCGVPHPPGCGRFDTAGEGCERLDPMPEAAPFEGFASMAEFEVAAEQFRVFIQETKAKAEEAYQLAVLIQKAAAGGGSDVAAALEVCKKAAEATAAGGASSDAAATSEICKAADVMVKEVAARADLIQEGSAEEEAYRPPVLIPVATARDFGGSMRGLTQRTMLGDDSDHMAMFEKKASVTQTDMKEKRGKAKDVSIDEDKSSDDDVDMVIGGYAQDPYDDSGLEELLQDQDALEKSVKKFLECFNSKKFR >ONIVA02G25510.1 pep chromosome:AWHD00000000:2:23043539:23045581:1 gene:ONIVA02G25510 transcript:ONIVA02G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVGEALVSAVLKEVLGKLGSAVGEQIVMRWNLKQDLESIKSTLGMLQAVLRDAERRSVSDEGASLWLKRLKNAAYDISDMLDEFEAKLSELGGQVIYGQKVEEYEDTYPRDLEREEISKRETTSKINKSAVVGRNKEEEILALLEWDNIENLLVIPIFGFGGIGKTTLAKLVFNDDRTQTFDMRVWIYVSPNFDLKTIGRSIISQIKGQSDCLDDLQSISNCLEEILDGKSCLIILDDLWENSCFQLGELTLMLSSFKAESRLRIIVTTRNEEVARKICTVAPYKLKPLSDDHCWTLFRQSAILSSCTFQGGDKNVLEEIGWEISKKCKGVPLAAQSLGFILRTKDVEEWKNVRDSDVWDGSSPEDVVLPSLKLSYYQMPPYLKIFFSYCSTFPKGCEIYSDDLIQQWISLGFIQERPNKHISLEKIGEQYVSELLGMSFLQYSSLVPGERIFQLTYKSL >ONIVA02G25500.1 pep chromosome:AWHD00000000:2:23030023:23032006:-1 gene:ONIVA02G25500 transcript:ONIVA02G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPMDAAASGTSPVMQFHGIVDEPPSHSSPLHTALERSQRHCYGHETPGEFPLAATCTFFSKPANFEPNFALSLPEVAAFDMCHKRPMVKLMAQQEREQLKQRCGGSWKLVLKYIVARERNYSRIVAGPGHSIVVTTKGDAYSFGANCWGQLGLGDTEDRFKPCLIRSLQGIKITQAAVGSRQTMLVSDTGSVYAFGKGSFVWEELSDAADHITTPKIVESLKGVFVVQAAIGGYFSAFLSREGQVYTISWGRTERLGHSSDPSDVEPRLLSGPLEGVLVAQISAGNCYLLMLAYQPTGMSVYSVGCGLGGKLGHGCKNNKGTTKLIEHFLTLSFNPVSVAAGTWHAAALGDDGRVCTWGWGHTGCLGHGDEEYRVLPTVVQGLSNVKAVHVSTGEYTTFVVSDNGDTYSFGSAESLNIGFQEDEEAADDADFSTPSLVESLKVLNDKAVQISTTNSSYWLNSEMGYPHTFALMESGKLYAFGGGIKGQLGVKLSEGQERAQNPERVPIDLC >ONIVA02G25490.1 pep chromosome:AWHD00000000:2:23024183:23028936:1 gene:ONIVA02G25490 transcript:ONIVA02G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDTFAGQTRANTTKGNWMAQHSAGVMADLDFFIGEDALARSRSSNTYNLSYPIHNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTPPETREYTGEIMFETFNVPGLYIACQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPITGKDVTQFIQQLLKERGEHIPPEESFDVARRVKEMYCYTCSDIVKEFNKHDREPNKYIKHWSGIKPKTGAKYTCDIGYERFLGPEIFFHPEIYNNDFTTPLHVVIDKCIQSSPIDTRRALYKVCVIKMPSYDSKYHSGSEFHFIGQNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASNARLGGDAKAQPIEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRTNPVFKGMY >ONIVA02G25480.1 pep chromosome:AWHD00000000:2:23022650:23023028:-1 gene:ONIVA02G25480 transcript:ONIVA02G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGLLSLVALIFLLSFRSLIHQQVLVGEGAAASGFLHGSGDDGRRQHAREWEEERKKMRWFMVRDYAHARRHEPRNNRLDP >ONIVA02G25470.1 pep chromosome:AWHD00000000:2:23019015:23021900:1 gene:ONIVA02G25470 transcript:ONIVA02G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTAFSALPTSPADVRVVTADGSGIRAHSSVLASASPVLERMIEQAPRGGVVPIAGASTGAVVVFLRFLYAASVRGAAAAAAEWEEAALAEHGAALMALAHAYRVAGPLKRRAEEAVAARVAAEGAVDAMKLAALCDAPRLYLRCARLAGRELAAVRESEGWRFAARHDAALRADLLQLIRDADQRKERWGRERGSQGVYLQLSDAMAALERVFARAAHGSPPPPPPPRTGQCCRMASPCAHRRGLLQLARHFFAGCGRRVAGGCTPCRRFFLLLRLHSSVCDKSDDDSCGVPLCSNFKTNMEKGKVDKTWKLLVKKVMRARVMSAWAKRPVPAPEIVQKSWAKYNSSSRSRAARFR >ONIVA02G25460.1 pep chromosome:AWHD00000000:2:23011666:23012821:-1 gene:ONIVA02G25460 transcript:ONIVA02G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHHKGKTAPSGVVVANPKAELSPIIFNPPKNKLLHGPLPTPTKAFNTRPLRRRHIASQSSLRSSTPARSSSIHAIGLDEERATRPSLPSPCHPLRHADDTLRHSACGTGTSAEARRSRLKSGGGDLVLLRERPIVHHTCQPPHPKPAGKAAPRRGRWVATSSLPLSLPAQPGMRVAHRWSPAKARAPPPPSSLRYPSRSSWDGADLDGPTAASTTTSRRRRRHHRLVPPPAASPLSNRRRRCCRAAITGASESATGRPDPGTAVPDPRPPPPPPKARRRPCRRQPPLPMAYSTLPTVRLRPSRRPLTPCRGCHDIRRRAFA >ONIVA02G25450.1 pep chromosome:AWHD00000000:2:23003513:23006677:-1 gene:ONIVA02G25450 transcript:ONIVA02G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKVTDVDRAILTLKTQRRKLAQFQQQLEKVIEAEKEAARQLVQQKKKDRALIALKKKKAQEELLKQVDTWQMNVEQQLADIELASKQKAVFDSLKAGNAALKSIQNEINIDDVQKLMDDTAEAKAYQDEINAALGEQLSAEDEEAVMAEFENLEAQLAVESLPDAPVTEVRPEEKSETPAVTEAAEDIDEVIELPDVPTKAPERPEAAEKTKVLEEPLPA >ONIVA02G25440.1 pep chromosome:AWHD00000000:2:22997776:22999416:-1 gene:ONIVA02G25440 transcript:ONIVA02G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASMAAAAAAAIVGAVREHVRASDLAVAGAVLFAFSAAVSAVRARRRGAPVLWPLVGIVPTLFVHRDDIYEWGSAALLRAGGVFPYRGTWGGGSSGVITSAPANVEHVLRANFGNYPKGPYYRERFVELLGGGIFNADGEAWRAQRRAATAEMHSSRFVEFSVRSIEQLVYGRLVPLAERLSGGGAAVDLQEVLLRFTFDNICAVAFGVDAGCLADGLPDVPFARAFELATELSLLRFVTPPFIWKAKRLLRAGSERRLVEATRAVREFAERAVADRRNEMRKVGSLRGRCDLLSRLMSSAPGADYSNEFLRDFCISFILAGRDTSSVGLAWFFWLLAGHPDVESRVVGDVLAAGGDIKRMDYLHAALTEAMRLYPPVPVDFKEALADDVLPDGTPVRARQRVIYYTYAIGRDPASWGDDAAAFRPERWMRGGAFAGGESPFKYAVFNAGPRLCIGKRFAYTQMKTAAAAVLSRFAVEVVPGQEVKPKLTTTLYMKNGLMVRFRRRSPPPPSPPPRHVVADDDDDDDVAAGRHVAVGSCNSNHL >ONIVA02G25430.1 pep chromosome:AWHD00000000:2:22993147:22995182:1 gene:ONIVA02G25430 transcript:ONIVA02G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNFSRRARGELQSTRDPNSPPNLLVVLLLPRPDTEQEHARTYGARSPHCISIPAIGPAVTMRRRVALCLVLFAFAGLHAAAVEAVTLSTSSRWIVDDEAGGRRVKLACVNWPSHLEPVVTEGLGMQPVDAISKKVASLGFNCVRLTYPIALATNASLSSLTVRRSLLAHGLAGAVAGVEANNPGLLDLTLIESFRAVVDSLGESGVMVILDNHVSRPGWCCADDDGNGFFGDRHFVPDAWVRGLGAMAALFAGVPNVVGMSLRNELRGPRQNADDWYRYMQMGAEAVHAANPAALVIMGGLGYDTDLSFLAARPVDVSFAAAERGKLVFELHWYSFADARAWESEDANEVCGRVARGVARRGGFLLDAGFPLFLSEFGADTRGGSRKDDRYLPCAAAVAAELDLDWALWALQGSYALRQGVAGADEVYGVLDWSWSKPRNATALSRIQSLQRPLRGPGYDEARPYTVLFHPLTGRCVVRRAADDAAAAAATLELGRCEDTDAWAYTQPASTLAMRGAGRGSPPLCLRAEGSGRPARLATSDAGGCRGDALSTWRLVSGSTMHVAVNATTTTTPSRDGCGGLLCLDVGDDGRSVVTNPCRCLDDAAAAGECDPETQWFKLVTSTRSPATGAAAAATVARGLIAA >ONIVA02G25420.1 pep chromosome:AWHD00000000:2:22983587:22991031:-1 gene:ONIVA02G25420 transcript:ONIVA02G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1745 protein; Putative uncharacterized protein At1g13120 [Source:Projected from Arabidopsis thaliana (AT1G13120) UniProtKB/TrEMBL;Acc:Q0WPZ7] MRVEEEDDTDEDDYDSDGESRALVAKATGARFSCNDLESSDAEESEDEMDGRIAPYHLMEKRSLEKSILLELEREHHLKVQEEVRSKLSALEVCHQSEIQRTVSAFARLQKYAESRKEIDRRLDVHFQRKIAEVLDKHLSMVQRDHEQKSQIVERRIRDDAALEEAKRKEQAMKDEKIRQERAKQEAEARQKAAAKLAVEAQKAAAEAAAKEAAEAQKAAAEVSKSSQNSQNNVAGTMRANKSEIKSELPGIKVFADHSALEAELRRRALLDQVPANIHSSKEFSRYDRQIAKSIGKLMPTTDSVKARAGELIKALDGQDCPRPIACRIFANKIISIVKSRNTKDKTFGNLAFACGYVMLLVTSQVPDAMDYLLAEFHRVCMYTVPKHLHALNAQVRNRDYYRLIGYQEENGQLESTESYLTYVAAYVKLYAAMIQTEIRGVRHPYGLAEGWKWLAMFLNTLPATTATACALHAFLKVAGFALHKKYGSQFMKLLDVILRCFLPALKEQGSRIQAEAASNLQNYLTDKVYLEEPEGQYLAQQLLSKELFT >ONIVA02G25410.1 pep chromosome:AWHD00000000:2:22978283:22980961:-1 gene:ONIVA02G25410 transcript:ONIVA02G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24750) TAIR;Acc:AT4G24750] MAAPPSPLGLARRVSGAGAATPAAAWSSSCRSRRLRPRLLPSKRWSGVVRMGAAVGGEQEGEDEEVKLAKEMAAARRRWETLIREQKIKTLTPREAGYTFKLTDKVLLDVRPSNERQKAWVKGSTWIPVFEVDTSFDLGGAGKKFTNYVMVEEKFSKDTDIIVVCQKGLSWTDQQRAQAVKEGLGYRLIFTGRLRGTHQFFSPMEMLLYVPSWNNRLEHSCLSTHYFLALKGLALCFKNCNLANEYRSMSKLLL >ONIVA02G25410.2 pep chromosome:AWHD00000000:2:22978473:22980961:-1 gene:ONIVA02G25410 transcript:ONIVA02G25410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24750) TAIR;Acc:AT4G24750] MAAPPSPLGLARRVSGAGAATPAAAWSSSCRSRRLRPRLLPSKRWSGVVRMGAAVGGEQEGEDEEVKLAKEMAAARRRWETLIREQKIKTLTPREAGYTFKLTDKVLLDVRPSNERQKAWVKGSTWIPVFEVDTSFDLGGAGKKFTNYVMACEFIFQLLHHPSYNSGHPNDRTPMTSLGGWWSGSSTMTVNKNFVQQVEEKFSKDTDIIVVCQKGLSWTDQQRAQAVKEGLGYRLIFTGRLVIL >ONIVA02G25410.3 pep chromosome:AWHD00000000:2:22978473:22980961:-1 gene:ONIVA02G25410 transcript:ONIVA02G25410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24750) TAIR;Acc:AT4G24750] MAAPPSPLGLARRVSGAGAATPAAAWSSSCRSRRLRPRLLPSKRWSGVVRMGAAVGGEQEGEDEEVKLAKEMAAARRRWETLIREQKIKTLTPREAGYTFKLTDKVLLDVRPSNERQKAWVKGSTWIPVFEVDTSFDLGGAGKKFTNYVMVEEKFSKDTDIIVVCQKGLSWTDQQRAQAVKEGLGYRLIFTGRLVIL >ONIVA02G25410.4 pep chromosome:AWHD00000000:2:22978473:22980961:-1 gene:ONIVA02G25410 transcript:ONIVA02G25410.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24750) TAIR;Acc:AT4G24750] MAAPPSPLGLARRVSGAGAATPAAAWSSSCRSRRLRPRLLPSKRWSGVVRMGAAVGGEQEGEDEEVKLAKEMAAARRRWETLIREQKIKTLTPREAGYTFKLTDKVLLDVRPSNERQKAWVKGSTWIPVFEVDTSFDLGGAGKKFTNYVMDQIARNFVQQVEEKFSKDTDIIVVCQKGLSWTDQQRAQAVKEGLGYRLIFTGRLVIL >ONIVA02G25400.1 pep chromosome:AWHD00000000:2:22971883:22973266:-1 gene:ONIVA02G25400 transcript:ONIVA02G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAGVAALSLVLVLLGAGVPRPAAAAAAKTQVFLSKLPKALVVGVSPKHGEVVHAGENTVTVTWSLNTSEPAGADAAFKSVKVKLCYAPASRTDRGWRKASDDLHKDKACQFKVTVQPYAAGAGRFDYVVARDIPTASYFVRAYAVDASGTEVAYGQSSPDAAFDVAGITGIHASLKVAAGVFSTFSIAALAFFFVVEKRKKDK >ONIVA02G25390.1 pep chromosome:AWHD00000000:2:22966720:22971217:1 gene:ONIVA02G25390 transcript:ONIVA02G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAWGGLGGAGAWALDAERAEEEERESAAAPAPAAGFPSLREAAAGAAAGKSKKKKGTTLSLSEFTTYGAAAGGRPAAAAAEPKGLTPQEMMMLPTGPRERSTEELDRSRLGGGFRSYGSGERRGGFDDDGRRGGPGRDADLDMPSRADESGNWSLNKKSFTPSPADSGARSRYGSLGGGGGGAPAASSFGRADDDSDWSRGKKPMPMPSRYPSLGSGGGGGGFRDSPTSTDSDRWSRAAPLPPHNGERERPRLVLDPPKRDASATPTPPPAEAARSRPSPFGAARPREDILAEKGLDWRKMETEIDHKTSRPTSSQSSRPGSAHSSLPGSPGSQTSAVGSEGAPRARPKVNPFGDAKPREVVLQEKGKDWRKIDLELEHRRIDRPETNEEKDLKEQINLLRVDLKETEANISDEDKKGLSEKLSQMERELERLTVELDNKVRFGQRPGSGSGKVTAHLSNSPDESQITESMEQPRSRSSIDQNPKPAEERWGFQGNRDRGSFGGNRNTDRSLTGQRW >ONIVA02G25380.1 pep chromosome:AWHD00000000:2:22962552:22963955:1 gene:ONIVA02G25380 transcript:ONIVA02G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog E1B [Source:Projected from Arabidopsis thaliana (AT4G20360) TAIR;Acc:AT4G20360] MASLASASASTSLVFSTSSSKPRLGSSVGFSSPARFRRTAAAAASRGTGRRAGLLVVRAARGKFERTKPHVNIGTIGHVDHGKTTLTAALTMVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPKIVVFLNKKDQVDDEELLQLVELEVRELLSSYEYDGDEVPIVAGSALKALENLMANPAIKRGDDEWVDGIFSLIDSVDNYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGDTVDIVGIRETRNCTVTGVEMFQKTMDDAMAGDNVGLLLRGMQKDDIERGMVLAKPASITPHTKFDAVVYVLKKDEGGRHSPFFPGYRPQFYMRTTDVTGNVTKIMNDKDEEAKMCMPGDRVKMVVELIQPVACEQGMRFAIREGGKTVGAGVINTILK >ONIVA02G25370.1 pep chromosome:AWHD00000000:2:22957894:22960739:-1 gene:ONIVA02G25370 transcript:ONIVA02G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGDGAPRAVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFESYEVRGDMPTVPPEVIDSIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNVKVVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGSSTTQEVTDAVIAHLD >ONIVA02G25360.1 pep chromosome:AWHD00000000:2:22956455:22957063:-1 gene:ONIVA02G25360 transcript:ONIVA02G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLDFRYLDEGLGGERGKRKRREAEEAAAADSMDLDADADAPRPSKLRAVPSLSDPSKPASFGQPTYDGVIAGRVSGRRWKEARTRRASALAASRKPTPLEQRARDKSLKRAYQARVAELKEEIRQSKAAKRKQREEREKRKKENVLRSGTKLQRVTNPKTIQKIAKSKKRKQLKVVPDEFLGGKKSDANRRMQVPGLDN >ONIVA02G25350.1 pep chromosome:AWHD00000000:2:22949460:22953338:-1 gene:ONIVA02G25350 transcript:ONIVA02G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDEDWEICNCDGFVYKRRRVLHPPDLEDAAAAAATSSAPGPPPEAVLRRRRRQALLRLRARYLDELSRWESLSSDVLAPLPAAPAADLPPRPPSDPVAASPPLGSSSSSSSDLTVIDGLLAQAEVTEQLLKRLTEVCDEIDEFCHAHEAALVDAVTDLPVWGDPRELMNSLCSPTELPVCGDPREVMSSLCSPGEKPVSDIKLISELVTSSATLHWLFCRLTENNYYTLLNACSKNEIFQDAIWLTAAQAPIGQSGMPVASCHKLKLHQHLHPISKELMLGHIVLHLMHMPTLCLTSRLMGMLANHLQNAIYKNPAYPDDHDQNAISNNPAYHHAGYDQKMPSTTIIPTLLGMFRMSTPTIMATRQLMVAAAPRLMR >ONIVA02G25350.2 pep chromosome:AWHD00000000:2:22949460:22953338:-1 gene:ONIVA02G25350 transcript:ONIVA02G25350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDEDWEICNCDGFVYKRRRVLHPPDLEDAAAAAATSSAPGPPPEASLSSDVLAPLPAAPAADLPPRPPSDPVAASPPLGSSSSSSSDLTVIDGLLAQAEVTEQLLKRLTEVCDEIDEFCHAHEAALVDAVTDLPVWGDPRELMNSLCSPTELPVCGDPREVMSSLCSPGEKPVSDIKLISELVTSSATLHWLFCRCNMANSGTSTNRSIWDASGIMPQAQAAPACITPFAASCNNHSDELKLCLIFLQLHPISKELMLGHIVLHLMHMPTLCLTSRLMGMLANHLQNAIYKNPAYPDDHDQNAISNNPAYHHAGYDQKMPSTTIIPTLLGMFRMSTPTIMATRQLMVAAAPRLMR >ONIVA02G25350.3 pep chromosome:AWHD00000000:2:22949460:22953338:-1 gene:ONIVA02G25350 transcript:ONIVA02G25350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDEDWEICNCDGFVYKRRRVLHPPDLEDAAAAAATSSAPGPPPEAVLRRRRRQALLRLRARYLDELSRWESLSSDVLAPLPAAPAADLPPRPPSDPVAASPPLGSSSSSSSDLTVIDGLLAQAEVTEQLLKRLTEVCDEIDEFCHAHEAALVDAVTDLPVWGDPRELMNSLCSPTELPVCGDPREVMSSLCSPGEKPVSDIKLISELVTSSATLHWLFCRCNMANSGTSTNRSIWDASGIMPQAQAAPACITPFAASCNNHSDELKLCLIFLQLHPISKELMLGHIVLHLMHMPTLCLTSRLMGMLANHLQNAIYKNPAYPDDHDQNAISNNPAYHHAGYDQKMPSTTIIPTLLGMFRMSTPTIMATRQLMVAAAPRLMR >ONIVA02G25350.4 pep chromosome:AWHD00000000:2:22949460:22953338:-1 gene:ONIVA02G25350 transcript:ONIVA02G25350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDEDWEICNCDGFVYKRRRVLHPPDLEDAAAAAATSSAPGPPPEAVLRRRRRQALLRLRARYLDELSRWESLSSDVLAPLPAAPAADLPPRPPSDPVAASPPLGSSSSSSSDLTVIDGLLAQAEVTEQLLKRLTEVCDEIDEFCHAHEAALVDAVTDLPVWGDPRELMNSLCSPTELPVCGDPREVMSSLCSPGEKPVSDAIWLTAAQAPIGQSGMPVASCHKLKLHQHLHPISKELMLGHIVLHLMHMPTLCLTSRLMGMLANHLQNAIYKNPAYPDDHDQNAISNNPAYHHAGYDQKMPSTTIIPTLLGMFRMSTPTIMATRQLMVAAAPRLMR >ONIVA02G25340.1 pep chromosome:AWHD00000000:2:22948564:22949397:1 gene:ONIVA02G25340 transcript:ONIVA02G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSAAAGGEDDVELLKAVAQAWHAQSGNPRAVASAAAQGADDDGGGGGVSSSGTRRAGVGGPGQRRPSRFKLEAAAIRDRDTSGGGGGERAWDFAQSLWDTYELVAVARRLESGLVLADHHPGAAAAQERAATTREGGGGGGVKRARESSRSLRSIFLLSSWSSSRRDTSSELLQWIWGEVHHQKD >ONIVA02G25340.2 pep chromosome:AWHD00000000:2:22948564:22952085:1 gene:ONIVA02G25340 transcript:ONIVA02G25340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSAAAGGEDDVELLKAVAQAWHAQSGNPRAVASAAAQGADDDGGGGGVSSSGTRRAGVGGPGQRRPSRFKLEAAAIRDRDTSGGGGGERAWDFAQSLWDTYELVAVARRLESGLVLADHHPGAAAAQERAATTREGGGGGGVKRARESSRSLRSIFLLSSWSSSRRTANAKWQMMSPVQRSA >ONIVA02G25330.1 pep chromosome:AWHD00000000:2:22932820:22936017:-1 gene:ONIVA02G25330 transcript:ONIVA02G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGYYNCKKTDGICEDVCDSEHGSKAVFSMSRLKCALRGFDLRALLILLIGLPILIFVIYLHGQKVTYFLRPIWEKPPKPFKVLPHYYNENVSMANLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLKKDLHFKENRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDIPEVLHLQLRNYLYSFEFFLDDKSWRASIHRYRAGKTRYAHFRQTDDLLADSGWHCSFCFRYISDFVFKMQAYSHVDRIRFKYFLNPKRIQHVICRGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEKMSYPALILRAIIILLSFDIFAVHYRKHMYNAVGVWSQLTVCKQPEQTTRVVAVIVRVRLFVPLSDMLRRHFVGRSGLEQAVLVGRPLQPD >ONIVA02G25320.1 pep chromosome:AWHD00000000:2:22922936:22935705:1 gene:ONIVA02G25320 transcript:ONIVA02G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEVDVVVVVGEEEIMGRGGRLRVGAWHLAVAVAVTREERSRVAFPVEILNKNSESLTMEYLLELVRQMNLYMMFVNLAEADVRQEQPVPTHFVPQNAALTLVRKYFEGLWGLFPDWSEEVSDFLAMKAGQPILPAREILPAPDASKPRTPPNPNLEQMPPIPRKQSSKPRD >ONIVA02G25310.1 pep chromosome:AWHD00000000:2:22920544:22922671:-1 gene:ONIVA02G25310 transcript:ONIVA02G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGYYNCKKNDGGICGGVCGGSEHGSKAILSMSRLKCALRGFDLRALLILLIGVPALIFIIYVHGQKVTYFLRPIWEKPPKPFNVLPHYYHENVSMANLCRLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLKKDLHFKENRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDTPEVLHLQLRNYLYSFQFLLDDKSWRASIHRYRAGKTRYAHFRQTDDLLADSGWHCSFCFRHINDFVFKMQAYSHVDRIRFKYFLNPKRIQHVICQGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEKMDQYRYLLPGNCMRESG >ONIVA02G25300.1 pep chromosome:AWHD00000000:2:22913518:22916549:-1 gene:ONIVA02G25300 transcript:ONIVA02G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWLITGRGVAKKIRNAPHCSSRPISELGAEAQMECPNCKHVIDNSDVAIQWPGLPAGVKFDPSDLELLEHLEQKIGLGGSKPHTFIDEFIPTIDNDEGICYSHPENLPGMKKDGTSGHFFHRVSNAYGCGQRKRRKISNCDHVVSVEHVRWHKTGKSKAIVEKGVTKGWKKIMVLYKSSQRGAKPDKANWVMHQYHLGAEEDEKDGELVVSKISYQLHGKQIDKFETGNADEESDAFAARVGPKTPKSNTPQPCRLKNSPCETENYDPILEDQDEEESNIPIVSLKDDAGNPAWCAGETQAAREAVQACPNLDESLRCHEVLDSFYHETLLPSDRPILSQGGNEILDRNLNAVYGLPDLYNVDLGTPPDFQLADLQFGSQESFGNWLDSI >ONIVA02G25300.2 pep chromosome:AWHD00000000:2:22913518:22916549:-1 gene:ONIVA02G25300 transcript:ONIVA02G25300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWLITGRGVAKKIRNAPHCSSRPISELGAEAQMECPNCKHVIDNSDVAIQWPGLPAGVKFDPSDLELLEHLEQKIGLGGSKPHTFIDEFIPTIDNDEGICYSHPENLPGMKKDGTSGHFFHRVSNAYGCGQRKRRKISNCDHVVSVEHVRWHKTGKSKAIVEKGVTKGWKKIMVLYKSSQRGAKPDKANWVMHQYHLGAEEDEKDGELVVSKISYQLHGKQIDKFETGNADEESDAFAARVGPKTPKSNTPQPCRLKNSPCETENYDPILEDQDDAGNPAWCAGETQAAREAVQACPNLDESLRCHEVLDSFYHETLLPSDRPILSQGGNEILDRNLNAVYGLPDLYNVDLGTPPDFQLADLQFGSQESFGNWLDSI >ONIVA02G25290.1 pep chromosome:AWHD00000000:2:22898886:22899774:-1 gene:ONIVA02G25290 transcript:ONIVA02G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAISVTSSTVSAVISKDSSSTQRASWLQEKNTRILGVEQRGGPPACLRRL >ONIVA02G25280.1 pep chromosome:AWHD00000000:2:22894790:22897751:1 gene:ONIVA02G25280 transcript:ONIVA02G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFYSNESVRSVCTEVATDLQILVGDCLYQLHKFPLLSKCLLLQALCAESGCGGNGGDVIELPGFPGGVEAFDACAKFCYGITVTVSARNLVPLRCAAAHLGMSEAADRGNLAAKLDAFLASCLLRRWKDALAVLNSTRHCAPLCEDIGLTSRCVDAVAALIASPAALPAHSSSASPWWAHDVAELGVDLFWRIMVAVKATGAVHEKTVGDALKAYARRWLPNVAKDGIVVGADQPFDGAGNGGDGGNASVKQIATRHRLLLEKIVSLIPAERDAVSCSFLLKLLKAANILSASATSRAELVRRVAWQLEEATVGDLLIPSLSCVSETLYDVDAVAAILDEFALRHAAAPPPPVALAVSPDDDDDSPARSGGHRRSRSAESVGFDGAARRSSSAAPVSPDALVRVGRLVDGFLIEVARDPNMPLDKLLAIAEAVPDTARPEHDGLYKVVDTYLKVHSEMSKSARKRLCRVINCRKLSDKACAHAAQNELLPLRVVVQVLFFEHARAAAMAGGAHAAAELPGSIRALLQSKSSGSDQEDDAADRVDEQRLRALAAGASPGDDWSVEGLRRAASKIATLRMKLEEDDDHDGGGGDDEEFARRQQAGLARSASLRFRAFCAIPAARPKRMLSKLWPLARGVTTERH >ONIVA02G25270.1 pep chromosome:AWHD00000000:2:22885094:22886514:1 gene:ONIVA02G25270 transcript:ONIVA02G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEDDTCENKDCCVNAGRKATTVGENGACENKDCRVGAGRKASAVEEDNACENKEKRRKMMVAKATSLSFLDCPKLGILIEPFFIPLSSSSWMWSSLGSWLLLPWMVRTCSTSMLVGWLARPHSRTITAGTARRPPLAPLSEWILLVGNSFRGSGKYCMFESPAGFPKPPGSGYRALVFFFYGSLAESR >ONIVA02G25260.1 pep chromosome:AWHD00000000:2:22875799:22876818:1 gene:ONIVA02G25260 transcript:ONIVA02G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPANAQLYGHAHAHSHHHRSKRPSPGGGGGGAASLGADGGGGGGSLSGTRYRGVRRRPWGRFAAEIRDPASKERRWLGTFDTAEQAACAYDVAARAMRGTRARTNFPVPAAAGFPGGGSGGCWPWVNIPPQGAAAAASHQQPLNTFLLHNLLMSSSPHGCLLLHHAGHGHGHAHSHSHSHSRAHNPSTRPPTSAPPPPPPAAASSATTAPATTTGAAATSAPGADDDAWGFLLRREPPEAGLLQDVLHGFYPTRRPHDDAGPAPKLERPYEATSSYRVSSPWGAVEDCDDGDGDGDDDYRGFPMMPQGLLEDVIQCPPYMEVLAAPSAAVGRVSRRG >ONIVA02G25250.1 pep chromosome:AWHD00000000:2:22864206:22865343:-1 gene:ONIVA02G25250 transcript:ONIVA02G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPELGTRPLEPPPKQPRSCSLPSLPCFPSSSRPSLLQSERAEVRYSSVDIKAKAGCAAVSPSSTFFRRTIAPAGPPLTDRVEDPTGLAGTEVDLEGYVEAPEGHVSRGKP >ONIVA02G25240.1 pep chromosome:AWHD00000000:2:22854108:22859938:1 gene:ONIVA02G25240 transcript:ONIVA02G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSEFYAGEGLQIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHKGDTPEEMVKREGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVGLRPRSLEPRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPEVSVTAVHICQYNSFLSIFANPEIYPQLYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTTCWKEEPNERPNFTQIVQMLLHYLSTLSPPEPLAPPRTFSSENAILPPESPGTSSLMASRGDLGDTPKGKMEDKPRGFFFCFSQCY >ONIVA02G25230.1 pep chromosome:AWHD00000000:2:22844522:22849406:1 gene:ONIVA02G25230 transcript:ONIVA02G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40530) TAIR;Acc:AT5G40530] MEPLQEAAAAAAGGRKRRRRGGGRNRRKHQASSSSGAAASASPPSPPAKRQRGDDAAPKGRGSKPKPASLLDKMRARLSGGHFRMLNEKLYTCSGQDAFDYFTNEPDLFDVYHAGYREQMSHWPEQPVNVIINWLKSHSASWTVADFGCGNAAVSKNVKNKVFSIDLVSEDPSVIACDMAHTPLESSSVDVAIFCLSLMGTNYPSYIEEANRVLKPSGWLLIAEVRSRLDPNTAGADPDKFCEAISKLGFSLVSKDAKNKMFILFHFRKKEKSKVVKNIDWPQLKPCLYKRR >ONIVA02G25230.2 pep chromosome:AWHD00000000:2:22844522:22849399:1 gene:ONIVA02G25230 transcript:ONIVA02G25230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40530) TAIR;Acc:AT5G40530] MEPLQEAAAAAAGGRKRRRRGGGRNRRKHQASSSSGAAASASPPSPPAKRQRGDDAAPKGRGSKPKPASLLDKMRARLSGGHFRMLNEKLYTCSGQDAFDYFTNEPDLFDVYHAGYREQMSHWPEQPVNVIINWLKSHSASWTVADFGCGNAAVSKNVKNKVFSIDLVSEDPSVIACDMAHTPLESSSVDVAIFCLSLMGTNYPSYIEEANRVLKPSGWLLIAEVRSRLDPNTAGADPDKFCEAISKLGFSLVSKDAKNKMFILFHFRKKEKSKVVKNIDWPQLKPCLYKRR >ONIVA02G25220.1 pep chromosome:AWHD00000000:2:22835532:22840636:-1 gene:ONIVA02G25220 transcript:ONIVA02G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSPMYEGLASRPDEWDVVLKVKYGETLKRFGGYVQGPQFSLNLSALRSKIASAFKFGSDVDFILTYTDEDGDIVMLDDDDDLHDAAIHQKLNPLRINVQLNNSHTAAPQAKQQDSDNIPLRSTTTEDPLAHIKSVIDEVLKPISMKSIQEPVPETLAKLSHEVLEAASPQLAELIKPFVKLVTPSNNNPSNGHADGSCSSSTGLPQTQVDPKTNDEPKIDTSLGSQPLDTQNSKSSGARGLKTVSVEAPATSGVKSSQGQQASLYPSIEELLFSPFLPNSGDDKSASKGISDAQSKGKSVMTSATPPTPPAAPAFRPAPPIPSLNDWSQPPARGSTFYPSIWQSEADPKANSDSRWRVPLCRAGHPFRPHAPLSRPPPPMPAPMSYGPSPHFPYPGRLLSSGHLHGDLGNNIENSPARTFHRWIQCDGCGVQPIVGPRYKSKTKEDYDLCDACFHRMGNEVEYTRIDKPLLPQRLLRDPTLCRKIHSRAAMKSKREKLESRFILDVTVLDGTLMAPSTPFTKIWRMHNNGSIMWPLGTQLIWVGGDQFALQTYVPLEIPVDGFPVDQEIDVAVDFVAPARPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVSNNRTAAINLNLPPESNITNTSNLIDVNIEPVDQVFNQHVNSTNKELLEHLIHHQIDEPKNPEPAPLPVPIVSSTTSLHPIIDVDVPSSSTAAAFVPVFDEPAPEPAVTPVPPTVNVPAGNAPASVGASSSDHHGIDNLTEEKLLKELEEMGFRQVDLNKEILRQNKYNLEQSVDDLCGVSEWDPLLAELQEMGFEDTEINKEMLEKNGGSIKRAVMDLIAREKKDQ >ONIVA02G25210.1 pep chromosome:AWHD00000000:2:22832186:22834953:1 gene:ONIVA02G25210 transcript:ONIVA02G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPQSHPILAYVLSRLPSLLPVSPSLSTPRARDIEQPSPRAPSGAAEFDLVSRMPGLRHPSVLSAMTRAVADVSSARDALRLLGPRPDHELVDSARAFLRSHAAEEAEEEEEDEKVAKSREVVRLDEAHESYGGLLREAEERLDRVYRTAMRGRDMQVVAAAHDGGGEEEAGVVDDEVVRVLRDAEEGKAVERLLLADRQLRHLPEQLGRIRGLLVLDVSRNQLKNVPDAIGGLEHLEELRLASNALVSLPDSIGLLTSLRILDVSGNKLRSLPDSISKCRSLVELDVSYNVLSYLPTGIGQEMARLEKLWVHLNKLRSLPSSVCEMRSLRLLDAHFNQLRGLPAGIGRLAALESLNLSSNFSDMRDLPASFGDLLGLRELDLSNNQIHALPDCFGRLQRLERLRLDQNPLAVPPKEVVAGGVGAVKEYMARRWRDARAEEERRGSAVAESPRVSTPKEWLVRSVSSLGSWVSDVTRYGAGQDKAAAEEEEDAYLQQNL >ONIVA02G25200.1 pep chromosome:AWHD00000000:2:22829441:22829881:-1 gene:ONIVA02G25200 transcript:ONIVA02G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPALMRQWPSPPLIPASTLLPVPATTQEDELLLAMAESDLEDKIRKTNSNLVIIGKPTGDVKEEYDAEAEDDDADNVEESDGDDFDQETG >ONIVA02G25190.1 pep chromosome:AWHD00000000:2:22825095:22828896:1 gene:ONIVA02G25190 transcript:ONIVA02G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 2;1 [Source:Projected from Arabidopsis thaliana (AT3G26570) TAIR;Acc:AT3G26570] MSQSSPFFSVARAHAGAGGRAAAAALLLRHPVAQLPPRIHGLRYYPSAIVSPAKTLNSHLGLPRATISSFANADNGSSGQADATESEEEQNGESELSEMAKAFHISPRMAMSISVVIAFAALTVPLAMQSVVFHGTNKMKALAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGAFLMGTHVTSTMQKGILVASVFQGKDSLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGIVFGGVNAVFWSSLARVSSSWVISPLMGAAVSFIVYKGIRRFVYSAPNPGQAAAAAAPIAVFTGVTAISFAAFPLSKTFSIAILQALACGAIGAVIVNRVIQKQLGDLLSSEAEKIASADKANAQQVGFLSDIAGPTGAQLQIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGVASSAEIVIPTEVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVASWLVTIPVGAVLSIFYTLLFTKILAYFM >ONIVA02G25180.1 pep chromosome:AWHD00000000:2:22823059:22825936:-1 gene:ONIVA02G25180 transcript:ONIVA02G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCMVLVTWVPIRNAPENSSTAANPESSVRYASAFILFVPWKTTDCIASGTVSAANAITTEIDIAIRGDIWNALAISDSSDSPFCSSSDSVASACPLEPLSALAKEDIVARGRPRVVPQAMNPRWQLSNRVTEQESGSRSSPSCSSMCSGNGEERGRLRHGVAEAKYRFEQRSTEKKKMRLRGRRGGRWTGGSRLAVAIGALLAKDHRTENQLVCSRPDAEEKNNQRLCPCIRH >ONIVA02G25180.2 pep chromosome:AWHD00000000:2:22824339:22825936:-1 gene:ONIVA02G25180 transcript:ONIVA02G25180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCMVLVTWVPIRNAPENSSTAANPESSVRYASAFILFVPWKTTDCIASGTVSAANAITTEIDIAIRGDIWNALAISDSSDSPFCSSSDSVASACPLEPLSALAKEDIVARGRPRVVPQAMNPRWQLSNRVTEQESGSRSSPSCSSMCSGNGEERGRLRHGVAEAKYRFEQRSTEKKKMRLRGRRGGRWTGGSRLAVAIGALLAKDHRTENQLVCSRPDAEEKNNQRLCPCIRYGILDLVFYDH >ONIVA02G25170.1 pep chromosome:AWHD00000000:2:22806161:22819412:-1 gene:ONIVA02G25170 transcript:ONIVA02G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G971] MGAAEGCCGGAAIAAAAGVIEEAETVVPPPATAIASSKAMLYHNDLTLCGRNISGIRIMTRTQKSHSLDPLSAAEISVAVATVRASGRTPEVSVHLLPFIQNYVLIMRFVEVVLLEPEKTVMALADAYFFPPFQPSLLPRTKNAPIIPTRLPARRARLVVYNKMTNETSIWIVELSEVHAATRGGHQRGKLISSEDAMEYAECEATVKSHPPFIEAMKKRGVDDMDLVMVDPWCAGYYSDADAPNRRIAKPLIFCRTESDSPMENGYARPVEGIHIVIDVQNNTVIEFEDRKFVPLPPPDHLRNYTPGETRGGVDRSDLKTLIINQPDGPSFRVNGYFVEWQKGCDCLGLIKYFDAHFTNFTGGVETIENVVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFVCTIANYEYGFYWHFYQDGKIEAEVKLTGILNLGTLMPGEQRKYGTTIAPSLYAPVHQHFFVARMDMAVDCKPNEAYNQVRNTRTVNRTGQPTGYKLVPGSSCLPLALPEAKFLRRAGFLKHNLWVTSFKNDEMFPGGEFPNQNPRINEGLATRVKKDRSLEETNLVLWYVFGFTHIPRLEDWPVMPVERISFMLMPDGLFNCSPAIDVPPGPDTSALSSSRGQPGRTLAPGRRHTSLPFPTLPPAKRVAGNRAACRDGSGEAPIPGGAAVSARRGGADRREGCAAARHCGGGSGAATDGSGTPAAGSGVATAGSGPWRHGRGVGAMPTPAKVARCGVGSEGAGDGDSGGGAVGATAAEEWVAVVATEARATSVGAEARRLWLWRAAVPGRQLAGRRSCNTLKIRPTKSKL >ONIVA02G25160.1 pep chromosome:AWHD00000000:2:22805001:22805653:-1 gene:ONIVA02G25160 transcript:ONIVA02G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRWLAVTAVSSGCGDGDRDRDWRRHGVIGGVGGRLGAERHSRWQWWPARRERRGQWRGGRLGVRGATDGGRPDWLERRVRWWRRPAWRDEARPTVKVATTVREGAASRCGAVFGTRRLAGRGCRCSGPTCRQRLSDSGASIRGLVSDEQRVKTQPGFGRTDNDGSFPLPRALSCHLIPQGWLPGESPVLALLSP >ONIVA02G25150.1 pep chromosome:AWHD00000000:2:22793403:22794020:-1 gene:ONIVA02G25150 transcript:ONIVA02G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLETHKNDHHSNMRPPQIISKATLHSHPKTMSSSSPATATTATMSSFLQRCFLCRRELADGKDIYMYRGDRAFCSVDCRCKQIFMDEDAAAAGGNCAAVRAGRRRAAVPREQTGAGGFAY >ONIVA02G25140.1 pep chromosome:AWHD00000000:2:22791894:22792514:1 gene:ONIVA02G25140 transcript:ONIVA02G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVDGLTMASSRADGREARSSAAAVTWSWRAPVATPPAPRAPPVGAPPLHSWRHPITLPSTLSGTGANTVSRAEKPRRPAVEELPRRRGDEHRAEQRAGLLDTEQRQRPLASSASAERSSKGPSRRRAVAVRNSLVPSGDGDGRISAAGFSQVGELDEASPAWENNGGHTSRRYNISQLFSSPSPHQVGPVPEFFARGVRRCG >ONIVA02G25120.1 pep chromosome:AWHD00000000:2:22781513:22783676:-1 gene:ONIVA02G25120 transcript:ONIVA02G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: response to oxidative stress; LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Folate receptor, conserved regio /.../erPro:IPR018143); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G27830) TAIR;Acc:AT5G27830] MSPTAPSRTGRALALLPVLVLLLLVCSPAASAGEQKGVCVSPGGRFPAFSSEGKRPGRAAKGRRDLALCRVFRQNTCCDVSQTFSALLSVRKLASTGEGSQECLHLWELLECSICDPRVGVRPGPPVICASFCDMVFKACSEAYFAIDVKTQALSPCGLGDILCGKAHKWVSNGTELCRSAGFSVQALETTSGGVDDTFCYGGKASFDAISSSWTSSKERPVLSDVASWNLEDFRRWAREMPASERVSWAIGGMVLTAGLIFISKRKSYIPGQKQAAIARNVRKLESRVNPQQLRRN >ONIVA02G25110.1 pep chromosome:AWHD00000000:2:22777670:22781032:1 gene:ONIVA02G25110 transcript:ONIVA02G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAKQMAEAPGKIESMRKWVIDHKLRAVGCLWLTGISSSIAYNWSRPNMKTSVKIIHARLHAQALTLAALVGSAMVEYYDAKYGTSGPKVDKYTSQYLAHSHKD >ONIVA02G25100.1 pep chromosome:AWHD00000000:2:22768695:22774649:-1 gene:ONIVA02G25100 transcript:ONIVA02G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein PMS1 [Source:Projected from Arabidopsis thaliana (AT4G02460) UniProtKB/Swiss-Prot;Acc:Q941I6] MAGGSSPAIRPIGKSAVHRICSGQVIFDLSSAVKELVENSLDAGATTVEVTLRSYGEDSFTALALKHHTSKISDFGDLASVATFGFRGEALSSLCALGKLTVETRTKDEPVGTRLEFAHSGVVTSERKMARPVGTAVTVEKLFSTLPVRSKEFSRNIRKEYGKVISLLNAYALIAKGVRIVCTNTVGKNSKSAVLRTQGSSSLKDNIITVFGLNTFKCLQPFNVTISEGCQVDGFLSKPGPGSGRNSGDRQFFYVNGRPVDMPKVSKLVNELYRSSNAKQYPVAVLNFCIPTTSYDVNVAPDKRKIFFSSEHAILLSLREGIENLYCPQQCSFSINSVEDPGKEMDPAIDGSDEDMCLTEKENVSAPENDDDMDETDSDDEVTPENQKVPSSVTTRVATGSTPKDVSPLSRGPPAQLDRSTLLSAYRYEQREKTPTRVKSYPAQANHVRTGLAAKSSPSSAVQPSIMKFLSQNKRKHEDSCNLISEAPVLRRGTCLEQVRRTDLGANSPTALTSRVSNIPEVNAPQGTNPLRHHSLQSFVPEMPEDSPQHSEPPNIVSHRDEVPQLRPCDVHATESEVDNQHDPCHSKFGAPSRCSEVEPQNKLTNISLPDAHYDGHDTAAHSGQSSYPVMQFTLADLRRRRRHSFMISHAKKGSFPEKSTRCYKAATLDNYVPDNEEGKSNSLAAATSELDRLFSKDDFGEMEVVGQFNLGFIIGKLDQDLFIVDQHAADEKYNFENLSQSTTLNIQPLLQPLRLDLSPEEEVIELISMLADSQGDCSIISSYKLDRTDSICPSRVRAMLASRACRMSTMIGDPLTKTEMKKILKNLTGLRSPWNCPHGRPTMRHLADLHAIKTEGSKATFS >ONIVA02G25090.1 pep chromosome:AWHD00000000:2:22759631:22765946:-1 gene:ONIVA02G25090 transcript:ONIVA02G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTRALLLLPILLLFLLSRSISLRADPDPAVSRIAFGSCANQSAPQPVWEAVVGFDPQVFIWLGDNVYGDNKRPFRVFGRERTVGPWRNVPRFYPSTEAELRRRYEMAKAKPGYAKLRERAQVIGTWDDHDYGLNDAGKEFGGKVTSQRLLLDFLDEAEDSSRRQQAGVYASYMFGPEGKRVKVILLDTRYHRDPLSSDGAVLGDPQWQWLERELHGPRSEITIIGSSIQVISNLSATTGPLFYVESWARFPRERERLFRLIDSKISRYDCGAQYPLYDITSSGLTQSVENSVPSVFQSLMRLVALLTPTTLRVFSPNCRYKSCTYGQPNFGAIEIDWNAVPPQIKLELRDVEGNSVGGVEFPISELDPSKAHAITKQGHSYQRHCALETELPWLELPAYQLLIFSPRNPRWNSHKKDMKELVACHVVRLQISNPIVMSIIVFCESGEPKVWNTLSCRNRHGRQKQPLLADLSCLSAFGAHGFSAQTASANCLIRLIRPRQSTSSSRCRGHPSLSIRRREQTGDGTTHAQGRMAAKIPVIDLRLAGEAPGEAARLRDAARRLGCFRVSGHGVPRELQAGMRAAVRALFDLPGDAKRRNADVIHGSGYMAPSAANPLYEAFGLYDAASPADVDAFCSCLDAPPHAREAIRSYAEKAHELVVDVAAKLATSLGLDCSFGDWPCQFRINRYNYTPDTVGKTGVQVHTDSGFLTVLQEDDRVGGLEVADPDTGEFAPVDPLPGTFLVNLGDVATAWSNGELHNVRHRVRCVAGVQRVSIALFLLAPKDDVVRAPEAFVSAERPRRFRDFGYDDYRRLRQSTGEHAGEALARLAA >ONIVA02G25080.1 pep chromosome:AWHD00000000:2:22750848:22756248:1 gene:ONIVA02G25080 transcript:ONIVA02G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNPFGGKVQNGLEGRTIDVGNIKITVRNAIAQGGFSCVYLASDAMHPSKQYAMKHIICNDSELLDLVMKEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGTGYYEEKKALLILRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDRPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSAAVTKLIKDMLEASPNDRPDVTQARALIDWPFISMILGAYKRTHVMPRRNPPPPPREQSNSSLSHGSSKAGDAPLGAFWATQHAQGSQVADNRNSLFDEEPIKPSPSSKHNQSRGDISISAPGDRHGRSGQAVRGTPSNSVSNNGLASGANTNLFMESQSSLKTKESQPKSEKDPFNSFVADFEANNLHSGTNVFSKESELEAEVSNLKEQLKKTSSEKAEMTAKFEKLSAICRSQRQEIQELKRTLAETTPPSSKVSSRLPDSGPQRKEKIEGTVWELEQGMLANSLPSSEAKTWQAFPDLKSQPAQVRPKVDHSTNGAQNLARNANSRQSPDGWGFGPDSFRTSPGSTAAQINRPTAQGSSSQRFSSGAAKKVEQPSGWAGF >ONIVA02G25080.2 pep chromosome:AWHD00000000:2:22750848:22756248:1 gene:ONIVA02G25080 transcript:ONIVA02G25080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNPFGGKVQNGLEGRTIDVGNIKITVRNAIAQGGFSCVYLASDAMHPSKQYAMKHIICNDSELLDLVMKEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGTGYYEEKKALLILRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDRPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSAAVTKLIKDMLEASPNDRPDVTQARALIDWPFISMILGAYKRTHVMPRRNPPPPPREQSNSSLSHGSSKAGDAPLGAFWATQHAQGSQVADNRNSLFDEEPIKPSPSSKHNQSRGDISISAPGDRHGRSGQAVRGTPSNSVSNNGLASGANTNLFMESQSSLKTKESQPKSEKDPFNSFVADFEANNLHSGTNVFSKESELEAEVSNLKEQLKKTSSEKAEMTAKFEKLSAICRSQRQEIQELKRTLAETTPPSSKVSSRLPDSGPQRKEKIEGTVWELEQGMLANSLPSSEAKTWQAFPDLKSQPAQVRPKVDHSTNGAQNLARNANSRQSPDGWGFGPDSFRTSPGSTAAQINRPTAQGSSSQRFSSGAAKKVEQPSGWAGF >ONIVA02G25080.3 pep chromosome:AWHD00000000:2:22751274:22756248:1 gene:ONIVA02G25080 transcript:ONIVA02G25080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNPFGGKVQNGLEGRTIDVGNIKITVRNAIAQGGFSCVYLASDAMHPSKQYAMKHIICNDSELLDLVMKEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGTGYYEEKKALLILRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDRPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSAAVTKLIKDMLEASPNDRPDVTQARALIDWPFISMILGAYKRTHVMPRRNPPPPPREQSNSSLSHGSSKAGDAPLGAFWATQHAQGSQVADNRNSLFDEEPIKPSPSSKHNQSRGDISISAPGDRHGRSGQAVRGTPSNSVSNNGLASGANTNLFMESQSSLKTKESQPKSEKDPFNSFVADFEANNLHSGTNVFSKESELEAEVSNLKEQLKKTSSEKAEMTAKFEKLSAICRSQRQEIQELKRTLAETTPPSSKVSSRLPDSGPQRKEKIEGTVWELEQGMLANSLPSSEAKTWQAFPDLKSQPAQVRPKVDHSTNGAQNLARNANSRQSPDGWGFGPDSFRTSPGSTAAQINRPTAQGSSSQRFSSGAAKKVEQPSGWAGF >ONIVA02G25070.1 pep chromosome:AWHD00000000:2:22743718:22746368:-1 gene:ONIVA02G25070 transcript:ONIVA02G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRSSDAAAAVEKPDEFAPQRPERTLFGFKEKPADDEEAEAEPASEGEEDAAAAAAAAPFRNKEKVLVTCSRRINYRYRHLMQNVVSLLPHAKKDSKVESKQSKGNALNELLELRSCSSCLFFECRKQKDLYLWMVKSPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPLITFSTNFDEQPHWQLVKEMLTQIFATPKDHRKAKPFHDHVFVFSIVDDHVWFRNYQISVPHNEIDKVDKGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKRKKAGKYAKKVKAKVRRKMHEMENTLEPDEFAELWKGED >ONIVA02G25060.1 pep chromosome:AWHD00000000:2:22740698:22742385:-1 gene:ONIVA02G25060 transcript:ONIVA02G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L6 [Source:UniProtKB/TrEMBL;Acc:A0A0E0G959] MAPTSKLSQGIKRASRSHTYHRRGLWAIKAKNGGTFPKAGKPAAAAEPKFYPADDVKPRAPSTRKANPTKLRSTITPGTVLILLAGRYMGKRVVFLKQLKSGLLLITGPFKINGVPIRRVNQAYVIATSTKVDISGVKVDKFDDKYFARDKKAKAKKTEGELFETEKEATKNLPDFKKDDQKAVDAELIKAIEVVPDLKSYLGARFSLRDGDKPHEMTF >ONIVA02G25050.1 pep chromosome:AWHD00000000:2:22730906:22738593:-1 gene:ONIVA02G25050 transcript:ONIVA02G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G12920) TAIR;Acc:AT5G12920] MEKFLVAAPPPSGDAPAAPVPPRRHRWSRVAAELDGRIDARFRHRESVRLRDSFSEVTEISEKSICFVSVLDVIGRPDLFPVAQCSFLSWWSGIRKGVPNSLGAGSIPSSCSLPSPSGRKGTAGCSISSSTRGRTAAAEEAALWRSAGLLPELTIRIRVAKFMPGDITFIRTFSHNYYMEGQERCTTYMNRVVNDSVLGFQAVREGISAMEFDNKGIYLASVTASGCLTVHDFETLYCSTYGPSRGLPDESSNYLLHISNSMPLCAVRWNPANQDEIVCVSRQTDMVLLFDIGCVSSTPTEILRKGRSRYPVLSEFRKGLTDVAFSSDDKSWLFASGLDGAVFMWDMRLSKKHCLELIGHPESQFSSVKLNIDNRTVFAATKEGTVHAWDLRGGRASAAFQSHNEVQQLSSVKISTLLGKIPSLKDQTNIVSSEILSIDFNPSCSYQLAFHLDNGWSGALNINTLSVSHLHCPPPDWLEHMNFMWQKLHRKPTWLPTSSIYAVGSASNTVGMHLLDFHPDTSSACHVDYNEEIRGSDEKKPAANKFIPSSQRVVSCAAHPFCHTILAGTQFSSLLVLSQKQESIKNSE >ONIVA02G25050.2 pep chromosome:AWHD00000000:2:22730908:22738545:-1 gene:ONIVA02G25050 transcript:ONIVA02G25050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G12920) TAIR;Acc:AT5G12920] MEKFLVAAPPPSGDAPAAPVPPRRHRWSRVAAELDGRIDARFRHRESVRLRDSFSEVTEISEKSICFVSVLDVIGRPDLFPVAQCSFLSWWSGIRKGVPNSLGAGSIPSSCSLPSPSGRKGTAGCSISSSTRGRTAAAEEAALWRSAGLLPELTIRIRVAKFMPGDITFIRTFSHNYYMEGQERCTTYMNRVVNDSVLGFQAVREGISAMEFDNKGIYLASVTASGCLTVHDFETLYCSTYGPSRGLPDESSNYLLHISNSMPLCAVRWNPANQDEIVCVSRQTDMVLLFDIGCVSSTPTEILRKGRSRYPVLSEFRKGLTDVAFSSDDKSWLFASGLDGAVFMWDMRLSKKHCLELIGHPESQFSSVKLNIDNRTVFAATKEGTVHAWDLRGGRASAAFQSHNEVQQLSSVKISTLLGKIPSLKDQTNIVSSEILSIDFNPSCSYQLAFHLDNGWSGALNINTLSVSHLHCPPPDWLEHMNFMWQKLHRKPTWLPTSSIYAVGSASNTVGMHLLDFHPDTSSACHVDYNEEIRGSDEKKPAANKFIPSSQRVVSCAAHPFCHTILAGTQFSSLLVLSQKQESIKNSE >ONIVA02G25050.3 pep chromosome:AWHD00000000:2:22730908:22738545:-1 gene:ONIVA02G25050 transcript:ONIVA02G25050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G12920) TAIR;Acc:AT5G12920] MEKFLVAAPPPSGDAPAAPVPPRRHRWSRVAAELDGRIDARFRHRESVRLRDSFSEIRTFSHNYYMEGQERCTTYMNRVVNDSVLGFQAVREGISAMEFDNKGIYLASVTASGCLTVHDFETLYCSTYGPSRGLPDESSNYLLHISNSMPLCAVRWNPANQDEIVCVSRQTDMVLLFDIGCVSSTPTEILRKGRSRYPVLSEFRKGLTDVAFSSDDKSWLFASGLDGAVFMWDMRLSKKHCLELIGHPESQFSSVKLNIDNRTVFAATKEGTVHAWDLRGGRASAAFQSHNEVQQLSSVKISTLLGKIPSLKDQTNIVSSEILSIDFNPSCSYQLAFHLDNGWSGALNINTLSVSHLHCPPPDWLEHMNFMWQKLHRKPTWLPTSSIYAVGSASNTVGMHLLDFHPDTSSACHVDYNEEIRGSDEKKPAANKFIPSSQRVVSCAAHPFCHTILAGTQFSSLLVLSQKQESIKNSE >ONIVA02G25050.4 pep chromosome:AWHD00000000:2:22730906:22738593:-1 gene:ONIVA02G25050 transcript:ONIVA02G25050.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G12920) TAIR;Acc:AT5G12920] MEKFLVAAPPPSGDAPAAPVPPRRHRWSRVAAELDGRIDARFRHRESVRLRDSFSEIRTFSHNYYMEGQERCTTYMNRVVNDSVLGFQAVREGISAMEFDNKGIYLASVTASGCLTVHDFETLYCSTYGPSRGLPDESSNYLLHISNSMPLCAVRWNPANQDEIVCVSRQTDMVLLFDIGCVSSTPTEILRKGRSRYPVLSEFRKGLTDVAFSSDDKSWLFASGLDGAVFMWDMRLSKKHCLELIGHPESQFSSVKLNIDNRTVFAATKEGTVHAWDLRGGRASAAFQSHNEVQQLSSVKISTLLGKIPSLKDQTNIVSSEILSIDFNPSCSYQLAFHLDNGWSGALNINTLSVSHLHCPPPDWLEHMNFMWQKLHRKPTWLPTSSIYAVGSASNTVGMHLLDFHPDTSSACHVDYNEEIRGSDEKKPAANKFIPSSQRVVSCAAHPFCHTILAGTQFSSLLVLSQKQESIKNSE >ONIVA02G25040.1 pep chromosome:AWHD00000000:2:22724337:22728375:1 gene:ONIVA02G25040 transcript:ONIVA02G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDLREGIGFAMPEVRNSGGRAALADPSGGGFFIRRTTSPPGAVAVKPLARRALPPTSNKENVPPSWAVTVRATPKRRSPLPEWYPRSPLRDITSVVKAVERKSRLGNAAVRQQIQLSEDSSRSVDPATPVQKEEGVPQSTPTPPTQKALDAAAPCPGSTQAVASTSTAYLAEGKPKASSSSPSDCSFQTPSRPNDPALADLMEKELSSSIEQIEKMVRKNLKRAPKAAQPSKMTIQKRTLLSMR >ONIVA02G25030.1 pep chromosome:AWHD00000000:2:22693619:22697182:1 gene:ONIVA02G25030 transcript:ONIVA02G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSGDGVGCCSAIVYGGNGVGCCSLLHYAENPMRTKGGYALGLVSLPHRILFWREGGGHLCLPQLLLTELRGQPLPRRGFAARRAIHIAPSTSPHPLVVLVLSASASLPNIFVLHCSCTYSELEWHHILPIPSSASASHMASQRRLLLRHQVNSVAHC >ONIVA02G25030.2 pep chromosome:AWHD00000000:2:22693619:22697182:1 gene:ONIVA02G25030 transcript:ONIVA02G25030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSGDGVGCCSAIVYGGNGVGCCSLLHYAENPMRTKGGYALGLVSLPHRILFWREGGGHLCLPQLLLTELRGQPLPRRGFAARRAIHIAPSTSPHPLVVLVLSASASLPNIFVLHCSCTYSELEWHHILPIPSSASASHMASQRRLLLRHQVNSVAHC >ONIVA02G25030.3 pep chromosome:AWHD00000000:2:22695274:22697856:1 gene:ONIVA02G25030 transcript:ONIVA02G25030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEWIFQFFLSDLPDVRFKIRIEPNTFPNIGASRFLSIFLILPSDNLNSEPIPLPSDGKRVDVMRQDRNCIQSYMLQYRAA >ONIVA02G25020.1 pep chromosome:AWHD00000000:2:22679219:22682787:-1 gene:ONIVA02G25020 transcript:ONIVA02G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVVHKGERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTSACIVTSYCEGGDMAERIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTKDNNIRLADFGLAKLLMEDLASTIVGTPNYMCPEILADIPYGYKSDIWSLGCCMFEILAHRPAFKAADMASLINKINRSSISPMPPIYSSSLKQIVKSMLRKNPEHRPTAGELLRHPYLQPYLAESCSCSPIYLPVKPTKSNLGDKQQSRKPGSGRKRIIKTNGSSEALETAAEQAVDTRDNSTYISDVSTVGTQDACISQVSVDPQARNKAYQNIDDLTLFQQIEENLMTITDRQIDEAIFLKAVRTSNTVDVVPVSGAIQKPNEAPIPKEELTIGVVQEQRKEVKAHTHQGSKPGTGDVPIVTEESSPKSAVKLAHSDSTPAEWDHLNIVQQRADALESLLELCAKLLKQERLEELAGVLRPFGEGAVSSRETAIWLTKSLMTPPKLEGSPKLT >ONIVA02G25020.2 pep chromosome:AWHD00000000:2:22679219:22682787:-1 gene:ONIVA02G25020 transcript:ONIVA02G25020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVVHKGERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEVQIPRINSVLGGTSACIVTSYCEGGDMAERIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTKDNNIRLADFGLAKLLMEDLASTIVGTPNYMCPEILADIPYGYKSDIWSLGCCMFEILAHRPAFKAADMASLINKINRSSISPMPPIYSSSLKQIVKSMLRKNPEHRPTAGELLRHPYLQPYLAESCSCSPIYLPVKPTKSNLGDKQQSRKPGSGRKRIIKTNGSSEALETAAEQAVDTRDNSTYISDVSTVGTQDACISQVSVDPQARNKAYQNIDDLTLFQQIEENLMTITDRQIDEAIFLKAVRTSNTVDVVPVSGAIQKPNEAPIPKEELTIGVVQEQRKEVKAHTHQGSKPGTGDVPIVTEESSPKSAVKLAHSDSTPAEWDHLNIVQQRADALESLLELCAKLLKQERLEELAGVLRPFGEGAVSSRETAIWLTKSLMTPPKLEGSPKLT >ONIVA02G25010.1 pep chromosome:AWHD00000000:2:22677210:22689040:1 gene:ONIVA02G25010 transcript:ONIVA02G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGSLDYHRIRKWTETTETETETNAAPRNHCCCYLPLGFCGTFARVCGRAVGPTWRWMVGWWRDPFVSEMGPARGGEFWRFNSCNAETPQDSVQIQSGEEAKRFEKSGNVGLR >ONIVA02G25000.1 pep chromosome:AWHD00000000:2:22649202:22652072:1 gene:ONIVA02G25000 transcript:ONIVA02G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTHLLTPYKMGQLNLAHRSYGSMPQPHNLLYYAQRATPGALLIAEASAVSYAALGRSKDDAANGPIHRQRSGPPGFLFGTNLTDYNSATDGVKATESGVNDRNNLSKWWFMSRLNESGNAGGAEESHHTLPSSLDAPGLWNQEQVEAWRPIVDAVHAKGALFFCQIWHNGRVFSTDNPVTPQVSYFGNIDDLPPAAPQRLETVEIVQIVEDFRVAARNAIKAGFDGVEIHAANGHLLHQFMKASVNDRTDEYGGSVENRCRITVDAMSAVAEEIGADRVGVRLSPFADHCREEGTDPEEVALHLIGAMNGLGVLYCHVIEPRCVSSSEEHRARRNVPHRLLPFRRAFHGTFIVNGGYDREEGDKAVGDGYADLVSYGRLFLANPDLPERFRQKAALNAYDRSTFYTPDPVVGYTDYPFLEQPLAV >ONIVA02G24990.1 pep chromosome:AWHD00000000:2:22633666:22640726:1 gene:ONIVA02G24990 transcript:ONIVA02G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVKVFGPAQSTNVARVLLCLEEVGAEYEVVNVDFTVMEHKSPEHLKRNPFGQIPAFQDGDLYLFESRAIGKYILRKYKTREADLLREGNLREAAMVDVWTEVETHQYNSAISPIVYECIINPAMRGIPTNQKVVDESAEKLKKVLEVYEARLSQSTYLAGDFVSFADLNHFPYTFYFMGTPYASLFDSYPHVKAWWERLMARPSVKKLAAVMAPQGAVIFKLQ >ONIVA02G24990.2 pep chromosome:AWHD00000000:2:22640451:22641914:1 gene:ONIVA02G24990 transcript:ONIVA02G24990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKVFGRAISTNVSRVLVCLEEVGADYELVTVDFLAGEQNSPEHVERNPFGKIPALQDGDLVLFESRAIAKYILRKYKSSEVDLLRESDIGEAALVDVWTEVEAHQYYPALSPIVFECIIFPIMRGVPTNQQVVDESLEKLKKVLETYEARLSASRYLAGDFLSFADLNHFPFTYYFMATPYASLFDAYPHVKAWWEGLMSRPSIKKISANMPTKF >ONIVA02G24980.1 pep chromosome:AWHD00000000:2:22622649:22627645:-1 gene:ONIVA02G24980 transcript:ONIVA02G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAKSTAVARVLVCLEEVGAEYELVGVHIPAGEQKSPAHLARNESNLSQSAMVDVWLEVESQTFDTAMSAITFQCLTIPTFMGGIADDKIVEENLGKLKKALEVYEARSCRFRYLAGDFISLADLSHFPMAHYLLATPHASVLDAYPHVKSWINDLMKRPAVKRRKMAPAKVYGPAMSTNVMRILVCLEEVGAEYEVVPVDMSTGEHKRPPHISRNPFGQVPAFEDGDLTLFESRAISKYILRKHGSDLLRESNLSESAMVDVWLEVESSHFDGAMSPIIFQCFIVPMFMGGATDIGVVNESLEKLKKALEVYEAQLSKSKYLAGDFISLADISHFPTVYYLLASAHASVLEAYPRVKAWIDDVMQRPSVKKVTEALKMPSA >ONIVA02G24970.1 pep chromosome:AWHD00000000:2:22601350:22602249:-1 gene:ONIVA02G24970 transcript:ONIVA02G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPTDKGKGAAVAAAAGGGVFMHYGRCVTGNRTPADYDMEDGDEVSFFPDGTRTMPVTLTVKDNKGRRVTHTMPPREGVFMYNSREISFYQTPEKCDMNDGDEITFHPFSKPSTFVTLTIKGSTDDGGRSGVVVTRPMRRTDELQRLIDYYFAMVPTDDQNGEWAVTYGGRQAGGEETPADYEMEDGDQLRLVPASKPSRFVTIDLLTMVKAKRTYTLRRTDKLQGLMDLCLSREPASMYRHGCVLIYEGRRVQDSQTPDDLKLEDGDTIHAIARQVG >ONIVA02G24960.1 pep chromosome:AWHD00000000:2:22593292:22594166:-1 gene:ONIVA02G24960 transcript:ONIVA02G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKVFGSAPFTNVARVLLCLEEVGADYEIVDVDFGAREHKGPDHLARNPFGQVPAFQDGDLMLFESRAICRYILRKHRATDEANLLREGDPSESAVVDAWLDVEALRYEPSVHAVFVQRRVVPALGGEPDERVIAESVARLRETLAVYEARLEATRGYLAGGEVSLADLSHFPYTRYFMEMPYAAPVFGAYPRVTAWWERLLARPSVRKVAAMMSGGEG >ONIVA02G24950.1 pep chromosome:AWHD00000000:2:22590671:22591824:1 gene:ONIVA02G24950 transcript:ONIVA02G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVELRVEALSLSGVSAIPPEYVRPEEERADLGDALELARAASDDDATARIPVVDISAFDNDGDGRHACVEAVRAAAEEWGVMHIAGHGLPGDVLGRLRAAGEAFFALPIAEKEAYANDPAAGRLQGYGSKLAANASGKREWEDYLFHLVHPDHLADHSLWPANPPEYVPVSRDFGGRILTNGRYTSVLHRGLVSRDAVRLSWVVFCEPPPESVLLQPVQELLADGAGKPLFAPRTFKQHVQRKLFKKLKDQQDNNAAAASNGMITK >ONIVA02G24940.1 pep chromosome:AWHD00000000:2:22581572:22585854:1 gene:ONIVA02G24940 transcript:ONIVA02G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAAAAAMAAASLSDDLEPATDRTRIRSILADGAARAGERVVVGGWVKTGREQGKGTFAFLELNDGSCASNLQVLVDAAVHPLAPLTATGTSVLVEGELKKPPEGAKQRVELRVDRVIEVGEVDPAAYPLPKTKLTLENLRDVVHLRSRTNTIGAVARIRHQLACATHRFFDENGFLYVHTPIITTSDCEGAGEMFQVTTLFSHAEKVEKELKENPAPSESDIDAARVVVKEKGDAVAQLKAAKASKQEITAAVAELNKAKENVSRLEERSKLKPGIPYRDDGTVAYENDFFKRQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFANLQDDMNCAERYVQYLCKWLLEHCREDMEFMVKNYDKTAIERLELVSSTPFQRISYTKAVELLKNVTDKKFENKVEWGIDLASEHERYLTEVIFKKPVIVYNYPKEIKAFYMRLNDDQKTVAAMDVLVPKVGELVGGSQREERLDLLKTRIQDAGLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGLENIRDVIPFPRYPGRADL >ONIVA02G24930.1 pep chromosome:AWHD00000000:2:22572673:22574916:1 gene:ONIVA02G24930 transcript:ONIVA02G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLPFQPRFFYFTLTPSFPICMNTILFSYIVQVVIHYLDKQSAEFINSAAGAITVSYVMQLLTVTSSTGAA >ONIVA02G24920.1 pep chromosome:AWHD00000000:2:22567346:22569493:-1 gene:ONIVA02G24920 transcript:ONIVA02G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLINLLLTEVAAIVSIVLLALLVVLSSYRRRSGHPALRLFVWAASTLFLPLVSYAVSAAAKWDAARVPLLLAWTVFLQMLRNTIDTARSSSSTIGNGSGSSKFRPSVEQLARMGWVAFLIVSSDGTAGSPQLTGVLLWLWVLSLAKLVHRLVAAELAKNSFAVGLNAYLISDYMKQLYGQDQGDHDVQAPPLLVMGEEKLQIEARPQGYRIGRTSPPPLCVDAGHVVTMDRICRLFSSGDPLVASNPQIKDTCLSFALFKLQLRRFVGCPIAEAGSRRAVAFVLDGLLGESHERVFRVIETELSFLADFLYSKLTVFYASGWWFPVLNSMLVFATWVSCLAAGGAIVHDMTCHGTTLASNYDNLREYLQNHDTVFHIIVGLDVLVTVSFIVAIVFTEGWEIATYVRSDWIKVSAICEYARRPSWRKSPWTRRNVGRVLPLKPMQRWDDRFGQTSILQLRPCYCGCVSRQVDRIAKSSATVPAAVKTAVVDTLRTNQGNLGNGVLSLQRNGVADKLAWACHHAGDERSVSEQILVWHVATRLLEIMRSEGAHGRHDDGDGDGGGDSDTVVVATRLSRYCAYLVALKPELLPDHPAWTEELYEGVVEEVSRVLARFAGAVVRYERAATCLGGSTNATLRKAAKLGRQLAEELGGDEELPWRVLADFWAELVVYLAPSENVTAHSKALRRGGEFITVLWALLGHAGIVSRPDTDV >ONIVA02G24910.1 pep chromosome:AWHD00000000:2:22561077:22566791:1 gene:ONIVA02G24910 transcript:ONIVA02G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGGKKRKSGSSLWLAVASWFARLGSKVVASSGSAGGRAGYDAAGEMDFLNVLINDERANFVKFLGVSSDILKSTSKGKPSSSEILEFCTKR >ONIVA02G24900.1 pep chromosome:AWHD00000000:2:22557780:22559652:1 gene:ONIVA02G24900 transcript:ONIVA02G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCIGENTIPSSSYHPSKSSMGGKKKSGSGFWSSAASWFACLGASKAAAAGSGSGGHAGYDAAGGMDS >ONIVA02G24890.1 pep chromosome:AWHD00000000:2:22551007:22552103:-1 gene:ONIVA02G24890 transcript:ONIVA02G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVYGLPMSTNVARVLVCLEEAGEQYEVVPIDFSTAEHKSPEHTSRNPFGQVPALQDGDLILFESRAISKYVLRKNNSELLKEHNLSDAAKVDVWLEAESHHFDEPMSVVIYQCLILPVYFGGQTDAKVVEENLEKLKKTFQVYEERLCKFRYLAGDFLSLADLSHFPTAYYLLATPHAAMLDEFPLVKAWIDGMLARPSVKKVIEMMKATA >ONIVA02G24880.1 pep chromosome:AWHD00000000:2:22543554:22545761:1 gene:ONIVA02G24880 transcript:ONIVA02G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33680) TAIR;Acc:AT2G33680] MAAAAPSSARRMSHTQFIELLRRRASCPRHGEALHAWALKSGAASHAPVANSLINFYSSLPRPLLAAAFAVFDDIPPAARDVASWNSLLNPLSRHRPLDALSRFRSMLSSSTVLPSPHSFAAAFTAAARAASAPAGTAAHALACKIPSAVSNVYVCTSLLNMYCKLGIVSDARRMFDGMPQRNSFSWSTMVAGYAAEKCSEEAFDLFRLMLEECPSEKSEFVATAVLSAVSVPLGLLMGEQMHGLIVKDGLLDFVSVENSLVTMYAKAGCMGAAFHVFESSRERNSITWSAMITGYAQNGEADSAVSMFSQMHAAGFTPTEFTFVGVLNASSDLGALAVGKQAHGLMVKLGFEVQIYVKSALVDMYAKCGCIADAKEGFDQLYEVDIVLWTAMVSGHVQNGEHEEALTLYARMDKEGIIPSKSTIASGLRACAGIAALEPGKQLHTQIVKYGLGLGAPVGSALSTMYSKCGNLEDGMSVFRRIPDRDVIAWNSIISGFSQNGCGNGALDLFEEMKMEGTIPDNITFINILCACSHMGLVDRGWEYFSLMTKDYGLTPRLDHYACMVDILSRAGMLKEAKDFIESITIDHGTCLWRIVLGACRSLRDFDVGAYAGERLMELGTRDSSAYILLSNIYASQRKWNDVERVRHLMRLRGVNKDPGCSWVELNSRVHVFVVGEQQHPEAENINAQLRRLAKHMKDEGYHSSSKLSFDEELGPLAESHEEDQLEWISAAYS >ONIVA02G24870.1 pep chromosome:AWHD00000000:2:22520114:22528710:1 gene:ONIVA02G24870 transcript:ONIVA02G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALPSLCSSLLGSQLQLQLQLLSAGWLISSKNTVTEEIPRPGFSSGRSETRRDPRLRAGRALCYSQVQEVHQEVALPVAPSIINDVGQKMAGNHTFAHLSWTYVGANTIPSPENSPSEFAKIVQSKQTKHARVFIGGADHRITHVVAGDDVVARSPGNAYFLLPAMANLHAALAASRLDGRVKVSSAVSGAALQAPPAWAAAAVAGGLLRFLNATGAPLFLKTRPSESTDTMVDAAYGAMRALGFPGGAIPVIAAPVETEEFGGGATTVVYHSYLLQASGGVGGGERRSLATSAGMFCVALQNADAAALQAGLNWACGPGQADCAAIQPGGACYKQNNLPALASYAYNDYYQKMASTGATCSFNGTATTTTADPSSGSCVFTGSSMAGGSNTSVPGASPPTTLSPPAGLTPPVGTSPPTDFSPPAAGTTPPAGGFTPPAGGFGTPPSGGFGTPPSGFGPPGSFNGSGSSFGPSSAFSPYGGAGHRDGGASGARLAAAALAVLLLSVDLM >ONIVA02G24870.2 pep chromosome:AWHD00000000:2:22520114:22528710:1 gene:ONIVA02G24870 transcript:ONIVA02G24870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDRRWRGTILLLICLVCNASGTYVGANTIPSPENSPSEFAKIVQSKQTKHARVFIGGADHRSLRSLANTGEEVILTVPNDQLEHMAEFPEEAELWVAANVARFLPATRITHVVAGDDVVARSPGNAYFLLPAMANLHAALAASRLDGRVKVSSAVSGAALQAPPAWAAAAVAGGLLRFLNATGAPLFLKTRPSESTDTMVDAAYGAMRALGFPGGAIPVIAAPVETEEFGGGATTVVYHSYLLQASGGVGGGERRSLATSAGMFCVALQNADAAALQAGLNWACGPGQADCAAIQPGGACYKQNNLPALASYAYNDYYQKMASTGATCSFNGTATTTTADPSSGSCVFTGSSMAGGSNTSVPGASPPTTLSPPAGLTPPVGTSPPTDFSPPAAGTTPPAGGFTPPAGGFGTPPSGGFGTPPSGFGPPGSFNGSGSSFGPSSAFSPYGGAGHRDGGASGARLAAAALAVLLLSVDLM >ONIVA02G24870.3 pep chromosome:AWHD00000000:2:22520068:22528710:1 gene:ONIVA02G24870 transcript:ONIVA02G24870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDRRWRGTILLLICLVCNASGTYVGANTIPSPENSPSEFAKIVQSKQTKHARVFIGGADHRSLRSLANTGEEVILTVPNDQLEHMAEFPEEAELWVAANVARFLPATRITHVVAGDDVVARSPGNAYFLLPAMANLHAALAASRLDGRVKVSSAVSGAALQAPPAWAAAAVAGGLLRFLNATGAPLFLKTRPSESTDTMVDAAYGAMRALGFPGGAIPVIAAPVETEEFGGGATTVVYHSYLLQASGGVGGGERRSLATSAGMFCVALQNADAAALQAGLNWACGPGQADCAAIQPGGACYKQNNLPALASYAYNDYYQKMASTGATCSFNGTATTTTADPSSGSCVFTGSSMAGGSNTSVPGASPPTTLSPPAGLTPPVGTSPPTDFSPPAAGTTPPAGGFTPPAGGFGTPPSGGFGTPPSGFGPPGSFNGSGSSFGPSSAFSPYGGAGHRDGGASGARLAAAALAVLLLSVDLM >ONIVA02G24860.1 pep chromosome:AWHD00000000:2:22510071:22515190:-1 gene:ONIVA02G24860 transcript:ONIVA02G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQILLGREVTVVFAEENRKKPSEMRARERVGSRDRSYDRRSRSPRYSRSRSPVYSPRSRSRSRSYSPAPKRKHYSRSPARRERSLSRSPADSRSRSRSLSDDRRSKSPDRERSLSVSRCFAGDTDKFMILCRKSVRMPIEAQSMT >ONIVA02G24840.1 pep chromosome:AWHD00000000:2:22493729:22498440:1 gene:ONIVA02G24840 transcript:ONIVA02G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLPLSIEAGEMAMPRREEVVTDMPSSSAVASGNGIEQPEQNTKDDEYERLVRPAHSVIADDNTVVLPEEPTSRSIWWMKVLLGCFLLILVSYVFVKWGVPFAFEKVLLPIMQWEASAFGRPVLAVVLVASLALFPVTLVPSGPSMWLAGMIFGYGWGFLIIMVGTTIGMVVPYWIGSLFRERLHAWLKRWPQQIALIKLAGEGNWFQQFRVVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSIAGMIPEAFIYIYSGRLIRTLADMKYGNYKMTPVEITYNAISFVIAVVLTVAFTVYAKRALNDIKESEGILTEEYGISTGHKNPHQERSPSRPVPLDDVV >ONIVA02G24830.1 pep chromosome:AWHD00000000:2:22487139:22490626:-1 gene:ONIVA02G24830 transcript:ONIVA02G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Has 1239 Blast hits to 998 proteins in 204 species: Archae - 4; Bacteria - 71; Metazoa - 421; Fungi - 109; Plants - 87; Viruses - 5; Other Eukaryotes - /.../ource: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MNRVHPDRPTRPAALSRRRRRRIRSDLGEKKIEPNLSKIPISPPSANSPPATPPSTNPTQTSPGNQSKLRPTMRKNFRKRNLEADAAADHSDDDDARRVALEEIKYMQKLRERKLGIPAAAAAAGASSAASADGASPRGRGGGGGGLAAGGDAEKEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKRGKKVDVKDKEEKDQVDELYTVPDHLKVRKKNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKMLQEKRLAGKTKSDANIPSSYNADFFHRGKDYTEKLRREHPELYKDQGSQANGTGGKSMGGNHPDGAGAGRREAATDELLLERFRKREKFRVMRR >ONIVA02G24820.1 pep chromosome:AWHD00000000:2:22482266:22484731:1 gene:ONIVA02G24820 transcript:ONIVA02G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRGENDPGSHGGEHAMQPLPDELPDDIVEKIISRLPPRSIVTGCRAACKAWRRLTSRPEFYRAYVPRPRPVAAKVTVKINTTRAADTIVRFELFRSHWNVDGAAVPPYRRVLSLGAAATTDKYSISSLVLGSWDGVLCMAMMTGGQGADVYVLWNPLTNACATFVGAYAHPATGRFHILHASGKTVGHYYYRKQLAPAVFRVQTIGDAAWRVGPAPPPKITMATTGHAAARSAALHGKLHWLVQSGGRWPAVRKLKLLAFDMSREKLRLKETPERMAAMDLETARISVLPAAAGKLCVFAVEDRGTTVIMWVLDDYHGDHRRSWQLKRRIDLLRDERGASSFHFCSNILHRKTSFLFSPRLARYLPPFGLLLFDPTIFNFPNMTTIFNLPKTPEDNPVPEDNLVPDKNDRGRSPSKSATLEQRLNPAIKAKSKGKSLRKW >ONIVA02G24810.1 pep chromosome:AWHD00000000:2:22479748:22479969:-1 gene:ONIVA02G24810 transcript:ONIVA02G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRRPGRGGRASPVPNHLATGSAPVAIVIAATTAVVWRGGEGKVATAGSERGREGESRVAATGSERGEQGR >ONIVA02G24800.1 pep chromosome:AWHD00000000:2:22479517:22480305:1 gene:ONIVA02G24800 transcript:ONIVA02G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTVDPTTAGSQTMDPATSDGDGGDPGGLRRAQELVVDPAAAGPQAAYPAAGRARTADWVARGPRSSGGDLAFPSPPDDGGRGGDDDGDGCRSGGKMVRDRRRPPSPPGSPPSCHREVATVASVVAIATRSPAMTTC >ONIVA02G24790.1 pep chromosome:AWHD00000000:2:22466752:22467218:-1 gene:ONIVA02G24790 transcript:ONIVA02G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSARGEMITATGAARRQDGVAGEEEGSARMGRPSGGEETFLVAAAMASDEGGGGEDRGGRMRAGARLATAGGIDAFVGDAKTATAWMHWAAEAVDRAERIERGVREGWHGRAGAVKVGAARCCSGVSGSAS >ONIVA02G24780.1 pep chromosome:AWHD00000000:2:22464013:22464589:-1 gene:ONIVA02G24780 transcript:ONIVA02G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVWKAQFGRLVEEAAIRVDGVREGLHALLPQLTSSSSSMVAGDANTVRDTIQLALDALGLGDESSVNYLAEQSAAIKLSYAESDARKAYALVDGCRGHLDAALLLLDHVGRLPDVQGMINAERLAAVADLEAAIVAVQRSAEMATAARQDVSGAS >ONIVA02G24770.1 pep chromosome:AWHD00000000:2:22456702:22462422:1 gene:ONIVA02G24770 transcript:ONIVA02G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTTTREAKLLRRNPSDHHDSGGGGGNDLISHLSDDILAHILASLPSTTDVMRACAVSRRWRHLGARVPVLRFFCLDHDFSEQEKLDRFIAFVNNILARRADDGTSTTVVEELEISFKLFNSRCMRVAAANASCHQWMLPKSTRGFSMHVSKSFTLQLNYMLPLNLHLHNSNSSNGCMQVLGTYDYLRRRRDEVLSLNKACLRRLPTNVTLDSLVHLTLEDVDDLNQLLSTARCPSLRKLCLHKLTVSPATTTDQSLHLESNELLEVSLDWIWSRALVLELRTPRLRVFHEERVHRKVAPRLEELTFFYTRVASIVQVEDMPCVRIFETQMSSLRRPECNDHVNQTRIRLLRCCKFLQFLTLHLTITQKDGHDSAEVELIKDIPQLPHATSLSLQAEYCKRPTGIGTQNQRDHHIISLPAAYRREYEARLLKFLHASAPALKKMIVAFISAFMLSQSLQICAKECEEFLHSIPLSKEGKWAFCYHGAHMQDFTTFEWTPIKKVECRQIVHMD >ONIVA02G24760.1 pep chromosome:AWHD00000000:2:22454632:22454901:1 gene:ONIVA02G24760 transcript:ONIVA02G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVDASRDLASAKSLMKAADLLALHLHGGSVNPAVDASGRDQPPWRPVCTSRSGTPVTKLRDEAGEDLDAILLMLDHPNLPGVDGLI >ONIVA02G24750.1 pep chromosome:AWHD00000000:2:22452974:22455054:-1 gene:ONIVA02G24750 transcript:ONIVA02G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRTRYQTYEDSTESVGAGYILPGAHGPLVAQLRAPYRGQEVTIGGGALHLDQAVDAREIRMVQHEEDRIQVLPGFITELGHRRSAPRGVVSDTEIPVSVFTGKPHFPSSLQQRLSINCVLVFVSIQTAQAEARNLERAEREREREREKERARSAAMDEWEELAWRVPETLMLVSCEMEATRLIEVAHSNLQVRGTLFRRIHLGMPAAIAMNLFGDPAAEGVIPTEILEEARREISQSTVLHAKTRHVLARYVAHLGAQQDDPAYRSWDVHHQEAVDHISKALKRVIDTVLNAEAGKVALVIMGSVAYGCPQWDVWASEAEKFTAVAALEATMATNESSVCYYVAKALAHVTSPMHAGGRGGGGRRAHQDPSSLRWESSGDASRNLSSAMSELLALRDGSVNPSTRLGEIGLLGTSRSGTLEPKLHEAGKDVSVDGCRSNLDAILPLLDHPRVSLASRRSSSPPMITSRARSGMRSWAPRGPSAPGRMYPAPTDSVETSYA >ONIVA02G24740.1 pep chromosome:AWHD00000000:2:22448634:22449851:-1 gene:ONIVA02G24740 transcript:ONIVA02G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEMALARLPDEVIQDILARLPLRTIVRCLAVCKAWRSAVSAPTFHRALAAHRPAAVVKVTSELAYFGKPWRYCKALLLDTFRGGWFGPDLDNTQPERREREFVLWNPLTGACATVPPPAPGGDRGVIIGAYAHPATMRFHLLHAAGEAERGLFAATAIRVRRVGDGGAWRERGSGSTARLNVLVFDTARERFRLMEAPPWDDSRGGGEKKGLAMSRVVVLSGVGKLCAVAVSPATNTMEMWVLDDDDSSGGARAWRLAERVGLVMMWDKRDLSRAFSSATQVSAVQGDAEGEEVILHNDGQHRRV >ONIVA02G24730.1 pep chromosome:AWHD00000000:2:22445886:22446544:-1 gene:ONIVA02G24730 transcript:ONIVA02G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNSLYQNRTDVISFHSHRRSYLLLSPHLLLISYLSPTSPNLSSHRPQLLLLSESTSSPPPLGIDRCDLGGPATETAVTTTTCEGLRPRIPARDGRGNGGSGCCRVADDGSGRGTGARQRRTANGDGGDPGGLRGARELAVDPTAAHHICQPFKKG >ONIVA02G24720.1 pep chromosome:AWHD00000000:2:22443892:22445154:1 gene:ONIVA02G24720 transcript:ONIVA02G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDDKMGTHAQVQQLPDELLLEILLRLPPRAIARCLAVCSAWRSAVSAAAFRRAHADRPAAVCKATATAIDCCDRDAVVLDAFRGRWHRGNVHTTPRSPRGLVFPAAMAPEPDTPWVLDTLVVGSWDGVLCVERGAPLLRGSRWDAGVHHWPKSGRLREYVLWNPLAMTRATVSPPPGRGAVIGGYAHPATMRFHLLHAAGDAACRPGSLGLFVPTVFRVQRVGDGAWREVPLPLLEQQDDHAHAQLQMHGARSVALHGNLHWLVQRGGSAGPGRLQVLVFEPARERFRLMEAPPRRHGEEDDLARSRIVVLSNGKLCAVAVRPATSTMEMWVLDSSSSDAPRRWRLDAYSLRRRKWTRVCAARPRSGSVGVALLPHRESVADDQPSFGEASRLLDHTIDIDVDDQYLSMNPITLFCY >ONIVA02G24710.1 pep chromosome:AWHD00000000:2:22433746:22438382:1 gene:ONIVA02G24710 transcript:ONIVA02G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRKPRNFATFRLFPRAGAADPNDRVFVRVDSNDYTVPGFGDDDAFDSSSLSLTGDAAAGDHFSSASGPLPDHVRREILELGLPDDGYNYLHHLRELRPSAAAAASSFAPNQAAPPLPLDVKAYDASRVRIASGNVEDEMDEGRTMCKVAAKTAPVRRIERAVDPDIARLLDETDVSHGGSEDEGLEEDFVIMANRAEGDEEEDDDDEEEEVVDGVFLSDVEEEEEFEDDEGEPKPRVRRLLDEQFDLLALEEYGDSDDDDDPGVRNGECELPTEVIDELKLFHSQNVSVTEEYRTPADFVRRKLDSSTAEEVDESANVIQKCAEYAERYLNETAEEEEVVLVSESSDESEVWDCETIVSTYSNLDNHPGKIQTPGNPKNRLPKVFPGETATTKDIIRLQGKERLPVEYLPQRKRNGEKEKKAKPTETPSAESFKKGAQKETKEEKKARKAAVKEEKREARKAKKELKGLYKFETQKAQKVAAVTGPASIRLM >ONIVA02G24700.1 pep chromosome:AWHD00000000:2:22430746:22431343:-1 gene:ONIVA02G24700 transcript:ONIVA02G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIVIKVDFVRAKCKAVAMTVVAKIPGVKSLAADDEKGTMTVVGEVDVVQVVGELRKAKFAAEVVSVEPEKKPEAPKKPDDPPKKPDPPPPCPPPPPPCCPGCNSCRPACQCAAAPGGGVVLYEVEADGYGCIIA >ONIVA02G24690.1 pep chromosome:AWHD00000000:2:22419091:22427921:1 gene:ONIVA02G24690 transcript:ONIVA02G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT2G46920) TAIR;Acc:AT2G46920] MVLGLGVANQPAMGNSTSRVVGCFAPADKAAGGGVGLEFLQPLDEGLGHSFCYVRPGAITDSPAITPSNSERYTLDSSVLDSETRSGSFRQEVVVVDDLAAAAMAGLQRPSKSFSETTFRTISGASVSANPSSARTGNLCVSLAADVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGAGFMSGPLDKGVFMSGPIDSGNKSNFSAPLSYGRRKAGLGQLVRSISRPMRSALSRTFSRSSQGTGWVQRFLLHPMAQLSLSRDAKGTSEDSHNGLEAGLPELEYSVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDSPEGSAQVSTLGEGESVAVPQDLPDGGDILFQADSVESEQLVNSEEQDVSNVKISDGGASQVQMDLNTSGQRDLVLQALSNQKLNAGEIVEEKVGADMGNNLQSTESYNSGRDISNTDVNTSFGCTSDVNTSTCCNEDVKSPKEIRRSRRLFELLEMELLEEYNRNISKLSPEGMKGRSIFNMQAGTTEESSRDIAELSRSSMAATGECLDDFENDKHSRSGDGVLGVDPKECNECSISSSSSGHKQILRRYLFGSKLRKMYKKQKLLQKKFFPWNYDWHRDQPHVDESVIKPSEVTRRCKSGPVDHDAVLRAMSRALENTEEAYMDVVERELDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRVVLAQDNEQYNNSSFLKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKTKELTICKLKMRAVQLSTDHSTSVEEEVSRIRAEHPDDPQSVFNDRVKGQLKVTRAFGAGFLKKPKFNDILLEMFRIDYVGTSSYISCNPAVLHHRLCSNDRFLVLSSDGLYQYFSNDEVVSHVAWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMNLAIFWLSIKMAWGIGICALQLLLELSDLQVFFQHYSICLGAYGWWLCTVHQQQQLPCAFW >ONIVA02G24690.2 pep chromosome:AWHD00000000:2:22419194:22427921:1 gene:ONIVA02G24690 transcript:ONIVA02G24690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT2G46920) TAIR;Acc:AT2G46920] MVLGLGVANQPAMGNSTSRVVGCFAPADKAAGGGVGLEFLQPLDEGLGHSFCYVRPGAITDSPAITPSNSERYTLDSSVLDSETRSGSFRQEVVVVDDLAAAAMAGLQRPSKSFSETTFRTISGASVSANPSSARTGNLCVSLAADVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGAGFMSGPLDKGVFMSGPIDSGNKSNFSAPLSYGRRKAGLGQLVRSISRPMRSALSRTFSRSSQGTGWVQRFLLHPMAQLSLSRDAKGTSEDSHNGLEAGLPELEYSVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDSPEGSAQVSTLGEGESVAVPQDLPDGGDILFQADSVESEQLVNSEEQDVSNVKISDGGASQVQMDLNTSGQRDLVLQALSNQKLNAGEIVEEKVGADMGNNLQSTESYNSGRDISNTDVNTSFGCTSDVNTSTCCNEDVKSPKEIRRSRRLFELLEMELLEEYNRNISKLSPEGMKGRSIFNMQAGTTEESSRDIAELSRSSMAATGECLDDFENDKHSRSGDGVLGVDPKECNECSISSSSSGHKQILRRYLFGSKLRKMYKKQKLLQKKFFPWNYDWHRDQPHVDESVIKPSEVTRRCKSGPVDHDAVLRAMSRALENTEEAYMDVVERELDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRVVLAQDNEQYNNSSFLKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKTKELTICKLKMRAVQLSTDHSTSVEEEVSRIRAEHPDDPQSVFNDRVKGQLKVTRAFGAGFLKKPKFNDILLEMFRIDYVGTSSYISCNPAVLHHRLCSNDRFLVLSSDGLYQYFSNDEVVSHVAWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMVISLEGRIWRSSG >ONIVA02G24690.3 pep chromosome:AWHD00000000:2:22419194:22428031:1 gene:ONIVA02G24690 transcript:ONIVA02G24690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT2G46920) TAIR;Acc:AT2G46920] MVLGLGVANQPAMGNSTSRVVGCFAPADKAAGGGVGLEFLQPLDEGLGHSFCYVRPGAITDSPAITPSNSERYTLDSSVLDSETRSGSFRQEVVVVDDLAAAAMAGLQRPSKSFSETTFRTISGASVSANPSSARTGNLCVSLAADVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGAGFMSGPLDKGVFMSGPIDSGNKSNFSAPLSYGRRKAGLGQLVRSISRPMRSALSRTFSRSSQGTGWVQRFLLHPMAQLSLSRDAKGTSEDSHNGLEAGLPELEYSVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDSPEGSAQVSTLGEGESVAVPQDLPDGGDILFQADSVESEQLVNSEEQDVSNVKISDGGASQVQMDLNTSGQRDLVLQALSNQKLNAGEIVEEKVGADMGNNLQSTESYNSGRDISNTDVNTSFGCTSDVNTSTCCNEDVKSPKEIRRSRRLFELLEMELLEEYNRNISKLSPEGMKGRSIFNMQAGTTEESSRDIAELSRSSMAATGECLDDFENDKHSRSGDGVLGVDPKECNECSISSSSSGHKQILRRYLFGSKLRKMYKKQKLLQKKFFPWNYDWHRDQPHVDESVIKPSEVTRRCKSGPVDHDAVLRAMSRALENTEEAYMDVVERELDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRVVLAQDNEQYNNSSFLKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKTKELTICKLKMRAVQLSTDHSTSVEEEVSRIRAEHPDDPQSVFNDRVKGQLKVTRAFGAGFLKKPKFNDILLEMFRIDYVGTSSYISCNPAVLHHRLCSNDRFLVLSSDGLYQYFSNDEVVSHVAWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMVISLEGRIWRSSG >ONIVA02G24690.4 pep chromosome:AWHD00000000:2:22419091:22427921:1 gene:ONIVA02G24690 transcript:ONIVA02G24690.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT2G46920) TAIR;Acc:AT2G46920] MVLGLGVANQPAMGNSTSRVVGCFAPADKAAGGGVGLEFLQPLDEGLGHSFCYVRPGAITDSPAITPSNSERYTLDSSVLDSETRSGSFRQEVVVVDDLAAAAMAGLQRPSKSFSETTFRTISGASVSANPSSARTGNLCVSLAADVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGAGFMSGPLDKGVFMSGPIDSGNKSNFSAPLSYGRRKAGLGQLVRSISRPMRSALSRTFSRSSQGTGWVQRFLLHPMAQLSLSRDAKGTSEDSHNGLEAGLPELEYSVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDSPEGSAQVSTLGEGESVAVPQDLPDGGDILFQADSVESEQLVNSEEQDVSNVKISDGGASQVQMDLNTSGQRDLVLQALSNQKLNAGEIVEEKVGADMGNNLQSTESYNSGRDISNTDVNTSFGCTSDVNTSTCCNEDVKSPKEIRRSRRLFELLEMELLEEYNRNISKLSPEGMKGRSIFNMQAGTTEESSRDIAELSRSSMAATGECLDDFENDKHSRSGDGVLGVDPKECNECSISSSSSGHKQILRRYLFGSKLRKMYKKQKLLQKKFFPWNYDWHRDQPHVDESVIKPSEVTRRCKSGPVDHDAVLRAMSRALENTEEAYMDVVERELDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRVVLAQDNEQYNNSSFLKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKTKELTICKLKMRAVQLSTDHSTSVEEEVSRIRAEHPDDPQSVFNDRVKGQLKVTRAFGAGFLKKPKFNDILLEMFRIDYVGTSSYISCNPAVLHHRLCSNDRFLVLSSDGLYQYFSNDEVVSHVAWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMGVGDWNLCITTAAGAV >ONIVA02G24680.1 pep chromosome:AWHD00000000:2:22403519:22410926:1 gene:ONIVA02G24680 transcript:ONIVA02G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVYISREMKLKLFNWASAPGKVDVELELELALMQTHPVVVEELFKLETSNTGSDLPRGAEQKSHRASQ >ONIVA02G24670.1 pep chromosome:AWHD00000000:2:22402772:22403128:-1 gene:ONIVA02G24670 transcript:ONIVA02G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVASLVRQGLRWRRRRRRRTARVVDESALAAADGGDAAAPAGGGGDAAAVAVVPMASVGAALARALLALACTIRFDGEDGGAGATEEAWAASGWRPRADEVSHLMVRESMRYAIYA >ONIVA02G24660.1 pep chromosome:AWHD00000000:2:22398123:22401663:1 gene:ONIVA02G24660 transcript:ONIVA02G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSPATTPAAAAVYVAAVPLRGPKGPAQALMSAGYSLGLWDLQHFMVLLRPDPARAQALVFDFQPRDPEDALAAFAVLSRREIPGVVRRRTLRRVPDTRCWLVGHHRSGGGDGAAAAVLAADAFTARWPTDLVVGRHDCRDFTNGLVEELTGEKRVLDALRSSAANDQSV >ONIVA02G24650.1 pep chromosome:AWHD00000000:2:22391890:22397945:1 gene:ONIVA02G24650 transcript:ONIVA02G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSHGCSVLGSSSLLLVLLLCVGSAAAQKASTWKTLSGDSPLVIAKGGFSGLFPDSSDFAYGNAPGAALWCDVQLTKDGAGICLPTINIDNCTSISNFDPKGMKTYNVNGVPLKGWFPLDYNSTELLQQVFLKQPILSRSDRFDGLSIVPVEDILSKYKTPAVWLNVQHDSFYSQFKLSMRSYILSVSKQFVVDYISSPEVNFLNSLLGRVNKKTKLVFRFLDEQTIEPSTNQTYGSILKNLTFIKTFASGILVPKQYILPVTKDNYLQPQTSVVDDAHKAGLEIYAAGFANDFLISYNYSYDPLAEYLSFIDNGAFSVDGVLTDFPITPSEAIGCFSNLNDSKTDHAKPLVISHNGASGDYPDCTDLAYQKAVADGADVIDCPVQVTKDGIPICMSSIDLGDVTTVATSQFASQTTVVNDIKATPGFYSFNLTWEDISKNLKPKISNPMSKYQVYRNPRNRNAGNFMRLSDFLDFTKGKDLSGVMISIERAAFMAQKLGFGVVDAVIKALDDSGYSKQTTQKVMIQSTNSSVLVKFKQQTKYNLVYMIEEDVRDAAPSSIADIKKFADAVSVNTMSVYPVTSNFLTNQTTSLVQSLQSAGFPVYVYVLMNEFVSQPYDFFSDATQQINAYVKRPDGGVDGVITDFPATAHRYKLNSCMNMGNNTPGFMIPAQPGGLIQSMLGAAQPPSTAPLPPLTESDVTESPLPDVNNNAKAPASSHAAIRVRTDVSAVLVTLMLCASLMI >ONIVA02G24640.1 pep chromosome:AWHD00000000:2:22371354:22375878:-1 gene:ONIVA02G24640 transcript:ONIVA02G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVGVLVSDPWLQSQFTQVQLRTLKTKFVSVKKSDADHVAVKDLPPVMEKLRGIHEVLSEEEISTFLGESYPDMNQTIEFESFLREYLNLQAKGSSKTGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGEDSFLKNFLPLDPSTNDIFNLVRDGVLLCKLINVAVPGTIDERAINTKKDPNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLAELVADDNSKEAEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLNTLAPELSSTTMIETSDPNERAKKVLETAEKLECTRYVTSKDIVEGSANLNLAFVAQIFHHRNGLSDNNVAPVVQDTPDDVEATREERAFRLWINSLGIATYVNNLFEDVRTGWVLLEVLDKISPGIVNWKQASKPPIIMPFRKVENGNQVIEIGKELKFSVVNISGNDIVQGNKKLILAFLWQLMRTSILQLLKNLRTHSKDKEITDADILIWANNKDKSIANGVFFLELLHAVNHRHVDLSMVKKGEDDEEKKSNATYTISVARKLGCTLFMLPEDIMEVNPKMILVLTASIMYWSLQKHGPYQVPEPVPQETLAEEEEEDEEGDFEEDEEEESIEDGVSNLTT >ONIVA02G24630.1 pep chromosome:AWHD00000000:2:22367324:22368154:1 gene:ONIVA02G24630 transcript:ONIVA02G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGLLVFTLLLAAAFLVNCARQEPHQNSRLYVRAEPNEGPTADPDAGAGGDHGTSSGNGKASGHRPQAKFGGGCCCGAGCSEQCAECPRPPHPPPSPSPCVHPPCCESAAGCCCNGCSGGGCGGGQCPPSPSCENHHPPCKPGCCCCGCSGGECPPPPSPPCQHECPPTPPCEHPPCSESGCCDKGCNSCCNRCGEYGQSRP >ONIVA02G24620.1 pep chromosome:AWHD00000000:2:22364187:22364803:1 gene:ONIVA02G24620 transcript:ONIVA02G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGLLIVSVLLLASAFLATSEADDPEPANTSPGGGGGSSGYGQAGGSTGYNAVSAYYPPPTPAGWPNGGGYSYGSVGQDGSYSYSYGVQYINGDPAGWSGWNNVWWFDRRCPSGACCARGFHGDCFRCCHPWP >ONIVA02G24610.1 pep chromosome:AWHD00000000:2:22359642:22360611:1 gene:ONIVA02G24610 transcript:ONIVA02G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRALLVFALLLAAAFLVNCARQEPQPNEGPAADPNAGGGGGGGGDGSSGYDDGKVSKSGHRPQAKYYNGHRPQGKYGGHRPQGKYYGYSECGGGGDDGDCGGPCEHRRCEYGCCEGGYGGDRGHRCCDHGEFGGRH >ONIVA02G24600.1 pep chromosome:AWHD00000000:2:22353861:22354481:1 gene:ONIVA02G24600 transcript:ONIVA02G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLVFALVLAGAFLVNCAQQPQPYADPSNDDPNAGYGYPSQQGPNPAQQGPNPAQQGQNPAQQGPNPAQQGPYPAQQGQNGGDGGAGYGGAGGNGGGGGGGGGGGYGDPWWNHPRRCRYGCCECGYYRCNRCC >ONIVA02G24590.1 pep chromosome:AWHD00000000:2:22349678:22353069:1 gene:ONIVA02G24590 transcript:ONIVA02G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGLVVFALLLLAAAFLATSAAGGNGKSAGYVAPYYPGPWWWPNGGGFYPPPPFNGGPGWYDPRFGGCPFGCCGYGAFNEIT >ONIVA02G24580.1 pep chromosome:AWHD00000000:2:22339191:22340102:1 gene:ONIVA02G24580 transcript:ONIVA02G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKQALIAFAVVLLAAAFLVAASAADETQANKKEDTKVDVQDYWRGGGGYPPRGGGYGYPGRGYGYPGRGGGGGYPGGGGGWHGCRCCGYGYRGGCRCCASPDEIPEPMYRPEVDPHN >ONIVA02G24570.1 pep chromosome:AWHD00000000:2:22335319:22336138:1 gene:ONIVA02G24570 transcript:ONIVA02G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKALLVLALLLASAVLLAAAADQPHGKEQKKTESGGGAGVQDWHDDHGGGGHHGGGGGGYPGGQCHHGCCGGYGYHGGCRRCCATADEKPDPMYRPEAYN >ONIVA02G24560.1 pep chromosome:AWHD00000000:2:22330117:22333072:1 gene:ONIVA02G24560 transcript:ONIVA02G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARAAALSCRGVSPHPRAHSLLPGRRRRPVLPFADEAAAAPLRVSSVPHSRAYGCGGGGYFVHLEDRDDGEASRLLRALRRVLPDHQKWAQPDLLKAAVISTMSILAVPLEASASAETCQPANSMANMPIFIAVALIGAAVGGLLARQRKEELKRLNTQLRQINTALRRQAQIESFAPGLTYAPVGRATETEVIVDPRKQQLTVNLRNGKTFMRNQDLDMAVKEFRAALELAKSVGDRFEEKKAARGLGASLQRLGKYREAMNCYYKVLELSKETGEDSGCTEAYGAIADCYTELGDLERAAKLYDKYISRLQPGGGE >ONIVA02G24550.1 pep chromosome:AWHD00000000:2:22319915:22321804:1 gene:ONIVA02G24550 transcript:ONIVA02G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQAEAVGVGVGVEPSSALGRKRSASASSRPTTARGRGAFGVRSVVFSVGGMSSSARRRLAARLRSELAGVRALLAKAAGFLAPEGAAPSSSPPAAAPCGGGRKDGRFLAAAGIRSGGAPAVDAGGENSGRKRKVSFLLERTDDAPMEMAPDGRTRLAARPASLLPSPPPPPPRQRQAADGKIGVEEEEDVDICGGASPLATAPMSPLFPDVDLSELVGATGAKLLSPLQRKYIALADAERADARGGASTIAPSALSPLLPAGYSELVGATGVDLLSPLPREHVALADRADALGLGLVVSATATTTSPSLPPGFAELAIADAGGTKMLSPLPRKYVALAERGGVASTATTLPSLDELADATGVKMLSPLPRKYVALAEEEDDEYVDICGDASPVVLHKNHGEIIISNSSSSSPSSDSDSDSNSSSSSSSSSSSSSSSDSDSDESAAAATHAPAIPTNACVSSAQPSSAAPAAPEVAQSAKKQEEKLPDQRTAAAAAAAVVATAPPKPLTDLITRAQGAAARRRQDEKAQAREKARQELREMERTTAPAMASNFIHPLDMKLLGLAAVEHMVSADEEARCRALRSAAPSLLEKLGLFLKADDGGDKVQRQQQPSFVAGDDDDVEEGEIR >ONIVA02G24540.1 pep chromosome:AWHD00000000:2:22315221:22318244:-1 gene:ONIVA02G24540 transcript:ONIVA02G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTTVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKAKKPTAGKPLGRGRGRGRGRGRGRGR >ONIVA02G24530.1 pep chromosome:AWHD00000000:2:22311573:22318291:1 gene:ONIVA02G24530 transcript:ONIVA02G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYAVPNASGGGVGSSSQGGGCCG >ONIVA02G24530.2 pep chromosome:AWHD00000000:2:22311573:22318309:1 gene:ONIVA02G24530 transcript:ONIVA02G24530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNELAMRYQMLQVVELAHPLKVVAAAAKGSSSIQLGTGVKLSVPCRAHDHDHGRAHAPKASLRLVGGGRRGEERRCRSLS >ONIVA02G24530.3 pep chromosome:AWHD00000000:2:22311573:22316609:1 gene:ONIVA02G24530 transcript:ONIVA02G24530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYAVPNASGGGVGSSSQGGGCCG >ONIVA02G24530.4 pep chromosome:AWHD00000000:2:22311573:22312196:1 gene:ONIVA02G24530 transcript:ONIVA02G24530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTKALQVPNVRILPSYSFAEKALTTDAPLDLGCSSHEAKCGDADASQRQLAVRVSYVSVSPFTLTKFPFFSPLSSLLVILSLPPTPLAGSFAINPPPPLFSSDPAPPPEGESSAAAAAPRTLTQ >ONIVA02G24520.1 pep chromosome:AWHD00000000:2:22308294:22309397:1 gene:ONIVA02G24520 transcript:ONIVA02G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHLSSTSLRWQRSRLRQVLVLLPPLAMASGSTVRFVGNGDGGGTNATFGRVVCIGMDEPLQALFYGMECVEEVKRVCVVFKDYVSAAFNVTSLVNNHIDIWYNDPNIKVSN >ONIVA02G24510.1 pep chromosome:AWHD00000000:2:22300897:22301535:-1 gene:ONIVA02G24510 transcript:ONIVA02G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKALIVFTLLLVAAFCFVASAQDPQANKQGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGYYPPWNGGYYPPGPGHHHDPHWYGCRWGCCHRGYRGDCHRCC >ONIVA02G24500.1 pep chromosome:AWHD00000000:2:22297956:22298501:-1 gene:ONIVA02G24500 transcript:ONIVA02G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKALIVFTLLLVAAFCFVASAQDPQANKQGGGGGGGGGSGGGGGGGGGGGYYPPWNGGYYPPGPGYYPYWPNHGCRWGCCHRGYWGDCHRCC >ONIVA02G24490.1 pep chromosome:AWHD00000000:2:22290986:22292034:-1 gene:ONIVA02G24490 transcript:ONIVA02G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAIIVFALVVVAALCLVASAQDPQANKQGGGGPPGYGHYPPWNGGYPGRPDRPWDRHDRPWDHRPHPGPGGHCRWGCCGHRNHWGECLRCC >ONIVA02G24480.1 pep chromosome:AWHD00000000:2:22283914:22290808:-1 gene:ONIVA02G24480 transcript:ONIVA02G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPTGREAAVAMAAAAEEEEAVAVAAAAEEEMVVAAAATNHHGTEAQATTTTRTGTTTAAVGGAATVATGEIATAAAKVAPGLAIASLAPPIHRDHEAELIYHPTAGARSIVKAIIVFALLLVAALCFVASAQDPQANKQGGGGGGGGGGPPGYGHYPPWNGGYPGRDPWRHDDPWRHDDPWRGCRWGCCHRGHHGECFRCC >ONIVA02G24470.1 pep chromosome:AWHD00000000:2:22275988:22276209:1 gene:ONIVA02G24470 transcript:ONIVA02G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGDNRALLSLCYPSPFRTTGAGDEVADREGMRGGIKMRSSQATPSIMVSSILRVQGRLETGLSVKTSLER >ONIVA02G24460.1 pep chromosome:AWHD00000000:2:22273573:22274121:-1 gene:ONIVA02G24460 transcript:ONIVA02G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKIVIKVHMSSDKCRRKAMALAASTGGVVSVELAGDDRSKVVVVGDVDSIGLTNALRRKVDGSAELVEVSDASKKKEEEAKKKEEEKKKEELVYYHHGYGYYPSVYHHHPGYGPHGCPCGCNPSPDSTCSIM >ONIVA02G24450.1 pep chromosome:AWHD00000000:2:22270179:22270591:-1 gene:ONIVA02G24450 transcript:ONIVA02G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKIVIKVHMGSDKCRRKAMSLVAATGGVVSVELAGDDRSKVVVVGEVDSVKLTSLLRRKVGPAELVEVGDAKPAKKEEKKEEKKPETVPPYYYYYPYHHYSPYGYIM >ONIVA02G24440.1 pep chromosome:AWHD00000000:2:22267468:22269000:-1 gene:ONIVA02G24440 transcript:ONIVA02G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEDDGGGGVCGRGCPSVVAEDGRIWGVWRPFHGDKGLRWWIRCSWPLFIACPERWRWMWCFWAGRLRLPDKDGWWWLQELLLLLLVGGLNELLQLVSWLNLHMLLLVEALGGKLVGWLLRLLLIEALCGNIFPSISGVAYLRQIVTRQGFSRRHPNSCMFKASWSMETFFKSPCSSIQVEGSIRVEYRPSSRLLSIGLQSVLLRFDGELLDNILLSPVKLKKNLRLNNKPRIAPFPWRQPKESPVCQAVCASSVEARGRGRCGIAAVPCPSRSLLLFGLSWRMLYLLNGCAKEVTSQHPDP >ONIVA02G24430.1 pep chromosome:AWHD00000000:2:22262603:22263782:1 gene:ONIVA02G24430 transcript:ONIVA02G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTSAERHYYKGATGLSHIIANLSSGHSCCWSSDSDLANQSKEKIATRRRGKPTTGELLDRLQEINPTYCPFRSYGPRQHMHMNS >ONIVA02G24420.1 pep chromosome:AWHD00000000:2:22262764:22264998:-1 gene:ONIVA02G24420 transcript:ONIVA02G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVEMSCDKCRSKAMALVAATGGVDSVALAGDGKDQVVVVGDGVDSIKLTAALRKKVGHATLVTVGEPAAAAVEYPWSYHPAYTYAPPAQHVVYQQYPASSPWCGDTYQKPSGGKRKETAWLLI >ONIVA02G24420.2 pep chromosome:AWHD00000000:2:22261861:22264998:-1 gene:ONIVA02G24420 transcript:ONIVA02G24420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVEMSCDKCRSKAMALVAATGGVDSVALAGDGKDQVVVVGDGVDSIKLTAALRKKVGHATLVTVGEVKKEEKKPEPAAVVEYPWSYHPYTFAPPAQHVVYQYPASSWSIF >ONIVA02G24420.3 pep chromosome:AWHD00000000:2:22261861:22262305:-1 gene:ONIVA02G24420 transcript:ONIVA02G24420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVNMASDKCRSKAMALVASTSGVDSVALAGDSKDQVVVVGDGVDSIKLTTALRKKVGHATLMTVGEVKKEEKKPEPAAVVEYPWSYHPYTFAPPAQHVVYQYPASSWSIF >ONIVA02G24410.1 pep chromosome:AWHD00000000:2:22257288:22257864:-1 gene:ONIVA02G24410 transcript:ONIVA02G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVNMASDKCRSKAMALVASTSGVDSVALAGDSKDQVVVVGDGVDSIKLTTALRKKVGHATLMTVGEVKKEEKKPEPAAVVEYPWSYHPYTFAPPAQHVVYQYPASSWSIF >ONIVA02G24400.1 pep chromosome:AWHD00000000:2:22213543:22250851:-1 gene:ONIVA02G24400 transcript:ONIVA02G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKAFVVFALLMLVAASAVVDVASADHGDHDGKKCGPCKCKGCCHYDECYEYCSGDSGPCCKWGKCHSLHKLMASNKAFVVFALLMLVAASAVVDVASADGKCGPCNCKGCCDYDGKCMSYCSGHSGPCCKWKGKCHGCCS >ONIVA02G24400.2 pep chromosome:AWHD00000000:2:22213543:22250851:-1 gene:ONIVA02G24400 transcript:ONIVA02G24400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKAFVVFALLMLVAASAVVDVASADGKCGPCNCKGCCDYDGKCMSYCSGHSGPCCKWKGKCHGCCS >ONIVA02G24390.1 pep chromosome:AWHD00000000:2:22200058:22211023:1 gene:ONIVA02G24390 transcript:ONIVA02G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRRRSLAVAKVMSGWRRRGLQAWVLRCVGNNKYKDSATWTSTSFLRAGRRCSTLVSKWTTTTNGVQKIHNRIRQRADVLADDRAGLCRRKVTQTTRTGFLSAPDSVATPERSQIQRCTIYVHELPPRLTPSEQTTSPRNTGDGGLNHGRSMGGGSAAERHAMAVMVDGMDPAASGAWDR >ONIVA02G24380.1 pep chromosome:AWHD00000000:2:22196737:22197505:-1 gene:ONIVA02G24380 transcript:ONIVA02G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSKALLVFALLLAAAFVASAEQTHDDGDNPPESPDHEDPPPSPEYYDPPPSPDYYDPPHSPDYYDPPPSPDYYDPPPSPYYGGGGGYGKPPPPPPCCPCKGV >ONIVA02G24370.1 pep chromosome:AWHD00000000:2:22193222:22193950:-1 gene:ONIVA02G24370 transcript:ONIVA02G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKLALLVFTLLLAAAAFIHVASAEETHDDHDGGDHDPSPSPPDHEDPSPSPPDHEDEPPPPSSPGKEDVCKGKGCCDWSGGDCKHYCDGYDDKSCCDDWSGDCHKCCSK >ONIVA02G24360.1 pep chromosome:AWHD00000000:2:22189779:22190433:-1 gene:ONIVA02G24360 transcript:ONIVA02G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEMLIRMQASSEKNGNAKAMKVAAAMDGVESVTLAGEGRNLLRVVGSGVDSNHLTSRLRRKVGHADIVELRTLHDTYPRGAAAGSYAATSTSGRLGSSNGGYYYSSQLSAGRGGAYSSGGHQLYGGGYDSPYYHQAPQHPYDGGYYPSPYGAAAVQHEYYTTSSNDDPNGCSIM >ONIVA02G24350.1 pep chromosome:AWHD00000000:2:22182965:22184076:-1 gene:ONIVA02G24350 transcript:ONIVA02G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEIIIRISVKTDKCQKKAMKEAATVSGVQSVTLAGGDRNLLLVIGEGVDTNKLTKKLKRKVGSGEIVELRTVDTFEAAAAMFPGGMIMPPPPPGSKDAAAARAMATTRASPYHYQPSPYGPYHHQQQWQPPYAMAPSPYAYPYQYHPSPMAMAGGGGGYGYGGSSYSRAVALSHPAIYSPLVEKHDYHPMNHSTTTKKKTTTTTTTTGAGTGRAAAAAAGGKTFKAVSRSRRHHESNSNACCIL >ONIVA02G24340.1 pep chromosome:AWHD00000000:2:22175965:22178576:-1 gene:ONIVA02G24340 transcript:ONIVA02G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPKVLVLVRDAAGYGAALADALRPTPGLTRESAPLELPLAKYGLDGEKASGELVNFSDFSGDPQVSFFVLPDYKPPVAACAMNEVLALVSSEAPSTLPTLIVPFMTRSPNYYHGAKTGQLATLHGAEIGATTEFTQMLVDGTTKLPQSLQVRSEPILCLLEMVRVLNIPTVILFASSGQHQGKSSTDVDLEVLQCVGDHLAKHINLEFSKETVLQRGIEKSPVFQEPWRELYR >ONIVA02G24330.1 pep chromosome:AWHD00000000:2:22171109:22173583:-1 gene:ONIVA02G24330 transcript:ONIVA02G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPALPRSTNPAALLAHLAAVLTSPDWFLNPSLPRLPALLAPSLPESLPVPLPVRLAAAAARAAAPSRHLLAVALPVVLRLHSLSPPPLRPLFDRPFASLLAHLSRFALAPLALRLFAHMHRHAPPAPTAPTYNAVIRSLCRRADLARALRYLSLMVRSGWRPDAYTFNSLIVGYCRTNQVDVARDLFDKMPLRGFAQDVVSYATLIEGLCEAGRIDEAVELFGEMDQPDMHMYAALVKGLCNAERGEEGLLMLRRMKELGWRPSTRAYAAVVDFRCRERKAKEAEEMLQEMFEKGLAPCVVTCTAVINAYCKEGRMSDALRVLELMKLRGCKPNVWTYNALVQGFCNEGKVHKAMTLLNKMRACGVNPDAVTYNLLIRGQCIDGHIESAFRLLRLMEGDGLIADQYTYNALINALCKDGRTDQACSLFDSLETRGIKPNAVTFNSLINGLCKSGKADIAWKFLEKMVSAGCTPDTYTYSSFIEHLCKMKGSQEGLSFIGEMLQKDVKPSTVNYTIVIHKLLKERNYGLVARTWGEMVSSGCNPDVVTYTTSMRAYCIEGRLNEAENVLMEMSKNGVTVDTMAYNTLMDGHASIGQTDHAVSILKQMTSVASVPNQFTYFILLRHLVRMRLVEDVLPLTPAGVWKAIELTDVFGLFDVMKKNEFLPNSGTYSSILEGFSEDGRTEEATSLVSLMKEDSISLNEDIYTALVTCFCKSKRYLDAWVLVCSMIQHGFIPQLMSYQHLLSGLICEGQTDKAKEIFMNSRWKDYSPDEIVWKVIIDGLIKKGHSDISREMIIMLERMNCRPSHQTYAMLTEELPDRE >ONIVA02G24320.1 pep chromosome:AWHD00000000:2:22161443:22164852:-1 gene:ONIVA02G24320 transcript:ONIVA02G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRAGSRAAAVDDDSGPTSSLFVQAGMKSKTNRSIQKARKTDNVQGGPNWVLVAGGVLLSTLSVKLGCKLKQLFDAKQRNTQRRPGTCELHSNLYRFSDHLSCYHCNSGFSGNGVGVKQAPASPASKQMEPSLPLVKIPGPESSKENNGIMWISSPDRLEDPRKPFQYSNSSGSPCVSESGSDIYSKKEVIQKLRQHLKKRDEMIMEMQAQIADLKNSLNIQETHSTNLQSQLDSANRDLFESEREIQHLRKIIADHCVAEALSHDKPLLAGHWQSGATNGHANGYADSSSVDDPELHFIGLDKRKGEAERVEMLKREVGELKEVIEGKDFLLQSYKEQKVELSSKIRELQEKFSSQVPNIL >ONIVA02G24310.1 pep chromosome:AWHD00000000:2:22152475:22154339:-1 gene:ONIVA02G24310 transcript:ONIVA02G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASNPTQDMIDITGHVVHDDVSYDKDVLEIKLPDTVVTSDYGGNFVKDVCIDEGVLPHRKISAEKKLDEKSPPNFDFLMIDTNSDLTYGGKGDAKKYAHGQKPKTVLLPVGFAADDNTEKQCDLESRDTASDISEKKISLHELLKLESAEESKERLKLESAEESQTQHQSTTSAINEKNMPPVHEEAIAQVSTNDCNDVATASKTDELITSNVSSINNTNGSSATISDRHDATAALDKPMSTAETTDGLIGSKEFNEVGTAEAMPDALTSSSSSEVQPSEKSNDHPESFTSEPIADPQDENAVATSSSPHVVESSDANRQMNNKNSDNDGATDVHDFNQTDSESCADATNDGRISTSSTDAQKDSTDVDELDVPDNNAKGKSLIGNGYPLEPCSLGPSIMCNPVSTSGHIGNISIRSDSSTTSTRSFAFPVLQWDWNSSPVRMARAERRRNKRRRGWNKGFLCWKF >ONIVA02G24310.2 pep chromosome:AWHD00000000:2:22152475:22154216:-1 gene:ONIVA02G24310 transcript:ONIVA02G24310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASNPTQDMIDITGHVVHDDVSYDKDVLEIKLPDTVVTSDYGGNFVKDVCIDEGVLPHRKISAEKKLDEKSPPNFDFLMIDTNSDLTYGGKGDAKKYAHGQKPKTVLLPVGFAADDNTEKQCDLESRDTASDISEKKISLHELLKLESAEESKERLKLESAEESQTQHQSTTSAINEKNMPPVHEEAIAQVSTNDCNDVATASKTDELITSNVSSINNTNGSSATISDRHDATAALDKPMSTAETTDGLIGSKEFNEVGTAEAMPDALTSSSSSEVQPSEKSNDHPESFTSEPIADPQDENAVATSSSPHVVESSDANRQMNNKNSDNDGATDVHDFNQTDSESCADATNDGRISTSSTDAQKDSTDVDELDVPDNNAKGKSLIGNGYPLEPCSLGPSIMCNPVSTSGHIGNISIRSDSSTTSTRSFAFPVLQWDWNSSPVRMARAERRRNKRRRGWNKGFLCWKF >ONIVA02G24300.1 pep chromosome:AWHD00000000:2:22148128:22149109:1 gene:ONIVA02G24300 transcript:ONIVA02G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMSCRRTSSLLVIALVISLLLLDRPIAHARHLKNTSMSSRGSSTERGLEDSKKKLDEEKTKKVEAVQVGSNSVHGGSPDVYQFAKIVVVERRGPTPHPKKHNL >ONIVA02G24290.1 pep chromosome:AWHD00000000:2:22131503:22146295:1 gene:ONIVA02G24290 transcript:ONIVA02G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium ion binding;thiamin pyrophosphate binding;hydro-lyases;catalytics;2-succinyl-5-enolpyruvyl-6-hydroxy-3-cyclohexene-1-carboxylic-acid synthases [Source:Projected from Arabidopsis thaliana (AT1G68890) TAIR;Acc:AT1G68890] MLAVVFSSGHRLLPLPVLPGTFTTPPPPPPPLLSPRRPLLAPRRRRCLCGGGGGGLLLLRAVAARRAGIVIDVDEVGEVGDRDLPVDVSFTRRLPPVLTLGDGLAALRRAGEEVKACPPAAAASGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKQTTDSSIQLEISGAGSAICFHGSSRVDNGFDLISRYLSFNSHLIRAYGSVGVKYDKELLSLEERIGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFSQIWSSYDSSATICYENMVTSYIGESHMSESRNTQLVYLDAEFLAVIDGKAVTEKDLCLQSNKIESFIRRCSNINLAWASFIVEEFVRLGFTYFCIAPGSRSSPLALSASVHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELQDVGANQAINQVNHFGSFVRHFFSLPPPDDHIYARMVLTTVDSAAYYAMQAPQGPVHINCAFREPLDYGYQDWSVDCLKGLDKWFINREPYTRYLGMKMVSALVMEVLEIVKNANQGLLLVGAIHTEDDIWAVTLLARHLSWPIAADVLSGLRMRKVQKSIPGLDKSICFIDHIDQILLSESVKSWKTPDVIVQIGSRITSKRVGTYLESCSPSSYILIDAHPCRHDPSHVVTHRIQATITEFAASLCQCNFQTKTSRWSDILMVLNSAVSQEIMFQVHSECSLTEPYVAHVIGEALYGDATMFIGNSMVIRDLDMFGKGWIDHSTNANNAMIHHFPGFLGAPVAGNRGASGIDGLLSTSIGFAIGSNKHVFCVIGDISFLHDTNGLSLLNQRTQRKPMTVIVINNHGGAIFSLLPVAKTASLQILEKFFYTLHDISISKLCAAHRIKHILVQTKAELHDALVKSHEGHVDCVVEVENRIVDNANFHRIISMFTDHTATMHLAYLLGGPYCKDGVNGFSVGRIHAAEYMFYRIQLAAPRTSGISESSFFHEGFILKLCVGDSIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTTLGIPPSSVFPSVKCGLEMAILNLLESQRIDRSYGIFTGSNVVEYNQSSTASIQICALVDSCGTPMDVTLAVVKLVAEGFTTVKLKVGRRENPAEDAAVIQKVREIVGYKINIRADANRKWTYEQAIDFGSREPVDSVNDIIKFCENSGLPVALDETIDNLTGDVIPKLHQFSHPGIVALVIKPSVVGGFETAAYIAKWAHMHDKMAVISSTYESSVGLANYIQFAHYVDRQNDITSRIKNRGSCGNVAHGLGTYQWLREDVSDQKLKIHAPPLGDGIRASAEDAHGYLQHLVINDKKIERTYSEEKLRSYFIQVDGDNFSYQVKLQEGGDCTHEKVILFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESEILQHDVENSNQISFSVQSVADLLLKLIRNITDGAVVVVGYSMGARIALHMALNQNHKISEAVIISGSPGLRDEATKRRRSAIDRSRAHFLSSCGLENFLETWYSAKMWASLREHPKFDSLVRTRMKHNNIKALSKVLADSSIGTQKSLWEDLKHLKSPLLIVAGEKDPKFKEISQQMCREIRKHKDRESDGLCEMIIIPDSGHAVHVENPLPLVRAIRKFLVRDIPDVISK >ONIVA02G24290.2 pep chromosome:AWHD00000000:2:22131503:22146295:1 gene:ONIVA02G24290 transcript:ONIVA02G24290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium ion binding;thiamin pyrophosphate binding;hydro-lyases;catalytics;2-succinyl-5-enolpyruvyl-6-hydroxy-3-cyclohexene-1-carboxylic-acid synthases [Source:Projected from Arabidopsis thaliana (AT1G68890) TAIR;Acc:AT1G68890] MLAVVFSSGHRLLPLPVLPGTFTTPPPPPPPLLSPRRPLLAPRRRRCLCGGGGGGLLLLRAVAARRAGIVIDVDEVGEVGDRDLPVDVSFTRRLPPVLTLGDGLAALRRAGEEVKACPPAAAASGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKQTTDSSIQLEISGAGSAICFHGSSRVDNGFDLISRYLSFNSHLIRAYGSVGVKYDKELLSLEERIGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFSQIWSSYDSSATICYENMVTSYIGESHMSESRNTQLVYLDAEFLAVIDGKAVTEKDLCLQSNKIESFIRRCSNINLAWASFIVEEFVRLGSRSSPLALSASVHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELQDVGANQAINQVNHFGSFVRHFFSLPPPDDHIYARMVLTTVDSAAYYAMQAPQGPVHINCAFREPLDYGYQDWSVDCLKGLDKWFINREPYTRYLGMKMVSALVMEVLEIVKNANQGLLLVGAIHTEDDIWAVTLLARHLSWPIAADVLSGLRMRKVQKSIPGLDKSICFIDHIDQILLSESVKSWKTPDVIVQIGSRITSKRVGTYLESCSPSSYILIDAHPCRHDPSHVVTHRIQATITEFAASLCQCNFQTKTSRWSDILMVLNSAVSQEIMFQVHSECSLTEPYVAHVIGEALYGDATMFIGNSMVIRDLDMFGKGWIDHSTNANNAMIHHFPGFLGAPVAGNRGASGIDGLLSTSIGFAIGSNKHVFCVIGDISFLHDTNGLSLLNQRTQRKPMTVIVINNHGGAIFSLLPVAKTASLQILEKFFYTLHDISISKLCAAHRIKHILVQTKAELHDALVKSHEGHVDCVVEVENRIVDNANFHRIISMFTDHTATMHLAYLLGGPYCKDGVNGFSVGRIHAAEYMFYRIQLAAPRTSGISESSFFHEGFILKLCVGDSIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTTLGIPPSSVFPSVKCGLEMAILNLLESQRIDRSYGIFTGSNVVEYNQSSTASIQICALVDSCGTPMDVTLAVVKLVAEGFTTVKLKVGRRENPAEDAAVIQKVREIVGYKINIRADANRKWTYEQAIDFGSREPVDSVNDIIKFCENSGLPVALDETIDNLTGDVIPKLHQFSHPGIVALVIKPSVVGGFETAAYIAKWAHMHDKMAVISSTYESSVGLANYIQFAHYVDRQNDITSRIKNRGSCGNVAHGLGTYQWLREDVSDQKLKIHAPPLGDGIRASAEDAHGYLQHLVINDKKIERTYSEEKLRSYFIQVDGDNFSYQVKLQEGGDCTHEKVILFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESEILQHDVENSNQISFSVQSVADLLLKLIRNITDGAVVVVGYSMGARIALHMALNQNHKISEAVIISGSPGLRDEATKRRRSAIDRSRAHFLSSCGLENFLETWYSAKMWASLREHPKFDSLVRTRMKHNNIKALSKVLADSSIGTQKSLWEDLKHLKSPLLIVAGEKDPKFKEISQQMCREIRKHKDRESDGLCEMIIIPDSGHAVHVENPLPLVRAIRKFLVRDIPDVISK >ONIVA02G24280.1 pep chromosome:AWHD00000000:2:22124736:22128720:-1 gene:ONIVA02G24280 transcript:ONIVA02G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAAAGRLLAAVDWEREAYPAYRDFFALPLFAVFFLVVRYLLDRFVFEWIGRKLIFGKEKVDYEKEETRKKIRKFKESAWKCVYFLSGEILSLSVTYNEPWFTNTKYFWVGPGDQVWPDQKIKWKLKAVYMYAAGFYTYSIFALMFWETRRSDFGVSMSHHVATVVLIVLSYVFRFARVGSVVLAIHDASDVFLEVGKMAKYSHCDLLANVAFLLFVVSWVLLRLTYFPFWILRSTSYEVLLTLDKKKHNFDGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVRQIKTRNVGDDTLKVKTSMKIDC >ONIVA02G24270.1 pep chromosome:AWHD00000000:2:22118454:22122688:1 gene:ONIVA02G24270 transcript:ONIVA02G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCICCLNRPFVYRTAYTYGKAGANLPESSVAMHEETKAVKSGLSRRTATQPWMSFQAQQRAIAPWLLAFPCDGGRQAGSQRRRRVSDCFLLGWEPPFGCLGILAGIGAAGTNVYGVVHLHAFAMASCCRGSYQGKKYRVNMA >ONIVA02G24260.1 pep chromosome:AWHD00000000:2:22118079:22118321:1 gene:ONIVA02G24260 transcript:ONIVA02G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSFLCPIFSFLSCKSRRYEDDDDDGELSGEWPARYGSKVRSSDEDYGAWWVGERDVDRKASDYINSFHQRKQVAAA >ONIVA02G24250.1 pep chromosome:AWHD00000000:2:22106558:22106917:1 gene:ONIVA02G24250 transcript:ONIVA02G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASLSAVAPGRAAAAAPRRGSLAVARAAAGRAECQHEAHHCGEGCGCRGGAGAEGRRAVMFAAAAVALSAIGAGAGGASAAFAESDVKRGTPEAKKKYAQICVTMPTAKVCHN >ONIVA02G24240.1 pep chromosome:AWHD00000000:2:22097176:22106318:1 gene:ONIVA02G24240 transcript:ONIVA02G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;sulfuric ester hydrolases;catalytics;transferases [Source:Projected from Arabidopsis thaliana (AT3G01380) TAIR;Acc:AT3G01380] MAGRARPQPQLQPHSSSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMAPEPPRFSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKETVSIVLPIDILGYLVLAVACGLLYLRFIKDLFCVENLGYTDGRPILLSLILYSIKVDTLSRLAVQILFPYSAAAYLTVPGVLIPMNMKTLRQFQGLLNRSFEDAKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSNIYLNNVKVVDQIAESMYNLMESYFNDNQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPKFLAYTDKPDDGFRFVDDHKHDMPTPQDWALEGFERVDVNQADIAPLMATLVGLPCPLNSVGSLPTHYLKLSKADEVEAVLANTKQILNQFLRKSQMKQSSSLYFKPFKPLANYSLVLDQIEDLISAKDYENAMKHSEELRSMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTSFPANLQKRTQVYAKNTSVKVYIVGCFIMGFSSIILLLEKSPLLYHAYLFMTIFLWTRIVQNFEFIKAVWRELSNMPFKYTMNLLNISVIALFILEFLVMSFFDRKLYTWCFLILGILASSCVAIFIQASSALAVYVWLSCWFLSLFTLMPAEIPENNNLVIFSGALIILTAMASRWMATNNNNFWLYLTRANKQDPQFSNLFFVQVVFVAISSIMVWISTSHRSQNKQLHPLHQMINWLIAGFAMVLPLFSPSSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLMGWIFVESANLYCSEQNGSARRSSIVEGSIFGYDERCLQLSDLRIPLLFTILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIFTKDIEVSSRQLSSRKVM >ONIVA02G24240.2 pep chromosome:AWHD00000000:2:22097176:22106318:1 gene:ONIVA02G24240 transcript:ONIVA02G24240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;sulfuric ester hydrolases;catalytics;transferases [Source:Projected from Arabidopsis thaliana (AT3G01380) TAIR;Acc:AT3G01380] MAGRARPQPQLQPHSSSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMAPEPPRFSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHIISFGSPDIVPIFCSSLPHSTWGTYPHEYEDFATDASFLDHWSFDQFQGLLNRSFEDAKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSNIYLNNVKVVDQIAESMYNLMESYFNDNQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPKFLAYTDKPDDGFRFVDDHKHDMPTPQDWALEGFERVDVNQADIAPLMATLVGLPCPLNSVGSLPTHYLKLSKADEVEAVLANTKQILNQFLRKSQMKQSSSLYFKPFKPLANYSLVLDQIEDLISAKDYENAMKHSEELRSMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTSFPANLQKRTQVYAKNTSVKVYIVGCFIMGFSSIILLLEKSPLLYHAYLFMTIFLWTRIVQNFEFIKAVWRELSNMPFKYTMNLLNISVIALFILEFLVMSFFDRKLYTWCFLILGILASSCVAIFIQASSALAVYVWLSCWFLSLFTLMPAEIPENNNLVIFSGALIILTAMASRWMATNNNNFWLYLTRANKQDPQFSNLFFVQVVFVAISSIMVWISTSHRSQNKQLHPLHQMINWLIAGFAMVLPLFSPSSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLMGWIFVESANLYCSEQNGSARRSSIVEGSIFGYDERCLQLSDLRIPLLFTILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIFTKDIEVSSRQLSSRKVM >ONIVA02G24240.3 pep chromosome:AWHD00000000:2:22097176:22106318:1 gene:ONIVA02G24240 transcript:ONIVA02G24240.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;sulfuric ester hydrolases;catalytics;transferases [Source:Projected from Arabidopsis thaliana (AT3G01380) TAIR;Acc:AT3G01380] MAGRARPQPQLQPHSSSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMAPEPPRFSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKETVSIVLPIDILGYLVLAVACGLLYLRFIKDLFCVENLGYTDGRPILLSLILYSIKVDTLSRLAVQILFPYSAAAYLTVPGVLIPMNMKTLRQFQGLLNRSFEDAKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSNIYLNNVKVVDQIAESMYNLMESYFNDNQTAYVFTADHGMSDKGYTCGSAMPAEFCWKLTYALFEIKQEMKQSSSLYFKPFKPLANYSLVLDQIEDLISAKDYENAMKHSEELRSMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTSFPANLQKRTQVYAKNTSVKVYIVGCFIMGFSSIILLLEKSPLLYHAYLFMTIFLWTRIVQNFEFIKAVWRELSNMPFKYTMNLLNISVIALFILEFLVMSFFDRKLYTWCFLILGILASSCVAIFIQASSALAVYVWLSCWFLSLFTLMPAEIPENNNLVIFSGALIILTAMASRWMATNNNNFWLYLTRANKQDPQFSNLFFVQVVFVAISSIMVWISTSHRSQNKQLHPLHQMINWLIAGFAMVLPLFSPSSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLMGWIFVESANLYCSEQNGSARRSSIVEGSIFGYDERCLQLSDLRIPLLFTILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIFTKDIEVSSRQLSSRKVM >ONIVA02G24240.4 pep chromosome:AWHD00000000:2:22097176:22106318:1 gene:ONIVA02G24240 transcript:ONIVA02G24240.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;sulfuric ester hydrolases;catalytics;transferases [Source:Projected from Arabidopsis thaliana (AT3G01380) TAIR;Acc:AT3G01380] MAGRARPQPQLQPHSSSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMAPEPPRFSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHIISFGSPDIVPIFCSSLPHSTWGTYPHEYEDFATGLLNRSFEDAKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSNIYLNNVKVVDQIAESMYNLMESYFNDNQTAYVFTADHGMSDKGYTCGSAMPAEFCWKLTYALFEIKQEMKQSSSLYFKPFKPLANYSLVLDQIEDLISAKDYENAMKHSEELRSMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTSFPANLQKRTQVYAKNTSVKVYIVGCFIMGFSSIILLLEKSPLLYHAYLFMTIFLWTRIVQNFEFIKAVWRELSNMPFKYTMNLLNISVIALFILEFLVMSFFDRKLYTWCFLILGILASSCVAIFIQASSALAVYVWLSCWFLSLFTLMPAEIPENNNLVIFSGALIILTAMASRWMATNNNNFWLYLTRANKQDPQFSNLFFVQVVFVAISSIMVWISTSHRSQNKQLHPLHQMINWLIAGFAMVLPLFSPSSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLMGWIFVESANLYCSEQNGSARRSSIVEGSIFGYDERCLQLSDLRIPLLFTILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIFTKDIEVSSRQLSSRKVM >ONIVA02G24230.1 pep chromosome:AWHD00000000:2:22086768:22091285:-1 gene:ONIVA02G24230 transcript:ONIVA02G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPALQSVAQNPPHPEILPGHPPHDTTRQQVITYLSFPRQRRGQAAPASSSSLHKRFLSSLSCACCLLFSSACSSGRQSAAQQQKKMVAAGGGHGGGADDGMVVDYRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSNAKSANIVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIAATGVSLLTVDTMVPGMRPPPCADARGAGAHLRCESARGGQLAMLYAALYTVAAGAGGLKANVSGFGSDQFDGGDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMVLAVAVLVAGTPKYRYRRPEGSPLTVIGRVLATAWRKRRLPLPADAGELHGYHTSKADLAASPAKTNQTSAAPAATVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRHLRPGAAPGGFAIPAGSLSVFLFLSILLFTSLNERVLVPAARRLTRRPQGLTSLQRVGAGLVLATVAMATSALVEKKRRDAANDGGGGGMISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFAVDAATRGAWIRNDLDAGRLDLFYWMLAVLGVANFAVFLVFARRHEYKQPAGTAAVVVAPAAAKDGGAGEEKEMDDFVVVKEAVEGMDV >ONIVA02G24230.2 pep chromosome:AWHD00000000:2:22088449:22091285:-1 gene:ONIVA02G24230 transcript:ONIVA02G24230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPALQSVAQNPPHPEILPGHPPHDTTRQQVITYLSFPRQRRGQAAPASSSSLHKRFLSSLSCACCLLFSSACSSGRQSAAQQQKKMVAAGGGHGGGADDGMVVDYRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSNAKSANIVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIAATGVSLLTVDTMVPGMRPPPCADARGAGAHLRCESARGGQLAMLYAALYTVAAGAGGLKANVSGFGSDQFDGGDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMVLAVAVLVAGTPKYRYRRPEGSPLTVIGRVLATAWRKRRLPLPADAGELHGYHTSKVTVKAEMDTSYREEAEDDNSVRPLANTARKSKSCMVLDEMNEWSK >ONIVA02G24230.3 pep chromosome:AWHD00000000:2:22088449:22091285:-1 gene:ONIVA02G24230 transcript:ONIVA02G24230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPALQSVAQNPPHPEILPGHPPHDTTRQQVITYLSFPRQRRGQAAPASSSSLHKRFLSSLSCACCLLFSSACSSGRQSAAQQQKKMVAAGGGHGGGADDGMVVDYRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSNAKSANIVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIAATVRSRSRTHRTTHLRSSSRGAGISLRSAAMQGVSLLTVDTMVPGMRPPPCADARGAGAHLRCESARGGQLAMLYAALYTVAAGAGGLKANVSGFGSDQFDGGDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMVLAVAVLVAGTPKYRYRRPEGSPLTVIGRVLATAWRKRRLPLPADAGELHGYHTSKVTVKAEMDTSYREEAEDDNSVRPLANTARKSKSCMVLDEMNEWSK >ONIVA02G24220.1 pep chromosome:AWHD00000000:2:22082187:22083748:-1 gene:ONIVA02G24220 transcript:ONIVA02G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDASSPSAARDAKKKRGNRSAKLKQSKLDVRREQWLSQESDPSSVWIGLVKDGKEVKAVVSPGAAAGANSGSPILASPHPPLPRRRAEIRTREGDPEDFKEDSVGASQDVGSSDHESPLHSPVSYNPPIGCLQQKHCSGNGGGRSFSSGSSAWSSSRSVTDSDDDTGGSPENDDDGVLDDWEAVADALSVDDNHNHQDPVPADPPVVPASCPVPANAATRQEPIKSSTRAWSPDDAFRPQSLPSLSKQVSFPASMGNCWVAMGIGSAQKGVPSKPTSCPICYEDLDPTDSSFLPCPCGFHLCLFCHKRILEADGRCPACRKQYISASSGGETVGSEREMGNLRLSRSCSMGPRY >ONIVA02G24210.1 pep chromosome:AWHD00000000:2:22071621:22078981:1 gene:ONIVA02G24210 transcript:ONIVA02G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQVEGMRKAYAEIMLNMAQESAARVLAAERRAAALAGGLAAAREDGVAALVRLKAIMEARIKEAESQSLANINKIKELQEQLHGAQDTVASLQIELQRSNTELEQARSTLAEERRNNLRSCNKINSNKNSSSSSRKHLQGRVSSKSKNMAKESGAVENLETLYRCDSDLGSFMARTKNPELYRNGCTQRIRAIKQRSPNSDTSLVENSKQTSALNSRSKTGKTDTNRNPQSTRSIMEQILQTKFLANCKRKRGRRSRPSYMHDNSGEHGQTEYKSSDTSDGNGCLLLLQALEQDLSPLKASSGSVGEGLADQKDELLKDEKDADLNLHPASPGPNDVLSVNNMQMKRRKRSKTIRVFESDFEAKAAPELGNTLPKSSNNNSMLNSEQSSDPPAGNNGPVLQCTAENLMHVTDAANADQLKSENSSPLVPQSTESEIGDEGNSRVDHKECRTPDNNAIVLEEVNVDKSCIILASDGADSSIVSSLDKEENAKEATSGVAVQAEGARYIKYTFNRRKRKAAPLDSTPQGAVPEKSSSVVCPSENHEPHAKPETQDLVIESPPGDNQLIHVAQQLILLSAQK >ONIVA02G24200.1 pep chromosome:AWHD00000000:2:22066690:22068821:1 gene:ONIVA02G24200 transcript:ONIVA02G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G8U9] MAACVDDVGKPRASRKVCVIGAGMAGLAAARELRREGHAVTVLEQAGDVGGQWLYDDPRADDDDEDPLAAAAAAAKPVRVHSSMYASLRLLGPREVMGFSDFQFVPARKSRCGGGDNPGGRDPRRFPGHREVYLYLRDFYRAAGLTDSVRFNTRVVRVAVAPPPCRGGPGDALRWVVRSMDAGLWKRCTDDQMAEAHCVEEVFDAVVVATGHYSQPKLPSIQGMGDWKRRQMHSHWYRVPDSFRDEVVVLVGCGDSGMDIALDLLAVAREVHLSAKSVEAAATPAMSKMLARHANLHLHPQIERLCDDGRVVFADGGGGVVAADTVMYCTGYRYSFPFLDTEGKVAVEDDDNRVGPLFEHTFPPSLAPSLSFVGIPRKVMVPWFFEAQGRWIAGVLSGRRALPSEEEMTRSVEEFYRARELAGVPKAHTHDVEPHKMYELGEKYCDFPRTEEWKRELMAIISRNTSDDMETFRDRDDDSDNVRRCLQEWYALAEHQAQDEEDPAAAAAQAPVHSSL >ONIVA02G24190.1 pep chromosome:AWHD00000000:2:22063905:22064753:-1 gene:ONIVA02G24190 transcript:ONIVA02G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQAAASLLTKLAQAAAGLGIAASAASTALYTVDGGQRAVIFDRFRGVLPETSSEGTHFIVPWLQKPFIFDIRTRPHSFSSTSGTKDLQMVSLTLRVLARPDVDRLPDIFTSLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDSLIRRAAEFNIVLDDVAITHLAYGPEFSQAVEKKQVAQQEAERSRFLVARAEQERRAAIVRAEGESEAARLISEATAAAGTGLIELRRIEAAKEIAGELARSPNVSYIPAGDSSQMLLGLSGAR >ONIVA02G24180.1 pep chromosome:AWHD00000000:2:22057545:22060194:-1 gene:ONIVA02G24180 transcript:ONIVA02G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASEELEQRSRYLSSLIRRTKLHAAPALAPPPPTPPPEPETKLQLEMEPQPERVEEAAKKPAAVAAVVEKREVKGGGGGGGQAGKGKGKGKGKEKEMEKGKEERKVSVRVRAADMPLAMQRRAVRLAFDAVAAMPRLDSKRLALALKKEFDATYGPAWHCIVGTGFGSYVTHSVGGFLYFSVDKVYVLLFRTAVEPLGHPQ >ONIVA02G24170.1 pep chromosome:AWHD00000000:2:22056264:22056952:1 gene:ONIVA02G24170 transcript:ONIVA02G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSGRLAAVLFLLLLSGSRLAAAGRGAAGPVVAFAADADAVAGAPVGGGGGGGSFPADPLLPCMEELLPCTAYLGSGRSPSHTCCTSLHDGAVDEMQCLCRLLADPELLRTFNVTRDQMFRLPSRCGLPVGCHAGAAGSPEPVVEAPPPPPPDETEGQQAGGDDSSGGGDRRRGAAGAGRRVIAAVALGGAASVAALLHVF >ONIVA02G24160.1 pep chromosome:AWHD00000000:2:22049840:22054516:1 gene:ONIVA02G24160 transcript:ONIVA02G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >ONIVA02G24160.2 pep chromosome:AWHD00000000:2:22049840:22054516:1 gene:ONIVA02G24160 transcript:ONIVA02G24160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >ONIVA02G24160.3 pep chromosome:AWHD00000000:2:22049730:22054516:1 gene:ONIVA02G24160 transcript:ONIVA02G24160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >ONIVA02G24160.4 pep chromosome:AWHD00000000:2:22050073:22054373:1 gene:ONIVA02G24160 transcript:ONIVA02G24160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >ONIVA02G24160.5 pep chromosome:AWHD00000000:2:22051690:22054516:1 gene:ONIVA02G24160 transcript:ONIVA02G24160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >ONIVA02G24150.1 pep chromosome:AWHD00000000:2:22044172:22048150:-1 gene:ONIVA02G24150 transcript:ONIVA02G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G25500) TAIR;Acc:AT1G25500] MSSSEEGESANPSPCPAGPAAAAAVAGAAGVGVGAAAWPRRRCRDVFWLVVFLLHLLVFGGALALTGLNRFGQADRFNIDRFTNLTAAPRFAGSPEPAQEAPPPPSLEAEEVTPKSELTESYWPYYGAAGAVGTALAWAWLAAAAGKKDGGRVVMRAAVHSLTAYLAVVSVLCFWGKHFFWGVAFAVGGALHFLYVMSVLDRFPFTMLVLQKAVRMVWELPDVMRIAYAFVLVMLCWMALWSFGVSGILAFHIPNGGQWWALLIFSVSLFWTGAVLSNTVHVIVSGMVFLVLIHGGQAAASMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGNNECLLCCVDFLFHIVETLVRFFNKYAYVQIAINGQSFNRSARDAWELFQSTGVEALVAYDCSGAVLLMSTILGGLITGTCTGVWTYFKQSDKAIMVGSTSMLMGMILVGVTVVVVESAVTSIYICYAEDPRLIQRWDPDFFDQMSEALHQRLQYRSARAHQILNSRLDQLPNTSSI >ONIVA02G24140.1 pep chromosome:AWHD00000000:2:22038048:22039114:-1 gene:ONIVA02G24140 transcript:ONIVA02G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADDQSAIRPVTLYYLYHHTARSSLASSERKPHPRGPESERSTKASIYILRSRRRTVEAPPPPPPPPFAMQDQAAPVPWSTDLFDCFDDNSNCFMTWLCPCITFGQIAEIVDRGSSSCGTSGSLYALVFLVTGCSCIYSCIYRSKLRSQYGLQETPCSDCLVHLWMARKHGEARAKPGGDHGAGDVPWDDTLIDRLISG >ONIVA02G24130.1 pep chromosome:AWHD00000000:2:22030010:22030707:-1 gene:ONIVA02G24130 transcript:ONIVA02G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKPEDVGGGVTTAFAMQGKVPLAAWSTGLFNCFDDCGNCCVTCLCPCITFGQIAEIIDRGSSSCGTSGALYALVMLLTGCNCVYSCFYRAKMRSQYGLQEKPCADCPVHFFCEPCALSQEYRELKKRGFDMNLGWHANMERQGHKPAMTMPPHMFPGMTR >ONIVA02G24120.1 pep chromosome:AWHD00000000:2:22022853:22023032:1 gene:ONIVA02G24120 transcript:ONIVA02G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKGEERAPDLGGGRGVRTARGRARARVPVARHVAVEAKQKPRHMLLPAEEERRRDI >ONIVA02G24110.1 pep chromosome:AWHD00000000:2:22014102:22022740:-1 gene:ONIVA02G24110 transcript:ONIVA02G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSASSRRPLPSTVPVRRARGFSESCQSEVGQKSESPKFISARCTCDYSTQPKCATYSPHGPTPQNLP >ONIVA02G24100.1 pep chromosome:AWHD00000000:2:22012357:22019346:1 gene:ONIVA02G24100 transcript:ONIVA02G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKSKDEQQAVANPNSELKFWQREAASLRQQLHNLQENHRQLMGEDLSGLNVKELQSLENQLEISLRSVRTKKDHVLIDEIHELNRKGSLVHQENMELYKKISLIRQENAELYKKIYETEGPSEVNRDSPTPYNFAVIEKTNVPVQLGLSTLPQHSDAEQSAAPKLG >ONIVA02G24100.2 pep chromosome:AWHD00000000:2:22016478:22019346:1 gene:ONIVA02G24100 transcript:ONIVA02G24100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLSGLNVKELQSLENQLEISLRSVRTKKDHVLIDEIHELNRKGSLVHQENMELYKKISLIRQENAELYKKIYETEGPSEVNRDSPTPYNFAVIEKTNVPVQLGLSTLPQHSDAEQSAAPKLG >ONIVA02G24100.3 pep chromosome:AWHD00000000:2:22018681:22019346:1 gene:ONIVA02G24100 transcript:ONIVA02G24100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLSGLNVKELQSLENQLEISLRSVRTKKDHVLIDEIHELNRKGSLVHQENMELYKKISLIRQENAELYKKIYETEGPSEVNRDSPTPYNFAVIEKTNVPVQLGLSTLPQHSDAEQSAAPKLG >ONIVA02G24100.4 pep chromosome:AWHD00000000:2:22012357:22014396:1 gene:ONIVA02G24100 transcript:ONIVA02G24100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKSKDEQQAVANPNSELKI >ONIVA02G24090.1 pep chromosome:AWHD00000000:2:21989600:21991698:1 gene:ONIVA02G24090 transcript:ONIVA02G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEAGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPTKAGLRRCGKSCRLRWTNYLRPDIKRGKFTLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLARMGIDPVTHKPRVDADADVAAGGGAAGGGARSRAAAHLSHTAQWESARLEAEARLAREAKLRALASPPPATAALSGVDSPTSTLSFSESALFGAGSAAPDIHGAARAAAVQAVQSSYGEACQEHHFGGATAETSFAGAGTLAGVLLDCSVTGADQRFAERTEACSGELQGEDDDDKGYWNSILNMVNSSMSSSSSSLTSEVVTDTEMFLPATAAAAASATPVEF >ONIVA02G24080.1 pep chromosome:AWHD00000000:2:21980572:21982691:1 gene:ONIVA02G24080 transcript:ONIVA02G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARRQQQQQVVVAATMENDVHHHRQMMQQQQQQEMDLPPGFRFHPTDEELITHYLLRKAADPAGFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPRGGKTGWVMHEYRIHGKHAAANSKQDQEWVLCRVFKKSLELAPAAAAAVGRRGAGAGTDVGPSSMPMADDVVGLAPCALPPLMDVSGGGGGAGTASLSATAGAAAAPPPAHVTCFSNALEGQFLDTPYLLPAADPADHLAMSSASPFLEALQMQYVQDAAAAGGAGMVHELLMGGGWYFNKGERERLSGASQDTGLTSSEVNPGEISSSSRQQRMDHHDASLWAY >ONIVA02G24080.2 pep chromosome:AWHD00000000:2:21980608:21982691:1 gene:ONIVA02G24080 transcript:ONIVA02G24080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARRQQQQQVVVAATMENDVHHHRQMMQQQQQQEMDLPPGFRFHPTDEELITHYLLRKAADPAGFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPRGGKTGWVMHEYRIHGKHAAANSKQDQEWVLCRVFKKSLELAPAAAAAVGRRGAGAGTDVGPSSMPMADDVVGLAPCALPPLMDVSGGGGGAGTASLSATAGAAAAPPPAHVTCFSNALEGQFLDTPYLLPAADPADHLAMSSASPFLEALQMQYVQDAAAAGGAGMVHELLMGGGWYFNKGERERLSGASQDTGLTSSEVNPGEISSSSRQQRMDHHDASLWAY >ONIVA02G24070.1 pep chromosome:AWHD00000000:2:21971767:21972075:1 gene:ONIVA02G24070 transcript:ONIVA02G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDGARIVDGCYMARRGPAAISIPSVERRAVEEAAASDSTQRKEEKKKAATAAATRMRTVALPPPARRRPPDPRPRGASSPPVGRPAACREPEMSTGRERG >ONIVA02G24060.1 pep chromosome:AWHD00000000:2:21967122:21969293:1 gene:ONIVA02G24060 transcript:ONIVA02G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPSGGGGGGGLLDLEHHFAFYGAYHSNAVNVFIHTLFVWPIFLTALLLLHLAAPSAHAAAAGAAVYGAFYLLLDRRAGALAALLCFLCWAASAALAARLGFSAGWKVVLVAQLFCWTMQFIGHGKRAPALLDNLVQAFLMAPFFVLLEALHKFVGYEPYPGFHAKVQKLIDEARKEWEDKKAKKMT >ONIVA02G24050.1 pep chromosome:AWHD00000000:2:21959430:21960349:1 gene:ONIVA02G24050 transcript:ONIVA02G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLLSSTPPWRSLTWPEATAKGEQEDETEMASTRLSLEQGKVGIQSSPPCSSSSSAGHPTMQPAATATAAPGYGPRPRHMLTERPAYTA >ONIVA02G24040.1 pep chromosome:AWHD00000000:2:21959106:21959300:1 gene:ONIVA02G24040 transcript:ONIVA02G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAPINPSDLNHVEGVYPVCPPLPAAVAGYEGVDQDHALGTAFDSPLLSPSDWVIPSPPSLGT >ONIVA02G24030.1 pep chromosome:AWHD00000000:2:21958989:21959378:-1 gene:ONIVA02G24030 transcript:ONIVA02G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVYWGRHIGADPVPGSGWVDDVGLLGAQGGRGRDDPVTGGEERGIEGGAKGVVLVDPLVACNGGREGRANGVDTLDMVEVRRVDGGDQHPHAHIAVADLCRRQPSHPEDFVGAGRGDRRGRLWWAGT >ONIVA02G24020.1 pep chromosome:AWHD00000000:2:21956464:21957290:-1 gene:ONIVA02G24020 transcript:ONIVA02G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like 2 [Source:Projected from Arabidopsis thaliana (AT1G14150) TAIR;Acc:AT1G14150] MATLAKHLILCSTATSSSSSASSPTSARRRGTASQADAAAAAAGERHQRGGPQSATRRLAVAASTALAATAALSARRPAAPPPAMAAEAAAVPPPPPGPGTVPRWGTRSYVRERFFEPGLTAEEAAARIRQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLDLDLTTAMFSVPEARRADYVRVANELVDNMTEFDRFVRTPKVYESYLYYEKTLKSLDDVTEFLA >ONIVA02G24010.1 pep chromosome:AWHD00000000:2:21955283:21957008:1 gene:ONIVA02G24010 transcript:ONIVA02G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G8S2] MEAGEEIEDGEPSTPTYKAHHPPPHLPPPMRSSGVSLVLSVADLVLRFVAIGGTAGSAIAMATTSETLPFAAPFVRFRAEYSDLPTLMFFVVASSVVCAYLVLSLPASVVHVVRPGARSSRAILAFLDTVMLALLTASASAAAAIVYLAHRGSARANWLGICQQFTSFCQRITASLVGSFAAAVVLVALVFLSALSLARRA >ONIVA02G24000.1 pep chromosome:AWHD00000000:2:21951308:21953904:1 gene:ONIVA02G24000 transcript:ONIVA02G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G8S1] MSEMQPHAVCLPFPAQGHITPMMKLAKVLHSRGFHVTFVSTEYNHRRLVRSRGAAAAAGIPGFRFATIPDGLPPSDADATQDPPSLSYSTMTTCLPHFRKLLADLNNRLAPDDDDAAPPVTCVVADHLMGFSLDAAAELGVPCALFWTASACGYMGYRNFRLLIDMGIIPLKGEEQLTNGFMDMAVDWAPGMSKHMRLKDFPTFLRTTDRDDILMTFQLRQVERAEEADAVVLNTFDELERPALDAMRAITPAIYTVGPLAFLTEQIPPGGPLDDISPSLWREDDACLRWLDGRNPRSVVYVNYGSVTVMSGHELEEFAWGLAGSGHDFLWIVRPDVVTRTAAATAAEAALPREFTEATKGRGLVASWCDQEAVLRHPAVGLFLTHSGWNSTVEALSGGVPMLCWPFFAEQQTNCRYKCVEWGVAMEVGDSVWREAVEGRIREAMGGGEKGKEMRRRAAEWKEAAARARGRSLANLERLIGDVLLSGKKDRVI >ONIVA02G23990.1 pep chromosome:AWHD00000000:2:21939393:21942200:1 gene:ONIVA02G23990 transcript:ONIVA02G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G8S0] MCSACNRRGCISYTAASRFHQPIDRRTTTYDDMVAADEIKPHAVCLPFPAQGHVTPMMKLAKVLHCRGFHVTFVNTEYNHRRLIRSRGAAAVAGVPGFRFATIPDGLPPSDADATQDPASICYSTMTTCLPHFTKLLVDLDGSRAAGIPPVTCVVADGVMSFAVDAAKELGVPCALFWTASACGYMGYRHHRFFLDEGLSPLKDEEQLTNGFLDTVARPARGMSKHMRYRDYPSFIWTTDRGDILLNFLLHEVERADRADAVILNTFDELEQQALDAMRAILPPVYTIGPLGSLADRVVAPDAPAAAIRPSLWKEDTACLAWLDGREPRSVVFVNYGSITTMSNDELVEFAWGLANCGHGFLWIVRPDLVRGDAAVLPREFLEAVAGRGLLASWCEQEAVLRHGAVGAFLTHCGWNSTMESLSAGVPMLCWPFFAEQQTNARYSCAEWGVGMEVGGGVRREAVEATIREAMGGEKGKEMRRRAAEWKELGARATQPGGRSLVNLDNLIKEVLLPKLDKDVVALESIELNEDVSGMEEHGVSSSM >ONIVA02G23980.1 pep chromosome:AWHD00000000:2:21935163:21935970:1 gene:ONIVA02G23980 transcript:ONIVA02G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASLAPTVSAAVSSAGEPPMVKEWLRLLGHLVTGCRKLPAPALLGQTTWSRCQGHVLVDLLAAAAGDACDRGRRVDEQRAAHDVGDRRRSDGSGAARPCACAWLLAAIDLVGCLVDQSEKEVKVAGVSLSFLFLSSRPYTGSTATPSAAGDVTDGDHELCRIGVVRHRVAELKPNDEAAGHECGDLDEQHELTLLLPLRHRPLLESPLHTGSVIIVVLPPPPPIRSMLAPYLYPPLP >ONIVA02G23970.1 pep chromosome:AWHD00000000:2:21930378:21931160:-1 gene:ONIVA02G23970 transcript:ONIVA02G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETGTIRSACVAGTGPSGSGLRWHRMAATTVLRARPKSHRRANLPLDCSIRGWPSASTSTSPSSASSPPPSLSLSLSPSTSPEAERRRLEHIEEARARGFHLPPPASAPPLASPLGGACPGGAGRVDRAAITSHPHRPKQAPAVWIEGSRQGGDTMGPPDDFLPLIYHLTAIRGVQTRGAFPSRESPSERNTRCHVAQWDAWIRTLKSVCKIAYVLDMT >ONIVA02G23960.1 pep chromosome:AWHD00000000:2:21928475:21928894:1 gene:ONIVA02G23960 transcript:ONIVA02G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTRGRGLLASWCPQEAVLRHEAVALFLMHSGWNSTLESLSGGVPMLSWPFFAEQPTNSLYKRAKWGVAMDVGGGGDVRREAVEARIREAMGGEKGRAMRKRAAEWSEGAARATRLGGSSFGNLDSLIKDVLLSGRRS >ONIVA02G23950.1 pep chromosome:AWHD00000000:2:21920967:21921815:-1 gene:ONIVA02G23950 transcript:ONIVA02G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT2G03050) TAIR;Acc:AT2G03050] MLATTRLPPPPAAAAAAAAAPTPPALGGGGGGVEFRRKLYFLSSELHLDPFPLLALHPPLRAAPLPQLRASLALLLSHGLSAGDAARVFSAFPSLLTSPPGEHLRFLSADAPLPPPLLRAAVVRSPRLLAASVPGTLRPALRFLRRRVALRRRPLPLAAALLLAFSVDRTLLPKLLFLRDATGMPDPAVCAILRRAPAILSYGIQTNLTPKLRFLADRMGRDPAVELAEFPHYFAFSLEGRIRPRHEALKERRVQMSLKDMLTISDDEFRERLVDAALSASR >ONIVA02G23940.1 pep chromosome:AWHD00000000:2:21915691:21921271:1 gene:ONIVA02G23940 transcript:ONIVA02G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFVFRLLAFTLTILLSPLMWVTKRLGITVLIVLFPLLIVHHLIVNSPVSGPSRYQVIHSNLLGWLSDSLGNSVAQNPDNTPVEVIPADASASNSSDSGNSSLEGFQWLNTWNHMKQLTNISDGLPHANEAIDNARTAWENLTISVHNSTSKQIKKERQCPYSIHRMNASKPDTGDFTIDIPCGLIVGSSVTIIGTPGSLSGNFRIDLVGTELPGGSGKPIVLHYDVRLTSDELTGGPVIVQNAFTASNGWGYEDRCPCSNCNNATQADDLERCNSMVGREEKRAINSKQHLNAKKDEHPSTYFPFKQGHLAISTLRIGLEGIHMTVDGKHVTSFPYKAGLEAWFVTEVGVSGDFKLVSAIASGLPTSEDLENSFDLAMLKSSPIPEGKDVELLIGIFSTANNFKRRMAIRRTWMQYDAVREGAVVVRFFVGLHTNLIVNKELWNEARTYGDIQVLPFVDYYSLITWKTLAICIYGTGAVSAKYLMKTDDDAFVRVDEIHSSVKQLNVSHGLLYGRINSDSGPHRNPESKWYISPEEWPEEKYPPWAHGPGYVVSQDIAKEINSWYETSHLKMFKLEDVAMGIWIDEMKKGGLPVQYKTDERINSDGCNDGCIVAHYQEPRRMLCMWEKLLRTNQATCCN >ONIVA02G23930.1 pep chromosome:AWHD00000000:2:21913442:21913687:-1 gene:ONIVA02G23930 transcript:ONIVA02G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSSEGILPCRSSARSHSTTRRQGVGGRRWVPCRSGGDAASIRPSSDNNVAEGWQRWGDEALGEWLLLASMEIKGSAVS >ONIVA02G23920.1 pep chromosome:AWHD00000000:2:21910045:21910938:-1 gene:ONIVA02G23920 transcript:ONIVA02G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPHVILPLSLPPISLSHFRSGGGGGGQWGRGGRERRGGDGGGDGGGDGGERWRQWRWVTRGGERGYSPRPSGGREGYKKGAAPVGGWSRRRLASAAAGVARSGGGGGRDEAVGSGGGGAGGGGNGERSGRRRWGAMGRWSGAAAITVGTRLAASSNSIRLLSLTLAAPLIHDVISGAAAASRADLTLQFFRFAYRRTGFSPDPEPSTFALATAEKFLARRRTIQERTPHTLSMTSIAQKKKTKSASAGRASMEAGKRNTGLHWTAMRISRDKTRQDEKALKMFSPPARTKRTNQS >ONIVA02G23910.1 pep chromosome:AWHD00000000:2:21904518:21907700:-1 gene:ONIVA02G23910 transcript:ONIVA02G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVAVDVGGLMASRGAGEEETGALIGMVECRICQEEDLAKNLESPCACSGSLKYAHRECVQRWCNEKGDIICEICHVSYKPGYTAPPQVHHDETTIEISSGDWSISGNRLDLHDPRILAMAAAQHRLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITSSDDEDDASAIFSLFLLRAAGFLLPCYIMAWAISIMQRQRQRQEEAMLLPTEVAIILHRNGRTMQFAVAPESSTTPHPEQGQ >ONIVA02G23900.1 pep chromosome:AWHD00000000:2:21902146:21902481:1 gene:ONIVA02G23900 transcript:ONIVA02G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHCHQLAILVVLVLLASTPEVLAVRSLGVLAQTSSANASSAEQPRKLAEGNAAVAVTAAAAAAAAARFDTSTEKNTAATGSSSPSTVFDPDRMSKRRVRRGSDPIHNKC >ONIVA02G23890.1 pep chromosome:AWHD00000000:2:21898001:21899154:-1 gene:ONIVA02G23890 transcript:ONIVA02G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRVWGGLGWNGPAPNPRLLHPAPAPGAVAGPNQPPLGPRCRRRQRQSLAAPLARRLTALALRCPAHRRVEEEGRGARGRRLACATRSPACRARTLPVALTVRRSCAARHAARPPPCEDRRDWERGEREIGGPEGRRVEREMERRTGVLATAAKEVETTPDPDPTGEIAIPRPKSPSYRQTLGNLVWPPKLDTLEIRDKHKHIQSEAIEINKMDLSPYLISPRSYSRKKTTLSLTMWGVLCLQVDDN >ONIVA02G23880.1 pep chromosome:AWHD00000000:2:21892822:21896708:-1 gene:ONIVA02G23880 transcript:ONIVA02G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTDADGGAAEENEQIDGALVLSIEKLQEIQDELEKVNEEASDKVLEVEQKYSEIRRPVYLRRSDIIQTIPDFWLTAFLSHPLLSELLTEEDQKMFKYLESVDVDDSKDVKSGYSITLTFSENPYFEDKELTKTYAFADDGTTTINATSIKWKEGMEIANGNAKKKGSKRPLVEESFFTWFTDTEHKSLADGVQDEVAEIIKEDLWPNPLKYFNNEAEELGEDDDEEGSDADEGEEDEEEEN >ONIVA02G23870.1 pep chromosome:AWHD00000000:2:21887612:21890900:-1 gene:ONIVA02G23870 transcript:ONIVA02G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRGDREAKSAAGWTALSMTKTTLEEKRRLQANGSVGGDAGTSGFRRIVRLFFACMVAGGIQYGWALQLSLLSPYSQTLGISHSYVSLTWICGPIAGFVVQPIVGYYSDRCTMKMGRRRPFILVGCLIICISVMIIGFSADIGRHLGDTKEHCSTYTGPRWSAAMVYIVGFWFLDFANNTVQGPARAMMADLSAGHHGPNVGQSIFSLWMAIGSVLGYLSGANGKWHEWFPWLKTAACCDACANLKGAFFTAVLLIVVSMTVTMYLADEMPLDKQDVDTSGGGGCAVFVDLFKSLRNLPPAMFKVLAVTAVTWLSWFPFIQYNTDWMGREIYHGEPQGTAAKADVYDAGVREGAMGLLFCSVALGVTSFVIPKLCRRLTSKVVWSISNFLVFALMAVMVAVGMVSMRGYRPSLAAGLTGPDPTLKAVALVVFALIGIPQAVLFSVPWAVASEVTAEEGGGQGLAIGVLNIAIVVPQLVIALTAGPIDGAFNKGNTPAFGIGGAFAFICGVLALIWLPKTRGVSNAAVVAGGH >ONIVA02G23860.1 pep chromosome:AWHD00000000:2:21885116:21885856:-1 gene:ONIVA02G23860 transcript:ONIVA02G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMTSKIAGALTLVLLLVLTAVTEGQVLPTPCCRIDCCDGKPECCDPGFAATVVAMAVTTPAAAVTTSKARPAATTAGTTMARKLVLLLMCCIVEATIRSLRA >ONIVA02G23850.1 pep chromosome:AWHD00000000:2:21882171:21883055:-1 gene:ONIVA02G23850 transcript:ONIVA02G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGGGGGGGQGPPNGSGVPTNRPVFTIEGRVVEPPTLTAAQLDMIRSMYLSSLGAPPVNGFYPGAVQYPRGVFVPSNEYEAAGAARAAKRAKQTDISAENELAAKTTGTTPPPAAPKK >ONIVA02G23840.1 pep chromosome:AWHD00000000:2:21876662:21878082:-1 gene:ONIVA02G23840 transcript:ONIVA02G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGGGRQQGDGPSDGDDGVPRSLIGGRPRPILEQIKLNATQMHNLRGTNSPAVGTPGMSLAAAAEVAVAAVLAAAAARAASAAADNANEAEGSGGNKQDAAVTTAPTSPEN >ONIVA02G23830.1 pep chromosome:AWHD00000000:2:21850040:21858078:1 gene:ONIVA02G23830 transcript:ONIVA02G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWGWFTDQDYVYLLARLRGAALKRSVDLARWITEAAFPLIATTMGGDRGKKPVDTPADMLLPSRKRWLGDGGSDRAHGGCLFYETTPSSFTHGSSSSSPNRPLHDRMEVDTKESEIPRQRTENDRKISARIKRSHQARRRQRYGDQEDRVHGNVHFASICPPPVSWLELKHNMEVKLDLNLDDIEKSIVIPRCQEMDTSGNPDKVDKYLVAAKNLTRILNLEHPVLTETGHLRDRARSLHGTTISSIITEFCYLKVWRVSPLRRLGYLPGLIWESSVRSTFNESISATVSSSSSSSFTCSGSTNGSSDNNQASLEDGPDKRLACTGFINIQSVSVLDDIASIITEGGYQQLLRGTFDRHYSELARYFEILDIENILGSHMKDSVEILVNAWVRAMRITLNVLTEMRRQLHKQNFGAFNSFKHDYFMVIATQSIKKLVACGSSMCSWQQNSQDDPSTQSCAARESTKHTTQMILNLVMMYRALNYAMPELLALFSGRTEQIVLAEFRGLIDRSSSTVLQLFMELNNLIKSQQLVMVDIGVHHITRHITEYMRVLFEKKSTIYQMLDSKPNAFGELVMGLVSSLESMLEMNSRSLVLQGQKQVFLLNNLHFMIEQVKRCIDSGLILGESCLVQREDQLDQLITAYIEASWDPVISSFEKRTQVAIILWPHQLFDKFNSSFERIYSVQKTWKMGNQSEKKQMSARYSVEQLESQLLEMFEG >ONIVA02G23830.2 pep chromosome:AWHD00000000:2:21850040:21858078:1 gene:ONIVA02G23830 transcript:ONIVA02G23830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWGWFTDQDYVYLLARLRGAALKRSVDLARWITEAAFPLIATTMGGDRGKKPVDTPADMLLPSRKRWLGDGGSDRAHGGCLFYETTPSSFTHGSSSSSPNRPLHDRMEVDTKESEIPRQRTENDRKISARIKRSHQARRRQRYGDQEDRVHGNVHFASICPPPVSWLELKHNMEVKLDLNLDDIEKSIVIPRCQEMDTSGNPDKVDKYLVAAKNLTRILNLEHPVLTETGHLRDRARSLHGTTISSIITEFCYLKVWRVSPLRRLGYLPGLIWESSVRSTFNESISATVSSSSSSSFTCSGSTNGSSDNNQASLEDGPDKRLACTGFINIQSVSVLDDIASIITEGGYQQLLRGTFDRHYSELARYFEILDIENILGSHMKDSVEILVNAWVRAMRITLNVLTEMRRQLHKQNFGAFNSFKHDYFMVIATQSIKKLVACGSSMCSWQQNSQDDPSTQSCAARESTKHTTQMILNLVMMYRALNYAMPELLALFSGRTEQIVLAEFRGLIDRSSSTVLQLFMELNNLIKSQQLVMVDIGVHHITRHITEYMRVLFEKKSTIYQMLDSKPNAFGELVMGLVSSLESMLEMNSRSLVLQGQKQMGNQSEKKQMSARYSVEQLESQLLEMFEG >ONIVA02G23820.1 pep chromosome:AWHD00000000:2:21842458:21845004:1 gene:ONIVA02G23820 transcript:ONIVA02G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G8Q2] MARAVLTLTVLAFLCLVALGLSGRANANATAGRKMVGVYELKKGDFSIRVTNWGATLMSVILPDSKGNLADVVLGYDTVAEYVNGTAYFGGLIGRVANRIANARFTLDGKTYQLFRNDGNNSLHGGHRGFSKVIWTVKEHVAGGDSPRITLYYHSFDGEQGFPGDLDVYVTYELPRPYVLAVRMNATARGKATPVNLAHHAYWNLAGEGSGAASVLAETVRIHASRYTPVDAATLIPTGRVAPVAGTPYDFLAGAPVGARIVGAAVPGGAVSGYDTNYAVDGAAADGERRRLRPVAEVRDGATGRAMEVWADQPGVQFYTSNGLAGVRGKGGRVYGRYGALCLETQGFPDAVNHPSFPSQIVRPGQVYEHNMVFKFTF >ONIVA02G23810.1 pep chromosome:AWHD00000000:2:21838783:21840335:-1 gene:ONIVA02G23810 transcript:ONIVA02G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRPWKSPGPIMLPPPPARRCCEAMSVPCAAQRPLRPRANFSQPVAQVQRLPPPWALQNSVLPCALLAQPSTLHRLPPPCLTQQSVRPRALLPHPGTVHRFPPPCATQKLRRAPLRALEAPVEHAPLAAAVRLAVQRAPLRALAAVRVLAPPPAAVGDAEPDPPLRAPDAALDRTPLPSAMLDAPPGLPPRGLRAALVPAPAAAAVGDAVIRLPLGALGAAELHAPAATAMRLAVGRAALGALVAPGLLAPLPAAMGDAQPRRASRSFGAPLEPAVLRMPALRLRRRRRRLRRHRIGHRRDHVGAHTERGLRHGRRRLRLRLRAELTNDATPRMAMARATTTHGVVRVIRHDIMTSLFRSRRVGPRREEQRRHVPTGAPPRRRRALIDGGGEGDDVPSRRFFQMLNRRATMISPMKPKAEHPWLGEGEAPLAEQCRRRRRFRPARRGGAHLRRWTQSKNQPAAVILRRHTMTRPPVVTSLAMDTTVLH >ONIVA02G23800.1 pep chromosome:AWHD00000000:2:21838636:21840618:1 gene:ONIVA02G23800 transcript:ONIVA02G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGFAEIIRRGNLHLMDNSAVVIMGHSVASYTMDSHGRSSSCLDHLGSSMENSSIHGKRGNNRRSGHGVSAQDDGCGLVLGLGPSPEMGSSAARRSKAPAPATLFSQRSFSFTEPGVLSLGLHRGDHGGATIQHLEEAPAGNIISFAAAVDEGSTSARRSSGGYMPSLLFAPRPNASAPEEARHDVVADHTDNTVSGGGARHGHARRRVVRQLSPEPEPEPSATMTETSFGVSSDVVTAVTNPVTTQPAAAAAQSQRRHPKNCRFKGCSKGARGASGLCIAHGGGQRCQKPGCHKGAESRTAYCKAHGGGRRCMQLGCTKSAEGKTDHCIAHGGGRRCGHQGCPKAARGKSGRCIKHGGGKRCSVEGCIRSAEGRVGLCISHGGGRRCQYPDCRKGAQGSTLYCKAHGGGKRCVFDGCLKGAEGSTPLCKAHGGGKRCMFEGGGLCPKSVHGGTSFCVAHGGGKRCTVPGCGKSARGRTDCCVKHGGGKRCRVDGCAKSAQGSTEFCKAHGGGKRCTWATGCEKFARGRSGLCAAHGTLMASQQRRAGGGGSMIGPGLFHGLVGAMNNGYSSSGVSTASEYSDACDGAARRQELIPPQVLVPNSMKSSCSSAPPPPPPPSSMGRGREGGGFVVPEGRVHGGGLLSLLGGSFRNVDVDEL >ONIVA02G23790.1 pep chromosome:AWHD00000000:2:21829431:21831579:-1 gene:ONIVA02G23790 transcript:ONIVA02G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSTAVERGVGLVVEVTLAVAGLVVVVAGGRWDGRRRGRRRSLSGALSLPRRKNASEKARARMDEERSIIVFTKAQCQTLNQGVPFFLEASIEARDMMLNGLLRRRQYVIN >ONIVA02G23790.2 pep chromosome:AWHD00000000:2:21830641:21831579:-1 gene:ONIVA02G23790 transcript:ONIVA02G23790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSTAVERGVGLVVEVTLAVAGLVVVVAGGRWDGRRRGRRRSLSGALSLPRRKNASEKARARMDEERSIIVL >ONIVA02G23780.1 pep chromosome:AWHD00000000:2:21817511:21825576:1 gene:ONIVA02G23780 transcript:ONIVA02G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 13 [Source:Projected from Arabidopsis thaliana (AT5G64940) TAIR;Acc:AT5G64940] MPTSHVGSPHPPCPSHVSPLPPPLSPSLWPLPASSPRRLRLSSPPLPPSSASSSRSLASRRRRRAHAARPNPSPSLAAALAMSAAAGAATLVASSASLSVPDHLRLRRFRLHLHPRPPPPHPQLRSRSLRQRRRFVLAVLQEDRSPSAPDEEARRYGLNGSAPSSGVGYDDAAVEAYLGTNGNGRGNGAAAVVKPAAESRSSAALVSAGPGPGDDERRRKERVEEIGREDAWFKQSGGDSKPEVSVAPGGRWNRFKTYSTIQRTLEIWGFVFKFIFRSWLNNQKFTYRGGMTEEKRVMRRKVLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVSIIEEELGASVNKIFDRFDFEPIAAASLGQVHRACLNGKEVVIKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFSENFKNMDYVKVPEILWEYTTPQVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILSHGFFHADPISLQHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLEAFYGVYEKDPDKVLQSMIQMGVLVPTGDMTAVRRTAQFFLDSFEERLAAQRKEREMATEELGFKKQLTKEEKFEKKKQRLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAMELLRFNEAGVEVIVKANLRVLSALGFILPQDARKRWERQSRAFYNLFRQPDRVEKLAQIIERLEQGDLKLRVRTLESERAFQRVAAVQKTIGYGVAAGSLVNLATVLYLNSIRLPATIAYSLCAFFGLQVLVGLLKVKKLDQQERLITGTA >ONIVA02G23770.1 pep chromosome:AWHD00000000:2:21813884:21814589:1 gene:ONIVA02G23770 transcript:ONIVA02G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGMTYSRGAGCQVNDAGCASSHERRQRAGRSCARDVDGMGYDTGSIPRFASSHARCCPLARRRTAVGAWLPLRRGSLPSSPFAGVPLCGDPPPQLVAPAAEMARRSGPAEVAKAAVSLTRMNRSAEFETASTADDAKPTFACVLLPLPPPVLPRLPMWLYVWPGIRGLP >ONIVA02G23760.1 pep chromosome:AWHD00000000:2:21810371:21810550:-1 gene:ONIVA02G23760 transcript:ONIVA02G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKTRRGGGTAVVSDKRQPRLMATRTCAVMAAVTDRRGSRFLAVEAGGVEASRVIHPL >ONIVA02G23750.1 pep chromosome:AWHD00000000:2:21808215:21810307:-1 gene:ONIVA02G23750 transcript:ONIVA02G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEENPESFFAAAPPLRDADAVAARLGEFIARNSSAAGAGGGGRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEGSCQPYCRFLPDDSFLKFFDVDAESKVQVAECHAPVVKKAIGDYCKAIEGGYLLKLPFTTIFEYLQLLKMVATSISSAGPLGMFYLAAAVSDFYVPWDSMAKHKIQSGGGPLDMRLSQVPKMLSVLRNQWAPLAFCISFKLETDSDILIQKADMALNKYKMNIVVANLLATYKEEVIIVTDKERSTIRKMNKDEDLEMQIIKILSHNHSKYICGSTNGCVQIPY >ONIVA02G23740.1 pep chromosome:AWHD00000000:2:21805143:21805409:-1 gene:ONIVA02G23740 transcript:ONIVA02G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLKNAYKEKLRRMEAAEAAAAAAVAGKKDVAAAADGSAGQKKAAAGGGMFGFMKKKVHPRAAETAPAPAMETSSA >ONIVA02G23730.1 pep chromosome:AWHD00000000:2:21800648:21804222:1 gene:ONIVA02G23730 transcript:ONIVA02G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVSSAKINARSMDRHEKSGFGSNKRVKISTYECDSFQRIIPTLPDELSFQILARLPRLYYLKLKLVSQAWKAAITSSELSQLRRELGLTEEWLYVLTKLEPNKLDCYALDPLFRKWQRLPPMPSFVSEEESTGRTQSSWFQMWNVVGSSIRIADFIKGWFRRRYGLDQMPFCGCSEVSPMISGRAFSKAALLQSKLYVVGGVSRGRNGLLPLRSGEVFDPKTGIWSELPEMPFMKAQVLPTAFLADVLKPIATGMASYKGKLYVPQSLYSWPFFFDIGGEIYDPELNSWETMADGLGDGWPARQAGTKLGIVVNEELYTLEPSSSLDSGQIKRYDSEQDTWKTIVPQVPVHDFTDAEAPFLLAGLHGKVHVITKEANNNLQVMQAVLQNNIENSPSEENIIWNILASKNFGSAELN >ONIVA02G23730.2 pep chromosome:AWHD00000000:2:21800648:21802956:1 gene:ONIVA02G23730 transcript:ONIVA02G23730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVSSAKINARSMDRHEKSGFGSNKRVKISTYECDSFQRIIPTLPDELSFQILARLPRLYYLKLKLVSQAWKAAITSSELSQLRRELGLTEEWLYVLTKLEPNKLDCYALDPLFRKWQRLPPMPSFVSEEESTGRTQSSWFQMWNVVGSSIRIADFIKGWFRRRYGLDQMPFCGCSVGVADGCLYVFGGFSRAVALNCVFRYNPCLNVWQEVSPMISGRAFSKAALLQSKLYVVGGVSRGRNGLLPLRSGEVFDPKTGIWSELPEMPFMKAQVLPTAFLADVLKPIATGMASYKGKLQAGTKLGIVVNEELYTLEPSSSLDSGQIKRYDSEQDTWKTIVPQVPVHDFTDAEAPFLLAGLHGKVHVITKEANNNLQVMQAVLQNNIENSPSEENIIWNILASKNFGSAELVSCQVLDV >ONIVA02G23730.3 pep chromosome:AWHD00000000:2:21800648:21802956:1 gene:ONIVA02G23730 transcript:ONIVA02G23730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVSSAKINARSMDRHEKSGFGSNKRVKISTYECDSFQRIIPTLPDELSFQILARLPRLYYLKLKLVSQAWKAAITSSELSQLRRELGLTEEWLYVLTKLEPNKLDCYALDPLFRKWQRLPPMPSFVSEEESTGRTQSSWFQMWNVVGSSIRIADFIKGWFRRRYGLDQMPFCGCSVGVADGCLYVFGGFSRAVALNCVFRYNPCLNVWQEVSPMISGRAFSKAALLQSKLYVVGGVSRGRNGLLPLRSGEVFDPKTGIWSELPEMPFMKAQVLPTAFLADVLKPIATGMASYKGKLYVPQSLYSWPFFFDIGGEIYDPELNSWETMADGLGDGWPARQAGTKLGIVVNEELYTLEPSSSLDSGQIKRYDSEQDTWKTIVPQVPVHDFTDAEAPFLLAGLHGKVHVITKEANNNLQVMQAVLQNNIENSPSEENIIWNILASKNFGSAELVSCQVLDV >ONIVA02G23720.1 pep chromosome:AWHD00000000:2:21796942:21798456:1 gene:ONIVA02G23720 transcript:ONIVA02G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPTNKQISSEDRVRGARGKRHHHSSDEWSMEHAEEHEHGRGGGERDDAAEPEAEQPELEQEELSDSESGAESIEISDLKKRMWKDQMLLMKLEGGRPGGGGGRGGGGLAAAGAGTSSEGQLEEETPEARYRRKAMLRAQDGVLRHMLKMMEACNARGFVYGVIDEAGEPMSGSSDSLRGWWKDNVSFDRAGPMALIGPAAAGDSPQAGGGGLHRLQDIQDSTLGSVLSALIQHCEPPQRSFPLERGLAPPWWPTGEEPWWGTQGETQAHQGAPPYRKPHDLKKAWKVSLLSAVIKHMSPRFDQMRKLVWQSKRLQQKMSAKESDTWSKVIRQEEALDRRLKTSLHITPLDADGGEEDDSDGLEDVVRGAAAQDKRKREYTRSGSGSSSGNSGGGKFPRGGSGGADHHQLAVMLPELAAAADQEGRSPINELMKLYYSCLQQEEGAADGGEAGGEGCDVAAAALAVPPEVLAGVDEVGQDVLFDLIGSYPEVDDVLHFMDE >ONIVA02G23710.1 pep chromosome:AWHD00000000:2:21794169:21794893:-1 gene:ONIVA02G23710 transcript:ONIVA02G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSDGGDARGRGRGPWRWQGGRGEKEAVGAGAGERLAREGVLPRRPRGGAVRAVISCSSYARLASGGGKLEGRHLLLSGLVSSFALVLLVSSELGDKGWKSGSGGRARKMDITDAGVDGVERDDGVVGWGRKWRGREAEAAAQRVDFSF >ONIVA02G23700.1 pep chromosome:AWHD00000000:2:21778433:21781205:-1 gene:ONIVA02G23700 transcript:ONIVA02G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKTHASTAGLLSWSESPGPDNAAAAAGAAAPPSSRPSLKPAGGITPAMFGAPVTDLEAEDLTKRKMCSGSKMKEMTGSGIFSAQGANGDSETGSGDSNPPSKTSLRMYQQTVTGISQISFSAEGSVSPKKPSSLPEVAKQRELSGTLESEADAKLKKQNSEAKSKELSGSDIFGPPPEVPSRPLAARNLELQGNLDFALPQPRSIHTSVKVSNPAGGPSNIMFGEEPAVKTAKKIHNQKFHELTGNNIFKEEAPPGSAEKSLSTAKLKEMSGSNIFADGKVASRDYFGGVRKPPGGGSSIALV >ONIVA02G23690.1 pep chromosome:AWHD00000000:2:21757467:21775596:-1 gene:ONIVA02G23690 transcript:ONIVA02G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPNIPEAKQEMQSLYRNYAYLFDMSSDNADVDSRIMRRQPAMLIDRSVFLTGSAIWTIAHHYKAHIYRWLLQKFSAIQGAPLYCAMILRSLCRRSSLPFFVSISVLTARIYNYIMIRNHIYSPQLCTGHDANFRSNLFIQDTELCNKQSVDSGFLGSKHDHIRLALHFICSTANLKVYTTPKQIGFNGKCKQKNTERGRFWRILSTNEQYLTYIGALVTLQLFLQLSRANITTLLLPMLYQTTSSQRNAAVVGNIVIVLVNSFGILGSDFTTKHHGREVTFTVSAILMVFCQITIPLLVEAQIGLGGGTRILTGYTTATFLLTCIVSYGLSWSWGSLFCTIPGMKIQSAGQVIGMGLSFGLCFVQMQYFLLMLCRLKNAILAYYAIK >ONIVA02G23680.1 pep chromosome:AWHD00000000:2:21754366:21754728:1 gene:ONIVA02G23680 transcript:ONIVA02G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTILSTLVAPVQHARALLAPCPPPLAPFTSTGTRDGEDVDFFFFPFLVLYKCGRLVQFIGTYTMTASMDPATSMPSKDYLLNLANLTAGKRSGNKLPIVVFYHSGAFTIELVSSPMYQ >ONIVA02G23670.1 pep chromosome:AWHD00000000:2:21735935:21736183:-1 gene:ONIVA02G23670 transcript:ONIVA02G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWPTGAAWWCGCEPHGAARQPGCHCGALSAGQRGRRSRSGSSVTGIGASPNGNAKGAGDGGSSSSLSVSTLAFPEYSWLD >ONIVA02G23660.1 pep chromosome:AWHD00000000:2:21727955:21754235:1 gene:ONIVA02G23660 transcript:ONIVA02G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAMVAAADAGAGAGAGVAPGYSSEITFTVVMSCLMAASGGLIFGYDISITGGLTQMQSFLEAFFPDIWAKMNNAEQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLAAPVYLAEIAPARWRGAFTASIGLFGNLGFLMADIINYRATTMARWGWRLSLGAGIVPAVIVIVGAAFIPDTPNSLALRGRLDEARDSLRRIRGAADVDAVLKDIVRAAEEDRRYESGALRRLLRREYRPHLVMAVLIMVFFEMTGAIVVAIFTPLLFYTVGFTSQKAILGSIITDVVSIVSVAAAAAVVDRHGRRRLFMVGGAVLILCQVAMAWIFGAQLGADGGRAMPRGYAVAVVALVCTYTAGLSVSWGSLSSVVTSEIFPLEVRSAALGLGGTISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLVMMTAFVAAFLPETKGMPIESMGAVWAQHWYWRRFVQPAPAKQYAKPVYPRFQLGMAGGGSIANDGEAAAGGNGGGGEVTFTVVMSCLTAGAGGLLLGYDIGVTGGLTQMESFLQAFFPEVLRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLAAPVYLAEISPARWRGAFTSSIGLFANFGFLMADMINYRATTMARWGWRLSLGAGIVPALIVIVGAASIPDTPNSLALRGRLDEARDSLRRIRGAGVAAADVDAELKDIVRAAEEDRRYESGALRRLLRREYRPHLVMAVLISVFYEMTGGVVVGIFTPLLFYTVGFTSQKAILGSIITDVVSISSVAVAAVVVDRRGRRTLFMVGGAVLILCQVAMAWIFGAELSTDGGRAMPRGYAVAVVALVCMYAAGLCVSWIPLSSVVTSEIFPLEVRSAALGLGGAISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLVMMTAFVAAFLPETKGVPIESMGAVWAQHWYWKALCQAGTGQAGRWAGMMRWESGFAARVHAVRRWPSNLHHDGHAFVSTLSFDLSVGAEPTINPRSPHPPPDDRLIPLNYYL >ONIVA02G23660.2 pep chromosome:AWHD00000000:2:21737597:21754235:1 gene:ONIVA02G23660 transcript:ONIVA02G23660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSIANDGEAAAAGGGGGRGEVTFTVVMSCLTAGAGGLLLGYDIGVTGGVTQMESFLQAFFPEVLRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLAAPVYLAEIAPARWRGAFTASIGLFGNLGFLMADIINYRATTMARWGWRLSLGAGIVPAVIVIVGAAFIPDTPNSLALRGRLDEARDSLRRIRGAADVDAVLKDIVRAAEEDRRYESGALRRLLRREYRPHLVMAVLIMVFFEMTGAIVVAIFTPLLFYTVGFTSQKAILGSIITDVVSIVSVAAAAAVVDRHGRRRLFMVGGAVLILCQVAMAWIFGAQLGADGGRAMPRGYAVAVVALVCTYTAGLSVSWGSLSSVVTSEIFPLEVRSAALGLGGTISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLVMMTAFVAAFLPETKGMPIESMGAVWAQHWYWRRFVQPAPAKQYAKPVYPRFQLGMAGGGSIANDGEAAAGGNGGGGEVTFTVVMSCLTAGAGGLLLGYDIGVTGGLTQMESFLQAFFPEVLRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLAAPVYLAEISPARWRGAFTSSIGLFANFGFLMADMINYRATTMARWGWRLSLGAGIVPALIVIVGAASIPDTPNSLALRGRLDEARDSLRRIRGAGVAAADVDAELKDIVRAAEEDRRYESGALRRLLRREYRPHLVMAVLISVFYEMTGGVVVGIFTPLLFYTVGFTSQKAILGSIITDVVSISSVAVAAVVVDRRGRRTLFMVGGAVLILCQVAMAWIFGAELSTDGGRAMPRGYAVAVVALVCMYAAGLCVSWIPLSSVVTSEIFPLEVRSAALGLGGAISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLVMMTAFVAAFLPETKGVPIESMGAVWAQHWYWKALCQAGTGQAGRWAGMMRWESGFAARVHAVRRWPSNLHHDGHAFVSTLSFDLSVGAEPTINPRSPHPPPDDRLIPLNYYL >ONIVA02G23660.3 pep chromosome:AWHD00000000:2:21737597:21754235:1 gene:ONIVA02G23660 transcript:ONIVA02G23660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSIANDGEAAAAGGGGGRGEVTFTVVMSCLTAGAGGLLLGYDIGVTGGLTQMESFLQAFFPEVLRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLAAPVYLAEISPARWRGAFTSSIGLFANFGFLMADMINYRATTMARWGWRLSLGAGIVPALIVIVGAASIPDTPNSLALRGRLDEARDSLRRIRGAGVAAADVDAELKDIVRAAEEDRRYESGALRRLLRREYRPHLVMAVLISVFYEMTGGVVVGIFTPLLFYTVGFTSQKAILGSIITDVVSISSVAVAAVVVDRRGRRTLFMVGGAVLILCQVAMAWIFGAELSTDGGRAMPRGYAVAVVALVCMYAAGLCVSWIPLSSVVTSEIFPLEVRSAALGLGGAISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLVMMTAFVAAFLPETKGVPIESMGAVWAQHWYWKALCQAGTGQAGRWAGMMRWESGFAARVHAVRRWPSNLHHDGHAFVSTLSFDLSVGAEPTINPRSPHPPPDDRLIPLNYYL >ONIVA02G23660.4 pep chromosome:AWHD00000000:2:21727955:21732794:1 gene:ONIVA02G23660 transcript:ONIVA02G23660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAMVAAADAGAGAGAGVAPGYSSEITFTVVMSCLMAASGGLIFGYDISITGGLTQMQSFLEAFFPDIWAKMNNAEQDAYCIFDSQVLTTFVSSLYLAGVFACLIAGHVTRRVGRRNSMLIGASLFFVGAILNCAAVNIAMLVIGRILLGFAVGFTNQSAPVYLAEIAPARWRGAFTSIFHFFLNVGMFVADLVNYRANTIPVWGWRLSLGVAVVPAAVILVGAAFIPDTPNSLVLRGKLDEARASLRRIRGAAANIDAELKDIARAAEEDRQHHTGAFRRIVRREYRPHLVMAIAIPVFFELTGMIVVTLFTPLLFYTVGFSSQKAILGSIITDVVSLASIAAAALTVDRYGRRTLFMVGGGVLLVCLTGMAWTYGARLGSDGGKAMPRGYAVAVVALVCLYDAGFGISWGPLKWIIPSEIFPLEVRSAGQSMSEAISLALTFAQTQSFLRMLCSFKFGAFAYNAAWVVVMTAFVALLLPETKGVPIESLGAVWAQHWYWKRFVKPPPPPPSTAAETKQADGAPA >ONIVA02G23640.1 pep chromosome:AWHD00000000:2:21712994:21719084:-1 gene:ONIVA02G23640 transcript:ONIVA02G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G65000) TAIR;Acc:AT5G65000] MGSSATPSTAASAPGRRKVALYLALLTLQYGAQPLISKRFVRQEVIVTTLVLSIEVAKVICAVILLVAEGSLKKQFNNWSITRSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGNSDYILLYGIIPVTVASVLSGLASSLCQWASQVKKHTSYMMTIEMSFIGSMCLLASTSQSPDGEAIRKHGFFHEWTLLTVVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFIFDGKPPSLYCLIALPLVMTSIFIYQKYPYAIRLFLPSWYCYYFLNPLPYQAIRLFLPSWHCYYFLNPLPYQAIRLFLPSWHCYYFLNPLPCQVCTTELSLTEISVMTIPPRLQ >ONIVA02G23640.2 pep chromosome:AWHD00000000:2:21712994:21719084:-1 gene:ONIVA02G23640 transcript:ONIVA02G23640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G65000) TAIR;Acc:AT5G65000] MGSSATPSTAASAPGRRKVALYLALLTLQYGAQPLISKRFVRQEVIVTTLVLSIEVAKVICAVILLVAEGSLKKQFNNWSITRSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGYVLVIPPIYILQCIDDAHDLMKQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGNSDYILLYGIIPVTVASVLSGLASSLCQWASQVKKHTSYMMTIEMSFIGSMCLLASTSQSPDGEAIRKHGFFHEWTLLTVVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFIFDGKPPSLYCLIALPLVMTSIFIYQKYPYAIRLFLPSWYCYYFLNPLPYQAIRLFLPSWHCYYFLNPLPYQAIRLFLPSWHCYYFLNPLPCQVCTTELSLTEISVMTIPPRLQ >ONIVA02G23640.3 pep chromosome:AWHD00000000:2:21712994:21719084:-1 gene:ONIVA02G23640 transcript:ONIVA02G23640.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G65000) TAIR;Acc:AT5G65000] MGSSATPSTAASAPGRRKVALYLALLTLQYGAQPLISKRFVRQEVIVTTLVLSIEVAKVICAVILLVAEGSLKKQFNNWSITRSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGYVLVIPPIYILQCIDDAHDLMKQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGNSDYILLYGIIPVTVASVLSGLASSLCQWASQVVLVIVLSMKHPIAIVDPFNKTQVKKHTSYMMTIEMSFIGSMCLLASTSQSPDGEAIRKHGFFHEWTLLTVVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFIFDGKPPSLYCLIALPLVMTSIFIYQKYPYAIRLFLPSWYCYYFLNPLPYQAIRLFLPSWHCYYFLNPLPYQAIRLFLPSWHCYYFLNPLPCQVCTTELSLTEISVMTIPPRLQ >ONIVA02G23640.4 pep chromosome:AWHD00000000:2:21712994:21719084:-1 gene:ONIVA02G23640 transcript:ONIVA02G23640.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G65000) TAIR;Acc:AT5G65000] MGSSATPSTAASAPGRRKVALYLALLTLQYGAQPLISKRFVRQEVIVTTLVLSIEVAKVICAVILLVAEGSLKKQFNNWSITRSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGNSDYILLYGIIPVTVASVLSGLASSLCQWASQVVLVIVLSMKHPIAIVDPFNKTQVKKHTSYMMTIEMSFIGSMCLLASTSQSPDGEAIRKHGFFHEWTLLTVVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFIFDGKPPSLYCLIALPLVMTSIFIYQKYPYAIRLFLPSWYCYYFLNPLPYQAIRLFLPSWHCYYFLNPLPYQAIRLFLPSWHCYYFLNPLPCQVCTTELSLTEISVMTIPPRLQ >ONIVA02G23640.5 pep chromosome:AWHD00000000:2:21712994:21719084:-1 gene:ONIVA02G23640 transcript:ONIVA02G23640.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G65000) TAIR;Acc:AT5G65000] MGSSATPSTAASAPGRRKVALYLALLTLQYGAQPLISKRFVRQEVIVTTLVLSIEVAKVICAVILLVAEGSLKKQFNNWSITRSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGNSDYILLYGIIPVTVASVLSGLASSLCQWASQVVLVIVLSMKHPIAIVDPFNKTQVKKHTSYMMTIEMSFIGSMCLLASTSQSPDGEAIRKHGFFHEWTLLTVVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFIFDGKPPSLYCLIALPLVMTSIFIYQKYPYAIRLFLPSWYCYYFLNPLPYQAIRLFLPSWHCYYFLNPLPCQVCTTELSLTEISVMTIPPRLQ >ONIVA02G23630.1 pep chromosome:AWHD00000000:2:21709289:21713296:1 gene:ONIVA02G23630 transcript:ONIVA02G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPSSMSGAGAGEAGVRTVVWFRRDLRVEDNPALAAAARAAGEVVPVYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGASRLVTRRSADAVVALIELVRSIGATHLFFNHLYGSIDPEFQIDPLSLVRDHRVKALLTAEGIAVQSFNADLLYEPWEVVDDDGCPFTMFAPFWDRCLCMPDPVAPLLPPKRIAPGELPARRCPSDELVFEDESERGSNALLARAWSPGWQNADKALAAFLNGPLMDYSVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNEGNHAGDESCVLFLRSIGLREYSRYLTFNHPCSLEKPLLAHLRFFPWVVDEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGIELGSNYPLPIVELDAAKTRLQDALSEMWELEAASRAAMENGMEEGLGDSSDVPPIAFPPELQMEVDRAPAQPTVHGPTTAGRRREDQMVPSMTSSLVRAETELSADFDNSMDSRPEVPSQVLFQPRMEREETVDGGGGGGMVGRSNGGGHQGQHQQQQHNFQTTIHRARGVAPSTSEASSNWTGREGGVVPVWSPPAASGPSDHYAADEADITSRSYLDRHPQSHTLMNWSQLSQSL >ONIVA02G23630.2 pep chromosome:AWHD00000000:2:21709289:21713296:1 gene:ONIVA02G23630 transcript:ONIVA02G23630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPSSMSGAGAGEAGVRTVVWFRRDLRVEDNPALAAAARAAGEVVPVYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGASRLVTRRSADAVVALIELVRSIGATHLFFNHLYGSIDPEFQIDPLSLVRDHRVKALLTAEGIAVQSFNADLLYEPWEVVDDDGCPFTMFAPFWDRCLCMPDPVAPLLPPKRIAPGELPARRCPSDELVFEDESERGSNALLARAWSPGWQNADKALAAFLNGPLMDYSVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNEGNHAGDESCVLFLRSIGLREYSRYLTFNHPCSLEKPLLAHLRFFPWVVDEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGIELGSNYPLPIVELDAAKTRLQDALSEMWELEAASRAAMENGMEEGLGDSSDVPPIAFPPELQMEVDRAPAQPTVHGPTTAGRRREDQMVPSMTSSLVRAETELSADFDNSMDSRPEVPSQVLFQPRMEREETVDGGGGGGMVGRSNGGGHQGQHQQQQHNFQTTIHRARGVAPSTSEASSNWTGREGGVVPVWSPPAASGPSDHYAADEADITSRSYLDRHPQSHTLMNWSQLSQSL >ONIVA02G23620.1 pep chromosome:AWHD00000000:2:21702789:21703004:-1 gene:ONIVA02G23620 transcript:ONIVA02G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHQREADYPAGQIGIEGAKKVTKPGHVTMRRLMESLLELDWAGAPRSIWTPRLCHERRMRHSGGRASVRL >ONIVA02G23610.1 pep chromosome:AWHD00000000:2:21686951:21689897:-1 gene:ONIVA02G23610 transcript:ONIVA02G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTHLLAGGGGGGSVAPPTAATAPTLAMEVGDPSGALVGGAGGAGAGGGDGDARDALLRLVALGDRMAAVRRRIAASISGESGPLSSSDIHSVSSEISSAAHLVVLNAASLLSSTVASLPAPPPPPATTVQELPPVVVSVPQEPPQEAAKGDGDYDVVELDASELLAEHVHFCDICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGQPKPPAGREVRFSCPYAGCNRNRAHRRFRPLKSAVCARNHFRRSHCPKLYACERCGGKKRFAVLADLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGHTPAIAEPNKGVATAAAEASISMMEEGGVEANCDREEDEEGGFDPKFFQEWMEELRGGVVAPNWSAPSEAGRLW >ONIVA02G23600.1 pep chromosome:AWHD00000000:2:21679798:21681625:-1 gene:ONIVA02G23600 transcript:ONIVA02G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCTESLKPSNPSAIKSLCFTAVFWHCLCAALRCVRDSRSDARARERHQMLPSRPRSGSWGGGTRSSPVSTPRSRHERSKSVATIFSPSSPESYGGVVMEMEEKKTSCTLQEVDGLRQSDGGVDKEVVGGGAACSDDRVRLLEREVATAKATEMKMLESLIQQTKEMEQAKIALEEAKLEVATLRQQQGRAPAAEPAAAAQWSVMDLMFGGVDEEINGLRAKLRAAVQAEEKSRKAADDLTAALSAVTMEAKQVKAWLSDAQAELEDANAEVDRLRESLHAAEAELWSTTEQLDGLTSDWKEAAVSWRAREKVLLARVRAAEDEAHAAGQENVELAELHRVVDDENGSLRRALERAVEEVNAANESLELATGENSKLQDAVAEKESAMEALRQENESLKASEAEARGRAKELDGQLAAARKAADHGHGAGELAAAADPLSSLHKWRGDMHGKLSATFLDSNRVMAGRKDRMFASLSNIAELKSAAAAAAMDDFDYEFDHFDGGQYGDLDHAMKQKKRRSILRKFGDFFRRRSLYKRNLAPVIHY >ONIVA02G23600.2 pep chromosome:AWHD00000000:2:21679798:21681625:-1 gene:ONIVA02G23600 transcript:ONIVA02G23600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCTESLKPSNPSAIKSLCFTAVFWHCLCAALRCVRDSRSDARARERHQMLPSRPRSGSWGGGTRSSPVSTPRSRHERSKSVATIFSPSSPEVTHWLVQSYGGVVMEMEEKKTSCTLQEVDGLRQSDGGVDKEVVGGGAACSDDRVRLLEREVATAKATEMKMLESLIQQTKEMEQAKIALEEAKLEVATLRQQQGRAPAAEPAAAAQWSVMDLMFGGVDEEINGLRAKLRAAVQAEEKSRKAADDLTAALSAVTMEAKQVKAWLSDAQAELEDANAEVDRLRESLHAAEAELWSTTEQLDGLTSDWKEAAVSWRAREKVLLARVRAAEDEAHAAGQENVELAELHRVVDDENGSLRRALERAVEEVNAANESLELATGENSKLQDAVAEKESAMEALRQENESLKASEAEARGRAKELDGQLAAARKAADHGHGAGELAAAADPLSSLHKWRGDMHGKLSATFLDSNRVMAGRKDRMFASLSNIAELKSAAAAAAMDDFDYEFDHFDGGQYGDLDHAMKQKKRRSILRKFGDFFRRRSLYKRNLAPVIHY >ONIVA02G23590.1 pep chromosome:AWHD00000000:2:21660857:21664993:-1 gene:ONIVA02G23590 transcript:ONIVA02G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPTSSSVAALRGHPVQFVKGGAVSKEAKGSISFSPVANSNNANVKFTGLRVAASLKRDGAFPGDGYSGNDNTVLPKSTSVRGQDYPTADSVLPTESVIVPEISNAGLKCVADMFSDEDKDTEQDLDSPTEGFSSISEAIKDIQQGKLVIVVDDESRENEGDLIMAASLVTPEAMAFVVRYGTGIVCVSMKEEDLERLNLPLMVATKENEEKLCTAFTVTVDAKEGTTTGVSAKDRAKTVMTLASPDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDEDGSMARLPKLRVFAERENLKIVSIADLIRYRRKRDRLVERSSVARLPLRWGNVRAYCYRSVIDGIEHIAMVKGEIGDGQGILVRVHSECLTGDIFGSARCDCGDQLAMAMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPILRDLGVRSMKLMTNNPAKYGGLKGYGLSIVGRVPLVTPITSENRRYLETKRTKMGHVYGLANGQASHQTGSNGAKGEH >ONIVA02G23570.1 pep chromosome:AWHD00000000:2:21651795:21652968:-1 gene:ONIVA02G23570 transcript:ONIVA02G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFEYNSADLSSSTNHDPPWQVVAPWRATEVGVGWRHGELLDDLGAHGLSAPEPRRAGECPKPAAVASAHPVLPPEHMGVGGRFSGEDFCRLYGLN >ONIVA02G23570.2 pep chromosome:AWHD00000000:2:21652972:21658675:-1 gene:ONIVA02G23570 transcript:ONIVA02G23570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFPDPPKPPVPEPPKPGSDGACYDCPSPPPPPPPHSRDHRRVVIALATTASLLFAILLAFSVYCFIRRRRQQRARREALLAPPPSDAAGGGGAPGAPVAAGDGAVAGAGEGEGEVLHHAWHIRTVGLDEAAIESIALARYRAGAGMLGATDCPVCLGEFRDGELLRLLPKCGHAFHVPCIDAWLRAHVNCPLCRAHVLHPADADADAGDGERVPPPAGANGGGGGAATDQASSPTDQTADQENPGQQQQGEQHELRVQIDRRDQPSSPEPPRRSPDPRSAQSFRRVASMGSRSPPAPSEDAPEDEQTTTQSSKEKQGSGGDAACCGKAPSGSGRLHHMRRSFSGGGGRRSLPSRHGRTSSSMLPLRRGVVMGVAAANVAAFVLLAIAPVAAAPSSPPRSGGDSLSFSDVISISFFMAVFFPVFIVLLAFACLRLFRPLDDDDGEPALADTSSSEWSRRGGGGNRAGLDAAEIAVLPLVSYRDARRHRIGDARGDPLECAVCLLEFDDDDALRLLPACPHAFHPECIGLWLEKHVTCPLCRANVLDAPPPPPPPPPSLEQDTASPPPAHETVVVIGDAGASGNQEAEAEAEERIRIQCLAGIRRAAGRQALPRSNSTGHERGGGGMERFALRLPEHVRLEILMSHRLRHVTSAVASVRVREGSAHDAGAVRNAVARLLSLFVPGAGWKGDDEGKSSKAAEGSSRRRRDESARGGVGEEKRSE >ONIVA02G23560.1 pep chromosome:AWHD00000000:2:21647706:21648752:1 gene:ONIVA02G23560 transcript:ONIVA02G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRARCLCLLLLLLVVGGGFHVANAQASPAPPQTGTRAVNRAVSTVITVVIGVFFILVFVCVIVNQCCDCDSSAGAGAGQGQSSAARRRRGLDPAAVAAIPVVPYAEVRKHRSGGGGALECAVCLTVFDDGDDLRLLPQCSHAFHPDCIDPWLEGHVTCPLCRANLEKQPASSPPAVEFSSSPAAAAAAAEESRTPEAAAVRVEEVAEASDEEETRRREEEAVELESLRAVRRAARMPRSNSTGHSLCALPAPRAPGPGDGCDHERFTVRLPPHVREEVLKSRRLRHATSLVLGIRGSSREGSSRGGGGSWHGARRWPSFLARTVSWARGGVGADTSAKETPRRDAV >ONIVA02G23550.1 pep chromosome:AWHD00000000:2:21643106:21644858:1 gene:ONIVA02G23550 transcript:ONIVA02G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARISWSKTALLTSVVDDFFDVGGSKEEQENLLALMEKYALYTIVNEIGAKASALQGHDITKYLLETTEAEWQRSQYVPKFEEYMECGVTSLTHGATVISGMFFIGVKLTDDIIKHQEYNEIFRLVGTCGRLLNDIRGIEREAMDGKLTNGVSLLARESGGCMSIQEAKMEAQKRVDTARRKLLKLVLREGAIPRPCKQLLWKMCKESSLALLFPYLTVRNQDIIPPFLNR >ONIVA02G23540.1 pep chromosome:AWHD00000000:2:21633864:21635396:-1 gene:ONIVA02G23540 transcript:ONIVA02G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSELWMTAVATCMSLLLYLTILRRRHASGGRSLSLPPGPTPLPLIGNLFCLGGIFHQTLAKLARVHGPVMTLKLGLTTAVVVSSAEAAREAYTKHDQRLAARPVPDAFRANGFSERSIVFSPSSDPQWKNLRGIHATHIFSPRALAALRGIRARKVRDIVGYIRTVAGEEMCVREVVHNGVLNLISNSFFSMDMADVGSESARGLHGLIEDIIATVAGPNVSDFFPFLRQLDLQGLRRQTGSHLGIVFGLLDDIIDRRMAESRDHPDKQQHGDYLDALINLSSTGKIPRYHITYLLFDIFAAGADTMTTTVEWAMAELLRNPRVMAKVRAEVMDALGGKESFDEGDAASLTYLQCVFKEAMRLHPVGSILVPHLAQQDGVEIGGYAVPKGTTVIFNAWAIMRDPAAWESPDQFLPERFLHKESSSPPLELRGKDYEYIPFGSGRRLCPGLPLAERAVPFILASLLHAFEWRLPDGMSPDDMDMTEKFATANVLATPLKAVPVVTH >ONIVA02G23530.1 pep chromosome:AWHD00000000:2:21628766:21628987:1 gene:ONIVA02G23530 transcript:ONIVA02G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFSARLASLDVVGRRHPATSNWPATAKARHRRLAREGTAAACSLALLLISARKREEIERGERRKGRRTKR >ONIVA02G23520.1 pep chromosome:AWHD00000000:2:21620331:21625368:-1 gene:ONIVA02G23520 transcript:ONIVA02G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGVAMEQGALGSNGGCGVGPQRLRIIFGGHVVVLIARRRPALFSIGQGLPRLHLPKGYNQNEVKQTASDNLLVLGISRA >ONIVA02G23500.1 pep chromosome:AWHD00000000:2:21602622:21613006:-1 gene:ONIVA02G23500 transcript:ONIVA02G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTIPRSFTSWDNTKAHKNELHDKIRKKLRDVQLPPSSYDTAWVAMVPVQGSHQTPRFPQSIEWILQNQYDDGSWGTNLPGLVVNKDILLCTLACVVALKRWNTGGDHISRGLNFIGRNFSVAMDEQTVAPVGFNITFSGLLSLATGTGLGNLQDWNQVMAYQRKNGSILNSPSATAATIIHGHNYSGLAYLDFVTSKFGGPVPVMYPQNAYSQLCMVDTLERMGISKSFACEISDILDMTYRLWMHNEEELMLDMRTCAMAFRLLRMHGYDITSDGMAQFVEQSSFDDSIHGYLNDTKALLELYKSSQLRCLEDDLILEEIGSWSARVEYALKCPIYAILERLEQKRNIEQFKTKEQLKIEGFKMLKSGYCGVIPNDEILALAVDEFHSSQSVYQQELQDLNSWVAQTRLDELKFARLMPSITYFSAAAILLPSESARIAWTQNCILTTTVDDFFDGEGSKEEIENLVKLIEKWDGYGEIGFSSECVEILFYAVYNTSKQIAEKAMPLQKRNVVDHIAESWWFTVRGMLTEAEWRMDKYVPTTVEEYMSAAVDSFAVGPIITSAALFVGPELSEEVFRSEEYIHLMNLANTIGRLLNDMQTYEKEIKMGKVNSVMLHALSHSSGGGGGGSPEASMEEAKREMRRILQGCRFELLRLVTRDADVVPPPCRKLFWLMSKVLHFVYMEKDRYFTAEGMMASANAVILDPLQVTLPPSDSGTL >ONIVA02G23500.2 pep chromosome:AWHD00000000:2:21602622:21613006:-1 gene:ONIVA02G23500 transcript:ONIVA02G23500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTIPRSFTSWDNTKAHKNELHDKIRKKLRDVQLPPSSYDTAWVAMVPVQGSHQTPRFPQSIEWILQNQYDDGSWGTNLPGLVVNKDILLCTLACVVALKRWNTGGDHISRGLNFIGRNFSVAMDEQTVAPVGFNITFSGLLSLATGTGLGNLQDWNQVMAYQRKNGSILNSPSATAATIIHGHNYSGLAYLDFVTSKFGGPVPVMYPQNAYSQLCMVDTLERMGISKSFACEISDILDMTYRLWMHNEEELMLDMRTCAMAFRLLRMHGYDITSDGMAQFVEQSSFDDSIHGYLNDTKALLELYKSSQLRCLEDDLILEEIGSWSARVEYALKCPIYAILERLEQKRNIEQFKTKEQLKIEGFKMLKSGYCCLNREPIITWISGVIPNDEILALAVDEFHSSQSVYQQELQDLNSWVAQTRLDELKFARLMPSITYFSAAAILLPSESARIAWTQNCILTTTVDDFFDGEGSKEEIENLVKLIEKWDGYGEIGFSSECVEILFYAVYNTSKQIAEKAMPLQKRNVVDHIAESWWFTVRGMLTEAEWRMDKYVPTTVEEYMSAAVDSFAVGPIITSAALFVGPELSEEVFRSEEYIHLMNLANTIGRLLNDMQTYEKEIKMGKVNSVMLHALSHSSGGGGGGSPEASMEEAKREMRRILQGCRFELLRLVTRDADVVPPPCRKLFWLMSKVLHFVYMEKDRYFTAEGMMASANAVILDPLQVTLPPSDSGTL >ONIVA02G23490.1 pep chromosome:AWHD00000000:2:21599205:21601476:-1 gene:ONIVA02G23490 transcript:ONIVA02G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGTGAVRGGEHVAEVEVERAAEERGRHGRRGERRGEGNHDAPWPLTPAIAPSSANKRKDEAGVSLNQAAVREEEERRCS >ONIVA02G23480.1 pep chromosome:AWHD00000000:2:21585999:21586247:-1 gene:ONIVA02G23480 transcript:ONIVA02G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSLPPLLFCSLPFNHLSGSGSSSRGGEEHKALAVDKEVMLKPHDAWELVVKEELAPSLKLALELRDTTIVALLVWEEEG >ONIVA02G23470.1 pep chromosome:AWHD00000000:2:21573999:21583952:-1 gene:ONIVA02G23470 transcript:ONIVA02G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLWMILPMSSACSGQFLRASPRGMIEQFNRAPPLRVSIRGAAGVEKSLGLGRNAGSQQGMQKNQLQDKIRKQLREVQLSPSSYDTAWVAMVPVQGSHQTPRFPQCIEWIMQNQHDDGSWGTNLPGSVVNKDILLCTLACVVALKRWNTGRDHISRGLNFIGKNFWVAMDEQTIAPVGFNITFSGLLNLATGTGLEFPVMQTDIDGIFHMRKIELERDAYGTASSRRAFMAYVSEGLGSLQDWDQVMAYQRKNRSIFNSPSATAATVIHGHNDSALCYLDSLVSKLHGPVPVMYPQNAYSQLCMVDTLEKMGISNNFSCEISDILDMIYRLWIHNEEELMLEMGTCAMAFRLLRMHGYDISSDGMAQFVEQSSFDDSIHGYLNDTKALLELYRSSQIRCLEDDLILQDIGSWSARVEYALKFPVYATLERLEQKRNIEQFKTKEQLKIEGFKLLKSGYRGAITHDEILALAVDEFHSSQSVYQQELQDLNRREMVTSLVAGIYQERELGGLYSNQSGKGLEDETPTWGLRGRREQPPSPLRRSGRGDEPLRGASPGTGNADAGAQRPMGAAAAAVVIASLGPRGRAVEGSAAGDNGRAEPAATYSRCTRGDWVAHTRLDELKFARLMPSITYFSAAATMFPSELSEARIAWTQNCILTTTVDDFFDGDGSKEEMENLVKLIEKWDGHGEIGFSSECVEILFYAIYNTSKQIAEKAVPLQKRNVVDHIAESWWFTVRGMLTEAEWRMDKYVPTTVEEYMSAAVDSFAVGPIITSAALFVGPELSEEVFRSEEYIHLMNLANTIGRLLNDMQTYEKEIKMGKVNSIMLHALSHSGGGRGSPEASMEEAKREMRRVLQGSRCDLLRLVTRDGGVVPPPCRKLFWFMSKVLHFVYMEKDWYFTADGMMASANAVILDPLQVTLLPSGLGTL >ONIVA02G23470.2 pep chromosome:AWHD00000000:2:21573999:21583952:-1 gene:ONIVA02G23470 transcript:ONIVA02G23470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLWMILPMSSACSGQFLRASPRGMIEQFNRAPPLRVSIRGAAGVEKSLGLGRNAGSQQGMQKNQLQDKIRKQLREVQLSPSSYDTAWVAMVPVQGSHQTPRFPQCIEWIMQNQHDDGSWGTNLPGSVVNKDILLCTLACVVALKRWNTGRDHISRGLNFIGKNFWVAMDEQTIAPVGFNITFSGLLNLATGTGLEFPVMQTDIDGIFHMRKIELERDAYGTASSRRAFMAYVSEGLGSLQDWDQVMAYQRKNRSIFNSPSATAATVIHGHNDSALCYLDSLVSKLHGPVPVMYPQNAYSQLCMVDTLEKMGISNNFSCEISDILDMIYRLWIHNEEELMLEMGTCAMAFRLLRMHGYDISSDGMAQFVEQSSFDDSIHGYLNDTKALLELYRSSQIRCLEDDLILQDIGSWSARVEYALKFPVYATLERLEQKRNIEQFKTKEQLKIEGFKLLKSGYRGAITHDEILALAVDEFHSSQSVYQQELQDLNSWVAHTRLDELKFARLMPSITYFSAAATMFPSELSEARIAWTQNCILTTTVDDFFDGDGSKEEMENLVKLIEKWDGHGEIGFSSECVEILFYAIYNTSKQIAEKAVPLQKRNVVDHIAESWWFTVRGMLTEAEWRMDKYVPTTVEEYMSAAVDSFAVGPIITSAALFVGPELSEEVFRSEEYIHLMNLANTIGRLLNDMQTYEKEIKMGKVNSIMLHALSHSGGGRGSPEASMEEAKREMRRVLQGSRCDLLRLVTRDGGVVPPPCRKLFWFMSKVLHFVYMEKDWYFTADGMMASANAVILDPLQVTLLPSGLGTL >ONIVA02G23470.3 pep chromosome:AWHD00000000:2:21573999:21583952:-1 gene:ONIVA02G23470 transcript:ONIVA02G23470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLWMILPMSSACSGQFLRASPRGMIEQFNRAPPLRVSIRGAAGVEKSLGLGRNAGSQQGMQKNQLQDKIRKQLREVQLSPSSYDTAWVAMVPVQGSHQTPRFPQCIEWIMQNQHDDGSWGTNLPGSVVNKDILLCTLACVVALKRWNTGRDHISRGLNFIGKNFWVAMDEQTIAPVGFNITFSGLLNLATGTGLEFPVMQTDIDGIFHMRKIELERDAYGTASSRRAFMAYVSEGLGSLQDWDQVMAYQRKNRSIFNSPSATAATVIHGHNDSALCYLDSLVSKLHGPDGMAQFVEQSSFDDSIHGYLNDTKALLELYRSSQIRCLEDDLILQDIGSWSARVEYALKFPVYATLERLEQKRNIEQFKTKEQLKIEGFKLLKSGYRGAITHDEILALAVDEFHSSQSVYQQELQDLNSWVAHTRLDELKFARLMPSITYFSAAATMFPSELSEARIAWTQNCILTTTVDDFFDGDGSKEEMENLVKLIEKWDGHGEIGFSSECVEILFYAIYNTSKQIAEKAVPLQKRNVVDHIAESWWFTVRGMLTEAEWRMDKYVPTTVEEYMSAAVDSFAVGPIITSAALFVGPELSEEVFRSEEYIHLMNLANTIGRLLNDMQTYEKEIKMGKVNSIMLHALSHSGGGRGSPEASMEEAKREMRRVLQGSRCDLLRLVTRDGGVVPPPCRKLFWFMSKVLHFVYMEKDWYFTADGMMASANAVILDPLQVTLLPSGLGTL >ONIVA02G23460.1 pep chromosome:AWHD00000000:2:21561167:21567965:1 gene:ONIVA02G23460 transcript:ONIVA02G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQVLTAASSLPRATLLRPAAAEPWRQSFLQLQARPIQRPGIMLHCKAQLQGQETRERRQLDDDEHARPPQGGDDDVAASTSELPYMIESIKSKLRAARNSLGETTVSAYDTAWIALVNRLDGGGERSPQFPEAIDWIARNQLPDGSWGDAGMFIVQDRLINTLGCVVALATWGVHEEQRARGLAYIQDNLWRLGEDDEEWMMVGFEITFPVLLEKAKNLGLDINYDDPALQDIYAKRQLKLAKIPREALHARPTTLLHSLEGMENLDWERLLQFKCPAGSLHSSPAASAYALSETGDKELLEYLETAINNFDGGAPCTYPVDNFDRLWSVDRLRRLGISRYFTSEIEEYLEYAYRHLSPDGMSYGGLCPVKDIDDTAMAFRLLRLHGYNVSSSVFNHFEKDGEYFCFAGQSSQSLTAMYNSYRASQIVFPGDDDGLEQLRAYCRAFLEERRATGNLRDKWVIANGLPSEVEYALDFPWKASLPRVETRVYLEQYGASEDAWIGKGLYRMTLVNNDLYLEAAKADFTNFQRLSRLEWLSLKRWYIRNNLQAHGVTEQSVLRAYFLAAANIFEPNRAAERLGWARTAILAEAIASHLRQYSANGAADGMTERLISGLASHDWDWSLREAWKQWLMSWTNESQGSTGGDTALLLVRTIEICSGRHGSAEQSLKNSADYARLEQIASSMCSKLATKILAQNGGSMDNVEGIDQEVDVEMKELIQRVYGSSSNDVSSVTRQTFLDVVKSFCYVAHCSPETIDGHISKVLFEDVN >ONIVA02G23450.1 pep chromosome:AWHD00000000:2:21553845:21554604:1 gene:ONIVA02G23450 transcript:ONIVA02G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPGEGGGGRGGCGGLMGALEKRPIMGVVAEEEEEKGVTTTLETRWPPWKCEEGAHDIVVVLGQ >ONIVA02G23440.1 pep chromosome:AWHD00000000:2:21540874:21541495:1 gene:ONIVA02G23440 transcript:ONIVA02G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLWACRCGADIEHTKESKAAVAVVSWGVTHGIDEIGGIEPPRSIPSIGNFAAHDDVAGELAIFRGTSTSWVVVASAISLALVVVAGAAPIAVGELGFADNGEWGMGNRDS >ONIVA02G23430.1 pep chromosome:AWHD00000000:2:21528406:21531199:-1 gene:ONIVA02G23430 transcript:ONIVA02G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNKLILALGLSVLFVLLSKLVSSAMKPRLNLPPGPWTLPLIGSLHHLVMKSPQIHRSLRALSEKHGPIMQLWMGEVPAVVVSSPAVAEEVLKHQDLRFADRHLTATIEEISFGGRDVTFAPYSERWRHLRKICMQELLTAARVRSFQGVREREVARLVRELAADAGAGGDAGVNLNERISKLANDIVMVSSVGGRCSHRDEFMDALEVAKKQITWLSVADLFPSSKLARMVAVAPRKGLASRKRMELVIRRIIQERKDQLMDDSAAGAGEAAAGKDCFLDVLLRLQKEGGTPVPDMFTGASETSPTVLIWILAELMRCPRVMAKAQAEVRQAAVGKTRITENDIVGLSYLKMVIKEALRLHSPAPLLNPRKCRETTQVMGYDIPKGTSVFVNMWAICRDPNYWEDPEEFKPERFENNCVDFKGNNFEFLPFGSGRRICPGINLGLANLELALASLLYHFDWKLPNGMLPKDLDMQETPGIVAAKLTTLNMCPITQIAPSSAEDAS >ONIVA02G23420.1 pep chromosome:AWHD00000000:2:21519932:21523155:1 gene:ONIVA02G23420 transcript:ONIVA02G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLILALCLSALFVVVLSKLVSSAVKPRLNLPPGPWTLPLIGSLHHLAMTKSPQTHRSLRALSEKHGPIMQLWMGEVPAVVVSSPAVAEEVLKNQDLRFADRHLTATTEEIFFGGRDVIFGPYGERWRHLRKICMQELLTAARVRSFRGVREGEVARLVRELAASAAGAGAVGAAAGVNLNERISKLANDIVMVSSVGGRCSHRDEFLEALEVAKKQITWLSVADLFPSSKLARMVAVAPRKGLASRKRMELVIRRIIQERKDQLMDDSAAGAGEAAAGKDCFLDVLLRLQKEGGTPVPVTDEIIVDMISGASETSPTVLIWTLAELMRNPRIMAKAQAEVRQAVAGKTTITEDDIVGLSYLKMVIKETLRLHPPAPLLNPRKCRETSQVMGYDIPKGTSVFVNMWAICRDSRYWEDPEEYKPERFENNSVDYKGNNFEFLPFGSGRRICPGINLGVANLELPLASLLYHFDWKLPNGMAPKDLDMHETSGMVAAKLITLNICPITHIAPSSA >ONIVA02G23410.1 pep chromosome:AWHD00000000:2:21499538:21508399:1 gene:ONIVA02G23410 transcript:ONIVA02G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGSPSSGGYGGKFAGASPAGGTTTMAPSAKQPSSRAPPPGITGGRNDLRILSPAAAAAAVGGLEMKKPEAEGIAESLQATHRKELEASIRKQLQGVELSPSPYDTAWVAMVPLRGSSHNPSFPQCVDWILENQWDDGSWSIDGSISTANKDVLSSTLACVLALNKWNVGREHIRRGLSFIGRNFSIAMDDQAVAPIGFGITFPAMLTLANGSGLEVPVRQNDIDSLNHLREMKIQREAGNHSRGRKAYMAYLAEGFGNLLEWDEIMMFQRKNGSLFNCPSSTAGALANYHDDKALQYLQSLVNKFDGVVPTLYPLNIYCQLSMVDALENMGISQYFASEIKSILDMTYSSKIDEQVYSSWLGRDEEIMLDVTTCAMAFRLLRMNGYDVSSDELSHVAEASGFRDSLQGYLNDRKSVLELYKTSKYSISENDLILDSIGSWSGSLLKEMLCSNGIQGTPGREEIEFALKYPFYSTLERLVHRKNIVLFDAKGSQMLKTECKPVHDNQDFLALAVDGFSISQSNYQNELNYLESWVKDNRLDQLHFARQKITYCYLSGAATTFRPEMGYARTSWARTAWLTAVIDDLFDVGGLEPEQENLLSLMEKWEEPHEDEYYSEDVKIVFQALYNTVNEIGAKASALQGHDVTKYLVDVWLHVVRCMKVEAEWQRSQHLPTFEEYMESGMVSLGQGATVMSALFLIGEKLPEGVVELEEYDEMFRLMGTCGRLLNDIRGIEREESDGKMTNGVSLLVHASGGSMSVDEAKTEVMKRIDASRRKLLSLVVGEQEGPIPRPCKQLFWKMCKILHLFYYQTDGFSSPKEMVSAVDAVIKEPLQLRSL >ONIVA02G23400.1 pep chromosome:AWHD00000000:2:21478167:21479666:1 gene:ONIVA02G23400 transcript:ONIVA02G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQVWLLWGALSVAVLFYLSTLRRRYAGGKPLPPGPTPLPLIGNLHLAGGTFHHKLRDLARVHGPVMTLKLGLATNVVISSREAAIEAYTKYDRHLAARATPDTFRACGFADRSMVFIPSSDPQWKALRGIQGSHVFTPRGLAAVRPIRERKVGDLIAYLRAHAGEEVLLGQAMYTGLLNLVSFSYFSIDIVDMGSQMARDLREVVDDIISVVGKPNISDFYPFLRPLDLQGLRRWTTKRFNRVFSIMGDIIDRRLAHIRDGKPRHDDFLDSLLELMATGKMERVNVVNMLFEAFVAGVDTMALTLEWVMAELLHNPAIMARVRAELSDVLGGKEAVEEADAARLPYLQAVLKEAMRLHPVGALLLPHFAAEDGVEIGGYAVPRGSTVLFNAWAIMRDPAAWERPDEFVPERFLGRSPPLDFRGKDVEFMPFGSGRRLCPGLPLAERVVPFILASMLHTFEWKLPGGMTAEDVDVSEKFKSANVLAVPLKAVPVLIK >ONIVA02G23390.1 pep chromosome:AWHD00000000:2:21466095:21466466:1 gene:ONIVA02G23390 transcript:ONIVA02G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVAMAIVLSLGCAVVGGPEVLRVLLGLSGRSPVDDVAICVFVLGAVTAPVLGNMLLARYVRVVRGAAAAAAAPHAPAVDPFARVTVAVALAVALVVAACLILVVPSSAHSASRDPGSGAA >ONIVA02G23380.1 pep chromosome:AWHD00000000:2:21463314:21464370:1 gene:ONIVA02G23380 transcript:ONIVA02G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGANLHSTLVSHARGSLSTLEITRPPLRDATPRPRARVRDTCRRVARPCRRALLNAFSARLLHGSTGVYAQRWSGVHGLTGSISREPRGGREWRGCSGGWETTTPAAEYVPECGATREGGSRSRE >ONIVA02G23370.1 pep chromosome:AWHD00000000:2:21454686:21456314:1 gene:ONIVA02G23370 transcript:ONIVA02G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQMWLLWGALSVAIFFYLSTLRRRYAGGKPLPPGPTPLPLIGNLHLVGGGTFHHKLRDLARVHGPVMTLKLGLATNVIISSREAAVEAYTKYDRHLAARATPDTFRACGFADRSMVFIPSSDPQWKALRGIHASHVFTPRVLAAVRPIRERKVGDLIAYLRAHAGEEVLVGHAMYTGILNMVSFSYFSIDIVGMGSRMARELREVVDDIIVVVGKPNVSDFYPFLRPLDLQGLRRWTTKRFNRVFSIMGDIIDRRLAHIRDNKPRHDDFLDSILELMAAGKIDRVNVLNMLFEAFVAGADTMALTLEWVMAELLKNPGVMAKARAELRDVLGDKEIVEEADAARLPYLQAVLKEAMRLHPVGALLLPHFAMEDGVEVGGYAVPKGSTVLFNAWAIMRDPAAWERPDEFVPERFVERTPQLDFRGKDVEFMPFGSGRRLCPGLPLAERVVPFILASMLHTFEWELPGGMTAEELDVSEKFKTANVLAVPLKAVPVLIK >ONIVA02G23360.1 pep chromosome:AWHD00000000:2:21443626:21445258:1 gene:ONIVA02G23360 transcript:ONIVA02G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFWAESETPSSPPFFASKSTSPANRGQRSPPEPGYDDDGGGTVEEYVPVEERNKRDGRGRGKSDISQLVILTDVAAGGSGVAGRSCGRGGHAGAVGKAVARHEVQGRPAVGKVLRREQQGRPGEDRDGEAAARKVGKSAAIPAVGPAAALGIGMGHRRRHA >ONIVA02G23350.1 pep chromosome:AWHD00000000:2:21443327:21443575:1 gene:ONIVA02G23350 transcript:ONIVA02G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHGDSVGRRILVGMHMDGVGKELLQWALNQAARSGERVVAVHNLPQIRLLLGAGLQEPARADSVAHRAGGAQGEVWPRG >ONIVA02G23340.1 pep chromosome:AWHD00000000:2:21442571:21443261:1 gene:ONIVA02G23340 transcript:ONIVA02G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMGLSLTGAALQEVMDAVASCWFEAWAEAAAEEVVLMRILQALLACLRAPLSPSSSLRFSSTLAASTATGGVDLNADGSAAARGPLSSKSELNRQHRALQTLLEPERQQQCRKLPRRVQGKVHGRVVVVELTEASVRSSPYGHWASASPGDSP >ONIVA02G23330.1 pep chromosome:AWHD00000000:2:21438004:21439509:1 gene:ONIVA02G23330 transcript:ONIVA02G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARELWVLAAALAVSLLYYLAVLMRYAGGGSSSSSRPRLPPGPTPLPLIGNLLSLRGVLHHRLASLARVHGPVMALRLGLTTAVVVSSRDAAAEAFTKHDRRLAARVVPDSNRAHGFSDRSVIWLPSSDPRWKTLRGIQATHLFSPRGLAAVRAVRESKVRDIVAYFRSRAGEEVVFGEAIYSGVLNLVSSSFFSVNMAGVGSEEAHGLRELVEDLVEAVAKPNVSDLFPFLRQLDLQSLRRRTEERMARAFGILDGIIDRRLANRTHGDRHGDFLDALLDLVSEGKMARDHVTIMLFEVFGAGSDTMSVSLEWAMAELLRNPRAMRKARAELEDAAAVVEESDAARLPYLQAVVKEAMRLHPVGPILLPHRAVEDGVEIGGYAVPRGAMVIFNAWAIMRDPAAWERPDEFVPERFMETTTAIDFRGKEYEYLPFGSGRRLCPGLPLAERVVPFVLASLLRAFEWRLPDGVSAEDLDVSERFNTANVLAVPLKVVPVIVN >ONIVA02G23320.1 pep chromosome:AWHD00000000:2:21424664:21427456:-1 gene:ONIVA02G23320 transcript:ONIVA02G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQPPMQLVSNAPRASSSPLLGPTAAGPWRRPHSSFRQPHSSSSRREYEDEDFDPTAEEYLQEQAEYEDF >ONIVA02G23310.1 pep chromosome:AWHD00000000:2:21418910:21421880:-1 gene:ONIVA02G23310 transcript:ONIVA02G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLNDGEVREKTDRINTVRETLRLSMSEVSKTYSKLFLVDTLEKVGISRHFSREITRILDMAYKLWLQKDEEMIMDMETCAMAFRILQSRFPDSVVQGSLNDTKAILELYKASKVRIFDDEWTLDKIDSWTTELLRQQLCSNKISTSVMPQEVECALQLPFYSSVLEPLEHKRNIEQFSTNGIQMRKSAFLPHHIAEDIIALAVAEFHSAQSLYRQELQYVDRWVKEVRLDQLKFLRILPLDVFFFLASSVLPRELSDARIAWIQNCLLTTAVDDLFDVAGSSEELQNLIALFEKWDAHNEIGFCSEDVETVFYAVYNTSNKIGERAAEVQNRSVISHIAQLWLDTARAMMKEAEWSREGHVPSMEEYMPVAEVSFALGPIVPTSLYLMGPELLPEEVVRGPEYGGLMRLTNVCCRLLNDMASYGRESGDGKIANSVLLLHLHSASSVDMAKEEIRRTVEASKRELLRLVITAGGGGGVPRPCKDLFWNMCKVANLTYLQANGYCSLEEMLGAASAVVHDPLNV >ONIVA02G23300.1 pep chromosome:AWHD00000000:2:21416455:21417757:1 gene:ONIVA02G23300 transcript:ONIVA02G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVAGGGGGGAVCCMCGDHGLPRELFRCGHCHHRLQHRYCSELYPRVAAYRRCNWCLREGRRRGGGGGGSPATATAAAKRRMSAALETSTGDSNKVDKSSRRGGGGGCSRSAFCAEPGKPVKKPKAAASADDDGDRVVVMPVDETAATATATALERKPPARKARFRVKVRRYKLLAEVLSC >ONIVA02G23290.1 pep chromosome:AWHD00000000:2:21400776:21405272:-1 gene:ONIVA02G23290 transcript:ONIVA02G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G64330) TAIR;Acc:AT5G64330] MWESESESHGGERGLVPVGGGGGSGRHEAALKNDGFVRRDRSWYVNSDIPSDLLVKVGDVNFYLHKYPMISRSGRMSRAVYESSAADEAEADAAAAVAVVEMGDLPGGAGSFELAARFSYGMAVDLTAANISGLRCAAEYLEMTEEMEEGNLIFKTEAFLSYVVLSSWRDSIAVLKSCEALSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGAGAGSGGARGGPAAIRGGGGSGGTASPRWNVGGGGGGESKESSPSRQAVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDAPLGATHDEPWAQASAAGVGGGGLHMMIISGAGGGKDDVLAACSAPSREQRMVVESIISITPPQRDSVSCGFLLRLLRLAIMLRAAPALVTELEKRVGMQLEQAALADLLIPSYGGRAADTAYDVDLVQRLVEHFLVQEQTEMAVASSPGRGDPPPPPQPEYYSGRMPPSSAAAASASASTGGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARACDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALASSSAALRSSSSAPGADAAPAMPTTRRQLLDGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHEMDALQKQVDGRGGGAPSPAAAKIGKQQQQGTSASAWSSGWKKLGRLAKMSGADAAAGGGVAPPGGGEAAARKGPRRWRNSIS >ONIVA02G23280.1 pep chromosome:AWHD00000000:2:21397993:21399661:1 gene:ONIVA02G23280 transcript:ONIVA02G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLPWTQHMEVFVFFSPPLSYSQCSNATNGELCRRTGRLGRPEVAAAPVEELAKSLHGVELFDLRGKAVPVVDLWKDRKFLSCFGRLIVFETIDAGCLQVVLCRKRADLLAAKQVPFTKT >ONIVA02G23270.1 pep chromosome:AWHD00000000:2:21389030:21396642:1 gene:ONIVA02G23270 transcript:ONIVA02G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAAAAAAASTEAPAVAAAAPHHHHPHPHAHGMHPHAHHHIQAQPRWVVIPYPPPHGMVAAAPPPPPPQFVKHFAPPASVTPPPPQQAQAAAAAAGGGSGGGNGDENRTIWVGDLQYWMDEGYLHNCFGPSGEVVTIKVIRNRHSGVSEGYGFVEFFSHASAEKALQNFSGHVMPNTDRAFKLNWASYSMGEKRSELASDHSIFVGDLAVDVTDEMLMDLFAKKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKTHAMTEMNGVYCSTRPIRIGPATPRRSSGDSGSSPPRQSDSDSTNRTIYVGGLDPNATEDELRKAFAKYGDLASVKIPVGKQCGFVQFVNRPDAEEALQGLNGSTIGKQAVRLSWGRSPASKQSRGDSGHRRNGMYYGTPFYGGYGYASPLPHPNMYAAAYGAYPYYGNQQLECEKTAATVGTLGLLLVSTRSPYADKEQSTGDGAS >ONIVA02G23260.1 pep chromosome:AWHD00000000:2:21379516:21380487:1 gene:ONIVA02G23260 transcript:ONIVA02G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAADGDDEVVREFGPILRVYKSGRLERPLVAPPVGPGHDAATGVHSRDVHLGDYSARLYLPPPAAAAAAAERLPVVVYVHGGGFVAESAASPSYHLFLNRLAAACPALCVSVDYRLAPEHPLPAGYDDCLAALRWVLSAADPWVAARGDLDRVFLAGDSAGGNICHHLAMHHHHDAPPRRRLRGAVLIHPWFWGSEAVGEEAPDPEGRARGAGLWVYACPGTTGMDDPRMNPMAPGAPPLGRMACDRVMVCAAEGDFLRWRAHAYAAAVAAAKGGAAVEVLETAGAGHVFHLFDPDGDKAKELLDRMVTFVNGAGADAA >ONIVA02G23250.1 pep chromosome:AWHD00000000:2:21378435:21378859:-1 gene:ONIVA02G23250 transcript:ONIVA02G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTSASHRTLISRAAPAGSHVPLIPEHWFPAAYDDGEAVLRHLITTGLRDEHDVPMELSASFLMEDSVGDNIAHHVGQRWKMTTASTLPPPSDIWKLVEEISSPASSSGAKQRGARRDERRRGQSSHKL >ONIVA02G23240.1 pep chromosome:AWHD00000000:2:21373906:21375106:-1 gene:ONIVA02G23240 transcript:ONIVA02G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDDGLVVDSRMSGQRGGSVATKAVGSIAGGKPMLTARAKQNGLSDDMQKSDFHTWLFYPYAKI >ONIVA02G23230.1 pep chromosome:AWHD00000000:2:21372239:21372610:1 gene:ONIVA02G23230 transcript:ONIVA02G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEARAVPTGSMTGSDRGGDDDTIKDEDPAAETTMYGTERYPPRSSPTPASHAPASARRCRRCRRAPERREPHWPDLAVNEELASTAAPSQLGKGASRARLDRALTNRVEPYMRCRVSRCRR >ONIVA02G23220.1 pep chromosome:AWHD00000000:2:21361540:21365570:-1 gene:ONIVA02G23220 transcript:ONIVA02G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSKSVPVPAGGGAATVPLAVLLRREVVSEKTAAERPELQVGLFSQAKKGEDYTFLKPDCERLPGVPSSSFSAFGLFDGHNGNGAAIYTKENLLSNILTAIPADLNREDWLAALPRAMVAAFVKTDKDFQTKARSSGTTVTFVIIDGLFITVASVGDSRCVLEAEGSIYHLSADHRFDASKEEVDRVTESGGDVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGQFIVPVPYVKQVKLSTAGGRLIISSDGVWDVLTAEVAFNCSRTLPPEAAAEQIVKEAVQQKGLRDDTTCIVVDILPDKANLTMPHTKKQPGMGVFKNMFRKKTPSDSSSHTDREYMDPDIVEEIFEDGCAFLSKRLDSEYPVRNMFKLFICAICQVELKPSQGISIHEDSSQPGNLRRWDGPFLCQGCQEKKEAMEGKRRSRDSSSRNSGSSE >ONIVA02G23210.1 pep chromosome:AWHD00000000:2:21355290:21358128:-1 gene:ONIVA02G23210 transcript:ONIVA02G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07700) TAIR;Acc:AT1G07700] MITASLLPLPATSSSSGRRSLPPPTTTFPRPPPPLRRHRHLSSSSSSASSTESDGGGGSTNGSLPGLPPVVVEEEEEEFCPVECVTEFKTEEELARVLERAKATGALVVVDFFRPSCGSCKYIEQGFMKLCKGSGDHGSPVVFLKHNVIDEYDEQSEVADRLRIKVVPLFHFYKNGVLLEAFATRDKERIIAAIQKYTAPSSPPAESEEPSQEG >ONIVA02G23200.1 pep chromosome:AWHD00000000:2:21353700:21354807:-1 gene:ONIVA02G23200 transcript:ONIVA02G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTIGDLLSDLAVDGGADLAGGEVSVPSSPSSAGQEAEEADPSELNRLFGEHYDNLMKALQENDPSWPSLMLKLCTALKTADKLVSCASTDAEQLLQKVELLERLLVRGDRAVTAIVEELQRSRPSEDSHSSKSKPSGK >ONIVA02G23190.1 pep chromosome:AWHD00000000:2:21349486:21352238:-1 gene:ONIVA02G23190 transcript:ONIVA02G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSFPAVWAVVGPGVAGAVFGVGWWFWVDAVVCSAAAVPFLHYLPGLFASFAALMFNCVKREDANYNYYSPYDDSEWRLKLWLFVSYVVSFVSLAGAVGFLVQDALTDTGPSAWTGVAGVLQSVFVLIRGLF >ONIVA02G23180.1 pep chromosome:AWHD00000000:2:21341997:21346316:-1 gene:ONIVA02G23180 transcript:ONIVA02G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G8H4] MMMKGKGGAVDRRSSARWRMLLLCAFCFGLGMLFTDRFWTAPDTSNHIMSQRRRQDRELQLISEDCNTKRKHGEDKDIMGEVTKTHEAIQLLDKSISTLQMELAAKRSTLELLRAGGSPVTSETSQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQGAKLQQLEDQKGIVIRFTIGHSATSNSILDKAIDSEDAQHRDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKFANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERIKEVHARCGEGDSAVWSALI >ONIVA02G23170.1 pep chromosome:AWHD00000000:2:21335297:21340732:1 gene:ONIVA02G23170 transcript:ONIVA02G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLPALKPQWLVQGQVTTTGAANLWTVASPRPGPDNQGRGGSSRNHSSSGHHRDQNSRTSSSRISSNGPRKHDRDRDGMGKSRGYASFGRNREREREKEFDSRDRESRSITADGFGSFSTCRPERDRLNRSRSRTDSWNKGVVSPNNCNTSRNNTGTGGSFEREFPQLPFDDKRQDINRVPSPASPIQRTVAPDRWNSLLADVPGSSEPKKNLGVSSVLRPAPSKQPEAAPNSGISLSMAETVMQVPLSVGPQLSMEAQKMEEISLRQNTLRPMTSPAIKSSVTNSSKTKGVRNGDPSGPIKAHQSLIPSTNGSARAPVKTDLSKVSQAGNLKILTREQNCTIHTAKDCPDNPMSPPPAPVASVEPLKKPCVSQKLKVATHDIPLSILQSAYVDKKLNARDKHRFFESLRIKSSNGSSSTAESGCPSPSSVADVKQDSCLNVGKDVSLYHSGKDISLYHSGTKCMGNGKCSCEEANSSDGSQRHLSDNENNSSLDHTADGVSQNLLVESRSISSSEPSDRGDEFRVFLSNNTEGSSSSAPADSDDGYKRSQSGSEEASSSSETTEPGDEEHPAEDSLPADFVAFMISLGWEKDKKVEPLGLEEIAVTVRANEELEQKLLSMEDNANIKIVLLYIYSGRGLDKELMKPNAGDKNNA >ONIVA02G23160.1 pep chromosome:AWHD00000000:2:21322326:21325107:-1 gene:ONIVA02G23160 transcript:ONIVA02G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALPHRCRLRLRLRRSPSIHPASERARRVAVARPAASAASVPYLYYFEEMGRSPRGRNLPARRRGSSSSSSDLPSCCWKMKGTCEQNDIALVSEKKEWKGASCPVCLEHPHDAVLLLCTSHHKGCRPYMCGTNHQHSNCLEHFKEAYAKEKLAHSVLIESSPGLSLSLNSQPASKQQCAMELACPLCRGDVKGWTVVEPARQYLNRKKRACMHDGCSFIGSYKELCKHVNSKHPSAKPREVDPAHADEWKKFECERERQDAISTIRSMTPGAVIMGDYVVEFNGGSNNNLLSDGDDLEERLNFFTSLDRTLNERLDFYESSDGSLDDSIDFLASLFGHGRRIASGDSYTRAYRRYRERPRRNVTASSVAASDIQHDSANTRRGRVGGIRAIGRTSRRHHPVVTHVRSTHGI >ONIVA02G23150.1 pep chromosome:AWHD00000000:2:21318115:21321505:1 gene:ONIVA02G23150 transcript:ONIVA02G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPPSSLPLLLPRKSLSSSSAQRKQQYARCVSHAGDELHSFRSCLAWMCVDHSTRARGAASWAAFLLLAVAAPSAATLALPSPVGGGGSPFDGQVQILRMKGFAREFCRFADVAAVLESHRRIRKQLHRISHRYRRFILCCLVLVTASQFAALLATTRPHAQINLATAGELALCSLSLVAGLLVCLQSAAKITHKTQAITSVAAGWHADATINAFDNDQEDPNPDLPRIVGYLVPVNAYWMASGESSSDSSSSSSSDDDDSGHPKSKYIPFQNNHCFQQRQALVTYLENNRAGITVYGFVVDRTWLHALFMIEFSLVMWLLGKTVGIS >ONIVA02G23130.1 pep chromosome:AWHD00000000:2:21286537:21288503:-1 gene:ONIVA02G23130 transcript:ONIVA02G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELSLGDSPAPVKATIAPTPVLIPTCMGDEEDLELVLGVRATRRDEQDDQTTCTQSSEEAMEGEEDETRPHGEAPVESLSFPLFVSSAETGSANSEMCTRGFDVNTRPADGGAEAGRPSSPSSMQEASTRQQVADQEAADDEDNGGGGARKKLRLSKEQSSFLEDSFKEHSTLTPKQKSDLANRLNLRPRQVEVWFQNRRARTKLKQTEVDCEHLKRCCERLTRENRRLQREVAELRGALRTTTSSYPPLYGLHHLPAAAGTVFRVCPSCEHSKVVAAAASESFSPRVFAGGGAPAAITATAAVPSPGAGSPPSSSAALFGARRPHFGPFAAAVIPPVLRRQPSATS >ONIVA02G23120.1 pep chromosome:AWHD00000000:2:21273883:21276387:-1 gene:ONIVA02G23120 transcript:ONIVA02G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVLKHRGGQGGVAPLARKEGELPPFPTINLFSRGTKHRCSSLCPPSIDRHRSISCGKRSISCGNLWGRSARASNSGERRRRRKKKMSCCGGAEEDSYGPPANQAAPPPNVNAPGNRGGPRGPGAARPGGPPKPVNIDVPAIPFEELKKITSNFSDRALIGEGSYGRVYNGTLSDGRAAVIKKLDPGASQETDSEFSAQIAMVSKLKNEYFLELLGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWAQRVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVLIFDEFSSKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKAIQPLLNTKPAGPAEPPPA >ONIVA02G23120.2 pep chromosome:AWHD00000000:2:21273883:21275559:-1 gene:ONIVA02G23120 transcript:ONIVA02G23120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGNRGGPRGPGAARPGGPPKPVNIDVPAIPFEELKKITSNFSDRALIGEGSYGRVYNGTLSDGRAAVIKKLDPGASQETDSEFSAQIAMVSKLKNEYFLELLGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWAQRVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVLIFDEFSSKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKAIQPLLNTKPAGPAEPPPA >ONIVA02G23120.3 pep chromosome:AWHD00000000:2:21275605:21276387:-1 gene:ONIVA02G23120 transcript:ONIVA02G23120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVLKHRGGQGGVAPLARKEGELPPFPTINLFSRGTKHRCSSLCPPSIDRHRSISCGKRSISCGNLWGRSARASNSGERRRRRKKKMSCCGGAEEDSYGPPANQAAPPPNVNAPGASPHSSSPAAAASYIPLLLC >ONIVA02G23110.1 pep chromosome:AWHD00000000:2:21270417:21273289:1 gene:ONIVA02G23110 transcript:ONIVA02G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPTASPPPPPAMSGLLSFASSRPYPPLPAPRPAAAAPRPRLRIAGSAAAAPNAVSHRASSSFSSGDRLRSLVRRGELDEALRLVGSARRPDAGTCAALIKKLSASGRTAEARRVLAACGPDVMAYNAMVAGYCGAGQLDAARRLVAEMPVEPDAYTYNTLIRGLCGRGRTANALAVLDEMLRRRCVPDVVTYTILLEATCKRSGYKQAMKLLDEMRDKGCTPDIVTYNVVVNGICQEGRVDDAIEFLKNLPSYGCEPNTVSYNIVLKGLCTAERWEDAEELMGEMGQKGCPPNVVTFNMLISFLCRKGLVEPALEVLEQIPKYGCTPNSLSYNPLLHAFCKQKKMDKAMAFLDLMVSRGCYPDIVSYNTLLTALCRSGEVDIAVELLHQLKDKGCAPVLISYNTVIDGLTKAGKTKEALELLNEMVSKGLQPDIITYSTIAAGLCREDRIEDAIRAFGKVQDMGIRPNTVLYNAIILGLCKRRETHSAIDLFAYMIGNGCMPNESTYTILIEGLAYEGLIKEARDLLDELCSRGEEILLDVHLIDVAFLTTFLPCSNANGGPFLRLPPEGSPVYDALL >ONIVA02G23110.2 pep chromosome:AWHD00000000:2:21270417:21274292:1 gene:ONIVA02G23110 transcript:ONIVA02G23110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPTASPPPPPAMSGLLSFASSRPYPPLPAPRPAAAAPRPRLRIAGSAAAAPNAVSHRASSSFSSGDRLRSLVRRGELDEALRLVGSARRPDAGTCAALIKKLSASGRTAEARRVLAACGPDVMAYNAMVAGYCGAGQLDAARRLVAEMPVEPDAYTYNTLIRGLCGRGRTANALAVLDEMLRRRCVPDVVTYTILLEATCKRSGYKQAMKLLDEMRDKGCTPDIVTYNVVVNGICQEGRVDDAIEFLKNLPSYGCEPNTVSYNIVLKGLCTAERWEDAEELMGEMGQKGCPPNVVTFNMLISFLCRKGLVEPALEVLEQIPKYGCTPNSLSYNPLLHAFCKQKKMDKAMAFLDLMVSRGCYPDIVSYNTLLTALCRSGEVDIAVELLHQLKDKGCAPVLISYNTVIDGLTKAGKTKEALELLNEMVSKGLQPDIITYSTIAAGLCREDRIEDAIRAFGKVQDMGIRPNTVLYNAIILGLCKRRETHSAIDLFAYMIGNGCMPNESTYTILIEGLAYEGLIKEARDLLDELCSRGEEILLDVHLIDVAFLTTFLPCSNANGGPFLRLPPEGPAGFVFRRGWIAFTTIVMFGRKSASY >ONIVA02G23100.1 pep chromosome:AWHD00000000:2:21268618:21269808:-1 gene:ONIVA02G23100 transcript:ONIVA02G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLCDGDKDGLERRLSPQLPQRAREEGGGGEASSGPFLIVVVLRGRQPPDLFLSPPPSCAWWRKPRRRPPPLPPRPVDARAFRPPVDARTHPRLPIDDGGDGGAALPVAKKSPSSSSSRALDKDAAEAEVCRRLTSSRQEALRLYRDILRGRGSLSAAATPCNRRSTDSPRHPTAWSRPRRPSADASHRGGRAAAGWWRTWGAAITTASRREAQRAGVGAQRA >ONIVA02G23090.1 pep chromosome:AWHD00000000:2:21266467:21266934:-1 gene:ONIVA02G23090 transcript:ONIVA02G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRAAVALLVIIPVLLLLVLLPRPPTTSPSSVVGFAATRRLSGGANSGPPAHAPSAAPSPSPGRGRLHPTTTTSTAPRPPPPRGYGGERKRRLKLNLGERVGIALAAVAAALQVALVGACLALLARQLRRGKPRDSEDAAAAASSSSAPPPA >ONIVA02G23080.1 pep chromosome:AWHD00000000:2:21262950:21264891:-1 gene:ONIVA02G23080 transcript:ONIVA02G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGGGATPSPAAKKANLLDAYSIKHLLDETVSEVAKSKGYPEDARLSNRRLAVGAAVIAVALLAQFYPRKFPENRDVLLACIALYAALNVALQIVTYTKEKNAILFTYPPAGSFNSTGLVISSKLPRLSDMYTLTIASADPQSKSSSEPVHFTKSVTKWFTKDGVLVEGLFWKDVEKLIDDYNSDHRSK >ONIVA02G23070.1 pep chromosome:AWHD00000000:2:21257102:21257464:-1 gene:ONIVA02G23070 transcript:ONIVA02G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAPCDGDVDVVGEQRRGGHRLVWRGLGRRRKLPVVRLGGGGGGGRRRRGFLRRLRLRWLAARWLRRAVRRLAAIYVAALAGPPPHAPPSSSPSCRRPWIGAEPLFAVPFMPNVRPFL >ONIVA02G23060.1 pep chromosome:AWHD00000000:2:21252191:21255205:1 gene:ONIVA02G23060 transcript:ONIVA02G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G17410) TAIR;Acc:AT1G17410] MGGATSPAAPCLSVCLLPLLFLFLHGCWSCVAIERERTLAMIKPDGLSGNYTERIKEVILESGFDIVKEAVVQLDAERASLFYAEHSGRSFFDSLVKYMTSGPVLVMILERPDAISHWRVLIGPTDARKAKISNPNRYCGT >ONIVA02G23050.1 pep chromosome:AWHD00000000:2:21249135:21250391:-1 gene:ONIVA02G23050 transcript:ONIVA02G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGASPSSSLSPSRSPSPLPVADPVTVAAAPPGHLALAIPIPKPGSSSGGGGGGGGREDAWSDGATSTLIDAWGERFVALGRGSLRHPQWQEVAEVVSSRDGYSKQPKSDVQCKNRIDTLKKKYKVEKAKPDSSWPYFHRLDTLLAPVHKPAGAYPAAAAGAAGAGNSGSNSAAAATAARSTAPMAPRVNFPQRTRTQFLPSSGVKRRMPSPPQVSASSESSDGFPPEPPMAAANGKRRREVEEEVNGADSGHRTQGLRELAQAIRRFGEVYERVEFAKREQELRMERDRLEAARELEDQRVQFFLKMQMELSKANNAGASAAAAAVGAVATAIAAADGNGTRRTAMATDVGTSSNHHVRYRFKDSRHCHAAPQQPQHQYNENNAAEAARGTGNGSDTDNKEDEDEAEDEEDESQ >ONIVA02G23040.1 pep chromosome:AWHD00000000:2:21234077:21236903:-1 gene:ONIVA02G23040 transcript:ONIVA02G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFAYPFTPSPAWSRDAVFAGSPWAAGGVSSLADALVSYGAVDDEEAAFLGKTAASSPSTARLHEQQQLLLEAELLRHGDGLGFAAMDDDGGAAMLGALEPCAMPLTDSGGPPVICSSSSNDSSGSEHSAAMPAGGGFLVGEQQQHVPPAAYAAGGVLPSMATGEETPQSFGFGSLFNGDLLQEANVSKYHHQQQQQQLGVVPSSQPHHLNEFSSGLHHLNLSSLISGPLASFNATQSHRQPAEACGGKNGGAAPFVNLSEVLPKGNGSGSAGNGAPKPRVRARRGQATDPHSIAERLRREKISDRMKDLQELVPNSNKTNKASMLDEIIDYVKFLQLQVKVLSMSRLGAAEAVVPLLTETQTESPGFLLSPRSSSGERQAGAGAVTGGLPGDQPELLDGGAMFEQEVVKLMEDNMTTAMQYLQSKGLCLMPVALASAISAQKGTSSAAVRPEKKKNGDGDGGGDEEDVKGEFDAPRRPPVGRPKEMRSRV >ONIVA02G23030.1 pep chromosome:AWHD00000000:2:21232632:21234924:1 gene:ONIVA02G23030 transcript:ONIVA02G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPILPKFERSEHRLKRITLFF >ONIVA02G23020.1 pep chromosome:AWHD00000000:2:21230059:21232320:-1 gene:ONIVA02G23020 transcript:ONIVA02G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family [Source:Projected from Arabidopsis thaliana (AT5G23550) TAIR;Acc:AT5G23550] MDTMRGALERARMLVGMEVDEESAPEEQSFFDDRLYGFAICLAAGLTCTFLSMLVFFNPVKFGVTFTLGNLMALGRWGFNLLNYVYINVNLTAFLIGPKRQFDMMLDSVRIYATAVYIASIIIALFCALFVHSKLLTLLAIILEFGALVWYSLSYIPFARSVVSKVMTSCFDTEF >ONIVA02G23020.2 pep chromosome:AWHD00000000:2:21230059:21232320:-1 gene:ONIVA02G23020 transcript:ONIVA02G23020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family [Source:Projected from Arabidopsis thaliana (AT5G23550) TAIR;Acc:AT5G23550] MDTMRGALERARMLVGMEVDEESAPEEQSFFDDRLYGFAICLAAGLTCTFLSMLVFFNPVKFGVTFTLGNLMALGSTAFLIGPKRQFDMMLDSVRIYATAVYIASIIIALFCALFVHSKLLTLLAIILEFGALVWYSLSYIPFARSVVSKVMTSCFDTEF >ONIVA02G23010.1 pep chromosome:AWHD00000000:2:21223019:21232043:1 gene:ONIVA02G23010 transcript:ONIVA02G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease [Source:Projected from Arabidopsis thaliana (AT1G33360) TAIR;Acc:AT1G33360] MSGLLRWRRLAAVATRAASTLTAPECCSPAVVAPPHRRVQERRKWEGPTSSSSSSSDEHEPRRIRAEAHCPRCSKHMDILFSHRAPPSSSAAAGAGAGGGGYQALNLCPNCRSAYFFRPHLLAPLQGTFVEIGRVRADLLPHDAVRARGASFWEAIRGSSSSRDDGDGGGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGGGGGGAGGGGGGEEGAGKDGWGGSNLGKDLPTPKEICQGLDKYVIGQDRAKKVLSVAVYNHYKRIYHKSLQKGSGADLGGFDGEADDDDGVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFAGYVGEDVESILYKLLAVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLMEPKNALGKQFKKLFSMNNVKLHFTDAALRIIAKKAMSKNTGARGLRTILENILMDAMYEIPDAKSGEKRIDAVVVDEDAVGAVDQPGCGAKILYGDGAFERYLSQIKVAGDAAGSEADGEAELSSSRAMGM >ONIVA02G23010.2 pep chromosome:AWHD00000000:2:21223019:21232043:1 gene:ONIVA02G23010 transcript:ONIVA02G23010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease [Source:Projected from Arabidopsis thaliana (AT1G33360) TAIR;Acc:AT1G33360] MSGLLRWRRLAAVATRAASTLTAPECCSPAVVAPPHRRVQERRKWEGPTSSSSSSSDEHEPRRIRAEAHCPRCSKHMDILFSHRAPPSSSAAAGAGAGGGGYQALNLCPNCRSAYFFRPHLLAPLQGTFVEIGRVRADLLPHDAVRARGASFWEAIRGSSSSRDDGDGGGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGGGGGGAGGGGGGEEGAGKDGWGGSNLGKDLPTPKEICQGLDKYVIGQDRAKKVLSVAVYNHYKRIYHKSLQKGSGADLGGFDGEADDDDGVELEKSNVLLMGPTGSVPKVKLNSCAFLQAGYVGEDVESILYKLLAVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLMEPKNALGKQFKKLFSMNNVKLHFTDAALRIIAKKAMSKNTGARGLRTILENILMDAMYEIPDAKSGEKRIDAVVVDEDAVGAVDQPGCGAKILYGDGAFERYLSQIKVAGDAAGSEADGEAELSSSRAMGM >ONIVA02G23000.1 pep chromosome:AWHD00000000:2:21217924:21219816:-1 gene:ONIVA02G23000 transcript:ONIVA02G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAAAAAVAPPLSSFHSLSLSSRGLRVPSPCEARPRAPLRRGDLAIRMGGGPRTFPGGVSKWQWKRMQARKAKQLLKARLARERQLYEMRKRAELRDAAAHLERPWDPDASDSAAAAAAAPNLLSVAADDQMKALADRFHRPGGVDLWNDRDGPRVFAAPDTGRASARFFPKGSVHSVQPYGLVNGGLESTLAARGNPSDATDRSHRHRLQGVRENAAKKEMRGVGGDREPAVEYIERGGVWEPVSNLDGGDDDDSSDGGWNDDIVTSDLEDMGDVDLRPEQRAMVGRDRRKDNVARWEATTSVAIGSDGVRDQRGNGFSLEPEGTSEYHLGQSWQERNSGSRGKRPAGRRKALNTYGGSAIGKDRVVGGSSFSDSEVTRNGFEPKWRSTTRGRTTNDVRRWNPPNEGGRNVPRKGWTDDEFGSNSDSGMDHKLMPKWKTQNRLNRSENGRDRPELKYMANTNNGERTRRYMRGSDGEGRRDRFVNRFASDLEEPEWKPRRKSGAGNDGDGRRDRFVNRFASDLEEPKWKPGRKSGARMNIGNREYIDDMNGRFRRGSDGDGMNGRFRRGSNGAARLLDAMDSNREIGSEDDSYRMSRNGGQRRGDGYSLRPTSELHNSRRLRESNEM >ONIVA02G22990.1 pep chromosome:AWHD00000000:2:21216342:21219749:1 gene:ONIVA02G22990 transcript:ONIVA02G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTCAFWFQREAIATLIPNTIATDGYRCCSFPPCHIIFPSISPNHCSLLGSQIDIPHVLQVRGNNVIVPSTVARIVVVATIQVTHWLPHSTPFDVLNRRLTITTNTTHFLLGRILTNTLQAVAVTPVRRIGRVTASSQCALKATIDKTVGLNAMDGSLGEEARGGPAGVRGREDPRPVAVVPEVDAAGAVEAVGERLHLVVRRDGEQVGRRRRGGGGVGGVGVPGALEVRGGVAELGALAHLVELALPGEARLEQLLGLPRLHPLPLPLGDAAGERARAAAHADGEVPAPERRARAGLAGGGDPESA >ONIVA02G22980.1 pep chromosome:AWHD00000000:2:21215623:21215823:1 gene:ONIVA02G22980 transcript:ONIVA02G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGLNATGEFFRRRDDWRRHPMVGNQLRHATPGLGIAIVAFGIYLVGEAAYNRLYRPSGDHHHH >ONIVA02G22970.1 pep chromosome:AWHD00000000:2:21210976:21215156:1 gene:ONIVA02G22970 transcript:ONIVA02G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGAGAAAAAAGNAAGAAVHHNARSAEDVFRDFRARRAGIVKALTTDVEKFYRQCDPEKENLCLYGLPNETWDVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKEARRRLFTMINGLPTVYEVVTGIAKKQTKVSNGSSKSNKSNPKPSKQSNSNSKPAKPPQPKDEEDSGPEGAEDEDQAYMCGACGETYANGEFWICCDVCEKWFHGKCVRITPAKAEHIKQYKCPGCSSKRSRE >ONIVA02G22960.1 pep chromosome:AWHD00000000:2:21208502:21211125:-1 gene:ONIVA02G22960 transcript:ONIVA02G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGAAVAPAKLGLYSYWRSSCSHRVRIALNLKGLEYEYKAVNLLKGEHSDPEFMKVNPMKFVPALVDGDAVIGDSYAIALYLEDKYPEHPLLPQDLKMKALNLQIASIVCSGIQPLHNLTVLRFIEKKVGTGESIPWTQQQIDRGFAAAENLVKGCAGKYATGDEVRLADVFLAPQIYAAVTRFQINMLNYPTLARLHEEYMKHPAFQAALPDRQPDAPSST >ONIVA02G22960.2 pep chromosome:AWHD00000000:2:21208502:21211125:-1 gene:ONIVA02G22960 transcript:ONIVA02G22960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGAAVAPAKLGLYSYWRSSCSHRVRIALNLKGLEYEYKAVNLLKGEHSDPEFMKVNPMKFVPALVDGDAVIGDSYAIALYLEDKYPEHPLLPQDLKMKALNLQIASIVCSGIQPLHNLTVLRFIEKKVGTGESIPWTQQQIDRGFADLLLCHTMLTSFPNGVAAENLVKGCAGKYATGDEVRLADVFLAPQIYAAVTRFQINMLNYPTLARLHEEYMKHPAFQAALPDRQPDAPSST >ONIVA02G22960.3 pep chromosome:AWHD00000000:2:21208502:21211125:-1 gene:ONIVA02G22960 transcript:ONIVA02G22960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGAAVAPAKLGLYSYWRSSCSHRVRIALNLKGLEYEYKAYLEDKYPEHPLLPQDLKMKALNLQIASIVCSGIQPLHNLTVLRFIEKKVGTGESIPWTQQQIDRGFAAAENLVKGCAGKYATGDEVRLADVFLAPQIYAAVTRFQINMLNYPTLARLHEEYMKHPAFQAALPDRQPDAPSST >ONIVA02G22950.1 pep chromosome:AWHD00000000:2:21194122:21196773:-1 gene:ONIVA02G22950 transcript:ONIVA02G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQDSKRKRSVLPETATLAPGSKRRRRKSVPSRHAAAMLPDELLTEVCLRLPVKSILRFRAACRSWDAMLSSEEFGQLYAARAEEMSSAPKLLFVSPTANFNSTAVYKCSPSKPTDDLLLTLDDVRGNYVEVTPAPCHGLSLLYDGIAPAYYVMNATTRAVTRLPPFRDVAFATAGLGCDARTKKYKVVRLFEGNLLEKEFLKCEIYTLGGDEGDIWRPAAGGVPFRFYSFARSAISNAVMNKLQPLFFNGYLHWLINPLHHVKLPRASILSFSLTDETFRWIRSPPFVASGVHLVELDGNLCMVRDLRDRSTAVCKLEIWKLKDYNSGDWSLDHRIDLTGQLPRDLLEPQIVKVIGSAGSCRSGTKIIIATSKHKVCSYDPVSRTLETITSISETCTSYQNEKSDIRFSLFKECLTPVHKTREEIAFATPLSKATKEILLRLPAESVLKFKPVCKQWLGLIKSERFIRAYFAHKNMDKRPKIMLVGKGSGKSLFNFVPLSKWLQEASNQGTLFLDTKVVCSKPCRGLNLMSFVEEDYLFNPCTGYHRVYWNREWHQHQPWKMPTGCREQEDNPFAVGNKNVGLGFSQVIQDHVVVGIFYDRKDYNSREYSLTCSLWSCGSGYFEQLPQPPLPVNDMPPVSVDGVLYWMSEPRLGQSYERAIVSFDIAAKIFEVIPCPSSIAMWDPRSRCHAFVVELLGKLCAVLSNSVADELDIWKWDHGLWTRAYTINLKFWPDYSLATNVVVPMAVDLTDGRILLNTGRKLGLYNPFDQTIENLLALDQVSLATPKVQQQRTGGHLKCHITRCEDVPRKFSPWKLSMAPCENFATPPSASSGKNLLSSRHQYVKALNSVSPKVMPVVPMLYEESLTYYPFAARARVLFS >ONIVA02G22940.1 pep chromosome:AWHD00000000:2:21171267:21173698:-1 gene:ONIVA02G22940 transcript:ONIVA02G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPHHPTLWMFIDSVMQRRGSPSPPPAAQLSGGVRRGGSSPPSATASGCKGSGSRSGARPSRLSREEVAVLSSPRPRRSRPTAGDDADGSFVGSKHRDVRRAYPAKRKQRAATSSSSSSSSPPTATERLRNRGRLVPSNRVDKKMRRFVGTVNKFDALRHDTDSHDGDDGDDDVALGAGPLEAGDIAAARTTPSPPPSHAFSKAPVRAAAVAEQAQAAAMAEQEQGQAAPVAAQAQAAAMAEQAQGQAAAVAEQAQAQGAAHKNQAAAAHAVSCIRGTPVPQAFPLARCSICGAVALRYSFCCSRILCGTCGCPCDPPAVVVLPPPEFFGSVYLLVIHQPIEIAD >ONIVA02G22930.1 pep chromosome:AWHD00000000:2:21163250:21164425:1 gene:ONIVA02G22930 transcript:ONIVA02G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAIERDGALLGAALVEPEAAELIPGLPDDVAMECLARVPSRSHRAVRRVCRGWRRAAASEAFRRRRRAAGAAEDVVFLVQATPARGGDDGKGPSAATECALAAANLTTGEWRRVEGAGEGEEEAWGGGVPFFARCAAAGDGRHVAVVGGWEPAALCLTRDVRVLDVPAGVWRRGAAMPDSRGFFGCTGSGGVVYVAGGHDESKNALRSAYAYDVASDAWRALPDMSEERDEPQLVANPGRVLAASGYPTDAQGAFKKTAERYTTTTTGDATAWSSEGDMAPNTAETCLAAVGGKVWAVGAGKGGVREWDGGAWRDVADGPPGMKACVKAVGAGDGDGAAMFVFVFGKVEDGKQYAAWVMEDAGGAARWRGVAVPPGFGGFVYSGAAVRV >ONIVA02G22920.1 pep chromosome:AWHD00000000:2:21148685:21153181:1 gene:ONIVA02G22920 transcript:ONIVA02G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxylate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G25530) TAIR;Acc:AT3G25530] MEVGFLGLGIMGKAMAANLLRHGFRVTVWNRTLSKCQELVALGAAVGETPAAVVAKCRYTIAMLSDPSAALSVVFDKDGVLEQIGEGKGYVDMSTVDAATSCKISEAIKQKGGAFVEAPVSGSKKPAEDGQLVILAAGDKVLYDDMVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSMMNALSEGLSLADNSGLSPQTLLDVLDLGAIANPMFKLKGPSMLQGSYNPAFPLKHQQKDMRLALALGDENAVSMPVAAASNEAFKKARSLGLGDLDFSAVYEVLKGAGGSGKA >ONIVA02G22910.1 pep chromosome:AWHD00000000:2:21135139:21139613:-1 gene:ONIVA02G22910 transcript:ONIVA02G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G8E1] MAGGGGKAAAGGGEAPAITLEHTPTWIVSAVCFVIVIISLLFERLLHRLGKRLKKSRKKPLYEGLLKVKEELMLLGFISLLLNVFQGLTQKICVKASVMDHLQPCKLDFSGAKTAKTTAHLAAAGVRRLLAGGGAKSDYCEKKGLVPILSVEAIHQLHIFIFVLAVSHVVLSAVTVLLGIAQTRKWQHWENKIQASDENGMMKEHSPLGKWIIGMKLSGPQMIKHVQEFPFIKNHFKGHGKRWKTFGWLRSFLKQFYGSVTEEDYVTMRLGFIMKHCRGNPKFNFYKYMIRALEDDFKKVVGISWYLWAMLIIFLLLNVQGWYVYIWISAVPFVMLLVVGTKLEHIITELAHQVAEKHTAIEGELVVSPSDELFWFHRPKIVLLLIHIVLFQNAFEIAFFFWLLVTYGFKSCIMGNKGYVIARLVISVISQLLCGYSTLPLYAIVSHMGTSFKKVIFDENVAEGLANWAQNARRRNARAARTQNVGDSPVDESNVGEVQMTSPPTKSVQQGTARLI >ONIVA02G22900.1 pep chromosome:AWHD00000000:2:21133284:21134299:-1 gene:ONIVA02G22900 transcript:ONIVA02G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNWRSSRLPSPLSLACVVFFFLRSPHVRRTHPTLLPLLRFSPKIRAKSFPRFHAAAAAAAARDSTSPAVLPGRRFPGSRRHRRLRPSSRRRRAREYPNILART >ONIVA02G22890.1 pep chromosome:AWHD00000000:2:21130189:21133250:-1 gene:ONIVA02G22890 transcript:ONIVA02G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHGKQLAIPAKVMPLDNAVFTEVEADELSCHGTPAHCAEHGQASLVLKDDQECSASHVHGNGPVEESNGCMPNRRIDEHGQIDFGHSLQLVLFSRQWGLAESLVALADHQLMLDYGLSVALDAIWFLRTEQDLQGLNHLIGKIVESGAKDFARAILRTSLLASCVVACQSKALTLGDSKEIIAQRLHDRLQDCPGGERLQIEAGAKVQKFIEWALQCIHLHRCSEDTECYKANCSTHQEVQFHLSAFKAFLDIAGDNLSGKIFTEAFDAACFPLTLFSTLFEPGWSSGSSAVAIQGLLSLLVEGGAENVNQCFLEASRFGSTELVRILLKIAHQNSLAVDVDLALVYASHYCKFETMACLVDEGHATSFLCPLVKASERGCLQVVQWFVNRHVSDIEMCLAVTTAASCGHFAVATYLLAHIPRHVLEALSPQILKAARGQGSGSFEGVSFLLRSNFLNDAAATYAVADSIATTSTMDIPQDLVDFLKEQWSQAAFAEGVEAGEDHFVNITRVLRRGASPIRLHDLPEPMALAIAYLPLYRACTSARGQLLPQRLRGELVEAVGRLGVPVNMENNRRDFLAVLEHYFPSFITGA >ONIVA02G22880.1 pep chromosome:AWHD00000000:2:21124342:21131147:1 gene:ONIVA02G22880 transcript:ONIVA02G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKMWESLVEHAKTCVLSGKHYVYYAIDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYEDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPVSYGSSNTHKQLSQPAKAGQTSTGTTSEDGSTSAYNGNQAGRYAVNSQSIPANVTTQYERSSLTPESQFNGSALQNQVSRGSNILALGPPQQQHQQNFEFSALGGQSMQPTGLNPFDDWSQPQENRSGVDDYLMEEIRMQSHEILENEEMQQMLRILSMGGASTNLTEDGFAFPNYMPSTPPNFNFGDDRARPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >ONIVA02G22880.2 pep chromosome:AWHD00000000:2:21124342:21130406:1 gene:ONIVA02G22880 transcript:ONIVA02G22880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRSLQRSGSKRVLDPTGGGGGDDDDHAAKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLKFTTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGVAVTSGPESCAKLDVLVLEGDFNNEEDEDWTEEEFESHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVAPGSFEGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLQLLVKDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAIDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYEDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPVSYGSSNTHKQLSQPAKAGQTSTGTTSEADGSTSAYNGNQAGRYAVNSQSIPANVTTQYERSSLTPESQFNGSALQNQVSRGSNILALGPPQQQHQQNFEFSALGGQSMQPTGLNPFDDWSQPQENRSGVDDYLMEEIRMQSHEILENEEMQQMLRILSMGGASTNLTEDGFAFPNYMPSTPPNFNFGDDRARPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >ONIVA02G22880.3 pep chromosome:AWHD00000000:2:21124342:21131147:1 gene:ONIVA02G22880 transcript:ONIVA02G22880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRSLQRSGSKRVLDPTGGGGGDDDDHAAKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLKFTTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGVAVTSGPESCAKLDVLVLEGDFNNEEDEDWTEEEFESHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVAPGSFEGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLQLLVKDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAIDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYEDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPVSYGSSNTHKQLSQPAKAGQTSTGTTSEADGSTSAYNGNQAGRYAVNSQSIPANVTTQYERSSLTPESQFNGSALQNQVSRGSNILALGPPQQQHQQNFEFSALGGQSMQPTGLNPFDDWSQPQENRSGVDDYLMEEIRMQSHEILENEEMQQMLRILSMGGASTNLTEDGFAFPNYMPSTPPNFNFGDDRARPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >ONIVA02G22880.4 pep chromosome:AWHD00000000:2:21124342:21131147:1 gene:ONIVA02G22880 transcript:ONIVA02G22880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKMWESLVEHAKTCVLSGKHYVYYAIDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYEDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPVSYGSSNTHKQLSQPAKAGQTSTGTTSEADGSTSAYNGNQAGRYAVNSQSIPANVTTQYERSSLTPESQFNGSALQNQVSRGSNILALGPPQQQHQQNFEFSALGGQSMQPTGLNPFDDWSQPQENRSGVDDYLMEEIRMQSHEILENEEMQQMLRILSMGGASTNLTEDGFAFPNYMPSTPPNFNFGDDRARPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >ONIVA02G22870.1 pep chromosome:AWHD00000000:2:21116489:21121191:1 gene:ONIVA02G22870 transcript:ONIVA02G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAPARHPSAAASSSSSTNSQQEPRPENPLLLLPSSRAGKLSLGCPVLDRLLSGGLPPASVTEIAGESASGKTQLCLQLALLAPLSPLSASCLFLHSDLPFPLRRLRGLAPKSRPDLLDHVLVAAAHSPSDLISLLSRAQRLLAHPGRLPPVRLILVDSIASLFRADFDASPADLKRRSALFFRISAKLKELAHRHRCVVVVTNQVVDVVEGEAGNTVAWSSGRRVSPALGIAWANCVNTRLFLTRDADGRGCARRRMNVAFAPHLPERACEFVIRRDGVFGVEPSGRVCYNSAPEFMGEQMDNEELNLSLSLQPSYPSRFQTEFSCCYCPKRFQSSQALGGHQNAHKLQRNLAKRNREAFLSISQRKGANAGIKDGSSALSAESISKISSGKKHHKEAWQVMQGSCGSSSSGTVMHKSIEQDVEDEDLSNGTIDLSLKL >ONIVA02G22870.2 pep chromosome:AWHD00000000:2:21116489:21121191:1 gene:ONIVA02G22870 transcript:ONIVA02G22870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAPARHPSAAASSSSSTNSQQEPRPENPLLLLPSSRAGKLSLGCPVLDRLLSGGLPPASVTEIAGESASGKTQLCLQLALLAPLSPLSASCLFLHSDLPFPLRRLRGLAPKSRPDLLDHVLVAAAHSPSDLISLLSRAQRLLAHPGRLPPVRLILVDSIASLFRADFDASPADLKRRSALFFRISAKLKELAHRHRCVVVVTNQVVDVVEGEAGNTVAWSSGRRVSPALGIAWANCVNTRLFLTRDADGRGCARRRMNVAFAPHLPERACEFVIRRDGVFGVEPSGRCT >ONIVA02G22860.1 pep chromosome:AWHD00000000:2:21086722:21104430:-1 gene:ONIVA02G22860 transcript:ONIVA02G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSFLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDRLLFEMLRSTKKSSKSAWKVLIMDKLTVKIMSFSCKMADVMEEGLSDKWVQPAFLQSHKDSSTGIGCHFESQYHYGLPCQIEGRNEKGKAKASSDSVVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNIYSGQQMITKVNSGNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHCLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVSDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEKRFYLFYFAKEECVAAGAGDNNDEEIEEEQDLHKQKVHC >ONIVA02G22860.2 pep chromosome:AWHD00000000:2:21086722:21104430:-1 gene:ONIVA02G22860 transcript:ONIVA02G22860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSFLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDRSYHGQTYSEDYVIFLQDGRCDGRSYLTNGSNLHSCNHTKIQALVLVVTSNPRSAGMYHYGLPCQIEGRNEKGKAKASSDSVVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNIYSGQQMITKVNSGNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHCLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVSDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEKRFYLFYFAKEECVAAGAGDNNDEEIEEEQDLHKQKVHC >ONIVA02G22860.3 pep chromosome:AWHD00000000:2:21086722:21104430:-1 gene:ONIVA02G22860 transcript:ONIVA02G22860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSFLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDRSYHGQTYSEDYVIFLQDGRCDGRSYLTNGSNLHSCNHTKIQALVLVVTSNPRSAGMYHYGLPCQIEGRNEKGKAKASSDSVVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHCLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVSDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEKRFYLFYFAKEECVAAGAGDNNDEEIEEEQDLHKQKVHC >ONIVA02G22860.4 pep chromosome:AWHD00000000:2:21086722:21104430:-1 gene:ONIVA02G22860 transcript:ONIVA02G22860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSFLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDRLLFEMLRSTKKSSKSAWKVLIMDKLTVKIMSFSCKMADVMEEVVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHCLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVSDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEKRFYLFYFAKEECVAAGAGDNNDEEIEEEQDLHKQKVHC >ONIVA02G22860.5 pep chromosome:AWHD00000000:2:21086722:21104430:-1 gene:ONIVA02G22860 transcript:ONIVA02G22860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSFLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDRLLFEMLRSTKKSSKSAWKVLIMDKLTVKIMSFSCKMADVMEEVVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHCLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVSDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEECVAAGAGDNNDEEIEEEQDLHKQKVHC >ONIVA02G22860.6 pep chromosome:AWHD00000000:2:21086722:21104430:-1 gene:ONIVA02G22860 transcript:ONIVA02G22860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSFLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDRLLFEMLRSTKKSSKSAWKVLIMDKLTVKIMSFSCKMADVMEEVVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHCLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVSDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEKRFYLFYFAKEECVAAGAGDNNDEEIEEEQDLHKQKVHC >ONIVA02G22860.7 pep chromosome:AWHD00000000:2:21086722:21104430:-1 gene:ONIVA02G22860 transcript:ONIVA02G22860.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSFLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDLVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNIYSGQQMITKVNSGNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHCLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVSDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEKRFYLFYFAKEECVAAGAGDNNDEEIEEEQDLHKQKVHC >ONIVA02G22860.8 pep chromosome:AWHD00000000:2:21086722:21104430:-1 gene:ONIVA02G22860 transcript:ONIVA02G22860.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCVLGYLFVIPATSIDAWAPGDSSFLAPPAIDPSKTHRPSPNGSIGRPPRTLPVIPLEEEASYHLPSPSLAVVDFLPTFPSPSLALPLRSPESSAPPESPPLLPNRWRGRGALTPNACCDISGCDKAVGASGDLIFRKWNPLLHPNRLRVFRVGGAAASPKPTACCDVTGGLEAVGVLRAAMSMDSVISSRSGADYRSFRQITRDLVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKNSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGVWNCLARFKAMIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMTNFVSKNKAAQLHQARNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHCLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMEKTSEEELVVSDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEKRFYLFYFAKEECVAAGAGDNNDEEIEEEQDLHKQKVHC >ONIVA02G22850.1 pep chromosome:AWHD00000000:2:21037231:21063943:-1 gene:ONIVA02G22850 transcript:ONIVA02G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 160 [Source:Projected from Arabidopsis thaliana (AT5G51660) TAIR;Acc:AT5G51660] MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVGHSLVGEDEPTCALSSTAVRIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILHEQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWFSNDIVMFSSKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYGASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVYGKGARVLDGSFMTQELNFTTHASESSSSEALGVACASIADPYVLLKMVDGSVQLLIGDYCTCTLSVNAPSIFISSSERIAACTLYRDRGPEPWLRKTRSDAWLSTGIAEAIDGNGTSSHDQSDIYCIICYESGKLEIFEVPSFRCVFSVENFISGEALLVDKFSQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELKKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVASDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLSSEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAHYEMLSLDEQLDVAQQIGTTRSQILSNFSDISLGTSFL >ONIVA02G22850.2 pep chromosome:AWHD00000000:2:21044524:21063943:-1 gene:ONIVA02G22850 transcript:ONIVA02G22850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 160 [Source:Projected from Arabidopsis thaliana (AT5G51660) TAIR;Acc:AT5G51660] MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVGHSLVGEDEPTCALSSTAVRIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILHEQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWFSNDIVMFSSKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYGASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVYGKGARVLDGSFMTQELNFTTHASESSSSEALGVACASIADPYVLLKMVDGSVQLLIGDYCTCTLSVNAPSIFISSSERIAACTLYRDRGPEPWLRKTRSDAWLSTGIAEAIDGNGTSSHDQSDIYCIICYESGKLEIFEVPSFRCVFSVENFISGEALLVDKFSQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELKKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIGHVCNSVPFP >ONIVA02G22850.3 pep chromosome:AWHD00000000:2:21044524:21063943:-1 gene:ONIVA02G22850 transcript:ONIVA02G22850.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 160 [Source:Projected from Arabidopsis thaliana (AT5G51660) TAIR;Acc:AT5G51660] MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVGHSLVGEDEPTCALSSTAVRIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILHEQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWFSNDIVMFSSKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYGASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVIQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELKKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIGHVCNSVPFP >ONIVA02G22850.4 pep chromosome:AWHD00000000:2:21044524:21063943:-1 gene:ONIVA02G22850 transcript:ONIVA02G22850.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 160 [Source:Projected from Arabidopsis thaliana (AT5G51660) TAIR;Acc:AT5G51660] MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVILSLCWSYFMSKSLHGLGNLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWFSNDIVMFSSKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYGASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVYGKGARVLDGSFMTQELNFTTHASESSSSEALGVACASIADPYVLLKMVDGSVQLLIGDYCTCTLSVNAPSIFISSSERIAACTLYRDRGPEPWLRKTRSDAWLSTGIAEAIDGNGTSSHDQSDIYCIICYESGKLEIFEVPSFRCVFSVENFISGEALLVDKFSQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELKKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIGHVCNSVPFP >ONIVA02G22850.5 pep chromosome:AWHD00000000:2:21037231:21044429:-1 gene:ONIVA02G22850 transcript:ONIVA02G22850.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 160 [Source:Projected from Arabidopsis thaliana (AT5G51660) TAIR;Acc:AT5G51660] MVLFEQQQQQNSQQAVRCGGIDHGGRIQALAKHAQLPCCPAKLTNSGESLHCCTNTVASEAGALPDPSMGGTIPVASSMGNVQGKEVNGGVGEDSRSPPMDLWSPHVRSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVASDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLSSEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAHYEMLSLDEQLDVAQQIGTTRSQILSNFSDISLGTSFL >ONIVA02G22840.1 pep chromosome:AWHD00000000:2:21008637:21013236:-1 gene:ONIVA02G22840 transcript:ONIVA02G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGFGCGWPSPIPVNEKAGKVYLSKPAPGFVGELARVVADEIVPEAAVRLHEPLAGVDDAMIRPPPLLLPGIAAQPQLLLELAFQSIAPAVVVSNAEHLLHGHEPKNLQAQGNGGPQPYGKNKGRGKNLNNGRRKTTWRIPTNLIIALIRREAKHRFDRSSVSGRQVRTSADQVLSHHRQLNSTART >ONIVA02G22840.2 pep chromosome:AWHD00000000:2:21008996:21013236:-1 gene:ONIVA02G22840 transcript:ONIVA02G22840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGFGCGWPSPIPVNEKAGKVYLSKPAPGFVGELARVVADEIVPEAAVRLHEPLAGVDDAMIRPPPLLLPGIAAQPQLLLELAFQSIAPAVVVSNAEHLLHGHEPKNLQAQGNGGPQPYGKNKGRGKNLNNGRRKTTWRIPTNLIIALM >ONIVA02G22840.3 pep chromosome:AWHD00000000:2:21009058:21013823:-1 gene:ONIVA02G22840 transcript:ONIVA02G22840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLTWLWLAGMNRGVHGEVGRRDRAAPPLTRRASTTNAGERGRRCQGGGSGERRRLVRDDEDLPCCMILLSFDRGDQVVVASTFELGEDILGRTELTRPPKLDRLLVDCTSAERGGRRSNQKNQRIFKHKETAAPNLMAKIKEEART >ONIVA02G22830.1 pep chromosome:AWHD00000000:2:21004479:21013452:1 gene:ONIVA02G22830 transcript:ONIVA02G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWTIHIPGEIRFAGTGDLRFFNPSSHDTLILLISCNKTGHLVLPPIFLLQVGLRGINDGIILKCHILIMIKKYFREKPYFLDLLEIWSEIALQTSLGQMLDLIGTHTGADDLAKYSIEGYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQVYGMLFFL >ONIVA02G22830.2 pep chromosome:AWHD00000000:2:21009458:21013452:1 gene:ONIVA02G22830 transcript:ONIVA02G22830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQEMLRIGDDDGRSDALERELKEKLRLRGDASPAKCTRQEQRWRSDHGIVDARERFVQAYGRLRDDLVGDDSCELTDEARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLKQGSEVTEDDFFLACVLGWCVEWFQACALLLDDIMDDSHTRRDQICWYRRPEVGLRGINDGIILKCHILIMIKKYFREKPYFLDLLEIWSEIALQTSLGQMLDLIGTHTGADDLAKYSIEGYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQDDYLDCFADPNTIGKALGHADNNQIEVLHRNYGKKDSSSVSEVKRTYAALDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >ONIVA02G22830.3 pep chromosome:AWHD00000000:2:21000376:21004815:1 gene:ONIVA02G22830 transcript:ONIVA02G22830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAASIASHLPSGVSAAVAALAASPGEVVRRAAGLEDELRELLRLNGGGRGRGGAAAEQGQGEQTRDRFVRAYERLKSELLNDRAFNFDFTEETRQWVAKMMDYNVPGGKLNRGLSVVDSYMLLRQGTEVDDEDFYLACVLGWCVEWLQASALVLDDITDNAYTRRDNLCWYKLPTVGMSAINDGVLLKCHVQAIIKRYFKEKFYFLDLMELWNEIGLQTAMGQMLDLITTHTGAKDLARYRIQGYRRIVKYKTSYYSFYLPVACALLLNGARLSDYVELKNVLIEMGVYFQIQDDYLDCFGDPEVIGKVGTDIEDYKCSWLIVQAMELANENEMKILYENYGKSDPKCVAEVKSVYRELDLQDIFLEYESRVYKHLVSTIDAETDRAIRDILKSFLKKIYRRKK >ONIVA02G22820.1 pep chromosome:AWHD00000000:2:20996034:20999074:-1 gene:ONIVA02G22820 transcript:ONIVA02G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDYCFSNDYMVLRPDRAGAYELLHLLFSPKVGRNKAVDCFTSTELHSFRRRLSIFLNLLLQLFLLSLVGPLVAVLGGALEFALNLASNVLHGRMEYPDRKSASYRSITGLIDPRVDLERSITPADSRYHAALCVMASKVAYENEAFIRDVVTRRWQMEFVQFFNCWNEFENAYTAQAFVFCDKAADAELVVVAFRGTPALDVTRWCADVDPSWYKIPRLGRAHAAYTHALGAQRNIGWPKWVEHVKGKPQRVHAYYAIRDAVKRLLEANGRARVLVAGHGSGGALAVLFATVLAYHKEKAALDRLAGVYTFGQPRVGDAMLAMFAERNLDRPRKRHFRITYGDDPLPRLPHESSAAHFLHFGIRLHFDSLYNLTVVKELPGDGSSSSSAAEFATSRINAAWELARSAYLGYWRSAYCREGWLLMAARAAAVALPGLPFHRVQDYVNAVTLAGSNIPKDM >ONIVA02G22810.1 pep chromosome:AWHD00000000:2:20993811:20994968:1 gene:ONIVA02G22810 transcript:ONIVA02G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADATTAAQGRRRDDRWSSLPFDMLVLVVDRLGWSNHPSFALTCRHWRSAVSPFYPAWITPLLLSSADVGVANARYYSPYFHRSFEVDGCTLNVPPEANLCCSNGRRLTLCLPKLVLQTDLVTGAVDELPEMPFYWFNFIVYDDADRRMYCVNTIFVVRLARAIQDEDGEWGPWDLTEFNVEEGAQLQASPISNPVLHGGLLYVLGEDGKLAVYDPCNHDDNFKVVDKLKGFGIEHDRVDSYLFESDQGELMAVLVGYTGTPVHVLKLNEEIMEWEKMESLDGRALFTGTYTTMMRKTKLKSMQNKVFLPRLYEWPKTIHVDLVIRDGEPAFIPKSHSQYSIEKITSNTSIWSYKVGQQEEARKFWGSEKVDYSIWVNFSTNLQ >ONIVA02G22800.1 pep chromosome:AWHD00000000:2:20990828:20991919:-1 gene:ONIVA02G22800 transcript:ONIVA02G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGHKPSDALDRRLAPLLLLGVGHAAAADDGDGMSVYSLPRGEMLHLPCLHPGSAFVRDHRIWATPQGWLLMARRGSPETFLWDPFTGTRIGLSPDHDGTVLAVDHWRRRCLLSRRRPTDPGCVVLVVDLEDTVLWHCRPAPAGRGNGADEPPPPPPEEEDDQQWARHEYLQPGTPHHEHRDDVLRAIGRLTAVDGSKLLVDLVDHRLAVLELSSQEPVVTVVAAEGVSVACTSNSTNLVESDGELYCVWFRYPIRCLRIVARVSVYKLDYMAAKGSATWMKVKSLGGRRSFFIGQDRIGASFDAEEAGLKPNCNYYYWLLNNRAALYAHDMERGTTAVHNLYPDDLSYHLSPAITMMPTAR >ONIVA02G22790.1 pep chromosome:AWHD00000000:2:20988504:20988805:-1 gene:ONIVA02G22790 transcript:ONIVA02G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAAQFAGPILTIVAAAAVTFYAVSFMELRDKSFEELDEKYSELDDAGGRQRRARRRAERKSKKK >ONIVA02G22780.1 pep chromosome:AWHD00000000:2:20981469:20985888:-1 gene:ONIVA02G22780 transcript:ONIVA02G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAASASAAGGAGEEGAAAAAQPQQEGPVVTCKGMNGLDKVVLREVRGSSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLDPHGFARNRTWSVENDPPPFPVPTSNKAYVDLILTHTEEDLKIWPHSYEFRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERNRYTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGQLDPDRVLQDSSVPEDSIS >ONIVA02G22770.1 pep chromosome:AWHD00000000:2:20975927:20977198:-1 gene:ONIVA02G22770 transcript:ONIVA02G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEAWPLAAPQQQPSAPPPQAQAQQQNGRIDLREVKLQLEKRLGPDRSRRYFSYLKGYLSNRLSKADFDKVCLQTLGRENLRVHNQLIRSVLYNAYHAKCPPPTPAPDVGRSVGATVKKVCQPGEALNSCNGDIRLLQMQGSRHMSTMQDHQSKDRMKSTGLSCRVDASANHSQITHGGAAVPENGTLSSSDLKRSVHFQHESVEPLAKHQRVEQSPTGNIIKLRRSMSNVSDHSAEASNSPVRAPLGIPFCSASVGGARKLPPPPISAGEDHCTSCCEHRELLNTEALHHRMEKTAESLGLAGVTLDCADLLNNGLDKYLKNLIRSSVELIGANVQSDARKGELYKQHAYGKHMNGVWLPNHVQMQSGSGPSGATNDIRNHHLISLDDFKVAMQLNPQQLGEDWPVLLEKICLCSPEEND >ONIVA02G22760.1 pep chromosome:AWHD00000000:2:20970043:20974317:1 gene:ONIVA02G22760 transcript:ONIVA02G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWQRAVVVPVKRAWVVVAARLRRKKQYDGRGVLVKLHDDIQTCGYEDVQVMWEILQRSETARLATAPPSPGSARALIWPGRAAPPRRRHR >ONIVA02G22750.1 pep chromosome:AWHD00000000:2:20963996:20968891:1 gene:ONIVA02G22750 transcript:ONIVA02G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73110) TAIR;Acc:AT1G73110] MATATATSLASSAAHRRLPPSRSAASSILRAPNRGRLCPGSPSVLRASSSSPSSPQPTAGGDEGEEEEEGRRLSKQSSWEAKDSEGDDYLYRLGKEADNMNIAVGARSGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLVSNYLAHILTIKIPLILGRIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQPDREDIINIVHRMYIKDGLSFEDVSKIVDTFPNQALDFYGALRSRTYDRAILQWVEEIGGHEQLNEKLLKRKKGEELPTFIPPKTTVDALIESGDSLVKEQELIMNSKLSKEYMKNLDD >ONIVA02G22750.2 pep chromosome:AWHD00000000:2:20963996:20968891:1 gene:ONIVA02G22750 transcript:ONIVA02G22750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73110) TAIR;Acc:AT1G73110] MATATATSLASSAAHRRLPPSRSAASSILRAPNRGRLCPGSPSVLRASSSSPSSPQPTAGGDEGEEEEEGRRLSKQSSWEAKDSEGDDYLYRLGKEADNMNIAVGARSGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVGIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQPDREDIINIVHRMYIKDGLSFEDVSKIVDTFPNQALDFYGALRSRTYDRAILQWVEEIGGHEQLNEKLLKRKKGEELPTFIPPKTTVDALIESGDSLVKEQELIMNSKLSKEYMKNLDD >ONIVA02G22740.1 pep chromosome:AWHD00000000:2:20961315:20963331:-1 gene:ONIVA02G22740 transcript:ONIVA02G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVHAARKPNPSAAAPPDSKAKAELRALVLGSISPPSDDDDDDEPSSFAPVDVNAAAPPPPPQRKEVKTKKKEERRKHEAAAAAANLWDDPDSYVVTIGGRVLGRGGAAAADAATDNVRDVVVEDSDVSVQGVALFEGASLRVAHGRRYGLVGPNGKGKTTLLKLLHWRKLPVPRGIRVTLVVQEDDNRDPRPVIEVVLAADEELATCAPSLEASSAAAAANGARLAEVYEELTQRGWDTAPARAAKILAGLGFDQASQARPASSFSGGWIKRIALAGALFMQPTLLLLDEPTNHLDLRAVLWLEEYLTAQCKSTLVVVSHEEGFLNAICDEVVHLQDKKLHAYRGGFDSFVGSYEQKKAKAMKESERLAKAARKSGRRAPKKWHDYTVEFHFAAPTELAGGGPLLRLAEAGFTRGGFQLSAIDADVSMGQRVAVVGPNGAGKSTLLKLLAGELTPTSGEARRNPKLRIGLYSQHFCDALPEEKSPCLDTHPHLKSKPWEARAKLARFGLAKESHLTTIGKLSGWQKARVALASVALGEPHVLLLDEPTNNLDMQNIDALADALDEFAGGVVIVSHDSRLVSRVCDDEERSALWVVQDGTAVRRHVRRVQ >ONIVA02G22730.1 pep chromosome:AWHD00000000:2:20940517:20941651:1 gene:ONIVA02G22730 transcript:ONIVA02G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKKAAAAAEEEEVHGVVIVGGGLCGLATALALHRKGMGSLVVERSEALRVGGVALNVHANGWRALEELGLADGLRKTANLITSVRMVRQIQGKNQTTVSSPRKEIRCLRRKDVMEALAKSVPAHTIRYGCRIVAVDEDPGTDCTVLTMADGSTIKAKVNKCY >ONIVA02G22720.1 pep chromosome:AWHD00000000:2:20932305:20932631:-1 gene:ONIVA02G22720 transcript:ONIVA02G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase biogenesis protein Cmc1-like [Source:Projected from Arabidopsis thaliana (AT4G21192) TAIR;Acc:AT4G21192] LFFQIIEEFQKCHLDHPVKKFFGECTDLKIKLDRCFRQEKALKRKANFEESKKFKEQLLAYKREIAETNQE >ONIVA02G22710.1 pep chromosome:AWHD00000000:2:20926620:20928871:-1 gene:ONIVA02G22710 transcript:ONIVA02G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLALTSRFFSTRAEDRNQLPYPVSKIPLLTPHTMGRFHLAHRVVHAPLTRSRCYNNLPQEHVQLYYSQRATNGGLLISEATGVSETAQGYPNTPGIWTKEQVEAWRTVVDAVHQKGGVFFCQIWHVGRASTNDYQPNGQTPISCTDKKITPTVLKDGTVEEFSAPRRLREDEIPQIVDDFRIAARNCIEAGFDGVEIHCAFGYLIEQFMKDGVNDRTDKYGGSIANRCRFALEVIQAAIDEIGSDRVGVRLSPYSNCLDCWDSDPDALGLYMIQAMSKLGVLYCSMVEPELVKVDGKVQIPYKLWHFRKVFAGTFIVAGGYNREEGNRAVSQGYTDLVAYGKWFLANPDLPRRFELNAPLNKYDRSTFYTSDPVIGYTDYPFLSPL >ONIVA02G22710.2 pep chromosome:AWHD00000000:2:20926620:20928871:-1 gene:ONIVA02G22710 transcript:ONIVA02G22710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLALTSRFFSTRAEDRNQLPYPVSKIPLLTPHTMGRFHLAHRVVHAPLTRSRCYNNLPQEHVQLYYSQRATNGGLLISEATGVSETAQGYPNTPGIWTKEQVEAWRTVVDAVHQKGGVFFCQIWHVPLTATFADYQPNGQTPISCTDKKITPTVLKDGTVEEFSAPRRLREDEIPQIVDDFRIAARNCIEAGFDGVEIHCAFGYLIEQFMKDGVNDRTDKYGGSIANRCRFALEVIQAAIDEIGSDRVGVRLSPYSNCLDCWDSDPDALGLYMIQAMSKLGVLYCSMVEPELVKVDGKVQIPYKLWHFRKVFAGTFIVAGGYNREEGNRAVSQGYTDLVAYGKWFLANPDLPRRFELNAPLNKYDRSTFYTSDPVIGYTDYPFLSPL >ONIVA02G22700.1 pep chromosome:AWHD00000000:2:20921217:20926567:1 gene:ONIVA02G22700 transcript:ONIVA02G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCSKDSVAEELTPQPPPAAAAAAAPVGRLQKTESQSLKQLITLTAKEDAAVVAPVVHAVITRAESNAKAKSAAAAAVPPVVVITSLNKSYSTAGAPAHHHRLSTVDVPQVVPGGVPQGFSGEHVIAGWPSWLTSVAGEVVQGWLPRRADTFERLDKIGQGTYSNVYKARDLETGKVVALKRVRFVNMDPESVRFMAREIHVLRRLDGHPNVVRLEGIVTSRLSHSLYLVFEYMDHDLAGLAATPGLRFTEPQVKCLMAQILAGLRHCHDRGVLHRDIKGANLLIGGDGVLKIADFGLATFFDAARPQPLTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPILPGQTEIEQLHKIFKLCGSPSEEYWAKAKLPDVTLFKPQRPYRRKIAETFRDFSPPALDLLDTLLAIEPSDRGTAAAALDSDEQAAGVRPGELAQAAAEQGVRRQAPRQGGRHEAYLVFEYMDHDLAATPGLHFMEPQVKCFMAQILVGLRSCHERGVLHWDIKGTNLLIDGDGRAQDRRLRPRHLLHAARPQPLTSRIITLWYRPPELLLDATEYGVAYWAKAKLPDVTLFKPQRPYRRKIAETFRDFSPPALDLLDTLLAIEPSDRGTAAAALDSDFFRSKPLACDPASLPKLPPSKEYDAKLRGKEAAMRQNATAAIGGKGSMSVKPGRNEQSKAAAPAQDAVGGDHQRRQAAAAARVVNPRSASHHYSSLEDSVPGFRMEPPAAAGPPAAMQSGGFGSTWYRKDHAAAGDPRATTSRAASASVRVSNSVAGGAQLTSQRSYAHSRGTDLHPSSSAARHANSRYNRLDVAEPANALDRPGSSSTHQKDLSAAAPATGFGGRNKRIHYSGPLVPPGGNMEDMLREHERQIQQAVRKARVDKERTNHHGNSSSSNNNRQYY >ONIVA02G22690.1 pep chromosome:AWHD00000000:2:20891276:20891830:-1 gene:ONIVA02G22690 transcript:ONIVA02G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPQQQQAAAPAMAAGGGGGPQYRGVRRRKWGKWVSEIRQPGTKVRIWLGSFDSAEMAAVAHDVAALRLRGRDGAQLNFPGSVGWLPQPATTDPVDIRAAAAEAAERVRREPALVSAAASAAPRRLELGGGDGEFDEMESPRLWAEMAEAMLLDPPKWGPDGSDGADGSSQSWAHGSLWDGC >ONIVA02G22680.1 pep chromosome:AWHD00000000:2:20882333:20886220:-1 gene:ONIVA02G22680 transcript:ONIVA02G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVGQRFCSGWSYSDVPYNDHHAQTDASVQQMVLDHGSVSFGRFAAESLSWEKRSVFDHNRRQEELSNLTMPGLVAQKKAFFEEYYKRARLLKAQEEVNQTEATSEEGTDHYDANGHNIQEHKLPAVSSEDPVASAPSSSFEPSTGVSSSGEKKCQDPHGLGYLTFNPLFSQITGSQNIQLEGPVSDQMHHAEGDFPCATHTNTRDVLNHEPLERKVLAPKHIVSNDNGENVAVSRIVLPIASLQREHLKIDLERQEPRKNALISSMPTKSSKEPSTSVIHIPRIDSRRNSENRNSLELKDPFHKRVEMKLRALSDRMNADKATASSRSVFHQHAERAVTSSRSSMTSCRSSTYQNGDRVATSSRSALGQNADRVHASSKSAQQASRRSLREPHGAVSLPRAAVNKGSHVSHVALSNSTTQKFVTSHPKHSVMPNSSQSASTLHTTQVSLKRSAGVSSVNNRPQNKRKQLSTPSTWDENKLNRGYARTSAPSSARSSSVGILPHKTAKAPKISNGNNVVVKQTEMMQKSRNGSHPAGGRNVQPKNVVSCNEQKRKTGEAKMAMTKTKTILSEQSARCRSADADDFLDQLRSCTSWISFTVSDS >ONIVA02G22670.1 pep chromosome:AWHD00000000:2:20878555:20881013:-1 gene:ONIVA02G22670 transcript:ONIVA02G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAASEISDWEVLSAASAHGGGGEDDGEDFVVLVPGEGGGGGDVLHDHFALVAPSDVDGFPGEEGSWSGLLSYPGDKGKGEGFDSVPEGRLDPLVEEDWSEERLRFGGGVEEASGEPSVLAAASPCGEVRREEAAQREIEQGKEADGTGEDLDPDVIVVAELSRLESPENSDVQLEVEDGGSSLPEASEIGDALGFVQEALVQWKSGDVTSGCGEPEGEAKDGSLPLAQSPVAGEISLAEAAAVGDAMGAVDSGNAASGCGEQDGEAKDGSSLPLARAPGADGGEKQVVVWWRLPFRLLQCCAWKVKPIWSFSIAAALLGLFVLGRRMYRMRRKARGLPHIKIAFDDKRASQFADRTARLNEAFFVARHIPMLRTSSGAVFPWSMVQ >ONIVA02G22660.1 pep chromosome:AWHD00000000:2:20872123:20880149:1 gene:ONIVA02G22660 transcript:ONIVA02G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFGAMDSVLSKLTNLLTFEYKLLEEVKRDIVFVKSELESMHAFLKKMSEVEDELDEQVKCWRKEVRELSYDIEDHIDEFAVHLKDEPGCELHGIPSFISQIVKSIASIRNHYQIAKEMRGIRAFVGEASRRHKRYKVDDTISKPSKVTVDPRLPALYKDASDLVGIDGPKIELIRWLTEGVSGPEQQLKVVPIVGSGGLGKTTLANQVYHNLEGIFESRAFVTVSQKPDMMKILREILSGIGYNGLEAAWDEGKLIHEVRKYLRFVRYCVVLDDIWSISVWEILRCALPENNRGSRIVVTTRITDIARACCAPRHCDIYHLKPLDNTSSRRLFFKRICGSEDSLPSHVKGVAEKILKKCGGMPLAIISIASLLATKAQTKEQWESVNISLESGLDKHIGFEGMNWILSLSYNHLPQHLKTCMLYLCLFPEDYIISKDILVQQWIAEGFVFPEHGRNLEEAGYYYFNELINRSMAQPVDIEYNGEAMSCRVHDMIRSLIISKSNQENFVTIFSTSEAASVMTPGKIRRLSVQYIDEECGMVPMLPTLSHARSFSIFGHCNKMPSLTEFKVLRVLEMDDCWKLENHHLKHIGRLSQLKYLGLRRTPISELPEQIGELKYLETLDLRLSHLTELPAAVVRLRRLVHLFFDSNIKLPDGIGEMQSLQQLSSFDVCRSSITSLQELSRLSNLRVLVMSWRSFGMIGDVRSYNNNLVSSLGRLGTCSLRSIYIQGYNSSLQDFSLDLWCPPPSLLQKFVANKCLSVIPNWLGSLINLSYINVDVLRAAQRDLDILGELPNLLFLRLGSETAPQERLIIRDQCFEHLKEFKFICLLTEGLEFQVGAMPRLERLCFQFVALEIIYATGGFDFGIQHLLSLKEAFVKIDCFAAWAGVGNAAEAAIRNSARALPNNPLLNIERFSANDDDMEEDFGFVVLGRRMQQRMPQPET >ONIVA02G22660.2 pep chromosome:AWHD00000000:2:20872123:20879063:1 gene:ONIVA02G22660 transcript:ONIVA02G22660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFGAMDSVLSKLTNLLTFEYKLLEEVKRDIVFVKSELESMHAFLKKMSEVEDELDEQVKCWRKEVRELSYDIEDHIDEFAVHLKDEPGCELHGIPSFISQIVKSIASIRNHYQIAKEMRGIRAFVGEASRRHKRYKVDDTISKPSKVTVDPRLPALYKDASDLVGIDGPKIELIRWLTEGVSGPEQQLKVVPIVGSGGLGKTTLANQVYHNLEGIFESRAFVTVSQKPDMMKILREILSGIGYNGLEAAWDEGKLIHEVRKYLRFVRYCVVLDDIWSISVWEILRCALPENNRGSRIVVTTRITDIARACCAPRHCDIYHLKPLDNTSSRRLFFKRICGSEDSLPSHVKGVAEKILKKCGGMPLAIISIASLLATKAQTKEQWESVNISLESGLDKHIGFEGMNWILSLSYNHLPQHLKTCMLYLCLFPEDYIISKDILVQQWIAEGFVFPEHGRNLEEAGYYYFNELINRSMAQPVDIEYNGEAMSCRVHDMIRSLIISKSNQENFVTIFSTSEAASVMTPGKIRRLSVQYIDEECGMVPMLPTLSHARSFSIFGHCNKMPSLTEFKVLRVLEMDDCWKLENHHLKHIGRLSQLKYLGLRRTPISELPEQIGELKYLETLDLRLSHLTELPAAVVRLRRLVHLFFDSNIKLPDGIGEMQSLQQLSSFDVCRSSITSLQELSRLSNLRVLVMSWRSFGMIGDVRSYNNNLVSSLGRLGTCSLRSIYIQGYNSSLQDFSLDLWCPPPSLLQKFVANKCLSVIPNWLGSLINLSYINVDVLRAAQRDLDILGELPNLLFLRLGSETAPQERLIIRDQCFEHLKEFKFICLLTEGLEFQVGAMPRLERLCFQFVALEIIYATGGFDFGIQHLLSLKEAFVKIDCFAAWAGVGNAAEAAIRNSARALPNNPLLNIERFSANDDDMEEDFGFVVLGRRMQQRMPQPET >ONIVA02G22660.3 pep chromosome:AWHD00000000:2:20872123:20881005:1 gene:ONIVA02G22660 transcript:ONIVA02G22660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFGAMDSVLSKLTNLLTFEYKLLEEVKRDIVFVKSELESMHAFLKKMSEVEDELDEQVKCWRKEVRELSYDIEDHIDEFAVHLKDEPGCELHGIPSFISQIVKSIASIRNHYQIAKEMRGIRAFVGEASRRHKRYKVDDTISKPSKVTVDPRLPALYKDASDLVGIDGPKIELIRWLTEGVSGPEQQLKVVPIVGSGGLGKTTLANQVYHNLEGIFESRAFVTVSQKPDMMKILREILSGIGYNGLEAAWDEGKLIHEVRKYLRFVRYCVVLDDIWSISVWEILRCALPENNRGSRIVVTTRITDIARACCAPRHCDIYHLKPLDNTSSRRLFFKRICGSEDSLPSHVKGVAEKILKKCGGMPLAIISIASLLATKAQTKEQWESVNISLESGLDKHIGFEGMNWILSLSYNHLPQHLKTCMLYLCLFPEDYIISKDILVQQWIAEGFVFPEHGRNLEEAGYYYFNELINRSMAQPVDIEYNGEAMSCRVHDMIRSLIISKSNQENFVTIFSTSEAASVMTPGKIRRLSVQYIDEECGMVPMLPTLSHARSFSIFGHCNKMPSLTEFKVLRVLEMDDCWKLENHHLKHIGRLSQLKYLGLRRTPISELPEQIGELKYLETLDLRLSHLTELPAAVVRLRRLVHLFFDSNIKLPDGIGEMQSLQQLSSFDVCRSSITSLQELSRLSNLRVLVMSWRSFGMIGDVRSYNNNLVSSLGRLGTCSLRSIYIQGYNSSLQDFSLDLWCPPPSLLQKFVANKCLSVIPNWLGSLINLSYINVDVLRAAQRDLDILGELPNLLFLRLGSETAPQERLIIRDQCFEHLKEFKFICLLTEGLEFQVGAMPRLERLCFQFVALEIIYATGGFDFGIQHLLSLKEAFVKIDCFAAWAGVGNAAEAAIRNSARALPNNPLLNIERFSANDDDMEEDFGFVVLGRRMQQRMPQPET >ONIVA02G22650.1 pep chromosome:AWHD00000000:2:20858111:20872044:-1 gene:ONIVA02G22650 transcript:ONIVA02G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G891] MWALPAQPPNQTLRGFWDPQAAEWGPVAIEAKQRKSRGEEKKKKKKKKKSSLTKAAAAASGGGMEGQSQHRAPEREGSHNYDIESTDGSGGLWRRNGSSSGALLRYNDSGGGRSGSAGEPLLRKRTMNTTSQIAIVGANVCPIESLDYEVVENDLFKQDWRSRKKKQIFQYIVLKWTLVLLIGLLTGLVGFFNNLAVENIAGFKLLLTGNLMLKERYLTAFFAYGGCNLVLAAAAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYRLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRAFFTTAVVAVVLRSLIEFCRSGKCGLFGQGGLIMFDLSSTVATYSTPDLIAIIILGIIGGIFGGLFNFLLDKVLRVYSIINERGAPFKILLTITISIITSMCSYGLPWLAACTPCPVDAVEQCPTIGRSGNFKNFQCPPGHYNDLASLFFNTNDDAIRNLFSNGTESEFHMSTLFIFFTAVYCLGILTYGVAVPSGLFIPVILAGATYGRIVGTLLGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLAMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIVHALRFTGHNGFPVVDEPPLTEAPELVGLVTRSHLLVLLNGKMFMKDQLKTSGSFVLQRFGAFDFAKPGSGKGLKIQDLDFTDEEMEMYVDLHPVTNTSPYTVVETMSLAKAAILFRALGLRHLLVVPKTPDRPPIVGILTRHDFVEEHIHGLFPNLNPHKFHSTSMGG >ONIVA02G22650.2 pep chromosome:AWHD00000000:2:20858111:20868734:-1 gene:ONIVA02G22650 transcript:ONIVA02G22650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G891] MEEAKQRKSRGEEKKKKKKKKKSSLTKAAAAASGGGMEGQSQHRAPEREGSHNYDIESTDGSGGLWRRNGSSSGALLRYNDSGGGRSGSAGEPLLRKRTMNTTSQIAIVGANVCPIESLDYEVVENDLFKQDWRSRKKKQIFQYIVLKWTLVLLIGLLTGLVGFFNNLAVENIAGFKLLLTAAAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYRLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRAFFTTAVVAVVLRSLIEFCRSGKCGLFGQGGLIMFDLSSTVATYSTPDLIAIIILGIIGGIFGGLFNFLLDKVLRVYSIINERGAPFKILLTITISIITSMCSYGLPWLAACTPCPVDAVEQCPTIGRSGNFKNFQCPPGHYNDLASLFFNTNDDAIRNLFSNGTESEFHMSTLFIFFTAVYCLGILTYGVAVPSGLFIPVILAGATYGRIVGTLLGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLAMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIVHALRFTGHNGFPVVDEPPLTEAPELVGLVTRSHLLVLLNGKMFMKDQLKTSGSFVLQRFGAFDFAKPGSGKGLKIQDLDFTDEEMEMYVDLHPVTNTSPYTVVETMSLAKAAILFRALGLRHLLVVPKTPDRPPIVGILTRHDFVEEHIHGLFPNLNPHKFHSTSMGG >ONIVA02G22640.1 pep chromosome:AWHD00000000:2:20836589:20838667:-1 gene:ONIVA02G22640 transcript:ONIVA02G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVRVLVVDDSPVDRRVVELLLRAHCGGGGGAAAGEAAPFHVTAVDSGKKAMELLGRRRGDRDHLTPSSPAAAAAANDQAIDIVLTDYCMPEMTGYDLLKAIKALGSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKMNDVQRLRKCSGATRPKSAVAGDDDRFEVSHYFQLIFKLILLAYAVLCLSQLLHRWSNGSSLLSLCHACSSS >ONIVA02G22640.2 pep chromosome:AWHD00000000:2:20836863:20838667:-1 gene:ONIVA02G22640 transcript:ONIVA02G22640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVRVLVVDDSPVDRRVVELLLRAHCGGGGGAAAGEAAPFHVTAVDSGKKAMELLGRRRGDRDHLTPSSPAAAAAANDQAIDIVLTDYCMPEMTGYDLLKAIKALGSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKMNDVQRLRKCSGATRPKSAVAGDDDRFEVSHYFQLIFKLILLAYAVLCLSQLLHRWSNGSSLLSLWCA >ONIVA02G22640.3 pep chromosome:AWHD00000000:2:20837235:20838667:-1 gene:ONIVA02G22640 transcript:ONIVA02G22640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVRVLVVDDSPVDRRVVELLLRAHCGGGGGAAAGEAAPFHVTAVDSGKKAMELLGRRRGDRDHLTPSSPAAAAAANDQAIDIVLTDYCMPEMTGYDLLKAIKALGSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKMNDVQRLRKCSGATRPKSAVAGDDDRCCNTAKKAAAAAAATPEQQQQQQRSPHLAGLAMA >ONIVA02G22640.4 pep chromosome:AWHD00000000:2:20837235:20838667:-1 gene:ONIVA02G22640 transcript:ONIVA02G22640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVRVLVVDDSPVDRRVVELLLRAHCGGGGGAAAGEAAPFHAIDIVLTDYCMPEMTGYDLLKAIKALGSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKMNDVQRLRKCSGATRPKSAVAGDDDRCCNTAKKAAAAAAATPEQQQQQQRSPHLAGLAMA >ONIVA02G22630.1 pep chromosome:AWHD00000000:2:20829731:20832614:1 gene:ONIVA02G22630 transcript:ONIVA02G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10310) TAIR;Acc:AT1G10310] MTAGSKGGGGGVAAARAAAAGPRTVLITGVSRGLGRALALELARRGHAVVGCGRSADHVRSLEAEIATPARHFLTVADVRSDSNMAELAKAVVEKKQVPDIIVNNAGTINKNNKTWSVPAEEFDTVVDTNIKGTANVLRHFIPLMIEKKHGIIVNLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLNSCFGSSASLYQSTEQW >ONIVA02G22620.1 pep chromosome:AWHD00000000:2:20823905:20828841:-1 gene:ONIVA02G22620 transcript:ONIVA02G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor SUI1 family protein [Source:Projected from Arabidopsis thaliana (AT1G71350) TAIR;Acc:AT1G71350] MFKKHVDVKALQRLSGADKKKLRRTAKERFPQASDADLDAILPPKVVEVTVAKYPNRVLVYGIEGEFPMLFDIDGRGHELFPTVYALWKVPDLLPAFTLKGGEVSRFILGGADLMFPGISIPPEGLPSFQPGQPWSVKVPGNPAPIAVGATTMSSNEALKAGDSADGRYVPNEGFYEDIVVEDRNYASPSQPADSSEDHVEGMHDSTIEGEEAAVDVSESHTTDHDIHVEAIEDLTAGVSEVKLPEDNATEEPTEEREHQNLSTEEIDSLLDKCLLQALHTSVKDKDLPIPGSTLWSNHILPCRPTGVTLDIKKSSHKKLSKWLQSKSSAGLISSKEDKHKKEVMLLRINRGHPDYMAFKPEKRVQEPVVQHDNVVAEGSSTKQLEVAETYKPSSHVNPIIMAVGADTSKYYSASEASDIVFRYVEKENLVKQTDKAKVVLDVALCDALYKGAIKKGSAYPTEIHKRDLGSTFLNRMQIHHRVARGNEVVIRKGAIRTIQIMTERRQGNKKMTRLSGLECFLMDPDSLASELQKKFACSTTTAELPGKKGQHEVLVQGGVIDDLAKHLVDHYGVPKRFIEVLDKTKR >ONIVA02G22610.1 pep chromosome:AWHD00000000:2:20818244:20823529:1 gene:ONIVA02G22610 transcript:ONIVA02G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G10320) TAIR;Acc:AT1G10320] MSTAAADPAAAADAAVTRKERRRERKKERRRRARREAAEAARKAAEALAADPEEERRLRELEEAEADASERARRAFEDAERRWLEAAAARAAEKAAAAAAREESTAPEDSSREYKDDHGNGTEEDDEWEYVEDGPAEIIWEGNEITVKKKMVKVPKKAKENQPIQQDKAHCPFHLKTGACRFGVRCSRVHFYPDKSCTLLMRNMYSGPGLALEQDEGLECTDEEIEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSLHLRGNVYVHYKSLDSALIAYSSMNGRYFAGKQITCEFVAVTRWKVAICGEYMRSRFKTCSRGIACNFIHCFRNPGGDYEWADWDNPPPRYWIRKMAALFGPSDDSIYGKPSDTPHLERSQSSDRRRPRSSDPRYTPSRTRDEDAHKQHSSRDYSHSKHERSSHTEHRRDRKESSASDKHRHREIKDKTSKYSSNMESERESHKYMREEQHRIDHGNGGKGDHGKVRSRKNRSERQESLEPGSSGRSSDFIDQDTTESPSGSKSTGRHHKKTRRQSLEEHSTRRSSRHRDMEDDGRGQSVAVKRKDHHDTSDDRWVATNSDVDSDLETQYQRSSSEGSKLGMKYHARSDSETGYGRTRSGTTKSRRERKRQSGNGERSDTLEVTSDSDTRDMSSDAWRSRSRSSDENLSTHRSRRKRSRSSHDS >ONIVA02G22600.1 pep chromosome:AWHD00000000:2:20812406:20814638:-1 gene:ONIVA02G22600 transcript:ONIVA02G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTSPDPPDYCSAASPELKLYQAFIFSVPVFFTFVLLLFFYLFYLRRRRANWQSLRMRTNNLIRGDNPRLECGIKKEMREMLPVVVFKESFLIRETQCSVCLADYQPDERLQRIPPCGHTFHIDCIDHWLSTNTTCPLCRVSLLPSPKTASIDPVDLEAQTVEENSSLDVQYQEGRIDENTRQEDQTLQQGSEGPRHQAEENEETSVRVTTEPQVEAEGSPSTTCRPCKTKK >ONIVA02G22590.1 pep chromosome:AWHD00000000:2:20805766:20811883:1 gene:ONIVA02G22590 transcript:ONIVA02G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0G882] MAGSVVAAAAAAGGGGTGSSCDALYRELWHACAGPLVTVPRQGELVYYFPQGHMEQLEASTDQQLDQHLPLFNLPSKILCKVVNVELRAETDSDEVYAQIMLQPEADQNELTSPKPEPHEPEKCNVHSFCKTLTASDTSTHGGFSVLRRHAEECLPPLDMTQNPPWQELVARDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSQSEFVVSANKYLEAKNSKISVGMRFKMRFEGDEAPERRFSGTIIGVGSMSTSPWANSDWRSLKVQWDEPSVVPRPDRVSPWELEPLAVSNSQPSPQPPARNKRARPPASSSIAPELPPVFGLWKSSAESTQGFSFSGLQRTQELYPSSPNPIFSTSLNVGFSTKNEPSALSNKHFYWPMRETRADSYSASISKVPSEKKQEPSSAGCRLFGIEISSAVEATSPLAAVSGVGQDQPAASVDAESDQLSQPSHANKSDAPAASSEPSPHETQSRQVRSCTKVIMQGMAVGRAVDLTRLHGYDDLRCKLEEMFDIQGELSASLKKWKVVYTDDEDDMMLVGDDPWPEFCSMVKRIYIYTYEEAKQLTPKSKLPIIGDAIKPNPNKQSPESDMPHSDLDSTAPVTDKDC >ONIVA02G22580.1 pep chromosome:AWHD00000000:2:20790322:20796612:1 gene:ONIVA02G22580 transcript:ONIVA02G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPHGPCPGLPSPDKKYGTIQFAMGGSEYDDDWELPSADITVVLCGKLGCGKSATGNSIVGREAFVSEYSHASVTSTCQLASTALKDGRTLNVIDTPGLFEMTITSEDAGKEIVKCMSMAKDGIHAVLMVFSATSRFTREDSSTIETIKEFFGEKIVDHMILVFTYGDLVGENKLKSMLNNAPEYLQKTVELCKNRVVLFDNMTKDRWLQEKQLENLLDVVDSVNTNNGGKPFSDQMLACIKEAHAREQEVHDAIGYTEEQISELKKEIQRTRDEQLANITNMVEEKLNITVDKLQQQLMEEQNARLEAERLAAEARLRSDEEICKLKKRLEKAQQENEEFRKMASQHKCSIL >ONIVA02G22570.1 pep chromosome:AWHD00000000:2:20781712:20782934:1 gene:ONIVA02G22570 transcript:ONIVA02G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-CAP/cofactor C-like domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G39920) TAIR;Acc:AT4G39920] MPSTAAAAAAAEMEPEPDHTKPSTSAAAAGHRKHLAMLERLSKRAAAAAPAPSQDSPVAAFLSRFAAAKLAAESALSACRSSPDDAQPSLSAAAAAIDDLDRLVAEASHSLPPYELRSALAAASDLRAAHRLAASDLRPKKSFSFRNKNKAPKNPPQDPPPTLPPPPDQPNPSVEAILPGLGFRGRRDATLVKDLRVSDEKDGDFTLADLVSCQVYLKGKCRALHVHKLKDCRVFVGAVFGSVLIEDVERCAFVMAAHQIRIHEATATDFYLRVRSRPIIEDCCGVRFAPHALKYEGIGEDLRDAGLEEETGNWANVDDFKWLRAVQSPNWCLVPEEERLQTIDISDVQEREDDN >ONIVA02G22560.1 pep chromosome:AWHD00000000:2:20772268:20777284:-1 gene:ONIVA02G22560 transcript:ONIVA02G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFKRKPFSLLEPPKDLDSKEKISGKSNLTFEEALVSEHHAVSKAQKLPTELMAPVLRMIQYSTLGLYELVEKIYASLQEAVFEGLELYAKQDGLEAACRILKILGSGTKMYEVGWLLRDKTIISTSVIKGEDLIHRRPPVSRNTLKIFIRDATSQNAPWVIHENLAKRYGIPIEPPNDMMFGEGLQKKGRKRREDGPMGDPQKKMKNDEEHINVQIKYPIDDLLVQPSADDHALLKRPPLATDFRVPKYSVGDLLMVWDFCLSFGRVLNLSPFSLVDLENAICHKESNALLVEIHTAIFHLLIKDEGDYFTILRTKKRKLKVTLVTWAEYLCDFLEMTKTEELTRNIATVRKGYYSLIDTDIKLKILRELVEEAITTSPVREKLIERVDQRQALAATKRESTRKAKDEQNSSIDGLQDDNESVDEQGKGKEEKDKNNISRSKTEGKRHGVQHLETEIEKLSIRSSPLGKDRHYNRYWFFRREGRLFVESADSKEWGYYSTKEELDVLMSSLNVKGIRERALKRQLDKLYSKISNALEKRSKEITHKLLLEEAVLRRSTRVRAQPRDNPSMSFLKYVNKWKDN >ONIVA02G22550.1 pep chromosome:AWHD00000000:2:20768061:20769203:-1 gene:ONIVA02G22550 transcript:ONIVA02G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G07020) TAIR;Acc:AT5G07020] MACPAQSMLSASTTSCCAFLRSSAAAKPQAAASAAASLARGGRLFLLSCNASSSSSSPSPSSPPPPAPAAEDCNEEECAPEKEVGSLSAEWLAEERTKVVGTFPPKKKGWTGYVEKDTAGQTNIYSVEPTVYVAESAISSGAAGAAADGSENTAAIAGGLALVFVAGVSSILIQVGKNQPPPQATVYSGPPLSYYVAKFQPSLAAVALQQQPAVDAPATEDASSPAPASPAAAAAEDQLSS >ONIVA02G22540.1 pep chromosome:AWHD00000000:2:20762162:20769204:1 gene:ONIVA02G22540 transcript:ONIVA02G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEAVALEIPAAAEAEEEVEEEEGSPSPPVVARVPPRIRRRLLLRHRGGAPATAEEIEAKLRDADLRRQQFHEALSCKARCTVRYPSCPSQEEDPKKRLEAKLVAAEQKRLSLLAKEQSRLAKLDELRQAAKNDAELRFKKEREELGMKVESRVRQAEEKRTQLMHARSQRRAALEERTTKYLVQRVAWENKYRERVHSAILQKRTAAEKRRTGLLEGEKRRAQGRFSQVQLAARTLSCQREADRSKLKEQLEDKLQRAKRQRAEYLKQRGSTHSFTYTASVKNGDFLSRKLARCWRRFITSRKTTVVLARAFDMLRINEESVKPMPFEKLALCIESPTVLQTTRAFLDRLESRFTLSQSSSPSSPENIDHLLKHLGSPKRTLSKSGGRTRVTPTKAARNSDVSKLPRYSPRIVLCAYMILGHPSVVFNERGEREKLLVESAENFVKEFELLIKTILDGSSGACILKQPILDDLSPGSSNYQESSAVVADRKKFRSQLASFDKAWCAYLYHFVVWKAKDAKSLEEDLVRAACKLELSMMQTCKFTAEGQPENLNDNLKAIQKEVMVDQTLLREKVRHLGGEAGIERMEVALSEARTKFFEAKGNRSPLATTIKNVAATCSSGESPISDMKENSNINDKRPSQADQSMFRVPSSPSESNTAGITMSNPMTVSSTLSEKRPTENEQMVNEILHGFLADSSSNIGTVEGGFKEKVRETMEKAFWDVVVDSLRGDMPDYSYLVQLVKEVRDTLYEMVPKGWKEEIINNIDLEILLQVLESGTQDMQYLGQILQYSLGMLRKLSSLAKEDEMKRSHDKLLGELTEHSECNNSGSNSFVIAVIKGLRFTMEELKALKTEVSRARIQLLEPIIKGSGGVEYLQKAFADRYGFPSNASVALRSTAQWISTSKDTVEVEWNEHAQPLVATLRSGHGVPDQRQSTIPVSDDTGLPECTGQRLDQLIRIGLLQLISGIEGVQMQSVTETFKLNWLRLSMLVQRQVLATDDPNITPTELESATSQLFNTLAELLDKFPDVSTAKIMEVMLRSSSSSSSGSTTGSPSDERTESRKQILARVFLKSLQTDDPVFKKVSRSVYCAFRAITLGGSGARGRKLADAALRRIGATKLTDRVVRSAEILIRAASISQQVHGPWYNHLV >ONIVA02G22540.2 pep chromosome:AWHD00000000:2:20762162:20768212:1 gene:ONIVA02G22540 transcript:ONIVA02G22540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEAVALEIPAAAEAEEEVEEEEGSPSPPVVARVPPRIRRRLLLRHRGGAPATAEEIEAKLRDADLRRQQFHEALSCKARCTVRYPSCPSQEEDPKKRLEAKLVAAEQKRLSLLAKEQSRLAKLDELRQAAKNDAELRFKKEREELGMKVESRVRQAEEKRTQLMHARSQRRAALEERTTKYLVQRVAWENKYRERVHSAILQKRTAAEKRRTGLLEGEKRRAQGRFSQVQLAARTLSCQREADRSKLKEQLEDKLQRAKRQRAEYLKQRGSTHSFTYTASVKNGDFLSRKLARCWRRFITSRKTTVVLARAFDMLRINEESVKPMPFEKLALCIESPTVLQTTRAFLDRLESRFTLSQSSSPSSPENIDHLLKHLGSPKRTLSKSGGRTRVTPTKAARNSDVSKLPRYSPRIVLCAYMILGHPSVVFNERGEREKLLVESAENFVKEFELLIKTILDGSSGACILKQPILDDLSPGSSNYQESSAVVADRKKFRSQLASFDKAWCAYLYHFVVWKAKDAKSLEEDLVRAACKLELSMMQTCKFTAEGQPENLNDNLKAIQKEVMVDQTLLREKVRHLGGEAGIERMEVALSEARTKFFEAKGNRSPLATTIKNVAATCSSGESPISDMKENSNINDKRPSQADQSMFRVPSSPSESNTAGITMSNPMTVSSTLSEKRPTENEQMVNEILHGFLADSSSNIGTVEGGFKEKVRETMEKAFWDVVVDSLRGDMPDYSYLVQLVKEVRDTLYEMVPKGWKEEIINNIDLEILLQVLESGTQDMQYLGQILQYSLGMLRKLSSLAKEDEMKRSHDKLLGELTEHSECNNSGSNSFVIAVIKGLRFTMEELKALKTEVSRARIQLLEPIIKGSGGVEYLQKAFADRYGFPSNASVALRSTAQWISTSKDTVEVEWNEHAQPLVATLRSGHGVPDQRQSTIPVSDDTGLPECTGQRLDQLIRIGLLQLISGIEGVQMQSVTETFKLNWLRLSMLVQRQVLATDDPNITPTELESATSQLFNTLAELLDKFPDVSTAKIMEVMLRSSSSSSSGSTTGSPSDERTESRKQILARVFLKSLQTDDPVFKKVSRSVYCAFRAITLGGSGARGRKLADAALRRIGATKLTDRVVRSAEILIRAASISQQVHGPWYNHLV >ONIVA02G22540.3 pep chromosome:AWHD00000000:2:20762162:20769442:1 gene:ONIVA02G22540 transcript:ONIVA02G22540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEAVALEIPAAAEAEEEVEEEEGSPSPPVVARVPPRIRRRLLLRHRGGAPATAEEIEAKLRDADLRRQQFHEALSCKARCTVRYPSCPSQEEDPKKRLEAKLVAAEQKRLSLLAKEQSRLAKLDELRQAAKNDAELRFKKEREELGMKVESRVRQAEEKRTQLMHARSQRRAALEERTTKYLVQRVAWENKYRERVHSAILQKRTAAEKRRTGLLEGEKRRAQGRFSQVQLAARTLSCQREADRSKLKEQLEDKLQRAKRQRAEYLKQRGSTHSFTYTASVKNGDFLSRKLARCWRRFITSRKTTVVLARAFDMLRINEESVKPMPFEKLALCIESPTVLQTTRAFLDRLESRFTLSQSSSPSSPENIDHLLKHLGSPKRTLSKSGGRTRVTPTKAARNSDVSKLPRYSPRIVLCAYMILGHPSVVFNERGEREKLLVESAENFVKEFELLIKTILDGSSGACILKQPILDDLSPGSSNYQESSAVVADRKKFRSQLASFDKAWCAYLYHFVVWKAKDAKSLEEDLVRAACKLELSMMQTCKFTAEGQPENLNDNLKAIQKEVMVDQTLLREKVRHLGGEAGIERMEVALSEARTKFFEAKGNRSPLATTIKNVAATCSSGESPISDMKENSNINDKRPSQADQSMFRVPSSPSESNTAGITMSNPMTVSSTLSEKRPTENEQMVNEILHGFLADSSSNIGTVEGGFKEKVRETMEKAFWDVVVDSLRGDMPDYSYLVQLVKEVRDTLYEMVPKGWKEEIINNIDLEILLQVLESGTQDMQYLGQILQYSLGMLRKLSSLAKEDEMKRSHDKLLGELTEHSECNNSGSNSFVIAVIKGLRFTMEELKALKTEVSRARIQLLEPIIKGSGGVEYLQKAFADRYGFPSNASVALRSTAQWISTSKDTVEVEWNEHAQPLVATLRSGHGVPDQRQSTIPVSDDTGLPECTGQRLDQLIRIGLLQLISGIEGVQMQSVTETFKLNWLRLSMLVQRQVLATDDPNITPTELESATSQLFNTLAELLDKFPDVSTAKIMEVMLRSSSSSSSGSTTGSPSDERTESRKQILARVFLKSLQTDDPVFKKVSRSVYCAFRAITLGGSGARGRKLADAALRRIGATKLTDRVVRSAEILIRAASISQQVHGPWYNHLV >ONIVA02G22530.1 pep chromosome:AWHD00000000:2:20752147:20758356:-1 gene:ONIVA02G22530 transcript:ONIVA02G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIRTCVASPCESPNCSPAEQHCNASTAVAKTPESTRVRYPPPPLSRRDATRHDTRRRDAQLLTLPFPHPSSPPTPTSRNRSAARSAATATTTAGSSIPRTRGGFWNWRMVANEVVAAAAVVEEPAPASAGAVDPMRLASRWRSPAEWGAAAAEMEAEPAPSELNTTNSSGLFAVVSTDRMSVRYLGVNQHGHDVGVVQANRPAPTRRAVYYFEMGVKNAGQKGQTSIGFTTENFKMRRQPGWESNSCGYHGDDGYLYRGPGKSESFGPKFTSGDTIGAGINYFSQEFFFTVTVNFGKEPFCFDIEGYIFEEKMKQQSVSDKLDLQPDISHWIVRSYLLHYGYQDTLNSFDMASETDPPSNHQNGYGEPPEMYGLSHRKLLRQLIMSGDIDSAFKKLGEWYPQDETSVICFLLHSQRFIEFIGAGQLEDAVKYARSNLANFLTHKAFDGLLKESVALLAYEKPAESCIGYLLDSPQREFVADAVNAAVLSTNPNMKDPESCLYSCLEKLLRQLTVCSFERRAFSGDQGDAFLLHKEVQSCDRSRCS >ONIVA02G22520.1 pep chromosome:AWHD00000000:2:20747851:20750550:-1 gene:ONIVA02G22520 transcript:ONIVA02G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases;nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT3G26410) TAIR;Acc:AT3G26410] MWYLCVFYHRLLDYRRPEVQSLAELFGGPGAGDAVEWRMPENHNVDSPFHLVRLPGDERLAAQIANRSLLVKGIYELWGQGTTYEELERAVMAYPEERKLPYLTPESTFKIVVDSFGKGRVNLKKPDHKFFVMETDDYGCNNGLPPVAQRTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCILRADNNVPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLIKGTVAPYTVPDEKRDSHIPSTAPYSLAECVHDLLHLAARMLVMGGRLVFFFPVVREDGVANPAKYPEHPCFRLLASCEQILSMRYSRVLLTMVKVGPYTEEVQKAAEERRREFRENHHKWMEEGNLHSAVFSPADQAAAAADGKPAIDRDSKPKYRGKYV >ONIVA02G22520.2 pep chromosome:AWHD00000000:2:20747851:20750550:-1 gene:ONIVA02G22520 transcript:ONIVA02G22520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases;nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT3G26410) TAIR;Acc:AT3G26410] MWYLCVFYHRLLDYRRPEVQSLAELFGGPGAGDAVEWRMPENHNVDSPFHLVRLPGDERLAAQIANRSKSASPDRLIHHHSLAKLLLAGLLVKGIYELWGQGTTYEELERAVMAYPEERKLPYLTPESTFKIVVDSFGKGRVNLKKPDHKFFVMETDDYGCNNGLPPVAQRTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCILRADNNVPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLIKGTVAPYTVPDEKRDSHIPSTAPYSLAECVHDLLHLAARMLVMGGRLVFFFPVVREDGVANPAKYPEHPCFRLLASCEQILSMRYSRVLLTMVKVGPYTEEVQKAAEERRREFRENHHKWMEEGNLHSAVFSPADQAAAAADGKPAIDRDSKPKYRGKYV >ONIVA02G22510.1 pep chromosome:AWHD00000000:2:20735394:20746809:1 gene:ONIVA02G22510 transcript:ONIVA02G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVTRLIRSSSPVVSPSRLSAANLLKNNNGKAFLSEDASKRVEEPFKVEEAETVKVPPPSPDKLLVLGGNGFVGSHVCKEALDKGFTVASLNRSGKPSISESWADKVIWNKGNLLEPDSLKDIMEGVSAVVSCVGGFGSNSYMYKINGTANINAISVAAEKGIKRFVYVSAADFGFVNYLLQGYYEGKRATEAELLSKFTYGGVILRPGFIHGTRRVGSVKIPLGLVGSPMQMVLQSAKPLTRLPLVGPLLTPPVSVASVAKVAVRAATDPVFPPGIVDVYGIMRYSDQK >ONIVA02G22500.1 pep chromosome:AWHD00000000:2:20708266:20711225:-1 gene:ONIVA02G22500 transcript:ONIVA02G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G870] MTSWINSLSKRGYIGSYHYEKDAKYRPFSALLPEGSNPKMLYVKLVLLILMCGSFVSLLNSPSIHHDDEHLTQSSAGVPRVSYEPDDTRYVSDVTVDWPKISKAMQLVAGAEHGGGGARVALLNFDDDEVQQWSTVLPRTAAAVARLERAGSNVTWEHLYPEWIDEEELYHAPTCPDLPEPAVDADGDGEEVAVFDVVAVKLPCRRGGSWSKDVARLHLQLAAARLAATRGRGGAAAHVLVVSASRCFPIPNLFRCRDEVAPRDGDVWLYRPDADALRRDLALPVGSCRLAMPFSALAEPHVAPAAPAAPPRREAYATILHSEELYACGALVAAQSIRMASASGAPSEPERDMVALVDETISARHRGALEAAGWKVRAIRRVRNPRAAADAYNEWNYSKFWLWSLTEYDRVVFLDADLLVQRPMAPLFAMPEVSATANHGTLFNSGVMVVEPCGCTLRLLMDHIADIDSYNGGDQGYLNEVFSWWHRLPSHANYMKHFWEGDSGERLAAARRAVLAAEPAVALAVHFVGMKPWFCFRDYDCNWNSPQLRQFASDEAHARWWRAHDAMPAALQGFCLLDERQKALLRWDAAEARAANFSDGHWRVPIADPRRNICATAAGDGEAAAACVEREIKNRRVEGNRVTTSYAKLIDNF >ONIVA02G22490.1 pep chromosome:AWHD00000000:2:20691901:20697345:-1 gene:ONIVA02G22490 transcript:ONIVA02G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGWLRRKWRRRRDKPAGDASTAATSPRDSVDLGGTSAYPSACASPSSTTPTRWGAVPPRCPGPQDQHHGLPLPRPVSKSAPMPLASPAAAAGPSPSPSPPACVSAAESVSGGSSSDDEADHRNYRYTDPVVHTSGRTVLPDGHNGMVEENRFVSCGILQEHQKFFEVPIANVNEVHHMQIFEPSTSESSYSRGRMLPEDTFAVRPRSHYPGPRGHAYSACCARDFGFTPRSPVKRMDDPRSPSQPLPLPPVPVASSSIPSSSITSSQFQSQWKRGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVFLDDSHSKERLRQLNQEIDMLKQLSHQNIVQYYGSELADEALSIYLEYVSGGSIHKLLREYGPFKEPVIRNYTRQILSGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHVTSFAEIRSFRGSPYWMAPEVVMNNKGYNLAVDIWSLGCTIIEMATAKHPWYPYEDVAAIFKIANSKDIPEIPDCFSKEGKDFLSLCLKRDPVQRPSAALLLGHPFVQDHQAVRAPTCNGTQLRNGISSPAGASHRKRILVKEKHCSSAWYRRTKRKGIHWIFHSLSFSSQYLQQPNCSESQHVSTGVAMLKPAAAVQAIKLELPPFTNASGALPWIISSSLPKQSLAEPVEAKRRSSRSLAGVEPAETSKPIWLSKEILGL >ONIVA02G22480.1 pep chromosome:AWHD00000000:2:20681490:20683606:-1 gene:ONIVA02G22480 transcript:ONIVA02G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G77020) TAIR;Acc:AT1G77020] MAKETEFYDVLGVCPAASDDEIRKAYYIKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKTSISKENMLDGTVVFTLLFGSELFEDYIGHLAMATMASSEMASDNDNPEKLQDRLKGVQREREEKLARFLKEFLSQYVRGDQEGFASRAESEAKRLSSTSSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFLAEWVRNKGHLWRSQITAAKGALQLLQLQEEACRQSGKDGLATERDVDLQMRMNKDLMMSSLWKLNIVDIEVTLLHVCEMVLHENNVKKEDLKARAMALKILGKIFQRDKEALPGPSKPTILDNDSSSDESSDDDVARTVPYRTPAGIGRLFRCLCNPAYDVDDDFEPRK >ONIVA02G22480.2 pep chromosome:AWHD00000000:2:20681490:20683606:-1 gene:ONIVA02G22480 transcript:ONIVA02G22480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G77020) TAIR;Acc:AT1G77020] MAKETEFYDVLGVCPAASDDEIRKAYYIKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKTSISKENMLDGTVVFTLLFGSELFEDYIGHLAMATMASSEMASDNDNPEKLQDRLKGVQREREEKLARFLKEFLSQYVRGDQEGFASRAESEAKRLSSTSSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFLAEWVRNKGHLWRSQITAAKEDKKNNAGALQLLQLQEEACRQSGKDGLATERDVDLQMRMNKDLMMSSLWKLNIVDIEVTLLHVCEMVLHENNVKKEDLKARAMALKILGKIFQRDKEALPGPSKPTILDNDSSSDESSDDDVARTVPYRTPAGIGRLFRCLCNPAYDVDDDFEPRK >ONIVA02G22470.1 pep chromosome:AWHD00000000:2:20676260:20680654:1 gene:ONIVA02G22470 transcript:ONIVA02G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 3 [Source:Projected from Arabidopsis thaliana (AT1G76990) TAIR;Acc:AT1G76990] MLPYFDPEYENFSQRINPPRVCIDNSTCSDFTLVKVDSMNKNGILLEVVQVLSDLDLAISKAYITSDGGWFMDVFHVVDKQGQKVTDEKTIKHIEKALGPDSNLLGGAKGGSSPVRSVGMHSIGDHTAIELKGPDRTGLLSEVFAVLAELGCNVLAAEVWTHRARVACVVYVNDVASGQAVGDPCRLSRIEHRLRLVLRGHAGGDDGDGDDGPAHANFFSSGGAGSNTHVDRRLHQLMHADVDADDDDGLDSRAIVSGEAGNAAAAEERPVVTVEHCEEKDYSVVNVKCRDRSKLLFDIVCTLTDMHYVVSHASVSSDGIYGIQELYIRRKDGRTLQKDEAGRVIKVGLLSDVTRVLREHGLTVTRADVTTVGGQAINVFYVRDASGEPVDMKTIEGLRVQVGHTVMLNVKKVPSSPSSSSSAAAANGKSPGQPASGALSRTSFFSFGNLFAKLRA >ONIVA02G22460.1 pep chromosome:AWHD00000000:2:20659245:20661116:1 gene:ONIVA02G22460 transcript:ONIVA02G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATNLPPGFHFFPSDEELVVHFLRRKVSLLPCHPDIIPTLLPHRYNPWELNGKALQAGNQWYFFCHLTQSRTSSNGHWSPIGVDETVRSGGRNVGLKKTLLFSIGEPSEGIRTNWIMHEYHLLDGDCVAGGSSNLTSSSSNRRSHRKRGHSSMESNNWVLCRVFESSCGSQVSFHGEGTELSCLDEVFLSLDDYDELLATSNYLRICMDIVFYHHKEKRKVGGAYAIIWPSMLSMEIMHACVEIALR >ONIVA02G22450.1 pep chromosome:AWHD00000000:2:20657602:20659857:-1 gene:ONIVA02G22450 transcript:ONIVA02G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQRRDDVRVAWEEGDLATEEMDDELFIRGEEVETWRHSLMYIPQNNQNKELSHNSSVLLPGKDHGGLIIPMVRRQPPLAVARTGMSATGSRCSAAAAAGGNQWNAIAVASTRRSSSSV >ONIVA02G22450.2 pep chromosome:AWHD00000000:2:20657615:20660060:-1 gene:ONIVA02G22450 transcript:ONIVA02G22450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQCPLDEVLLCVRWQKKYHWFPACNLPFSSHGLYRCGSSVGMMSGWHGRRETLRRRKWTTSSSSEGKKWKPGGHVRHWKPVLCCCCCRGESMERHCRCQHTSVEARTLADAVEEENDGDPHSCWHMLRTQ >ONIVA02G22450.3 pep chromosome:AWHD00000000:2:20658024:20660129:-1 gene:ONIVA02G22450 transcript:ONIVA02G22450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSVFFKPTLRPPLLTVSSTPMGLQCPLDELPWIVPMREQRRDDVRVAWEEGDLATEEMDDELFIRGEEVETWRHSLMYIPQNNQNKELSHNSSVLLPGKDHGGLIIPMVRRQPPLAVARTGMSATGSRCSAAAAAGGNQWNAIAVASTREAKPNIS >ONIVA02G22450.4 pep chromosome:AWHD00000000:2:20657193:20664550:-1 gene:ONIVA02G22450 transcript:ONIVA02G22450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRLVMRQLPWIVPMREQRRDDVRVAWEEGDLATEEMDDELFIRGEEVETWRACPPLEAGALLLLLPGGINGTPLPLPAHCCCCSSSSLPPLPPPAPQEKTTTTDADADADACGTSRRSTR >ONIVA02G22450.5 pep chromosome:AWHD00000000:2:20658024:20659857:-1 gene:ONIVA02G22450 transcript:ONIVA02G22450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MREQRRDDVRVAWEEGDLATEEMDDELFIRGEEVETWRHSLMYIPQNNQNKELSHNSSVLLPGKDHGGLIIPMVRRQPPLAVARTGMSATGSRCSAAAAAGGNQWNAIAVASTREAKPNIS >ONIVA02G22450.6 pep chromosome:AWHD00000000:2:20657195:20657992:-1 gene:ONIVA02G22450 transcript:ONIVA02G22450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVGDPAPPQHLVLVVMTSSVEARTLADAVEEENDGDPHSCWHMLSQCCCCSSSSLPPLPPPAPQEKTTTTDADADADACGTSRRSTR >ONIVA02G22450.7 pep chromosome:AWHD00000000:2:20658015:20664550:-1 gene:ONIVA02G22450 transcript:ONIVA02G22450.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRLVMRQLPWIVPMREQRRDDVRVAWEEGDLATEEMDDELFIRGEEVETWRACPPLEAGALLLLLPGGINGTPLPLPAHVRQNLTSPES >ONIVA02G22440.1 pep chromosome:AWHD00000000:2:20653740:20655530:1 gene:ONIVA02G22440 transcript:ONIVA02G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLTPPWPAAAAAAPASAAAASAVTVEAVLALLERAISAGDVRRLGAAVHALLVKTALTHHTLLSNRLVALYALLPTPAASLAAFDDLPHKNAHSYNSLLAALARGRGTLPDALRLLDGMPPASRNVVSYNTVISSLARHGRESEALRVFAQLARDRGLGQQQVAIDRFTVVSAASACAGLRDARHLRELHGAVVVSGMEVTVIMANAMVDAYSKAGRVEDARGLFDQMTIRDSVSWTSMIAGYCRASMLDDAVQVFDMMPAQDAIAWTALISGHEQNGEEEIALELFERMTGEGVVPTPFALVSCLGACAKVGLVARGKEVHGFILRRSIGSDPFNVFIHNALIDMYSKCGDMVAAMAVFDRMLERDIISWNSMVTGFSHNGQGKQSLAVFERMLKDEVQPTYVTFLAVLTACSHAGLVSDGRRILESMQDHGVEPRAEHYAAFIDALGRNHQLEEASEFIKGLSSKIGLGTTGSWGALLGACHVHGNIEIAEEVAEALFQLEPENSGRYVMLSNIYSAAGQWDDARQVRALMKGKGLRKDQAYSWIEVQRAKHMFVADDTSHHEANEIYEMLDKLFHHMFIIGGNVEELIVG >ONIVA02G22430.1 pep chromosome:AWHD00000000:2:20650235:20653457:-1 gene:ONIVA02G22430 transcript:ONIVA02G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22370) TAIR;Acc:AT5G22370] MVFGQVVIGPPGSGKTTYCNGMSQFLSLVGRKVAVINLDPANDALPYECAINIEDLIKLSDVMSEHSLGPNGGLVYCMDYLEKNIDWLEEKLKPLIEDHYLLFDFPGQVELFFLHSNARSIIYKLIKKLNLRLTAVHLIDAHLCCDPGKYVSALLLSLSTMLHMELPHINVLSKIDLIENYGNLAFNLNFYTDVEDLSYLQRHLDQDPRSAKYRKLTKELCDVIDDFGLVNFTTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSVVEFKVQEKYMKDDEFVQKTSKTR >ONIVA02G22420.1 pep chromosome:AWHD00000000:2:20644283:20648945:-1 gene:ONIVA02G22420 transcript:ONIVA02G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAFAAAFALLLLASSAAAEGEAVLTLDAGNFTEVVGAHDFIVVEFYAPWCGHCNQLAPEYEAAAAALRSHDPPVVLAKVDASADLNRGLAGEHGVQGYPTIRILRDRGARSHDYAGPRDAAGIVAYLKRQAGPASVEMAASASPPAADSIANDGVVVVGVFPELSGSEFESFMAVAEKMRADYDFRHTTDAGVLPRGDRTVRGPLVRLFKPFDELFVDSQDFDRDALEKFIESSGFPTVVTFDTSPANQKYLLKYFDNAGTKAMLFLSFSDDRAEEFRTQFHEAANQYSANNISFLIGDVTASQGAFQYFGLKESEVPLVFILASKSKYIKPTVEPDQILPYLKEFTPVKTVVADNLREVVFNSGKNVLLEFYAPWCGHCQKLAPILEEVAVSLKDDEDVVIAKMDGTANDVPSDFAVEGYPSMYFYSSGGNLLPYDGRTAEEIIDFITKNKGSRPGEATTTESVKDELGSGELQVRRCSAADSLRT >ONIVA02G22410.1 pep chromosome:AWHD00000000:2:20642222:20642914:1 gene:ONIVA02G22410 transcript:ONIVA02G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDDFTFPAPAATAVAAAATSSLAPSSQGGLLWPFSSTMATADDDDDDDAASGGQAVAATAPVAAARRVDEEEEEERMDQLWERDRDARAGDEERMDLLWEDFNDELLLQLRRRQQQRAAAGTPPSPSPAAAADDDDEEETPSSSPPGGGGGLYGCAPTMLRASSRAGAVGQFYGGRRGGGGGSRATTGWELLLRLFRKLFAVDKSSPSPEPPCRHHHRRHGSIYVP >ONIVA02G22400.1 pep chromosome:AWHD00000000:2:20635433:20636034:-1 gene:ONIVA02G22400 transcript:ONIVA02G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQPVGKGGVRGGRERRTVAREAATAKVTTTTVVKAAMVGATTSMASVAWEAATGAVPPPPNLVPCCHVVTMMRLSIGVRPWPLYVCPVAVKTMKRCSLLPISVLPRSGEDDETGAARSSKQQVGREEKDGAGEDEEDTINSKSTR >ONIVA02G22390.1 pep chromosome:AWHD00000000:2:20610766:20623877:-1 gene:ONIVA02G22390 transcript:ONIVA02G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANRSDGSMNLVEELPPRDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDSWTSTCCQSFKANIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWVQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVLWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVSAVSTSPESALRPPLDVCCLGWQIAAAASRAVVDTSRPFQSVREAVEVFGERCLSSTSRASSESAGGGGGRPSPPAVLGCLRKLEAELAEARGELERLRQRQLHMETAVSSVAAQLSTGLAILSAGAARGKGKELAVVDIDVDLGGGGGRVRSDRWDESRAEEWMAASLEYLPSLSEALAIKMVDDDRHLGERRQGNARKKNTKNTMNSKKKQQQKKNGVSFVGRIFSSRKDKSR >ONIVA02G22390.2 pep chromosome:AWHD00000000:2:20610766:20623721:-1 gene:ONIVA02G22390 transcript:ONIVA02G22390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANRSDGSMNLVEELPPRDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDRQNQDFYGTNIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWVQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVLWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVSAVSTSPESALRPPLDVCCLGWQIAAAASRAVVDTSRPFQSVREAVEVFGERCLSSTSRASSESAGGGGGRPSPPAVLGCLRKLEAELAEARGELERLRQRQLHMETAVSSVAAQLSTGLAILSAGAARGKGKELAVVDIDVDLGGGGGRVRSDRWDESRAEEWMAASLEYLPSLSEALAIKMVDDDRHLGERRQGNARKKNTKNTMNSKKKQQQKKNGVSFVGRIFSSRKDKSR >ONIVA02G22390.3 pep chromosome:AWHD00000000:2:20611744:20623877:-1 gene:ONIVA02G22390 transcript:ONIVA02G22390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANRSDGSMNLVEELPPRDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDSWTSTCCQSFKANIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWVQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVLWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVFTNRPRISCVDFSRICPAAST >ONIVA02G22390.4 pep chromosome:AWHD00000000:2:20610766:20623877:-1 gene:ONIVA02G22390 transcript:ONIVA02G22390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANRSDGSMNLVEELPPRDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDSWTSTCCQSFKANIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWVQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVCELFMFTNPGSSCLYSSCLFVSPMLLLDAKYSCSVWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVSAVSTSPESALRPPLDVCCLGWQIAAAASRAVVDTSRPFQSVREAVEVFGERCLSSTSRASSESAGGGGGRPSPPAVLGCLRKLEAELAEARGELERLRQRQLHMETAVSSVAAQLSTGLAILSAGAARGKGKELAVVDIDVDLGGGGGRVRSDRWDESRAEEWMAASLEYLPSLSEALAIKMVDDDRHLGERRQGNARKKNTKNTMNSKKKQQQKKNGVSFVGRIFSSRKDKSR >ONIVA02G22390.5 pep chromosome:AWHD00000000:2:20611744:20623877:-1 gene:ONIVA02G22390 transcript:ONIVA02G22390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANRSDGSMNLVEELPPRDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDSWTSTCCQSFKANIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWVQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVCELFMFTNPGSSCLYSSCLFVSPMLLLDAKYSCSVWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVFTNRPRISCVDFSRICPAAST >ONIVA02G22390.6 pep chromosome:AWHD00000000:2:20610237:20611412:-1 gene:ONIVA02G22390 transcript:ONIVA02G22390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAAASRAVVDTSRPFQSVREAVEVFGERCLSSTSRASSESAGGGGGRPSPPAVLGCLRKLEAELAEARGELERLRQRQLHMETAVSSVAAQLSTGLAILSAGAARGKGKELAVVDIDVDLGGGGGRVRSDRWDESRAEEWMAASLEYLPSLSEALAIKMVDDDRHLGERRQGNARKKNTKNTMNSKKKQQQKKNGVSFVGRIFSSRKDKSRQPNLWEYHSY >ONIVA02G22380.1 pep chromosome:AWHD00000000:2:20605032:20611024:1 gene:ONIVA02G22380 transcript:ONIVA02G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTGMEMEVEVAGDDEAVPEAPERSVVLISAGASHSVALLSGGVVCSWGRGEDGQLGHGDAEDRPVPTVLTAAFDDAPGGVASVVICGADHTTAYSDEELQLYSWGWGDFGRLGHGNSSDVFNPQPIQALQGVRITQIACGDSHCLAVTVAGHVHSWGRNQNGQLGLGNTEDSLLPQKIQAFEGVRVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRDDRLIPEKVSSVNGQKMVLVACGWRHTITVSSSGSIYTYGWSKYGQLGHGDFEDHLVPHKLEALKDTTISQISGGWRHTMALAADGKLYGWGWNKFGQVGVGDNEDHCSPVQVNFPNEQKVVQVACGWRHTLALTEAKNVFSWGRGTSGQLGHGEIVDRNIPKMIDALSSDGSACKQLESSKAVPMSAKVWVSPSERYAIVPDEKAGKGIPAGNGTETHVPQGDVKRMRV >ONIVA02G22370.1 pep chromosome:AWHD00000000:2:20587464:20601458:1 gene:ONIVA02G22370 transcript:ONIVA02G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPDGEARGREDHAAVGRLGGEEGAVGGGGLALLAVPEIGGELGDGGKVCGGQERRLPTEEDGVRDNGGGSAAELVESAVNVSTPFEGRGQIGGEKESSMQEGSMNMAGEKHGSYHVESAEPSNLQTCHAPNGGVSNKTLFAPFSEVFSSDNSHMRYLLDKATEGSICEHGDLADSKDDLGGATDVKTNTEDLQMVCTKPHCDSEGLSDLHNDSERWPQVVDGVGFTIKGNNELKQVDLIPKIEAEVSRSVEDDSIPSFSGGIDDSLRKAGCACETLNDMGMSHMANGDLWCNVLYAPLSEGCQSKDARHIAVMGNKMTQGSQCGQGDLACDGIVLRGGVDVEKSLDDLQMCSKEPQCDNKGFPYLTEFGVQQPSYGMNVICSKTDPNHQLEKDELLTNTRGEFSSSIHEDSVPSISVSSVDFTFDGNAGQIGKTSEHRAIMEKVLHGSQRGGVLSCESRSLKESHADENQSSTLEVKTCEEGLQTGQVEPCHSIVALSDSGKYGTDILPRGGDGLRSMTGANHELVKDDFHPKSDVVVSCPVDEASIPSNYNSPIDVLLYKEDGLVGEISENRTGVEKLAHDLLGEVMLSFDSRPQTEASGDENQHFWMDVPKGSTASVCEVENTGTRRSCDPCAEIEFPLQQSREKHVISESPPERDLTSSSHNLPCENEPCCSGRETPAFCLGHQDSAGIGLESSDCLVQELNTCTSTDDKACSVDFVENGNGSHNQKEVPVIFFRRRNPVRAASSRNSNFEKCDQINKSGNSTRKSKKVDSVSSLLKSTMIKFPNKTTKGRSGINRPLNSSAWGSLQKLMDGFNQNCGPSTSRSHQTCLGKEISNRGSSEKKQLSIRKIRTSRCSKYKNTSLSDIGYLAGELNGQPTCSVRIDTNVSSDALFNSSNGAHKAAQCVEGNHTLKLTSSLTDIQQFGLENVTQETCPGYIHGECGTSTSERSLNNIVGFSPDSVLDIASVTCESNTSATLDVIVHENPSCPGGLIGGGLRASALSTSHCENHHASSLMDLEQQVKTVRENDMGKEDVIPSHAMMYNDIGEGKQTLAKSNTMRKGRNVGKQECRKKDGNKGKNINKNRSSTKISSSEASKLVSFSNDSPSLDPSELLLHTRPPKFGSCSKVVTSAIHDVGMHGYDNMCPFGIDNDDEGSAFDNVKSLRRKKKDSHGGKKGKVRDPHGKGRSKKKNIADNTYGLPAQLTDLSEPRMNKQSDLIPAAELVFKNSSAVSVELPAVVACKTDGASVPPAPAWVCCDDCEKWRCIPTELADKISKENLRWTCKENEDKTFADCSIPQEKTDDEINAELGLSDASADEANGDGSNSKASGEPNFALLRSNLFLHRNRRTQSIDESMVCNCKPPHDDRMGCRDGCLNRILNIECTKRTCPCGEHCSNQQFQRRTYAKLGKFHTGKKGYGLQLKEDVSEGRFLIEYVGEVLDITAYESRQRYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISGADMITQDDAEAGTFEPMADQEDAEEVLGANGLSSHGTHLDIVDHEASTKTEDSNDCPSVNPPELESEQQTSGTLFDTSEPENSLEALSPQDDEDVVRTPVHVSRTVESTSRQFPEYGTRSSEILQRAPCTLDGPKVPSTTNGIPPSSDLGSHRVPGFHANKKTNVKHHLILNPSSAPIDSEHILGVEGRLNSLLDVNGGISKRKDATNGYLKLLLVTAAEGDNAGGTSKSVRDLSLILDALLKTRSNSVLLDIINKNGLQMLHNILKQNKSDFHRIPIIRKLVKVLEFLASKGILTSEHINGGPRCAGTESFRESMLGLLRHNDMQVQQIARNFRDRWIQWAPRNISRNEPTEYSRASISAHDIHVISTAGGSFPTSANTMDWKSIRRKRKSRWDYQPDDHYKMGGLKIQKVCPVQSEFRTGSVGNKLHGNWGTNSSHNDVPVVGSSADGADDEAPPGFESQQESRPGQACLESGKLKEGHVAKTGKSHLVCLSSPFHHCRPIQEGVLVLPLRCLSMNTTVWDIVAGLQIETGEYIGIGEMGREQNFHTIIKDGDFLTIIKDFESCLLPPTSQEQGDPGPRGRE >ONIVA02G22360.1 pep chromosome:AWHD00000000:2:20568248:20578203:1 gene:ONIVA02G22360 transcript:ONIVA02G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGGWGAAMWGRREVGCGGRGRNNRGLGGAVIFSLTAGRDPEEERDRIAHSRITYGLRTSAQPLRRNLLHFSRSSGNNPAAVVVSLLAACLLLLLAGSSSPPPPAAAASSASRLDRYANGVHITMRGFLMHQLLDSSSSDDDDELILAAALIAQHQYDIDNAPRRRGGSVRSSKMKITWGKNAKTNRQPSVAPSKPGLPFGVDSDNDETEKEETRVVTTDCPGTKPSDNAESLKNQGNRLAEEGKYHEALGKWEAALTLMPNNAILHEQKAQILLELGDAWRALTAATRATELDPLWPEGWVTLGRAQLNFGEPDSAILSFDKALAIEPDNNEAKSDRETAARLVKKRGQLHSSGLSANKRRFTVGEDSEKEKDDSQMEDVEKCKEDEEKERDDSQLENLENYEYEKVDSIRTFLLQDSTSPEGESVRRMWRKGNKRFGGGGEPAAKRRAAGDDGPSESADDDIVVAQISKNRRVAVRTWNGKVVVDIREFYEKDGKTLPGRKGIQLPMDQWKILRDNIKAIDEAIKENA >ONIVA02G22360.2 pep chromosome:AWHD00000000:2:20568248:20578203:1 gene:ONIVA02G22360 transcript:ONIVA02G22360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGGWGAAMWGRREVGCGGRGRNNRGLGGAVIFSLTAGRDPEEERDRIAHSRITYGLRTSAQPLRRNLLHFSRSSGNNPAAVVVSLLAACLLLLLAGSSSPPPPAAAASSASRLDRGFLMHQLLDSSSSDDDDELILAAALIAQHQYDIDNAPRRRGGSVRSSKMKITWGKNAKTNRQPSVAPSKPGLPFGVDSDNDETEKEETRVVTTDCPGTKPSDNAESLKNQGNRLAEEGKYHEALGKWEAALTLMPNNAILHEQKAQILLELGDAWRALTAATRATELDPLWPEVSRHPQGRNTTPGGWVTLGRAQLNFGEPDSAILSFDKALAIEPDNNEAKSDRETAARLVKKRGQLHSSGLSANKRRFTVGEDSEKEKDDSQMEDVEKCKEDEEKERDDSQLENLENYEYEKVDSIRTFLLQDSTSPEGESVRRMWRKGNKRFGGGGEPAAKRRAAGDDGPSESADDDIVVAQISKNRRVAVRTWNGKVVVDIREFYEKDGKTLPGRKGIQLPMDQWKILRDNIKAIDEAIKENA >ONIVA02G22360.3 pep chromosome:AWHD00000000:2:20568248:20578203:1 gene:ONIVA02G22360 transcript:ONIVA02G22360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGGWGAAMWGRREVGCGGRGRNNRGLGGAVIFSLTAGRDPEEERDRIAHSRITYGLRTSAQPLRRNLLHFSRSSGNNPAAVVVSLLAACLLLLLAGSSSPPPPAAAASSASRLDRGFLMHQLLDSSSSDDDDELILAAALIAQHQYDIDNAPRRRGGSVRSSKMKITWGKNAKTNRQPSVAPSKPGLPFGVDSDNDETEKEETRVVTTDCPGTKPSDNAESLKNQGNRLAEEGKYHEALGKWEAALTLMPNNAILHEQKAQILLELGDAWRALTAATRATELDPLWPEGWVTLGRAQLNFGEPDSAILSFDKALAIEPDNNEAKSDRETAARLVKKRGQLHSSGLSANKRRFTVGEDSEKEKDDSQMEDVEKCKEDEEKERDDSQLENLENYEYEKVDSIRTFLLQDSTSPEGESVRRMWRKGNKRFGGGGEPAAKRRAAGDDGPSESADDDIVVAQISKNRRVAVRTWNGKVVVDIREFYEKDGKTLPGRKGIQLPMDQWKILRDNIKAIDEAIKENA >ONIVA02G22360.4 pep chromosome:AWHD00000000:2:20568248:20578203:1 gene:ONIVA02G22360 transcript:ONIVA02G22360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGGWGAAMWGRREVGCGGRGRNNRGLGGAVIFSLTAGRDPEEERDRIAHSRITYGLRTSAQPLRRNLLHFSRSSGNNPAAVVVSLLAACLLLLLAGSSSPPPPAAAASSASRLDRSKMKITWGKNAKTNRQPSVAPSKPGLPFGVDSDNDETEKEETRVVTTDCPGTKPSDNAESLKNQGNRLAEEGKYHEALGKWEAALTLMPNNAILHEQKAQILLELGDAWRALTAATRATELDPLWPEVSRHPQGRNTTPGGWVTLGRAQLNFGEPDSAILSFDKALAIEPDNNEAKSDRETAARLVKKRGQLHSSGLSANKRRFTVGEDSEKEKDDSQMEDVEKCKEDEEKERDDSQLENLENYEYEKVDSIRTFLLQDSTSPEGESVRRMWRKGNKRFGGGGEPAAKRRAAGDDGPSESADDDIVVAQISKNRRVAVRTWNGKVVVDIREFYEKDGKTLPGRKGIQLPMDQWKILRDNIKAIDEAIKENA >ONIVA02G22360.5 pep chromosome:AWHD00000000:2:20568248:20578203:1 gene:ONIVA02G22360 transcript:ONIVA02G22360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGGWGAAMWGRREVGCGGRGRNNRGLGGAVIFSLTAGRDPEEERDRIAHSRITYGLRTSAQPLRRNLLHFSRSSGNNPAAVVVSLLAACLLLLLAGSSSPPPPAAAASSASRLDRSKMKITWGKNAKTNRQPSVAPSKPGLPFGVDSDNDETEKEETRVVTTDCPGTKPSDNAESLKNQGNRLAEEGKYHEALGKWEAALTLMPNNAILHEQKAQILLELGDAWRALTAATRATELDPLWPEGWVTLGRAQLNFGEPDSAILSFDKALAIEPDNNEAKSDRETAARLVKKRGQLHSSGLSANKRRFTVGEDSEKEKDDSQMEDVEKCKEDEEKERDDSQLENLENYEYEKVDSIRTFLLQDSTSPEGESVRRMWRKGNKRFGGGGEPAAKRRAAGDDGPSESADDDIVVAQISKNRRVAVRTWNGKVVVDIREFYEKDGKTLPGRKGIQLPMDQWKILRDNIKAIDEAIKENA >ONIVA02G22350.1 pep chromosome:AWHD00000000:2:20559929:20563356:-1 gene:ONIVA02G22350 transcript:ONIVA02G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERIAASLLPAASPSPAPSPPPPRPRVSAAAAASFPCCSTSAGGLRLRSRPSRFPQKAATTRSGRAGAGARAVVRCMAAAAVAASDAAQLKSAREDIREILKTTYCHPIMVRLGWHDSGTYDKNIEEWPQRGGADGSLRFDAELSHGANAGLINALKLIQPIKDKYPGITYADLFQLASATAIEEAGGPKIPMKYGRVDVTAAEQCPPEGRLPDAGPRVPADHLREVFYRMGLDDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGEPGGQSWTVEWLKFDNSYFKDIKEQRDQDLLVLPTDAALFEDPSFKVYAEKYAEDQEAFFKDYAEAHAKLSDLGAKFDPPEGFSLDDEPAVEEKDPEPAPAPAAAPPPPPVEEKKEAEPTPVPVTVGAAVASSPADDNNGAAPQPEPFVAAKYSYGKKELSDSMKQKIRAEYEGFGGSPDKPLQSNYFLNIMLLIGGLAFLTSLLGS >ONIVA02G22340.1 pep chromosome:AWHD00000000:2:20533974:20556605:-1 gene:ONIVA02G22340 transcript:ONIVA02G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSPQKLILPLGILLSSLVLLHAIAVPSIDEQAAALIAWKTTLQTQQPLQSWDSKAWPCNNWRGIRCGTLQGQRVITKITLHGVRLRGSLEALNFSALTTLTSINLSHNRLTGMIPQSIMSLKELRALILHKNQIRGSLFQLGLTSLTKLRFLVLSNNFLSSSIPKEICSLKRLVSLNLSSNQLGGHIPSEIGFLSKLIRLDLSNNNIIGRLDSLFMTNKPGKYNNKSSLSNLKVLALSSNQLTGPIPHELGGLVSLEYLNLSQNSLVDAIPTKIINLKELKSLDLSDNNLSGHIPEQIGNLVRLRSLKLNSNQLSGHIPNNLGNLAKLCFLYLQSNKLSWHIPQELGSLLNLKDLQLYDNKLIGSIPNSLGNLTELSVLSLSGNTLTGSIPNNICNLTKLSILSLWRNQLSGYISQELGKMLNLESLYLSENMLTGSIPNSLGNLTKLSFLDLSRNQLSGHIPQELGYLVGLKNLSLYDNVLTGFIPNNIGNFTKLSILSLSGNMLTGSIPNSLGNLPRLSTLSVWRNQLSGCISQELGNLVNLENLYLSENMLTGSIPNSLGNLTKLTALHIRSNQLSGSIPKEISSLMNLNILHIDQNNLSGELPLGLCAGGQLQNFTAQDNNLVGPLPTSLLNCKTLVRVRLERNQLEGDISEMGLHPNLVYIDMSSNKLYGQLSHRWGECAKLTTLRASNNRITGVIPSSMGKLSQLGRLDVSSNEIEGHIPPEICNVVSLFNLSLANNMLQGTIPKEVGSLQNLEYLDLSSNNLSGQIHGSIENCLKLRLLRLGHNRLDGSIPVKLGMLVSLQELLDLSDNSFSGIIPSQLGALSMLEALNLSHNTLNGSIPSSFQGMISLSSLDVSYNNLEGPVPHINFLEEAPIEWFMHNKKLCGTVKALPPCDLNQKGGQGKKFKSILLGIVGAAGMSIVFIMSLVAWQCKRKKYGEQSENGVGDAKVFSVWNFEGGEACRQIFETTKYFNETHCIGTGGNGSVYRAQLPTGEIFAVKKIHMMEYDELIFKREIDALTRIRHRNIVKLFGYCSSVHGKFLVYEYMDRGSLSRYLENHNIAIELDWMRRISIVKDVANALSYIHHDCFAPIVHRDITSNNILLDQEFRACISDFGIAKVLDVEASNCTKLAGTKGYLAPELAYTTRVTEKCDVYSFGVLVFELFMGHHPGDFLLSFSMAKESTTLKDLLDARIPLPKAETASEIFRVIMAAVQCLDPNPSRRPTMQHVTRMFSTAEGPSNPDHLHPCAATTDMRSRYRPILLSSLLAPPAIAMPSIEEQAGALIAWKATLQTQEPLQSWDRKAWPCHSWRGIGCGARQGKFVITKISLRGMRLRGSLEVLNFSALTMLTSVDLSHNKLTGRIPWSGASLKELRSLLLQNDQYQYLKSLDLSDNNLSGYIPEQIGNLVKLRSMKLNSNRLLEVGNLAILEDLRLGINKLSSSISNSIGNLAKLSVLILWGNQLSGHIPNNLGNLTKLSLLDLCHNQLSGHIPQELGYLVNLKGLRLCDNMLSGSIPNNLENLTKLTVLSLYKNQLSGHIPQELGYLVNLKNLSLYSNNFTGLIPRSLGNLSKLSHLFLWHKQLSGHISQELCKLVNLEKLDLSFNMLTGSIPNCLGNLTKLTDLALFENQFSGHISQELGKLANLENLELFKNMLTGSIPNNLGNLTKLIDLSLFENQLYGHIPQELGYLNQLSGHIPQELGYLVNLKNLSLYLNNLIGLIPSSLGNLSKLSHLFLWHNQLSGHISQELGKLVNLENLNLSKNMLIGSIPNSLGNLTKLSNLLLWSNKLSGHIPKELGNLVNLNNLSLADNNLTGSIPNSLGNLNKLYSINLVSNQLSGFIPQELGNLVNLEFLEISLNNLSGELPSGLCAASRLQNFTADHNSLVGPLPTSLLNCKTLVRVRLERNQLEGDISELGLHPNLVYIDMSSNKLFGQLSPRWGHIPPEIGSMVSLFNLSLANNLLHGNIPEELGSLQNLEYLDLSLNNLSGPIQGSIENCLKLQSLRLGHNHLGGSIPIKLGMLTYLQELLDLSDNSFAGIIPSQLSGLNMLEALNLSHNTLNGSIPPSFKGMISLSSMDVSYNNLEGPVPHIKFLEEAPVEWFVHNKHLCGTVKALPPCNLIQKGGKGKKFRPILLGVAAAAGISVLFITALVTWQRRKMKSVEQSENGAGNTKVFSVWNFDGGDVCKQSFEATENFNGTHCIGMGGNGSVYRAQLPTGEIFAVKKIHMTEDDELIFKREEDALMSIRHRNIVKLFGYCSTVHVKFLVYEYMDRGSLSRYLENHNTAIELDWMRRINIVKDVDNALSYIHHDCFAPIVHRDITSNNILLDLEFRACISDFGIAKILDVEASNCTKLAGTKGYLAPELAYTTRVTEKCDVYSFGVLVFELFMGCHPGDFLLSLSMAKESTTLKDLLDARLPLPEAETTSEIFRVIMAAVQCLDPNPLHRPTMLHVTRMFSTAEVHGNLRPPLPPSPPLLSSTTATPPPTPPVGTPKSEAADRRPPPARRRLPLVSAAAVEEEDGEWHPLPLSAADLSLPLTLPTGQTFLWRRTSLSPLRFTGAVGPHLVSLSHLPSSDGRLAFLLHNNGGSSSSSVPAAARAALSDYLNAAVPLADLWRRFAAADARFAEVSARLGGGGARVLRQDPVECVFQFLCSSNNNIARIEKMVWALAGYGERLGEVGGYQFHQFPTIERLARVSEQELRDAGFGYRAKYIVGTAKILQAKPGGGEKWLASLRTRELPEVIEALCTLPGVGPKVATQYLMPELAGKSLTPKLSVAVADAFVAKFGNYAGWAQNVLFIGQLSAQKLMVAETTNTSTKPTKRKRSCDFVFYPVPATYHGFEKCPNLILADRLSGVK >ONIVA02G22330.1 pep chromosome:AWHD00000000:2:20510638:20511657:-1 gene:ONIVA02G22330 transcript:ONIVA02G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPSTVAAFYGTLARGLDELERSLAASSAAAEFVSAASLRAALALIRAAHAGLARLVGSLHLPGGAAWLDEYMDETSRLCDACRALRLGAASLEGYSDSASRLASALRSSHLSFEVSHSHAAAAASAAVVVTIDLWVQVTRAMSACRRDAMAIREENRVLVETRAEALALRLAETVPPDAKFTGFNGFRGVLCATRMLTSFLLTLLSWGVLHYWPDAGGAGGATDCGAYFGAAFASALARAQQRAAAAAAAVSPSVVDAGGGAMMHEFRRARAAVEQARDAVDRAGDVAAAAAEVALRADALRSGCEDVIALIDDLFDEVAEGRKKLLDLCSGGGGN >ONIVA02G22320.1 pep chromosome:AWHD00000000:2:20505039:20508971:1 gene:ONIVA02G22320 transcript:ONIVA02G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVKGWPKYWPRFANASWVDLFETTMELARFRCQGNGGKAEDGIQEMEEELPEPLASTQKLSPLLCFPHSICDSFLFHLHPKNSHPLHLFITPTLSFFLMDYSGGGPAPAPATGRARVRGRWCYCRCFRRTTSAAPPPPPPEPHALQRFRPQHFLPPTVPAPTHGYKMCCILP >ONIVA02G22320.2 pep chromosome:AWHD00000000:2:20505039:20507837:1 gene:ONIVA02G22320 transcript:ONIVA02G22320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELARFRCQGNGGKAEDGIQEMEEELPEPLASTQKLSPLLCFPHSICDSFLFHLHPKNSHPLHLFITPTLSFFLMDYSGGGPAPAPATGRARVRGRWCYCRCFRRWGRCFCCCYCCNSFPPTQDDLRGPATAAT >ONIVA02G22320.3 pep chromosome:AWHD00000000:2:20505907:20508971:1 gene:ONIVA02G22320 transcript:ONIVA02G22320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELARFRCQGNGGKAEDGIQEMEEELPEPLASTQKLSPLLCFPHSICDSFLFHLHPKNSHPLHLFITPTLSFFLMDYSGGGPAPAPATGRARVRGRWCYCRCFRRTTSAAPPPPPPEPHALQRFRPQHFLPPTVPAPTHGYKMCCILP >ONIVA02G22310.1 pep chromosome:AWHD00000000:2:20498428:20503506:1 gene:ONIVA02G22310 transcript:ONIVA02G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0G833] MASAAAHAATATAAAVILVVFLAPLAAASDSDHKYQSEEKVMLWVNKVGPYNNPQETYNYYSLPFCHPSNNPVHKWGGLGEVLGGNELIDSQIDIKFGRDVDKGTICSIELDPDKAKQLSDAIESSYWFEFFIGFVGEADRNSDNKYFLFTHKNIVIRYNGNQIIHVNLTQESPKLIDAGKALDMTYSVKWEPTNVTFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDLETLERDVSEESGWKLVHGDVFRPPRSLALLSALVGVGTQLSALILLVILLAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKAMIMTASLFPFMCFGIGLVLNTIAIFYRSLAAIPFGTMVVVFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSVYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSTLFVRRIYRNIKCD >ONIVA02G22300.1 pep chromosome:AWHD00000000:2:20488207:20492015:1 gene:ONIVA02G22300 transcript:ONIVA02G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTAGRRRHRWVKEWVPQDLVVAGGPCALYKWVREDRLSALKSRDKEQEAELAKPEPTTEVLFLCSYEGCGKTFVDAGALRKHAHVHGERQYVCHYAGCDKKFLDSSKLKRHFLIHTGEKNFVCPHEGCGKAFSLDFNLKAHMKTHSADNYHVCKYPECARRFTQESKLRAHIKQQHEKGGLQNPGGSATNRSGLADHSHNSHTPKPSAAPPTPSADRPYVCPYDGCAKAYIHEYKLNLHLKKEHPNHYQDAGAQATSSKMSKRRSKPSLMAKMPLPKVPKRRGYTEPYQPPVSVVEEHQWPRNVLYEDDSEETEEEGDNVGDGARYRAASSDDDEETEDEE >ONIVA02G22290.1 pep chromosome:AWHD00000000:2:20468976:20473657:-1 gene:ONIVA02G22290 transcript:ONIVA02G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSAGIPGDRSPAELSFRAMGLVVEQELKAFPAVAGKVQGKHKTAPVEVAPEPDPPRRLSPEKAPRLSTGGGGGKARRSVSKEPQLVRSSSEKLKAGKASTSGIGKAVEVLDTLSSSMTNLSPVGGFVAGAKMKGSPQKILAFEVANTIVKGMSLMQSLSKESVRYLKGTVLRSEGVKRLVSSDMSELMRIAAADKRQELALFSREVIRFGNRCKDTQWHNLDRYFSKLESEITPQPNLKEIAEAEMQQLLTLVRHTADLYHELHALDRFEQDYRRKLEEEKKSVAFERGDTVQIIRQELKSQRRHVKSLKKKSLWNKMLEDVMDKLVDIVHFLHVEIQESFGTYDGALQLNQPSESRQTLGSAGLSLHYANIISQIDNIVSRSTVPPQSTRDALYQGLPPTIKSALRKKLHNCPQPQEVPITEIRSSMERTLQWIIPIANNTARAHHGFGWVGEWANTGNDAMRRAAGQPDVIKIETFYHADKAKTEACILDLVLWLHHLISYSRPSNGGRSRSPSRSPVRSPPLTPPHQVPTTTSSSSPPPPAVARPSGGTGGGLTREDRAMLQDVYAGRRRRAPGHSRSQELSSARGGGGAGGDSSGGGGGGGKLFPLSRRPSSAVVSPAVDFDIDGIKALADAQKRQ >ONIVA02G22290.2 pep chromosome:AWHD00000000:2:20468976:20473657:-1 gene:ONIVA02G22290 transcript:ONIVA02G22290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSAGIPGDRSPAELSFRAMGLVVEQELKAFPAVAGKVQGKHKTAPVEVAPEPDPPRRLSPEKAPRLSTGGGGGKARRSVSKEPQLVRSSSEKLKAGKASTSGIGKAVEVLDTLSSSMTNLSPVGGFVAGAKMKGSPQKILAFEVANTIVKGMSLMQSLSKESVRYLKGTVLRSEGVKRLVSSDMSELMRIAAADKRQELALFSREVIRFGNRCKDTQWHNLDRYFSKLESEITPQPNLKEIAEAEMQQLLTLVRHTADLYHELHALDRFEQDYRRKLEEEKKSVAFERGDTVQIIRQELKSQRRHVKSLKKKSLWNKMLEDVMDKLVDIVHFLHVEIQESFGTYDGALQLNQPSESRQTLGSAGLSLHYANIISQIDNIVSRSTVPPQSTRDALYQGLPPTIKSALRKKLHNCPQPQEVPITEIRSSMERTLQWIIPIANNTARAHHGFGWVGEWANTGNDAMRRAAGQPDVIKIETFYHADKAKTEACILDLVLWLHHLISYSRPSNGGRSRSPSRSPVRSPPLTPPHQVPTTTSSSSPPPPAVARPSGGTGGGLTREDRAMLQDVYAGRRRRAPGHSRSQELSSARGGGGAGGDSSSAVAAAAQLSKNDRLSKSSNDAPARSGGGGGGGKLFPLSRRPSSAVVSPAVDFDIDGIKALADAQKRQ >ONIVA02G22280.1 pep chromosome:AWHD00000000:2:20462851:20463501:1 gene:ONIVA02G22280 transcript:ONIVA02G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSPPPPPLPATTATPRRRRRRRLLPSSAGGGGSSSSFSSTNSSSSSPFVSFLPPPTSPSPFHRFLPSPLRASSVPFSWEHRPGIPKTPARSSRSSSKLPPPGVVGGGKHYPSAPPLPLPPSLLSRAAFDPYASAVVPAEYAASGAMPPHPPPGYYYPAGAKAARRLRLRRRRPRLADALAEWLSVLSLYRSCKRVAACFAAKAKPPPPAPAP >ONIVA02G22270.1 pep chromosome:AWHD00000000:2:20450265:20454043:-1 gene:ONIVA02G22270 transcript:ONIVA02G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEFPGQAPTSRLHSEMDAAAAGGGGAAKRKRSFSEDDAYLILHRYQPATILTMLQEMGKQVGAGKGIDWRALVRRTSTGITSAREYQMLWRHLAYGHEFVESVEPASLPLDDGSDLECEIEIVPAPGNEALAEATSFAKTIITGSSREQTSGQRVNSEAAALNTPNEKIVRVPSDKQLVPGHRLTNGTGPVSSSKQPSHTGSSPDPLDPNGHPKKKKKPKPWSREEDMELGAGVQKHGEGNWMEILHKYKFDSSRTHLQLQQRWALICKRQGSTKPATPKIPNVMSEELKAAQKAFSLAIGTTRSSTLRPGGVPHHNPMVTTTTPEVKSVTAPSPLPVPVHVVPVPVPVQPVQVQLPPPQLHQAPAQSAPPPLKVSNASNKSRNNSKKQVAQSIPVNGPLSIQEAALAAGGRIAEPSVATNFLKAAQSTKAVHIRSRGTGSSKSSTGSKTPTVAGEPGTQLGSDQHLELQSTGGPSQVLTAHATEQVHNVSEVAGVNPLGQSAGVHLSETKKALNTTPVSGSSDKMEIDDNSNYFAVTMEDLFPEDVKQEDVQDPKIEEAIDPKDADMLEFDRFVAQGCLNKDNVDKSKAAKIAPEAQSVIGSQKKQLKTLPTVGKSNPVFAGPPATAKKTKAPVPQGGMSSGIVGVLNRSLGGKAPAPATTGTQSTVQKQQSMSSKGNLLVPKNVAPGTVTPANNRANTAVNGASKVNPPASQKPA >ONIVA02G22260.1 pep chromosome:AWHD00000000:2:20432663:20437293:-1 gene:ONIVA02G22260 transcript:ONIVA02G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELLKDIGSGNFGVARLMRNRETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICSAGRFSEDESRYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIVSIQYKIPEYVHISQDCRQLLSRIFVANPAKRITIREIRNHPWFMKNLPRELTEAAQAKYYKKDNSAPTFSDQTVDEIMKIVQEAKTPPPSSTPVAGFGWTEEEEQEDGKNPDDDEGDRDEEEGEEGDSEDEYTKQVKQAHASCDLQKS >ONIVA02G22250.1 pep chromosome:AWHD00000000:2:20427256:20429355:-1 gene:ONIVA02G22250 transcript:ONIVA02G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTDGEGGCEGGGGDPMCVDDNAIVAVETGVGVDQGIGSQHDDAGGDRVMEGQGAVTNSGDEMGMRAYGDEAETEEAATVHGSKEGTEELLRKVVYSEEAAYKLYCDYGHRMGFSIRKGKQSYFTGTKRIRTKDYFCSKEGLKEGERLTDANFNDPHTRTNCKAMVRFRVNNHGEWKVIRLVSDHNHNLARPEERHLLRSARSLIAGRSSSVETSKQDSLSCYISMARTLAIGNADLQNLVRHLKSRANEDGNEELRKSLWETLESGEKELEKYLENVTQYALSYAT >ONIVA02G22240.1 pep chromosome:AWHD00000000:2:20423012:20426253:1 gene:ONIVA02G22240 transcript:ONIVA02G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0G825] MAAGAIPMAYQTTPSSPDWLNKGDNAWQMTSATLVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVVWAYNMSFGDRLLPFWGKARPALGQSFLVAQSELTATAIRYHNGSAEAPMLKPLYPVATMVYFQCMFASITIIILAGSLLGRMNIKAWMAFVPLWITFSYTVCAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDRERFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLVWTILDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGATTGLFAEPILCSLFLSIPDSKGAFYGGPGGSQFGKQIAGALFVTAWNIVITSIICVIISLILPLRIADQELLIGDDAVHGEEAYAIWAEGELNDMTHHNESTHSGVSVGVTQNV >ONIVA02G22230.1 pep chromosome:AWHD00000000:2:20417732:20420485:-1 gene:ONIVA02G22230 transcript:ONIVA02G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQRWHPTVNEREFIERALQSDLRVDGRRPFDFRRLKILFGREDGSAEVQLGDTRVMGYATAQLVQPYKDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVVDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGEDGQQVTVHDPEVRDPLPLTIHHLPIAVTFAYFGEGNIMVIDPTYKEEAVMGGRMTATINSNGDVCSIQKAGGEGVMSSVIMQCLRIASVKAADITSKIKNEVNSYTSAKALQKVKRLPASALKKDNAPDVAMKESSDGALETQSTKPSSDGQQIDKGDDEDHRNIKRNSSLTVDRTAKQKQTSKFIGGPSNWDPYSKGVSLSSLRISQLPEPPSVVEDNKQEDVEPMLSECNPEAQSESRLTAGGSDETQEAISPKSLKDAVKPKHKIKKKQHSKS >ONIVA02G22230.2 pep chromosome:AWHD00000000:2:20417732:20420485:-1 gene:ONIVA02G22230 transcript:ONIVA02G22230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQRWHPTVNEREFIERALQSDLRVDGRRPFDFRRLKILFGREDGSAEVQLGDTRVMGYATAQLVQPYKDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVVDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGEDGQQVTVHDPEVRDPLPLTIHHLPIAVTFAYFGEGNIMVIDPTYKEEAVMGGRMTATINSNGDVCSIQKAGGEGVMSSVIMQCLRIASVKAADITSKIKNEVNSYTSAKALQKVKRLPASALKKDNAPDVAMKESSDGALETQSTKPSSDGQQIDKEPPSVVEDNKQEDVEPMLSECNPEAQSESRLTAGGSDETQEAISPKSLKDAVKPKHKIKKKQHSKS >ONIVA02G22220.1 pep chromosome:AWHD00000000:2:20407334:20410412:-1 gene:ONIVA02G22220 transcript:ONIVA02G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGAGGMRRSASHTSLSESDDFDLSRLLNKPRINVERQRSFDDRSLSDVSYSGGGHGGTRGGFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTIAAFDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVRHFLLKTLLLQGWEKKVDRFKLGEGAMPASFKVLHDSKKGVDTLHADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQLLKHDNEGKEFVERIATRLHALSYHMRSYYWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFIGNVSPARMDFRWFALGNMIAILSSLATPEQSTAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPVLKRSASWTN >ONIVA02G22210.1 pep chromosome:AWHD00000000:2:20396727:20402544:1 gene:ONIVA02G22210 transcript:ONIVA02G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIHPNPDTLTMPDLDLLKAGKGRRQTRRLRNDMDASEAGGPVKRCEDCLQYGHRTRDCKNNKEGTSSSMEPRQQRAMKNRRGSQDMEDEWPYPLLSKEIDARHRAKKISDGNSCSSLAVLIPRTAGWWGIDPRWKPRQKRQADSKYRRSMHSRMTPWIEAWSQAFNDVIQETRAYDHNNYELYMAWYSSQTHIRLLAPEDPDERGELKREAKTLWEKLRDGMAGTNQE >ONIVA02G22200.1 pep chromosome:AWHD00000000:2:20389097:20392369:1 gene:ONIVA02G22200 transcript:ONIVA02G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRGVGGRLAALASKVDSKIYYLKDVLVEYMDMKNVVAEIAEERERFQLEQRGNDALLEAMKEELVAANNELEAAKEEISRKNNELESVKKQLQESEARNIQAEQQSGIVVELMQPRGVQTRSMQKRKRPLQGPLGCEADDQEYTSQINVQSPRCLETMRTPDVKKRSVQKQKHLSQGLPGDPGELQLMEGHLSDPNAGEASGALVSKDDDLEAVREELIKGFLDIDNGGRKLGIKEMGQLNEKVFQIACLAKLPPEEVGEASYELYSSWQKQLSDLSWNPFKTITVDGNGKEIVNVDDEKLQELKRDYGEGAHKAVMNALMEMKEYNVLADRSIAYELWNYKDGRKATLRECVEYVCNQVKQLTVTKRRKSRSFRPLARFDYV >ONIVA02G22200.2 pep chromosome:AWHD00000000:2:20389871:20392369:1 gene:ONIVA02G22200 transcript:ONIVA02G22200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRGVGGRLAALASKVDSKIYYLKDVLVEYMDMKNVVAEIAEERERFQLEQRGNDALLEAMKEELVAANNELEAAKEEISRKNNELESVKKQLQESEARNIQAEQQSGIVVELMQPRGVQTRSMQKRKRPLQGPLGCEADDQEYTSQINVQSPRCLETMRTPDVKKRSVQKQKHLSQGLPGDPGELQLMEGHLSDPNAGEASGALVSKDDDLEAVREELIKGFLDIDNGGRKLGIKEMGQLNEKVFQIACLAKLPPEEVGEASYELYSSWQKQLSDLSWNPFKTITVDGNGKEIVNVDDEKLQELKRDYGEGAHKAVMNALMEMKEYNVLADRSIAYELWNYKDGRKATLRECVEYVCNQVKQLTVTKRRKSRSFRPLARFDYV >ONIVA02G22190.1 pep chromosome:AWHD00000000:2:20383018:20386663:-1 gene:ONIVA02G22190 transcript:ONIVA02G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEEEEGIPLRANRNGPQLRRSPNYTGLKEAHTSRIPPPISSPPAAAAAAAAAHGFSGSSTRPPYRSPPRRGYGGRGRSPPRRGYGGRREQGSGSLLVRNIPLSCRGEDLRVPFERFGPVRDVYLPKDYYSGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEEMRSRARVRGYSGNEGRRSSYYGRSRSRSRSPRYRGRPRSRSYSPAPRRRDDYSASPPRKDTHPTKSPRRQPKEHDEEKKRRSYSPASRDGDPRDADNGYEKRSPPPDSDGSPPHRRSPRHSSGSPPGSRSRSADVSPARSD >ONIVA02G22190.2 pep chromosome:AWHD00000000:2:20383018:20386663:-1 gene:ONIVA02G22190 transcript:ONIVA02G22190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEEEEGIPLRANRNGPQLRRSPNYTGLKEAHTSRIPPPISSPPAAAAAAAAAHGFSGSSTRPPYRSPPRRGYGGRGRSPPRRGYGGRREQGSGSLLVRNIPLSCRGEDLRVPFERFGPVRDVYLPKDYYSGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEEMRSRARVREVSFPFSLSPLSRSYSPAPRRRDDYSASPPRKDTHPTKSPRRQPKEHDEEKKRRSYSPASRDGDPRDADNGYEKRSPPPDSDGSPPHRRSPRHSSGSPPGSRSRSADVSPARSD >ONIVA02G22180.1 pep chromosome:AWHD00000000:2:20374882:20377612:-1 gene:ONIVA02G22180 transcript:ONIVA02G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQCAHQLFDDCSTQASPERVQMITRSQRLQGLELLENGVQNQELVPNDHYVEEQNITNADWRTNCYEYYPDGRIKRHRGPTKLANVENLPKGVKIIVKLDRFNVPCSQSAIVLGSYLGTLVRKPHLAPLNILQWNHKLYKRAYHPKMISEVELDGKWRQYKSKLKRGYYKPNLPMERVLQTVPKIVAESQWATLVSYWYLEDSKKISDKNKENAQNIKHPHILGRKSFAIKRKELEVNGVEVDRATFFDECHKTKDGRYVNDATEEKMNEVYMKLAEKRVDGQELNEADFEQAMLEVFGKDHRGRVRGMGPTITPTNYYGGRFSNISGSNEGSSSSNVNGFISFIVSYLTEKYPEDNLISRLPPSVARVIPRQEVDQNEGSQPPNTATSSLPFDQNYENQLPNTTPSSSARASSQSCSEEE >ONIVA02G22170.1 pep chromosome:AWHD00000000:2:20351747:20353097:-1 gene:ONIVA02G22170 transcript:ONIVA02G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-like HTH transcriptional regulator family protein [Source:Projected from Arabidopsis thaliana (AT2G37630) TAIR;Acc:AT2G37630] MQPPPMRERQRWRPEEDAILLAYVRQYGPREWSLVSQRMNRPLHRDAKSCLERWKNYLRPGIKKGSLTDDEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRELRDRDRRRLPPPLDGDERGGAGGRYDWLLEDFADKLVNDHHRRMMAAPILPPWMSSSPSSSSSPSVTLSLASAAVAPAPAAPPPTEMEEGQRAWAAHRKEAAWRMKRVEMQLETERACRRREAAEEFEAKMRALREEQAAAVERVEAEYREKMAGLRRDAEAKEQKMAEQWAAKHARLAKFLDQVAACRRWPPVEINGGGGGGPGGGR >ONIVA02G22160.1 pep chromosome:AWHD00000000:2:20341665:20352133:1 gene:ONIVA02G22160 transcript:ONIVA02G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G17760) TAIR;Acc:AT1G17760] MDIYNVEAAEILANEAQLLPIGEAAPIYEKLLSTFPTAAKYWKQYVEAYMSAKDDEATKQIFSRCLLSCLQINLWRCYINFIRRVNDKRGSDGLEETKKAFDFMLNYVGNDVASGPVWMDYIAFLKSMPVVTPQEESHRMTTVRKVYQKAILVPTNHVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIDDIDWNMLAVPPTGSYKEEQQCMAWKRLLAFEKGNPQRIDATTANRRVTFTFEQCLMYLYHHPDIWYDYAMWHAKNGSVDSAIKIFQRAVKALPGVLKYAFAELEESRGAIQPAKAIYESLIAENAGMTSLAHIQFIRFLRRTEGIEAARKYFLDARKLPGCTYHVYVAYATMAFCLDKDAKVVKGIILISSATILFQVAQSVFEAGLKRFMHEPGYILEYADFLCRLNDDRNVRALFERALSLLPPEESIEVWKRFAQFEQTYGDLSSMLKVEQRRKEALSRTSEDALSALENTLYDVVSRYSYMDLWPCSTKELDYLSRHEWLAKNIANRGDKSVVLTGGATLDKGDIRVGSNKKSFPQSSKVVRPEISRMVIYDPRQMKGPDFSTTASGYTKEIDEILKRLSPQMMSFITNLPAIEGPSPDMDIVLSVLMQSTLPVGDKPGSQVPGPATSDLSGPGKSGLNQNGSIHRPPRDGQPTKRKNSERGRAQEEDDTSTTVQSRAMPRDIFRLRQIQRSRGLGPSQSGSAALSSGRHEKKKQESEVSNYACMQRHRPAAHRPPPGPPPPPPLISTGGQRRQAATWSRNLARRACLAAHCSAIFCSLASASRRRPAIFSRYSASTRSTAAACSSLSALIFASNSSAASRRRHALSVSSCISTLFILHAASFLCAAHALCPSSISLQQ >ONIVA02G22160.2 pep chromosome:AWHD00000000:2:20341665:20352133:1 gene:ONIVA02G22160 transcript:ONIVA02G22160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G17760) TAIR;Acc:AT1G17760] MDIYNVEAAEILANEAQLLPIGEAAPIYEKLLSTFPTAAKYWKQYVEAYMSAKDDEATKQIFSRCLLSCLQINLWRCYINFIRRVNDKRGSDGLEETKKAFDFMLNYVGNDVASGPVWMDYIAFLKSMPVVTPQEESHRMTTVRKVYQKAILVPTNHVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIDDIDWNMLAVPPTGSYKEEQQCMAWKRLLAFEKGNPQRIDATTANRRVTFTFEQCLMYLYHHPDIWYDYAMWHAKNGSVDSAIKIFQRAVKALPGVLKYAFAELEESRGAIQPAKAIYESLIAENAGMTSLAHIQFIRFLRRTEGIEAARKYFLDARKLPGCTYHVYVAYATMAFCLDKDAKVAQSVFEAGLKRFMHEPGYILEYADFLCRLNDDRNVRALFERALSLLPPEESIEVWKRFAQFEQTYGDLSSMLKVEQRRKEALSRTSEDALSALENTLYDVVSRYSYMDLWPCSTKELDYLSRHEWLAKNIANRGDKSVVLTGGATLDKGDIRVGSNKKSFPQSSKVVRPEISRMVIYDPRQMKGPDFSTTASGYTKEIDEILKRLSPQMMSFITNLPAIEGPSPDMDIVLSVLMQSTLPVGDKPGSQVPGPATSDLSGPGKSGLNQNGSIHRPPRDGQPTKRKNSERGRAQEEDDTSTTVQSRAMPRDIFRLRQIQRSRGLGPSQSGSAALSSGRHEKKKQESEVSNYACMQRHRPAAHRPPPGPPPPPPLISTGGQRRQAATWSRNLARRACLAAHCSAIFCSLASASRRRPAIFSRYSASTRSTAAACSSLSALIFASNSSAASRRRHALSVSSCISTLFILHAASFLCAAHALCPSSISLQQ >ONIVA02G22150.1 pep chromosome:AWHD00000000:2:20339632:20340018:1 gene:ONIVA02G22150 transcript:ONIVA02G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNDRQRPASVAPLLLTALLLLLLTAVAAAAPGGGYSGRRAVVAVDDLAGMMAAALGRQQRLEDVVAPELLPGGAGGLVVGAGGGGGAYGTLDPDEAACPQKGGCAGKKPGEAYTRPCTYKNQCERH >ONIVA02G22140.1 pep chromosome:AWHD00000000:2:20329252:20329629:1 gene:ONIVA02G22140 transcript:ONIVA02G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVVVPAAAVSAQLAAALLLLLLLHAAVVVVAGGGGGGSVVAVDRYGAAGAMLLLPRRRRQQLEDEVVFPAAMAVVGAEQLQQGGSFSGLTANKQVCLPGHSCAAFAMPYTGHGCIYRNNCKQ >ONIVA02G22130.1 pep chromosome:AWHD00000000:2:20326986:20327432:1 gene:ONIVA02G22130 transcript:ONIVA02G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKAGLGAQLLLITLAALLILLITAVAAAAPAAGGGYSARVVIVAGKKSSGSGATATAHRRVVVDDLAGMMAALGRQRLEDVVAPEEELLIPGAAAGGLVVGAGGGGGGYGALKANQQACPGSCAGKQPGGAYTRPCTYGNNCFRPS >ONIVA02G22120.1 pep chromosome:AWHD00000000:2:20323184:20323687:1 gene:ONIVA02G22120 transcript:ONIVA02G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFGVLPLVVLVAGVLIVAAGAGTATDDAAGRRHRVAIGVDDDVDVAAALMDHDHHPQRRGGGWRMRWWWKRGSRWSAAASPSEQGDTTAAASPTAASAGRGRRALAPAGAPPEGGPTHGRARTATAAAAGGRDRSIRPPPYTYARIGDDDGKPAVVQINVCTRIC >ONIVA02G22110.1 pep chromosome:AWHD00000000:2:20320884:20321261:1 gene:ONIVA02G22110 transcript:ONIVA02G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHRLAVPAAAVLLLLFLIHVVLVAGDDGYAGAGGGVVHAAVLPLPPRQLLQEVAAPDDQLLLRPAVVGGSLATKPPPPRGATGSLIPDRPACPRGCAARGQRGADAYTRPCTYANGCMKGRRP >ONIVA02G22100.1 pep chromosome:AWHD00000000:2:20316992:20317750:-1 gene:ONIVA02G22100 transcript:ONIVA02G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKAAKSKSKSKSGGHGHDAAAASKKSKGGKAKANNAAAAAPATSLDALFRPCGDVKGLRFGAQLVTRALTVRRAAPLELPHLLRVADERQREAPLAFAPTTTAYIPTNFAILAHHAWHTLTLGLGTRNSKAAVFVFESAAMKAAADAAWPQVVPLGDAGRRLIRAAPGAPEMARFKFRKGCVTFYVYAARTAGARGFARADELRAVVEAVAKLKDFLDHTAMLALPGQRSIDVAAAADAAAAAPVGVVH >ONIVA02G22090.1 pep chromosome:AWHD00000000:2:20313192:20315293:1 gene:ONIVA02G22090 transcript:ONIVA02G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKMRMAAMVDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >ONIVA02G22080.1 pep chromosome:AWHD00000000:2:20309157:20312651:1 gene:ONIVA02G22080 transcript:ONIVA02G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGTLRGLARQLNLARLPMAAAVAPAAGATPATAKAKAIYD >ONIVA02G22080.2 pep chromosome:AWHD00000000:2:20311532:20312651:1 gene:ONIVA02G22080 transcript:ONIVA02G22080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGTLRGLARQLNLARLPMAAAVAPAAGATPATAKAKAIYD >ONIVA02G22080.3 pep chromosome:AWHD00000000:2:20311532:20312708:1 gene:ONIVA02G22080 transcript:ONIVA02G22080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGTLRGLARQLNLARLPMAAAVAPAAGATPATAKAKAIYD >ONIVA02G22080.4 pep chromosome:AWHD00000000:2:20309128:20310197:1 gene:ONIVA02G22080 transcript:ONIVA02G22080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSSCGCGKMYPDLAEKNTTITTSDTMILGVAPEKGRGEAVFEAAAGSGEAGHGCSCGSSCKCNPCNC >ONIVA02G22070.1 pep chromosome:AWHD00000000:2:20297073:20298088:1 gene:ONIVA02G22070 transcript:ONIVA02G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGSCNCGSSCKCGSGCGNMYPDLAEKTTNTSATMVLGVAPAKEQFEGVGKAAESGEAAHGCSCGSSCKCNPCNC >ONIVA02G22060.1 pep chromosome:AWHD00000000:2:20290091:20294887:1 gene:ONIVA02G22060 transcript:ONIVA02G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGRRSSISPFVPLRIPRREQSFSLPWFVWNDGGEQASPGCLEGLFNFLALNQRLQMPKAIAYRKHNEGSRVKVPKPKNRSENDESVPKGTNSPKAKVGTFIWRTLMFKKKTLKKDQKKSDSPANSPSSSRLTRSRSIHHSKCFEYVVPDELASQYHTMIESSSNEVDSFHSAPPLVQESPKVPNFQESCKSSGAKHSFNAEAPCETVPQSSNDETEAASKQKSRDAATHHSKEFMDFLELFNAHRELFLKILHDPSLLVPLENQDQEASSSGAVPLNKSDSFPRPGGSSGKRNPIFDRNDSENNRRSEIQKSPSRLKSDIETAKVIGTRMPDGVEGSSVSLTESKSLRKSGTTSNRFKAIRKKIKAAVKENRKELARITKDGVFHKLPYGQKMAGFMKSPSTDKNVQEEKQMRKSYSIAESIDKYSTLYESISRNPKISPERPSTMFEGDAKLKDKKPPLSMKRIASLPEMRLYSPQRDVLSEVSDSQIVPKTHDLESGCFSSQQTDPFSICTDGSFYPDDITERTADIYSEHNYGESALLGSLEEDLRSILRSPSLPSVVQSFSHRRINSLPSFDRSFFQDRVTNVTEHSIADSEPTFEHMQLEDDDWLVKPPHPPGPYDASLKDDEWLVRPLKSSGADTIDHEDEEWLVSTSQLPGGNAADFEDEEWLVKPVQSSSADALDSEFQFIHEFAEDAGSLHIYVNDKNEADFHYVKDILKKSGFSCGEADWYASNQPLSPVIFEEAEFSCQETYTANDDPHSVVRRMLLFDLINEVLMDIYDSSLVTGPWHSRFDSRTRPIPMGSHVLGEVWGKVSYNLSLQWKPDLTVEDVVAHDVMMKDRWMNLVYDAECLALDLEDMVVDDLLDDIVLQIVLISIDA >ONIVA02G22050.1 pep chromosome:AWHD00000000:2:20287517:20288446:1 gene:ONIVA02G22050 transcript:ONIVA02G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAARRGGGGGWLHAAWLALTGGAAELITAEEVAAGGGGAVRSGSRYELVSTEEPDGDETSWESNPGPASEAALFLVAREEDPKTTTTSSPESIFACDELRVSRPEFWRWPAKKGSGGDGEPATAVESEPFLTRRRGPKRVNDAEMEDHPFSFGRHGRMESSSAAAAAALLLLSSS >ONIVA02G22040.1 pep chromosome:AWHD00000000:2:20285447:20286556:1 gene:ONIVA02G22040 transcript:ONIVA02G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWIRLKTFLTSDELFMNRNATARLNSWRRRKYQNIQVTDKSSIDELQFDRQLTVASCQGFPNRQGGGYRAPVEVTAVFILPYPRGKPGNHARPLNKKAAAGGFQGKLQKILRTSLAKSRHRSISGPHNVAHTDLQEIGPTLAWAY >ONIVA02G22030.1 pep chromosome:AWHD00000000:2:20280308:20280886:-1 gene:ONIVA02G22030 transcript:ONIVA02G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVCGDDQAAEAAPVRGGGEDAVVVVVSGEGAASEAGAASTTAVAGDGVVEASASVDLTGERGRRRDDEAPTTAAAVAEEEASAPPAVVVAGAGDGDDDEDGYVTPTSPRQRLQPPTVCPPAPKAARSAPTRLPARRFEGALVMAASASPPGRKRVQANPDSESDEVVVAFIRSLRQRLLPPEDEKKNPM >ONIVA02G22020.1 pep chromosome:AWHD00000000:2:20278530:20278805:-1 gene:ONIVA02G22020 transcript:ONIVA02G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMGREVVEQAAERLADAGMIQFTALPEPRAPPPAAAAATARGRWRCRVCQVECGGVEGFREHCMSDEHYAGLQLFALHSHLFTDHPNL >ONIVA02G22010.1 pep chromosome:AWHD00000000:2:20265492:20270183:-1 gene:ONIVA02G22010 transcript:ONIVA02G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7Z5] MAGDRAEEEEGEAPPPEARAAAAVERVAAAVEAVAAGAGAGAGEYRNAYRRQLLALSRRIRLLGPFVEELRERRRGEGEEEERALALLRLGREGSRISLVLERDSVMKKFQGVILQLEQALCDIPYNELDISDEVREQVELVHAQLKRAKERIDMPDDEFYNDLLSVYDKNYDPSAELAILGRLSEKLHLMTITDLTQESLALHEMVASGGGQDPGEHIERMSMLLKKIKDFVQTQNPDMGPPMASRVLDSNGDSRPITIPDEFRCPISLELMKDPVIVSTGQTYERACIEKWIASGHHTCPTTQQKMSTSALTPNYVLRSLISQWCETNGMEPPKRSTQPNKPTPACSSSERANIDALLSKLCSPDTEEQRSAAAELRLLAKRNANNRICIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIISSGAVPSIVHVLKNGSMEARENAAATLFSLSVIDEYKVTIGGMGAIPALVVLLGEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALMDEAMAILSILSSHPEGKAAIGAAEPVPVLVEMIGSGTPRNRENAAAVMLHLCSGEHHLVHLARAQECGIMVPLRELALNGTDRGKRKAVQLLERMSRFLVQQQEEQESQSQASAQVPENDIPEQLDSPASQYPMVV >ONIVA02G22000.1 pep chromosome:AWHD00000000:2:20255148:20257519:1 gene:ONIVA02G22000 transcript:ONIVA02G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLPIFLDPPNWTQMQQQPLQCLIGGGGGGGSDHHHLMPPPSGLAPLPSAAGAADTAASAPAAAAQQQQPRPAVVSMSERARLARVPLPEPGTLRCPRCDSTNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNTKRSTKKSSSSSSRQGGGAGNAAAAATSSSSTTSTSTTATTSSAAAAAAAAAADVIASMQAGGALLPHHLIGGLPSSAAAAAALEASLEGYHHHHHGHGHQLPFLQPPPFLQQGLHGYHFADGDVAAGAALADGGFPRGVASGLLAQLASVKMEEHGTNNGGGFVGAHEQYWHGGNGGGGWPAEFLSGFSSSSSGNVL >ONIVA02G21990.1 pep chromosome:AWHD00000000:2:20242433:20245299:1 gene:ONIVA02G21990 transcript:ONIVA02G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENAKRLIGRRFTDASVQSDIKLWPFKVIAGPGDKSTIVVQYKGEEKQVAAEQIDDETISPSVCYADPYDGGLCASYADAKRLIGQRFTDASVQSDIMLWPFKVIAGPGDKSMIVVQYKGEEKQFAAEEISSMVLIKMREIAEAYLGTTIKKAVRQATKDARVIAGLNVMRIINEPTAAAIAYGLDKKASSVGEKNVLIFDLGGGTFDEFKRKSKKDITGNPRPVGRLRTACEWAKRTLSPPLPRPPTIEIDSLYEGIDFYSNITRARFEELTMDLFRKCMRGYQDGQRAACTMFILVGGSTRIPRVQQLLQDFSMARSSARTSTLMRPSPMAPLSRPPS >ONIVA02G21980.1 pep chromosome:AWHD00000000:2:20233275:20234625:1 gene:ONIVA02G21980 transcript:ONIVA02G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLQLAIAFVVIVVVLGAMAASAAAAVAPMLTMHNLCPYTVWPIVSPDSVSPPIADGIRLEGRGVGLRSLYLPAGFWSGRVVPRTWCRDGGRCDTGNAPPATVVRLSFNGAGGLAEYSVNLGEGFNRCPLTRTGGGDVEPVPQHCISPGEIKLVFCQAAMVAGEPELIRTVDVADN >ONIVA02G21970.1 pep chromosome:AWHD00000000:2:20225373:20226077:1 gene:ONIVA02G21970 transcript:ONIVA02G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLALVLLVAVVAAAATSVAAATKLTLHNLCPYPVWPLVTPNTGFPSISGNTARLDGGGRGLVSYDFPASFWAGRVVARTGCGGGGGGLARCETGNAPPATVVQLVVHSPEGAQDLAAYSVSLVDGFNVPAVVSPQAIAGGGQCPALGCAADLNAGCPRSQRVVGAGGAVVACRGTADYFKARCPLTRTTGSDVEPVPQHCLAPGELKVVFCQPSMVAAAVPELIRTVVANI >ONIVA02G21960.1 pep chromosome:AWHD00000000:2:20217676:20218167:1 gene:ONIVA02G21960 transcript:ONIVA02G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKLQLALLAAAMAAAAISTASGAYTGCATPRKVTIQNLSGRDLPLSETPLANSGALFGAGYVLRHGTHAEFTTCLWTGRVAAPGAATVEFHVGPDGGAWYQVDNRQAGSPVKVTVTPHGRPLQGHCPAAGCRGGGQCFADAVPGGNCHAVDELKIIYYSP >ONIVA02G21950.1 pep chromosome:AWHD00000000:2:20211373:20212065:1 gene:ONIVA02G21950 transcript:ONIVA02G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAFVAVLLAAAAAATSPAAVAATTLTIQNLCPHPVWPLVTPTSGQPISDNTARLDPNSLISLAFPPTPWSGRVAARTGCDASASPPAGCETGASPPSTVAQLSVHGGGDVAAYSVSLVDGFNVPVVVSPQAVGGGQCPALGCVVDLNCDCPPGQRFSDGAACRGPPEYFKGRCPLTRTTPGDVEPVPQSCRSPGELKVIFCPPTMLTAASSAAAGDMLIRTVVAAS >ONIVA02G21940.1 pep chromosome:AWHD00000000:2:20205402:20209181:1 gene:ONIVA02G21940 transcript:ONIVA02G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDITQVLLSAQSPDGATRKLAEESLKQFQEQNLPGFLFSLSNELANEEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDVGVKAQIKGLLLQTLSSPVASARSTSSQVIAKVAGIEIPQKQWPELIASLLSNIHQVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEGNSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSTDVKIRQAAFECLVAISSTYYDKLATYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKPDWRHREAATYAFGSILEGPSADKLAPLVNVALNFMLSALVNDPSNHVKDTTAWTLGRIFEFLHGSALETAPIITSENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYVDAGSASPLTPFFQDIIQSLLFVTHREDAGESRLRTAAYETLNEVVRCSIEETGPIVMQLVPVIMMELHQTLEAGKLSTDEREKRSELQGLLCGCLQVIIQKLGAMESTKYSFLQYADQMMELFLRVFACRNATVHEEAMLAIGALAYAAGPNFSKYMPQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHAAAADDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMQYAPNILNFLDALYNGKDMDDTVMKTAIGVLGDLADTLGVHAGPLINQSISSKKFLEECLASDDPLVKESADWARVAISRAVSG >ONIVA02G21930.1 pep chromosome:AWHD00000000:2:20199073:20201979:-1 gene:ONIVA02G21930 transcript:ONIVA02G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPNGEHRRERSGLPDTTIVKQRANFAQATASTAMGSEAPPPARRGYSDAPRRYESRWRREEDAVLLAAAPARARTGTWPVHVPLSPGRPACSRLAAGCFWMGRRRCMITRHT >ONIVA02G21920.1 pep chromosome:AWHD00000000:2:20196302:20199046:-1 gene:ONIVA02G21920 transcript:ONIVA02G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLRSCTEQLLRVREEKERLIIEAADKISLEQKKVWSLQQKLEDANKRFAKVTTENYNLRNIVNSKDKVITELSESAALLNQKLIDATARLEFTHKQCGSLQYEVRILQKELEIRNKEREYDLKSIDAAQKHQQESTKKITALETECQRLRTMVQKRLPGPAALAKMKDEVERRGSNCVENRRRKPRSSAQSSPQVVTPRHPVSEGYLVKMQELDDENRHLRQLLAKKENDLQFVQLQHADEASKLSVVQGQLKELVGSHELDDDNRPEPWANSSLVSKGEHFRVGKQHASHSRGRRIAGSDMQLLVDISEIEKLEMISRPSSAPHQCVPDASDTESKTALTETVCQDRILEDGLSDKYPEWIQDVLELIIKKHQVLKISVDIIIDEIRSALRTEISDKGNDAANVTYDQAVIDSMVATLVERVSCMIERSSGNNVLSSQSFLHEKSELTCHLEHLICVCSDVLDGKANLRKFIEEVCLTLEWTVNQYIYCVDALETVDCITNDFDGNVSLRSLNMQEKQQMQSTNPKVAVGVQQEVQKEPFLIPGDPVENHSQVQFVTCKLDKELLAVRQDHGDNCQEKQSVHYEEESATADGSMQLLPEEEGKQLTNSAISAAADKLAECQETITSLSKKLQALKCPANADAVDKRKSDNLHLLVANQNFSSPPSIEAACKKENDERVTTEKNLLQEQDVGTGHKVDNNGSTQIAPRPVIPKSPLTTVSVDMKKRKKKKQGGSLLSRLIFGKKA >ONIVA02G21910.1 pep chromosome:AWHD00000000:2:20190555:20191919:-1 gene:ONIVA02G21910 transcript:ONIVA02G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLSAARCLTLFCLLGACIAPGARANGRHSRRDLDINLGNGNGGGISIGIGGSGGGGGGGSSGGSSGGGGDLRPCDFENERLYKAYKVIQKFRRTVTCDPQNIISSWSGADLCSTYKGFFCERPPNITDRTIASVDFNGYNLQASSLKEFVDALPDLALFHANSNNFGGAVPDLSRLQYFYELDLSNNKLSPATFPTDVLKLKNATFVDIRFNSFYGELPGGVFCSFPQVQAIFVNNNQFSGSLPDNIGDSPVNYLSLANNNFTGEIPKSIARMANTLFEVLFLNNKLSGCLPYELGLLAKATVIDAGTNQLTGPIPASFACLRKVEQLNLADNLLYGEVPNALCELAFSWSGRLRNLTLSNNYFTSLGSCCWDLIKEGKLNVDRNCIPYAPNQRSHDECAAFFHRTKTSACPCNTYVPCGHNKHSAAGAGSEQDTAAAEEDKYRTYSALHP >ONIVA02G21900.1 pep chromosome:AWHD00000000:2:20185269:20186904:1 gene:ONIVA02G21900 transcript:ONIVA02G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMARRLEFDDVHSDAESVTTGVPHRRAQYIKPQKSTSIPFCGSYFVPECDDSVVPHIGMTFMDVESAKEFYECYAHRVGFSIRIGQHKKVDGVVLYKCFLCANNSLLRFGIGHDGHPLSVLDLAMELYHCEKVFLNISNMVREKVYL >ONIVA02G21890.1 pep chromosome:AWHD00000000:2:20182796:20184546:-1 gene:ONIVA02G21890 transcript:ONIVA02G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7Y3] MAGASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >ONIVA02G21880.1 pep chromosome:AWHD00000000:2:20173369:20179413:1 gene:ONIVA02G21880 transcript:ONIVA02G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYHQHRSRLPPPPPPPPFGRGGGGAGYPRGHKQLYAPPPPPPPQHQQQRRYEVLMEAGRLAAEYLVAKGVLPPSSLQRGVGAWGAPSPPPPPAAAGXXXXPPPQQQREDPAFYGRRRYDDEYSNNSSARPRRNSSNSSSSSSSRDDYSGGSYNGRGKRKYGDYRRGYSDWGRDREKERGRPGSNDRRYDENDDEDGAPGFRRERRGSGGNDDARSSVADMYREATPLMRKELGDLEMNGTESRAANPSGEVKEADAPQMVQSEENEEGEMEEDGMVLNSEPEVVELRMDTNDDVNNASVGVDMETELQRSPNGNVPGEKAEDDDKVLVESALDSIALDDEVANTENNLHGDERNLLKYCEYAKAPTKRRSSRPQRNAASVQIEPAVSETTDQISIGEASQIVPGEVANEISVTNLKSENREDQIYRENTDFSTSCNGTLEPILLEENNESAATGNIIEEKNDVQLHVVKKPEEEGNVSAFVPSHKDSLMQETDLSPSTASHKDSLLEGNLPLLTDSHNSLIEETGPPLTHSHEDSMVEETNLSSLTTSHKGNLKQETDLSQTISSHENNLKLQFKESCGIDMLPQDVDLIELSGQRKSVGGELFSNVGAEAASKMEDENLEQPNPFKICDQNLIGSSEVSVIHNNPGLAQCSTEGSCTESQKNQHQDFVTTSGDIAGSTNNMCQLPLDNKGVQVIDIEDDTPIEVGGFDSSKAKSDMICSNMDNMMGPVVHSGDLPGIQDGYNLAISDYLGGDIPCYPSMQSDLHGGIGANDSEGITVMDDPIYGSLTDIGFMDVWGQPTQDDYEKFF >ONIVA02G21870.1 pep chromosome:AWHD00000000:2:20160523:20165884:1 gene:ONIVA02G21870 transcript:ONIVA02G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPSGATDTANAAGGDATLAVPPPPPLLTGTAVPPPPPAAAQPQPQQATVAEGDPPPPATGGAEEVAGNGEPPQPPATDPASEPTAPPEPPRATEEKKGDEPPPPASTGVKEEVAGHGQPLPPPTSTPASEPPAPPEPTQQQQQQQAGDAAKQAAPSADDEDGGKKRHSRWNFLRNLFRRHKGSLRDAVKAAALTKPRKEEEEKSKKTAGPDEASKLPPPPAPPPAPDDDAASRSRSTRRRLVKVLRAVQFITRLKNWRNRPSEDGKAKEEQKPSPETKDKKPPETTGKDKKPADPELGQAKEKTPAPQQEEEKKEKEEETPEAKAQRLEEEKSRRRWKERGEALLQEILEAAFEALLAGEFNKLKDQWRQCLLTFSFFPVNHKVKKQAVTYWWAAKFGLPHRRGSEEIFAELCANGFLEPITSRCSGASHGCRVNPLVHWMVKRTARGGFASLDQHGHPTVDPGKSSVLCLTESHRELLQRLGRADESPSAPPSPTRKLSKVKTPSQQDRQQKEAKEDRQQKEPNPTGTPSKIAVSKLEGETTQKKPNPTGTPSEMAVSKLEGETKQKKPNPIGMPSKMAVSKFEGEMKEQQNENDKINLKLELQKFQNIHVILNINAHVYRLPYCLLSYLGDRLVVLQLGRWWNSDNSTYMEVEGLEKLNAIGNLKKLRYLGIRGLSKLTELPKNVNKLQQLEVLDVRGCQNLTRVMSSTVRNLRQLTHLDLTECYMLEHIGWEIISLSELQVFKGFVFGIDAPRRYAFQCRDRHACHLQDLKVMKNLQKLSINVTTDANVDKIDMGQLKHLESLQSLTITWGELPSILTSAEREKEKNQLLERWTSLVLPSSLVKLDVRCYPREEIPFEWFEPKGAIKPKKLRKLYVRGGAVKELNLPKDNQIDTLRLRYLKEFKMKWEEILGMMNNLHYVEVVYKDPKVMKSEKIKHQTDNVELQPHIIKEKEKKVKEEEEKCMAEIKKNMSIPGSTLDEHGVWVKDQKEADQKKAKEEEEKHMAEIKKNMGIPDSTLDEHRVSENDQKEVDQNKKGKGCEGDGDGSKGTHFTYYLAFNKF >ONIVA02G21860.1 pep chromosome:AWHD00000000:2:20149947:20156869:1 gene:ONIVA02G21860 transcript:ONIVA02G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAGVAALPLCKAACGSRLESSRARWPVGVEWLLFSPSQISTPSAPAHSPLADEEGHGRSEAKRRTGERGGWAGSKPTATSFPPACIPLSLRSPLAWICHRRLDPILSALPSRGSAIGVDGRGGSGDLFSSRMDPVISPLPARADPPLATTGGADPAASPTVTTTIGGLPGICCGGDSGVAEDGITCDFLLKLLRAGSMVGADAALLQELESRASALESGLRPTDFEEISPAPCLPTTDVLDSPSHGSTPDKHMDFVGLLCCNTSLPNIYVASTSILEVEAVDKHYQQQKQDVSSRKQRKKIWIHIGMSRQTSIPALHNCIALRRILSLKFSIN >ONIVA02G21860.2 pep chromosome:AWHD00000000:2:20149947:20158165:1 gene:ONIVA02G21860 transcript:ONIVA02G21860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAGVAALPLCKAACGSRLESSRARWPVGVEWLLFSPSQISTPSAPAHSPLADEEGHGRSEAKRRTGERGGWAGSKPTATSFPPACIPLSLRSPLAWICHRRLDPILSALPSRGSAIGVDGRGGSGDLFSSRMDPVISPLPARADPPLATTGGADPAASPTVTTTIGGLPGICCGGDSGVAEDGITCDFLLKLLRAGSMVGADAALLQELESRASALESGLRPTDFEEISPAPCLPTTDVLDSPSHGSTPDKHMDFVGLLCCNTSLPNIYVASTSILEVEAVDKHYQQQKQDVSSRKQRPQSLHCTTALLFAEKRK >ONIVA02G21850.1 pep chromosome:AWHD00000000:2:20146274:20148801:1 gene:ONIVA02G21850 transcript:ONIVA02G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRNEICKYLFQEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGGGFGAGPTSSSME >ONIVA02G21850.2 pep chromosome:AWHD00000000:2:20146359:20148801:1 gene:ONIVA02G21850 transcript:ONIVA02G21850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGGGFGAGPTSSSME >ONIVA02G21840.1 pep chromosome:AWHD00000000:2:20130279:20133248:1 gene:ONIVA02G21840 transcript:ONIVA02G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLRMWVLVGVAVGAAFVLFLVLLSVLCLLASRRRRRRRGPNTSPVQQLPVSAPPKNPQKVKAPKDIQEVPAQATAAAAAAAAKTPLAQAPSVPEVSHLGWGHWYTLKELEAATEMFADENVIGEGGYGIVYHGVLENGTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGEVGPVSPLSWDSRVKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVDWLKTMVSTRNSEGVVDPKMPQKPTSRALKKALLVALRCVDPDARKRPKIGHVIHMLEVDDFPYRDERRGARAPVQARVADKPVAIEAGDRESDSSGNNSARQTEPFRWRNPES >ONIVA02G21840.2 pep chromosome:AWHD00000000:2:20129989:20133248:1 gene:ONIVA02G21840 transcript:ONIVA02G21840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLRMWVLVGVAVGAAFVLFLVLLSVLCLLASRRRRRRRGPNTSPVQQLPVSAPPKNPQKVKAPKDIQEVPAQATAAAAAAAAKTPLAQVLQMPAPPPPPMAAAAPPPETVQIATGKEHRITYPEPPHRSGSSSHGSGEAPSVPEVSHLGWGHWYTLKELEAATEMFADENVIGEGGYGIVYHGVLENGTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGEVGPVSPLSWDSRVKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVDWLKTMVSTRNSEGVVDPKMPQKPTSRALKKALLVALRCVDPDARKRPKIGHVIHMLEVDDFPYRDERRGARAPVQARVADKPVAIEAGDRESDSSGNNSARQTEPFRWRNPES >ONIVA02G21830.1 pep chromosome:AWHD00000000:2:20115164:20122037:-1 gene:ONIVA02G21830 transcript:ONIVA02G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIRVAGWRCASAAAPDPVPSEEPASASASTVVVTEDKPDPPPAEEKSEEVAAVSNGGGSAPVSSEAAEEDGGLDDILNKLDIQVTPTLVLYGSGPLINSNTSSHHIGLRRRIRTAQPRHHRGRGTRTSLPRLPLQTRSSGSSRRQPSCLTTPPTPPKRRRPPVAADWSASVVADAPRSFLPCSLRDSLVIRPILFSRARRSTSTLPIPRPLARMSRPPSGLTILFLRPETAGFTAPRLAGLSAVPGLTSPTTARKFSGEVS >ONIVA02G21820.1 pep chromosome:AWHD00000000:2:20090138:20091391:-1 gene:ONIVA02G21820 transcript:ONIVA02G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVPLALGLDTAGVQVPWYFRCPISLELMRDPVTVSTGQTYDRASIESWVATGNTTCPVTRSPLDRAFTLIPNHTLRRLIQDWCVAHRSLGVERIPTPKQPADPDLIRSLLAQCPALPPLRKLRALARDSDKNRLVMATHETRAALVDMAFGTNAGGEEVEAEAMAVLAMVGLGEAEAVEVVGRRERVARLGELLVSGEGAATTTATLECRVNAGAVVEAVAAVSGADARAVLGAAEGVMEGLVALVEEKAHARAVRVGIRGLFALCLAKENRPRAVAAGAAAALARRVAEGGGGAGEPERALAAVERLCRTEGGRDAVVAGAGGGAAAVCALVRAMSGRSAEHAAGALVAVVGGSEPLQVEAVRAGAMSQLLLMVQGGCSERAKRKAQHLLKLLRSAWPAADSIANSDDFLQPY >ONIVA02G21810.1 pep chromosome:AWHD00000000:2:20087386:20087919:-1 gene:ONIVA02G21810 transcript:ONIVA02G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDGGTVGVRRGGTWWTGVGSSTSGNNSSTFCRNRSTIDLQNTRTNSSFTISPARTTTVADKEEKGAVLLALVKGQEEAAVLWEVLAPPEPTHTGLTFISQREFSSDHHKEEAKRF >ONIVA02G21800.1 pep chromosome:AWHD00000000:2:20070483:20079744:-1 gene:ONIVA02G21800 transcript:ONIVA02G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLEAGLLPSLPGGLGPSWPLGGGSLPCRQWGRLACPRLPTEAVVAVEVVTASPPSSPSLPEAVAAMAFFDELDALAAGEEVPAVFWPPRSPPKLPSLASIPTANTERSSSAAQRPSMVDGPVMGEGAPTSPSPTSVPASAAGDEAPAMAAAIGHESGPTVGEGAAWLAAVAVPPSPVADGAEILFDMPVGGEEILFDMPILALDLDGQPMACSLPPSVLVSDSEGSRLQPPAVQPERKQQGRMQPGEAGLARGQRPGKVRRAPANTPQAATAHGSAWTAANQETP >ONIVA02G21790.1 pep chromosome:AWHD00000000:2:20057767:20067023:1 gene:ONIVA02G21790 transcript:ONIVA02G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEEQRHGRQLPLATSGVITFNGVPLSPLTAGVESDGDDDVVIVDACNNGKRKVGDGEEEGRGSQGGDDAGGAGASSSSSSSAAAAAAAARANTVVPVPQRAARGATAPTPRPVAPPQAGTWPLPARAAAPHRRARHGVRRAEEPLSCSLLRCTFACFATMSQEEQRHGRQLPLATSGVITFNGVPLSPLTAGVESDGDDDDVVIVDACNNGKRKVGDGEEEGRGSQGGDDDDDVVAVHGGGGNRACMFAVRERERRRRMNDMFAGIRRLVPNLPEKSSRVEIIDGAIAYIKMLQGEEVRMEAQKQELQRERDRLAMEVAAAAGGAGASSSSSSAAAAAVTVAAYGPAGAVGSSSSSAAAAAAAAARANTVVPVPQRAARGATPPTPRPVAPPQAGTWPLPAPAAMPPPPPPPTGAAAVAPPPSLKTWSWGDNVVVSVLGNIGNMTVRAPLCRTGVLAMASAALKRYNITAVTSLSGTDASRTQNMFMFYTIIDMPDRQPQFVHPKVFEAMYRAAAAEIAAWINCY >ONIVA02G21790.2 pep chromosome:AWHD00000000:2:20057767:20067023:1 gene:ONIVA02G21790 transcript:ONIVA02G21790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKGADPRAATTPGARGPPPPPPPRRRRRRRRRAPTPSSQSHRGRRAAPRRRRRVRSRRRRPGRGPSRRAPLRRTGVLAMASAALKRSICRTGSPSLCTPRCSRPCTERRPPRSRPGSTATDREKPTTAGKNDPNREDSVRLPAYLHCIDDLTSVVACLSAVNYRLVWFEHSAPLPHISPVSRQN >ONIVA02G21780.1 pep chromosome:AWHD00000000:2:20032382:20036277:1 gene:ONIVA02G21780 transcript:ONIVA02G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMMFQADKATIVGEAISFIRSLEETVADLERRKRERDSLAARCARLGLGGSSSSSAPPPPPPPAAADDTAAVMPPAPAVPPPDAAAVTAGPEPAPAPAPGTLMVWSGPSVVLNLCGGDQAFINVSVARRPGVLTMIVDVLERHSIDVVTAQIASDQSRSLFTIHTSVDRERGMFMDTATAEEIYQLAVSEIMVWLHSE >ONIVA02G21770.1 pep chromosome:AWHD00000000:2:20026888:20027817:1 gene:ONIVA02G21770 transcript:ONIVA02G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATRGEEAAGSRLRDGVAALPRRGQLVAGGDNDKNERVYTLYLETGWKAGTDAAVDVEPAAADGSGFAVGDLEWWGGLMGAGHDYYVRAAAPPSLRHRTPTDAASPCRAFIFSRVSAAAASPTGHRTSSRQRPPSHRRPA >ONIVA02G21760.1 pep chromosome:AWHD00000000:2:20011816:20012571:-1 gene:ONIVA02G21760 transcript:ONIVA02G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFEAAETAAIVAALTRVIADGGRGGGGGVCVPPPAPSLVVPPRAGTGGGRRVDVAREEEMVGVVSAGDHAGGANVAEAAAAVVVAAPATARRYRGVRRRLWGKWAAEIRDPRKAARVWLGTFRTAEDAARAYDAAALRFRGRRAKLNFPEEVSRPWQGHDVDHMSCSPPSISNARFLGSWTFGPPPPPSRSVAAVATTLLGGSHGGNGAENGRE >ONIVA02G21750.1 pep chromosome:AWHD00000000:2:20010019:20010213:-1 gene:ONIVA02G21750 transcript:ONIVA02G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKISVRRRRREHGVLVGSWTSTSTAVAVRDAPGRARLTPLLRGSHGCNGSTEQRMGGILGWE >ONIVA02G21740.1 pep chromosome:AWHD00000000:2:20002317:20002505:-1 gene:ONIVA02G21740 transcript:ONIVA02G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEDALETKTVTQSRQSLRKMMPKSRCSRTVKDETSDRVCQTLGQLGTNARASVTNTVLAR >ONIVA02G21720.1 pep chromosome:AWHD00000000:2:19969245:19970681:-1 gene:ONIVA02G21720 transcript:ONIVA02G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSDDAARQVIPNTRNEGRMGPTGNTGLKRRKGRGLTINGTLAKLRARGVPLDIQFAAQFGKVCGRHASVFKSEVTVCMRQEVPLKVKKWKVIEKAFPGTMSSIWNLLKAKFPEISMADYQCVMTQVERQYNKWSKQNSINRSKQEMKSHVGTKSIVQIAHELRNPVTGEWPSAIDVWKATYLKNGTWSVPNGEEILNNLQTTAETNQERIAAAQIPMVEHFALVLGRKPNHSRGVGISAINEGAQERYRVHAQAEATQQQANEAHQQAAALLEEVQKLTVENLQLKSEL >ONIVA02G21710.1 pep chromosome:AWHD00000000:2:19957434:19957776:-1 gene:ONIVA02G21710 transcript:ONIVA02G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVSTLWLQAQLTVYASPCSSYYCNKSRAPGLSMTLLLLRMHNASGTHLFYIYYSSSNFNAQLGRKM >ONIVA02G21700.1 pep chromosome:AWHD00000000:2:19928823:19951548:1 gene:ONIVA02G21700 transcript:ONIVA02G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVRFTVGSNVWVEDADVAWIDGLVEQVTGDELILRCTSGKKVTANVSSVYPKDAEAKRCGVEDMTRLAYLHEPGVLHNLKSRYGMNEIYTYTGNILIAVNPFQRLPHLYNNHMMEIYKGAGFGELSPHPFAIADRAYRYMMNYGVSQAILVSGESGAGKTESTKMLMQYLAFMGGKVQSGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSYMSSHISFVSQCSRFGKFVEIQFDQSGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCSAPVEERERYKLGDPASFHYLNQSNCIKLDGMDDSSEYIATRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFVEGSEADSSVPKDDKSKFHLRTASELFMCDEKALEESLCKRVIATRGESIVKNLDARAAALSRDALARIVYSRLFDWLVNKINTSIGQDPSSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQQFKGNQHFSRPKFSRSDFTIHHYAGHVTYQTDLFLDKNIDYAVNEHQVLLHASRCSFVSSLFPPSEESTKSTKFTSIGSSFKQQLQALLETLSSVEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFDEFVDRFGVLLPEVLGESYDEVTATEMLLEKVNLTGYQIGKTKVFLRAGQMAELDARRTEVLSSSASKIQRKVRSYLAHKHFIQLRLSATQLQAVCRGQIARHYYEDLRRKAATLTIQTYYRMHFARKNYRDLCSASTTVQSGLRGMAARKELQYRQQTKAAVIIQSYCRSYLAHSQYMGLKKAAITTQCAWRGRLARRELRKLKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRMRVDMEEAKSQENKKLQQKLQELELQSNETKDLLKREQETAKAAWEKAALVPEVQVDTTLVNELTAENEKLKTLVASLETKIDETEQRFDEVKKAREELLKKATDAESKINGLTNTMLSLQEKLTNMELENQVLRQQALFRSPVRTIPENTSPKATPHGTPPASKEYGKFAQPRPSFFERQHESVDALINCVTENIGFSEGKPIAAITIYKCLVHWKIFETEKTSVFDRLIQIFGSAMQKHDSNEDLAYWLSTSSTLLIMLQKSLKAAGSSGGTPRKKPQTQSSFLGRMVFRSSNITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKELSSLLSHAIQVPRIMKASMVPAIFMRKIFTQIFSFINAQLFNSLLVRHECCSFSNGEYVKQGLAQMEVWCGEVKPEYVGSALDELKHIRQAVGFLVIFKKFRISYDEIVNDLCPVLSVQQLYKICTQYWDDKYNTESVSEEVLDEMRTLITKESGQDSSENTFLLDDEISMPISLEEIGDSMDSKEFQHIAPPPELVAIPAFQFLKS >ONIVA02G21690.1 pep chromosome:AWHD00000000:2:19926389:19926607:1 gene:ONIVA02G21690 transcript:ONIVA02G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKVHPSLSLTVLVGGGDGGTQGGGEGGAHVDVVVVIVDDEVGEADEEKGKGGGSGKSGGQCERVWRGRK >ONIVA02G21680.1 pep chromosome:AWHD00000000:2:19917862:19919185:1 gene:ONIVA02G21680 transcript:ONIVA02G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSLVGSRRMTQKNHHGNKCAEKDDQSFGMREEKAEDGRESADYKQKRVMDINRVTDKNCKSRKKDLESEYRFDCEDEEVTFNVYNIDMEILELIITHSDLVELKALPLIKVKNENMALQRCFLALALGDLGGAKQTAQEQATITI >ONIVA02G21660.1 pep chromosome:AWHD00000000:2:19861558:19862187:-1 gene:ONIVA02G21660 transcript:ONIVA02G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAAAALERWEREERVRVERRRRLGGYDAPHTHAGFDTDEILGINTDTSRTRIRPCPIRVRIRSRVGHRHAPALPYQCFIGIDRYLMPGTQDTGWYLGIRPWYKVSSMILVGYQRVP >ONIVA02G21650.1 pep chromosome:AWHD00000000:2:19860925:19861512:-1 gene:ONIVA02G21650 transcript:ONIVA02G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHGEVRDVCSPSTWYLRYRLVPGTWYLWYRLGIDRYLMPGTRWYLGIKPWYQGIKPNTCGVLEGTVKKRRRCGGGGPQRWRMASRRHGEVRVEARAREREKSRGGAEEEVDGYTCCRLTQAAGVHPEGAKWQAAAGTTVTPRPRARTREAPASALPGATTPRRTPDRRGSQVTHAYESDGYQSSIKIL >ONIVA02G21640.1 pep chromosome:AWHD00000000:2:19853661:19856171:-1 gene:ONIVA02G21640 transcript:ONIVA02G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYSRGGGGGGEGRWVVMRDIVINNKTVCTTPGPMLVATFAVSPDLRTTEKFMVTTTVLMTFLGAALFAVGVLGRFSGRHRGHSSATRIFFRASFALFLPFMSFMFSQAKGRDLPFRAYLILLWMLLVELLRKKVFAMVAPAGDAFSRGVGRYSLFDAVEDAARMIWIGYLVYSYVHGFAVKSLFVILWIFSVAKLCKRAACIHLAKGSFDLAKNATLVSGYMAQLVGEHRQLDLQVVDDGGDVSGNRTMRACNYTVMGESELKINRTPHGFEIDGLEDILAAGGTSGDGDGNGKTEEQLVRVSTIWKLAESDPLFKYNVRRRQKLEDICLGIALYKLLRRRIERCHMAERGTPEARAFVLRGLLALGGGDRGGEAADAERAFDVVEMELRFLVEYYQAIIPLALPKPGIFIANFAFSVVFILLYCIAVLLVTGNGNMFRVLGSLFRGFIGISIDTVVQFRCFRHQVSALVGMVCSSSDLIVTFLLTLTLFSVETYELAQYLLSDWFAASMLCNYARMRINRDHQRRQAAQQRHAQRAIRSGLWVRHRSRPVIKAHQVTMLKLHQLHPRRVWMLASRILSRRLAGLRPAVVTAEAKVAIVAALKAFLESDGGDGGDLQFTSCVAVLRRHGFFHHGPEWACDSSKGAATVILAWHLATALLEARCDGEPLPRKGEAAVTLSRYCAYLVSYEPGLLPDDPEWTEKAYNDVKSGLGSFFRSCSCATAGRREKLVRFGDDWEAEAPSAMARGVKLGKLLEDRASESTEGFEEVWTMLLEFWAALLVVVAQRPSAGPEGHALALANGGEFITHIWAMITHAGVRVHRHHDYQSFPVTHVV >ONIVA02G21630.1 pep chromosome:AWHD00000000:2:19839453:19840781:-1 gene:ONIVA02G21630 transcript:ONIVA02G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7V5] MEEAAAEVPSYFLCPISLEIMRDPVTLATGITYDRSSIERWMFGGGGGDGGKGTCPVTRRQLAPAEREATPNHTLRRLIQAWCAAHAVERFPTPRPPVDSCRVAALVDEGTTTMLGGGGRQRQLAALREIKAIAAESDRNKRCVEATPGAVEFLVSVVVQSHAAASTSASSDDDDLFDSVIDSPMSTSSPEEEALGVLYSLKPSEPTLRRVLGKDNGVGFLDTLASVLRRPSYRSRAYAILLLKAVTSAMPPERLMAVSPELVEEVVRVVSDGVSSKAVKAALHVLCRLCPWGRNRVKAVEAGAVAALVELLLDEEGGSGRRRAAELAVVAIDHLCGCAEGRSELVAHPAGLAVVSKRAMRVSPAATESAVRALHAVARNAATPAVLQEMLAVGVVAKLLLVLQADGGERARARAREMLRANARVWKDSPCLQAHLKASYPS >ONIVA02G21620.1 pep chromosome:AWHD00000000:2:19830785:19835259:1 gene:ONIVA02G21620 transcript:ONIVA02G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGLKELERRSQSSGEILGGIPGPSELVEKFKSSLKSFNMEPLGSKEVPPLDLTEIMANLVRQSGPFLDQLGVRRELRDKLVETLYSRQNHSLSADSSLLGDDNSTDELDLRIASVLQSTGYHTDDGLWNEPSKYEVSDNKRHVAIVTTASLPWMTGTAVNPLFRAAYLARNSKQDVTLVVPWLCKSDQELVYPNSMTFSSPEEQETYIKKWLEERLGFESNFKISFYPGKFSKERRSIIPAGDTSQFISSREADIAILEEPEHLNWYHHGNRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIICNVHGVNPKFLKIGDKIMADRENGQQSFSKGAYFLGKMVWAKGYRELLDLLDKRKSDLQGFKLDVYGSGEDSQEVQSTAKKLNLNLNFFKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVICAEHPSNEFFMSFPNCLTYRTPEEFVARVNEAMAREPQPLTPEQRYNLSWEAATERFMEYSDLDKVLSQPVTEGVHRSKTRRTIQSNLSDAMDGGLAFAHHCLTGSEVLRLATGAIPGTRDYDKQHCVDMGLLPPQVQHPVYGW >ONIVA02G21620.2 pep chromosome:AWHD00000000:2:19830840:19835259:1 gene:ONIVA02G21620 transcript:ONIVA02G21620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARASTSPSPQRPASMGRGGGGGGGGGDASAALSFIYRGWREVRDSASADLRLMRARADSLRTLADRELEHLLVSASTTVAAPAPPVAAGAPIAEVEFVRNRIQPKISELRRQYAASGDWELGLGRRVLEGWVAPPPPRGATTARVDLSGITAIRNALVPEVAGGGGASTAWWSGDEMEEEEEKEWEVVRMIRGGLKELERRSQSSGEILGGIPGPSELVEKFKSSLKSFNMEPLGSKEVPPLDLTEIMANLVRQSGPFLDQLGVRRELRDKLVETLYSRQNHSLSADSSLLGDDNSTDELDLRIASVLQSTGYHTDDGLWNEPSKYEVSDNKRHVAIVTTASLPWMTGTAVNPLFRAAYLARNSKQDVTLVVPWLCKSDQELVYPNSMTFSSPEEQETYIKKWLEERLGFESNFKISFYPGKFSKERRSIIPAGDTSQFISSREADIAILEEPEHLNWYHHGNRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIICNVHGVNPKFLKIGDKIMADRENGQQSFSKGAYFLGKMVWAKGYRELLDLLDKRKSDLQGFKLDVYGSGEDSQEVQSTAKKLNLNLNFFKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVICAEHPSNEFFMSFPNCLTYRTPEEFVARVNEAMAREPQPLTPEQRYNLSWEAATERFMEYSDLDKVLSQPVTEGVHRSKTRRTIQSNLSDAMDGGLAFAHHCLTGSEVLRLATGAIPGTRDYDKQHCVDMGLLPPQVQHPVYGW >ONIVA02G21600.1 pep chromosome:AWHD00000000:2:19821871:19822821:-1 gene:ONIVA02G21600 transcript:ONIVA02G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKHAWGVVAGPGPSRNPSSPSSSSATLRRRPNPLPLAAAGDSRHGAAGRPDVLLLHARSLPASRGKRARDAAPTSFHRHLPDAAASMNGIKEMMLEGL >ONIVA02G21590.1 pep chromosome:AWHD00000000:2:19817963:19821821:-1 gene:ONIVA02G21590 transcript:ONIVA02G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPHLPPRCPPLGPQITRRDDSLFTQSCRFPSEDPFVGEPPCWLDDLLADSGKSHTLPPLRRACSDSDAILDVLTTFQSPIYPIDEGDPQPVGEAGESFNAAAEGGGSGAGIEGSCVYGPNSPRQKTRLTSSESSMVNAVLENVPSNPLQYLMIDATSGVNCNVGAANGTGDTGDAVCHADQEKSLKRRSGQRSRVRKLQYIADLERTVDSLQNIGADLAVRVASLFQLRNALSMENKQLRRQITSLQQAKLIKDGQTQMLKKETERLKQLSVRHRRSRSVTSCFEANSFGGGDPSAINWQMLDMSKLSLNGGAVVPPRGGYGM >ONIVA02G21580.1 pep chromosome:AWHD00000000:2:19809806:19810480:-1 gene:ONIVA02G21580 transcript:ONIVA02G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKHHKREHHLRRCCGGMAACILALVLVVGFIALVVYLALRPSKPSFYLQDLQLRSVDLGDPSLSATAQVTLASRNPNDHVGVHYRRLDVFVTYRDEPVTVPVSLPPTYQGHRDVTIWSPVLSGESVPVAGFVADALRQDVAAGYVALQVKVDGRVKWKVGSWVSGSYHLFVSCPAMLASAGPGGVGPMPLGGASAAVVNGTGAGAVASLRFTQPTGCSVEV >ONIVA02G21570.1 pep chromosome:AWHD00000000:2:19807197:19808177:-1 gene:ONIVA02G21570 transcript:ONIVA02G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQWCSRANDGTRAPLGRLFLEESRGSFDRWSSSTHNDGKEKFMFLPIPPPSSKENDVDHFKPKPKPTPASGRKTVQVAAAAEIDTVAAMHRIAYSAKCPSFEVLLADGALRAVRQVRSGGLHRRERVDGRRRHGRWPRPVVLG >ONIVA02G21560.1 pep chromosome:AWHD00000000:2:19798454:19805658:1 gene:ONIVA02G21560 transcript:ONIVA02G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEGEAAHGVFAYAAAANSASADDPMTVKMLRDAAADVVSSSTATRIHLFREILSPLLSRGSDSALFVAKLIRFIFRTLPVYDDQASNNAVDDLVQLALRKPTFLGHFAFMLVETMEQNMKFSRPPLLSDVHKMYVEKVRNSMFHVRESPQFFKLILDFAMTSSSLSSEYKQAFLYLYVGAIINPKDQPSQESSRAFVYLLSHIGCDDFEKVVLPSCLSALKENPQGVLQSICYLLKIICLDLSKYCMVFKPDILRLIQHSDEQMRADALVIVGTLIIKSTDPETLTTMLDAITTSLGGSKEELSNTYKRIGMINALAELSTSPAVHQINTVAASISGFLMTCYKDDGIKQVKMAILSALGSLSSASAEAVQPDLVSFITTGLKDEVCLRKGYLELLRAVCKNSAALRKITSLLDQLVQLLIISFTSTTQRLDGIYTLFAVSRIVAVDTDASLPTICSAIYDACGQVDLFTLICQNELSSNSALSLSELSDEDCLVAVDLVQSLIVENLSWVKEKIYIQSLLQLLIHPACHPHREVRKLAYVATEKILASTAVLGQDLLLLFNNWLSLIGNRTLTLEQRSTAANLCPTPIPSTGVLIRFLFLIAPYVVGHSPRSYSQLILCSHHPCISNSRPAAVWKRLQRVLKHHQIVFIDLIATNMPAIFMELLRQDDSLTCDEYALEARLHSLRTVAAILPNNGLPEFEARSAEPSEAANAPPTVSVPAGSNATHPVTPVPQPPAPAADQLQDMIPMQKEAFISLLKAISTSAASATATIAIFVVSYPIKWPDEVSVNYQMILEALLFVFFPLALLATGLSHAAEKDERWLGLASALVLFEFFYTLALGGGISIAIQVRPRIAVCATVFSSMVVITFAWSLMFSHPEVVTIAGYYSKQQFLKKLFKWFKKESSAPSQESVTEQVMPQPSFRSKFIESLKRSPKPVNLCGINIDKTSSQKKKKTSIIPDDIWIDMMIPDGYRAV >ONIVA02G21560.2 pep chromosome:AWHD00000000:2:19798454:19805658:1 gene:ONIVA02G21560 transcript:ONIVA02G21560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEGEAAHGVFAYAAAANSASADDPMTVKMLRDAAADVVSSSTATRIHLFREILSPLLSRGSDSALFVAKLIRFIFRTLPVYDDQASNNAVDDLVQLALRKPTFLGHFAFMLVETMEQNMKFSRPPLLSDVHKMYVEKVRNSMFHVRESPQFFKLILDFAMTSSSLSSEYKQAFLYLYVGAIINPKDQPSQESSRAFVYLLSHIGCDDFEKMRADALVIVGTLIIKSTDPETLTTMLDAITTSLGGSKEELSNTYKRIGMINALAELSTSPAVHQINTVAASISGFLMTCYKDDGKVKVFLSMLDALIQMDLSIVLPAIDSFTSTTQRLDGIYTLFAVSRIVAVDTDASLPTICSAIYDACGQVDLFTLICQNELSSNSALSLSELSDEDCLVAVDLVQSLIVENLSWVKEKIYIQSLLQLLIHPACHPHREVRKLAYVATEKILASTAVLGQDLLLLFNNWLSLIGNRTLTLEQRSTAANLCPTPIPSTGVLIRFLFLIAPYVVGHSPRSYSQLILCSHHPCISNSRPAAVWKRLQRVLKHHQIVFIDLIATNMPAIFMELLRQDDSLTCDEYALEARLHSLRTVAAILPNNGLPEFEARSAEPSEAANAPPTVSVPAGSNATHPVTPVPQPPAPAADQLQDMIPMQKEAFISLLKAISTSAASATATIAIFVVSYPIKWPDEVSVNYQMILEALLFVFFPLALLATGLSHAAEKDERWLGLASALVLFEFFYTLALGGGISIAIQVRPRIAVCATVFSSMVVITFAWSLMFSHPEVVTIAGYYSKQQFLKKLFKWFKKESSAPSQESVTEQVMPQPSFRSKFIESLKRSPKPVNLCGINIDKTSSQKKKKTSIIPDDIWIDMMIPDGYRAV >ONIVA02G21560.3 pep chromosome:AWHD00000000:2:19798454:19805658:1 gene:ONIVA02G21560 transcript:ONIVA02G21560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAEGEAAHGVFAYAAAANSASADDPMTVKMLRDAAADVVSSSTATRIHLFREILSPLLSRGSDSALFVAKLIRFIFRTLPVYDDQASNNAVDDLVQLALRKPTFLGHFAFMLVETMEQNMKFSRPPLLSDVHKMYVEKVRNSMFHVRESPQFFKLILDFAMTSSSLSSEYKQAFLYLYVGAIINPKDQPSQESSRAFVYLLSHIGCDDFEKVVLPSCLSALKENPQGVLQSICYLLKIICLDLSKYCMVFKPDILRLIQHSDEQMRADALVIVGTLIIKSTDPETLTTMLDAITTSLGGSKEELSNTYKRIGMINALAELSTSPAVHQINTVAASISGFLMTCYKDDGKVKVFLSMLDALIQMDLSIVLPAIDRYKAGLKDEVCLRKGYLELLRAVCKNSAALRKITSLLDQLVQLLIISFTSTTQRLDGIYTLFAVSRIVAVDTDASLPTICSAIYDACGQVDLFTLICQNELSSNSALSLSELSDEDCLVAVDLVQSLIVENLSWVKEKIYIQSLLQLLIHPACHPHREVRKLAYVATEKILASTAVLGQDLLLLFNNWLSLIGNRTLTLEQRSTAANLCPTPIPSTGVLIRFLFLIAPYVVGHSPRSYSQLILCSHHPCISNSRPAAVWKRLQRVLKHHQIVFIDLIATNMPAIFMELLRQDDSLTCDEYALEARLHSLRTVAAILPNNGLPEFEARSAEPSEAANAPPTVSVPAGSNATHPVTPVPQPPAPAADQLQDMIPMQKEAFISLLKAISTSAASATATIAIFVVSYPIKWPDEVSVNYQMILEALLFVFFPLALLATGLSHAAEKDERWLGLASALVLFEFFYTLALGGGISIAIQVRPRIAVCATVFSSMVVITFAWSLMFSHPEWFKKESSAPSQESVTEQVMPQPSFRSKFIESLKRSPKPVNLCGINIDKTSSQKKKKTSIIPDDIWIDMMIPDGYRAV >ONIVA02G21550.1 pep chromosome:AWHD00000000:2:19784473:19788143:-1 gene:ONIVA02G21550 transcript:ONIVA02G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT2G04842) UniProtKB/Swiss-Prot;Acc:F4IFC5] MAAAVSAPLLRFHHHHRCLLRHSSSPRRYPAGFSRGLSSVSFGLPRSTTTAARSTASPSAPSAAAAEATDAASAQAGSDGKGDGVGEEERVVLPTNESSDRLLRIRHTVLAFCCLPFLTSLPVINLCAHVMAMAVQKLFPNSKVTIGPWIDNGFYYDFDMEPLTDKDLKRIKKEMDRIIRKNLPLVREEVSREEAQKRIEALNEPYKLEILEGIKEEPITIYHIGEEWWDLCAGPHVESTGKIDRRAVELESVAGAYWRGDESNQMLQRIYGTAWETEDQLKAYIHFKEEAKRRDHRRLGLDLDLFSIQEDAGGGLVFWHPKGAIIRHVLEDSWKQIHLQRGYDLLYTPHVAKAELWKVSGHIDFYKENMYNQMDVEDELYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEQILGQFGFRYYEINLSTRPEKSVGSDDIWEKATLALKDALEDKGWEYKVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPEQFDITYVNSNSEKKRPIMIHRAILGSLERFFGVLIEHYAGDFPLWLAPIQARILPVTDNELQYCNEVASELKSKGIRAEVCHGERLPKLIRNAETKKVPLMAVVGPKEVQARTLTIRSRHSGEIGTMPVDEFFRRIQLAIANKSSSL >ONIVA02G21540.1 pep chromosome:AWHD00000000:2:19772578:19780341:-1 gene:ONIVA02G21540 transcript:ONIVA02G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEADMENGRLYPERPRTFSTVRTKSSLPPIFRVLMRINPRAFIVLLLLVFSGVLYVGASTSPIVLFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILALVILGIYLFRSTTPQQEASGVGRTTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVFGVAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIINPSGFILFPLVVHSFDLVISSVGILSIRGTRDSGLISPIEDPMAIMQKGYSITILLAVVTFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGLESTALPVLVISVAIISAFWLGHTSGLVDESGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAQLPFKEVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVNEVRRQFIERPGIMDYNEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGIIFRMLGHATGQPLLGAKVVAAMLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >ONIVA02G21530.1 pep chromosome:AWHD00000000:2:19760260:19763935:1 gene:ONIVA02G21530 transcript:ONIVA02G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTAEAAAVVKQAVSLARRRGNAQVTPLHVASAMLQAAGAAPAPGLLRAACLRSHSHPLQCKALELCFNTNIRCRTGHPSLETLWGLHTLAVPAGSLALSLTCADADDDDSGAMAAAAVNHQSAKGANGSTSPSPCLSLLDAAAAGGACSSGQLAVMAAAVSGACCGGDCAAATKALLPQSVVFMPPSATTTTTTIPPWPHHCRDQEPAAHMKKWMSAHGGSPSRRTALNISSTAVSPCSSVSSYEQYTRLHQPYQPWLVADDDDEAEETKHPYIAGDGGAGRLVPAAAKVVIKSDDSSASNSSVEVEWRRPRFKEVSAENLKVLCGALEKEVPWQKVIVPEIASTVLRCRSGMAAPAMARRSSSCSSSKEHTWMLFLGGDADGKLRVARELASLVFGSSKSFVSIGGAANASPPPSSSSSSPARSSGFTEQPHRSKRPWAETTTTTTTTSGRDQDHLEALYDAVRDNPRRVILMERVDRADARCHDGIRDAIERGVVRSRGGGGEEAFLGDAIVVLSCESLNPSSTTPAKKAKTEYSVEKLDQDGDDHHGKEAVAAAASPSCFDLNMSMDDDDEAAEERCTGEEEEAGHHHHQLLLKAVDRVLFFRSIGE >ONIVA02G21520.1 pep chromosome:AWHD00000000:2:19747111:19751374:1 gene:ONIVA02G21520 transcript:ONIVA02G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACCSSLATAVSSSSAKPLAGIPPASPHSLSLPRSPAAAARPLRLSASSSRSARASSFVARAGGVDDAPLVGNKAPDFDAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYDEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQDNPDEVCPAGWKPGDKSMKPDPKGSKEYFAAI >ONIVA02G21510.1 pep chromosome:AWHD00000000:2:19746226:19746525:1 gene:ONIVA02G21510 transcript:ONIVA02G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAGGPDDTDACADGRKYSAVAAQMAGLVTTASDEVNTAVADGCPDLGDGGLQTMVPKVEAVNGDNGGEAANVEEGFNPFFLILTLASSSPLHPLSL >ONIVA02G21500.1 pep chromosome:AWHD00000000:2:19737093:19741284:-1 gene:ONIVA02G21500 transcript:ONIVA02G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGRPPAAQKKILQSLRPPLPFAASSRSPFAAPNDYHRFPSGGAAAAAASGSGGIGAGGAGGGGGDIEEGLVIRTPQKRKAPEESDVAESSDCMITSPGFTVSPMLTPVSGKAVKTSKSKTKNNKAGPQTPTSNVGSPLNPPTPVGTCRYDSSLGLLTKKFINLLKQAPDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVELDNGLSALQAEVENLSLKEQALDERIRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFDEKFEDLGGGATPSGHANVPKHQPTEVFNTTNAGVGQCSNSVAVDNNIQHSQTIPQDPSASHDFGGMTRIIPSDIDTDADYWLISEGDVSITDMWKTAHVQWDEGLDTDVFLSEDVRTPSSHNQQPSAVGGPQMQVSDMHKP >ONIVA02G21490.1 pep chromosome:AWHD00000000:2:19728310:19732877:1 gene:ONIVA02G21490 transcript:ONIVA02G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHRKKYRYGILDADAADRPPVHAKWSSGMVKVKYECDDDDDGDGGGDAWRRKALLYGDEVGSGPSSAAAAPLVHRSHGRASSRPRSGGKHLRAGHLSSSQWSVWGPASSTNAVIKGDISSSAVVGSTGRVLLAGEDVIGRRSTKPKPKPSGGVPAAAAAAAAAYVTKAKPVAPPKETALRTYGRDMTGSAAAADPVIGRDDEVDRVVCILCRRTKNSAVLVGAPGVGKTAIAEGLARRVAAGDVPAALAGARVVELDVGSLVAGTQYRGMFEERVKKVIQEAEGAAGKVILFIDEMHMLLGAGACKGGSMDGANLLKPALARGRIRCVGATTFDEYRKHIERDAAFERRFQKVIVEEPTTQATIAILQGLKQRYEEHHGLKIQDAAIVAAAQLAGRYITGRQFPDKAIDLIDEACSTVRLKIDSQKGVNTTGMQNNNGNTSVNGVNEAIVGPDHVAQVVSRWTGIPVTTLDQEEKEKLIHLADRLHERVVGQDEAVKLVAQAVLRSRAGLEQPGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKMLIRFDMSEFVGSGSVLRLIGAPPRWRTLTEKVRTRPYSVILFDEVEKADPSVFNVFLQLLDDGMLTDGKGRTVDFKNTIIIMTSNLGAEHLTEGVTGERTMEAARDLVMKQVQKYFRPELLNRLSEIVIFEPLSHDNLKEVVKIQMKSAVTSVAHKGVSLLASDDALDVILSESYNPMYGARPVRRWVQKNVMTKLSEMLITGDAGQGSTISIDATDDKKGLNFQVLKEEVVVPRGKRPVEELQSDSDSDDDVFEIAPIPKRKKGDY >ONIVA02G21480.1 pep chromosome:AWHD00000000:2:19704583:19705533:-1 gene:ONIVA02G21480 transcript:ONIVA02G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTVEDLPGDVLACALRRLDGPSLAAAGCATSGLRALADDPDTWRALCLSRWPSLAAAEQRCVLSAAGAVSPRRLFADAFPFPCVDDAAAAAPLDGDDQRLPGELVSAVDVYHGGAAVVSRVVETSTSSSWFLASPFRVDAVEGKSPAPAPASVASSWSPAELELSWILLDPSTGRAVNVSSRRPVAVERHWYTGDTLVRYAVVLAGCKFEATVSCSEEAGQITEVSLAADDADGAAISGEGCLRLLAAAMAGPRKGGRGQEGEAKRRYDEFVRRKRGRKESKARREVLVDLCCSAVSAVAVISFLAAVVLR >ONIVA02G21470.1 pep chromosome:AWHD00000000:2:19696154:19701811:1 gene:ONIVA02G21470 transcript:ONIVA02G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPGVGGMETPEIFTGAAAATVVVRRAVVIGNGSPGAENQCLGLVRALGLADHLTLYRVTRPQGGINEWLHFLPVSLHKLIDQVLRQFFRNTRLAPVVEGRKHYRVPNGGSVGVGLSSVLEADAKKIVAVARDTFEKEGPTLIVACGWDTISYSSSIRHLASGNVFVIQIQHPRSRLDRFDLVVTPRHDYYALTAGGQEEIPRLFRRWITPQEPPGRNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLIVNIGGPTRNCKYGVDLARQLIASTYNVLDSCGSVRVSFSRRTPRKVSDIISKEFAGHPKIYIWDGEEPNPHMGHLAWADAFVVTADSISMLSEACSTGKPVYVIGTEYCKWKFSAFHKTLRERGVVRPFTGLEDISNSWSYPPLNDAIEVATRVREAIAERGWSVG >ONIVA02G21460.1 pep chromosome:AWHD00000000:2:19674405:19675040:-1 gene:ONIVA02G21460 transcript:ONIVA02G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAEGFVPREMRRLPGGGGSDLGGGRSGASWADLAVARRRCLSLPFSLPPLFPIEGGGGDPRGGGSDPGCGGVRGPTASSKRGTAVPSPPRRLPLSLSHFLPHVAHRHPPRRRQIRSFPLSSPPLLVAVHFAGAASSSGKGGKGIWVWGAVSTSNDAHNVAPRLVALPKVAEGRVPLGENARLAWGTAQMQKLMAVCEGTGRRHPLLMA >ONIVA02G21450.1 pep chromosome:AWHD00000000:2:19671217:19671558:-1 gene:ONIVA02G21450 transcript:ONIVA02G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLDLKLNLSLPAVARAVSPAADDESSPSSCLSSESELRQQHGGGGGQLQWSDSPEATSMVLAACPRCFLYVMLAEADPRCPKCRSPVILDFLHAGGGGGINADGRRHRRG >ONIVA02G21440.1 pep chromosome:AWHD00000000:2:19664473:19669300:1 gene:ONIVA02G21440 transcript:ONIVA02G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHIGRLSSHVRQRYLEHLFQRFGNCTVRLKDGYGFAVFDSNDDAARAMRALQGKFVCGERITVNWSKQQPRFSKDFRSSRNVESSHQRAPRVRDGNIRFRDSLAQKNHPASHDQGHSPDVAPEQKSSDGALEKKSDEKKSDGDVEDLKDVRETVGQDPVEMKRNEDVTSDANVIEHDRWEETGKGNPGRDDDDFDRYEPYHGYARQEERAEVVKASSQETYHHRFSSQKSKEYPAGHTAHDCPQKTDGGFEAWRDALSRQERLRRFGSPSRRQPDTRDCSKKTDDRFEAWRDALSRQEKGMVRLKRFGSPSRKRTEEFRVDKVVETHRMVQDGRKQFSDRTSHAHRLSNVSREDKRHTRCSESIPQTPKESRKRSRSKRSRGSSLSSDRSTSHSTSKCSRSRAHSPSHSAHSSSKSFQPTQPEGLRSMAVSNVSPLLVSGSPQHNLPSTAENKNSDFLVNSPLEGNLDSKTTTGLKHTRGYQQDIKDSRLSGESPVIPLRLKIQRNGELPVSGKDAYLDGYTETNLNNNLVYDDNVADGVQVQKTNSEDASSVKSSKDILVKSERSNSLKLTTNEVVSALKHYGMEARGTDLLNQPVEKYFGAARLWPWEVIYYRKLKKGPISTENYAKRLEQNKEYSIVDRYVRSSSGWWECH >ONIVA02G21430.1 pep chromosome:AWHD00000000:2:19659500:19663961:1 gene:ONIVA02G21430 transcript:ONIVA02G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLSRKKPSSITIEFSCGNYYKIPSCLFSISDLEYLQIERCIISLPRQFEGFKQLTVLNLKYFSSTDSDINNLISSCPRLNTLRLKYFKGINRLRIQAQALQVLEVKGSFEDFHLHAPNLSNVYVTLNKTKVADRSKNYMMQAFVSLTGIEALVMKRCMVALPQMFEGFKRLSVLNLKYIYSTDADIANLISCPWLKTLHLKYFEGISCLRIQAPALQHLEVQGNFEDLHLHAPNLLYLTLDKTETEQCDAVAGDKKNYPKEVFVSLTSIEELSINGPSLTYLSEGCLLTKPPGVLDRLRKVLGACSIFRNAPMLRELEIQSFSRPDDSWSQPIWDHDQTEIEEPTLHHLLTATITGFVGLEYEVDLVRLLLRWSPALEELKVVRDDEDVLNDACMCESLTKLLALPRASTKAKIIAI >ONIVA02G21430.2 pep chromosome:AWHD00000000:2:19661879:19663961:1 gene:ONIVA02G21430 transcript:ONIVA02G21430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCAKKAKVESQSAESLDRLSSLPPELKVAILSKLNVVDAIRASILSSAWRNVATYSVRYVSHLRHITVQIYYTDSDINNLISSCPRLNTLRLKYFKGINRLRIQAQALQVLEVKGSFEDFHLHAPNLSNVYVTLNKTKVADRSKNYMMQAFVSLTGIEALVMKRCMVALPQMFEGFKRLSVLNLKYIYSTDADIANLISCPWLKTLHLKYFEGISCLRIQAPALQHLEVQGNFEDLHLHAPNLLYLTLDKTETEQCDAVAGDKKNYPKEVFVSLTSIEELSINGPSLTYLSEGCLLTKPPGVLDRLRKVLGACSIFRNAPMLRELEIQSFSRPDDSWSQPIWDHDQTEIEEPTLHHLLTATITGFVGLEYEVDLVRLLLRWSPALEELKVVRDDEDVLNDACMCESLTKLLALPRASTKAKIIAI >ONIVA02G21420.1 pep chromosome:AWHD00000000:2:19654474:19656370:1 gene:ONIVA02G21420 transcript:ONIVA02G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSALLIFLLFVLIVTSQLEWKQQLVNELESTSHKQKHISSRGELVKEKIILSQEKMIRLLNEHIQNLQQQLLQCRVNNRTANSSGSSLTSYIGEIQRQQMMDD >ONIVA02G21420.2 pep chromosome:AWHD00000000:2:19654779:19656370:1 gene:ONIVA02G21420 transcript:ONIVA02G21420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDLELRHLTSQLEWKQQLVNELESTSHKQKHISSRGELVKEKIILSQEKMIRLLNEHIQNLQQQLLQCRVNNRTANSSGSSLTSYIGEIQRQQMMDD >ONIVA02G21410.1 pep chromosome:AWHD00000000:2:19634790:19636719:-1 gene:ONIVA02G21410 transcript:ONIVA02G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNCEVTIIVSGAMHRAKKAKVEPSSALRSDKFSSLPQEIKVTILSKLNSLDAIRASILSSAWRNVWTTLPEIYFCDLYLSWGSSETTQRSNFITLVDLALLLHNGPLASFSILGFKRYHDVFDRWMYMLSRKKPRSITIKFYSGHNYKIPSNLFSLSDLEYLQIKRCIIGLPQEFEGFKRLTVLNLKYFSSTDSDINNLISSCPLLSTLCLKYFEGITCLSIQAPALQDLEVKGNFQDFHLHAPNLSNLDVSLDKTEAQQSVVVEGNRKNYLKQAMVSLTSIVRLVMKRCIIALPQEFEGFKQLFVLNLKYFSSTDHDINTLVSSCPWLNTLRLKHFDGISCLRIEGQVLQIVEVEGKFEDFHLNAPNLSHVYITLDKTEAQQSVAVVGDMKNYMKQAFVSLTSIEELTISGSFLTQYLSKGCLSMQLPGVFDHLKKICIEKCFWNWTEVLGACSIFQNAPTFRELEIWSFPRPEAYRRKTIWDQDQTEIEEPTLHHLVTVAINDFVGLNCEVALVGLLLSWSPALEDLKIFRAKNVNDEYMCICKGLTKLLALPRASSKAKITVI >ONIVA02G21400.1 pep chromosome:AWHD00000000:2:19627037:19631513:1 gene:ONIVA02G21400 transcript:ONIVA02G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRASRRPAAAAAAVVVAVVLAVSGGVGATPETACRAAAEEDRRVDYEFCVSRLSHHHDSPDADTWGLAKVAADVGVCIAGDAAYDAKAKLQAAKAGGEREALERCAELYDRMGSAFAAAYDDINRREYAAGKEKAGEAASLARRCDGAFADAGVAPSPLERQTAESVKIAIVCTAITNLPYTAALLAVAVAVLGVVAVDATVVTTCRAAADADARVDYGFCVAELGKHHDSPGADAWGLAKVAALTGVVDADNAAYDARDLLAKGGGGAAAALARCGELYRAAGFAFAEAHDDINARDYAAGKGKAADAASLARQCDAAFAGKDDAAAAAVPPVIAQHGSYAARIAIVCTAITNLIE >ONIVA02G21390.1 pep chromosome:AWHD00000000:2:19608758:19610063:-1 gene:ONIVA02G21390 transcript:ONIVA02G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKYLRTLQLLEVQGDFEDLHLHAPNLLYLTLGKTEAEQSDAVVGDKKNYLKQAFVSLTSIEELTISGSFLTYLSEGCLLAELPGVFDRLRKICIEKCSWVWTEVLGACSIFRNASKLRELEIRSFYSDEEFWYQPIWDNDQAEIEEPTLHHLVTVTINDFVGLEHEIALVGLLLRWSPTLEELKIVREDADVPNDDCMFRVLTKLLALPRASSKAKIIVI >ONIVA02G21380.1 pep chromosome:AWHD00000000:2:19589397:19591725:-1 gene:ONIVA02G21380 transcript:ONIVA02G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein binding;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G51700) TAIR;Acc:AT5G51700] MSTEAETTSAAAPAPAPAPASAPARCQRIGCDATFTDDNNPDGSCQYHPSGPMFHDGMKQWSCCKQKSHDFSLFLAIPGCKTGKHTTEKPITKAVPTEPSKAVPVQTSKQSVGADTCSRCRQGFFCSDHGSQPKAQIPTATSDTNMVPVEKPAVPPPKKKIDLNEPRVCKNKGCGKTYKEKDNHDEACDYHPGPAVFRDRIRGWKCCDIHVKEFDEFMEIPPCTKGWHNADAA >ONIVA02G21370.1 pep chromosome:AWHD00000000:2:19586009:19586939:1 gene:ONIVA02G21370 transcript:ONIVA02G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLAVVVALALAAAAAVAHGEAGVRGAGTLRGYVACLDCAPGHDLSGVVVAVRCGGGDGGVGQLRAAQTDERGGFDVAVPAAGGDDVDGRRSHPRCAARVLGGAEQLCAPGGLAVAPVVAAGGREKHGSYALASSLAVFTRCGGGALASSTAAATGNGQSPAPPRARRATPRAGRATPPPYAGPGLPLIYFFPFLPIIGIP >ONIVA02G21360.1 pep chromosome:AWHD00000000:2:19577397:19579809:-1 gene:ONIVA02G21360 transcript:ONIVA02G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chaperone protein dnaJ-related [Source:Projected from Arabidopsis thaliana (AT5G06130) TAIR;Acc:AT5G06130] MYYCLAAPPAAAAPAAPAARRASSFLFVVSVPPPTLWGRVTGGAVSAQRLVRRRCSSAGEPRAAGDGGLSSFCIIEGPETIQDFVQMQSQEIQDNIRSRRNKIFLLMEEVRRLRVQQRIRTSESRGASSEESEMPEIPSSIPFLPNTSPKTMKQLYLTSFSFITGIIFFGGLIAPVLELKLGLGGTSYEDFIRTMHLPLQLSQVDPIVASFSGGAVGVISALMLVEIRNVRQQEKKRCTYCHGTGYLPCARCSASGMLLNSKSFSLSCDNGHNMWSTTERCPNCSGAGKVMCPTCLCTGTAMASEHDPRIDPFD >ONIVA02G21350.1 pep chromosome:AWHD00000000:2:19570825:19575514:-1 gene:ONIVA02G21350 transcript:ONIVA02G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCDDHGAAFAVQSGRKKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIATAILALSGAAHADVQGTCKAAAGIDSRISYKFCVSKLSNHHLSPDADSWGLALIAASLGISNTEDTGLVAKPGTGAKAKPLLARCQELYNEMSFVFAEGYDCINARSYAAGKEKVGEAIPLARQCDDAFAKAAVPSPLVQRSWCSVQMLIICTAITNLIK >ONIVA02G21340.1 pep chromosome:AWHD00000000:2:19565635:19566048:-1 gene:ONIVA02G21340 transcript:ONIVA02G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGMEDSHIAEFHRLEDGNEVGLFVVFNGLSDADVATYLGEHLSTLLLREQGFGTDTMDAIRRAYHRTDWKVLRTTTEEGDSEERSGRHSGSTVARGPRAAPGARRERRGGFVTEMHGDMPRVDAQLAMSRAFGDR >ONIVA02G21330.1 pep chromosome:AWHD00000000:2:19544895:19549580:1 gene:ONIVA02G21330 transcript:ONIVA02G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLLALAPWLLLLLLQLAGASHVVHRSLEAEQAPSSVPASIVSPLLRTGYHFQPPMNWINGPLYYKGWYHLFYQYNPKGAVWGNIVWAHSVSQDLINWIALEPAIKPDIPSDQYGCWSGSATILPDGTPAILYTGIDRPNINYQVQNIAFPKNASDPLLREWVKPAYNPVATPEPGMNATQFRDPTTAWYADGHWRMLVGGLKGARRGLAYLYRSRDFKTWVRAKHPLHSALTGMWECPDFFPLQAPGLQAGLDTSVPSSKYVLKNSLDLTRYDYYTVGIYNKVTERYVPDNPAGDYHRLRYDYGNFYASKTFFDPVKHRRILLGWANESDSVTYDKAKGWAGIHAIPRKVWLDPSGKQLLQWPIEELETLRGKSVSVSDKVVKPGEHFQVAGLGTYQRSSRAQFLLVHAKIAKSPDRRFFRSVGADVEVSLEVSGLEKAEALDPAFGDDAERLCGAKGADVRGGVVFGLWVLASAGLEEKTAVFFRVFKPAGHGAKPVVLMCTDPTKSSLSPDLYKPTFAGFVDTDISSGKISLRSLIDRSVVESFGAGGKTCILSRVYPSMAIGDKAHLYVFNNGEADIKISHLKAWEMKKPLMNGA >ONIVA02G21320.1 pep chromosome:AWHD00000000:2:19516801:19519188:-1 gene:ONIVA02G21320 transcript:ONIVA02G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENKFVARALILERPTPPGEEAGGGLSGDGGVLPLRAVCGAGPSLVTSVYETHLDLAALSWTRTSLGLSLRAVLRLSSPATPASSLATGVGIYFDEDTDEETLAFLRGTRRFSAAGDRLVDLAWALTRARFPGSAVEPPPCRGAAPQPQQLCSWNGDAGLFSSSSSSGEYRWTDYVDVVRACMLQSWRLIFEHGSYEGRGRTVMMACYDVETLFLRGIDVNRPTTPAAAETTTARGGAAARRTRSPARPPPTACSPSGSDDEDSGGGGSRVPWPGQGWEQQQQEGEEKREERKEKKIRYAANMWAPWHSDSKAFLTLDQCRAGGDQSVPPSL >ONIVA02G21310.1 pep chromosome:AWHD00000000:2:19511921:19512487:-1 gene:ONIVA02G21310 transcript:ONIVA02G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTTCRGGHHAAVMPRPPPPLFGLAGQDQRRRYLGLCTEGLGSESSESSGGDVDLGTGGGGDDTGNDGVGHALPCKRQHRPIDDDEEEKTVVPAALAPPLPAWTRAAFPPPISVIGAGGKPWLYLRAHRGDGRLVLREVRIPSRELLHGRREDGRFKLHFAHPDEQLQEQQLLLLADDQDPAEKNE >ONIVA02G21300.1 pep chromosome:AWHD00000000:2:19507662:19512099:1 gene:ONIVA02G21300 transcript:ONIVA02G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrilase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT2G27450) TAIR;Acc:AT2G27450] MAGGGGAGRKVSVAAVQFACTDVESENVDTAERLIREAHKKGANIVLVQELFEGQYFCQAQRLDFFQRAKPYKGNPTIIRFQKLAKELEVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKYATIGVGICWDQWFPECARAMVLQGAEILFYPTAIGSEPQDNNLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGESTITFFGNSFIAGPTGEIVKLANDKDEDVLVAEFDLDEIKSTRHGWGIFRDRRPDLYKVLLTLDGEKS >ONIVA02G21290.1 pep chromosome:AWHD00000000:2:19506711:19507241:-1 gene:ONIVA02G21290 transcript:ONIVA02G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTAVARVVAPHQPAWPWAAAVLARRMEGAGRVARYFSDGTGRVLSEEERAAESVYIQKMEREKLEKQRRKADKDKADAAKRAAAAKGDKKGGEARPT >ONIVA02G21280.1 pep chromosome:AWHD00000000:2:19500673:19501451:1 gene:ONIVA02G21280 transcript:ONIVA02G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTLDSLLHGGGGEPEDECEDEFSGSDDDGEDGGGGSEEWGGDVDGEYDPYSPAESLWLRIGEDIDWSEVGAVLEREDSTKGASNPKSAAACSCAGAPAARMPTCAGGGGTAKAVVIAGLPAAARKASREHERRRRRLGRARARARVFAGDAVEVAEPGSPKVSCLGGVRCGEAAVVVRAVVGERRVPPVLERPATARVGTVVVAGGSKGEASPSSSQAIWRRRRRDGGRRGQGSCNHVRDG >ONIVA02G21270.1 pep chromosome:AWHD00000000:2:19498930:19499590:1 gene:ONIVA02G21270 transcript:ONIVA02G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAQSNAQIWRGETRQRHNGALARSLGAVDRVTKVEQWRVGAAEIERDGRHGDHQGVTVAGGGRKKWEVAPVGSHGETTVGLNDEEVMGDVTSTQDGSSISRHNRHDWWIRW >ONIVA02G21260.1 pep chromosome:AWHD00000000:2:19489275:19496030:1 gene:ONIVA02G21260 transcript:ONIVA02G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKKSAIFVALLCTHILVNHACDSDVVFGYSGSTGPEHWGSLSPNFTTCSKGTYQSPINILKDDAVYNPKLEPLEMDYTAANTTIVDNVFNIALRYNDTAGTVKVDGKKYKLRQLHWHSPSEHTINGQRFAVELHMVHHSDDGNITVIAVLYRHGKPDPFLFQIKDKLAALYLEGCKAEKGEPLPVGLVDMRELKKGADRYFRYVGSLTTPPCTENVIWNIFGEIREMTKEQAAALRAPLHGSYRHNRRPTQPLNGRTVQLNYM >ONIVA02G21260.2 pep chromosome:AWHD00000000:2:19488332:19496030:1 gene:ONIVA02G21260 transcript:ONIVA02G21260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAKKSAIFVALLCTHILVNHACDSDVVFGYSGSTGPEHWGSLSPNFTTCSKGTYQSPINILKDDAVYNPKLEPLEMDYTAANTTIVDNVFNIALRYNDTAGTVKVDGKKYKLRQLHWHSPSEHTINGQRFAVELHMVHHSDDGNITVIAVLYRHGKPDPFLFQIKDKLAALYLEGCKAEKGEPLPVGLVDMRELKKGADRYFRYVGSLTTPPCTENVIWNIFGEIREMTKEQAAALRAPLHGSYRHNRRPTQPLNGRTVQLNYM >ONIVA02G21250.1 pep chromosome:AWHD00000000:2:19480522:19485404:-1 gene:ONIVA02G21250 transcript:ONIVA02G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulphur cluster biosynthesis family protein [Source:Projected from Arabidopsis thaliana (AT5G03900) TAIR;Acc:AT5G03900] MAPAASLSLHLRLAPPPPPHRRGRPPRHAPFLPLSPYHHHLHLHLRVAHHHHGRHPHPPSPRWRHDVRARAGTIQAPGLARPGGAVETDRLPSGVRDRAMEAVDHFGGRVTIGDVASRAGLQLAQAERALQALAADTGGFLEVSEEGEVLYVFPKDYRAKLAGKSFRMKVEPLIDKTKEVGAYLVRVSFGTALIASIVLVYTTIIAIISSSSDEDNRGRRRRSYDSTIIIPTDLFWYLDADYYRRRRRVEKEDGMNFIESIFSFVFGDGDPNDGLEDKRWKMIGQYISSNGGVVTAEELAPYLDVPPISEQSKDDESFILPVLLRFQGHPEVDEQGNILYRFPSLQRTASSKGSGVREYVGNKWSAMFSSVEKYLEEKPWKFSKANASERAMVAGLGGLNLFGVIILGNLLKQMTVPPGGLISFVAQLFPLLQVYAGSFFAIPLFRWFLLRKTNNDIERRNKAREQRAQELALPESSLRRKLLSARDMAQRKVITPEEIVYTTEKDLLDQDYEVKEWEKRFKELESD >ONIVA02G21240.1 pep chromosome:AWHD00000000:2:19475642:19480703:1 gene:ONIVA02G21240 transcript:ONIVA02G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLQAYPSGTQQRRRLLLSSTSRGWRSRARVRQQVAVRSTGKDGDGSESSVVHPRRLRCVGHPHPSPDHGRASASRGGTVHRRELRADREQPPVAVAGVVAAPVAQDQQGEAVRRRPARPARVPRHGRGVRGRHRQRGRPGDGQPRGGGVVAAAARGAAPPRRRAHHLHHRRQRGVQGQRHRPPGQPPPGDAVRAPGARRARPPGPRQRHHRALAGHHGRVLPAVRRCVPPLRRAAPAAVPGLPVGGEGAVPHQLLPVLRLQGRPGARAAGVRAVPAQRRRRRPAHEARLRQHAVRAGGRRVRGDPGDGAHGHRREGVGDRVAVPRRPRRGRRDARERRDVHREPPPEDRDEAGHAAAAAGAHRRLRLRALQREPQARPGVGAELRPLLPRRHAGLQRRPPRLPPAHGITRGSHPGDPLVPTYRHRIGRFRLIVTVSATVLSES >ONIVA02G21230.1 pep chromosome:AWHD00000000:2:19470993:19471580:1 gene:ONIVA02G21230 transcript:ONIVA02G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRSCMAPSESCAARPADERPAVCSCGGAGGGQAAAGTSSDRHHQLVLLQAEAVEKKKGGRGAAAPDEAAMADGGGGGGGAGDHHQQAALLAPLPVSRRPAPSSVAAGEERESARERLKRHRTEMAGRVRIPEMWGQERLLKDWVDCAVFDRPLAATRGLLTARDALVAECAAPARRPPHGPTARPLRVQNGCS >ONIVA02G21220.1 pep chromosome:AWHD00000000:2:19454546:19455235:-1 gene:ONIVA02G21220 transcript:ONIVA02G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10080) TAIR;Acc:AT3G10080] MAHRRRCLLLLLAVLLPAMAARGDPDAVQDFCVPDAGRGLPVELAMLPAYPCRSPANLTAGDFAFSGVRAAGNFSPETGFAGVSVTPAQFPGLHTLGMSFARADLSAAGGVNPPHYHPRATETALVLAGRVYAGFVDSGGRLFAKVLEQGEVMVFPRAMVHFQLNVGDTPATVYGAFNSENPGIVRIPATVFGSGIREAVLERAFGLTPAELRRLEKRFGPPKKAEMED >ONIVA02G21210.1 pep chromosome:AWHD00000000:2:19446392:19452974:1 gene:ONIVA02G21210 transcript:ONIVA02G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGKKRDGSANGAEKKASPTPKPPSSSTSGFSKNVPLLVFVLLLGLLYRQLQPPAPKICGTPGGPPVTGPRLQLKDGRHLAYHEYGVPKDQAKHKIIFVHGFDSCRYDALQVSPELAEELGVYLVSFDRPGYGESDPHPGRTEDSIAFDIEGLADGLQLGPKFYLIGYSMGGEIMWSCLKNIPHRLAGVSILGPVGNYWWSGYPSNVSTEAWYVQLPQDQWAVRVAHHAPWLAYWWNTQKLFPASSVISFNPAILSREDLTVIPKFAYRTYAGQVRQQGEHESLHRDMLVGFGKWGWSPLEMENPFPAGEAAVHLWHGAEDLIVPVQLSRHIAQRLPWVRYHELPTAGHLFPITEGMPDLIVRSMLLTDE >ONIVA02G21200.1 pep chromosome:AWHD00000000:2:19428575:19429738:-1 gene:ONIVA02G21200 transcript:ONIVA02G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLIVGKVIGEVLDNFNPTVKMTATYGANKQVFNGHEFFPSAVAGKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYESPRPNIGIHRFILVLFRQKRRQAVSPPPSRDRFSTRQFAEDNDLGLPVAAVYFNAQRETAARRR >ONIVA02G21190.1 pep chromosome:AWHD00000000:2:19425579:19426046:-1 gene:ONIVA02G21190 transcript:ONIVA02G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNATAVTRKINAILKRGGGHRRGCRRRPSRRRRVGSGRLLLLLLLLPSAEGVPRTPPPPLGPEEAAASRTSAAEATMLAGDESEDVAPLKIAADVAPAGDEPEDAAPLLQIRGRRRGNCRGYRRRPSRRRRRVGRCVAISRLPRRLLRRGDKG >ONIVA02G21180.1 pep chromosome:AWHD00000000:2:19421845:19425406:-1 gene:ONIVA02G21180 transcript:ONIVA02G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPQVQNSMAQKKGLARQGRHQLDVMRIWQWQPHTRPVQAGGDGDDHVCAMQCKPMCRYAIVVQRAAASPSFSPPTGSLETGHRRVHVAAPAPPRATDRPVLATNAFHHSWTVKAMLHIISLICSSEIHVLFVYLCPVRRWKDITKPKTMLCKRILPYTPHGLCELPSDSIMFVDSKVRALLLLCFIR >ONIVA02G21170.1 pep chromosome:AWHD00000000:2:19421262:19421559:1 gene:ONIVA02G21170 transcript:ONIVA02G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGREGIWELRITRHWPNQYSLHNQCIPLRHLKATKKSIENVSTNYTRWTRGVKPGEICKDVISDQEAMA >ONIVA02G21170.2 pep chromosome:AWHD00000000:2:19421560:19421842:1 gene:ONIVA02G21170 transcript:ONIVA02G21170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQTWDELDTACEGPDILLSAAATTIIIEDGAIANFKHNTWIQDLNLLPNGGMTIVLLD >ONIVA02G21160.1 pep chromosome:AWHD00000000:2:19410677:19417618:1 gene:ONIVA02G21160 transcript:ONIVA02G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family [Source:Projected from Arabidopsis thaliana (AT3G56160) TAIR;Acc:AT3G56160] MVTTHHLCLLRSTVLSVPVRLRAPRAPPHPRLPTASASASSYHGPTHLRRLRPLRAAAAGGASPDGADGAKRPAPAAASSSLGATLVGFARSNFLPLALIAGIALALMDPTLGCLAHKYSLSKYSTFGIFLISAVQDRVAYHIHAIDYSIYFLAFNYLAEASILLFTPFLAQFIMQIKFFPHEFITGLAMFCCMPTTLSSGVTLTQLVGGNTALALAMTAISNLLGIMIVPLSLAKYIGVGAGVSLPTEKLFKSLVTTLLIPIILGKVARETSKGIAGFVDGNKQGFSVTSAILLSLVPWIQVSRSRSLLLSVQPKAFAVAVTVGVLLHFALLAFNAAALHILSRLEQRGVSVFARNEYARAVILVASQKTLPVLVAVVEQLGGALGESGLLVIPCVAAHINQIIIDSIIVNWWRQRDQQFANAK >ONIVA02G21150.1 pep chromosome:AWHD00000000:2:19409624:19409947:1 gene:ONIVA02G21150 transcript:ONIVA02G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMCTASRRQDDIDDGFMVVVVACSSLPPVTAPMRMLDDVGVWTVEDAASAMSSGVGHGWWRTRPLAMSPAWGVAEEDAAAGDELRRGTWMAEDVAVPVTISGVDRG >ONIVA02G21140.1 pep chromosome:AWHD00000000:2:19397164:19401932:-1 gene:ONIVA02G21140 transcript:ONIVA02G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ ADP-ribosyltransferases;NAD+ ADP-ribosyltransferases [Source:Projected from Arabidopsis thaliana (AT5G22470) TAIR;Acc:AT5G22470] MVHETRSRTLAASQEEGKAAPKKQKTESKEQEGGQQAPSKNKKTADNEEHDGEQEPSKNKKLKAEESDLNGKATAVKEFSEFCKAIREHLTIEDMRKILQANEQDASGSEDAVVPRCEDVMFYGPLDKCPVCGGQLECKGLKYKCTGTHSEWACCSFSTNNPSRRGGPIKVPDDVKNDFVRKWLKQQEGNKYPKRNLDDEGIFSGMMIALSGRMSRSHGYFKEQIMKHGGKVNNSVIGVTCVVASPAERHQGGSGGFAEALERGTPVVSENWIIDSVQKKEKQPLAAYDIASDVVPEGRGLPLGNLDPTEEAIETLAAELKLAGKRAVHKDSKLEKDGGHIYEKDGIIYNCAFSVCDLGGDINQLCIMQLIMVPENHLHLYYKKGPIGHDQMAEERVEDFGSRFNDAIKEFVRLFEEVTGNEFEPWEREKKFKKKCMKMYPLDMDDGVDVRHGGVALRQLGAAAAHCKLDPSVTFIMKQLCSQEIYRVHQLFHLAGEETLLEWKQDVESAPESGPAADAFWMEISNKWFTLFPTTRPYTMKGYEQIADNVASGLETVRDINVASRLIGDVFGSTLDDPLSQCYKKLGCSINRVVEDSEDYKMILKYLEKTYEPVKVGDVVYSATVERIYAVESSALPSYDEIKKLPNKVLLWCGTRSSNLLRHLRDGFVPAVCHIPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGKEIQEITGTPGSEDVKRMEEKKMGVKGVGRKTTDPSEHFTWRDGVTVPCGKLVPSTNKDGPLEYNEYAVYDPKQVSIAFLVGVKYEEQNMEVVPDE >ONIVA02G21130.1 pep chromosome:AWHD00000000:2:19390531:19391586:1 gene:ONIVA02G21130 transcript:ONIVA02G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNTKLYLQNCYMLKENERLRKAAVLLNQENQALLSELKHRLARSPSPAAAAPGVANDSKNAAAAAGRHAGPPPVQDKSASKSK >ONIVA02G21120.1 pep chromosome:AWHD00000000:2:19381978:19382397:-1 gene:ONIVA02G21120 transcript:ONIVA02G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGTYQAAAMLETARSASTATNERGGGGGGGGSGGNSQAQRWRRSIQWGGAQHPEVNFNGELKDDDGCHDNDNDNRALRRFRRLLHPLSSPRSLEHAAARAPSGGSDSLRWWGHHRQWSSVMGGG >ONIVA02G21110.1 pep chromosome:AWHD00000000:2:19375261:19375725:1 gene:ONIVA02G21110 transcript:ONIVA02G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRWQEGCHRLCANNCGFFGSPATLDLCSKCYRDRQGRESTAPVVVAAAASACPATHPSSPSSSSCPAFLPSSTAAEAGVVVAAVAKASRCASCRKRVGLTGFACRCGGTFCGAHRYPERHACGFDFKAAGRDAIARANPLIKGDKLKDKI >ONIVA02G21100.1 pep chromosome:AWHD00000000:2:19372182:19373021:-1 gene:ONIVA02G21100 transcript:ONIVA02G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDRRYDSFKTWSGKLERQLAHLAGAGPEFPEEEEDVIGGAGASVGQNVAVSAPVPGVGVRYLPRREHPSDPVEDGGDVSADQIPSRHYQGEPGASAFPSRSCASSQPSTLARWSSSSRPSLSGKGVGRQAGEEVGALTARSGAMWSRWQGSRRAAQTRGSGEPRRQGVGGGGAAARGRRRSTSPACTPWRWRRRRRRRWRTLSGRSPCENY >ONIVA02G21090.1 pep chromosome:AWHD00000000:2:19354119:19354445:-1 gene:ONIVA02G21090 transcript:ONIVA02G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRFCWLIDDGGAAPVDRMRREFGLDGRHDGMDRSRIGARHEKRWLLCAEDRLYLRPWTWYGRVLALKESKYLEARWLVKWSSRTALASLRANRKCLATPCTISHG >ONIVA02G21080.1 pep chromosome:AWHD00000000:2:19334914:19335183:1 gene:ONIVA02G21080 transcript:ONIVA02G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPQQAVSGPGAAGHGQEATAVLLPAGPVNGFRAGSRRWCAGNRCGEREGRGGGQEAATASRRLAAARGAGGGGEGARRRWAVAAAA >ONIVA02G21070.1 pep chromosome:AWHD00000000:2:19327234:19327873:-1 gene:ONIVA02G21070 transcript:ONIVA02G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSHYDGKSGIRKHILEMTHMANQLRSMDMKISDGFLVHIIMRSFGPNYDPFKIKYNTQKEEWTIKELILHSVEEEERQKAEKQKIKDRLNLTNAFDKGKKIYQGESSNKNSEPEGEQKQEGIKASTSPVPLSTSPYCHFCGLDGHWQRNCTRFTAWLVKKGNPHRPNGSKEGSEHSK >ONIVA02G21060.1 pep chromosome:AWHD00000000:2:19315834:19319392:1 gene:ONIVA02G21060 transcript:ONIVA02G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGSGFDSTRLDGVLALLDSKARAAAEDELRRQSREEQASVPAPPACYTRSTSRSIGRCRGCCRRRPRGWAAHAWQPSVMETVTAALARRVLGDNTEATRTVGSDEIRDEGSGVVDGFCPLSCICGERERGCSILDGACEVVMTLVSTDYRVKNSGTRGCS >ONIVA02G21050.1 pep chromosome:AWHD00000000:2:19309479:19310297:-1 gene:ONIVA02G21050 transcript:ONIVA02G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLRPPPTPRTPLGGAATTPDQLPPPSPHTPRPAITLTAPPSKKKRRGGAAARSLRAIRAVRALFRSLPILAPACRFHGAIRAPGGASRAHDGHVSGASRTTGTLFGYRKARVTLAVQETPGSVPILLLELAMQTGRFMQEMGAEHLRVALECEKKPPGAGAGIGRTRLLDEPLWTAYVNGRKIGYAMRREPTEGDLTVMQLLRTVSVGAGVLPTDVMGGDAGAEVQDAGDLAYMRARFDRVVGSRDSESFYMLNPDGNNGPELSIFFIRI >ONIVA02G21040.1 pep chromosome:AWHD00000000:2:19278244:19279527:1 gene:ONIVA02G21040 transcript:ONIVA02G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSEEAEDGDRCPVGGRAPNTHKAREKSHPHPHPPHKRIDAENQSALDFVVPRPRGIGTQLVLG >ONIVA02G21030.1 pep chromosome:AWHD00000000:2:19277124:19278134:-1 gene:ONIVA02G21030 transcript:ONIVA02G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVVLKVGMSCQGCAGAVRRVLTKMEGVETFDIDMEQQKVTVKGNVKPEDVFQTVSKTGKKTSFWEAAEAASDSAAAAAPAPAPATAEAEAEAEAAPPTTTAAEAPAIAAAAAPPAPAAPEAAPAKADA >ONIVA02G21020.1 pep chromosome:AWHD00000000:2:19274734:19275221:1 gene:ONIVA02G21020 transcript:ONIVA02G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLWILLGFNVGLFSVIVLVMLLLSWGELLIHIGSTSPSRSASSPPPKHHLIQTTSVEFRPFSLSFFLLLNAAIWFAYGASQCAGFRVRRGVDGVYMVYRSKKPPSAVAMSVPLCQNIVTSLPFLFKF >ONIVA02G21010.1 pep chromosome:AWHD00000000:2:19271589:19273952:-1 gene:ONIVA02G21010 transcript:ONIVA02G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPPPSSLSCSSRVCVGVAHAVPHRSAETVPDAAPQLLLMRAHARAGRMQPARQAFDAMLPRDRSLVAWTVLMSGYATHGPASEALDLLLRMVEWPLRPDAFVFSVALRACAAAGSLGVGRQVHAAAAKMGYVGADLFVANGLVTMYASCRSLGCAEKVFSGIAAPDSVSWTSMLSAYTENGCDTQALMLFLEMIHGGVSCDAYTLSVALRAASSLGHVRLGYQLHCYMIKSGFVPSEFLENCLIEFYGRCRELQLMQKVFDEMNAKDLVSWNIVIQCYADNLCDEEALVHFRDLMYKCAECDEYTLGSILHVITRRCAFDYGREIHGYLIRAGLDSDKYVMSALMDMYVNWATLRKSRSMLPLRMLKYYLSVQGKLDQFIVASSLKSCASDLDLAAGRMLHACVLKFDVNPDPFVISSLVDMYAKCGSLEEAHILFSRTKDPCTVAWSAIISGSCLNGQFERAIHLFRTMQLEHVQPNEFTYTSVLTACMALGDVVSGMEIHSNSIRNGYGTSDSVLRSLISFYLREGQFNQALRLCLSLSNSEISWGTLFQEFAELGDHLGILNLFHVIQRSGGVLDYPTACLILSSCGKKAHLPEGLQAHAYLMKRGLSSTGCMCDYLIDMYSGCGSLTHAFEAFRNTSGRNSSSWTSIIMASVENGCPETAIRLFVQMLRKEKSPNSLSFLSVLKACAEIGLVNEAFQFFVSMTEVYKIQPSEEHYSHMIEVLGRAGMFKEAEHFIDSVVPSESGASAWSLLCSAAKQNGNAKTMRLAADRLSKLTPDGC >ONIVA02G21000.1 pep chromosome:AWHD00000000:2:19259996:19267976:-1 gene:ONIVA02G21000 transcript:ONIVA02G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTILLSLALSMLLAILLSKLVSISKKPRLNLPPGPWTLPVIGSIHHLASNPNTHRALRALSQKHGPLMQLWLGEVPAVVASTPEAAREILRNQDLRFADRHVTSTVATVSFDASDIFFSPYGERWRQLRKLCTQELLTATRVRSFSRVREDEVARLVRELAGGGGAAVDLTERLGRLVNDVVMRCSVGGRCRYRDEFLGALHEAKNQLTWLTVADLFPSSRLARMLGAAPRRGLASRKRIERIIADIVREHEGYMGSGGGGGDEAAAAAAGKDCFLSVLLGLQKEGGTPIPITNEIIVVLLFDMFSGGSETSATVMIWIMAELIRWPRVMTKVQAEVRQALQGKVTVTEDDIVRLNYLKMVIKETLRLHCPGPLLVPHRCRETCKVMGYDVLKGTCVFVNVWALGRDPKYWEDPEEFKPERFENSDMDYKGNTFEYLPFGSGRRICPGINLGIANIELPLASLLYHFDWKLPDEMASKDLDMQEAPGMSRDLAAVTFSPHTLRLPDPHRPPPSSKMKFISAYLLATLAGNPNPSAEDLTTILESVGAEVDHGKMELLLSQLAGKDITEIIASGREKFASVPCGGGGVAVAAAAPAAGGGAAPQSEAKKEEKVEEKEESDDDMGFSLFD >ONIVA02G20990.1 pep chromosome:AWHD00000000:2:19256511:19257953:-1 gene:ONIVA02G20990 transcript:ONIVA02G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGMGYNNGKGGGGGGGGLPMTAPRPRGASPLSSHGHHHRSRKIHRTFNNVKITVLCGLVTILVLRGTIGLNLSLPNQPTDADALAGGKAVEDIDRILREIRSDGGADDDAAAAGDLAGSFNATALNATEAAAAYASAVERYALGPKISDWDGQRRRWLRQNPGFPSTVAGGKPRILLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDTELAGYWAKLPLLRRLMLSHPEVEWIWWMDSDALFTDMAFELPLSRYQDRNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGFIRDEAGKILTANLKGRPAFEADDQSALIYLLLSQKEKWMNKVFIENSYYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLRSMERAFNFADNQVLRLYGFAHKGLESPKIKRVRNQTTKPIDDKENLDVKAKISTTS >ONIVA02G20980.1 pep chromosome:AWHD00000000:2:19248645:19251145:1 gene:ONIVA02G20980 transcript:ONIVA02G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRGGKAASSKADPFDSDSDSDLVPKKKPGAYTAPSGAAKARYKDDFRDSGGLEQQSVQELENYAAYKAEETTDALGGCLRIAENIREDAANTLVTLNKQGQQISRTHEKAVEIDQDLSKGESLLGSLGGFFSKPWKPKKTRQIKGPAHVSDDSFKKKASHIEQREKLGLSPSGKSANRSYAEPTTAMEKVQVEKQKQDDALDDLSGVLGQLKGMACDMGSELDRQNKALDDLQGDVDELNSRVKGANQRARKLIEK >ONIVA02G20970.1 pep chromosome:AWHD00000000:2:19242149:19246870:1 gene:ONIVA02G20970 transcript:ONIVA02G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAEVALHSMPGAFAAHSPASNLPLAADAARGRRRRSANSLHSSRALQGPVRFPGLRAAVECQCQRIDDLARVTEGNGAWVKDAVDKASHALGDVRVPGQAVGGNGSVNGSAAKPPPQRRKASSVEDEAWELLRESVVYYCGSPVGTIAANDPNDANPMNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLTVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWVDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQVSPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVASIKMNRPEIAAKAVEVAERRIAIDKWPEYYDTKRARFIGKQSRLYQTWSIAGYLVAKQLLDKPDAARILSNDEDAEILNALSTNRKRGKKVLKKTFIV >ONIVA02G20970.2 pep chromosome:AWHD00000000:2:19242263:19246870:1 gene:ONIVA02G20970 transcript:ONIVA02G20970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAEVALHSMPGAFAAHSPASNLPLAADAARGRRRRSANSLHSSRALQGPVRFPGLRAAVECQCQRIDDLARVTEGNGAWVKDAVDKASHALGDVRVPGQAVGGNGSVNGSAAKPPPQRRKASSVEDEAWELLRESVVYYCGSPVGTIAANDPNDANPMNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLTVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWVDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQVSPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVASIKMNRPEIAAKAVEVAERRIAIDKWPEYYDTKRARFIGKQSRLYQTWSIAGYLVAKQLLDKPDAARILSNDEDAEILNALSTNRKRGKKVLKKTFIV >ONIVA02G20960.1 pep chromosome:AWHD00000000:2:19236677:19237146:-1 gene:ONIVA02G20960 transcript:ONIVA02G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIGSGSSVRAKVNHLGTWRWRRLEVVATTKVCASRGEGIVGSSFPRPNMVKETRSGNVDHLYASGVAAMGAKVAQVWLCRRDIAGRELERQHEEVAWRDKRLHGEIRGALGEDTVEG >ONIVA02G20950.1 pep chromosome:AWHD00000000:2:19227893:19228594:-1 gene:ONIVA02G20950 transcript:ONIVA02G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7N0] MEERRKEKGKEGRRGKATGHSVDKFSRSNLPEMEKRKLHLSPGTALFVFVNNTLPQTAQLMGSVYESYKDEGDGFLYLCYSSEKTFG >ONIVA02G20940.1 pep chromosome:AWHD00000000:2:19209741:19225386:-1 gene:ONIVA02G20940 transcript:ONIVA02G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLEVVEMEDKKQQQQQQREEAEAEAEEEAPVVPSSLRATATCRSLSSLSSSLRWDHRGDGEEEAELTWAAIERLPTLDRMRTSVLSSETVDVRRLGAAQRRVLVERLVADIQRDNLRLLRKQRRRMERVGVRQPTVEVRWRNVRVEADCQVVSGKPLPTLLNTVLATARGLSRRPHARIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLDKNLKVTGEVEYNGANLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDPDIDTYMKAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKSKLELAQFAELQAFAQFASALDKTSQNQLARGRRLRELLKQSQANPLPVEEQIATIYIGTRGYLDSLEIGQAISVEGLERSMQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQVAHISESTILVSLLQPAPETYDLFDDIILMAEGKIVYHGSKSCIMNFFESCGFKCPERKGAADFLQEVLSKKDQQQYWSRTEETYNFVTIDHFCEKFKASQVGQNLVEELAIPFDKSEGYNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKVVQLGLLAVITGTVFLRTHMGVDRAHADYYMGSLFYALILLLVNGFPELAIAVSRLPVFYKQRDYYFYPAWAYAIPSFILKIPLSLVESITWTSISYYLIGYTPEASRFFCQLLILFLVHTGALSLFRCVASYCQTMVASSVGGTMSFLVILLFGGFIIPRLSMPNWLKWGFWISPLSYAEIGLTGNEFLAPRWLKFHSLKRYSDTIWTSATGTSRAIISRDKFSTFDRRGKDMSKDMDNRMPKLQVGNALAPNKTGTMVLPFSPLTISFQDVNYYVDTPKEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEESVAYSAWLRLPTEVDSKTRREFVDEVIQTIELDDIRDALVGLPGVSGLSTEQRKRLTIAVELVSNPSVIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGLHSCNVIHYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVDFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPTRFPQKFREQLKACIWKQCLSYWRSPSYNLVRILFITISCIVFGVLFWQQGDINHINDQQGLFTILGCMYGTTLFTGINNCQSVIPFISIERSVVYRERFAGMYSPWAYSLAQVAMEIPYVLVQILLIMFIAYPMIGYAWTAAKFFWFMYTIACTLLYFLYFGMMIVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHQKEISVFGETKSVAAFIKDYFGFRHDLLPLAAIILAMFPILFAILFGLSISKLNFQRR >ONIVA02G20930.1 pep chromosome:AWHD00000000:2:19193241:19193729:1 gene:ONIVA02G20930 transcript:ONIVA02G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLELPKPRTPLLSAAVNLSAVIEDEAYVGFSSSTGVVASRAPLRACLELQDGRASTIAKRIKPAGVACHDRQGSVQRSEDTIADSLDRLFSSFTGDDVGMRSLKRIGRLRLGHWFSYKDMFRATNGFSDERLLGFGGFGRVYKVSASGIPSGDCREEGVP >ONIVA02G20920.1 pep chromosome:AWHD00000000:2:19160312:19171267:-1 gene:ONIVA02G20920 transcript:ONIVA02G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASAVPGSAAGLRAGAVRFPVPAGARSWRAAAELPTSRSLLSGRRFPGAVRVGGSGGRVAVRAAGASGEVMIPEGESDGMPVSAGSDDLQVPALDDELSTEVGAEVEIESSGASDVEGVKRVVEELAAEQKPRVVPPTGDGQKIFQMDSMLNGYKYHLEYRYSLYRRLRSDIDQYEGGLETFSRGYEKFGFNRSAEGVTYREWAPGAHSAALVGDFNNWNPNADRMSKNEFGVWEIFLPNNADGSSPIPHGSRVKVRMETPSGIKDSIPAWIKYSVQAAGEIPYNGIYYDPPEEEKYIFKHPQPKRPKSLRIYETHVGMSSTEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHAYYGSFGYHVTNFFAPSSRFGTPEDLNHASNNTLDGLNGFDGTDTHYFHSGSRGHHWMWDSRLFNYGNWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYSEYFGFATDADAVVYLMLVNDLIHGLYPEAITIGEDVSGMPTFALPVQDGGVGFDYRLHMAVPDKWIELLKQSDESWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPATPSIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRAPQVLPNGKFIPGNNNSYDKCRRRFDLGDADYLRYRGMLEFDRAMQSLEEKYGFMTSDHQYISRKHEEDKMIIFEKGDLVFVFNFHWSNSYFDYRVGCLKPGKYKVVLDSDAGLFGGFGRIHHTAEHFTADCSHDNRPYSFSVYSPSRTCVVYAPAE >ONIVA02G20910.1 pep chromosome:AWHD00000000:2:19157072:19158975:-1 gene:ONIVA02G20910 transcript:ONIVA02G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGGGREQQMQIVCVRGGGEEDGSSEWDQSSSRSALSLFKEKEEEIERKKLEVREKVFSMLGRVEEETKRLAFIRQELEVMSDPTRREVETIRKRIDKVNRQLKPLGKNCLKKEKEYKACLEAYNEKSNEKATLVNRLMELVGESEQLRMKKLEELNKTVESLY >ONIVA02G20900.1 pep chromosome:AWHD00000000:2:19146332:19147789:1 gene:ONIVA02G20900 transcript:ONIVA02G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGFSAAHDRSYSQFEQVLSDPTGVFALGFLRVNSTMLDLAVVHLPSSFPLWRAIPDRPAQWSAPASLSFNGDLVLTDPAANKVLWSAGAAAGAGGDRVVLLNTSNLQIQSGGGGGDGGSSPGIVWQSFDAPSETIVQGQNLTSAAALYTSDRRFSMRMGTSYFGLYIEPPASSSGGGGVAAAMYWKHTALQAKAAIVDGGGPTYARVEPDGYLAMYQKEGPPADIISFDTFNHGVRALRRMTLEADGNLRAYYWDSTGSRWVLDYTAITDPCGLPSTCGAYAVCVPPSGRCACLANATDGSGCAAANVGGGDGLCGRTGGEVGGLYWEVRRQRVEPANKEFLPFEHSPSAADCEVRCARNCSCWGAVYSNGTGYCYLMDYPAQMMVAADERKVGYFKVRSLEEAAAASGGGRAAGVKAALLAVGVTVLVAAAAFGAYRVWKRRCRTAVDARRQVVADDEGLSPGPYKNLGSFSSVELSSSFRR >ONIVA02G20890.1 pep chromosome:AWHD00000000:2:19146832:19155475:-1 gene:ONIVA02G20890 transcript:ONIVA02G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEKSTSGGGGMSMNTVTTVMAFSVSAFFVLFIFVRLLCARIHLRAGQSAAAAAAAHGDAFPAFSVERGIRGLEPAVVTSFPTAKFGDGGSRPRAAAALEESQWLAGYLRKELESSTELKDPRFLYGPGESPSSSATTCRLASTAVRHLRFHTLYAPNAAAATSTVTPTASSAALTPAALPPPLAAAASSRLLTLKYPTFLSSAATIICAGTPCHGAPAAAVARAPHLAVRRRRRVLERQEFLVRRLHALPPHLPVQPAHLAARAAAEAVAAADVCGGASRAVRRVGQARAPAAGRHADGVGAAGAWQAARIGDGGVVEHPPGAGAVPVVGAEVAVGLERHAAERPHAMVEGVEGDDVGGWPFLLPSGSTRAYVGPPPSTIAALACSAVCFQYIAAATPPPPDDDAGGSM >ONIVA02G20890.2 pep chromosome:AWHD00000000:2:19147474:19155475:-1 gene:ONIVA02G20890 transcript:ONIVA02G20890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEKSTSGGGGMSMNTVTTVMAFSVSAFFVLFIFVRLLCARIHLRAGQSAAAAAAAHGDAFPAFSVERGIRGLEPAVVTSFPTAKFGDGGSRPRAAAALEESQENEDDEEGALLVQWLNKKKDIEVVLKMWLAGYLRKELESSTELKDPRFLYGPGESPSSSATTCRLASTAVRHLRFHTLYAPNAAAATSTVTPTASSAALTPAALPPPLAAAASSRLLTLKYPTFLSSAATIICAG >ONIVA02G20890.3 pep chromosome:AWHD00000000:2:19145571:19155475:-1 gene:ONIVA02G20890 transcript:ONIVA02G20890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEKSTSGGGGMSMNTVTTVMAFSVSAFFVLFIFVRLLCARIHLRAGQSAAAAAAAHGDAFPAFSVERGIRGLEPAVVTSFPTAKFGDGGSRPRAAAALEESQLHTHEGRALTGQSNPILMKNGSNVLRAKRAVFYWFANPPLPLCYY >ONIVA02G20890.4 pep chromosome:AWHD00000000:2:19146832:19147036:-1 gene:ONIVA02G20890 transcript:ONIVA02G20890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGVEGDDVGGWPFLLPSGSTRAYVGPPPSTIAALACSAVCFQYIAAATPPPPDDDAGGSM >ONIVA02G20880.1 pep chromosome:AWHD00000000:2:19137306:19137696:-1 gene:ONIVA02G20880 transcript:ONIVA02G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPPTPPPSSSFYRCRRPHPQAPSSLSSPITAAVSAAAVVRHILRLRCCCGPHP >ONIVA02G20870.1 pep chromosome:AWHD00000000:2:19128372:19136139:-1 gene:ONIVA02G20870 transcript:ONIVA02G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADSKWPAMVLGGGGGGGRRASPGPAPPPAAPAAVAYSLLATSPPASIGNGGGSPHCDDGDASRGLGVADWLRLQRHSSGSSAGDDGDGFSSVSTLATADKGGDPADRPAGSSGGGGSKSWAQQAEEAYQLQLALALRLCSEASTAPDPNFLDSAVAAADDHHRDAPSPQSLSHRFWVNGSLSYSDKVLDGFYLIHGMDPFVWTLCNDLRDGARVPSIESLKAMNPTESSVEVVLIDRVVDYDLRQLISTAIDVSRSRADSREITTRLAGIVSSKMGGSVASTEEHELCPRWRDSAGFLKISSGSVVLPIGKLSIGLCRHRSLLFKTLADTISLPCRVVRGCRYCKSAGAASCLVHFGNDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKYNSADIVNNFKSLAKQYFLDCQSLNMMFNDPAAGTVVDLDEAMGSNIGPNLSPATNSDFQANFSHRSRGAQSSGQDGNFLIQKSSPDDTQSAQSDPFSDISLDIEDLIIPWSELVLKEKIGAGSFGTVHRADWNGSVAIMKSLRHPNIVLFMGAVTQPPKLSIVTEYLSRGSLYRILHKHGARENLDEKRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVIRDEPSNEKSDVYSFGVILWELMTLQQPWSTLNPAQVVAAVGFNGRRLEIPSSVDPKRTLATTVFCQYHGIPETSNQNSPSTSRGYQLTIVLDVVHAVEVSTGGGDQIGVRLWLTAEVEINHHLGCQ >ONIVA02G20870.2 pep chromosome:AWHD00000000:2:19129858:19136139:-1 gene:ONIVA02G20870 transcript:ONIVA02G20870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKADSKWPAMVLGGGGGGGRRASPGPAPPPAAPAAVAYSLLATSPPASIGNGGGSPHCDDGDASRGLGVADWLRLQRHSSGSSAGDDGDGFSSVSTLATADKGGDPADRPAGSSGGGGSKSWAQQAEEAYQLQLALALRLCSEASTAPDPNFLDSAVAAADDHHRDAPSPQSLSHRFWVNGSLSYSDKVLDGFYLIHGMDPFVWTLCNDLRDGARVPSIESLKAMNPTESSVEVVLIDRVVDYDLRQLISTAIDVSRSRADSREITTRLAGIVSSKMGGSVASTEEHELCPRWRDSAGFLKISSGSVVLPIGKLSIGLCRHRSLLFKTLADTISLPCRVVRGCRYCKSAGAASCLVHFGNDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKYNSADIVNNFKSLAKQYFLDCQSLNMMFNDPAAGTVVDLDEAMGSNIGPNLSPATNSDFQANFSHRSRGAQSSGQDGNFLIQKSSPDDTQSAQSDPFSDISLDIEDLIIPWSELVLKEKIGAGSFGTVHRADWNGSVAIMKSLRHPNIVLFMGAVTQPPKLSIVTEYLSRGSLYRILHKHGARENLDEKRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVIRDEPSNEKSDVYSFGVILWELMTLQQPWSTLNPAQVVAAVGFNGRRLEIPSSVDPKRTLATTVFCQYHGIPETSNQNSPSTSRGYQLTVHGHLQNPEDTSP >ONIVA02G20870.3 pep chromosome:AWHD00000000:2:19136143:19137187:-1 gene:ONIVA02G20870 transcript:ONIVA02G20870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESGHLARSKRELGDGELNVVDELSKHAGKEEKSGGELGAAASELWEGGGGWAAASGKQVASGVGQVGGQRRWSSGGDDGSARLAGGLGARRGREEEKTRLWERTNGRQGPYVSEVETGAFWAIRNYNGLHVGPRIYRGEQVVVGVVADSWTWPPVHSKSPIRRRRRCNAPECGRSSPSRKCSAPERRHCANGGARAPTCPPPAISLPVSRRACACACRPRLDNSVREGIPTHAATPTPTPRSPLPHMRLQRNSATATTQQRG >ONIVA02G20860.1 pep chromosome:AWHD00000000:2:19126234:19127389:1 gene:ONIVA02G20860 transcript:ONIVA02G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLGQTRIPLLHPPSAHRLPSPPPIVRGASPPIPATRCAAAPPLHLRATALALTFARPVAAPPPPRGRGAPPLSRERDLVLGASAIPSWRTLGFVEYIPSGKKQGGMVLVVPEGSYAVRLGNEASIRQRLAGAARGARYALTFSAARTCAQAERLNVSASGQWAVLPMQTMYSSNGWDSYAWTWDAAANVFDVVIHNPGVTEDPACGSLIRNTVENSSQIVIYKMWRQWLTENSNSV >ONIVA02G20850.1 pep chromosome:AWHD00000000:2:19114841:19117636:-1 gene:ONIVA02G20850 transcript:ONIVA02G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNTDPPPTTMVDTAAALLLEPKLEGYDDDGGGEPLQPAPFVSPLDQLMQPPRPLEALLQGPQLPPFLSKTYDLVCEPELDGVISWGHAGNSFVVWDPSAFARDVLPHHFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRHSKHLLKKIVRRRSSPTQQSGLQPGSSGESGLDPELNTLRREKSALLQEVTRLKQEHLQTIEQMSTLNQRLESAEDRQKQMVSFLAKLLQNPTFLRQLKMHRQQKEIDSTRVKRKFLKHVPHGNIDSGESSSLHTGESNLDFCPTSLDLPATHSDILDLQNFLLEDGDLNLAMLPENIGLDGIEAPDDIGALVQGFDTQEELELGSGVELLEIPPASGPRGQDPTIGRSKGKNVLSPGLDATSSEADCLGSFSDNMGMLSDSMLQTAGKLMDADDDERIWGVDASSALQSSCSGTSQQAYGSLVSDPYLMEMANKPEKFWELDFQALDDGDLQLDKCVIDDPALQQQRGNMNS >ONIVA02G20840.1 pep chromosome:AWHD00000000:2:19109144:19109871:-1 gene:ONIVA02G20840 transcript:ONIVA02G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKDRQRHAAAAVAKPRQRSASFHGRGEAEQRHSLLKQRPRTQPDLLAGLRGQSFRRGGGEGRAPARPSRVLLTVAVRQSMWPLHVMARAEWSVADLVAAAVELYIREGRRPLLPSADPAAFGLHFSQFSLQSLSPEEKLMELGSRSFFLCPKAAAAAVAAVSSGEDTGGLSGEDEANSAKKPSVLAPWLGFLHFWPLL >ONIVA02G20830.1 pep chromosome:AWHD00000000:2:19099345:19109557:1 gene:ONIVA02G20830 transcript:ONIVA02G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein [Source:Projected from Arabidopsis thaliana (AT5G22750) TAIR;Acc:AT5G22750] MGKERGREEQVATVRAVLGDGTPEMDIIRALHMAGDDPTRAINILLDFPHGPPPPPPPSPSPSPSPPAGKPTKPHPESTPPTKTPARSKPAAAAAAAAEKPRPSVAPESTNGGGGGEHWWLVGSVEMAGLSTCKGRRVASGDAVTFSFPNSPVAAAAGGKSRSGRPALVSCSSEIMRFSTPRHGEVGRIPNEWARCLLPLLKEGKIKIDGVCKSAPEVLSIMDTVLLSVSVYINSSMFHGQKQSTPKAARAATEDSTFHPLPALFKLTGLSPFKKAAFTPEDLYSRKRPLETKSSAPATKLTTEKLRLSSDGNEDDHAERIVSDSELDDIIGISDSSALEERDPPDALQCDLRPYQKQALYWMMQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLARGGILADAMGLGKTIMTIALLLADSSKGCITTQHSTHICEEASGLGELPVQPHDDVKKLAIPFSFSKLRKPKTPLIAGGNLIVCPMTLLGQWKAEIEAHATPGSVSIYVHYGQNRPKEANLIGQSDIVLTTYGVLSSEFSNENSTESGGLYSIHWFRVVLDEAHMIKSPKSLISLAAAALTADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWSLPILILPPANIEVKYCDLSETEKDFYDALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFADLNKLAKRFLHGGNGAVNGDSSLPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSASAGLCPVCRKSMSKQDLITAPTDNRFQIDVEKNWVESSKISFLLQELEVLRTSGAKSIIFSQWTAFLDLLQIPLSRHNFSFARLDGTLNLQQREKVIKEFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAIMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQELNWEKWSPKAAGSADGSSGRRPSRM >ONIVA02G20830.2 pep chromosome:AWHD00000000:2:19099345:19109531:1 gene:ONIVA02G20830 transcript:ONIVA02G20830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein [Source:Projected from Arabidopsis thaliana (AT5G22750) TAIR;Acc:AT5G22750] MGKERGREEQVATVRAVLGDGTPEMDIIRALHMAGDDPTRAINILLDFPHGPPPPPPPSPSPSPSPPAGKPTKPHPESTPPTKTPARSKPAAAAAAAAEKPRPSVAPESTNGGGGGEHWWLVGSVEMAGLSTCKGRRVASGDAVTFSFPNSPVAAAAGGKSRSGRPALVSCSSEIMRFSTPRHGEVGRIPNEWARCLLPLLKEGKIKIDGVCKSAPEVLSIMDTVLLSVSVYINSSMFHGQKQSTPKAARAATEDSTFHPLPALFKLTGLSPFKKAAFTPEDLYSRKRPLETKSSAPATKLTTEKLRLSSDGNEDDHAERIVSDSELDDIIGISDSSALEERDPPDALQCDLRPYQKQALYWMMQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLARGGILADAMGLGKTIMTIALLLADSSKGCITTQHSTHICEEASGLGELPVQPHDDVKKLAIPFSFSKLRKPKTPLIAGGNLIVCPMTLLGQWKAEIEAHATPGSVSIYVHYGQNRPKEANLIGQSDIVLTTYGVLSSEFSNENSTESGGLYSIHWFRVVLDEAHMIKSPKSLISLAAAALTADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWSLPILILPPANIEVKYCDLSETEKDFYDALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFADLNKLAKRFLHGGNGAVNGDSSLPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSASAGLCPVCRKSMSKQDLITAPTDNRFQIDVEKNWVESSKISFLLQELEVLRTSGAKSIIFSQWTAFLDLLQIPLSRHNFSFARLDGTLNLQQREKVIKEFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAIMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRSARIEELKMLFS >ONIVA02G20830.3 pep chromosome:AWHD00000000:2:19099345:19110060:1 gene:ONIVA02G20830 transcript:ONIVA02G20830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein [Source:Projected from Arabidopsis thaliana (AT5G22750) TAIR;Acc:AT5G22750] MGKERGREEQVATVRAVLGDGTPEMDIIRALHMAGDDPTRAINILLDFPHGPPPPPPPSPSPSPSPPAGKPTKPHPESTPPTKTPARSKPAAAAAAAAEKPRPSVAPESTNGGGGGEHWWLVGSVEMAGLSTCKGRRVASGDAVTFSFPNSPVAAAAGGKSRSGRPALVSCSSEIMRFSTPRHGEVGRIPNEWARCLLPLLKEGKIKIDGVCKSAPEVLSIMDTVLLSVSVYINSSMFHGQKQSTPKAARAATEDSTFHPLPALFKLTGLSPFKKHLLQKISIPESVRLKQRLASHLPQQSSAPATKLTTEKLRLSSDGNEDDHAERIVSDSELDDIIGISDSSALEERDPPDALQCDLRPYQKQALYWMMQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLARGGILADAMGLGKTIMTIALLLADSSKGCITTQHSTHICEEASGLGELPVQPHDDVKKLAIPFSFSKLRKPKTPLIAGGNLIVCPMTLLGQWKAEIEAHATPGSVSIYVHYGQNRPKEANLIGQSDIVLTTYGVLSSEFSNENSTESGGLYSIHWFRVVLDEAHMIKSPKSLISLAAAALTADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWSLPILILPPANIEVKYCDLSETEKDFYDALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFADLNKLAKRFLHGGNGAVNGDSSLPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSASAGLCPVCRKSMSKQDLITAPTDNRFQIDVEKNWVESSKISFLLQELEVLRTSGAKSIIFSQWTAFLDLLQIPLSRHNFSFARLDGTLNLQQREKVIKEFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAIMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRSARIEELKMLFS >ONIVA02G20830.4 pep chromosome:AWHD00000000:2:19099345:19110060:1 gene:ONIVA02G20830 transcript:ONIVA02G20830.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein [Source:Projected from Arabidopsis thaliana (AT5G22750) TAIR;Acc:AT5G22750] MGKERGREEQVATVRAVLGDGTPEMDIIRALHMAGDDPTRAINILLDFPHGPPPPPPPSPSPSPSPPAGKPTKPHPESTPPTKTPARSKPAAAAAAAAEKPRPSVAPESTNGGGGGEHWWLVGSVEMAGLSTCKGRRVASGDAVTFSFPNSPVAAAAGGKSRSGRPALVSCSSEIMRFSTPRHGEVGRIPNEWARCLLPLLKEGKIKIDGVCKSAPEVLSIMDTVLLSVSVYINSSMFHGQKQSTPKAARAATEDSTFHPLPALFKLTGLSPFKKAAFTPEDLYSRKRPLETKSSAPATKLTTEKLRLSSDGNEDDHAERIVSDSELDDIIGISDSSALEERDPPDALQCDLRPYQKQALYWMMQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATTEFPSTLQLARGGILADAMGLGKTIMTIALLLADSSKGCITTQHSTHICEEASGLGELPVQPHDDVKKLAIPFSFSKLRKPKTPLIAGGNLIVCPMTLLGQWKAEIEAHATPGSVSIYVHYGQNRPKEANLIGQSDIVLTTYGVLSSEFSNENSTESGGLYSIHWFRVVLDEAHMIKSPKSLISLAAAALTADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWSLPILILPPANIEVKYCDLSETEKDFYDALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFADLNKLAKRFLHGGNGAVNGDSSLPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSASAGLCPVCRKSMSKQDLITAPTDNRFQIDVEKNWVESSKISFLLQELEVLRTSGAKSIIFSQWTAFLDLLQIPLSRHNFSFARLDGTLNLQQREKVIKEFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAIMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRSARIEELKMLFS >ONIVA02G20830.5 pep chromosome:AWHD00000000:2:19109118:19109429:1 gene:ONIVA02G20830 transcript:ONIVA02G20830.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein [Source:Projected from Arabidopsis thaliana (AT5G22750) TAIR;Acc:AT5G22750] MAVLTSTITYSSGQKNPSHGANTLGFLAELASSSPDKPPVSSPDETAATAAAAALGQRKKLLLPSSMSFSSGLKPTLKKTRQPFPIRGGALAVAGAGNEGD >ONIVA02G20820.1 pep chromosome:AWHD00000000:2:19078170:19079498:-1 gene:ONIVA02G20820 transcript:ONIVA02G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVSEAAAGVEDQDGGVAAAGMDGIQYCSEHPYRPGAAAAAVAGGGICAFCLQEKLGRLVSSSKSSPFFPLGGHPPPSASPSSPPSFRRAAAVVAEPPPPLRSSSGASRKLIPFGRRKASSSSSSSSSASVALAGGGLKRSKSVAPRPEEHYSSSASSVTAESPRKKSFWSFLYLSSSSPYTHQAVTSTSYGANGGAAAAARRKSVSVASAAWASRGGSAAGAHEQQQPRAAATSSVSGRRLEAIGEPESPSQVSSSSSFGRKVARSRSVGCGSRSFSGDFLERISNGFGDCTLRRVESQREPKPNKMRALGHLGGGGADDDDDDDDVYQHQHRIKCAGFFGGMGPTPSYWLSAAEGAATAGGVGGARKSGGRSHRSWAWTALASPMRALRPTSSTTTTTTKTITAVHSSHVVAHSNGSTPAAALSISSPVPASSTAATD >ONIVA02G20810.1 pep chromosome:AWHD00000000:2:19066793:19067986:1 gene:ONIVA02G20810 transcript:ONIVA02G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G48040) TAIR;Acc:AT5G48040] MAGRWLRAARGMGGRRRRGWSEAPAFAAEQRATLVNVKLKWVKDRALDGAVSRERDLRAAHHLLDVVSARPGHRVSRPELLADSSVRRAFGGVDGVDAFLARYHTLFTLRRGGGVSLTDAALDLRRREVDCLVESEPDLVSRLRRLLMLTLPRSLPLHTVDLLRWDLGLPRDYRASILRRYPDHFALDQPEGDERVWLRLLWWDDGLAVSELEKSTAGGGGGDTTCLPFPVSFTKGFGLRSKCINWLKEWQALPYTSPYADPSGLDRRTDVSEKRNVGVFHELLHLTVAKRTERRNVSNMRKLLGMPQKFTKVFERHPGIFYLSRVLGTQTVVLREAYGGGSLLLAKHAHPLATIREEYSAVMRAALPPRRRRSRESDSCSEQDEECVGGEEFELTE >ONIVA02G20800.1 pep chromosome:AWHD00000000:2:19063483:19066567:-1 gene:ONIVA02G20800 transcript:ONIVA02G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSSASAAAARGGSLVEEWSGRVKALEAGFRKWMAEQPTHIEAAVTTAVGAVQGAALGGLMGTLTADGGSPFPTPPPPPPNANPQAMASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGGEDIQGSMAAAFGSGALFSIVSGMGTPNPVANAITTGAAFAIFQGGFFMIGQKFSKPQSEDLYYSRARSMLQKLGLEKYEKNFKKGILSLEKHGEASQPLAHGSLDCVGLYYLKLCHLCFFYDMLPRQRIHHKGFFFFLRXXGGGGASYCWMVISFYLPIEFCATISHMLVIHCIHPVQEADHLQGE >ONIVA02G20790.1 pep chromosome:AWHD00000000:2:19057756:19062803:1 gene:ONIVA02G20790 transcript:ONIVA02G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCCCSTSSAVPGRRFAAAGAAAAAVAARWGAVGVGRAVVLAHPLRPAPRGGHAHAQQAGARRARRAVVRAVFERFTERAVKAVVLSQREAKGLGEGAVAPRHLLLGLIAEDRSAGGFLSSGINIERAREECRGIGARDLTPGAPSPSGSGLEMDIPFSGSCKRVFEVAVEFSRNMGCSFISPEHLALALFTLDDPTTNSLLRSLGADPSQLASVALTRLQAELAKDGREPAGASSFKVPKKSPAGAGRSAFSKSLNSKKEKGALDQFCLDLTTQASGGFIDPIIGREEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRIMSLDVGLLIAGAKERGELESRVTSLIREVREAGDVILFIDEVHNLIGSGTVGKGKGAGLDIGNLLKPPLARGELQCIAATTLDEHRMHFEKDKALARRFQPVLVEEPSQDDAVKILLGLREKYETYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARMESFNRKKEGQSSILLKSPDEYWQEIRAAQNMHEVVSSNQMKYSPCQENGSAAIKAPSEDMNELTSELQVEEPIVVGTEEIARVASLWSGIPVQQLTADDRKLLVGLDGELRKRVIGQDDAVMAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLSDSQGRRVSFKNTLIVMTSNVGSTSISKGRRSMGFMTEDTESSSYVAMKSLVMEELKAFFRPELLNRIDEMVVFRPLEKTQMLAILDIILQEVKGRLLALGIGLEVSDAMKDLICEEGYDKSYGARPLRRAVTHLIEDVISEAILFGEYKPGDTILMDIDAAGKPCMSHLNEKVVQLSDPTRTF >ONIVA02G20780.1 pep chromosome:AWHD00000000:2:19032312:19042110:-1 gene:ONIVA02G20780 transcript:ONIVA02G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYELVRSDDAAAAGPPDLELGGSGSGNGGGVSAKSRPPSSPPSQGGARQRLVSLDVFRGITVLLMIIVDDAGAFLPALNHSPWDGVTIADFVMPFFLFMVGISLTLAYKRVPDKLEATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDITKIRLMGILQRIAIAYLLAAICEIWLKGDDDVDCGLDVIRRYRYQLVVALLLSTMYTVILNGVYVPDWEYQISGPGSTEKSFSVRCGVRGDTGPACNAVGMLDRTILGIDHLYRRPQCSINYPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIIHFEKHKGRIINWLIPSFSMLALAFSMDFIGIRMNKPLYTISYALATSGAAGLLFAGIYTLVDVYGFRKLTIPMEWMGKHALMIYVLVACNILPIFIHGFYWREPKNNLLKFIGVGA >ONIVA02G20780.2 pep chromosome:AWHD00000000:2:19032312:19042110:-1 gene:ONIVA02G20780 transcript:ONIVA02G20780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYELVRSDDAAAAGPPDLELGGSGSGNGGGVSAKSRPPSSPPSQGGARQRLVSLDVFRGITVLLMIIVDDAGAFLPALNHSPWDGVTIADFVMPFFLFMVGISLTLAYKRVPDKLEATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDITKIRVVALLLSTMYTVILNGVYVPDWEYQISGPGSTEKSFSVRCGVRGDTGPACNAVGMLDRTILGIDHLYRRPQCSINYPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIIHFEKHKGRIINWLIPSFSMLALAFSMDFIGIRMNKPLYTISYALATSGAAGLLFAGIYTLVDVYGFRKLTIPMEWMGKHALMIYVLVACNILPIFIHGFYWREPKNNLLKFIGVGA >ONIVA02G20770.1 pep chromosome:AWHD00000000:2:19025375:19033076:1 gene:ONIVA02G20770 transcript:ONIVA02G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7K2] MGTASGDQPAGASSDKLRHVESMSELPSGAGRISGINAVVLGESLAAEEHDLVYPSAEFSADALVPSPKKYQKMYERSINDPAGFWSEIADAFYWKEKWNPSEVCSENLDVTKGPVQISWFKGGKTNICYNAVDRNIEAGNGDKIAMYWEGNEPGQDGKLTYSELLDRVCQLANYLKSVGVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPILLKDIVDAGLAESEKQGVAVGLCLTYENQSAMKREDTKWQAGRDVWWQDVVTSFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYKVTIFYTAPTLVRSLMRDGTEYVTRHSRKSLRVLGSVGEPINPSAWRWFYNIVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGKEIEGECSGYLCIKKSWPGAFRTLYGDHDRYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVAVEHEVKGQGIYAFVTLVDGVPYSEELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDTSTLADPGVVDQLIALKDC >ONIVA02G20760.1 pep chromosome:AWHD00000000:2:19018129:19019347:1 gene:ONIVA02G20760 transcript:ONIVA02G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGFSALTNVLVVSYLNWCFLGGALQPRQVTCIVLAAKRMMDDRSTTPLMYWDSVASTSSSSHPVAPYSSSSVIGENLLFHELWVCSFRDSCLWRAMSLDTFLMITPFGPTGECLLMTTLMTRKGVRLLRRSGVCFRSRVTSRKSIAAFTGRKNSLINRMRK >ONIVA02G20750.1 pep chromosome:AWHD00000000:2:19008932:19009276:-1 gene:ONIVA02G20750 transcript:ONIVA02G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYVDTTGDEGRFHGHHSTNTTPTGAAASSPRTMRRSFSNASSGSHGGGGGAKCVCAPATHAGSFKCRLHRTNSQGHGHGHPHPSPPTSPAAGGASAAPRPSSASSRTVEAQ >ONIVA02G20740.1 pep chromosome:AWHD00000000:2:19008843:19009232:1 gene:ONIVA02G20740 transcript:ONIVA02G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFTLFSPTLILLLFLVGWFLGAAGEVRGHWASTVREEAEDGRGAAEAPPAAGEVGGEGCGWPWPWPWELVRWRRHLNDPACVAGAHTHLAPPPPPWLPEEALEKLRRIVLGDDAAAPVGVVLVLWWP >ONIVA02G20730.1 pep chromosome:AWHD00000000:2:19005792:19006082:1 gene:ONIVA02G20730 transcript:ONIVA02G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSSTLPLSLSLFLSLLSLTLVSGKWGTVTRGRRLRAAASAPSCGAAVLVTHAPALRPRAKMELGCLVLANIAANDDSHACALQQEDDDDSGGR >ONIVA02G20720.1 pep chromosome:AWHD00000000:2:18998754:19003398:1 gene:ONIVA02G20720 transcript:ONIVA02G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7J7] MEAMAGELERLRAEREELDSRIRLLESQLGASPTPAGEGDAAGTGAGGGGGGGGATACPIRRRGNGFAATDGLPADMIYRYSRHLLLPDFGVEGQRKLSQSSILVVGAGGLGSPVALYLAACGVGCLGIVDGDDVELNNLHRQIIHKEAFVGKSKVKSAADACREINSSINVMEYHHTLKPSNALEIVRKYDIVVDATDNLPTRYMISDCCVLLNKPLISGAALGLEGQLTVYHHNGSPCYRCLFPNPPPVAACQRCSDSGVLGVVPGVIGCLQALEAIKVATDVGEPLSGRMLLFDALSARIRIVKIRGSSTVCTVCGENSAFTQDDFQKFDYENFTQSPMSDKSAPSLDILPGSARVTCKEYKRLVDNGERHLLLDVRPAHHFQIASVSQSLNIPLSELEEKLQMLETSLKDTTDASSSDKPPSLYVVCRRGNDSQIAVQLLREKGFLSAKDIIGGLQSWAQDVDPDFPVY >ONIVA02G20710.1 pep chromosome:AWHD00000000:2:18992878:18993204:-1 gene:ONIVA02G20710 transcript:ONIVA02G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEEYVHKRREQRRRRGRRPAAVALQLLQVQSSSTTTKPEKKAAAAAAAGGRRPLAPLATELQDSPWDLPAGSGGGASPSDAAGSPAAAAAATVSFADHLLGYL >ONIVA02G20700.1 pep chromosome:AWHD00000000:2:18988801:18989339:-1 gene:ONIVA02G20700 transcript:ONIVA02G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGRRRHEADGAEWGSGGRTRAPRGGLKRAVQELPAPASVVAAKSEAAPDQADDDDDAQTDDEEETCGRRTPAHSGAKPAERGGGGARSQRGEGKR >ONIVA02G20690.1 pep chromosome:AWHD00000000:2:18987955:18988794:1 gene:ONIVA02G20690 transcript:ONIVA02G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRGSYVGPTLTQLPRRIKPESKPPKDLSNGGAHVAPHVILGLLLPPLSPPPLSSSLSVLLSPGAGEVRQPALRPRRRRSRGGRVVQRRADARTEWRRPKPAGRRSQAVSASLVVVVFQRATTLHARFVEFNEIS >ONIVA02G20680.1 pep chromosome:AWHD00000000:2:18986608:18987210:-1 gene:ONIVA02G20680 transcript:ONIVA02G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAARSGFAVASPPSTVAGGGAVLALVLLLVLLAAAEWWRRRSPSPSGGGGGSGRRPRRWRRGYGKSEAAAPCSRSSSSSFSSPSRHGAGEGHRLPPRAQQEYDVTSATATGPCDAYLVFRCSPPLYASAISISISNVLNITATAVTESNAVDPVTPVAADPLVLAPVVGDLIPTRRLACPRGEGEREEEGRGGERDGG >ONIVA02G20670.1 pep chromosome:AWHD00000000:2:18984955:18986181:-1 gene:ONIVA02G20670 transcript:ONIVA02G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAGGDGEAWADQEQGNGGGRGGEAKRSEIYTYEAGWHIYGMNWSVRRDKKYRLAIASLLEQHNNHVQVVQLDESSGDIAPVLTFDHPYPPTKTMFVPDPHSVRPDLLATSADHLRIWRIPSPDEAAAAAAASSNSGSVRCNGTASPDVELRCELNGNRNSDYCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESGSGGSSNSAGADGGAASPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHSPVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGTGSNNGGNGNGNAAAAAAAEGGLDPILAYTAGAEIEQLQWSATQPDWVAIAFSTKLQILRV >ONIVA02G20660.1 pep chromosome:AWHD00000000:2:18972733:18974671:1 gene:ONIVA02G20660 transcript:ONIVA02G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVQAQYVAHASRSSSSAAYAIRPALENAAPSSNASALFLDEAVSAALLQQQLVVVAAAAVGGGGNNNNTAVFSDLRSELTCSQRRFDDFGGGFVPRKRARVGGEGEAAAGLLMSSSVMEGGGHRALLPPPPQVTPQAFGDVHKSSSRVVGSGAASTSGRPVCSGGLLLSHLYRQSVEVDALVRFENERLRAGLEEARRRHLRAVVSAVDRAAARRLHAAEAELERALGRNAELDERLRQMGAEGQAWLGIAKSHEAAAAGLRATLDQLLQSPCAAAAAAAEGEGDAEDAQSCCFVQAPDGGAAEVSGGGNGRRACRACGEADACVLLLPCRHLCLCRGCEAAADACPVCAATKNASLHVLLP >ONIVA02G20650.1 pep chromosome:AWHD00000000:2:18965466:18967533:1 gene:ONIVA02G20650 transcript:ONIVA02G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRRVHLAGSHGSAAKLLSSAASEIAQSGAKRHICYFVFQILNKEAADKVLGEREIPDVQPGCILQMRLQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGVGVDYSPNIKEIKVLDRKKVRRAKLYYLRDRMNALKK >ONIVA02G20640.1 pep chromosome:AWHD00000000:2:18937158:18938294:1 gene:ONIVA02G20640 transcript:ONIVA02G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTHITLMHHDKQMFALHTYEPPDFGGGYCGVVVQIHHPDEAATVLAVPDEVAVHPQPVVGSLVDGVVGPDVWSQPEAVDEVTPRASFSMLIVALVLQPRARPTQPQPQPAHDTIEDILVQSKSQY >ONIVA02G20630.1 pep chromosome:AWHD00000000:2:18930134:18931021:1 gene:ONIVA02G20630 transcript:ONIVA02G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate multikinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7I8] MASDLRPPEHQVAGHRASADKLGPLVDGEGLFYKPLQAGERGEHEAAFYAAFTAHPAVPPRVRGAFFPRFHGTRFLPAPASPGGAPYPHIVLDDLLAGLPSPCVADVKIGACTWPPRSPDPYVAKCLAKDRETTSALLGFRVSGVRVVDARGGAVWRPDRSELKGIDAAGVRRVLRRYVSTGGGDDLDCALAAAVYGGEGGVLAQLRELKAWFEEQTLYHFYSASILFGYDANAAAAAAPGGGSGGVRVKLVDFAHVDDGDGVIDHNFLGGLCSLIKFIGDIVAEVTEKASSDHS >ONIVA02G20620.1 pep chromosome:AWHD00000000:2:18902859:18903672:-1 gene:ONIVA02G20620 transcript:ONIVA02G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDELADEEFFVESGPRRFRYSDARPHPTRAPAAATPSSSPARRSPPHLPPPLPSPPSTVAGGGAVLALVLLLVLLAAAEWWRRRSPSPSGGGGGSGRRPRRWRRGYGESEAAAPCSRSSSSSSSSPSRRGAGEGHRPPPRAQQEYDVTSAAAAGPCDAYLVFRCSPPLYASAISISISNVLNITATAVTESNAVDPVTPVAADPLVLAPVVGDLIPTRRLACPRGEGEREEEGRGGERDGG >ONIVA02G20610.1 pep chromosome:AWHD00000000:2:18889310:18894579:-1 gene:ONIVA02G20610 transcript:ONIVA02G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGASGWLRGKVKGVTSGDCLLIMGSTKADVPPPEKSVTLSYLMAPRLARRGGVDEPFAWESREFLRKLCIGKEVTFRVDYTAPNVGREFGTVYLGDKNVAYSIIAAGWARVKEQGPKGGEPSPYLTELLRLEEVAKQQGLGRWSKEPGAAEESIRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQSPSMGRRPPNPTVVAAAESTADGATNGGDSEEAPAPLTTAQRLAAAAVSTEIPPDRFGIEAKHFTETRVLNRDVRIVVEGTDSFSNIIGSVYYSDGDTLKDLALELVENGLAKYVEWSANMMDVDAKIKLKNAELQAKKDQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPYGSPSAERRVNLSSIRAPKMGNPRRDEKPDNFAREAKEFLRTRLIGKQVTVEMEYSRRISTVDGQPTTNTADARVLDYGSVFLGSPSQADGDDVSSIPSSGNQPGINIAETLLSRGFARTSKHRDYEERSHYFDLLLAAESRAEKAKKGVHSAKESPVMHITDLTTVSAKKARDFLPFLQRNRRHSAIVEYVFSGHRFKLTIPKETCSIAFSFSGVRCPGKDEPYSNEAIALMRRRILQRDVEIEVEAVDRTGTFLGSLWESKTNMASVLLEAGLAKLSSFGLDRIPDANVLMRAEQSAKQQKLKIWENYVEGEEVSNGSASESKQKEILKVVVTEVLGGGKFYVQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSADNSWNRAMIVNGPRGAVSSQDDKFEVFYIDYGNQEVVPYSRIRPADPSISSSPALAQLCSLAFIKVPNLEDDFGHEAAVYLNDCLLNSQKQYRAMIEERDTSGGKSKGQGTGTILIVTLVDAETETSINATMLEEGLARLERSKRWDTRERKAALQNLEQFQEKAKKERLQIWQYGDVESDEEEQAPAARRTGGRR >ONIVA02G20600.1 pep chromosome:AWHD00000000:2:18881358:18887475:-1 gene:ONIVA02G20600 transcript:ONIVA02G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7I4] MTASAPREFFLPPSRRPLPARVADLHIASKTKQEMRYTSKRGDPPMVLPQLNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFEVLQGFDTVELFVGRVRSCGHGTPTLSAFASSVDSWLMRLRKAALKEEEQLFLSVERTITLLGLTDSMSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPNEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGFVDILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVIHEFNIDQHGNYISQQKFRPDTSSIRIQDKREDIIEESTGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADNAPVNKTIVHSKSNVQETEEVCGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTEVESYFNLSCYENPGITACQEMLERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTIPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIHQEDDRRTLEALYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDQIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTCNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWTWKGGGSTMHNFKQHLIVEQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPR >ONIVA02G20600.2 pep chromosome:AWHD00000000:2:18881358:18887475:-1 gene:ONIVA02G20600 transcript:ONIVA02G20600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7I4] MTASAPREFFLPPSRRPLPARVADLHIASKTKQEMRYTSKRGDPPMVLPQLNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFEVLQGFDTVLLYWDKTAPGYCEKAGIYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRVRSCGHGTPTLSAFASSVDSWLMRLRKAALKEEEQLFLSVERTITLLGLTDSMSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPNEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGFVDILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVIHEFNIDQHGNYISQQKFRPDTSSIRIQDKREDIIEESTGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADNAPVNKTIVHSKSNVQETEEVCGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTEVESYFNLSCYENPGITACQEMLERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTIPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIHQEDDRRTLEALYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDQIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTCNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWTWKGGGSTMHNFKQHLIVEQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPR >ONIVA02G20600.3 pep chromosome:AWHD00000000:2:18881358:18887475:-1 gene:ONIVA02G20600 transcript:ONIVA02G20600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7I4] MTASAPREFFLPPSRRPLPARVADLHIASKTKQEMRYTSKRGDPPMVLPQLNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFEVLQGFDTVLLYWDKTAPGYCEKAGIYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRRLRKAALKEEEQLFLSVERTITLLGLTDSMSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPNEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGFVDILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVIHEFNIDQHGNYISQQKFRPDTSSIRIQDKREDIIEESTGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADNAPVNKTIVHSKSNVQETEEVCGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTEVESYFNLSCYENPGITACQEMLERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTIPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIHQEDDRRTLEALYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDQIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTCNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWTWKGGGSTMHNFKQHLIVEQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPR >ONIVA02G20590.1 pep chromosome:AWHD00000000:2:18875567:18876175:1 gene:ONIVA02G20590 transcript:ONIVA02G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDQEQAIAKLTSGGGGGGGGRDDLLIIFMWTKKAMLAAVVVVVAKCRELWEGVLTAGSGCGCVSRSAAAADDDDGYYFGRSYEFSCSATPVAFAPAKGRRRQRRCLLLPPCVGAKQASEMLREAAMMSPAPPVGAGGGRRSPPERSPQWWREQEIDGLAEEFISRFYKQLRSQVADEERRRAPECKSRASSSPPSPSPP >ONIVA02G20580.1 pep chromosome:AWHD00000000:2:18863851:18869370:1 gene:ONIVA02G20580 transcript:ONIVA02G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDDIDMDDLIAHLDDTLALYFAAADEPAIRPPIPTRTERLYGDDDDDDHGYLTATPPPPAPVPVVLPPMPVPARTESFFQDAGYLRAVLGNSNVVEEEDSDAASDYGEAAEGEEACSVRVKFPDRRVVQKDFGAARPVEGLFRYCHRHSVSAAGGGRRAFRMVQFAGAASEEIRRGDATFQQLGLHCWTLHLLFGLGPRAHGVGH >ONIVA02G20570.1 pep chromosome:AWHD00000000:2:18849826:18863047:1 gene:ONIVA02G20570 transcript:ONIVA02G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGRGTGGSGSAAAEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDGASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVSYNRGEFLLEFFSSYDTTDDSEKNTLSSCCFNVILIHGSDICILHSVLSVFAFLRLAAAIWMCSPDHITMYAPGVIGPGEGRSLEDWCSTQVIPPRVYADEVAVRALAAALQVFIRVEAPEYGGRQDSYYIARDRPRVTLLRVDSQYDIVYPLSPELIHQRAKRGGASRFYCCIGGDSNLQRLSQQQEERGGGVHGQRPREHQGSRAAASSTDASSAAAAAQGGAVAPPPPPSSADRRTPQPGDGGRTDGSSSAAAAADRKGKKPMSASDDRKQARRKEKRKSGYPKEKLLESSMRSYQGPAKEEKLPMGDAVYYYFKDIYDALWIAQVGVRLIFLDHDYSEFRPVVPDEECFYRSFIFSYLEQVVDRIDTLWEDRLLAALRELDRRAERDAHRCYTIVFVKLIAKIKGWKRMRDYPPSRVSYSSVEFLLEFFSSYDSTNDIFAFLRLVAATWICTHKGRYGQHWCSMLVIPPHAPEDRIIPIALAEALQ >ONIVA02G20570.2 pep chromosome:AWHD00000000:2:18849826:18863047:1 gene:ONIVA02G20570 transcript:ONIVA02G20570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGRGTGGSGSAAAEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDGASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVRFLKRYNRGEFLLEFFSSYDTTDDIFAFLRLAAAIWMCSPDHITMYAPGVIGPGEGRSLEDWCSTQVIPPRVYADEVAVRALAAALQVFIRVEAPEYGGRQDSYYIARDRPRVTLLRVDSQYDIVYPLSPELIHQRAKRGGASRFYCCIGGDSNLQRLSQQQEERGGGVHGQRPREHQGSRAAASSTDASSAAAAAQGGAVAPPPPPSSADRRTPQPGDGGRTDGSSSAAAAADRKGKKPMSASDDRKQARRKEKRKSGYPKEKLLESSMRSYQGPAKEEKLPMGDAVYYYFKDIYDALWIAQVGVRLIFLDHDYSEFRPVVPDEECFYRSFIFSYLEQVVDRIDTLWEDRLLAALRELDRRAERFQRASEFSRRRKVFVKLIAKIKGWKRMRDYPPSRVSYSSVEFLLEFFSSYDSTNDIFAFLRLVAATWICTHKGRYGQHWCSMLVIPPHAPEDRIIPIALAEALQ >ONIVA02G20570.3 pep chromosome:AWHD00000000:2:18849826:18863047:1 gene:ONIVA02G20570 transcript:ONIVA02G20570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGRGTGGSGSAAAEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDGASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVSYNRGEFLLEFFSSYDTTDDIFAFLRLAAAIWMCSPDHITMYAPGVIGPGEGRSLEDWCSTQVIPPRVYADEVAVRALAAALQVFIRVEAPEYGGRQDSYYIARDRPRVTLLRVDSQYDIVYPLSPELIHQRAKRGGASRFYCCIGGDSNLQRLSQQQEERGGGVHGQRPREHQGSRAAASSTDASSAAAAAQGGAVAPPPPPSSADRRTPQPGDGGRTDGSSSAAAAADRKGKKPMSASDDRKQARRKEKRKSGYPKEKLLESSMRSYQGPAKEEKLPMGDAVYYYFKDIYDALWIAQVGVRLIFLDHDYSEFRPVVPDEECFYRSFIFSYLEQVVDRIDTLWEDRLLAALRELDRRAERFQRASEFSRRRKVFVKLIAKIKGWKRMRDYPPSRVSYSSVEFLLEFFSSYDSTNDIFAFLRLVAATWICTHKGRYGQHWCSMLVIPPHAPEDRIIPIALAEALQ >ONIVA02G20570.4 pep chromosome:AWHD00000000:2:18849826:18863047:1 gene:ONIVA02G20570 transcript:ONIVA02G20570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGRGTGGSGSAAAEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDGASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVSYNRGEFLLEFFSSYDTTDDSEKNTLSSCCFNVILIHGSDICILHSVLSVFAFLRLAAAIWMCSPDHITMYAPGVIGPGEGRSLEDWCSTQVIPPRVYADEVAVRALAAALQVFIRVEAPEYGGRQDSYYIARDRPRVTLLRVDSQYDIVYPLSPELIHQRAKRGGASRFYCCIGGDSNLQRLSQQQEERGGGVHGQRPREHQGSRAAASSTDASSAAAAAQGGAVAPPPPPSSADRRTPQPGDGGRTDGSSSAAAAADRKGKKPMSASDDRKQARRKEKRKSGYPKEKLLESSMRSYQGPAKEEKLPMGDAVYYYFKDIYDALWIAQVGVRLIFLDHDYSEFRPVVPDEECFYRSFIFSYLEQVVDRIDTLWEDRLLAALRELDRRAERFQRASEFSRRRKVFVKLIAKIKGWKRMRDYPPSRVSYSSVEFLLEFFSSYDSTNDIFAFLRLVAATWICTHKGRYGQHWCSMLVIPPHAPEDRIIPIALAEALQ >ONIVA02G20570.5 pep chromosome:AWHD00000000:2:18849826:18862047:1 gene:ONIVA02G20570 transcript:ONIVA02G20570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGRGTGGSGSAAAEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDGASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVSYNRGEFLLEFFSSYDTTDDSEKNTLSSCCFNVILIHGSDICILHSVLSVFAFLRLAAAIWMCSPDHITMYAPGVIGPGEGRSLEDWCSTQVIPPRVYADEVAVRALAAALQVFIRVEAPEYGGRQDSYYIARDRPRVTLLRVDSQYDIVYPLSPELIHQRAKRGGASRFYCCIGGDSNLQRLSQQQEERGGGVHGQRPREHQGSRAAASSTDASSAAAAAQGGAVAPPPPPSSADRRTPQPGDGGRTDGSSSAAAAADRKGKKPMSASDDRKQARRKEKRKSGYPKEKLLESSMRSYQGPAKEEKLPMGDAVYYYFKDIYDALWIAQVGVRLIFLDHDYSEFRPVVPDEECFYRSFIFSYLEQVVDRIDTLWEDRLLAALRELDRRAERFQRASEFSRRRKVFVKLIAKIKGWKRMRDYPPSRVRLVAATWICTHKGRYGQHVREGQSPEDVSTIITSHREARYELAMQPYTPSISQYKRF >ONIVA02G20570.6 pep chromosome:AWHD00000000:2:18849826:18862047:1 gene:ONIVA02G20570 transcript:ONIVA02G20570.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGRGTGGSGSAAAEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDGASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVSYNRGEFLLEFFSSYDTTDDTTWICTHKGRYGQHVREGQSPEDVSTIITSHREARYELAMQPYTPSISQYKRF >ONIVA02G20570.7 pep chromosome:AWHD00000000:2:18849826:18862047:1 gene:ONIVA02G20570 transcript:ONIVA02G20570.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGRGTGGSGSAAAEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDGASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVRLVAATWICTHKGRYGQHVREGQSPEDVSTIITSHREARYELAMQPYTPSISQYKRF >ONIVA02G20570.8 pep chromosome:AWHD00000000:2:18849826:18862047:1 gene:ONIVA02G20570 transcript:ONIVA02G20570.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGRGTGGSGSAAAEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDGASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREGWKRMRDYPPSRVRLVAATWICTHKGRYGQHVREGQSPEDVSTIITSHREARYELAMQPYTPSISQYKRF >ONIVA02G20560.1 pep chromosome:AWHD00000000:2:18844954:18845484:1 gene:ONIVA02G20560 transcript:ONIVA02G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRVQDCRFSAAPVVELSDQSTAAAAAASMEMEQLTARFNDAVAVRGSNQLATGMEMAAAPGRGADDDMEELVARLVDVTVCDDGPAARGEAACAVRVRLPDGRVFDRVFGAARPVAALFRYCGAAVAACGMAGRPFRLVRLAGGASEEIPPRGDASLQDLRLDRCIVYVVFSP >ONIVA02G20550.1 pep chromosome:AWHD00000000:2:18834352:18841771:1 gene:ONIVA02G20550 transcript:ONIVA02G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTHRWQPWWYVLGKHILILLQKVSDHKKKRDRHILKRKQGLFANESIANSVTRIQIDNIRFSGKQTRTFQFNHTVLTMVRFRIFLIMLYKFFCYNLIFTFSMLNIFLFLSSSNIILKNSFRSHRMQHNFFSIMHKGVLILFYHDATCLYHIAKVYPTARSKHA >ONIVA02G20540.1 pep chromosome:AWHD00000000:2:18817349:18821131:1 gene:ONIVA02G20540 transcript:ONIVA02G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARTARLRRPARQARARRGDRCGRRGCSAHARSWRGDRHGGRRFTASFTYAQAEIHIE >ONIVA02G20530.1 pep chromosome:AWHD00000000:2:18812622:18813852:1 gene:ONIVA02G20530 transcript:ONIVA02G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G29590) TAIR;Acc:AT2G29590] MAMGDDYSKARQALAVSAHECSLVDAVSSAATPPTADDGEGHAAGFFEGFVLGGIRVDSVRPGLVDCSFTVPSRLTDRSGCLAAGAVVSLVDEVGSAASIADGRPVKVSTDMSVSFVSLAQARPGDRLRITARALGHKGAYSATHVLISNAATGEVVAEGRHSLFGRMKVVSTSTATATSKL >ONIVA02G20520.1 pep chromosome:AWHD00000000:2:18807757:18811299:1 gene:ONIVA02G20520 transcript:ONIVA02G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDPAEVELHGQREPHASSSSTDASIPAPTSAAAAAADVPPPPSSSSSPPPPPPSSVEGRTKQPGGGGRGADAASSTSAAAAVEQKGKKKISASDDREQAEGDEEERKSDSQKKKLAGRRRRRRLNLAAYQGDAKKPSDDVNLARRPGKLPWDDPRVWKPHVTPVSTVKKSLGISILVYISNKFLRRKGSPAGFSNLKYKQQPLVQHQKIPLASAPEHYGLRSGLRRIMSANLLILLNEYSDFRPEQVLDMVGTDEENRLLAAVGAIDHRQWASGFSQSHKVFEKLIQNVMRWKRRQKGVASADSRRQKLLEFFSSYSKSDGILAFLKYAAANWICSHREEYEPNIAGLGGGYTLEAWCEIYLLQPREQTDHIQMTAVAAALGVPLRVENLHNGPAQDIYTADGVNIPRVTLLYTGVHYDILYPRHPSGGSGSRSSTQRAGCFRPFW >ONIVA02G20510.1 pep chromosome:AWHD00000000:2:18799072:18803255:1 gene:ONIVA02G20510 transcript:ONIVA02G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTAKDQPVHGRATQRRESTSTSTAAAEGGAVASQPPRPPQPRPGTTRPPLWRPPRWPWGSSSSAATAAAPGGASTAAAAQGGGSAASRPPRPPRPPLPPRPPRPPLPPLREPGEGGGRGDGRGATGTGSSSAAAAVDRKGKKKVDEGDRDPEQALRKSGPLEGKLLQSSIRAYQGPPAAEKPSGAPPAATPPARNTGRLGLSDREADDALNDIDLAMARQLPVVETTVQKEEEEKEKEKEKEEEEEDDDDEEGELLSHVSRRKNPLRSRIDGYISMDMNGKRRAILEIPVLFPCYIGVYKFHAFPVSLEQVLDREDTDEEQRLLAALEIEVKPMAMQIDYPEWATAFSWGHEVFKKLIENIIGWKNPASTYRSLAATWICSHKDEYEQYVDDLGDDYPLEFWCATNLLPPRLYTDHVPMRALAAAFRVPLQVENLHNGPAQDIYTADGVDVPRVTLLYTGAHYDILYPRPPGERSRRRAAGWLCRFW >ONIVA02G20500.1 pep chromosome:AWHD00000000:2:18789417:18795523:-1 gene:ONIVA02G20500 transcript:ONIVA02G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDEMGGGGGHAGRHSVGPAAAAAAAAAEAASAAADRFLRSRGAGASTQVELSLSASNLGDQEFFTKSNPMVIVYSKSKEGALEELGRTEVILNSLNPSWNARINVHYQFEVYDIDPQFHDVNEKMLKLEEQQFLGEAVCLLSEVITKQNRLLTLKLGVSEHNLPNPSKFGELNVQAEESAGSKAIMEMVFRCSDLEIKDLLSKSDPFLLISRISESGVPVPICKTEVRKNDLNPKWKPENPLIIECFNFSSNGKHDLVGKIVKSVAELEKMYHSQDGENFFVPASTAHDSHSKEVLKSQVYVEKYLENNRQTFLDYISAGCQLNFMVAVDFTASNGNPRLPDSLHYIDPTGRPNAYQRAILEVGDVLQYYDPAKRFPSWGFGARPIDGPVSHCFNLNGSTYQPEVEGIQGIMSAYISALRNVSLAGPTLFGPVVSTATAIANQSLANNQQKYFVLLIVTDGVVTDFQETIDAIIKASDFPLSILVVGVGGADFKEMEFLDPNKGERLESSTGRVASRDMIQFAPMKDAHGSGISTVQSLLAEIPGQFMTYMRTREIQAIS >ONIVA02G20500.2 pep chromosome:AWHD00000000:2:18789417:18795523:-1 gene:ONIVA02G20500 transcript:ONIVA02G20500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDEMGGGGGHAGRHSVGPAAAAAAAAAEAASAAADRFLRSRGAGASTQVELSLSASNLGDQEFFTKSNPMVIVYSKSKEGALEELGRTEVILNSLNPSWNARINVHYQFEVYDIDPQFHDVNEKMLKLEEQQFLGEAVCLLSEVITKQNRLLTLKLGVSEHNLPNPSKFGELNVQAEESAGSKAIMEMVFRCSDLEIKDLLSKKSGVPVPICKTEVRKNDLNPKWKPENPLIIECFNFSSNGKHDLVLKSQVYVEKYLENNRQTFLDYISAGCQLNFMVAVDFTASNGNPRLPDSLHYIDPTGRPNAYQRAILEVGDVLQYYDPAKRFPSWGFGARPIDGPVSHCFNLNGSTYQPEVEGIQGIMSAYISALRNVSLAGPTLFGPVVSTATAIANQSLANNQQKYFVLLIVTDGVVTDFQETIDAIIKASDFPLSILVVGVGGADFKEMEFLDPNKGERLESSTGRVASRDMIQFAPMKDAHGSGISTVQSLLAEIPGQFMTYMRTREIQAIS >ONIVA02G20490.1 pep chromosome:AWHD00000000:2:18781836:18783240:-1 gene:ONIVA02G20490 transcript:ONIVA02G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQPIGSGGGGAPPDWHDVEPQWQPVDDVVTVAAAAAYLAAPAGATLSSESADRRWRPVGPSAAAAAAALQAVEAQGAAAAERHYRGVRRRPWGKWAAEIRDPNKAARVWLGTFDTAEAAAAAYDDAALRFKGAKAKLNFPERVRGRTGQGGFLVSPAVPRPPPHGVPAPAPAVAPAPFPDLIQYARLLRSGEDAAAAAAVAGIAATAAPAAQILDFAAQRLVGVSPAMAPRPPSTLPTTTTAASSPSAWPHGGGHGS >ONIVA02G20480.1 pep chromosome:AWHD00000000:2:18779726:18779929:1 gene:ONIVA02G20480 transcript:ONIVA02G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENATVTAADLAPWSQQCRPIGEEEEGGVAAAAKEEEGRQIQKEEKGGRRRGSGGCLGGGSRSGSNG >ONIVA02G20470.1 pep chromosome:AWHD00000000:2:18775933:18778838:-1 gene:ONIVA02G20470 transcript:ONIVA02G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit Rieske, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7G2] MLRVAGRRLTTALAWRPAAAAGARGPLAGGSLPGDDDFSREPQRPRFAVDSPFFAASRGFSSETLVPRNQDVSLTELPATVSAVKNPSAKIVYDEYNHERYQPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEEDINLANSVDIGSLRDPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKLLIG >ONIVA02G20460.1 pep chromosome:AWHD00000000:2:18767859:18770947:-1 gene:ONIVA02G20460 transcript:ONIVA02G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGARAGRVPAAAAAAAAVLIVAACVFSSLARAAAAAEVVGGAAQGNTERISGSAGDVLEDNPVGRLKVFVYDLPSKYNKRIVAKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPEQADWFYAPVYTTCDLTHAGLPLPFKSPRMMRSAIQFLSRKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKNHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNPLFDISTEHPATYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVDEEDVPRLDSILTSIPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPDSVYLKPGEKHLNWTTGPVADLKPWK >ONIVA02G20450.1 pep chromosome:AWHD00000000:2:18747539:18747958:1 gene:ONIVA02G20450 transcript:ONIVA02G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRASCVLILLLLAIAGFADVLAAGGATPLSSSSQVSTTTAAELKEVNGILACMIGCFTQMFGCAFGCMAKGPDTTLCVVSCNQNSIVCMVRCALTPPPPKPKPTPPPPAPTPKPPAPSPSPPPPKAAGHGVAGDPLA >ONIVA02G20440.1 pep chromosome:AWHD00000000:2:18741757:18741984:-1 gene:ONIVA02G20440 transcript:ONIVA02G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPISPARSVDTSASLAATCRHHPTPSQLNSPLPASGPDPVAADFGAPDIAQGGDGNGKISLSSWAGWLRAR >ONIVA02G20430.1 pep chromosome:AWHD00000000:2:18727865:18730201:-1 gene:ONIVA02G20430 transcript:ONIVA02G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDKVVARTGRLRQRYDNEYRLVAGCVPYRVKKDEANPRILGDVPGQVEVLMVSTPNRADMVFPKGGWEDDEEVYEAASREAMEEAGVKGIVNRTTLGHWVFKSKSSQNSSSPRGACKGYIFAMEVTEELESWPEQATHGRRWVSPGEAYQLCRYEWMREALTALLERLSMIEPVASAQELSDQTSMYMMLQASSDSAVALC >ONIVA02G20420.1 pep chromosome:AWHD00000000:2:18714961:18715698:-1 gene:ONIVA02G20420 transcript:ONIVA02G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYFFSSSAPAPEKKTRRRQQQQQQREQEGGGGGNEARYLGVRRRPWGRYAAEIRDPVTKERHWLGTFDTAEEAAVAYDRAARTIRGAAARTNFAYPDLPPGSSLTPYLSPDLSADDLHRHYYGAGAGAGADTQTAAAAALPAPAQPAHGGDAQEMAYGGGGGGGQNVGGVFDVVGGGGGAAWCDASELEFGGYDDAGASAAAAAVYFEEGYVHSPMFSPMPAADEVAADGFQLGGSSSSSYYY >ONIVA02G20410.1 pep chromosome:AWHD00000000:2:18708273:18713612:1 gene:ONIVA02G20410 transcript:ONIVA02G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDEFKMMERLWGENFFDPATKKWTNKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKELMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQRYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKESDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEAGSQASTLVQDIRKRKGLKEQMTPLSDFEDKL >ONIVA02G20400.1 pep chromosome:AWHD00000000:2:18702273:18704564:1 gene:ONIVA02G20400 transcript:ONIVA02G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSWRGRTPGHPRPGLAALDLDSPWISMPSLDLEMRGRILPLSLADSPSCQTITTLLVTARVDVVKELGAKLRKNMRRLCQWWWCHWNDILVIILDMSAVNARRQRPNTKSRGDAEMSTQDEHTTIDDRLRWQAMPKIFTTDALWTDNF >ONIVA02G20390.1 pep chromosome:AWHD00000000:2:18695852:18696163:-1 gene:ONIVA02G20390 transcript:ONIVA02G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPAATAAATKRRERTNMASAVAAHVLVFPAPGQGHINCMMHFTTGLVGAGLYVTFLYTDHSLRRRGALVVPRPLSPPTWLRFMSIPDSLPDDHARAMGEW >ONIVA02G20380.1 pep chromosome:AWHD00000000:2:18695127:18695796:1 gene:ONIVA02G20380 transcript:ONIVA02G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQASTSSTCAVLVDIAEQGGGDDYMEKWYQLKHELRDARRTPPPLASLMTTTPSLLNWSAPNAMSHSHGVWKPSVVCKLRRHILTDPSFVAHHRAFHPRVVAATRVLGVFHNPVNHELDRFILTTATSSLLFGVEKDTAAAVNLTTGAVGNEGRRRHNRWVRRSTRRRRPRSTRALCRARRGGGTASPAAYQAFSVLADHLILATVEDRHAAPAPAA >ONIVA02G20370.1 pep chromosome:AWHD00000000:2:18694538:18694837:-1 gene:ONIVA02G20370 transcript:ONIVA02G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACLATVVAALVEARRLRVARDTGLMDRPDVTVPMDVWWLVTQHVLVGVAEVLAVIELKEFFYDQLAGELHIIELAVSLGLLCPKAISSHQATFQRK >ONIVA02G20360.1 pep chromosome:AWHD00000000:2:18689380:18690249:1 gene:ONIVA02G20360 transcript:ONIVA02G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMEERLLAAVEKLAEKVERMGLIQEKLEAMDKKLEKQGERLDQVQTKVDLSMESLGQVHQEQIQVSQAVRRGAPSPIATPTRTLEGTSSVTRERPQVTIPNPVPTELGEVWEVGHFVAGLKDVLKTHVLSRLPETVSQAYH >ONIVA02G20350.1 pep chromosome:AWHD00000000:2:18682543:18688809:-1 gene:ONIVA02G20350 transcript:ONIVA02G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRGRKRAAAAAEEEDAATRGRGKRVKASPKPETEAEYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWNFENLEKALEEGGELYEKTVYLFGSTEPQLLGVNGESKIVLIPIVVAFCILLMLIVFWFYRLSRIDSLKTKIFTLGCTQRRSALRHLKTERVKLFDYCMPYYMPLNPPENEDDTVINILYPFEPPIFCEFNWEMDDYEDFADEKVREEGLPEDEREKMKEFLKEKVRERKRELKQAKEARKKAIDDMDPKIKEAFENIQCYKFYPVKTLDTPDVSNVKARYINRYYRNAHHLM >ONIVA02G20340.1 pep chromosome:AWHD00000000:2:18678040:18678315:1 gene:ONIVA02G20340 transcript:ONIVA02G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAASPSKAAVGATQVAIASLKSVGGGVPPLLIGPETTREDENDGTVKLGRSGLGLIKFGLERWRLIVRALREDGSSSTPAPLYPSLRR >ONIVA02G20330.1 pep chromosome:AWHD00000000:2:18673624:18674126:-1 gene:ONIVA02G20330 transcript:ONIVA02G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKRFYYSGSWWGPPAGSSFLLPLYHLLTVEHLVGTQRDGFGAGAVDGGKVAGRGCGTIPVTGGSGTSRADGGRSKLVGRDGPAVT >ONIVA02G20320.1 pep chromosome:AWHD00000000:2:18668120:18673597:-1 gene:ONIVA02G20320 transcript:ONIVA02G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7E7] MSVQEDDDAAGPEPDRLRRHDSFYGDAEKVSNDKSHGTGENWARTLQLAFQSIGVVYGDVGTSPLYVYSSTFPDGVKHPDDLVGVLSLMLYTLILIPMVKYVFIVLYANDNGDGGTFALYSLISRHAKIRMIPNDQTEDANVSNYSIEAPSSQLRRAEWVKQKLESSNAAKIALFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPSLTQLQVVWISVPILIVLFSVQRFGTDKVGYSFAPVISVWFVLIAGIGAYNLAVHEITILRAFNPMYIIDYFRRNGKEAWVSLGGAVLCITGTEAMFADLGHFNIRAIQLSFTCVLFPSVALCYMGQAAYLRKFPEDVGDTFYKSLPAPLFWPVFVVAIMAAIIASQAMLSGAFAILSKALPLGCFPRVEVVHTSNKYEGQVYIPEVNFLIGVASVAITVAFQTTANIGNAYGICVVMVFSITTHLMTVVMLLIWKVRLPFIAAFYVVFTFTEFLYLSSILSKFAEGGYLPFCFSLVLMALMATWHYVHVKRYWYELDHIVPPDEMAALLARRDVRRVPGVGLLYTELVQGIPPVFPRLVDKIPSVHAVFVFMSIKHLPIPRVAPAERFIFQRVGPDAGHRIFRCVARYGYTDPLEGAKEFAAFLLDRLKVFVYEEAVFACQCAEDGGGGGGGDDDGVLRRAEEMAAEEKRLIDAEAERGLVYLMGEANVEAAPGSSLMKQIVVNYVYTRLRKNLREEHKALSIPKDQLLKVGITYEI >ONIVA02G20310.1 pep chromosome:AWHD00000000:2:18654879:18658172:1 gene:ONIVA02G20310 transcript:ONIVA02G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRLLAPGRHRHRAVPIPSASPHPASSTASSSRGPGRLSTPPSQIQPSLIPDSPIPTTLSQRRVIVVAREMTGGESEEGEATSRKKMATLPPPRSLSNPPSQSSPASVHSFPEAGRNGRSLAKAPSRSGPLPQQQGVHGPLHCSVRGSGLFCQRGHCLNHHRRKLFFPDEIDRIPMAIATSMLSWGDDLDVRTGKPSLDIFLAEMRRFEFYRLVHTMERIVIAGNCIAMALLTKNVVEEI >ONIVA02G20310.2 pep chromosome:AWHD00000000:2:18654879:18658728:1 gene:ONIVA02G20310 transcript:ONIVA02G20310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRLLAPGRHRHRAVPIPSASPHPASSTASSSRGPGRLSTPPSQIQPSLIPDSPIPTTLSQRRVIVVAREMTGGESEEGEATSRKKMATLPPPRSLSNPPSQSSPASSPSPADATTSRHLAHGARSHRHLRHITIAAFTLSPKPDAMAGPSLRHPADPARCLSNRESTDLSTALMLSWGDDLDVRTGKPSLDIFLAEMRRFEFYRLVHTMERIVIAGNCIAMALLTKIVSGSCMAVQLFGDIKEKITIWREAGFMVGEKRLVLFLLQFFLSRSL >ONIVA02G20310.3 pep chromosome:AWHD00000000:2:18654904:18658172:1 gene:ONIVA02G20310 transcript:ONIVA02G20310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATSRSRFLLSAAESRSPFAAIAAAAAHTDLFSCRRRPHLPRSAPRAAPSTTAATTITAVHSTAGEPMSSPFAADEAEVVPSRRHCRRRTPPLPQFYRLVHTMERIVIAGNCIAMALLTSNCIAIQGHFIENVVEEI >ONIVA02G20310.4 pep chromosome:AWHD00000000:2:18654879:18658172:1 gene:ONIVA02G20310 transcript:ONIVA02G20310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRLLAPGRHRHRAVPIPSASPHPASSTASSSRGPGRLSTPPSQIQPSLIPDSPIPTTLSQRRVIVVAREMTGGESEEGEATSRKKMATLPPPRSLSNPPSQSSPASSPSPADATTSRHLAHGARSHRHLRHITIAAFTLSPKPDAMAGPSLRHPADPARCLSNRESTDLSTAL >ONIVA02G20300.1 pep chromosome:AWHD00000000:2:18641435:18644307:-1 gene:ONIVA02G20300 transcript:ONIVA02G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7E2] MSVQEDGAARPEPDVLRRHDSLYGDAEKVSNNKRHGAGGSWARTLQLAFQSIGVVYGDVGTSPLYVYSSTFPNGIKHPDDLVGVLSLILYTLILIPMVKYVFIVLYANDNGDGGTFALYSLISRHAKIRMIPNDQTEDANVSNYSIEAPSSQLRRAEWVKQKLESSNAAKIALFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQSQVVWISVAILFVLFSMQRFGTDKVGYTFAPVISVWFLLIAGIGMYNLTVHEITILRAFNPKYIVDYFRRNGKEAWVSLGGVVLCITGTEAMFADLGHFNIRAIQLSFTCVLFPSVALCYMGQAAYLRKFPENVSDTFYRSIPAPLFWPVFVVAIMGAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSNKYEGQVYIPEVNFLIGAASVAVTLAFQTTANIGNAYGICVVTVFSITTHLMTVVMLLIWKVRLPFIAAFYAAFGLAEFLYLSSILSKFAEGGYLPFCFSLVLMALMATWHYVHVKRYWYELDRVVPAAETTALLARRDVRRVPGVGLLYSELVQGIPPVFPRLVDKIPSVHAVFVFMSIKHLPVPRVAPAERFISSTASSRGDNDDDDAMRRAQAMAEEEKRVIDAEAERGVVYLMGEANVTAAAGSSVMKRIVVNYVYTFLRKNLREGHKALSVPKDQLLKVGITYEI >ONIVA02G20290.1 pep chromosome:AWHD00000000:2:18634607:18637833:-1 gene:ONIVA02G20290 transcript:ONIVA02G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSEEESLNNLQQQPKLEACAAGSSKGDTVMPVVKKRRGHPGNPDPDVEVVALSPKTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTEAKKKVYVCPEITCPHHDATRALGDLTGIKKHYSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALAEDTCRVNHSLATMVGSLHGQQQDMFSHGVPSFSSSPTDMIANLASNDHNSDSHLRSLSPYALVTRNTALFSNQISPKESGFPLDGSVTSYPYMSMNSPYMSATALLQKAAEMGAKTSQDPISPLLLKSFPNNLTSSRDHMNISSRSQGDSLGNSTVNSDCMKTTEDENSYMIGRGNILINAPWSSGIMRPGTVPLIGLMNHPFSMREEKDNPSIFPENQTQHNRQDNISGVVDANAGLTQDFLGLGGSGTLDMSSETYNADVTALSYSDEQEKPQEHIYSYHQSSLDPTALEKPIWDS >ONIVA02G20280.1 pep chromosome:AWHD00000000:2:18633401:18639156:1 gene:ONIVA02G20280 transcript:ONIVA02G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSAGTTVRLALVLVLGAWWYLGALKVSTACHPMQILRPERGWKVCQMNRSPIWLLLVISGLSICIGFVQRWVFWICSLTSP >ONIVA02G20270.1 pep chromosome:AWHD00000000:2:18626275:18627295:-1 gene:ONIVA02G20270 transcript:ONIVA02G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPSPPVLPPPPLSLSLVFPLPLPPPPPPRFRKFPISSSSSSSSLARSLAAENPARAGWLVLELQSPAPSQAPYQPRYKLHTVY >ONIVA02G20260.1 pep chromosome:AWHD00000000:2:18622274:18626128:-1 gene:ONIVA02G20260 transcript:ONIVA02G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7D8] MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSTTNNNQGNDKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLVAKYSNLVFVLYCMSLVFVVAFNQYLYRSGETIISDSAKHTGSHWRTLLPFSYAIVSGAIGSCSVLFAKSLSNMLRLTMSSRYQFHSWFTYSMLLLFLFTAGFWLPLS >ONIVA02G20250.1 pep chromosome:AWHD00000000:2:18620409:18621440:-1 gene:ONIVA02G20250 transcript:ONIVA02G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRWWPRRRPEPAAIDITWVSCRGVRSSVPFHTPCLYASIYLHHPSPSPSSCGRRRPHRVKTATDRAGGGNPEWDAPLRLYLPSSSSSSPATSSDNKDEVLLRFELKSEVAVLGDVLSATAAVPVSELVADGATRRVSYQLAGPDGKHPNGVISFSYAVHAAAAADTSSSSPSSDADDDRRSTTTTTTSGSECDEYSITPPRSAASRAITLPPPPPSSTMYPAIDWPPTEQLIPMLLYPPAKPHTTAIVKGSTCYPPLPPPSSTPPVEPVAVFPPPPSPACGVYYPPPTVREPVINRSGMYPKVDLDIPVSCYPPPPTAATMYGGGCGYAAAPEWDGRWLHG >ONIVA02G20240.1 pep chromosome:AWHD00000000:2:18614035:18617324:-1 gene:ONIVA02G20240 transcript:ONIVA02G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNTLSAPILRDTHLCSPAAGANVSGGSGSSSVLTRALHAARARKMYQRKQLAGIAVSNSKKTSGIKGKKVVQEKIYTRCTPAILSDLFSDIGDQQKELVRQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFARSKHEICLTCEDVSLLLGIPCGRKQILPAIKNEVKDVKAYMCEIFEKDSFDGITIATIQRILEKKFTRTMTVYEQIVFKTAFIIFVVTKFLAPQSVNNHISIRYMKALVDVENIHKYNWAEFVLHEIKDAAAALQDKIRHRKSIGYINGCIILPELFYLHNLDFGTDTPGHKNIPRIGVYNDSMIAEFIDRDVILKNRKPFPAYGKMKLRNRHVEKCNLGHPTGATEPPSFDLGITQDIEDANMVACTPGHDISKVVEDSEKAQELKAHTPDQAISKLVQASDEAGEDNAVQKTPFSQSGYRQTKLSSFSPHSLLKETSGARIYMREEYACTKFPPKSKRRIIGGPSDILFDWPKRSIKPSRSVKSPFLSKQHSFVRHDLKALDDLYTYVTSITDEEAVEKIWVHISQPVPMSLSLHDIQQAIRLDTQMQEETFNVAVQVLASYEIHRFGGTYFVGWRHFLNQDFAMFATASDDLWNPEDHLPSFKDDSLIPYDLPSCHLDQGNLRKEFLSNLLSFKKNEAILPDFVTHGLKLSKKI >ONIVA02G20230.1 pep chromosome:AWHD00000000:2:18607659:18608539:1 gene:ONIVA02G20230 transcript:ONIVA02G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNPLVVDGRTSSPSSVASPSTEAEGETSSMCDVAEQKPVLAVGVSSPVSSGEHHKPTVEEDRTVSASGDVQQIPAVVAGGSLPASSFAQQKREVLEDGKLTKGCETGFVCCMATADRSEAMNRSLQKYNIHLERSHPLSTTNRTKRCAWCSLRDIRAACNMNFRSGGEPRCDRSCSENVSKENM >ONIVA02G20220.1 pep chromosome:AWHD00000000:2:18601659:18602222:-1 gene:ONIVA02G20220 transcript:ONIVA02G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRCRRRTASAAAAAARRRLCSLLALAGDYLKYLLTKRGRFLGRVARRSLAALLLSSGGGGKPCLATAPWPPCALAEREFSCSNSPSPAFLAARRLRSRLKRRAGAASCFGALRSPCGCGPSATEAADQEEEEEDEEVDQYGAWECGGGELIDVDYRAEEFINMFYEQLRAQSFHPPTVLQCRSP >ONIVA02G20210.1 pep chromosome:AWHD00000000:2:18592837:18598755:-1 gene:ONIVA02G20210 transcript:ONIVA02G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGPAKDQEGPVHGPQESTSSAAAAAAADASIPAPPEGAGDVSPPSPPPPPPPSSVQSRAHERAREQPGDGGRGADRSSSAAAAVDRKGKKKIGEDSSSPAPPDDREQAPRKEGRKKSDSPMKFLRSSILAIHGYAKKKVRRSFLDILAPSFVLGTSDSWIMSRSCEILGSSCVGLGVRFLCQLLSDRKGKQPNYPNQTSKEIISKSPPHVGHKKVPIDKAFIHYFGNIHNALQHGYVPLHLAHLDTRYSELRPVRRDGEGFYRSFMFSYLTHVRKIAFLMLLENWLPGLNIFNGPLNFPGDAKRCTIAFETLIEKIKKLKCMSEQPTSAIRGELLLELFSSYDTTDDSERNTLSLRSFGLILIHDSDMHSCCISFCFPQWCSTQVIPPRVHADHVTMSALSRALGVAVRVEDTLDGRKKDLMAAELQSITRASNPRFRGIEDMYCVARGTPRVTLCLLVLLLALVRICYSLSDNNKEEEEEEGASILLLLQFRLQVEGLAREMQIVPRVQVKGQRVRAGSTAADVVVPRRSSLELMELVVVIAAAAARFDVKNM >ONIVA02G20200.1 pep chromosome:AWHD00000000:2:18582445:18583989:-1 gene:ONIVA02G20200 transcript:ONIVA02G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G31880) TAIR;Acc:AT2G31880] MAFAATKPSKSPLLILLVSGVSFLLLASAVECYGGGRHDVTRSAVARRSGVGSRRQYVRHRLTGGAVDVPHRYMLAEKGSNSTRANHTSPAASNSTPSATTTTEAAGKHHRSHKHRVRNWIIGFVVGSLAGVVSGLAMSVLFRMALNCVRGRYRSKSDTVIFIPKLIKSKEHLAFLEKDQDGLASLAVIGRGGCGEVYKAQLPPEREGDAPRFIAIKKIKKRSGDGSGGGQNNNLSDEESRQLDKWTRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEFMKNGSLHNALKATTTDTTTNDYNNDNNSGEHPPPQPPPSPALPWPARLRIAVGIAAGLEYLHVSQRPQIIHRDLKPANILLDDDMEARIADFGLAKAMPDAHTHMTTSNVAGTLGYIAPEYHQTLKFTAKCDVYSFGVILAVLGTGKEPTDRFFAQQVVDDVGIVRWLRRVMQEGDPAAQAGVIDAAIAGAGHDEQILLVLRIAVFCTADDPKDRPTAKDVRCMLSQIKN >ONIVA02G20190.1 pep chromosome:AWHD00000000:2:18553213:18575432:1 gene:ONIVA02G20190 transcript:ONIVA02G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVVSMAMSVLGSAVGKAASAAADEATLLLGIQKEIWYIKDELKTIQAFLRAAEVWAEQVRDLSYNIEDCLDEFKVHVESQSLAKQLMKLGERHRIAVQIRNLKSRIEEVSNRNTRYSLIKPISSITTEDERDSYLEDARNRSGSNTDESELVGFAKTKDELLKLIDVNTNDGPAKVICVVGMGGLGKTTLARKAYENKEHMKNFSCCAWITVSQSFDRKEILKQMIRQLLGADSLDKLLKEFSEKLLVQVQHLADHLVEGLKEKRYFVVLDDLWTIDAWNWIHDIAFPKINNRGSRIIITTRDAGLAGRCTSESLIYHLEPLHIDDAIHLLLAKTNIRLEDMENDEDLGSIVTKLVKRCGYLPLAILTIGGILATKKIMEWGKFYRELPSELESNPSLEAMRRMVTLSYNHLPSHLKPCFLYLSIFPEDFEIQRGRLVDRWIAEGFVRATDGVNIEDVGNSHFNELINRSLIQPSKVSTDGVVKRCRIHDIMRDIIVSISREENFVLLTREKITVVAEESIRHLAFHGSKCSKICLEWNHLRSVTLFGDRPVGRTPALCSPQFRMLRVLDLEDAKFKFTQNDIRNIGLLRHMKYLNFARASTIYTLPRSIGKLQCLQILNMREANISALTTEVTKLQNLRSLRCSRRSGSGYFSIIDNPKECLMITMCLPMVFLTSINFSDRVKLIPEICMSCSTRWSDTKGVRVPRGIDNLKELQILEVVDINRTSRKAIEELGELIQLRKLSVTTKGATNKKYQIFCAAIEKLSSLQSLRVDAEGFSDTGTLEWLNSIACPPPFLKRLKLNGSLADTPNWFGNLKQLVKMCLSRCGLKDGKTMEILGALPNLMVLRLYRNAYADEKMTFRRGTFPNLRCLDIYLLKQLREIRFEEGTSPTMESIEIYGCRLESGIIGIKHLPRLKIISLEYDGKVAKLDVLQEEVNTHPNHTELQMAEDRSHHDLGDCSVQKLPTSLSPCAVVSLLVLSLKGESNESMAETVLSMARSLVGSAISKAASAAANETSLLLGVEKDIWYIKDELKAMQAFLRAAEVWAEQICDLSYDIEDSLDEFKVHIESQNLFRQMVKLRERHRIAIRIHNLKSRVEEVSSRNTRYSLVKPISSSTEDDIDSYAEDIRNLSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALSRKIFESEEDIRKNFPCNAWITVSQSFHRIELLKDMIRQLLGPISLNLLLKELQGKVVVQVHHLSEYLLEELKEKRYFVVLDDLWFLHDWNWINDIAFPKNNKMGSRIVITTRSVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKKHEDMESNKNMQNMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEKLPSELEINPSLEALRRMVILGYNHLPSHLKPCFLYLSIFPEDFEIKRNRLVGRWIAEGFVRPQVGMMTKDVGESYFNELISRSMIQRSRVGIAGKIQSCRVHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGGPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSCIYSLPRSIGKLQGLQTLNMPSTYIAALPSEISKLQCLHTLRCIREFHYDNFSLNHPMKCITNTICLPKVFTPLVSRDDRAKQIAEFHIATKRFWSESFGVKVPKGIGKLRDLQVLEYVDIRRTSSRAIKELGQLSKLRKLGVITKGSTKEKCKILYAAIEKLSSLQSLYVNAALLSDIETFECLDSISSPPPLLRTLRLNGSLEEMPNWIEQLTHLKKIYLLKSKLKEGKTMLILGALPNLMVLHLYRNAYLGEKLVFKTGAFPNLRTLRIYELDQLREMRFEDGSSPLLEKIEIGNCRLESGIIGIIHLPKLKEISIRYGSKVAGLGQLEGEVNTHPNRPVLRMDSDRRDHDLGAEAEGSSIEVQTADPQWKQRIPFPSRRERARSRSCWTRSSGVSVPKGIRSLKELQILGIVDISRSNKSVVHELGELTQLKKLSVAGLTGKNINPLFEALQNLSSLCSLSMEAKLLHSLRALEQVSSPTPFLHTLKLRGRLDKIPSWVGTLGRLVKIQLVLTGLKDAESISILGELRSLKCLRLFYNAYNGQELLFSPGKFPELTILILEDLKKVRKVTFEERTSPKLKKITIHDCSAELTICGTASLQSFEKIQYLEKCKLVKEETHRRLQVVVDAAIQGKVARLAQLEREVN >ONIVA02G20190.2 pep chromosome:AWHD00000000:2:18559412:18575432:1 gene:ONIVA02G20190 transcript:ONIVA02G20190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSLVGSAISKAASAAANETSLLLGVEKDIWYIKDELKAMQAFLRAAEVMKKKDELLKVWAEQICDLSYDIEDSLDEFKVHIESQNLFRQMVKLRERHRIAIRIHNLKSRVEEVSSRNTRYSLVKPISSSTEDDIDSYAEDIRNLSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALSRKIFESEEDIRKNFPCNAWITVSQSFHRIELLKDMIRQLLGPISLNLLLKELQGKVVVQVHHLSEYLLEELKEKRYFVVLDDLWFLHDWNWINDIAFPKNNKMGSRIVITTRSVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKKHEDMESNKNMQNMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEKLPSELEINPSLEALRRMVILGYNHLPSHLKPCFLYLSIFPEDFEIKRNRLVGRWIAEGFVRPQVGMMTKDVGESYFNELISRSMIQRSRVGIAGKIQSCRVHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGGPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSCIYSLPRSIGKLQGLQTLNMPSTYIAALPSEISKLQCLHTLRCIREFHYDNFSLNHPMKCITNTICLPKVFTPLVSRDDRAKQIAEFHIATKRFWSESFGVKVPKGIGKLRDLQVLEYVDIRRTSSRAIKELGQLSKLRKLGVITKGSTKEKCKILYAAIEKLSSLQSLYVNAALLSDIETFECLDSISSPPPLLRTLRLNGSLEEMPNWIEQLTHLKKIYLLKSKLKEGKTMLILGALPNLMVLHLYRNAYLGEKLVFKTGAFPNLRTLRIYELDQLREMRFEDGSSPLLEKIEIGNCRLESGIIGIIHLPKLKEISIRYGSKVAGLGQLEGEVNTHPNRPVLRMDSDRRDHDLGAEAEGSSIEVQTADPVPDAE >ONIVA02G20180.1 pep chromosome:AWHD00000000:2:18549605:18553152:1 gene:ONIVA02G20180 transcript:ONIVA02G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQMKFVPRFQDYWRPQSNASPPTGNGPGLRPAEQGVEEEAGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQDTDSPCKETRTERVESYAPKTNSKKWFCCVTSSPTQS >ONIVA02G20170.1 pep chromosome:AWHD00000000:2:18543234:18545895:-1 gene:ONIVA02G20170 transcript:ONIVA02G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAVRPRSTPVRLATRRGQTRAIKPVRPISISFEEHPGGSVHVIYQRNIIKWNKNTYLQEKALESVSVLVASEQRQGGCRLESN >ONIVA02G20160.1 pep chromosome:AWHD00000000:2:18522586:18524124:-1 gene:ONIVA02G20160 transcript:ONIVA02G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLFFCCGATAAAVPGHFHTAAAVGGTYNDVVGAYRGFMRLRTRAFLVIYRVPLEPHVRCPYCDARVWSMTAVGLARLSSSSSSNGERSANSDSNHSNDETFATADVSLPLPLAGRPTPLRQAGHVTHQALAVGVWWGGSVTPTTVGSGGAARITQSPKANCAVCCGGRGNSEASTTIRIETWGARSSTSTLGLVLLGFTTNGSTSGIVNRERPYGRRKVLTGSFLVAKG >ONIVA02G20150.1 pep chromosome:AWHD00000000:2:18515797:18516426:-1 gene:ONIVA02G20150 transcript:ONIVA02G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKMKLQRIVIDVKRQVTFKKRLNGLTKKVSEFATLFLMVYGEVEVQATKVWPLVWKATRVLEHFKAMPQLDRYKKMTDLEGILNEQVDKLKEELHKVGRDADESDTKLILIEALNGHRPSLGGLTIEQITSLGLMANAHLKIVNNRLKKLREQGLIPASLLLSGTEVPIQREGWLMDVARGIGSMGNNRFRGTSGSGTAGSNGDMA >ONIVA02G20140.1 pep chromosome:AWHD00000000:2:18505951:18507549:1 gene:ONIVA02G20140 transcript:ONIVA02G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEESERWPQLSPPPSPASAVLSDEDLLGEILLRLESHEHLIAAAIVCKHWLRVASGDLFLRRFRVIHPPRLLGFCVDDGGGDVGRRPQFKALPQHPGVAAAAAHRTRHGYFGAFGHLAGYHRPSIADCRDGRLLVESTDRAPRRLGINTPYRYTVLRPPHPRESVQLLPPLPPPPGGGAGKRVVERVFLPEDGGGAGGDHGITLVYVLLVERRVTARVHVLDSGGAWGAPTTAETELPAPSCDDAVETVLPPINGEVYVVTTSGYTLGLRLATTRFSVVELPDAARSSANFRMACSYAAAAEDDDFARGRLCLVHGDGTRLSIWHRKTTTMEDDGGAAGVGWRLADTFCVREACERVEWLPDGWWTGRVAVIAVGDNAEFALLDLEKVGVVIYVHLRWRTVKKVYERKLPDADDGGGGGGDRQRPVRVFPLTTVWPPTFPALDKPRQNCCVRSPDGLVRALVMTCHAGWSATSPSCSLRDGEDDDEDVGGVLPGCSWAGWFDHMGAMVAQLAEWAAQPRSPGRWRWSCL >ONIVA02G20130.1 pep chromosome:AWHD00000000:2:18467103:18467950:1 gene:ONIVA02G20130 transcript:ONIVA02G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCLGHLLALLARLKEWKEKAVRVTMPVRRWSAAVRRPSRIHAAMCETVAGGRGCAAA >ONIVA02G20120.1 pep chromosome:AWHD00000000:2:18462653:18464164:1 gene:ONIVA02G20120 transcript:ONIVA02G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G7C3] MGATGDKPPHAVCVPYPSQGDITPTLHLAKLLHARGFHVTFVNTEFNHRRLLASRGAAALDGVPGFVFAAIPDGLPAMSGEDEDATQDIPALCQSTMTNCLGHLLALLSRLNEPASGSPPVTCLVADGLMSFAYDAARVIGVPCAALWTASACGFVGCRLYRELIDRGLVPLRDAAQLTDGYLDTVVDGAAARGMCDGVQLRDYPSFIRTTDLGDVMLNFIMREAERLSLPDAVILNTFDDLERPALDAMRAVLPPPVYAVGPLHLHVRRAVPNGSPLHGVGSNLWKEQDGLLEWLDGHRPSSVVYVSYGSIAVMTSEQLLEFAWGLADSGYAFVWVVRPDLVKGGEGDAAALPPEFHAAVEGRGVLPAWCPQEKVLEHDAVGVFLTHSGWNSTLESLAAGVPMLSWPFFAEQQTNCRYKRTEWGIGMEIGGNARRGEVAAMIREAMEGKKGREMQRRAQEWKEKAVRVTLPGGPGDTNLDRVIHEVLLSCKDKISRVNGESI >ONIVA02G20110.1 pep chromosome:AWHD00000000:2:18419318:18419590:-1 gene:ONIVA02G20110 transcript:ONIVA02G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLPSLPPSLTPSPRSEQRWSGIKEVWRQLPYPPFVSPSPSSERLPRDVEVARRRQHGMEADTMMVAAVEEATVVVAEAEAARRRLLSK >ONIVA02G20100.1 pep chromosome:AWHD00000000:2:18397745:18398251:1 gene:ONIVA02G20100 transcript:ONIVA02G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKWRDVSVRDKYSLQEYPSFHEKSSSREALLSAVKKSGYVVNNAAASATLNNLVIVSASKTSKLAACVQYLCFDSLVPLGFPMPPNPKLKVWDDRYRCINRTTMTAKNFQITVRPVNRYLSFFLGVPAKFILYLA >ONIVA02G20090.1 pep chromosome:AWHD00000000:2:18390478:18391323:1 gene:ONIVA02G20090 transcript:ONIVA02G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRCTLIHAVAVVAAAAAAALLLPPLAAGQPWPTCDTSAGTYKAGSAYESNLRDLAAALRAGAAASPSALFATGNRGGAPDAVYGLLLCRGDLSVSDCFDCGTRVLADVGRVCGGRHGRAKDVALVYNQCYARFSNKGDFLAATDNAGGETLLISGTNITGGAGVVAAFDRAVTELLAATVRYAVEENPARLFATGQRVGDDARDLGFRNIYSMAQCSPDLPPASCRRCLDGVLARWWQVFPLNGEGARVAGARCYLRSELGVGPFYTGAPMVVLRADKV >ONIVA02G20080.1 pep chromosome:AWHD00000000:2:18366234:18366677:-1 gene:ONIVA02G20080 transcript:ONIVA02G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWEHLPLLDREREGGVGARPVRIGSPVRFFPLSDGGTCCRWIGKEKGEGREAGQEGLLQRDNEVGRHRWRCSPSVAVAATHSCWSRRVPPPEDQNCHLSHRRNNWRHPGREEERVLSGGSGGRRRNERIRMGRWIRSDGWRFFNGL >ONIVA02G20070.1 pep chromosome:AWHD00000000:2:18361239:18362824:-1 gene:ONIVA02G20070 transcript:ONIVA02G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGGNSGKLFDGMHLCLSEQGSPRTQSPAAVDPSLDRSGVVLGGMPKKMSRLELDAGVSLWAGLQPDILRIVLHFLPCLADRARVRANGHVLPPPLPLLVLPGFKFSSLSDKGDLMPVRCVPVPKEVAADDLRCVGSFDGWLVGVTPNKDRSDEYNRDADGDCFLVNVFSRKVIRLPQLCHMRYNFPAYSSKTLRIVNGSGVVHFGVNDIYTMSLCNVALSASPDSRGKYIVAASSDHKCASKLALWQPGMISWHICAGVDIDGPRDLTFYLGKLYVLQRYKIRLFAFEVGEDNGGLMVSRVKRCLSALPLHHPYQEGGAISCNMVVWRGELLLIIRHYNGDYRKRQLHKVEVFALDVNTNPYGLTEIHSLNGDCIFVGLGGCKSFPAGLHHGVEGDHIYFVPDDWKPYDTFVYSMRDGKMRSFAVKLLASEFDVDQLSRDFPVWLLPTE >ONIVA02G20060.1 pep chromosome:AWHD00000000:2:18345523:18347116:-1 gene:ONIVA02G20060 transcript:ONIVA02G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPVAMDSAGGNSGKLFDEMPPRSLDRGCPRSPSPAAMEHGFDRHCVVLGGMPKERSRLELDAGVSLWAGLQPDILGIVLHFLPCLADRARMRSVCRHWRASANGHVLPPPLPLLMLPGFKFSSLSDKGDLMPVRCVPVPKEVAADDLRCVGSFDGWLVGVTPNKDRSDEYNRDADGDCFLLCHMRYNFPAYSSKTLRIINGSGEVYFRVNDIYTMSLCNVALSASPDSRGKYIVAASSDHKCASKLALWQPGMISWHICAGVDIDGPRDLTFYLGKLYVLQRYKIRLFAFEVGEDNGGLMVSRVKRCLSALPLHHPYQEGGAISCNMVVWRGELLLIIRHYSDNYRDREVLKVEVFALDVNTNPYGLTEIHSLNGDCIFVGLGGCKSFPAGLHHGVEGDHIYFVPDDWKPYDTFVYSMRDGKMRSFAVKLLASEFDVDQLSRDFPVWLLPTE >ONIVA02G20060.2 pep chromosome:AWHD00000000:2:18344780:18347098:-1 gene:ONIVA02G20060 transcript:ONIVA02G20060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGGNSGETYPSHLGLFAHLTVRRLGSDLIHRWLLCRQAVRRNASPFVGSRMPPEPVTGGDGTRLRQTLCVVLGGMPKERSRLELDAGVSLWAGLQPDILGIVLHFLPCLADRARMRSVCRHWRASANGHVLPPPLPLLMLPGFKFSSLSDKGDLMPVRCVPVPKEVAADDLRCVGSFDGWLVGVTPNKDRSDEYNRDADGDCFLLCHMRYNFPAYSSKTLRIINGSGEVYFRVNDIYTMSLCNVALSASPDSRGKYIVAASSDHKCASKLALWQPGMISWHICAGVDIDGPRDLTFYLGKLYVLQRYKIRLFAFEVGEDNGGLMVSRVKRCLSALPLHHPYQEGGAISCNMVVWRGELLLIIRHYSDNYRDREVLKVEVFALDVNTNPYGLTEIHSLNGDCIFVGLGGCKSFPAGLHHGVEGDHIYFVPDDWKPYDTFVYSMRDGSHLILFMKI >ONIVA02G20030.1 pep chromosome:AWHD00000000:2:18307666:18308349:-1 gene:ONIVA02G20030 transcript:ONIVA02G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDMDDLVARFMDITMRDSRDAAANHISSCRGSLDDALALYFAAADDEPPIPTRTERLYGDDDDDDHGHLTATPPPPPPPPVPVVRPPMPVPARTESFFQDAGYLRAVLGNSNVVEEEDGDAASDYGEAAEGEEACSVRVRFPDGRVVQKEFGAARPVEALFRYCHRHSVSAAGGGRRAFRLVRFAGAASEEIRRGDATFQQLGLHCWTLHLLFGLGPRAHSDGH >ONIVA02G20020.1 pep chromosome:AWHD00000000:2:18298764:18307211:1 gene:ONIVA02G20020 transcript:ONIVA02G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVMDQRHHMSQYSHPTLAASSFSEELRLPTERQVGFWKQESLPHHMGSKSVASSPIEKPQPIGTRMAGRLELLQPYKLRDQGAAFSLEHKLFGQERHANLPPSPWRPDQETGRQTDSSLKSAALFSDGRINPNGAYNENGLFSSSVSDIFDKKLRLTSKNGLVGQSIEKVDLNHVDDEPFELTEEIEAQIIGNLLPDDDDLLSGVVDEVGYPTNANNRDDADDDIFYTGGGMELETDENKKLQEFNGSANDGIGLLNGVLNGEHLYREQPSRTLFVRNINSNVEDSELKLLFEHFGDIRALYTACKHRGFVMISYYDIRSALNAKMELQNKALRRRKLDIHYSIPKDNPSEKDINQGTIVLFNVDLSLTNDDLHKIFGDYGEIKEIRDTPQKGHHKIIEFYDVRAAEAALRALNRNDIAGKKIKLETSRLGAARRICLQNCVRKSLVYANWGVQAQVALQLLRLVHFLTRMVILQGSTNLATITSTGHENGSIQGMHSGLQTSISQFRETSFPGLSSTIPQSLSTPIGISSGATHSNQAALGEISQSLGRMNGHMNYSFQGMSALHPHSLPEVHNGVNNGVPYNLNSMAQVVNGTNSRTAEAVDNRHLHKVGSGNLNGHSFDRAEGALGFSRSGSSSVRGHQLMWNNSSNFHHHPNSPVLWPSPGSFVNNVPSRSPAQMHGVPRAPSSHMIDNVLPMHHLHVGSAPAINPSLWDRRHGYAGELTEAPNFHPGSVGSMGFPGSPQLHSMELNNIYPQTGGNCMDPTVSPAQIGGPSPQQRGSMFHGRNPMVPLPSFDSPGERMRSRRNDSNGNQSDNKKQYELDVDRIVRGDDSRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFIYLPIDFKNKCNVGYAFINMTNPQHIIPFYQTFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRASSGEESHQDISITSVNCDTSTNGVDTTGPAKD >ONIVA02G20020.2 pep chromosome:AWHD00000000:2:18298764:18307211:1 gene:ONIVA02G20020 transcript:ONIVA02G20020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVMDQRHHMSQYSHPTLAASSFSEELRLPTERQVGFWKQESLPHHMGSKSVASSPIEKPQPIGTRMAGRLELLQPYKLRDQGAAFSLEHKLFGQERHANLPPSPWRPDQETGRQTDSSLKSAALFSDGRINPNGAYNENGLFSSSVSDIFDKKLRLTSKNGLVGQSIEKVDLNHVDDEPFELTEEIEAQIIGNLLPDDDDLLSGVVDEVGYPTNANNRDDADDDIFYTGGGMELETDENKKLQEFNGSANDGIGLLNGVLNGEHLYREQPSRTLFVRNINSNVEDSELKLLFEHFGDIRALYTACKHRGFVMISYYDIRSALNAKMELQNKALRRRKLDIHYSIPKDNPSEKDINQGTIVLFNVDLSLTNDDLHKIFGDYGEIKEIRDTPQKGHHKIIEFYDVRAAEAALRALNRNDIAGKKIKLETSRLGAARRICLQNCVRKSLVYANWGVQAQVALQLLRLVHFLTRMVILQGSTNLATITSTGHENGSIQGMHSGLQTSISQFRETSFPGLSSTIPQSLSTPIGISSGATHSNQAALGEISQSLGRMNGHMNYSFQGMSALHPHSLPEVHNGVNNGVPYNLNSMAQVVNGTNSRTAEAVDNRHLHKVGSGNLNGHSFDRAEGALGFSRSGSSSVRGHQLMWNNSSNFHHHPNSPVLWPSPGSFVNNVPSRSPAQMHGVPRAPSSHMIDNVLPMHHLHVGSAPAINPSLWDRRHGYAGELTEAPNFHPGSVGSMGFPGSPQLHSMELNNIYPQTGGNCMDPTVSPAQIGGPSPQQRGSMFHGRNPMVPLPSFDSPGERMRSRRNDSNGNQSDNKKQYELDVDRIVRGDDSRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFIYLPIDFKNKCNVGYAFINMTNPQHIIPFYQTFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRASSGEESHQDISITSVNCDTSTNGVDTTGPAKD >ONIVA02G20010.1 pep chromosome:AWHD00000000:2:18262658:18263439:1 gene:ONIVA02G20010 transcript:ONIVA02G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRWPEEVEKQTQARRFRRCKRQGTQFALAGGGWRGGRRGVRGVWSFRLVVHEAPVAQPLVDEFGWSRICLCRWSHDVIVRWCVGMELELFARDGKLGNDNPLPLSSWQCCNGLGFVVGRERESG >ONIVA02G20000.1 pep chromosome:AWHD00000000:2:18250541:18252910:-1 gene:ONIVA02G20000 transcript:ONIVA02G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGQHGRRCKWMMGGTSGEMRVAGMAMRVWVAGTTGVVGREASDSAGNGMARAAGCSSDLSTRSSTSLSSLWQISTTKSGMQLLSTVTPPMSGWWREFDWELDTWASAPLAGAAAAPSVVVRLRSSRFSFQTSPSSYTSFFQMGTDALTRR >ONIVA02G20000.2 pep chromosome:AWHD00000000:2:18250541:18252910:-1 gene:ONIVA02G20000 transcript:ONIVA02G20000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGQHGRRCKWMMGGTSGEMRVAGMAMRVWVAGTTGVVGREASDSAGNGMARAAGCSSDLSTRSSTSLSSLWQISTTKSGMQLLSTVTPPMVCSPDGGVSLIGSSTLGVWREVSARITPKLFLDARMEYLLETDFYFQYAIFRMMGWTSAPLAGAAAAPSVVVRLRSSRFSFQTSPSSYTSFFQMGTDALTRR >ONIVA02G19990.1 pep chromosome:AWHD00000000:2:18241060:18247947:1 gene:ONIVA02G19990 transcript:ONIVA02G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESDGGGGAPFSSSSAAAPDNFDAAQYSFFGKEPLEGLELSCLEDGGGGGGDANGGGFSGGAEEGLYRLSSVGEEIDNLSNLSDIDDLASTFAKLNRTISGTRNPGVIGDRRSISRGSSLTVDWAEDVEFPNWVDQDILEDEEFQESKRWWSQSHTLVQQGDAKPLSRTSSYPQQPLQHRASEPIIPPKSPSFTSFPPPGGRSPYTSQGLTRHGSIPSLGAGLQMGSPSMSLPSSPYHIAGLSHGLPYGGSMSFGTSNLPVNNPMQNDWPSQANLYAGEQFNLLPNMLQKQISLPNNPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHIFYQHHSPELTGRFDSVSSVPSSRDKRSRSGRGKHNIRFSQPLPDTGNQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKRGANSRPKANFAPTSMKDLPSKSRSSGDHHAYLQVDAVGRVSFSSIRRPRSLLEVDLPSSSDGSHDQKSSLRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLAASLQLVDPLGPSTSSHSSGLAAKDDLVFLRIVSLPKGRKFLSRYLRLLTPGSELTRIVCMAIFRHLRYLFGGLPSDSSAAETTVTLAKTVSSCVRHMELGALSACLAAVVCSPEQPPLRPLASSAGDGASLIIKSVLDRATELLTDQHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIRQMFTMQSPGSVIGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGFNASGANGGHITSESVPG >ONIVA02G19990.2 pep chromosome:AWHD00000000:2:18241060:18248168:1 gene:ONIVA02G19990 transcript:ONIVA02G19990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESDGGGGAPFSSSSAAAPDNFDAAQYSFFGKEPLEGLELSCLEDGGGGGGDANGGGFSGGAEEGLYRLSSVGEEIDNLSNLSDIDDLASTFAKLNRTISGTRNPGVIGDRRSISRGSSLTVDWAEDVEFPNWVDQDILEDEEFQESKRWWSQSHTLVQQGDAKPLSRTSSYPQQPLQHRASEPIIPPKSPSFTSFPPPGGRSPYTSQGLTRHGSIPSLGAGLQMGSPSMSLPSSPYHIAGLSHGLPYGGSMSFGTSNLPVNNPMQNDWPSQANLYAGEQFNLLPNMLQKQISLPNNPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHIFYQHHSPELTGRFDSVSSVPSSRDKRSRSGRGKHNIRFSQPLPDTGNQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKRGANSRPKANFAPTSMKDLPSKSRSSGDHHAYLQVDAVGRVSFSSIRRPRSLLEVDLPSSSDGSHDQKSSLRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLAASLQLVDPLGPSTSSHSSGLAAKDDLVFLRIVSLPKGRKFLSRYLRLLTPGSELTRIVCMAIFRHLRYLFGGLPSDSSAAETTVTLAKTVSSCVRHMELGALSACLAAVVCSPEQPPLRPLASSAGDGASLIIKSVLDRATELLTDQHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIRQMFTMQSPGSVIGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGFNASGANGGHITSESVPG >ONIVA02G19980.1 pep chromosome:AWHD00000000:2:18229856:18230788:-1 gene:ONIVA02G19980 transcript:ONIVA02G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSLSLFPLYSPSSLIFRLNVDGGSVFDATGRKAGVAGGWQGRSAAERRADVTGGATDACCRADGRGGRPPQPSASTRPSNLLPSTLLSVHLRAGDKRRGDGWFVMGDDDTVFFPDNMVAVLNKFDHAKTYYIGAPSESVEQDVMHSYSMAFGGGGFAISYPAA >ONIVA02G19970.1 pep chromosome:AWHD00000000:2:18227791:18229052:1 gene:ONIVA02G19970 transcript:ONIVA02G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQPHRENIEVLHLRTRRWNTVVAVYVRHLDATTTLLYSHGNAADLGHLYQLFLHLSFNLHVNVLSFYSELA >ONIVA02G19960.1 pep chromosome:AWHD00000000:2:18205753:18209562:-1 gene:ONIVA02G19960 transcript:ONIVA02G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGDPAAAAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEGRGGGRGGRGRAAAAAAAAAAAAAGGSGGEAAVAALEPKWAAVAEYCRRHGVERGAVQCRKRWSNLAGDYKKIKEWERAAAATAPPREPSFWAMRNDARRERRLPGFFDREVYDILDGRGRGTLVAPSGGGNAAAGEEEPARAAAEVEVEVEEEEETGKTRARAEETVFDSGRPAAEESLFSDDEEEDDDDEAPPATAAAVAATAQAPPRAVIALPISGTSKDKQPEQQAASRGTPPPPPPPTTQQQQAGQKRRRADDDEEEEDDGRRGGELQSKLVEILDRSSRMVAAQLEAQNANSRLDREQRRDQAASLAVVLGRLADALGRIADKL >ONIVA02G19950.1 pep chromosome:AWHD00000000:2:18197365:18202906:-1 gene:ONIVA02G19950 transcript:ONIVA02G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26730) TAIR;Acc:AT3G26730] MSISPSESTRGSQPLAPRPSNPSPGPRHGNSSAPPRRRGRRSPTAPVSPPSTAGGSGGPSSDSVVPAIREYVDTSQKVVGFRISREENGDSYTQEVGNFSECHSSEHGNSGFSANNGTSGQATPQRPELMESLKIDQSTTNSSGNGTQVTARKNQSVNANYLLNFHYDPISRPQPRGPRTYPTRRQRKIRPYNKDLFLQANFKFVVLDTGSYEIELMDPDKMLQWEDIVCVRYYSPCEVQCPICLESPLCPQITSCGHIYCFPCILRYLLMGKEDYKGESWKKCPLCFMMISTKELYTIYITQVQHFHVGDNVTFTLLSRSKNSLTPSIKNLTDESTSIDEDPCSAFSKFILTSDVELSVREAKTDLVNWLHMADLGLVDDLEKLPYVSTALEQLEERMKYWSEYRNFSVSPPLKDSFSPVTSSKSRNPNNAQSSRQNSEHKLSPLSDEDMIAGVSELCISPESNKIFNKGMPSKTEERCMAPIDSNENDTYNFYQVSDGQHLILHPLNMKCLINHYGSSDMLPPRIHGKILELETVTQSEATRKRYRYLSHFSLTTTFQFCEIDLGGMLPPSSLAPFMDEIKKREKQRKRTAKKEESDRVKAEVAAAAQASAMLFEHTSFSPSSGPHGDFMFSLDDFEALGNNAGPSTSPPASERKLFSDVARLGFASAQDSPPLRVESGDLTGKSESTGEQGPAATPALSFASIISSTRASDNSLDTHKPNVVGKKGKKPTKVLLSTGGGRRY >ONIVA02G19940.1 pep chromosome:AWHD00000000:2:18187212:18189884:-1 gene:ONIVA02G19940 transcript:ONIVA02G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHASYAAYATPGGPRAPPPPPRHRQPLRQQQQKQIPFSSSSSSSFFFFFFFFLAVRLVLVGEGEGWGGGVVVVVVDLMTAPNIEMIASSLRNCSLNGGGGGGGGRRRGRRAAAAEGSDDSEGVTVELNSEVALPYHWEQCLDIRTGQVYYINWEDGTRTTIDPRSSSAYSPSPASRSASSSSRRCSRARGRGGGGGAAAAASTTTSSGYTSVSSVGAVTAAAAAWRSHDSSGHGYGYGSYGYGYGYDGRDGDDEESSSSSSSSSSSSSASSSRGSAVSSTLSSFSPTDESASGAGSGYAVGDNGAHVLVAAGCRACFMYFMVPKTADVCPKCGSSGLLHLSRNGYV >ONIVA02G19930.1 pep chromosome:AWHD00000000:2:18140356:18143853:-1 gene:ONIVA02G19930 transcript:ONIVA02G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: LPS-induced tumor necrosis factor alpha factor (InterPro:IPR006629); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eu /.../es - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G13190) TAIR;Acc:AT5G13190] MAAKAAFPAPAGDEPAIGIPYHPAAAGAQGGYYYAPDPYAAGMPPPNAIYAGAPKGVPLQQTMFRDTPAPFHCQACGAAAVSSLRSKPSLASVVACMMPFMMGVCFLCPSMDCLWHKYHYCPSCGEKVAEFKKSDPCLVVDPTRWSEPSFAVPA >ONIVA02G19920.1 pep chromosome:AWHD00000000:2:18135960:18138464:1 gene:ONIVA02G19920 transcript:ONIVA02G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGNVAAVGGGAVGGRRAAELEQEADAEEDECAAAGDANDGGGVERLRRRLAAEGRWRKATLEELEKDRCATADKAELEQEADAEEDECAAAGDTNSGGAEEGDNVERLRRRLAAEGRRREVALEELEKERRAAASAAAELEQEADAKEDECAAASDANGGDMEEGRSVEQLRRRLAAEGRRREPPADRVHSKXEVALLEKERRAVASAADEAELE >ONIVA02G19910.1 pep chromosome:AWHD00000000:2:18121775:18127164:-1 gene:ONIVA02G19910 transcript:ONIVA02G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLLRLKGFGHHQQHRERKSRQPQPQPPPAKLDELADAAQDVEEMRNCYDGFISAAAATTNGVYEFAEALEELGSCLLAKPVLNDDDDDSGRVLMMLGKAQYELQKSADRYRTNIIHTITTPSESLLKELQTLEEMKQQCDMKRDAYETMRASYSDKGGSRHSKTESFSTEQLDASFLEYQEDSALFTFRLKSLKQGQFQSLLTQAARHHAAQLSFFRKGLKCLEALEPRVKAISEKHHIDYNFSGLEDDGSDNDGYSTYDSCSDDGELSFGYEINDRDQDFLTSRGSVDFDKSDQTTSPKPIKENKQEQAKQAEAEIVFPQLKPEFATHSAPLFAGNLLDQTDRLRQMRPSSTKHSYRLPTPVGADNPVPSGSHRLHHSAQFFETKPHAPTNLWHSSPLTKDYNGAMHNAATKPSSSSSTDDLKKLKRESWSGPIPIKAGSGGKPFSQADHRPSPTMAYPGAMPAAKPHVRQSSSSSVSPKVSPKMSPVPPASSLKISELHLLPLPPANVDPVRPSGLVGYSGPLVSKRAPTPARASPKASSTASPLPRPPAALARSYSIPSNSQRTPIITVNKLLEAKHSREGSDASSPPLTPLSLSDLCHQEKAGKAAAGNTRRKETL >ONIVA02G19900.1 pep chromosome:AWHD00000000:2:18110389:18118480:1 gene:ONIVA02G19900 transcript:ONIVA02G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSLATLRGQHRGRWIQSHSKLPTRIMSINLPKSNHSHKIQLPCQSNLGRKQKTGAFAPLGFHGSIVFAYLAGVVPSGHAFPHARNHSQNQHLGAPGPSDSGRDMKWLPEGNTRFYPSDTWSQKPCNQMVEMPLRMAAIVRNVPESSELVDGIKWLQISTTLIDGLIEPTFMKWIQEEKAWENSKINEELMKTVTSKIKEDDRILKRFNRLGKSELYLDLLYFLRFGSARSYSYFDAKFLAEHGARILEDLVIFLADAVASIYIELISVDGDMPTDVVGSSLALCSLSTRELQRMRNEVAINGWLHQYFESVVSMYEDRKLCEKPADNQAEVTNWWRLGFGKPSTVTLLEYVHISSFSLPVRRTKELRALAGWRYYFSLLLEMSDIVMPFIRAVVTKVSAAVSYFWVSMIGRIPAYIGKPCSVACQFLTEILTKKPNHILHANKASSFRMNFFHLALVLLPLSFICPPVGSCIEQERLTLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLSRLNLSYNSLSGGLPPELIFSGSIVVLDVSFNRLGGELQEVDSSSSDWPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSALRMLKAGHNNISGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLVFLYLGGNSFSGKVPESIGELKKLEELRMDHNYISGELPSTLANCTNLAAIVLVSNKFTGDLAKVNFSNLPNLKTLDLCTNYFTGTIPASIYSCSNLTWLRLSFNKLHGQLPEETEKLKSLTFVSLSYNYFTNITGALHILKSLRNLTTLLIGGNFMHETIPQDETIHGLENLQVLGINDCALTGKIPSWLSKLKKLELLLLYNNQLSGPIPTWIKSLNYLKYVDLSNNSLIGEIPTSLTEMPMLRSDKIADHSNPRLFRMPVFVAPSLQYHTANAFPKMLNLGNNKFSGVIPMEIGQLKALLSLNLSFNNLHGEIPQSASNLKNLMVLGLSSNHLTGAAPVSTIPTKQYIDKVVFAIAFGMFFGVGVLYDQIVVSRFFG >ONIVA02G19900.2 pep chromosome:AWHD00000000:2:18110275:18118480:1 gene:ONIVA02G19900 transcript:ONIVA02G19900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSLATLRGQHRGRWIQSHSKLPTRIMSINLPKSNHSHKIQLPCQSNLGRKQKTGAFAPLGFHGRCCRIETSVKCYFLQSLVDSESMISPNLLLLSDEALLTISIVFAYLAGVVPSGHAFPHARNHSQNQHLGAPGPSDSGRDMKWLPEGNTRFYPSDTWSQKPCNQMVEMPLRMAAIVRNVPESSELVDGIKWLQISTTLIDGLIEPTFMKWIQEEKAWENSKINEELMKTVTSKIKEDDRILKRFNRLGKSELYLDLLYFLRFGSARSYSYFDAKFLAEHGARILEDLVIFLADAVASIYIELISVDGDMPTDVVGSSLALCSLSTRELQRMRNEVAINGWLHQYFESVVSMYEDRKLCEKPADNQAEVTNWWRLGFGKPSTVTLLEYVHISSFSLPVRRTKELRALAGWRYYFSLLLEMSDIVMPFIRAVVTKVSAAVSYFWVSMIGRIPAYIGKPCSVACQFLTEILTKKPNHILHANKASSFRMNFFHLALVLLPLSFICPPVGSCIEQERLTLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLSRLNLSYNSLSGGLPPELIFSGSIVVLDVSFNRLGGELQEVDSSSSDWPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSALRMLKAGHNNISGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLVFLYLGGNSFSGKVPESIGELKKLEELRMDHNYISGELPSTLANCTNLAAIVLVSNKFTGDLAKVNFSNLPNLKTLDLCTNYFTGTIPASIYSCSNLTWLRLSFNKLHGQLPEETEKLKSLTFVSLSYNYFTNITGALHILKSLRNLTTLLIGGNFMHETIPQDETIHGLENLQVLGINDCALTGKIPSWLSKLKKLELLLLYNNQLSGPIPTWIKSLNYLKYVDLSNNSLIGEIPTSLTEMPMLRSDKIADHSNPRLFRMPVFVAPSLQYHTANAFPKMLNLGNNKFSGVIPMEIGQLKALLSLNLSFNNLHGEIPQSASNLKNLMVLGLSSNHLTGAAPVSTIPTKQYIDKVVFAIAFGMFFGVGVLYDQIVVSRFFG >ONIVA02G19900.3 pep chromosome:AWHD00000000:2:18110389:18118480:1 gene:ONIVA02G19900 transcript:ONIVA02G19900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSLATLRGQHRGRWIQSHSKLPTRIMSINLPKSNHSHKIQLPCQSNLGRKQKTGAFAPLGFHGRCCRIETSVKCYFLQSLVDSESMISPNLLLLSDEALLTISIVFAYLAGVVPSGHAFPHARNHSQNQHLGAPGPSDSGRDMKWLPEGNTRFYPSDTWSQVRMKLSEALQSNGRDATSDGSDSELKNNRKNYPLNGIKWLQISTTLIDGLIEPTFMKWIQEEKAWENSKINEELMKTVTSKIKEDDRILKRFNRLGKSELYLDLLYFLRFGSARSYSYFDAKFLAEHGARILEDLVIFLADAVASIYIELISVDGDMPTDVVGSSLALCSLSTRELQRMRNEVAINGWLHQYFESVVSMYEDRKLCEKPADNQAEVTNWWRLGFGKPSTVTLLEYVHISSFSLPVRRTKELRALAGWRYYFSLLLEMSDIVMPFIRAVVTKVSAAVSYFWVSMIGRIPAYIGKPCSVACQFLTEILTKKPNHILHANKASSFRMNFFHLALVLLPLSFICPPVGSCIEQERLTLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLSRLNLSYNSLSGGLPPELIFSGSIVVLDVSFNRLGGELQEVDSSSSDWPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSALRMLKAGHNNISGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLVFLYLGGNSFSGKVPESIGELKKLEELRMDHNYISGELPSTLANCTNLAAIVLVSNKFTGDLAKVNFSNLPNLKTLDLCTNYFTGTIPASIYSCSNLTWLRLSFNKLHGQLPEETEKLKSLTFVSLSYNYFTNITGALHILKSLRNLTTLLIGGNFMHETIPQDETIHGLENLQVLGINDCALTGKIPSWLSKLKKLELLLLYNNQLSGPIPTWIKSLNYLKYVDLSNNSLIGEIPTSLTEMPMLRSDKIADHSNPRLFRMPVFVAPSLQYHTANAFPKMLNLGNNKFSGVIPMEIGQLKALLSLNLSFNNLHGEIPQSASNLKNLMVLGLSSNHLTGAAPVSTIPTKQYIDKVVFAIAFGMFFGVGVLYDQIVVSRFFG >ONIVA02G19900.4 pep chromosome:AWHD00000000:2:18112273:18118480:1 gene:ONIVA02G19900 transcript:ONIVA02G19900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLSFCRVRNVPESSELVDGIKWLQISTTLIDGLIEPTFMKWIQEEKAWENSKINEELMKTVTSKIKEDDRILKRFNRLGKSELYLDLLYFLRFGSARSYSYFDAKFLAEHGARILEDLVIFLADAVASIYIELISVDGDMPTDVVGSSLALCSLSTRELQRMRNEVAINGWLHQYFESVVSMYEDRKLCEKPADNQAEVTNWWRLGFGKPSTVTLLEYVHISSFSLPVRRTKELRALAGWRYYFSLLLEMSDIVMPFIRAVVTKVSAAVSYFWVSMIGRIPAYIGKPCSVACQFLTEILTKKPNHILHANKASSFRMNFFHLALVLLPLSFICPPVGSCIEQERLTLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLSRLNLSYNSLSGGLPPELIFSGSIVVLDVSFNRLGGELQEVDSSSSDWPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSALRMLKAGHNNISGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLVFLYLGGNSFSGKVPESIGELKKLEELRMDHNYISGELPSTLANCTNLAAIVLVSNKFTGDLAKLKALLSLNLSFNNLHGEIPQSASNLKNLMVLGLSSNHLTGAAPVSTIPTKQYIDKVVFAIAFGMFFGVGVLYDQIVVSRFFG >ONIVA02G19900.5 pep chromosome:AWHD00000000:2:18112273:18118480:1 gene:ONIVA02G19900 transcript:ONIVA02G19900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLSFCRVRNVPESSELVDGIKWLQISTTLIDGLIEPTFMKWIQEEKAWENSKINEELMKTVTSKIKEDDRILKRFNRLGKSELYLDLLYFLRFGSARSYSYFDAKFLAEHGARILEDLVIFLADAVASIYIELISVDGDMPTDVVGSSLALCSLSTRELQRMRNEVAINGWLHQYFESVVSMYEDRKLCEKPADNQAEVTNWWRLGFGKPSTVTLLEYVHISSFSLPVRRTKELRALAGWRYYFSLLLEMSDIVMPFIRAVVTKVSAAVSYFWVSMIGRIPAYIGKPCSVACQFLTEILTKKPNHILHANKASSFRMNFFHLALVLLPLSFICPPVGSCIEQERLTLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLSRLNLSYNSLSGGLPPELIFSGSIVVLDVSFNRLGGELQEVDSSSSDWPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSALRMLKAGHNNISGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLVFLYLGGNSFSGKVPESIGELKKLEELRMDHNYISGELPSTLANCTNLAAIVLVSNKFTGDLAKVNFSNLPNLKTLDLCTNYFTGTIPASIYSCSNLTWLRLSFNKLHGQLPEETEKLKSLTFVSLSYNYFTNITGALHILKSLRNLTTLLIGGNFMHETIPQDETIHGLENLQVLGINDCALTGKIPSWLSKLKKLELLLLYNNQLSGPIPTWIKSLNYLKYVDLSNNSLIGEIPTSLTEMPMLRSDKIADHSNPRLFRMPVFVAPSLQYHTANAFPKMLNLGNNKFSGVIPMEIGQLKALLSLNLSFNNLHGEIPQSASNLKNLMVLGLSSNHLTGAAPVSTIPTKQYIDKVVFAIAFGMFFGVGVLYDQIVVSRFFG >ONIVA02G19900.6 pep chromosome:AWHD00000000:2:18110389:18119395:1 gene:ONIVA02G19900 transcript:ONIVA02G19900.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFHLALVLLPLSFICPPVGSCIEQERLTLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLSRLNLSYNSLSGGLPPELIFSGSIVVLDVSFNRLGGELQEVDSSSSDWPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSALRMLKAGHNNISGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLVFLYLGGNSFSGKVPESIGELKKLEELRMDHNYISGELPSTLANCTNLAAIVLVSNKFTGDLAKVNFSNLPNLKTLDLCTNYFTGTIPASIYSCSNLTWLRLSFNKLHGQLPEETEKLKSLTFVSLSYNYFTNITGALHILKSLRNLTTLLIGGNFMHETIPQDETIHGLENLQVLGINDCALTGKIPSWLSKLKKLELLLLYNNQLSGPIPTWIKSLNYLKYVDLSNNSLIGEIPTSLTEMPMLRSDKIADHSNPRLFRMPVFVAPSLQYHTANAFPKMLNLGNNKFSGVIPMEIGQLKALLSLNLSFNNLHGEIPQSASNLKNLMVLGLSSNHLTGAIPSSLANLHFLSNFNISYNDLEGPVPIIGQFSTFPTSSFAGNPKLCSPMLLHCCNSAGAAPVSTIPTKQYIDKVVFAIAFGMFFGVGVLYDQIVVSRFFG >ONIVA02G19890.1 pep chromosome:AWHD00000000:2:18099518:18100931:-1 gene:ONIVA02G19890 transcript:ONIVA02G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYKCLDKIGRKSRVWCIGCRTRGLVKLAVKCLHRGINYGEVECFREPRCLEACQGHPYLVEHPMTHREMKRGSDGRACPCCYVVTEYINRSSLVRVVQEERWLLGSIMANILTDGPLFLVPTLHRHVRAQLVHVVGVTPGFHRRHEEEEQHDRRNRCVSAGMKCEFVQEVVPINTREKHFCSLGKIKKHLIGTTDIDNLLDK >ONIVA02G19880.1 pep chromosome:AWHD00000000:2:18096471:18098397:1 gene:ONIVA02G19880 transcript:ONIVA02G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASPEAGIIEKFVASEGGIVTPGVKVAIISKSAAQSKTHTQSSEDTSQKHSTKPPSTKENKVEAKPPKVESSTTHESKLTSSSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLITFNEVDMTNLMKLLSDYKDQFVEKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYREYIDISVAVGTSKGLVVPVIRDIDAMNFADIEKGINNLAKKATEGAQSINNMAGGTFTISNGGVYGSLISTPIINSPQSSILGMHSIVQRLVVVNGSVLARPMMYLALMYDHRLIDGREAVLFLRRIKDVVEDPRRLLLDI >ONIVA02G19870.1 pep chromosome:AWHD00000000:2:18087338:18091427:1 gene:ONIVA02G19870 transcript:ONIVA02G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTWNARPYSGSRRRSSPSRNSPRQMGQSVAPSMPAPYVARSPISPLSTLEAGLDAARHQPTIRRSPEDRGVQPFRGYL >ONIVA02G19860.1 pep chromosome:AWHD00000000:2:18077533:18081673:1 gene:ONIVA02G19860 transcript:ONIVA02G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLKAARVADVPMALDVLAAGAPATSAILAEVDAAGARSAAAGGGGGGGGQRFAVIGHRGKGMNALASPDRRMQEVKENSLRSFNEAARFPVDYVEFDVQVTKDGCPVIFHDNFIFTKEDGKILDKRVTDLQLEDFLLYGPQNEQGKGGKPLLRKLKDGRIVNWNVQSDDPLCTLQEAFEKVNPRLGFNIELKFDDNLEYQEEELTCILQAILKVVFEYAKDRPIIFSSFQPDAAQVMRKLQSTYPVYFLTNGGTEIYADVRRNSLEEAIKLCLASGMQGIVSEARGIFRHPAAVPKIKEANLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVQEITEAVSELITVPEPDLNADNLSNGAAKDAATPHFSQCEISFLLRLIPELVQ >ONIVA02G19850.1 pep chromosome:AWHD00000000:2:18059741:18061375:-1 gene:ONIVA02G19850 transcript:ONIVA02G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRAAADEAASGGGVQRRRRGKAAVGAQAARARRGGSGGGEAAERGRGDRVESFIEHQDACNSGRVRGEVVPVVTTLPVIRPAALRHHHHHPPPPPELQLLPASTTAPLATAFSSNIATSSKRSRWRDDDKHLYMVLDWDMGYVIHKLDIDEFTDSGTGTGVAMFHHLS >ONIVA02G19840.1 pep chromosome:AWHD00000000:2:18039724:18047955:-1 gene:ONIVA02G19840 transcript:ONIVA02G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEDWDSNITANITESRSGRGRRESHSQESSSRATAAQGVVSGPARPPRSPPRSPTERFTQGRAREQPGGGGRGIDGLSAAAALVDRKGKRKIGEGSFTVSDDREQARRKEERTSDSPKVKLLRSSIRAYHGDAEEKLSDRKGEPVNYPFHNCGASTSKLPAHVYHKKIPMGEAANHYFRNIDNSFQQPEVGLRLKYLSHHYSEFRPVHRDEECFYRSFIFSYLEQVVDSIGTREEDRLLAAVRALATKAENLQWASEFSQKHKAFERLIEKIKGWKRMQEHPISIIRLVAATWMCTRIWNYEWCATNCGENQNLEDWCSKHVIAPRVYATSAAVKACAETLRVTVQVENVHDGTCESTHYIVRGAPRVTLLRIESHYDIIYPLPPSSINSSNPHEEKLLPIPSSILAYDRRKIFDRKQKHLDRSNQNTRASTLKSPPHEDQKRSGRKRKRPGCSNQNPRASMSKSSLRKDHKSSALATLVDTRRRRTRLTDTIIPPGCGRTRKYEITRMSTRLVLRRSVRSLYKLHSQSNSLAGRFSLRNFLISDEMTIEMDEFQADDLDPYTKANAEVDFYQYVKTIEELFESLPVPEDIHRWLSMIMRDPTAYQYLICYHYCLMEEHQMMHVFTSLYNKLLVLPTTDPAGYNFVLERLKIFSGWSPMDLHNVYFIETFYWKDPITGVPIIYGDDVLSLLRLVRNTYQHFMSKVVEGRKLLFSEKDFGNMVNEQFSGLLDEFFEAMFIATYYADLQLEHTMV >ONIVA02G19830.1 pep chromosome:AWHD00000000:2:18022345:18023484:-1 gene:ONIVA02G19830 transcript:ONIVA02G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRSRRRDDDKHIYVVLDWDMGYVIHKPDVDEFTDSGAGAAMFHHLPEHAAVRIEAPVDRSFPAVAAVGSKIVIATHALLEDAPVFMYDTGTSSLAAGPRPTAPLMPGIMVPVHGQRLYALDPRSASKHYLQVMSPAPRDDDYPARDSFRLSGRAERWSWESVPSPSPPPFAGAGRDPMFVTAYAVHPDGRTVFVSAHNRHAGDDERRRQGTYALDIARRRPAAAAWTPLGDWLLPFQGQGHYVDDLDAWVGLDDDGRLCSCDVASRGAAASAAALGSKITEETLLREDPKRHVGHPSGATLAYMGDGVFCLVECALRRGLDMADALCAEDGCVLHVTVFGLSYDKAGELRISPRRRGRTYLVSRYNNVVAPKVFWM >ONIVA02G19820.1 pep chromosome:AWHD00000000:2:18016438:18017754:1 gene:ONIVA02G19820 transcript:ONIVA02G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSPWISPRELSHNQFRGSHNQFAENLGAKSPINSVILTVSHPLSSPDSTVNPPLSPATNSSSPMANLQPHPLWFIPPGQHLHPAGPNHRRRVDTVNFIPTPMRHEDFVLAIVHPALPEDLWDEHRAEISGFFEQVSHVRVAASFPHPNVVALFQIDSPDQRDALVLGLPINYDGNHEGPNWRNVPYDHRGWIMMLDFPLDYVNFHNINQVVSTFGELDWVWYKDLYSVPQFVVWEQPNAPNVLDHYVYILNGEFADILPPDDDLPHGEGPVDPNVEDAPAWQFGNEQQQHNQQNPNWGAWEDNQGGNQVDEHLMPLVPQLLIDLQASSASSLSTYPSASSASIADSAVSISTDEQALTSPDKSIVVHKDSVHADLYNYLCERFPHIMFDKHFTQDSSFWAALSIGPYMPGESSSSGQHLGYS >ONIVA02G19810.1 pep chromosome:AWHD00000000:2:17998352:18000473:-1 gene:ONIVA02G19810 transcript:ONIVA02G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0G785] MAFMSMERSTWAFTFGILGNLISLMVFLSPLPTFYRVYRKKSTEGFQSTPYVVTLFSCMLWMYYAFVKSGAELLVTINGVGCVIETVYLAMYLAYAPKSARMLTAKMLLGLNIGLFGVIALVTLLLSRGELRVHVLGWICVAVSLSVFAAPLSIIRLVIRTKSVEFMPFSLSFFLVLSAVIWFLYGLLKKDVFVALPNVLGFVFGVAQMALYMAYRSKKPLVASSSSAAVAAGLEIKLPEHVKEVQAVAKGAVAAAPEGRISCGAEVHPIDDVMPSEVVEVKVDDEETNRTDEMAGDGDHAMVRTEQIIKPDMAIVVEV >ONIVA02G19800.1 pep chromosome:AWHD00000000:2:17989329:17994099:1 gene:ONIVA02G19800 transcript:ONIVA02G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSPAEEQLNPKVGGPYGGGSSSSAAAAAYGGGGGSGAGRHGERGGGYPDLHHHHQQQQLPMAAPRVEKLSAGAEKTRVKSNAILREPSAPKDANGNVISAQTFTFRELATATRNFRPECFLGEGGFGRVYKGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMHFGSLEDHLHDLPPDKEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDESFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNAAHASRKPGGDQRSKVGENGRVVSRNDEASSSGHKSPNKDREDSPKEPPGILNKDFDRERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRAIENGQGSLDSPTENG >ONIVA02G19790.1 pep chromosome:AWHD00000000:2:17931097:17978174:1 gene:ONIVA02G19790 transcript:ONIVA02G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELEATVICVDDSEWMRNGDYPPTRLQAQEDAANLVVGTKMATAITDAGAGISFGFDGFWRYIRSFFASVVATLAGAAHELEEAKPPLAEEQRDLRVSDDGEVVVAASPVGSGDDESDAYVASAVSQWTPTAVPAAVARDLLPRFLCSTWWAHLDDGESASSKATARPID >ONIVA02G19780.1 pep chromosome:AWHD00000000:2:17928287:17928652:1 gene:ONIVA02G19780 transcript:ONIVA02G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSVLELQQAGIELLGMGWGLGSSRRCRAPAAALGEGRQRRAPTAGRYVGDRVGLQPASSGSSRSNGKGRRRRVHLALWGKGGGGGVGSSSRDGERAAASVLARCALGFLCLPAIVYKMK >ONIVA02G19770.1 pep chromosome:AWHD00000000:2:17914576:17922092:-1 gene:ONIVA02G19770 transcript:ONIVA02G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPVSSPFRRRRLGDAGDEPSPVSILPDDVLTEILHILPPDPSSLPCLSLVCKRWRRLVSDPAFHRRFHSHARRRRAPLLGFFHNSFNVPCFVPTGRAPDRVPAEAFSLRRHPGRWLFLGCRGGRALLASPFSVCRLLMVWDPLSGEPCSAAPPCSATPASATAPPHSAWSSPSSTSAAAPAPASTPRFPANGARWSVGGRHLDESISGEKISAIDMKPPVLVGNVLYWLLVENCILEFNMDAKSLAVNVISGPDLMYFPAPNWSLQIMLAEGGSKLGFGAVKFLYLKLWVRKSDSDSTASWVMRKRIKLCMFIPPPHPPLRQLEALFDRLIAWSGLLGFTEDGSVAFLQTPNGVIMLQLDTMEFKLVLPMERLHWLHCPSFLTMANMLPDDALIEILLHLPKHPTCLLRASLVCKHWRYLIRDNKFIKRFRAFHQTPPVLGIFTNSTSIPRFLPIGNPPECVTVGAFSLPDPYWHVLGCRHSRVLLISSSWNSLQVWNPMTGNRYAVPVTPDVNPRINYGRVPESHAAVLCAAGHNDHGDCSSCPFFIVWVFTNIGYAYISRYSSEKDTWDMMASSPAPSEVDSRPSILVGNVIYWPLKSKHILAFELATSRLYHIECPPETHSVYRRNVHIMKAEDGGLGLATMTGFNLQLWALEINSGGVTGWVLRKTIELGAVLPLEVPSVPLTDSHLVRRPPVRILGLVEEDDLFFIWTAVGVFAVQLKSLQFKKVFEADVSATFYPYTAFYTTGAA >ONIVA02G19770.2 pep chromosome:AWHD00000000:2:17915024:17922092:-1 gene:ONIVA02G19770 transcript:ONIVA02G19770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPVSSPFRRRRLGDAGDEPSPVSILPDDVLTEILHILPPDPSSLPCLSLVCKRWRRLVSDPAFHRRFHSHARRRRAPLLGFFHNSFNVPCFVPTGRAPDRVPAEAFSLRRHPGRWLFLGCRGGRALLASPFSVCRLLMVWDPLSGEPCSAAPPCSATPASATAPPHSAWSSPSSTSAAAPAPASTPRFPANGARWSVGGRHLDESISGEKISAIDMKPPVLVGNVLYWLLVENCILEFNMDAKSLAVNVISGPDLMYFPAPNWSLQIMLAEGGSKLGFGAVKFLYLKLWVRKSDSDSTASWVMRKRIKLCMFIPPPHPPLRQLEALFDRLIAWSGLLGFTEDGSVAFLQTPNGVIMLQLDTMEFKLVLPMERLHWLHCPSFLTMANMLPDDALIEILLHLPKHPTCLLRASLVCKHWRYLIRDNKFIKRFRAFHQTPPVLGIFTNSTSIPRFLPIGNPPECVTVGAFSLPDPYWHVLGCRHSRVLLISSSWNSLQVWNPMTGNRYAVPVTPDVNPRINYGRVPESHAAVLCAAGHNDHGDCSSCPFFIVWVFTNIGYAYISRYSSEKDTWDMMASSPAPSEVDSRPSILVGNVIYWPLKSKHILAFELATSRLYHIECPPETHSVYRRNVHIMKAEDGGLGLATMTGFNLQLWALEINSGGVTGWVLRKTIELGAVLPLEVPSVPLTDSHLVRRPPVRILGLVEEDDLFFIWTAVGVFAVQLKSLQFKKVFEADVSATFYPYTAFYTTGADINMLLLQFVFCSLFNPAYAC >ONIVA02G19760.1 pep chromosome:AWHD00000000:2:17907466:17912730:-1 gene:ONIVA02G19760 transcript:ONIVA02G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine tRNA-ribosyltransferase accessory subunit 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0G779] MRFAVTKVCGGGAKARAGMLQIAGSNIETPALLLSTRKGLPAFVSRDLLASLPLPDSLLLHVCPTHFMEGPPSKTISNIGGLHHMLGLPDHILIAAAGDSIESLPTSEASNKFGASFETPAGRRLVKPSDYMELISCMKPNLWASLADEVPAWVTEKRNKVSVERTLRWLDACIALDEDSGANTLGVVVGGSSIELRKLCATEVSKRNVSGFWIGGLGFGDSPEERCSILDAAVGCLPPEKPRVVSRLGLPEEVLEGVAAGIDLFDSAYIYQLTMGGFALIFPIDMVGEKMQNGSLNSSDGDFTKINLRATTYRKDTSRIVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLCFFRLIRDTIKTGQFDRFRQQFVQDRRAYLAAAVI >ONIVA02G19750.1 pep chromosome:AWHD00000000:2:17905373:17906707:1 gene:ONIVA02G19750 transcript:ONIVA02G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTDLYSPLVPSGGGGARQHPDLRGADGARGDGAARGRAAAVVADEAEVVVVTEARRRRSWIRGRARRSGGTRGRVVAAVADEAEEVAVAEALGSERSGGVLTEVAALTEASGSERSDGGARGGGARGGGGAHGRTAALSNARGACGGGGWRGDAVRWSQTIPKNISKSKLFGGVTFGGVTK >ONIVA02G19740.1 pep chromosome:AWHD00000000:2:17899903:17903736:-1 gene:ONIVA02G19740 transcript:ONIVA02G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPICIPHIGAHNPRILGRGKCTKLSSSSLSPPLPHATVRRRRRLSFSSSSAAAAVVLGSEEGGGGGLFANLARSLSCRALLRWFSCYAHQQNPASTIVAIDKNLDTSTPDTYRAPPTPLPYDVGLVLKDNPDLEKTGIKRKIHEHKESLMMDDNESLQKCVSEDKPDEEDVCPICLEEYDEENPRSMTKCEHHFHLCCILEWMERSETCPVCDQVSAPKPIYVRLNTFLL >ONIVA02G19740.2 pep chromosome:AWHD00000000:2:17899903:17903736:-1 gene:ONIVA02G19740 transcript:ONIVA02G19740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPICIPHIGAHNPRILGRGKCTKLSSSSLSPPLPHATVRRRRRLSFSSSSAAAAVVLGAVRRREAEGHQQNPASTIVAIDKNLDTSTPDTYRAPPTPLPYDVGLVLKDNPDLEKTGIKRKIHEHKESLMMDDNESLQKCVSEDKPDEEDVCPICLEEYDEENPRSMTKCEHHFHLCCILEWMERSETCPVCDQVSAPKPIYVRLNTFLL >ONIVA02G19730.1 pep chromosome:AWHD00000000:2:17885100:17889487:-1 gene:ONIVA02G19730 transcript:ONIVA02G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHSTPPPETDEMPPEMDEMPLSDDVLREILLRVPPLPTQLIRAAAVCRRWRRIAAEPGFQRRYRAHHGEPPLLGFFVNPRGREPLFRATLDAPDRVPPERFSLRLHDDTELGGNWYYHGCRHGRLLLLNWKSGLGCRQILIWDPVSGDLIHLSLPPQLDALKRVFFQATVICAATTAAGDHVHGDNCKSNPFKVVLVGTDRSTAFAFVYSSETGDWGDHAAETPVGNCISLGCRCIQIGDFLYWMLFGYDNNILEFDLVNQSLSVVYVPTHIHEDHDGLYPITLQEGTELGLIVMSRSCMQIWQWMIDFDGLPGWLPLEPIYLDNLLHLSAGECVNPTKVLGFSQDYNELFVASSTRIFMVNLESLQFKELCKMDEFLESPDSRPIYAVYPFASFYDAEWLNLSSAGLARWRAHPFSVAAVDAASTGRPPHKESIQWAKWIEDNISNIKPFTEEDIKRITSDYSTSLGNGKFGKVYRGVLDGNRYVAVKKYIHMDSEQEFAKEVIVHSQINNKNVVKLIGYCIEKNALMMVMDYMSNGDLDYHLHVENSLDSLDARLNIAIECADALGYLHSMCSPVLHGDVKPSNIVLGDNFNAKISDFGISRLLSIDKTHTENLIGSIGYMDPLYYREGRLTPKSDVYSLGVVLLELITKKRAVSLSQARAEGKGVRIA >ONIVA02G19730.2 pep chromosome:AWHD00000000:2:17886309:17889487:-1 gene:ONIVA02G19730 transcript:ONIVA02G19730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISHSTPPPETDEMPPEMDEMPLSDDVLREILLRVPPLPTQLIRAAAVCRRWRRIAAEPGFQRRYRAHHGEPPLLGFFVNPRGREPLFRATLDAPDRVPPERFSLRLHDDTELGGNWYYHGCRHGRLLLLNWKSGLGCRQILIWDPVSGDLIHLSLPPQLDALKRVFFQATVICAATTAAGDHVHGDNCKSNPFKVVLVGTDRSTAFAFVYSSETGDWGDHAAETPVGNCISLGCRCIQIGDFLYWMLFGYDNNILEFDLVNQSLSVVYVPTHIHEDHDGLYPITLQEGTELGLIVMSRSCMQIWQWMIDFDGLPGWLPLEPIYLDNLLHLSAGECVNPTKVLGFSQDYNELFVASSTRIFMVNLESLQFKELCKMDEFLESPDSRPIYAVYPFASFYDAEWLNLSSAGLARWRAHPFSVAAVDAASTGRPPESGSQLG >ONIVA02G19720.1 pep chromosome:AWHD00000000:2:17883890:17885074:-1 gene:ONIVA02G19720 transcript:ONIVA02G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVEIGKLVQECLTEDIHRRPDMCDVAGHLRMLRKFCLRQPEPQENFGWHLFPETQNKDKEQSQQGTNNVSSSLMVFPKMGGIFNRNMYKSRKKGAPLYISGKRMFTAREIKVITNNYSTIIGGGAFGNVYLGILEKDRKVAVKTYLKGIKYEEDQYGKELNLPELIHKNIIQLLGFCCELDAVILVHEFANKGSLYNILHGTSNFPVPLDLRLDIAIGSAEGLAYMHSRSKPILHGDVKTTHILLDDNIVPKISGFGSSQIGEDNKCKWAVAADINYIDRILVVEYVNCYEKENSGRIMFDNEITAEENMATLEAIGILAMKCLSDNIDERPEMREVAEQLVMLKMAWKQLKGNI >ONIVA02G19710.1 pep chromosome:AWHD00000000:2:17871000:17875697:-1 gene:ONIVA02G19710 transcript:ONIVA02G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAIEWWQESQLRILVIASTIIQLLLFLTANRRKHITYPRFRFIVWLAYLGSDAMAIYALATLFNRHKNEDSTEQGNSSILEVITVSIYVFCKSWPGGDKKLLQAAIVLFVPGVLKCIEKPWALRSASINNLVSSDMHVPRTGKGDKKGDSISLQSYVERARNLVLRIEKEGNTVESLMEEAIDFFTSDSGDIFPHANDNISEPYKVFVDLPQPYSIRLAALKSWWKVEPDFLHMDLGKTLADTFYCLYTKAKMLNKKNINKFGWYLRLGSAYLPFASIGLFHNSHREAYNSCDVKVTYMLLCCTAVIEYSSAHGWSTLIDCCLPWNDNVSQRRLIGSYAGIIIKPCGLSKEITGRNIFTTAIQELKDILGEEKPLEYQGLAEKIIAKVESKGACCPSFIRDAWAIAEVLLNLGNKKMKHVIKGVWVEMLCFSASRCRGYLHAKSLGAGGELLTYVWLLLLSMGMEPLAERLQRADLPSGEGNDAITTDAPLPSDNTLEERPCKAEVPNNIDVAAQLPSDEILTEKPLKTEVPNREVNNINAAAHLSSDEILAERTLNTEVPNGEGNISDVPSTSQDSIAIDIEEDNAS >ONIVA02G19700.1 pep chromosome:AWHD00000000:2:17853981:17854196:-1 gene:ONIVA02G19700 transcript:ONIVA02G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPGVEDGRIIEVTDGEIKRRRQDERRLIEMGATTGIKLCRNGRRMDAARRSEQYETKRKGKRKYPNYP >ONIVA02G19690.1 pep chromosome:AWHD00000000:2:17853481:17853690:1 gene:ONIVA02G19690 transcript:ONIVA02G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVIAVILLAAIAVAPFAEASTVTTTSRVLLASEAPAESPAGPAEAPGPAEESSSASAPSPSAADA >ONIVA02G19680.1 pep chromosome:AWHD00000000:2:17850981:17851187:1 gene:ONIVA02G19680 transcript:ONIVA02G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVLVVILLAAIAVAPFAEASTVSAASRVLLASEAPAESPAGPAPAPGPAEESSSTSAESPSADA >ONIVA02G19670.1 pep chromosome:AWHD00000000:2:17849032:17849241:1 gene:ONIVA02G19670 transcript:ONIVA02G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVLVVILLAAIAVAPFAEASTVTGGSRVLLASDAPAESPAGPAPAPGPAEESSSESAPSPSAADA >ONIVA02G19660.1 pep chromosome:AWHD00000000:2:17844346:17845608:-1 gene:ONIVA02G19660 transcript:ONIVA02G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15710) TAIR;Acc:AT5G15710] MGDAAAPPAAVAAAARAARPTSPSRPPKAIRSTKPRGLDEETAAPAAATFPSIKAPSAAAASLLHADVPMEARVWAPLPDDLLLEVLARVPPFLLFRLRPVSRRWDSILHDPAFLAAHAGVPSHGPCLLTFWRGAASSPPQCSVLSLPLRARYKLPFGFLPAWDLWLVGSSGGLVCFSGFDGAGFRTVVCNPLTQTWRVLPDMHYNQQRQLVLAVDKKRRSFKVIAASDVYGDKTLPTEVYDSKENKWSVHQMMPAANLCSSKMAFCDSRLYLETLSPLGLMMYRVDAGNWEHIPAKFPRSLLDGYLVAGARTRLFLVGRIGLYSTLQSMRIWELDHGRTVWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKAWSWIAGCASQLCNSQICFYEPRFDTLIY >ONIVA02G19650.1 pep chromosome:AWHD00000000:2:17839106:17842139:1 gene:ONIVA02G19650 transcript:ONIVA02G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLESHIKSQVPALLLRRFFGGAGRRDEAKHLQQRPPVPPLPPAGRRRVFVQTETGCVLGMDLDRSDNAHTVKRRLQLALNVPTGETSLTFGDRVLENDLSFIRPDSPLLLTRNSINRSCSTPCLCPVSKDFEHKDRSGLVEMLGCSISCARVKRLVDDVVTAIRSGVDPVPIGSGLGGSYYFRNISGDRVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKKSVRVGETGFREVAAYLLDHDNFANVPPTALVKITHSIFHINCPVNGGSPAHDQKQQVSSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNVLVRKLDGGTGRFGCQTELFPIDHGLCLPENLEDPYFEWIHWAQSSIPFSEEELEYIKNLDPMRDVAMLRRELPIIREACLRVLVLCTIFLKEAAASGLCLAEIGEMMTREFRGLEEEPSKLEVVCMEARRKLAEWEPYSPVIEQGEDMDFQFSLDMLGEYNDVIRSPRFNGLGAKGNGFRNPLSKLVESIDEDNDDDDGRSESSKRSSERVHSGGLKFPSADKSSGSNGSVHALNRSADEQLPSSVYFVRLSDMNAEEWNIFLEKFQELLKEALQERAAAAGQRMKQRLGTSCKF >ONIVA02G19640.1 pep chromosome:AWHD00000000:2:17833625:17836980:-1 gene:ONIVA02G19640 transcript:ONIVA02G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative thiol-disulphide oxidoreductase DCC [Source:Projected from Arabidopsis thaliana (AT5G50100) TAIR;Acc:AT5G50100] MASSLARMGAALPRVRPRAAARFPPPPGRWDSAAALRRAPVYGFRCQVHSDVKVGPSSGLKDGENSSGSWRIKMLYDGDCPLCMREVNMLRERNKSYGAIKFVDISSKDYSPQDNQNLDYETAMGRIHAILSDGTIVTDVEAFRKLYEEVGLGWIYAVTKYEPVAKVANAIYGVWAKYRMQITGRPPLEEIMESRKLAAECKDDKVCKM >ONIVA02G19630.1 pep chromosome:AWHD00000000:2:17830853:17835148:1 gene:ONIVA02G19630 transcript:ONIVA02G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G763] MYETFGWWRRADYIKVHFAESWNEFHHLLIMEELGGNSLWVDRFLARFAAFFYYFMTVAMYMVSPRMAYHFSECVERHAYSTYDKFIKLHEDELKKLPAPEAALNYYLNEDLYLFDNLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSMQKCLETDTECVIPEDDCEGDTETVPLSYLNICDYCSI >ONIVA02G19630.2 pep chromosome:AWHD00000000:2:17830853:17836086:1 gene:ONIVA02G19630 transcript:ONIVA02G19630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G763] MYETFGWWRRADYIKVHFAESWNEFHHLLIMEELGGNSLWVDRFLARFAAFFYYFMTVAMYMVSPRMAYHFSECVERHAYSTYDKFIKLHEDELKKLPAPEAALNYYLNEDLYLFDNLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSMQKCLETDTECVIPEDDCEGIVDCVKKSLVSKE >ONIVA02G19620.1 pep chromosome:AWHD00000000:2:17825017:17828328:1 gene:ONIVA02G19620 transcript:ONIVA02G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRLGGAGRALLSRQALSLPNLRRRASNSWAAVRDTFFSTKEVFESHRVVFTVGTSIASVLTAWAGYSLRHMQQSRIDKRLNSIEQSLKDTHKVEHEEIKKIVTSSNISTPACVATALTTSVVGYALGWRGGAWYARRGFRREQQKLMGQINSHHRWHWRPFSKLKNRLRRNRPAAKTVEAPRLTGESTI >ONIVA02G19610.1 pep chromosome:AWHD00000000:2:17808134:17808855:-1 gene:ONIVA02G19610 transcript:ONIVA02G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKLVLKLDLHDDKDKQKAIKAVSTLHGIDMISMDMKGQKLTVVGDVDPVDVVGKLRKGWPSASLLSVGPAKEEKKEEKKPEEKKDDKKPEQPKLVFYPPPPAWHAHAALPSYSYPPPQYVVHSAEEDPNSCVIC >ONIVA02G19600.1 pep chromosome:AWHD00000000:2:17802017:17806155:-1 gene:ONIVA02G19600 transcript:ONIVA02G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) TAIR;Acc:AT5G56180] MAMAVLLRKVWGSVLARAAAGTAPPEAFAAAASPRRPQAAGEYGSLGALDVLPIDVLAQILRLLGPADAARSTAVCRAWRLLASDNGLWAFFLRLGPDPWELVVFAETHLGAGPALHPGLYYDSSPQLSFKHVYTRRAVVPGSIIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFLSTIYTRMQVKPSTQPIIVVLPLCHSDDTESARASRKQYKDTLYSVLFDMNVPAVCSVDQAVLALYAAKRTSGIVVNIGFNATSIVPIFQGRVMHEIGVETVGQGALKLTGFLKELMQQRNITFESLYTVRTIKEKLCYVAADYEAEKRKDTQASCEVDGEGWFTLSEERFKTAEILFQPQIGGVRAMGLHKAVSLCMDHCYNSEVFSDDNWYKTVVLSGGSSCLPGLSERLEKELRELLPAHISEGIRVIPPPFGTDSAWFGAKMISNVSTFTEAWCIKKKQFRLKTRRNGPSFVNVWFSFDKLHNSFGATGVSLEHGKANTDTSEFQPYETRCTK >ONIVA02G19590.1 pep chromosome:AWHD00000000:2:17798905:17803653:1 gene:ONIVA02G19590 transcript:ONIVA02G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 30 [Source:Projected from Arabidopsis thaliana (AT5G56150) TAIR;Acc:AT5G56150] MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >ONIVA02G19580.1 pep chromosome:AWHD00000000:2:17793807:17797956:-1 gene:ONIVA02G19580 transcript:ONIVA02G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAASRRLLHLRPELGLRLRSRALIPYSSWTKAMGRCRYEPSPRRLSSCRRAAKNERPWRSSSNEQLTDGDGTAKYMGRNFPDEFAQLSLEEEESDDEVHGISETMVKDVEKAAVELLAGRAFTVSDLRKKLRAKKFPDNAVDSVIADFKSRGLLNDGYYAESFSRSRWLSSTWGPKRIKQALRQKGVQDAEVDQATRRVFQDGHSNQTMYGISEDSMDHLFEQAAKQWQRGQSLPLENRRARVVRWLQYRGFNWAVTNAIASAALGDGLDALLASSAGSGAAAGLAGLSAGASEDDTDIAEVPMEGTGSSLRQRDVGRSCAVRSASDGQARPRPPKKKIRPTSPPRSRSRPCRRGRLDETSGRPLPPPPHGRIPRLPDEAPSVATFLLVPNAASVSSVMREASAALRPTSNYKSASVYNLLLKEYSDMLKEQLLNDARRRHRRCPRLSSPSSVRARRHSYARCTRVPSGFSERLGEGDVVEAGGRP >ONIVA02G19580.2 pep chromosome:AWHD00000000:2:17795428:17797956:-1 gene:ONIVA02G19580 transcript:ONIVA02G19580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAASRRLLHLRPELGLRLRSRALIPYSSWTKAMGRCRYEPSPRRLSSCRRAAKNERPWRSSSNEQLTDGDGTAKYMGRNFPDEFAQLSLEEEESDDEVHGISETMVKDVEKAAVELLAGRAFTVSDLRKKLRAKKFPDNAVDSVIADFKSRGLLNDGYYAESFSRSRWLSSTWGPKRIKQALRQKGVQDAEVDQATRRVFQDGHSNQTMYGISEDSMDHLFEQAAKQWQRGQSLPLENRRARVVRWLQYRGFNWAVTNAIVRKLEAQKPP >ONIVA02G19580.3 pep chromosome:AWHD00000000:2:17793807:17795125:-1 gene:ONIVA02G19580 transcript:ONIVA02G19580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWHASAALGDGLDALLASSAGSGAAAGLAGLSAGASEDDTDIAEVPMEGTGSSLRQRDVGRSCAVRSASDGQARPRPPKKKIRPTSPPRSRSRPCRRGRLDETSGRPLPPPPHGRIPRLPDEAPSVATFLLVPNAASVSSVMREASAALRPTSNYKSASVYNLLLKEYSDMLKEQLLNDARRRHRRCPRLSSPSSVRARRHSYARCTRVPSGFSERLGEGDVVEAGGRP >ONIVA02G19570.1 pep chromosome:AWHD00000000:2:17793616:17793801:-1 gene:ONIVA02G19570 transcript:ONIVA02G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAAWRSGGDGDEGRGLVGMVAARGGASSGISSTPACAGGDDVGSERPTATVNQELDGEE >ONIVA02G19560.1 pep chromosome:AWHD00000000:2:17784375:17785852:1 gene:ONIVA02G19560 transcript:ONIVA02G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G754] MAAARFPLLVLCLLPIIVCSVVDAELTHLHFYFHEVDAGTPNATVVNVASLHRNSSTFGDVNVFDNALREGPDPASRLIGRAHGLAVHASLDETGGLTAINFVFSDYGAYSGSTLATQGHFITTGPSERSIVGGTGKLRFARGYMTSKLLSSTDTAIVVVFDMYFTLDH >ONIVA02G19560.2 pep chromosome:AWHD00000000:2:17784571:17785852:1 gene:ONIVA02G19560 transcript:ONIVA02G19560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G754] MAAARFPLLVLCLLPIIVCSVVDAELTHLHFYFHEVDAGTPNATVVNVASLHRNSSTFGDVNVFDNALREGPDPASRLIGRAHGLAVHASLDETGGLTAINFVFSDYGAYSGSTLATQGHFITTGPSERSIVGGTGKLRFARGYMTSKLLSSTDTAIVVVFDMYFTLDH >ONIVA02G19550.1 pep chromosome:AWHD00000000:2:17774530:17784219:1 gene:ONIVA02G19550 transcript:ONIVA02G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin-like calmodulin-binding protein (ZWICHEL) [Source:Projected from Arabidopsis thaliana (AT5G65930) TAIR;Acc:AT5G65930] MAAGGQRRGYCRHAAARLGDLQHERWRRQRRRWVRQRRLQLRATYPDDFVYVYASIPNGVIHPMAIAISHPCSIVYITIDDHVVPLVVYKSVEGFLKAMQKQIHSAGKRGFFSKKSVGPHVREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRAWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLSSRKLTTIVFFLDETFEEITYDMATTVVDAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSDVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAITDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVDNPESCVEWISLLERFLPRQVAITRAKRDWELDIVSRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSAVSQNDVSQTYKPPNIEIYEKRVQELSKAVEESERKADLLNEELQKKTKQERDMQKELEGLRDTLQSERQSIKEITNDLDKLKSLCDEKDSSLQALLMEKTRLETRLKSGQGQESSNRTGVSGNYFERDTLPTVGTVNNSIEMLAKLEEELKSCKKELDASKELSKKLTMENNLLDQKVQRLERAKSEEKSNMERVYEDECCKLKSRIAELEQKLESRTRSLNVTESTLALRNAEVDTLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLIELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELTEKDKNIVCSPDEFTVAHPWKDDKSKQHIYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPRATSELFRVIKRDGHKYSFSLKAYMVELYQDNLVDLLLAKNATRQKLEIKKDSKGVVTVENVTVVNISSFEELRAIILRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIINDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEDDDLEEIQEERTPKEKADNRLTS >ONIVA02G19550.2 pep chromosome:AWHD00000000:2:17773966:17784219:1 gene:ONIVA02G19550 transcript:ONIVA02G19550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin-like calmodulin-binding protein (ZWICHEL) [Source:Projected from Arabidopsis thaliana (AT5G65930) TAIR;Acc:AT5G65930] MAAGGVGGSNGAATAATPLHGSATSSMNGGGASGGDGYDSDGYSFAPPTPTTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIHSAGKRGFFSKKSVGPHVREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRAWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLSSRKLTTIVFFLDETFEEITYDMATTVVDAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSDVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAITDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVDNPESCVEWISLLERFLPRQVAITRAKRDWELDIVSRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSAVSQNDVSQTYKPPNIEIYEKRVQELSKAVEESERKADLLNEELQKKTKQERDMQKELEGLRDTLQSERQSIKEITNDLDKLKSLCDEKDSSLQALLMEKTRLETRLKSGQGQESSNRTGVSGNYFERDTLPTVGTVNNSIEMLAKLEEELKSCKKELDASKELSKKLTMENNLLDQKVQRLERAKSEEKSNMERVYEDECCKLKSRIAELEQKLESRTRSLNVTESTLALRNAEVDTLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLIELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELTEKDKNIVCSPDEFTVAHPWKDDKSKQHIYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPRATSELFRVIKRDGHKYSFSLKAYMVELYQDNLVDLLLAKNATRQKLEIKKDSKGVVTVENVTVVNISSFEELRAIILRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIINDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEDDDLEEIQEERTPKEKADNRLTS >ONIVA02G19550.3 pep chromosome:AWHD00000000:2:17773966:17784219:1 gene:ONIVA02G19550 transcript:ONIVA02G19550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin-like calmodulin-binding protein (ZWICHEL) [Source:Projected from Arabidopsis thaliana (AT5G65930) TAIR;Acc:AT5G65930] MAAGGVGGSNGAATAATPLHGSATSSMNGGGASGGDGYDSDGYSFAPPTPTTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIHSAGKRGFFSKKSVGPHVREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRAWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLSSRKLTTIVFFLDETFEEITYDMATTVVDAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSDVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAITDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVDNPESCVEWISLLERFLPRQVAITRAKRDWELDIVSRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSAVSQNDVSQTYKPPNIEIYEKRVQELSKAVEESERKADLALLMEKTRLETRLKSGQGQESSNRTGVSGNYFERDTLPTVGTVNNSIEMLAKLEEELKSCKKELDASKELSKKLTMENNLLDQKVQRLERAKSEEKSNMERVYEDECCKLKSRIAELEQKLESRTRSLNVTESTLALRNAEVDTLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLIELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELTEKDKNIVCSPDEFTVAHPWKDDKSKQHIYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPRATSELFRVIKRDGHKYSFSLKAYMVELYQDNLVDLLLAKNATRQKLEIKKDSKGVVTVENVTVVNISSFEELRAIILRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIINDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEDDDLEEIQEERTPKEKADNRLTS >ONIVA02G19540.1 pep chromosome:AWHD00000000:2:17765901:17770226:1 gene:ONIVA02G19540 transcript:ONIVA02G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G78690) TAIR;Acc:AT1G78690] MEMEASAAEGGAAAAARTLRWAGRAGHLGGFPRAAVIAAVGAVAKAYASLLNTTTVHNADALLRLVSSRPPGTPLLTVSNHMSTMDDPLMWGFKGFPTTDAKLQRWVLTAEDICFRNVFMSYIFRLGKCVPITRGAGIYQDHMTEALEVLSTGDWLHSFPEGKIAQDDQPIRRLKWGTASLIVRAPVTPIVLPIVHSGFEKVMPEKSFFGRRPPVPLWNKEIHIIVGEPVEFDLPSLKQAARTVPQDSSFERKGWPSIMPDGPDEAAQRWLYQKISDKIQSVMETLRKRLLDLKQH >ONIVA02G19540.2 pep chromosome:AWHD00000000:2:17765904:17769935:1 gene:ONIVA02G19540 transcript:ONIVA02G19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G78690) TAIR;Acc:AT1G78690] MEMEASAAEGGAAAAARTLRWAGRAGHLGGFPRAAVIAAVGAVAKAYASLLNTTTVHNADALLRLVSSRPPGTPLLTVSNHMSTMDDPLMWGFKGFPTTDAKLQRWVLTAEDICFRNVFMSYIFRLGKCVPITRGAGIYQDHMTEALEVLSTGDWLHSFPEGKIAQDDQPIRRLKWGTASLIVRAPVTPIVLPIVHSGFEKVMPEKSFFGRRPPVPLWNKEIHIIVGEPVEFDLPSLKQAARTVPQDSSFERKGWPSIMPDGPDEAAQRWLYQKISDKIQSVMETLRKRLLDLKQH >ONIVA02G19530.1 pep chromosome:AWHD00000000:2:17761711:17764029:-1 gene:ONIVA02G19530 transcript:ONIVA02G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSKGIPQEQLPSQELHPPPMPVINLGHLSLDDPTVRSRVVNDIAKACRDLGYFQVISHGISQSVMDGAIEAASEFFKLPNEIKKEYASDDIRQPVRYDTSSKDGISMSRAFLKHYAHPLCNWLQYWPQQPPIYRQAVSSLKKEYMAKYAVEVRVVALKLMEAILEGLGIGKEYMHEKFEEGLQLLSVNCYPKVSQSDTSIGLAAHSDYGLLTILLTSCQGLEVVDRSSNSWKVVQQLPHALHVHVGDHMEVLSNGRIKTVVHRAVLNPQEARISLASIHGFALHEKVSSAKELVDEENPQKYKESSFNDFLEHLTANMDNRQRNFLESLRM >ONIVA02G19520.1 pep chromosome:AWHD00000000:2:17758401:17758787:1 gene:ONIVA02G19520 transcript:ONIVA02G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSLRRGLSSGCHSAASSCWCGFVFSRLLSNPGAPPELERAAPPPRPLLYAPVSHSATLLPNRSDSQGRRLRQGRAPVIRETGGEWDVRRQKDASTGRAGGIRVASPARRVTLLRQRDILVARVSD >ONIVA02G19510.1 pep chromosome:AWHD00000000:2:17752910:17756869:1 gene:ONIVA02G19510 transcript:ONIVA02G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAGSLYMASQHKGVPPLPPPLPRPLPVINLGRLTMDSASRALAVRDIVLACRERGCFEVVNHGISRSCMNGALEAASEFFQLSTERKEEFASDDIRQPIRYDTSSRDGISMSRSFLKHYANPLDDWIKFWPTQPPTYREKMGEYAVETQRVSMQLMEAILQGLGLGPSYLQEKLEGGVQFVALNNYPQSSAKKADKIGLAPHSDYGFLTILLQSSPGLEVMHHEDDAWTSVPAIPGALHVHVGDHLEVLSNGQLKSLVHRAVLNPNESRISIASIHGLSMDEEVHCAEELVDEHHPKMYRGSSFQDFLDFLPANMNRYKRYVESLRIDKP >ONIVA02G19510.2 pep chromosome:AWHD00000000:2:17752910:17756869:1 gene:ONIVA02G19510 transcript:ONIVA02G19510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAGSLYMASQHKGVPPLPPPLPRPLPVINLGRLTMDSASRALAVRDIVLACRERGCFEVVNHGISRSCMNGALEAASEFFQLSTERKEEFASDDIRQPIRYDTSSRDGISMSRSFLKHYANPLDDWIKFWPTQPPTYREKMGEYAVETQRVSMQLMEAILQGLGLGPSYLQEKLEGGVQFVALNNYPQSSAKKADKIGLAPHSDYGFLTILLQSSPGLEVMHHEDDAWTSVPAIPGALHVHVGDHLEVLSNGQLKSLVHRAVLNPNESRISIASIHGLSMDEEVHCAEELVDEHHPKMYRGSSFQDFLDFLPANMNRYKRYVESLRIDKP >ONIVA02G19500.1 pep chromosome:AWHD00000000:2:17746874:17750885:1 gene:ONIVA02G19500 transcript:ONIVA02G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVEPRRIQPGSASPPPLAIASVHCLSDLAPRPRRAGDALARGAQLMWSLGVPEDVAEFHDVYSLDADALEMVPQPVLAVVFCFPDPTQLSTIMGFSLYLIYTLSPTSVQDASNPSQHLLITGEKETLFFIKQIESLGNACGTIALLHAVGNAYSEISLCKLSDDVEEHYICFVECDGTLYELDGMKPGPINHGPSSSKSLLQVYQEENYRRESENVYITTFHE >ONIVA02G19500.2 pep chromosome:AWHD00000000:2:17746874:17752500:1 gene:ONIVA02G19500 transcript:ONIVA02G19500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVEPRRIQPGSASPPPLAIASVHCLSDLAPRPRRAGDALARGAQLMWSLGVPEDVAEFHDVYSLDADALEMVPQPVLAVVFCFPDPTQLSTIMGFSLYLIYTLSPTSVQDASNPSQHLLITGEKETLFFIKQIESLGNACGTIALLHAVGNAYSEISLCKLSDDVEEHYICFVECDGTLYELDGMKPGPINHGPSSSKSLLQVYQEENYRRESENVYITTFHE >ONIVA02G19490.1 pep chromosome:AWHD00000000:2:17728178:17728695:-1 gene:ONIVA02G19490 transcript:ONIVA02G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVGARSTNMIAKRWWYYCKVRVSSKYNLFGRIGDQDRPSVQAKGRYASRHRRRKTVQSCYLERRDEEKTKTERGKKAQLASEDIKK >ONIVA02G19480.1 pep chromosome:AWHD00000000:2:17726969:17727376:1 gene:ONIVA02G19480 transcript:ONIVA02G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAEQAWYMPAAAPAPMVESAVARVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPRGRELERALARLVGYGGPAAASPPVVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >ONIVA02G19470.1 pep chromosome:AWHD00000000:2:17697756:17703310:1 gene:ONIVA02G19470 transcript:ONIVA02G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G51140) TAIR;Acc:AT5G51140] MAAGPAGIVWQTPANPPERQDYIFRDGRRYVRPYYFEFISHVKNRWAGKTIVDLFTDEFKGRPREYYVHAVKCGRLQVDDQMVHADYVVQSSQKISHFLHRHEPPVLGGDITILQNEADVVTVCKPASVPVHPCGQYRKNTVVGILQAEHGLVPLFRILCLNCAIIAAVHRLDRLVSGLLIFAKNADKAESFRQQIEASLLQKEYVAKVVGVFPDGEQTVNANVHFNAREGRSTAEVCDGDGKAPIGKQACTKFQRICTDGIHSIVLCKPVTGRTHQIRVHLKHIGYPIANDEVYLSENFSPRSSKGTRINRATTLACSLPSSDPDSCADLGNNDTNKDTEADEEFSIDPMCTNCPNLAPVGYDADEEALWLHCVRYTGPDWSYECPYPDWAFLDNVSRKKLKS >ONIVA02G19470.2 pep chromosome:AWHD00000000:2:17697756:17703310:1 gene:ONIVA02G19470 transcript:ONIVA02G19470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G51140) TAIR;Acc:AT5G51140] MAAGPAGIVWQTPANPPERQDYIFRDGRRYVRPYYFEFISHVKNRWAGKTIVDLFTDEFKGRPREYYVHAVKCGRLQVDDQMVHADYVVQSSQKISHFLHRHEPPVLGGDITILQNEADVVTVCKPASVPVHPCGQYRKNTVVAVHRLDRLVSGLLIFAKNADKAESFRQQIEASLLQKEYVAKVVGVFPDGEQTVNANVHFNAREGRSTAEVCDGDGKAPIGKQACTKFQRICTDGIHSIVLCKPVTGRTHQIRVHLKHIGYPIANDEVYLSENFSPRSSKGTRINRATTLACSLPSSDPDSCADLGNNDTNKDTEADEEFSIDPMCTNCPNLAPVGYDADEEALWLHCVRYTGPDWSYECPYPDWAFLDNVSRKKLKS >ONIVA02G19460.1 pep chromosome:AWHD00000000:2:17690448:17691149:-1 gene:ONIVA02G19460 transcript:ONIVA02G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAAQVAAADAAGGERRRATAPRGGGRWCTGADGAEARGEAASPETAARGSRSARGPWLVSAVKYTQSPEEEDAEETDDDEEAAAELEPTLAVGTAASVKKRYNRGQSFSSSSSMESDVLVIGARDRQAPHGGAIAEEPLASPAAGHPSASAPHPPAARRCLLARPLLATIPAAVLSSSPAARTHLCPAQLSSSVPHPPPPRHLQLPPRPPAARARLRTHPQRRPQLITLRQ >ONIVA02G19450.1 pep chromosome:AWHD00000000:2:17684378:17684878:-1 gene:ONIVA02G19450 transcript:ONIVA02G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGGGGERRNILAKTIDRCRSSLGHRTTRRPASAAAGGGYGGAAVPAGFFAVLVGPEKERFAVRARCANHPLFRALLDQAETEYGFAGCEGPLELPCDVDAFMDVMWEMEQADPAASPRCGARFGGPAAAGSGRGYGGHGHHHQHQGYQMMSTPARLLVAGRS >ONIVA02G19440.1 pep chromosome:AWHD00000000:2:17661425:17683066:1 gene:ONIVA02G19440 transcript:ONIVA02G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGDGRRRRPSAGGGGGGGGRGGGWRSGSSAAKDQRLRLGAEELLESRLGFAPYTDSERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFPPYFYAATKDKMELEVEAYLRRRYEGDIADIEIIEKEDLDLKNHLSGLKRKYLKIQFDTVQQLMRVRSDLLHVVEKNEEELNAAEAFESIYGVKSLCSDDLVHYDRVERPQDYINCIIDLREYDVPYHIRFAIDNDVRCGQWYDVSVSSSDVLLHRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDTVMMISYMIDGQGYLIINREVPDVLFSIIIYQISAYYVQIKVYKHSFPVFTTCCLALNKTDYLVLPPFHNCVGEDIEDLEYTPKPEFEGHFKVKNVANELDLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDNNQGECRAKFSCHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANIICPNKHQADLEKFHNNRLLESETYIGGHVECLETGVFRSDLPTKFQLEPSACEQLIANLDRDLQYAISVEGKLDIDSVTNYDEVKDAIKQKLVSLRDHPIREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRTLEWVWRGETYMAKKSDYHHIKRQIESEMIQAGGVTPSKPFLDLSKPEHLLKLKDRLKKYCQKAYKRVVDKPITEVRNAGICMRENSFYVDTVRSFRDRRYEYKGLNKSWKGKLAEAKANGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGKPLELDTDGIWCVLPGSFPENFTFKTNAGKKLTISYPCVMLNVDVARNNTNDQYQTLNDPVNKLYTTHSECSIEFEVDGPYKAMILPASKEEGVLLKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDRFLHGSTLEECYTAVASVANRWLDLLDNQGIDISDNELLDFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQISNPVPRVLHPDWLHKKVREKDDRFRQRKLRDMFNPLEKDRRVQNLDGTGDMEDLLTLDGGMRKSNVPNGFGKENKPNDAPSTEAGSKHSKNKQKSITRLNEPLAVHIQNDAADEQVDRSTDYQGWLDAKKRKWKYVREQKKRQRLGAAVTSDGPTNDLFSARNVVIYSFTLMPDKLIYCPHQIIQLASSTLPGHFFAWVVAEGIMFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRPCFNLIEVVTSEEQFRVEGKKLAAHLAEPDVEGIYETKILSELNAILQIGCVCKVDKSAKRRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRGVYVMYFPTSFRIHAVVVNPFRNKELSPSFLEKQYRDACQALGSLHENITFLVDYHTSIDAGSKHVQRMLLEYRQQHPGPVIGVIECPKLQALKSAVRALDDFPCVTIPCNARDNNYQALGWQATAGRTSMQRCAASTQWFSERISLARYAHVPLGNFELDWLLFTADVFFSRALRDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPALTYPGAYRRVAVELKIHHLAVNSLLKSSQVDEMEGGPIGSFANDMPAGPNGTETEFDDATLCLPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCSPRSKLHDPALHRLLHNVMKKVFALMLAEFRKLGANVIFANFSKIIIDTGKVDLSSARAYCDSLLKTLQTRDIFEWVELEPLHYWHSLLFMDQYNYGGIQAKMQNATSEGSSDGDNDIDIVSSWNIAEYLPKATQDHFVLIVSEFLYVPWKYMKEQVALRSTTRDETSCTPSITIMAAENLEVQVIGYLRDQISNYFADKLLRIVSDILHHFKGKGKSESVELTNREPDPHTHKGDAALEFIKHICAVLALDQNVQHDILRMRKNLLKLVRVKEFAPEAQFQDPCASFTLPNVICSYCNDCRDLDLCRDSTLQGQEWRCAVPQCGQPYHREQMENALLQVVRQRERLYHLQDLVCLRCRQVKAAHLSEQCSCGGAFRCKEESSQFLSKMRVFLNVAVSQKFELLQDVVQWILEVR >ONIVA02G19430.1 pep chromosome:AWHD00000000:2:17651708:17659586:-1 gene:ONIVA02G19430 transcript:ONIVA02G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAGTDDPPPFAASGHYSLRPRDRRAPPSTNPRKRPRISIENDDIWQNFAMEYRAKFVVWRLAFQVFTDKSLAINCDTGVDDQLNLMITKYHRKGGKIAVGKPEYKTIIEANLGISCLYDEFVMEVMWGLTNLMHSLVPEENSQLSKEDRLQMSQGLKMLLNRYGFDVKPGMVNKRILEAASDLYDCDDCEKKNNWSLRRAGRNLMDISSINSEDWGLLKLSTALMIVCYPEEKIIACLLKHSTDLLIASYPRREVIECSQKMFSPDVLSKLVTDAPKYGIWIKKRTSKRIHEEMVFLYQQRIEKRKLLATLIGEATKPFTVYGPHTHGPLGIRTPQAPTIHQAFTHCVHWARDVYLARL >ONIVA02G19420.1 pep chromosome:AWHD00000000:2:17643625:17644673:1 gene:ONIVA02G19420 transcript:ONIVA02G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAAAFVFVSLALLCDASQGEGAAAAAACRAADLVVRQRATGRVVEGKPEYAVEVANRCRCAQSRVVLRCYGLSSVESVDPRAIRPVDDERCVLRGGRAIRRGAPPVRFTYAWMTPFDFPLVSSQVHC >ONIVA02G19410.1 pep chromosome:AWHD00000000:2:17623871:17629276:-1 gene:ONIVA02G19410 transcript:ONIVA02G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSASDRHRDKEKEKERRETHRREEKDHHGSGRRDRDRDRESYREKDKDDRREKEKEKEKERDSERGRGRDRDRGKDRDRGEAERDKERERKDRDKEKSRGRDKSKEEREDKDYRDKSRGKDRGDDGGDLSKGEEGDQKKRVEAAEEAEKPSTTELRERIARSKEERLNDTKQGGILDDNGGDGEILSWVGKSRKLDEKRQAEKEKALHRARALEEQDNILAENDEDDDEEQQEDNRVGEHLSGVKVLHGLDKVLEGGAVVMTLKDQSILADGDINQEADMLENIEIGEQKQRNEAYKAAKKKGTYDDKFNDDPLSKKSILSQYDDPMEDEGVTLDEGGRFSGEAEKKLEELRKRIEGGFVQKKTEDLTSAAKMSSDYYTPDEMLQFKKPKKKKSLRKKEKLDLDALESEAIAAGLGTGDLGSRKDARRQAAREEEQKADAEKRNYAYQAAIAKAEEASKALRPEKNISGKHAESEELVFGEDYEDLQKSLEQARKLALRKQEETAASGPLAVAELATARIGQKDADAAEGDAQQNKVVITEMEEFVWGLQLNEETRKPEAEDVFMDEDDDMIMSDTVAKDDTSGLAVVKEETSVEVPVKNEEEEELKPDEIVHEAAVGKGLAGALKFLKERGTLNEGTDWGGRTTDKKKSKLAGIEDGPKDIRIERIDEFGRVMTPKEAFRDLSHKFHGKAPGKMKQERRQKKYRDELKTKRMISSDTPLMSAEKMREAQALSKTPYLVLSGNAKSGQTSDASGFATVEKEHPGSLTPMLGAKKVEHFLGIKRSAQGGGLPPPPPKKPKN >ONIVA02G19410.2 pep chromosome:AWHD00000000:2:17623536:17628889:-1 gene:ONIVA02G19410 transcript:ONIVA02G19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSASDRHRDKEKEKERRETHRREEKDHHGSGRRDRDRDRESYREKDKDDRREKEKEKEKERDSERGRGRDRDRGKDRDRGEAERDKERERKDRDKEKSRGRDKSKEEREDKDYRDKSRGKDRGDDGGDLSKGEEGDQKKRVEAAEEAEKPSTTELRERIARSKEERLNDTKQGGILDDNGGDGEILSWVGKSRKLDEKRQAEKEKALHRARALEEQDNILAENDEDDDEEQQEDNRVGEHLSGVKVLHGLDKVLEGGAVVMTLKDQSILADGDINQEADMLENIEIGEQKQRNEAYKAAKKKGTYDDKFNDDPLSKKSILSQYDDPMEDEGVTLDEGGRFSGEAEKKLEELRKRIEGGFVQKKTEDLTSAAKMSSDYYTPDEMLQFKKPKKKKSLRKKEKLDLDALESEAIAAGLGTGDLGSRKDARRQAAREEEQKADAEKRNYAYQAAIAKAEEASKALRPEKNISGKHAESEELVFGEDYEDLQKSLEQARKLALRKQEETAASGPLAVAELATARIGQKDADAAEGDAQQNKVVITEMEEFVWGLQLNEETRKPEAEDVFMDEDDDMIMSDTVAKDDTSGLAVVKEETSVEVPVKNEEEEELKPDEIVHEAAVGKGLAGALKFLKERGTLNEGTDWGGRTTDKKKSKLAGIEDGPKDIRIERIDEFGRVMTPKEAFRDLSHKFHGKAPGKMKQERRQKKYRDELKTKRMISSDTPLMSAEKMREAQALSKTPYLVLSGNAKSGGERAPWESDAHAWGQKGRALSGHQTKRPGWRIASTTSKEAKELNLQWTWLSRNQLDIQVTIAEC >ONIVA02G19410.3 pep chromosome:AWHD00000000:2:17623873:17628889:-1 gene:ONIVA02G19410 transcript:ONIVA02G19410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSASDRHRDKEKEKERRETHRREEKDHHGSGRRDRDRDRESYREKDKDDRREKEKEKEKERDSERGRGRDRDRGKDRDRGEAERDKERERKDRDKEKSRGRDKSKEEREDKDYRDKSRGKDRGDDGGDLSKGEEGDQKKRVEAAEEAEKPSTTELRERIARSKEERLNDTKQGGILDDNGGDGEILSWVGKSRKLDEKRQAEKEKALHRARALEEQDNILAENDEDDDEEQQEDNRVGEHLSGVKVLHGLDKVLEGGAVVMTLKDQSILADGDINQEADMLENIEIGEQKQRNEAYKAAKKKGTYDDKFNDDPLSKKSILSQYDDPMEDEGVTLDEGGRFSGEAEKKLEELRKRIEGGFVQKKTEDLTSAAKMSSDYYTPDEMLQFKKPKKKKSLRKKEKLDLDALESEAIAAGLGTGDLGSRKDARRQAAREEEQKADAEKRNYAYQAAIAKAEEASKALRPEKNISGKHAESEELVFGEDYEDLQKSLEQARKLALRKQEETAASGPLAVAELATARIGQKDADAAEGDAQQNKVVITEMEEFVWGLQLNEETRKPEAEDVFMDEDDDMIMSDTVAKDDTSGLAVVKEETSVEVPVKNEEEEELKPDEIVHEAAVGKGLAGALKFLKERGTLNEGTDWGGRTTDKKKSKLAGIEDGPKDIRIERIDEFGRVMTPKEAFRDLSHKFHGKAPGKMKQERRQKKYRDELKTKRMISSDTPLMSAEKMREAQALSKTPYLVLSGNAKSGQTSDASGFATVEKEHPGSLTPMLGAKKVEHFLGIKRSAQGGGLPPPPPKKPKN >ONIVA02G19400.1 pep chromosome:AWHD00000000:2:17618005:17621921:1 gene:ONIVA02G19400 transcript:ONIVA02G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFVIYGELAYQYAAQGACLALVARRKKALEGVAAAALERGSPDVLVLPADVSDADQSRRAVEETVAHFGKLNHLVANAGIWSSCSFDEVTNITAFTKMMDVNFWGSVYPTYYALPHLKASKGKLVVSCSAAGTVATSRMSFYNATKAAQLRFYETLRAELGSEVGITVLTPGYVESEITKGKGIQSGGDVAVNEEARDEQIGVFPVGRVAELGEVAMDGIRAGDWYVTWPSLFRPLQLVACLAPGVLDWACRALYGTRKGARPPLGKRIMEATGMKRLFPEALRRNPAIKTEDEEYCDGEEGYGAADDAAAAYLLQCRKGL >ONIVA02G19390.1 pep chromosome:AWHD00000000:2:17585897:17617807:1 gene:ONIVA02G19390 transcript:ONIVA02G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVYLAIHSVLMHVVAALVVLVYIPLSVPVRLFLWAFVKPLRREQLRGKVVLITGASSGIGEELAYQYASKGASLALVARRKQALKSVAAAARERGAPDVLVLHADVTDAGQSRRAVEETIAHYGKLNHLVANAGIWSTCPFDEITNITAFTTIMISCTGYFEACNNKSKGIVYMNFKDVNFWGAVYPTYYALPHLKASRGKLVVCSSAAGTVATSRMSFYNASKAAVLRFYETLRAELGSEVGVTILTHGYVESEMTMGKAVQKDGVLVVDEEARDNTGSPSPNLSLSLEQKGEMDLYLMFHSVLMHVAAALVVLVYIPLSMPVKLFLWAFVKPLRKESLRGKVVLITGASSGIGEARDCLLEVEESWACSGRRTGADGGRWTAPSRQRPSGGGCGRGARGRAADGGVAATRTGAGDEVPLRVPLRASRGEVDSVDEAERRAATDRWTRRARRTAAKKREAADRRTRQGRRAAAERRTRRARRAAVERQTRMPLRPARSPLLLPPGPPPHPHPSRDSLRARWLVDAVDLATGRAERYVERHLVAGSRRPPPAPVRRARSCLRSAVAATPPSAARPRAPRPQPPPLGLRHDAVVRRPPPCAAARRSLLRVSHRRR >ONIVA02G19390.2 pep chromosome:AWHD00000000:2:17585897:17617807:1 gene:ONIVA02G19390 transcript:ONIVA02G19390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVYLAIHSVLMHVVAALVVLVYIPLSVPVRLFLWAFVKPLRREQLRGKVVLITGASSGIGEARDCLLEVEESWACSGRRTGADGGRWTAPSRQRPSGGGCGRGARGRAADGGVAATRTGAGDEVPLRVPLRASRGEVDSVDEAERRAATDRWTRRARRTAAKKREAADRRTRQGRRAAAERRTRRARRAAVERQTRMPLRPARSPLLLPPGPPPHPHPSRDSLRARWLVDAVDLATGRAERYVERHLVAGSRRPPPAPVRRARSCLRSAVAATPPSAARPRAPRPQPPPLGLRHDAVVRRPPPCAAARRSLLRVSHRRR >ONIVA02G19380.1 pep chromosome:AWHD00000000:2:17539348:17541375:1 gene:ONIVA02G19380 transcript:ONIVA02G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISFLLHIILNQSSDPFNTIQQLMGICPNLQFDEAQWIIRIKRILDEEIEVHDSQPISIFDVPKPLLCTKPEAYTPQLVALGPYHHCGEELRDMEMYKLSAARRAQRHLPGMSFQQLVAVFATLEFEIRAYYHSQDSSQRAALQRIPSRMSHLVDPSRRTSSHTMVLHDVVMLENQIPLFLLLKATEMRGSSRATAESVLSSVLSGFFQEVSSLVATGSPCTDTTRHAHLLDFLYSNMAPCYVEGLDLDDATEQADDDDDDDQSKHHMKSTLRSLTDLLIKRVTKFLSVLVDLGVRIILKLLTRIPCLSMIAQQLNSQPTQGQQPNKDFQNNKSCVSPLLEEIAVPCVAELAYSGVRFVPANGGISTIEFCAEAATLRLPVIRVDVNSEVVLRNMVAFEASTGRRALVLARYVELMNGIIDTDEDARLLRESGVILNHLKSDREVAELWNGMTRSVRLTRVPALDRVIDDLNRHHDSCWKVRINRFLKARVLGSRELVACITMALLILFMSLQAFCIARTKGAD >ONIVA02G19370.1 pep chromosome:AWHD00000000:2:17533488:17537700:-1 gene:ONIVA02G19370 transcript:ONIVA02G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPRPLAARRWRCRLLSLALSPRDSGSAISFPGRALSPQALSPFPSPDSLGGVAGTGSSPLRPGDLTICYHAFYSSPACLLALIPYLLFYSSAEAISRHNLHLCPSPIAYYDGPLDAMAACVVHAGGSDGGIQAHIKACATLGAICSSVVTAHNTIIVQLIFYETNLRVFTAQYRQIIVPILRSFSLLSGGSSIILRIILPYGVSVTLKPWLPERIKATVTVGVPNME >ONIVA02G19370.2 pep chromosome:AWHD00000000:2:17533488:17536730:-1 gene:ONIVA02G19370 transcript:ONIVA02G19370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVVHAGGSDGGIQAHIKACATLGAICSSVVTAHNTIIVQLIFYETNLRVFTAQYRQIIVPILRSFSLLSGGSSIILRIILPYGVSVTLKPWLPERIKATVTVGVPNME >ONIVA02G19370.3 pep chromosome:AWHD00000000:2:17533488:17537700:-1 gene:ONIVA02G19370 transcript:ONIVA02G19370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPRPLAARRWRCRLLSLALSPRDSGSAISFPGRALSPQALSPFPSPDRSCRDWFVTSATWRPDDLLPRILLLPSLNITVQHLCPSPIAYYDGPLDAMAACVVHAGGSDGGIQAHIKACATLGAICSSVVTAHNTIIVQGVSVTLKPWLPERIKATVTVGVPNME >ONIVA02G19370.4 pep chromosome:AWHD00000000:2:17533488:17537704:-1 gene:ONIVA02G19370 transcript:ONIVA02G19370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAAASPSRCKTMAGVAGTGSSPLRPGDLTICYHAFYSSPAYYDGPLDAMAACVVHAGGSDGGIQAHIKACATLGAICSSVVTAHNTIIVQGVSVTLKPWLPERIKATVTVGVPNME >ONIVA02G19360.1 pep chromosome:AWHD00000000:2:17513268:17513966:-1 gene:ONIVA02G19360 transcript:ONIVA02G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLATSSTLALFLLVAVSIADAATFAITNRCQYTVWPAAVPSGGGTKLDPGQTWTINVPAGTTGGRVWARTGCGFDGSGNGQCQTGDCGGKLRCTAYGAAPNTLAEFALNQWNNLDFFDISLIDGFNVPMAFLPAGSGAGCPKGGPRCATAITPQCPSELRAPGGCNNACTVFRQDRYCCTGSAANSCGPTNYSEFFKRLCPDAYSYPKDDASSTYTCPAGTNYQVVFCP >ONIVA02G19350.1 pep chromosome:AWHD00000000:2:17500896:17502179:1 gene:ONIVA02G19350 transcript:ONIVA02G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLKERPAGRLGRLLAALRPARAGPLPVQTGFPTSLADLVVKNHGRLKKPSASASRRKKRGGPEAPPSPSPSPSPSPPPQPSSPPPPPPSPPPAAAVSVSPPTQPRPRPELPPVETVLRRQPKGRVFGLGLGFVSLVGVVSLALLVIWSKKVVAAVTVASFSLFLLESVRSSALSRRPRRPAANNKLDLDGRGYVSPIREVEPARASFSDSSRRSEFSILTIEERSEVGDDSIVAIEERIAAGGDDSSNAKVKTKKRSWRKLIPRKLQKGMKGKEAEDSSGSFRSSEGNRGDATATDSSDSRRGMRTKAADAFVARSMDSSPSFRGNGGDTDADADSNATRVEIDAPADVLAGDGDAVGGTRSSVALLVVAVVLVGLVAGKLPAVVFTVLCGVFISSVQRLPAGGDGNGDRSFTWWFRIKPKDVN >ONIVA02G19340.1 pep chromosome:AWHD00000000:2:17483408:17487706:1 gene:ONIVA02G19340 transcript:ONIVA02G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0G719] MREILHIQGGQCGNQIGAKFWEVICGEHGVDPTGTYTGTSPQQLERINVYFNEASGGRHVPRAVLMDLEPGTMDSLRSGPIGGIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGRMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPVGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDDYDEADDAAAADEA >ONIVA02G19330.1 pep chromosome:AWHD00000000:2:17479751:17480245:-1 gene:ONIVA02G19330 transcript:ONIVA02G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPPIAAPGIEFTAPPPGHDHTQDCSLTYAQWKEVDASTRHRLSLDARAALGYTAQRIFARFMAITKLTLHYAQGFGTDSLSDDGARHVVAALPSQWLTRLKLRGLRQLFDDGFVSLAGATPVIRKLSVASISFGPKAFVTVLRSCPLLEDLSVKRLRGLL >ONIVA02G19320.1 pep chromosome:AWHD00000000:2:17449245:17450564:-1 gene:ONIVA02G19320 transcript:ONIVA02G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGALGYMARAADGGRAAELVTRDFLGGCVAADDARDAAAAAAATARHDSVPGKLSLQKQTCPATPRDLNLFPVPGAAASAAKPCPSSTAAAASGAGGTTTTTTTTTTYHSVCTIEKVKTALERFERGKHQHHQHQQHSAGASPSSSSVTTSSVKRRGGGGVGDGAVEQGDGCDSPSAAGGGGMVAAACPRCFLYVLISRSDPRCPRCESHVPPPPSPAPKKKPRIDLNVGFLGT >ONIVA02G19310.1 pep chromosome:AWHD00000000:2:17424811:17439974:1 gene:ONIVA02G19310 transcript:ONIVA02G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRKSSSSGGVSPAGASPRHGGDDDDECNVFPRGYVPIVAGSGDGERVLVPVSLLGDACVAELLDMAAQQYGYGQPGVLRVPCDGERLRRVVEGALRKGGGQSKSGGGGASPSRANGGEDDQVPRGHVPMVAGCGGGDGDGGERVMVPVRLLGDPCIAELLDMAAQQYGYGQPGVLRVPCDAGHFRRVVERALRKDGGRAKLGAETLICTRLPTTLEEDLSGGGSGGASPCRENGEDEVPRGHVPMVAGGGGDCGDGGGERVMVPVRLLGDPSIAELLDMAAQQYGFGQPGVLRVPCDAGHFRRVVECALRRGAAGGQTA >ONIVA02G19300.1 pep chromosome:AWHD00000000:2:17400827:17401384:1 gene:ONIVA02G19300 transcript:ONIVA02G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHHVRPDGELRLLLLPATAPTTPRDDDGAAATLFPPAQPPRDHPQLDLSLSIRIGPPPPPPSHTRTATATAQAQAGQQKKASAAAGFDDGDGDDVRALKQQAAEQARLASAERAYAERVMELARRELEVAEREFARARAIWERARGEVHKVERMKEVAAARRIGAAALEITCHACMQRFHS >ONIVA02G19290.1 pep chromosome:AWHD00000000:2:17364704:17365015:-1 gene:ONIVA02G19290 transcript:ONIVA02G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGSGLPVPEPPQLRQGIRPEPAQVRQPESPSDQRLQRQSTRPLPPHVAHRGRASHLDAAGSCRPSPPPPMVRFAMATPASIPSPADAITIGPTIVLVSTN >ONIVA02G19280.1 pep chromosome:AWHD00000000:2:17364591:17365088:1 gene:ONIVA02G19280 transcript:ONIVA02G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chaperone protein dnaJ-related [Source:Projected from Arabidopsis thaliana (AT5G43260) TAIR;Acc:AT5G43260] MVGPIVIASAGLGMLAGVAMANRTMGGGGDGRQLPAASRWDARPRCATCGGSGRVDCLCNRWSDGDSGCRTCAGSGRMPCRSCGGSGTGRPLPARLIARGHHHHHNPPPSSAPGRGGDYS >ONIVA02G19270.1 pep chromosome:AWHD00000000:2:17344470:17355511:1 gene:ONIVA02G19270 transcript:ONIVA02G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05350) TAIR;Acc:AT1G05350] MDEEQLRALLRDLDALKQRPDPAAIDRMRERVAGMVTPAAAARSKIKDMSSEVVDSNPYSRLMALQRMGIVDNYERIRDYSIAIVRAGGCPHHRLSSLLVADRRRPSSWPPAAVGTRPLLVAGLWNPSLLVAGLRPPPLVAGLRPPSPLVVGLRPSSTLVAGASAWVVGRRRLGRSGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPDQVGMTKTDAAVQTLSGINPDVTLESYSLNITTVKGFETFLGSLKARSSDGRNTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDYFPTMEMKPNPQCSNPACVQRQSKPARDAAAKAKMEAEASAADECPVHLDNDWNISVVDDSDTVTPSILSTGADSLPEGLVRELPTADSYQEPVAPVTSGAIDDDLEELQRQLDALNSS >ONIVA02G19270.2 pep chromosome:AWHD00000000:2:17344470:17355511:1 gene:ONIVA02G19270 transcript:ONIVA02G19270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05350) TAIR;Acc:AT1G05350] MDEEQLRALLRDLDALKQRPDPAAIDRMRERVAGMVTPAAAARSKIKDMSSEVVDSNPYSRLMALQRMGIVDNYERIRDYSIAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPDQVGMTKTDAAVQTLSGINPDVTLESYSLNITTVKGFETFLGSLKARSSDGRNTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDYFPTMEMKPNPQCSNPACVQRQSKPARDAAAKAKMEAEASAADECPVHLDNDWNISVVDDSDTVTPSILSTGADSLPEGLVRELPTADSYQEPVAPVTSGAIDDDLEELQRQLDALNSS >ONIVA02G19270.3 pep chromosome:AWHD00000000:2:17344470:17355511:1 gene:ONIVA02G19270 transcript:ONIVA02G19270.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05350) TAIR;Acc:AT1G05350] MDEEQLRALLRDLDALKQRPDPAAIDRDMSSEVVDSNPYSRLMALQRMGIVDNYERIRDYSIAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPDQVGMTKTDAAVQTLSGINPDVTLESYSLNITTVKGFETFLGSLKARSSDGRNTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNSLKDYFPTMEMKPNPQCSNPACVQRQSKPARDAAAKAKMEAEASAADECPVHLDNDWNISVVDDSDTVTPSILSTGADSLPEGLVRELPTADSYQEPVAPVTSGAIDDDLEELQRQLDALNSS >ONIVA02G19260.1 pep chromosome:AWHD00000000:2:17331179:17332614:-1 gene:ONIVA02G19260 transcript:ONIVA02G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGAGACALSWDEEGEVSRCEKRRRADGDGSSDVGGDGGMGAFDALHDELVVSILADVAASAGSPADLAAAMLTCRRFRELGKHGLVLARASPSAVAVRAAAWCDDAHRFLVRCAEAGNVEASYLLGMIMFYCFENRKLGAELLGAAARRGHGEALYSMAIIQFNGSGLPKDGRNLQAGAQLCARAASRGHNDALRELGHCVSDGYGVRRSLSGGRRLLIQANFRELCAAVANGGARFAAALGRSGECKPLGPHMCLLSDYGCHVAGAAGRRAHAANAFLAGWYASRPLASGAGAAALRMCSQPTCGRPETRKHEFRRCSVCSGVIYCSRACQALHWKVAHKSACVPMAHWLVAANAGAGNAVGAAAAAAAQMAMP >ONIVA02G19250.1 pep chromosome:AWHD00000000:2:17322850:17323563:-1 gene:ONIVA02G19250 transcript:ONIVA02G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPSPSAPSRPGAGVAMTAAGWRDCGDGAVVGLSAATAVGLSTAPVTGDGVAISGRPASRSGRGDRRWARLTPAPLGRRRVAGDGHLRCGFVGDDGIGSVVAPPASSALGGARSSTGCRVDLAGGNRPAVVFAGDRDGASISLPPVPPDPVLPFFSGCSFGAHRRCGARSPLLRCSSLLRLGCFQSMPGAPWFGRGDVWSWFPASCLAGRRPRRRFAIARFLRVRWSLVELQCD >ONIVA02G19240.1 pep chromosome:AWHD00000000:2:17322471:17322803:-1 gene:ONIVA02G19240 transcript:ONIVA02G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNRHLGNATRVRKWSILREPRVKTLPSAILGFAQKVSAPLEDVWWFWRPRLHYVVEVACLW >ONIVA02G19230.1 pep chromosome:AWHD00000000:2:17299449:17307855:1 gene:ONIVA02G19230 transcript:ONIVA02G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGAVVVDRDGELVVATKIRKRCALSSSGASDPLRKLRLKKRGVVVLGRRGVGGGGGVVLSPWSSRKMSESSWNGRRCHGGAAAAAADDGTRSAASARKLVGALRQLSSPDDEDAARRSSAHRRCVSVEFSKRSRTRSKASEADGQRSWHNGHGHWFPDMLSNGSTMEVHSWRSQDCASPCRGGETMAPHLKEVCSSLAASKELVKALAGIWGPGDGALNPSTASSLLSALRAELDLARAHARRLAKEDRRRGDEAARARARLAEDAREWGRRQREKAAAAVRVAAAELDGERRSRRRAERVNAKLGRALADAERELAASRRELERERQSRERLEKVCDELVRGGLVAAAGGGRGGEEEVEEMRREAERAQEELEKEREMLRLADELREERVQMKLLEARLQFEEKNAVVEQLRDELEAFLGSKKDRQQQEEPPPPDADDHHRRRPDGHQFQSILVAVNKNGDHEDDNDGDEEDDGGGRGECVAEDSDGSEMHSIELNVDGNSKDYSWSYTTASKDMTTTARSKNAAAIDRRSQEGAGEEDRWDDGGCSERSKDLDEEDAERYEAIKNLREQMLAGHGFVLVSQEWGQC >ONIVA02G19220.1 pep chromosome:AWHD00000000:2:17283457:17284110:-1 gene:ONIVA02G19220 transcript:ONIVA02G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASRFLKGLLSAIVAAVKARSAAVRAKTSAVRTRLIVLGILRNKKLLLSAINRKIHAIVSSGGGGGSSHGEYGGGGESYGGEQQQHLSGIHLVGGGGYRKAAVLHSLPSFVVEQERSAVVLLSSLPSFAMDRDVYGGGGEAEAEEEQEVGGKQQQQSVIELARGAAAAEAGGGAEFRLEDEIDHVADVFIRRFHEQMKLQKLESFKRLCEMLDRS >ONIVA02G19210.1 pep chromosome:AWHD00000000:2:17277132:17279201:1 gene:ONIVA02G19210 transcript:ONIVA02G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPPPPPPESPVAAAAGGGDKVLAAAQHIVKSLATSKNAADDMIRILSGFDNRLSQITSDLFPSPDLAADSDERGNPLWGQISAAAFDAAEQLIQVWDGTPEALVFEATEDEVAEYLSAVDVAIEHLARGSGGGAGGAGSSSSSTAGRAGVAVQLAMARLEEELRHLMVRHAVPLDPTGLFFSLRRLSLGSMDDLDTSSEFDAATPHSIDVAPETARGGPLVNPFEDQVFDPVRPEAVDDLRALADRMARAGYSRELADAYCGIRRDLLDEYLSALGVERLSIDEVQRIEWKHLNDKMKKWVQAVKTVVRVLLAGERRLCDQVLSVSDELREECFIESTKGCIMQILSFGDAVAVCPRSPEKLSRILDMYEALAEVIPEMKDLCLGSSGDGVISDVQANLDRLGDAIRGTLFEFGKVLQLESSRRAMTAGEIHPMTRYVMNYLRLLVVYSDTLDALLDDDADDQIDLARAEDQDQEHLESMTPLGKRLLKLISYLEANLEEKSKLYEDSALECIFSMNNLLYIVQKVRDSELGKILGDHWVKRRNGKIRQYSKSYLRISWMKVLSFLKDDGHGSGSGSSSGSGSGHSSSRMSIKEKFKNFNLAFEEIYRNQTTWKVPDPQLREELKISISENVIPAYRAFLGRYGSQVDGGRNSGKYIKYTPEDLESQLSDLFEGAPGPANHSRRRT >ONIVA02G19200.1 pep chromosome:AWHD00000000:2:17260501:17262453:-1 gene:ONIVA02G19200 transcript:ONIVA02G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGGKGFYLNSKETSDPSRKNHSKVSQYRMAFDTPRITKTETSKLKNLISASFKPLSLTIPIGDGFHELFPAQLCAGMQLKAPTRAKYSQGFMPIGESDAYCALIPGLPEDLAKICLALVPRSQFPVMGSVSKRWMSFLESKEFIAVRKEVGKLEEWVYVLTADAGSKGSHWEVLGCSGQKHSPLPPMPGPTKAGFGVVVLDGKLFVIAGYAADHGKECVSDEVYRYDSCLNRWVELSKMNVARCDFACAEVNGMIYVAGGFGPNGDSLSSVEVYDAEQNKWTLIESLRRPRWGCFACSFEGKLYVMGGRSRFTIGNTRFVDVYNPNDNAWGEVKNGCVMVTAHAVLDKKLFCIEWKNQRSLAVFNPADNSWQKVPVPLTGSSSTRFCFGIHDGKLLLFSLDEEPCYKTLMYDPAAPTGSEWCTSELKPPGLCLCSVTIRA >ONIVA02G19190.1 pep chromosome:AWHD00000000:2:17253777:17259008:-1 gene:ONIVA02G19190 transcript:ONIVA02G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGCLPPRPPCSMTRRTSGGGASVSPVVAMASTAGVGGIGNPTPRGKKPFAPWREVPPQVTHTLPPEKKEVFDSLEGWAADTILPYLKPVEESWQPQDHLPDPRSPSFGDEVAALRERAAGLPDDHLVCLVGDMVTEEALPTYQTMLNTMDGGVRDETGAGGSAWAVWTRAWAAEENRHGDLMNKYLYLTGRDPRTENDPYMGFIYTTFQERATSISHGNTARHAGRHGDAALASVCGTVAADEKRHEAAYAAIVAKLFEVDPDYTVRAFARMMRRKVAMPARLMYDGADDRLFARFAAVAQRLGVYTAADYAGIIEFLVARWGVPGLAAGLSGEGRRAQDFVCSLGPRFRRMEERAQEAAKRAPPAAAAPFSWIHGRQVQL >ONIVA02G19180.1 pep chromosome:AWHD00000000:2:17249843:17253986:1 gene:ONIVA02G19180 transcript:ONIVA02G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHCQRQDLLLPPLLLLMSVFVYIPLAGAAEWSRNVPSLIDFKHQQFSPTGSVFGSNLKWMTSQGGVPTFGNWSAAGDTPYTQKFENLRRSKKTATGVYSNPNEVITETPDQPPPPPLRSPLHPSSHDALNQRQRYRSAGMQTPDRKASSSDGRVPVTPGRSRLKQGGRGFEPALDEVTVPPFGDWDDANAASGEKYTGIFNRVRRDKLTPNSSVKQQPPSSPSGGRRQEHKVQQACSCCIL >ONIVA02G19170.1 pep chromosome:AWHD00000000:2:17232136:17238202:1 gene:ONIVA02G19170 transcript:ONIVA02G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSLRCGDCGVLLRSVEEAQAHAEATNHANFSESTEAVLNLVCAACGKPCRSQTEVDLHTKRTGHTEFTDKTMEAAKPIDLEAAPPKPAGEAMDVDASASAEPQGEVVVVEMIERVMICGRLWVAKSVESWWLFASGRDGGAGGNSTIEGAINWLSEHQEDPDIDEPLLVPANTITEANKPSLSPEEMKIKAQELRERARKKKEEEERRMEREREKERIRIGKELLEAKRIEEDNERKRMIELRRLEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEDPAAPKPSAPPPVEEKKSALPVRPATKAERMRDCLRNLKQQNKDDDAKVKRAFQTLLTYIGNVAKNPDEEKFRKIRLSNATFQERVGNLHGGIEFLQLCGFEKLEGNEFLFLARDKVDKAVLNTAGAELNSAITNPFFGVL >ONIVA02G19160.1 pep chromosome:AWHD00000000:2:17229788:17230840:1 gene:ONIVA02G19160 transcript:ONIVA02G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGGALLGLALVMPLASATHACAAQPTVRGRRQWRSATMRGWRDSRRGAHASSSGGASSESGRWASNLGLAAGPSDGAVAVGALNGVREDDGYGTLFESSGVCLELLKKRGVMSWALGRES >ONIVA02G19150.1 pep chromosome:AWHD00000000:2:17212246:17217194:1 gene:ONIVA02G19150 transcript:ONIVA02G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAVFAGKAIATSVITYVINKAFDYLKDNKEAGGLKPTRERLEKLLPQIKVVLDAVDTEHIGDQSDALDAWLWQLRDAVELAEDALDELEYYKLEREAKKIQAGSKVSGSLHQYKGKIVQRFNHTFNTGSLKRLKNAVKALADVASGVERFIQVLNQFGNKVNFKQEVEFKNLRETSSLPHSLVLGREEESNIVVQWLTKRENSASEQIVGNIPIFCIVGLGGIGKTTLAQVICNDNKVKDYFDLFVWVCVSHIFDVETLTRKILQGVTRTEIGMIGLDALHKALQEKLSSRTFLLVLDDVWNDESLRGWETLVSPLRYGKTGSKILLTTRMESVANLAARVMQGECQSLSLSGLKETELLLLLERHAFFGVNPDDYRNLQHISKKMVSKLSGSPLAAKVLGGLLNNKRDSNTWNRILASSVHNIQQGKEGIMTVLRLSYQHLPTHLQSCFRYCSLFHKDYEFTKKELVYLWMGSGLIQQSVDGMTPEDVGMGYLDALTRKSFFEIKSRPRSSRDIKCRLFEEYYEERFVVHDLLHELARSASVNECARVSISSEKIPNTIRHLCLDVISLTVVEQISQSKKLRTLIMHFQEQDQAEQEHMLKKVLAVTKSLRVLSLTANYPFKLPDAVGDLVHLRYLSLSLMWGEGNTTHSCWFPQVVYNLYHLQTMKFNNPRPAVPMEGQMEGMCKLVNLRHLHLTLVIRPMIPFIGKLTSLHELYGFSIQQKVGYTIVELKNLRDIRHLYVSGLENVCNIEEAAEIMLDQKEHLSAVTLVWAPGSSDSCDPSKADAILDKLQPHSNTSKLQLEGYPGSRPPFWLQDLILINLTYIYLRDCQSMQCLPYLGHLPSLQYLYIVNMKSVECVDSSFYGSGEKPSGLQSLKVLEIENMPVCTEWVGLEGENLFPRLETLAVRDCQELRRLLTLPTSIRQIEIDHAGLQAMPTFFVSSDGSSSSMFNLSLSKLMISNCPYITTLWHGCSLYALEELSIQQCASLSCLPEDSFSSCSSLKTLEIVKCPNLIARQIMLPHTMRTITFGLCANAELALLDSLTGLKYLKRIFLDGCAMSKLPLQLFAGLIGLTHMVLNACSIAHLPTVEAFARLINLEYLFIWDCKELVSLIGIQGLASLMSLTIASCDKLVEDSSILSPEDADSSGLSLNLSELDIDHPSILLREPLRSVTTIKRLQISGGPNLALLPEEYLLHNCHALEELVLTNASHLQCLPQAVTTLTSLQSMHINNAVKIQTLPDMPASLTSLHIYGCSSELKKRCQKHVGHDWVKIAHISDADIR >ONIVA02G19140.1 pep chromosome:AWHD00000000:2:17211496:17211762:1 gene:ONIVA02G19140 transcript:ONIVA02G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTLIDCSRSPVARSDGPHGACFSSPQTYQVFGEMPERASCRSLTTTPARRRPSGVARARPDQPSHRRHMVNLPCRITCAATPVWYG >ONIVA02G19130.1 pep chromosome:AWHD00000000:2:17206730:17211188:1 gene:ONIVA02G19130 transcript:ONIVA02G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPHKRHSNASTPAPTPAPPPPSLSSSLRSLSLSSSPRGRHHRGATTRPSSKIVHAAGCVSRWSTLPPFPDDDGDDDGSLRLEPFPCDPIERRTGAKPLALVSSSAAEASSPCSAAAAASTVTERFLPDLLAAAGRAKAGDVPEEEEEVKLSVVARVGKVLFESSGGGSPVSMNSLREAVKAGEEGSRSNLHKSFYTNVPSECLDDMERSAAEKMGLEFDSSKEHYHVKVFDKRQSDSTITCKCTVQEDGKLAIHKVQLNQVRHLVEDISCLFKDLDLRLMLSTKRILKNLDAEVENAINCLVSSAVIDPDVKGGLRWPLGKESIDERFSIVGVWHTNYKAFRNEKLRLKLRHADRFDHRSSTGEVSNEVTFKLIGISASLEAVDQEANSLQEMLESVVGMIWESGLSYKKAP >ONIVA02G19120.1 pep chromosome:AWHD00000000:2:17204388:17204695:-1 gene:ONIVA02G19120 transcript:ONIVA02G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVSSRLLRTSLLSPFSPLRVSFTVRLRIAFPSELLASTVWSAACARSGGPRASTLSAKVIQ >ONIVA02G19120.2 pep chromosome:AWHD00000000:2:17204390:17204695:-1 gene:ONIVA02G19120 transcript:ONIVA02G19120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVSSRLLRTSLLSPFSPLRVSFTVRLRIAFPSELLASTVWSAACARSGGPRASTLSAKVIQ >ONIVA02G19110.1 pep chromosome:AWHD00000000:2:17169795:17201741:1 gene:ONIVA02G19110 transcript:ONIVA02G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTLLIALTLVLLLLLLLLLTARRRRSGRLRLRLPPEPAGLPLVGHLHLFRKPLHRTLARLAARHGAVFRLRLGSRRVAVVVSSAPAAEECLGAHDVAFAGRPRLPSAGILSYGWSTMGTAAYGPYWRHVRRVAVAEILSAHRVRQFAGAHDREARATARRLCRAASRQRHHGAGAAAGRVRVELKSRLFELLMNTMMAMICDKTYYGADDDGEVSEEARWFREMVEETMALSGASTVWDFLPAVLRWVDVGGVGRRLWRLRESRTRFLQGLIDDQRKEMEHDGDGRELPAAAARPRSMIGVLLSVQRQDPEECPDQLISSLCISSLEAGTGTSTDTIEWAMSLLLNNPDVMRKARDEIDAFIGQPVRLLEADDLPKLQYLRCIIMETLRLYPPAPLLVPHESSSDCTVAGFHIPRGTMLLVNTFDIHRDPHIWDEPTSFIPERFEDGRSEGKMAIPFGMGRRKCPAENLGMQMVGLGLGTMIQCFEWERVGEELVDMTEGSGLTMPKKVPLEAFYQPLHLAAMDALLIALFLLLLIALMETARVRRSGTQRRAGNVPPPPPEPAGLPLVGHLHLFRKPLHRTLARLAARHGGAVFGLRLGSRRVAVVSSAPAAEECLGAHDVAFADRPRLPSGRILSYDWSTMGTASYGPYWRHVRRVAVTEILSARRVQHFADVHVREARAMARHLHRAAVRHGVGGAARVRVELKSRLFELLMNTMMAMICDKTYYGDDDDEVSEEARWFRSVVKETMELSGASTVWDFLPAPARWLDAGRMTRRMRELSDSRTRFLQRLIDDQRKDMDADSDDHAPVKRRTMIGVLLSLQSKDPDSCPDQLIRSLCIGSLQAGTDTSAATVEWAMSLLLNNPGAMARARGEIDACAADLPKLHYLRCVVMETLRLYPPVPLLAPHESSADCVVAGFHVPQGTMLLVNTFAIHRDPQVWDEPEAFIPDRFADGKNEGKMVIPFGMGRRRCPGENLGMQMVGLALGTLIQCFDWERVGEELVDMRECSGLTMPKELPLEALYQPRASMLASLPRLENLPKLFASDRAVLVLPLLGGDSAPAVMVDAMSGGVLVALMVLLLVAAPALLSRLERRRRPPPGPVALPVVGHLHLLRRPLHRTLARLAARHGAAAVMGLRFGSRRVAVVSSAPAAEECLGPHDLAFADKPRLPSGEILSYEWSTMGTASYGPYWRHIRRITVTELLSAHRVQHFAGVNAREVRAMARRLYRRAAAAAASAGGRARVELKSRLFELFMNIMMAMICDRTFYGDGDDEVSEEARWFRSVVKETMELSGASTAWDFLPAAARWLFARRLTRRMRELSDSRTRFYQRLITDHRTKEKTDDDNAAAGDHSPAPRRRTMIGVLLSLQSKDPDACPDQLIRALCIGSLQAGTETSAAVVEWAMSLLLNNPGAMARARGEIDACVGQPAARLLEAADLPKLHYLRCVVMETLRLYPPVPLLAHESSADCDVAGFHVRKGTMLLVNTFAIHRDPQVCGWTKRSEDGDPVWYGEKGVPGREFGDANGRTYTRDADPVL >ONIVA02G19110.2 pep chromosome:AWHD00000000:2:17181338:17201741:1 gene:ONIVA02G19110 transcript:ONIVA02G19110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAMFGSVAVALLAVVVAAAALRRWRRRRRRGGGRPLPGPVALPVVGHLHLFRRPLHRTLARLAARHGAAVMGLRFGDDDEVSEEARWFRSVVKETMELSGASTVWDFLPAPARWLDAGRMTRRMRELSDSRTRFLQRLIDDQRKDMDADSDDHAPVKRRTMIGVLLSLQSKDPDSCPDQLIRSLCIGSLQAGTDTSAATVEWAMSLLLNNPGAMARARGEIDACWAMSLLLNNPGAMARARGEIDACVGQPAARLLEAADLPKLHYLRCVVMETLRLYPPVPLLAPHESSADCVVAGFHVPQGTMLLVNTFAIHRDPQVWDEPEAFIPDRFADGKNEGKMVIPFGMGRRRCPGENLGMQMVGLALGTLIQCFDWERVGEELVDMRECSGLTMPKELPLEALYQPRASMLASLPRLENLPKLFASDRAVLVLPLLGGDSAPAVMVDAMSGGVLVALMVLLLVAAPALLSRLERRRRPPPGPVALPVVGHLHLLRRPLHRTLARLAARHGAAAVMGLRFGSRRVAVVSSAPAAEECLGPHDLAFADKPRLPSGEILSYEWSTMGTASYGPYWRHIRRITVTELLSAHRVQHFAGVNAREVRAMARRLYRRAAAAAASAGGRARVELKSRLFELFMNIMMAMICDRTFYGDGDDEVSEEARWFRSVVKETMELSGASTAWDFLPAAARWLFARRLTRRMRELSDSRTRFYQRLITDHRTKEKTDDDNAAAGDHSPAPRRRTMIGVLLSLQSKDPDACPDQLIRALCIGSLQAGTETSAAVVEWAMSLLLNNPGAMARARGEIDACVGQPAARLLEAADLPKLHYLRCVVMETLRLYPPVPLLAHESSADCDVAGFHVRKGTMLLVNTFAIHRDPQVCGWTKRSEDGDPVWYGEKGVPGREFGDANGRTYTRDADPVL >ONIVA02G19110.3 pep chromosome:AWHD00000000:2:17169795:17181211:1 gene:ONIVA02G19110 transcript:ONIVA02G19110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTLLIALTLVLLLLLLLLLTARRRRSGRLRLRLPPEPAGLPLVGHLHLFRKPLHRTLARLAARHGAVFRLRLGSRRVAVVVSSAPAAEECLGAHDVAFAGRPRLPSAGILSYGWSTMGTAAYGPYWRHVRRVAVAEILSAHRVRQFAGAHDREARATARRLCRAASRQRHHGAGAAAGRVRVELKSRLFELLMNTMMAMICDKTYYGADDDGEVSEEARWFREMVEETMALSGASTVWDFLPAVLRWVDVGGVGRRLWRLRESRTRFLQGLIDDQRKEMEHDGDGRELPAAAARPRSMIGVLLSVQRQDPEECPDQLISSLCISSLEAGTGTSTDTIEWAMSLLLNNPDVMRKARDEIDAFIGQPVRLLEADDLPKLQYLRCIIMETLRLYPPAPLLVPHESSSDCTVAGFHIPRGTMLLVNTFDIHRDPHIWDEPTSFIPERFEDGRSEGKMAIPFGMGRRKCPAENLGMQMVGLGLGTMIQCFEWERVGEELVDMTEGSGLTMPKKVPLEAFYQPLHLAAMDALLIALFLLLLIALMETARVRRSGTQRRAGNVPPPPPEPAGLPLVGHLHLFRKPLHRTLARLAARHGGAVFGLRLGSRRVAVVSSAPAAEECLGAHDVAFADRPRLPSGRILSYDWSTMGTASYGPYWRHVRRVAVTEILSARRVQHFADVHVREARAMARHLHRAAVRHGVGGAARVRVELKSRLFELLMNTMMAMICDKTYYGDSLEAGTDTSADTIEWAMSLLLNNPNVMRKARDEIDAFIGQPVRLLEASDLTKLQYLQCIIMETLRLYPPAPLLVPHEASTDCSIAGFHITRGTMLLVNTFAIHRDPQVWNEPTSFIPERFENGRSEGKMAIPFGMGRRKCPAENLGMQMVGLALGTMIQCFEWERVGEELVDMTEGSGLTMPKESPARLAHRRRRQPLVAAAQTGAAHAHAAG >ONIVA02G19100.1 pep chromosome:AWHD00000000:2:17159085:17164443:1 gene:ONIVA02G19100 transcript:ONIVA02G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVVSAATGVLSPLVGRLSTLLEKEYAGLKGVRKEIVSLQEEVSSMNATLLKLASEEDPDVQDREWGNQIRDLSYDMEDCIDDFMLRVDKHGHTTANPDDDKGFFQRNLSKLRTLGARHDIAGKIRELKARVDVVSKRHERYRFPASSSSSGGAVPIDPRLHAFYAKEDSLVGIEQPRDEVISLLTQGQGEEALVKKLKIVSIVGFGGLGKTTLASVVHRKLGEEQFDCRLVVSVSQSPDIMRIFHRILIEEFKVKPCIHNDLQGMINQLRNHLLHKRYLIIVDDLWDVSVWENALLCAFPDNNLGSRVIMTTRDNTVAEKCCGQQRDCIYEMKPLSETDSRKLFFKRIFGSEDDCPNELKDISDEILRKCGGLPLAIITIASLLASQAGKVKEEWEHVQNSLGSKLGTDPSLEMMRQILNLSYKYLHPDLKTCFLYLGAYPEDYVIWKDDLVRQWVAEGFVHGLESAGGYFNQLVNRSMIQPVKIGYDDEVLSCRVHDLMLELIIRKYSVEENFLTAVVGNSQEIKGTVHNVRRLFHYSDVLGRRRSAPALRIGLQKVRSIASCVTDIHQVRFQDMKFLRVLVLELVYNPKDESTTQAVVDLSVICKLLLLRYLKIQSEYLLKLPPQIRMLQHLETLEIASKFDKAGLAIPSDLAQLPRLSYLSILPYMAGGLPANVGTMTQLRSLAFLVLEENTLDSIKSLHHLTNLRELYIISASGDSSAGGDEDETAHVDALQSSLSGLADCKLYLTAWSTWLSRVPQWVGRLRNIYGLEIGVGELCKDGVSVLAGLPAMARLDLWIRSAPTESVVIAGDGFPVLKHLIFTCRALCLTFEAGAMPKLRRLDLEFNDDGGGDGGFGNALVGVQHLAGLRVLSAKIGGFRSAVDAAATTGEEQADDRSAAMSRLRDAIDLHPSRPRVDITYTQGRYGLS >ONIVA02G19090.1 pep chromosome:AWHD00000000:2:17156920:17157975:1 gene:ONIVA02G19090 transcript:ONIVA02G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGQLYKEQISSPSWLKQGTCGPERKRDEVTLALKNHEQPGRIRDVGLAPWKDGFPNDTHKYLQVGKRRPAEEENTESKEKARSTHADIMSHWINKEFHILGILIETTNEKGFGIWEIYVDGECIIIGCEWK >ONIVA02G19080.1 pep chromosome:AWHD00000000:2:17125055:17128982:-1 gene:ONIVA02G19080 transcript:ONIVA02G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIAAAKAAVAAAVFQSPCSPAPAVSFAARSVRSGRRRGAVSLSVSGVRTHVAAVEQAVVQDAIAQSEAPVVVVTGASRGIGKAIALAFGKAGCKVLVNYARSSTDAEEVCKEIEGFGGQAITFRGDVSNEADVDSMIKAAVDTWGTIDVLVNNAGITRDTLLLRMKKSQWQDVVDLNLTGVFLCTQAATKVMMKKKKGRVINIASVVGLTGNLGQVNYAAAKAAVIGLTKTTAREFASRNITVNAVAPGFISSDMTSQLGEEIEKKNLITIPLGRYGEPEEVADLVEFLALSPGGSYITGQVVRT >ONIVA02G19070.1 pep chromosome:AWHD00000000:2:17122715:17124829:1 gene:ONIVA02G19070 transcript:ONIVA02G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVDELRGKNKAELQAQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQRAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >ONIVA02G19060.1 pep chromosome:AWHD00000000:2:17102169:17102603:-1 gene:ONIVA02G19060 transcript:ONIVA02G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEFSPAVGSGGGEGSQLDAVAGSRRCVGGGVVGRREAEVARLAAAAAASGDLRGGRIIAQVCSRGGGHARPCGRRSVGGGLPVPAWQRGISRLRVGEFGVRPPPLSLATAEAVPATTRFFFLAVFLLSLFLSVPPAIAGSC >ONIVA02G19050.1 pep chromosome:AWHD00000000:2:17097614:17111380:1 gene:ONIVA02G19050 transcript:ONIVA02G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQELVPSPWPSSSSFLVLVLATLLFVAAFLRRRQGARRKYNIPPGPRPWPVIGNLNLIGALPHRSIRDLSQRYGPLMSLRFGSFPVVVGSSVDMARYFLRANDLAFLDRPRTAAGRYTVYNYAGVLWSHYGEYWRQARRLWVTELLSARRLASTEHVRAEEVRAMLRGLSRRAGAGTAVVLKEHMLMVTLNVISRMGYIGRMKRLGGMFDRFLEHILDEHVERRRREGDGFAARDMVDLLLQFADDPSLKVPIQRDGVKAFILELITGSTDTTSVSVEWAMSEVLRNPSVLARATDELDRVVGRRRLVAEGDIPNLPYLDAVVKESMRLHPVVPLLVPRVSREDAFSVSVAGAAASYDIPAGTRVLVNVWAIGRDPAVWGDDAEEFRPERFAAGGERGGVDVKGQDFELLPFGSGRRMCPGFGLGLKMVQLTLANLLHGFAWRLPGGAAAEELSMEEKFGISVSRLVQLKAIPEPKLPAHLYDE >ONIVA02G19050.2 pep chromosome:AWHD00000000:2:17101540:17110987:1 gene:ONIVA02G19050 transcript:ONIVA02G19050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILEDQTRLSPKTPSKELTAEVRGNKDHCVFHDSGKELRLSRDNPYDCSNHHQLHRQRRERYTRASRSATKKKRWLWIMHLPSITKSGSTGGIPSPPAADGGGLSPPDLGSGDDSGAAARSRLAAAPFLPRLALSCLLVYLLHTQRW >ONIVA02G19050.3 pep chromosome:AWHD00000000:2:17097395:17111380:1 gene:ONIVA02G19050 transcript:ONIVA02G19050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEIFFLNGVFNIGDMVPWLGWLDPQGYIGRMKRLGGMFDRFLEHILDEHVERRRREGDGFAARDMVDLLLQFADDPSLKVPIQRDGVKAFILELITGSTDTTSVSVEWAMSEVLRNPSVLARATDELDRVVGRRRLVAEGDIPNLPYLDAVVKESMRLHPVVPLLVPRVSREDAFSVSVAGAAASYDIPAGTRVLVNVWAIGRDPAVWGDDAEEFRPERFAAGGERGGVDVKGQDFELLPFGSGRRMCPGFGLGLKMVQLTLANLLHGFAWRLPGGAAAEELSMEEKFGISVSRLVQLKAIPEPKLPAHLYDE >ONIVA02G19050.4 pep chromosome:AWHD00000000:2:17097614:17101090:1 gene:ONIVA02G19050 transcript:ONIVA02G19050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQELVPSPWPSSSSFLVLVLATLLFVAAFLRRRQGARRKYNIPPGPRPWPVIGNLNLIGALPHRSIRDLSQRYGPLMSLRFGSFPVVVGSSVDMARYFLRANDLAFLDRPRTAAGRYTVYNYAGVLWSHYGEYWRQARRLWVTELLSARRLASTEHVRAEEVRAMLRGLSRRAGAGTAVVLKEHMLMVTLNVISRMGYIGRMKRLGGMFDRFLEHILDEHVERRRREGDGFAARDMVDLLLQFADDPSLKVPIQRDGVKAFILELITGSTDTTSVSVEWAMSEVLRNPSVLARATDELDRVVGRRRLVAEGDIPNLPYLDAVVKESMRLHPVVPLLVPRVSREDAFSVSVAGAAASYDIPAGTRVLVNVWAIGRDPAVWGDDAEEFRPERFAAGGERGGVDVKGQDFELLPFGSGRRMCPGFGLGLKMVQLTLANLLHGFAWRLPGGAAAEELSMEEKFGISVSRLVQLKAIPEPKLPAHLYDE >ONIVA02G19040.1 pep chromosome:AWHD00000000:2:17084052:17086805:1 gene:ONIVA02G19040 transcript:ONIVA02G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQSQTKSKNQLKVFRSTTLILLALTHYKTVQIKTNIYQGDKIRLTLWGQLARFFSEDIIGNQTVIVVTSTTVKEYIGFSLRSSSATRIYTNLHIRETWTLIDRQSSEETVPKMMEVDKSTQRTREEQMFYNRKTLKDITEMRHGNLGSQEFVFTSKDTIDRVQENIQWWYRLRLQISDHTTSTSCTIFDDVAQTMLETPVSSLLNLLDGKSDEIPNVIQQLCGKQLIFKFKLSEQNLTEGTPNYVVKRTFVPDYMLEKQYLINKTEEELMDDEVDNILKQDRETDQQEQTRSSPLIKNKSISLLPVKEELEDSDQEITSEDELTSPDKPPSCKQIRRRTYIIEDDSEEESNETSMIKCVDAKFRGKNAKGAHAKSTKAEIRNDNYSHEINKSIVEESIKAGKRQAKTINGIGKECSVEKRQKTCVQSDNKACNTRPQRTRKMNSKYTNSA >ONIVA02G19030.1 pep chromosome:AWHD00000000:2:17073786:17077734:1 gene:ONIVA02G19030 transcript:ONIVA02G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40980) TAIR;Acc:AT2G40980] MDPGGQSPDRGSDASGPKQSSVSSHGRQRNSSSSICKDFLRKFVDNELLTSSLEDWFSGHSEDCGFKKPAFDVPFDLSELQNFDYAIEGVTFQQLVRMPNALHASTSDIFEATAHLALEDFLHASIKGLWETFWGPDESMPFSVACIHSTSSKFYPAEKAISSGRLDGVCATAVLLKSLKHSQGRWDHIVVLALLRPDIGMFSGQNDQQPSPAVLGEALFFALRVLLSRSLSRSSTVLQNSDCVYVLLVDSQFGGVVNVQGDLNKLDFDLNNVYDSAAEWIKKHAQITVSSIDRVWNKLGNANWGDIGTLQVLLAIFHSMIQFCGAPKYSLDELATEHSSRLQSRRSERHLVGRQANTNGLFRFQQQSHSPEIVEVQEEGAVNVKPKEILKLEVGSVVLMEDADWQKGFQINDILTDSEPPIYSATPVEEPTKTHLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLTLMKQRGISSRYVPQMVASGRVVHPGPCNKPNSSGSCGHPWCSTPILVTSPVGETISNLIRNGLFGVEDALRCCHDCLSALAAASSAGIRHGDIRPENVIRVNNGSRHPYFVLIGWGHAILEDRDRPLMNLFFSSTFALQEGKLCAASDAESLIYLLYFCCGGVCPELDSVEGALQWRETSWSRRVIQQKLGDVSAVLKAFADYVDSICGTPYPMDYEIWLRRLRRTINEDHGKEIDTSS >ONIVA02G19020.1 pep chromosome:AWHD00000000:2:17047665:17048921:1 gene:ONIVA02G19020 transcript:ONIVA02G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTISSLLFLLLSCIAATVACQHSVSSYNPQQKDFPNERLYRAYLVIQRFKNTITRDPKNITLTWNGHDICGRTTYLGFYCGKPRQAKELTVMAVVLNGFGLRAPKLQGFVDQLPDLALFHAASNDFGGDIPRLAGLEYMYELNVGDDVPAHTTSTKLGAYVRGGGDFVYPCVTAHIRVTFRFGVGNGKDGGILPTGVTDSKVLLLNNDNLSGPLQANLGFSKVSYLALANNKLSGPIPPSISHLQHSLLELLLLNNQLSGCLPHELGMLTKATVIDAGKNQLTGPIPSSFSCLTSVEQLNLGGNRLYGEIPDVLCKLAAGRAGRLANLTLSSNYFTSVGPSCLSLIKDGVLNVKNNCIPGLANQRRPAECASFHSQPKICPAATATHVACPAAAAPADRVAKDYSSYVTYATLHE >ONIVA02G19010.1 pep chromosome:AWHD00000000:2:17006292:17010486:1 gene:ONIVA02G19010 transcript:ONIVA02G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMRTKQLAKFAVPILSAFLLIYEVGNVYCATVHENSVDLHSLLDFKQGVNDPNGALRTWNISTHFCRWKGVNCSDARPWRVTGLNLTRKGLAGKISPSLGNLTSLDMLDLSYNNFDGPLPLLNRLQRLKFLNLKSNHLQGVIPDGLTNCTDLLFLDLSKNFLTGVIPPSIDSLSKLIGLRLGQNNLTGTIPTMLTNITTLQTLDLIYGQLTGKIPDELWQMPNIEELYLGGNNLSGGISQTFPNISSLQYLDLAINMLANTLPSNIGDALPNLVYISLAGNSFEGQIPSSLGNASGLFQIDLSSNNFNGQVPSSLGMLSGLIRLNVESNMLNASDNEGWDFFNALSNCRNLEQLSFTDNQLQGVIPNSVGNLSVNLQYLLMSENKLSGVVPPSIGNLTSLIELGLDANSLTGPIDEWIGKQENLTSLHLETNNFTGTIPLSLANLTKLTRLYLANNAFDGIVTPNLGRLQPLLELDLSNNNLQGSIPPELGNLKQLYILDLSSNKFSGAIPETLGQCQNLVILQMEQNVLTGNIPTTFTNLNSLSLLNLSHNALSGPLPAVLNDLKLLTKLDLSYNNFEGEIPRNGIFDNSTVVSLDGNAGLCGGAMTLRMRPCPVSHRAISVNRLIKILIPIFGFMSLILLIYFLLLGKKRSRRTSLSEPSFGEHFEKVSYNDLAQATRDFSEFNLIGRGSYGSVYRGKLKESKIEVAVKVFDLEMHGAERSFMSECEALRSIQHRNLLSIRTACSTGDNTGRVFKALVFDYMPNGNLDMWLHHKEDEKTPNYLNLTQRISIAVNIADALDYLHHDCGRTIVHSDLKPSNILLDDDMNALLGDFGIARLYLDSQSTYQGSVSTIGLKGTIGYIPPEYGGGGHASTLGDVYSFGIMLLEIFTGKRPTDPMFTDGLDIVSFVDRNFPDQIFQVIDVRLKEECNNLSRDNMVSEDTIYQLLVSLLQVALSCTRPSPSERLNMKQAATKIHAINASLHGWNEKKYASVDQ >ONIVA02G19000.1 pep chromosome:AWHD00000000:2:16991564:16991866:-1 gene:ONIVA02G19000 transcript:ONIVA02G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDSDVAAKIERFWVQPKIQECVRAAVGVGGDKTAASAWRATLVSAGFVPVQVSSMAEAQAESLLKKLPVRGFRLERRAGSLFLHWQRGELASVSAWRC >ONIVA02G18990.1 pep chromosome:AWHD00000000:2:16988593:16989265:-1 gene:ONIVA02G18990 transcript:ONIVA02G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDNNSHNSSALLPTPALVEANHGRSNIRSKGCHKTLLASISAAKVHNQKPAPQDQASWFCLNCRKPGHCFSNCPLPRVTKALRRCSQVTSIIHDTNRHLKVQPPPQRIVIKGTVKGHTVPPAIVSSLQRQRQQARYNYKEAVRSFVNTRSKCCQHQVAQLLSVLAMHLVLLQSRGPSANHCLVTPRARRTYLLELSKGLIFPTESQCAEQRYW >ONIVA02G18970.1 pep chromosome:AWHD00000000:2:16908782:16923373:1 gene:ONIVA02G18970 transcript:ONIVA02G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAHAVKPRASGHVLHCWRGFASTAPAVYSVPRSPRPAALLPCPCISISLPSTYLDSCDAADKFQLASGDLKMTSYSVGLKMWLIGENSKPPSLLPGPLRPLSAAR >ONIVA02G18960.1 pep chromosome:AWHD00000000:2:16893691:16898334:1 gene:ONIVA02G18960 transcript:ONIVA02G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVGGVLVPAAGGRRVVPVAQATPSAAALTPTTTRRHPAAAGLVPPGSGGGSGRRRCGGSGSGAATTMAAGGGGEVGRSRSRAVASGGGELGCDDNGGGSGSGVVTTTAVGGGVEVGRGGSRAVASSGS >ONIVA02G18950.1 pep chromosome:AWHD00000000:2:16885156:16886899:-1 gene:ONIVA02G18950 transcript:ONIVA02G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMKTHWRGRYAEEETRTRERERELRIRTSRDPLVNRVRSFSEGVVLMACPVLLAVVLNKVDVKSKGNGLARRISPIAASSLWLCLLPFLFLNMSAVLAGLLERFSDGLFRVSKVLVHFCAIVQMILAYLILLLITMEAKLFFVFLVPFIMFILWRCYWCSQNDKDYDQRVYNRNCHIKLQDSLDFSATVTAMLFLALEGMALEGQASIGQQVGLNSRSATALYLGFATCVMAAVIMLLGAIPPLIEDDDRQRTNMWSFFDALCLVLAAFVTLVVPTVVAVPWLVMLLVYAIYWCRHELNPQNPPQPNQTETQPDSDTKPNQTVLDANVGVGELDKPASLELTKITFTGFLAVSITSLGNGSGYPVRGHTTDAFIVLTAAAVIWGLLWRLLTHRNNLPKLPVDASAKIACFFRHLFVAAAVIPFVLMAQKTLLASPGT >ONIVA02G18940.1 pep chromosome:AWHD00000000:2:16868147:16868398:1 gene:ONIVA02G18940 transcript:ONIVA02G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLLERLRLKSGPPPSPPPGCWIGGGARWWQAEGIRVRRTAPPRRLGLHIARSRRTASSSPSPGRDAHGQPQRRLLLYHFE >ONIVA02G18930.1 pep chromosome:AWHD00000000:2:16860942:16861937:-1 gene:ONIVA02G18930 transcript:ONIVA02G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVTLVLINVRYIYLAIIPVIMLVFISALCNEISLKSKLNRISSSVEAREVGGSGSKKADENCDDATKQDVNSSNEDEHNHGNDKKAEVMVTIAVMPYWVLCLMGQFCGADNFVTSYFLLFLSSSLGALTLMIARLASEIAPRLAPVLDLLHKSTLVVLLLTAHTIATEFLGQDMVVAFMPELLAVILWLGIHLDNGGSSTMSIQKKVTSSQEKEKKIDITSYANGVVAILIVVTAAALAYLAGMDGLELEGRKMWSSWVGRVFPAGCISGLLPYFTALMISHWPGLSPDSSKGAVQLLWFWANVSLAATTMMLICACTLLAATYISSRR >ONIVA02G18920.1 pep chromosome:AWHD00000000:2:16834860:16835493:-1 gene:ONIVA02G18920 transcript:ONIVA02G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKSLIMCALVLGLVLQQEKIYVEAKSCCPSTSARNIYNSCRFAGGSREACAKLSTCQPPYDHLTLHSDTEKSDVLDFCKLGCTSSVCSKINTFAANEGVNAAVERCEDACDRFCTKEAQTVTVVS >ONIVA02G18910.1 pep chromosome:AWHD00000000:2:16762571:16765919:1 gene:ONIVA02G18910 transcript:ONIVA02G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQARYGIEVSLGWAHTTMPAMAASLAWLLLALLLASLYTATHRIAAARRRLPPGPTPLPLVGNLLSVSRTSPHRSLARLAARYGPLMRVRLGVVDYVVVSSPAVADDIYHSRHNAHLSSRPPYDAWWGEKHRLNSVIALPPHAVWRAQRRLAMEEVMSPGRLDALAPLRREKVRELLVRVRRVAAARGDGDGELVPVEVGQAAFEGFLSILSSTMVSVDLADSDLRDVVREAAILAATPNVSDIFPAIAAADLQGYRRRMGELVARGYGIFEELLARRKGGREAGERRKDDLLDVVLDREDELKKESNPVLDRNAIKGLITDLMVAGTDTSSSTIEWAMAELLQNSESMQKVKDELRRVIGTRTQIEESDISHLPYLQAIIKETLRLHSNVPMSYYMAEATVEVQGYTIPKGTNIIVNIWAIHHQPNVWVDPDKFMPERFIGKDTNFFGKHPELIPFGGGRRICLGLPLAYRMVHVVLASLLFHFDWKLPEGAKKDGIDMSEKFGLVLSMATPLKALATRSCNDM >ONIVA02G18890.1 pep chromosome:AWHD00000000:2:16749402:16750758:1 gene:ONIVA02G18890 transcript:ONIVA02G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAQGCKVQPSISQMTVYSVFSEVFLIFECMSVYPLNFFNYSRYVLPFIGFTSRMAVDHFISLTNIATTMGSYNFIFFV >ONIVA02G18880.1 pep chromosome:AWHD00000000:2:16742705:16742988:1 gene:ONIVA02G18880 transcript:ONIVA02G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATFVFSVAGDGDEGDGALSLVGSGASSALDTSRPRVEHAQSSVGSDSLRSLSLSLFSCRLREVNKCKDKPVRLS >ONIVA02G18870.1 pep chromosome:AWHD00000000:2:16712382:16718891:1 gene:ONIVA02G18870 transcript:ONIVA02G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13040) TAIR;Acc:AT4G13040] MMMSRRLFGYSFRRVSVKADLCLHVTLVGLLSDDTALLRIVLDIAALAIANVSVKCSGKDSLPVDFPKPSDNENSVEVAHPNIKPFSVHPLPLTKNSDVLLESSNGSDSLKEEKNHYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQEEAARLYDRAAFMCGREPNFELSEEEKKELQNYTWKDFLDMTRDTITSKKQRKVGLIRRNKTDSLVGQSDGDTEMINGGGSSHSEDGDAETSAS >ONIVA02G18870.2 pep chromosome:AWHD00000000:2:16713522:16718891:1 gene:ONIVA02G18870 transcript:ONIVA02G18870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13040) TAIR;Acc:AT4G13040] MRGVYFKNMKWQAAIKVDKKQIHLGTVGTQEEAARLYDRAAFMCGREPNFELSEEEKKELQNYTWKDFLDMTRDTITSKKQRKVGLIRRNKTDSLVGQSDGDTEMINGGGSSHSEDGDAETSAS >ONIVA02G18870.3 pep chromosome:AWHD00000000:2:16712382:16718891:1 gene:ONIVA02G18870 transcript:ONIVA02G18870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13040) TAIR;Acc:AT4G13040] MMMSRKDSLPVDFPKPSDNENSVEVAHPNIKPFSVHPLPLTKNSDVLLESSNGSDSLKEEKNHYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQEEAARLYDRAAFMCGREPNFELSEEEKKELQNYTWKDFLDMTRDTITSKKQRKVGLIRRNKTDSLVGQSDGDTEMINGGGSSHSEDGDAETSAS >ONIVA02G18860.1 pep chromosome:AWHD00000000:2:16700670:16702216:-1 gene:ONIVA02G18860 transcript:ONIVA02G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLFLAVLAGLTFLLHSSVLVARVTELCLDHVRVNPSPFLAIDLKVTTEEGSSSGDRRGRQSSAVEERREEREMAMHHSQQSMWPKGQGLAPAQKIRQ >ONIVA02G18850.1 pep chromosome:AWHD00000000:2:16697035:16700175:-1 gene:ONIVA02G18850 transcript:ONIVA02G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25140) TAIR;Acc:AT3G25140] MGGRGALPVVAGVVLVLLVVAARGASAAGGGGGGGAAAAAVNGDRLRAEQIRKQAKDAAASAAALAAASRRLHLDRARHLRLLSSLHRNLTATLRDLSAAASASASASASEAGYASAGDEVRRLDLQAKDLIRAARAAIADAKPLFDPQLKIQRLKDAIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIARPENYADPVPPPHALEDPAMFHYAIFSDNVLAASVVVRSAVANSLDPSKHVFHVVTDRMNLGAMQVIIRLMDLKGAHYEVKAFEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYWQYMNFSHPLIKEKFNPNACGWAYGMNFFDLDSWRREKSTEQYHYWQSQNENRTLWKLGTLPPGLITFYSTTKPLEKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYDDSFIRQCNFAPP >ONIVA02G18840.1 pep chromosome:AWHD00000000:2:16679404:16679817:-1 gene:ONIVA02G18840 transcript:ONIVA02G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCGDDGGCSWCWCPRDGGDLARSGAGSDHDQIRMTATKVLDDCIIGKVATKQASTQRSGCGYVSMAVERLRQVLDCRGSACHARRLHDALEVTVISMVYRRWWWRSKEAS >ONIVA02G18830.1 pep chromosome:AWHD00000000:2:16665081:16677352:1 gene:ONIVA02G18830 transcript:ONIVA02G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0G6V9] MPPSLRAPPDTVAHGRAAPSPSALARPPPHRARRRPTESRTYASPTARARSRIGEVLIPEGMVTSIDNVRGLTLAISSSAFIGSSFIIKKIGLKKAGDSGVLEVSHTCMSHYVILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKEKLHMFGVVGCILCVVGSVGIVLHAPKEREIDSIDEIWHLATEPGFIVYSCVAVVSVLFLIFWVAERSGHRKMLVYIAICSTMGSLTVISVKAVAIALKLSFGGSNQFIYIQTWFFILNYLNKALDSFNTAVVSPVYYVMFTILTIFANMIMYKDSFSRNATQIATQLCGFVTIVAGTFLLHKTRDMGNEPPLPDDEICLDGGSVRPDRLSQSSS >ONIVA02G18830.2 pep chromosome:AWHD00000000:2:16664050:16677352:1 gene:ONIVA02G18830 transcript:ONIVA02G18830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0G6V9] MIREPVVDARRPRTVCRYSATVMYRFIGSHSRRHGNIYRQCERVDPGHIIKCIYRIKLYYQENWAQEGWGFWGSGGFSYLYEPLWWLGMITMILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKEKLHMFGVVGCILCVVGSVGIVLHAPKEREIDSIDEIWHLATEPGFIVYSCVAVVSVLFLIFWVAERSGHRKMLVYIAICSTMGSLTVISVKAVAIALKLSFGGSNQFIYIQTWFFILNYLNKALDSFNTAVVSPVYYVMFTILTIFANMIMYKDSFSRNATQIATQLCGFVTIVAGTFLLHKTRDMGNEPPLPDDEICLDGGSVRPDRLSQSSS >ONIVA02G18820.1 pep chromosome:AWHD00000000:2:16653760:16658236:-1 gene:ONIVA02G18820 transcript:ONIVA02G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQASPSPSAQVVGNAFVQQYYQILHQSPDLVYRFYQDASRLGRPPADRYGDMVSVTTMEAINEKIMAMDMSRAEIKTVDSQESLGGGVTVLVTGHLTVRDGVCREFSQSFFLAPQEKGYFVLNDMFRYVGDGPTPAAAAAAEVQPEADAVAPPLANGTATAPLQPSAPDYDAMPHEEPDVVENVVVPPEEEEEVYNPPLEEVEGGAVEEEQSVPEVINEVPNNVVPVVAPAAAPVSHEEAPKKSYASIVKVMKEAPVPAPIPATRPAPAARPAPPKPEKQSPAPPAPAPVADATPFSSNAESSNTHEPEVDAHAIYVRSLPLNATTTQLEDEFKKFGTIKPDGIQVRSHKIQGFCYGFVEFEEATAVESAIEASPVMIGGRQCFVEEKRTPGSRGSSRGGRFAPGRGNNNFRADGMRGRGNYSGGRSYGRGDFSYRSDYGGRGGGRGGSARGPDVGYQRVDGGRGGRTSAGPGAPAK >ONIVA02G18810.1 pep chromosome:AWHD00000000:2:16633281:16650472:-1 gene:ONIVA02G18810 transcript:ONIVA02G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair-recombination protein (RAD50) [Source:Projected from Arabidopsis thaliana (AT2G31970) TAIR;Acc:AT2G31970] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDNIAQDQEKSDALKIQMEELRTNVQGVEDKIRRTEKSLADLRRLQQEINSSTSARTTYFTLQQQQYAALSEENEDTDDELKEWQTKFEERMALLQNKISKLERDVDDENTTSSFLSKAINDLMRETGRLQAEADAHMSVKHERDSAIRKIFTKHNLGPIPDAPLTDAAAMHLTNITKAKLSNLNDDLQDKKKSNEAQKQFLWGRYLEVNTRYSEVVGQIESKVASKKGISRRMKDKESERDAAEMDLSKYNLPRIDEKERHLQIEVERKALALGERNYDSIVNQKRTEIFSLDQKIKTLQWEKDSIISDSNDRVLLDVKKDELEESTKKLKKMHDKIRIVFKGRTPSEKEVKKELSQAFGSVDREYNDLNSKSQEAAQELKLVQMKILDARSHLSKLQKELDGTGDDDALFTAIGPIGGGAAAPGCSAIFSVVVRIRGCGSAATPHNTKRSYVESKLQSITKMSADINMFPKHLKDAMDEREKQKNNLSYAKGMRQMYEPFENLARELHMCPCCQRAFTPDEEDEFVKKQRTTCESTAERMNKISLECSNAEDFFQQLNKLNATYEEFVKLGKEAIPLAEKNLKQLLADESEKAQTFDDFVSVLAQVKMDKDAVQVLLQPVETIDRHVQEIQQLGPQVENLEYKLDVRGQGVKYLEQIQLELNSVQRTRDTLNNEVDDLRDQQRTLTDGLTNAQMRWHDIREEKLKASGAHLEESLGPLSKERESLLQEHEALKEKLDQEYHQLAERKREFQQEIDALETHNERIKGYLNSKKGEKLNELQEKHTQLQSDLQKSKERKEEKSAELSKNKELLKSQDQLKRNIDDNLNYRRTKDEVERLTHEIELLEDKVLSIGSLSTIEADLKQHSQEKDRLLSEYNRCQGTQSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAGALLRIMESRKGQENFQLIVITHDERFAQLIGQRQLAEKYYRVSKDEQ >ONIVA02G18810.2 pep chromosome:AWHD00000000:2:16633281:16650472:-1 gene:ONIVA02G18810 transcript:ONIVA02G18810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair-recombination protein (RAD50) [Source:Projected from Arabidopsis thaliana (AT2G31970) TAIR;Acc:AT2G31970] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDNIAQDQEKSDALKIQMEELRTNVQGVEDKIRRTEKSLADLRRLQQEINSSTSARTTYFTLQQQQYAALSEENEDTDDELKEWQTKFEERMALLQNKISKLERDVDDENTTSSFLSKAINDLMRETGRLQAEADAHMSVKHERDSAIRKIFTKHNLGPIPDAPLTDAAAMHLTNITKAKLSNLNDDLQDKKKSNEAQKQFLWGRYLEVNTRYSEVVGQIESKVASKKGISRRMKDKESERDAAEMDLSKYNLPRIDEKERHLQIEVERKALALGERNYDSIVNQKRTEIFSLDQKIKTLQWEKDSIISDSNDRDKIRIVFKGRTPSEKEVKKELSQAFGSVDREYNDLNSKSQEAAQELKLVQMKILDARSHLSKLQKELDAKRSYVESKLQSITKMSADINMFPKHLKDAMDEREKQKNNLSYAKGMRQMYEPFENLARELHMCPCCQRAFTPDEEDEFVKKQRTTCESTAERMNKISLECSNAEDFFQQLNKLNATYEEFVKLGKEAIPLAEKNLKQLLADESEKAQTFDDFVSVLAQVKMDKDAVQVLLQPVETIDRHVQEIQQLGPQVENLEYKLDVRGQGVKYLEQIQLELNSVQRTRDTLNNEVDDLRDQQRTLTDGLTNAQMRWHDIREEKLKASGAHLEESLGPLSKERESLLQEHEALKEKLDQEYHQLAERKREFQQEIDALETHNERIKGYLNSKKGEKLNELQEKHTQLQSDLQKSKERKEEKSAELSKNKELLKSQDQLKRNIDDNLNYRRTKDEVERLTHEIELLEDKVLSIGSLSTIEADLKQHSQEKDRLLSEYNRCQGTQSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAGALLRIMESRKGQENFQLIVITHDERFAQLIGQRQLAEKYYRVSKDEQ >ONIVA02G18810.3 pep chromosome:AWHD00000000:2:16633281:16650472:-1 gene:ONIVA02G18810 transcript:ONIVA02G18810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair-recombination protein (RAD50) [Source:Projected from Arabidopsis thaliana (AT2G31970) TAIR;Acc:AT2G31970] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDNIAQDQEKSDALKIQMEELRTNVQGVEDKIRRTEKSLADLRRLQQEINSSTSARTTYFTLQQQQYAALSEENEDTDDELKEWQTKFEERMALLQNKISKLERDVDDENTTSSFLSKAINDLMRETGRLQAEADAHMSVKHERDSAIRKIFTKHNLGPIPDAPLTDAAAMHLTNITKAKLSNLNDDLQDKKKSNEAQKQFLWGRYLEVNTRYSEVVGQIESKVASKKGISRRMKDKESERDAAEMDLSKYNLPRIDEKERHLQIEVERKALALGERNYDSIVNQKRTEIFSLDQKIKTLQWEKDSIISDSNDRVLLDVKKDELEESTKKLKKMHDKIRIVFKGRTPSEKEVKKELSQAFGSVDREYNDLNSKSQEAAQELKLVQMKILDARSHLSKLQKELDAKRSYVESKLQSITKMSADINMFPKHLKDAMDEREKQKNNLSYAKGMRQMYEPFENLARELHMCPCCQRAFTPDEEDEFVKKQRTTCESTAERMNKISLECSNAEDFFQQLNKLNATYEEFVKLGKEAIPLAEKNLKQLLADEIKMDKDAVQVLLQPVETIDRHVQEIQQLGPQVENLEYKLDVRGQGVKYLEQIQLELNSVQRTRDTLNNEVDDLRDQQRTLTDGLTNAQMRWHDIREEKLKASGAHLEESLGPLSKERESLLQEHEALKEKLDQEYHQLAERKREFQQEIDALETHNERIKGYLNSKKGEKLNELQEKHTQLQSDLQKSKERKEEKSAELSKNKELLKSQDQLKRNIDDNLNYRRTKDEVERLTHEIELLEDKVLSIGSLSTIEADLKQHSQEKDRLLSEYNRCQGTQSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAGALLRIMESRKGQENFQLIVITHDERFAQLIGQRQLAEKYYRVSKDEQ >ONIVA02G18810.4 pep chromosome:AWHD00000000:2:16633281:16650472:-1 gene:ONIVA02G18810 transcript:ONIVA02G18810.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair-recombination protein (RAD50) [Source:Projected from Arabidopsis thaliana (AT2G31970) TAIR;Acc:AT2G31970] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDNIAQDQEKSDALKIQMEELRTNVQGVEDKIRRTEKSLADLRRLQQEINSSTSARTTYFTLQQQQYAALSEENEDTDDELKEWQTKFEERMALLQNKISKLERDVDDENTTSSFLSKAINDLMRETGRLQAEADAHMSVKHERDSAIRKIFTKHNLGPIPDAPLTDAAAMHLTNITKAKLSNLNDDLQDKKKSNEAQKQFLWGRYLEVNTRYSEVVGQIESKVASKKGISRRMKDKESERDAAEMDLSKYNLPRIDEKERHLQIEVERKALALGERNYDSIVNQKRTEIFSLDQKIKTLQWEKDSIISDSNDRVLLDVKKDELEESTKKLKKMHDKIRIVFKGRTPSEKEVKKELSQAFGSVDREYNDLNSKSQEAAQELKLVQMKILDARSHLSKLQKELDAKRSYVESKLQSITKMSADINMFPKHLKDAMDEREKQKNNLSYAKGMRQMYEPFENLARELHMCPCCQRAFTPDEEDEFVKKQRTTCESTAERMNKISLECSNAEDFFQQLNKLNATYEEFVKLGKEAIPLAEKNLKQLLADESEKAQTFDDFVSVLAQVKMDKDAVQVLLQPVETIDRHVQEIQQLGPQVENLEYKLDVRGQGVKYLEQIQLELNSVQRTRDTLNNEVDDLRDQQRTLTDGLTNAQMRWHDIREEKLKASGAHLEESLGPLSKERESLLQEHEALKEKLDQEYHQLAERKREFQQEIDALETHNERIKGYLNSKKGEKLNELQEKHTQLQSDLQKSKERKEEKSAELSKNKELLKSQDQLKRNIDDNLNYRRTKDEVERLTHEIELLEDKVLSIGSLSTIEADLKQHSQEKDRLLSEYNRCQGTQSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAGALLRIMESRKGQENFQLIVITHDERFAQLIGQRQLAEKYYRVSKDEQ >ONIVA02G18800.1 pep chromosome:AWHD00000000:2:16630858:16631319:1 gene:ONIVA02G18800 transcript:ONIVA02G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLVVVKLALWLLASCAEAVATALLRGAALLLLAAARLLTLPGKAADAALGAARGAVTAAVELTAGVVRDAAASVASALLEALWGAVAGAAELAASAAAGLAEAARDGGEEAARAVAAAVEGAAEVAAGAVAKVWESYVDALGALVLENRS >ONIVA02G18790.1 pep chromosome:AWHD00000000:2:16614951:16615145:1 gene:ONIVA02G18790 transcript:ONIVA02G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGVNHVTGEELQASRDEPFDCRNHLQLHHQHRERGTGVISKAPRQLRGGGRPPQQLVPRGGK >ONIVA02G18780.1 pep chromosome:AWHD00000000:2:16609145:16614735:-1 gene:ONIVA02G18780 transcript:ONIVA02G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIGDAMGLDYSEAFQHVNDEIHRVFRLYRTKLGGTPRVPEQTSQKKASKSSAVNLWMQYIGNNQASPSSENKSTRNPDSELNHYLATNHTEHDPTLDGHDVDLLGWWKEKERTLPQAFNVTGRIIEERWSCLTPETVESIFCLKDWMEADELTIGQSTWSEAKTLGTWGVGSRSSAAAALGVGSPRCRPHGVATAAMRGRATSVLGGGGGGGSWRRQPSARAARRDGSGYVRPHDVGPWRRLSAHPVYNKYNPTIHGPKKKTKNKAPMETQELVRHLFVSQLKNLHKPRKRRNLVGHFFFCPHGKLTSSEYGMVVDALRDPPSPPWLGNDIDTLPQVGNPEDQPDKARERPSTDREEATQSHIINTGVKSEEMGSSHITNFIQTTGSKKLTSVSPSIAAATAQPPSPVTTGPTSTNVIFIPYPETGSLSLRSTQKASAMSRAA >ONIVA02G18770.1 pep chromosome:AWHD00000000:2:16607759:16608040:1 gene:ONIVA02G18770 transcript:ONIVA02G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKRGGGGGEGEGILASFSRSSVAAHGREAATMAKKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQALLGGPPPPAPLK >ONIVA02G18760.1 pep chromosome:AWHD00000000:2:16599797:16600682:-1 gene:ONIVA02G18760 transcript:ONIVA02G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPLPSTPLGSPPLPSLSLQIQRRGGMGAAAAAAGAGGGDDGGPWLLPSLSPPRWAANREHGHHRLTAASMHPESEVARMSLDLPLLKILKWDTLWNLM >ONIVA02G18750.1 pep chromosome:AWHD00000000:2:16589665:16598647:1 gene:ONIVA02G18750 transcript:ONIVA02G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEESLDHPGSLSGMSPATPDVAWKPAERRRRRSEADAEGSSCCSLSAATAAWVGAGNVESDDPSVRSVAAGEQCRSVSRPEEEEEECASCTQDSTVSPPVSECGDRTAQQEPSTQEYTVSPPPVSECGDKVVQQESSNQESTVSPPPVSECGDKIAQQGSSTRESTVLLPVSECCNKVAQQESSTQESTESTVSPPVSECGDKIARQEGAASAIPTPEKVEATPRRPRKRSTKGLTRFKIMKDHKAAQRTATPVEVKIKRKAKDNGRRPLGDKSVRRKLNFEGDAVDFEGNREFSRAKLMEDLRCLAKVHGLRDDLGAGKRSKKGKKRKKMTGEHQDNGESALVPYQKAPAATSSSALVPIQNSTQLAIVHHRNHLKNLRTKVLGLDEKTLQVYNVLRKWDETDSESFEGVDIGSGPEWNETRRHFEHYVDVFIATVHGPRRFSEWGGSVTDSVVGTFLTQNVADNLSSNAFLNLVAKFPPTKRHINAEACSNLSLLIDDMRRKLNLNEQSNGTDSGSSDFTKPVDFEKENGYNEEVKGNYGRDYSTIIENFISIIEKHHKDMSTWDNARLENMVKDKSGTPVCSHRTLRKFMDTFEEKDTSHWDKLREEAYSKGYKIKGTGISDSADWEAVLHAPAVEIANSIAVRGQHYVIALRIQAFLKRVKKDHGNFDLDWLRYVPRESAKNYLISILGLGDKSVDCIRLLSLKHKGFPAICTKVSPNCRACPFSAKCKYYNSSLARLSLPPAEGHGHEYGEEQASTATPGRLLLSNDSHIAGFQQVCQPQIKINMPAGRESIYKCEPIIEIPPSPEHEYEESPYEQELYEDDLCDIEDTIPELQYDFEIDLCSLKHTVNNGSWTPNSGKDLALINSQHASVQNKRLKNIGRLRTEHNAYVLPDDHAILEEFEDRVPEDPCPYLLVVISCSDEHTVKGTILIPCRTATRGNFPLNGTYFQDHEVFADHSSSRSPITIPRECIWNLDRCIVYFGSSIQSIMKGQTRQDIEDCYKKGYICVRGFDRNTRYPKPICAKLHATNERNGTGENSRKKKKTSQEGKKIDDKSSFGKLEIN >ONIVA02G18750.2 pep chromosome:AWHD00000000:2:16589665:16598647:1 gene:ONIVA02G18750 transcript:ONIVA02G18750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEESLDHPGSLSGMSPATPDVAWKPAERRRRRSEADAEGSSCCSLSAATAAWVGAGNVESDDPSVRSVAAGEQCRSVSRPEEEEEECASCTQDSTVSPPVSECGDRTAQQEPSTQEYTVSPPPVSECGDKVVQQESSNQESTVSPPPVSECGDKIAQQGSSTRESTVLLPVSECCNKESTVSPPVSECGDKIARQEGAASAIPTPEKVEATPRRPRKRSTKGLTRFKIMKDHKAAQRTATPVEVKIKRKAKDNGRRPLGDKSVRRKLNFEGDAVDFEGNREFSRAKLMEDLRCLAKVHGLRDDLGAGKRSKKGKKRKKMTGEHQDNGESALVPYQKAPAATSSSALVPIQNSTQLAIVHHRNHLKNLRTKVLGLDEKTLQVYNVLRKWDETDSESFEGVDIGSGPEWNETRRHFEHYVDVFIATVHGPRRFSEWGGSVTDSVVGTFLTQNVADNLSSNAFLNLVAKFPPTKRHINAEACSNLSLLIDDMRRKLNLNEQSNGTDSGSSDFTKPVDFEKENGYNEEVKGNYGRDYSTIIENFISIIEKHHKDMSTWDNARLENMVKDKSGTPVCSHRTLRKFMDTFEEKDTSHWDKLREEAYSKGYKIKGTGISDSADWEAVLHAPAVEIANSIAVRGQHYVIALRIQAFLKRVKKDHGNFDLDWLRYVPRESAKNYLISILGLGDKSVDCIRLLSLKHKGFPAICTKVSPNCRACPFSAKCKYYNSSLARLSLPPAEGHGHEYGEEQASTATPGRLLLSNDSHIAGFQQVCQPQIKINMPAGRESIYKCEPIIEIPPSPEHEYEESPYEQELYEDDLCDIEDTIPELQYDFEIDLCSLKHTVNNGSWTPNSGKDLALINSQHASVQNKRLKNIGRLRTEHNAYVLPDDHAILEEFEDRVPEDPCPYLLVVISCSDEHTVKGTILIPCRTATRGNFPLNGTYFQDHEVFADHSSSRSPITIPRECIWNLDRCIVYFGSSIQSIMKGQTRQDIEDCYKKGYICVRGFDRNTRYPKPICAKLHATNERNGTGENSRKKKKTSQEGKKIDDKSSFGKLEIN >ONIVA02G18740.1 pep chromosome:AWHD00000000:2:16584430:16588947:1 gene:ONIVA02G18740 transcript:ONIVA02G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVWTHHIHVVEGDEEAGGMVVVWSAPTASTSPPSWSNKMVVTSPMWSNIPTAAPLPTPSYKWVVEGTVWSELQGGSDVPDFEEKVGAGVPNGEQQLNNGLFADLDDQQMDDVEEPIDVEEMAILGDDDDTVAGDEGIDEFAEIREDSKHGTTKMTIYYYYLLGFGNKVEIKLEGSITVFFVLHIILSETMLFTALFIFL >ONIVA02G18730.1 pep chromosome:AWHD00000000:2:16579271:16580561:-1 gene:ONIVA02G18730 transcript:ONIVA02G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAAMMRQTTRGLSDEVAGVKVHRDEADGEGIDYDKNLLKKTDRDLNASPNGIAVLVLTSMPSCCYV >ONIVA02G18720.1 pep chromosome:AWHD00000000:2:16576316:16576779:-1 gene:ONIVA02G18720 transcript:ONIVA02G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSPSSTVNGVFTVKSAYNYERRNCRTALASTTSSNEGGQKEWKKLWNLKCPNKMKHFLWLLAHNSLALRMRLSRKGMEIDIKEAVEGSEYGRNSSQIVRAKICMGGTEEYNGIGIRFPVNCSPTDVYVVA >ONIVA02G18700.1 pep chromosome:AWHD00000000:2:16571450:16574988:1 gene:ONIVA02G18700 transcript:ONIVA02G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:winged-helix DNA-binding transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G13980) TAIR;Acc:AT4G13980] MEVAAGARGGGAGGGGGGPAPFLLKTYEMVDDPSTDAVVSWSDASDASFVVWNHPEFAARLLPAYFKHSNFSSFIRQLNTYGFRKIDPERWEFANEYFIKGQKHLLKNIHRRKPIHSHSHPPGALPDNERAIFEDEIERLSREKSNLQADLWKSKQQQSGTMNQIEDLERRVLGMEQRQTKMIAFLQQASKNPQFVNKLVKMAEASSIFTDAFNKKRRLPGLDYSIENTETTSFYDDHSSTSKQETGNLLNQHFSDKLRLGLCPAMTESNIITLSTQSSNEDNGSPHGKHPECDMMGRECLPLVPQMMELSDTGTSICPSKSSCFAPPISDEGLLTCHLSLTLASCSMDVDKSQGLNANGTTIDNPTEAATATMEKDDTIDRSFDDNQKKSADSRTADATTPRADARVASEAPAAPAAVVNDKFWEQFLTERPGCSETEEASSGLRTDTSREQMENRQAYDHSRNDREDVEQLKL >ONIVA02G18690.1 pep chromosome:AWHD00000000:2:16565973:16569490:1 gene:ONIVA02G18690 transcript:ONIVA02G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILWEKSAGWRWLVRRTRDSKPFFFTFAALCGVVPGVVGYGVMQLTSSRNDQLEAHLRSTARPETTMMGQVNRERLAEFLGELQRKEDTNDRYVAALKGETLTRKRYERIQPVNKEGTPESPPVKEQATTESVKAK >ONIVA02G18680.1 pep chromosome:AWHD00000000:2:16565302:16565601:1 gene:ONIVA02G18680 transcript:ONIVA02G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSFTVLVFSSALITAIHCASSSQAELHASSPASFPRSACSTSSVVARAEQIPEPALLLRLPSPLPPPPDFLRRDVERRDTGTVIDGGEGREVDPAH >ONIVA02G18670.1 pep chromosome:AWHD00000000:2:16543134:16555827:1 gene:ONIVA02G18670 transcript:ONIVA02G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSINKNRSCSVAWAASAAQPTATVSQVASAAAATSTAQPSEQRDYIPSSGVHQPQPLENQMVKGQDLCQTHKTSTKYVADGNPCINTPVEHIQRTPIEVMSSFQSVNRSATTKNCHLEASRETTSANPTEKPKVWSRPRKEVEPVGKPKARGHPKKQAEPDGKPKARGRVRKTTEANGKAEDRDPTMKENDEAIIEKLKLLSINMTSDNTVEETPKDLGALVPLEGKVKKRGSRAEVKIDPVTNLMWNLLMALCEGVEGIDEDKERLLEEERRMFRGRIDSFIARMHLVQGDRRFSPWKGSVMDLVVDVFLTQNVSDHLSSSAFMALAARFPVKSEGPKKPAAVEKSTPTPPKQKDSCSGVLGESAKLQGNFFVEEIGDLGSFNTVDDGSLEGVLSSQNSVVSPRNFSKYLLNGTYTMGSSSSLVKFTQEVGSSGCHQVSVLPTSDLNKAAPTDHGVNISDVAQSEVSLYQQHPIDASINKNKAKVTDYSSGSFLYDNRDGSLSQHMYSSFPFQPSQEAECSATVKQSFFQQFISSEEVPISTGHSFYGNSFTSNRTEDPYVEQQDCFNNLQEAYTTRTIQINSERSQPECSQQQDNDIRVQAKTCEKHSSSNLCGNMNSHSDVPLGIASGSIGKSKHTEKRPKARNVRGRTKMKHYDWDNLRKEVLRNHGNRQRSDKAKDTINWEADFLNRLVRDHGSIDLEWLRDIEPDKAKDFLLSIRGLGLKSTECVHLLTLHQMAFPVDTNVARICVRLGWVPLQPLPESLQLHLYELHYQMITFGKVFCSKSKPNCNSCPMRAECKHFASAFASARLALPGPSKKTSKPEYPNDAESSHKKYTHSRPMGQLSWNTNHPGHVYEPSTPEPEPDIAEAREAEIEDFFSEDPDEIPIINLNVEEFAQNLKSYIHANNIEIEDADMSNALVAISPQAASVPTSKLKNVNRLRTEHQVILMIKISTGETAQSTDAPKTFCNSKETGKLCESSTCFSSQKIPCRTAMRGSFPLNGTYFQVNEVFADHYSSKNPFDVPRSWIWNLPRRTVYFGTSVPTIFRGFVCVRGFDRELRAPRPLYARLHFPASKASRGKKPATAREEE >ONIVA02G18660.1 pep chromosome:AWHD00000000:2:16541358:16543088:1 gene:ONIVA02G18660 transcript:ONIVA02G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQWLHEPHGINLCFSISSTLPRMNPSIETGTRNVTHVPSKNVSTSSSQARKTTVQKPKRKRHRPKVIKEGKATQAHKSTTSEPPKEKDKPAGKRKYVRRKEQNTTPTEHHPPSKDAVAHTIVVPTLAKRCFNFDGRDHHEENVDLLSQTRVEETPTCYGDAQLLTSAVEGSNIQLVQPWCGIGSPIFASVDPMANMRQIWAESSRANRVTFDLNNSAVNHIPRRFSNPTNSYGQNFQFGSREQINQYQHFYDDDIPDEIPENLVVPTWHTERTWMVGNFNHEASTRVVNPMPKGYRVPQSPSEPPTCSERNTTNINLSEFPAKNDQSKFATNPNDQIGASFGLCDSHFSDVHAIGKKRGYDTITDHQVSFDAYLEQSNSRRQFYSDPLSTSSETYLLTETCKRMRSENHSSWLDGFIGNVSSTSANLSGNWNTNNVLAINHGVCTTLADVQRSMALEESRSSQQYTDPTLPCTSNTHFIGSCAQHTNLPDSAMNSLGENIGHRNGDHQLESLEIRPTQHYTSECLGLPNE >ONIVA02G18650.1 pep chromosome:AWHD00000000:2:16525526:16529098:1 gene:ONIVA02G18650 transcript:ONIVA02G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVQFGRKAWFVVRVLSGYEERRIRAHRLQMQQQIARAQAKKEELRKQPEQIILSEVRQMVQQMQALNQQLEETETAIDEYFKPIDKNAKIIMDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDTQTSDKIAEGPPKEETMK >ONIVA02G18650.2 pep chromosome:AWHD00000000:2:16526052:16529098:1 gene:ONIVA02G18650 transcript:ONIVA02G18650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVQFGRKAWFVVRVLSGYEERRIRAHRLQMQQQIARAQAKKEELRKQPEQIILSEVRQMVQQMQALNQQLEETETAIDEYFKPIDKNAKIIMDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDTQTSDKIAEGPPKEETMK >ONIVA02G18640.1 pep chromosome:AWHD00000000:2:16517780:16518220:-1 gene:ONIVA02G18640 transcript:ONIVA02G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGELRPAWRSPPVLLLCAAEATVVASLALVQPTKPGASPVREERVESFHARRRSRMRMTTNENLPKALHGGHRERRTEKPM >ONIVA02G18630.1 pep chromosome:AWHD00000000:2:16509720:16517207:-1 gene:ONIVA02G18630 transcript:ONIVA02G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKLLFDAAHNGDLYIVRGMATLLDDGRGRIGEAVQAARVRGAPMGGMGALHLAAGKGRLEVCRYLVEELRLDVDDADQEGKTALIIATLCKHLSTVKYLLDHGADVNKASHDGRTPLHYATHLGDCGTVQLLLAKGACVDTVANCGTPLHVAASKGKDGAMKILLDHNADFNKMVDGHLTPLATAITAGELKCVNLLIEAGAVVSGDCISTAAKGGSNECNYSMEETGANRNISDNGEPVSKRKATELKSLGNKAVEKKDYLSATGFYSKALDLYPDDATLFSNRSLCWHHMGNGGKALLDAYECRKLRPDWPKAYYRQGAALMLLKDYESACETLYDGLKLDPGNSEMEDALREALESLKTSASTEAR >ONIVA02G18620.1 pep chromosome:AWHD00000000:2:16501922:16502385:1 gene:ONIVA02G18620 transcript:ONIVA02G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLSSIHIRASSSIFLSLPQCRPLAKPSRHYLRLDEANPFPYLTLPFPLRDKPPPPPLLPSETELVLSPFRPFQPSSLPATNLPECASTGNTICRRRHRTLATGSPAPRSPFSLPLSLSRLLIEEEEEEEEEERERKEK >ONIVA02G18610.1 pep chromosome:AWHD00000000:2:16490698:16497743:-1 gene:ONIVA02G18610 transcript:ONIVA02G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFFNGAMYAGGWMQHMLFEAAHNGDLDLVRGMAMLLVEGRGRLGEAVQAARLRGTGPLDGMGALHIAASKGRMEVCRYLVEELRLDVDDTDQEGRTPLIIAIVFNHVSTVEYLLDRGADANKASHNGLTPIHFAICLGECGMVQLLLAKGACVDPVAYCGTPLHVAATEGRDGAMKILLDHNADFNKMVDGLTPLDTAMDSGELKCINLLIKVGAVVSEDRMLTAENSGSTECFNYLMEETGANCNISDNGEPVNKRKATDLKSLGNKAVEKKDYLSATGFYSKALYLYPDDATLFSNRSLCWHRMGDGGKALLDAHECRKLRSDWPKAYYQLGAALMLLKDYESACEALYNGFKLDPGNSEIEDAFRYPFCLFWSHYDNMAYDDSFG >ONIVA02G18600.1 pep chromosome:AWHD00000000:2:16476681:16477103:-1 gene:ONIVA02G18600 transcript:ONIVA02G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMWRRSTSTPYDIDLMDEDAAETTPAPAPRLCSTIAGGGDDGGVEVGLHRVDDVLAELHQAEGLVDDRSCSRPGAGGGVGAPHHLLVGHPLRRRAEHAKAAAADAHDVPAHDVERRRAGWQQRCRRPFSLSPLSPCSLS >ONIVA02G18580.1 pep chromosome:AWHD00000000:2:16454033:16476304:-1 gene:ONIVA02G18580 transcript:ONIVA02G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRVPPPARGSRRRPPPSSPVPLSPRQDMLLEAASDGDLGFLKRVVRSLDGGRGRPAEAVEAVRECGAGALHLAAGAGKLAVCRYLVEELRVDANAIYDQGETPLAYAVNGANVATVRYLLDHGAHPEKADNKGFTPLHFAAEEGYCNVVELLLAKGAQVDSMSVRGTPLHLAATNGQHRVVKILLDHNADCNKIVSAVYTPLLVAIYGSSLKCVKLLIKRRQPNIFFLVSAGADVNGVGNITPLIASVGSTEIMKCLLEAGADPNVPDEFGRMPIEFAVRCGTLKDVNILFPLTSPMPTVPDWSVRGIIRHVNTLPGQKDYESGLEKEVAGLKLQGVEALKRQDYLAASDLYTKEYQKACDALLDGFKMDPGNSEIENALREAMESLKISDGCCVGVRGPTATELDLSVPLGKEALVGVSMDHMRSSMQRGGLPPEMLEVGMELMRVLVGDSIPDPPVSTLPRLAPAAAARAPADGVDRISRLPDELLRDVVSRLPARDGARTAALSTRWRGVWRSVPLALVDAHLAPEGRGGGGVVAAVSRVLAAHPGPFRCAHLTTTSMEAHRGEVARWLEALAAKGVQELVFVNRPWPLDLRLPAALFACSSLTRLHVGVWRLPDTRAVPRGAAFPHLREMVLSCVVMEDRDLAFLLDRSPALEKLAIITCQDGARVRLTSRSLRILQVCLTVVNYVDVVDAPRLERLMLWMTSKHRSCLSSMVKIRNAPKLRSLGFMEPGMHELEIGNTIIQAGMKLSPSTVVPSVKILALEVKFTVRDEARMLPSFLKCFPNVETLHIHSAVEDEPTGKSKLNLKFWQDTGPIECVQHHIKKVIMREFRGTKSELTFLKFVAERARKLERMVVVVTNGCFCSSGCQGDTQAQMETLMASAKWASEGSKLVAFENPHSQVGTPAWSFRFAFNFDWSDPFDYGYDQASLGEPVSKRKATELKSLGNKAVEKKDYLSATGFYSQAVDLYPDDATLFSNRSLCWHHMGDGHKALLDAYECRKLRPDWLKAYYRQGAALMLLKDYESACETLYDGFKLDPGNSEMEDALREALASLKASASTEAR >ONIVA02G18570.1 pep chromosome:AWHD00000000:2:16444852:16448458:-1 gene:ONIVA02G18570 transcript:ONIVA02G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPSDPRAPTRRLLQAAADGDLAAFKRIAGKLDGGKGRLRETVEGVRDRGAGALHVASGRGMLAVCSYLVEELQVDVDAADDSGDTPLAYAVRGRSIDGVKRV >ONIVA02G18560.1 pep chromosome:AWHD00000000:2:16440902:16443479:-1 gene:ONIVA02G18560 transcript:ONIVA02G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNAGSLKCVVLLLKAGADVKGVGTFGHLPIELAAYNNRRKDVEILLPDDPMYKMSPADMKLAASEAYRRQDYITAMKLYTRDFEKACDAFFDGLKLDPASDEIAEALRSSRTQTMH >ONIVA02G18550.1 pep chromosome:AWHD00000000:2:16427627:16437162:-1 gene:ONIVA02G18550 transcript:ONIVA02G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHFVLGEQAARNPSFTYLLPPLAGPGVPQQLLIQSAAAGDLPAFKKFARMLDGGKGRLKEAVEAVKNRGAGALHQAARYGRTAMCAYMVEELQVDIDAADELGATPLGYAIYGGIVDTVSYLLDHGANPDKPNEKGCTPLHLAVEQGHCEIVKVLLVKGANVDSSSDHGTPLHVAASKSQDGCMKILLDHHADAGADVKGVGTFTPLIVAATEGLTDFYKCLLEGGADPDVPDKFGFLPIEIAARQNRRKDVEILLPVTSRIPSVHDWSVDGMITYVNKQVEVDPFFKIRPADLKLEGNRAYMRKDYLTAAKLYNMAIEHDPEDMTLYSNTSVCWLKMGKGMNALETAQVCRILRPDWPKGCYREGTAHMFLKDYEKACNAFLDGFKLDPANIEIENALRMIMPQSSLQIPEALKSLKASRAA >ONIVA02G18540.1 pep chromosome:AWHD00000000:2:16426751:16427092:1 gene:ONIVA02G18540 transcript:ONIVA02G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMLRAALSPAVALSTIDGVVSVAAAASDHDRVGAAKRARDGHLLPRSEEHDADADACGWSSAVMERSLALRRRRGCASSPCCSSFRRAATVAVVQEQGFPTSAAAARDVM >ONIVA02G18530.1 pep chromosome:AWHD00000000:2:16417490:16417959:-1 gene:ONIVA02G18530 transcript:ONIVA02G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRFPPSSSAARLPPRSTPPEPRPSPPAAAAGAAALAPGSLEEVLLQAAFDGNLRLVRKMARALDEGDGRRLGDKVGAVRDSNGVGALHLAAGRGSLPVCGYLLEELRVDIDAVEDRGV >ONIVA02G18520.1 pep chromosome:AWHD00000000:2:16405299:16406999:1 gene:ONIVA02G18520 transcript:ONIVA02G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPSGWFMKVPPPLHTVDPPGSPPPASILLEPYGYFSDRTNHTTARGLTRDGKNIVVTFWTATPPRASFFTLHSPDDTKCSAFADAPDAVCSDHHLLLLRIPICLEATQIYAINNHYFVYHAGGDGEQRLTPVPTPPGLTFAFPNSEVVVLRRRRRRDAFFLAALHRPTLCRQYTHEQFDLHLYSSETGEWSTKLMVSVDADDDDDSTSFRFSYASKAIVVGGELGTVGWVDLWHGILVCDILLDNPRLRFIPLPPPLVPRQLKGDPMFLRSIVVLEGYIKFFEMYNHTTGSASAQAAAAAGRTTALSNSPRSQWMLRLQPNLKQGTGTTRLTLKRLHAGYPALSLHDSDVVYIMHTPDPDEEDKALVIAVDMRNKALKGVADFGFGRPVGYGFTYLQTGISKHLSNCSSSSSYKITD >ONIVA02G18510.1 pep chromosome:AWHD00000000:2:16399197:16405183:1 gene:ONIVA02G18510 transcript:ONIVA02G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKRPMPDGDACSATAAVALSPCNKPRWLFMSIFNYKRMETLGAGTYIVVYRARDRRTGKTVAVKWVLTPADVERDCLAACRGHPYVVQLRDVAANPSNRDMFLVKEFVVARSLRDLIASHARRRPFSEGETRALMRQLRAGVRTMHAAGIAHRDIKPCNILIGPGGALKICDFGMATTAAPPYEGFMVGTLHYNTPEQLAGKGQYNAQAVDMWVLGEVLAGLLAFYDDERMMAEAALEHRWFMEEADSPTVLEGLAGLLHDRRFRVEAIVRRRQDRTPLHVMNRFLCYNVGSSPVNAPALTQFPRQVSQRANCGRPSKSRRSRDDRPMPTDSPINPPPWRQPNHTLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMIEEELLADLSDNLGDLLRELFEDVLLELSPANLSGLLSFDPEKRLTAAEAMEHRGRVRQVKHLALLLKRGRLERRDAAVCKLASRAARRTGGTRLAVGSTAARVSSRGSAGCGEFAPRRWVRKAATNSTATEATRAPAVGCGARDNDGRLRRWMELS >ONIVA02G18500.1 pep chromosome:AWHD00000000:2:16364956:16413352:-1 gene:ONIVA02G18500 transcript:ONIVA02G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMGKFQGREAVKNKDYRGAMHIYTKAIALNTRDASLFSNRSLCWLKLGEGEKALIDAEACRMMQPNWPEACYRQGAALIVIPGFAFPPPKTPTAMAPPHACSPGATQRLLLHAAADGDLRLFKRIASRLDGGEGRLKEAVEAVKDRGAGALHQAARNGRTAMCVYLVEELQVDINAANESGATPLIYAVLGGIVYTVSYLLDHGANPDKPNEQGRAPLHLAVEQGNCEILKVLLVKGADVDSSSDCGTPLHIAAVKSHDGCMKILLDHHADVFSTFYTPLIAALMVRSLKCVKLLIKAGADIKGVGTFTPLIAAATEGLTDFYKCLLEAGADPNVPDENRRKDVEILLPVTSRIPSVYDWSVDGIITYVNKNVQATNLEPEDGTCTRIGAFAWLKMGEGMKALTDAHLCRMLCPDWPKACYREGAAHMTDKACDAFLDGLKLDPANMEIENGLRPSPAAAAAPSPAPASPPVKALLEEVLLHAAFEGNLRIFRKNVRALDEGDGRLADKVGAVRDSDGLGALHLAAAREKLPVCHYLVEELRVDVDAVDNKGETALTFAINCGNEDMVRYLLDHGADTEKINNDGLTALHFAAGEGKCKIVEILLSKGAYIDSLTTGGTALHCAAYNGRDAVVKILLDHHADHKKVSWGAYTPLFVAVESGSLKCLKLLIEAGADVKGIGKEIPLITAASKGLTDIIKCLLEAGADPNLGHMPIEVAARCGERKAIEILFPVTSRIQSVSDWTVDGIINHVKSLPEVKEEDFCEATLDMGKFQGREAVKNKDYLGAMNIYTAAIALNPRDASLFSNRSLCWLHLGEGKKALMDAEACRMMRPDWPKACYRKGTALMLLKDYKKACNSFLDGLKLEPENIEMKNALSEALQALKMSDSVDMEPLD >ONIVA02G18500.2 pep chromosome:AWHD00000000:2:16364956:16413352:-1 gene:ONIVA02G18500 transcript:ONIVA02G18500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMGKFQGREAVKNKDYRGAMHIYTKAIALNTRDASLFSNRSLCWLKLGEGEKALIDAEACRMMQPNWPEACYRQGAALMLLKDYKKACNSFLDGLKLEPENIEMKNALSEALQALKMSDSVDMEPLD >ONIVA02G18490.1 pep chromosome:AWHD00000000:2:16362927:16363598:1 gene:ONIVA02G18490 transcript:ONIVA02G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRASFLLAAAALLALWCSDHGGVVASDPSHLQDLCVADKASTVRVNGVACKDGEDVAAEDFFFSGLHMAGNTTNKQGSAVTAVNVAQVPGLNTLGISLARIDYALHGLNPPHTHPHATEILTVLEGSLYVGFVTSNPENKLFTKVINKGDVFVFPKGLVHFQFNYRTTDAVAIVALSSQNPGMITVANAVFGSKPSITDDILANAFQVEKTVVDQIQAKF >ONIVA02G18480.1 pep chromosome:AWHD00000000:2:16355740:16356303:1 gene:ONIVA02G18480 transcript:ONIVA02G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFTDPYAVRVNGFPCKDAKDVVAGDFFFSGLHMAGNTTNKQGSNVTTVNVAQIPGLNTMGVSLVRIDYAPNGLNPPHTHPRATEILTVLEGSLYVGFVISNHENKLFTKVLNKGDVFVFPQGLVHFQFNNGTNNAVALAALSSQNPGVITVGNAVFGSKPSISDDILAKAFQVDKNIIDRIQAQF >ONIVA02G18470.1 pep chromosome:AWHD00000000:2:16353313:16354122:-1 gene:ONIVA02G18470 transcript:ONIVA02G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTWFFLLALLAVSISNAFASDPSQLQDFCVADKMSQVLVNGFACKDPAAITVEDFFFSGLHMAGNTSNRQGSAVTGVNVAQISGLNTLGISLARVDYAPYGLNPPHIHPRATEILTILEGSLYVGFVTSNPENKLFTKVLNKGDVFVFPQGLIHFQFNYGTKDVIALAALSSQNPGVITIANAVFGSKPFILDDILAKAFQVEKKIVDRIQAQF >ONIVA02G18460.1 pep chromosome:AWHD00000000:2:16344892:16348958:-1 gene:ONIVA02G18460 transcript:ONIVA02G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G6R8] MDDDDLGYPPGMAGLDAMAGLGGLGGMGGLGGLGGMGGLGGLGGFGGGGMEDLYGGGGGAGGEEGGEGPYAAEMEVGEEKEIGKEGLRKKLVKEGEGWERPDAGDEVQVHYTGTLLDGTKFDSSRDRDAPFKFTLGQGQVIKGWDLGIKTMKKGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVELISWESVKDICKDGGILKKVLAEGTKWENPRDRDEVFVKYEVRLEDGTVVAESDGVEFTVKDGHFCPAISKAVKTMKKNEKALLTVKPQYGFGEQGRPAARDEAAIPPNATLHINLELVSWKAVTEIGNDKKILKKILHEGEGYERPSDCTLVRVKLIGKLEDGTIFVTRGHDGDEPFEFKTDEDQVVEGLDKAVLSMKKGEVALVTIPPEYAFGSDETRQDLSVVPPNSTVYYEVELVSFDKEKESWDMKENTEKIEAAAKKKDEGNAWFKMEKYARASKRYGKALNFIEYDSSFSEEEKQLSKPLKVSCKLNNAACKLKLKDYKEAKELCTEVLELDSMNVKAFYRRAQAHMYLVDFDLAELDIKKALEIDPDNRDVKMGYRRLKEKVKEQKRKETKLYGNMISKLSKLEDSETEGGTTQAPSKKHGLWPLTALLRRLFTRSDGSKESMLWLVLRLLIPVVLLVAVCVAFYMRSGPPEVDCINC >ONIVA02G18450.1 pep chromosome:AWHD00000000:2:16337685:16338170:1 gene:ONIVA02G18450 transcript:ONIVA02G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDPSSATAADAVAGGSYRVCDTVVLVCLAFASSIIVFTVAVCFRRAVALQGYAASSSASGRGAAAAAPPAAVGGLRGLAPSALAAIPKFAYRRGGCGGWAQCAICLGVVRDGEAVRRLPECKHLFHVECVDMWLYSHATCPLCRRDVGAAAAAAGDKV >ONIVA02G18440.1 pep chromosome:AWHD00000000:2:16332049:16332648:-1 gene:ONIVA02G18440 transcript:ONIVA02G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAGGAPGPAAQRHGGGGGGGGCCSSGVTLELVGAFTAVCLVLYGVILYFNYLYVRWSGRDGVHRTSGGGGGGGGGAAARKRGGGGGLDKAALAAIPVFRFKASASAAALGGGEAECAVCLSGMQDGDAVRALPGCGHAFHAGCVDAWLRAHGTCPVCRARPAVPPPPPAKPPCLKAPEPAAAAAGRQPVDLESQV >ONIVA02G18430.1 pep chromosome:AWHD00000000:2:16326314:16326631:-1 gene:ONIVA02G18430 transcript:ONIVA02G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGSRACGGDDKENVPPMPAVAASSWHGIAVVKNQRMKRPGGGGGKLRRRVPLRDITNLMYVAARPPAPPAASSVTAAARSREEPVAAAAALPARRSLRKEFR >ONIVA02G18420.1 pep chromosome:AWHD00000000:2:16322034:16323185:-1 gene:ONIVA02G18420 transcript:ONIVA02G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVSSQFSICFCVLLLVHDSMAQLFYPRTNPWHSPHQGSFSEYRFDRLQAFESLQKVRSEGGVTEYVDERNELFQHTGTFVIRRIIQPQGLLVPRYTNTLSMVYIIQGRGTMGLTFLGCPATYQQQFQQFSPQWQSESQKFRDEHQKIYQFRQGDIIPLPAGVAHWFYNDGDAPVVTIYVYDINNRANQVEPRQKEFLLAANNNRVQQVYGSSIEQHPRQNIFNRIGVEQLSEALGINTVAAKRPQSQNDQRGEIIVDGLYPTTVFKGRKVRLIPRNTREWNKRAWDKDFYTGSGPQGR >ONIVA02G18400.1 pep chromosome:AWHD00000000:2:16307030:16308783:-1 gene:ONIVA02G18400 transcript:ONIVA02G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFPGCPATYQQQFQQFSSQGQSQSQKFRDEHQKIHQFRQGDVVALPAGVAHWFYNDGDASVVAIYVYDINNSANQLEPRQKEFLLAGNNNRVQQVYGSSIEQHSSQNIFNGFGTELLSEALGINTVAAKRLQSQNDQRGEIVHVKNGLQLLKPTLTQQQEQAQAQYQEVQYSEQQQTSSRWNGLEENFCTIKARVNIENPSRADSYNPRAGRISSVNSQKFPILNLIQMSATRVNLYQNAILSPFWNVNAHSLVYMIQGQSRVQVVSNFGKIVFDGVLRPGQLLIIPQHYAILKKAEREGCQYIAIKTNANAFVSHLAGKNSVFRALPVDVVANAYCISREQARSIKNNRGEEHGAFTPRFQQQYYPGFSNESESETSE >ONIVA02G18390.1 pep chromosome:AWHD00000000:2:16299453:16301758:1 gene:ONIVA02G18390 transcript:ONIVA02G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHPRPLEDDDLLAEILLRLPPRPSSLPRAAAVCARWRRLVTADPAFLRRFRARHRRGAPLLGFFHSHRVSPSFVSTMDPPDRVPAAVGAGGRFSLRFDDFRCRILGCRDGLVLAVNPVMPRRRGCFLVWDPVSGDQRRVAFPRECDQGQTEVRNGAVFRLPGGGGGFRFQIVLVGTRHQYEAIGCIYSSETGKWGDLVATPLPHNLTRISLAVPGVRIGDSLYWLISGIPGGILEFDLNEQRLAVIDDVPMAVSDGYRRLCPDGSLGFVFMSDLGSQFWRRKNDWDDDVSESGWVLEKTVQLSELLSLSPTERKGSPIVMGFSEDYNVIFLKTINGLFMVHLESMEFKRILKDCAALFIYPFASVYTAGMSIGDGHDEDGHSPAMLVYNPLNPTFSIAHLLFCPYQINPLYPFVARLGHGLVVRGLSVTLIF >ONIVA02G18380.1 pep chromosome:AWHD00000000:2:16294699:16296862:1 gene:ONIVA02G18380 transcript:ONIVA02G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFSRFSIYFCVLLLCHGSMAQLFNPSTNPWHSPRQGSFRECRFDRLQAFEPLRKVRSEAGVTEYFDENNELFQCTGTFVIRRVIQPQGLLVPRYTNTPGVVYIIQGRGSMGLTFPGCPATYQQQFQQFSSQGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNDGDAPVVAVYVYDVNNNANQLEPRQKEFLLAGNNNRAQQQQVYGSSIEQHSGQNIFSGFGVEMLSESLGINAVAAKRLQSQNDQRGEIIHVKNGLQLLKPTLTQQQEQAQAQDQYQQVQYSERQQTSSRWNGLEENFCTIKARVNIENPSRADSYNPRAGRITSVNSQKFPILNLIQMSATRVNLYQNAILSPFWNVNAHSLVYMIQGRSRVQVVSNFGKTVFDGVLRPGQLLIIPQHYAVLKKAEREGCQYIAIKTNANAFVSHLAGKNSVFRALPVDVVANAYRISREQARSLKNNRGEEHGAFTPRFQQQYYPGLSNESESETSE >ONIVA02G18370.1 pep chromosome:AWHD00000000:2:16288412:16290231:-1 gene:ONIVA02G18370 transcript:ONIVA02G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFSRFSIYFCVLLLCHGSMAQLFNPSTNPWHSPRQGSFRECRFDRLQAFEPLRKVRSEAGVTEYFDENNELFQCTGTFVIRRVIQPQGLLVPRYTNTPGVVYIIQGRGSMGLTFPGCPATYQQQFQQFSSQGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNDGDAPVVAVYVYDVNNNANQLEPRQKEFLLAGNNNRAQQQQVYGSSIEQHSGQNIFSGFGVEMLSESLGINAVAAKRLQSQNDQRGEIIHVKNGLQLLKPTLTQQQEQAQAQDQYQQVQYSERQQTSSRWNGLEENFCTIKARVNIENPSRADSYNPRAGRITSVNSQKFPILNLIQMSATRVNLYQNAILSPFWNVNAHSLVYMIQGRSRVQVVSNFGKTVFDGVLRPGQLLIIPQHYAVLKKAEREGCQYIAIKTNANAFVSHLAGKNSVFRALPVDVVANAYRISREQARSLKNNRGEEHGAFTPRFQQQYYPGLSNESESETSE >ONIVA02G18360.1 pep chromosome:AWHD00000000:2:16274742:16275074:-1 gene:ONIVA02G18360 transcript:ONIVA02G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLITLSCLCLCLLLLLVTGSSSPVSVSVSGDRCPVLHHHRRLHDMVAAAVVSQPPPRPPPPAAPAAARTSGTAVETVLPRQRDDGEEIDETVYEGSKRLSPGGPNPQHH >ONIVA02G18350.1 pep chromosome:AWHD00000000:2:16264901:16266172:-1 gene:ONIVA02G18350 transcript:ONIVA02G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G18480) TAIR;Acc:AT1G18480] MATAAATAAAADVPSCRDLPAAVSAFADAFVDFAVSGIFFPSTPTPSPPPPPTPTTFLPSPTRLVAIGDLHGDLPKSLSALRLAGLVPPHDPTSWSAGPTLAVQLGDILDRGGDEIRLLYLIRRLAISAAGQGGALLPIMGNHEVMNVSGDFRFATPQGLREFSAWAGWYRAGLAIKRRCARGGDGGDPPPKNPFLGIPKEFPGVKPEFWDGIRSRLAALRPDGPIARRFLADLPTVLVVGDSVFVHGGLLEANVEYGLERINAEVSEWIRGERGANAVAPEFVRGRDAVVWLRRFSDGVNCDCQRLEGVLGMIPGAKRMIMGHTIQTDGINAVCGAQAVRVDVGLSRGCGNGLPEVLEINGGGTNVRVITTDPAEAWQYRKQGAEKAAIATAVKEKKGEVKEGLALLVRESHGLKEVQAKAA >ONIVA02G18340.1 pep chromosome:AWHD00000000:2:16254867:16255463:-1 gene:ONIVA02G18340 transcript:ONIVA02G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRTVVVGGEVAVKLLLLLGTEDDGGVDGSERGRQQGSLQQSTDKHTVTANCPTNGILVLACDNQREASV >ONIVA02G18330.1 pep chromosome:AWHD00000000:2:16245912:16246734:-1 gene:ONIVA02G18330 transcript:ONIVA02G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETDGSCDLEEQFVASKHLKVFEIKYSKRAQCFVEFYGFSTLGVPRNKINIERAELWSFGKRT >ONIVA02G18320.1 pep chromosome:AWHD00000000:2:16240443:16244842:-1 gene:ONIVA02G18320 transcript:ONIVA02G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLREEKILFTHQGLRSSQTRETRKWSWLVGADHIIKLLQYLLSFLQSREAVRVCSPSVGTVPVIQINDVDNFSSVQQLNKFVIHLLLHHEWTPLYVYELDSFHNGLQVLVMHRTKILVEKMLSQSVISLSISQCNFELNTRTRISAPSLVSLELTEILGWTHALESLPSWMIAVRTIAYTATLGIVVIMFHVETTAQESMVSMMMIVCFSVVCRICWFGPVVYILRHFLVVDKLTVQPKEKPKVKIEKDHGLTYLN >ONIVA02G18310.1 pep chromosome:AWHD00000000:2:16236833:16238142:-1 gene:ONIVA02G18310 transcript:ONIVA02G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLMILHVAMHEAIDSFSQKDYLGGGSWLCLGLRAGQKAISGSVQGDLGPPPMWRLSGRWHMVTGLEAELHNMARRNDLVSDGMTTATVQIVMYVCGALAAAPTMLHYDVDTNGKRHRCCHRDDEDMRNTSREV >ONIVA02G18300.1 pep chromosome:AWHD00000000:2:16234708:16235911:1 gene:ONIVA02G18300 transcript:ONIVA02G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVWRVATSQESNMHNDKLRKRTCELILTVQQLGSSTPGGNTNKTMGGEVPVVHRGSVDKGLLMVSAPTIDALDPEQWLQGVVIMEEANAGRNGWDAKVIAMGPTGDTRYTVQERSGKCGARGMAEHGRCMDIAVVVRSFSHPGLVRHNGV >ONIVA02G18290.1 pep chromosome:AWHD00000000:2:16230115:16233013:-1 gene:ONIVA02G18290 transcript:ONIVA02G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKFTNEGNKKVVMADGADHISALPDELLQYLLSFLPSREAVQTCVLSQRWRHQWKYVPALRINDVDSFYSVQQLNKFVHHLLLHRKRTPLYVCELDSFRNGEVAEWYQYAVSCEVEMLQVDTAHSADYCRLPEMAITSNHLTTLEFSGVQLGHISLDFSGCLKLEVLVMRGCKILVQKILSQSVRSLSITQCNFELNTRTHISAPSLISLELADILGWTPALERLPSLSTAFVRLDDRCEDYCLHSYYGDCGDQVSCGKYCTRFYDVHDDDCVLLGGLSNVTNLELITSPKVFIVRKDLLMRPMFSKLKTLLLNVSDADAGFGPLVYILRNSPVLEKLTLQLYEEPKAKIETDGSCNLEEQLVASKKLKVVEIKYSKIVVLCRVLQILNTCGVPRHFSFEQT >ONIVA02G18280.1 pep chromosome:AWHD00000000:2:16222581:16227002:1 gene:ONIVA02G18280 transcript:ONIVA02G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIDAARWVVDKALSPLSGGLLETWAASSELGVNIDAIKMELLYAKGMLENAQGREICSQALKELLQKLQQLAFDADDVLDELDYFRIHDELKGTYEAADVVDASCFRGVLVNSRHTAKAVGKSLSCFHTDAYHGDPDNPRTVARQRVHMLSKCLPCYSCPPVHENTADDAVKSQKWWQKHCGACCCSPNPGRDRANEAPIHKFDRVGISMRMKHIAEQLQPICAKVSVILNMEMLGSKSSTQDSTTSQRITISESVEPKLYGRNKMKDKIIRDITNGIYSEQDHSVLSLFGPGGIGKTTLVQYIYNNQEVHSHFQATIWVCVSFNLNVSMLIQQIKDQIPEVDGENGTAEDHIEQRLKSKRFLLILDDMWKCDGEDMWKRLLVPFRKSQAKGNVVIVTTRFPALAEMVNTMDHPIELERLEQEEFMQLFEACVFGEAKAPWQDHSELLDIGKKIIGKLKGFPLAAKTVGRLLRNNLTLDHWRRVLASKEWELQTGHNDIMPALKLSYVYLPFHLQKCFSYCGLFPHDYEFDSGELIHLWMGLNILCCNGQKTFEEIGLNYLDDLVDHGFMKKDEKDGHPIYVMHYLLQDLARMVSSYECYTIDCSHERFREIPPDVRHLSIVMGGAEEDASINETFHGNVILIMQRFKVENLHTLMIFGCYYRSMANTFGDVFRKAKALRVLLLSTMYYPVNHILHNFSALMHLRYLKLGSEYDKISPPRCISRFYKLIVLDLKDWKGSINLPVDMSNLARLRHFIVSHDETHSKICEVGKLQTLQELRRFEVNREKSGFEIKQLGHLMQLSGSLSICNLEKMQAKEADEVNLLSKNSLKKLTLEWDVQRSQKEPDKEQHILNVLRPHDNLQELCIRGHGGHSCPPWLGSKLSVKNLQSLHLDTVNWTVFPPLGEFWLPKEPETFIIRDCPELVELPVSQYASQKFKQDVMIDLFPKMQEVRIADCPKLESLPLIPWTDTLHTVDMKNVGSSLEKLVYSTKSSSSKLLLEIKEDHHLECLDEMVAFHNLSKIHELEVSKSPPLMNKHLHGLTSLKTLKISDSSITLQLLGGPDDEKHMLVLERLEIQNCSANGKELTQFLLQLPKLSFFRMSSCQNVTRLGVMTQLATAEPTSMPSSSTSSNEMGSQLQMEEVGDEGGLLLFPKNLTISLRELRITMNPGLSLLASLPPENNSRPGGLHNLHSLQTLSIRGCPKLLSAYSSSSSYCFLFPSTLDSLRIEDVEDMHTFAPLSNLTSLTYLYVKNCGKDLRVEGLWTLFTQGCLTHLCVYRSPNFFDNLVSQQQEELPAYCKIEMLFTDDIAGVLVAPICRLFSSSLNVLGLCFNEEIVSFTKEQEKALELITSLQELCFFDNEKLQSLPTGLHGLKNLKILEILSCPAIRSLPKNAFPNSLRKIYVDRRCSEELQHQCIMLEGVTVNIV >ONIVA02G18270.1 pep chromosome:AWHD00000000:2:16218818:16219569:-1 gene:ONIVA02G18270 transcript:ONIVA02G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPIGSRSMILGAISKRSGFFVSSKWPSFQSSAHRIDCSYAQAVQSRMIPISKDFQNLKKSLHGTVDAGDAPASKTAVSSEIQNKRGIVWIRVILCPNCRVYMQSGHLARDCPSKSGFPPQGLMVVANLASWSNGNRVWRVQNLVVIEKPLMTQSSLPMANLNPNPHRFLREGHMVNLGGNLRVPRVDLTVPQRPQRPHFCIATVEPALPEEDWDHDRLHILDHY >ONIVA02G18260.1 pep chromosome:AWHD00000000:2:16208726:16211779:1 gene:ONIVA02G18260 transcript:ONIVA02G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLILLAVKKIGSALGNEVINQATSFFKEFVTQLTELQGSMGRMRRELRLMHEFLTRTDIRHRNNRNYEIWVEEVRMLVHRIEDIVDDYMHLVAHKEEGWGTYLKRGFNRPSVLLSLKRIASSVKEAEANLVHLFQAKERWAWMVTSGECSGHIFETSRHLASMSRCLDEQDLVGVDENREILHEWLAGDELQREVIVLHGMGGLGKTALAANVYKNQREKFECHAWISISQTYSIKDILKCLIIELFRDDQTNAPSNIENMGIEGLQDELKMFLRDRRYLVILDDVWAPEAVNHLLMALVPNHKGSRVLVTTRNDDVAHLVLPEKRITLERLTKNGSWELFCKTAFPRAKTYGCPSELTQLAAQIVNKCNGIPLAIVSVGRLLFVRDKNKKEFRRIHNQLEWELINNPSLQHVRNILYLSYIYLPTNLKSCFLYCSLYPEDYLFKRKKLMQLWIAEGFIEKRGIRTMEEVAEEYINELVQWNMLQLVERNSLGRIKSFRMHDIIRELAVDLCRRECFGVTYSDEDKYGGSLEEKNGRRMVIHRLNNHSSQAISSANHLRTLIAFDNRMPSYNLLTLATKCKYMSVLELSGLPIEKVPRAIGGLYNLQHLGLRNSKVKLLPDCIERLTNLVTLDLQGSKIQELPRGIVKLKKLRHLFAERVNDKYWRKFRCRSGVPTPRGLEEMRELHTLQAVEVRGERSVWCLGALRQIRSIRIWGVKRSYCECLCESLRKMEFLSNLSITASDEEEILHLNDLNPLPPNLETLSLGGRLAQADLLLGAATADGQNHPLCSVLLYWSQQEEDPLESLSRWSNLTKLVLTRAYVGVQLVFLQGWFPSLKELSLRDMPHLTQLNIHQGTMTSLQQLRLVNLRRMTEVPLGIEFLVTTLNYLAFREITADFFKVLRYCPRICGIKWWYSLLREDFEEGEGHLSESDVYLSESLSESDMCLSESFSESDMYFSESDMHPKEFDGEAGYLFQRMNRSEYYDTSQHRHGIKTVRHDDSSSRSGTDMTGRE >ONIVA02G18250.1 pep chromosome:AWHD00000000:2:16196746:16199583:1 gene:ONIVA02G18250 transcript:ONIVA02G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLLLAVKKIGSAVGKEVTTQFTKHAVQLTELQGSMGRSMTELRVIHDFLCQMDIRSRGNQVYQGWLEEVCKVVYMLEDMVDEYLHLVGHQHDLGCYFFLKRSFKQPRYVLSLDRIACMMKETEKNLAHLYQTKERWVLTTNNSDLTSDLSYIIVQKPRDLANISRSLEEEDLVGIEDNKQKLVEWLGDGDLARSVIVVHGMGGLGKTTLVATVYKNEQEKFHCHAWISVSQTYTREDILRQLIIEISNQLHVVLDISAMDMACLQHRLKSFLQEKKYLIVLDDVWTAQVHNDLFGALVPNLEGSRIIITTRNADIVHFTFQERALEMRRLSKDDSWELFCKKAFLKQECPIELKDISEQMVSKCDGLPLAIVSIGSLLFARDKTLEEWKKIHNQLGWELINNPGLVHVRNVLHLSYIYLPTSLKCCFLYCSLFPEDYLFKRKKLIRLWLAEGFIEMRGRNSMEEVAEGYINELVRMNLLQLVKRNSFGRVKSFRMHDVVRELAVDLCRRERFGVVYRDEDNLVESLEVMDERRMIIHTLNKDINQAISNARRLRSLIVLDKKMPSSKRIVPLIADNSRYMSILELSGLPIDNIPDAIGDLFNLRHLGLRDSKVKLLPDSIERLSNLLTLDLFRSKIQELPKGIVKLKKLRHLFATNVHDRSARNFRCHTGVRIHNGLERLTKLQTLQALEVQHEGSMRCLGQLKQMRTIRIWGVKGIYCEGICEYLHEMEFLSYLSINANGEDEVLQLNRLNPLPTNLKRLSLRGRLAQPGMLLGAPATGGQNNHSLYAVRLSWCQLDEDPLPSLSRWSNLTYLSLTRAYIGEQLVFLQGWFPRMKELYLTDMPDLMRLEIHQGALTSLQELVLVNLRGMTEVPPGIEFLQTTLKYLTFGEITEDFLTALHQCSRIGSIRWRYSIGGETVCSPVGNPHLVPVVQPGLRIRD >ONIVA02G18240.1 pep chromosome:AWHD00000000:2:16192558:16193159:1 gene:ONIVA02G18240 transcript:ONIVA02G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGGEHAWGGRWRERKREGSRTAAVVAGSECAGRGQRLWRERKGEGSRMVAVVAGSECTGRWGRGGGERERGRERGAVCRLWSPAVVTGARAGAGGGDDDGRWGRWRHTPAACRLLASRAHMRVSSSPRRRSPEQSPLATRSSPVTTAIVRLPSSFLSLHSKVDCNLSKAN >ONIVA02G18230.1 pep chromosome:AWHD00000000:2:16162205:16173969:-1 gene:ONIVA02G18230 transcript:ONIVA02G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASCLEEALLLPESCKEEEITASDEVKRQLRLAGPLIAGSLLQNLIQMISVMFVGHLGELPLAGASMASSILPTPF >ONIVA02G18220.1 pep chromosome:AWHD00000000:2:16158093:16159744:-1 gene:ONIVA02G18220 transcript:ONIVA02G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKVVAGISPRGALARGKAIPLRSRVSFALERQLNFAIALSDSDFAMLSHFPGANIGDTDGLFSQIVRYPISSTPISKLMNDLKLMAVTFSRQPSTRVERYEVFSPVPSLSKPPTNKTSSLRLCGERVVATSRVSVDPAARSGASDVSYPTERDDGWMEVKLAEFSNDERMLTEAAVMVDFREVNDHVKKIGLIVEGLEFRPTIH >ONIVA02G18210.1 pep chromosome:AWHD00000000:2:16143017:16143887:-1 gene:ONIVA02G18210 transcript:ONIVA02G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISEGVVIGLVLVSVRNIWGHAYSNEEEVVKYVAKMLLVISVSNFFDGIQCVLSGVARGCGRQKIGACVNLGAYYIVGIPSAYLIAFILHVGGMGLWLGITCGILVQVVLLMAFTICTNWDKEAENVKDRALSSSLPSDVAT >ONIVA02G18200.1 pep chromosome:AWHD00000000:2:16110964:16112453:1 gene:ONIVA02G18200 transcript:ONIVA02G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAHAAGLRVLRTTRVAPAPPAGEPALPKRALPLIFMDVMWLRAQPVERVFFYRLGPDDDVDAVLSRMEESLPRAIHAFYPLAGRVRPTPGETNRYELLYQPGDGVAFTVAEHDGVGVGVDELATDEPRELAKIAPLVPELPEGGAELALQVTVLPPKRRGLALGVIVHHSACDGAVRGEPAYWEGWKERIVEACRDDAPFSVAGSTRFRVYDVDFGFGRPAKVEIVSVAKTGAVSAAEDRSGAGGIEVGIALLPERMDTFRRCLADAMAWFSSSSQCN >ONIVA02G18190.1 pep chromosome:AWHD00000000:2:16078400:16094442:-1 gene:ONIVA02G18190 transcript:ONIVA02G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSTASIASELGEMKTPPENMVINKASAAEEAPVVVAGDEDDDDDDDGCQAGLGVREEVKKQLWLAGPLVAGALLRYVIQMISVMFVGHLGELPLAGASMANSFTTVTGFSLLLGMASALDTLCGQAFGAQQYHLLGIYKQRAMLLLTAVSVPLAVVWFYTGDILRLFGQEADIAAEAGAYSRWMIPALFAYGLLHCQIRFLQTQNVVLPVMAAAGATALCHLLVCWVLVYAAGMGNRGAALSNAVSYWINVAILAVYVRVSSSCKKTWTGFSTEAFRDALGFFRLAVPSALMVCLEMWSYEILVLLSGRLPNPKLQTSVLSISLNTASLVWMIPFGLGCAISWCMGVKALKNKIKRVKPDI >ONIVA02G18180.1 pep chromosome:AWHD00000000:2:16072473:16074131:1 gene:ONIVA02G18180 transcript:ONIVA02G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHATMAIVRLATEADPANRTLFVHSLDWSAGADDLLSTFSRFGFENISQNESLAWTARANSCGGSDLGLGLDPRQYNADNHNTRALTPMNTRTQTLPL >ONIVA02G18170.1 pep chromosome:AWHD00000000:2:16071950:16072438:1 gene:ONIVA02G18170 transcript:ONIVA02G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGTGGGSLMRPLVISEGSFGGRLDQPVVAVATTNLVQNGVRHTASADLLYRRLAGDWRDWRAHRLSAARLLRCLTGAGLPTPQLLRSPAPPLQGDSHSPLACVPPAAPTSWLRRPLPTTLLGTRTPVLTFVGTTPSPGTSYLHNLCWLEAAGGAARRGGG >ONIVA02G18160.1 pep chromosome:AWHD00000000:2:16064316:16070397:-1 gene:ONIVA02G18160 transcript:ONIVA02G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0G6N9] MAAKGSPAEEALLVGVGGDDQLVESDDQLAPAAAVVVREEVKKQLWLAGPLVAGALLQNVIQMISVMFVGHLGELPLAGASMASSFASVTGLSLLLGMASALDTLCGQAFGARQYHLLGVYKQRAMLLLTAVSVPLAVVWFYTGDILVAFGQDADIAAEAGAYARWMIPALFAYGLLQCHVRFLQTQNVVLPVMASAGAAALCHLVVCWALVHAAGMGSKGAALSNAVSYWINVAILAVYVRVSSSCKKTWTGFSVEAFHDPLSFFRLAIPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAISTRVSNELGAGRPRAARLAVRVVVFMAVSEGLVIGLVLVCVRYIWGHAYSDEEEVVTYVAKMMLVIAVSNFFDGIQCVLSGVARGCGWQKIGACVNLGAYYLVGIPSAYLIAFVLHVGGMGLWLGIICGLLVQVLLLMAITLCTNWDKEAANAKDRVFSSSLPSDLAT >ONIVA02G18150.1 pep chromosome:AWHD00000000:2:16046002:16049927:1 gene:ONIVA02G18150 transcript:ONIVA02G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVQVEKIPHTYVLRRYSKSDSNFDRRDHPIVGPNGVKESYKTKMLSLDAMQLLVWTEGWTLQECVPKNPNFKRTVAANNKSKAKSGRPRGESCGGGCGRKAVRRTPMDEWEEGNDVEGCSVEGDGNGKPSDGEWENESV >ONIVA02G18140.1 pep chromosome:AWHD00000000:2:16032695:16033543:-1 gene:ONIVA02G18140 transcript:ONIVA02G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHSRRSQLANALDAGADHCTSSSAAAAAPALRRPLASLLASSNKPPRYARVMAPLLQSREEKEMESR >ONIVA02G18130.1 pep chromosome:AWHD00000000:2:16030188:16031705:-1 gene:ONIVA02G18130 transcript:ONIVA02G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAHGGSFRVLRTAHVAPSSSAGAGCNPLPEATQPLTFLDAIWLIRPPVERVFFYRLGDGGGATCDVDVALSRLVDSLARALHVFYPLAGRLRLTPGKANRYELFYQPGDAVAFTVAEHDVVGAAATPPPPIIDRTSIREREDIHDVMTARLHDHVKITTTNLPDVVDNKLLATFTLSRENLQSIKERIADVATRRGVPPPWCTSVVATFAVIWRCHVRAALGAGCDVEEEPRNHGRRAHLLFVTDLRSRMEPRVPDKYLGNCVGPCFASAPRTDIAAAGADGLFAACSAIAAAVDEGTRYDPGYWDRYREQSLEVSTSGAPPLSVAGSPRFRVYDVDFGFGRPAKVDVVSVAKTGAMSVAAALRWASLCRRSGWRGSGGASAKTSPSRGRRRDPVISGIEYMSIAGPPNGRLVAQGRGRTPRAASALLDY >ONIVA02G18110.1 pep chromosome:AWHD00000000:2:16016677:16018143:-1 gene:ONIVA02G18110 transcript:ONIVA02G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATQMAAPPPRARGGSFRVLRTARVAPSSPDGVPMLGERAVPLTFLDAIWLPTPPVDRVFFYRLGADDDGVDAVLSRLADSLSRALHVFYPLAGRLRLTPGKTNRYELFYQPGDAVAFTFAEHDDGVGVDELAADDPREVAKIAPLVPELPDGGAVLAVQATVLPPARRGLALGVTVHHAACDGSSSTHFLHTWAAACAGAAVLPKPPDIDRTFIREREDLYDYMVNRTEESDKFRSPDVADSKLLATFTLSGEILQSIKDRVAGVAARRGKSPPPRCTSVVATFAVVWQCHIRAALGDVEADNKHHGRAHFIFPTDHRARMEPRVPDKYLGNCVGPCFASAPKEEIAAADAEDGLYTTCAAIAAAVDEGTRYDPDYWKRCMEHVGGMSASDGPPLAVAGSPRFRVYDVDFGFGRPAKVDVVSVAKTGAISVAEGRRGGIEVGVGLPPERMERFRRCFADAVAWLSSPSRPVTRDMDRSAPGHSPA >ONIVA02G18100.1 pep chromosome:AWHD00000000:2:16001531:16002046:-1 gene:ONIVA02G18100 transcript:ONIVA02G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLGSPSSSLRRPRPLLRHPQRRRPIRRHHPRPRPHPSLSLRPDLRKVRESESRRGPSRSPRPARAPATAAAATSPPPSRSPTQNPVALLQLCVDRRCLILPFLHTDYVPGSLRRFIAGAADCFVGVGVDKEAERLSDDHGLPVGNTADLRPLAAQRLGRPELRQAYLD >ONIVA02G18090.1 pep chromosome:AWHD00000000:2:15999480:16000081:1 gene:ONIVA02G18090 transcript:ONIVA02G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLSFLLLLVLVLPASGDDAAAPARLPRAALVELLSVHVVLDYIDAAKIAALPRGRPTVSTTLFQTTGNVCRRTRFLAITPTAKGGAVFASAVLSALVNATLKRVVAAVPYNIFVLHISNFVVPPSVLTRPRPALPPSPPLPRDKG >ONIVA02G18080.1 pep chromosome:AWHD00000000:2:15981980:15983147:-1 gene:ONIVA02G18080 transcript:ONIVA02G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCPARVLHVFYPLAGHLRRTPGKANQYELFYQPGDAIAFTVAEHTASVSMSWPRMTRGRIEPLVPELPDGGAVLAVQATVLLQPAAPRGLALGVTVHHAACDGSTSTNFLHTWAAICSGAAAARHRPHTRTTNSPDPDVVDSKLLATFTLSRENLQSIRDRVGAAVCCLRGARPSSRRAGGDVEAEPRNHGRRAHLVFATDLRSRMEPHIPDKYLGNCIGTCFASAPRTDIAATGVDGLFAACTAIAAAVDEGTRYDQGYWDRCREHRAEVTTWPLSVAGSPRFRMYNVDFEFGSPAKVEIVSVAKTGAMSVAEGRGGCSGGIEIEVGIALSLERMERFRRCFRVEVAWLSS >ONIVA02G18070.1 pep chromosome:AWHD00000000:2:15981117:15981408:1 gene:ONIVA02G18070 transcript:ONIVA02G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARWYVVDTGEQRGVSGGMDATGMEESGGPTGASGGGSDGREAPKTGKQAGWEDLATVSLGKGEVMEEPGQLVRPSGGEEGGADF >ONIVA02G18060.1 pep chromosome:AWHD00000000:2:15974710:15977594:1 gene:ONIVA02G18060 transcript:ONIVA02G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPSPSPSPLVPSPPPPPPPPPIASPSASPRVASPSASPAPSPSPSPSPRAAASTSSSAADGQALKVAGSDGSEFVVGESGDLTADEGDGGDGARGPGHAPRRSSRPGTPL >ONIVA02G18050.1 pep chromosome:AWHD00000000:2:15957088:15963741:-1 gene:ONIVA02G18050 transcript:ONIVA02G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHKAVFISLQELFPQVDPRILKAVAIEHHNDVDSAVVAILDEVMPSVTSTSPPTVSSVRQEIAPCCIATSSASDGTSETGDSSSAGHGKQVEVDENVHSTQCKSSMEITNDRQRNVVDEVESHSSYPWMNEQLHLPICNVPEPVDISYVGHDGHLLSEYLDAILNGESGNSSTQPNVAYVHKQDSDNPIPADGCVTKDNSITLPLDYVDINDVNYSLKSSAGVSNSEDSFGTCGTYQFAHVLNIPIPDARKSSKGLGGEQDTNSIGKADLLPDLNLNHLATIASTHSVSIESLDDSISDAKSNKNDLLPSLELVSKMIQDVEVLEEKAEVAKHESSIAGTSILTKVGKLKEMLNHAKEANDMHACEVFGEKSILTTEARELQSRLQRLSDERKNYLVVIEEIRQTLEHRLVAAQQEIDAAEEKKIQKEASAQALLDEQEKEMNLAVEESRKLQKEAEENLKLKAFLVERGQIVDTLQGEMTVICEDVSQLKQIVDERLSFCKLQRSKMSSLSSSLQSSLHKSGSSADRAIEAVESTDKHTVAEGANAAVGDDPNGSKRIIHVWNGSGMADKDNGTGGDTNEDGWEFC >ONIVA02G18040.1 pep chromosome:AWHD00000000:2:15927778:15928040:-1 gene:ONIVA02G18040 transcript:ONIVA02G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDLGYEVPPKYTKVSTHVGDQLYCKTTITLVFDKEDLPQLYHSMARLIVSCVASQVVSSMLVKESLMKLRVVSVIISRIV >ONIVA02G18030.1 pep chromosome:AWHD00000000:2:15927333:15927588:-1 gene:ONIVA02G18030 transcript:ONIVA02G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPEDSDTAKMLIYTEAHTNRKLVLRQKRTFELEDEPWNLNNLPRMKDDYEDVKPIHVVKRLCTRIIFLMEGRFL >ONIVA02G18020.1 pep chromosome:AWHD00000000:2:15898742:15900092:-1 gene:ONIVA02G18020 transcript:ONIVA02G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEGYQMEAAVLAAPVVKDREVLRLRRDAAGRRDVTGLVRTIVAAAPPPDSTTRAAAAGAFNVLDLGEVARLFAAWWRGLRGVRPYYAVKCNPNPALLGALAGLGAGFDCASRAEMEAVLALGVAADRVVYANQCKLEPHLEYAAGVGVDLTTFDSEEEVGKIKRCHPGCRLLLRIKAPDGDDGGGAMLNLGTKYGAHRDEVVPLLAAARRAGMAVVGVSFHVGSAVSRVGIYAAAIEAARVRRRRRARHAAHAHIGGGFKAGGGGGESTFQGASAVISAALARHFGGDDMPSGVEVIAEPGRYFAETAFALAARIFGKRTRGEVREYWIDDGMFGTLCCVHFENYVPRPAPVTATADDGDEQAATMGGEMMITSTNTSTKTHPSTVFGPTLDSFDEVVRGYQLPELCTGDWLVFDDVGAYTTVCSSDFNGFSTSNMKTYLAYSC >ONIVA02G18010.1 pep chromosome:AWHD00000000:2:15876142:15892609:1 gene:ONIVA02G18010 transcript:ONIVA02G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVINRFGYVNNTIFFQFLNIWVLREYLEIELKILDPVCAPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKVKDKHAAYLEVSRLEIFFHQLSMYEEKIFLKRYELEQESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDKLRLGLPGWKSRFYREYFGVETSNEIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSHCRFEISFTVDKPLRPFDQLMAVLPLRSSCALPECYRKVMGRKEFDHPKLQADTIGKRFLWKCISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDSDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRGW >ONIVA02G18010.2 pep chromosome:AWHD00000000:2:15876142:15892630:1 gene:ONIVA02G18010 transcript:ONIVA02G18010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVINRFGYVNNTIFFQFLNIWVLREYLEIELKILDPVCAPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKVKDKHAAYLEVSRLEIFFHQLSMYEEKIFLKRYELEQESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDKLRLGLPGWKSRFYREYFGVETSNEIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSHCRFEISFTVDKPLRPFDQLMAVLPLRSSCALPECYRKVMGRKEFDHPKLQADTIGKRFLWKCISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKLIILEFMGCCRRLRKLTSQGGCSGTRTRARGRHRPSLRGRTPSGSRSASRRRHGRSTRTPEPGGWGGGEGTPPLPPPRRRRSSSPPAAAAAAATGMGLQAAALPPRRNGAAAAAAPGEVPVVRTWWRRKAATAGLMTATAAHTVSDRLGLGVRRGQETAAVAAMAAGVRSHVVGRTLGSRLRELEMYSHTERRRGVFQLS >ONIVA02G18010.3 pep chromosome:AWHD00000000:2:15876053:15892630:1 gene:ONIVA02G18010 transcript:ONIVA02G18010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIIHYSFHPQDQMNAGTDVCAPTTVSEVFESMFDYLDRLFRIVRPRRLLYLAVDGVAPCAKMNGMRRGRRFAWVARASEEEEMQKISEGVSDPNVITPGTEFMEKISQALTYYIRARLNSSDPGWKHIMVILSDANVPGEGEHKIMSFIRAQRSMEGYDPNTRHCLFGHDADLIMIALASHEVHFSILREDNSQLTEADPEKQYLFLNIWVLREYLEIELKILDPVCAPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDKLRLGLPGWKSRFYREYFGVETSNEIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSHCRFEISFTVDKPLRPFDQLMACISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDSDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRGW >ONIVA02G18010.4 pep chromosome:AWHD00000000:2:15876053:15892599:1 gene:ONIVA02G18010 transcript:ONIVA02G18010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIIHYSFHPQDQMNAGTDVCAPTTVSEVFESMFDYLDRLFRIVRPRRLLYLAVDGVAPCAKMNGMRRGRRFAWVARASEEEEMQKISEGVSDPNVITPGTEFMEKISQALTYYIRARLNSSDPGWKHIMVILSDANVPGEGEHKIMSFIRAQRSMEGYDPNTRHCLFGHDADLIMIALASHEVHFSILREDNSQLTEADPEKQYLFLNIWVLREYLEIELKILDPVCAPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDKLRLGLPGWKSRFYREYFGVETSNEIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSHCRFEISFTVDKPLRPFDQLMACISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDSDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRAVPPQDFANVSKSSIPGASQIFWLANNLPIN >ONIVA02G18010.5 pep chromosome:AWHD00000000:2:15876142:15892630:1 gene:ONIVA02G18010 transcript:ONIVA02G18010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSFYRWLVNRYPSIVSPAKESRPADGIVVYDNLYLDMNQIIHYSFHPQDQMNAGTDVCAPTTVSEVFESMFDYLDRLFRIVRPRRLLYLAVDGVAPCAKMNGMRRGRRFAWVARASEEEEMQKISEGVSDPNVITPGTEFMEKISQALTYYIRARLNSSDPGWKHIMVILSDANVPGEGEHKIMSFIRAQRSMEGYDPNTRHCLFGHDADLIMIALASHEVHFSILREDNSQLTEADPEKQYLFLNIWVLREYLEIELKILDPVCAPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDKLRLGLPGWKSRFYREYFGVETSNEIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSHCRFEISFTVDKPLRPFDQLMACISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDSDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRGW >ONIVA02G18010.6 pep chromosome:AWHD00000000:2:15876142:15892630:1 gene:ONIVA02G18010 transcript:ONIVA02G18010.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPVINRFGYVNNTIFFQFLNIWVLREYLEIELKILDPVCAPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDKLRLGLPGWKSRFYREYFGVETSNEIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSHCRFEISFTVDKPLRPFDQLMACISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDSDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRGW >ONIVA02G18010.7 pep chromosome:AWHD00000000:2:15876142:15892597:1 gene:ONIVA02G18010 transcript:ONIVA02G18010.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSFYRWLVNRYPSIVSPAKESRPADGIVVYDNLYLDMNQIIHYSFHPQDQMNAGTDVCAPTTVSEVFESMFDYLDRLFRIVRPRRLLYLAVDGVAPCAKMNGMRRGRRFAWVARASEEEEMQKISEGVSDPNVITPGTEFMEKISQALTYYIRARLNSSDPGWKHIMVILSDANVPGEGEHKIMSFIRAQRSMEGYDPNTRHCLFGHDADLIMIALASHEVHFSILREDNSQLTEADPEKQYLFLNIWVLREYLEIELKILDPVCAPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDKLRLGLPGWKSRFYREYFGVETSNEIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSHCRFEISFTVDKPLRPFDQLMACISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDSDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRAVPPQDFANVSKSSIPGASQIFWLANNLPIN >ONIVA02G18010.8 pep chromosome:AWHD00000000:2:15876142:15892696:1 gene:ONIVA02G18010 transcript:ONIVA02G18010.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSFYRWLVNRYPSIVSPAKESRPADGIVVYDNLYLDMNQIIHYSFHPQDQMNAGTDVCAPTTVSEVFESMFDYLDRLFRIVRPRRLLYLAVDGVAPCAKMNGMRRGRRFAWVARASEEEEMQKISEGVSDPNVITPGTEFMEKISQALTYYIRARLNSSDPGWKHIMVILSDANVPGEGEHKIMSFIRAQRSMEGYDPNTRHCLFGHDADLIMIALASHEVHFSILREDNSQLTEADPEKQYLFLNIWVLREYLEIELKILDPVCAPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDKLRLGLPGWKSRFYREYFGVETSNEIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSHCRFEISFTVDKPLRPFDQLMACISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDSDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRGW >ONIVA02G18010.9 pep chromosome:AWHD00000000:2:15876142:15892630:1 gene:ONIVA02G18010 transcript:ONIVA02G18010.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPVINRFGYVNNTIFFQFLNIWVLREYLEIELKILDPVCAPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDKLRLGLPGWKSRFYREYFGVETSNEIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSHCRFEISFTVDKPLRPFDQLMACISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDSDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRGW >ONIVA02G18000.1 pep chromosome:AWHD00000000:2:15861774:15871169:-1 gene:ONIVA02G18000 transcript:ONIVA02G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipase class 3 family protein [Source:Projected from Arabidopsis thaliana (AT3G07400) TAIR;Acc:AT3G07400] MATALASRVESWARDQAARLPAWARPRPPSAAAAAVPRWPWPWPWSWSWPPPPRAPAWPGDRRRQRERAFREEFERRRRQLRELCRAVRVDTVAELQELLCAVVLAECVYKSLVMLNRPGKCLNDHEKLLANRPVSEMMRYINKFKSDFGGNIVSLERVQPSLDHVGHRYVLAEAGDTLFATFIGTKQYKDIIADVNILQGTVFHEDTAQDLADAVECVQNDDQKGEENLGTSYREKSKQLRKSKPAAHRGFLARANGIPALELYKLAQKKNRKLVLCGHSLGGAVAALATLAILRVLASSSPSKEPDRLQVKCITFSQPPVGNAALRDYVHRRGWQDYFKSYCIPEDLVPRILSPAYFHHYNAQTPDNTNAKSDEENDTKSVCSKENNGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVLQKQTNIFGKAPSQLDNFLQSKVDESEEGLQSLEIQEGSEGIALTPLSDKDGGLTEENNKTDKTNVSEVGGSKRWNRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVITELRERLQSHSMKSYRARFQKIYDICMSANAQLFTGIEQLPQFSHLQELLGLTAADSVELGHIVEPPTIRTATSILPLGWNGYPGDKSAEPLKVDIIGHDLHMCTLFQAQINGNWYSTVIETLPMVSYSSDQEVQPTLQKMRILVGQPLKQPPNYISEDFMVSVAAGTGSNPDYGFDSLFEDKGCCKGLNEFLIYGTSDFVTICKEVYVRTRRVRLLGLEGAGKTSLLKALLGQFKERSKAVLECIHVDLHGKGVSNGLCYVDSATVNLQELPLEVRQFKEELQLGIHDLSRKTDLVIVVHNLAHRIPQYHQSNTSQPQPALSLLLDEAKALGIPWILAITNKFSVSAHEQNALITSAMEAYQASPDMTKVVNSSPFLMPNATNSLRPISSASGSLRNENPSGRAAFYPVNFSLSPFQRKDIVMHVEGVTALRQLVHQELSRERLSLELEREKAASLQGKRKPQKRDGSVTAAAVGASLGAGLGVVMAVIMGAASALRKP >ONIVA02G17990.1 pep chromosome:AWHD00000000:2:15854191:15856425:-1 gene:ONIVA02G17990 transcript:ONIVA02G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATMTMGAAAAGSGEAGAHSAVAGDGDSESGAGWLGRGRDSRILLGAGGAAAMASGSWSGRRDNLAAAGVLAPAFAAVGVHGSSLAAMGVHASSLFAAGDHADVDGGSGGGWLGRGRDFTDPVGAGDGDGGNGGDCSGAAKRWRRRIWRRGRAGDDGATAAWASMADLASGTATAWMWRVRLPAAVARNRRGRRPARSDIFLVEQPYIDDLERWAAGKARDSEVQYFENWSCARLGFSSSGCFNQHHNLDDVQAETGERILEQFKLIEKPGAVEAVESKHAQRSKRNTQNIKVSKLPPCT >ONIVA02G17980.1 pep chromosome:AWHD00000000:2:15825378:15825672:1 gene:ONIVA02G17980 transcript:ONIVA02G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVYQVLRKSFNEFELGGDARNHHGRMRVEDLAVALRIKDGNKKEWCPSTSKEDSHIIFVLALSLFPCPHGSYRHEGLVSMKHA >ONIVA02G17970.1 pep chromosome:AWHD00000000:2:15814150:15816290:-1 gene:ONIVA02G17970 transcript:ONIVA02G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQRGLGLLRRSLGLGPLSTQRALSSTSPAASAEGGAAAEAAKESKGRKKKKNLFDVVQFLPSWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRYKAGVQAAEAPTKISGVNKRGWKYIKESQKLQDTPKVETPVTA >ONIVA02G17960.1 pep chromosome:AWHD00000000:2:15801216:15807750:-1 gene:ONIVA02G17960 transcript:ONIVA02G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPQQTMPPRASANGFPHRKLDREGSGRHDNKTQLLRSSSGGFSGAENGGKLGHGSPSRDRLIYVLTQLIGHHVDVHVKNGSIISGILHATNSDKDLGVIMKMAQVIKDGSARGQKSAADVVKKPETMIIPGRELVQILAKDVALGGDELPKGPSQEKRKDLMIDSAISRSHYPEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEELYTTKLERGPHMRELEKHASRIAREIEGEDTKDMHLAEERGLYLDDDFDHDEEIKYSAVRRDTDNTKFKSSTNVLSNTNQVDSLTRAGNTNPKALLSTADEESSSHLFGTDLPVTNNVSQLVSQSQLNKLLPIDESRSDDKLTKDSSGNRDTSNLQTENIISEGGRSSISEDLEVPSSSSHASEPSSSGQAKKSSESLPADSSLSRKVPSSGEYVNSSQRPGSSTSSTSERIAANSVACAPGLSPSSSMGSLSSEKSSLNPNAKEFKLNPNAKSFTPSTSVRPPQPPASDGPYYYANNMPTAPLGPPMFPPAYGGQPMVYNAQPGPSPQGYMHPAGPQLQYGQQMMMGQTRPVYYYAPEMQQYRGRNF >ONIVA02G17950.1 pep chromosome:AWHD00000000:2:15791984:15798234:1 gene:ONIVA02G17950 transcript:ONIVA02G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIAQWLSDMAGLVMRCCNPIPSYTNEDGPKNPEALTTQTIFTVNEIEALYELFKRIDGAIIEYGKINKEEFNLRVFGPEKGGTMFTDQVFDLFDLKHEQALGFEEFVVALSIFHPDTPIEDKIDFSFQLYDIKNQGFIERAELKQMMVATLAESDLNLTDQVIETIIDKTFEEADTNKDGKIDFEEWQALVNAHPCLLKNMTGLPRRYHHDIS >ONIVA02G17940.1 pep chromosome:AWHD00000000:2:15775461:15779558:1 gene:ONIVA02G17940 transcript:ONIVA02G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILAHWLSDMAGLIQTCFVANEIEALYELFKRIDGAIIEDGKINKVFDLFDMKHEQALGFEELAVALSIFHPDAPIHDKINYLNHTDLVIETIIDKTFEEANTNKERKIDFEEWQALVNAHLCLPKNMTLTYLRDITMTFPKFAFHFQILRVQLMYDIKNQGFIEREVVDTKKDRKIDFEEWQALVNAHPCLLKNMTLTYLR >ONIVA02G17930.1 pep chromosome:AWHD00000000:2:15770805:15772273:-1 gene:ONIVA02G17930 transcript:ONIVA02G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNILGGSTQSCTKTGPLADITNISGSGLTNRRGRGGNKSLSASPISSNVVHPVVMPNSHPCAENRGASFNTPSNVHMCTDRYAALTPQQKDDRNKKARERRKRKEETQASAPLGDISNISVVDIMKCHLEDNDSSTLHQGKREASHLNITPRRLPFTIINNVAHYGPNEVPMSRVTQLTTLNMNSADFTVHNSGCENHYDPIVTSGNQNTHSPMHESGIFQGNDRNECDHDDDISLGNTNLTSLYVF >ONIVA02G17930.2 pep chromosome:AWHD00000000:2:15770805:15772273:-1 gene:ONIVA02G17930 transcript:ONIVA02G17930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNILGGSTQSCTKTGPLADITNISGSGLTNRRGRGGNKSLSASPISSNDRYAALTPQQKDDRNKKARERRKRKEETQASAPLGDISNISVVDIMKCHLEDNDSSTLHQGKREASHLNITPRRLPFTIINNVAHYGPNEVPMSRVTQLTTLNMNSADFTVHNSGCENHYDPIVTSGNQNTHSPMHESGIFQGNDRNECDHDDDISLGNTNLTSLYVF >ONIVA02G17930.3 pep chromosome:AWHD00000000:2:15770999:15772273:-1 gene:ONIVA02G17930 transcript:ONIVA02G17930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNILGGSTQSCTKTGPLADITNISGSGLTNRRGRGGNKSLSASPISSNDRYAALTPQQKDDRNKKARERRKRKEETQASAPLGDISNISVVDIMKCHLEDNDSSTLHQGKREASHLNITPRRLPFTIINNVAHYGPNEVPMSRVTQLTTLNMNSADFTVHNSGTLTSFYEWDYNDVTVCKFLC >ONIVA02G17920.1 pep chromosome:AWHD00000000:2:15759178:15759891:-1 gene:ONIVA02G17920 transcript:ONIVA02G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSGSSSSSSAFPFFLLALLCVTAVVFPPAAARTFPDDKSGGAVVSGSKFSLPFPSKAAGSGSGSGSGHGFGWTVSHNGSDTTIGFGGGVGGGVGTSRGGGSSAGGGVGVGVGVDVGRGGVDVGIGVGGGGAASSPDGSVGGGGGEGVGIHIGPGGVTVTHGGGGGAGGGSGGGGASGGGSGTGRSGNAVGTGQGSGSANGGTGSGGGSGSGSGQGGSAGGGGGGAGSGGAGGHP >ONIVA02G17910.1 pep chromosome:AWHD00000000:2:15744832:15749331:-1 gene:ONIVA02G17910 transcript:ONIVA02G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLIEPLEGSNYAKWKADVLLNLGILDYDYAIREDRPKEPFSVQHDYEEKLKLYCEKTNEWEKSNRISLMYIKSAISNVIIGGIEDSDDVKTYLENIDRNFRSSSMSYASSIIKRLTSMRYNYKGGVRKHIMQMGAMNEELKSMDMGINDDLLVHFMLSSLPSNFESFIINYNNHRDKWSIEELISHSIEEEGRQKADKQKHKDQLNLLHSAKGGKKKFYQGESSNSQNKKKKPNTPSTQASNGAHKGKAQVPAGQNSADTSSFSLHGIQVGSKK >ONIVA02G17900.1 pep chromosome:AWHD00000000:2:15728388:15732003:1 gene:ONIVA02G17900 transcript:ONIVA02G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family [Source:Projected from Arabidopsis thaliana (AT3G25410) TAIR;Acc:AT3G25410] MAAAVAASSSSSCAAVGAATASHRHRQPRFAVSPPAPRAASPAALLWRRPRRVAPTTFCSAPSLGRVGWPRREGAAWLLSFRAGPASPPSSAAAAGDPSQALSALLPLVVAATAVAALGNPATFSWVSKEYYAPALGGIMLSIGIKLSIDDFALAFKRPVPLTIGYMAQYIAKPLMGVLIARAFGMPSAFFAGFVLTCCVSGAQLSSYASFLSKGDVALSILLTSCSTISSVVVTPVLTGLLIGSVVPVDGVAMAKSILQVVLVPVTLGLLLNTYAKAVVNVIQPVMPFVAMLCTSLCIGSPLAINRSKILSSEGFLLLLPIVTFHIAAFIVGYWISKLPMLRQEEPVCRTISVCTGMQSSTLAGLLATQFLGSSQAVPAACSVVIMAIFGLTLASYWGNGLRIRDIGQDSFHKLLQV >ONIVA02G17890.1 pep chromosome:AWHD00000000:2:15722531:15727670:1 gene:ONIVA02G17890 transcript:ONIVA02G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGERAAMALGAGFDLTSDFRLKFAKEGRLVELDEAGARDVPVPGGGVGGGAAAVLREVPRDVGVDKGDRIRFRSDVLEFNQFTISVSNEIFFGKKEKNSTDSEKNWQRVKKPRLASMSELLNQKSSVQGKVPSGYFNTLFDLSGAWMTDAKETKHLAFDGYFISLYKLHLKTSPLVLRDEVRSAVPPKWDPAALSRFIKTYGTHIIVEMAVGGQDVICVKQSPSSTISSADLKLHLEDLGDFLFSDGRNHSPIHRKTRDGKSKVPDVFVRMEQQPNNLHLSSYSESSTKDFNVSVGSLAALCILKDHCFPKQGLTITCSKRGGDASIASHSKWLQTVPRVPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDPEDLQHFLEFQVPLQWAPLFNELILGPQKRKGSYPSLQFRFLGPKLQVSTSQVSSSHKPVVGLRLYLEGRKCNRLAIHVQHLSSAPSMLGDSLSSSMSEWRESEEVGAGYIEPIQWKSYSCVCTSKVDYNPEWLKRVPGGRGVFVVTGAQLVTKGTWSRKVLHLRLHYTHVPGCAIQRTEWAAAPAASQRGSFLTTISTTLSSPFTQLQAAAAPAAPPRNEPAPAALLNSGVYPDGPPVPLQSRKLLKFVDMSEVVKGPHDVPGHWLVTAAKLVKDGGKIGLNVKFALLNYDGTQPATATMAGGDQGHGLLN >ONIVA02G17890.2 pep chromosome:AWHD00000000:2:15722531:15727670:1 gene:ONIVA02G17890 transcript:ONIVA02G17890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGERAAMALGAGFDLTSDFRLKFAKEGRLVELDEAGARDVPVPGGGVGGGAAAVLREVPRDVGVDKGDRIRFRSDVLEFNQFTISVSNEIFFGKKEKNSTDSEKNWQRVKKPRLASMSELLNQKSSVQGKVPSGYFNTLFDLSGAWMTDAKETKHLAFDGYFISLYKLHLKTSPLVLRDEVRSAVPPKWDPAALSRFIKTYGTHIIVEMAVGGQDVICVKQSPSSTISSADLKLHLEDLGDFLFSDGRNHSPIHRKTRDGKSKVPDVFVRMEQQPNNLHLSSYSESSTKDGLTITCSKRGGDASIASHSKWLQTVPRVPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDPEDLQHFLEFQVPLQWAPLFNELILGPQKRKGSYPSLQFRFLGPKLQVSTSQVSSSHKPVVGLRLYLEGRKCNRLAIHVQHLSSAPSMLGDSLSSSMSEWRESEEVGAGYIEPIQWKSYSCVCTSKVDYNPEWLKRVPGGRGVFVVTGAQLVTKGTWSRKVLHLRLHYTHVPGCAIQRTEWAAAPAASQRGSFLTTISTTLSSPFTQLQAAAAPAAPPRNEPAPAALLNSGVYPDGPPVPLQSRKLLKFVDMSEVVKGPHDVPGHWLVTAAKLVKDGGKIGLNVKFALLNYDGTQPATATMAGGDQGHGLLN >ONIVA02G17890.3 pep chromosome:AWHD00000000:2:15722531:15727670:1 gene:ONIVA02G17890 transcript:ONIVA02G17890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGERAAMALGAGFDLTSDFRLKFAKEGRLVELDEAGARDVPVPGGGVGGGAAAVLREVPRDVGVDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNTLFDLSGAWMTDAKETKHLAFDGYFISLYKLHLKTSPLVLRDEVRSAVPPKWDPAALSRFIKTYGTHIIVEMAVGGQDVICVKQSPSSTISSADLKLHLEDLGDFLFSDGRNHSPIHRKTRDGKSKVPDVFVRMEQQPNNLHLSSYSESSTKDFNVSVGSLAALCILKDHCFPKQGLTITCSKRGGDASIASHSKWLQTVPRVPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDPEDLQHFLEFQVPLQWAPLFNELILGPQKRKGSYPSLQFRFLGPKLQVSTSQVSSSHKPVVGLRLYLEGRKCNRLAIHVQHLSSAPSMLGDSLSSSMSEWRESEEVGAGYIEPIQWKSYSCVCTSKVDYNPEWLKRVPGGRGVFVVTGAQLVTKGTWSRKVLHLRLHYTHVPGCAIQRTEWAAAPAASQRGSFLTTISTTLSSPFTQLQAAAAPAAPPRNEPAPAALLNSGVYPDGPPVPLQSRKLLKFVDMSEVVKGPHDVPGHWLVTAAKLVKDGGKIGLNVKFALLNYDGTQPATATMAGGDQGHGLLN >ONIVA02G17890.4 pep chromosome:AWHD00000000:2:15722531:15727670:1 gene:ONIVA02G17890 transcript:ONIVA02G17890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGERAAMALGAGFDLTSDFRLKFAKEGRLVELDEAGARDVPVPGGGVGGGAAAVLREVPRDVGVDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNTLFDLSGAWMTDAKETKHLAFDGYFISLYKLHLKTSPLVLRDEVRSAVPPKWDPAALSRFIKTYGTHIIVEMAVGGQDVICVKQSPSSTISSADLKLHLEDLGDFLFSDGRNHSPIHRKTRDGKSKVPDVFVRMEQQPNNLHLSSYSESSTKDGLTITCSKRGGDASIASHSKWLQTVPRVPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDPEDLQHFLEFQVPLQWAPLFNELILGPQKRKGSYPSLQFRFLGPKLQVSTSQVSSSHKPVVGLRLYLEGRKCNRLAIHVQHLSSAPSMLGDSLSSSMSEWRESEEVGAGYIEPIQWKSYSCVCTSKVDYNPEWLKRVPGGRGVFVVTGAQLVTKGTWSRKVLHLRLHYTHVPGCAIQRTEWAAAPAASQRGSFLTTISTTLSSPFTQLQAAAAPAAPPRNEPAPAALLNSGVYPDGPPVPLQSRKLLKFVDMSEVVKGPHDVPGHWLVTAAKLVKDGGKIGLNVKFALLNYDGTQPATATMAGGDQGHGLLN >ONIVA02G17880.1 pep chromosome:AWHD00000000:2:15669970:15684327:1 gene:ONIVA02G17880 transcript:ONIVA02G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEESLNQFQYAPQHLVRLLQIIVDGSCDMAVRQVASIHFKNFVAKNWSPNDPEESQKISESDKLMVRENILGFIVQVPPLLRAQLGESIKTIIHSDYPEQWPGLLHWVTHNLESENQIFGALYVLRVLSRKYEFKSEDERIPLYHIVEETFPRLLSIFSKLVQIVNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMSLFINLLERPVPVEGQPLDPDVRKSWGWWKVKKWTIHILNRLYTRFGDMKLQKPESKAFAQMFQKNYAGRILGCHLQILNAVRTGDYLPDRVINLVLQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVDIFRRYDEASIEIKPYRQKDGALLAIGTLCDKLKQTDPYKAELERMLVQHVFPEFNSHVGHLRAKAAWVAGQYAHISFSDQDNFRKAMHCIVSGMRDPDLPVRVDSVFALHLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMASQEADDEADDSGALAAVGCLRAISTILESVSSLPHLFIQIEPTLLPIMRRMLTSDGQDVYEEVLEIVSYMTFFSPSISLDMWSLWPLMMEALNDWAIDFFENILVPLDNYVSRGSDHFLACKNPDYQQSLWSALSSIMMDQNMEDSDIEPAPKLIEVVFQNCKGNVDQWVEPYLSLTIDRLRRAHKPYLKCLLVQVIANAFYYNPSLTLATLHKLGANKSKRVVYEPILRDHIPGEALNRIFKATLDLLVAYKEQVAESKKQDDADGDDMDGFDADEDEDDDEVESDKEMGLDEEDADEVNSLHLQKLAAEARGFQPADEYDDSDDDFSDDEELQSPIDEVDPFILFVETVQGLQASDPIRFQSLMQTLDFRYQALASGIAQHAEERRVEIEKEKLEKANAQ >ONIVA02G17870.1 pep chromosome:AWHD00000000:2:15653198:15654401:-1 gene:ONIVA02G17870 transcript:ONIVA02G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRWRPVGLEVEHRGGARGEAGVEDTVDRVEEEQDVQRILAISEIYSGAACMTITGEMNQELIYNFSGLYATAQRKAPTRRNHAPRPLLVATPLEEGRRARKVKTEREQGKGPFVFLELKDGAVFVQGAGAAEAKKGGAAGGGDDGRHHHRHNQAVQSAVARERRKRISNGRAVATAGKRRQRRTVSHAAHLLLRSSPNSLADVGLLLPAAVLRLLLCPCPLESAASAHELATRERAKATALPALTASSSPALARPPPAPVPRPPLAERKRTREGGG >ONIVA02G17860.1 pep chromosome:AWHD00000000:2:15633439:15650756:1 gene:ONIVA02G17860 transcript:ONIVA02G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRRLIPRHYANRAASAALALDPPPPPAGAAPAMLGVSQRCYTFMPYSPFRPCEMCGVSVTNNSSRQLSSIRSRLPIRLKSGSFFATGLMSNRNFVTNNIGNSRCFLTVCNKNLSFLIRNKSLLGNPNMRREEGSDMHSLFHRSEKKKSTLPSCSIITDEASTSTSNHSKSAIGTENSSTSRIKDVSEDKKVSIKKQSKSAKTIAAAKIGTTDVSEEENKREISKSEKNANISRDKKTSNRLKKFSKAKKSAVAKAEICTKSSVDSSDSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKRIRTALRGAENLILASDPDREGETIAWHIKEMLERQDALGCNVTVARVVFHEITEDAVKNALMSPRYIDMNLINAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQFAALALLCDREAEIEQFNPEEYWTIDTDFKTQHSGPSNGLNLQAQIKHLNSKKLDQLSIWSQEEAQDIEKRIYSSQFEVIGIKRSKIHKNPPMPYITSSLQQDAANKLHFSAGYTMKIAQKLYEGITLSSEDATGLITYIRTDGFHISDVAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQESHEAIRPTSIRSSVVIYLAASLVSTLDDDSLKLYALIWKRTMACQMEASRAEMIQVDIGNSKGDMIFHSSASNLDFKGYQAVYDDTEASPSSNNSEDDAVHQDNFEALSKLKVKDLMSPVNVHLAQNFTKPPSRYSESALIKRLEELGIGGPSTYSSIMKVLQDRNHVTVKGQVVHPEFRGRMVSAFLTHHFSEVADLSFTANLETELDNVSAGSTEWKGLLKDFWERFNKYCGNASRLQVRKVERMLEEKFGSILFPDLDNDSRICPSCSEGTLRLKVSKHGEGYFIGCDRHPKCKYIARTLSVEVDETEASDEIQSTFTPRLLGVLPDSDEKVFLKQGPYGHYIQVGEDIKGVSRKRAPLSEVKDIDSITLEDAIELLQYPKILGKHPDDDLPVLVTHSKSGFRIRHRRTLAPLPKSADPKEITLERALKLLTGKNVIRFGRPKGIKNPEPLEYHS >ONIVA02G17860.2 pep chromosome:AWHD00000000:2:15633439:15650756:1 gene:ONIVA02G17860 transcript:ONIVA02G17860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRRLIPRHYANRAASAALALDPPPPPAGAAPAMLGVSQRCYTFMPYSPFRPCEMCGVSVTNNSSRQLSSIRSRLPIRLKSGSFFATGLMSNRNFVTNNIGNSRCFLTVCNKNLSFLIRNKSLLGNPNMRREEGSDMHSLFHRSEKKKSTLPSCSIITDEASTSTSNHSKSAIGTENSSTSRIKDVSEDKKVSIKKQSKSAKTIAAAKIGTTDVSEEENKREISKSEKNANISRDKKTSNRLKKFSKAKKSAVAKAEICTKSSVDSSDSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKRIRTALRGAENLILASDPDREGETIAWHIKEMLERQDALGCNVTVARVVFHEITEDAVKNALMSPRYIDMNLINAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQFAALALLCDREAEIEQFNPEEYWTIDTDFKTQHSGPSNGLNLQAQIKHLNSKKLDQLSIWSQEEAQDIEKRIYSSQFEVIGIKRSKIHKNPPMPYITSSLQQDAANKLHFSAGYTMKIAQKLYEGITLSSEDATGLITYIRTDGFHISDVAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQESHEAIRPTSIRSSVVIYLAASLVSTLDDDSLKLYALIWKRTMACQMEASRAEMIQVDIGNSKGDMIFHSSASNLDFKGYQAVYDDTEASPSSNNSEDDAVHQDNFEALSKLKVKDLMSPVNVHLAQNFTKPPSRYSESALIKRLEELGIGGPSTYSSIMKVLQDRNHVTVKGQVVHPEFRGRMLDNVSAGSTEWKGLLKDFWERFNKYCGNASRLQVRKVERMLEEKFGSILFPDLDNDSRICPSCSEGTLRLKVSKHGEGYFIGCDRHPKCKYIARTLSVEVDETEASDEIQSTFTPRLLGVLPDSDEKVFLKQGPYGHYIQVGEDIKGVSRKRAPLSEVKDIDSITLEDAIELLQYPKILGKHPDDDLPVLVTHSKSGFRIRHRRTLAPLPKSADPKEITLERALKLLTGKNVIRFGRPKGIKNPEPLEYHS >ONIVA02G17860.3 pep chromosome:AWHD00000000:2:15633439:15650756:1 gene:ONIVA02G17860 transcript:ONIVA02G17860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRRLIPRHYANRAASAALALDPPPPPAGAAPAMLGVSQRCYTFMPYSPFRPCEMCGVSVTNNSSRQLSSIRSRLPIRLKSGSFFATGLMSNRNFVTNNIGNSRCFLTVCNKNLSFLIRNKSLLGNPNMRREEGSDMHSLFHRSEKKKSTLPSCSIITDEASTSTSNHSKSAIGTENSSTSRIKDVSEDKKVSIKKQSKSAKTIAAAKIGTTDVSEEENKREISKSEKNANISRDKKTSNRLKKFSKAKKSAVAKAEICTKSSVDSSDSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKRIRTALRGAENLILASDPDREGETIAWHIKEMLERQDALGCNVTVARVVFHEITEDAVKNALMSPRYIDMNLINAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQFAALALLCDREAEIEQFNPEEYWTIDTDFKTQHSGPSNGLNLQAQIKHLNSKKLDQLSIWSQEEAQDIEKRIYSSQFEVIGIKRSKIHKNPPMPYITSSLQQDAANKLHFSAGYTMKIAQKLYEGITLSSEDATGLITYIRTDGFHISDVAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQESHEAIRPTSIRRLPSSLVSTLDDDSLKLYALIWKRTMACQMEASRAEMIQVDIGNSKGDMIFHSSASNLDFKGYQAVYDDTEASPSSNNSEDDAVHQDNFEALSKLKVKDLMSPVNVHLAQNFTKPPSRYSESALIKRLEELGIGGPSTYSSIMKVLQDRNHVTVKGQVVHPEFRGRMLDNVSAGSTEWKGLLKDFWERFNKYCGNASRLQVRKVERMLEEKFGSILFPDLDNDSRICPSCSEGTLRLKVSKHGEGYFIGCDRHPKCKYIARTLSVEVDETEASDEIQSTFTPRLLGVLPDSDEKVFLKQGPYGHYIQVGEDIKGVSRKRAPLSEVKDIDSITLEDAIELLQYPKILGKHPDDDLPVLVTHSKSGFRIRHRRTLAPLPKSADPKEITLERALKLLTGKNVIRFGRPKGIKNPEPLEYHS >ONIVA02G17850.1 pep chromosome:AWHD00000000:2:15630002:15630729:-1 gene:ONIVA02G17850 transcript:ONIVA02G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAESPKIPNSSRVWMLGSALRRRGDGGRQATAVARRRQEATKCGGEATTGGSQGLVAAPMASSSPASGCTRRKKVPLILCPSCENKTVVKRTSKTASNLDRIFYTCPDHEKDGSGCNFWVWEERYMKYLKKNGLIAGEEAAHVNAQVAASLKNAGQLDETKREDGDELKQTLITAVPIGRELVVVLKNMLVLGWLGVVVLVCILVVLMMK >ONIVA02G17840.1 pep chromosome:AWHD00000000:2:15616743:15617123:1 gene:ONIVA02G17840 transcript:ONIVA02G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPDLIRMWTKIWQPNPNPKIISPIPFLSLQWRRRRFGRSDGGGDDSGGATMIPTSGNSAKSLPFSREKRRWRQFRRAAMARSVKPRLSEPLGYRAAN >ONIVA02G17840.2 pep chromosome:AWHD00000000:2:15616715:15622474:1 gene:ONIVA02G17840 transcript:ONIVA02G17840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDLTRPPKDGRKAGPRRWLGCVHGGADAVLASHDGSNLPNRGGRAEASTQTRRRTPPELMEDMVTEILVRLPVKSLLRFKPACRAWQAIIDDPVFIRAHLRRSASRWEQSHSFIINPHSMVRVPWDRWPVPSNRYRFHQWQLQCGTTTTSPRNNNVATFLHAKDLSDDQQFYTTEFTHRDGLVFSTTTTSLHVFNPATRDAITLPTSSRSNLMGGGRFNYHCSGLGLDPRTGMYKVVQAFFRFQSMEPAETKMGMEVFTIGGGGGGAGWREITSDPPYPAKRFQIGVSVCGYMFWRFSERHTKLERGILHLSLEEEEFGITGLPDELDTDNSFLLDELLGRDLCVSASNTSCTMLNIWTLPVADESLCTLWQWRYCIEYPWSLCSVMALPPFSDEIILLRGNNICRYDLATSKLKVLCRLDRTRYQGEGARKSELFSAMPFIESLVRITY >ONIVA02G17830.1 pep chromosome:AWHD00000000:2:15593032:15598048:-1 gene:ONIVA02G17830 transcript:ONIVA02G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGACDPPGPGASLRRLVLLLALSVSALAAADASGVFEVQRKFTRHGDGGEGHLSALREHDGRRHGRLLAAIDLPLGGSGLATETGLYFTRIGIGTPAKRYYVQVDTGSDILWVNCVSCDGCPRKSNLGIELTMYDPRGSQSGELVTCDQQFCVANYGGVLPSCTSTSPCEYSISYGDGSSTAGFFVTDFLQYNQVSGDGQTTPANASVSFGCGAKLGGDLGSSNLALDGILGFGQSNSSMLSQLAAAGKVRKMFAHCLDTVNGGGIFAIGNVVQPKVKTTPLVPDMPHYNVILKGIDVGGTALGLPTNIFDSGNSKGTIIDSGTTLAYVPEGVYKALFAMVFDKHQDISVQTLQDFSCFQYSGSVDDGFPEVTFHFEGDVSLIVSPHDYLFQNGKNLYCMGFQNGGVQTKDGKDMVLLGDLVLSNKLVLYDLENQAIGWADYNCSSSIKISDDKGSTYTVNADDISSGCEVQWRKSLILLLATTVISYLML >ONIVA02G17830.2 pep chromosome:AWHD00000000:2:15593034:15598048:-1 gene:ONIVA02G17830 transcript:ONIVA02G17830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGACDPPGPGASLRRLVLLLALSVSALAAADASGVFEVQRKFTRHGDGGEGHLSALREHDGRRHGRLLAAIDLPLGGSGLATETGLYFTRIGIGTPAKRYYVQVDTGSDILWVNCVSCDGCPRKSNLGIPPLSCPDWMFDLGGVLQIELTMYDPRGSQSGELVTCDQQFCVANYGGVLPSCTSTSPCEYSISYGDGSSTAGFFVTDFLQYNQVSGDGQTTPANASVSFGCGAKLGGDLGSSNLALDGILGFGQSNSSMLSQLAAAGKVRKMFAHCLDTVNGGGIFAIGNVVQPKVKTTPLVPDMPHYNVILKGIDVGGTALGLPTNIFDSGNSKGTIIDSGTTLAYVPEGVYKALFAMVFDKHQDISVQTLQDFSCFQYSGSVDDGFPEVTFHFEGDVSLIVSPHDYLFQNGKNLYCMGFQNGGVQTKDGKDMVLLGDLVLSNKLVLYDLENQAIGWADYNCSSSIKISDDKGSTYTVNADDISSGCEVQWRKSLILLLATTVISYLML >ONIVA02G17830.3 pep chromosome:AWHD00000000:2:15593034:15598048:-1 gene:ONIVA02G17830 transcript:ONIVA02G17830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGACDPPGPGASLRRLVLLLALSVSALAAADASGVFEVQRKFTRHGDGGEGHLSALREHDGRRHGRLLAAIDLPLGGSGLATETGLYFTRIGIGTPAKRYYVQVDTGSDILWVNCVSCDGCPRKSNLGIELTMYDPRGSQSGELVTCDQQFCVANYGGVLPSCTSTSPCEYSISYGDGSSTAGFFVTDFLQYNQVSGDGQTTPANASVSFGCGAKLGGDLGSSNLALDGILGFGQSNSSMLSQLAAAGKVRKMFAHCLDTVNGGGIFAIGNVVQPKVKTTPLVPDMPHYNVILKGIDVGGTALGLPTNIFDSGNSKGTIIDSGTTLAYVPEGVYKALFAMVFDKHQDISVQTLQDFSCFQYSGSVDDGFPEVTFHFEGDVSLIVSPHDYLFQNGKNLYCMGFQNGGVQTKDGKDMVLLGDLVLSNKLVLYDLENQAIGWADYNCSSSIKISDDKGSTYTVNADDISSGCEVQWRKSLILLLATTVISYLML >ONIVA02G17830.4 pep chromosome:AWHD00000000:2:15593034:15598048:-1 gene:ONIVA02G17830 transcript:ONIVA02G17830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGACDPPGPGASLRRLVLLLALSVSALAAADASGVFEVQRKFTRHGDGGEGHLSALREHDGRRHGRLLAAIDLPLGGSGLATETGLYFTRIGIGTPAKRYYVQVDTGSDILWVNCVSCDGCPRKSNLGIPPLSCPDWMFDLGGVLQIELTMYDPRGSQSGELVTCDQQFCVANYGGVLPSCTSTSPCEYSISYGDGSSTAGFFVTDFLQYNQVSGDGQTTPANASVSFGCGAKLGGDLGSSNLALDGILGFGQSNSSMLSQLAAAGKVRKMFAHCLDTVNGGGIFAIGNVVQPKVKTTPLVPDMPHYNVILKGIDVGGTALGLPTNIFDSGNSKGTIIDSGTTLAYVPEGVYKALFAMVFDKHQDISVQTLQDFSCFQYSGSVDDGFPEVTFHFEGDVSLIVSPHDYLFQNGKNLYCMGFQNGGVQTKDGKDMVLLGGSSSIKISDDKGSTYTVNADDISSGCEVQWRKSLILLLATTVISYLML >ONIVA02G17830.5 pep chromosome:AWHD00000000:2:15593034:15597106:-1 gene:ONIVA02G17830 transcript:ONIVA02G17830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGGLPSVVICRCGAKLGGDLGSSNLALDGILGFGQSNSSMLSQLAAAGKVRKMFAHCLDTVNGGGIFAIGNVVQPKVKTTPLVPDMPHYNVILKGIDVGGTALGLPTNIFDSGNSKGTIIDSGTTLAYVPEGVYKALFAMVFDKHQDISVQTLQDFSCFQYSGSVDDGFPEVTFHFEGDVSLIVSPHDYLFQNGKNLYCMGFQNGGVQTKDGKDMVLLGDLVLSNKLVLYDLENQAIGWADYNCSSSIKISDDKGSTYTVNADDISSGCEVQWRKSLILLLATTVISYLML >ONIVA02G17830.6 pep chromosome:AWHD00000000:2:15597205:15598048:-1 gene:ONIVA02G17830 transcript:ONIVA02G17830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGACDPPGPGASLRRLVLLLALSVSALAAADASGVFEVQRKFTRHGDGGEGHLSALREHDGRRHGRLLAAIDLPLGGSGLATETGLYFTRIGIGTPAKRYYVQVDTGSDILWVNCVSCDGCPRKSNLGIELTMYDPRGSQSGELVTCDQQFCVANYGGVLPSCTSTSPCEYSISYGDGSSTAGFFVTDFLQYNQVSGDGQTTPANASVSFG >ONIVA02G17820.1 pep chromosome:AWHD00000000:2:15586341:15592771:-1 gene:ONIVA02G17820 transcript:ONIVA02G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETAVAGLELRHEPLHLGALQDRLHLHPAAAAAAVSSPSSSSSSSAGSSLSRWTNPSSAVAMANGIGATQSPKAVSNLTPDRSPDLDIELQGYEGNQVPTRSGPRYSLLPDDGSVHWSLVCKAYTKLTESGNVPLQIHFPSTFPINE >ONIVA02G17810.1 pep chromosome:AWHD00000000:2:15586038:15592340:1 gene:ONIVA02G17810 transcript:ONIVA02G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMEKLTQGHFGDEGESLANNLSPPGSIWIHEQQEQLGEFQHASNILMLSLQSENNSRVIAHF >ONIVA02G17800.1 pep chromosome:AWHD00000000:2:15581784:15584506:1 gene:ONIVA02G17800 transcript:ONIVA02G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWICNNMVSEPTICGIPGQETRWMTHGGSSDVQRLDPSIGGGCTGGACQVFEEMPSWLGAGAGIALRVQVSRVLYPVTGESFPGNGANVMPTKCSTLGPSYATTTSGAKSIPAATECVFPATKASLAPSTSSTTMATPAPSTETKVVGAGIDKEVLKSEETTQDLYTKMMAMIDKMLETCRNTKEDYTVSVDSNGDATALSVNIDPVPILSEVSNEANSTHLVNTNKLSMVKVKPTKGLTKSKKEKVDGDAGGMVTDDCVEFTKVRYDDFVSGADFTARPQVVPPWRLAVPLDFRFLPWPDIFNQGSGGVVVKLLQPWPPPAQAEVKAKVEKLNLHGQKLEIQIIVTVCSIPKATIEGLQLLGERMLQEEQLKCEVVKSNWYSFSNLLVGDMMDIALPMQSLGQLVPSYSLAQFENENLLIQQAMSWCRFKLSANYFLSKPYQWRKYIVGAPAYQGFHFQGMIKQQIDGVDMMLLYYHQISIVYCSASEDVVYDVTWTPVMPSKWIHVVAIGRTWLLSAFALINFLEAGTVQLAVKLVYVKIVEMTRIRSWDPVIVNLVTIIACQISTEGMSVGLSGVKVWLLFALTLVRFRGLVTTYYAKFWRFSPDVHYFDGMTTGNSVLWEPKLLPLSILLAEHKLLPAQVKFRLVVNNVTRGLKHQSIELFRKYATTVKKGGSDTLDLSSVCKYKNAHDSIQVGTVSSISLSLTMFAVLPSKKLVTSIKIPNYNSGQMEVQCIHQSASFVTSIGMESSLVVAFCGSAGAYVLALDDYLQLPWDPGGIDLELQLHQLGDKLIFKAERMPCN >ONIVA02G17790.1 pep chromosome:AWHD00000000:2:15575119:15580900:-1 gene:ONIVA02G17790 transcript:ONIVA02G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT3G47390) TAIR;Acc:AT3G47390] MPPAHPPPCGVAPAAAATCPLFLLPRRSLSRSLHLRLRRLSLPAPRAASHAHDAVLLRRAADAADRSAGLTSPHPNFGCVIARPQLETDNAEAWVVGEGFLYAQGTPCAELLAAQEAGEHARGATAYLNLEPGDCYGDSTAVSTLVQAGITRVVVGLRHPLKHLRGKAIQSLRNEGIQVDVVGEDLHSKLFKEALKSCLIVNAPLLYRAAFRVPFSVLKYAMTADDPRLTARHVKGHVPVRIVMSQSLNLPEEANLWNVHDAYTIVATQRGARRDLQKKLALKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISASVIHKVYAFLAPKIIGGVNAPTPVGELGMNQMTQAIDLIDVSYEQIDRDMLMSGFIQPIPDLSPVIPSVDEMPSVDPEVSPYETNIISFYKTWDTFGAFSNFSPHPIHMPDENGDYFTWPTVEHYYQAHKFIGVDDPQAREIVQEIKLAKSPEEAARIGRTRQREFQELVRPDWDSIKIEVMYRATKRKFSTYSHLTDMLLSTAGSVLVEASPHDLFWGGGREGEGMNYLGRLLMQLRSEILGTIQTAVEVGEPA >ONIVA02G17780.1 pep chromosome:AWHD00000000:2:15574420:15574769:1 gene:ONIVA02G17780 transcript:ONIVA02G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIRELDENEEEVVRTPEEVAAAAERGSMALRRGYDRMIARRVEKALRRLDFDSRKILDRRQTPYSVVVDETNYKDVDADAFLGIPKAPCYCCTLRSQELQEALLRQQKRD >ONIVA02G17770.1 pep chromosome:AWHD00000000:2:15572083:15572650:1 gene:ONIVA02G17770 transcript:ONIVA02G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQLQIGRISLETDAAMVKQAVISTDYDLSICGGLVLELKQLLVTNFVSFQSYMSRECNRVDHVLALLGSACLAQSELVLVAIPVCITRLVAKDISDHE >ONIVA02G17760.1 pep chromosome:AWHD00000000:2:15565095:15570673:1 gene:ONIVA02G17760 transcript:ONIVA02G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLPCLCVVTVLVAPAAAAAADSLGSAQPFLDLQNRARADVGVAPLTWDDTVAAYARRYAATRKGDCNLQHSGGPYGESIFWGSAGANWTAANAVASWASEKQFYNCSDGSCAGDQGGHSEKQFYKCGHYTQMVWAKTTKVGCAAVNCDADRGTFIICEYDPPGNVLGVQAYGGCGRFNQTAQSSPQDFLNLHNAARAGVGAGMLSWDSTVAAYAADYAEKRKSDCRNVHSNGPYGENLFQGVAHISWTASDALFSWLGEAKNYNCTGNTCKDGQECGEYTQLMWTNSTRVGCASVTCDDSAGGGTFIACNYDPPGNVAGQRPYSCSQAGISLPGLVPDKGNGTNQQANGNSSTGNSSSSQSSKGSKSNPAILPIVLPVSIGLGIISAISICLWRNRSSLKRRQSSCSEEVEDIKSVLLDPSVIRSATGNFAEENKLGEGGFGKVYKGLMPDGQEIAVKRLAKGSKQGLRELKNELLLVAKLQHRNLVKLLGACLNEEDKLLVYEYIPNKSLDTFIFDDKKREQLAWDARYKIICGIARGLVYLHDESRVKVIHRDLKPSNILLDMDLNPKISDFGLASVFEGDHTNHITRRVAGTYGYMAPEYAVLGHVSTKSAESEILKCIHIGLLCVQENPGDRPRMSNVILMIVGKSTTLPAPSRPAFLFRLNDENHIHHGINNLNPSLNKVTITELEPR >ONIVA02G17760.2 pep chromosome:AWHD00000000:2:15565095:15570673:1 gene:ONIVA02G17760 transcript:ONIVA02G17760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLPCLCVVTVLVAPAAAAAADSLGSAQPFLDLQNRARADVGVAPLTWDDTVAAYARRYAATRKGDCNLQHSGGPYGESIFWGSAGANWTAANAVASWASEKQFYNCSDGSCAGDQGGHSEKQFYKCGHYTQMVWAKTTKVGCAAVNCDADRGTFIICEYDPPGNVLGVQAYGGCGRFNQTAQSSPQDFLNLHNAARAGVGAGMLSWDSTVAAYAADYAEKRKSDCRNVHSNGPYGENLFQGVAHISWTASDALFSWLGEAKNYNCTGNTCKDGQECGEYTQLMWTNSTRVGCASVTCDDSAGGGTFIACNYDPPGNVAGQRPYSCSQAGISLPGLVPDKGNGTNQQANGNSSTGNSSSSQSSKGSKSNPAILPIVLPVSIGLGIISAISICLWRNRSSLKRRQSSCSEEVEDIKSVLLDPSVIRSATGNFAEENKLGEGGFGKVYKGLMPDGQEIAVKRLAKGSKQDDKKREQLAWDARYKIICGIARGLVYLHDESRVKVIHRDLKPSNILLDMDLNPKISDFGLASVFEGDHTNHITRRVAGTYGYMAPEYAVLGHVSTKSAESEILKCIHIGLLCVQENPGDRPRMSNVILMIVGKSTTLPAPSRPAFLFRLNDENHIHHGINNLNPSLNKVTITELEPR >ONIVA02G17750.1 pep chromosome:AWHD00000000:2:15551256:15552080:1 gene:ONIVA02G17750 transcript:ONIVA02G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAATALLLRCVVITTLLLPRAIAAYVYGDIGIPRNSTERFLYLQNQARADVGVAPLAWDGTVAAYAEKYAAARKGDCDLKHSGGPYGENIFWGSAGANWTATDAVASWASEKQWYNCSDDSCDAPGGRGCTHYKQMVWAKTTKVGCASVSCDANRGTFMVCEYDPPGNVPGVQAYAGCGHFNQTGRFTALDPQPINDSTRYT >ONIVA02G17740.1 pep chromosome:AWHD00000000:2:15545684:15546271:1 gene:ONIVA02G17740 transcript:ONIVA02G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARWIRHPEEDLATRDKDQARRGGGGAELTVAVARQRRRSAWGPRREEARRSGTDGGCVGRQGTSSGGDRVGYGMRRLGRWRQWWCRRSVVVRSAKVVTNAAIGYKVRSMVVGRGWPARGAGVALPLRRQRLDGSGASVRQQGISW >ONIVA02G17730.1 pep chromosome:AWHD00000000:2:15502018:15506278:-1 gene:ONIVA02G17730 transcript:ONIVA02G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKSVDLEESPETKQVEFVDLEKGQGCEMEEEEAGAKNQTLFNVITIFHGDDIASIYFSCNLLFQEHANLASYWNAIIITPAYLLMLKTTSYLRDTYVKNFAMYPASGGDVSNLASGNA >ONIVA02G17720.1 pep chromosome:AWHD00000000:2:15480076:15484042:-1 gene:ONIVA02G17720 transcript:ONIVA02G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAGRRSGANRRRPSGGGERRRQQQQHQRLVAVAVAARVVMVAPAATPAPAAGGGGGCVEDILGCLLGVLRALGVTWAAAAAAARPQRQQPRLAAQTPRGPAPGADGRRAAAELRGIPGRIAGNGACAVASLYTLQGKKGVNQDAMIVWENFCSREDTIFCGVFDGHGPNGHLVAKRVRDLLPIKLGADLGTDEGRQTSTSNIKSNGDETGSPGNMGRDAEQNGEYPEIFTALRTSFLRAFNVMDRDLNGTTAVAVLKQGRNLIIGNLGDSRAILGTRDKDNQLMAVQLTVDLKPNIPSEAQRIRQRMGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDYGLISMPEVSYHRVTEKDEFVVLATDGVWDVLSNTEVVSIVNRATSRASAARLLVESAHRAWRARFPTSKIDDCAVVCLFLDTDELSETSSSMARDMTNAVEVSSGQHSNTIQLSTGVSSDVVTAVLTDGDDLSAVDAVAKLVTLTDLPNNASGATQSITTK >ONIVA02G17710.1 pep chromosome:AWHD00000000:2:15472226:15475147:1 gene:ONIVA02G17710 transcript:ONIVA02G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEVVEDAAHGIVIVGGGICGLATALALHRKGISSLVLERSEALRADGVAIGIHANGWRALEHLGVAAVLREATNAITAYRSVWQLQNKTTLLPARKELRCLTRKDLVETLAKNLPAGTIRFGCRVAAVDEDSGSRCPVLTTEDGHTIKAKVLIGCDGANSVVAKYLGLGNPSELPRLAILGLASYPDGHPFGTEFLTIAGDDLAVGRLPINDHLVHFFLSRRRPSTDMARDASAAREYVLEKLQECPADVVDMVRRCDHASSLWTTTKVWYRPPWQVALAAFFQLRRRAAVTVAGDAMHVMGPFIGQGGSSALEDAVVLARSLSSSRATVEGGADDLAGDRGRRHDQPQVDGEMGAAIGRYVRERRARVIRLSLESFTVGTLLRTKSAVVRLVCAVVMALLGTRSRRHADYDCGSL >ONIVA02G17700.1 pep chromosome:AWHD00000000:2:15470926:15471426:1 gene:ONIVA02G17700 transcript:ONIVA02G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAQGEVKTPALCSAHMMSWSPSLAGAPRWRWERSTARRRDSDICVGDKVGMKAWSMDRARVPSRLFPSASVTSTSTCNPTDGWRGAPQSGDRGVGRRELAVMGTEVAVVDTRSEGRGSRAPPPLRLKWIDGGATAETAAASVCLRCASGSPPLPTFSKTFTNT >ONIVA02G17690.1 pep chromosome:AWHD00000000:2:15463441:15464820:1 gene:ONIVA02G17690 transcript:ONIVA02G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERFSLERVIHDMEHKLLSKILTIQQELTEIKMHDTLPTRVYNLEVDYTDDYILTKEDEEVLHFVRNSYIWATIALIADIPLAINFLLPNVNGGWLYDTVIDAYGYTANIANHNAGVITTFQSNLLFDDFEDFDSRFDHHWVSQVGKICVVRHMVHYTHLMN >ONIVA02G17680.1 pep chromosome:AWHD00000000:2:15448965:15449339:-1 gene:ONIVA02G17680 transcript:ONIVA02G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKVKTIGKKGEHGSEASKERKRNRGSRNGRGQLGGIDEDTVKHSCSRTVTGNCGRRCRTTVGCPVRTDKPSQACSQCMDGLLFFPAHHTLIEWTMSTKPMAIFTRAEGNLSLQQHISPREA >ONIVA02G17670.1 pep chromosome:AWHD00000000:2:15446915:15447405:-1 gene:ONIVA02G17670 transcript:ONIVA02G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMGSGGAGRVLPRGDADDGKEQRRSGGGLDGGGDGGGLDRGCSSAGRRPRRTREERAWASTTSQRALRRRLGHHAHRQVIRMEPDLVEADAIHRIAGSGTPSLGHFVVRPDGRRDTVGPYPLVWNH >ONIVA02G17660.1 pep chromosome:AWHD00000000:2:15400747:15444532:-1 gene:ONIVA02G17660 transcript:ONIVA02G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVLYLLRKYLGEYVEGLSVETLRISVWQGDVVLKDLKLKADALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGPVPGSNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVYPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRAWWRYAVLAAIGSHGRELGISASFVDAMNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITCQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFSPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNVKPTGTEDNARSFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNGFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPGFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRSKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGCVVNIGRQPPSNHVVYCLRSDLVTSTAFSDCIHTLSPTPGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPISRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIIELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSSSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGPESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKGSVNILQELLRWKVPRPYAPRNTSGRTVQDLSYEREGTYQCIAYITF >ONIVA02G17660.2 pep chromosome:AWHD00000000:2:15400747:15444532:-1 gene:ONIVA02G17660 transcript:ONIVA02G17660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVLYLLRKYLGEYVEGLSVETLRISVWQGDVVLKDLKLKADALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGPVPGSNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVYPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRAWWRYAVLAAIGSHGRELGISASFVDAMNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITCQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFSPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNVKPTGTEDNARSFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNGFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPGFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRSKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPISRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIIELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSSSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGPESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKGSVNILQELLRWKVPRPYAPRNTSGRTVQDLSYEREGTYQCIAYITF >ONIVA02G17660.3 pep chromosome:AWHD00000000:2:15400747:15444532:-1 gene:ONIVA02G17660 transcript:ONIVA02G17660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVLYLLRKYLGEYVEGLSVETLRISVWQGDVVLKDLKLKADALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGPVPGSNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVYPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRAWWRYAVLAAIGSHGRELGISASFVDAMNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITCQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFSPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNVKPTGTEDNARSFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNGFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPGFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRSKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGCVVNIGRQPPSNHVVYCLRSDLVTSTAFSDCIHTLSPTPGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPISRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNVNLSSANLDLLIETLISWKRQIDLEKKSSIKNEDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIIELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSSSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGPESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEVIEDCSTEYVMLSPQDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKGSVNILQELLRWKVPRPYAPRNTSGRTVQDLSYEREGTYQCIAYITF >ONIVA02G17660.4 pep chromosome:AWHD00000000:2:15400747:15444532:-1 gene:ONIVA02G17660 transcript:ONIVA02G17660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVLYLLRKYLGEYVEGLSVETLRISVWQGDVVLKDLKLKADALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGPVPGSNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVYPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRAWWRYAVLAAIGSHGRELGISASFVDAMNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITCQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFSPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNVKPTGTEDNARSFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNGFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPGFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRSKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGCVVNIGRQPPSNHVVYCLRSDLVTSTAFSDCIHTLSPTPGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPISRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNVNLSSANLDLLIETLISWKRQIDLEKKSSIKNEDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIIELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSSSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGPESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEVIEDCSTEYVMLSPQDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKELLRWKVPRPYAPRNTSGRTVQDLSYEREGTYQCIAYITF >ONIVA02G17660.5 pep chromosome:AWHD00000000:2:15400747:15444532:-1 gene:ONIVA02G17660 transcript:ONIVA02G17660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVLYLLRKYLGEYVEGLSVETLRISVWQGDVVLKDLKLKADALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGPVPGSNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVYPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRAWWRYAVLAAIGSHGRELGISASFVDAMNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITCQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFSPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNVKPTGTEDNARSFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNGFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPGFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRSKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPISRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNVNLSSANLDLLIETLISWKRQIDLEKKSSIKNEDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIIELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSSSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGPESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEVIEDCSTEYVMLSPQDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKGSVNILQELLRWKVPRPYAPRNTSGRTVQDLSYEREGTYQCIAYITF >ONIVA02G17660.6 pep chromosome:AWHD00000000:2:15400747:15444532:-1 gene:ONIVA02G17660 transcript:ONIVA02G17660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVLYLLRKYLGEYVEGLSVETLRISVWQGDVVLKDLKLKADALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGPVPGSNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVYPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKVDCRAWWRYAVLAAIGSHGRELGISASFVDAMNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITCQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFSPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNVKPTGTEDNARSFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNGFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPGFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRSKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPISRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNVNLSSANLDLLIETLISWKRQIDLEKKSSIKNEDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIIELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSSSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGPESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEVIEDCSTEYVMLSPQDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKELLRWKVPRPYAPRNTSGRTVQDLSYEREGTYQCIAYITF >ONIVA02G17650.1 pep chromosome:AWHD00000000:2:15381612:15385063:-1 gene:ONIVA02G17650 transcript:ONIVA02G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSSSSSPPAAHHPFSFPRRAKQHGRPRLAAASSRWANRRASSSIWVNPAAPPRPGQTLRRLVQLGDLDAALRLLLLPGALPAPAAALISCNILIKKLCATRRLADAERVLDALKAAAAADAVSHNTLVAGYCRDGRLADAERVLGAARATGAANVVTYTALIDGYCRSGRLDDALRLIASMPVAPDTYTYNTVLKGLCIAKKWEEAEELMAEMIRNRCPPNEVTFATQIRSFCQNGLLDRAVQLLDQMPRYGCTPDVVIYSTLINGFSEQGHVDQALDLLNTMLCKPNTVCYNAALKGLCIAERWEDIGELMAEMVRKGCSPNEATFSMLISSLCQNNLVDSAVEVLEQMEKYGCEPDTVNYNIIINSLSERGRVDDALRLLNSMVCKPDALGFNAVLKGFCRAERWHDASELIAQMFRDDCPLIEMTFNILIDTLCQNGLVNYATQVFEQMPRYRCTPDIVTYSSLLNGFSEQGLVEVAIQLFRSMPCKPDIFSYNAVLKGLCRAARWEDAGELIAEMVGKDCPPNEVTFNILINSLCQKGLVDRAIEVLEQMPNYGSTPDIFTYNALINGFSEQGRLDDALKLLSTMSCKPDAISYNSTLKGLCRAERWQDAEELVAEMLRNKCTPNEVTFKFFVYELVMVMGMTMEHSVSISTFTW >ONIVA02G17640.1 pep chromosome:AWHD00000000:2:15376068:15381133:1 gene:ONIVA02G17640 transcript:ONIVA02G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG (high mobility group) box protein with ARID/BRIGHT DNA-binding domain [Source:Projected from Arabidopsis thaliana (AT1G04880) TAIR;Acc:AT1G04880] MGTKLKVPIIGGKDLDLHQLFKEVTSRGGIDKVKSDNRWREVTASFIFPATATNASFMLKKYYMSLLYHFERLYLFEAQGWYQETDSRSISCIEMKAEGQASRKRKRGSNSCSSDLAASLDNDVQVIIDGKFEHGYIVTVIMGSKSTKAVLYNCTEEPAVPTAVPHVAIDSAEGIRPRRRRRRKKLSTTDPNHPKPNRSGYNFFFQDQHRKLKPEYPGQDRLISKMIGERWNNLGPEDKAVYQEKGVEDKARYQRQLALYREQRTGQPISNAVPIQQRLPQKEVTIDEVDSKVSEGDILLSNQGYSSSTSSSDETADSGEKNVEDDEEFNTETSPEPSMETTDSHGQPDPSADGERFELRRRENPKIDEKRDMPPN >ONIVA02G17630.1 pep chromosome:AWHD00000000:2:15365033:15371319:1 gene:ONIVA02G17630 transcript:ONIVA02G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLSSVPWWVPFLSSSSHRGLSFFPSMLEFSPLVASASRLLPLRPALPLPTFPSFPVGVGVFTGGEEIDICTITLLSIDLLMAASLVERRTGSWRTVGVDAWRLTTMSRILPRRHPPPPASFALPLADILPSLCCPLKSSTLVAAARESPLPTAMAAGEQFKTMTGLNGKRSPVTATVMTTRHVVGDGRPSAAGLRASQSLRRPPPSLAAARRDEDPTPHTVDPPLQPDMWVAGRWQWRAECGGVKCQPVLEKATAVVGSGEEG >ONIVA02G17620.1 pep chromosome:AWHD00000000:2:15356626:15360775:1 gene:ONIVA02G17620 transcript:ONIVA02G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLLLLGLLLLSPAVAAASVPGEEEPLIRQVVGGGDDNELELNAERHFASFVQRFGKSYRDADEHAYRLSVFKANLRRARRHQLLDPSAEHGVTKFSDLTPAEFRRAYLGLRTSRRAFLRGLGGSAHEAPVLPTDGLPDDFDWRDHGAVGPVKNQGSCGSCWSFSASGALEGANYLATGKMDVLSEQQMVDCDHECDSSEPDSCDAGCNGGLMTNAFSYLLKSGGLESEKDYPYTGRDGTCKFDKSKIVTSVQNFSVVSVDEDQIAANLVKHGPLAIGINAAYMQTYIGGVSCPYICGRHLDHGVLLVGYGASGFAPIRLKDKAYWIIKNSWGENWGEHGYYKICRGSNVRNKCGVDSMVSTVSAIHTSKE >ONIVA02G17610.1 pep chromosome:AWHD00000000:2:15331138:15342096:1 gene:ONIVA02G17610 transcript:ONIVA02G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPPPRGRRVTRQFWNAGDYDGKPDLLGGDPSLRSGMDHIRVHPRFLHSNATSHKWALGAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSAKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNDIQIRGGNRDQKNIQLAKQFPNSRHFFTYRHSLQSYASILYLRVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKIMEDEGYISGGLAYAECTQPIVWALPGIQGRGVIGKKSFYKPLWHNFFMCGKLILEEAGDRQLLLHSRVLEVNFVEPAHDKQDFERTNSLARLEARLNLMQKKYWSDNCHRIGYGGNSANRKSGREYKGPTSDQSPEGCRSSNYLQRKRSFGSPYSGSSNNNSKTGITSLNTSKISLPESRFSLRTTAQQTVEKTKRTLRYTRPLLHGLSHTSNDSDAQTSGTPSRSTSHILKTPEKSCHNENTLPLIPSSEAIRSEGTTRYQSEERNVTNNGDGQTVDNPETVIKLLTDENSSLKESIMKMEESLSRELHIERDKNKSLIERLENVQKQLETANKEQEALVDIFTEERARRDQEVENQRTKLKEASSTIQNLLDQLNAARSCRKN >ONIVA02G17610.2 pep chromosome:AWHD00000000:2:15331138:15342096:1 gene:ONIVA02G17610 transcript:ONIVA02G17610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPPPRGRRVTRQFWNAGDYDGKPDLLGGDPSLRSGMDHIRVHPRFLHSNATSHKWALGAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSAKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNDIQIRGGNRDQKNIQLAKQFPNSRHFFTYRHSLQSYASILYLRVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKIMEDEGYISGGLAYAECTQPIVWALPGIQGRGVIGVLEVNFVEPAHDKQDFERTNSLARLEARLNLMQKKYWSDNCHRIGYGGNSANRKSGREYKGPTSDQSPEGCRSSNYLQRKRSFGSPYSGSSNNNSKTGITSLNTSKISLPESRFSLRTTAQQTVEKTKRTLRYTRPLLHGLSHTSNDSDAQTSGTPSRSTSHILKTPEKSCHNENTLPLIPSSEAIRSEGTTRYQSEERNVTNNGDGQTVDNPETVIKLLTDENSSLKESIMKMEESLSRELHIERDKNKSLIERLENVQKQLETANKEQEALVDIFTEERARRDQEVENQRTKLKEASSTIQNLLDQLNAARSCRKN >ONIVA02G17610.3 pep chromosome:AWHD00000000:2:15331138:15342096:1 gene:ONIVA02G17610 transcript:ONIVA02G17610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPPPRGRRVTRQFWNAGDYDGKPDLLGGDPSLRSGMDHIRVHPRFLHSNATSHKWALGAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSAKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNDIQIRGGNRDQKNIQLAKQFPNSRHFFTYRHSLQSYASILYLRVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPFWRVWALPGIQGRGVIGKKSFYKPLWHNFFMCGKLILEEAGDRQLLLHSRVLEVNFVEPAHDKQDFERTNSLARLEARLNLMQKKYWSDNCHRIGYGGNSANRKSGREYKGPTSDQSPEGCRSSNYLQRKRSFGSPYSGSSNNNSKTGITSLNTSKISLPESRFSLRTTAQQTVEKTKRTLRYTRPLLHGLSHTSNDSDAQTSGTPSRSTSHILKTPEKSCHNENTLPLIPSSEAIRSEGTTRYQSEERNVTNNGDGQTVDNPETVIKLLTDENSSLKESIMKMEESLSRELHIERDKNKSLIERLENVQKQLETANKEQEALVDIFTEERARRDQEVENQRTKLKEASSTIQNLLDQLNAARSCRKN >ONIVA02G17610.4 pep chromosome:AWHD00000000:2:15331138:15342096:1 gene:ONIVA02G17610 transcript:ONIVA02G17610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPPPRGRRVTRQFWNAGDYDGKPDLLGGDPSLRSGMDHIRVHPRFLHSNATSHKWALGAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSAKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNDIQIRGGNRDQKNIQLAKQFPNSRHFFTYRHSLQSYASILYLRVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDVKITNAKHHIPIQGFNVYHKNRLIKPFWRVWALPGIQGRGVIGVLEVNFVEPAHDKQDFERTNSLARLEARLNLMQKKYWSDNCHRIGYGGNSANRKSGREYKGPTSDQSPEGCRSSNYLQRKRSFGSPYSGSSNNNSKTGITSLNTSKISLPESRFSLRTTAQQTVEKTKRTLRYTRPLLHGLSHTSNDSDAQTSGTPSRSTSHILKTPEKSCHNENTLPLIPSSEAIRSEGTTRYQSEERNVTNNGDGQTVDNPETVIKLLTDENSSLKESIMKMEESLSRELHIERDKNKSLIERLENVQKQLETANKEQEALVDIFTEERARRDQEVENQRTKLKEASSTIQNLLDQLNAARSCRKN >ONIVA02G17610.5 pep chromosome:AWHD00000000:2:15331138:15342096:1 gene:ONIVA02G17610 transcript:ONIVA02G17610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPPPRGRRVTRQFWNAGDYDGKPDLLGGDPSLRSAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSAKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSSEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNSYASILYLRVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPFWRVWALPGIQGRGVIGVLEVNFVEPAHDKQDFERTNSLARLEARLNLMQKKYCIMKMEESLSRELHIERDKNKSLIERLENVQKQLETANKEQEALVDIFTEERARRDQEVENQRTKLKEASSTIQNLLDQLNAARSCRKN >ONIVA02G17600.1 pep chromosome:AWHD00000000:2:15301528:15322193:1 gene:ONIVA02G17600 transcript:ONIVA02G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGRLPAPFVFPLVLLLLALVPSPEAAESTPGTRTRKIGGAASVFSLFNLKPQNKFWSESVIRTEFDDLEGSTSRDSSKKALLNFTRAGNIANYMSLAEVDSIYLSVPVNFIFIGFDGKGGHEFKLGPEELERWFTKIDHIFEQTRIPPVGEVLTPFYKTSVKKLKQYDLPLISHINHNFSVHAVHMGEDVMSVFQHAIKVLSRREDITDSREGAEALWQVDSDQMEHLFSTLVDHLQIQEAYNIFILNPKTIGKSTQYGYRKGFSESEINLLRENKTLQARILQSKSDKRLYLDIEKGVNRRPLYESHPLSSFSWTTSDNMDMGDWSKKCKEALSNFELLKEGKSKDDIIYDKAVQVLHGKKDELHDIFESALKSSDLKGLHAECLTDMWIGRDRFAFIDLSAGPFAWGPAVGGDGVRTELSLPNVAKTVGAVAEVIEEEAEAKLQDTIRERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERMHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMSHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNMKQFPVNVKSVTEGLSSVLLQFQKPMFSQRMLSLSEDPALMMAFSIARRAAAVPLLLVNGGHSNHRSTLEIPIFWFIHSEPLLLDKHYQAKSLSNMVVVVQSEVDSWESHLQCNGRRPVKAAIAATAEYVSGLLPSHLAYSPAHETATEDWTWSVGCNPLSITSKGWQLSEFQRDVIARERGFKLFKAQERVLVEKYNSVVSLWRRVSAMSKGLRYGDAVKLTSMLEEASHGFANAVNSTISSLHPVQCTRERKVDVQLDLTTIPAFLAVFLLLWFLLRPRRPKPKIN >ONIVA02G17600.2 pep chromosome:AWHD00000000:2:15301528:15322193:1 gene:ONIVA02G17600 transcript:ONIVA02G17600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGRLPAPFVFPLVLLLLALVPSPEAAESTPGTRTRKIGGAASVFSLFNLKPQNKFWSESVIRTEFDDLEGSTSRDSSKKALLNFTRAGNIANYMSLAEVDSIYLSVPVNFIFIGFDGKGGHEFKLGPEELERWFTKIDHIFEQTRIPPVGEVLTPFYKTSVKKLKQYDLPLISHINHNFSVHAVHMGEDVMSVFQHAIKVLSRREDITDSREGAEALWQVDSDQMEHLFSTLVDHLQIQEAYNIFILNPKTIGKSTQYGYRKGFSESEINLLRENKTLQARILQSKSDKRLYLDIEKGVNRRPLYESHPLSSFSWTTSDNMDMGDWSKKCKEALSNFELLKEGKSKDDIIYDKAVQVLHGKKDELHDIFESALKSSDLKGLHAECLTDMWIGRDRFAFIDLSAGPFAWGPAVGGDGVRTELSLPNVAKTVGAVAEVIEEEAEAKLQDTIRERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERMHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMSHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNMKQFPVNVKSVTEGLSSVLLQFQKPMFSQRMLSLSEDPALMMAFSIARRAAAVPLLLVNGGHSNHRSTLEIPIFWFIHSEPLLLDKHYQAKSLSNMVVVVQSEVDSWESHLQCNGRRPVKAAIAATAEYVSGLLPSHLAYSPAHETATEDWTWSVGCNPLSITSKGWQLSEFQRDVIARCGLNLNQEQVSAMSKGLRYGDAVKLTSMLEEASHGFANAVNSTISSLHPVQCTRERKVDVQLDLTTIPAFLAVFLLLWFLLRPRRPKPKIN >ONIVA02G17600.3 pep chromosome:AWHD00000000:2:15301528:15322193:1 gene:ONIVA02G17600 transcript:ONIVA02G17600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGRLPAPFVFPLVLLLLALVPSPEAAESTPGTRTRKIGGAASVFSLFNLKPQNKFWSESVIRTEFDDLEGSTSRDSSKKALLNFTRAGNIANYMSLAEVDSIYLSVPVNFIFIGFDGKGGHEFKLGPEELERWFTKIDHIFEQTRIPPVGEVLTPFYKTSVKKLKQYDLPLISHINHNFSVHAVHMGEDVMSVFQHAIKVLSRREDITDSREGAEALWQVDSDQMEHLFSTLVDHLQIQEAYNIFILNPKTIGKSTQYGYRKGFSESEINLLRENKTLQARILQSKSDKRLYLDIEKGVNRRPLYESHPLSSFSWTTSDNMDMGDWSKKCKEALSNFELLKEGKSKDDIIYDKAVQVLHGKKDELHDIFESALKSSDLKGPFAWGPAVGGDGVRTELSLPNVAKTVGAVAEVIEEEAEAKLQDTIRERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERMHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMSHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNMKQFPVNVKSVTEGLSSVLLQFQKPMFSQRMLSLSEDPALMMAFSIARRAAAVPLLLVNGGHSNHRSTLEIPIFWFIHSEPLLLDKHYQAKSLSNMVVVVQSEVDSWESHLQCNGRRPVKAAIAATAEYVSGLLPSHLAYSPAHETATEDWTWSVGCNPLSITSKGWQLSEFQRDVIARCGLNLNQEQVSAMSKGLRYGDAVKLTSMLEEASHGFANAVNSTISSLHPVQCTRERKVDVQLDLTTIPAFLAVFLLLWFLLRPRRPKPKIN >ONIVA02G17600.4 pep chromosome:AWHD00000000:2:15301528:15322193:1 gene:ONIVA02G17600 transcript:ONIVA02G17600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGRLPAPFVFPLVLLLLALVPSPEAAESTPGTRTRKIGGAASVFSLFNLKPQNKFWSESVIRTEFDDLEGSTSRDSSKKALLNFTRAGNIANYMSLAEVDSIYLSVPVNFIFIGFDGKGGHEFKLGPEELERWFTKIDHIFEQTRIPPVGEVLTPFYKTSVKKLKQYDLPLISHINHKEGAEALWQVDSDQMEHLFSTLVDHLQIQEAYNIFILNPKTIGKSTQYGYRKGFSESEINLLRENKTLQARILQSKSDKRLYLDIEKGVNRRPLYESHPLSSFSWTTSDNMDMGDWSKKCKEALSNFELLKEGKSKDDIIYDKAVQVLHGKKDELHDIFESALKSSDLKGLHAECLTDMWIGRDRFAFIDLSAGPFAWGPAVGGDGVRTELSLPNVAKTVGAVAEVIEEEAEAKLQDTIRERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERMHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMSHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNMKQFPVNVKSVTEGLSSVLLQFQKPMFSQRMLSLSEDPALMMAFSIARRAAAVPLLLVNGGHSNHRSTLEIPIFWFIHSEPLLLDKHYQAKSLSNMVVVVQSEVDSWESHLQCNGRRPVKAAIAATAEYVSGLLPSHLAYSPAHETATEDWTWSVGCNPLSITSKGWQLSEFQRDVIARERGFKLFKAQERVLVEKYNSVVSLWRRVSAMSKGLRYGDAVKLTSMLEEASHGFANAVNSTISSLHPVQCTRERKVDVQLDLTTIPAFLAVFLLLWFLLRPRRPKPKIN >ONIVA02G17600.5 pep chromosome:AWHD00000000:2:15301528:15322193:1 gene:ONIVA02G17600 transcript:ONIVA02G17600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGRLPAPFVFPLVLLLLALVPSPEAAESTPGTRTRKIGGAASVFSLFNLKPQNKFWSESVIRTEFDDLEGSTSRDSSKKALLNFTRAGNIANYMSLAEVDSIYLSVPVNFIFIGFDGKGGHEFKLGPEELERWFTKIDHIFEQTRIPPVGEVLTPFYKTSVKKLKQYDLPLISHINHKEGAEALWQVDSDQMEHLFSTLVDHLQIQEAYNIFILNPKTIGKSTQYGYRKGFSESEINLLRENKTLQARILQSKSDKRLYLDIEKGVNRRPLYESHPLSSFSWTTSDNMDMGDWSKKCKEALSNFELLKEGKSKDDIIYDKAVQVLHGKKDELHDIFESALKSSDLKGLHAECLTDMWIGRDRFAFIDLSAGPFAWGPAVGGDGVRTELSLPNVAKTVGAVAEVIEEEAEAKLQDTIRERFSSFGENYHAVDILLAEIDVYELFAFKHCVGRRVQLALCKELDERMHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMSHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNMKQFPVNVKSVTEGLSSVLLQFQKPMFSQRMLSLSEDPALMMAFSIARRAAAVPLLLVNGGHSNHRSTLEIPIFWFIHSEPLLLDKHYQAKSLSNMVVVVQSEVDSWESHLQCNGRRPVKAAIAATAEYVSGLLPSHLAYSPAHETATEDWTWSVGCNPLSITSKGWQLSEFQRDVIARCGLNLNQEQVSAMSKGLRYGDAVKLTSMLEEASHGFANAVNSTISSLHPVQCTRERKVDVQLDLTTIPAFLAVFLLLWFLLRPRRPKPKIN >ONIVA02G17590.1 pep chromosome:AWHD00000000:2:15297797:15298552:1 gene:ONIVA02G17590 transcript:ONIVA02G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDGDISALLSEPSIPEEQPEASEFDDVIPAILESIKSSEKAFKPSPEEAAWADSCFVQTSELSDSDWGAMKHALLNALEKPTEIPNNTSEIVHEEGSHAILEVKPHSLPAEIVSQHDDMQMEQKENNDYDTGTTEASEVANVIRGTNEHGKQMDGYTARPEDGDELSSSEVLEQTESRETIFKVWDLNVPFSDEDELELIKDLKKLLKDNPQESEFRPPSGTAKTLSQIAVDDLVADLSDLSLQQTDE >ONIVA02G17580.1 pep chromosome:AWHD00000000:2:15289662:15296166:-1 gene:ONIVA02G17580 transcript:ONIVA02G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPDNYGFNHNSRAEARRRLARPETAQPRRGGGGKMTKLAEMWYLNLSSNNLSRKVTPLLGKMRSLTTLDLSGNPGLCGNNIAARTPPPATATPARRAADVVIENAETAASGGSLAAATMTAASLWSKDTTFSFGDILAATEHFNDAYCIGRGSFGTVYRANLGGGRAVAMKRLDVSETSDAPPKTSKRMSQPTPPTTTATTTALREWNRLIQHAAASGSYSRCLRHYASLLAAGLGGGGASTFPSLAKSCAALRLPRLGAAVHAHALLAGAASAVFVRTSLLDMYAKCGRLPDARRLFDEMPRPTLVSWNCMVAAYGRSSQVEESVAVFNAMRRAGVRPSEGTLVGVLSGCVDSVSASNPGMCVYGFSVKSGLDAGLPVLNSVLTMLVRGSHLDAARLLFDGICNKSVVTWTALASGYLLRGDYLEVFDLFNRMRGVGQNVDSVVLVNLISAAVLFGNLSVAKGVHALIIKLGFECEEDLAASLINLYAKCGDLESAREVFDAVHMANVVVWTSMISGYVEGGHLNEALVMFDSMVCANIEPNEATLSSVLSACAKLGSANLGKKVEEQAIATGLHSEPRVATGLIDMYSKFGSINLARKIFEGVTNRDIAVWSAMINGYACNGEGSEALVLFKEMKNKGFQPDGIAFTHVLTACNYSGLVDEGLECFHSMTMEYGIEPSIEHHMCMVDLLCKAGHFGSALKFFKQMPSEVQNKVLAPIISSYSARCADSSIDFIPEELLNLETQDSDHCVLMSNMLSCLGKWKKATSYRRQLSKQGLMKEPGWSCIELSG >ONIVA02G17570.1 pep chromosome:AWHD00000000:2:15265174:15268528:1 gene:ONIVA02G17570 transcript:ONIVA02G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPASTKAPLPWISPLHYRSPTRAAPPSPPPPPPPLPEAPLTQLRYVHHPDLARLIASSPSAQRALDLFNAAAAQRGFSHTPATFAALLVRLARSRLPSAAAAVLRRAASAPCRFLEPQFLPLLRLLPPDHSLALLRLLPALLRRGRVSRKALAVCLDRLVSSRRCPDRMSRSSGPAGNIKNSVAAGNETKSELLRNQLHPKMTHESMICEHNLSFALSSTSIKS >ONIVA02G17560.1 pep chromosome:AWHD00000000:2:15256398:15257134:-1 gene:ONIVA02G17560 transcript:ONIVA02G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPGLPFGDRRSGSPAIRAAPPLAPLLLRRVAPVGTPLRAASAATACLLPVQMHPRRSSSTAPRSPDCRRPWALQLDLLEKLRVPENPDGIDARDHADPPLTS >ONIVA02G17550.1 pep chromosome:AWHD00000000:2:15247624:15251004:1 gene:ONIVA02G17550 transcript:ONIVA02G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEGRLAADEGTLRPNLRLVDRLHARPAPDLRRLFSRLDRLHARRRKPISSAPPHSIICRGWNCCGIKRSLVRPCPRLIPQFIESIPSRI >ONIVA02G17540.1 pep chromosome:AWHD00000000:2:15242017:15247110:1 gene:ONIVA02G17540 transcript:ONIVA02G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSSVPSLRLLLFFFFFFFSLAHPSSPFSSLSPPPRKEPTLLRSPTKRPRRSLADQRGSHARRANMAGATDSTPMAAGRAVPPPPEAAAPRLLLLGGGAELWRPVARGGGWATAAALLLLLASHLSVLLLRRLRLRRRLRPADAVSSSSAAAAAAVVTADSAPGSAAGMDGLVTEGDLRELVGNLGVAAREPEREGWQQVVAKGNDDVSYRVWCDKPMEGPPRYLSVTTYERCSTELLRDFYMDNEYRMEWDNTVIKHEQLQFDENSGIEIGRTIKKFPLLTPREYILAWRVWEGNDKSFYCLVKECEHPVAPRQRKFVRVQLLRSGWCIRKIPGRDACRITVLHHEDNGMNIEMAKLAFAKGIWNYICKMNSALRRYPQRNISSISILTMQRLTKKFPQALETDVDANHHPQGNTRANVVPSHFARTSSRQQPGKKSSRATIASGLLLIGSIVCLSRGRSNLGAQLAMAFFLKKAFKQDKGSSSQRSISRADVTEPRHLE >ONIVA02G17530.1 pep chromosome:AWHD00000000:2:15234005:15237205:-1 gene:ONIVA02G17530 transcript:ONIVA02G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein [Source:Projected from Arabidopsis thaliana (AT2G34570) TAIR;Acc:AT2G34570] MRVKRRSKNRKVVRFYASCFGFREPYRVLVDGTFVHHLLSHSLLPADDALQSLLSASRPPPLFTSKCVLAELRRLGKSHADAFDAAALLATAKCEHDKVVSAVDCILSLIGEKNPEHFFVATQDSDLRAKLREVPGVPVIYGLKSSLFIEQPSVQQRKFAQLDEEKRLHMGKSEYQKLLKVPSDGKAAASENASDDEKNRRPISSLVENALGVADKSKFKKKRPKGPNPLSCKKKKPKPQLSAAQNQINMPIISIYYVVGKACLEELFAVDATQGLMRTCVLQGPKADGEAKRKRVRKRKRSHKDSKQTETMS >ONIVA02G17520.1 pep chromosome:AWHD00000000:2:15223389:15224429:1 gene:ONIVA02G17520 transcript:ONIVA02G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAAAAAVPLATTVAMLYARLAASLTGPGPRRLAALLPAMALLPVLPLALPYYSYRGFSAFVFVWLGEFKLLLLAFGHGPLHPALRPLPFVFTTALPVKLVDAAAAAAGASASRPPPAAPAATFKFVVSSAIKVGAMAAIVRVLHAKEEMHRYAAFSLNAVFMYCFLGVVLPALGAAGVALGMEMEPQFDRPYLSASLRDFWGRRWNLVASAVLRAAVYDPVRARSGDPAAGVLAAFLVSGLMHEVVILYLTSRAPTGRVTAFFALHGVCVCAERWWCARQHKREARPQLPRAVAAPLVLGFVAGTAFWLFFPAIYGGGMDDLYLAEIAGFAKGLGLGGSWTGEN >ONIVA02G17510.1 pep chromosome:AWHD00000000:2:15208669:15209878:-1 gene:ONIVA02G17510 transcript:ONIVA02G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEARRLEVEAARLESAHGDGGGAAEVGWLEAAHGRRWRRRREAHADAVKRRREGAKEYGDGDGDGAQEDGDGQMGTAAHISSVRFYSAHPIPASLSNATALVALNLANNSFAGQVPAEIGTLCALLLELSNNKLTATDAGGGWEFMDNLTKCSALAEILLYGNKFAGVMPSFNKDLVKTAT >ONIVA02G17500.1 pep chromosome:AWHD00000000:2:15203392:15207437:1 gene:ONIVA02G17500 transcript:ONIVA02G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGELEGFRRLSGLAFDELSRRDLSSASGPPPADLPTLLRLCLLSLPLSADAELALRRCTRLLASLRGILCRDLDPSLLPALEVFLDNLVSSNQLMTCFTAANAVMPRRSRITSLGSVCSGGNLFVMELMSHHFISSVQDEEGFLSALSWSAKAKLEVPEIGLSGALSLLHKSCLLSIPPAVQAHFLLLACRCADNGDLNMNLLAFEHAMDVYLSYLPALGVFRRTSGVKRPLGCSMKRRPLSSCLQAATHQKLACDINRLVLFCNLHSNDDLPINESDIVRFIEENQQVLHEQSRQDTITAVKSIVSNVLLLAKQEEMDRLYRNVSEEIICLAAALRLMGSSFIRIMHCIRQMTVGDGSQTTHCLEPCKVFNIVSETISLLGHYEPNELQRNDLFDTIGKPGDGQQGAVLMLSHFATLSVHCLRMRFNFMWKGCIFMMMMANLCATEMYHFLIDGSKASKVRCADQDGSLKASVPRKSSTVIALRFQNTQQVYIQDKLGPGFGEVCSSDSLQRCTSSYGRDNVRTILKGLTGRGEDSSDLYDFIECNPSLDYSNWWTQRKKFKKFKDHKWIRSKRHSMSRLRASKFQWVVQPLDLMGVGQPSTGGGNIIPIFPSYSVL >ONIVA02G17490.1 pep chromosome:AWHD00000000:2:15200496:15202308:-1 gene:ONIVA02G17490 transcript:ONIVA02G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCSAPHPHLSSFQHCAGFGKMSNTVLHGSLKPLWPATASSKNSIVLGKWSTIYSCFASHTRATNEAPQHSGNCIPVDAAGFINNWQEIEMPQDGTKLRVRTLDANTTLSAFGHENI >ONIVA02G17490.2 pep chromosome:AWHD00000000:2:15200496:15202177:-1 gene:ONIVA02G17490 transcript:ONIVA02G17490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGFGSHDLLAGGSGHPEARVGLAQEAHRHLKPLWPATASSKNSIVLGKWSTIYSCFASHTRATNEAPQHSGNCIPVDAAGFINNWQEIEMPQDGTKLRVRTLDANTTLSAFGHENI >ONIVA02G17490.3 pep chromosome:AWHD00000000:2:15200496:15202177:-1 gene:ONIVA02G17490 transcript:ONIVA02G17490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGFGSHDLLAGGSGHPEARVGLAQEAHRQWSTIYSCFASHTRATNEAPQHSGNCIPVDAAGFINNWQEIEMPQDGTKLRVRTLDANTTLSAFGHENI >ONIVA02G17480.1 pep chromosome:AWHD00000000:2:15193112:15193738:-1 gene:ONIVA02G17480 transcript:ONIVA02G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPVLLLLAAASPAALGAFDVNQMLADKSQYGSFLKLLTQTKVAEETNQLKSASLLVLQDKAMKPITSLPADKQRMAMANHVLLKYFDPIQLGEMKDRTAMLPTLLSNTDKKLGVVNYTKASDGQMYLGAPGAACVAKLVKVVAARPYAISIMEVSEAILPPALGGSGGPGRRAKGGKGKVKPKSSDADEAAAKPATEPKATDVPK >ONIVA02G17470.1 pep chromosome:AWHD00000000:2:15181651:15182244:1 gene:ONIVA02G17470 transcript:ONIVA02G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAMKRLFASNSGREATVLCAPRPRRIQIHPCSADLILSPPHFLLTSNTTNKEVAAHKAAAPVLRDRASEPERSSARGNLAISKKFSPLLTQKL >ONIVA02G17460.1 pep chromosome:AWHD00000000:2:15175210:15178154:-1 gene:ONIVA02G17460 transcript:ONIVA02G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSPSPPPPPMIGRAGNLTVFITPPSPASTPRSSRPSESPRSGFSTPATAPRTAASPSPPSPAPSPQQQRVASPPPTIPVKFSPPAAPVKVPPPPPVQVPPPQYEKASAGGKHDGSAFGFFWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYESTGKEVECGKAGKPKELTTSKVQKVYGLRWKGIETARRFVHSCQDLYCNPML >ONIVA02G17440.1 pep chromosome:AWHD00000000:2:15159428:15159790:1 gene:ONIVA02G17440 transcript:ONIVA02G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAATSPAGLLHGAGGSDELGHSSRVYRPASGRVPFEWEDEPGKPKSPPPLDAAPPLLCPSPAMQSARLTSRGDGGSGRRGRKRGKEPELDGCLPVKLQLGRAMKRWHLICFFRGE >ONIVA02G17430.1 pep chromosome:AWHD00000000:2:15158584:15158775:-1 gene:ONIVA02G17430 transcript:ONIVA02G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFRASEWLAPSSNRTAPASLAPSSCHRGAREPTWQEEGASMAGAAPVLAPPLLFLFLCMEL >ONIVA02G17420.1 pep chromosome:AWHD00000000:2:15151742:15152656:-1 gene:ONIVA02G17420 transcript:ONIVA02G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKNASSSAMPLLLVAGTVVLALLASPAAAFNITRILGEFSDFSTFNHLLTQTKLADEINRRQTITVLALDNGAAGGVSSLPSDEQRKVLSVHVVLDYYDTEKLGGMKMKNRSAVLTTLFQSSGQATDRMGFLNYTKRSDGIMVFGSAEPGAQATSQMVKVVVTRPYNISVLQVSSPIVPPGIASVSNSNTGAPPPHPAKSSAPAPSPSKSKGKKSDAPAPGPSDDVDDDTAADAPGPAVDGPTADGPAADGPAADGPTEADAPAHDKGDVADAPSAAGRAVASSAGLGVVALVVLIFPSVSL >ONIVA02G17410.1 pep chromosome:AWHD00000000:2:15143015:15144171:1 gene:ONIVA02G17410 transcript:ONIVA02G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTGTGSGGEVASQEALAAGEWHWSLDALALAIRETASQEALAAGGEAASHDGTRQAGGATTGSRWGSRGTVAVASRVCGAGMGTGLCGTRV >ONIVA02G17400.1 pep chromosome:AWHD00000000:2:15137152:15137520:-1 gene:ONIVA02G17400 transcript:ONIVA02G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWVFKDGIVRRVENPGSEESSSAGNGGGGGRRKVLVHVPSGEVVASYEVLERRLRELGWERYLTDPCLLQFHQRSTVHLISVPRDFSKFKLVHMYDIVVKTRNVFEVRDAAAPAVSPAT >ONIVA02G17390.1 pep chromosome:AWHD00000000:2:15130459:15133105:-1 gene:ONIVA02G17390 transcript:ONIVA02G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAGVVGRMSLAANTSDELPTESSPHPRCQHPSIDRISYGGGAEQQRGGGAREGEAVSLCPLPACASSSAFCGDDKKHGDRTPVTGALPEPNAKREPARGVACGGGDEGIGRDGRSSRMVDPAAPPSRGLDPTPLAPEWVTAELEGGGSGSAALRRGGSAILSPGQQQQTSRAVDPAVPPSASREAMATTEIEGSRFGGTVLGPRRGVGDGGVRGQRIQQRHPQP >ONIVA02G17380.1 pep chromosome:AWHD00000000:2:15127817:15128146:-1 gene:ONIVA02G17380 transcript:ONIVA02G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHHQQKQESSTNVAGGVHAKSLLLLLRARFRLLADLTSGPITTKSLRRSAASVLGLGGGAVGGEITVEETTAMVQEGDHDSDNALSKVEFYVLMVRLSPGIMGDTEG >ONIVA02G17370.1 pep chromosome:AWHD00000000:2:15106507:15108579:1 gene:ONIVA02G17370 transcript:ONIVA02G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G42120) TAIR;Acc:AT5G42120] MPPRCRRLPLLFILLLAVRPLSAAAASSIAAAPASYRRISWASNLTLLGSASLLPGAAAVALTTPSRDGVGAGRALFSEPVRLLLPQDAAASASASRAATPASFSTRFTFRITPSPTYGDGLAFLLTSSRTFLGASNGFLGLFPSSSASDEGELRDVSTVAVEIDTHLDVALHDPDGNHVALDAGSIFSVASAQPGVDLKAGVPITAWVEYRAPRRRLNVWLSYSPSRRPEKPALSADVDLSGLLRTYMYAGFSASNGNGAALHVVERWTFRTFGFPNSSYAPPPTKYIGPMPPNNQPLPPPPSPSPSPPPPPPHPNHRRRHLFYKVLGGVLGGMVLLGLVVVGSAVLLGRSVRRKNQEHAVASEDMGEATLSMEVARAATKGFDSGNVIGVGGSGATVYEGVLPSGSRVAVKRFQAIGSCTKAFDSELKAMLNCPHHPNLVPLAGWCRSKDELVLVYEFMPNGNLDSALHTLGGATLPWEARFRAVYGVASALAYLHDECENRIIHRDVKSSNVMLDVEFNARLGDFGLARTVSHGGLPLTTQPAGTLGYLAPEYVHTGVATERSDVYSFGVLALEVATGRRPAERGISVVNWVWTLWGRRRLVDAADRRLQGRFVADEMRRVLLVGLCCVHPDCRKRPGMRRVVSMLDGTAPLILVPDKMPPVLLQPVPNASSMNSADTANTAFFSCR >ONIVA02G17360.1 pep chromosome:AWHD00000000:2:15105434:15105670:1 gene:ONIVA02G17360 transcript:ONIVA02G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHESGPKSSRSGGGGGGEVPRRRRSSPRGFLPDYSCNKHCILDGLKGEADGTRVKTSSFPTSFGHPEGDAEFNCFDE >ONIVA02G17350.1 pep chromosome:AWHD00000000:2:15095529:15096960:-1 gene:ONIVA02G17350 transcript:ONIVA02G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin family [Source:Projected from Arabidopsis thaliana (AT3G05330) TAIR;Acc:AT3G05330] MVARSPDARQPRQTAAAAAAAAALNPALVRETLKKVDRCMARLQELQYTVAGGAKVVSGVSLSPRSTRGYLRTSLRCKQETVRQVLDPDPLMRATPARKTSPNGKFGGSDGGATQWRRMSLPAMLLGETVLEIVQASKFASDIVAVVDAGANKNREAPKTPNPVTRTRKVNAEATPLRARRAREKQSQRGTARAEASTPPSRGRVRSRIQFKPASPLGRPSLSANRVSPRNRPWAKKTVMFPNPAFLASTSSAAYDSPSPSKKQKRFYKTRSPIIARQTPHKFLVKSPPSSLGSKLKSHGKLLPSRPFTVSPPGKVQVAAAAASVSKTRRCTFSPSRLVSRLVSSPGKAQAAASNNNNNKGRRCSFSPSRLATRLVSPIKARLSLNRSRDGGVHGGGGMVCGLKQRPGVSMTVRTVSSRIPS >ONIVA02G17340.1 pep chromosome:AWHD00000000:2:15073047:15075697:1 gene:ONIVA02G17340 transcript:ONIVA02G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSSIFNLQAIVPCPYDAGSVIPLWKQVDYFREYKRRLAAHLGAAEAEAVVSDAVYAISMGTNDFIENYFAGTTRRYLQFGVGEYTDFLVGLARGLLVELYGLGARKVAFTGLAAAGCLPLVRARRMMFCAEEYNAAARAFNGALRGMIAELADGLPGAQLRFADAEVGCCGTGTYEMGYTCSAWDARTCRDADRYVFWDAVHPTERANRIIAEYLFNTTFSHFL >ONIVA02G17330.1 pep chromosome:AWHD00000000:2:15062201:15063855:1 gene:ONIVA02G17330 transcript:ONIVA02G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASSGPHRQLLGGILLLLLLLLGRTAAVGARVTAVIVFGDSTVDAGNNNAVQTVVRSNFPPYGRDFPGRRATGRFCNGRLATDFYSEAYGLRPFVPAYLDPDYGIRDFATGVCFASAGSGLDVTTAGVFVSETL >ONIVA02G17320.1 pep chromosome:AWHD00000000:2:15033144:15034897:-1 gene:ONIVA02G17320 transcript:ONIVA02G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMKLPATFLSFSSHQPRGSSHCSVASAAYDVEPRLGEGAVVSKKTPSRSPCRTMAKVDDKNDLCRMNQAKFEPSIWGDFFLSYCNPLAYSDNQRSMEERAEYLKKEELIVNSRTDSLPEKLHLIDVLERLCVDHLFEEEINAVMDEISDADVSDCELHTVALWFYLLRKHRHRVSPDVFLKFRDEDGIFEAEDARDLLSLYNAAHLTTHGEGILDEAISFTKRQLRSLMPKVVEGSLAHDINSALEIPLPRRVRIYEAKYFMSTYEKGASVNEMIMELAKLSYNIMQIHHQQELKIITRWWKDLQLETRLSFARESCGVLLLDSRSIFRAMLLSRSNYIDKSASHCVYFGRYLSCIWISRRV >ONIVA02G17310.1 pep chromosome:AWHD00000000:2:15024506:15025307:1 gene:ONIVA02G17310 transcript:ONIVA02G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCSPFRPPLSLPCPASALPECALLGNACCRRRLRFPATGAPSPQPPPPLPSLCLLGKRREGRKKKGERRKRKEIKSPAKTKPKVEVLLLAPHASMRIAFGASLFHRPPQHAAQLALGLCVILVALPPLTVVGVTLPPH >ONIVA02G17290.1 pep chromosome:AWHD00000000:2:14999683:15003893:1 gene:ONIVA02G17290 transcript:ONIVA02G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVFWEPGRPLTLEDFRMPRPKAGELLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGTHTPAEIINRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGQTRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPNSLPYTESAILGCAVFTAYGALRHAAEMRAGDSLAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVEKIKLFENSARMGMWSEVVAISALAEALAKVWFQAPAAGVTCMLIGDSAIGHRRSIYGGTTARSFNQLAHFTGRQRASTHGDFRRRQQIDFPAYSSIASWHGSESSSQTAAPHQAMVSIQYVLPQPMKFDNAGVR >ONIVA02G17290.2 pep chromosome:AWHD00000000:2:14999683:15003893:1 gene:ONIVA02G17290 transcript:ONIVA02G17290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVFWEPGRPLTLEDFRMPRPKAGELLIKTKACGVCHSDLHVLKGELPFSSPCVVGHEITGEVVDHGTHTPAEIINRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGQTRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPNSLPYTESAILGCAVFTAYGALRHAAEMRAGDSLAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVEKIKLFENSARMGMWSEVVAISALAEALAKPAAGVTCMLIGDSAIGHRRSIYGGTTARSFNQLAHFTGRQRASTHGDFRRRQQIDFPAYSSIASWHGSESSSQTAAPHQAMVSIQYVLPQPMKFDNAGVR >ONIVA02G17280.1 pep chromosome:AWHD00000000:2:14982006:14990743:-1 gene:ONIVA02G17280 transcript:ONIVA02G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLEKLKEEVATMITSSIACSLLERLQLVDALERLCVDHLFEEQINDLLVQISSTNVMDCDDLHTVALWFYLLRKHGYRVSQDVFVKFKDEGGCFAVNSPRDILTLYNAAYLGTHGEIILVEAISFAKRYLESTLPNLEGLLAHETKCALSIPLPRRVRIYEAKDHILTYEKEHATHEVILELAKLSSNIMQLELKIISRWWKDPQVESRLSFARDRIVECYFWIVGVYFEPKHSRGRIILTMVIAIVTLLDDIYDIYGSTEESEVFTRCMERWDRKAAHDIPEYMKFVYEKVLDAYEYIEDLLADEEKFRMSYLRNFTIDLVRAFNIEVKWRDARYVPATVEEHLQISTRSGGCYLLSCASFVGMDHIATAESFIWVSSTPRIREQVELHVAPTIGSYMKEHNVSVENACEKIKELIEDTWKDFNHEWLTLANVQPKQLLERIFNLARTMEFMYKHDDKFTNCQNLKDRIHSLFVETFASTY >ONIVA02G17280.2 pep chromosome:AWHD00000000:2:14982006:14990743:-1 gene:ONIVA02G17280 transcript:ONIVA02G17280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLEKLKEEVATMITSSIACSLLERLQLVDALERLCVDHLFEEQINDLLVQISSTNVMDCDDLHTVALWFYLLRKHGYRVSQDVFVKFKDEGGCFAVNSPRDILTLYNAAYLGTHGEIILVEAISFAKRYLESTLPNLEGLLAHETKCALSIPLPRRVRIYEAKDHILTYEKEHATHEVILELAKLSSNIMQLELKIISRWWKDPQVESRLSFARDRIVECYFWIVGVYFEPKHSRGRIILTMVIAIVTLLDDIYDIYGSTEESEVFTRCMERWDRKAAHDIPEYMKFVYEKVLDAYEYIEDLLADEEKFRMSYLRNFREQVELHVAPTIGSYMKEHNVSVENACEKIKELIEDTWKDFNHEWLTLANVQPKQLLERIFNLARTMEFMYKHDDKFTNCQNLKDRIHSLFVETFASTY >ONIVA02G17270.1 pep chromosome:AWHD00000000:2:14977603:14977860:-1 gene:ONIVA02G17270 transcript:ONIVA02G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLPWIEMERRVLAEERKGLLRIKAKAIGFEESVVTEEHDGWEASSRLPRSIKRVRERGPTAAVPWRGA >ONIVA02G17260.1 pep chromosome:AWHD00000000:2:14953576:14958836:-1 gene:ONIVA02G17260 transcript:ONIVA02G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRAAAVAAAAREEEEASPAQRLVEAALRGDVATMEACLAAAAAAAAADDDTDDGVPAASRVGVARLRVRCAEVALREEAAGEVIVESRELKTDVSPLFAAAHAGHAEVVRTLLVAGADVNQKLFLGYATTAAAREGNIHILEMLLQAGVTREACEDSLSEAALFAEAEAVRLLVCSEMIQPEAAAHALVTASSRGFDDVVVILLQNGVDVNSMARVLLRSVKPTLHANVNCTPLVAAVMSRQISTVKLLIEEGSYLDCLVQVGSWCWDSATGEELRVGACLGEKYNAIWCAVEYYECSGEILKLLLDKALWLLETPRKGRNLLCHAILCQNPNAVSVLLNAGANPRFPIMMTNGTHVSYPIHFAARLGHAPVLKQLMLDGANINAQTSTGDTPLMVSARCGHSDCFLELIKSGADLGIVNNAGDTAIMLAKKSSFSSTIIDILSRALSCGGCITSSDITVFSPLHFFAASDSAEALLMTLHYSAADLNRPDGSGLTPVMVAAESGHADIFRLLVMAGADIAATSAEGKSAMSIIRSRAPETRDRFEQILLQASLADAIAGQQPSFRPLHYAARIGDTSSLTQLLKMGHDPNAMDEDGYTPLMHAAAAGKLEACRALVSRGGAADAGSETALSVARRSGRSNKATEEWLLDHVARAHVLAGEELTKHTCGGRGPPHRKAVRMMRSGVLTWGATRRRNVACREARAGPSAAFRRRNRRIIRTGSEEQLILMVETVTGREIHFEATSASSVELWVRGINLIVQDCAWSRPDEAEQA >ONIVA02G17250.1 pep chromosome:AWHD00000000:2:14927909:14949748:-1 gene:ONIVA02G17250 transcript:ONIVA02G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQIVRKSTGGKAPRKQLVAAIHAAARKSAPCIGGVKKPHRYRPGTVALREIRKYQKNTELLIRKLPFQRLVREIAQLFKDTNLCAIHSKRVTVMSKDVQLARRIQIDKMARTKQTARKSTGGKAPRKPLRAIAAVMPAPSSRGVARKSVPFIGVKKPRRHRPGTVALREIRKYQKNTELLIRKLPFQRLVREIAQHFKHDMRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHSKRVTIMSKDVQLARRIRGERL >ONIVA02G17250.2 pep chromosome:AWHD00000000:2:14927909:14949748:-1 gene:ONIVA02G17250 transcript:ONIVA02G17250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQIVRKSTGGKAPRKQLVAAIHAAARKSAPCIGGVKKPHRYRPGTVALREIRKYQKNTELLIRKLPFQRLVREIAQHFKHDMRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHSKRVTIMSKDVQLARRIRGERL >ONIVA02G17240.1 pep chromosome:AWHD00000000:2:14913912:14917181:1 gene:ONIVA02G17240 transcript:ONIVA02G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDSFVTRCTASLEDFAGQEACGALGIGDDVRCLLATLRRVQAVVSHEERRGRVLSAKVDAWVAQVKDAMYETDDVLDVSMVEGGKMLAEGDSPPTPKARCSLMFSCFKPASAPKFHHEIGFTFREIDAKLREIEEEMPRLPAGSLHSESRRDWFSRGICSNFSDAIRPLAVGTQVQKSLDGLVPRMIREGKKKVDVLAIVGAVGIGKTMLAREIYNDERMTETFPIRVWVKMTKDLTDVDFLKKIIIGAGGGVNVGEIESKKELLGIVSSTLSKRFLIVLDDLDNPGIWDDLLKDPLGDGVARGRILITTRSEEVATGMKAMVHRVDKMDAENGWALLCRQSLPECSSEELASLKDVGIKIVERCDGHPLAIKMVAGVLRSRGKSKAEWEMVMRSDVWSMRPIIPELPQALYLSYVDLPSELKECFLHCSLYPEELPIQRFGLIRRWIAEGLVSDKDNKLLEDSAEEYYAELVSRNLLQLYAGNLDQCWITHDLLRSLARFLITDESILISGQQRLSTDPLSLSKPRHLTLCNMENRFDDPISVKQQMSLRSLMLFNSPNVRSIDNLVESASCLRVLDLSKTALGALPKSIGNLLHLRYLNLDETQVRDIPSSIGFLINLETLSLQNCQRLQRLPWTVRALLQLRCLSLTGTSLSHVPKGVGDLKNLNYLAGLIISHDNGGPEGCDLNDLQTLSELRHLHIENLDRATSGASALANKPFLKDLHLCEQAPLIEEQQSEQEQENQDDQKETEEEEKEVLDVTNSQFSREESIKASEKIWNELTPPQNIEKLVIKNYRGGKFPNWLTGPKLGISFPSLVYLDIDNCMSCTALPALGLLNQLQSLQISNADSVVTIGPEFLGAASSSSATASFPKLEILKLRNMKKLEEWSLAVEENQILLPCLKSLHIQFCPKLKALPEGLKNVSLRELHVEGAYSLTEIKDLPRISDDLQLKDNRALQRISSLPVLQSLTIDNCPKLKHVSGLDTLQHLRLAFPPSTETFYFDELIIFWSIAFPRWLELLIRKRDGLRHFELQCSLSLLRSCLDGGKNWSIVQQIPEVRITSTDGKRYIRYNKRRCIYETNAQSED >ONIVA02G17230.1 pep chromosome:AWHD00000000:2:14901387:14901749:1 gene:ONIVA02G17230 transcript:ONIVA02G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQHLGRQQWLGRLGGGGDKTASTRGDKEVVMARGGEEVTVALHRLWGSDEASSAGGSRSVRWRGNTVLRVLAGHPLVAQAPRSCFISSSLTLASQSHDSVGSSAGWGRGCSLRSGSTS >ONIVA02G17220.1 pep chromosome:AWHD00000000:2:14840910:14858356:-1 gene:ONIVA02G17220 transcript:ONIVA02G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDADPAPAPAPAITSLQPADDDWAARDDLEEAPAKPHPHSAARDDDDAREAPRARAAQDVNGIKSSLQSLELQSVVQTEAKEEQNRKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVSKLVVVINKMDESTVGWSKERYDEIEGKMVPFLKSSGYNVKKDVHFLPISGLLGTNMKTRMDKSNCSWWSGPCLFEVLDCIQVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTISEGDNMLVMPNKANVKVISVHCDEDRVRSAAPGENVRVKLSGIEEDDITAGFVLSSIKNPVSAISEFRAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDLKRRKEGDIKKKKSKRKPLFVKNGAVVVCRVQVNNLICIENFSEFPQLGRFTLRTEGKDIHPFLKSMFGSV >ONIVA02G17220.2 pep chromosome:AWHD00000000:2:14841067:14858356:-1 gene:ONIVA02G17220 transcript:ONIVA02G17220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDADPAPAPAPAITSLQPADDDWAARDDLEEAPAKPHPHSAARDDDDAREAPRARAAQDVNGIKSSLQSLELQSVVQTEAKEEQNRKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVSKLVVVINKMDESTVGWSKERYDEIEGKMVPFLKSSGYNVKKDVHFLPISGLLGTNMKTRMDKSNCSWWSGPCLFEVLDCIQVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTISEGDNMLVMPNKANVKVISVHCDEDRVRSAAPGENVRVKLSGIEEDDITAGFVLSSIKNPVSAISEFRAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDLKRRKEGDIKKKKSKRKPLFVKNGAVVVCRVQVILETSSVNCSDTIWIHRSGIKV >ONIVA02G17210.1 pep chromosome:AWHD00000000:2:14837268:14841326:1 gene:ONIVA02G17210 transcript:ONIVA02G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTIVPLCLSLLLFFQVSIAQFSFGGGPLYSSRGFRGDSVSQHQCRFEHLAALKVTHRDRSEAGFIEYYNTEVRNEFRCAGVSVRRLVIESRGLALPVYANAHKLLYIIQGHGVFGMALPSCPETFQSVQSAFEQSSTQKLSDEHQQLHKFRQGDVIAVPAGVAHWLYNNGDSPMVAFLVIDFGNNANQLDPIPREFFLAGKPTSWQQEQYSYQAEQQSDNQNIFAGFNPDLLGEALGVSRQTAMRLQELNDQRGVIIRVAQGLQALHPSFQTEQVQEEQSQEQQQQPTWSGRGCAQNNGLDEIMCAFKLSKNINNAQSTDIFNPRGGRITRANS >ONIVA02G17210.2 pep chromosome:AWHD00000000:2:14834348:14841326:1 gene:ONIVA02G17210 transcript:ONIVA02G17210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSCPETFQSVQSAFEQSSTQKLSDEHQQLHKFRQGDVIAVPAGVAHWLYNNGDSPMVAFLVIDFGNNANQLDPIPREFFLAGKPTSWQQEQYSYQAEQQSDNQNIFAGFNPDLLGEALGVSRQTAMRLQELNDQRGVIIRVAQGLQALHPSFQTEQVQEEQSQEQQQQPTWSGRGCAQNNGLDEIMCAFKLSKNINNAQSTDIFNPRGGRITRANS >ONIVA02G17200.1 pep chromosome:AWHD00000000:2:14825083:14829539:-1 gene:ONIVA02G17200 transcript:ONIVA02G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: COG4 transport (InterPro:IP /.../7), Pentatricopeptide repeat (InterPro:IPR002885); BEST Arabidopsis thaliana protein match is: Pentatricopeptide repeat (PPR) superfamily protein (TAIR:AT5G46100.1); Has 26268 Blast hits to 8959 proteins in 289 species: Archae - 0; Bacteria - 3; Metazoa - 247; Fungi - 222; Plants - 25350; Viruses - 0; Other Eukaryotes - 446 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G01400) TAIR;Acc:AT4G01400] MAVASYPLPIPRSPRPDAALPPDASTDTAPSLDFGDPASLVALRALTDAGAATRLLHECVAYQRALDARLDALLARRPDLDRAAASLLRSAPPLLSLAASDAAALRDSSSSTAALAEALSSRVRHLDAAHSRAEAALARAEAALDRSRALDAARRALAADDLTAAATAAHDFLAIDARFPTDDDLRRDLLDIKRRLEGLARRRLADAVDALDHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELTSATQPTSERPDFVGCLTRLFKDIVLAVEENDAVLRELRGEDGVAYAIIELQEECDSRGTQILRRYADYRKLARLASDINSYTKNLLSVVSSVANAAGGNEGPDPREVELYLEEILSLTQLGEDYTEFMVNKIRGLRDVKPELGPQAMKSFRNGSFNKMVQDLTGFYVILEEFFMVENVRKAIRIDEPVPDGLTTSMVDDVFFVLQSCCRRAASTASINSIFAVLGGAASLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDVSSEYVLKLRHEIEELCSEAFHAPADREKIKSCLSELGEISASFKKILHSGLEHLVASVAPRVRPVLDTVATVSYELDDAEYGENEVNDPWVQKLLLTVNTNVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >ONIVA02G17190.1 pep chromosome:AWHD00000000:2:14820060:14820938:1 gene:ONIVA02G17190 transcript:ONIVA02G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPLPTSIDGGQVLDDMEVVEMKYLFGKVLMPSDVSWDTEQLVIPDEHVGKLLDMVVMNRPEGGFFVVVVEDGEVTGKLWLFRYWKRDDVHCLTKGWGCYAREKGLRAGDTVSFHSTACGRFFICCRCTCMSFLSLPTTSHRIHGSSVLPQPRAAQAAHHPFSGHATLCLGNKASDHSAPARHATASLGCAAAQPRQVPPTPTPRRRRRSMMVHPEPPEHTTDGMPVILESMALVSTPPVAKRVRLFGVYIDVPPLRPGGEATQDFNHDDGVPSVDRNALKRRRAAADN >ONIVA02G17180.1 pep chromosome:AWHD00000000:2:14816490:14817293:1 gene:ONIVA02G17180 transcript:ONIVA02G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPLSAAIVGAEESVDKEVLEMEYLFEKFLMPSDLCSNTEWLGIPEEHVRKFGMMLEDRDGYSVIFFQDGVVPGKLWCFRYWKSNGVHGLTKGWRCFVREKGLKAGDTISFFRGSACGRLFICCRLGTRATFASSSTLHHGFSMPPPPARPLVGLESGMLARDVPSLGQARLHDGNQDGGGAPSRHVPSSGRHVEAQLSRASSRRKRRTMKHSIPEPMIETPPILESMFLIAAPPAVKCLRLFGVNIYVLPVSSSGQPKQESSP >ONIVA02G17170.1 pep chromosome:AWHD00000000:2:14788968:14789627:1 gene:ONIVA02G17170 transcript:ONIVA02G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWCPEMASKAYIDGVRALAGHDLAGAAADVAELVSAMAGGWNARLVVEAPDSAAPAAAATSLALAAAARRTGGRYALVLPDRDAAAASAAETAEVVVGEADEAMAGLHGVDLLVVDARRRDAAAVLRAARPGARGMVVVRHGDGRQRGAKDLAASMAAGTRVVRSVYLPIGKGVEVLHVGVGKGPSLQNHRDRRSTSRWIRHVDHDTGEEHVFRRQ >ONIVA02G17160.1 pep chromosome:AWHD00000000:2:14776461:14776901:1 gene:ONIVA02G17160 transcript:ONIVA02G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSLLPKLQVRMNMPVGRVKVLIPGLAPSEMLKAEVSLDSQPITWCLSPPPIKRRLKDTLPKDKVELDELRVDLASLRRGFCAKHFHLYKAVGKEDLYCAPILIRTQIAGI >ONIVA02G17150.1 pep chromosome:AWHD00000000:2:14772264:14773107:-1 gene:ONIVA02G17150 transcript:ONIVA02G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPFLVFSGDLRRISSMETSNTLRRAHRRSRRRDAVPVHPVGLWPNHYAIIITKVPESFILKQSTQDTAVEEIAVVFLKSGYRLSSSNPCIRAEAWGVVVAVALPFFADAGVLLRSPSPSIHHRPTGDLPLVVTAARAVSGIHPGQLRRQDEHRRMRKSLVHFSPFGCDVRIPAVRRRRRRQARRPVKLCCCCCFLLCRAYDRWSPLH >ONIVA02G17150.2 pep chromosome:AWHD00000000:2:14772329:14772688:-1 gene:ONIVA02G17150 transcript:ONIVA02G17150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRRRRSAILCRRRSTSKVAIAVHPSPTYRRPSWSPPLALFPASIPANSGDKTNIVGCARAWCTFLLLGATSESPPFAADVDVKPAGR >ONIVA02G17140.1 pep chromosome:AWHD00000000:2:14719871:14720929:1 gene:ONIVA02G17140 transcript:ONIVA02G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVMDSEFGSLVKVSAAVWAAMFYARLAAASLRPGAPRLAALLPVVALFCVVPFSFSTTTFRGCSAFFLSWLGVFKLLLLAAGRGPLNPTHPLHHFVFSASLPVKLRHLASAKPAKGVDPAPANESAAGKILVSGAVIPLIIYTYQFKNAMSRYQLLILYTGHIYFSLQLLLAVVHGLIHGVLGMEMEPQVDRPYLASSLRDFWGRRWNLMVPAILRPSVYRPVRARLGDAAGVLAAFLVSGLMHEAMFFYIMWRSPSGEVTVFFLLHGVCTAAEAWWARHAGWWRPPRAAAVPLTLAFVAGTGFWLFFPAMIKAGLDEMVLHECQGMVAVMEQSGRWLAGATNLTFATR >ONIVA02G17130.1 pep chromosome:AWHD00000000:2:14667351:14669697:1 gene:ONIVA02G17130 transcript:ONIVA02G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVQLLSARLRVATGRHFAELCRGGYPDWAHRALWLMAEVAMVSADIQEVIRSAVAIRILSHGFMPLWAGVVITGILVPKLSSRTIRQAVGLVGCVITPHNVFLHSALVESRKIDPDNEHRVREALRYYTIESTVALVAPFMINLFVTTVFAKGFYGTKEAGSIGLENAGHFLQEKFGGEFFPILYI >ONIVA02G17130.2 pep chromosome:AWHD00000000:2:14669347:14670964:1 gene:ONIVA02G17130 transcript:ONIVA02G17130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLNWKLKRWIRALITRSFAIVPTIAVAVWFNTSDSSLDVLNEWLNVLQSIQIPFALMPLITLVSKEEVMGVFKIGPRTQIATWIIASVPIIANGYLLMDFFSSEMRGVLSGSVICVAVLVYASFLFYLIIRGMKLPNSSI >ONIVA02G17120.1 pep chromosome:AWHD00000000:2:14667123:14667542:-1 gene:ONIVA02G17120 transcript:ONIVA02G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPDSDGAPDDLLDVGADHGDLGHEPERAVRPVRVPAAAELGEVPPRGHPEARGEQLHEQAHGRGLEEQPEQRVAGGRAGLEVALEVARVQERDAHQEPRPREQPQPAPREGRHGHAAAAGEGAVVVGVGVTGGRDD >ONIVA02G17110.1 pep chromosome:AWHD00000000:2:14666979:14667341:1 gene:ONIVA02G17110 transcript:ONIVA02G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHASAAARAADADDHQHPVLCRSSFLPAYSDVDDGLEVEGSAFRPLIVSASGDSHSDDDRPFSGGGRVPVPPFSWRRLWLFTGPGLLMSVAFLDPGNLEGDLQAGAAAGDALLWLLL >ONIVA02G17100.1 pep chromosome:AWHD00000000:2:14654559:14655035:1 gene:ONIVA02G17100 transcript:ONIVA02G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPSPDPMEGRQRPRPPIHQIRAKGKVVGGDAVASVMTAADQAEAMASAASIGDGGLLSARSNERGAVVALTLSPPPDSARGKAVGSPPPDLVRGGGRSGSALSFARSSERGGNGLPTTAILLLSVPRLFSLSLASHCCSSPIHRGEAQPPVRRWLT >ONIVA02G17090.1 pep chromosome:AWHD00000000:2:14653129:14654165:1 gene:ONIVA02G17090 transcript:ONIVA02G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRAALLMLLLLVVVAAASWPQPCDAASGFCASKCAVRCGRGRGRGSGCLRSCGLCCEECNCVPTGSGSTRDECPCYRDMLTAGPRKKPKGYANGV >ONIVA02G17090.2 pep chromosome:AWHD00000000:2:14653129:14654116:1 gene:ONIVA02G17090 transcript:ONIVA02G17090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRAALLMLLLLVVVAAASWPQPCDAASGFCASKCAVRCGRGRGRGSGCLRSCGLCCEECNCVPTGSGSTRDECPCYRDMLTAGPRKKPKCP >ONIVA02G17080.1 pep chromosome:AWHD00000000:2:14636621:14640251:1 gene:ONIVA02G17080 transcript:ONIVA02G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADADADAAGERAAGAGLGSRSAAEERSLLHPSLFSAYSDEDLVERAFEPAQKIVVSISSDPDDPDADDEHLYYGGHAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGATAGDTLLWLLFWATAMGLLVQLLAARLGVATGKHLAELCRDEYPDWARRALWLMAEVSMVSADIQEVIGSAIAIKILSNGFLPIWVGVVITALDCFFFLSLENYGVRKLEAVFAVLIATMAWSFAWMFIKTKPNGKDIIIGILVPRLSSRVISQAVGLVGCVITPHNVFLHSALVQSRKIDPHKEYQVLFAKGFYGTKEAGNIGLENAGHFLQEKFGEDFFPILYIWGIGLLAAGTSSTITGTYAGQFIMSGFLNWRLKKWIRALITRSFAIVPTITVAVYFNTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPRTQIATWAVASVPIIINGCLLLDFFSSEVKGLLSGLVLFAAVLAYVSFLLYLIFREDGEGSVCWEEVQQLLCRYHWLQMETLEKDRMEQRHRPSAPLHVGRLNTQCNAVNRPTEQQVSKLKYGDVYKQITSCCI >ONIVA02G17080.2 pep chromosome:AWHD00000000:2:14636621:14640251:1 gene:ONIVA02G17080 transcript:ONIVA02G17080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADADADAAGERAAGAGLGSRSAAEERSLLHPSLFSAYSDEDLVERAFEPAQKIVVSISSDPDDPDADDEHLYYGGHAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGATAGDTLLWLLFWATAMGLLVQLLAARLGVATGKHLAELCRDEYPDWARRALWLMAEVSMVSADIQEVIGSAIAIKILSNGFLPIWVGVVITALDCFFFLSLENYGVRKLEAVFAVLIATMAWSFAWMFIKTKPNGKDIIIGILVPRLSSRVISQAVGLVGCVITPHNVFLHSALVQSRKIDPHKEYQVREALRYYTIESTMALVVPFMINLFVTTVFAKGFYGTKEAGNIGLENAGHFLQEKFGEDFFPILYIWGIGLLAAGTSSTITGTYAGQFIMSGFLNWRLKKWIRALITRSFAIVPTITVAVYFNTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPRTQIATWAVASVPIIINGCLLLDFFSSEVKGLLSGLVLFAAVLAYVSFLLYLIFREDGEGSVCWEEVQQLLCRYHWLQMETLEKDRMEQRHRPSAPLHVGRLNTQCNAVNRPTEQQVSKLKYGDVYKQITSCCI >ONIVA02G17080.3 pep chromosome:AWHD00000000:2:14636622:14640251:1 gene:ONIVA02G17080 transcript:ONIVA02G17080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADADADAAGERAAGAGLGSRSAAEERSLLHPSLFSAYSDEDLVERAFEPAQKIVVSISSDPDDPDADDEHLYYGGHAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGATAGDTLLWLLFWATAMGLLVQLLAARLGVATGKHLAELCRDEYPDWARRALWLMAEVSMVSADIQEVIGSAIAIKILSNGFLPIWVGVVITALDWLSSRVISQAVGLVGCVITPHNVFLHSALVQSRKIDPHKEYQVREALRYYTIESTMALVVPFMINLFVTTVFAKGFYGTKEAGNIGLENAGHFLQEKFGEDFFPILYIWGIGLLAAGTSSTITGTYAGQFIMSGFLNWRLKKWIRALITRSFAIVPTITVAVYFNTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGVFKIGPRTQIATWAVASVPIIINGCLLLDFFSSEVKGLLSGLVLFAAVLAYVSFLLYLIFREDGEGSVCWEEVQQLLCRYHWLQMETLEKDRMEQRHRPSAPLHVGRLNTQCNAVNRPTEQQVSKLKYGDVYKQITSCCI >ONIVA02G17070.1 pep chromosome:AWHD00000000:2:14630694:14635634:-1 gene:ONIVA02G17070 transcript:ONIVA02G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASCLRPPLPHSSASASAARGLRVPLPSPPPPAQQQQQLFQAALRLPRRRLAGVGVVAASASPFDELYARGRPAHGSSKKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDSEGQNRDISEDNHHNINLGSPVTIFRLSEEDMLQDTEKNDEELPCETVGEDLGNLTPQVEDYIIQLQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKAPPPLGNASGGALNLGGEDDDCAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRISTDVESFPSGDDLVI >ONIVA02G17060.1 pep chromosome:AWHD00000000:2:14627790:14628170:-1 gene:ONIVA02G17060 transcript:ONIVA02G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELTQKSKDEACKLLSSKHLFFREMCGKHNSGAATTAAGIEASACFHHPSPASMTAASSAARQAAAAAPSLGMKDSSAGPEDDEDDNEVVPRSNNVDDEDDDDDEVGLGMKSRRIYDGGGNDAPS >ONIVA02G17050.1 pep chromosome:AWHD00000000:2:14620223:14621653:1 gene:ONIVA02G17050 transcript:ONIVA02G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHFSLSTAASRRRRLGGGPRHRYAGGPRGRRGRAQRGGPERRHSRMRRGGLGRQSSRARRGGPRWWCGKARRGVPRRRRRGNEVHGSTAVAGCSGGRRPRRGEARRH >ONIVA02G17040.1 pep chromosome:AWHD00000000:2:14609097:14627511:-1 gene:ONIVA02G17040 transcript:ONIVA02G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTASNAIQTAAAIGASLVDARRGEEAQAGRGQEKKIHERLTSLAHIGHRPPPYPHQQQLFQAALRLPRRRFAGVGVVAASASLFDELYARGRPAHGSSKKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLYFERNLELSEEDFEGQNRDISLDNHHNINLGSPVTIFRLSEEDLLQDSEKNDEELPCEAVGEDLGNLTPQAEDYIIQLQSRLDAMKKLHDLRRKNSTLQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKASPPLGNASGGALNLWGCMLLGHYIRGLEYRLELAQLLRISTDVESSPSGDDLVFANGKESLGLRNHYKDPQKCNLEVLLLCNIRIIIR >ONIVA02G17040.2 pep chromosome:AWHD00000000:2:14609097:14624706:-1 gene:ONIVA02G17040 transcript:ONIVA02G17040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRDAFFICLRLRFGLGDLLEFRCLVVVEALPSCGMMRKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLYFERNLELSEEDFEGQNRDISLDNHHNINLGSPVTIFRLSEEDLLQDSEKNDEELPCEAVGEDLGNLTPQAEDYIIQLQSRLDAMKKLHDLRRKNSTLQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKASPPLGNASGGALNLWGCMLLGHYIRGLEYRLELAQLLRISTDVESSPSGDDLVFANGKESLGLRNHYKDPQKCNLEVLLLCNIRIIIR >ONIVA02G17040.3 pep chromosome:AWHD00000000:2:14610191:14627511:-1 gene:ONIVA02G17040 transcript:ONIVA02G17040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTASNAIQTAAAIGASLVDARRGEEAQAGRGQEKKIHERLTSLAHIGHRPPPYPHQQQLFQAALRLPRRRFAGVGVVAASASLFDELYARGRPAHGSSKKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLYFERNLELSEEDFEGQNRDISLDNHHNINLGSPVTIFRLSEEDLLQDSEKNDEELPCEAVGEDLGNLTPQAEDYIIQLQSRLDAMKKLHDLRRKNSTLQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKASPPLGNASGGALNLWGCMLLGHYIRGLEYRLELAQLLRISTDVESSPSGDDLVV >ONIVA02G17040.4 pep chromosome:AWHD00000000:2:14609097:14624706:-1 gene:ONIVA02G17040 transcript:ONIVA02G17040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRDAFFICLRLRFGLGDLLEFRCLVVVEALPSCGMMRKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLYFERNLELSEEDFEGQNRDISLDNHHNINLGSPVTIFRLSEEDLLQDSEKNDEELPCEAVGEDLGNLTPQAEDYIIQLQSRLDAMKKQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKASPPLGNASGGALNLWGCMLLGHYIRGLEYRLELAQLLRISTDVESSPSGDDLVFANGKESLGLRNHYKDPQKCNLEVLLLCNIRIIIR >ONIVA02G17030.1 pep chromosome:AWHD00000000:2:14592543:14594300:-1 gene:ONIVA02G17030 transcript:ONIVA02G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMELPDDMITEVLRRLPPRSLAACRRVCRSWRAVVDDRRLLREDLLPLSLAGIFLNLHDLYPTQFFSCPSATGPAVSGNVDYTRRRSSLPDGHMPSINITDHCNGLVLVNNCVTNPATRRWERLPRRHPRHVARTAAARFNAVHEHLVFDPAVSPRGYEVFLIPHVAPRERDRRRRRRDDEFYPTSDESEWPPSPFFLSVFSSETRQWEGRRFVRDGPPAGTVASMRLHHASEVGRGVYWRGSLYIHCQTNFVMRYKEFHLGRSEKGVYFALLSSNQLRIWFLEESCGQIGWVLKLDNNLMPILPHFINVKLSDDGPWSLQDIDYCEDSNEDDDARSQTVQAAYYDWDFDNSANSIDIQDNSVQGSHRGFGFLGFHPFKEVVFLHYSLERGLAYNMNSFKVQDLGNLCPKDYGFDTEPYVESFFPYTPCWMEVFPEEQT >ONIVA02G17020.1 pep chromosome:AWHD00000000:2:14552296:14553184:1 gene:ONIVA02G17020 transcript:ONIVA02G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRDSGAFGNGKELRGGDRGGSRGQWRRWHRVRNSCGLQCGSVQWPSKPSPTKTRRRTTKQQSCTFRGYRKINWQTATAAATWWPVLGRQQCKVEEELGKGGVQESSAHNLFDRLTSQHKVFKDDERIAEPVPIKSTMNKEGISMDEALDRILERFELMEANRRQEEKFNQILQKLEEVEARRSKAAEETIASIRATTAVLKATSPTAPMAPPTPACT >ONIVA02G17010.1 pep chromosome:AWHD00000000:2:14531202:14550047:-1 gene:ONIVA02G17010 transcript:ONIVA02G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFRQITRDRLLFEMLRSTRKHSKSTWKVLIMDKLTVKIISCSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIGMFLNDMSGRNPLYKNSPIQKELVTQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKLKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSSTEKKDVLLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARTGGEISNRDLQKMVQALPQYSDQIEKLSLHVERSGKEEKNRRERKEGARRSKLNSIIREQGLRDVGQLEQDLGVSRENKLRLLMIYAAINPEKFESDKGEKLMQKKHGLRKERTGEESTWALSRFYPVLEDLIEKLSKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITKLKMMSVDELTLDDLQI >ONIVA02G17010.2 pep chromosome:AWHD00000000:2:14531202:14550047:-1 gene:ONIVA02G17010 transcript:ONIVA02G17010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFRQITRDRLLFEMLRSTRKHSKSTWKVLIMDKLTVKIISCSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIGMFLNDMSGRNPLYKNSPIQKELVTQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKLKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSSTEKKDVLLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARYPIEICKKWFKLFHSKLNSIIREQGLRDVGQLEQDLGVSRENKLRLLMIYAAINPEKFESDKGEKLMQKKHGLRKERTGEESTWALSRFYPVLEDLIEKLSKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITKLKMMSVDELTLDDLQI >ONIVA02G17010.3 pep chromosome:AWHD00000000:2:14531202:14550047:-1 gene:ONIVA02G17010 transcript:ONIVA02G17010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFRQITRDRLLFEMLRSTRKHSKSTWKVLIMDKLTVKIISCSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIGMFLNDMSGRNPLYKNSPIQKELVTQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKLKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSSTEKKDVLLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARTGGEISNRDLQKMVQALPQYSDQIEKLSLHVEGVSRENKLRLLMIYAAINPEKFESDKGEKLMQKKHGLRKERTGEESTWALSRFYPVLEDLIEKLSKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITKLKMMSVDELTLDDLQI >ONIVA02G17000.1 pep chromosome:AWHD00000000:2:14523622:14527559:-1 gene:ONIVA02G17000 transcript:ONIVA02G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAAPGIASPIPSILDILCASLPKILKSSSSPRESRSPPPPPSCGASGRGRRLLASHLQAPPPFRLIRLLDRPISQGGRRVHARCHSCLRPPLCTLGYGYGVEQSKSSPNSICFSIFSILASILCSVNLDDISCESVRVWVQAMEWSKCSSSHCAAVTFLGTVRTVASTDAFVTASPGCLICPRNAQGAQLRQRQTWWMQGSKVVAWQTRTVTDA >ONIVA02G17000.2 pep chromosome:AWHD00000000:2:14523620:14527559:-1 gene:ONIVA02G17000 transcript:ONIVA02G17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAAPGIASPIPSILDILCASLPKILKSSSSPRESRSPPPPPSCGASGRGRRLLASHLQAPPPFRLIRLLDRPISQGGRRVHARCHSCLRPPLCTLGYGYGVEQSKSSPNSICFSIFSILASILCSVNLDDISCESVRVWVQAMEWSKCSSSHCAAVTFLGTVRRQRQTWWMQGSKVVAWQTRTVTDA >ONIVA02G17000.3 pep chromosome:AWHD00000000:2:14523620:14527559:-1 gene:ONIVA02G17000 transcript:ONIVA02G17000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAAPGIASPIPSILDILCASLPKILKSSSSPRESRSPPPPPSCGASGRGRRLLASHLQAPPPFRLIRLLDRPISQGGRRVHARCHSCLRPPLCTVLTTCAVCVNLDDISCESVRVWVQAMEWSKCSSSHCAAVTFLGTVRRQRQTWWMQGSKVVAWQTRTVTDA >ONIVA02G16990.1 pep chromosome:AWHD00000000:2:14508944:14510697:-1 gene:ONIVA02G16990 transcript:ONIVA02G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKERGDLFAPTPSRLLLQLRPALAALPYHAIKDFRKVHLSMLPLQRRSSSRGSSHQHAGPDDQCQPHVLTVPFYHQLVSRSEVVEVEVNGLRQKSSADKRMQVVGDVYLDESISMKAYLLREEKTSLIAHSLSGCSKSAIIASGESSYHHSSLIHLYSLQNLTCFFCVVPCLHGVSLNGLLGLRFGSILAFK >ONIVA02G16990.2 pep chromosome:AWHD00000000:2:14508944:14510697:-1 gene:ONIVA02G16990 transcript:ONIVA02G16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKERGDLFAPTPSRLLLQLRPALAALPYHAIKDFRKVHLSMLPLQRRSSSRGSSHQHAGPDDQCQPHVLTVPFYHQLVSRSEVVEVEVNGLRQKSSADKRMQHTSYDSISMEFIQVVGDVYLDESISMKAYLLREEKTSLIAHSLSGCSKSAIIASGESSYHHSSLIHLYSLQNLTCFFCVVPCLHGVSLNGLLGLRFGSILAFK >ONIVA02G16980.1 pep chromosome:AWHD00000000:2:14499933:14501797:-1 gene:ONIVA02G16980 transcript:ONIVA02G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTILPLCLSLLLFFQVSMAQFSFGGSPLQSPRGFRGDQDSRHQCRFEHLTALEATHQQRSEAGFTEYYNIEARNEFRCAGVSVRRLVVESKGLVLPMYANAHKLVYIVQGRGVFGMALPGCPETFQSVRSPFEQEVATASEAQSSIQKMRDEHQQLHQFHQGDVIAVPAGVAHWLYNNGDSPVVAFTVIDTSNNANQLDPKRREFFLAGKPRSSWQQQSYSYQTEQLSRNQNIFAGFNPDLLSEALSVSKQTVLRLQGLSDPRGAIIRVENGLQALQPSLQVEPVKEEQTQAYLPTKQLQPTWSRSGGACGQQNGLDEIMCAFKLRKNIDNPQSSDIFNPHGGRITRANSQNFPILNIIQMSATRIVLQNNALLTPHWTVNAHTVMYVTAGQGRIQVVDHRGRSVFDGELHQQQILLIPQNFAVVVKARREGFAWVSFKTNHNAVDSQIAGKASILRALPVDVVANAYRLSREDSRRVKFNRGDEMAVFAPRRGPQQYAEWQINEK >ONIVA02G16970.1 pep chromosome:AWHD00000000:2:14492719:14494068:1 gene:ONIVA02G16970 transcript:ONIVA02G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIHGGSGSRAAPLPRRSALPRLSRVHALKPRMPENGKSPSAFPAYHLLPTFFPASPPLHRQQRASVAAPWVRLHATRSTQDEEVKVDATMFDGAAVPPPDAPLFRRVESLDHVPRLHLGLIIEASTATCCTSTRNHVVRKLLRGRRRQRRPGEAALPREIHDEIGLEFLGNVTGKPYTLHTNIFANGVGSREKQSSHLLLVVVLIVHIVARLP >ONIVA02G16960.1 pep chromosome:AWHD00000000:2:14492085:14494049:-1 gene:ONIVA02G16960 transcript:ONIVA02G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTMRTTTRRRCDDCFSRLPTPLAKMLVWSVYGLPKWNFTNHINQRAKSQKLPYNMISGAGATCRGRGLDDEAEVEARDVVERLDAAEEGRVWGRDGGAVEHGGVDLDLLILGAAGGVEAHPRGRHRRPLLTVERRGSREEGGEKVSNQITPPFSFPSSLLPYLICEQFPGSTWTLPEGDFPFSGIRGFNACTRESLGNALRRGKGAARDPLPPWITTYFNRNGNEPRFFCDDGLDALWRVDWMVLLSDNYFVLGLFLVSRIERVLPRMFPCHDAAFHLLGRYLLHPRNVRTSCPVCSRSSTLPLPESSRAARPGRRRPVLVVSLHGAYSERIKDLYYEQDIAGRESMSVFQPTHLDRQQSGEKLHNQEEEEEYDKWGQGYF >ONIVA02G16950.1 pep chromosome:AWHD00000000:2:14481257:14484247:1 gene:ONIVA02G16950 transcript:ONIVA02G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVALECGDDRAKGGVMSRLAESSRSRGHVAGRPVRRHLGARLSALPPLAAAASTATLGFEGGALQQGRRHCATMVMMALVPGGQEGREETSLRDPDAAAAAAAGSCTIVGSRQCRPLTSSVPRHPSPFTTVNGVDPLVNHFHHHPLDQAVVILKIAPQLLRLSSHVATTLEFLR >ONIVA02G16940.1 pep chromosome:AWHD00000000:2:14477342:14480992:1 gene:ONIVA02G16940 transcript:ONIVA02G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRNSCGLQCGSVQWPSKPSPTKTRRRTTKQQSCTFRGYRKINWQTATAATWWPGAVALLMYRENGNGTGFLLSVQMDLQSNQMPCHEKEEVAWPAEVATDVLAISGKVEARAAGFSIL >ONIVA02G16940.2 pep chromosome:AWHD00000000:2:14477342:14480992:1 gene:ONIVA02G16940 transcript:ONIVA02G16940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRNSCGLQCGSVQWPSKPSPTKTRRRTTKQQSCTFRGYRKINWQTATAATWWPMYRENGNGTGFLLSVQMDLQSNQMPCHEKEEVAWPAEVATDVLAISGKVEARAAGFSIL >ONIVA02G16940.3 pep chromosome:AWHD00000000:2:14473010:14477338:1 gene:ONIVA02G16940 transcript:ONIVA02G16940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLVANSWLRIVELKPWPDPQSSQCSIGGRWTELKVPWSALDCECSMGDDLCMGCNYLILIMCAAIITTTFHLAMITTQGNNVYGTNQLHEKLIARLIPLTTRGLPLPIHGNGSNVRNYLYCDDVAEAFEVVLHKGQVSHVYHIGTAKERRVIDEAKDISEDGNNRWTRDFSSRSIVLKGRKDCGLCWCALDVIRDVKVDRFLQLLKQYGSAKLVIINSLSIPWDSGGSRVTLAGRSSCHIGYITREALTEEIKYKSLHQTYPLVKFPQLRSSAWGQAEF >ONIVA02G16940.4 pep chromosome:AWHD00000000:2:14477342:14481007:1 gene:ONIVA02G16940 transcript:ONIVA02G16940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRNSCGLQCGSVQWPSKPSPTKTRRRTTKQQSCTFRGYRKINWQTATAATWWPEFTLQSNW >ONIVA02G16930.1 pep chromosome:AWHD00000000:2:14471380:14472982:1 gene:ONIVA02G16930 transcript:ONIVA02G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRDSGAFGNGKELRGGDRGGSRGQWRRWHRVRNSCGLQCGSVQWPSKPSPTKTRRRTTKQQSCTFRGYRKINWQTATAAATWWPVLGRQQCKVEEELGKGGVQESSAHNLFDRLTSQHKVFKDDERIAEPVPIKSTMNKEGISMDEALDRILERFELMEANRRQEEKFNQILQKLEEVEARRSKAAEETIASIRATTAVLKATSPTAPMAPPTPACTKCLTECPNNSLTWATVSSSHISEDTAPTVAWELGDNKDKGHAPCVVTKDSLEVTPTMCSTKCSGPTVEPDLTVAVVVTSATTAMASMELVAAGNAIGATYINNLDHPKVTHAKCLMLDLGSNSGDNQTMVTFQTLVDMTKGVFAPDATIEVSSPRKIAEMDLVIVMPTGCSMLFFDKGASELLPVRRHVMWQLLLEQCKRNPWSPPNSVYQVNGIWEWHVPWIDFNYFRTRLCLMPP >ONIVA02G16920.1 pep chromosome:AWHD00000000:2:14290896:14292725:-1 gene:ONIVA02G16920 transcript:ONIVA02G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLEKIDHLCKAKEIMQAAQIPSPTSLPFSSPFMTLVLPKRKAMFDHAPPAVKRAHSSWALLSSPSDDGLSDQEILDVAPLQA >ONIVA02G16910.1 pep chromosome:AWHD00000000:2:14227610:14228809:-1 gene:ONIVA02G16910 transcript:ONIVA02G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDIVVVDGGGRQAVAGLIVGRQVVPLPARRCSPRPRATTGGFSTSTTLTEQASPTFAHRASCGWPQRIGWSPLVMKMMDGCYCATSSPTLYHAATPFYDSIVDYRSPPGCPLHYLSQDTDQPLHPYSKIQQSHQDPRTVSYDVRAFIQVNLLLLRGHSCE >ONIVA02G16900.1 pep chromosome:AWHD00000000:2:14216092:14216310:-1 gene:ONIVA02G16900 transcript:ONIVA02G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMGEEQKAYRVCTFNSGFSSLSGPEPFRYRRDEAMRTGAIIARLGDDQGKEHEEEDDDDDNDRAHDNLT >ONIVA02G16890.1 pep chromosome:AWHD00000000:2:14212627:14215059:1 gene:ONIVA02G16890 transcript:ONIVA02G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAKEEAAASAPAPAMGGEEAAARAAQKRYEGLLTVRAKAVKGKGAWYWAHLEPVLIPAADTGMPPKAVKLRCGLCSAVFSASNPSRTASEHLKRGTCPNFSAPPPGAAAASGSSGSQHQQQTPQAALQALPPPNSTASSPIPISSIAPSSPRHPHHHSQPQQPQSHHHHHHHSGSRKRHSMPPAYTAAEPVSHHHHLVVVDPSTVYSPPLPALPPPPPQQPQSALVLSGGKEDLGALAMLEDSVKRLKSPKASPGAMLPKPQADAALALLAEWFLESSGGVSLSAVANPKLRSFLRHVGLPELQRTDLAGARLDARFAEARADATARVRDALFFQLAADGWREQVVTLCVNLPNGTSVFHRGVPVPAPAPSDYAEEVLLDAVASVSASGSSNDLHHCAGIVADRFKSKALRDLENKHHWMVNLSCQIHGFTRLVRDFARELPLFRSAAAKSAKLAAYFNAKPTVRSLLHKHQIQELGHASLLRVAHVPFNSSGSDYRAAFEMLEDVLTSARPLQLAVLEESYKLVCIDDSAAREMADMLQDGSFWSEVEAVHLLVKLIMDMVKEMETDRPLVGQCLPLWEDLRGKVRDWCDKFNIDEGAALNVVEKRFRKNYHPAWSAAFILDPLYLIKDASGRYLPPFKFLTPDQEKDVDMLITRMVSREEAHIAVMELMKWRTEGLDPLYAQAVQVRQPDPSTGKMKVANKQSSRLVWETCLSELKSLGKVAVRLIFLHATARGFRCSPSMLRWLSAPGSLAGGIDRAHRLVFVAANSKLERRDFSSDEDKDAELLTEGDDDVLNEPGSLERSSV >ONIVA02G16880.1 pep chromosome:AWHD00000000:2:14100073:14100330:-1 gene:ONIVA02G16880 transcript:ONIVA02G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVELDFAEPREVAALTGDGRGDGARRLERRPEVEREGARGESVSGDDGDRERWRPKEEKERALYIAMGAQGSSPRRREKLEKV >ONIVA02G16870.1 pep chromosome:AWHD00000000:2:14078754:14081789:1 gene:ONIVA02G16870 transcript:ONIVA02G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERKSRSRLASIRLGRSPAGLSSSPSRRRGSGSGGRRVRLAVRPAPASRSLPRREGSRALARSASEPALLLSGGRVHPEPRGLSPPSPPPPPLERPHTCFDVFTPDSPFGRSASAASLSNCNPREVQKWTVVVVSRAVRGSELAGLRLVQESKVVVSVTVEGSVGPVKAMVRLGASVGEAIAAVVERYAKEGRSPRLDPAAAEAFQLHHSHFCLQSLNKNDKIGDVGGRNFYLHKNDGNNRIYLQSEESGTNLVGGEIAHSFGGQQIVAINHDQFFAIFIKKLDKIGRLTKRIWRLLTCNCT >ONIVA02G16860.1 pep chromosome:AWHD00000000:2:14075531:14077799:1 gene:ONIVA02G16860 transcript:ONIVA02G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMLLQIPARREQQSGGRGRESTGGFDSGLTEVEEFLTEQVEEEQVDDAPATVVDDPTNENKEADDKDSADNEAADTSIVRPRAPTHVLASSFYFYPFISADIINSDIQHFQPKAPSSSPRRRAPSERTTSAIGSQQGEEEITAAVVPPIQVSIPISQIPGHLCFFSSFTHTSQKLADMFSSYIRQFLDEDDEDITSKTKLFSISDDLRARLLDIADRLGASLDALVTDSGPIKGRLEEVQDQLPDDIIDAITPAAHLESRRF >ONIVA02G16850.1 pep chromosome:AWHD00000000:2:14055813:14063216:-1 gene:ONIVA02G16850 transcript:ONIVA02G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRTVLGFFGFGVGVTMGLVIGYYLFIYFQPTDVKDPVIRPLVELDTKSLESMLPEVPHWVKNPDFDRIDWLNKFVENIWPYLDKAICKTAKEIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYTTDEQELIMEPSIKWAGNPNITVVVKAFGLKATAQVIDLHVFALPRITLKPLVPSFPCFAKIVVSLMEKPHVDFGLKLLGADLMAIPGLYVFVQEIIKTQVANMYLWPKVLEVPIMDPAKAQKKPVGILHVNIVRAVKLTKKDFLGKSDPYVKLKLTEEKLPSKKTSVKRSNLNPEWNEDFKLVVKDPESQALELTVYDWEQVGKHDKIGMSVIPLKELIPDEAKSLTLDLHKTMDANDPANDKFRGQLTVDVTYKPFKEGDSDVDTSDESGTIEKAPDGTPEGGGLLVVIVHEAQDVEGKHHTNPYVRIVFRGEERKTKHIKKNREPRWEQEFQFVCEEPPINDKMQIEVISRPPSIGIHSKENLGYVVISLADVINNKRINEKYHLIDSKNGRIQLELQWRTS >ONIVA02G16840.1 pep chromosome:AWHD00000000:2:14040938:14041888:1 gene:ONIVA02G16840 transcript:ONIVA02G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTASIHGHHLPPPFNTRDFHHHLQQQQHQLHLKTEDDQGGGTPGVFGSRGTKRDHDDDENSGNGHGSGGDGGDLALVPPSGGGPDGAGSESATRRPRGRPAGSKNKPKPPIIITRDSANTLRTHVMEVAGGCDISESITTFARRRQRGVCVLSGAGTVTNVTLRQPASQGAVVALHGRFEILSLSGSFLPPPAPPEATGLTVYLAGGQGQVVGGSVVGALTAAGPVVIMAASFANAVYERLPLEDDELLAAQGQADSAGLLAAGQQAAQLAGGAVDPSLFQGLPPNLLGNVQLPPEAAYGWNPGAGGGRPAPF >ONIVA02G16830.1 pep chromosome:AWHD00000000:2:13991452:13992465:1 gene:ONIVA02G16830 transcript:ONIVA02G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDVTMTNTTATTSTRHGKVICLQYREGKVKITCEPEANTPLRKGSGFGRSGDEGELSVTDPVMAEMTGCRSCGDEQQEHGSGDDQHKKHAPTVAGVIVGQHLTIT >ONIVA02G16820.1 pep chromosome:AWHD00000000:2:13954833:13956205:1 gene:ONIVA02G16820 transcript:ONIVA02G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNPGYRSWCSGARHERCEGGGEAHPNGWNGEETTTATRTRQHIHLNEGCAERDVHERGTATAAALLPDPCRDGEETVLRRRTEGSDSEEEEDAAAAAAVGKRDGRRLWWGRR >ONIVA02G16810.1 pep chromosome:AWHD00000000:2:13951835:13952329:1 gene:ONIVA02G16810 transcript:ONIVA02G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMEITGLLLLNRRASSSLSRCRALLAAPNGSIRTASTASSDEGGDGGGGEAGGEVGGGYSESPVTGVAGEGPHLGAHEVVGLRGGAQRWPSSLLVSHLVAGDKAEALLAGCDGEPPSRGGGRHGRRKEGRGSNRRRKGGHGQGCADGGEIEGKKMVGPTDS >ONIVA02G16800.1 pep chromosome:AWHD00000000:2:13916813:13921609:-1 gene:ONIVA02G16800 transcript:ONIVA02G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARRRRRPRRRVRPPPVATPSSDPRLAAAGTGASTPPPPLPRRRMWSGWRWGRRRGRGACSPVDPAVLEAGLVWRLLAAAGQLTRGGAVAVAVDALLFEHLWWLQHTPTSSLPSPLWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPPPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGVVLPSWDAGSDRCSGRGDDCFFLGWEPPFANLAAADACISFPVCVPEVNGSFFLFFHPRPWRSHWYLWAGGARTVHTVALPRELDGLFTDLWDASNSSNSDRARLIPAMFSIMYGKVGISADTPSSTSDSFFSDSLNSSCGQSLIGMADVRTGMGQSGFIYFVARVLFKTCASIIQGINISYQPHTRAITISATSTEDTSDTSSNTKTDLSSDTPSNTTMTGSTKRTFNELCFCAKNVDPTADLKKYIEDLECLDRSSKFYAVAVNNTFMKQDRVYCTKEFSQDYLKPLMEGKETISIGVQIAGGVSKNMILHMSTDGRCNLKKGWAKFATRNHIYLQSLCTFHFYKTTRLEATFDVL >ONIVA02G16800.2 pep chromosome:AWHD00000000:2:13916813:13921609:-1 gene:ONIVA02G16800 transcript:ONIVA02G16800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARRRRRPRRRVRPPPVATPSSDPRLAAAGTGASTPPPPLPRRRMWSGWRWGRRRGRGACSPVDPAVLEAGLVWRLLAAAGQLTRGGAVAVAVDALLFEHLWWLQHTPTSSLPSPLWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPPPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGVVLPSWDAGSDRCSGRGDDCFFLGWEPPFANLAAADACISFPVCVPEVNGSFFLFFHPRPWRSHWYLWAGGARTVHTVALPRELDGLFTDLWDASNSSNSDRARLIPAMFSIMYGKMFAQAWGNPVSSILLPVSNQIKQTCASIIQGINISYQPHTRAITISATSTEDTSDTSSNTKTDLSSDTPSNTTMTGSTKRTFNELCFCAKNVDPTADLKKYIEDLECLDRSSKFYAVAVNNTFMKQDRVYCTKEFSQDYLKPLMEGKETISIGVQIAGGVSKNMILHMSTDGRCNLKKGWAKFATRNHIYLQSLCTFHFYKTTRLEATFDVL >ONIVA02G16800.3 pep chromosome:AWHD00000000:2:13916813:13921609:-1 gene:ONIVA02G16800 transcript:ONIVA02G16800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARRRRRPRRRVRPPPVATPSSDPRLAAAGTGASTPPPPLPRRRMWSGWRWGRRRGRGACSPVDPAVLEAGLVWRLLAAAGQLTRGGAVAVAVDALLFEHLWWLQHTPTSSLPSPLWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPPPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGVVLPSWDAGSDRCSGRGDDCFFLGWEPPFANLAAADACISFPVCVPEVNGSFFLFFHPRPWRSHWYLWAGGARTVHTVALPRELDGLFTDLWDASNSSNSDRARLIPAMFSIMYGKVGISADTPSSTSDSFFSDSLNSSCGQSLIGMMFAQAWGNPVSSILLPVSNQIKQTCASIIQGINISYQPHTRAITISATSTEDTSDTSSNTKTDLSSDTPSNTTMTGSTKRTFNELCFCAKNVDPTADLKKYIEDLECLDRSSKFYAVAVNNTFMKQDRVYCTKEFSQDYLKPLMEGKETISIGVQIAGGVSKNMILHMSTDGRCNLKKGWAKFATRNHIYLQSLCTFHFYKTTRLEATFDVL >ONIVA02G16800.4 pep chromosome:AWHD00000000:2:13916506:13921609:-1 gene:ONIVA02G16800 transcript:ONIVA02G16800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARRRRRPRRRVRPPPVATPSSDPRLAAAGTGASTPPPPLPRRRMWSGWRWGRRRGRGACSPVDPAVLEAGLVWRLLAAAGQLTRGGAVAVAVDALLFEHLWWLQHTPTSSLPSPLWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPPPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGCVVLPSWDAGSDRCSGRGDDCFFLGWEPPFANLAAADACISFPVCVPEDLWDASNSSNSDRARLIPAMFSIMYGKVGISADTPSSTSDSFFSDSLNSSCGQSLIGMMFAQAWGNPVSSILLPVSNQIKQTCASIIQGINISYQPHTRAITISATSTEDTSDTSSNTKTDLSSDTPSNTTMTGSTKRTFNELCFCAKNVDPTADLKKYIEDLECLDRSSKFYAVAVNNTFMKQDRVYCTKEFSQDYLKPLMEGKETISIGVQIAGGVSKNMILHMSTDGRCNLKKGWAKFATRNHIYLQSLCTFHFYKTTRLEATFDAAFPRTVIGAPLALV >ONIVA02G16800.5 pep chromosome:AWHD00000000:2:13916813:13921609:-1 gene:ONIVA02G16800 transcript:ONIVA02G16800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARRRRRPRRRVRPPPVATPSSDPRLAAAGTGASTPPPPLPRRRMWSGWRWGRRRGRGACSPVDPAVLEAGLVWRLLAAAGQLTRGGAVAVAVDALLFEHLWWLQHTPTSSLPSPLWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPPPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGVVLPSWDAGSDRCSGRGDDCFFLGWEPPFANLAAADACISFPVCVPEVNGSFFLFFHPRPWRSHWYLWAGGARTVHTVALPRELDGLFTDLWDASNSSNSDRARLIPAMFSIMYGKTCASIIQGINISYQPHTRAITISATSTEDTSDTSSNTKTDLSSDTPSNTTMTGSTKRTFNELCFCAKNVDPTADLKKYIEDLECLDRSSKFYAVAVNNTFMKQDRVYCTKEFSQDYLKPLMEGKETISIGVQIAGGVSKNMILHMSTDGRCNLKKGWAKFATRNHIYLQSLCTFHFYKTTRLEATFDVL >ONIVA02G16800.6 pep chromosome:AWHD00000000:2:13916813:13921609:-1 gene:ONIVA02G16800 transcript:ONIVA02G16800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARRRRRPRRRVRPPPVATPSSDPRLAAAGTGASTPPPPLPRRRMWSGWRWGRRRGRGACSPVDPAVLEAGLVWRLLAAAGQLTRGGAVAVAVDALLFEHLWWLQHTPTSSLPSPLWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPPPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGCVVLPSWDAGSDRCSGRGDDCFFLGWEPPFANLAAADACISFPVCVPEDLWDASNSSNSDRARLIPAMFSIMYGKMFAQAWGNPVSSILLPVSNQIKQTCASIIQGINISYQPHTRAITISATSTEDTSDTSSNTKTDLSSDTPSNTTMTGSTKRTFNELCFCAKNVDPTADLKKYIEDLECLDRSSKFYAVAVNNTFMKQDRVYCTKEFSQDYLKPLMEGKETISIGVQIAGGVSKNMILHMSTDGRCNLKKGWAKFATRNHIYLQSLCTFHFYKTTRLEATFDVL >ONIVA02G16800.7 pep chromosome:AWHD00000000:2:13916813:13921609:-1 gene:ONIVA02G16800 transcript:ONIVA02G16800.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARRRRRPRRRVRPPPVATPSSDPRLAAAGTGASTPPPPLPRRRMWSGWRWGRRRGRGACSPVDPAVLEAGLVWRLLAAAGQLTRGGAVAVAVDALLFEHLWWLQHTPTSSLPSPLWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPPPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGCVVLPSWDAGSDRCSGRGDDCFFLGWEPPFANLAAADACISFPVCVPEDLWDASNSSNSDRARLIPAMFSIMYGKVGISADTPSSTSDSFFSDSLNSSCGQSLIGMMFAQAWGNPVSSILLPVSNQIKQTCASIIQGINISYQPHTRAITISATSTEDTSDTSSNTKTDLSSDTPSNTTMTGSTKRTFNELCFCAKNVDPTADLKKYIEDLECLDRSSKFYAVAVNNTFMKQDRVYCTKEFSQDYLKPLMEGKETISIGVQIAGGVSKNMILHMSTDGRCNLKKGWAKFATRNHIYLQSLCTFHFYKTTRLEATFDVL >ONIVA02G16790.1 pep chromosome:AWHD00000000:2:13915230:13915556:1 gene:ONIVA02G16790 transcript:ONIVA02G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGSKKPGQLKQMLRRCSSSLGIKGAGGDDDGLPGDVPRGHFAVYVGISRRRYIVPVACLAAPEFQELLRKAEEEFGFDHDMGITLPCDEATFHGVLASASATSIR >ONIVA02G16780.1 pep chromosome:AWHD00000000:2:13865597:13866729:1 gene:ONIVA02G16780 transcript:ONIVA02G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPAHQSVVYLLLVFLVSVTGVRSKTFTVTNNCGYTVWPGILSAGNSPAALDSTGFALAPGESRTMPAPHGWSGRLWGRTFCSTDPAGRFACATGDCGSGRLDCAGNAAKPPATLAEFTLDGSGGMDFYDVSLVDGYNLPMLVTPRGGVTGENCAPTGCMVDLNGKCPAELSVASPAAAGGGGVACKSACEAFGSAQYCCSGEYGNPNTCRPSAYSQFFKNACPRAYSYAYDDATSTFTCASGDTSYDITFCPSTASVKSVGSDHGMVYAGGAQLVSSSPRVAAKTWLPPGVIVVGVVLLALSRAF >ONIVA02G16770.1 pep chromosome:AWHD00000000:2:13843616:13843852:1 gene:ONIVA02G16770 transcript:ONIVA02G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSAVAGWSSSQQSTCPWHSLSSPACRSTAATGQQQQAATGATIVISTAEFSCPVLESEQLNTVLSSNDNEMNYKY >ONIVA02G16760.1 pep chromosome:AWHD00000000:2:13841785:13842959:1 gene:ONIVA02G16760 transcript:ONIVA02G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKERSIHRSAALILRLLSAFVVRDGAIEMHDAPSFCYFLLTFCFVNCGLLLYAMKNWTQSDQQLTKKSRVHGLLYFFWNNSQLCCIIHVQLNVALTCSLEGSERMHRPFKASHYNTHTS >ONIVA02G16750.1 pep chromosome:AWHD00000000:2:13838038:13838328:1 gene:ONIVA02G16750 transcript:ONIVA02G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIAPAANLKQILRRCSSLGRRQQQQGAVPRGHFPVYVGESRCRYVVPIACLEHPDFLLLLRKAEEEFGFEHDAAITLPCHEADFEALLAALTA >ONIVA02G16740.1 pep chromosome:AWHD00000000:2:13770986:13772596:-1 gene:ONIVA02G16740 transcript:ONIVA02G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHHRATLLLHQQQRKGDATAEEGQQLVAEEGAGKMKELRRRLVDYACHHRKHGHDALLRMLAGFALVSCLLLLLPGSPFSAAVDDLLQMGRTRLDDETPPPPPCAAVSNGTICCDRTAMRTDVCIMRGDVRTEAASNSLFLLVPPPDNSTAAAGRDERIRPYTRKWESSIMSTIDELRLRAVPEGGAAPASCDVRHDVPAVVFSTGGYTGNVYHEFNDGIIPLYITARQYNKKVVFVMLEYHDWWMTKYGHIVEQLSDYAPIDFTNDRRTHCFPEAVVGLRIHDELAIDAARMPGNRTIQDFRRMLDDAYRGRIQMIIEEEEKAAAVALGTPTQGSIRKKSALKDDKPRLVIVSRNGSRAIENEAELVRAAAGAGFRVAVLQPRQDTELAKMYRALNASDVMVGVHGAAMTHFLFMRPGSVFIQVVPLGTDWAAETYYGEPARRLGLRYMPYKIKPAESSLYRQYAKDDAVLTDPDTVNAKGWQVTKKVYLDGQNVRLDMVRFRRRLRDAYDHWAELRRRHNADSTETEQRKPW >ONIVA02G16730.1 pep chromosome:AWHD00000000:2:13759540:13766310:1 gene:ONIVA02G16730 transcript:ONIVA02G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSRTSTPTARPIILHHASAPPWTPLGGWEGRGERERGEREAQTTTTQVASGGGGEASKRSAILRRVTELEDFLPFPFAVAGDATVGTRGAARIGLSFGCGASGGRHLRRMFEWNDDQQQVGDAIWAEFNENEDHIVPYPKDTEDSALVSVGDQKKNDEETDNIPGLTERSSSGQTEFPVLEKQPASQASEHYSATQLDVESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTVQLDGETEVFGNDHEEKSNSFLDCDWGNIGDFDDFDRLFSNGDSIFGNEMVADGSNFLSASSDLVDTTVQSIPFPHIPLNKQLSSDHGSSLLINETSGGTTEQESKVVDANAKSGEQAEHKNHLNNEYSGKPNQFPKEGDVQKKSVRSRRRTEERGKSKMSNSTSGFSQNQGQHRPASSHSLAKAPAQPLQTPQYLLLHDNKNMGQLQQANQFIFPGYGYPSYQFPGIPLMSNVQAESHQTKPATTNYRTSIDSPKQSSSAEKSQDIPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNANKVFSLELIPTGHEEIQKSSGIPDDPFIEEKIYYQLQDALAKLDTRTRRCIRDSLLRLAHSVSERQITSDRSSANKSNKDDDEVSEDTSKRRSPASEAETNTNPIDRIVAHLLFHKPCSKVSTPAKEEIKSSTPLPTEPDSKIPTDAPGGPSENHQNGQEMTLQPSL >ONIVA02G16720.1 pep chromosome:AWHD00000000:2:13731492:13732518:1 gene:ONIVA02G16720 transcript:ONIVA02G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEVAPFPSTPAELFELSLSFSVSLSIHVRPSPPPADLLLYSSPSSFFSPLASPHSGDSIFSLSPPLPPQTSHHQNSLSLASSHYYTRRSGHPPLSLCLPAPSLGAPHPGTPSPADFSTPQPPEHRRLARPLLSLLSAPTETEEEDDEKKRRQKETPPWKLYAFYGDIRVVLNPDVKPKIVKPGIT >ONIVA02G16710.1 pep chromosome:AWHD00000000:2:13704519:13713248:1 gene:ONIVA02G16710 transcript:ONIVA02G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSSQAQEDGFFHPRDGACPADSSGKTECKTQGSIATRKVQKADREKMRRDRLNEQGDSAFSPFSTVILATRCYANVLVEIQPEITKQAVAPLSFPSNTDPDRPRNDKATILSDAIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKVSLKFEVDNLNTQYQQRMRVLYPWTGMEPSVVIGPPLPYPFSVPVPVPVPIPSGAVPMHPQLQAYPYFRNQTSGTVSNPCTPYMAYTQPIHPPTDQLSNQFSAPVQHSSSNQSHSMAQDSRSKSSALQQVSCRGKHDDFDDVATDLELKTPGSSAPLQSEIANKDSSSDLKKKKQFIQETKGSSLTEGSSSSSRCSSSGPPDVSNSIEGGSVADDQRSTVQT >ONIVA02G16710.2 pep chromosome:AWHD00000000:2:13704645:13713248:1 gene:ONIVA02G16710 transcript:ONIVA02G16710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSSQAQEDGFFHPRDGACPADSRSSRSLVLAPNSRNSFAGGKTECKTQGSIATRKVQKADREKMRRDRLNEQGDSAFSPFSTVILATRCYANVLVEIQPEITKQAVAPLSFPSNTDPDRPRNDKATILSDAIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKVSLKFEVDNLNTQYQQRMRVLYPWTGMEPSVVIGPPLPYPFSVPVPVPVPIPSGAVPMHPQLQAYPYFRNQTSGTVSNPCTPYMAYTQPIHPPTDQLSNQFSAPVQHSSSNQSHSMAQDSRSKSSALQQVSCRGKHDDFDDVATDLELKTPGSSAPLQSEIANKDSSSDLKKKKQFIQETKGSSLTEGSSSSSRCSSSGPPDVSNSIEGGSVADDQRSTVQT >ONIVA02G16710.3 pep chromosome:AWHD00000000:2:13704519:13713248:1 gene:ONIVA02G16710 transcript:ONIVA02G16710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSSQAQEDGFFHPRDGACPADSSGKTECKTQGSIATRKVQKADHPDRPRNDKATILSDAIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKVSLKFEVDNLNTQYQQRMRVLYPWTGMEPSVVIGPPLPYPFSVPVPVPVPIPSGAVPMHPQLQAYPYFRNQTSGTVSNPCTPYMAYTQPIHPPTDQLSNQFSAPVQHSSSNQSHSMAQDSRSKSSALQQVSCRGKHDDFDDVATDLELKTPGSSAPLQSEIANKDSSSDLKKKKQFIQETKGSSLTEGSSSSSRCSSSGPPDVSNSIEGGSVADDQRSTVQT >ONIVA02G16710.4 pep chromosome:AWHD00000000:2:13704645:13713248:1 gene:ONIVA02G16710 transcript:ONIVA02G16710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSSQAQEDGFFHPRDGACPADSRSSRSLVLAPNSRNSFAGGKTECKTQGSIATRKVQKADHPDRPRNDKATILSDAIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKVSLKFEVDNLNTQYQQRMRVLYPWTGMEPSVVIGPPLPYPFSVPVPVPVPIPSGAVPMHPQLQAYPYFRNQTSGTVSNPCTPYMAYTQPIHPPTDQLSNQFSAPVQHSSSNQSHSMAQDSRSKSSALQQVSCRGKHDDFDDVATDLELKTPGSSAPLQSEIANKDSSSDLKKKKQFIQETKGSSLTEGSSSSSRCSSSGPPDVSNSIEGGSVADDQRSTVQT >ONIVA02G16700.1 pep chromosome:AWHD00000000:2:13694219:13694501:-1 gene:ONIVA02G16700 transcript:ONIVA02G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGWMKASRSSIEYNIGVNKFIDFALSTIFHDTSHLTNETYLHQGDKYMI >ONIVA02G16690.1 pep chromosome:AWHD00000000:2:13692423:13692962:1 gene:ONIVA02G16690 transcript:ONIVA02G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVVMVIVAEGIELEMHLLRKLLQEKPPQDLTAVTEMESPIHMIPLIEMFDLGAARRRRWVADSGGSRKSVCWCHNVSISVIWRTTAPGMGRVDWREGWGTGDGGDRGSMVDAGIRSSGDDDNLERCVGATAAGEAFALQDDIA >ONIVA02G16680.1 pep chromosome:AWHD00000000:2:13678286:13685122:-1 gene:ONIVA02G16680 transcript:ONIVA02G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocation protein-related [Source:Projected from Arabidopsis thaliana (AT3G20920) TAIR;Acc:AT3G20920] MAGKGAKATAAKSAEKEKGKKAPVSRSSRAGSQEKPAPNKDVYQLFAEKVRDNKQLESRWAIMQETRVEYFRGKDFTTFMRNHPEVREILGPDKDLEVEDIVNTLLSKNLVIRCDRVWKTVRPGKKKLSSWPAHLEIHREQVFTENDGFFAWMFLKRRTLWQTILSFVWPLFALAVCLFPVYPYQCKIVVLYSCAGALLFIVSILLLRAAIFGILWVLLGKRVWFFPNINAEETTFRELVRFWPEKDEGERPKWTSRLFYATVAVLVILLLRHHAPDEAARARYQKKVSNIIDDVLEWSPKLALSGMMEKHTGTNASETSNHTSGTGSSPVPPTNEGNTAKADPDMDRQTNSDKVQDGDEYGDDMRTRFSEV >ONIVA02G16670.1 pep chromosome:AWHD00000000:2:13636293:13636496:-1 gene:ONIVA02G16670 transcript:ONIVA02G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHDGDERRRQAMQRGVRGGETPGTGMWGGRLDSGGGGYDDSARSNDGRGEGDSATVEDAGSVAAI >ONIVA02G16660.1 pep chromosome:AWHD00000000:2:13636183:13639189:1 gene:ONIVA02G16660 transcript:ONIVA02G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHSSCRVISVTPPQRITVASHHVKAGVEQAGRPWTAVPNALDIIITPLTWSGCDEISYIHLGLTFVCAMSQSNEGINF >ONIVA02G16660.2 pep chromosome:AWHD00000000:2:13636183:13639189:1 gene:ONIVA02G16660 transcript:ONIVA02G16660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHSSCRVISVTPPQRITVASHHVKAGVEQAGRPWTAVPNALDIIITPLTWSGCDEISYIHLVDNVLNLLQIKILPCPSPSFSIMIDLLSSLSKTNHSFAVAEGKPQLVSLKLLAMDNNGGDSASRSLKRSGPFADITNLIDAKLTNKRGC >ONIVA02G16660.3 pep chromosome:AWHD00000000:2:13636183:13638894:1 gene:ONIVA02G16660 transcript:ONIVA02G16660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHSSCRVISVTPPQRITVASHHVKAGVEQAGRPWTAVPNALDMRSVVDNVLNLLQIKILPCPSPSFSIMIDLLSSLSKTNHSFAVAEGKPQLVSLKLLAMDNNGGDSASRSLKRSGPTFIHPDIVIVIARLRYFFLLILQTPPLGPFADITNLIDAKLTNKRGC >ONIVA02G16650.1 pep chromosome:AWHD00000000:2:13624055:13628971:1 gene:ONIVA02G16650 transcript:ONIVA02G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFHPFETGRVLGCFKGILACQNSRDVRIKSQLMIKKMDFPTSYKYPAVLQLSNEPYLPHLPPRVAPIPRNRRTAPISQSHGNRIRHHRRTLALPASRRPPIPNPPQQLHLRRRRIDGNEPPRSYQHPDRRRLTDLLCAAAHDSPSSLTNRRRRFAARRRRLHRCHLRLLCPRSPSLSVIHRHPDHRVAHEGAVLVKCWGGDDLWGGEIMQTE >ONIVA02G16650.2 pep chromosome:AWHD00000000:2:13624783:13629712:1 gene:ONIVA02G16650 transcript:ONIVA02G16650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRFRSNEPYLPHLPPRVAPIPRNRRTAPISQSHGNRIRHHRRTLALPASRRPPIPNPPQQLHLRRRRIDGNEPPRSYQHPDRRRLTDLLCAAAHDSPSSLTNRRRRFAARRRRLHRCHLRLLCPRSPSLSVIHRHPDHRVAHEGAVLVKCWGGDDLWGGEWGTSSERAPWTRGTRGAIAARDNTRERKRGKSGNFLPRFSTMGPQMSPSTGDLRRLFECLPILGALSIP >ONIVA02G16650.3 pep chromosome:AWHD00000000:2:13624783:13628971:1 gene:ONIVA02G16650 transcript:ONIVA02G16650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRFRSNEPYLPHLPPRVAPIPRNRRTAPISQSHGNRIRHHRRTLALPASRRPPIPNPPQQLHLRRRRIDGNEPPRSYQHPDRRRLTDLLCAAAHDSPSSLTNRRRRFAARRRRLHRCHLRLLCPRSPSLSVIHRHPDHRVAHEGAVLVKCWGGDDLWGGEIMQTE >ONIVA02G16650.4 pep chromosome:AWHD00000000:2:13624055:13624440:1 gene:ONIVA02G16650 transcript:ONIVA02G16650.4 gene_biotype:protein_coding transcript_biotype:protein_coding METFHPFETGRVLGCFKGILACQNSRDVRIKSQLMIKKMDFPTSYKYPAVLQLFAIIFVPRWNTGLFCLFAEPTTLPLSDAVAS >ONIVA02G16640.1 pep chromosome:AWHD00000000:2:13621794:13623967:-1 gene:ONIVA02G16640 transcript:ONIVA02G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVPTENKYKKINQTIDKENHETNPLPASPTQEIRSKPNQNREPREAAAAAVGVAEEIGAVERRGTVVNPGDGELAARNRVRLR >ONIVA02G16630.1 pep chromosome:AWHD00000000:2:13621008:13623985:1 gene:ONIVA02G16630 transcript:ONIVA02G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLAQAQVGLAEGPALIPNDTSAPEVHTVAARLQLDYLAPYCVFASGKMIEAIDEYSAEDPLQPWLERGLLKSAGGDLRPDDDLYKKGLRYLKTKSGTSAFMDFTARQFHSPKSVVQSNKCKTSPLMNMGHFANPK >ONIVA02G16630.2 pep chromosome:AWHD00000000:2:13621536:13623985:1 gene:ONIVA02G16630 transcript:ONIVA02G16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAIDEYSAEDPLQPWLERGLLKSAGGDLRPDDDLYKKGLRYLKTKSGTSAFMDFTARQFHSPKSVVQSNKCKTSPLMNMGHFANPK >ONIVA02G16630.3 pep chromosome:AWHD00000000:2:13621620:13623985:1 gene:ONIVA02G16630 transcript:ONIVA02G16630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGIAQVGWW >ONIVA02G16620.1 pep chromosome:AWHD00000000:2:13619524:13620299:-1 gene:ONIVA02G16620 transcript:ONIVA02G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKIGCYSVREVHGEFCIDEKAFNMNGLYIRKGYQVYFPSNQTACHSELSTNGNCKLKTSCAGRPGDAQVTTMVMSVGFARHGWIELYYHMAHLGLGRSPSIISPWIEAFQNQEFQDKKGKIQFGLGLGQSRYTWTKTLVSLSSGVRFGRVSTRWKANFMAHVVDRAHDTNSFWFHRKSRNKLTVLQRNFHIVMYLILSF >ONIVA02G16610.1 pep chromosome:AWHD00000000:2:13618884:13619255:-1 gene:ONIVA02G16610 transcript:ONIVA02G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASSAPATTTVLTAALHVEHGKNNGNANGWASANNNSGSSPDTRRNIFI >ONIVA02G16600.1 pep chromosome:AWHD00000000:2:13604304:13606216:1 gene:ONIVA02G16600 transcript:ONIVA02G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASSSSTAAHKNYMIHHYHLVQDSCFMQDTEGVEEEVRGVCNAVLFVYAHNKNEIKVGYKQSVEPLSSGATGKLTAHTHFIEHILSVLDFLNVLYCDQTNKDIDELRQ >ONIVA02G16590.1 pep chromosome:AWHD00000000:2:13594154:13599586:-1 gene:ONIVA02G16590 transcript:ONIVA02G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTFATHPAAAAAARRRGPIRWRLPFCSQIVTVTLRRRFPMARLSITNALASQSLESAPAAPPKHSFPILVNSCTGKMGKAVAEAAVSAGLQLVPVSFSAIEVPDGKVEICDREIYIRDPSEGESILPSIAKDYPDMIVVDYTVPDAVNANAELYCKLGLPFVMGTTGGNRQLLHKTVEDANVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYKLEVMESHQATKLDISGTAKAVISCFQKLGVSFNLNEVKQVRDPQEQLTLVGVPEEHLSGHAFHMYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAALFLHKKIQSGANKKLYDMIDVLREGNMR >ONIVA02G16580.1 pep chromosome:AWHD00000000:2:13592794:13593102:1 gene:ONIVA02G16580 transcript:ONIVA02G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMYHWIQQPYEHNDACLTKACSSSPRTEEETDAASKVGTRGSAAGTGEPACWRRRQRSRQGAGARARQMEEEEEARRRPELAGVIGSKQLTAAVGHHAE >ONIVA02G16570.1 pep chromosome:AWHD00000000:2:13543347:13543718:1 gene:ONIVA02G16570 transcript:ONIVA02G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRWRRPHDGDGLTRSGAGDDPAMPTGGGRAVVARHSRSNLRVPLAVVEELLATLRTIGGPTKIRDSDDLTAADDGRERPPRAPLAVVHPARCSPFATVHRSPSTEETRRGEEETRTAASVG >ONIVA02G16560.1 pep chromosome:AWHD00000000:2:13537499:13541725:1 gene:ONIVA02G16560 transcript:ONIVA02G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein [Source:Projected from Arabidopsis thaliana (AT4G17830) TAIR;Acc:AT4G17830] MASPSLRDAVGGLDRDPFVSLLGKLIGESRRLQNDPPALVPQEDLVAQHVVDALLPVSTETGGGPLVVRKVSYAEGRSNVIVEYPGTVPGRAISFVGMHMDVVPANPDEWDFDPFSLTFDSEDKDKLRGRGTTDCLGHVALVAQLMRRLGEVKPVLKHSVIAVFIANEENSLITGIGVDGLVKDGLLDKLKNGPLFWIDTADKQPCIGTGGVITWHLKAIGKLFHSGLAHKAINAMELNMEALKEIQTMFYNDFPPHEKEKLYKFATPSTIKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTTSVVKKLQDYVHDINENIEKLPTRGPVSKYVLPDENLRGRLEITIDEDIMNGVACNLESRGFQALCKATEEIVGHVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMAQGFQVFLSIISQLEADV >ONIVA02G16550.1 pep chromosome:AWHD00000000:2:13531160:13536286:1 gene:ONIVA02G16550 transcript:ONIVA02G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT5G46630) TAIR;Acc:AT5G46630] MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLMYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKSWNRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRC >ONIVA02G16540.1 pep chromosome:AWHD00000000:2:13527697:13528974:1 gene:ONIVA02G16540 transcript:ONIVA02G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G606] MAAMDEPPQLFLCPISMELMEDPVTVSTGVTYDRRSIEEWLFVYGRTTCPATMQPLSNFDLTPNHTLKRVISSWLDRGSSSSSSSSPSTSTLSSPIHELATPLSRALEQERLLAALAELEETPFKVTKLKSMRARMAGDVAMQGEFVASGGVRVVGRVMAQALAESGGDFSAFAACEEAAAVLAALPLSDEASVRVVLAPECIRPVMALLQRGGAEARLHAMDILTKISSSGSGGDWTAGVDIDDVIKSLLELLSDEASTRLSSRALDVLLDVVERARGARAKAVEVGAVHVLVELLADADDRRVTERVLLLLKRLCKCPEGRLAFAEHDLSVAAVARTMLRVSELSTQLAVKVLWLVSVVAPSEKVLEDMMLTGAVAKLLGLLHVESSPSTKQKTVRMVRIHGVVWRQYACFPTDFRDYLRLLD >ONIVA02G16530.1 pep chromosome:AWHD00000000:2:13521292:13526619:1 gene:ONIVA02G16530 transcript:ONIVA02G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poltergeist like 1 [Source:Projected from Arabidopsis thaliana (AT2G35350) TAIR;Acc:AT2G35350] MGSGASRLLTACTCSRPAPASVDAEPCLDDALGHSFCYAAAATATAHSSSFRHGISGAALSANSSVPVPLYNASAAAGGVAPGYSSAFHTSSSFSSAPLQLSNLSSGPLFLSGPIDRAGQLSGPLDPAVPFSGPLPAKPPKPASSSSRGFSRRFRKPSFGSLRRSVSEKNRPCAVPLRRDDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVTNLYRFLLRELRGIFYKEADADNKKLWQFLVDGDDDDSELDFSGSGRFALSLDRLKESRFHMWAHAAADESGREWGSRRLAPAPAVRDHAAVLAALTRALASTEAAYLDMTDQSMGTHPELAVTGACLLVALVRDDNVYVMNLGDSRAIVAQRPDDGDDGCVFGTMRRMEDVGVGLEIETRPGGCAIIGLKPLQLSTDHSTSIEEEVHRIKREHPDDDQCIVNDRVKGRLKVTRAFGAGYLKQAKLNNGLLEMFRNDYIGDTPYISCTPSLCHHKLTARDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPEGDPAQSLIEELLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGTYV >ONIVA02G16520.1 pep chromosome:AWHD00000000:2:13510455:13511010:1 gene:ONIVA02G16520 transcript:ONIVA02G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVRVRHSISVLYLTFSWKDGNGILLGAYVSNPKKHSGEKKRTDSCTDDFVTKNYLPGTGLLNPCIKGRRRLG >ONIVA02G16510.1 pep chromosome:AWHD00000000:2:13510192:13510446:1 gene:ONIVA02G16510 transcript:ONIVA02G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPTATEFTQEAARQSLIEISQSLPEKPSAQNLEVKSPSPRAANEDHEDGAEIYRSKLISISYLSPDAQPTPCPPKNVLT >ONIVA02G16500.1 pep chromosome:AWHD00000000:2:13485056:13488150:-1 gene:ONIVA02G16500 transcript:ONIVA02G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrate transporter 1.5 [Source:Projected from Arabidopsis thaliana (AT1G32450) TAIR;Acc:AT1G32450] MSGNDGDMKMRVIVVEGDETSNAPKDVCCEYTLDGSVDIKGSPAVKGKSGGWLAGGLILRYVEYDIALPQFGFIAAFMGELSGALFALNQGLATLAFFGVNVNLVLFLTRVLQQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLGLALLSLSSRLYLIRPVGCGTEHVPCEPHSGAELGIFYIALYMIAFGNGGYQPNVATFGADQFDGEDPVESHSKVSFFSYFYLALNLGSLFSNTFLSFLEDEGNWALGFWVSTAAAATALLLFLGGTLRYRYIRPSGNPVGRIFQVAFAACRNWKAGESPGAVTLYESDEKADSGGRKLLHTEGFRFLDRAAVVGANPKLGTCTQPRDPWKLCTVTQVEEVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTRFPGFSVPPSSMSAFDILTVATTIFLYRRAVCPLVSRLTGRRTGPTELQRMGLGLVLGAMAMATAGTVEHFRKAGATTAMSSDLHIMWQVPQYALIGVSEVMMYVGQLEFFNGEMPDALKSFGSALCMMSMSLGNYFSDVIVSAVTKATAVRGRPGWIPADLNEGHLDKFFFLLAVLAVADFAVYLVCASRYRSGTVDVDRSDGEEEDGVAGRQMAATV >ONIVA02G16490.1 pep chromosome:AWHD00000000:2:13466703:13478964:-1 gene:ONIVA02G16490 transcript:ONIVA02G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G12810) TAIR;Acc:AT3G12810] MASKGPRSKLDHETRARRQKALEAPRGEPRRTKTHWDHLLGEMVWLAKEFDAERKWKLSMAKRIAQRANKGVVDQATKDERKQKEEEVRLRKVALNISKDVFYKNQLELEERKKKALDKQLDFLLGQTERYSTMLAENLVDVRLQNQENDSLQTNQRSQQELAQENINASSPTDVDNVEIDDDYNSSLGEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYTKNKVSRESSPDGRDVFSDSDSKDLIKDPLNQANGCNDESDHTSSDEGISSEEADDYQSYSEFVKKNTVKCNGNISSVDAKDDEDYVANDEGKDDEATLSEEEELAKKEDCDPLDEVKLLQKESEIPLEELLARYQKDGYADDDTTELENSPALSVEDVNANMPVDDESADTVEVNRDLSADTMKLTRDQSAETVKVNNDQSAEIVEGNNDTFEDHESAGMLGPEHVSGSVLQLETSEPIVQENTAKEGDVTDTKAMANGDNSDVIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNYFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIASSETQATLASGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMTGINMQISSSVGMVLDKGPFSQVDLSDMNFVFTQNEYNMTSWEEDEVAAIFSPSITLRGSGISRSTNDGQRSNGTNIFEEIHNSLWEERIKEANERAASIAWWNRVRCQKRPVYGTNIREVLTIKHPVSDILEKKKNPLCHMEFSSSLANLVLSSVDRFKTMLDFIESFTFAIPAARAPAPLFWCNKGKSPVLIEPAYREKCMNEFSPVFSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDILEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHSSLHAENQQKDCFLSAGPSNGTNLALSNVDVEAAIRQAEDEADYMALKRLEQEEAVDNQEFSEEAAGRLEEDDLVNEDDTKPDEHTNEEHKYQCSDLVKDKHVALSINQLDEEKTITLAGGDGDIDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAINYQVNVEEEEWELERIEKLKEDLEAEIDEDQEPLSYESWDVDFATTAYRQHVEALAQKQLLEEQERQAREAAKELEEKNDNSTQRKKSKKNKKKAAKFKSLKKGRLSSESEVMVEETSVDTMSIDDNAPSPELMSDESAHHHSNKHKRIMSTNEEENSNSRSLKKLKKAPKSSFSSEALSPKHFLEGKQLKLKDELNDSDPKSGARIKSDGRISVPCMPVKRVMVIKPERLKKKSLWSRDCASDSWTTEEDAVLCATVNEYGPLWELASDSLHSVPGGAFYRGRYRHPVHCCERFRELVCKHILSATDNSNSEKVPSGTGKAILKVSEDQTQMLLNVISELPNNELLLQKHFMAVLSSVWRSKSARESHCVMSYSNTLQKPGRLSENWSMTNFRPNFNLVRTALADAQVQCPRMVVPTSNHESRRNFLELELDFLTDRDDYEADFPSVVNVSILEPEPLKHAVEPVEHSLLSTLSYRHAENRFRMVSETCFEGEGSHWASSAFHTYDAGRHKSGPKSIGKHKASSESGRPPKSKIQRTTEPQEVPVTNNFHRIPGQLLHNSAEFHITQSLSDLGISDSEFTYFDNLPQEAETEFVPYQYDSDVLSGIEELDPLTDFTDIG >ONIVA02G16480.1 pep chromosome:AWHD00000000:2:13462738:13463283:-1 gene:ONIVA02G16480 transcript:ONIVA02G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT3G20230) TAIR;Acc:AT3G20230] MAAAAAYPTAATLPPRRAPPPSLRPSPPLSWSASIAHLALSPPPVPSNRASLVVRAAWTRRSRKEAEERPNRKSWKQRTDMYMRPFLLNVFFSKRFVHAKVVHRGTSKVISVASTNAKDLRTTLPSLVDDNACRTIGRLIAERSMDADVFAMSYEPKKNERIEGKLGIVIDTIKEHGIIFV >ONIVA02G16470.1 pep chromosome:AWHD00000000:2:13447729:13450204:-1 gene:ONIVA02G16470 transcript:ONIVA02G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRCKKHPYQAGGGVCATCLNDRLLALAAAQNGASSSPPPPAAPPAAAAPAFPRSVSPYVSRRKSDSSGGGALKHHPSLLFFRTPQVGPAYGSSGGLEEGDIGYEKRRAGKFSVIATLFGHHHHHHRSEEKDKGGDNRESRNRSWLAGFMPRRRKKQPPAPAAAAAASSPPPRRSCRVVSNRGLSPERDCDGSDEESSSPTDPPWQPSPSPMRRTPCRRRQTSTMPSGFAVCLSPLVRPSPGRRHRHAHPPDPGTFSCELRPSPLHNLSSAASITRCRSRKLADGGRFRMSGLVDVHGLHGLAGDLWAAHDLFGRIHPFVFAHGTINIPKYRSCC >ONIVA02G16460.1 pep chromosome:AWHD00000000:2:13443941:13444646:1 gene:ONIVA02G16460 transcript:ONIVA02G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVFPDEFARPVKNRYRSQLTSFSGPSKKYCSLSVKNKKKKKKCCSTAEITKHSVRILSPNLQDYMVCRNGETNWTAATENGESMTKILSTASFVDRAWVIAMRWRVSKKVKCNSICDAFILEVGGMATITVPVPGDEVLRTSNARRFFYSSTEASIGLTQCWISSLAVHATRLAFTVP >ONIVA02G16450.1 pep chromosome:AWHD00000000:2:13437568:13439830:1 gene:ONIVA02G16450 transcript:ONIVA02G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSGNSDGGCNRDEEVQIQIADSSKAATLSTHEVPIQNSPVKSWQWWLMVGVNMFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPLAFNAVFSYFLNAQKFTPLIFNSVVLLTFSASLLGVDEDSQGITSISHGKYILGFLLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATLASLVGLFASGEWMTLQGEMHAFQSGKLSYVMTLLWTAISWQVASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFMSYGHQLYVDGKKGRKTTVSVEETS >ONIVA02G16450.2 pep chromosome:AWHD00000000:2:13436985:13440151:1 gene:ONIVA02G16450 transcript:ONIVA02G16450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPCRVSLCITEEEDEVQPYSSKAATLSTHEVPIQNSPVKSWQWWLMVGVNMFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALFLFRSKTSSTQTVTSSPAPTISIPKITLIYVVLGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTPLIFNSVVLLTFSASLLGVDEDSQGITSISHGKYILGFLLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATLASLVGLFASGEWMTLQGEMHAFQSGKLSYVMTLLWTAISWQVASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFMSYGHQLYVDGKKGRKTTVSVEETS >ONIVA02G16450.3 pep chromosome:AWHD00000000:2:13437568:13440150:1 gene:ONIVA02G16450 transcript:ONIVA02G16450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSGNSDGGCNRDEEVQIQIADSSKAATLSTHEVPIQNSPVKSWQWWLMVGVNMFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALFLFRSKTSSTQTVTSSPAPTISIPKITLIYVVLGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTPLIFNSVVLLTFSASLLGVDEDSQGITSISHGKYILGFLLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATLASLVGLFASGEWMTLQGEMHAFQSGKLSYVMTLLWTAISWQVASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFMSYGHQLYVDGKKGRKTTVSVEETS >ONIVA02G16440.1 pep chromosome:AWHD00000000:2:13430069:13430807:1 gene:ONIVA02G16440 transcript:ONIVA02G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIVLLFGCVEQKPSRVALPGAAQEATTRPPLSPTPTPFSASPSPERPPAKPCGRKDGGGGAILVSSRSGGLGSRPEWRRRCPPDPRRSGRIWRGGLAAGKASAAGTGDGGGRGELRRVVLWVMAAGMATVLGWRWWRPRIWRWCTRIRRWPEHAGTPRMPLASSGASLGVGRCWWRGRRPDLAPCPDPARPQVGTGWLESGRRAGGVNIAGILGV >ONIVA02G16430.1 pep chromosome:AWHD00000000:2:13428855:13429418:1 gene:ONIVA02G16430 transcript:ONIVA02G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLVPVLLLMLGLSTCESSVLQDTCKSVAGGHKYVTYNDCIKAFQADSASATAADARGLAAIAARIAEKAANATSARIAALRAAEKDARRKDRLGVCAEVYSDAVDQLGETAEDIARGGYEATQDAVTQLSAALDAPGTCEDAFGEADDASPLAPEDAEFAKLATIALAVAASLSPPPSTPATMD >ONIVA02G16420.1 pep chromosome:AWHD00000000:2:13423682:13427622:-1 gene:ONIVA02G16420 transcript:ONIVA02G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNFWSMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSGSMYHGSPLLLLVLGPLTNKRSVGHHDHIYCSLIFVAVDFIAAMLIRATGRRLQIARNRNLKSLELTEAVSNSVNVSAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMATHLSLYPAILIVPIILLLGYGPDAPPTKVFRIKSSSASKTDVSDNDKSSSSRDVQQFLWKPVFHFILWMLFWTFYVLLLSSIVLNKVGGLQEMFEKYFFAEVFDFFRSFFLIVFNMNIVFMVLPLAIRLKHRPCFLAFIYTAIVAILKSYPSVGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVVESVSSMIKHDRKLRLLVTA >ONIVA02G16420.2 pep chromosome:AWHD00000000:2:13423682:13427622:-1 gene:ONIVA02G16420 transcript:ONIVA02G16420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNFWSMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSGSMYHGSPLLLLVLGPLTNKRSVGHHDHIYCSLIFVAVDFIAAMLIRATGRRLQIARNRNLKSLELTEAVSNSVNVSAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMATHLSLYPAILIVPIILLLGYGPDAPPTKVFRIKSSSASKTDVSDNDKSSSSRDVQQFLWKPVFHFILWMLFWTFYVLLLSSIVLNKVGGLQEMFEKYFFAEVFDFFRSFFLIVFNMNIVFMVLPLAIRLKHRPCFLAFIYTAIVAILKSYPSVGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRTVLVVESVSSMIKHDRKLRLLVTA >ONIVA02G16410.1 pep chromosome:AWHD00000000:2:13419571:13420239:1 gene:ONIVA02G16410 transcript:ONIVA02G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSLNSEPSIDLDNIVAILSSYSPSPSSILLLSSHQSSQILAIVTTGDWSFVRFLERQFSGGGNRANRTRQKDATPCCLLLFPCAWWCACSLCRCVDSESLVLGGMDPWPWLSALVRRRLAVKNVSNPLRTEDTPLQHAPGLSVSWSRGSC >ONIVA02G16400.1 pep chromosome:AWHD00000000:2:13418340:13419461:1 gene:ONIVA02G16400 transcript:ONIVA02G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPPAPPAIGGDPLAATIPPSLPSPAPSSSSLNLSPSLLIITALLAFVFFASVSIHFLLRCLARPSHPAPSPLPRASAAAQRATTASAVEAGEATAASAVGRSHEGEAAAGGGEEVDDEKERLIASLPLFTMASALAALPKSSPDCAVCLSPFAPEAELRLLPACRHAFHASCVDAWLRTTPSCPLCRATVSLPHPPLPTAAAAAASNAAQQDPLDSRSSNNSRSFRVEIGSISNRRSSAAADDRRTYSLGSFDYRVDEEVEAVVSRIARPAAAKSTTGSVTPAPGEALAEAAGSRGWLREYVDRLASSASSLSGRWSGRWSARWSQSHHSNRQEDSWRWDPEAAVMSAPRGVDDDEPGFVTLYRWIVGV >ONIVA02G16390.1 pep chromosome:AWHD00000000:2:13405394:13409198:1 gene:ONIVA02G16390 transcript:ONIVA02G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALG6, ALG8 glycosyltransferase family [Source:Projected from Arabidopsis thaliana (AT2G44660) TAIR;Acc:AT2G44660] MAAAAATSPARAAAWAFAAATCVKLLLVPTYRSTDFDVHRYWLALTHALPARRWYTDASSQWTLDYPPFFAYFSRLLALPAPLVDVSLVSLPVPDAPPSFAYLLYLRLTVAFSDLLLLLSSVLLLATDALTRRRRRPFLSLALVLWSPALLAVDHVHFQYNGFLMGLLLLSLHFLEQGRDLAGGVVFAALLCSKHLFLVAAPVYFVYLFRHYCCGRGVVRGLGRLVLMGAGVAAVFAAAFVPFLYYGQMQQLFNRLFPFGRGLCHAYWAPNFWVFYILLDKILAFLLRRLGFNIQIPEASFTGGLVGNSSPFAVLPKVTPITTFLLVILAMSPCLMKAFSKPQPRHIIRWVSYATTCGFMFGWHVHEKASLHFTIPLALIAMDSLEDAKHYFVLSIVSCYSLFPLLFENQEYPIKVMLLLTYATLMWVGFSSHFAAKSPQEGKKVNESGSVVRKNSFIGWISFSYLLGIVAIELWSQVFHRYVFGSRFPFLPLIMVSLYSGVGMMYSWMWQLTWIVRH >ONIVA02G16390.2 pep chromosome:AWHD00000000:2:13405394:13409550:1 gene:ONIVA02G16390 transcript:ONIVA02G16390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALG6, ALG8 glycosyltransferase family [Source:Projected from Arabidopsis thaliana (AT2G44660) TAIR;Acc:AT2G44660] MAAAAATSPARAAAWAFAAATCVKLLLVPTYRSTDFDVHRYWLALTHALPARRWYTDASSQWTLDYPPFFAYFSRLLALPAPLVDVSLVSLPVPDAPPSFAYLLYLRLTVAFSDLLLLLSSVLLLATDALTRRRRRPFLSLALVLWSPALLAVDHVHFQYNGFLMGLLLLSLHFLEQGRDLAGGVVFAALLCSKHLFLVAAPVYFVYLFRHYCCGRGVVRGLGRLVLMGAGVAAVFAAAFVPFLYYGQMQQLFNRLFPFGRGLCHAYWAPNFWVFYILLDKILAFLLRRLGFNIQIPEASFTGGLVGNSSPFAVLPKVTPITTFLLVILAMSPCLMKAFSKPQPRHIIRWVSYATTCGFMFGWHVHEKASLHFTIPLALIAMDSLEDAKHYFVLSIVSCYSLFPLLFENQEYPIKVMLLLTYATLMWVGFSSHFAAKSPQEGKKVNESGSVVRKNSFIGWISFSYLLGIVAIELWSQVFHRYVFGSRFPFLPLIMVSLYSGVGMMYSWMWQLTWIVRH >ONIVA02G16380.1 pep chromosome:AWHD00000000:2:13402804:13404231:1 gene:ONIVA02G16380 transcript:ONIVA02G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G5Y6] MSGGSIRSARVCCIVVIVAILCLPVFTDSSVRNNPCANFQPPSDAAALSIYCVDSNGCCNFSCVQSAVDAIPENSLTRSVVWINSGTYIEKVTVNFSKPNVTFQGQGFESTIIVWNNSAKNTGTFYSATVDVFATGFVTNNISFKNASPAPKPGDRDGQAVAIRVSGSIDFIFGNGRSFYEKCILNSVATSDGINGAICAQGREYAADDTGFAFVNCRITGSGLILLGRAWRPYSRVVFAHTDMPGIIVPEGWSDWDDPQRDATMFYGEYMCTGVGANMTGRVPYAKPLTEQQAQIYLDASYVDADGWLKPFNDSLIVN >ONIVA02G16370.1 pep chromosome:AWHD00000000:2:13398640:13398955:-1 gene:ONIVA02G16370 transcript:ONIVA02G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLMRIGGVGNRGRKPCRAVWPADDGDAVWHRSPPWRRHFRLPLSFPNHILRVKTLLPSERAVAAIHVASSLGAPLWRNLFVQGLSMVYALASNFSPRL >ONIVA02G16370.2 pep chromosome:AWHD00000000:2:13398959:13399888:-1 gene:ONIVA02G16370 transcript:ONIVA02G16370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRRATRAAKPRRRLLTLLLSPTSPPPEQPPAKPGDCEDGGGGAPFSLTPGQPVGAPTTPKSESAAPATTAKSGAVRFGSGPLARSRLGRAGRRRCGAWATRRRQSARRRRLRQRRGRRPCEGGGVEVRGSGDGQAGQPATGSIDAGAAPRTWQGGGWRWRWWRMWRRRSWRRRRQTTARAATPALVKNLAATREARRPSIVRRARDAFLQGAGDPHYALHLQVRATPAGLDGWRLATVATGRWWLTVAAGRVDGGARPPSSAAACGGGEGGVRMRARCQELWAVALAEFLKGQCC >ONIVA02G16360.1 pep chromosome:AWHD00000000:2:13397029:13397538:1 gene:ONIVA02G16360 transcript:ONIVA02G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIIATLLAAAIAPGLTVGSPSLINETCTATSNYGYCLGVLSADPAGASATDKRGLAIAAANITMRNVTSTVRVLTELVEELKLCIKYYQDMDDLVASAIDDLRVGRPAVTSFYKLHRASDEPGNCDIMLFEGKRPQEPGELGEHVQRGHFKVNQRHRVPVGTLIQLA >ONIVA02G16350.1 pep chromosome:AWHD00000000:2:13394357:13394683:-1 gene:ONIVA02G16350 transcript:ONIVA02G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLWNLPHARNTMRTTKEHQHRVGQRDARGDDDKWHALTPFLVAASCTAPSVRHGGVPPSVVDSTSDGVREPCVLVRWRQVGTSQRRRDTTSMAMPMLLPPIQATTS >ONIVA02G16340.1 pep chromosome:AWHD00000000:2:13393266:13393586:-1 gene:ONIVA02G16340 transcript:ONIVA02G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGSPAELHDAGVQRPCAGVDREAQACAGVAGGWKARTTRASTGRGGRGGGRRRDGGWRWAVGTKVKLPALNRARAEGRWCSPTLVHGAVHFLSSILSRSSSSTT >ONIVA02G16330.1 pep chromosome:AWHD00000000:2:13392641:13393056:-1 gene:ONIVA02G16330 transcript:ONIVA02G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPLSAADIPMDGLAGRRVPPAKRFNYGTVIANSLLSYPRKSGDAVNEMTSLSNLTEELTLYVPTVPEPFPRGRFGWPFLQLKIFSLGSIVGRASPASFLGTPVAEGRSG >ONIVA02G16320.1 pep chromosome:AWHD00000000:2:13378000:13385334:1 gene:ONIVA02G16320 transcript:ONIVA02G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLLWLHADGHCQCHLSLLLRLVVCLALVLLLPPPPAQAATVVTHLPGFDGPLPFYLETGYVGVEEETGTELFYYFVESERSPHSDVVLLWLSGGPRCSVFSGFVYEIGPVMFVAERYSGGTVPRLEYNPYSWTKLASILFVDSPVGSGFSYAHDPKGYDVGDISSSMQIVKFLRKWLDDHPKYLSNPFYIGGDSYAGKVVPLITQYLSEGIEEMRHPIINLKVGYLVGNPSAGDKIDVNSRVPYSHSFGVISDQLYEAALANCKGDYENPTNKPCTDVMQTINNLMSEVLEPACPFDWPWPMPGRDASNRKSLTEEHYWLGDPPVEPPFSCFAAYRYYLSYFWANDNATRAALGIKEGTVTEWIRCATGLPYTRDLPSSIECHFNVTTRGYRALVYSGDHDPIVPFSGTQAWIRSLNFSIVDDWRAWHLDGQAAGVVAIFLPKIGLRNPSLWQNGGWLVDPSDVPSRTIRHL >ONIVA02G16320.2 pep chromosome:AWHD00000000:2:13379884:13385334:1 gene:ONIVA02G16320 transcript:ONIVA02G16320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGGGLGFGLGFFRFYFFLFAQFIFACGRYKQPHAKIGFSHAVAPAACKKGDFYRRFRPCGWHNRMERWFSAVWKNHICSSVVCLALVLLLPPPPAQAATVVTHLPGFDGPLPFYLETGYVGVEEETGTELFYYFVESERSPHSDVVLLWLSGGPRCSVFSGFVYEIGPVMFVAERYSGGTVPRLEYNPYSWTKLASILFVDSPVGSGFSYAHDPKGYDVGDISSSMQIVKFLRKWLDDHPKYLSNPFYIGGDSYAGKVVPLITQYLSEGIEEMRHPIINLKVGYLVGNPSAGDKIDVNSRVPYSHSFGVISDQLYEAALANCKGDYENPTNKPCTDVMQTINNLMSEVLEPACPFDWPWPMPGRDASNRKSLTEEHYWLGDPPVEPPFSCFAAYRYYLSYFWANDNATRAALGIKEGTVTEWIRCATGLPYTRDLPSSIECHFNVTTRGYRALVYSGDHDPIVPFSGTQAWIRSLNFSIVDDWRAWHLDGQAAGVVAIFLPKIGLRNPSLWQNGGWLVDPSDVPSRTIRHL >ONIVA02G16310.1 pep chromosome:AWHD00000000:2:13367833:13368883:-1 gene:ONIVA02G16310 transcript:ONIVA02G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERRGVDGGAVLPDLDGGAVLPDPGVSVSGRRVRLASPRRRDQHPSEYATVVVLSTSKR >ONIVA02G16300.1 pep chromosome:AWHD00000000:2:13358091:13358525:1 gene:ONIVA02G16300 transcript:ONIVA02G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEWRVLCWCCRLPALAPSVSATPFSLTCGDWRRALLPCRDVNGIAIRCKQASAPGRARLVRADTRGAAGLGLDERWVQQMLSRITDGWMDPGGSCDGSNE >ONIVA02G16290.1 pep chromosome:AWHD00000000:2:13348687:13350033:-1 gene:ONIVA02G16290 transcript:ONIVA02G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSSLLFHDRGEPERTKEMDLPRPQMFAGVSPEVYFPSGGASGINRRNKRSREAIAMAPPPAKEELVNLFTLQPQQSTSFVNMAQLHNRVSASPSRAPAALVSTGLRLALDEQQQQQQQQESKRLKALCYSSSPMPFVSFSDELAGQMKRQDEELDRFIKEQGEQLRRAMADRVRRHNRALLVAAERSAARRLREKALEAEREARRGAELEERLARLRSEAAAWQAKALSEQAAAVSLHAQLQQAAAAARASGDELRGGEAGPAESSSSAYVDPRRSGSDRACLTCRLRPATVVLLPCRHLSLCGDCFAAGDVDVAMACPVCHCVRTGGVEAILC >ONIVA02G16280.1 pep chromosome:AWHD00000000:2:13341917:13342387:-1 gene:ONIVA02G16280 transcript:ONIVA02G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSSSFFDIEPLDGGEACLSGHAMDACSLCRKPLTRNCDIFMYRGNTPFCSEECRDHQMEMDEAAVRVSATNARERAARNEQRHRLDAGSVAVAANVPVLS >ONIVA02G16270.1 pep chromosome:AWHD00000000:2:13334189:13334832:-1 gene:ONIVA02G16270 transcript:ONIVA02G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTDGLLPEVWRRDKIQTFREELGFWRDVIWTLVDKGAESGLCPEEDREKRSAGDGGLVLGGGGGRRKEKGSGAGGEREAGARVGDGAVTGCRPVRRQPGDGPAASGKEEGRGTSGAAGGDTAALGAWRRGLAGDRSRWRGLR >ONIVA02G16260.1 pep chromosome:AWHD00000000:2:13333373:13334175:-1 gene:ONIVA02G16260 transcript:ONIVA02G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAATTEVVGAAPVGRGRWLARRGGDRGGADQRRRRWRGGARGGGHARRRRSTRRGGVPVPVKTATATTSATGLEVAPALEGGTRAFGLAGRGDGTRAGPIWPCVVAAETGRGGEADELLRRAAPRQWRRWVLAQERSRGGDDWRGRMVGDSGHGHGFAWLRMASRRRIDTVVEG >ONIVA02G16250.1 pep chromosome:AWHD00000000:2:13333088:13333273:1 gene:ONIVA02G16250 transcript:ONIVA02G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGAHIIAVHPIRRRHVLRGSLVTDGHFAASQDVARVSVATPRPRLASSLSIGRRRASA >ONIVA02G16240.1 pep chromosome:AWHD00000000:2:13314335:13317630:-1 gene:ONIVA02G16240 transcript:ONIVA02G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAEAIRGHNRGPPNASLPALTWSLCRCRCPYWPPIARVSTCVEVRPPTGSVQSRVKSSAKLEEEMLANIPKFRARPFNKKEFHLKTMVRATRHADTCSRKLLQWGLSGVKSSTPYRLCHGRCAVVGALTGLRLHVPPTGSVQSRVKSSAELEEEMLANIPKFRARPFNKKSYATCRNMFSEASSVGTVRVSSLNLVVLNVRMSILINLLKIKIFALLKVKSILKSESSKPLTLAKSKPPNFRQHCELGHQGFPFATCIIQKTSHFTLLIIFDYSNIIFVQGEKFS >ONIVA02G16230.1 pep chromosome:AWHD00000000:2:13301398:13302154:1 gene:ONIVA02G16230 transcript:ONIVA02G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFAWSQTPTSNLSLFAPHASTRTVVGASLLPRPPQACRTLTVHQVLSASKELTWTQMATLKPREILRRKARHS >ONIVA02G16220.1 pep chromosome:AWHD00000000:2:13293625:13298335:-1 gene:ONIVA02G16220 transcript:ONIVA02G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit delta [Source:UniProtKB/TrEMBL;Acc:A0A0E0G5W8] MATAVAASPAQSRKTETYTDTKRRDDVRGLNIAAARAVADAVRTSLGPRGMDKMISSGEQEVIITNDGATILSRMSLLQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLSAGAHPTAAADALHRLATRAVEVLHAMAIPIELSDRDSLVKSASTALNSKVVSQYSSLLSPLAVDAALSVVDPAHPDLLDLRDIRVVKKLGGTVDDTELIRGLIFDKKASHAAGGPTRVENAKIAVIQFQVSPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFREDKLGYADLVEEVSVGESKIVKIMGIKDMGRTATVLVRGSNQLVIDEAERSLHDALCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELQGMESYCIKEFAEALENAGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVR >ONIVA02G16220.2 pep chromosome:AWHD00000000:2:13293625:13298335:-1 gene:ONIVA02G16220 transcript:ONIVA02G16220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit delta [Source:UniProtKB/TrEMBL;Acc:A0A0E0G5W8] MATAVAASPAQSRKTETYTDTKRRDDVRGLNIAAARAVADAVRTSLGPRGMDKMISSGEQEVIITNDGATILSRMSLLQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLSAGAHPTAAADALHRLATRAVEVLHAMAIPIELSDRDSLVKSASTALNSKVVSQYSSLLSPLAVDAALSVVDPAHPDLLDLRDIRVVKKLGGTVDDTELIRGLIFDKKASHAAGGPTRVENAKIAVIQFQVSPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFREDKLGYADLVEEVSVGESKIVKIMGIKDMGRTATVLVRGSNQLVIDEAERSLHDALCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELQGMESYCIKEFAEALEVIPYTLAENAGLNPISIVTELRNRHAKGEKNAGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVR >ONIVA02G16210.1 pep chromosome:AWHD00000000:2:13288372:13288677:1 gene:ONIVA02G16210 transcript:ONIVA02G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWNGMAGWCRCVSKVQWLSREGLMAIDRVWVDGFGQNVFADGRRSRTHVLVMLCGTRGWAGGCGLVQGGKGARLSRERMVEPGLGQIEVRGTRSLLCKT >ONIVA02G16200.1 pep chromosome:AWHD00000000:2:13256510:13257181:-1 gene:ONIVA02G16200 transcript:ONIVA02G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSEKKQHHRPHLSPPPVHAATVNNSDVPDLTAAAADKRGAIVFHSCIVVHTLKIDDHYQTKAVVSAPLQGRRPAESSPQPRLPQVQRPQPPRRHHSTAPSSSSCQFQAAAPSGPPSSLPSPTASSSTSSPPVDKIISRRIQVASMHHGQAIRRGHIITKQQACNMVNERRPHHHHTTRPCKQLCPHPATTMKTQETAQHAKGLAFNLQANQPSPESCLPA >ONIVA02G16190.1 pep chromosome:AWHD00000000:2:13254288:13255515:-1 gene:ONIVA02G16190 transcript:ONIVA02G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAGGFVLHPAGTLRRLRHGLGGFTTLTAWALRRTLRASSFTWLACFADLATGSRASTPSPPGRYPARRESYASTRGFTSLFTRQARFADLATGLLRPRATPHVRGSTYTRPKRLRSGRDYYARGLHRMLWAPIAPGRHDSALGKTTAPRGFAARWSIATGTRALPPSPPGRYAARRGSSSFTRLARLADLAMSSGSTPHARGSAFTWPARLRPRCYCYARGYAARRGLRLHPADTSRPWPRLLRPGATPHARGSAFTWPAPHRPGHYCYARRLHLTAGALPSASRHDLAMGTTTTPGSCTTCWGLRLHPVGTTPPQAGLLRRRATPYAGGSTCTRPARLRPWRDYYARGLRRMLGAPPSPRG >ONIVA02G16180.1 pep chromosome:AWHD00000000:2:13227074:13229246:-1 gene:ONIVA02G16180 transcript:ONIVA02G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nodulin MtN21 /EamA-like transporter family protein [Source:Projected from Arabidopsis thaliana (AT3G18200) TAIR;Acc:AT3G18200] MESAPEKLKLLLGVLALQCCLAGFHIVSRAALNMGISKIVFTVYRNCIALALLIPFAYFLEKKNRPPLTFSLLAQLFFLAFCGITCNQGFYLLGLHYLSPTYASAIQNTVPAITFALAASLRLEQVNINKRYGMAKVIGTVTPVLKKYPARLSMLALTLAFGLVQFLAIAAFWENDIGKWRLHSGEELFTILYAGLVASGVALSLQIWCIDRGGALFTAIFQPVQTVMVAIMAAVILGDLLYTGGIIGAVLIVIGLYLVLWGKNEEKKSNSNQPDLSRHLLSEESSRPTTVTSDVP >ONIVA02G16170.1 pep chromosome:AWHD00000000:2:13217240:13220556:1 gene:ONIVA02G16170 transcript:ONIVA02G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNRNLTRGDGRRLGNAALIAFMLGSLLVLSLVRARFSPIGKPGEAIKTEEQEAMRKGSVKMETLEAADEAAASAAAAEEEEETQPKAGDTTAGGGGGGASSAAVAAASTTATDHLTKPVCYESSRRSDTCEATGDVRVHGRSQTIHISPLEQEWKVKPYCRKHDAFALSHVKEWALRPLSTADTYPAVPHCTVNSSATAFVLSTGGFTGNPFHDYTDVLIPAFITAHHFAGEVQFLVSSFKSWWTNRYMQIFQRLSKYELVDIDNDDEVLCYRSVVVGPTFHKELGVDASRTPSGYSMVDFRTMLRGALGLERAVAEPSGDRWDIRRRPRLLIISRRNSRAFLNERAMADMAMSLGFDVRLGEPDISTDVSKFARLVNSADVMVGVHGAGLTNMVFLPAGAVLIQVVPYGGLEWLARGTFKEPAADMQIHYLEYMIQLDETTLSEQYPKDDPVLKDPYSIHKQGWNALKMVYLDKQNVRPHLGRLKNTFMEALKLLPHGHTN >ONIVA02G16160.1 pep chromosome:AWHD00000000:2:13192173:13195676:-1 gene:ONIVA02G16160 transcript:ONIVA02G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMQLNVTSLPPGLGSGVLLHHSSLRRHCPCLHPPPPDWNCACPFADINNASAGDSPKKRNNIGVFTPTSLTKSKLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSAPLGDITNVSVDDLRRCHQGSNHALLQPVDRTGPGSDQQHMITPRRLPFTVINNLIMIMWITLDPLSAAYFKVQHKTAIHYLQIQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKIKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >ONIVA02G16160.2 pep chromosome:AWHD00000000:2:13192173:13195676:-1 gene:ONIVA02G16160 transcript:ONIVA02G16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMQLNVTSLPPGLGSGVLLHHSSLRRHCPCLHPPPPDWNCACPFADINNASAGDSPKKRNNIGVFTPTSLTKSKLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSAPLGDITNVSVDDLRRCHQGSNHALLQPVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTVQSSRPHFISLSFKQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKIKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >ONIVA02G16160.3 pep chromosome:AWHD00000000:2:13192173:13195676:-1 gene:ONIVA02G16160 transcript:ONIVA02G16160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMQLNVTSLPPGLGSGVLLHHSSLRRHCPCLHPPPPDWNCACPFADINNASAGDSPKKRNNIGVFTPTSLTKSKLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSGLTQTTTNYVKAPLGDITNVSVDDLRRCHQGSNHALLQPVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTVQSSRPHFISLSFKQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKIKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >ONIVA02G16160.4 pep chromosome:AWHD00000000:2:13192238:13195676:-1 gene:ONIVA02G16160 transcript:ONIVA02G16160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMQLNVTSLPPGLGSGVLLHHSSLRRHCPCLHPPPPDWNCACPFADINNASAGDSPKKRNNIGVFTPTSLTKSKLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSAPLGDITNVSVDDLRRCHQGSNHALLQPVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTVQSSRPHFISLSFKQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKIKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAVRSLRFDPIWSCFIYYLDS >ONIVA02G16150.1 pep chromosome:AWHD00000000:2:13167804:13171021:1 gene:ONIVA02G16150 transcript:ONIVA02G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVERAKLVRSLRQESRRLRLLVLVIGFFLVTLTFVVISKPDALLFNLNGRLSVDHAPRSLLIRQRIHADSRRSADTFPAAEDPKVVDEDEGAEDATAKGTSEEEKRLLSSEPEQGKNEEAATASEVLGGGGEEDNKNGEEEGHTQHSKVTLPTVSNYTIRDAEDTDNGKQEDGKPNEKYEFEMDADKGDNVEPETDNEEWNKKPLCDFSNFRANVCEMRGNIRIHPNASSVMYMEPASSKREEIWKVKPYPRKGDELCLGHITEITVKSSKVAPECSKYHNVPAVVFALTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAIWWTRKYKVVFDKLSKYPLIDFNNDDQVHCFKHAIVGLHAYMEFTIDSSKAPHNYSMVDFNRFMRRTYSLPRDFVTALGEIPKAKPRLLIISRQRTRMFLNLNEIVAMAEEIGYEVVVEEANVSSDLSHFGKVVNSVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLDWISRIDFGNPAEQMGLRYKQYSIGVHESSLTDQYPLDHEIFTNPLSFHKHGFEFIRQTFMDKQNVKLDCNRFKPVLLEVLDQLNQ >ONIVA02G16140.1 pep chromosome:AWHD00000000:2:13157256:13157588:1 gene:ONIVA02G16140 transcript:ONIVA02G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESIAHGVLVVTWPHFSDQFLNERFAVDVLGVGVMTPVLLFGDEAMAVIRGDVAWVVIQLMDGGERRRKAKEYGEKACRAMEKGGSSYESLTQLIHSFTLQGAKNAVEQ >ONIVA02G16130.1 pep chromosome:AWHD00000000:2:13135018:13138191:1 gene:ONIVA02G16130 transcript:ONIVA02G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAYSRPSKLPGGGNGSDRRLPPRLMRGLTTKIEPKKLGVGLLAGCCLALLTYVSLAKLFAIYSPVFASTANTSALMQNSPPSSPETGPIPPQETAAGAGNNDSTVDPVDLPEDKSLVEAQPQEPGFPSAESQEPGLPAALSRKEDDAERAAAAAASEIKQSEKKNGVAAGGDTKIKCDENGVDEGFPYARPSVCELYGDVRVSPKQKTIYVVNPSGAGGFDENGEKRLRPYARKDDFLLPGVVEVTIKSVPSEAAAPKCTKQHAVPAVVFSVAGYTDNFFHDMTDAMIPLFLTTAHLKGEVQILITNYKPWWVQKYTPLLRKLSNYDVINFDEDAGVHCFPQGYLGLYRDRDLIISPHPTRNPRNYTMVDYNRFLRDALELRRDRPSVLGEEPGMRPRMLIISRAGTRKLLNLEEVAAAATELGFNVTVAEAGADVPAFAALVNSADVLLAVHGAGLTNQIFLPAEAVVVQIVPWGNMDWMATNFYGQPARDMQLRYVEYYVGEEETSLKHNYSRDHMVFKDPKALHAQGWQTLAATIMKQDVEVNLTRFRPILLQALDRLQQ >ONIVA02G16120.1 pep chromosome:AWHD00000000:2:13129811:13131666:-1 gene:ONIVA02G16120 transcript:ONIVA02G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPHKSPPPPPPPRSSPPRHLRPPNRPRRRSSGLPRSRRRPQLPPEPSSSPSAAAGADLGPICRRRRRWGWSISPLSQQ >ONIVA02G16120.2 pep chromosome:AWHD00000000:2:13130355:13131666:-1 gene:ONIVA02G16120 transcript:ONIVA02G16120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPHKSPPPPPPPRSSPPRHLRPPNRPRRRSSGLPRSRRRPQLPPEPSSSPSAAAGADLGPICRRRRRWGWSISPLSQQ >ONIVA02G16110.1 pep chromosome:AWHD00000000:2:13117286:13128393:1 gene:ONIVA02G16110 transcript:ONIVA02G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/Swiss-Prot;Acc:Q94BP7] MATDREKEREAELESAMYTNCLLLGLDLAVLGSPSSPAAGPRVGLFRHSNPRLAPLLPPLFAPRARADFDKVWPIFDSAQSREFRKIVQGIISELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASSPLPAALTDVSYLHAAALLPARIALERRKFLKNASIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKSKLEGELWDERISSSSGQNSHLVSKATRLWETILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDLSSSVPHSELLSARASEASPFLDKQEQMSALFQGKEEALSRLDDRNGRAQQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGDGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIADLSEEVNSVSSNPMDKIISRLSPSVQNSGFGRAEESSSELSEMTSKLSSTHLDKAGSSPALKLPPLFSLTPSSSGKGTQAQKRNALGRQPSQEILSEEKTLPLPSTKDETNGSIHENEGYFAHNIRRSVREAALSKPLRNPERPHDQSSDDGSEHFFIPLSTGASKMEIEAVDNNRRMQKLGFSSPQMKFSDLHLNVDSPMHATPVLSSKLNGHDDPNTVTSMLDPVSGLGHQSFITDDALDQVFSPPLLLETSLFQDAYEDLLAPLSETDTALMEH >ONIVA02G16110.2 pep chromosome:AWHD00000000:2:13117286:13126895:1 gene:ONIVA02G16110 transcript:ONIVA02G16110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/Swiss-Prot;Acc:Q94BP7] MATDREKEREAELESAMYTNCLLLGLDLAVLGSPSSPAAGPRVGLFRHSNPRLAPLLPPLFAPRARADFDKVWPIFDSAQSREFRKIVQGIISELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASSPLPAALTDVSYLHAAALLPARIALERRKFLKNASIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKSKLEGELWDERISSSSGQNSHLVSKATRLWETILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDLSSSVPHSELLSARASEASPFLDKQEQMSALFQGKEEALSRLDDRNGRAQQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGDGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIADLSEEVNSVSSNPMDKIISRLSPSVQNSGFGRAEESSSELSEMTSKLSSTHLDKAGSSPALKLPPLFSLTPSSSGKGTQAQKRNALGRQPSQEILSEEKTLPLPSTKDETNGSIHENEGYFAHNIRRSVREAALSKPLRNPERPHDQSSDDGSEHFFIPLSTGASKMEIEAVDNNRRMQKLGFSSPQMKFSDLHLNVDSPMHATPVLSSKLNGHDDPNTVTSMLDPVSGLGHQSFITDDALDQVFSPPLLLETSLFQDAYEDLLGKSSQTHCHA >ONIVA02G16100.1 pep chromosome:AWHD00000000:2:13102982:13104175:1 gene:ONIVA02G16100 transcript:ONIVA02G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRQSLLVIPTKRGGSWWNHYGPILPTGFKQVKFTSLQISDDVVTKVIIMHIFSLMLIIRL >ONIVA02G16090.1 pep chromosome:AWHD00000000:2:13068038:13081792:1 gene:ONIVA02G16090 transcript:ONIVA02G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCASAPGRDINLSTELKDYIKDIAQFLHPSNKFYVTTINPTFMKQDKVHFSKQFSMTYIALIVRKKTSQIEVRIPGHSSTTMVLHHSTEKRFNLKSGWTHFPTSNGIQVGTICIFHFHQTNQLQATIDVL >ONIVA02G16080.1 pep chromosome:AWHD00000000:2:12960614:12962862:-1 gene:ONIVA02G16080 transcript:ONIVA02G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELSAGSLYLVVLGTLALALAFKRVLRGKETGVKLPPGPWNLPIIGSLHHLVGAHLPHRALLRVSRRQGPLMLLRLGEVPAVVVSSPEAAMEFLRTRDPPAAGRATLDIVSFGGKGIVMAPYGEHWRQVRKVCVVELLSTRQAGGEEVSRLVEAIATTSPATASIGMTQTLAALNNDIIARAVPTAGLRYLRVLKVVATLAGSFNMVDLFPSSRLVRWLSCVERRLREHHAQTVRIVDSIIQDRKENEASASPGASAEDDDNDDLLDVLLRLQREDNLTFPITAEIIGALISDIFGAATDTTGSTLEWAMAELMRNPRTMEKAKQEVQNALGQGRAMVTGADIGDLHYLQMVIKETLPRDPRYWKDADEFMPERFEKNGDDIKATTVHMGFIPFGAGRRQCPGALFATTTIQLTPVNLLYHFDWTLINGESPESLDMGEVLGISIHRRSDLRLHAALSVSSGFLRHSDRDS >ONIVA02G16070.1 pep chromosome:AWHD00000000:2:12944506:12946542:1 gene:ONIVA02G16070 transcript:ONIVA02G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMMDFTQDENGSVIKSWMEISFTYFPGQSLINNFFPTCFTIK >ONIVA02G16050.1 pep chromosome:AWHD00000000:2:12927001:12939872:1 gene:ONIVA02G16050 transcript:ONIVA02G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANTVTTLPLLPSPHAFAVPAMGSLPFLRRRMRSRRLAAVQQDAAVWTPAPVSSFGPATADGSLVHFSVDLSDATDLAASYTTPGQYLLIRVPGEDELKPAFMAIASPPGGAAFEFLVKTVPGTTAEKLCGLRDGDVLELGAIMGNGFPISRINPPDEAQTVLLFATGTGISPVRSLIEFGFAADQRADVRLYYGARNLQTMAYQDRFTNWESTGLKIIPVLSRADDSWKGERGYVQDAFLKAQNIANHFSTGAVLCGQKQMSEEITSALVADGVSPDKILTNY >ONIVA02G16050.2 pep chromosome:AWHD00000000:2:12927001:12933077:1 gene:ONIVA02G16050 transcript:ONIVA02G16050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANTVTTLPLLPSPHAFAVPAMGSLPFLRRRMRSRRLAAVQQDAAVWTPAPVSSFGPATADGSLVHFSVDLSDATDLAASYTTPGQYLLIRVPGEDELKPAFMAIASPPGGAAFEFLVKTVPGTTAEKLCGLRDGDVLELGAIMGNGFPISRINPPDEAQTVLLFATGTGISPVRSLIEFGFAADQRADVRLYYGARNLQTMAYQDRFTNWESTGLKIIPVLSRADDSWKGERGYVQDAFLKAQNIANHFSTGAGLAMEWGGCGLDKNNPSPRVKSGAKSVPGHSEDGSGR >ONIVA02G16040.1 pep chromosome:AWHD00000000:2:12877547:12877813:1 gene:ONIVA02G16040 transcript:ONIVA02G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSPGGLGGADQRGRWRVPLEQCWRPRFGEDDTAGVEAVAKLSQDGVESAKDGVGDELETRRMAPAMVLGGPPGRMASATALGGLTG >ONIVA02G16030.1 pep chromosome:AWHD00000000:2:12869393:12873229:-1 gene:ONIVA02G16030 transcript:ONIVA02G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAMKQGIWRRRIGVPFAAVLVAAVLAVVVFSGQFAKGPNDLERTVSSKLEGEDTEQIRLEDGQSPNKEAAIEEQKPSQAAAIDQDDNTLNPGLKQASGDERSAGGSDSLSKESPPQSQEGDGGTAESGAEPYIKCTAQSDIKICDLSNPRFDICELCGDARTIGQSSTVVYVPQNRASNGEEWIIRAQSRKHLPWIKKVTIKSVNSSEPEPICTSKHHIPAIVFALGGLTANVWHDFSDVLVPLFLTARQFNRDVQLIITNNQPWFIKKYSAIFSRLTRHEIIDFDSDGQIRCYPHVIVGLRSHRDLGIDPSSSPQNYTMVDFRLFVREAYGLPAAEVDIPYKADKDDPDKKPRIMLIDRGKSRRFVNVAHVVQGLDWFGFEVVKADPKIDSNLDEFVRLVDSCDAIMGVHGAGLTNMVFLRSGGVVVHIVPYGIKFMADGFYGAPARDMGLRHVEYSISPEESTLLEKYGWNHTVINDPETIRKGGWEKVAEFYMSKQDIVLNMTRFGPSLLNAIEFIM >ONIVA02G16020.1 pep chromosome:AWHD00000000:2:12836537:12847504:1 gene:ONIVA02G16020 transcript:ONIVA02G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKNVQQQQQHRVDVVVPVPAMVVAAMASPCPANKLLRGAIQLAFGFALGIAVAIYLIGSATPAAVPGGSSLELFFPLPPPAAASTANLSAVRQKQPPTPSPEAEKTTTAIKSQSWPADDASGGNSTADQAGGGFVDISDEELMKLAAAAPREVRTGGGGGPRPKVAFLFLTRWDLPMAPLWEKFFEGHRGLYSVYVHTDPAFNGSDPGEASVFYRRTIPSKEVKWGEISMVEAERRLLAHALLDQANARFILLSESHVPLFDFPTVYSYLINSTTKIYLESYDLPGVTGRGRYKRSMSPVVTASQWRKGSQWFEVDRGLAADVITDDVYFPVFARHCSRNCYADEHYLPTFLGIRHPSRVTNRSVTWVDWSHGGPHPARFTRMEVTPDFLRWLRAGAGTTCDYNGATTTVCFLFARKFLPNSLTRFLRFAPKVMGFG >ONIVA02G16010.1 pep chromosome:AWHD00000000:2:12825939:12830055:-1 gene:ONIVA02G16010 transcript:ONIVA02G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTGVISPTLGFNIKTIKYHKYSLNIWDIGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPSEIAKVLNLEAMDGSRHWQIVGCSAHTGEGLLQGFDWLVQDIASRIYVLE >ONIVA02G16010.2 pep chromosome:AWHD00000000:2:12827804:12830055:-1 gene:ONIVA02G16010 transcript:ONIVA02G16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTGVISPTLGFNIKTIKYHKYSLNIWDIGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPSEIAKRREGAWHSAGSRAS >ONIVA02G16010.3 pep chromosome:AWHD00000000:2:12830056:12831585:-1 gene:ONIVA02G16010 transcript:ONIVA02G16010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIWFWGFLSRAPAPGGQVAHVGPAHSPLALSLLFPSVFSLSLYLFPISHRCFQSEGEERRRQAAPLQRWLRRARSSFLQRVLSSSLRFFLHRPHRAAAPASPAPKGINVPARPRPAGLLPFASLCSRYDHRPTARGRPHGAASPPALVRHPPPVRRLLGPLSKPDPPLPPPQRHLPPPHPTPSLFLPSRPLPLTSPSPRSRSFRLSLPDFPLSVANRGAEPLPSFALPSAQSTERQRLRHCLLPIARGAAAVAAAAAAKSPVSAS >ONIVA02G16010.4 pep chromosome:AWHD00000000:2:12830761:12831585:-1 gene:ONIVA02G16010 transcript:ONIVA02G16010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIWFWGFLSRAPAPGGQVAHVGPAHSPLALSLLFPSVFSLSLYLFPISHRCFQSEGEERRRQAAPLQRWLRRARSSFLQRVLSSSLRFFLHRPHRAAAPASPAPKGINVPARPRPAGLLPFASLCSRYDHRPTARGRPHGAASPPALVRHPPPVRRLLGPLSKPDPPLPPPQRHLPPPHPTPSLFLPSRPLPLTSPSPRSRSFRLSLPDFPLSVANRGAEPLPSFALPSAQSTERQRVEEPPRQGTTATARQTPRRHRPLAPGSHDP >ONIVA02G16000.1 pep chromosome:AWHD00000000:2:12820373:12823332:1 gene:ONIVA02G16000 transcript:ONIVA02G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLVGLVKVRVVRGVNLAVRDLRSSDPYVIVRMGKQKLKTRVIKKTTNPEWNDELTLSIEDPAVPVRLEVYDKDTFIDDAMGNAELDIRPLVEVVKMKIEGVADNTVVKKVVPNRQNCLAEESTIYISEGKVKQDVVLRLRDVECGEIELQLQWVDIPGSKGV >ONIVA02G15990.1 pep chromosome:AWHD00000000:2:12817267:12818579:1 gene:ONIVA02G15990 transcript:ONIVA02G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIIIRIPGDLTIVTIVGFDRFVVECFSCFDVGALRFALALERKKKQAYHIFTSQVTIKHVMERIQELTCVDVDGIEAEASIAPPTENDRTGGVGATSNDECTTMTGDDHEGPLKGALEAAVLAEVCEITGNSGGGGGEGGGGGGGGGGGGGGGIESMAVAARVQAATTTNLFQSSFTPRSSSF >ONIVA02G15980.1 pep chromosome:AWHD00000000:2:12811224:12811505:-1 gene:ONIVA02G15980 transcript:ONIVA02G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTVIPRPQADAEGRYEANPNGASIVGNPPPMTKEVNVLVDVSLEKKTIAGTTRYKKNLLLFQLMTSSTNSELQP >ONIVA02G15970.1 pep chromosome:AWHD00000000:2:12803731:12811851:1 gene:ONIVA02G15970 transcript:ONIVA02G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLASSSFLCLLLLLAGRAYDCCTKQLAPSAVKLQAGTYLMAGVIKWKMMSCEQSGRRSAEIARECLSLTPNRYKCHSYQHIGYLGDSAEGFICRPLKSKIRSKVGLHVAAKVHNKDDEGSCSSRISDEDNGTLSNASRKMEVNHLGALRCYFSKLNTEDAQKPYSFYQTNKQRTGPLSTNIEEANMATDYGDFRNTLESFEINFNRRKKGTKGYLNTAVEDYTNYLIFDEKNFLDMQQDDQTSSFCLTNLLAAINIAVMLFEIASPHSGFLHIALGCWVLLIFGPRVSRAYGQTTFLLMYILGGVCGNLTSYLHTSELTVCGTGPVFALIGAWLVYQSQNKDAIDKNVSETMFSQAVVATTLSFLLSSFGRIDNWTHLGATICGLLFGYLTCPSVQVDNAAKKGQKAVVLVRRQASPWKSIAIFVISIIVLALFAFAYGTQA >ONIVA02G15960.1 pep chromosome:AWHD00000000:2:12792100:12797208:1 gene:ONIVA02G15960 transcript:ONIVA02G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPSSGAGAGAGAGSDPTPRVAMACVLASEVATVLAIMRRNVRWAGVRYGGDDGADDEHLDHPLIAGLKSLRRRAASWDTRQWRDVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVGLDAPNVAEAMGAVVEAVTGCRFEVTDPASEETVLARVLQVLLACVRGRAAPALANRHVCNIVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRCVFARLPDVDATVVADGQTACSKNQGLSDGEIGNGKSDFVCLNSSGDEVGGGFGVVQDQAMSELFGVPCMVEILQFLCSLLNIAEDIEVNPRINPIDFDEDVPLFALGLISSAIELSASSINKHPKLLAFVQDELFRNLMQFGLSMSPLILSTVCSIVFTLFYHLRQELKLQLEAFFSCVIIRLGQSRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQSSNIFEDLANLLSKSAFPVKSPLSTLNVLALDGLVLVIQAIAERTDNAPQHHEQTVPEISEYFPFWQLKCENTNDPDQWVRFVHQQKSIKRKLMVGVEHFNRDKKKGFEYLQGAHLLPERLDPRSVALFFRYTPGLDKNLLGDYLGNHDEFSILVLHEFAKTFDFKEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNIRVKKKMTEEDFIKNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWKSKRTSAYIACDSFPFLDHDMFTIMAGPTVAAISVVFDNVEHEEFLTGCINGFLSVAKLAAFYHLDDVLNDLVVALCKFTTLLNTSYINDPVTTFGEDTKARMATEAVFTIATTHGDHIRSGWRNIVDCILRLHKISLLPGCLTGDTADDQESSSDMLPSKLASSRAAPQVVPISTPKKSYGLMGRFSQLLYLDAEESRFQPTEEQLAAQRNASETIKKCQIGTIFTESKFLQADSLLNLARALTQAAGRPQRITSSLDDESTSVFCLELLITVTLNNRDRIVLLWQGVFEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITQEVTRLVKGNATHIKSQMGWRTIISLLCITARHPDASDVGFEALVFIMSEGAHLSPANFVLSVEASRQFAESRLGSAERSIHALNLMAESVNCLTRWSREVKEAGGEADRILEGIAEMWLRLVQALRKVCTDQREEVRNHALLSLHRCLVVDGISVPSSAWLMSFDIIFQLLDELLEIAQNYSPKDFRNMEVSLLHAVKLLCKVFLQSLNDISSQSSFSKLWLEVLDMIEKLMKVKVRGRRTEKLQEVIPELLKNILLVLKANRVLSKTSTSEENSLWEATWLQVNKIAPSLQPEVFPDSEGDVATQSAKNKSDSPAQSEGVNV >ONIVA02G15950.1 pep chromosome:AWHD00000000:2:12785118:12785471:-1 gene:ONIVA02G15950 transcript:ONIVA02G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDIILTMMLAPTTDVRGEGDSTMKTEWRRIDSDLQGGGSEQNQNMREMRRGRRYGAAERGDVRGPSAEGGDADGDAGMVWRRRGRRSMGTGWRRSDDDLRGKRAVSDGDLRGGGG >ONIVA02G15940.1 pep chromosome:AWHD00000000:2:12778901:12789629:1 gene:ONIVA02G15940 transcript:ONIVA02G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLLSPPTAAAAGPAPAPAPAPVAVSLPPPSRLRASHLLFAFPRLRKYGRRDREPVATSLGELEEEDEDEEEEEEEEEDDEEVEVEVEVDEDEFLKNRPKPVGFGAGKTYSTDIEEQLLREMGLGGRRRSSGSGPTPAKNRAAANSAKGTGEDLNDGGVCVRVWNLPKKKNIHKDLNLAFKGFPGLVNIEPAVSANKKTRDPICKGFAYLKLESVEAATSSAVCVYAFYVKEFWTSVYSLKLVFGWFVELYSQKAVSFGKVQKPIRCCVVDSQSSVDSQNQPSSGQAIRQPGLMAKNLVAAS >ONIVA02G15930.1 pep chromosome:AWHD00000000:2:12772183:12778752:-1 gene:ONIVA02G15930 transcript:ONIVA02G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLLRLHPCPLLFSPPPPHPHLRRQLAVYSIPKSSFRSAAAAARARNPPRLASVGGAERRRVGDDYDEEEEDLGQALERTRQLVECAMFASVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLEAGRKTAVATVLLLFTLSGPVKASTYLATHAWSSRSGHGYYVEVRNAKVGDQLDCFHHTLLNYPGSRGLWITVNIHASLTYILAAAGVNTIPSMDAIYVLFGTLLLLNCGFFIFLLHIMYTIFLTKLGIKPSLRPPRWLDKAI >ONIVA02G15930.2 pep chromosome:AWHD00000000:2:12772183:12778752:-1 gene:ONIVA02G15930 transcript:ONIVA02G15930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLLRLHPCPLLFSPPPPHPHLRRQLAVYSIPKSSFRSAAAAARARNPPRLASVGGAERRRVGDDYDEEEEDLGQALERTRQLVECAMFASVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLEAGRKTALMHGVVGLAMGTMWRLETNWIVSIILCSITRALGACGYVLLSSFLIRENILGLITVNIHASLTYILAAAGVNTIPSMDAIYVLFGTLLLLNCGFFIFLLHIMYTIFLTKLGIKPSLRPPRWLDKAI >ONIVA02G15930.3 pep chromosome:AWHD00000000:2:12772183:12778752:-1 gene:ONIVA02G15930 transcript:ONIVA02G15930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLLRLHPCPLLFSPPPPHPHLRRQLAVYSIPKSSFRSAAAAARARNPPRLASVGGAERRRVGDDYDEEEEDLGQALERTRQLVECAMFASVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLEAGRKTALMHGVVGLAMGTMWRLETNWIVSIILCSIITVNIHASLTYILAAAGVNTIPSMDAIYVLFGTLLLLNCGFFIFLLHIMYTIFLTKLGIKPSLRPPRWLDKAI >ONIVA02G15920.1 pep chromosome:AWHD00000000:2:12769050:12769238:-1 gene:ONIVA02G15920 transcript:ONIVA02G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTMSVDGGGRRRLRRWHYFSPRCWTLGSTLTSKEVFTWANSNNQRLLHIGDIDRTSK >ONIVA02G15910.1 pep chromosome:AWHD00000000:2:12750587:12752807:1 gene:ONIVA02G15910 transcript:ONIVA02G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDHADRDGARRRCREYLLALEEERRKIQVFQRELPLCFDLVTQTIEGMRSQMDAAGSEETVSDQGPPPVLEEFIPLKPSLSLSSSEEESTHADAAKSGKKEEAETSERHSSPPPPPPPEAKKVTPDWLQSVQLWSQEEPQQPSSPSPTSTKDLPCKPVALNARKAGGAFQPFEKEKRAELPASSTTAAASSTVVGDSGDKPTDDDTEKHMETDKDNDKDAKDKDKEGQSQPHRKPRRCWAPELHRRFLQALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSSTGQSSAAAGVPAPPAPQFVVVGSIWVPPPEYAAAAAAQQHVQLAAAGNNASGSANPVYAPVAMLPAGLQPHSHRKQHQQQQQGQRHSGSEGRRSGDAGDGSSSSPAVSSSSQTTSA >ONIVA02G15900.1 pep chromosome:AWHD00000000:2:12748482:12748751:1 gene:ONIVA02G15900 transcript:ONIVA02G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGADKDASCGERGRRRHDGGGCTGQRRRRQTDVTNAMDELWRKNTTIVVDQGQRRWPTRARAAAKPDMGCAMDVVAAEADAGNERGG >ONIVA02G15890.1 pep chromosome:AWHD00000000:2:12738659:12740195:1 gene:ONIVA02G15890 transcript:ONIVA02G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLCAAVPSKVISLAEASIWSWHLREARPTVVYSSDLKRAAETAEIIEKACDVSNIVLTEALRERHMGYLQGVFKGFANFEVKNGLDFDGRNQELPLLSYQLRTLNLEDVLLMRVIVVGYGAAILELKYFYTDRGALLYLQVFITVNLI >ONIVA02G15880.1 pep chromosome:AWHD00000000:2:12723967:12732418:-1 gene:ONIVA02G15880 transcript:ONIVA02G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAVASAKTNGAGELRKCRSRRRERIGAEVAGDGDDDEGSGERKDQRHDGVEAGLQIWSFWVGWRERSRSRSFRAIPAEEVGMHVLLSAHPCPSNIMWLKKLGVYDVVTLSESYERLAHGIENLETLRMESKFFKFLGFMWNPLS >ONIVA02G15870.1 pep chromosome:AWHD00000000:2:12722728:12723963:-1 gene:ONIVA02G15870 transcript:ONIVA02G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTIMAIALANGGGKPPNWQDFVGIITLLIINSTISFIENNVVNAAAALMARVAPRAKSFLMSFNFAYSEGGQISEHVAQERWQQQLELCDGEQGRRPASGVG >ONIVA02G15860.1 pep chromosome:AWHD00000000:2:12713350:12718509:1 gene:ONIVA02G15860 transcript:ONIVA02G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory particle triple-A ATPase 3 [Source:Projected from Arabidopsis thaliana (AT5G58290) TAIR;Acc:AT5G58290] MSTAATAPPPAAVLPAAPPPSYPATSAPSASAAAAEDDDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVTYTDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >ONIVA02G15850.1 pep chromosome:AWHD00000000:2:12709427:12710586:1 gene:ONIVA02G15850 transcript:ONIVA02G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNYRHKREDVRRTVQIGSMEMTDYSFTKELRFVPMLCTRTSPSNYYVWEDAMEEFLRGRGLASFIKIHFAKRTFSDRVLRWWLKQQNAYIDRGDKHCRSWTAMKLELRHRYDSQACMFVSTKEITKFPEMTSDPDGK >ONIVA02G15840.1 pep chromosome:AWHD00000000:2:12695825:12697488:1 gene:ONIVA02G15840 transcript:ONIVA02G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRSASPCSPNRSCRAIPTMAASSNKGKEGCNTLAHVVVKEGAYSPSCWRCCAKEHDVHGSYHHLQLLFSSSRHPFVLLVATSRLLTTVRDLEEVKRDKGGRREREE >ONIVA02G15830.1 pep chromosome:AWHD00000000:2:12692522:12695605:1 gene:ONIVA02G15830 transcript:ONIVA02G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLGKAESARGKVAPAGSGGRARMLVTVTVLGSAGPLRFLIDEGETVAGLIRAALRCYAREGRMPLLGADAAGFLLYTANGGSDALSADEKIYFNGCRSFLLWQKAARDTMAKGGRPELANVATCNPCKKRGGGGWKGGLNKFLLSFSFKV >ONIVA02G15820.1 pep chromosome:AWHD00000000:2:12679047:12679952:-1 gene:ONIVA02G15820 transcript:ONIVA02G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAANWKVVVIHVLYHLCKAFKKIHVRLVKELEKKFSGKDVVFDATRRIVRPLKKGSAVHHPRTRTLIAIHDGILEDVVSQLRLLGSISDIA >ONIVA02G15810.1 pep chromosome:AWHD00000000:2:12668322:12668717:1 gene:ONIVA02G15810 transcript:ONIVA02G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLGAAAAVLLLWLAVLTFAFHGYYGGRLGSARRRNILLQHPALALHLPTRKMLLAVASFDDASSPSSLTTTDRHHHHHRHHGHHHHRGHDRWNRKGVPPTAAGPGEEVDPRFGVQKRLVPTGPNPLHH >ONIVA02G15800.1 pep chromosome:AWHD00000000:2:12658888:12665496:1 gene:ONIVA02G15800 transcript:ONIVA02G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKDQGSPNRFGWGYRHPMIWSFTMKSPCCKQEIVIQTDPKNTEYVIISGAQRKTEDYDVEDAETLLLPADEERDKLADPMYKLEHQEEDLKKKKEAEPVLVRLQRLSDSRHSDDYALNRALRDRLRSQKKRVAEEKRSARKMGLGVRLLPPSAEDATAAASVKFASKFEKSRRDKRAAIKAASIFPESSSSTSKNKLDLALKRRNIKAGAASALMASRVKPSSWQSAGSGSSRTQMPIMATRK >ONIVA02G15790.1 pep chromosome:AWHD00000000:2:12654755:12657746:-1 gene:ONIVA02G15790 transcript:ONIVA02G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRPCHLPRSITPASSLSLATALALRPLSQEATKPASTTMGQYSNIGTKDYTSKLSAIGNVMLHCEPSSYYPLMGWRYRIEMIAKNIQG >ONIVA02G15790.2 pep chromosome:AWHD00000000:2:12656764:12657746:-1 gene:ONIVA02G15790 transcript:ONIVA02G15790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRPCHLPRSITPASSLSLATALALRPLSQEATKPASTTMVLPPSRTAPQRGFLVLVCPLVGDVGSGSEGSEEEHAAHLHRIWTPLSGDLSPQAAAFRSAPQQT >ONIVA02G15790.3 pep chromosome:AWHD00000000:2:12654755:12656477:-1 gene:ONIVA02G15790 transcript:ONIVA02G15790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGGRLEEGGDNLDDGCPHQRWSGSRVATGQYSNIGTKDYTSKLSAIGNVMLHCEPSSYYPLMGWRYRIEMIAKNIQG >ONIVA02G15780.1 pep chromosome:AWHD00000000:2:12643647:12643835:1 gene:ONIVA02G15780 transcript:ONIVA02G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLHQAEDAAPSVHDTFTRHAGVTLTPTITVSRSPASTARWQNPYKYNAVDRPTAIDDDF >ONIVA02G15770.1 pep chromosome:AWHD00000000:2:12624783:12625088:-1 gene:ONIVA02G15770 transcript:ONIVA02G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSPQQTSRNKNHGHLLLLHGLKGDEELVREGLTSHLELVQLTEHASVQLRRFGVVVVAALEHEVEDELGVMGAVEGLVERGDEVEGVEGAIEVVLDEVK >ONIVA02G15760.1 pep chromosome:AWHD00000000:2:12620836:12622445:1 gene:ONIVA02G15760 transcript:ONIVA02G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIFSTNAWLTIALVFIITLAAKVVRSSVTLPAEKTSKPRPPPEAKGAPLVGIIPAVLRRGLQAVIREQHRALGSVFTLRSLGLAVTFLVGPECSDHFFHAPEWEIAIDGLYEVTVPIFGKEVGYDIDLDTRNEQHRFFAKMLRPAKLRGHVLPMEYFGKWGECGVVDLMQEVDHVLMLIASRCLLGKEVRENMFDEVASLFHELMGGMHLISMFFPYLPTPGHRRRDKARAKLGEIFSQIVKTRKMSGRVEDDMLQDLIDSTYGDGRATTDTEVTGLLVALLFAGHHTSSTVAVWTALRLLTHPEHLRAVRAEQERLVAAAEQQRSHHGGGGGGGIDYGVLLQMDVLHRCIKEALRLHPVTPMILRRARRGFTVRDKEGGEYSVPAGRLLASPLVVNTLLPNIYKDPHVFDPDRFAAGRAEDKAVAGARDLAYLSFGAGKHACMGEGYAYQQIKVILSHLVSNFELKLESPFPETEDMLSMRPKGKAIVSYKRRTLS >ONIVA02G15750.1 pep chromosome:AWHD00000000:2:12590399:12597184:1 gene:ONIVA02G15750 transcript:ONIVA02G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPTSPPPPPSHTPSLPKSRRIHPPLSLSRSSLLSLSLSRTHPTSPHPRVADSPYTISLLSRAAPPSSSREVLVATAAIESCRPAIARDSAAIDSDAPPSRVTPSTPLHRAAVKSRLQIDAAGAPHSNRHGSPLTLPSSLRARPRPRRSQTRRLPLPLARAAAAFHVVANDSEIAG >ONIVA02G15740.1 pep chromosome:AWHD00000000:2:12586112:12586667:1 gene:ONIVA02G15740 transcript:ONIVA02G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKELTLEFDRKGYLDKHESFFLEYQEPCSLKVKIKKNDGHAMPKNAGDTSIVAPPCF >ONIVA02G15730.1 pep chromosome:AWHD00000000:2:12573801:12581719:-1 gene:ONIVA02G15730 transcript:ONIVA02G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGDGGGGAGAGGGAKRVPMRRLFTFADRLDAALMAVGGVAAVANGVAMPFLAFLIGELVDAFGAADRAHVVHVVSKISLRFTYVAIGSGIAGFLQVSCWMVTGERQAARIRGLYLEAILRQDITFFDLETSTGEVGKFLQLLSTFLGGFIIAFARGWLLSLVMLSSIPPVALAAAAMSIAISKLANRSQLAYAEAGKLVEQTIGSIRTVVSFTGERRATDKYNEFLKISYRSAVHQGAAMGLGIGSVIYGLAVWYGAKLIIEKGYTGGYIINVLMAIMSGAMALGQSSPCLNAFASGQIAAYKMFATINREPEIDASDRSGLVLENFVGDVEFKDVHFSYPARPEQLIFTGFSISIPSGMTMALVGESGSGKSTVISLVERFYDPQSGEVLLDGVNMKLLNLSRIRQKIGLVSQEPILFTTTIRENIEYGKKDASEEEIRRAIVLANAAKFIDKLPNGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESEHVVQDALNNIMVNRTTIIVAHRLSTVRNADTISVLHRGQLVEQGPHAELIKYSNGAYYQLLQLQEVNARRNGTYELDPNRLSDVANRLSDVANRLSDAANRLSDAGNFVSRHSIRKLSFERSMSRHSSLGGSRRNSQTYALTEDEIEGCDDTKSGKNVLRRLLHLHKPETAILLLGCIAASANGAILPVFGLLLSSAINAFYEPPHKLRKDSVFWAEIYVILGVVSIFIIPVQHTLFNMAGGKLIERIRALSFSRVVYQDIGWFDDPLNSSGAIGARLSADAASVKSIAGDVLSLIVQSISTALVGIVIAMIANWKLAFIVLCFVPCVFAQSYAQSRLMRGFGADAKEMYEQASTIASDAISNIRTVTSFCVGEKIIESYRNKCKGPVKRGVRQGAISGVGYGFSFALLFCFYAVSFYVGARFVHNGTADVGEVFKVFFALTMMAVGVSQSSSLARDFSKVQDAAASIFKIIDRKSKIDASSDDGMAPEKIEGNIEFQHVSFKYPARTDVQIFTNLCLRIPSGKTVALVGESGSGKSTVVALLERFYDPDSGAIFLDGMDLKTLKLTWLRQQIGLVGQEPVLFNGTIRANIAYGKQDQVSEEEIVAVAEAANAHRFISSLPHGYDTSVGERGVQLSGGQKQRIAIARAILKDPKVLLLDEATSALDSESERIVQEALDRVMVGRTTVIVAHRLSTITGADKIAVIKNGVVAEEGRHGRLLRLPGGAYASLVALQSSSL >ONIVA02G15720.1 pep chromosome:AWHD00000000:2:12553265:12558296:1 gene:ONIVA02G15720 transcript:ONIVA02G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNVLGGGASSLLEDPEDVCRGAIKAFTRGNALMDRQLLKAATRGSFEESDSTLKETVSRNRSMVLARTAEGNTCLHISSMHGHGGFCDAVLELDESLLSLVNSDGETPLLTAITSGHASLAFSLLRRCNKPGLSDSILGHDNNECNALHHAIRGGHKALALELIRTQPALSQGVNKFTESPMYLALTRDYRDVFEELFAIPGSAHSGSYSYNVLHAAVKYGNLLNPICKRNKSFDIAKKIMESCPWLAREENDSGHTPMQMAVRWNKAEMLQVLLEHDWSLGYAKNSKTGKPLLVSAAFQGHVNVARELLRHCPDAPYCQADRWTCLHEAIEFGHTEFVEFILGAPQLGKLINMRDGKGKTALHHAVRKCNPKIVAALLRKGARIDCTMLDQNRDPAIWELSEAMQHAKTLNWSEVSMLMLQADPRSKSTIVNLYKKAKRNVTETSRINAKWLTEIYTTNISLVAILIATITFAAAFTLPGGYSSNSGSEGLPIMSRKFAFQAFLVSDTLAMLSSLAVAFICILARWEDLEFLLYYRSFTRQLMWFAYMATTTAFATGLYTVLAPRLTWLAVGICILSVSMPIFTKVLGEWPILKLRMQLGPSMPEFLDMV >ONIVA02G15710.1 pep chromosome:AWHD00000000:2:12552714:12553151:-1 gene:ONIVA02G15710 transcript:ONIVA02G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQRRRWRQRRGGRGEVDAWCWRSRRRGGRGEVDAASEDGIGDRDGEVPLERSEEALRQQRGHWQPWWRGRGVVGPGGYDKLLAGRCSNYPRKVVLRGCSGTSGGVIYSQGSGDSAGCAPGRQWSRRCLMQRWCSISRGGGRIK >ONIVA02G15700.1 pep chromosome:AWHD00000000:2:12550033:12550407:-1 gene:ONIVA02G15700 transcript:ONIVA02G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYRQISMQLPPLLAATFGSRSPSPPRFRSGCAYARSGSGPREVGIIIQHMDDYYSITDNLEYSNSFDDYSRDTIYDNDINDLVPIFEVFMAATAGPEDDKAAAAWLARELAKEQARREDDRR >ONIVA02G15680.1 pep chromosome:AWHD00000000:2:12534801:12537903:-1 gene:ONIVA02G15680 transcript:ONIVA02G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAMVSVATGVASAILEKISTLMEKEYSKLKGVRDEIISLKDELSSMNAFLLKLSDIEELDVQVKEWRNQIRELSYDIEDCIDGFMHRVNCSSDSSNTKCFFRKVIHQVRTLGARHAISNDIPKLKARVDSASERFKRYNIDPAITSSSAIVPVDPRLPALYAEAESLVGIDEPTNDIIKWLTEGDGDLVQKLKVVSIWGPGGLGKTTLARQVYDKIGRQFDCQAFVSVSQKPDMRKVFRNILISVTGVEYIGIEAWDEERLINKLRDFINCKSFTNTYFHDKMQNRYFIVIDDIWSTTDWQTIRCVLLDSNIGSRVLATTQISYVAQSCCPADQDKVFEMKHLSAVHAEKLFLKRIFGSGDSCPPHLKEVSNGILRKCGGLPLAIITMASLLVNKPQTKEQWEKYRDSIVENDPIVNRMQKILSLSYADLPHHLKTCLLYLSTFPEDCIIERDRLVRRWIAEGFIATESGCSLEEVGEDYFNELISRSLIQVVGIKYDDRANTCRIHDMVLDLIVSKSIEENFITFIGYHNRVCGLQDKVRRLSLNFHHQEGRLFQLKYLRLSEVSISKLPEEIGELQQLETLELEHTKINGLPKSITRLKNLVFLRADYTSLPEGVGNMKALQKLSWIKVNTSAPSTTLHEMGSLTELRYLDINWCIGDMCSDMKSYTESFGSSIIKLCKHKLQYLRIRSEGSQGCSLGFLLNSWSCPPHLLQKFDMYTEYYFPRIPDWIASLSKVTFLDIKVNPVDEEAFRILGNLPSLITLWLCTKTVVCKRRFIIHNVGFKHLKEFYFGFWRIEMGPIKFEVGAMPKLQKFLFDIKAQGAGPPSGDFDIGIEYISSLRHLRIGIDCIDARPCEVEVTEAAVRNVTSVLPSNLQIEIERHRAGQMVKEKMGSTDHDGEQNRGIGKHQEQAVEDGSSLKTRKKILECVSTHSFLR >ONIVA02G15670.1 pep chromosome:AWHD00000000:2:12531851:12533818:1 gene:ONIVA02G15670 transcript:ONIVA02G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTTDGLVQLWNEWEIQLVVLLSFILQIFLFFTGRIRRCNINMLLRLIIWLAYVGADMVAVYALGLISQNIQSANISSVGFSRSSNQLAFFWVPFLLIHLGGQDTMTAFSIEDNNLWLRHLLNLCIQVFLALYAFWKSTGRTISQMKERQVFRFQGDRPPEQVPKLLEIELAMMSDDLYTKAMVLQTRSGIILRFISHVFTIAAFVLFLIASDKQQYNRADIAITYVLFIGGFVLDVCSFFLIAMSPWTWAFFRAQNCYRLAHISWLILCSCIGWPEKKPLWSSSMGQYNFLSSSIGFDESRSSSKMFTILRKMLNAVNKKLWFRKIWHIKHVKVDKDIMDIMVTWVGRLAREEFTRITQQQSWANLRPIINCTLNIPANSFGDNIVLLHIYTDLHLRKQPDNEAIGAESKTASSSTADTMDICRKISNYIVYLLVAQPSMLPLSGAADDTTAAFYEKISKKGSSKQDVLETCYQLVEDQLEFGYEECLKEQEQPGPWCETLMEIRDMWLRLLIYIAGKCQVELHAQQLGRGGELLTFVWLLMAHHDIGDVAHQVDLITSSETMSGQFCAFHFPKESER >ONIVA02G15660.1 pep chromosome:AWHD00000000:2:12528528:12530021:-1 gene:ONIVA02G15660 transcript:ONIVA02G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVAGGKLMVKDGLSLQEDVSYSLHATCCRNNAIYVMNCIEGRMEENPDDLPANVHIFWCQSRM >ONIVA02G15650.1 pep chromosome:AWHD00000000:2:12517710:12528243:1 gene:ONIVA02G15650 transcript:ONIVA02G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFPSLQHNPQETHKNMGFFGFGSAPTACALIFAVILALHGPCFALPEAPGEAKELYIVYLGERQHEDADLVTASHHTMLATVLGSEELASESIVYSYKHGFSGFSAMLTESQARNIRGLPGVANVWMNQMHNVVTTRSWDFMGLPYNQTNGLLAHAKMGDGIIIGVIDSGIWPESPSFDDTGYAPPAAKWKGICQSGMSFTAKSCNRKIIGARWYADDFNKSQLEAAGEFLSPRDFDGHGTHVASTAAGSVVRNVSFYGLASGVAQGGAPKAHIAVYKACWSIGCSEATIFKAIDDAIHDGVDILSLSILSPTGHAPAFHVVVKGIPVIYAAGNDGPYTQTVNSVAPWLLTVAASTMDRLFPTVVTLGDGQTLVGQSLFVAARKANQFHKLKLYYNDMCNLTIANSTDVKGNIILCFNLNAIFTTTQLVELATALVKSGGKGFIFTQRSSDCLATWQFQALTIPIVSVDLEVAFRIHQYFSTTQSPLVKVSPSQTTTGRGIPAPKMAAFSSRGPSFIYPTVLKPDIAAPGVNILAAAPQVGIYKKLGLPYFFNSGTSMACPHVSGIVALLKSLHPDWSPAALKSAIMTTAHITDNNGLPLVADATPNKIADPFDYGAGFVNPTKASDPGLIYDIDPSDYQMLFNCMIGSNTNRSCTAIESSLFDLNLPSIAIPNLKTSQTISRTVTNVGQPDVVYKAFLQPPAGVDMLVKPKMLVFDKNTRSQCFKVTFKARQKFQGDYTFGSLAWHDGSSHWVRIPIAIRVVIEDFYSTVS >ONIVA02G15650.2 pep chromosome:AWHD00000000:2:12517710:12524800:1 gene:ONIVA02G15650 transcript:ONIVA02G15650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFPSLQHNPQETHKNMGFFGFGSAPTACALIFAVILALHGPCFALPEAPGEAKELYIVYLGERQHEDADLVTASHHTMLATVLGSEELASESIVYSYKHGFSGFSAMLTESQARNIRGLPGVANVWMNQMHNVVTTRSWDFMGLPYNQTNGLLAHAKMGDGIIIGVIDSGIWPESPSFDDTGYAPPAAKWKGICQSGMSFTAKSCNRKIIGARWYADDFNKSQLEAAGEFLSPRDFDGHGTHVASTAAGSVVRNVSFYGLASGVAQGGAPKAHIAVYKACWSIGCSEATIFKAIDDAIHDGVDILSLSILSPTGHAPAFHVVVKGIPVIYAAGNDGPYTQTVNSVAPWLLTVAASTMDRLFPTVVTLGDGQTLVGQSLFVAARKANQFHKLKLYYNDMCNLTIANSTDVKGNIILCFNLNAIFTTTQLVELATALVKSGGKGFIFTQRSSDCLATWQFQALTIPIVSVDLEVAFRIHQYFSTTQSPLVKVSPSQTTTGRGIPAPKMAAFSSRGPSFIYPTVLKILKFNIMIKGKKSTSLI >ONIVA02G15640.1 pep chromosome:AWHD00000000:2:12508108:12508329:-1 gene:ONIVA02G15640 transcript:ONIVA02G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVVTNSTLIGDFLLFREDDGEEEVGGDDDKRDPPEDDDNMHVCVQEMNMRRGNLGWGPERGADLGDCGEPA >ONIVA02G15630.1 pep chromosome:AWHD00000000:2:12501958:12502366:1 gene:ONIVA02G15630 transcript:ONIVA02G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILKQETVSSPDDDGLASAAPPMAAAVVVPSSSLPPATRDNRTTARWSVVGGSLESTEAAVARRWWRERDEKCEKQEHCRRGGYGKEATPAEFVVVVVAEAVAEGARHSGTVEDFGHRDGGRSQI >ONIVA02G15620.1 pep chromosome:AWHD00000000:2:12489890:12491499:-1 gene:ONIVA02G15620 transcript:ONIVA02G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAVQGVCADLQPQSMVVADLGYSSGANTLLFFSEVIATASEKIPTDNTTRESTMEVQFFLNDLPSNDFNQIFRSLEQFKQSTMQHCTHRGLQPPPYYVAGMGSFYTRLFPCNSVHLFHSSFSLMWLSQVPLHLDDNMNKGNIHIGISTPPLVAQLYLNQFEKDFSRFLQLRCKELVPGGRMVLTILGSKNSDTIHGGGATSNKCELLSQALHVLMAEGRVEPEKLDYFNMPMYGPSPDELKQLVQQSQLLDIMDIEVFDLSHLTNDAVEKSKLEVGATADATQDNVHEEIGRNIAATLKAVMGSLFGSHFGESIIDGLFAVFAHNVTQQLETPEKKGSVTVISMSLQAKVLKS >ONIVA02G15610.1 pep chromosome:AWHD00000000:2:12468633:12470434:1 gene:ONIVA02G15610 transcript:ONIVA02G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGEWRRGPVIGRGATATVSIATDRRTGGVFAVKSVDVARAGALRREQGMLSALASPFVVPCVGSGVSAAVDGSGGACYDLFLEYAPGGSLADEIKRCGGRCEEPLIRSRVGDVLRGLAYVHAAGIAHCDVKGRNVLVGADGRAMLADFGCARWMAAEDCNAGGVTIRGTPMFLAPEAARGEAQGTAADIWALGCTVIEMATGGAPWPRFADPVAALHHVAHSVDVPESPAWFSAEGKDFLARCLIRDPAKRWTAEQLLEHPFVASAASDSTSKAVQIEQRVSPKSILDQCLWESTSTDSDATVALAPADRLRALSAGASVAPDWTWSMDDWIAVCGGRADDHDTTPSPQPDTTTSFFRGDEASSDLVFPGGGETERGAVAVAATVPLMAKSSEITGVERSIESRVASGSG >ONIVA02G15600.1 pep chromosome:AWHD00000000:2:12460462:12461376:-1 gene:ONIVA02G15600 transcript:ONIVA02G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSSGVLNGRRSRRGGSIHRWRVTAGLASDRLDQTPLLPHSLGFFSPNNQRTQGGRYGLHLHRKNRSSRAGEPPHENIAIFVDISRKMCHLSSLPSLSKLPLTLMVNLELELICAKLLKEEAVELENEEARGEHDSEARSQGTIRQRTSWSGSLAGG >ONIVA02G15590.1 pep chromosome:AWHD00000000:2:12452064:12454145:-1 gene:ONIVA02G15590 transcript:ONIVA02G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGRCIKPRGMSSRRHLRNAAWRRQSSASTMPGGGVVDGIRRWLRRHGRTASAYQPHPSGDGGLEVVPGPFTLPAAIRVPARTSLPPPPSDGQLKKEVS >ONIVA02G15580.1 pep chromosome:AWHD00000000:2:12444100:12447842:1 gene:ONIVA02G15580 transcript:ONIVA02G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVSSRTTNITFRSLLSSYMPVLASDDLDILTRPKEEREGRERRGEEPLEPFLAPVHRHCCPLGFSSRYCSWKGFLSAAPPPSYLVADLCSHHRRRHESDALREAISQIANDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKAYDNMSYALILPCGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >ONIVA02G15580.2 pep chromosome:AWHD00000000:2:12444100:12447841:1 gene:ONIVA02G15580 transcript:ONIVA02G15580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVSSRTTNITFRSLLSSYMPVLASDDLDILTRPKEEREGRERRGEEPLEPFLAPVHRHCCPLGFSSRYCSWKGFLSAAPPPSYLVADLCSHHRRRHESDALREAISQIANDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >ONIVA02G15580.3 pep chromosome:AWHD00000000:2:12444681:12447842:1 gene:ONIVA02G15580 transcript:ONIVA02G15580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAPFVSSCLLLPLAVQDLCSHHRRRHESDALREAISQIANDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKAYDNMSYALILPCGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >ONIVA02G15580.4 pep chromosome:AWHD00000000:2:12444681:12447842:1 gene:ONIVA02G15580 transcript:ONIVA02G15580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAPFVSSCLLLPLAVQDLCSHHRRRHESDALREAISQIANDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >ONIVA02G15570.1 pep chromosome:AWHD00000000:2:12434879:12439476:1 gene:ONIVA02G15570 transcript:ONIVA02G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLVAEAAVAAVLLFKTPLRKLAVMGIDRLKRGRRAPVAVKTVAGVVLALLASTLYSMAEISGRAGGDPESGGGGGGASLSPTDQVLFSRHLLEASLMGYSLFLALVIDRLHQYIRELRGLKKNVEAVTKHNKMLEEAKHGRSEETKKYQEEIGALNEDMKKLKLQVQEKTEEVHVAEDKALAIRKQSESLLLEYDRLLEDNQHLREQLQSIDHRLSSPK >ONIVA02G15560.1 pep chromosome:AWHD00000000:2:12433605:12434834:1 gene:ONIVA02G15560 transcript:ONIVA02G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKICHYIPFHYIHKKKYRPKYPVFFFHPFLPFHSLFFCRQRTPTSNHPRHAAGLQQVPASIYGNCGGERQTEERELQCLSSPPPPLTSCKWCGLWGSRKRSATLNVVSCEHGGRYPTCSTRAAAPSSWTPCAVAGRSHQRRRGTDTFYKAAEHARQQKRQGVLPAGHH >ONIVA02G15550.1 pep chromosome:AWHD00000000:2:12421643:12428009:1 gene:ONIVA02G15550 transcript:ONIVA02G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSFRSVARAPPPLRGLARRGVARCCSAAPPSGGTSTSSSKVPVTPLVLEVKERLEREHPGLPTGRNGRDDEEMILWFLKDRKLSVDETISKLTKAIKWRQDFQVSELSEESVKGLYQTGKAYVHDSFDIYGRPVLVVVASKHFPSLCYWSTQLQKQDPVENEKLCAFLVEKALSRLPLGTENILGIFDLRGFQVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLEKVVYAGRRRGEVAGAEDVGGVGAGGGCGGPYGLLGGDADADVLEIEEEAAEKVVKCGAEAEAALTVAAGGTPARPIWQRKVLMGVKCQLPRFSGMILYDERGRPVCSGVRDRARDKEKHAAAIMVLRDML >ONIVA02G15540.1 pep chromosome:AWHD00000000:2:12418754:12420370:-1 gene:ONIVA02G15540 transcript:ONIVA02G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASAAALLPLPSPSCSSSEDSDDGKHLPSPPAPEANTPPTQQQKRRRLERDYNVAMKALALAGDVDEVVAVFSELKWTAADGGDGGAPPNVLCYNTLVNALAEAGREGEALKAFDEMLASGVAPNASSQNILIKMHARRSEFDLAWELIHKSGVEPDVGTYSTLIAGLCRAGKVVEAWGVLDWMLEKNCRPMVQTYTPIVQAYCRDGRIVEAKLLMAEMERLGCLPNVVTYNVLIRALCDDDKFDEVEQVLMESSTKDWKPSTVTYNIYMNGLCKKGKAKEALELLDVMLGEGLEPTAYTWSILLNCLCHSSRLLDAIYLLERSTELKWYAGVVAYNTVMSSLCEMGKWRGIMKLLTDMIKKGIEPNTRTFNILIRSLCVGGKSSLAKSLIHSQGFAANVVTYNILLHWFYYHGKLTEANRLISVMEEKNIAPDEVTYTIIIDGLCRERKFDAATACFLKSLTSGLSMDVLTVLLNRLVYADKIWEINRIFDGKDFVPDHHVFDLTIRTFCRAGYCHHRTFYKLNLILDAMLKRK >ONIVA02G15530.1 pep chromosome:AWHD00000000:2:12415625:12415792:-1 gene:ONIVA02G15530 transcript:ONIVA02G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFTVEDHALGNTKAHMSGSGLEMPIPPVKRNRMRKNRSRKRSRMKVARRTRSK >ONIVA02G15520.1 pep chromosome:AWHD00000000:2:12400456:12402627:1 gene:ONIVA02G15520 transcript:ONIVA02G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSICRRIKWRARTSELPIMAADEQQWQVPALVQELSATVQEPPGRYVQPEQHRPAAAAAPPASFPIVDLGRLSSPSPDDDGGGGGDEAAKLRRALDSWGLFQVTNHGIEASLMDELMSASKEFFRQPLQVKREFSNLNDGEQFRAEGYGNDKVRSKDQILDWSDRIYLKVEPEDERNLALWPKHPSSFRDALHEFTVRCRRVKRDVLRAMARIAGLDDDEHFIDQLGGRATVHARFNCYPPCPRPDLVMGIKPHSDGTVITVLLVARGADGLQVLRDGVWYSVPSSSSTHALLINVGESMEVMSNGMFRSPVHRVVTSAENERISLAMTKQ >ONIVA02G15520.2 pep chromosome:AWHD00000000:2:12400456:12402414:1 gene:ONIVA02G15520 transcript:ONIVA02G15520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSICRRIKWRARTSELPIMAADEQQWQVPALVQELSATVQEPPGRYVQPEQHRPAAAAAPPASFPIVDLGRLSSPSPDDDGGGGGDEAAKLRRALDSWGLFQVTNHGIEASLMDELMSASKEFFRQPLQVKREFSNLNDGEQFRAEGYGNDKVRSKDQILDWSDRIYLKVEPEDERNLALWPKHPSSFRDALHEFTVRCRRVKRDVLRAMARIAGLDDDEHFIDQLGGRATVHARFNCYPPCPRPDLVMGIKPHSDGTVITVLLVARGADGLQVLRDGVWYSVPSSSSTHALLINVGESMEVMSNGMFRSPVHRVVTSAENERISLAMFYAVDPERVIEPAAGLVDEKRPTLYKKMKARDFLVGLSKHFSRGTRFVDTLKISP >ONIVA02G15510.1 pep chromosome:AWHD00000000:2:12399932:12400247:-1 gene:ONIVA02G15510 transcript:ONIVA02G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGMSDGDATPCEREEEEQRCDLGAATRCLEAALAPPPAASLLRSSRSPRPGRGCVSWPCCCVPALGARRHQVPHQARPAAPLPTNRAATEWRRPELSS >ONIVA02G15500.1 pep chromosome:AWHD00000000:2:12344334:12345309:1 gene:ONIVA02G15500 transcript:ONIVA02G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRQPEPTRGTPKPPLFVVRGARRPPPPRSKPEALPFQAQSFGQLQFAQKPAAGRADSSRSGHETKFRHEVDPGVLDRRRCAPKLIRLPCRSTCSTSAVEPVAAEERPLAPSATKAPPQEGSRTPMVAPPMTPMRPVWQRRILMGMRCELPRFSGLILYDEHGRPIRGTTPGRSHPQWKKRNAKAATTLRDLL >ONIVA02G15490.1 pep chromosome:AWHD00000000:2:12324625:12325642:1 gene:ONIVA02G15490 transcript:ONIVA02G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSPPSTPSRVQALYDLCKRTFPPPSSSSSSPPPDHAIRAVSSLLDTITPADVGLGDDDVDESNILKDSLSAVTPPFMYLHVYNCDAFSIGIFCLPTSVTIPLHDHPGMTVLTKLLYGSMHVKSYDWVEPAVLASNSHSKPGCFLYSVSICVFFILFIYYQLLIYTNCGHGFISSHGVISFLSLRIYRSVKHLYLHCFQEYQVRACPTTSPNRTLQTRI >ONIVA02G15480.1 pep chromosome:AWHD00000000:2:12313019:12316578:-1 gene:ONIVA02G15480 transcript:ONIVA02G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54520) TAIR;Acc:AT5G54520] MDLLSAAYGATSDDDDDGAEATPTPGWAATGYASAAGPPPKRPRWEPPPYLPPPPPYPIPQPARPRAAPPPANTASGRYVSKRERALMAASSSPVDSSSPLPPSAAAQFGSPVVGSISDSNLRADIFHSLRCQTKPGSTRRMPLKLSVSLTGHTKAVNCVDWSQSHAHLLASAGMDHTVHVFNVWNKGNTTARVFKFHTAAVKDVRWSLNGLSLLSGGFDCSLRLVDVEEGKEIKVFKEDQAVEVIKFNPSNSNLFLSDTSRSNVSENSIIIWDTLRQVPLSNQVIGY >ONIVA02G15480.2 pep chromosome:AWHD00000000:2:12311915:12316578:-1 gene:ONIVA02G15480 transcript:ONIVA02G15480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54520) TAIR;Acc:AT5G54520] MDLLSAAYGATSDDDDDGAEATPTPGWAATGYASAAGPPPKRPRWEPPPYLPPPPPYPIPQPARPRAAPPPANTASGRYVSKRERALMAASSSPVDSSSPLPPSAAAQFGSPVVGSISDSNLRADIFHSLRCQTKPGSTRRMPLKLSVSLTGHTKAVNCVDWSQSHAHLLASAGMDHTVHVFNVWNKGNTTARVFKFHTAAVKDVRWSLNGLSLLSGGFDCSLRLVDVEEGKEIKVFKEDQAVEVIKFNPSNSNLFLSGGSKGSLRLWDIRSGLVTKEYQRNLGTILDIEFSSDGKQFICSTDTSRSNVSENSIIIWDTLRQVPLSNQVYTEAYTCPCVRYHPFEASFVAQSNGNYIAIFSAKSPFKLNKYMRYEGHGVWGFPIKCNFSFSGKELASGSSDGCIYFYDYKSSRLLSKIQAFKEPCTDVAYHPVIPNVIASCSWAGEIAVFE >ONIVA02G15470.1 pep chromosome:AWHD00000000:2:12308870:12310388:-1 gene:ONIVA02G15470 transcript:ONIVA02G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSCSPMAGSVASGGKEPGRCRAAEKEESGGGCGRGVDDWKWVTARHGWPWVASWEGVEDDRIWPARQRREECSEASLRRGRWQRRPARREEAQPAAEEEEMLMGAVRSTVHEGWPAGGAGAVVPHVGRGWTVVEHRGIRRGLASDLDRARLSVDCKDWVLDDLGRRRSVTFSGGRSSASLLLFGVLVLPMCGWWVVIL >ONIVA02G15460.1 pep chromosome:AWHD00000000:2:12303601:12306569:-1 gene:ONIVA02G15460 transcript:ONIVA02G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRLPHHSSPLVFPCRLSAAAAARTLSTATGSNSTTVKMARSALDEVTDAGAFDRSPSTFRSSISRDSSARFPAVPGRYHLYVSYACPWASRCLAYLKLKGLDHAIGFTSVKPIFERTRETDDHLGWVFPATGDEEPGADPDPFNGAKTIRELYEIASPNYIGKPTVPVLWDKQLKTVVNNESSEIIRMLNTEFNEIAKNPDLELYPAHLQTSVNEINELVYDAINNGVYKCGFAKKQGPYDEAVTRLYEALDKCEEILSRQRYICGNQLTEADVRLFVTLIRFDEVYAVHFKCNKRLLREYPNLFNYTKDIYQIPGISSTVNMEHIRKHYYGSHPP >ONIVA02G15460.2 pep chromosome:AWHD00000000:2:12303553:12306569:-1 gene:ONIVA02G15460 transcript:ONIVA02G15460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRLPHHSSPLVFPCRLSAAAAARTLSTATGSNSTTVKMARSALDEVTDAGAFDRSPSTFRSSISRDSSARFPAVPGRYHLYVSYACPWASRCLAYLKLKGLDHAIGFTSVKPIFERTRETDDHLGWVFPATGDEEPGADPDPFNGAKTIRELYEIASPNYIGKPTVPVLWDKQLKTVVNNESSEIIRMLNTEFNEIAKNPDLELYPAHLQTSVNEINELVYDAINNGVYKCGFAKKQGPYDEAVTRLYEALDKCEEILSRQRYICGNQLTEADVRLFVTLIRFDEVYAVHFKCNKRLLREYPNLFNYTKDIYQIPGISSTVNMEHIRKHYYGSHPSINPYGIIPAGPNIDYNAPHDRERFSA >ONIVA02G15450.1 pep chromosome:AWHD00000000:2:12302481:12302735:1 gene:ONIVA02G15450 transcript:ONIVA02G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVRMRVVLVVLVPVMLASSLQEAYAGRSRSPGTSGLSKGLSRLPGTSGLSKGVMGCNILGKCDAGDKTGLAKVFNFNFGHG >ONIVA02G15440.1 pep chromosome:AWHD00000000:2:12301295:12301519:-1 gene:ONIVA02G15440 transcript:ONIVA02G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNIALDDGDEHAIPNLNEVVAEEAAVADQEDDQVGGDLQKGANHELPGGDFQGCANHVLPFDLNLYASDI >ONIVA02G15430.1 pep chromosome:AWHD00000000:2:12292561:12302067:1 gene:ONIVA02G15430 transcript:ONIVA02G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREDHTKSSVPGKEREYNAQPKDDMFPMMKQPGTNARVADPMDDVAQHLIGNLLPDDEELLAGVIEDFDHVKLRTQVEESEEYDVFRNSGGMELDIDPLESITFGTAKASLVNGTGSSTNQYSIQNGAGTVTGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEPFGDIRSMYTATKHRGFVMISYYDIRHARNAKTALQSKPLRRRKLDIHYSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLQIFGAFGEVREIRETPHKRHHRFIEFYDVRAAESALRSLNKSDIAGKRVKLEPSRPGGARRSFIQHFNHEFEQDETKHNSFQIGSPSANSPPSLWSQLGSPTDENKLNALNETAFNGGMSPLGNNHLSGFSSGYPPMKSPVGKSSYWNNRADNIFHGSPTLHNSHSFPEHHGGIISASPLVSSAASSASTASGFTALTGTSFLWGNNNNLRDHGQPSSIQSQALSNSLFPNNQPQRQSNLYQNLRGSFGASEHFSQFNVGSAPSVFPFESNFGYFSDSPDTSYMRQGKFGGTGPTRVSGSLMTNFGAYPRINVASMQNGSVGFEGLLDRGRNQTVGNSGCQEDSRVQYQLDLEKIIAGKDTRTTLMIKNIPNKYDILELIGVMLCYYSVMRSTFVAHILLNFRYTSNMLLEVIDETHEGTYDFFYLPIDFKNKCNVGYAFINMASPGYIVSFFKAFAGRKWEKFNSEKVVSLAYARIQGKAALVNHFQNSSLMNEDKRCRPMLFDPKHTENNNQVKILLNGTFISMAQQDATQERHDLPENPREDNFS >ONIVA02G15430.2 pep chromosome:AWHD00000000:2:12292559:12302067:1 gene:ONIVA02G15430 transcript:ONIVA02G15430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREDHTKSSVPGKEREYNAQPKDDMFPMMKQPGTNARVADPMDDVAQHLIGNLLPDDEELLAGVIEDFDHVKLRTQVEESEEYDVFRNSGGMELDIDPLESITFGTAKASLVNGTGSSTNQYSIQNGAGTVTGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEPFGDIRSMYTATKHRGFVMISYYDIRHARNAKTALQSKPLRRRKLDIHYSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLQIFGAFGEVREIRETPHKRHHRFIEFYDVRAAESALRSLNKSDIAGKRVKLEPSRPGGARRSFIQHFNHEFEQDETKHNSFQIGSPSANSPPSLWSQLGSPTDENKLNALNETAFNGGMSPLGNNHLSGFSSGYPPMKSPVGKSSYWNNRADNIFHGSPTLHNSHSFPEHHGGIISASPLVSSAASSASTASGFTALTGTSFLWGNNNNLRDHGQPSSIQSQALSNSLFPNNQPQRQSNLYQNLRGSFGASEHFSQFNVGSAPSVFPFESNFGYFSDSPDTSYMRQGKFGGTGPTRVSGSLMTNFGAYPRINVASMQNGSVGFEGLLDRGRNQTVGNSGCQEDSRVQYQLDLEKIIAGKDTRTTLMIKNIPNKYDILELIGVMLCYYSVMRSTFVAHILLNFRYTSNMLLEVIDETHEGTYDFFYLPIDFKNKCNVGYAFINMASPGYIVSFFKAFAGRKWEKFNSEKVVSLAYARIQGKAALVNHFQNSSLMNEDKRCRPMLFDPKHTENNNQVKILLNGTFISMAQQDATQERHDLPENPREDNFS >ONIVA02G15430.3 pep chromosome:AWHD00000000:2:12292710:12302067:1 gene:ONIVA02G15430 transcript:ONIVA02G15430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREDHTKSSVPGKEREYNAQPKDDMFPMMKQPGTNARVADPMDDVAQHLIGNLLPDDEELLAGVIEDFDHVKLRTQVEESEEYDVFRNSGGMELDIDPLESITFGTAKASLVNGTGSSTNQYSIQNGAGTVTGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEPFGDIRSMYTATKHRGFVMISYYDIRHARNAKTALQSKPLRRRKLDIHYSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLQIFGAFGEVREIRETPHKRHHRFIEFYDVRAAESALRSLNKSDIAGKRVKLEPSRPGGARRSFIQHFNHEFEQDETKHNSFQIGSPSANSPPSLWSQLGSPTDENKLNALNETAFNGGMSPLGNNHLSGFSSGYPPMKSPVGKSSYWNNRADNIFHGSPTLHNSHSFPEHHGGIISASPLVSSAASSASTASGFTALTGTSFLWGNNNNLRDHGQPSSIQSQALSNSLFPNNQPQRQSNLYQNLRGSFGASEHFSQFNVGSAPSVFPFESNFGYFSDSPDTSYMRQGKFGGTGPTRVSGSLMTNFGAYPRINVASMQNGSVGFEGLLDRGRNQTVGNSGCQEDSRVQYQLDLEKIIAGKDTRTTLMIKNIPNKYDILELIGVMLCYYSVMRSTFVAHILLNFRYTSNMLLEVIDETHEGTYDFFYLPIDFKNKCNVGYAFINMASPGYIVSFFKAFAGRKWEKFNSEKVVSLAYARIQGKAALVNHFQNSSLMNEDKRCRPMLFDPKHTENNNQVKILLNGTFISMAQQDATQERHDLPENPREDNFS >ONIVA02G15420.1 pep chromosome:AWHD00000000:2:12284064:12285573:1 gene:ONIVA02G15420 transcript:ONIVA02G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPMVEKPVELLGAQLFHRCISMMSRTDVDFQRLRQRLDRMQVIMASSAGSKLCRALNSNKLRFSVSKLGGGEKVRGQGGFRGMTVGIDGAVRLEESIGQG >ONIVA02G15410.1 pep chromosome:AWHD00000000:2:12265664:12267302:-1 gene:ONIVA02G15410 transcript:ONIVA02G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQARGGLWAKPRAGQGKGKRCDVGGGGGAASRRTDKAVGAAGVGAGETEEEAARAMTMGSFRQASTDGNRLLGFSWLFLTQQRKRRGLVTTEAAGARSAAEREDERVEWWRGRREAREEAKTREGKEGVPFYRLRRGAGNGRGGAGTENAAVAVAASASTGTNGPHLMAEFIGNAVPNGTQSFINVGHSAALASVGGKVACFGQEQFSTVHMLSRSYEGEPIVRLGGNGGYEFRYSTSLAGSGHMSGLGAQGGTPFLKSGIAGSEKWQGAAQ >ONIVA02G15400.1 pep chromosome:AWHD00000000:2:12257581:12257990:1 gene:ONIVA02G15400 transcript:ONIVA02G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWPQPHDDDIFFFVFCLTWYSGSPEFLGQRSSSCEMPVLQGRFHGSGIGQYMVKKGWLHATVDCNASCYVLMLGVDWINGSMVAH >ONIVA02G15390.1 pep chromosome:AWHD00000000:2:12252767:12253530:-1 gene:ONIVA02G15390 transcript:ONIVA02G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRHHAALVASASGRSPSWGSAISQSFRQVEAEDPFRRAQSMRGHDEEEEDLRWAALEKLPTYDRMRRGVVRSALLRDGDDDHKDDDDAGTGKAVELVDIGRLATGDAARALLTIILT >ONIVA02G15380.1 pep chromosome:AWHD00000000:2:12236833:12242599:-1 gene:ONIVA02G15380 transcript:ONIVA02G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETLDFSRWCLGIGSRYDMLTEISRRERNAGIKPDPEIDAFMKATAMQGQETNIITDLILKVLGLDICADTIVGDEMIRGISGGQMKRVTTGEMLTGPARALLMDEISTGLDSSSTFHIVKFIRHLVHIMNETVMISLLQPPPETYNLFDDIVLLSEGYIVYHGPRENILEFFEASGFRCPQRKAVADFLQEVTSKKDQQQYWFLDKEPYCYVSVPEFAERFKSFYIGQQMMKEQHIPFEKSKIHPAALTTMKNALSNWESLKAVLCREKLLMKRNSFLYIFKVTQLIILAFLSMTVFLRTKMPHGQFSDGTKFLGALTFNLITVMFNGLSELNLTVKKLPVFYKHRDFLFFPPWTFGVANILIKVPVSLVEATVWVVITYYVMGFAPAAGRFFRQFLAFFVTHLMAMALFQFLGAILQTMVIAISFGMLVLLIVFVFGGFVIRKTKMQYRSTNFWPVGGPFQTMTQPLMQKRWALILQKLALLAIRSANALVIDEHNETELYTETRNEEHRSRTSTTTSSIPTSANGEGNRPTQSQFVLPFQPLSLCFNHLNYYVDMPSEMKQQGLMESRLQLLSDISGAFRPGLLTALVGVSGAGKTTLMDVLAGRKTSGTIEGSITLSGYSKKQETFARISGYCEQADIHSPNVTVYESILYSAWLRLPSDVDSNTRKMFVEEVMALVELDVLCNAMVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTLLLLKRGGRVIYAGELGDHSHKLVEYFETILGVPSITEGYNPATWMLEVSSTLEEARMNVDFAEIYANSLLYRKNQELIEELSIPPPGYRDLLFATKYSQSFYIQCVANLWKQYKSYWKNPSYNSLRYLTTFLYGLFFGTVFWQKGTKLDSQQDLYNLLGATYAAIFFIGATNCMSVQPVVSIERAVYYRESAAGMYSPLSYAFAQASVEFIYNIIQGILYTVIIYAMIGYDWKASKFFYFLFFIVSSFNYFTFFGMMLVACTPSALLANILITFALPLWNLFAGFLIFRKAIPIWWRWYYWANPVSWTIYGVIASQFGGNGGSVSVPGGSHVAMSQILEDNVGVRHDFLGYVILAHFGFMAAFVLIFGYSIKFLNFQKR >ONIVA02G15370.1 pep chromosome:AWHD00000000:2:12229664:12230191:-1 gene:ONIVA02G15370 transcript:ONIVA02G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGVCKPWRSIAQQQQQQQHPPCNLPWLLMPSTAATSLFCVVSEDTHRPGLLGDARCARFCGSFPGAWLAAELPESRGRGPVLLDLCTGECVALPRGCEAGESSSADSASSPSTTSGRGPPCPLCMYFLVLARNLVLMVIRFVSTRETAFDALQAGARRRAAAASLEEARNRRP >ONIVA02G15360.1 pep chromosome:AWHD00000000:2:12223122:12227580:-1 gene:ONIVA02G15360 transcript:ONIVA02G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRNNKISKPTIRGIPYQKARWMTHSGSSDVQRLDPSIGGGFTGGACQVFGEMPSWLGAGAGTALRVQVSQVLYPMTSEVLHQVYNGYGAVAVQVLATTHASFPGNGANVMPTKYSTLGPSYATTTSGAKSIPAATERVFPATKASLAPSTSSTTMTTPAPSTETKDVGAGMDKEALKSEKTTQDLNTKMMAMINKMLETCRNTKEDYTVSVDCNGDATALSVNIDPVPILSEVSNEANSTHLVNTNKLSMVTVKPTKGLTKSKKEKVDGDVGGMVTDNCVKFTKVDTKLIFVFRPFTDVCLTLCRSNHIVVTNLPVVSSECEVCCDDFVSGADFTARPQVVPPWRLAVPLDFRFLPWPDIFNQGNGGVVVKLLQPWPPPIQAEVKAKVEKLNLHGQKLKIQILVTVYSVPKATIEGLQLLGERMLLEEQLKCEVVKSSWYNFSNLLVGDMIDTALPMQSLGQLVSSYNLTRFENENLLVQQVMSWCRFKFSANYFLSKPYQWRKYMVDAPAYQGFPFQGMIKQQIDGIDKMLLYYHQISIVYCSVSENIVYDVTGTPVMPSKWIHVIAVGRTWLLSAFALINFLDAGTVQLAVKLVHVKIAEMTRIRSWDPGIVNLVTIIACQISTEVKKGGSDTLDLSSVCKYKNAHDSIQVGTVSSISLSLTMFVVLPYRKLGTSIKSPNYNSGQMEVQCIHQSASFVTSIGMESSLVVAFYGSAGAYGLALDDHLQLPWDPGGTDLELQLHQLGDKLIFKAGRMLCNWVGLLPEECVAGILHHLLCLPDHSMFSGVCTRWRTIAMRHLPPMQPWLFMPSTNATSFFCVACERTHQGPCLPDNARGARFCGAHLEGWVAAAEIPHDDRSVPGNRAPALLNLCTGERVLLPRSLRNNNPDTTFINHIQAVILSYTPCQAHPYYAAAIVSGKPNIMFWRPGMSDCVPPMLKWDSGFKMWQKQLSKDPIEDANYIFFGPLGGGFYVLNNKEDLLVYTPKANDRHGELTMSSVNKYQLRRNPRPTMPGPGEVLGRYLVESRGQLLMVVRFVSTEKATVAFDVFKLELKPPSWKKLTLDTLADRTIFLVRGCSCAVEMRKSSQFPPNIYFLDDSARFNGAGSSTSQVQQVEGTFPCGDTGRCCEQGIVRCLPREPPSDSSPWTWFYLPPYEALSRKWFMEQLIKQGEQLRLQEHQDG >ONIVA02G15350.1 pep chromosome:AWHD00000000:2:12218644:12221002:1 gene:ONIVA02G15350 transcript:ONIVA02G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQSARRAAGPAASRLFSTASVAAAGRSPVAGLPKALPQPAISSLWMVGAAAPPRRFAGTAAVGGVDVTAPTATPPPAKKEESEKEAASYWGVAPTRLVKEDGTVWKWSCFRPWDTYEADVAIDLTKHHNPATLGDKVARWTVKSLRWPVDLFFQDIHYQGHALREVAAPLGYH >ONIVA02G15340.1 pep chromosome:AWHD00000000:2:12204465:12207593:-1 gene:ONIVA02G15340 transcript:ONIVA02G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein [Source:Projected from Arabidopsis thaliana (AT5G54855) TAIR;Acc:AT5G54855] MAAAEAEAAGPLRRALFVLAVLALLLVAAPAAEAWTGEIRGHVVCDVCGDAAIGPEDHVLEGAEVAVLCITRSGEVINYQAFTNSKGVYIVGETMPESDRWESCLARPISSFHQHCTKRGDTHSGVKFTYSKPSGNSHTVKTFLYKPANAPLYCS >ONIVA02G15330.1 pep chromosome:AWHD00000000:2:12196132:12198434:-1 gene:ONIVA02G15330 transcript:ONIVA02G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKEKQETLAKRREAYKLKKESMARANADELHCITFRGDQPGSLHTAHSEQEDPAVDPKVIQEKHTRERMRYRNMELNKKQVVIERIKDKRASRRNNPTDGEVIFDDDTDEESDMLDDQDSCIRRHPNGEKDKGNNIKTLSRHAILDW >ONIVA02G15320.1 pep chromosome:AWHD00000000:2:12194484:12199602:1 gene:ONIVA02G15320 transcript:ONIVA02G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPPTPHLQALSLPAGSPSSPRGGIWSCTSATAQLEQSTGWSGLGSGTDWTRRRWYTSGQSLVRIYFRQASRPDLGPCSGNHWIWGLRGWMPSPASSLRWQSPDLGPLRLDSVDGSFGARMENNHPSSADSSPSPDRSTGFQAACIAASRHGGCTVLGFSYGSFVVFVACCGVLCVVDSGRGPEADYDPRVGMVMQMAWYQHQACVMELELRNFNYQDRRSRCLEWHCEFVILNTIEVVHGAALGTMASLEDRIAIWVGIGVGKQNRY >ONIVA02G15320.2 pep chromosome:AWHD00000000:2:12194484:12199602:1 gene:ONIVA02G15320 transcript:ONIVA02G15320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPPTPHLQALSLPAGSPSSPRGGIWSCTSATAQLEQSTGWSGLGSGTDWTRRRWYTSGQSLVRIYFRQASRPDLGPCSGNHWIWGLRGWMPSPASSLRWQSPDLGPLRLDSVDGSFGARMENNHPSSADSSPSPDRSTGFQAACIAASRHGGCTVLGFSYGSFVVFVACCGVLCVVDSGRGPEADYDPRVGMVMQMAWYQHQACVMELELRNFNYQDRRSRCLEWHCEFVILNTIEVVHGAALGTMASLVMEQSS >ONIVA02G15320.3 pep chromosome:AWHD00000000:2:12194484:12200128:1 gene:ONIVA02G15320 transcript:ONIVA02G15320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPPTPHLQALSLPAGSPSSPRGGIWSCTSATAQLEQSTGWSGLGSGTDWTRRRWYTSGQSLVRIYFRQASRPDLGPCSGNHWIWGLRGWMPSPASSLRWQSPDLGPLRLDSVDGSFGARMENNHPSSADSSPSPDRSTGFQAACIAASRHGGCTVLGFSYGSFVVFVACCGVLCVVDSGRGPEADYDPRVGMVMQMAWYQHQACVMELELRNFNYQDRRSRCLEWHCEFVILNTIEVVHGAALGTMASLVMEQSS >ONIVA02G15310.1 pep chromosome:AWHD00000000:2:12187761:12192452:-1 gene:ONIVA02G15310 transcript:ONIVA02G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPEWADLPVDAVLAVFERLGAAEVLMGAGVVCRSWLRAATREPRLWRRVDLTACFDPTVDMEAMARAAVDRAGGRLEHFAAERFVTDELLLYVAKRTSCLKSLRLRDCIKISEKGLVAVGKTSPCLEELELTTCTISILLKAVGEAFPNLKCLRLNHRWFDVQFDEFRDNFHALGIACSMPRLRHLQIFANRLRNNALAAILDNCPHLESLDLRQCFNVDVDAEVRAKCARLKDVRFPNDSTKDYEYETFIETPSLDSLPLPFPAAVPQWPFHGNDEDDDNDGDQDDDDDGDQDDDDLGGHRVTEYGFIIGDYHVRGRIIHHE >ONIVA02G15300.1 pep chromosome:AWHD00000000:2:12182634:12187002:1 gene:ONIVA02G15300 transcript:ONIVA02G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSKESCPSVKNILLLDSEGKRVAVKYFSDDWSSNASKLAFEKSVFTKTLKTNARSEAEITLFDGYIVVYKFIQDLHFFVTAGDDENELIIANVLQGFSDSVGLLLRGDVEKRTALENLDLILLCIDEIVDGGIILETDANTIAGKVATNAVDGSAPFSEQTISQALATAREHLARSLLK >ONIVA02G15300.2 pep chromosome:AWHD00000000:2:12182634:12187082:1 gene:ONIVA02G15300 transcript:ONIVA02G15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSKESCPSVKNILLLDSEGKRVAVKYFSDDWSSNASKLAFEKSVFTKTLKTNARSEAEITLFDGYIVVYKFIQDLHFFVTAGDDENELIIANVLQGFSDSVGLLLRGDVEKRTALENLDLILLCIDEIVDGGIILETDANTIAGKVATNAVDGSAPFSEQTISQALATAREHLARSLLK >ONIVA02G15290.1 pep chromosome:AWHD00000000:2:12174317:12177653:-1 gene:ONIVA02G15290 transcript:ONIVA02G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPPPTEMAEGEVESRDWAEMPSDALAAVFGKLDVTDLLVGAGVVCRAWRRLAAIDPTLWRRVDMSHQGDIMEVDEAVAMARAAVDRAAGTMEAFWADSFVTDGLLLYISERASSLKSLQLSMCLNVSNEGMAEAMKGFPRLEELDITFCTLYGDVCASVGKACPELKCFRLNERYTLQMDYAAPDIMDDDTEALGIASNMPKLRELQLIGNKLTNDGLMSILDHCQHLESLDIRQCYSIQMDDALKSKCSRISDLKLPHDSISDFKYRAYIFSSSAFSGSDLELDMYDDLLDVVTDDDDADFDDMDDYDDGGSDGGMYDEFDI >ONIVA02G15280.1 pep chromosome:AWHD00000000:2:12165364:12170925:1 gene:ONIVA02G15280 transcript:ONIVA02G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANMAWAELPEECVAGILHHLLCLPDHSMFSGVCTRWRTIAMRHLPPMQPWLFMPSTNATSFFCVACERTHQGPCLPDNARGARFCGAHLEGWVAAAEIPHDDRSVPGNRAPALLNLCTGERVLLPRSLRNNNPDTTFINHIQAVILSYTPCQAHPYYAAAIVSGKPNIMFWRPGMSDWVPQMLKWDSGFKMWQKQLSKDPIEDANYIFFGPLGGGFYVLNNKEDLLVYAPKANDRHGELTMSSVNKYQLRRNPRPTMPEPGEVLARYLVESRGHLLMVVRFVSTEKATVAFDVFKLELKPPSWKKLTLDTLADQTIFLGRGCSFAVEMRKSSQCPPNIYFLDDSVSFSGAGSSTSQAQQVQGPFPCGDTGRCCEPLPAARAAIRLLAVDLVLSAPIQTPPPPPPPPMANANMAWAELPKECLAGILRYLPCLPDKAMFSGVCRRWRSVASAHLTPMQPWLFMPSATAISFFCVACERTHHHQSPRLPDDARGARFCGTFLECWVAAAEIPYDEPLPWNRFPALLNLRTGERVLLPRHLRTNNPGSTAINRIQTFVLSDSPSQTYRYWVAAIVSGKPNLMFWSNNMNEWAPPMLKWDSGFKIWQKMLPKDPIEDVKYFYGGPLGGGFYVLNNKEDLLVYTPKADDEHGELTMSSVKKFEVRRNPRSTMPGPGEVLGRYLVESQGDLAMVVRFVSTEKATVAFDVFKLELEPLSWKKITLDAFTDRRIFLVRGFSMVVEMRNPCLPSIYFLDDSARIDGAGASTSQAQQVQGPFPCGDTGRCCEQGIVRCLPREPPSDSSPWTWFYLPQNDGLREWYEMHCDENLRLSEIDDVLTMGLDFGYP >ONIVA02G15270.1 pep chromosome:AWHD00000000:2:12142782:12162592:1 gene:ONIVA02G15270 transcript:ONIVA02G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSSVFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPRMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLECNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNVLHRLLGAQLVEKIAANLDDKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIDAQEPKGITLIELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYGFVVNNKLLFEEDCHDEPPVHRLLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIHTLDKLQQEGTCKCIKVNVPVVTNYAGSRSIFDVILNSSVKVMSPELMDQIRNRLRNFDSQSRSGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSEIPTDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHLSKLESVDTYWETLKYSYVTAGLAETSAFPGCCIPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFRSHENVIKFNEAEITKRGELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTNNLMQVLHHKECLMFTLAREMGSKDVDSQVERSEMLTDLNNSRMQYIELVVDTLERFQLAIKETVKKLGDGHIVSVLNVQSKSSSHLHSQSPGDDEGTSTWHWGSGCSHVCKSHIYHPILPWLNSDGSINSTVYECLSHRIIGYVMQYPGIMEEDVINWLDVLNPQTCRTLLGKLTIDKHLYVRVFDEPVPTAPTTLQNILRQGHNREPSKCGRRYFANPMSTFML >ONIVA02G15260.1 pep chromosome:AWHD00000000:2:12140067:12140992:1 gene:ONIVA02G15260 transcript:ONIVA02G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSGNNKDCEEWFFDSKITQIPPTKFSQIPYPHTKIFSNSIQTGRVFKRDFGRELRCLHVHVDLTLSRLIC >ONIVA02G15250.1 pep chromosome:AWHD00000000:2:12105694:12109935:-1 gene:ONIVA02G15250 transcript:ONIVA02G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G03030) TAIR;Acc:AT4G03030] MASSSSSSSSAGRRLAGNLSLRPGVASIIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAATLLPLRRSLRLPRRHLLCLFPTDPSLASPILLDPAAPTAWWSLPPIPCSPQHYGLANFAAVAVGGQIYVLGGSRFDARSYPLDNPSPSAAAYRLDLALSRHRWERLPDMRVPRGSFACAPAPSGGVIVAGGGSRHPTFPSYGSRTSGVELYDAAARAWRVTAAMPRDRAGCVGFVAHGAGDGREDEFWVMGGYDGYTTVGGVVPSDVYCRDAVALGLWSGKWREIGDMWEEWERERLGPVAVISAEDGRVTEVFMLDGHDIFRYNFSSNSWSKEATLRRKIPSTQSCGFIAMNGELYVLTSAKLPVETSSPWKQSKKRLALEFQVYNPAAKMWRVLTTHPPVNVPIDFRTAALCTVEL >ONIVA02G15240.1 pep chromosome:AWHD00000000:2:12073118:12073882:-1 gene:ONIVA02G15240 transcript:ONIVA02G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDHGYYGGRDSPPQGYGYGGGYGYGGGYGYDAGGYYSGGGGGYPSAGAAAAAYEDPMVGRRTHDFPAPMNELEFQPSATCPKNYVIFDQTCTKSRVMFHPSLAHKFGGGSSAYDNNVYGGGGAHDAAKGAYRDSVGYDDDDSCSVRQKEDTDEIDALLSSEDGDEDDVVSTGRTPGYRDGSSPDSTCSSSYGGGQARPGRKKERMKKMVRTLKGIIPGGNQMDTPAVLDEAVRYLKSLKVEVKKLGVRGSDN >ONIVA02G15230.1 pep chromosome:AWHD00000000:2:12063135:12063668:1 gene:ONIVA02G15230 transcript:ONIVA02G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDSATGGAAAAQAHGSDFDSIDPLFHVLRVLPFSFLRPPRTRLRLPSNLALPSPMTVFALILLTYFAVVSGLVYDVIVEPPGIGSVQDPATGAVRPVVFLPGRVNGQYIIEGLSSGIMFVIGGIGIILLDLAVDRNRPRSLRVSFGGSGVAAIVIAYAMAMLFLRIKIPGYLW >ONIVA02G15220.1 pep chromosome:AWHD00000000:2:12061332:12062283:-1 gene:ONIVA02G15220 transcript:ONIVA02G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERPCRSARLDDEPEKRGRREPAQYDLAAALSPHRPVSPRLLGTLLSRLPDARRGVALLDLLAPDLPTSALLILYNLLLCSTCRAGCSASPPASSWICGTEGSPPTRSRTPRSSWRSPARATSTTHSPFCHSWRTTPWLPTSCSSPTSSTSPSARATRPRRSRSSPVFGARGAAGIKPDLKAYNAAITAYCKSDLLRDTNRLLLHDMPSDGVAPDAESYSPMRRAGVAPSVVTYNTMLRVYGDAGLFGEAVHLFGLMRSAASNGSDRGGSIIKPNVVTYNTMIAIYGMSLGDEKAGSLVQDMQANGIGVKKFR >ONIVA02G15210.1 pep chromosome:AWHD00000000:2:12061148:12062289:1 gene:ONIVA02G15210 transcript:ONIVA02G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQQKRSSAARRPLQAYYLHRLASSPLLYWCVRNWRFSSELLDTNAIGLHVLHQAPGFLVSQRHAVDGDHGVVRHHVRLDDAATAVAAIGGGAAHEAEQALRSGLIPAAPRAPKTGEERERLGRVALAEGEVDEVGEEHEVGSHGVVLHEWQKGECVVEVARAGERHEERGVRERVGGDPSVPHIQEEAGGEAEHPARHVEQSRRLYRMRSAEVGRSGARRSSSATPRRASGRRERSVPRRRGETGRCGESAAARSYYLRRFASSALLWLVVESGAATRPLLPHP >ONIVA02G15200.1 pep chromosome:AWHD00000000:2:12042087:12042329:1 gene:ONIVA02G15200 transcript:ONIVA02G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAKSPNPLSPPPPPPHGSSCRLARSNVRGDLLHGGAGCDLLCVVPAQVGNSDCAARLAVLLSRQAPTGSTTTRFEPR >ONIVA02G15190.1 pep chromosome:AWHD00000000:2:12033388:12034932:1 gene:ONIVA02G15190 transcript:ONIVA02G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAVRSLVVLFLAVASPFLVAGGGGAKLNASSSSSPLYGIEFPPFNAGVADGGCDGKLMVQGMEEVSRSPSLKLHMTHRSAAEAAAAGRTRKESFLDSAGKDVARIHTMLRRVAGAGGGRAATNSTPRRALAERIVATVESGVAVGSGEYLVDLYVGTPPRRFQMIMDTGSDLNWLQCAPCLDCFEQRGPVFDPAASLSYRNVTCGDPRCGLVAPPTAPRACRRPHSDPCPYYYWYGDQSNTTGDLALEAFTVNLTAPGASRRVDDVVFGCGHSNRGLFHGAAGLLGLGRGALSFASQLRPVYGHAFSYCLVDHGSSVGSKIVFGDDDALLGHPRLNYTAFAPSAAAAADTFYYVQLKGVLVGGEKLNISPSTWDVGKDGSGGTIIDSGTTLSYFAEPAYEVIRRAFVERMDKAYPLVADFPVLSPCYNVSGVERVEVPEFSLLFADGAVWDFPAENYFVRLDPDGIMCLAVLGTPRSAMSIIGNFQQQNFHVLYDLQNNRLGFAPRRCAEV >ONIVA02G15180.1 pep chromosome:AWHD00000000:2:12031190:12031947:-1 gene:ONIVA02G15180 transcript:ONIVA02G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLSRPALSQRNRESFGDELLDYSTGKLSPIGWWAWSGNSLDVGGLSLIRCCRALIQWASASSRKNLGWYGPDNKLLGYVDVGRGSHLPLMLSLSPPPPHTEYRCHLCLLDMRSGRWLSRRPLMLL >ONIVA02G15170.1 pep chromosome:AWHD00000000:2:12000856:12023286:1 gene:ONIVA02G15170 transcript:ONIVA02G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPESSHHHLLESGLLEVSKAPSAAVAAEEEEKKEAAAWTPSSSSSMTGRKIKSEASPLLRRLLGGPAAQLQEVLLGTKLYPLFSAVPLAVAAESLRLGRVWVFAFSLIGLAPLAERVSFLSEHIANTVGPTAGGLMNATCGNVPELIIALFALHKNKMEILKWSLLGSILSNLLLVLGSSLLFGGIVNIGKERPLDKRQADVSIGLLLLGVLCHIATLVSKYTSSTGDSINSSSVMQLSRSCAIVMLIAYFGSLMFQLKTHRQIFELEEENRVQLSQTKSRTYQTYTKKTEVLNEPPRIKQQLQGHKPSRATAGGRRAARRRRRICASAPPPPVRCAALDPPVPACLEPCAHAAGAPPRRHYPGCRDSSSSEDDATDKSVIGFASAMVWLIGMAVVTAMLSSYVVTTIEEASESMGIPVRFISIILLPIVGNAAEHAGAIIFAFKNKIDISLGITLGSATQISMLVVPVILIVSWVNAIPMDLDFNLLETGSLAMAVITTAFTLQDDKWHYLKGLNLVFSYIVIAVCFFVMKALPNLGNGLEARCQRKYRKLGIMAWINEEAVSKPSDQVKVQTYHEGLSELIRSVFAACNPNRPITHTPSGQTPLPLLS >ONIVA02G15170.2 pep chromosome:AWHD00000000:2:12000856:12023286:1 gene:ONIVA02G15170 transcript:ONIVA02G15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPESSHHHLLESGLLEVSKAPSAAVAAEEEEKKEAAAWTPSSSSSMTGRKIKSEASPLLRRLLGGPAAQLQEVLLGTKLYPLFSAVPLAVAAESLRLGRVWVFAFSLIGLAPLAERVSFLSEHIANTVGPTAGGLMNATCGNVPELIIALFALHKNKMEILKWSLLGSILSNLLLVLGSSLLFGGIVNIGKERPLDKRQADVSIGLLLLGVLCHIATLVSKYTSSTGDSINSSSVMQLSRSCAIVMLIAYFGSLMFQLKTHRQIFELEEENRVQLSQTKSRTYQTYTKKTEVLNEPPRIKQQLQGHKPSRATAGGRRAARRRRRICASAPPPPVRCAALDPPVPACLEPCAHAAGAPPRRHYPGCRDSSSSEDDATDKSVIGFASAMVWLIGMAVVTAMLSSYVVTTIEEASESMGIPVRFISIILLPIVGNAAEHAGAIIFAFKNKIDISLGITLGSATQISMLVVPVILIVSWVNAIPMDLDFNLLETGSLAMAVITTAFTLQDDKWHYLKGLNLVFSYIVIAVCFFVMKALPNLGNGLEARCQRKYRKLGIMAWINEEAVSKPSDQVKVQTYHEGLSELIRSVFAACNPNRPITHTPSGQTPLPLLS >ONIVA02G15170.3 pep chromosome:AWHD00000000:2:12000856:12023286:1 gene:ONIVA02G15170 transcript:ONIVA02G15170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPESSHHHLLESGLLEVSKAPSAAVAAEEEEKKEAAAWTPSSSSSMTGRKIKSEASPLLRRLLGGPAAQLQEVLLGTKLYPLFSAVPLAVAAESLRLGRVWVFAFSLIGLAPLAERVSFLSEHIANTVGPTAGGLMNATCGNVPELIIALFALHKNKMEILKWSLLGSILSNLLLVLGSSLLFGGIVNIGKERPLDKRQADVSIGLLLLGVLCHIATLVSKYTSSTGDSINSSSVMQLSRSCAIVMLIAYFGSLMFQLKTHRQIFELEEDSSDSSSSEDDATDKSVIGFASAMVWLIGMAVVTAMLSSYVVTTIEEASESMGIPVRFISIILLPIVGNAAEHAGAIIFAFKNKIDISLGITLGSATQISMLVVPVILIVSWVNAIPMDLDFNLLETGSLAMAVITTAFTLQDDKWHYLKGLNLVFSYIVIAVCFFVMKALPNLGNGLEARCQRKYRKLGIMAWINEEAVSKPSDQVKVQTYHEGLSELIRSVFAACNPNRPITHTPSGQTPLPLLS >ONIVA02G15160.1 pep chromosome:AWHD00000000:2:11980448:11992169:-1 gene:ONIVA02G15160 transcript:ONIVA02G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTSPPSPDLSSARCMDSSLIPALPELWNRRQSATPDDFPIKVKERCLNLFGPNKLKEKKESKFLRFLGFMSNPLSWVMEAAAIMAIALANGVLPGWQDFVGIITLLIMNSTISFIEENNAGNAAATLMGRLAPRAKFLDLLLPLLVAVVRQVAGGVQAPSPRLFPVAISGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPETSRNDLRGEVGVHPQEDDVRVAAAGAKAKKEAEAGGNVSGKQSTAGEGRGAVVLLHPVRASELGSTTMSTVARTSIDINLISKAIAVS >ONIVA02G15160.2 pep chromosome:AWHD00000000:2:11982287:11992169:-1 gene:ONIVA02G15160 transcript:ONIVA02G15160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTSPPSPDLSSARCMDSSLIPALPELWNRRQSATPDDFPIKVKERCLNLFGPNKLKEKKESKFLRFLGFMSNPLSWVMEAAAIMAIALANGVLPGWQDFVGIITLLIMNSTISFIEENNAGNAAATLMGRLAPRAKFLDLLLPLLVAVVRQVAGGVQAPSPRLFPVAISGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPETSRNDLRGEVGVHPQEDDVRVAAAGAKAKKEAEAGGNVSGKQSTAGEGRGAVVLLHPVRASELGSTTMSTVARTSIDINLISKVHWELGFLASLRLNYDSWLCYD >ONIVA02G15160.3 pep chromosome:AWHD00000000:2:11980448:11986419:-1 gene:ONIVA02G15160 transcript:ONIVA02G15160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPWWQFLDLLLPLLVAVVRQVAGGVQAPSPRLFPVAISGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPETSRNDLRGEVGVHPQEDDVRVAAAGAKAKKEAEAGGNVSGKQSTAGEGRGAVVLLHPVRASELGSTTMSTVARTSIDINLISKAIAVS >ONIVA02G15160.4 pep chromosome:AWHD00000000:2:11979988:11986419:-1 gene:ONIVA02G15160 transcript:ONIVA02G15160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPWWQFLDLLLPLLVAVVRQVAGGVQAPSPRLFPVAISGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPETSRNDLRGEVGVHPQEDDVRVAAAGAKAKKEAEAGGNVSGKQSTAGEGRGAVVLLHPVRASELGSTTMSTVARTSIDINLISKCDK >ONIVA02G15160.5 pep chromosome:AWHD00000000:2:11982287:11986419:-1 gene:ONIVA02G15160 transcript:ONIVA02G15160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPWWQFLDLLLPLLVAVVRQVAGGVQAPSPRLFPVAISGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPETSRNDLRGEVGVHPQEDDVRVAAAGAKAKKEAEAGGNVSGKQSTAGEGRGAVVLLHPVRASELGSTTMSTVARTSIDINLISKVHWELGFLASLRLNYDSWLCYD >ONIVA02G15150.1 pep chromosome:AWHD00000000:2:11977264:11977719:-1 gene:ONIVA02G15150 transcript:ONIVA02G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKEPRTAAAGGDSGEGVEDGGDGRGQERQIKAVAGKDGGGNSRGAVTASGNGGGGAKDGGNGRGRRRQARDDGGGAEDGGDVQGWERQLGVAVVAGDDDEGNLASRPFASVASASSSPSPPMPLRAFPFARRASLPPAVYSITPSPVDC >ONIVA02G15140.1 pep chromosome:AWHD00000000:2:11976842:11977178:-1 gene:ONIVA02G15140 transcript:ONIVA02G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHAEVHLYRRLPAPVPAAVPLRPVAPQYPHARRQARRPSRPQCRPPRLTLPASTEREKRDGHHVGQNRFGLSQER >ONIVA02G15130.1 pep chromosome:AWHD00000000:2:11965712:11972230:-1 gene:ONIVA02G15130 transcript:ONIVA02G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEVTVVHHAALVLLALWAAVSAGWAHPAIFLAALLYLFAVNEQYTMRLRKRIQHEERKCANQRRLLSDAETVRWLNYAVEKIWPVCMERVASEQFLLPIFPWFLEKFKPWTARKAVIQDLYLGRNPPMFTDIRVVRQSTDDDHLVLEIGMNFLSADDMNATMAVQLRKRLGFGITANMHITGMHVEGKVLVGVRFLRQWPYIGRVRVCFVEPPYFQMTVKPLFGHGLDVTELPGISGWLDRMLDVAFGQTLVESQTSEVLGINIVQNATFTVLPNMLVIDLEKFASESTDSWFSVDEKPPIAHAKVEILEGADMKPSDPNGLADPYVKGHLGPYRFQTKIHKKTLNPKWMEEFKIPVTSWEALNLLSLQVRDKDPIFDDTLGDCSISINKLRGGQRHDIWIALKNIKTGRIHIAVTVLEDENEKVPNDDDEQCGTPKGGKASTPRSSFSSRTNNESESSEEFRKISAEYEPVDIEGLEKVDVWVHRPGSDVAATWEPRKGRARCQDSRILRENDVYCDSPKSCQSESQRSDSSTEEPANGKSRHHLHKVKKGLGKLAGAMFKSPKKESDDEASPCVTPHPNIKPLGEKRVSVRYVVDEDPSENLSDDQHSSPERGESESPTKRHLRKKAVHMVKHAGNKTAHNLKNMFSRKGSDKSKEGQDDQKDDPDTIVVEPSKVKKDNPDASEVDIDPSQVENDIPDSTAIDPLKVDSNVSNNIVVDALEYASESDAKDKPQ >ONIVA02G15120.1 pep chromosome:AWHD00000000:2:11950298:11962567:1 gene:ONIVA02G15120 transcript:ONIVA02G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRRDEGAEESFVKPLQLKVKDYPLLGVHVSECQIYDLENRMICYQTRRDFTMISSQHKRRPCENKYCREYLVNICNQSAEGVAQKVWNFSKCRGMCSYRLYRKKNWEMLAEILDHAVNTLGYTSVHKLLNKGSYVVAVAEMLTSTPLEIWDLRFAQALSSMEIVMAGKACHQCRQMKRNFAVACTQVKKKGVCPIKYCHRCLLKRYDENDEEVGQMEAWICPKCRGICNCSCCRRKKGQQPTGRLVHTAKKGEQGAADEESTGRSLRIENSVEELLVEGDQIKLKGNIVVNKNFDGESSLAKDKNGSILGYNSAVSSPNKKVLPRGSLVTNILGADLEVKDVGPAIQLYEFCNSFGEIFQIRKGQPEQILQDIERDQEVEIVPELIADFHKNLLSVIKEDRGEKNSIYITNGDAWLNDLGAYINELAFMSKELHLEFVNKGTLGYNKLSPCKLHVLNLLCDEALSTVKLRKLIEEQNGRAAERRNDAKAKLRAAKAKEKELREGLKNDMKEGATPIEGNRNQLISDIKKAKEVKLTAIKEKKLGTVLRSKPLMLEDREAYWKLDGYSNNKMLLLQEFDNENFTGNDIWFEFTEDEEKTIENHAAIRSMLI >ONIVA02G15120.2 pep chromosome:AWHD00000000:2:11950298:11960236:1 gene:ONIVA02G15120 transcript:ONIVA02G15120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRRDEGAEESFVKPLQLKVKDYPLLGVHVSECQIYDLENRMICYQTRRDFTMISSQHKRRPCENKYCREYLVNICNQSAEGVAQKVWNFSKCRGMCSYRLYRKKNWEMLAEILDHAVNTLGYTSVHKLLNKGSYVVAVAEMLTSTPLEIWDLRFAQALSSMEIVMAGKACHQCRQMKRNFAVACTQVKKKGVCPIKYCHRCLLKRYDENDEEVGQMEAWICPKCRGICNCSCCRRKKGQQPTGRLVHTAKKGEQGAADEESTGRSLRIENSVEELLVEGDQIKLKGNIVVNKNFDGESSLAKDKNGSILGYNSAVSSPNKKVLPRGSLVTNILGADLEVKDVGPAIQLYEFCNSFGEIFQIRKGQPEQILQDIERDQEVEIVPELIADFHKNLLSVIKEDRGEKNSIYITNGDAWLNDLGAYINELAFMSKELHLEFVNKGTLGYNKLSPCKLHVLNLLCDEALSTVKLRKLIEEQNGRAAERRNDAKAKLRAAKAKEKELREGLKNDMKEGATPIEGNRNQLISDIKKAKEVKLTAIKEKKLGTVLRSKPLMLEDREAYWKLDGYSNNKMLLLQEFDNENFTGNDIWFEFTEDEEKTIENHAAIRDP >ONIVA02G15120.3 pep chromosome:AWHD00000000:2:11950298:11962730:1 gene:ONIVA02G15120 transcript:ONIVA02G15120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWICPKCRGICNCSCCRRKKGQQPTGRLVHTAKKGEQGAADEESTGRSLRIENSVEELLVEGDQIKLKGNIVVNKNFDGESSLAKDKNGSILGYNSAVSSPNKKVLPRGSLVTNILGADLEVKDVGPAIQLYEFCNSFGEIFQIRKGQPEQILQDIERDQEVEIVPELIADFHKNLLSVIKEDRGEKNSIYITNGDAWLNDLGAYINELAFMSKELHLEFVNKGTLGYNKLSPCKLHVLNLLCDEALSTVKLRKLIEEQNGRAAERRNDAKAKLRAAKAKEKELREGLKNDMKEGATPIEGNRNQLISDIKKAKEVKLTAIKEKKLGTVLRSKPLMLEDREAYWKLDGYSNNKMLLLQEFDNENFTGNDIWFEFTEDEEKTIENHAAISPHLLCRKSSSA >ONIVA02G15120.4 pep chromosome:AWHD00000000:2:11952104:11960236:1 gene:ONIVA02G15120 transcript:ONIVA02G15120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAKLWWMDLKRRDEGAEESFVKPLQLKVKDYPLLGVHVSECQIYDLENRMICYQSAEGVAQKVWNFSKCRGMCSYRLYRKKNWEMLAEILDHAVNTLGYTSVHKLLNKGSYVVAVAEMLTSTPLEIWDLRFAQALSSMEIVMAGKACHQCRQMKRNFAVACTQVKKKGVCPIKYCHRCLLKRYDENDEEVGQMEAWICPKCRGICNCSCCRRKKGQQPTGRLVHTAKKGEQGAADEESTGRSLRIENSVEELLVEGDQIKLKGNIVVNKNFDGESSLAKDKNGSILGYNSAVSSPNKKVLPRGSLVTNILGADLEVKDVGPAIQLYEFCNSFGEIFQIRKGQPEQILQDIERDQEVEIVPELIADFHKNLLSVIKEDRGEKNSIYITNGDAWLNDLGAYINELAFMSKELHLEFVNKGTLGYNKLSPCKLHVLNLLCDEALSTVKLRKLIEEQNGRAAERRNDAKAKLRAAKAKEKELREGLKNDMKEGATPIEGNRNQLISDIKKAKEVKLTAIKEKKLGTVLRSKPLMLEDREAYWKLDGYSNNKMLLLQEFDNENFTGNDIWFEFTEDEEKTIENHAAIRDP >ONIVA02G15120.5 pep chromosome:AWHD00000000:2:11950298:11954295:1 gene:ONIVA02G15120 transcript:ONIVA02G15120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHISNFASAVLKKKKEIKGEYLRVSSPPLRVKENLPSRLLRYLRHPRLNRGEAPISIWRAEQGRGGDPGGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKDYLACLKSTGFQSEKCRQFSKKYLECRMERNLMAKQDMSELGFRSEDVMNPSPNKKNNLESSASGPNEER >ONIVA02G15110.1 pep chromosome:AWHD00000000:2:11932921:11942982:1 gene:ONIVA02G15110 transcript:ONIVA02G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDADAAEVERLYELGERLSSAKDKSQHAADYEAIISAVKGQSVKAKQLAAQLIPRFFRSFPALAPRAMEAMFDLVDMEELATRIQAIRGFPLLAKDAEFVSKIADILGQLLASEENVERDAVHKALMSLIRQDVKSSLQPLFKHVESGSEIREKVICFLKDKVFPVKAELLKPQAEMERYITDLIKKSVLDVTGLEFKLFMDFLRSLSIFGDSAPRESFQELIEIIQAQADLDAQFNVSDIDHIERWTSCMYMALPIFMRGGSSSKFLNYFVKQIVPVFDKIPEEKKLDLLKTVAASSPYATAQDARQLLPPVVQLLKKYMPGKKVEDINHNYVECLMYTFHHLAHKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHYKDFTERLTGTEETVRAVSKRLTQGMADFNKAISSAKTEEEKTKIKSDQQKSTMTMRAYNNILAMAQPLRAKSPLFIGDKKITLSWMEQPKKPAPTTTGGKRSQPATNGNTPASKKGRGEGAARNQLVNRAFEGLSRGGRGSGRGRGRGGRGRGWGYR >ONIVA02G15100.1 pep chromosome:AWHD00000000:2:11927816:11929263:-1 gene:ONIVA02G15100 transcript:ONIVA02G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMTSVEQFYQDCSYQVAFLCAFDEVADFYRSQLITSETRRGIVVATALEVDKASNCLSTIAATTMMFLHNRDYQRYALLEGTTSQYFAITFEEPLTKCMCNLFKMDAEVRNSLLKEPTLHDAIKIAVTYRKQELLQLEEQNNDPAEPEVVIVEDDEVVIEPVPKKKRTGNKGFTIPEELGRGGANAIGPPPPLRTPPTSPLPPPLLLFLLQYTTKKI >ONIVA02G15090.1 pep chromosome:AWHD00000000:2:11921230:11922627:1 gene:ONIVA02G15090 transcript:ONIVA02G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGLCIINGHCRGFLGPCVGRVTCTSLPLAKMITLFVAKAIRCAQIIAKSLEKLKPQEMKEKPKKTVEGARRDGEAVAGLEGDQWHRCLVLEVSWIPSEQRWRRHVSAGPREEMETGGVA >ONIVA02G15080.1 pep chromosome:AWHD00000000:2:11907166:11915886:-1 gene:ONIVA02G15080 transcript:ONIVA02G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:negative regulator of systemic acquired resistance (SNI1) [Source:Projected from Arabidopsis thaliana (AT4G18470) TAIR;Acc:AT4G18470] MATRRAPPPAAAAAADGSIEENAMAILDTAGIKDARDLHDDRCAFLETVRSACLAADSPSPPSWRMYNAVFQILQDSSSLELTMASLHLLMELGKQYPRAYLTDSGSGQALVAVKEAWSPFHLRSDVGCGEIGGNNRHLDHLFDSSRFSSLIEDMVETANDTDANNGIEHIKNMVLLEYLVSTLEADFVPRQIAYKESLDWVIFRESLLQMLLVSRKVAFKSLVKNCISFLLNQYNQGVEDGISSKEGSAKSAPDLESSLAIISFEFERKALASVQKLFTMVMNLDLIRKEADTMGLTSRADGCRNPILDVILDELTYNISYLSPFLLIFVEWKWKLEIILQYFSKYCGKPAVRTRRSDNSQHDLTLENVLTLFSMAATTKAIVKKMSSEVVQLLLANAYQVCLHLECDSSKDSDTTKKIGATLLQISESFVSAFHNMRKINPDMQLSPFEKEALFTAASMARTLSMVAHFVRDILLVPVSSVSSKQTFRKVRRIIEEQRSCLALEINDAIFCRKD >ONIVA02G15070.1 pep chromosome:AWHD00000000:2:11903188:11906015:-1 gene:ONIVA02G15070 transcript:ONIVA02G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: protein complex assembly; LOCATED IN: mitochondrion, chloroplast; EXPRESSED IN: 19 plant structures; EXPRESSED DURING: 9 growth stages; CONTAINS InterPro DOMAIN/s: ATP11 (InterPro:IPR010591); Has 304 Blast hits to 304 proteins in 167 sp /.../ Archae - 0; Bacteria - 0; Metazoa - 101; Fungi - 112; Plants - 39; Viruses - 0; Other Eukaryotes - 52 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G34050) TAIR;Acc:AT2G34050] MRRLPLRLLRSAASSPRRRPAPSPPPSPNPAAPPALLSRWGWGWAPPRRGYSRFATGFTPLQPKPLASILDVERASGLSPDHLVAAWDDYHLGRGHIGASMSAKLYHLMEQRSATCRHFVIPLWKGTGYTTMFMQVQMPHMIFTGLEDYKARGTQASPYYTITHYTEFAETKDTVLIRGDVVFTSKLTDSEAKCLLESAHSFYLNDVRYRLVERFNKEPHEFEFKDVLQVLEMPTM >ONIVA02G15060.1 pep chromosome:AWHD00000000:2:11892222:11894108:-1 gene:ONIVA02G15060 transcript:ONIVA02G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like 2 [Source:Projected from Arabidopsis thaliana (AT5G45970) TAIR;Acc:AT5G45970] MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIHKKWIPELRHYAPNVPIVLVGTKLDLREDKQFFLDHPGLAPISTAQGEELKRMIGAAAYIECSSKTQQNVKSVFDSAIKVVLCPPKPKKKNTRKQRSCWIL >ONIVA02G15060.2 pep chromosome:AWHD00000000:2:11892222:11894108:-1 gene:ONIVA02G15060 transcript:ONIVA02G15060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like 2 [Source:Projected from Arabidopsis thaliana (AT5G45970) TAIR;Acc:AT5G45970] MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIHKKWIPELRHYAPNVPIVLVGTKLGKMTWHFLQTEEKTLATKSDKNRILADLREDKQFFLDHPGLAPISTAQGEELKRMIGAAAYIECSSKTQQNVKSVFDSAIKVVLCPPKPKKKNTRKQRSCWIL >ONIVA02G15050.1 pep chromosome:AWHD00000000:2:11887564:11891801:1 gene:ONIVA02G15050 transcript:ONIVA02G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLAKLGTLALKTMSKPIAIRLKTEASRHPQFRQLIINLAQTNHRVSTNIQRRIYGHSTKVEIRPLNEEKAVQAAADLIGELFVFSVAGAAVIFEVQRSARSEARKEEARRNEVEALRQKEDQLAREIEIVKQKLGEIECQANSRGLSGVLFRSSSAPDQTKAS >ONIVA02G15050.2 pep chromosome:AWHD00000000:2:11887564:11892754:1 gene:ONIVA02G15050 transcript:ONIVA02G15050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLAKLGTLALKTMSKPIAIRLKTEASRHPQFRQLIINLAQTNHRVSTNIQRRIYGHSTKVEIRPLNEEKAVQAAADLIGELFVFSVAGAAVIFEVQRSARSEARKEEARRNEVEALRQKEDQLAREIEIVKQKLGEIECQANSRGLSGVLFRSSSAPDQTKAS >ONIVA02G15040.1 pep chromosome:AWHD00000000:2:11865817:11870111:-1 gene:ONIVA02G15040 transcript:ONIVA02G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQMLLLLLVVLYELVLQALAFLPQVLMPPVRGGKNHLLIARNDQVLLFWCCCAAGGNAMDQVRMLSKRVADLHYL >ONIVA02G15030.1 pep chromosome:AWHD00000000:2:11864536:11865063:-1 gene:ONIVA02G15030 transcript:ONIVA02G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLNQPFNWDEVEDLEGEIPDLNYDYVCYLENEDEEGGHEDVDNTAARGDNNDGGGGGDNDDGSRGGGGGGDNDDGGDDGGGSGGGDGDNDDGGGSGGGAHAGTACLLDEPLHVAMHAQYHANHE >ONIVA02G15020.1 pep chromosome:AWHD00000000:2:11862470:11862649:1 gene:ONIVA02G15020 transcript:ONIVA02G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRTRVLCDAAVRMRQQAQMDIALARMVRLHALRMRLQEERANHVNDCEDSDGCRNL >ONIVA02G15010.1 pep chromosome:AWHD00000000:2:11817850:11818983:1 gene:ONIVA02G15010 transcript:ONIVA02G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNCNTFSTIVAEAVSGSHVIKIAGYSRIKVLLRNGESLTSIPFSVAGHSWTIRFYPNGDSAESQDYLSFYLILDSANSYDVKVIFSFELLGKNGRSVSSYSFTTDLRTFSYKGSLWGYNKCDIKVFKEIYSQETKGVHSKFVEVPPSNLHQHLGNLLDSMDGSDVVFEVGEERFSAHRCVLAARSSVFKAELLGTMKEKADGAIQVDDMEPGVFKSLLHFIYTDTLDTMAQEDQSRDEASEEEDLVMAQHLLVAADRYNVERLKLICEEKLCESIDSSMVATSLALAEQHNCNGLKEACFEFLASPSNLLEMMASDGYDHLKTSCPAVLKELTTRFLPPETKASEEITIGLYN >ONIVA02G15000.1 pep chromosome:AWHD00000000:2:11810204:11810476:1 gene:ONIVA02G15000 transcript:ONIVA02G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLPPVSYNHFRLTKKERWVARVDDEDGGPVLAELGEGVDEEDLNEARLAAATALQDGTPVRLDALSEEEDDGVFTGHWRGHSSGGLI >ONIVA02G14990.1 pep chromosome:AWHD00000000:2:11802542:11805102:-1 gene:ONIVA02G14990 transcript:ONIVA02G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDERQEAVVVAEEAEQTKEMERVGGNSSSSKRSEPVDLVKEEEEDGEDWFDALLRKLGHPFTVDEKGRPVDDADDREKGIWNASMVYMRAQNMSSEYDMVPNNLVLYPMMFEKRRWYHCNILGCKRLNDSTGEHSTQQRFFVELIINGGFIYNVLSCTKVDGDIDNNLCIACPPNSGIVHPPAGGFICGVSKDQKIFKVPFY >ONIVA02G14980.1 pep chromosome:AWHD00000000:2:11787553:11788654:-1 gene:ONIVA02G14980 transcript:ONIVA02G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTNNTSSSVIATETTSASHVIKIDGYTVTKDLMENGEFVSSIPFSVGDFLWNVRYYPNGNCSKNADYLSFSVFLESHWAEDVKAKFSFKLLDTNNKPGFSSKGSHRKPSVEVPAGRLDLHLGNLLSNKKMNGKDVTIYVGPLKSKIWKLGVFRLLLHFMYNDSLPETWSQDAMMAQHLLVAADWYNVGRLKLICEEKLAKHIDCNMVATTLVLAEQHSCQGLKEACLEFLASPTNLERMMRTDGYKHLKISCPIVLNELIARLLPPNMKAARQIAMDLR >ONIVA02G14970.1 pep chromosome:AWHD00000000:2:11753662:11754447:-1 gene:ONIVA02G14970 transcript:ONIVA02G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDCNTISSAIVAEAVSGSHVMKIDGYSKTKALIKNEECLSSTPFSVAGYTWTIRYYPNGQSTECREYLSLYLFLDSFARDVKAIYSFKLLDKNGRPLLLNSIASPVRTFKLRGTGWGYPMFIKSKDLKASESLRDDSFSIRCDVTVMKPICSKETPAMPKPSVEVPPGDLHQHLGDLLKNMDGADVTFDVGQERFLAHKCVLAARSSVFEAMFFGATRAKPRRSNIKIEDMEAGVFRSFLHFVYTDLLPDTSQDVVMAQ >ONIVA02G14960.1 pep chromosome:AWHD00000000:2:11751222:11753577:-1 gene:ONIVA02G14960 transcript:ONIVA02G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNLALAEQHRCHQLKEACFKFLIDSPSNIESMVESDGYEHLKTSCPSVLNELAARLLPHETKAAKQITMALSVPP >ONIVA02G14950.1 pep chromosome:AWHD00000000:2:11750729:11751200:-1 gene:ONIVA02G14950 transcript:ONIVA02G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNITSSAIVAEVVSGSHVVKIDGYLRVKLMENGKYVSSVPFSVGGHSWFIKYYPNGNNTDSKDYMKANFSFALLDKNGKSLQSYSQSHPLHTFMGKSSDFGYSKKLIKLEGSVHLMDDSFSIKIDVIVMKDICSKETTQKQFVVVPPGDLH >ONIVA02G14940.1 pep chromosome:AWHD00000000:2:11746175:11750701:-1 gene:ONIVA02G14940 transcript:ONIVA02G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTDVTFDIGQDIFSAHKCILAARSSVFKAEFFGAMSAKARRTIKIEDMEAGVFRSLLHFIYTDALPETALDVVMTQHLLVVADRYNVERLKLICEEKLSKHIDSNMVATTLALAEQHSCHGLKEACFKFLSSDANLERMKASEGYEHLKVSCPSVLKELIARFISPEMEAARELTMDLQMANHCNNTSSVTVAEVARGSHVIKIDGYLRTKELMENGKYVSSIPFSVGGHSWFITYFPNGVNTESKDYLSVFLTIDSACAGGVKATFSFALLDKNGRSVQLYSKLYPLHTFTEKGSDWGHSKFMKKTDLERTVHLSNDSFSIMCDLTVMKDICSKETTQKQFVVVPPSDLHQHLGDLLLKNMDGTDVTFNVGQDIFSAHKCILAARSSVFRAEFFGAMSAKARRTIKIEDIEAGVFRALLHFIYTDSLPETAQNIVMAQHLVVAADRYNVGRLKLICEEKLSKHIDSNMVATTLALAEQHSCYGLKEACFEFLASRSNLERMMASDDYEHLKISCPSVLMELVARFLPQQEK >ONIVA02G14930.1 pep chromosome:AWHD00000000:2:11730878:11731684:-1 gene:ONIVA02G14930 transcript:ONIVA02G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSTVFLVLSLTLATVSVVVVSGAGEAPSPAPTGPLNLTEILTKAGHYNTFVRLLKDTEVTSQVSSLLNNDRNGDGLTVLAPTDAAFGRLRPGTLNRMDAQAQAELVLYHVLPRYYGFVTFETTTNPVRTQASGQRGVCTVNVTTAGEDRVRVSSGVVEAELGRPLRDGHPLAVYSLDAVLLPPDMFGPGAKKDYGAADAPAAAGKHGKPQTASSSSVAAAPDEAPSKEVDATATAAAGRMAPAGWAAAFAGVVTAVAAVSLLSY >ONIVA02G14920.1 pep chromosome:AWHD00000000:2:11726167:11726445:1 gene:ONIVA02G14920 transcript:ONIVA02G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVGAAVVLTTCCRCPCALNPTKVTDEHEKEADGSGLGDADIEQEEPSPPARGATSCWPRARRGSCTGMPWRTRGSRRRELRPPQCAYAS >ONIVA02G14910.1 pep chromosome:AWHD00000000:2:11715625:11716428:-1 gene:ONIVA02G14910 transcript:ONIVA02G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTTLILLLPLLAAAAVAAADVAPAPAPPAAQTINLTGILEKGGQYNTLLRLLNATRVGEQLGSQLKTTYDGLTFFAPTDAAFAALRPGTLNGLSDQEQVQLVLYHVLPRYYTLATFQTASNPLRTQATGPAGVYTVNVTTTTGQSLVNVSTGVAAVPLGTTLSADFPLAVYSVDGVLLPEQMFGKAKAPAPAAAPASAAGKGANKEHKKGGAMPKNEVAATAPTAGAGGEDSDDSATTNAAAVAGAAGVVWTAALVGIANLVIA >ONIVA02G14900.1 pep chromosome:AWHD00000000:2:11708176:11711805:1 gene:ONIVA02G14900 transcript:ONIVA02G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEHRFYDSNGDVSRYMLDDMEFLPLFGEAEAATTLPLPVLPDVEPLPTAPAPAATAHVEPAPAADFGLGNPVLADLGFDVDLDFFPELNFQSPPPPPAMNAGGYTHQVQASPPVMHHQQQQQQPLAPLPAAHGFAGQPAPATTMAPSGGDDGLFLAAPSRDAPCSPVMFNFMDFNVDMGDVDMDDVLMWADQDTHGAAAGGDTAPPVVVDEYADFVPFQAGDLDCSNCHLVREMMHANASRTIYFLVHATGVGSFQHAIVDRRYTATGAERLHFPGRQLLYFDNVEKLKNDTTGHHFLDTGYNFSGAVRTDMANSHTAMEMNMLHTIVSAPFENVTTDAASPPAAQFIGAPPAAELPAPVPAPAPPAAHEQNAVVATLLFKVEEFYAAANSRPAAKRADVKILESSQVTQQAGGSSAATATMYPSMVDRKRKRAQATPSRMAPHEVIQYLRATAVETDKELETLNNFFKVCDGEDKALITFSVEQIRSIKKKIGRIINKPVTAMSSRRMARFIDEIDTIKEEKARVFEEIIKILKNPRRKRENDGSSGSNRKNVGGSSGGKKKTVGGSSGSKKKNVGRPSAKKAQK >ONIVA02G14890.1 pep chromosome:AWHD00000000:2:11691330:11692731:-1 gene:ONIVA02G14890 transcript:ONIVA02G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWFHSSSSSSSSSSSTHHHHRHVVHHASTYFDGDDDEGDVKPAVTQQWRYDARAAASYGGGEDVKPAVVVKQPPLPRPRGRKLHGVRRWRPGRRGRCWAMASAPAVACFELQAKDKALAKAQGEISRLKAQLGSAKARELEEARQALEYERKLGTQVLKSDGAAAGASKRRRGGQ >ONIVA02G14880.1 pep chromosome:AWHD00000000:2:11687606:11692638:1 gene:ONIVA02G14880 transcript:ONIVA02G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHSSPRLLLRHRLLLPPSRDLLPAASASSLRLRRSVAVRAEPELSTSAAEPPPGDDGEGDGPVELRTPTLFSIDENPTPLQTATSVLLTGAISVFLFRSIRRRARRAKELRVRSGGVEKPNNLSKEALEGLRLVSASPIEVDKPPSPVQALLGGIAAGVIALILYKFTTTIEAALNRQTISDSFSVRQITITIRTIINGICYLATFVFGINSIGLVLYGLQLTFASIMGDDNSSSAAEKISEQSNTMASSNSSTDSTSDNESTSNDKSKG >ONIVA02G14870.1 pep chromosome:AWHD00000000:2:11674279:11678498:-1 gene:ONIVA02G14870 transcript:ONIVA02G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVIGKATDVLVQKVTRMYGVDGDHPKLERQLLADAESKSETNPAIKRWMKDLNAAADEADDMLNEFQYEALRREAMSLESLGHKVRSCMTPLEFRFTMSRKLAKVLKNINELVEEMNMFGLLLQDEPVHQLPYWQTYSALPSNELDDIFGRDDDKEAVVIKLLLDQRDQHKWENLQVLPIVGMGGLSKTMLAKMVYNDYRVQNHFELKMWHCVSDNFEVVSLLKSIIELATNKACQLLDNVELLQKELHKVVGRRRFMLVLDDSSVGGGDGSAILVTARSQQVASIMGTLESHNLAYLSDDDSWELFSKKAFNKGVQQQAELVTAGKLIVKKCKGLPLALKTMGDMMSSKQQVKEWETIARSNIGDNDRGEDDILPVLKLSFRHLPPEMKQCFAFCSVFTKDHEMDKEVLIQLWMANGFIQEDKTMGLEQKGEYVFRKLVWRSFLQDVKQERTVNPTGSIYRMLHISNESNSGIAQNIILSPHFDNSVVTTRSSIQSIYDILTFLGLTWMCCQYLDLSGSDMDVLPSSICTMYNLQTLRLNCCKKLRYLPEGMRTMSKLIHLYLFSCPLKRMPPNISLLKNLRTLTTFILDTEPGRGIEELKDLNHLANRLELYNLRKINSRKNGKDANLHLKQDLRCKDLSTVWFLVSIECMSLSKMENLTTLFMNVVGVKAEGYYIPLQIFPRLKDMTLSQLSNLEKWTESTAGEANTSLVTFPKLATLCISDCPKLASVPDCPVLKELKTYGYCSLAMSSLAHLTTLSELIYRENESMRMSLGSWPSLTKLHISSSYNQMATLEVDTNQGPLENLRILRLYGLNFFTAASGLSKMHLGLWKCFAFVEDLCIGACNDLVHWPMEELMSLIHLRSLSIEHCDNLEGKGSSSEEIMPLYYLEKFHIKDCKSLLDIPTIPASLEELCLLLCPRLVALPSNLGNLARLKTMSFEHCHDLKELPDGMDGLISLEELKITGVPRDGEISTGSPPLDSDPSIPNGSRLP >ONIVA02G14860.1 pep chromosome:AWHD00000000:2:11672344:11673717:1 gene:ONIVA02G14860 transcript:ONIVA02G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFKIKISSSMKQQVLFQTRYMTAFDTFFMLRSWLVSVSMERNSGVTGGVFSLKRAVLLKNSSNNTGKIEMQEAKISPWIASNSTEYLCSRRLIDIIFVTLIQLPEENYALIFVFINCRGDLRLLLIPEILLMLFIFKNKLYVRCRKRLQRSPEAWRLRLSHKVYVNTTTLKNTTTLNQQCRSWVDVAKGMADEASLWHKANPAIPALQFRREGVFSSRQN >ONIVA02G14850.1 pep chromosome:AWHD00000000:2:11666616:11669916:-1 gene:ONIVA02G14850 transcript:ONIVA02G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENFGTSTSRGSESPENFEVFPPKAVAMHRLRWTMNKGSEKWLCYGGAAGIIRNVLRHFFAAVLKFS >ONIVA02G14850.2 pep chromosome:AWHD00000000:2:11667638:11669916:-1 gene:ONIVA02G14850 transcript:ONIVA02G14850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQENFGTSTSRGSESPENFEVFPPKAVAMHRLRWTMNKGSEKWLCYGGAAGIIRCQRI >ONIVA02G14840.1 pep chromosome:AWHD00000000:2:11661089:11666692:1 gene:ONIVA02G14840 transcript:ONIVA02G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQVDLIERRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSQIPGHGHIQQRLHPGLSSSQNRATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMEDDGKRQMDFVVDEDNWSD >ONIVA02G14840.2 pep chromosome:AWHD00000000:2:11661089:11666692:1 gene:ONIVA02G14840 transcript:ONIVA02G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQRRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSQIPGHGHIQQRLHPGLSSSQNRATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMEDDGKRQMDFVVDEDNWSD >ONIVA02G14840.3 pep chromosome:AWHD00000000:2:11661089:11666692:1 gene:ONIVA02G14840 transcript:ONIVA02G14840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQVDLIERRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKDPLNSLQPSSSSKIYSAQQRTGKSSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMEDDGKRQMDFVVDEDNWSD >ONIVA02G14840.4 pep chromosome:AWHD00000000:2:11661391:11666706:1 gene:ONIVA02G14840 transcript:ONIVA02G14840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAPARPRRSSLTGTPHRFYRPLSPPRRGTQLLCAAEWMWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQRRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSQIPGHGHIQQRLHPGLSSSQNRATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAGATFITIVQYFILQFHPKYHFDKDS >ONIVA02G14840.5 pep chromosome:AWHD00000000:2:11661089:11666692:1 gene:ONIVA02G14840 transcript:ONIVA02G14840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQVDLIERRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMEDDGKRQMDFVVDEDNWSD >ONIVA02G14830.1 pep chromosome:AWHD00000000:2:11657238:11661331:-1 gene:ONIVA02G14830 transcript:ONIVA02G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSAQRAPTEDGGSPTSSQTAARRAIAEARELAETPPLLAGDERSAGNGGGAGAHRGPSSPERQRGLGGRRQQRESFWGPPLPASNEGSEDDGGSGDLERRESSSAPSTSGRRQGDGAPRAMVAARIQSDGDPDGGERGSRESSRQPVKESNCMAESLLLPVVRGVIGKATDALVQKVTRMYGVNSDRRKLERQLLAVERLLADAESKSETNPAVKRWMKDLNTADDEADDVLDEFQYEVLHREAMSLKSLGHKVRSYMTPLEFHFTMRRKLAKVLKKINELVEEMYTFGLLLQDEPQQLSYRQTYSVLPSNELDDIFGRDDDKEVVVIKLLLNQRDQHKWAQLWGWEV >ONIVA02G14820.1 pep chromosome:AWHD00000000:2:11656287:11657217:-1 gene:ONIVA02G14820 transcript:ONIVA02G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNDYRVQNHFELKMWHCASDNFELLQKELQKAVGQRRFLLVLDDVWNEEKKKWEEDLKPLLSSVGGGDGSVILVTTRSQRVASIMGTLEYHNPACLSDDDSWELFSKKAFSKEVQQQAELVTAGKLIVKKCKGLPLALKTMGVFPNDHEMDRKVLIQLWMANGFIQEDETMGLEQKGEYVFRNLVWRSFLQDVKPIKTFSFTADESIICCKTHDLIHDLAKDDIPNFPMIMK >ONIVA02G14810.1 pep chromosome:AWHD00000000:2:11648304:11656197:-1 gene:ONIVA02G14810 transcript:ONIVA02G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDFGTDLRLMSLSALGIVCIRACPSHSQVINPKHLRYLDLSRSYMDVLPSSICTMYNLQTLRLNDCLLLTYLPEGMRTMSKLIHLYLLRCPLKRMPPNISLLKNLRTLTTFVLDTEPGRGIEELKDLNHLANRLELESYGQAGTVVVDTVEVISPSLPRVFELAAPVYFACLKTRDVREEEVLESLKPHAKLKILELHGYSGLKIPQWMKDPQLLQCLTNLHISNCPGCKDLSTVWFLVSLESLFLSKMKNLTTLFMNVAENTVGEADTILVTFPELAKLYIFDCPKLASVPDCPVLKELNSARSCSLAMSSLAHLTTLSELIYEDNERVRMSLGSWPSLTKLDVSSSYTKMSTLEVGTKQGPLENLRSLTLYRLNCFTDVSGFSKLHLGLWKCFAFVEDLSISGCDGLVRWPIEELMSLIHLQSLSIETCRNLEGKGSSSEDGQLHIPMLPASLEKLCLVYCPRLVALPSKLGNLARLKIMSLQHCYDLKELPDGMDGLISLEELKIWNCPEIEKFLEGGEYFDLVSSVQHIDISKPETELAEWPESETEQTESRCNKNKQLVKRLLPSFLTLE >ONIVA02G14800.1 pep chromosome:AWHD00000000:2:11633320:11643688:-1 gene:ONIVA02G14800 transcript:ONIVA02G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMLGLRGSASKDRDRGRRGGDEASPGPGSPWTPSSSASSPRSPFAGGGGGRPLRLVYCDERGRFRMDPEAVAALQLVKGPVGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWMWSAPIKRTALDGTEYSLLLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRANGGKSTASELGQFSPIFIWLLRDFYLDLVENDRKITPRDYLEIALRPLEGRGKDISSKNEIRESIRALFPDRECFTLVRPLNSENELQRLDQIPIEKLRPEFQAGLDELTRFILERTRPKQVAGTVMTGPVLAGVTQSFLDAINNGAVPTISSSWQSVEEAECRRAYDSAAEVYLSAFDRTKQAEEDALRDAHEAALRKALEAYGTVAVGTGTSRMHYEKVLSNFCRKTFQEYKRNAFLEADKQCSNMIQIMERKLRAACSAPGVKVSNVIQVCTDIYAPLKDSNGDDILNLLLSGDELDENLQYATYLLVNLEGPILDLCLKLVNEAESERTSFALKYRSNEDQLELLKKQLEANEAHKSEYLKRYEAAISEKQRVSEDHSAHLANLRTKCSTLDERCLSLSKELDLVRHECTDWRVKYEQYVTQQKAEQDGFISQLATLESRYSSAEGKLGAAREQAAAAQDEATEWRDKYETAAAQAKAALERLASVQEQINKIAHERESGIRAEFASHLEEKEEEMKRLVAKIRHAESEESVLAERLQVAESKAQSHNKETAALKDEIRELTGKLEFLRDRAVSFEKQARMLEQEKNHLQEKFLSECKKYDEAEERYKAAEREAKRATELSDVARTEAVTAQKEKDEAQRLSMEKLAVIERIQRQVDRLEQEKVNLLDEVQKMRKSETDALSKVALLESRVAEREKEIEELMIQSNEQRSSTVHVLESLLSTERAARAEANKRAEALSLQLQSTQSKLDVLHQELTSVRLVETALDSKLRTTTHGKRLRENEVGMESVQDMDIDRPERSRKRSKSNTSPLKHFQSEDGGSVHMGEDSVTVSTDTKDGNPDGYKKLTIAKLKEDLTKHGFGAQLLELKNPNKKDILALYKKLVLGK >ONIVA02G14790.1 pep chromosome:AWHD00000000:2:11627958:11634391:1 gene:ONIVA02G14790 transcript:ONIVA02G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKLLQVERGATEEELKKAYRKLAMKWHPDKNPNSKKEAEAKFKQISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGAGGPGGSSYYGGDGSTFRFNPRSADDIFAEFFGFSSPFSSMGGMGGMGGMGGGVDRGMRGSKFGMYDNDIFGSFSQFPGEASMHAPQRPQKAAPIENRLPCNLADLYKGTTKKMKISREILDSSGRTMVVEEILTIDIKPGWKKGTKITFPEKGNESPHVIPADIVFVIDEKPHDLFTREGNDLVMTQKISLAEALTGCTVQVTALDGRNLTVPINNVVYPGYEEVVLREGMPIPKDPSKKGNLRIKFNIKFPSRLTSEQKSEIKRLLAS >ONIVA02G14780.1 pep chromosome:AWHD00000000:2:11620075:11624555:-1 gene:ONIVA02G14780 transcript:ONIVA02G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDAAILAAVLAFLLPLRLLSLLARLSLTGSAGDLRRPCAAFALSAALLAAIFALPRDHARECAAASVVVPDDGEGAFRGEVRSDIEQLKLQLARLESMWDNNSKPLDGKSGPLEEDGEVVRAMGLDIQSLINEHENIKIQILMDESRKMNSNIHNIWSMAKDTDNRVSALHSDVNMVLMDESRQMNSNVRELWSLAKDTERRVEGLHSDMRKVQILIDESRKMESSIYKMWSFAKQTEKRVEDLYSDVKKKCCIFVGTTAA >ONIVA02G14770.1 pep chromosome:AWHD00000000:2:11614001:11614324:1 gene:ONIVA02G14770 transcript:ONIVA02G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFTSPMFHRLPAEDNDAPASVSSSSADADEHRGLKVALRHRGRRSGRQGGWGKASAAVMEAVRLEEPERRRAKEEVGRRLEGWLAALSARLGGDDGDKGRRGGR >ONIVA02G14760.1 pep chromosome:AWHD00000000:2:11606361:11611736:-1 gene:ONIVA02G14760 transcript:ONIVA02G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASCCCAPPESVSHTRRISYKYSGTSYPTRTTTTSSSAPEFTNKKQSTAMAPTTAAAAASSNGGGESDGSSKEWRLTAPTRGGAMAAAGDKMSIRAVRYKISASVDDRGPRPVLPLAHGDPSVFPEFRTAAEAEDAVADALRSGDFNCYPAGVGLPAARRAVADHLSRDLPYKLSSDDIFLTAGGTQAIEVVISILAQPGTNILLPRPGYPNYEARAAFNNLEVRHFDLIPEKGWEIDLNSLESIADKNTTAIVIINPNNPCGNVYTYEHLSKVAEVARKLGILVITDEVYGNLVFGSSPFVPMGCFGHIVPILTIGSLSKRWIVPGWRLGWVAICDPKKTLQETKIATLITNFLNVSTDPATFIQGALPNILKNTKEEFFKRIIDLLTETSDICYRGIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDVDFCCQLAKEESVILCPGSVLGMKNWVRITFAIDSSSLLDGLERIKSFCQRHKKKNPLNYI >ONIVA02G14750.1 pep chromosome:AWHD00000000:2:11594558:11595038:1 gene:ONIVA02G14750 transcript:ONIVA02G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGKPAAGVGGCSKEAGERRRAAKNNKRRRTEKAEDADGGCGGDEDQVVTSKAMEAVSVPEEVLRAKKKNGDRRGRSKEAEERRRAKKAADKKRRAAAKKLRTEEAEAGRDDDGAKEAEEVQDFSVL >ONIVA02G14740.1 pep chromosome:AWHD00000000:2:11585153:11585809:-1 gene:ONIVA02G14740 transcript:ONIVA02G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPCRLAISVILLCCFSLLPLAHARLLLSDKNTLNDSKAFSIKGGDGAGGGRGFGVSISHGGHDISIGIGGGFGGGAGTTRGGGASVGGGAGGGVGIDVGHGGVDVGIGGGGGAAVNGGGVHAGGGGGGGVGVHIGHGGVSISTGGGGGAGGGESAGSSGGGFGGGNGVGRAGNAVGGGGGSGSAIGSSGSGGGSGVGSTSSAIGGGSASGGGQG >ONIVA02G14730.1 pep chromosome:AWHD00000000:2:11574952:11576130:1 gene:ONIVA02G14730 transcript:ONIVA02G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNPNPQRFLRQGHVVQVGGDFCIPRVDLTVPQRPARHHEDFCVAIVEPIPLEQDWDHHRALIANFIQDKLHYETECWEGFLLGQRTGTRILFRERLFSLILLALGVGRGESWTILVFMLEGDLINFPTEEDLPPAGPQPGPDDAVDEDPDDGNVWQFGNPGNQGAGGWDEAVQQQQAANEQQEDAWGQDHPMGQIEENPGQLILPQQIATPLSSARKETVQDPILDPQVQEFLARLNRIARNEAPRHPYFYPMKGILDKIDFLCKAKGIMQILIQDKPIPAALRMANPFSTLVLPRN >ONIVA02G14720.1 pep chromosome:AWHD00000000:2:11570163:11570524:-1 gene:ONIVA02G14720 transcript:ONIVA02G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAPDNGAVGCLASAVEGPITFDLVLFLFPRISLLAVSLPPPMPVRVFSVPSIAAVPLGRASACGAAFSSADAAAVCRYASRRHWSGTAISGGKGSW >ONIVA02G14710.1 pep chromosome:AWHD00000000:2:11564323:11570704:1 gene:ONIVA02G14710 transcript:ONIVA02G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIRVPYSTILNFLFKMHQISYEKYMHDEEFKPLTQYKEAEPIMDNIMTEKAKLVSRALLIELYFLHKMGKCPQHFDESNVFIREDGIAEIRECNLDDKSDSKVFENYQDAHKIIVEIVLQQHKDDIPKDVKHLLNLMNNPNKAISMELEYLICTHASLVPLRNRETFFLWMYRHIMFMLPCDKPAGDMPYKSYWHKKLKGDNLLKKLFRREKDMCYKKEITDFLKSYRNAIVHGMDKYSEERIRYTPDDIQLILLITFPMLLPRMQEELWENKQLEDLQLDSLFGSNMDKEFGVIMDPSAVSNCNKSNNFSFQVGSMPISANQDTNKNDIGVDSLPTKNTHHVSYAEYIRDLEFKPLIEYIKHTPVMLVGPTRQRVYRLMTEEAKFVIRALLKELYFLHKRGKCPRNFDESNVFIREDGIVQLRGSELDDKNDSLVSKNYKDAGKIIMEIVFGQHKEDIPEDVMHLLNLMNTGDKVISMDLEYLICTHASLVPLRNREAFFLWMYAFIMFVLPCDKSTEKDEIINALRKLDWGGKLQGTSLLRKFSWCKRDGSEEEIDSFLNSYRDTVYHGMDECNGNGKRYTPDDIQLILWVTFPMLLPTMQQELWKKKQLRDLKLDGLFGSTLQNTAAASALENAAPQAEARPRGTAAMEGTEKTRTGIGGGSETARSEMRGKRKRTRSKLQQSRGQGCWPIAIHVIGPSTADARHPTAPLSGAAAAAAAAAIQATTAAPLLLKGERRGRGGERVGDRGEVSKKVIDKWVPLLTSKSMVTTRSRFPIHRKR >ONIVA02G14700.1 pep chromosome:AWHD00000000:2:11556912:11558629:1 gene:ONIVA02G14700 transcript:ONIVA02G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVLMQGGTESAMAQQVLIPLTQYVMMAHTLMMHINKNLFGKNSATKFHVLTEEAKRLIRTILKQLYFFHRNGQCPEKFTESNVFVTSSGRAKLIGVKLGDKNDTMVFQNYQDAHRIIVETVFQKRWKEIPADMMHLLRLMRSRATAIRMGYVICTHASLVPLENREPFFMKINILQALPYDLDWYNKLQGNDLLEELFSSNKGGYGNGSTEFLRFYRNATVHDMDHYYKRRYTPNEFQLILAVTYPLLLPRMQEELEKEKHLRILRLDSLL >ONIVA02G14690.1 pep chromosome:AWHD00000000:2:11549589:11554084:1 gene:ONIVA02G14690 transcript:ONIVA02G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G5C3] MPSPLQLSGCSLQELLLMSPPPGSARRNRSRHRGAGLDESLEMVAAPAVGTPPRRRRRVAAEQCAAPAVASPRNGRRARRRLEKEIEVEDDAARRARRRKSTRAAVTKAAPAAADKVATAAADKEDTSMALVLASTDAIRGADALEQSGWEDLWERIVDLVMWKNVAKSALWFGLGSMFFFSCSFSREITFSPISALCHLGVMVLGLAFFKDSIPQSRQQVERGRSFRLTEDDVLRAARAVLPVANSMISTAQVIFSGEPSMTLKVLPALLFGAKYGSLVTVWRLLATGFFTSFTLPKLYSCYSSQIHKRDRALEAWKSCPRKKLVAGTAVTMCWNMFSVKTRIIAAFISVVILRYNQKYRKAVVNAGAESCQDEQEQKMEIEDS >ONIVA02G14690.2 pep chromosome:AWHD00000000:2:11549575:11553867:1 gene:ONIVA02G14690 transcript:ONIVA02G14690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G5C3] MAAMAMDHDHDHASSPAPPARLPAPPYHHSPSAAAAAAVEEVATPPRKSAAGAGAQRSSPMPSPLQLSGCSLQELLLMSPPPGSARRNRSRHRGAGLDESLEMVAAPAVGTPPRRRRRVAAEQCAAPAVASPRNGRRARRRLEKEIEVEDDAARRARRRKSTRAAVTKAAPAAADKVATAAADKEDTSMALVLASTDAIRGADALEQSGWEDLWERIVDLVMWKNVAKSALWFGLGSMFFFSCSFSREITFSPISALCHLGVMVLGLAFFKDSIPQSRQQVERGRSFRLTEDDVLRAARAVLPVANSMISTAQVIFSGEPSMTLKVLPALLFGAKYGSLVTVWRLLATGFFTSFTLPKLYSCYSSQIHKRDRALEAWKSCPRKKLVAGTAVTMCWNMFSVKTRIIAAFISVVILRYNQKYRKAVVNAGAESCQDEQEQKMEIEDS >ONIVA02G14690.3 pep chromosome:AWHD00000000:2:11549575:11554084:1 gene:ONIVA02G14690 transcript:ONIVA02G14690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G5C3] MAAMAMDHDHDHASSPAPPARLPAPPYHHSPSAAAAAAVEEVATPPRKSAAGAGAQRSSPMPSPLQLSGCSLQELLLMSPPPGSARRNRSRHRGAGLDESLEMVAAPAVGTPPRRRRRVAAEQCAAPAVASPRNGRRARRRLEKEIEVEDDAARRARRRKSTRAAVTKAAPAAADKVATAAADKEDTSMALVLASTDAIRGADALEQSGWEDLWERIVDLVMWKNVAKSALWFGLGSMFFFSCSFSREITFSPISALCHLGVMVLGLAFFKDSIPQSRQQVERGRSFRLTEDDVLRAARAVLPVANSMISTAQVIFSGEPSMTLKVLPALLFGAKYGSLVTVWRLLATGFFTSFTLPKLYSCYSSQIHKRDRALEAWKSCPRKKLVAGTAVTMCWNMFSVKTRIIAAFISVVILRYNQKYRKAVVNAGAESCQDEQEQKMEIEDS >ONIVA02G14680.1 pep chromosome:AWHD00000000:2:11547311:11548396:1 gene:ONIVA02G14680 transcript:ONIVA02G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSHQQQADEGVCPWEHGRRHERARAVEPLELVGVTERAEMHRSKVKLSGVLHMGFKPDKCKTALRMALARIKLLRNRKEVQVRSPVSAEKTATPPSRLPGLSRAATKSARRHSSITRFYTRTAPPSDAARTAISSPAALPATDAASRSPHVGHVGRAAPAAAERLQHLPPRGVPGPRGGKDVPRERQAEGALRRGQLLPPATPLLPLLSLAAAPRAATLRRRARHRAGRLSPSPATAAPASMPAASRRVCRRAC >ONIVA02G14670.1 pep chromosome:AWHD00000000:2:11540201:11544959:1 gene:ONIVA02G14670 transcript:ONIVA02G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGGKRRGDDGAEAAPRWRFSRPSSQGGPLAAAGLTSIRAVVNRVNSSVDAAAAGGPRPVLRLGNGDPTASACYRTAPAAEDAVVDALRSGAHNGYSLTVGVLSARRAIAEYLSRDLPYELSANDIYLTSGCVQAIEVMISVLAQPGSNILLPKPGFPLYESRTTFSNLEVRHFDLIPERGWEVDLEGVQAIADENTVAIVVINPSNPCGSVYSYDHLAKIAETARKLGLLIIADEVYDHLAFGNNPFIPIGVFGKTVPVITLGSISKRWLVPGWRLGWIATCDPNGILKEAKVNQSIENYINISTDPATFVQGAIPQIIANTKEDYFNKILDQLRNAADLCYDKIKDIKGITCPHKPEGSMFVMVKLDLSYLDGFHDDMDFCCRLAKEESVIVLPGSALGLKNWVRITFAIDIPSLVDAFERIKSFCQRHGKLET >ONIVA02G14660.1 pep chromosome:AWHD00000000:2:11534363:11534841:1 gene:ONIVA02G14660 transcript:ONIVA02G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGERWPATLEAKALLQASLQQHLLQIDAEETSGPWRTSLTSIGLSTHFGVLLYSPLHGGDPVCDVYDSEAVTTATTTSIFGSVAGSGSYRGRSG >ONIVA02G14650.1 pep chromosome:AWHD00000000:2:11532304:11532609:-1 gene:ONIVA02G14650 transcript:ONIVA02G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRLLALLRCALRLPTLPPSRPPSSSRGPDPSSIPPIPPPPPPRRRWFHVVVVVARSRPAVHRAVTDPAIPHTGLPHPDGPIPAARRRRRAGDDWWGE >ONIVA02G14640.1 pep chromosome:AWHD00000000:2:11529735:11531891:-1 gene:ONIVA02G14640 transcript:ONIVA02G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATRTGKPSLDMFLAEMRRFEVLPSAVKNCWNVTSLLLLARSLLLAPVYCRRAAASSPEPLSSPSAAGAEKLIFLLSWLYFLSSHLTFTSRNEMMADYLLDHCDVPLRFKDMHKSKVILIFQIFISIFPLGIKDSKPIFTYLHPALCYYSRLTLWPTGDFGKILSCFTMAFHCLVHEIVSASCMVVQLFGDIKEEITIWREAGFM >ONIVA02G14640.2 pep chromosome:AWHD00000000:2:11529735:11531891:-1 gene:ONIVA02G14640 transcript:ONIVA02G14640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATRTGKPSLDMFLAEMRRFEVLPSAVKNCWNVTSLLLLARSLLLAPVYCRRAAASSPEPLSSPSAAGAEKLIFLLSWLYFLSSHLTFTSRNEMMADYLLDHCDVPLRFKDMHKSKVNVGFPLPSDLNVDLADWRMASRRSFRDYLRKVFEIVSASCMVVQLFGDIKEEITIWREAGFM >ONIVA02G14640.3 pep chromosome:AWHD00000000:2:11529735:11531891:-1 gene:ONIVA02G14640 transcript:ONIVA02G14640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATRTGKPSLDMFLAEMRRFEVLPSAVKNCWNVTSLLLLARSLLLAPVYCRRAAASSPEPLSSPSAAGAEVNVGFPLPSDLNVDLADWRMASRRSFRDYLRKVFEIVSASCMVVQLFGDIKEEITIWREAGFM >ONIVA02G14640.4 pep chromosome:AWHD00000000:2:11529735:11531891:-1 gene:ONIVA02G14640 transcript:ONIVA02G14640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATSALHVVRVNLEPVFQAPSSVAAVKNCWNVTSLLLLARSLLLAPVYCRRAAASSPEPLSSPSAAGAEKLIFLLSWLYFLSSHLTFTSRNEMMADYLLDHCDVPLRFKDMHKSKVNVGFPLPSDLNVDLADWRMASRRSFRDYLRKVFEIVSASCMVVQLFGDIKEEITIWREAGFM >ONIVA02G14630.1 pep chromosome:AWHD00000000:2:11517167:11517535:-1 gene:ONIVA02G14630 transcript:ONIVA02G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTMTELNITSANMLPLRNISAKVLQLVRRRRHRSAYGSDEMDAPLLWSLPSLGRALLSSSPTPARMLSAAASDALVEIKPGEISMVSGIPKEHLRRKIR >ONIVA02G14620.1 pep chromosome:AWHD00000000:2:11511598:11527456:1 gene:ONIVA02G14620 transcript:ONIVA02G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGDASAAAWRFGAANPAMEAARSQSIRALVYRVYACLDRGDARSVAPLGHGDPAAFACFRAAPAATGAVVAAAASGAHNSYAPAAGIAEACRLGTKEVQAQVTYMGPSYQLELLINLTSNAFSGSIPDGLGWLRRMWYLSLCDNAFAGEIPDTLHNCTALDAAYLNNNNLDHRRHSTTMRGAPAPGRGGSTGAGHPSRRSRTPTDDGGAWEFLDNLTNCNTLAEIFLNGNMFADVMLSSVMRRPGRGGGGLGLLDAPTPLVANYVLHQAAATTTIRSSTGSVASFLSGMATLDAKLVTVVEEEGEAEEDDGNSAGNAGAAGRGGEQRGDCDTRCTHTTLFWSSPLLCSTTMESGGAGGEGETAGWRFGAANPALAVAGSQSIRAAVTRIYRCLDGSGDARPVAPLAHGDPSAFACFRAAPAATDAVAAAAAASGKYNHYSPAVGIAPACSAVAAHLSRELPYAVSPADVVLTAGCNHAVEIMMSVLASPGANVLLPRPGYPLYASRAALSGLEFRHFDLLPDSEWEVDLAGVEALADANTVAMVIVNPNNPCGCVYSRDHLAKIAETARKLGIMVISDEVYDHFAFGSKPFVPMGVFGDVAPVMTLGGISKRWMVPGWRLGWIAATDPNGILRNKKIIDSVIDYRAISVDPVTFVQGALPDILAKTDDAFFTNALGVVKKAAEICYEKLKEIDCITCPHKPEGSMFVMAKLDLSSLDGIEDDVDFCSKLAKEESVVICPGSGLGMKNWLRITFAVDPQLLEDGLERTKCFCKRHGKIKEAS >ONIVA02G14620.2 pep chromosome:AWHD00000000:2:11511598:11527592:1 gene:ONIVA02G14620 transcript:ONIVA02G14620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGDASAAAWRFGAANPAMEAARSQSIRALVYRVYACLDRGDARSVAPLGHGDPAAFACFRAAPAATGAVVAAAASGAHNSYAPAAGIAEACRLGTKEVQAQVTYMGPSYQLELLINLTSNAFSGSIPDGLGWLRRMWYLSLCDNAFAGEIPDTLHNCTALDAAYLNNNNLDHRRHSTTMRGAPAPGRGGSTGAGHPSRRSRTPTDDGGAWEFLDNLTNCNTLAEIFLNGNMFADVMLSSVMRRPGRGGGGLGLLDAPTPLVANYVLHQAAATTTIRSSTGSVASFLSGMATLDAKLVTVVEEEGEAEEDDGNSAGNAGAAGRGGEQRGDCDTRCTHTTLFWSSPLLCSTTMESGGAGGEGETAGWRFGAANPALAVAGSQSIRAAVTRIYRCLDGSGDARPVAPLAHGDPSAFACFRAAPAATDAVAAAAAASGKYNHYSPAVGIAPACSAVAAHLSRELPYAVSPADVVLTAGCNHAVEIMMSVLASPGANVLLPRPGYPLYASRAALSGLEFRHFDLLPDSEWEVDLAGVEALADANTVAMVIVNPNNPCGCVYSRDHLAKIAETARKLGIMVISDEVYDHFAFGSKPFVPMGVFGDVAPVMTLGGISKRWMVPGWRLGWIAATDPNGILRNKKIIDSVIDYRAISVDPVTFVQGALPDILAKTDDAFFTNALGVVKKAAEICYEKLKEIDCITCPHKPEGSMFVMAKLDLSSLDGIEDDVDFCSKLAKEESVVICPGSGLGMKNWLRITFAVDPQLLEDGLERTKCFCKRHGKIKEAS >ONIVA02G14610.1 pep chromosome:AWHD00000000:2:11499750:11505531:1 gene:ONIVA02G14610 transcript:ONIVA02G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIQVPNPTDPELAQRLVLDLLDPDLRGHALAELRKKREMFPDLAPLLWHSFGTIIVLLQEIIVVYPVLSPPTLSLLASSRVCNALALLQCVASHPETRSHFLKAHIPLYLCSFLENTSKTRPFEYLRLTSLGVIGALVKAEGTEVINFLLQYEFVPLCLHAMAVGSELSKTVATFIIEKIVLDDAGLGYICATADRFFAVGTALAGMVTSMDDKPSPRLLKHIIHCYLRITDNPRGLEALQTCLPTTLTDGTFNNLTKDDPTMQQWLQELLVKVRSGKMGGLPPPVHGHMPRV >ONIVA02G14600.1 pep chromosome:AWHD00000000:2:11476550:11481898:1 gene:ONIVA02G14600 transcript:ONIVA02G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAAGPSDDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDSASVADFDTKLKANGADLPDYFVRTLLTIIHAILPPPSDSRNPSSASQPAAGGSKFPGLSRPDDPDRARNLRLELERDAEEAAATAAPAPARDDRGRRRDERGRDRGRDDRGRDDRGRDRDYERGGRDHDRSRGRDRDHGRDRDRDRACDGDRQRGRDYGRDRDQDRDHDRDREGEWRRDRDKDRGRDIDRDMDRDHRRGRRYDDEEEPEQFGGRKEGALVNSSGEPELYQVYRGRVTRVMDTGCFVRLEDVRGGREGLVHISQMATRRVANAKEMVKRDQEVYVKVVSVKGQKLSLSMRDVDQDTGRDLLPIQRGGDDAPRANPSGGSASGVGVGSGKRLGLSGIMIAEEDEVAPPSRRPLKRMSSPERWEAKQLIASGVLDVRDYPMFDEDGDGMLYQEEGAEEEQEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQDQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGRNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLTELAPRFYKSADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >ONIVA02G14590.1 pep chromosome:AWHD00000000:2:11469726:11476279:-1 gene:ONIVA02G14590 transcript:ONIVA02G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHFGGKTLAGSGNSVITHFGGGEAAAWGDCSASALRSLPEARFPGGGVGTSVGCGGWSWRGSSECRCAGGVGRGILFLYIICTVLTATSSHDSHLDYGSGTFTMLNFFRAKASWDRPGPYIAQVDSSPAPEC >ONIVA02G14580.1 pep chromosome:AWHD00000000:2:11419736:11424883:-1 gene:ONIVA02G14580 transcript:ONIVA02G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSKDHIFPNQRSLISQSSSPHNSLPKKEEIPLLSLLPSIHDHNKIHGCYKQEKEEEVMEDVDISLQIGLPSPDPNSSVIDFAKSNPLGATATTSQELDGDDDDDHKVEVEREEEEEAASDDLCLDYFSMGKLTKGKYWIPTPTQILIGPTHFACPVCCKTFSRYNNLQMHMWGHGSQYRRGPESLRGTQPAAMLRLPCFCCAAGCRNNVDHPRARPLKDFRTLQTHYKRKHCAKPFACRKCGKPLAVRGDWRTHEKNCGRRWHCACGSDFKHKRSLKDHIRAFGRDHRERPPPPPPHPLLPLP >ONIVA02G14570.1 pep chromosome:AWHD00000000:2:11417480:11417798:1 gene:ONIVA02G14570 transcript:ONIVA02G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVVGGAVSATGGSGIGGVSRGVSGDGRVGGRPGGIRRVSRKDPVTAAGADSVVLVRKTDTYRGFLIGTN >ONIVA02G14560.1 pep chromosome:AWHD00000000:2:11396699:11407003:-1 gene:ONIVA02G14560 transcript:ONIVA02G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT3G04740) TAIR;Acc:AT3G04740] MAEGELGQQTVELGAVVRRAAEESYLSLRELVEKSQDEGEGKGGAYGARQRSDSEKKIDLLKFIARTRQRMLRLHVLAKWCQQVPLVNYCQQLGSTLSSHETCFTQTADSLYFMHEGLQQARAPMFDIPSALEVMLTGNYQRLPLCIEDIGSQNKLSLDEEKRALQKLNASVRYKVLVTPRPKEVSNVSVADGIAVFRVDGEFKVLLTLGYRGHLDLWRILHLEVLVGDKGGPIKLEERRRFALGDDIERRMAVSENPFMVLYAILHELCISLAMDTIIRQTNVLRQGRWKDAIRSELVSDSTTGQTGSAPLMQLGQDGEYDLSGSRIPGLKINYWLDEKAGGSAESDSSPFIKIEARQDMQIKCQHSSFILDPWTDKEANLSLDLCCIDVEQLILRAIASNRHTRLLDIQRQLSKNVQISQSPKDVILKRDVEIAKDPVKKTEQKDFADCCGNEVLQVRAYGQAYIGLGINIRSGRFLLQSPENILPPSALLDCEEALNKGSITATDVFASLRTRSILHLFAATGSFFGLKVYEKSQGTLKIPKDILHGSDLMVMGFPQCANAYYLLMQLDKDFRPVFHLLETQSDANDKTNTNACTKEALRFNKIDIGQIQISKSESNTNMFDAKLHALQNIGNCDDVMENRLPIQSGIEPLPLLPACSPSFSSVVDEVFEYEHGALAVPNHSLPQTTLQSTSHPLSVGFQGVGTRANASIEGASSAYSGSKFSPGVGLNSYLPSNLRHVQSTNAFSSSTVTKSSSIKLPSSNSNHELSSLSSPTEHVIADGSKSLQLVPASKINGSINLITMGSDGASRKRSISDLFLNLPSLQGLKPSSPRKRRRISESMESWSPLQAYSSDSQSRTSLTYGNILAERNNCVPATTYASVLLHVIRHCSLSIKHAQITAQMDSLAIPYVEEVGLRSPSSNLWLRLPFARDDSWKHICLRLGKAGSMSWDVRINDPHFRELWELSTGSTTTSWGVGVRVANTSEMDSHISFDAEGVILTYSNVEPDSVQKLVSDLRRLANARSFARGMRRLIGVKLNDKLDDDQTSTDIKSQPVNKGNSDAADRLSEQMRKTFRIEAVGLMSLWFSYGTMPMVHFVVEWESAKGGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYNSMPKQMNNIPTQGPLANGSSSTTMHHAPSPANVAATHLGSHNLHTAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPLVGGSLPCPQFRPFIMEHVAQGLNALEPSFMNATQAGAHLNSSAGTLQPAPNANRVNATQGIGMSRPASGVANHVAANLSRAGNAMLASSGLASGIGGASVRLTSGANLPVHVKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKENEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQNSAQEELAPPEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGFSQAHGDIATAQRARIEICLENHSGSVSDDITESTLAKSNLAELPGFRIVYPYD >ONIVA02G14560.2 pep chromosome:AWHD00000000:2:11396430:11407003:-1 gene:ONIVA02G14560 transcript:ONIVA02G14560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT3G04740) TAIR;Acc:AT3G04740] MAEGELGQQTVELGAVVRRAAEESYLSLRELVEKSQDEGEGKGGAYGARQRSDSEKKIDLLKFIARTRQRMLRLHVLAKWCQQVPLVNYCQQLGSTLSSHETCFTQTADSLYFMHEGLQQARAPMFDIPSALEVMLTGNYQRLPLCIEDIGSQNKLSLDEEKRALQKLNASVRYKVLVTPRPKEVSNVSVADGIAVFRVDGEFKVLLTLGYRGHLDLWRILHLEVLVGDKGGPIKLEERRRFALGDDIERRMAVSENPFMVLYAILHELCISLAMDTIIRQTNVLRQGRWKDAIRSELVSDSTTGQTGSAPLMQLGQDGEYDLSGSRIPGLKINYWLDEKAGGSAESDSSPFIKIEARQDMQIKCQHSSFILDPWTDKEANLSLDLCCIDVEQLILRAIASNRHTRLLDIQRQLSKNVQISQSPKDVILKRDVEIAKDPVKKTEQKDFADCCGNEVLQVRAYGQAYIGLGINIRSGRFLLQSPENILPPSALLDCEEALNKGSITATDVFASLRTRSILHLFAATGSFFGLKVYEKSQGTLKIPKDILHGSDLMVMGFPQCANAYYLLMQLDKDFRPVFHLLETQSDANDKTNTNACTKEALRFNKIDIGQIQISKSESNTNMFDAKLHALQNIGNCDDVMENRLPIQSGIEPLPLLPACSPSFSSVVDEVFEYEHGALAVPNHSLPQTTLQSTSHPLSVGFQGVGTRANASIEGASSAYSGSKFSPGVGLNSYLPSNLRHVQSTNAFSSSTVTKSSSIKLPSSNSNHELSSLSSPTEHVIADGSKSLQLVPASKINGSINLITMGSDGASRKRSISDLFLNLPSLQGLKPSSPRKRRRISESMESWSPLQAYSSDSQSRTSLTYGNILAERNNCVPATTYASVLLHVIRHCSLSIKHAQITAQMDSLAIPYVEEVGLRSPSSNLWLRLPFARDDSWKHICLRLGKAGSMSWDVRINDPHFRELWELSTGSTTTSWGVGVRVANTSEMDSHISFDAEGVILTYSNVEPDSVQKLVSDLRRLANARSFARGMRRLIGVKLNDKLDDDQTSTDIKSQPVNKGNSDAADRLSEQMRKTFRIEAVGLMSLWFSYGTMPMVHFVVEWESAKGGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYNSMPKQMNNIPTQGPLANGSSSTTMHHAPSPANVAATHLGSHNLHTAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPLVGGSLPCPQFRPFIMEHVAQGLNALEPSFMNATQAGAHLNSSAGTLQPAPNANRVNATQGIGMSRPASGVANHVAANLSRAGNAMLASSGLASGIGGASVRLTSGANLPVHVKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKENEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQNSAQEELAPPEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGFSQAHGDIATAQRARIEICLENHSGSVSDDITESTLAKSNVKYDRAHSSLEFALTFVLDHALIPHMNVAGGAAWLPYCVSVRLKYSFGESNHIAYLAMDGSHGGRACWLQYEDWERCKQKVARAVETVNGSVAVGESGQGRLRMVAEMIQKQLQLCLQQLRDGPLSAGSTAS >ONIVA02G14550.1 pep chromosome:AWHD00000000:2:11393274:11394208:1 gene:ONIVA02G14550 transcript:ONIVA02G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDATVAGDVVQTVAGDSKVVAGRKPSLGSFEPRRTAAAVFPSLLFLKTSFWHPLGGDPRRSVTLSSGRSGASLLLGCVLALSVCRWWYFFLFPGYDPPGL >ONIVA02G14540.1 pep chromosome:AWHD00000000:2:11392334:11393256:1 gene:ONIVA02G14540 transcript:ONIVA02G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLLRVLSCCLTPQRWLPGESLVLAPLSLDGWRRRWFSHVVLASPRGRSRLCSFVGLAAIGPVLAFSRACVLVLSTCWNNGLGPTGGSGAGKAPRPRWRRSWRLVAVVEPCYKRRPWRLDPCRWGVKEATAAPSDSRGGEVLGGDGGVSAGDGGEGHGGGVGAVAGCFAAEGDEAVAALAVATICEGGGWRWRLVTTTAVTATGLRWP >ONIVA02G14530.1 pep chromosome:AWHD00000000:2:11386122:11390366:1 gene:ONIVA02G14530 transcript:ONIVA02G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDHHHPDAAAAAAGKGAHHHHGGGGKVHKLLKSAFKRGGDHHHPGGGGGDQEGDLLSRSASGSSSTSAASSSRAASSSSGRRGGGGRRGDDTCSSVDGESGELDGSKNAKVLAALRDAKISYAYESFPWEKKMKELLPVPAASCFLSMLLLPKSADGSHTRYNSLEDTLARADAWLASSQAAGVPVAFMNVQTEALLTKISGEMALSTVNMGSLSDLANMANASLYGFEDYHGVDIGVVRAVRLWYTPVAGEAALEIKLLPGDTRLGFAISRTEEGFIYVSSVAEESTPGVASTRSGLLELHRAARRASRLLVVSRVGGEKVLPWMVSTAGDVKCFDTVSLSQKLSLHRHALRPITLHFLMWDTALAVKDVVAKPPPPPTMLMLPSPPSPPPSDAEGDAPPPNGDGDEAPGGGGAKGGKDSSFRFQNIDLLPDSWL >ONIVA02G14520.1 pep chromosome:AWHD00000000:2:11377068:11381098:1 gene:ONIVA02G14520 transcript:ONIVA02G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGWSYGEVGQKIDYVFKVVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTRTLHIDARTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLEELRGHADKNIVIMLIGNKSDLGTLRVVPTEDAKEFAERENLFFMETSALESTNVENAFMTVLTEIYRIVSKKNLVANEEVDSSGNSSLLKGTKIVVPGQEPAPPTKASCCMS >ONIVA02G14510.1 pep chromosome:AWHD00000000:2:11372011:11375812:1 gene:ONIVA02G14510 transcript:ONIVA02G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein / D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G52120) TAIR;Acc:AT3G52120] MADKGLFANDGSFMERFKQMQEKEKEKAAAASSSAAAAAKATNPKPVVVAANTPSASKRPLELKGGEVKKAGSISSGAKLAFSLKKNKVAVAPVKFAADDEDEEDVGADREEPVKRQKYAQPEAPASAAALSGVVGNHLFTFVPVIAFFSCDIVSNTFVICLLVTMGYIIVHLQLDWNFGFNHWMYFDYNESTIKIVAPSPPNDMTVKQVADKLANFVAKNGRQFENVTRQRNPGDTPFKFLFDKNCSDYKYYEFRLAEEEMLLAQSKEAQASKHASSSTPSSRAPSAPQRSSFEQKTNYQTPASALYGAYEGSSSQGSSSGYGEPPKDPVALMEFYMKKAAQEERKRPPKQSKDEMPPPPSLQAPSSKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >ONIVA02G14510.2 pep chromosome:AWHD00000000:2:11372011:11375812:1 gene:ONIVA02G14510 transcript:ONIVA02G14510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein / D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G52120) TAIR;Acc:AT3G52120] MADKGLFANDGSFMERFKQMQEKEKEKAAAASSSAAAAAKATNPKPVVVAANTPSASKRPLELKGGEVKKAGSISSGAKLAFSLKKNKVAVAPVKFAADDEDEEDVGADREEPVKRQKYAQPEAPASAAALSGVVGNHLFTFVPVIAFFSCDIVSNTFVICLLVTMGYIIVHLQLDWNFGFNHWMYFDYNESTIKIVAPSPPNDMTVKQVADKLANFVAKNGRQFENVTRQRNPGDTPFKFLFDKNCSDYKYYEFRLAEEEMLLAQSKEAQASKHASSSTPSSRAPSAPQRSSFEQKTNYQTPASALYGAYEGSSSQGSSSGYGEPPKDPVALMEFYMKKAAQEERKRPPKQSKDEMPPPPSLQAPSSKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >ONIVA02G14500.1 pep chromosome:AWHD00000000:2:11358550:11363115:-1 gene:ONIVA02G14500 transcript:ONIVA02G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT1G13380) TAIR;Acc:AT1G13380] MGDTERSSILVHILVIALCLTAFGFAIAAERRRSTGSIVTDSFNSTFCVYDSDIATGYGVGAFLFLLSGQSLLMVVTKCMCFGKPLAPGGSRAWSIIYFASSWVTFMIAESCLIAGATKNAYHTRYRHMVYVGSWTCESLRKGVFIAGAVFVVFTMILNVYFYMYYTKSTSQAAKKINKTTPNVGMTGYA >ONIVA02G14490.1 pep chromosome:AWHD00000000:2:11323107:11332205:1 gene:ONIVA02G14490 transcript:ONIVA02G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMKHCSQGFLVLSLILLGCFTIPVIRSQGNGIRNGESYGIDINNVTACIRKPFSSQFCCQNKNSRISAGNGIRNGESYGIDINNVTACIRKPFSSQFCCQNKNSPNLGRCYGSVSDCQSNCPNVLDPHLVFIIIL >ONIVA02G14480.1 pep chromosome:AWHD00000000:2:11269436:11272937:-1 gene:ONIVA02G14480 transcript:ONIVA02G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0G595] MVSPDTIRTAIGVVGNGTALVLFLSPVPTFIRIWKKGSVEQYSAVPYVATLLNCMMWVLYGLPAVHPHSMLVITINGTGMAIELTYIALFLAFSLGAVRRRVLLLLAAEVAFVAAVAALVLNLAHTHERRSMIVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIRFDLYITIPNGLGVMFAVAQLILYAIYYKSTQQIIEARKRKEADHVAMTDVVVDSAKNNPSSGAAAAAANGRY >ONIVA02G14470.1 pep chromosome:AWHD00000000:2:11255189:11260553:1 gene:ONIVA02G14470 transcript:ONIVA02G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGEAGSGGSAPVCSFVRKPPKNIRKRPTAPAVSDDDDEDGSGAIAAARAKKAPSSTSKLFFSSADGSSEPRRFQYESSRTIQASTDSRATATLETETEFDRDARAIRERQLKQAEESLKKNPSAPASGSGSGSGEVYKGIHGYTDYKAGFRREHTVSSEKAGGSHGPLRASAHIRLSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQIEKEWEEAEKARKRRIAMGGDGSDDEAGEEDDDDDEEALPFACYICREPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKPTLGIFNAAQEIRKKMAQDKKQ >ONIVA02G14460.1 pep chromosome:AWHD00000000:2:11253746:11254680:-1 gene:ONIVA02G14460 transcript:ONIVA02G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEFYKIRTVSLPSLFPSINFPSRLLLPFSRLPLCISLCIFRNACAKPSRAGRMSVHGEEDGWGGRVVHTKDRAWWPEMTTVTVAPSSGSRLAALVKSCITIVWIASALHVAVNSGQYPYGGFSPNRPMAHHRQMPERGTEEYTELERRPDAAFIRTITGQLRTLLGISLIEILSKHSDDEVYLGQRDTPEWTLDTATEAFRRFGDRLVGIEARIAEMNRVTGTRATGIARTRRGSPTRCCPPRPQHLRRLPQATSAGASQVRPPPCHRSISLNGSPHTKSLHHRIFLAEENPFP >ONIVA02G14450.1 pep chromosome:AWHD00000000:2:11210736:11211574:1 gene:ONIVA02G14450 transcript:ONIVA02G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSASEAEAAGRHGEVADPVPLRLDLAPRSGQCGNNNPGTAAARWHDGDGVAAWGWCGGGAAKDSPAVERPSRWSKAVAAAWRPEAAAVVVVAPALTPLAMWWQCKGAREWWQCKRSWRRWVVFLIADGHPHPSWSSSPSLRGVSKLRRQPVNGDSSGHRHDVVQLRVLLWPKLVRRLAGGGTEEAWASFQGWRQGVAACVKVGWRRGAGAVRWRPRAAIAGAVVSELMGNKLQSKVVGAPGESLAWWFIGPATATPLASQPPLGRC >ONIVA02G14440.1 pep chromosome:AWHD00000000:2:11208572:11209408:1 gene:ONIVA02G14440 transcript:ONIVA02G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVARMLGNGRCEAQCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGEIPDHVRLNEGVVDEDDAAAHDDYIQFEDEDIDKI >ONIVA02G14430.1 pep chromosome:AWHD00000000:2:11202169:11206441:-1 gene:ONIVA02G14430 transcript:ONIVA02G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLHVAELVPKHLGRTRKQEPATSSSAANTVKSTKGRRKKRLHHQILGLRTVIAGLSYFESYELEVVATTLSRLVGWHEAAPQWHDFFEKIAMSI >ONIVA02G14420.1 pep chromosome:AWHD00000000:2:11191612:11195141:1 gene:ONIVA02G14420 transcript:ONIVA02G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAAGGAQGMVLSVGQLLGEEYRQLRGVGGEVAQLRDELATMNALLRMQSEAGEGAVDHFVREWMRQVCEVAYDAEDCIDLYFCRCRVRLQLSDGVLSWARHLASTLFPRRRLAGDIKALRARAIEISERHARYGVNREELRGWTASAAALVPATAAAFHPAACGSDQLVGIEGQANTLADKLKAVDESSNLKVFSIVGFGGLGKTTLAMEVCRKLESVFQRQAMVSVSQAFDASKDLQVLLKRIILQIIKPKKSNENSINEEQSTGDIDSMDVSTLFQKLEVSLTGMRYLIVIDDVWSTSAWNAIQSKLPENNCGSIIMVTTRVETVAKASSSPSVSGDYMHKINPLGEKDAEKLFVSRAFGYKESCCPEDLKEQMKSILKKCARLPLAIVSIAGLLSSYRSSSSGSIRMWQRISNSIGSQMEIHPTLEGMKQIIALSYNHLPHHLKACMLYLSIFPEDYVTKKKRLLLRWIAEGFVMEKRGLTMFEVAESYYDEVVSRSLIDAARVRLDGTVKAVKVHDMMLEVIVSKSLEENFFNFVGAQYGGGTPSYDSVRRLAIHRDGGPKHVVDVMSATHVRSLSAFGAQGNRLALLHRLAEFTLLKVLDLEDCKEVKDCHVKYICRLFLLRFLSLRNTDVSTISSQISRLQHLQTLNLYGTRIENLPTLVTMLERLEYLFFSERWSMRRWEIPVGLKKMMALCTLRTIRLPNDPNVVKEIGALAQLQTLDITILNSSEEVLANLADALDKTNNLRSLYAYGTGKDEHKDRLLNFLLRLKTPPLLLESVRIDGVMDQLPKWFNSLVHLVKMYTWRVSLMGDHLLGVFCELPNLASVSLGYDSCTDDELLVRSAFKFPALKSFYVDPYIMPRAIRFEKSTMEKIETFGVFFYDNDGAGRPILAGIENLTSLKKLEVLTHSINAEIEILDRLKVERARHQV >ONIVA02G14410.1 pep chromosome:AWHD00000000:2:11189554:11190404:1 gene:ONIVA02G14410 transcript:ONIVA02G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAMAIAVGLGRGRRGGSSARRAKAVVRRGGGHAAGTSGGGGEAARRGGAAAAVRQGGGRAAGRSGGVEERRLWPPHLLRIPHPQPTGEGAAAPGGGGSRGARRIGLPREVVSMHEAEGGNGAASGDVLRRQRWQIGGGNTAVAAGPLPVGSGDGGGARRELAASPPRREVAASDEEEKGWGGVKAGGRGAFGLYYIKN >ONIVA02G14400.1 pep chromosome:AWHD00000000:2:11154043:11155716:-1 gene:ONIVA02G14400 transcript:ONIVA02G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVPLPLLLGSLAVSAAVWYLVYFLRGGSGGDAARKRRPLPPGPRGWPVLGNLPQLGDKPHHTMCALARQYGPLFRLRFGCAEVVVAASAPVAAQFLRGHDANFSNRPPNSGAEHVAYNYQDLVFAPYGARWRALRKLCALHLFSAKALDDLRAVREGEVALMVRNLARQQAASVALGQEANVCATNTLARATIGHRVFAVDGGEGAREFKEMVVELMQLAGVFNVGDFVPALRWLDPQGVVAKMKRLHRRYDNMMNGFINERKAGAQPDGVAAGEHGNDLLSVLLARMQEEQKLDGDGEKITETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKEAQHELDTVVGRGRLVSESDLPRLPYLTAVIKETFRLHPSTPLSLPREAAEECEVDGYRIPKGATLLVNVWAIARDPTQWPDPLQYQPSRFLPGRMHADVDVKGADFGLIPFGAGRRICAGLSWGLRMVTLMTATLVHGFDWTLANGATPDKLNMEEAYGLTLQRAVPLMVQPVPRLLPSAYGV >ONIVA02G14390.1 pep chromosome:AWHD00000000:2:11128970:11132412:-1 gene:ONIVA02G14390 transcript:ONIVA02G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCVVSHGDPSAAAAAAAAGEEGDVRIFYQRYGHGGTKVLLIIGFAGTHESWGPQVKGLTGAVEPVDEESPAGDDGAAGEGAEVCCFDNRGIGRSSVPPHKSQYTTVIMAKDALALMDHLGWRKAHVFGHSMGSMIASKLAAIAPDRVASLALLNTTGGGYQCIPKIDWQTISLACRFLRARTPEQRAGVDLDVHYTREYLDEIVGSNTRRQMLYQEYVKGLSSCGMQSRHGYEGQLNACWTHKLTQKELDRIRSSGFLILVIHGRDDVVAQLYHARRLAEKLQPAAKLVELHGGHLVSHERTAEVNMSLMEMIKASKSNTDQGEWSNLPKKSDDLLLAGSDSRLAKRECNIIVIYNLLGKLQLILLFFFGVFYIILEHARRVLRVLKPVRVSATSL >ONIVA02G14390.2 pep chromosome:AWHD00000000:2:11128970:11131541:-1 gene:ONIVA02G14390 transcript:ONIVA02G14390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDALALMDHLGWRKAHVFGHSMGSMIASKLAAIAPDRVASLALLNTTGGGYQCIPKIDWQTISLACRFLRARTPEQRAGVDLDVHYTREYLDEIVGSNTRRQMLYQEYVKGLSSCGMQSRHGYEGQLNACWTHKLTQKELDRIRSSGFLILVIHGRDDVVAQLYHARRLAEKLQPAAKLVELHGGHLVSHERTAEVNMSLMEMIKASKSNTDQGEWSNLPKKSDDLLLAGSDSRLAKRECNIIVIYNLLGKLQLILLFFFGVFYIILEHARRVLRVLKPVRVSATSL >ONIVA02G14390.3 pep chromosome:AWHD00000000:2:11131677:11132412:-1 gene:ONIVA02G14390 transcript:ONIVA02G14390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCVVSHGDPSAAAAAAAAGEEGDVRIFYQRYGHGGTKVLLIIGFAGTHESWGPQVKGLTGAVEPVDEESPAGDDGAAGEGAEVCCFDNRGIGRSSVPPHKSQYT >ONIVA02G14380.1 pep chromosome:AWHD00000000:2:11126981:11127623:-1 gene:ONIVA02G14380 transcript:ONIVA02G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTLLVNRDIHGRRGSAHLLVAVGVGARALAASGGVVPARALGPVFLRGAAAAVPAPERAPAPDVVASLPLLLLPRVLANLAAVAVDVVVALVSLAELSVVRIVAERMPRVSAFPSPGAVGGGGGGERKGREAERGDAGVEVVGDEAAGADAGLGADERLHAAHGEVDLAPHLVTAHQRLQPHQPAERAQGSTRLPRQHQRHCLFSFP >ONIVA02G14370.1 pep chromosome:AWHD00000000:2:11122586:11129857:1 gene:ONIVA02G14370 transcript:ONIVA02G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHAAAGSGGGWLAAVRKVFRPSKDAADKKGGGGGDREEEAAAEVLLLEHFPAGGTSPSAEGTGNDQGGTVVGKGEGEEEDGEDGYGEVERARALAAAAEAAVAAAEAAARVVRMSALRRASREERAAVRIQAFYRGYLARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLITDHLHAGVAPLRLPPLPLATAAATYSSRRREGGYSWHPLRNNSYHAQLGERDESDDDVDGDGGKVGEHARQQQQRQRGNDVRSRSPFRSWDGSSRTPEEDRAEGARRHDAAARRERARAYAYGYQQQRQWQEKAGGFQWLDRWMAAQAQQHAPEPDKSRRRAALTAAADGTTMPERTVEMDTTSYRSPLNSHSAAVQGRQPAVPGYMAATQAARARARTAPPATPAHARSRSGAVLAGDTSSSGPSGSGSGGGGAHVQKPCAVYSPESRGTGDWTPPRLAVSSRATRLVYA >ONIVA02G14360.1 pep chromosome:AWHD00000000:2:11118764:11119511:-1 gene:ONIVA02G14360 transcript:ONIVA02G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLGGQHMRGAGAAVRRSAGRLRLGKPGAWSGGGKRRPVGEAAACARCLRRIEHSSWWKEPIEELSKRAVC >ONIVA02G14360.2 pep chromosome:AWHD00000000:2:11119028:11119511:-1 gene:ONIVA02G14360 transcript:ONIVA02G14360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLGGQHMRGAGAAVRRSAGRLRLGKPGAWSGGGKRRPVGEAAACARCLRRIEHSSWWKEPIEELSKRAVI >ONIVA02G14350.1 pep chromosome:AWHD00000000:2:11115895:11117943:1 gene:ONIVA02G14350 transcript:ONIVA02G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVCILCLQCSLLYGVNLAAVAAAGDGNSGNQFAYSGFAGVKDLTLDGTAMVTPDGLLELTNGKPQVKGHAFHPTPLRFGESSSPEGGEKKAAVRSFSASFVFGIITASPGVGSHGIALVITPTKDLSSGLASTYLGFLNRSSNGDDRNHIFAVELDTIENPEFSDINGNHVGIDINSLVSSNASDAGYYDDNTGEFKSLTLISGKAMQVWVDYNDDATQIDVRLASVGIKKPFKPLVSTRFNLSAVITDEAYVGFSASIGTMTSQHYVLGWSFGVGTQAPAIDMDKLSRLPGTGRRSKKSYRPKTIVIALPIVSVVLVIAVAAGVFLLIKRKFQRYVELREDWELEFGAHRLSYKDLLQATERFNNKNLLGIGGFGRVYKGVLPTSSSEVAVKRVSHDSRQGIKEFVAEVASIGRLRHRNLVQLFGYCRLKKELLLVYDYMPNGSLDKYLYSHDDKPTLNWAQRFQIIKGIASGLLYLHEEWEQIVIHRDIKPSNVLLDNDMNGRLGDFGLARLYNRDTELQTTVVAGTFGYMAPELALTGKASPLTDVFAFGAFLLEVTSGRRPVEQDIEGHPLLLTDWVFEHCSNEQILAVIDPRLNGNINISEASLVLKLGLLCSHPMSNVRPTMRQVVQYLNGDMPLPEMSPMHFTFSLSALMQNQGFDSLLKSLGTISTLSIGR >ONIVA02G14340.1 pep chromosome:AWHD00000000:2:11112378:11112996:-1 gene:ONIVA02G14340 transcript:ONIVA02G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNLVSNANHGSSAPAVAVREDPTIVDVVVART >ONIVA02G14330.1 pep chromosome:AWHD00000000:2:11088831:11092297:-1 gene:ONIVA02G14330 transcript:ONIVA02G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPHDELDGGGVWRATNPRSRGVTVESDERPLPRWPAVAAALRRRLASGVLTLDGDEHSRLHLHVYCIDSVLSVHPTILILDSPPAQCMCMRALVFGGDMHAIVRAARMAAHSGLYGWRYANAATSEPGSFILEVSNTVTIVLSRTLATFIISSLRVQTGEPRCGYAMRPRHYRPTAISASWPGSKPWCSSVPSSSRCSSSSAPRGATAAAPWSGSSFAVSYPLAAYTIGLMQSTPMHHELFLVWSCFFLFVLASSDTITAYSLADVKSPGIILLNRGLQVIYVTVLLQYYSNVLSAKLKLFVFGVWLVSLGKIALSALSYRQALQSDGLQRDNQLIADYMINQTESSHGGAEDPNTNTNTNPDPMVGYMYIVRGEETDNTVTEAPDYIKKIKKEDHNDKLVTVERVWQCKGWLLKSSDRRRDLCLSFALFKLLRRRCGNFPLAESGLPKTRNLVLNRLLEQGSTRAFQVIEVELGFLYDLFYTRYPFVCHAVTTTLPHLAMCAIMVTVGVLTLLSPALRHYHPTHHRSIMLYDINLDVVLTMAIIVLVIVLEAYQFVAVLFSDWQKVKVLCRYVLWPSSLQNNPFIEVLLGVLCYCGSGKYWTRKMRQYSIIRHAILGHPVKDWLSGVTRGWLDNLMFNSGKTRSAKLSGDLQNALASALKKSGGVLSDGCASLKGHKFEQMLSLGKACKHATCAHTILIWHIATYICDVKTRARASRAAGGGGDQQRRHREIAMSLSRYCAYLVSSAPDLLPDHQYTTQTIAEAVLLDLRRCLHGCTSNEAAVLKLQDTAKLAIRTPSTSAPDSIHVLGVRLAEDLMKIGEAKRWEVLADFWAELMLFVTPADNAMAHVEHLTMGGELITHLWALLTHAGIVQRPSHATQSQSV >ONIVA02G14320.1 pep chromosome:AWHD00000000:2:11070870:11078315:-1 gene:ONIVA02G14320 transcript:ONIVA02G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kish [Source:UniProtKB/TrEMBL;Acc:A0A0E0G576] MVIPPDIPPPSALFNFHSFVTVVLLLICTCTFLKMHFPSILVRRTGSYVSALAPGLEGERQQG >ONIVA02G14310.1 pep chromosome:AWHD00000000:2:11068972:11069994:-1 gene:ONIVA02G14310 transcript:ONIVA02G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGSELSKNALQPYLPMTLKDGTFINLVKQWLQELLVKLRSEKMGGLPPSVLGGMLRV >ONIVA02G14300.1 pep chromosome:AWHD00000000:2:11052387:11053655:-1 gene:ONIVA02G14300 transcript:ONIVA02G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLKGLQKFNQLEQYTTREGACLATFGLDVVVQTVSSWHGDFTHFDYRTNVNEPVGNSLTPAVEMAGFGSQGDSVFVVGGERSAGGEAGGGGQKREAEAAMAAATPANSGEGGSLVSISKARGV >ONIVA02G14290.1 pep chromosome:AWHD00000000:2:11036775:11037515:-1 gene:ONIVA02G14290 transcript:ONIVA02G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLGGQHMGVRAPQSVEARGGCDWVARRVERWRQAAAGGGGGCVRSVSASHRAFELVEGAYRGAEQASCGEVVFGFTVDLLCGTRAHLRFLPSSTTTDRYQCFNGLGPLII >ONIVA02G14290.2 pep chromosome:AWHD00000000:2:11036928:11037515:-1 gene:ONIVA02G14290 transcript:ONIVA02G14290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLGGQHMGVRAPQSVEARGGCDWVARRVERWRQAAAGGGGGCVRSVSASHRAFELVEGAYRGAEQASCVVGLFSVI >ONIVA02G14280.1 pep chromosome:AWHD00000000:2:11034007:11036067:1 gene:ONIVA02G14280 transcript:ONIVA02G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHMVKPVCILCLQCSLLYGVHLAAAVASAGDGNGGDQFAYSGFAGVKDLTLDGTAMVTPDGLLELTNGKPQVKGHAFHPTPLRFEESSSPEGGEKKAAVRSFSASFVFGIVTASPGVGGHGIALVITPTKDLSSGLASTYLGFLNRSSNGDNRNHIFAVELDTIQSPEFSDIDDNHVGIDINSLVSSNASDAGYYDDNTGEFKSLTLVSGKAMQVWVDYDDDATQIDVRLAPVGIKKPMKPLVSTRFNLSTVITDEAYVGFSASIGTMTSQHYVLGWSFGVGTQAPAIDMDKLSRLPGTGRRSKKSYRPKTIVIALPIVSVVLVIAVAAGVFLLIKRKFQRYVELREDWELEFGAHRLSYKDLLQATERFNNKNLLGIGGFGRVYKGVLPTSSSEVAVKRVSHDSRQGIKEFVAEVASIGRLRHRNLVQLFGYCRLKEELLLVYDYMPNGSLDKYLYSHDDKPTLNWAQRFQIIKGIASGLLYLHEEWEQIVIHRDIKPSNVLLDNDMNGRLGDFGLARLYNRDTELQTTVVAGTFGYMAPELALTGKASPLTDVFAFGAFLLEVTSGRRPVEQDIEGHRLLLTDWVFENCSKEQILAVIDPRLNGNINISEASLVLKLGLLCSHPMSNVRPTMRQVVQYLNGDMPLPEMSPMRFTFSLSALMQNQGFDSLLKSLGTISTLSIGR >ONIVA02G14260.1 pep chromosome:AWHD00000000:2:11012079:11012678:-1 gene:ONIVA02G14260 transcript:ONIVA02G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRGHPRRCLLGALVGAIAATALVIAASFVLRPPPLAFSVADARSGATDENKAAFLNLTLVAGNPSGRAAVEYEALDVMLWYGTTDYIETNTSLLLLGLAAADEAALLLQPPRNATAVEVTARTLDDRFVQEIVAGQGRRTGPFNVAVAAQVRFKVAGVVYTRPYNVRVSCSDVYFVVADNQSAAAAASSTPIDCHG >ONIVA02G14250.1 pep chromosome:AWHD00000000:2:11008889:11011254:1 gene:ONIVA02G14250 transcript:ONIVA02G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFNGTDAHCGGAVGAMGSYVYNLTSSYADQKNEVNIVATSLAMLLLAALLLAFDLLAGAATLRPAARLVLSVSLALFLPVTSYLFSEAKNDMLPDDVDCTARVYGAMTTELKRELGLKGYYFSTDATRYGKMMAIAGGQEDDDESAAEETTVVRKGARLGKALMDEAAGGDEAAVWKLVADVWTEIVVYVAPARDAEQVRAHGEALARGGEEFVTVLWALVTHTGIARPAASSV >ONIVA02G14240.1 pep chromosome:AWHD00000000:2:11002911:11005181:1 gene:ONIVA02G14240 transcript:ONIVA02G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRASGQRGAPATDVDDGLTKLRSVDGGSYIDVVELGMASMRMWLLTIGVVEEVDLVLEPLEHGEQHRLEEMRQHPVAGPRASSAERRHLPKPAAAANLSSSATATPPNAVTSPSKRPPWQRPRPPSQRDAPATDVGDGLTKLRGVDDGGYMDVVELSMASTRMWLLTIGVVEEIDLDTQWAKSKNSDARYLSLFLAADVGTG >ONIVA02G14230.1 pep chromosome:AWHD00000000:2:10998766:11000684:1 gene:ONIVA02G14230 transcript:ONIVA02G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFVAVVFVLLVLCSSTLVAHGGDAEAGAYMLIATSSMKPKASCSGHKVAPSNEASLNSTWAPLHLVSGPCSPAYSRGTDSSSTDDDVTSIAKMLDADQHRVAYIQKRLAGGDTSNGVAGASWDGQTTDVGTYLPASNVGVGAVSHVRPISSLNKMIGTTAAPDGTSAVRQTVIIDSGSDVPWVQCQPCPLLVCHPQRDPLFDPATSTTYSAVPCSSAACARLGPYRRGCSANVQCQFGFTYTDGATATGTYSSDDLTLGPYDVVRGFLFGCAHADRGSTFSFDVSGTLALGGGAQSFVQQTATQYGRVFSYCIPPSPSSLGFITLGVPPQRAALVPTFVSTPLLSSSSMPPTFYRVLLRAIIVAGRPLPVPPTVFSASSVIASTIVISRLPPTAYQALRAAFRSAMTMYRTAPPVSILDTCYDFTGVRSITLPSIALVFDGGATVNLDAAGILLQGCLAFAPTATDRMPGFIGNVQQRTLEVVYDVPGKAIRFRSAAC >ONIVA02G14220.1 pep chromosome:AWHD00000000:2:10983066:10983389:-1 gene:ONIVA02G14220 transcript:ONIVA02G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADEAVTGPGGPMTLGSVRSFLRFFLLPSSSLLLPKSLILAPSIRISRASPAHLGIARRRPRAMTGSRDRSHGQGAGNPPPPAARRRRVLRRRTEPQAPTGAKKKQ >ONIVA02G14210.1 pep chromosome:AWHD00000000:2:10982470:10983046:-1 gene:ONIVA02G14210 transcript:ONIVA02G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGRTHHLVDRPDAVDAWLRSATLDNLKELRVHQRTLLEGDAPPPAPTFRFSSSLRVVTIGRCLLPDATIQELHFPHL >ONIVA02G14200.1 pep chromosome:AWHD00000000:2:10981397:10982421:-1 gene:ONIVA02G14200 transcript:ONIVA02G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASCPVLECLLLNCSFGFHYLRINSPSLRSIGARIISYRNSIGHLQLQELIIEDAPLLEKLPHLESRNDLHAVGCLCDPWFVHSRFTFGTTVIKGVKYESLAEVVCNVKILGIHVDMLHVDNVILQGLSMGYKKVWWHKNRNLNKNIDIRLKTVVLDNYRGIWSQVHFAQLFVLNARVLESMKFVVNTGDCYKEFVAKQCRMLQLDKRASRGAHFYFTTDRCRCGDSDIKHVQDLSFTDPFECRCRNWFGP >ONIVA02G14200.2 pep chromosome:AWHD00000000:2:10981397:10982421:-1 gene:ONIVA02G14200 transcript:ONIVA02G14200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIASCPVLECLLLNCSFGFHYLRINSPSLRSIGARIISYRNSIGHLQLQELIIEDAPLLEKLPHLESRNDLHAVGCLCDPWFVHSRFTFGTTVIKVILQGLSMGYKKVWWHKNRNLNKNIDIRLKTVVLDNYRGIWSQVHFAQLFVLNARVLESMKFVVNTGDCYKEFVAKQCRMLQLDKRASRGAHFYFTTDRCRCGDSDIKHVQDLSFTDPFECRCRNWFGP >ONIVA02G14190.1 pep chromosome:AWHD00000000:2:10976810:10978864:1 gene:ONIVA02G14190 transcript:ONIVA02G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTKYVSWCLALLLRLAAVAGLADDGQFLYNGFAGVNLTLYGAARITPNGLLKLTNGTVQQTGHAFYPPPVRLRRTPSTKTNGTGNEKAVLSFSSSFVFGIVTADTQDLGGHGVVLVVAPRANLSTGLANNYMGLFNGTGSVGSASNHLFAVELDTIQNPDFRDINNNHVGININDLASRDNDKAGYYDDDDDGRFHDMTLISGDAMQVWVDYDGDTTRVNVTLAPLGVRKPARPLLSATHDLSTVIMGESYIGFSSATGTLSTQHYVLGWSFGVDMPAPAIDAAKLPKMPKRRTRSDQSKTMVIALPILSVVLLLFMVSCVILVRKRYNYGELREDWEVEFGPHRIPYKDLRRATERFKNKNLLGVGGFGRVYKGVLPKSRLEVAVKRVSHESSQGMKEFVAEVVSIGRLRHRNIVQLLGYCRLKNELLLVYDYMPNGSLDKYLYGHNNMPVLSWAQRFLIIKGIASGLYYLHEEWEQVVVHRDIKASNVLLDSEMNARLGDFGLAKLYNHGSDMQTTIIAGTLGYLAPEITRTGKASPLTDVFAFGVFLLEVTTGRKPVERDTEGGIHMLVDLISAHLDRETLPMDMVDPRLEGEYNTDEASLVLKLGLLCSHPLPDLRPSMRQVMQYLDGQLPFPELVPSHTSFSMLSMAQSRGLDSYAISKSLSSMASISQLSSFSSGR >ONIVA02G14180.1 pep chromosome:AWHD00000000:2:10965455:10970001:1 gene:ONIVA02G14180 transcript:ONIVA02G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAHVWDNQILAAHRDTNPIPVSPPIPSLSSNLSSLPIIVSSARRLSPRFGFVPAAVLRRRRCRPAAAAGEICPVHMAKPANSIGRSTPRSNEGMRLIFSAVVGVMLGYLFGVSFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANRHNKNNSDSTSEEVPKIYVPTNPKGAERLPPGIVVSETDLFPRRLWGDPSEDLSSEPRYLVTFTVGITQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEKYIELVRKHGLDISQPGLQPDKGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGNAENGKAPWEGVRARCRKEWEIFQTRLADAEKAYYLSQGITPPNSTLV >ONIVA02G14180.2 pep chromosome:AWHD00000000:2:10965455:10970001:1 gene:ONIVA02G14180 transcript:ONIVA02G14180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAHVWDNQILAAHRDTNPIPVSPPIPSLSSNLSSLPIIVSSARRLSPRFGFVPAAVLRRRRCRPAAAAGEICPVHMAKPANSIGRSTPRSNEGMRLIFSAVVGVMLGYLFGVSFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANRHNKNNSDSTSEEVPKIYVPTNPKGAERLPPGIVVSETDLFPRRLWGDPSEDLSSEPRYLVTFTVGITQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEKYIELVRKHGLDISQPGLQPDKGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQVEWHYMFNFNIVYNSGILTHCLLQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGNAENGKAPWEGVRARCRKEWEIFQTRLADAEKAYYLSQGITPPNSTLV >ONIVA02G14170.1 pep chromosome:AWHD00000000:2:10934991:10937508:1 gene:ONIVA02G14170 transcript:ONIVA02G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTNGGGSNKKPSTAAGGDASPDKQNAPPPEDKKPPPDGTAPSPTTPATGNNNPSSNKAQRTKPASDTPAKSTSRRPTRGGGRRAKKDPPPPPPPRQPAAAKGTLDAFGRDLTAEAANADPVVGREEEIDRVVCILSRKSKNSTVLVGAAGVGKTAIAEGLAQRIARGEVSGVLEGARVVELNVAAMISGTSYRGTFEERMTGVIAEAEAEADAAAGKVVLFVDEIHMPLGAGRVSGGCIDASNMLKPALARGRVRCLGATTHDEYHRYMVRDAAFERRFQKVHVAEPSVDDTVAILRRLKPSYQDHHGMDIQDAALVAAAKLSGRYIPARHFPDKAIDLVDEACATARLLMDRRKKKQAQAAIVSKWTGIPVASLGEDERKKLLELPQLLHRRVIGQDEAVGAVAEGVVRSRSGLGNPNQPSGSFLFLGPTGVGKTELAKALAEQLFGNAKLLVRIDMSEYVNASSVTRLIGSAPGYVSSVASVSAIADERVRQGWAADRAGAVAAIQRGAVRRGGEGGRRRVQRLPPDPRRWAAHRRAGPHRRLHQHHHHHDLQPRAQHLADAAAADASHKDDATEAAAAAAKQRVLADVQRHFRPELISRLTEMVVFRPLSGEQLRKVARLQLRGMAARLAEKGIGLDVADAALDVVLARSSDQVRAYGARPIKRCLEKDVMTRISKMVVQEEVDDDCYVTVEADQGKEELVFTVDKQADAEENDAAAAASSSSPAAGKKRKRRPPARYLVVIDDDE >ONIVA02G14160.1 pep chromosome:AWHD00000000:2:10927251:10931840:-1 gene:ONIVA02G14160 transcript:ONIVA02G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTAVLVAVAPRTRKARGSTGHKRQRGTTRSGEAAWWERRCGQVGEGGSASLTPPARSRVATPPLRRQRRRPCEREASLSRRLATPSSLKLGWTTSSFSRHWLLRQNKSSAHPLTRSPLPTTPLPFPRRRTADAVGLTANHGSERAGGANRGAPQLLRAREATHPCAPEALWNSASATALSSPIFNKNHIVVLLGLWRVVYAYYLNPLPTLKCDPYKQTDIMLPRIGLNIGNIVMSAKPNSGFSSETAQGESCTVGEKDGVIIVDHGSRREESNLMLNDFVAMFRARTGYKIVEPAHMELAEPTIKDAFGKCVQQGASRVIVSPYFLSPGRHWKQDIPALAAEASKEHSNITYVVTAPLGLHELMVDVMNDRIKYCLRHVAGNVEECTVCAGTGKCQLYP >ONIVA02G14150.1 pep chromosome:AWHD00000000:2:10925798:10926286:-1 gene:ONIVA02G14150 transcript:ONIVA02G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGERDADETRAWSGTASPAALWKTVASAAAMLKLALAMISAAFRTTPFSMSMQLCPNATMSLHSPSIFDVVSSITPIVGGGEGRGDDAAVASPLVAFGHDAASPEHGHMGMRSWRKTAGLP >ONIVA02G14140.1 pep chromosome:AWHD00000000:2:10925812:10926700:1 gene:ONIVA02G14140 transcript:ONIVA02G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGRIMAEGDEWARHRCIIAPAFSATNLNDTIGVMEETTSKMLGEWSDMVALGHSCIDIEKGVVRNAAEIIAKASFSIAAADATVFHKAAGDAVPLHARVSLLHIRATYEAWKVGRKIDALLLDIIESRRRREGGGMKEVEEVTGWSGPMDAAVMGKLTKMGCMLNEVLRLYPPSPNVQRPAACDAEVVRGERRNAAWGLGISASQGREGESADTPSAAAPALTRSPLTAAMAEGEKKRER >ONIVA02G14140.2 pep chromosome:AWHD00000000:2:10925177:10926733:1 gene:ONIVA02G14140 transcript:ONIVA02G14140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYVPVVDDAGHDRRDGGDDVEDARRVERHGGVGTQLHRHREGRCPERRRDHRQGQLQHRRRRRHGLPQSCRRCCSTPRARLVGVPLASLLHIRATYEAWKVGRKIDALLLDIIESRRRREGGGMKEVEEVTGWSGPMDAAVMGKLTKMGCMLNEVLRLYPPSPNVQRPAACDAEVVRGERRNAAWGLGISASQGREGESADTPSAAAPALTRSPLTAAMAEGEKKRER >ONIVA02G14130.1 pep chromosome:AWHD00000000:2:10913826:10921873:-1 gene:ONIVA02G14130 transcript:ONIVA02G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRLHRRRGDGLRLHIFAMLTSEVPSPRDPELVERLILDLLDPELKGHALSELRKKREMFQNLALLLWNSFGIVASLLQEIIVVYPALSPPTLSLGASNRVCNVLALLQCIASHPETRTHFLQARIPLYLCAFLETDDKAKQFEYLRLTSLGVIGALVKVDDPKIINFLLENEFVPLCLHNMTIGSELSKTVATFITEKIVVDDAGLAYVCANADRFYAVGAALATVVTSMVDQPSKRLLKHVIRCYLRMSENPRGFAALQTCLPPQLKDGTFNSCLRDDPSGRHLHQQLLVKMTSGKKGGGAGNSAGRMSWG >ONIVA02G14120.1 pep chromosome:AWHD00000000:2:10909369:10911389:-1 gene:ONIVA02G14120 transcript:ONIVA02G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGELGDGAASEGMARLSLSATVTAMAATATATATSSVFDNDDLLREILVRVTLPHCLVRASLVCKSWLRNASDLVFLRRFRSLHPPPTLGFYIDSSVLSCPRFVALQGHPPELGVLLGRASRHFDAWSDVPLSMWDSRNGRVLVEIYGKLAVHSPLLPPADISVYPQTPLKVWLDRSFTYNLHEFLPEDGGNGREYYRLALGYKCKCMIAYLFHLVDGIWVGRASDTISFPGPDEQAEPVIPLGVHAFGKLFLLTNFSIIIVLDCKTLELTKVNITDEIELEDCDEVDLCEGKLEDEDDILQASVTEAGESCAHVSILGIANAGKSAFLKLDDSIFLLDIVAKNMTKVYEICPEDGDIERIYPLLMIWPPKFPAHLTGNENSGRKMCTED >ONIVA02G14120.2 pep chromosome:AWHD00000000:2:10909369:10911389:-1 gene:ONIVA02G14120 transcript:ONIVA02G14120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGELGDGAASEGMARLSLSATVTAMAATATATATSSVFDNDDLLREILVRVTLPHCLVRASLVCKSWLRNASDLVFLRRFRSLHPPPTLGFYIDSSVLSCPRFVALQGHPPELGVLLGRASRHFDAWSDVPLSMWDSRNGRVLVEIYGKLAVHSPLLPPADISVYPQTPLKVWLDRSFTYNLHEFLPEDGGNGREYYRLALGYKCKCMIAYLFHLVDGIWVGRASDTISFPGPDEQAEPVIPLGVHAFGKLFLLTNFSIIIVLDCKTLELTKVNITDEIELEDCDEVDLCEGKLEDEFTLVCLHPTKLQVWTHNLSQITWTLSHSVARDDILQASVTEAGESCAHVSILGIANAGKSAFLKLDDSIFLLDIVAKNMTKVYEICPEDGDIERIYPLLMIWPPKFPAHLTGNENSGRKMCTED >ONIVA02G14110.1 pep chromosome:AWHD00000000:2:10900080:10901171:-1 gene:ONIVA02G14110 transcript:ONIVA02G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAGDTGLSKVRRYWPGRAPDWAWAGAAVAHDEDDARLVSTLDEIKHVEEEVLRSPRRRRVRRAPEIASAAPAVDDWHEPDPIEEEQDEDDDDDAREERRARIRERALLLRRHEEEQLLLLHPQHQEEEDEEASESEETAESDSDVGEQMAVVYMAVPLFIPKPRRDTIRLKEEERQRLEDELHRKRLEDRKAQTRQILLQEIINEELLAANTASDEAAINGVDTDDEVDQAEEYESWRRREMARIKRSREESGIDDDKSIMEDENPVADRPKKKMKIKKQMRFMQRYYHKGCFFQQDADDAAQTAGSCEIYRRDFSGPTGLDKMDVSVLPKVLQVKHFGRRGGRKWTHLVNEDTTYRNFT >ONIVA02G14100.1 pep chromosome:AWHD00000000:2:10845569:10846990:-1 gene:ONIVA02G14100 transcript:ONIVA02G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGLPVRVVSRHLVKASDATIQPHVLTLSHIDLHADDVQTTVTCVYPNPAPSGAGDFAAVVATFTANLPSFLSHLFFLTGRIATNPASGLLEVRCHNQGAELVVADVGVTLGSLDWGNAGASLNKIQLPYAAEVVMSVQLLSFACGCFAVVWATNHLLGDGHIAVVLLRSWSELALTGTFAGGLNLDRSVLSRPRSPPMYSAAVDGMFVPWDHEHEVNPLTAEASFVERLYYVEAADIARLREEATAGGGKARATSVQAVSAYLWKKLAAVVSSSASIAKSDTAARRCSMGYWVDLRWRVRSPELRRTLSGYVGNATTYVEREEAADAVLRKPLGEVAAMVREAIAAVDYDERLQETVDWLEAHRPRSYTERAAVGLGSPTLHQTVWASFPCEAADFGFGAAALVLPTSANGRMCSAYLCVGRQPGGDAWIVSAYVWPRLAAALENDRRRVFKPLTAEFLGLTGEMRRGLAL >ONIVA02G14090.1 pep chromosome:AWHD00000000:2:10834222:10837100:-1 gene:ONIVA02G14090 transcript:ONIVA02G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDDHPVVHRSRGHSGKGDEQRRTQKFIRKLMRTSPAATSMVITIDNIVANEAAGSTVEQVVATDSNADAVGSGIWRRPF >ONIVA02G14080.1 pep chromosome:AWHD00000000:2:10816219:10822866:-1 gene:ONIVA02G14080 transcript:ONIVA02G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSSSASTGATKFDASQYAFFGNNAVEEVELGGLEDDDGVDAGFVGPGDEEYPSAYGRDMFEDEGGGSFTGVDDLAGAFSKLTRSINEPTQSGIISHGGSISRQSSTPDWAQDSYWPTQPIFGAEQGLDNESRWSQPPHLARFADSRLHRTSSSPQQDAQYNPNEHILGAMPSPLHRTSSYPQQEPQYNHTEPIPVPKSSFISYPPSGAVSHSSPGQPHHMNMPSPPTSFQMPVSAQNELHHPQFPRGGMPPGPLGRNMAHLDSAGLSTNNLQQNALNSGPIRPNGAMLTPGLVQHNLQRPNGLVPPQMLSRRPQHGMAPIQHSPPQFSQFHAQMLGPRHPPPQGRQMYNPQHSSQMMSGFDANLAMPDLSDPRARAMLHHGVHGQHYLPQGFELASMRMDNGWPRFRSKYMSMAEIENIARMQQAATQINDPYIDDYYHQACLAKKSAGGQLKHHFCPTLIRDPSSRARSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQTSESSDDVTEKSASKTLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQLAESLQLVDPLTPSNNAPLSPNDDLVFLRIVSLPKGRKLLSRYLELVSSGSELARIACMAVFRHLRFIFGNLPSDSSAVETTTKLATAVSTCVVRLELSGLSACLAAIVCSSLQPPLRPLGHAAGDGASFIIKSVLDRATELLTDQHVASTYSMQNRALWQASFDAFFGLLTEYCMSKFDSVIHALQTQPAVAAVISREMPVELLRASLPHTNEYQRKQLLSFAQRTVPVNNHSSHGSNNGPMTSESIPSSESRKI >ONIVA02G14070.1 pep chromosome:AWHD00000000:2:10812734:10813427:1 gene:ONIVA02G14070 transcript:ONIVA02G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTDIDFSYVSCAAYCAPFPTKILDPNASTSFAAPSKSKGLAAVARGGFLGRRSLPINKSSIAKTNSIREPSSVDVLLTTETLTLLSIPAPLALGNLVQSVLLTPIAPLEPTPEDIAVTAARAMAEWGCASTSSWSEADS >ONIVA02G14060.1 pep chromosome:AWHD00000000:2:10790321:10790649:1 gene:ONIVA02G14060 transcript:ONIVA02G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRSADMACDQKGGLHGKELTPEGDFLVAFLRVASKSTKGIRAALALARTYQSGSEAL >ONIVA02G14050.1 pep chromosome:AWHD00000000:2:10779297:10786878:-1 gene:ONIVA02G14050 transcript:ONIVA02G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSSSASTGDTKFDASQYAFFGNNAVEEVELGGLEDDDGIDAGFVGPGDEEYPSAYGRDMFENYKKTDAIRQRHGTGTIHTDTAGPNTQRPEVLLLFSTPIATTAPFPCHQLMVCWLAYSMQEAVGPYVHDEGGGSFTGVDDLAGAFLKPIFGAEPGLNNESRWSQPPHLARFADSRLHRTSSSPQQDAQYNPNEHILGAMPSPLHRTSSYPQQEPQYSHTEPIPVPKSSFIPYPPSGAVSHSSPGQPHNMNMPSPPTSFQMPVSAQNELHHPQFPRGAKKSAGGQLKHHFCPTLIRDPSSRARSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQTSESSDDVTEKSASKTLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQLAESLQLVDPLTPSNNAPLSPNDDLVFLRIVSLPKGRKLLSRYLELVSSGSELARIACMAVFRHLRFIFGNLPSDSSAVETTTKLATAVSTCVVRLELSGLSACLAAIVCSSLQPPLRPLGHAAGDGASFIIKSVLDRATELLTDQHVASTYSMQNRALWQASFDAFFGLLTEYCMSKFDSVIHALQTQPAVAAVIGREMPVELLRASLPHTNEYQRKQLLGFAQRTVPVNNHSSHGSITGPMTSESIPSSESWKI >ONIVA02G14040.1 pep chromosome:AWHD00000000:2:10769234:10775778:1 gene:ONIVA02G14040 transcript:ONIVA02G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G08560) TAIR;Acc:AT5G08560] MGGFEDDEPPSKRARASSVEPASLLDSFSCLKPAAPLGSTMARPLPSQGKEVMVGSKGVIKRDEFVRIITKALYSLGYEKSGAVLEEESGITLHSPTVNLFRRQVLDGNWDSAVVTLKKVGLLDENIVKSAIFLILEQKFLELLRNDNVMGAIRTLQSEITPLGVNKKRVHELSGCIISSPQHVLLGFSKLGIESSNSRLKLLEELQKVLPPTVMVPERRLENLLEQALTVQREACYFHNSIDGLSLYTDHHCGKDQLPSCTVQVLRAHRDEVWFLQFSNNGKYLASASNDKSAIIWKVDEDGELLLKHTLTGHDKPVMMVAWSPDDCQLLTCGMEEVIRRWDVESGKCLHVYEKSGIGLISCGWFPDGKHILSGLTDHNFCLWDLDGKEVDCWKGQRSSKTSDFAVSNDGKLIISMNRESTIRLFDRETKQERLIEEDNTITSFSLSEDGDFLLVNLISEAIHLWNIRNCPIRVNRYAGHKRSRFVIRSCFGGSEQAFIASGSEDSQIYIWHRATGDLIETLPGHTGTVNCVSWNPANPHMLASASDDHTIRIWGLKKANLKRKDVGSSNGIYANGNTPSNGVVHQCNGNSSK >ONIVA02G14030.1 pep chromosome:AWHD00000000:2:10757846:10763167:-1 gene:ONIVA02G14030 transcript:ONIVA02G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRPRPPQPSPPPPVASSAAASSSSSVLDDGDLLREILLRLAHPTFLVRAALVCRRWLRHASDRAFLRRFAVLHPPRLLGFYVDSASLPRPRFVPLPHPPELAAVVRRGSFDLGTAADLHFHLGTGIYCCRNGRLLVCHRGGGESTLQLRRPLHPASDGAVISTFRTPPRPLPPNQRHTRYILLPEDGGDGDGDTVACTVVTLVSSEREVFAKVEKILRAGVWDVAQTSAPIELPAHWRRSLSRGFLVNGKLYMLGTTGYILGLELVSMSLFFIEVPDAVRDDCPESFQLSGKLSQAEKSGLYLIHVEGFKIHVWLHGTDGNSSADWNLVNTICLREVFGHLVKPSWESGDSRISLPGSGDNAEFVFLEVDGEVFCMDIISRTVEKVYEIAMKDDFLFEIYPFMMVWPPIFPALIKTHEPEGALRMRAPRPRHRRHSASTRVSNELRFRLSKSCHLWQAVAKIAGLLGLTMVLNSVQPVVSGHTCFNLRAKVGRHR >ONIVA02G14030.2 pep chromosome:AWHD00000000:2:10757846:10763167:-1 gene:ONIVA02G14030 transcript:ONIVA02G14030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRPRPPQPSPPPPVASSAAASSSSSVLDDGDLLREILLRLAHPTFLVRAALVCRRWLRHASDRAFLRRFAVLHPPRLLGFYVDSASLPRPRFVPLPHPPELAAVVRRGSFDLGTAADLHFHLGTGIYCCRNGRLLVCHRGGGESTLQLRRPLHPASDGAVISTFRTPPRPLPPNQRHTRYILLPEDGGDGDGDTVACTVVTLVSSEREVFAKVEKILRAGVWDVAQTSAPIELPAHWRRSLSRGFLVNGKLYMLGTTGYILGLELVSMSLFFIEVPDAVRDDCPESFQLSGKLSQAEKSGLYLIHVEGFKIHVWLHGTDGNSSADWNLVNTICLREVFGHLVKPSWESGDSRISLPGSGDNAEFVFLEVDGEVFCMDIISRTVEKVYEIAMKDDFLFEIYPFMMVWPPIFPALIKTHEPDTRVSNELRFRLSKSCHLWQAVAKIAGLLGLTMVLNSVQPVVSGHTCFNLRAKVGRHR >ONIVA02G14020.1 pep chromosome:AWHD00000000:2:10756135:10757187:1 gene:ONIVA02G14020 transcript:ONIVA02G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAGDTGLSKVRRYWPGRAPEYWPGAAVAHDVIKHVEEEALRSPRRRRRCVRQPPEIVSAAPAVDCWHEPDQIEEEEEDDDDAREERRARIRERALLLRQHEEEQLLLHHHHQRHQEDEAASESDETAAESDSDDEQMAVVYMAAPLFVPKSQRDTIRLREEEQHRQRRRLELELDKKRLEDRKAQTRRILLQEIIKEELLAATTASAEAEAAIDGVDTDDEVDQAEEHESWRRREAARVKRSREESGIDENPVADDRPKKMTIKKQMRFMRRYYHKGCFFQDDADGAAQTAAGACEIYRRDFSGPTGLDKMDVSVLPKVMQVKHFGRRGGRKWTHLVNEDTTYRNYS >ONIVA02G14010.1 pep chromosome:AWHD00000000:2:10753033:10753824:-1 gene:ONIVA02G14010 transcript:ONIVA02G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHSPPPPPAPPSPPPALPCDPMPPPPRHDDTTLTLSLAPPAAARPLQAMVARAKCSSPTGDAPPCTECGRQFLSWKALFGHMRCHPERHWRGITPPGGGGAGAASSTAASQFTLREREVAASLLMLSGAHPARSGAGKGKGKKRLLAPAAAAAPHHSPATCADHKCAVCHRGFATGQALGGHKRCHWPDRSCADQAISMLAVSTAGSSSTTTTSASPPPAPATAATALDLNLNLPPPLARKNLQDGGSNETLDLNLGLQS >ONIVA02G14000.1 pep chromosome:AWHD00000000:2:10749604:10751892:1 gene:ONIVA02G14000 transcript:ONIVA02G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF2043 (InterPro:IPR018610), ENTH/VHS (InterPro:IPR008942); Has 308 Blast hits to 279 proteins in 95 species: Archae - 2; Bacteria - 30; Metazoa - 120; Fungi - 19; Plants - 44; Viruses - 2; Ot /.../karyotes - 91 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G61800) TAIR;Acc:AT3G61800] MPRPAASSSSRPPPEGEPASSRATSSAESSIASLIDRATSTTSPSVDPALLRAIKSAARASDGAIRDAFRILLSLMSKPHAHVRLLAFTIADELFMRSKLFRSLLADVLDGFLPLAVGFRRAHPLPPPAASATLLRKAAVQALERWHHLFGANYRQLRLAVEYLKESARVQFPGLRAAVEARAAREARTQEILTAKVEQLRRNLAAIKAEIRSAIDEIRNGLDIIRIESEKFNNYANDEDEEQEIASLAMRSIRMASLMAGEWVPETQENEAVFDTLRESYRLLVSKHLVTVQEWISVLVRVNLTDNRFRDSALKEFIDVKNEIRAVRNRCSELGLNLDNVHRRKGVQEEEDDDFWVEGNIEVPSPGRVGTSADVASSSKDTRKGKKPVGGMDTDDGKAPNAGNSPQELDPERAKLHAEAPVLPWSSVLDRWGSNRDALVNQRGLELESHWGRVDNDAVIPAAKIAELNVHSSIYREDPVEILPCLAPLKKGGLCQRRDLKICPFHGPIVPRDAEGNPIHQSDGHCDAEGNPVGQTDGRYDAAVSPIDPNEDSSDLRENMIMPDGSSDGECIYESSPSRTANINNDISDSDVGHDLGKITVEQLARQAVQNVRKRDRDDKKALEKAQRARIHQHNQDVLREAALASTSRSAADYDLPSPAVSRRGRRGKTKGPTLASMLKKKVTSKDRIAERLLNARARDATIREVSHTEDMSYREAFPNQW >ONIVA02G13990.1 pep chromosome:AWHD00000000:2:10745375:10745710:-1 gene:ONIVA02G13990 transcript:ONIVA02G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGERRTIVPLVIALKLIAPVATRVTNVVAVLGLAAFVGVIANKAVPILDLPASVGIAANELTSLLALNRVAIELSSRCIISPVAPPLLPSNIEIAHVLALHGAYNCVFQ >ONIVA02G13980.1 pep chromosome:AWHD00000000:2:10732333:10741042:-1 gene:ONIVA02G13980 transcript:ONIVA02G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit X [Source:Projected from Arabidopsis thaliana (AT5G53350) TAIR;Acc:AT5G53350] MSAAAVRSRAASVAATAARRIHAGRAVAEEQGQGPGLRRPWAYFRRRHQQQQQQASLPRAVAAPPLRGAVAERGAGGGSDGGGEPPEIWRQPGEAPPGGGARGAEVGRIDVVRVAAGGGGGGGDGSDGNEGGGGGAGWGGSNLGRRFPTPKEICRGLDKFVIGQERAKKVLSVAVYNHYKRIHCELLSSRSAGDCSETDSCASDTDGVELEKSNILVMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFDVAAAQQGIVYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQIDTKNILFICGGAFVDLEKTISERRHDSSIGFQAPIRSNMRTGGVINAEITSSLLESVESGDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVLTKPKNALGRQYTKLFEMNDVKLHFTEKALRLISKRAIAKNTGARGLRSILESLLTESMYEIPEIRTGKDKIDAVVVDEDSVGSTNQHGSGAKILCGEGALDLYLDEHNKESTLRRSEKVDGEPDIDTEAPSRVASM >ONIVA02G13970.1 pep chromosome:AWHD00000000:2:10727050:10730385:-1 gene:ONIVA02G13970 transcript:ONIVA02G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G536] MDMASIECVSYSDSMDDDDDDGVGVGGVSHLPRPILVKPSSAAAAVNVVVVSAGSGGGAGGGGVGVGVVAGAPAVPPATSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQQNPDSGACIPNLFS >ONIVA02G13970.2 pep chromosome:AWHD00000000:2:10727050:10730385:-1 gene:ONIVA02G13970 transcript:ONIVA02G13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G536] MDMASIECVSYSDSMDDDDDDGVGVGGVSHLPRPILVKPSSAAAAVNVVVVSAGSGGGAGGGGVGVGVVAGAPAVPPATSVHELLECPVCTNSMYPPIHQVRHSWGSCGFFLLLGSPLTARESSSVGWNRVIRCCERAGSSLRVCLGVLDPGASWILPFPATGKHGVLAAFQGIGWLPRRCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQQNPDSGACIPNLFS >ONIVA02G13960.1 pep chromosome:AWHD00000000:2:10721275:10726280:1 gene:ONIVA02G13960 transcript:ONIVA02G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSDESELSDADIDDYADKCYMDLKSGKPVVSLGNEKFRCPFCLGKKKQDYRYNELLQHAIGVGASNRAPKVKANHMALANLLKNDYADAAGSLPSRQAVGPSNPPRPLQDQEAYVWPWMGILANVPAEKTKEDGASLMQQLANFNPLQFTAVLCSEGRYTGYAVVGFSKDWIGFTNALAFHNYFKSQRLGKKDWAALGQEKYICGWMAKEEDYKSSDPVGRFLSANGDLKTVSGLENDLSRKTETLIANLSHQITAKSKYLVELECRCNQMNLSVKRAMEETDLLHKSYNEEMRNMQSAAREHSQKIFEETDQLRKQLDDKENAIERRSKQLSKFVAQTDIERRKLESEMKKNSEQNDSIHMARIEQQKSDKNVLKLVEKHKKEKEIALNKILQLEKQLDEKQKLELEIQQLRGRLLVVQHMEGEGVDVKKRTDELTEELNEKIEEMEYMEGLNQTLIIKERKTNDELQDAKKALISGLSELLGPRSTIGLKRMGELDEKPFLAACKKRYGTADGEAEIKAAEFCSEWQENLKDANWHPFKIVTRGGKTEQIINEDDEKLVGLKEQLGDEVYKAVTTALLEINEYNASGSYVVSELWNNKEDRKASMQEALQHVLEQWKLRRRRR >ONIVA02G13950.1 pep chromosome:AWHD00000000:2:10715909:10718486:1 gene:ONIVA02G13950 transcript:ONIVA02G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSEQGVESVTKLASAVELSWASALLPSQDMMVIAEIYMRCAVRFSVSIRAAASTRVLANHLGQSRNLTTTSDTLRKSGEVDQRGGTAATGGIHQWRDGSIGEAGRVRRR >ONIVA02G13940.1 pep chromosome:AWHD00000000:2:10711534:10711830:-1 gene:ONIVA02G13940 transcript:ONIVA02G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAEEATIVTWVMNGMGWSSVQAMNGMGWSSVQAELFLHVGVVIGEEGCRAEKEVNRVAARSCRWPRSMTSWRRWAVELDVGRCCCSLSSAEHSPC >ONIVA02G13930.1 pep chromosome:AWHD00000000:2:10707849:10708687:-1 gene:ONIVA02G13930 transcript:ONIVA02G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEELDYVLIPLGMALMVGYHAWLLLRIRRRPATTVIGVNAINRRIWVRHIMERDENEIAGVTGFGWIQEASGKHAVLAVQTIRNNIMASTLLASTAITLSSLIAILMSSAGGGGGDGLLPGAPLVVGAAGETALSVKFFAILVCFLVAFLLNVQSIRYYSHTSTLVNVPVRLIQRRRRPGLAVDYVTATLNRGSYFWSLGARAFYFSCPVFLWLFGPIPMFAACAAMVCALYFLDVCDDWEEEHHDHDEQDGSGSDERSGEAKV >ONIVA02G13920.1 pep chromosome:AWHD00000000:2:10705690:10706084:-1 gene:ONIVA02G13920 transcript:ONIVA02G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGDEELKLDEAAAAGGCRYDLELDLVLDQAVAAFHRRTPPHACGSGEVRLFRAGQQAPPPSQLHADAAATALAAPRPALTTTRRRRSLCPHSCTPMPQPLPS >ONIVA02G13910.1 pep chromosome:AWHD00000000:2:10697492:10701912:1 gene:ONIVA02G13910 transcript:ONIVA02G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHRPAATAGGRAALPSHSFFLRRPLRLHLSFPTSPAAVASLSFQAARGDDASSVFLQTPSVAGASFQVLGSAEGHKTAVPAIFVFGDGMLDVGNNNYLPSDAPQADYPYYGIDFPGSEPTGRFSNGYNMADFIAKDMGFKMSPPAYLSLNSSIKMETNFTGVNYASAGAGIQIIMNDEATIPFVYQVKNFNDTVSQMEANLGHQKLSKLLAKSLFLISIGTMDLSVNIWRVLRYSRKPSPFNIPYTLSSYKAIIMQLYGLGARKFGIINIQPLGCQPWMRKNLENNVDCNDSMNSLAREFNDGLKPLFSNLSSQLSGLSYSIADFYAFSNATFMNPRAYGFVNINSTCCIPPCTPEHEPPCQNRKQHWFWDLSYTTERAAKLAASAFYDGPARFTAPVNFKRLIKMK >ONIVA02G13910.2 pep chromosome:AWHD00000000:2:10697618:10701912:1 gene:ONIVA02G13910 transcript:ONIVA02G13910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHRPAATAGGRAALPSHSFFLRRPLRLHLSFPTSPAAVASLSFQAARGDDASSVFLQTPSVAGGRIIVLSLVIIASFQVLGSAEGHKTAVPAIFVFGDGMLDVGNNNYLPSDAPQADYPYYGIDFPGSEPTGRFSNGYNMADFIAKDMGFKMSPPAYLSLNSSIKMETNFTGVNYASAGAGIQIIMNDEATIPFVYQVKNFNDTVSQMEANLGHQKLSKLLAKSLFLISIGTMDLSVNIWRVLRYSRKPSPFNIPYTLSSYKAIIMQLYGLGARKFGIINIQPLGCQPWMRKNLENNVDCNDSMNSLAREFNDGLKPLFSNLSSQLSGLSYSIADFYAFSNATFMNPRAYGFVNINSTCCIPPCTPEHEPPCQNRKQHWFWDLSYTTERAAKLAASAFYDGPARFTAPVNFKRLIKMK >ONIVA02G13900.1 pep chromosome:AWHD00000000:2:10694854:10696274:1 gene:ONIVA02G13900 transcript:ONIVA02G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAPIHRDPQEVWDRGYIRSYSPRSVGELTKKEDHFITYINKTKDNKVMVHIEGVKMMDAYISCLRDKEKEIRGDDKAFLE >ONIVA02G13890.1 pep chromosome:AWHD00000000:2:10692437:10694485:-1 gene:ONIVA02G13890 transcript:ONIVA02G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAPIHRDPREVWDRGRIRSYLPRSMGVYIDKEDLIKKTQKPTKERLTRSKEKKNEQPDTDKKILKSLLKKEDPFITYINKTKDNEVMVHIEEVKVNRKSMKVLIEPEYLNDDVMDAYIQCLRDKEKGIRGDGKAFLEQAIKTGLLNIFLPTNIIETHWYLAILNAKRCEVQILDSLAKPISEHRHELGRVRLVWPIHP >ONIVA02G13880.1 pep chromosome:AWHD00000000:2:10689693:10689933:1 gene:ONIVA02G13880 transcript:ONIVA02G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKAMGLEMSPPAFLSLNNSLIEVDAGFSGINYASAYAVIWTRFVSSQTFRPSIRALPSHKYVCAFST >ONIVA02G13870.1 pep chromosome:AWHD00000000:2:10686338:10687004:1 gene:ONIVA02G13870 transcript:ONIVA02G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLAMAALTFQVMLLGGAVVAGGCNDTRRSSVPAIFLFGDGALDVGNDEAGDPIRADHPFYGIDFPGLLKRTI >ONIVA02G13860.1 pep chromosome:AWHD00000000:2:10662482:10666749:1 gene:ONIVA02G13860 transcript:ONIVA02G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSSILAVMVVSFVVLLAGGAGAAAAAVAQPVPLFVFGDGTLDVGNNNNLPGDEDVGDPPRANHPYYGIDFPGGKATGRFSNGYTMADFIAKYMGYEMSPPAYLSLSGPVNMDGFTGVNYASADAGIRNSTNAGLTIPLSKQISYFATTRSQMESKLGRLAMSDLLSRSLFLLAVGTMDLLPDCNYFLTFPPSPPDNKTEVQRLVEFYNASVTSLYGMGARRFAVVNVGLVGCGPTVDTRRGGGSGGGGGCDARMNGLAAEFNAALGALLAGLRSEKRRLRYSLADFYGFSNATFANPSAAGFVNIDSSCCPGPCMPFPYFNQPPCDNRAQYWFWDGGYTTEQAAMVAAAAFYNGTAKFTTPVNFKQLIRRKK >ONIVA02G13850.1 pep chromosome:AWHD00000000:2:10655997:10660278:-1 gene:ONIVA02G13850 transcript:ONIVA02G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAAPSCQAPPTGLPLLTPPPAARTLSFARRLRSHVAAAAAPVASKGHLFLSQRQPRTSNLEAVGDVTAVPEDYTENMPSSSGSTNVAMSISSHEDNLAGRVAKTNQTSKENQKMIKISDKLIGVFMVDKPTPTDWRKLLSFSREWDNIRPHFFKRCQERADAESNPEMKHNLLRLARKLKEIDEDVQRHNELLEVVKSTPSDEIGSVIAKRRKDFTVEFFNHLYYVAESYHDDPEKQRELAQLGNDCVDALQAHDDTSGSLEALSAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELQGDNVDTLYTIMLESIATALQKDIHLAFALPLSEQAHQEMPHMQFVDFNYSPISEYDKWVYTWGNDIYSSQKVYARNFMFTQHIPHLNGSGRPSVQ >ONIVA02G13840.1 pep chromosome:AWHD00000000:2:10648558:10650848:-1 gene:ONIVA02G13840 transcript:ONIVA02G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFKRAAQHEDPAILAKETTFSVSEVEALFELFKKISHSIFRDGLIHKEEFQLALFRNSNKKNLFANRNLFLCENADSVSPQVQIFDLFDLKRNGVIDFGEFVRSLSIFHPETPLGDKIAYLFLSEEAVEQIVDQTFKQADLNDDGKIDPDEWKTFASKNPALLKNMTLPYLKSR >ONIVA02G13830.1 pep chromosome:AWHD00000000:2:10606177:10606621:-1 gene:ONIVA02G13830 transcript:ONIVA02G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAILNESDLLLSDDAVEQIVDQTFKQADLNSDGKIDPDEWKAFASKNPALLKNMTLPYLKDITMAFPSFVLNSGVDDEEL >ONIVA02G13820.1 pep chromosome:AWHD00000000:2:10599728:10606215:1 gene:ONIVA02G13820 transcript:ONIVA02G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10950) TAIR;Acc:AT4G10950] MALPFLLLLAFALLFPLSAPPRCCSAAPASSPPPSPPPSPAAAAAAPRRTPLVPALFVIGDSTADVGTNNYLGTLARADREPYGRDFDTRRPTGRFSNGRIPVDYIAEKLGLPFVPPYLEQNMRMGVGSVDLSNIDGMIQGVNYASAAAGILSSSGSELGMHVSLSQQVQQVEDTYEQLSLALGEAATTDLFRKSVFFLSIGSNDFIHYYLRNVSGVQMRYLPWEFNQLLVNAMRQEIKNLYNINVRKVVMMGLPPVGCAPHFLWEYGSQDGECIDYINNVVIQFNYALRYMSSEFIRQHPGSMISYCDTFEGSVDILKNRDRYGFLTTTDACCGLGKYGGLFMCVLPQMACSDASSHVWWDEFHPTDAVNRILADNVWSGEHTKMCYPVDLQQMVKLK >ONIVA02G13810.1 pep chromosome:AWHD00000000:2:10596137:10599633:-1 gene:ONIVA02G13810 transcript:ONIVA02G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVHVRLAADLAVAGLDGLLGCPRASHSVEKGTTHTYIHTDVVDGDVTVGEAPRVVVSVKLKAVQLAVGAGLSRCQVKKSGCSWLKSIEEIISQTLNS >ONIVA02G13800.1 pep chromosome:AWHD00000000:2:10590449:10595391:-1 gene:ONIVA02G13800 transcript:ONIVA02G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT5G24260) TAIR;Acc:AT5G24260] MRSGEAAGAVRSSSDQGNSRKKPRFDAGEEEEEELARMPLADAFVGAGSSGDGDGAAAGAGGCAAAPSVELLDIVQHPLPGYGAPVALSFSPDDRRVAFLYSPDGTLHRNVYAFDPAQRRQELLFGPPDGGGLEEGNLSAEERLRRERARERGLGVTRYEWRARLPGTPASRAGIVVPLPSGVYFQDLSGAEPVLKLQSSATSPIIDPHLSPDGSMIAYVRDDELHTVGFSDGQTTQLTYGASESGKIHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSSEIPLYRIMHQGKSSVGPDAQEDHAYPFAGAANVKVRLGVVSSHGGEITWMDLLCGEPNSIHGDEEYLARVNWMHNSAIAVQVLNRTHSKLKLLKFDIASGKREVILEEEHDTWITLHDCFTPLDKGVNSKHPGGFIWASEKTGFRHLYLHDKNGVCLGPLTQGDWMVDQIAGVNESSGVIYFTGTLDGPLETNLYSTNLFPDWSLPLQVPKRLTHGTGRHSVILDHQLLRFIDVYDSIKSPPVILLCSLLDGSVIMPLYEQPLTVQPLKKFQQLSPEIVQIEGKDGTALYGTLYLPDEKKYGPPPYKTLVNVYGGPSVQLVSDSWISTVDMRAQFLRSKGILVWKMDNRGTARRGLQFEGQLKYNIGRVDAEDQLAGAEWLIKKGLAKPGHIGLYGWSYGGFLSAMCLARFPDTFSCAVSGAPVTAWDGYDTFYTEKYMGLPSEQRDAYRYGSIMHHVKNLRGKLLLIHGMIDENVHFRHTARLINSLMAEGKPYDILLFPDERHMPRRLGDRIYMEERIWDFVERNL >ONIVA02G13790.1 pep chromosome:AWHD00000000:2:10583435:10586394:-1 gene:ONIVA02G13790 transcript:ONIVA02G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCCAIGVVAETILPPLLDSFGSGLNALGAKKGLSAHWCQAVAPRVSLLIGDPRLWLPWLCCLRSAKMSSAGIATLSPLLDQFCFVPHGESRSQQLDSIVIFLAMPGMAPMPMRVLHSDSVASVKLRIQQFKGFVTTKQRLVFSGHELSLNNSHVRDYGLTDGNVLHLVVRLADLRAISIETANGKKFQFQVESCCNVGYLKDKLSAESGQQLGSLKDQRLVFDGEELEDNQLIADISKKGAAVIHLFIRRPAKVQTQQGDKETVVTVVTPKDNDNLQTDALNLAKPAKGKPAPVEPIIANGKVKLSPAVMEMIYSTISGIENGYLPVMSTEGSGGVYFMKDSSGESNVAVFKPIDEEPMAKNNPRGLPLSTDGEGLKRGTRVGEGALREVAAYILDHPVYGCKSCDVPGFSGVPPTALVRCFHMGKGSKVGSLQLFVDNNGSCEDMGPRAFPVKEVQKIAILDIRLANADRHAGNILVCQDGEDHLKLIPIDHGYCLPEKFEDCTFEWLYWPQAREPFGPETAAYIGSLDADKDVALLKFHGWALSPQCARVLRISTMLLKKGAERGLTPYDIGSILCRQTVKKESEIEAIIEEAEDAILPGTSEETFLETISEIMDFHLDKLAVKLKKF >ONIVA02G13780.1 pep chromosome:AWHD00000000:2:10579284:10586145:1 gene:ONIVA02G13780 transcript:ONIVA02G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleolar essential protein-related [Source:Projected from Arabidopsis thaliana (AT3G57000) TAIR;Acc:AT3G57000] MGRPYAVKGRKKKRKLDDGGASREPPVDEEAAEELPPPEGVEEEEGKEEDVAAAAAAAAGEVADGLPVVPRPVDGKRRPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPYVRMPPQLLQKLSITAVGKREKLLNVIKNPVTRYLPVGAKKIGLSYSAEKSVNLFDYVAKSSDDVPLVFVVGAMAHGKIDNEYSDDYIQICNYPLSAACCLNRICSALEQKWNIQ >ONIVA02G13770.1 pep chromosome:AWHD00000000:2:10558484:10576017:-1 gene:ONIVA02G13770 transcript:ONIVA02G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRNNVDGDEGWDATALLCECGRKKKKRAVDAHHPTVGKDALSYRPFHAGAASSPLSRLDSLLTPSHQSVLSATRKRDEGKSTRRPPPHPHLAAAAAAARSPASFAGDRRTDPFRSPAPPLPCRGLGLPPLVPPASPHRRATRSARFPGIPSSWPEMAEHWAEGSKHASAQASYSRYQATSARSRQRNIFDLLAQREISPRTKHQAKKLWSKSPGNDADSNELRYAATDAKHDIYSWAESQSLHHWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDYQTGKCLKVLSGHRRTPWVVRYHPLLPDILASGSLDQEVRLWDAKTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDEAAAPTIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDSADSPLTLATSSGYSNYPSAVFFANINSRNCPHHEANSSSPCLLWPAYLRDDGSLCLIRNDLVSSSTNVHQRPSSLAQNPLASDVENQQPDQLVTPMDVCPGEPSTSHASASGLSGVEMQIDRGQPSSRLLGSSSTSNHESSTARDDVQMPSLSNSVPIPATSQPSEHDGRHGMPMNSFTTSSGLDVHMILRNSEGGNHHHDLFSDSRSWELPFLQGWFMAQNHTGASPSIPIDVGSSRGSNRHHASRRHVVGSLRGVGSSLLGPQIDEAEVHAASLGVGSELTTSLLAAGAAELPCTVKLRIWRHDIKDPCVTLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVQYDSAGAGTSPTRHPLPSHRVIYELRVYSLEEATFGKVLTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSMLRSIVMDGETGIPVYTILEEGKLRILQHNGADITSTGFRDMRWKAERLVAMCYVYFSRSVQRELEDVNQHNHVAAVKSSRCCNYRIDTSQNPCQQPSAMRWARKASAILGVATRVGHASGWHRQTSSSSSHAFWYISSNIAPAPPEKSIATVVGLVA >ONIVA02G13770.2 pep chromosome:AWHD00000000:2:10558484:10573953:-1 gene:ONIVA02G13770 transcript:ONIVA02G13770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNLTQLNEEVHIYIEGLKWLNIGQKVQSMLLHKHRILDIKQHLQDLGKAQREISPRTKHQAKKLWSKSPGNDADSNELRYAATDAKHDIYSWAESQSLHHWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDYQTGKCLKVLSGHRRTPWVVRYHPLLPDILASGSLDQEVRLWDAKTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDEAAAPTIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDSADSPLTLATSSGYSNYPSAVFFANINSRNCPHHEANSSSPCLLWPAYLRDDGSLCLIRNDLVSSSTNVHQRPSSLAQNPLASDVENQQPDQLVTPMDVCPGEPSTSHASASGLSGVEMQIDRGQPSSRLLGSSSTSNHESSTARDDVQMPSLSNSVPIPATSQPSEHDGRHGMPMNSFTTSSGLDVHMILRNSEGGNHHHDLFSDSRSWELPFLQGWFMAQNHTGASPSIPIDVGSSRGSNRHHASRRHVVGSLRGVGSSLLGPQIDEAEVHAASLGVGSELTTSLLAAGAAELPCTVKLRIWRHDIKDPCVTLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVQYDSAGAGTSPTRHPLPSHRVIYELRVYSLEEATFGKVLTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSMLRSIVMDGETGIPVYTILEEGKLRILQHNGADITSTGFRDMRWKAERLVAMCYVYFSRSVQRELEDVNQHNHVAAVKSSRCCNYRIDTSQNPCQQPSAMRWARKASAILGVATRVGHASGWHRQTSSSSSHAFWYISSNIAPAPPEKSIATVVGLVA >ONIVA02G13770.3 pep chromosome:AWHD00000000:2:10561005:10576017:-1 gene:ONIVA02G13770 transcript:ONIVA02G13770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRNNVDGDEGWDATALLCECGRKKKKRAVDAHHPTVGKDALSYRPFHAGAASSPLSRLDSLLTPSHQSVLSATRKRDEGKSTRRPPPHPHLAAAAAAARSPASFAGDRRTDPFRSPAPPLPCRGLGLPPLVPPASPHRRATRSARFPGIPSSWPEMAEHWAEGSKHASAQASYSRYQATSARSRQRNIFDLLAQREISPRTKHQAKKLWSKSPGNDADSNELRYAATDAKHDIYSWAESQSLHHWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDYQTGKCLKVLSGHRRTPWVVRYHPLLPDILASGSLDQEVRLWDAKTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDEAAAPTIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDSADSPLTLATSSGYSNYPSAVFFANINSRNCPHHEANSSSPCLLWPAYLRDDGSLCLIRNDLVSSSTNVHQRPSSLAQNPLASDVENQQPDQLVTPMDVCPGEPSTSHASASGLSGVEMQIDRGQPSSRLLGSSSTSNHESSTARDDVQMPSLSNSVPIPATSQPSEHDGRHGMPMNSFTTSSGLDVHMILRNSEGGNHHHDLFSDSRSWELPFLQGWFMAQNHTGASPSIPIDVGSSRGSNRHHASRRHVVGSLRGVGSSLLGPQIDEAEVHAASLGVGSELTTSLLAAGAAELPCTVKLRIWRHDIKDPCVTLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVQYDSAGAGTSPTRHPLPSHRVIYELRVYSLEEATFGKVLTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSMLRSIVMDGETGIPVYTILEEGKLRILQHNGADITSTGLNCFIEENMLEIPASQQILNGYQLVTVGIPIYDGRFRDMRWKAERLVAMCYVYFSSLLDGGAWSTSDPLSGGGAPPLSACGLPWPHPQRRSSAGGVPNITPPDRAPPPSSAGEHDEARRRWGSRTPRVERERVDAG >ONIVA02G13770.4 pep chromosome:AWHD00000000:2:10561005:10573953:-1 gene:ONIVA02G13770 transcript:ONIVA02G13770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNLTQLNEEVHIYIEGLKWLNIGQKVQSMLLHKHRILDIKQHLQDLGKAQREISPRTKHQAKKLWSKSPGNDADSNELRYAATDAKHDIYSWAESQSLHHWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDYQTGKCLKVLSGHRRTPWVVRYHPLLPDILASGSLDQEVRLWDAKTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDEAAAPTIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDSADSPLTLATSSGYSNYPSAVFFANINSRNCPHHEANSSSPCLLWPAYLRDDGSLCLIRNDLVSSSTNVHQRPSSLAQNPLASDVENQQPDQLVTPMDVCPGEPSTSHASASGLSGVEMQIDRGQPSSRLLGSSSTSNHESSTARDDVQMPSLSNSVPIPATSQPSEHDGRHGMPMNSFTTSSGLDVHMILRNSEGGNHHHDLFSDSRSWELPFLQGWFMAQNHTGASPSIPIDVGSSRGSNRHHASRRHVVGSLRGVGSSLLGPQIDEAEVHAASLGVGSELTTSLLAAGAAELPCTVKLRIWRHDIKDPCVTLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVQYDSAGAGTSPTRHPLPSHRVIYELRVYSLEEATFGKVLTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSMLRSIVMDGETGIPVYTILEEGKLRILQHNGADITSTGLNCFIEENMLEIPASQQILNGYQLVTVGIPIYDGRFRDMRWKAERLVAMCYVYFSSLLDGGAWSTSDPLSGGGAPPLSACGLPWPHPQRRSSAGGVPNITPPDRAPPPSSAGEHDEARRRWGSRTPRVERERVDAG >ONIVA02G13770.5 pep chromosome:AWHD00000000:2:10574113:10576017:-1 gene:ONIVA02G13770 transcript:ONIVA02G13770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRNNVDGDEGWDATALLCECGRKKKKRAVDAHHPTVGKDALSYRPFHAGAASSPLSRLDSLLTPSHQSVLSATRKRDEGKSTRRPPPHPHLAAAAAAARSPASFAGDRRTDPFRSPAPPLPCRGLGLPPLVPPASPHRRATRSARFPGIPSSWLARPERNGRFLLRSSAWLTRCKVDAARVQALHQCVHHPLTSSLSFSSSHSQLLSSSPLSLAAAATKSPAVTARLASSPVVDWQLTSSSLSRPQWRSYCRYYIPCHLHRVDALPLFSVATTAFSDRQATRSGDHGGVEQLGAPMKQVNDEIEEKSRGARMSDQDERPARSMVLAGDRGAGNGWRR >ONIVA02G13760.1 pep chromosome:AWHD00000000:2:10549180:10557037:1 gene:ONIVA02G13760 transcript:ONIVA02G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MLMFPLARPPGRHYADATLRRGQWRLSHHLRAAAAATSGGGAPDVFDAVPALDDRMRCSALLRERAVGGDHYGCTSLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHTVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFAGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAGRNIVTGNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILSACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYSFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTEHLVVTYEL >ONIVA02G13760.2 pep chromosome:AWHD00000000:2:10549180:10555492:1 gene:ONIVA02G13760 transcript:ONIVA02G13760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MLMFPLARPPGRHYADATLRRGQWRLSHHLRAAAAATSGGGAPDVFDAVPALDDRMRCSALLRERAVGGDHYGCTSLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHTVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFAGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAGRNIVTGNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILSACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYSFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTEHLVVTYEL >ONIVA02G13760.3 pep chromosome:AWHD00000000:2:10549513:10557037:1 gene:ONIVA02G13760 transcript:ONIVA02G13760.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MLMFPLARPPGRHYADATLRRGQWRLSHHLRAAAAATSGGGAPDVFDAVPALDDRMRCSALLRERAVGGDHYGCTSLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHTVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFAGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAGRNIVTGNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILSACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYSFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTEHLVVTYEL >ONIVA02G13760.4 pep chromosome:AWHD00000000:2:10549513:10555492:1 gene:ONIVA02G13760 transcript:ONIVA02G13760.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MLMFPLARPPGRHYADATLRRGQWRLSHHLRAAAAATSGGGAPDVFDAVPALDDRMRCSALLRERAVGGDHYGCTSLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHTVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFAGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAGRNIVTGNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILSACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYSFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTEHLVVTYEL >ONIVA02G13760.5 pep chromosome:AWHD00000000:2:10550811:10555492:1 gene:ONIVA02G13760 transcript:ONIVA02G13760.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MLMFPLARPPGRHYADATLRRGQWRLSHHLRAAAAATSGGGAPDVFDAVPALDDRMRCSALLRERAVGGDHYGCTSLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHTVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFAGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAGRNIVTGNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILSACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYSFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTEHLVVTYEL >ONIVA02G13760.6 pep chromosome:AWHD00000000:2:10550853:10557037:1 gene:ONIVA02G13760 transcript:ONIVA02G13760.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MLMFPLARPPGRHYADATLRRGQWRLSHHLRAAAAATSGGGAPDVFDAVPALDDRMRCSALLRERAVGGDHYGCTSLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHTVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFAGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAGRNIVTGNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILSACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYSFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGQHMETSAYNREPVGVSLSAQKKIGSWRCIVLPEVSNAKRVIWRRSKIPSTHKPCEESIFRSPESAVTHERLMDFRGTADAAQTSKASKASSSSRTSDLPPSTPWFRELWVNADK >ONIVA02G13760.7 pep chromosome:AWHD00000000:2:10550853:10555326:1 gene:ONIVA02G13760 transcript:ONIVA02G13760.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MLMFPLARPPGRHYADATLRRGQWRLSHHLRAAAAATSGGGAPDVFDAVPALDDRMRCSALLRERAVGGDHYGCTSLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHTVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFAGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAGRNIVTGNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILSACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYSFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGQHMETSAYNREPVGVSLSAQKKIGSWRCIVLPEVSNAKRVIWRRSKIPSTHKPCEESIFRSPESAVTHERLMDFRGTADAAQTSKASKASSSSRTSDLPPSTPWFRELWVNADK >ONIVA02G13750.1 pep chromosome:AWHD00000000:2:10547255:10547541:1 gene:ONIVA02G13750 transcript:ONIVA02G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLGAGGVVTAAAGIGAAIRGLDNGVLDEDRVADAAPADVHRRLARYAVYDTDFGLGRPMKVELLSIDKTPGTVSMAEARDGRGGI >ONIVA02G13740.1 pep chromosome:AWHD00000000:2:10543336:10546887:-1 gene:ONIVA02G13740 transcript:ONIVA02G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDSRRHLLPLPRGASIHRCRIGSCLLSPLPLLLSSPMPLPSSPTTPVTPSTAPPPCRSPPQLDLLVQGSVGATAPSPTGGPAFRQRHCSVVLRWSSFPSRLRSCRRYEGHSLPLYPIKVY >ONIVA02G13730.1 pep chromosome:AWHD00000000:2:10530925:10531591:1 gene:ONIVA02G13730 transcript:ONIVA02G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTQRRPGCCVLPEGTKLTLAHDDHGVAAAAPRFIIYKDKADAVPSNLRAMDAILAMFGILPGSDKAAQVADTLRTCGELTAAGGEEPRHLPRGGARLRRLGAGHQRAARGHHARAREGAPQVYYCHRPADAVALRVDLHAVAGVGLGGATAVAVCHVNTTTWDSAYFELLKASRGDAICHCMPQGYVLWLAN >ONIVA02G13720.1 pep chromosome:AWHD00000000:2:10521323:10522612:1 gene:ONIVA02G13720 transcript:ONIVA02G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTQTNQAIEVDGERLSPPPPPPAASPAVSAVLENEDLVGEILLRLAFPTTLVRAALACRRWLRVASDPSFLRRFRELHPPRLLGFYVTSKIPRAHPLFVPMPPPARPPELDPVVLRRGNFSLAYEGYTTSIYQCRNGSILLFKERHDRRELKYAVHRPLQHPERGLLAIPFSSTHDDDDDDDVEPDLGFDGENVWGFHFGEDGGSQLYRLSVMFTPRGATSAWFYAFRDGGWHVHTKATAQLPGLPPESAGFVVVRDKAYLAATASSVLVLDLKSSSLYTIQLPDGVEFPPVMMAYNDRRHDVLFGRASDDSGVYIADLKEPQLRIWLLKHGSTGWTLVDTICLQSMCANLHINCVGGDSRVVYMDYVGDDAEFLFLKTDECALYLDVKSRQLHKVYEVTEKDEILFSIMPFMMIWPPIFPVRKEIS >ONIVA02G13710.1 pep chromosome:AWHD00000000:2:10504427:10510043:-1 gene:ONIVA02G13710 transcript:ONIVA02G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLDLGRRKALDLDDVPTLDDNDSVQGILPNFEAKLVLVSGSGKYTDVTTIKLVKALVLTTWKLILFTAVCALLRTVSSYVGPYLIEYFVDYLNRSPRSAKEGYILVLSFVVAQFIEGLSSRHLLFRSQQLGVRVRSALVAVIYQKGLSLSNQSRESISSGEIINAVSVDAIILAMLILYSTLGLAAFAALAATVLTMLANLPIGRIQQNYQEKMMDAKDARMRAMSEMLRNMRILKLQGWEMVFLSKIMELRKEEMHWLKKDVYTAAMLISVFFGAPAFVAMVTFGTCLLLGIPLETGKVLSALATFRQLQGPINSIPDTVSVIIQTKVSLDRICSFMHLEELSSDVVTKLPRGITDVSIEVRNGQFSWNTSSEVPTLRNLNFRIRQGMRVAICGTVGSGKSSLLSCILGEIPRERYEKVLEACCLKKDLEILPLGDQTIIGERGINLSGGQKQRMQIARALYQDADIFLFDDPFSAVDAHTGLHLFKECLLGLLASKTVVYVTHHVEFLPSADAIMVMKDGQIIQVGNYAEILNSGEEFTKLVFSHKDAISTLESLEHSSGNPESSLIPGDSGSMLFRQDKQKDDNEGAEKGIVQNGQLVQEEEREKGRVGISVYWKYITMAYGGALVPLILLAQIIFQILQIGSNFWMAWAAPISKDVNPPVNSLKMASSDQSTVDTSIFDLMGYVLFPAIELLGTIILMSRVAWPVFVIFVPIIATSLWYQQYYIDGARELQRLTGVCRAPVRYATQLPFVLKGLTCTLPGGLKTGIVGRTGSGKSTLIQALFRIVEPSIGQVLIDGLDICTIGLHDLRTRLSIIPQDPVMFEGTLRNNIDPLEEYSDEQIWEALDSCHLGDEVRKNELKLDSAVTENGSNWSAGQRQLVCLGRVVLKKRKILVLDEATSSVDPITDNLIQKTLKQQFSECTVITIAHRITSVLDSEKVILLDNGKIAEDDSPAKLLVDKLSLFSKLVSEYTKGSEY >ONIVA02G13700.1 pep chromosome:AWHD00000000:2:10495303:10495530:1 gene:ONIVA02G13700 transcript:ONIVA02G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGCGGGARRSCGADDWARAAGLPDPASGNDGDGRSFGADAGAVRRLHGQIQLGGGAPRLLPRPSPLLPSQSME >ONIVA02G13690.1 pep chromosome:AWHD00000000:2:10488655:10493806:-1 gene:ONIVA02G13690 transcript:ONIVA02G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGNECAAASASLACLLLAAALSAAGCCRAHAAATAPRSLLARFPTTTTTTSELEKEAAGRYVDDSSLAARTNNKITNLQVSFVGHGHDTPADGDDGPFADAAYPRMWVSPPGDDASPTLPTNGEGQFADAAYPAKWKPDEDPSTPSLVVAHHLPNGNAPFIDAAYPVKWSPRADGPPKQPAIFPASPNGQKAEFIDTAYPVKWSPRADGPPKQPAIFPASPNGQKAAFTDAAYPVKWSPRTDGPPKQPAIFPASPNGEKAKFTNAAYPVKWSPRSVAPPKPPAIFAQHSNDNKAQFTDAAYPVDWSPRSVAPPKPPAIFAQHSNGNKAQFSDAAYPVNWSPRSVAPPKPPAALSSLAHPAGIHIQRGMLFLMKKLHPGAVLPEGTKLALAQDDDHGVAAAAPRFIYKDEADAVPFDLRAMDAILAMFGILPGSDKAAQVANTLRACGELTAAGGGGEEPRACCATSREAVLDFAASALGTSAPRAVTTLVHGKEPRRYVVAAGGVARIGGDAVVACHPMPYPYEVYYCHRPADAVALRVDLHAVAGVGLGGATAVAVCHVNTTTWDSAYFELLKASRGDAICHYMPQGYVLWLAN >ONIVA02G13680.1 pep chromosome:AWHD00000000:2:10471807:10477433:-1 gene:ONIVA02G13680 transcript:ONIVA02G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLDLGRRKALDLDDVPTLDDNDSVQGILPNFEAKLILVSGSGKYTDVTTIKLVKALVLTTWKLIMFTAVCALLRTVSSYVGPYLIEYFVDYLNRSPQSAKEGYILVLSFVVAQFIEGLSSRHLLFRSQQLGVRVRSTLVAIIYQKGLSLSNQSRESISSGEIINAVSVDAIILAMLILYSTLGLAAFAALAATVLTMLANLPMGRIQQNYQEKMMDAKDARMRAMSEMLRNMRILKLQGWEMVFLSKIMELRKEEMHWLKKDVYTSAMLISVFFGAPAFVATVTFGTCLLLGIPLETGKVLSALATFRQLQGPINSIPDTVSVIIQTKVSLDRICSFMHLEELSSDVVTKLPRGITDVSIEVRNGQFSWNTSSEVPTLRNLNFRIRQGMRVAICGTVGSGKSSLLSCILGEIPRLSGDVQTCGRIAYVSQSPWIQSGTIEHNILFGTKMHRERYEKVLEACCLKKDLEILPLGDQTIIGERGINLSGGQKQRMQIARALYQDADIFLFDDPFSAVDAHTGLHLFKECLLGLLASKTVVYVTHHVEFLPLSDAIMVMKDGQIIQVGNYAEILNSGEEFTKLVFSHKDAISTLESLEHSSGNPESSLIPGDSGSMLFRQDKQKDENEGAEEGIVQNGQLVQEEEREKGRVGISVYWKYITMAYGGALVPLILLAPIIFQVLQIGSNFWMAWAAPISKDVNPPVNSLKMASMSFFDSTPSGQILNRASSDQSTVDTSIFDLMGYVLFPVIELLGTIILMSRVAWPVFVIFVPIIAASLWYQQYYIDGARELQRLTGVCRAPVMQHFAESVAGSNIIRCFGKERQFINSVSHFMDNLSRPSLYNAASMEWLCFRLDILSSFIFAFALVLLVTLPAALIDPKTAGLAVTYGLSLNMLQGWAIAVLCCLENRMISVERIFQYMAIPSEPPLTISKSRPICKWPTNGEIELHNLHVRYATQLPFVLKGLTCTLPGGLKTGIVGRTGSGKSTLIQALFRIVEPSIGQVLIDGLDICTIGLHDLRTRLSIIPQDPVMFEGTLRNNIDPLEEYSDEQIWEALNSCHLGDEVRKNELKLDSAENGNNWSAGQRQLVCLGRVVLKKRKILVLDEATSSVDPITDNLIQKTLKQQFFECTVITIAHRIASVLDSEKVILLDNGKIAEDDSPAKLLEDNSSLFSKLVSEYTKGSEY >ONIVA02G13670.1 pep chromosome:AWHD00000000:2:10461999:10466268:1 gene:ONIVA02G13670 transcript:ONIVA02G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRRRTPTLTPTPKLRKVGGGDEEGEEVEGDSSESEPEPEPVKKESAKKAKAEAKKKRAAPEPAPSGKAKKAKPEKSSSAAAPEPAPSLGKSKKAAKAEAAKAAAAEPAPSTGKVRKSKLAPEPSPSSKSGKALSRWTTDDEVKILEVLVAHFKSHGTQLNVEGIIAAVGDSLERKSIKYSDMYEKVRRLKQRYEATAKKVEHGGDLPAKEDDLRMYQLSSEIWGKNTKDAGNSSKNKKGQAKKDKVSGDSKEAAKEDKVDEAAIAVNEKGGTLAENKKGKTNKQKTGMETKVGSSKEAALAASPTKGKKKGSHKDKLDEEAKSGTAKVTSTIATDDDDGTLGGSKREKAGKEELDGDTHIVMPKEATTTAASDDGTLVGSKKGKADNGKLDGDTRSVMPKEATAGTQNGGILTGGENHKEKVDKDANVPSIRREYAELQSLYPNLASFVNGIEAQHPCGSTFKRAFEFISDDKACTLESKIKKQKIAEVRMQLRLADTKKEVANALE >ONIVA02G13660.1 pep chromosome:AWHD00000000:2:10440377:10443395:-1 gene:ONIVA02G13660 transcript:ONIVA02G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G4Z3] MGHAVARRLILLLVFLPCFLLPLSGAHAFPASALEAEAVAARHHRLRIALNATAVHVGKALEALSGAAVAPASAVMTTPFSAGSSSSSPLAAAARDDCAELLEDSLDLLAGAGEPSAAHDDAVTWLSAALTNHDTCADSLNEAGIPHGADAAPHLAAARAMVRDCLTMYAEAASAAMATSNKDGLAGVPVRNGGGKSKKQRKRGRRRRSLFPRWLSARDRRLLLGPAVPLVESADMVVAKDGTGTHRTISDAVKAAPERSGRRTVIHVKAGRYDENVKVGRKKTNLVFVGDGKGVTVVSAGRSVADNFTTFHTATFAASGSGFMMRDMTVENWAGPERHQAVALRVSADRAAVYRCSIIGYQDTLYAHSNRHFYRDCDVYGTVDFVFGNAAAVLQRCNLWSRSPLPGQKNTVTAQNRRDPGQSTGLVIHACRVVPSPPPPSTAPAVAAPLAPTYLGRPWKLYSRVVVMMSYIGGHVPPEGWLAWNATFALDTLYYGEYMNYGPGAGVAGRVAWPGHRVINDSAEAERFTVARFISGASWLPATGVSFLSGLSL >ONIVA02G13650.1 pep chromosome:AWHD00000000:2:10437814:10439052:-1 gene:ONIVA02G13650 transcript:ONIVA02G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGTQPPEPAAAAAISSVLANDDLLREILLRLGLPTTLVRAALVSTRWLRLASDPAFLRRFRARHPPRLLGFYHTARARFQDEVPAFVPLPQPPELAAALRGARLRLAPGSSGSGPDAPVILSCRNGRVLAAEFPPDGPRVSIISPMHPARHPPALPLVYELPRQPGQILHASCMLLFPDVGSDDPSYTFVEFLRKDQEMLAKAVSVRAEISDLNSVRESATIEIQESWERSIRRDVLVNGNLYLLGGKGHVLGLNLASMRLFLFRLPDGVQQLHRMGNIELLRAGDSGLYLIHLKGFQIHVWFHASDSDIGGGNWELVDNICLRESFGQFAEPNWESGDALVALHRVEDNAEVFLRVDRVIFHIHIMNRTVNKVFEMSPEAYRYFDIFPFMMLWPPTFPQLRNDHDQDQ >ONIVA02G13640.1 pep chromosome:AWHD00000000:2:10433989:10435251:-1 gene:ONIVA02G13640 transcript:ONIVA02G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEATRPSSPPPAASAASSVLADDDLLREILLRLAFPTTLVRAALVSSRWLRLASDSSFLRRFRARNPPRLLGFYHTARRDEQPAFVPLPQPPELAPVLRRLGGFALGGADVSAVVFDCRNGRLLRAEFPPPPDELRFGVVSPLLPPARQPPDLPPNLHSQLHQVPNDARVLRPGWMLLPEEEEDDGGDDLSYTLVVLIRRGRGLFARAVLVRGESDDQIRTSDSIELPNHYWPNKKMNRGLLFHGSLYMLGREHVLGLNLASMSLFLIKLPDGVEQLEHMGNLELLRDGDSGLYLAHLKGFQIHVWHRATDGGGNGGDWEMVDTMSLHQSFGQVARPDWESGDPSLGDALVSLRRVEDNAELFLTIDRVIFHIHIASRTANKVFEMAPKEDIGFEIFPFMMIWPPTFPALNYDDDDDQ >ONIVA02G13630.1 pep chromosome:AWHD00000000:2:10430878:10431794:1 gene:ONIVA02G13630 transcript:ONIVA02G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDPFDRGDGTLAVVSPLLHASRSLAVLPPVPLAARPPPDGGDGQSYTVVTLVRSKRVDWRVWWEFFAKVSVLRAGAWDDDVRATAPVELPARCRGDTTWNRALLVQGKLYVLGMQSHAILVLDLASMATSFINLPDGVRHEEDGDLDLFRSNDAGVNLIHVNGFQARLWRRGGDDDDGDGMTAGNWVLVDDIGVRRGFDHLAKVGGEMDIGGPVGVIKVGDDCEFMFLCVDGDVLYMDIRTRMVKKIFKASPKRGGVLPVIHPLTMVWPPIFPVLE >ONIVA02G13620.1 pep chromosome:AWHD00000000:2:10421632:10424264:-1 gene:ONIVA02G13620 transcript:ONIVA02G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:A0A0E0G4Y9] MAVGKNKRISKGRKGSKKKTVDPFSKKDWYDIKAPTVFSVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKVRLRAEDVQGRNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASTCDLKELVSKFIPEVIGKEIEKSTSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGMKLDRPAETDEAVAGEVAAAE >ONIVA02G13610.1 pep chromosome:AWHD00000000:2:10346672:10372832:1 gene:ONIVA02G13610 transcript:ONIVA02G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLNKEARIDQVLTKRATEMAASAAAADGAADGGKMILLISSDGAKFELSEAAASLSKTLGNMIEDDCATNGAIPLANVAADILAMVVEYCNKHAAAANASGQEELIRKFDAEFVSIDRKKLFGLINAANFLNMPCLLELTCQRAADLIKDMMPEQVREVFGIENDFTPEEEAEVRNENAWAYEISPRATNRSASEFVPSVRVQAQQQSTGPACSTRNKPTSMAAANEGADAGDSKILLISSDGQHFQVTESEASMSKLVSNMIEDECTENGVPLPNVASNVLAKVLEYCKKHAAAATAEDIAVKDQELKSFDASFIDVDNTMLFGLILAANYFNVPSLLDLACQHMADLIKGKTVQEIRDTFGIRNANRFVINKLGRTHLIDQKFTPLFSKRATEMASAAAADVAADGKKMILLVSSDGVKFELSEAAASLSKTLGNMIEDDCATNGAIPLANVAADILAKVVEYCNKHAAAAAAKASGEEELRRFDAEFVNIDRKKLFGLINAANFLNMPCLLELTCQRAADLIKDMMPEQVREVFGIENDFTPEEEAEVRNENAWAYEMSSAHRNQSIS >ONIVA02G13610.2 pep chromosome:AWHD00000000:2:10341333:10372832:1 gene:ONIVA02G13610 transcript:ONIVA02G13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVVMMCGELMPHAISSSASVLLLGEQARTRQIDQVLTKRATEMAASAAAADGAADGGKMILLISSDGAKFELSEAAASLSKTLGNMIEDDCATNGAIPLANVAADILAMVVEYCNKHAAAANASGQEELIRKFDAEFVSIDRKKLFGLINAANFLNMPCLLELTCQRAADLIKDMMPEQVREVFGIENDFTPEEEAEVRNENAWAYEISPRATNRSASEFVPSVRVQAQQQSTGPACSTRNKPTSMAAANEGADAGDSKILLISSDGQHFQVTESEASMSKLVSNMIEDECTENGVPLPNVASNVLAKVLEYCKKHAAAATAEDIAVKDQELKSFDASFIDVDNTMLFGLILAANYFNVPSLLDLACQHMADLIKGKTVQEIRDTFGIIKTDSVSEFVNLWLHPYIQTAKTNNFQTIQLQRNANRFVINKLGRTHLIDQKFTPLFSKRATEMASAAAADVAADGKKMILLVSSDGVKFELSEAAASLSKTLGNMIEDDCATNGAIPLANVAADILAKVVEYCNKHAAAAAAKASGEEELRRFDAEFVNIDRKKLFGLINAANFLNMPCLLELTCQRAADLIKDMMPEQVREVFGIENDFTPEEEAEVRNENAWAYEMSSAHRNQSIS >ONIVA02G13610.3 pep chromosome:AWHD00000000:2:10341333:10372832:1 gene:ONIVA02G13610 transcript:ONIVA02G13610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVVMMCGELMPHAISSSASVLLLGEIDQVLTKRATEMAASAAAADGAADGGKMILLISSDGAKFELSEAAASLSKTLGNMIEDDCATNGAIPLANVAADILAMVVEYCNKHAAAANASGQEELIRKFDAEFVSIDRKKLFGLINAANFLNMPCLLELTCQRAADLIKDMMPEQVREVFGIENDFTPEEEAEVRNENAWAYEISPRATNRSASEFVPSVRVQAQQQSTGPACSTRNKPTSMAAANEGADAGDSKILLISSDGQHFQVTESEASMSKLVSNMIEDECTENGVPLPNVASNVLAKVLEYCKKHAAAATAEDIAVKDQELKSFDASFIDVDNTMLFGLILAANYFNVPSLLDLACQHMADLIKGKTVQEIRDTFGIIKTDSVSEFVNLWLHPYIQTAKTNNFQTIQLQRNANRFVINKLGRTHLIDQKFTPLFSKRATEMASAAAADVAADGKKMILLVSSDGVKFELSEAAASLSKTLGNMIEDDCATNGAIPLANVAADILAKVVEYCNKHAAAAAAKASGEEELRRFDAEFVNIDRKKLFGLINAANFLNMPCLLELTCQRAADLIKDMMPEQVREVFGIENDFTPEEEAEVRNENAWAYEMSSAHRNQSIS >ONIVA02G13610.4 pep chromosome:AWHD00000000:2:10341333:10346933:1 gene:ONIVA02G13610 transcript:ONIVA02G13610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPLPPPTRRLHRCIHRGIEARVRGGDEGGETGWREQPPAREARWVRGSHHLHRSSRERERESEGTRGERESERDESERDESERVREGLDSARFFGRLIL >ONIVA02G13610.5 pep chromosome:AWHD00000000:2:10341333:10345327:1 gene:ONIVA02G13610 transcript:ONIVA02G13610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVVMMCGELMPHAISSSASVLLLGEVQDHTVVSLDLTRRRTDASLRRSEAAAKGMHGDAFLDDPPAATANPPPPPLYPPRD >ONIVA02G13610.6 pep chromosome:AWHD00000000:2:10372785:10373513:1 gene:ONIVA02G13610 transcript:ONIVA02G13610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEGSDSIILLISSDGQHFQVTEAEASMSKLVSNMIEDECTENGVPLPNVASNVLAKVLEYCKKHAAAADEDVAVKDQELKSFDASFIDVDNTMLFNLILAANYLNVPSLLDLACQHTADLIKGKTVQEIRDTFGIVNDFTPEEEEEIRKENEWAFEN >ONIVA02G13600.1 pep chromosome:AWHD00000000:2:10333676:10334174:-1 gene:ONIVA02G13600 transcript:ONIVA02G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAMFRFMSKNGGDGCGGGGGGGGVALEVTVLSAELLRLPPPSYYSLIPRLLRPYVTVSSACSMDVAAAASGEHSWHDTLVVPSSLRAVAAAAACRLIGGATPLGWCRIPAADVLDGLRPPRALLRLSYSLRYPRTSGPAHGVVHLAVRVLGDLVPPPRPP >ONIVA02G13580.1 pep chromosome:AWHD00000000:2:10282704:10286483:-1 gene:ONIVA02G13580 transcript:ONIVA02G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFARGNSTSAKAPSEQNSSARVIMHILARIHESASALGMFWDGTRVGLMKFYEDRGEAIA >ONIVA02G13570.1 pep chromosome:AWHD00000000:2:10281551:10281742:-1 gene:ONIVA02G13570 transcript:ONIVA02G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSSASLADDRIHGLPLSCHQEQHGTYIHHVDSCHQEQYGTYIHHVDGWICRQQPPPSPKS >ONIVA02G13560.1 pep chromosome:AWHD00000000:2:10268018:10271497:1 gene:ONIVA02G13560 transcript:ONIVA02G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKSIGFITGINECVNFFQWARSTISYLHGRWSRTYEQKLLDEVSQLQSGLQRLRDTLPAKYDLIDRAEWMSHKDCVAKLLPNLKDALYDADDLLDEFVWYEQKMVLEGNELSQPPFLHFYDNVLQGSFNKVNDIMERLNNISSQLEKIGLDEVTHRFDKLLRPETSSFPNERRIFGRDNELQQVMELLGIPKNDTGAHFKRKRESKNVSTSTSACNQDSIPVLPITGIGGVGKTTLAQHICHDRQVKSHFDLVIWICVSDDFDVKRLTKEAIQSSSIKEADNLDHLQHVLLEEVRNKRLLIILDDVWDDALRESGQCWKRFCAPLTNALLGSMVLVTTRSPVVAHEVKTMEPILLEGLKEDAFWNFFKLCAFGSESANTDPELECIGSKIVPKLKGSPLAAKTLGRLLRMCLDTTHWNNILHSELWELRQQNTDILPALRLSYLYLPFHLKRCFSFCAVYPKDHKFEKVSLAEIWIAEGFVEPEGSTPILDTGCQYFEDLVNRSFFQKIDGKYVIHDLMHDMAQLVSKHDCFILKDKDDFDKVPSSVRHLFILSSTKLDCTRLLSLRKHTKLRTLLCYRSLRNKTLACVMDSWCSELQHMRVIFCAYTKELPESIGKLKHLRYLEISGACPFKSLPSELCHLYNLQIFSARKCKLESLPSDFSKLRNLRRFDSWAFHGDPKGESHFDASNGQEVGTILLKNVNQIFGGLTIDNLGAISKDIAAKAELNNMRYLDRLTLKWSSKGQQEQNEIEVLQVLIPPTTLKHLNIMGYPGESLPRWFHPRNLPTLTSLEFVDCHGLGTIPISPCIDLNEISGDGNNTGIHGIFSALTGLTIKCCSNLSSLNQFLHPAYVPAIKRISIESCEQLVSLPIDRFGEFHYLEELELSYCPKLNDYRSVSIPTLKKLNLRKSGNLPVNILCSSLTSLILTNFKEKTIPLHVWSSNFPALQKLDVSDCGNLKSVGEYESSVFIDHSQRDSFRVATFSSLTALKIEKCRRLATLGDLLLPEYQPAMEKIYVGFCSELLSLPGERFGKYSVLKDLTICHCPMLKWHRGLVLPSSLQRLSLARCGDISPCVPSCLENLASLVSLEITSCSRIAYIPSSLWSSSLSSLQNLIIVNCDLVSIGGADAIEKINKVKIAYCPKLQEIEQPMSRGGL >ONIVA02G13550.1 pep chromosome:AWHD00000000:2:10265843:10273578:-1 gene:ONIVA02G13550 transcript:ONIVA02G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPGIKIKDILYLAKVYARRYRYGSKTMTINKLKGCQAQNEKHLFKWNEGVASNFQGAS >ONIVA02G13540.1 pep chromosome:AWHD00000000:2:10262812:10263876:1 gene:ONIVA02G13540 transcript:ONIVA02G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGTFQPVTDVATCDGVQMVPIRPFTVRHHFLAFLTSDLICDRFFLSRAVTFVFFFGKNLYLYLLKK >ONIVA02G13530.1 pep chromosome:AWHD00000000:2:10248866:10251144:-1 gene:ONIVA02G13530 transcript:ONIVA02G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ribosomal protein L53, mitochondrial (InterPro:IPR019716); Has 50 Blast hits to 50 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 6; Fungi - 0; Plants - 42; Viruses - 0; Other Eukaryotes - 2 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G39600) TAIR;Acc:AT5G39600] MLKFLSKVVVEYCPLDPRKAAVVELLAQCNGRKAKDSNPACSVELRRLPSPPPSADAGDQNAHPPPRVLVTYFNGVEESFVAAEGATAQGIRDQILDRGRLLDTEQLFRDGGEKWPVLIPEEELTMSFPGIKPKKAEEKPQA >ONIVA02G13520.1 pep chromosome:AWHD00000000:2:10246845:10247443:-1 gene:ONIVA02G13520 transcript:ONIVA02G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATIEQKVISSDTREARLSRQLGTQGEISPHLVKESLWRVDGKTRRLCHLRLGQKQIFRPFRTEKSFNSSHGRRWKATAPDMQGNGGYMPKGENQGGQGTTLNVSQEIPRINQLELLEGRY >ONIVA02G13510.1 pep chromosome:AWHD00000000:2:10241056:10247621:1 gene:ONIVA02G13510 transcript:ONIVA02G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVECHGTVAPECGGAAGDGARRWFRCRGRQIDGEKMGTDRGVDAGQAHRGMGKNRHRQGMDAGQQMRKRWRAEGGKKARKRRRDSGEMLVYTERARKAGSTSLRVERQRQCWLRAYEAAENLFQLGKSREQIHYAWGAGQTIKLIIISHHAAAAGPNLASLLSPRLNPQTPITMSLSKTIGFISGINDGQNLPCHLSTLDGATHRSKSFRSGLQCLRDTLPAKYDLIDRAEWRSHEHCVAELLPNLKDAVYNADDLLDEFRWYEQKVALEGNAASQSPFMDFFDSVIQGSFNKVNDVIKRLYNISSQLEKMGLHEVPRRFDKSLRPETSSFLNEREIFGRDNELQQVMELLGVPKNGTDARSKRRRKNNDASTSISRCNQDSVPVLPIVGIGGVGKTTMAQHILHDPRVISHFDMIIWICVSDDFDVKRLTKEAIQSSSKKESTTFDGKRLTKEAIQSYSKKVSTTDHLDSLQHTLSEKVRDKTLLIILDDMWDDALRESGRCWKRFCAPFSNVLAQGSIMLITTRSLEVAQEVKTMEPVLLEGLKDDIFWNFFRICTFGSSDSSDYPELERIGRNIVPKLKGSPLAAKTLGRLLRTSLDIAHWNNILQSELWELRQHNTEILPALRLSYLYLPFHLKRCFSFCALYPKDHLFEKAGLAEIWIAEGFVEPEGSIPVLDIGCQYFEELVNRSFFQKVDGNYVIHDLLHDMAQLVSKHECFILKDKDDFEKVPSSVRHLFILPSTNFDCTLLLSLCKHKNLRTLLCHRSLQDKTLACVMDRWCTELQHMRVIVCPYTKELPASIGKLKHLRYLKISGDCPFKSLPQEFCHLYNLQIFSATKCRLENLPSDFNRLRNLRRFDSCAFRCDPKFQTHFDAINGQEVGAILQNVNHICGGLTIDNIGLIRKDIAAKAALKNKKYVNMLTLKWSSMGQQVQKLTEVLQVLIPPTSLSYLNLTGCPGEFLPTWFHPSNLPMLTSLELIACHGFVTIPISSMSQSIDPNEIPRVLTENNTGRPGIFSSLNHIIIESCNKLSNLDQFLQPAYLPAIKTIKITKCRQLVELPTDRLGEFHCLEELEVSHCPNLNDPQSLSIPTLKKLKLINSWNLLGDIECCSLTSLVFSLWHVTSIPLHVWSSSFPALQKLQIADSGITGESQSSVLTSRSVPGEDSSIRTFSCLTDLKISSCNNLTTLDHLLSPEHQPAIEKIYVALCSSLRTLPCELLKDFSVLKGLKICFCPSLKWHRRLVLPSTLQRLSLTRCGDISPCVPSCLESLASLVSLEITFCSIVACIPASLWRGNLSSLRDLHIRGCEDLVSIGGAGAIAEINKVKIEGCLKLKEIEQPMSRARL >ONIVA02G13500.1 pep chromosome:AWHD00000000:2:10221087:10233241:1 gene:ONIVA02G13500 transcript:ONIVA02G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVVPFLRRSILAPFPFPSLSSFFFFTGVFALSCGAEEASQHSLRAKKRRIQRRQADPGNLQTPITMSLSKTIGFISGVNECVNLFQWARSAISSLHTRWSGTQDQKLQDEVLQLQSGLQLLKDTLPAMYDLIDRAEWRSHEDCVAKLLPNLKDAVYNADDILDEFRWYEQKVALEGNAASQSPFLEFFDCVVQGRFNKVNDIIERLNNVSSELEKLGLREVPQRFDKSLRPETSSFPSDREIYGRDNELEKVMELLGVPKKYTGVHSKRKRGSNDASTSTSTSNQGSVPILPIVGIGGVGKTTLAQHICNHLRVNSDHFDRVIWICVSDDFDVKRLTKEAIESASGKEATTDHLDSIQHALHENVKNKRILIILDDVWDDALKENGQCWKKFCSPLANVCQGSMMLITTRSSKVSNALGTLEPFTVNCLENDIFWDFFKLCAFGSDSSNNDPELECIGRSILPKLKGSPLAAKTLGRLLRMDHHTMHWKNVQKSELWELKQEETDILPALQLSYMYLPLHLKRCFSFCAVYPKDYNFEKDSLCEIWVAEGFVEPEGDIPILDSSKKYFEDLVSRSFFQKVYGTYVIHDLMHDMAQLVSKHDCFIIKDTGDFQKVPHNIRVFSCAFLKEIPKSIGNLKHLRYLQISGSCHLNSIPLQFCCLYNLQCFNALECVVESLPCDFDRLINLRRYKSQRFVYDRMGQLHLGTHWEHEVRLMKNFNQFYGDLKLSNLGSLSKDLAAEIKLNRKRYIGSLTLQWCLWISQEHNEMEVFQVLHPPTSLRSLKLMYYPGESLPCWFQEQNGCNEIAGVIANNNNGCISVFSSLTYLDISDCEKLSNLNQFLQVAHVPSLERIRISNCGRVASTPRFGDFHCLEELILDHCKIFDHSESLSIPSLKKLVLHYSGNPISKIECRSLTSLSFVCPSVTSIPLQVWSSNLPALQNLDIKWCPSLTFIGESEPADFTNLSHQVSSSSSRIRTFSSLTVLTIHGCEKLLTLDDLLKQEYLPFIKSIKISYCQGLLSLPGEMFGSFPFLNDLGIWNCPSLTWQRGLVLPSSLLELNLIDCGYFSTWFPSCLENVTSLVTLRMIKCRGITSITDQTLSSNLASLQELCIEDCPDLVSIGRGKLIAKLKKVRIFERSFFMLQNARQKACQVTSHSVYPSLTDLAISSCEKLSSLDHFLQADYMPVLERISIRECANVTSLQTERFGEFSCLGDFTVSNCPKLFHNSGSLSVPTLKNLELRNSGILLSNIECSSLTSLSFKCVHVTAIPIQPLNGNLPSLQEFNINECESLTFFAESYPLNGAFSFLTVLVIRSCHKLPTLDGLLKKEYLPAIERIEIGACTGLLSLPGERFGSFTCLSDLRISHCPNINWQSGLVLPSYLKRLSLNNCGNFSAWFPNCLGSLTCLVELTMIECHGIESFPNQMWRSNLASLKKLHIADCPDLVSIGAVNPILKIKTVYIYSCQKLTKVKQPFIRSRE >ONIVA02G13500.2 pep chromosome:AWHD00000000:2:10221087:10233346:1 gene:ONIVA02G13500 transcript:ONIVA02G13500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVVPFLRRSILAPFPFPSLSSFFFFTGVFALSCGAEEASQHSLRAKKRRIQRRQADPGFFMLQNARQKACQVTSHSVYPSLTDLAISSCEKLSSLDHFLQADYMPVLERISIRECANVTSLQTERFGEFSCLGDFTVSNCPKLFHNSGSLSVPTLKNLELRNSGILLSNIECSSLTSLSFKCVHVTAIPIQPLNGNLPSLQEFNINECESLTFFAESYPLNGAFSFLTVLVIRSCHKLPTLDGLLKKEYLPAIERIEIGACTGLLSLPGESLCSKPHWMETLLTQVLIGTCVPTPKFSRCGSC >ONIVA02G13500.3 pep chromosome:AWHD00000000:2:10226743:10233239:1 gene:ONIVA02G13500 transcript:ONIVA02G13500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQFLYATKCTPESLPSDFGKLINLQTYESVGFAYYRMKNLLLVAGNDRNHSVYPSLTDLAISSCEKLSSLDHFLQADYMPVLERISIRECANVTSLQTERFGEFSCLGDFTVSNCPKLFHNSGSLSVPTLKNLELRNSGILLSNIECSSLTSLSFKCVHVTAIPIQPLNGNLPSLQEFNINECESLTFFAESYPLNGAFSFLTVLVIRSCHKLPTLDGLLKKEYLPAIERIEIGACTGLLSLPGERFGSFTCLSDLRISHCPNINWQSGLVLPSYLKRLSLNNCGNFSAWFPNCLGSLTCLVELTMIECHGIESFPNQMWRSNLASLKKLHIADCPDLVSIGAVNPILKIKTVYIYSCQKLTKVKQPFIRSRE >ONIVA02G13500.4 pep chromosome:AWHD00000000:2:10221087:10226298:1 gene:ONIVA02G13500 transcript:ONIVA02G13500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVVPFLRRSILAPFPFPSLSSFFFFTGVFALSCGAEEASQHSLRAKKRRIQRRQADPGNLQTPITMSLSKTIGFISGVNECVNLFQWARSAISSLHTRWSGTQDQKLQDEVLQLQSGLQLLKDTLPAMYDLIDRAEWRSHEDCVAKLLPNLKDAVYNADDILDEFRWYEQKVALEGNAASQSPFLEFFDCVVQGRFNKVNDIIERLNNVSSELEKLGLREVPQRFDKSLRPETSSFPSDREIYGRDNELEKVMELLGVPKKYTGVHSKRKRGSNDASTSTSTSNQGSVPILPIVGIGGVGKTTLAQHICNHLRVNSDHFDRVIWICVSDDFDVKRLTKEAIESASGKEATTDHLDSIQHALHENVKNKRILIILDDVWDDALKENGQCWKKFCSPLANVCQGSMMLITTRSSKVSNALGTLEPFTVNCLENDIFWDFFKLCAFGSDSSNNDPELECIGRSILPKLKGSPLAAKTLGRLLRMDHHTMHWKNVQKSELWELKQEETDILPALQLSYMYLPLHLKRCFSFCAVYPKDYNFEKDSLCEIWVAEGFVEPEGDIPILDSSKKYFEDLVSRSFFQKVYGTYVIHDLMHDMAQLVSKHDCFIIKDTGDFQKVPHNVRHLMILDSEKFDCSNLLSLCKHTKLRTILCNKSLWHKTLASVMDHWCTELWQIRVFSCAFLKEIPKSIGNLKHLRYLQISGSCHLNSIPLQFCCLYNLQCFNALECVVESLPCDFDRLINLRRYKSQRFVYDRMGQLHLGTHWEHEVRLMKNFNQFYGDLKLSNLGSLSKDLAAEIKLNRKRYIGSLTLQWCLWISQEHNEMEVFQVLHPPTSLRSLKLMYYPGESLPCWFQEQNGCNEIAGVIANNNNGCISVFSSLTYLDISDCEKLSNLNQFLQVAHVPSLERIRISNCGRVASTPRFGDFHCLEELILDHCKIFDHSESLSIPSLKKLVLHYSGNPISKIECRSLTSLSFVCPSVTSIPLQVWSSNLPALQNLDIKWCPSLTFIGESEPADFTNLSHQVSSSSSRIRTFSSLTVLTIHGCEKLLTLDDLLKQEYLPFIKSIKISYCQGLLSLPGEMFGSFPFLNDLGIWNCPSLTWQRGLVLPSSLLELNLIDCGYFSTWFPSCLENVTSLVTLRMIKCRGITSITDQTLSSNLASLQELCIEDCPDLVSIGRGKLIAKLKKVRIFERR >ONIVA02G13490.1 pep chromosome:AWHD00000000:2:10213990:10217822:1 gene:ONIVA02G13490 transcript:ONIVA02G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58530) TAIR;Acc:AT3G58530] MAAAAAAEAEAAAGDEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALVANPKLWEWSLAWASSLEWPPRYCHLKIINLEFAQDIDDRHFVRLKEMGCTSLQELELLNINACQKVSDKGIETITSLCPNLRALSIYWIVGLTDLTIRHIVQNCKHIVDLNLSGCKNISDKGMQLVADNYEGLKKLNITRCIKLTDDGLQEVLQKCSSLESLNLYALSSFSDKVYKKIGSLTNLTFLDLCGAQNVTDDGLSCISRCVCLTYLNLSWCVRVTDVGVVAIAQGCRSLQLLSLFGIVGVTDVCLEALSKHCSRSLTTLDVNGCIGIKKRSRDDLIQLFPLLRCFKVHS >ONIVA02G13490.2 pep chromosome:AWHD00000000:2:10213990:10217822:1 gene:ONIVA02G13490 transcript:ONIVA02G13490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58530) TAIR;Acc:AT3G58530] MAAAAAAEAEAAAGDEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALVANPKLWEPRYCHLKIINLEFAQDIDDRHFVRLKEMGCTSLQELELLNINACQKVSDKGIETITSLCPNLRALSIYWIVGLTDLTIRHIVQNCKHIVDLNLSGCKNISDKGMQLVADNYEGLKKLNITRCIKLTDDGLQEVLQKCSSLESLNLYALSSFSDKVYKKIGSLTNLTFLDLCGAQNVTDDGLSCISRCVCLTYLNLSWCVRVTDVGVVAIAQGCRSLQLLSLFGIVGVTDVCLEALSKHCSRSLTTLDVNGCIGIKKRSRDDLIQLFPLLRCFKVHS >ONIVA02G13490.3 pep chromosome:AWHD00000000:2:10213990:10217822:1 gene:ONIVA02G13490 transcript:ONIVA02G13490.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58530) TAIR;Acc:AT3G58530] MAAAAAAEAEAAAGDEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALVANPKLWEPRYCHLKIINLEFAQDIDDRHFVRLKEMGCTSLQELELLNINACQKVSDKGIETITSLCPNLRALSIYWIVGYTISECFLCHTAPLVSNWWTFCFHRLTDLTIRHIVQNCKHIVDLNLSGCKNISDKGMQLVADNYEGLKKLNITRCIKLTDDGLQEVLQKCSSLESLNLYALSSFSDKVYKKIGSLTNLTFLDLCGAQNVTDDGLSCISRCVCLTYLNLSWCVRVTDVGVVAIAQGCRSLQLLSLFGIVGVTDVCLEALSKHCSRSLTTLDVNGCIGIKKRSRDDLIQLFPLLRCFKVHS >ONIVA02G13470.1 pep chromosome:AWHD00000000:2:10194383:10203623:1 gene:ONIVA02G13470 transcript:ONIVA02G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine phosphatases;protein kinases;catalytics;cAMP-dependent protein kinase regulators;ATP binding;protein serine/threonine phosphatases [Source:Projected from Arabidopsis thaliana (AT2G20050) TAIR;Acc:AT2G20050] MGCSPSKVCSCPHYKGSLCFCDCGCFGQTPDSPRESRGKSNRVRGKTDSSASDASSDDLEEDDDGLHQMNITRDSNVGINRLSRVSSQFLPPEGSRKVRIPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDDRFRTDAVQALHSAFLATNSQLHADSLDDSMSGTTAVTVLVRGKTIYIANTGDSRAVIAEKRGEDVVAVDLSIDQTPYRTDELERVKECGARVMTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVVANPEIFILELNANHPFFVLASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIIVVHINGLTDMECTQTVMKVSLQPSQQVVELVGSESPSTISLNPKNQRSRQDLSRARLRALESSLENGRLWVPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCHVLLDCMQRVEVKAGDIVVQQGGEGECFYVVGSGEFEVLAIQEEDGKEVTKVLHRYTADKLSSFGELALMYNKPLQASVRAVTTGTLWALKREDFRGILMSEFSNIPSLKLLRSVELFTRLTMLQLSQLADSLVEVTFGDGQMIVDKNDDASSLYIIQRGRVKLKLAADQVNSDAWDLLSSQTKVAQSSREDGNYVFEIDEGGHFGEWALFGETIAFTAMSVGDVTCSTIAKEKFDSIIGPLPKVSQSDSKLKDSLVPKGHGADDSSFRKAQLSDLEWKMCIYAADCSEIGLVQLRGSDKIKSLKRFYIKRVKDLHKEKHVFDEKDLMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHAPLNESSARFYAASVVVALENLHQRSILYRGVSADILMVDRSGHLQLVDFRFAKKLQGERTYTICGIADSLAPEIVLGRGHGFSADWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLVMPSTFSIEVVDLITKLLEVNENARLGAKGAESVKRHPWFDGIDWKQIADGTYTVPQEITDRVDSYVETLTEDLTASPSMPSEETADQAAPEWIQDW >ONIVA02G13470.2 pep chromosome:AWHD00000000:2:10194824:10203623:1 gene:ONIVA02G13470 transcript:ONIVA02G13470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine phosphatases;protein kinases;catalytics;cAMP-dependent protein kinase regulators;ATP binding;protein serine/threonine phosphatases [Source:Projected from Arabidopsis thaliana (AT2G20050) TAIR;Acc:AT2G20050] MNRGILCFCDCGCFGQTPDSPRESRGKSNRVRGKTDSSASDASSDDLEEDDDGLHQMNITRDSNVGINRLSRVSSQFLPPEGSRKVRIPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDDRFRTDAVQALHSAFLATNSQLHADSLDDSMSGTTAVTVLVRGKTIYIANTGDSRAVIAEKRGEDVVAVDLSIDQTPYRTDELERVKECGARVMTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVVANPEIFILELNANHPFFVLASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIIVVHINGLTDMECTQTVMKVSLQPSQQVVELVGSESPSTISLNPKNQRSRQDLSRARLRALESSLENGRLWVPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCHVLLDCMQRVEVKAGDIVVQQGGEGECFYVVGSGEFEVLAIQEEDGKEVTKVLHRYTADKLSSFGELALMYNKPLQASVRAVTTGTLWALKREDFRGILMSEFSNIPSLKLLRSVELFTRLTMLQLSQLADSLVEVTFGDGQMIVDKNDDASSLYIIQRGRVKLKLAADQVNSDAWDLLSSQTKVAQSSREDGNYVFEIDEGGHFGEWALFGETIAFTAMSVGDVTCSTIAKEKFDSIIGPLPKVSQSDSKLKDSLVPKGHGADDSSFRKAQLSDLEWKMCIYAADCSEIGLVQLRGSDKIKSLKRFYIKRVKDLHKEKHVFDEKDLMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHAPLNESSARFYAASVVVALENLHQRSILYRGVSADILMVDRSGHLQLVDFRFAKKLQGERTYTICGIADSLAPEIVLGRGHGFSADWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLVMPSTFSIEVVDLITKLLEVNENARLGAKGAESVKRHPWFDGIDWKQIADGTYTVPQEITDRVDSYVETLTEDLTASPSMPSEETADQAAPEWIQDW >ONIVA02G13460.1 pep chromosome:AWHD00000000:2:10160398:10164412:1 gene:ONIVA02G13460 transcript:ONIVA02G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRAPAIVQELAAAGVEEPPSRYLLREKDRSDVKLVAAELPEPLPVVDLSRLDGAEEATKLRVALQNWGFFLLTNHGVEASLMDSVMNLSREFFNQPIERKQKFSNLIDGKNFQIQGYGTDRVVTQDQILDWSDRLHLRVEPKEEQDLAFWPDHPESFRDVLNKYASGTKRIRDDIIQAMAKLLELDEDYFLDRLNEAPAFARFNYYPPCPRPDLVFGIRPHSDGTLLTILLVDKDVSGLQIQRDGKWSNVEATPHTLLINLGDTMEVMCNGIFRSPVHRVVTNAEKERISLAMLYSVNDEKDIEPAAGLLDENRPARYRKVSVEEFRAGIFGKFSRGERYIDSLRI >ONIVA02G13460.2 pep chromosome:AWHD00000000:2:10162139:10164412:1 gene:ONIVA02G13460 transcript:ONIVA02G13460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRAPAIVQELAAAGVEEPPSRYLLREKDRSDVKLVAAELPEPLPVVDLSRLDGAEEATKLRVALQNWGFFLLTNHGVEASLMDSVMNLSREFFNQPIERKQKFSNLIDGKNFQIQGYGTDRVVTQDQILDWSDRLHLRVEPKEEQDLAFWPDHPESFRDVLNKYASGTKRIRDDIIQAMAKLLELDEDYFLDRLNEAPAFARFNYYPPCPRPDLVFGIRPHSDGTLLTILLVDKDVSGLQIQRDGKWSNVEATPHTLLINLGDTMEVMCNGIFRSPVHRVVTNAEKERISLAMLYSVNDEKDIEPAAGLLDENRPARYRKVSVEEFRAGIFGKFSRGERYIDSLRI >ONIVA02G13450.1 pep chromosome:AWHD00000000:2:10158017:10159254:-1 gene:ONIVA02G13450 transcript:ONIVA02G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKQTEGSSIQAAASATTGGIERSFGLGGAEAPDGGDLGGRMELMGEYCSNAPCKWLLDLGKGGAHDTNAHNLFDGMPSQSEMPKEDQRTSKPVPINSTMNKEEKWLDKVLDRILEKFEQMEAKRMQEEKINRIFQKLEEIEVRRSKASEEIIAAIRATTAVLKATSPTAPMAPPTPVPTKCLTECPNNNFTWATASSSHIGEDTAPTATWELGDNKDKGHAPCIVTKDSPEVTPTMCSTKCSGPTIKPDLTVAVVVTSATTAAASMELVAARNAIGATDINNLDHPKVTHAKCSMSSSGVKRGTEQVVLAFPLMLALWSS >ONIVA02G13440.1 pep chromosome:AWHD00000000:2:10152820:10154720:-1 gene:ONIVA02G13440 transcript:ONIVA02G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALPMAAGRAAAVAACASPAVPRRSLLLSTAAAGEPPYRPPADSSSPSKFSRFDRSAVRLLGWTAALQPEPVRLSRGASAAPKLRASPPDAAQAATAAAAFGSKEEAFAWAKSDNRRLLHVVYRVGDIDRTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGAEDNHFVVELTYNYGVDKYDIGAGFGHFGIAVDEVAKTVELIRAKGGKVTREPGPVKGGKTVIAFVEDPDGYKFEILERPGTPEPLCQVMLRVGDLDRAISFYEKACGMELLRKRDNPEYKYTVAMMGYGPEDKNAVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEVVKLFGGQVVREPGPLPGINTKITSILDPDGWKSVFVDNIDFAKELE >ONIVA02G13430.1 pep chromosome:AWHD00000000:2:10145081:10154229:1 gene:ONIVA02G13430 transcript:ONIVA02G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLESEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNIAISKTQGLVDSNAHGTNNPGPSNHL >ONIVA02G13430.2 pep chromosome:AWHD00000000:2:10145081:10154229:1 gene:ONIVA02G13430 transcript:ONIVA02G13430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLESEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNIAISKTQGLVDSNAHGTNNPGPSNHL >ONIVA02G13430.3 pep chromosome:AWHD00000000:2:10145081:10155035:1 gene:ONIVA02G13430 transcript:ONIVA02G13430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLESEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNIAISKTQGLVDSNAHGTNNPGPSNHL >ONIVA02G13430.4 pep chromosome:AWHD00000000:2:10145081:10154229:1 gene:ONIVA02G13430 transcript:ONIVA02G13430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLESEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNIAISKTQGLVTVYDFGRESRAKLLSFKVGTDQVARSCYLFI >ONIVA02G13430.5 pep chromosome:AWHD00000000:2:10145081:10154229:1 gene:ONIVA02G13430 transcript:ONIVA02G13430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLESEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNIAISKTQGLIKLQSWNRSGS >ONIVA02G13420.1 pep chromosome:AWHD00000000:2:10135326:10135952:-1 gene:ONIVA02G13420 transcript:ONIVA02G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIHSQFRPRCTRKIREKRRQPSRGGAVEVAAAVTDLWASSHHQAAIVTITEQRTRRRTATPEITSNGSTPPEPIGVKFASLDLVAVVTVAIVAVVGAIVTCSRGREAGGSREGPG >ONIVA02G13410.1 pep chromosome:AWHD00000000:2:10132763:10138883:1 gene:ONIVA02G13410 transcript:ONIVA02G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLQGGGGGAAVMAVVVVAMVAGAASGGNFYEECDATWEPQNCWSSDNGKSLSLALVSNSSGSMIRSKRQFVYGSVSTSVQLVPGNSAGTVTTFYTSSLGDKHDEIDFEFLGNETGQPYTIHTNVYANGVGDKEMQFKPWFDPTDGSHNYTISWTPCRIVWYIDGTPIRVFRNYQSSNGVAFPTWQPMYAYSSIWAAEDWATQKGRVKTDWSKAPFVANYHGIDLDVCECYGGDCVYGCAAAFNQGGGCAGQQLTGDEMGQMKWVQDNFRIYDYCVDYKRFNGQMAPELSLLLVVFTAAAAVVGLAGASFRDECDIPWEPQNARFTDDGNGLSLSLVSNYSGCMLRTKKQFIFGSVSTLIQLVPGNSAGTVTTYYTSSVGDNHDEIDFEFLGNETGQPYTIHTNIYANGVGDKEMQFKPWFNPTDGYHNYTVSWTACMIVWYIDGTPIRVFRNYEKSNGVAFPMKRPMYGYSSIWAAEDWATQGGRVKADWSKAPFVANYRGLNINVCECSTTSGGGNSCAAKCASTYNSKSSACQLSDSELARMRKVQDEYRIYNYCVDPKRYNGSVPVECSLPQ >ONIVA02G13400.1 pep chromosome:AWHD00000000:2:10127382:10128167:-1 gene:ONIVA02G13400 transcript:ONIVA02G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDEPSIRLEVGARRSAPFPSASTPVSPRLRPPPASARTLAGQCSIERGNGQGWRRIGEGEEGGGR >ONIVA02G13390.1 pep chromosome:AWHD00000000:2:10125684:10127375:-1 gene:ONIVA02G13390 transcript:ONIVA02G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWAEDGEDDADLRTANKVSLDKAFPKDEDGDALPLKDDRRLRRLAQTRAENKEELRADHRRIRQAEIVSTVDEENERQEAELEEEDDEEAQEERRRRIRARQLLREQEELLPQEEEEPIEEEEESEESEYETDSEDEQTGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRQIVVEEIRKEEHIEKTLNEEANIEDVDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKPLRENKQKWKFMQKYYHKGAFFQEGADDVIQSAGKDDIYARDFSAPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWATNGPLRAKYNAKMAGMNAPIAKPKGSKKMKDWDTKQDD >ONIVA02G13380.1 pep chromosome:AWHD00000000:2:10120184:10120569:1 gene:ONIVA02G13380 transcript:ONIVA02G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAAATGGEVVGGELDDGDGGGWLYDGASVGGLGNGGDGGGLLSWYGGDALGGLDSDGDGGGDMVGGDDDGGGS >ONIVA02G13370.1 pep chromosome:AWHD00000000:2:10110257:10116742:1 gene:ONIVA02G13370 transcript:ONIVA02G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISPHTPVSLSEFSGPERGPAQPGPPLTRTCSRARIRVVALSPLFSSPLPSPPLAIPTAAGDARAAVAGGCVRAAPTSRLVDGDGAAVPPYSSPATSSRGAVSLDPLAKPRKEGRLSPATDAVRPDLSALDHESLVTGFDTMGLFKGSFTFMVGMGCGVYVAQNYNVPNIKKLFNTYVFMAKHIEETYRKPKKDDD >ONIVA02G13360.1 pep chromosome:AWHD00000000:2:10104610:10107849:1 gene:ONIVA02G13360 transcript:ONIVA02G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] MAAAAAGGGRGGGAQAAVAEQIAQAVQSTSNLLQLMEESSPAQAHLAKLPKKLLAKASLAKNTGQVLHQLPSVISSLDAYMDASLQSASQIKTVTQLLSNMENNQLRSILPASQLEKAQKKTETGELRIE >ONIVA02G13350.1 pep chromosome:AWHD00000000:2:10079903:10096072:-1 gene:ONIVA02G13350 transcript:ONIVA02G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDVREGVAAAACHCSRLPSRQIWRRGRRRPCCRFPPIVTRHCYRFPSRQIWQRGGHHSPMLPPLPPPHDATVTSPPAGSDEWEGTPRRFPPIRDQSVRPKLADELVPPGTSDGVKPPLCSRALPRETAAGSATAAVGSATAAVGGLATAAVDGSGDGGGGGLDDGGGGGLDDSGGGGLGALGWVIPSVVVAEPTAADVARARRRRCRPSRLPPPSPSPPQPLIDAIKEAGGDHVRSRTWQILPNTNNVGATAEGGGLGAEAAAAEGGRGAAGGGAAAASPLGLDGAN >ONIVA02G13340.1 pep chromosome:AWHD00000000:2:10073610:10079284:-1 gene:ONIVA02G13340 transcript:ONIVA02G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGGGGWDDDDDGDEQCATPPPPRSFSPMKMTEAGMKLVTPPWRRWRRWRGGCAESGRAVRAACVAAAVVLAVVVLSYYARWGGDQDEMPTSLFTTRGSEGATSANLTDDQLLGGLLTAAFSPQSCRSRYEFAGYHKRKPPHKPSPYLVTKLRSHEALQKRCGPGTAPYDKALRQLKSGDGAAAADGDDDCRYLVSISYNRGLGNRIIAIVSAFLYAVLTERALLVAPKMEEVIVPPPLL >ONIVA02G13330.1 pep chromosome:AWHD00000000:2:10066798:10070495:-1 gene:ONIVA02G13330 transcript:ONIVA02G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57850) TAIR;Acc:AT5G57850] MASLSTPPATAGVSPSPRPSLLAYKKAAGLTPSPWCGWRRAAVATAATSSNRTAAPAETIVTGNDVPLLSFAEVAERLDEFHASGTRNQNYMAMYSSIFGGITTNPSAMVIPIDDHMVHRGHGVFDTAAIMNGHLYELEQHLDRFLKSASMAKITLPFDRSTIRSILIQTVSASKCTQGSLRYWLSVGPGDFQLSSAGCANSALYAIVIESPSLPVPAGCKVITSSIPIKSQQFAVMKSVNYLPNALTKVEGEENGGFTGIWLDEEDFVAEGSNMNVGFVTQSKELLMPRFDKILSGCTAKRVLTLAKQLVADGRLSGISSRNVSVQEGKAADEMMLIGSGILVKPVVQWDDQIIGSGKEGPIAQMLFNLILEDMRSGPPSVRIPVSY >ONIVA02G13320.1 pep chromosome:AWHD00000000:2:10059735:10065124:-1 gene:ONIVA02G13320 transcript:ONIVA02G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLPDMWGTRGSQAGSATTTCGQPMRLIKACRTLNTWARGPRVALGLDSESVGRVRGTGTENEAIEWH >ONIVA02G13310.1 pep chromosome:AWHD00000000:2:10036801:10055078:-1 gene:ONIVA02G13310 transcript:ONIVA02G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G4U4] MDKRPNPRNIDKPNFLEMPSIPPGKKGSKKDGISSAWVSRRRPRLLPPPLPPTLSLSPLSSLSPPPTRQPLSLSLLSHFVPLPLPSPSVPRICRHRGWARFWLISPSQLLAAAAISVRGFAPRFLEQYMGSRPVDEVLDAAAAGVHYSTLRLDELNINGSMTGEEQPTTSGVENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTELLLSCMENLKHGKAVDIPNYNFKTYKSVASARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGKQLIYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQETNIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDEKNRVSSRARDGWCGGERFLRGDELRHSQAGFLAHRREYTHLKGVKSGIRWLRDELGSMNAVLQRLGDMDDDQIDVQTKEWRNKVRELSYDIEDCIDRFLQNHSSGDANANLLQKGVRKMKKLWENHQIGDEIKQLKERVIEEKERHERYKIADRLMVAPQHVRLDPRVPALYEQAKNLVGIDKPREQIIGWIKSEEKQLKVVSIFGTGGLGKTTLAMDVYHKIDESFDCRAMVSVSRTPDIKKLLRDILFQINEREYEKSNDWEMEQLIPKLRKNLEDKRYFFIIDDIWSTDAWKQLKSALPANDNKSRIITTTRIRDVAKSCCCDFVGHMYEAMPQSEENSCKLFFRRVFSSEDCPKHLTEAASVILKKCGGLPLAIISIAGLLSNKNPTVEVWTKIKNSISSMKDSPVDKMKRILFLSYFDLPQYLKTCLLYLSVFPEDSNIDPRRLIRLWVAEGLILGQSRACTEQSGESYLHELINRSMIQPSKIGADGRVKICRIHDVILDFIVSQAEEENFVTILNNSDPSDYTSNKFRRLSLQSGFSEGSKMPKASKDLSHLRSLHMFKHESLPVVPSEFAKCQVLRVLAINFVWGTTILNMLDIFYLRIKGGIHKLPEQIGKLQHLQTLDLAYTRIEKLPASIVQLQKLVHLLIPFGVPLPDEIGNLQALEVLSGIDLDRASVKSIYGLGELSKLRDVRIWWSDSNEDNSKEGHRTACISSLSKLVKCSLQSLRLARGLSNPDVIASLMISCGFIPPLRRLVFYDEFPTIPSQFASLVNLTRLRVEVGGVGGLEILASLPILQSLTLDTNSDVSNLRWVISGQGFQNLRKFNFEIRDSVVGLMFEPGAMPKLQRLKLWLYARWQLDVHGGPVVGLHHLSALKSIALVFNCNGAVAAEVESSEDDARAAAHEETGMRTEVGPDLMSSRMKLKSV >ONIVA02G13310.2 pep chromosome:AWHD00000000:2:10038190:10055078:-1 gene:ONIVA02G13310 transcript:ONIVA02G13310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G4U4] MDKRPNPRNIDKPNFLEMPSIPPGKKGSKKDGISSAWVSRRRPRLLPPPLPPTLSLSPLSSLSPPPTRQPLSLSLLSHFVPLPLPSPSVPRICRHRGWARFWLISPSQLLAAAAISVRGFAPRFLEQYMGSRPVDEVLDAAAAGVHYSTLRLDELNINGSMTGEEQPTTSGVENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTELLLSCMENLKHGKAVDIPNYNFKTYKSVASARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGKQLIYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQETNIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDEKNRVSSRARDGWCGGERFLRGDELRHSQAGFLAHRREYTHLKGVKSGIRWLRDELGSMNAVLQRLGDMDDDQIDVQTKEWRNKVRELSYDIEDCIDRFLQNHSSGDANANLLQKGVRKMKKLWENHQIGDEIKQLKERVIEEKERHERYKIADRLMVAPQHVRLDPRVPALYEQAKNLVGIDKPREQIIGWIKSEEKQLKVVSIFGTGGLGKTTLAMDVYHKIDESFDCRAMVSVSRTPDIKKLLRDILFQINEREYEKSNDWEMEQLIPKLRKNLEDKRYFFIIDDIWSTDAWKQLKSALPANDNKSRIITTTRIRDVAKSCCCDFVGHMYEAMPQSEENSCKLFFRRVFSSEDCPKHLTEAASVILKKCGGLPLAIISIAGLLSNKNPTVEVWTKIKNSISSMKDSPVDKMKRILFLSYFDLPQYLKTCLLYLSVFPEDSNIDPRRLIRLWVAEGLILGQSRACTEQSGESYLHELINRSMIQPSKIGADGRVKICRIHDVILDFIVSQAEEENFVTILNNSDPSDYTSNKFRRLSLQSGFSEGSKMPKASKDLSHLRSLHMFKHESLPVVPSEFAKCQVLRVLAINFVWGTTILNMLDIFYLRIKGGIHKLPEQIGKLQHLQTLDLAYTRIEKLPASIVQLQKLVHLLIPFGVPLPDEIGNLQALEVLSGIDLDRASVKSIYGLGELSKLRDVRIWWSDSNEDNSKEGHRTACISSLSKLVKCSLQSLRLARGLSNPDVIASLMISCGFIPPLRRLVFYDEFPTIPSQFASLVNLTRLRVEVGGVGGLEILASLPILQSLTLDTNSDVSNLRWQLDVHGGPVVGLHHLSALKSIALVFNCNGAVAAEVESSEDDARAAAHCIVSEQAVASGTPPAPPPHPTPPAIRMTTTGNGGGGTTPNPTGVAPATLPLTHMKVAVLALTASSLARTLLAMSPSIN >ONIVA02G13310.3 pep chromosome:AWHD00000000:2:10038190:10055078:-1 gene:ONIVA02G13310 transcript:ONIVA02G13310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G4U4] MDKRPNPRNIDKPNFLEMPSIPPGKKGSKKDGISSAWVSRRRPRLLPPPLPPTLSLSPLSSLSPPPTRQPLSLSLLSHFVPLPLPSPSVPRICRHRGWARFWLISPSQLLAAAAISVRGFAPRFLEQYMGSRPVDEVLDAAAAGVHYSTLRLDELNINGSMTGEEQPTTSGVENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTELLLSCMENLKHGKAVDIPNYNFKTYKSVASARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGKQLIYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQETNIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDEKNRVSSRARDGWCGGERFLRGDELRHSQAGFLAHRREYTHLKGVKSGIRWLRDELGSMNAVLQRLGDMDDDQIDVQTKEWRNKVRELSYDIEDCIDRFLQNHSSGDANANLLQKGVRKMKKLWENHQIGDEIKQLKERVIEEKERHERYKIADRLMVAPQHVRLDPRVPALYEQAKNLVGIDKPREQIIGWIKSEEKQLKVVSIFGTGGLGKTTLAMDVYHKIDESFDCRAMVSVSRTPDIKKLLRDILFQINEREYEKSNDWEMEQLIPKLRKNLEDKRYFFIIDDIWSTDAWKQLKSALPANDNKSRIITTTRIRDVAKSCCCDFVGHMYEAMPQSEENSCKLFFRRVFSSEDCPKHLTEAASVILKKCGGLPLAIISIAGLLSNKNPTVEVWTKIKNSISSMKDSPVDKMKRILFLSYFDLPQYLKTCLLYLSVFPEDSNIDPRRLIRLWVAEGLILGQSRACTEQSGESYLHELINRSMIQPSKIGADGRVKICRIHDVILDFIVSQAEEENFVTILNNSDPSDYTSNKFRRLSLQSGFSEGSKMPKASKDLSHLRSLHMFKHESLPVVPSEFAKCQVLRVLAINFVWGTTILNMLDIFYLRIKGGIHKLPEQIGKLQHLQTLDLAYTRIEKLPASIVQLQKLVHLLIPFGVPLPDEIGNLQALEVLSGIDLDRASVKSIYGLGELSKLRDVRIWWSDSNEDNSKEGHRTACISSLSKLVKCSLQSLRLARGLSNPDVIASLMISCGFIPPLRRLVFYDEFPTIPSQFASLVNLTRLRVEVGGVGGLEILASLPILQSLTLDTNSDVSNLRWVISGQGFQNLRKFNFEIRDSVVGLMFEPGAMPKLQRLKLWLYARWQLDVHGGPVVGLHHLSALKSIALVFNCNGAVAAEVESSEDDARAAAHCIVSEQAVASGTPPAPPPHPTPPAIRMTTTGNGGGGTTPNPTGVAPATLPLTHMKVAVLALTASSLARTLLAMSPSIN >ONIVA02G13300.1 pep chromosome:AWHD00000000:2:10020510:10028433:1 gene:ONIVA02G13300 transcript:ONIVA02G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGLGYALVALGPAFSLFAGVVARKPFLVLTLLTRKMEEMLDSFADRISKPRLCLTDKMLISLENNQNTNLVYGIGSAEYSKCKLCIHLLVSVSVCPSYHSGGLGHGVAHAVFFCLSLLTPAFGRATFYVEKCSRMPFFLVSAIISLGFLVIHTFSMIIAFNGYDERKRSDQVFVPVVHLIASVMTLINLAPGGCVIGTPLLCVMGAVTLQYCWQMVFVFRELPLPASLRAQRGKCVCVMAALVVGRARGGWGFGHERVGGGSPDDARWDARKKERAAEEGVRSPDLRKKEGWDFGQRRVGGGSPDDDRWDLRKRTRGSPDDARWDLQKKRREEEERNGDGSPEPELGKKNDEEEKKVVVEARGEEVEEEEVKRGKKWCAGMRVPWVEEGPHMLYAGPSFLAGAAPDPSSLPIPSFGPPRRRTSSSGGGVAVRVALIFLAGLVLRLRQLERVRVSRLALLCLSQ >ONIVA02G13290.1 pep chromosome:AWHD00000000:2:10016794:10017156:-1 gene:ONIVA02G13290 transcript:ONIVA02G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRCGCQDPDIGVLPMPSDVRRQVRTPARASERAEHSGMEGSDGGVLTGDVPFPLICGGSGVGAGLVRRWANEGRRLDGHGDWRHPQRAVPIESTRSSRQRATDGGWTEASDASCPRS >ONIVA02G13280.1 pep chromosome:AWHD00000000:2:10006825:10011477:-1 gene:ONIVA02G13280 transcript:ONIVA02G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDALRQAFMPRRAYAALRDEERALPRLRRPLAAVAGACVAAAVAAAAGVSLGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSALLFAVSSAYLVSGISVAYAAPRRHPLICVVENNFCASKRVFAVIFGLMAIILGSTLLALGSSCSVPLFWCYEITAWGLVILYAGTAFFLRRKAAVILDEGDYTPHNVGLEMLETTIEVTPEMQRRINDGFKSWMGPSTLSSDDEQEASDDYIEHNVPIPTASVQVRQENDLES >ONIVA02G13270.1 pep chromosome:AWHD00000000:2:10004577:10005204:-1 gene:ONIVA02G13270 transcript:ONIVA02G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARINQGATNPVVLDLGDVELGLRGAGELELEEVEDGLGALLELLALLEADDGAPRRLGLLLGLHLALPDDGGRLDAVLEVEHLVLRGQVHAFQELDRVELLVGDAPMASPRLVLPFLGSDSMYTSGISISTSTCALIAMAAFSTWFTHSFWYCSHFLVTSDSPSAGTFTVGIHHLSSSLLLSPPFSYQNSLWSTKLSMYPCHLHLH >ONIVA02G13260.1 pep chromosome:AWHD00000000:2:10003370:10007789:1 gene:ONIVA02G13260 transcript:ONIVA02G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLRRHSLDKNSAQFHRQLSHDSSSSSSDTADMHEPHGNGRGGTPPLPNGRAAAAGAPRSRFGRDGPPSDLDVMKERFAKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEHRKLEPMAPDTKERWKKEVGWLLSVTDHIVEFVPTRQTAENGTTMEIMSTAQRRDLQMNVPALRKLDAMLIGYMDNFVDQSEFWYEKGGDNKRDDDKWWMPTVKVPAEGLSEVTRKWLQYQKECVNQVLKAAMAINAQVLVEMEIPEVYIESLPKKGKTSLGDAIYRSITDEEFDPIEFLEGVDLSTEHKVLDLKNRIEASTIIWKRKMQTKQEAKSSWGSIISFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYAILESYSRVLESLAYSVMSRIEDVLGADAAAQNLTATEAARRLMDGDAAARKLDAMEELEKLNEAPASMTLYDFMGWHFDQDELMRKKEEGTLDEAGEAKLKKAPSLAPKKFSYVDSLSAGGMRSPSARH >ONIVA02G13250.1 pep chromosome:AWHD00000000:2:9995376:9997347:1 gene:ONIVA02G13250 transcript:ONIVA02G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVLIIGAGPAGLATAACLTLQHVAYAIIERESCTASLWRHCTYDRLKLHLAKEFCELPHMAYPSGTPTYVPRESFVEYLDSYTDRFGIQPRYDTSVESATYDQGKKHWAVLAQDTDTGVVARLTARFLIMATGEKSAASLPLVPGLAGFEREAIHSSAYKSGNGYTGKSVLVVGAGNSGMEIAYDLATHGAHTSIVVRSPVHIMTKELIRFGMTMVQNLGLSVTIVDPLLVMAAKLIFWDLSKHGIMRPKMGPLLLKSQTGKSAVIDVGTAKLITRGVIDINANNVEFHCGRQIPFDAIVFATGYKSTINTWLKNGESMFRNDGFPKKKFPNHWRGENGLYCAGFARRGLVSIAMDAKNIVDDIRATMYQVSC >ONIVA02G13240.1 pep chromosome:AWHD00000000:2:9985996:10003441:-1 gene:ONIVA02G13240 transcript:ONIVA02G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRALAAGFAMGDEEGEEEKKKKRNLLNDDGKWKKSPGNGQENAPAHSAAPGARKKKKEEEARPKCAF >ONIVA02G13230.1 pep chromosome:AWHD00000000:2:9984809:9985918:-1 gene:ONIVA02G13230 transcript:ONIVA02G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISPLHKVIDDGRWAAERLLGRLIILAHAAFLDAGFVAAADAAADQENSVRLPSEVGRTAAALPLRYAAPQLLHRPDAAAAAVALRLRVHGRRHLVFYVRVDGLADLWVAEEDAYCFCVDALAAARLLAGGLDATARALRRDPALGALWGALTDGLGRRALADLCARSGVPLGRTLLSLPTDAMAAILSRLEDGEDLMVVECTCTALRRLVAELDAVLWKPMYEDVVDARRFAGVVRADDESPEMSWKERFTAAIHRPIPINIGPHAATVNLAWLLDLEAAIVEMWHNDHVPVPPQHPVVPLSDDDRSDSPELPPESVPRRRRRQWRAMPRDFSHGRALVHGGHNNQRRGGAGAVHSPSSRYRWSRR >ONIVA02G13220.1 pep chromosome:AWHD00000000:2:9980237:9980456:-1 gene:ONIVA02G13220 transcript:ONIVA02G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINIMIQENKCFSPSRTIVPFVTDDNRSDSPELLSETIPRRRRKRWRGNAKGHQPWPCIGS >ONIVA02G13210.1 pep chromosome:AWHD00000000:2:9971159:9972268:-1 gene:ONIVA02G13210 transcript:ONIVA02G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRRSRSRSGTRRHQRDDDEEMRGGQRRHGETGGRGEGHPRRLRSEIGEVSGSLWRITRGELLAAEVFARSPPPSPLPPTPFSSQVTKIIPGTDGVLFGGGGGQGGEASSSSLFKPAPAAPLPTVRTSSSSAVAADGLKLKPPPEAAATATSSKKRNSGPESSSTASSKRPKTVHRQQNKSPLPPPPPKRAARARFAAIPLPPPPSNEEVLATQKRVDEMRKKYAGLIAKSQDVIGVAHREAAVPEKAKGRRANLAAVAAAAEDELLLLRQRCRGRDAERAKAREALREMEREARRAGLFAMEAIRREHLRALDITRDIEYAVSPECHRGEDGVLRVIAPSRHSPVSSMLGLLLRPQDGGELELDEE >ONIVA02G13200.1 pep chromosome:AWHD00000000:2:9966122:9970466:1 gene:ONIVA02G13200 transcript:ONIVA02G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVEGEGEGEEEGEGKGNLANAPEDSHKHCQGEELEAGQSCKINYQDIMDKCGIEISEKRRRRWSTKESCLDFYFIILLHEDESLIQMINIYGHNNWETVARAIPGRNAQQCRVRWKFNLDPAISKQAWSREEELRLIHVQQIFGNKWCRMAEHFTGRTSAAIKEHWRDLRPSLKSKEGVSSKCDGSSGDNQLLSDLRASLKSKGASSKCDGSSGDNQLLSDLRASLKSKGASSKCDGSSDENKLLSDLRACLKSKQGASSKCDGSSGENQLLSDLQASLMSKQRTSSKYKQGASSKSKQGTSSKSKQGFIDLCENTDTSEGESSELICTERPDPDSGEASQRIRDRLNWRKRARKKLVFLSSPVELKVSAVAESERHLQESKEMSPEVNIVSPPAVLQGFSPEVPSVCEKIVEPPLADFNQAKNVCSLETSSDPCTLEQHLANVSDLLDMSYIDGLMIIPPAGCPYDGDFI >ONIVA02G13200.2 pep chromosome:AWHD00000000:2:9966122:9969985:1 gene:ONIVA02G13200 transcript:ONIVA02G13200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVEGEGEGEEEGEGKGNLANAPEDSHKHCQGEELEAGQSCKINYQDIMDKCGIEISEKRRRRWWKFNLDPAISKQAWSREEELRLIHVQQIFGNKWCRMAEHFTGRTSAAIKEHWRGPMKRKLNSYLASGLLKKSPGLPENLSVSQSSDSNILQQCDVSSDENKLLSDLRPSLKSKEGVSSKCDGSSGDNQLLSDLRASLKSKGASSKCDGSSGDNQLLSDLRASLKSKGASSKCDGSSDENKLLSDLRACLKSKQGASSKCDGSSGENQLLSDLQASLMSKQRTSSKYKQGASSKSKQGTSSKSKQGFIDLCENTDTSEGESSELICTERPDPDSGEASQRIRDRLNWRKRARKKLVFLSSPVELKVSAVAESERHLQESKEMSPEVNIVSPPAVLQGFSPEVPSVCEKIVEPPLADFNQAKNVCSLETSSDPCTLEQHLANVSDLLDMSYIDGLMIIPPAGCPYDGDFI >ONIVA02G13190.1 pep chromosome:AWHD00000000:2:9956296:9957428:1 gene:ONIVA02G13190 transcript:ONIVA02G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSMSSSSSSEWRDWSSLPEDLLLLILERLRWSSHPSVTAVCSPWRSAVPPSYPAWITPLLLNAADVGTTNIRYYSPYFHKNFEIDRTLTDPGAKICCSAAEHLTTLCTPDDVVLDADMVSGSIRELPRLPDSKFNFIVYDDDGRLALYDPCNHERGFEIHDKPESFGFETDDSYLVESEQGELMAILVGRRGTPVHIVKLNEEAMKWEEVESLQGRTLFTGTLTTMMRSVKIKWMQNKVFLPKLYDWPETIQVNLVLRDGELAFVPKSGGENTILKDGEDYMEKMWCYEPGQRQAKKFWGTKSVDYGIWVNFST >ONIVA02G13180.1 pep chromosome:AWHD00000000:2:9954906:9955985:1 gene:ONIVA02G13180 transcript:ONIVA02G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINKKAQDSPSLMESRLAPLLLFGHGEAGDKFLYSIPSRRQLTAAAAAFVDLIGHYSWITPRGWVLTLQPAGRRGGGDAPAEAFLRDPFSSRRVPLPPPDEEISGLAAKALGGGDDDAAWCVLSHDAADPRSVVVVVHPTEPVLLYCRPGPGDSAGRRRWLRHEYQPEAIIAPANHDDDDDLDAVVIESMGWLTVSGGKLFADLEWSGKMATLEFSPSPATPTLASAPLAMVPCPAWCNHWNSSLVDSRGELFVVHFRNSLLCQRTVLLVQVHRLDSTRRAWVKADGLGSNRVFLVTSQFGVSMAADEAGLEENCIYFTKSDDKGLYVYDVGQGTTALYDPGEDIPDSMEPILLMPVS >ONIVA02G13170.1 pep chromosome:AWHD00000000:2:9916997:9949947:-1 gene:ONIVA02G13170 transcript:ONIVA02G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKHDDPFVVTASHHDMLASVLGSKDGAMRSIVYKLPEVLSVNPNIYHQAHTTRSWDFLGLNYYEQSGLLKKANYGEDVIVGVIDSGIWPESESFNDSGYSSVPTRWKGKCQTGMAFNATSCNRKIIGARWYSGGIQDESLKGEYLSPRDANGHGTHTASTIVGGQVWNASHKRGGLAAGSAHGGAPRARVAVYKACWGAAGGGISCSNAAVLAAIDDAINDGVDVLSLSIGGPVEYLSSRHAVARGIPVVFSAGNDGPTPQTVGSTLPWVITVAASTIDRTFPTVISLGNKEKLVGQSLYYKATAKSGNFEMLVDGGFSCDKETLALINVTGKIVLCSAPLQAKLNPPRLMLPAIIGDVANAGAAGLIFAQYTVNILEDLDACNGSMPCVLVDYEIANRIRSYVASTRMPVVEVSPAMTVVGSGVLSPRVAAFSSRGPSTLFPGILKPDIAAPGVSILAALGDSYEFMSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGIPIQAEGVPRKVADPFDFGGGHIEPDRAVDPGLVYDIDPREYAKFYNCSINPKDECESYMRQLYQLNLPSIVVPDLKDSVTVWRTIINVGAAEATYHAMLEVPVGMTMSVEPSVIKFTNGGSRSVTFKVTFTTRQRVQGGYMFGSLTWQDGSTHSMRIPIALYIVYMGEKKHDDPSVVTASHHDTLTSVLGSKDGAMKSIVYSYKHGFSGFAAMLTESQAEELARLPEVISVKPNTYHQAQTTRSWDFLGLNYNEQSGLLKKAKNGEDVIVGVIDSGIWPESRSFDDNGYSPVPARWKGKCQTGAAFNATTGCNRKIIGVRWYSGGIPDENLKGEYMSARDLGGHGTHVASTIVGGQVRNVSHRQGGALAAGTARGGAPRARVAVYKVCWGLRAQCGGAAILAAIDDAMNDGVDVLSLSIGGAGEHYETLHAVARGIPVVFGGGNDGPTPQIVRNTVPWVITVAASTIDRAFPTVISLGNNEKFVGQSLYYNATASSTKFQMLVDGSSCDAETLASINITRKVVLCSPPSMTPPRLLLGDVIGRVIKAGANGLIFVQYSVSNALDFLNACSRASVPCVLVDYEITRRIESYMTSTSTPMVKVSPAMTVVGSGVLSPRIAAFSSRGPSSLFPGILKPDIAAPGVSILAAVGDSYELKSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEAVPRKVADPFDFGGGHIEPDKAIDPGLVYDIDPSHYTKFFNCTFLEAEDDCESYMEQIYQLNLPSIAVPKLKDSVTVWRTVTNVGEAEATYHAVLEAPVGMTMSVEPSVITFTRGGSRSLTFKVTFTTTQRVQGGYTFGSLTWLDGNTHSVRIPIAVRTIIQDFLYIVYMGEKKHDDPSVVTASHHDALTSVFGSKDEAMKSIVYSYKHGFSGFAAMLTESQADELAKLPGVVTVKPNTYHETHTTRSWDFLGLNYYEQSSLLKKASYGEDVIVGVVDSGIWPESQSFDDNGYGPVPARWKGNCQTGVAFNTTSCNRKIIGARWYSSGIPDESLKGDYMSPRDLNGHGTHTASTIAGKQVWNASHHRSGLAAGVARGGAPRARLAVYKACWGTTGTCSTAAVLAAVDDAINDGVDVLSLSLGIGSDIPGTLHAVASGITVVFAGGNAGPAPQTVENVVPWVITVAASTIDRSFPTVVSLGNKEKLVGQSLNYNATKNNSNYHMLVFGSSCDEESLATVNVTGKIVLCYAPLEAAATSSPNPAFGTAAIGIAKGGAKGLIFAHQRTNIFDDLENCNKILPAGCMMVDFEIAARIASYLNITRKPVAKISRAVTVVGNGVLAPRIAAFSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMINIGD >ONIVA02G13170.2 pep chromosome:AWHD00000000:2:9916997:9931009:-1 gene:ONIVA02G13170 transcript:ONIVA02G13170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIVYSYKHGFSGFAAMLTESQAEELARLPEVISVKPNTYHQAQTTRSWDFLGLNYNEQSGLLKKAKNGEDVIVGVIDSGIWPESRSFDDNGYSPVPARWKGKCQTGAAFNATTGCNRKIIGVRWYSGGIPDENLKGEYMSARDLGGHGTHVASTIVGGQVRNVSHRQGGALAAGTARGGAPRARVAVYKVCWGLRAQCGGAAILAAIDDAMNDGVDVLSLSIGGAGEHYETLHAVARGIPVVFGGGNDGPTPQIVRNTVPWVITVAASTIDRAFPTVISLGNNEKFVGQSLYYNATASSTKFQMLVDGSSCDAETLASINITRKVVLCSPPSMTPPRLLLGDVIGRVIKAGANGLIFVQYSVSNALDFLNACSRASVPCVLVDYEITRRIESYMTSTSTPMVKVSPAMTVVGSGVLSPRIAAFSSRGPSSLFPGILKPDIAAPGVSILAAVGDSYELKSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEAVPRKVADPFDFGGGHIEPDKAIDPGLVYDIDPSHYTKFFNCTFLEAEDDCESYMEQIYQLNLPSIAVPKLKDSVTVWRTVTNVGEAEATYHAVLEAPVGMTMSVEPSVITFTRGGSRSLTFKVTFTTTQRVQGGYTFGSLTWLDGNTHSVRIPIAVRTIIQDFLYIVYMGEKKHDDPSVVTASHHDALTSVFGSKDEAMKSIVYSYKHGFSGFAAMLTESQADELAKLPGVVTVKPNTYHETHTTRSWDFLGLNYYEQSSLLKKASYGEDVIVGVVDSGIWPESQSFDDNGYGPVPARWKGNCQTGVAFNTTSCNRKIIGARWYSSGIPDESLKGDYMSPRDLNGHGTHTASTIAGKQVWNASHHRSGLAAGVARGGAPRARLAVYKACWGTTGTCSTAAVLAAVDDAINDGVDVLSLSLGIGSDIPGTLHAVASGITVVFAGGNAGPAPQTVENVVPWVITVAASTIDRSFPTVVSLGNKEKLVGQSLNYNATKNNSNYHMLVFGSSCDEESLATVNVTGKIVLCYAPLEAAATSSPNPAFGTAAIGIAKGGAKGLIFAHQRTNIFDDLENCNKILPAGCMMVDFEIAARIASYLNITRKPVAKISRAVTVVGNGVLAPRIAAFSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMINIGD >ONIVA02G13160.1 pep chromosome:AWHD00000000:2:9903311:9906587:-1 gene:ONIVA02G13160 transcript:ONIVA02G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPFLPTLHLILVLVVVLPIFADASSRLYIVYMGEKKHDDPSVVTASHHDALTSVFGSKDEAMKSIVYSYKHGFSGFAAMLTESQAEEIAKLPEVVSVKPNTFHKAHTTRSWDFLGLNYYEQSSLLKKANYGEDVIVGVIDSGIWPTSRSFDDNGYGPVPARWKGKCQAGADFNTTSCNRKIIGARWYSGDIPDDELKGEYMSPRDLSGHGTHAASTIVGGQVWNVSHRQSGLAAGMARGGAPRARLAVYKACWGPKIACGDASLLAAIDDAINDGVDVLSLSLGGYGEVPGTLHAVARGITVVFAGGNEGPVPQSVSNAVPWVITVAASTIDRSFPTVISLGNKEKLVGQSLNYNSTMNSSNFHMLVDGKRCDELSLASVNITGKIVLCSAPLEAANSSPNNAFIATLAAVVKRRAKGLIYAQYSANVLDGLEDFCHLYLPASCVLVDYEIASRIASYAKSTRKSVVKISRVVSVVGNGVLAPRIAMFSSRGPSNEFPAILKPDISAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMIKSAIVTTGMYSCHTTSSVYMPYMASVTDRFGMPIQAEGAPRKIADPFDFGGGQIDPDKSIDPGLVYDIDPKDYTKFFNCTLGPKYDCESYVGQLYQLNLPSIVVPDLKDSVTVWRTVTNVGGEEGTYKASIEAPVGVRISVEPSIITFTKGGSRNATFKVTFTARQRVQSGYTFGSLTWLDGVTHSVRIPIVVRTIIQDFVSDTS >ONIVA02G13150.1 pep chromosome:AWHD00000000:2:9866275:9872394:-1 gene:ONIVA02G13150 transcript:ONIVA02G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSAFCRSALLLVLLVLLPLSASASTKLYIVYMGEKKHDDPSMVTASHHDALTFVIGSKDGAMKSIVYSYKHAHTTRSWDFLGLNYYEKSGVLKDAMYGEDVIIGVVDTGIWPESPSFNDDGYGPVPARWKGVCQTGDAFNTTNCNRKIIGARWYSAGATDDMLKGEYMSPRDFHGHGTHTASTIAGGRVWNVSHHQGGLGAGVARGGAPRARVAVYKVCWGVGGNFGGAAVLAAVDDAINDGVDVLSLSLGGPNEIHGTLHAVARGITVVFAGGNDGPTSQTVQNTVPWVITVAAATIDRTFPTTISLGNNEKLLGQSLYYNATVSSIKFQTLVVVNGSSAINVTAGNVVLWPEPYNKDTIDLLAKEGAKGIIFAQGNTFNLLETLDACNGIMPCAVVDKEIANRIASYATSTSMPVVKVSPAVTVVGNGVLSPRVAGFSSRGPATKFPGILKPDIAAPGASILAAVGDSYEFMSGTSMACPHVSAVVALLKSVHPDWSPAMIKSSIVTTASVTDRFGMPIQAEGSPRKVADPFDFGGGHIEPNKAIDPGLVYDIDPRDYTKFFNCSLDPQEDCKSYMGKLYQLNLPSIAVPDLKDSVIVWRTVTNVGGSEANYKVVVEAPAGVNVVVEPQVITFAKGGNQSATFKVTFTARQRVQGGYTFGSLTWLDDNTHSVRIPVAVRTIIQDFVSDTA >ONIVA02G13140.1 pep chromosome:AWHD00000000:2:9856756:9857319:-1 gene:ONIVA02G13140 transcript:ONIVA02G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGGGDGGGGGGLEEEEGEALEGEVDEGAAGVGEEAAEVCAHHALPPHPVPLVELLQHQQTNNKSNPKSSPLIHPAQSNRLMHGRFNQIHGGFLGATCRLDVSGDGAAVGDVEEVERPRRRGRGRRLHPRRHVRVLHPRLPLQHYCRLLGAPTTQPLDRFLPILDSPDARNRTMLACTAAANEPE >ONIVA02G13130.1 pep chromosome:AWHD00000000:2:9856504:9857170:1 gene:ONIVA02G13130 transcript:ONIVA02G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKARVEDTDMPARMQAAATSAASRALDLFDVADCRAIAGHIKAASCSQETPMDLVESTMHQSIRLCRMDQRR >ONIVA02G13120.1 pep chromosome:AWHD00000000:2:9833204:9838842:-1 gene:ONIVA02G13120 transcript:ONIVA02G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDAKPSAVHIPMPARDRDRDRDRELLLVPPAAVATSASASASAAGRDSDSDDESKPSSASAAAAAAQTGREAFHKVVHSWASKKFMTGCVILFPIAVTFYITWWFFRFVDGFFSPIYAHLGINIFGLGFVTSISFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQDYSSEEQMYCVYVPTNHLYIGDIFLVNSSDVIRPNLSVREGIEIVVSGGMSMPQVLSIVETEQNQWSRMRSSRS >ONIVA02G13120.2 pep chromosome:AWHD00000000:2:9833204:9838842:-1 gene:ONIVA02G13120 transcript:ONIVA02G13120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDAKPSAVHIPMPARDRDRDRDRELLLVPPAAVATSASASASAAGRDSDSDDESKPSSASAAAAAAQTGREAFHKVVHSWASKKFMTGWYVACFCAPRFWRIFAFVVQNLDPKLDRVILFPIAVTFYITWWFFRFVDGFFSPIYAHLGINIFGLGFVTSISFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQDYSSEEQMYCVYVPTNHLYIGDIFLVNSSDVIRPNLSVREGIEIVVSGGMSMPQVLSIVETEQNQWSRMRSSRS >ONIVA02G13110.1 pep chromosome:AWHD00000000:2:9807922:9813808:1 gene:ONIVA02G13110 transcript:ONIVA02G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEAPAPSPSLTAAANSRPRRVLEELSWDDSFVRELPGDPRSDAIPREVLHACYTKVSPSAPVDNPKLVAWSQSVADILDLDHKEFERPDFPQLFSGANPLVGSSPYAQCYGGHQFGSWAGQLGDGRAITLGEVINSRGERWELQLKGCGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVETGKSVVRDLFYDGNSKEEPGAIVCRVAPSFLRFGSYQIHATRDKEDLEIVRHLADYTIRHHYAHLENIKKSEGLSFEAAIGDSPAIDLTSNKYAAWAVEVAERTAFLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSYTPNTTDLPGKRYCFANQPDVGLWNIAQFTTPLTAAELISKDEANYVMERYGTKFMDEYQSIMTRKLGLPKYNKQLIGKLLNNLAVDKVDYTNFFRLLSNVKADHNIPEKELLVPLKAALLDIGPERKEAWISWVQIYIEELVSSGVPDEERKAAMNSVNPKYVLRNYLCQTAIDAAEQGDYDEVRRLLKVMEHPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >ONIVA02G13100.1 pep chromosome:AWHD00000000:2:9782993:9790986:-1 gene:ONIVA02G13100 transcript:ONIVA02G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(A) polymerase 1 [Source:Projected from Arabidopsis thaliana (AT1G17980) TAIR;Acc:AT1G17980] MLAGGRYGSRLLSRAARRGAGASGPVGTVGDGARPGLEGERPTRPTSFHSIPSRFGSDWRPVSMAKSNNGNGYLGVTEPISLSGPTEKDVVRTQEVEKCLADAGLYESQEEAVSREEVLGKLDQIVKAWIKKATRASGFGDQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRTEYFFQALYDMLVDMPEVTELHPVPDAHVPVLKFKLNGVSIDLLYANLTHVVIPEDLDLSHDSILHNVDEQTVRSLNGCRVTDKILRLVPNILTFRTTLRFMRFWAKRRGVYSNVIGFLGGINWALLVARICQLYPNASPSMLISRFFKVYSKWKWPNPVMLCHIEEGSLGLLVWDPRRNFRDRGHHMPIITPAYPSMNSSYNVSISTRHVMVQEFTRASDICQAIDERKADWDALFEPYPFFESYRNYLKIEITARNEDDLRNWKGWVESRLRTLVLKIERFTREMLLSHPNPRDFIDSSRPLHCFYFMGLWKKQISQAQEAEQYDIRAIVNEFKSNIHAYQHWREGMEIEVSHVKRKDIPSFVFPGGIRPSRPSRTVGKEARAVSRSNISANVQERNVPSMAQPMPYKSSEVNKIPSDPHGGYQSQERNNAVVSSLPCEETGHMFNGYANLHTESVELEHLRSYKGSTSVPENHVVHDLVKPPESMPPNSIHVYPSPTNGLGHLLDSSCKKPADIIVNKTTNFSSAVLAVPDELDELDSHQVKVNQKDLTAVDQGLSLEHKVGSNGGKAGTTGSPDNNHLKRKAEEELEPLELAAPLVRPPAPTSTTQRRPLRYVH >ONIVA02G13090.1 pep chromosome:AWHD00000000:2:9777414:9778061:1 gene:ONIVA02G13090 transcript:ONIVA02G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3464) [Source:Projected from Arabidopsis thaliana (AT4G19100) TAIR;Acc:AT4G19100] MELPLGPARAPAAAALPCRFSPTFRPHAPPPALSNSRAHPPLLARARRNKSSRIDADADAEPKVITIGRPGKKSRRRRGQPPPSKEEGSEEEDEEEEEERDVAIPEVVTNRMMRRVGVSVGAPLAVGVAFLPAFYYLKKAAKVDVPTWIPFGVSFLFFGAALLGVSYGIVSASWDPAREGSLLGWNEARRNWPVFWDSLRGGGGGPSSPPPPRRR >ONIVA02G13080.1 pep chromosome:AWHD00000000:2:9773910:9781283:-1 gene:ONIVA02G13080 transcript:ONIVA02G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKQRPGGARKDELVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTIDVRVDVKLNKHIWSSGIRSVPRRVRVRIARRRNDEEDAKEELYSLVTVAEVPPEGLKGLANGKSSWSELVGKKGSEAMAVILRERPDITSAILVPQDAVITDDYCCNRVRILVDCGDGGDGGGDASVTAVPMIG >ONIVA02G13080.2 pep chromosome:AWHD00000000:2:9778401:9781283:-1 gene:ONIVA02G13080 transcript:ONIVA02G13080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKQRPGGARKDELVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTIDVRVDVKLNKHIWSSGIRSVPRRVRVRIARRRNDEEDAKEELYSLVTVAEVPPEGLKGLGTKLVEDDDSVDNT >ONIVA02G13080.3 pep chromosome:AWHD00000000:2:9773910:9777328:-1 gene:ONIVA02G13080 transcript:ONIVA02G13080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQTNGKSSWSELVGKKGSEAMAVILRERPDITSAILVPQDAVITDDYCCNRVRILVDCGDGGDGGGDASVTAVPMIG >ONIVA02G13070.1 pep chromosome:AWHD00000000:2:9771664:9772885:1 gene:ONIVA02G13070 transcript:ONIVA02G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42850) TAIR;Acc:AT5G42850] MTVEKVDATVADFDAHFDKLFAAGDDAEGKVKLLLFLADRDASSNQTWCPDCNVAEPVIYDRVEAAAKGKEKDVVLLRAYVGDKPTWRDPAHPWRADPRFRLTGVPTLIRWENGAAAARLGDDEAHLADKVDAVVNAAN >ONIVA02G13060.1 pep chromosome:AWHD00000000:2:9767120:9770060:-1 gene:ONIVA02G13060 transcript:ONIVA02G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQAEIRSLPIDIAFGRLQEWLVDRKRVPQDWRKRLAGIRARLAAAFASLPRDLDPSLLALEPDEIGYLEAKKIYGILLESNPESRNIFGRLTGSAGEWEAIVKAYEKDHVFLGEAAQIMVQNVNYDMYVRCPFLIHGFDYSLTVFVLDLALQWLTLLAVLTGCSPYQRKQMQKTQQQLAELDRREADIKRLAALSATRYAEACQELGLQGINVRQELIESAKTLPSTFTKILEVLNSDPVSIATECYTTFVRDCHTEDKENCKSVLQNLKQLQANPPSLHISVCNEVESSLGETSKALESKVTGAENIDSNISADDIDWDISLDDNGIDWDIGAVEQPVEESGNGFGSYEIIDANVELAGSENYNFGISDDPSVNKSSSSEPGICWDITDVNPEENASIQNAPESGQSQSLAEERSQLLEKEYRNNILDDLLEVRAFLTQRLGEMRNADTSSLQHQVQAVSPFVLQQYAPENLENMLAEVSSAISLLSNQKTLDLIMILNSKRFLDRLVSTLEDKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCETTLSTVFDGRPVHIIGEINTLLSSSVSQLAG >ONIVA02G13050.1 pep chromosome:AWHD00000000:2:9761490:9767662:1 gene:ONIVA02G13050 transcript:ONIVA02G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWLLLLLLMASSTSSRSEMKAGEVIRRSQFPEDFFFGTASSAYQYEGAVREGGRGPSIWDTFTHNHPEKIANGSNGDIAIDSYHRYKEDVGIMKGLGLNAYRFSVSWPRILPNGKLSGGVNLEGIKYYNNLIDELISKGVEPFVTLFHWDSPQALEQQYGGFLSNLIVEDFRDYADICFREFGDRVKYWITFNEPWSFSIGGYSNGILAPGRCSSQGKSGCSKGDSGREPYIVAHNQLLAHAAAVQIYREKYQGGQKGKIGIAIISNWMIPYEDSKEDKHATKRALDFMFMDPLTKGDYPVSMRTLVGNRLPRFTKEQSKAINGSFDFIGLNYYTARYIQGTKQDSNSHKSYSTDSLTNERVERNGTDIGPKAGSSWLYIYPKGIEELLLYTKRTYNNPTIYITENGVDEVNNENLSLKEALIDTTRIEFYRQHLFHVQRALRQGVDVRGYFAWSLFDNFEWMDGYSVRFGINYIDYKDGLKRYPKRSSQWLQNFLHN >ONIVA02G13040.1 pep chromosome:AWHD00000000:2:9757315:9757752:1 gene:ONIVA02G13040 transcript:ONIVA02G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRKLTNGRSHEDKGSSGEEVVPARKRRGRPQKRIVDKVVDQAEAKNLTEGDDGDADYQQGEGEDGGAKPKVSRTEKSSAGKGNKRNRLPKEEESSNLDLEENSSSTRSSNDESTRSNGFRQNGSRRKSTPRRAAEAGI >ONIVA02G13030.1 pep chromosome:AWHD00000000:2:9750572:9751941:-1 gene:ONIVA02G13030 transcript:ONIVA02G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 1 [Source:Projected from Arabidopsis thaliana (AT3G54890) TAIR;Acc:AT3G54890] MDMWTALHGLAQLRARDNQSRRQMQGSATTGKRCHDLTWPNGGGRARISQPIGGGIRISPIPGLVSGRSRRAPPLISRHGFRRPLTGHLFFLLRLLAGVFEEEEEEEEKLAAAAAMATSGLRSCSAVGVPSLLAPSSNRSRLPVCAYATTSGRVTMSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEVYHCRWAMLAVPGVLVPEALGLGNWVQAQEWAAEPGGQATYLGNPVPWGTLPTILVIEFVAIAFAEHQRTMEKDPEKKKYPGGAFDPLGFSKDPVKFEEYKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLASHLSDPWHNNIGDIIIPRTIYP >ONIVA02G13020.1 pep chromosome:AWHD00000000:2:9695759:9701928:-1 gene:ONIVA02G13020 transcript:ONIVA02G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWEIGLGGTINITSLVSTEVHRMRDKNTTDTLAEDVAFIKKDFQLMESFLVDAAEKRRQTATSRSLSTWLRHLRGLSQDVEDCLQEFCLHLERPPRAKSKLLLPLDTITKQMRRLRNEIEHVNKSSAIYCNAVNFGPDAALPMSISVPTGPAFRNVAHIGREMEKSHLIQLVSQNSENHHIISIWGMIGIGKTSFIRSVYESEEITSMFEQCAWVTISHPFNLHDFITILAHELDSHNFCVLRTGLQKNEESIKASKRRCLLFLDDVLSIEEWNLIQPHLPNETNTKIIVTTREASIADHCSMTCKNIYKLEGLKEDAALALFKNKVFVDSSNIDLDLDMITQAKLIIKECDGHPLAITNIAGFLARKQRTATEWKKLNDDFSSGSVSKENLEMISTGLEPSYDDLSYHLKLCLLYLSIFPKGHNIRRKRIVRRWVAEGYISKTQSLSAEEVGESYFAELMNRSIIQSSKLVPHNAGNIEYCRVHNLMHKISVSKSMEENHGFVLEVSSNNEGTVRHLSIINVGEINKNALKCVDLTHVRSVTIFGEWRASLDFSKMRMLRILDLEGTSGLKDHDLSQIGNFLHLRYLSLRGCADIYHLPNSLGNLWDIQVLDISGTSIIKLPKTITKLKKLHYLRAGNIRKDDATSSIELKESSDLSKMEHQPIDDLEIPDVEAKSVQFGTKVLDRTTSYCTKTTQNTDNVKKRDIFRKYCKVLFPSILQGLDRYGVKAPKGIGQLSDLHTLGVVNVAARKVILRELEKLKKLQKLGLTGVNKKNSQAVLSAIANLVFLHSLSLRSEGEQGLQGCLDHTFAPPSKLQSLKIYGNLVTLPTWITQIQNLTKLKLQNTQLKLDLSLEVLGKLPHLAILRLWTNSFQSKELCFNFQQGTFPSLVVMELKGQDGLKSLTFMQGVMPRLELLQIDNCIHIDKNGFYGVSSLPSLREVMLKGDHNEELMQNLRDQIALNQNQPVLKGA >ONIVA02G13010.1 pep chromosome:AWHD00000000:2:9676985:9678417:-1 gene:ONIVA02G13010 transcript:ONIVA02G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSHLIQLVSQNSENHHIISIWGMIGIGKTFFIRSVYESEEITSIRVKNQSRLQRDDASLSLMISIEEWNLIQPHLPNETNTQIIVTTREASLKEDAALALFKNKLYSSNIDLDLDMTTQAKLIIKECDGHPLAITYIVGQNIRRKRIVRRWVAEGYISKTHSLSAEEVGESYFAELINRSIIQPSVPVPHNAGNIEYCRVHNLMHKISVSKSMEENHGFVLEVSSNNEGTVRHLSISNVGETNKNALKCVDLTHV >ONIVA02G13000.1 pep chromosome:AWHD00000000:2:9663713:9666508:-1 gene:ONIVA02G13000 transcript:ONIVA02G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNQVRGKLGRLKSDERKHSSIVYRTCPMLPTLCTRSIRVLGAAVDDDEPAAVPVWEKRDDVLGDHVLFLGYPGSFAVEAARFGGDVPGGSAYFVVRSEPCRVYRCSFVDSSGSPATTTTLVETLPAGWNDERCMWFLPEPNIDWIKVEEEAAAAPARRRRRRRELRIYAGDLSPQVDSLRLREMYSEHGKVVQARVVYDKRGRSRGFGFVTMATQEGFYRALGRCDAVEKPGHLSPTKIVFGFCLLVLLAIVFSLLYFR >ONIVA02G12990.1 pep chromosome:AWHD00000000:2:9650828:9661942:-1 gene:ONIVA02G12990 transcript:ONIVA02G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARPFALLFLAVTVSFVLLTAADDSANATATTTTAMAPSSSTDDAAPPVWLKAHATFYGGADASGTMGGACGYGDLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCRPGVTVTITATNFCPPNWDLPSDNGGWCNPPRPHFDMAQPAWEKIGIYRAGIIPVIYQRVSCVKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDVMGSNSTDWVPMVRNWGANWHSLSYLTGQMLSFRVTNMDGQTLVFRNIVPSGWKFGQTFASKLQFNASTAAANTATTTPTNPVAAPTQWQKAHATFYGGADASGTMGGACGYGNLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTITATNFCPPNWNLPSDNGGWCNPPRPHFDMAQPAWEKIGVYSAGIIPVIYQRVPCVKKGGLRFTINGHDYFQLVLVTNVAAAGSIKSMEVMGSNTAYWMPMARNWGAQWHSLAYLTGQGLSFRVTNTDDQTLVFTNVVPPGWKFGQTFASKLQFK >ONIVA02G12980.1 pep chromosome:AWHD00000000:2:9647865:9649251:-1 gene:ONIVA02G12980 transcript:ONIVA02G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFPGCPATYQQQFQQFLPEGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNEGDAPVVALYVFDLNNNANQLEPRQKEFLLAGNNNREQQMYGRSIEQHSGQNIFSGFNNELLSEALGVNALVAKRLQGQNDQRGEIIRVKNGLKLLRPAFAQQQEQAQQQEQAQAQYQVQYSEEQQPSTRCNGLDENFCTIKARLNIENPSHADTYNPRAGRITRLNSQKFPILNLVQLSATRVNLYQNTILSPFWNVNAHSLVYIVQGHARVQVVSNLGKTVFNGVLRPGQLLIIPQHYAVLKKAEHEGCQYISFKTNANSMVSHLAGKNSIFRAMPVDVIANAYRISREQARSLKNNRGEELGAFTPRYQQQTYPGFSNESENEASE >ONIVA02G12970.1 pep chromosome:AWHD00000000:2:9629045:9641731:1 gene:ONIVA02G12970 transcript:ONIVA02G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALALVLLAVAVGSALLAAAQDAPSPPTPMAPSPSTDETPPVWLKAHATFYGGADASGTMGGACGYVDLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTVTATNFCPPNWNLPSDNGGWCNPPRPHFDMAQPAWEKIGIYRAGIIPVMYQRVPCVKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDIMGSNSTDWMPMVRNWGANWHSLSYLTGQMLSFRVTNMDGQTLVFRNIVPSGWKFGQTFASKLQFNASTAAANTATTTPTNPVAAPTQWQKAHATFYGGADASGTMGGACGYGNLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTITATNFCPPNWNLPSDNGGWCNPPRPHFDMAQPAWEKIGVYSAGIIPVIYQRVPCVKKGGLRFTINGHDYFQLVLVTNVAAAGSIKSMEVMGSNTAYWMPMARNWGAQWHSLAYLTGQGLSFRVTNTDDQTLVFTNVVPPGWKFGQTFASKLQFK >ONIVA02G12970.2 pep chromosome:AWHD00000000:2:9628054:9629087:1 gene:ONIVA02G12970 transcript:ONIVA02G12970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMASSPRAFALVFFAIAAVGCTQLTTADDAAPPVWQKAHATFYGGADASGTMGGGCGYGDLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTITATNFCPPNWDLPSDNGGWCNPPRPHFDMAQPAWEKIGIYSAGIIPVIYQRVPCIKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDIMGSNSTDWMPMVRNWGANWHSLSYLTGQTLSFRVTNMDGQTLVFKNIVPSGWKFGQTFTSKLQFK >ONIVA02G12960.1 pep chromosome:AWHD00000000:2:9613112:9621472:1 gene:ONIVA02G12960 transcript:ONIVA02G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLISDLPPELLPCIAGHLHAAVDVVRFHAVCREWRDALRYLPRRPSFLPWLLAPWPTPDDDTAAAGGACRCVFSRTTYHAPGLGIRDKRVAHYDGGASWFVGGLFVNPLTGRAAACAVDDPYLSDWIDNEGSRCIFSGDGTLLTCCFYDAGPPLSIYGAIWCPDYQQVWKRLGDADSDERACAVAYHDGAAVCVDLARCYVHEIGGPAAGDQTTFLPLPDEPGKVRRRSYLLELRGELLLASVLQDAGCTDDDDDDRLSVSVHAFDLVAALNALDQLDAAVDGAGGGDPPSPSVWEKMDGATGDHVLFLGYPSSFAVEAARYGGEVYAYIMTSVSDLPPELVCLIGRRLHTAINVVRFHAVCSDWRQSLRHIPPSPPPAAALLPWLPAPSSGDDADAAAGVACRCVFSKTSYHAPGLCFRDRRVAHADGTASWFINDKLVNPLTGWINVGRVKKYPWMWTDGSKGFFHCVVSGDGSLLVYRLSPRWRSPNRLSITPTTAAPPCARARSGCRRAAAGGGTAAATLVETLPAGWNDERCMWFLPLPCIVDPVLTEEQEEDAAARAYQQRRQHQQRDLRIHVSDLSPQVDSCRLREMYSEHGKVVRAGEGRVRQAGGDREGLGS >ONIVA02G12950.1 pep chromosome:AWHD00000000:2:9601536:9603236:1 gene:ONIVA02G12950 transcript:ONIVA02G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVARMLAAVVCAIMAAAAMAAGGVGALEPSGWVRAHATFYGGADASGTMGGACGYGNLYAQGYGTRTAALSTALFNDGLACGQCYKLVCDRKTDRTWCKPGVSVTITATNFCPPNWDLPSDSGGWCNPPRPHFDMAQPAWEKIGIYRGGIIPVIYQRVPCMKKGGVRFTINGHDYFQLVLLTNVGAAGSIKAMDVKGSKSPDWMAMAHNWGAQWHSLAYLTGQGLSFRVTITDGQTLVFPNVVRPGWRFGQTFASNLQFK >ONIVA02G12940.1 pep chromosome:AWHD00000000:2:9593840:9600467:1 gene:ONIVA02G12940 transcript:ONIVA02G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidic type i signal peptidase 1 [Source:Projected from Arabidopsis thaliana (AT3G24590) TAIR;Acc:AT3G24590] MSSIHFFPATSQAAAPSRRPLTKPSPKPPAATAAYHRGGGNEPVLFPRLFLRRRARPAAAPAEAPPVGPDGSSSGGGGGGGEGGGGGGDDEEDEGTRKGLLPEWLSVTTDDAKTVLAAIAISLAFRSFVAEPRFIPSLSMFPTFDVGDRIVAEKVTYYFRKPCINDIVIFKSPPVLQEVGYTDNDVFIKRIVAREGDVVEVHKGKLVVNGEVRNEEFILEPPSYDMNPVQVPENSVFVMGDNRNNSYDSHVWGPLPSKNILGRSIFRYWPPGRIGSTTTDCLIPETNPSSLIDVKLAK >ONIVA02G12920.1 pep chromosome:AWHD00000000:2:9585286:9587970:-1 gene:ONIVA02G12920 transcript:ONIVA02G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELNFEAFFHGERGEDDADAAADQKPGGGPHPPPFAMFSAADLSSFGFADSVTQSTITGVIPNHIWPQSQSLNARHPAVYTIESQSSICAAASPTSATTLNMKESQTLGGTSGSDSDSESLLDIEGGPCEQSTNPLDVKRMRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANQQFTTAVTDNRILKSDVEALRVKVKMAEDMVARGALSCGLGHLGGLSPALNPRQGACRVPDVLTGLDYAGDDPFTGLSPPEQVQMPGGGEVGDAWGWDNHSNGAMSK >ONIVA02G12910.1 pep chromosome:AWHD00000000:2:9573896:9583345:-1 gene:ONIVA02G12910 transcript:ONIVA02G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIARQPTCCAVTSREVGAWLMSNRKSARRCILGETNLDKKHEKSQFGAHKQTFMLIPEDGAE >ONIVA02G12900.1 pep chromosome:AWHD00000000:2:9573317:9581461:1 gene:ONIVA02G12900 transcript:ONIVA02G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) TAIR;Acc:AT3G24560] MMPLLLLLLPVRSAPLRRLLLCRCSSSSSSAAAASASSSACPVPASSVLAPYHRAFARRMALAGVHPHHRVAVGVSGGPDSMALCVLAAAWKKAGEGREQEDEGEGGVSGFVDGLLGVVVDHGLRPESADEAQLVRDRVRGMGVVCEIATCEWPNGRPKLGHIQEAAREMRYQKLLDICIKQRIAVLLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGDNFSRYGVILVRPMLEFSKDDMYKSELHKLIYACRLTRAFIDNACSMVLKKSLTIMEHGYAVIDLEKLDPHNVDDLFLSRYLAYVLQFVSQRHRPLRGRSAQLLIDYIRTIPCKAALSVAGCYLCAVPRSKGTKVLVCCSVDLMESSSVHMSYKCSYVKQPPPVSEINQIVTEARIYSDQFRQNCPNTPFPSSKFSTDVLNKAKDLKLIGDCTLEKLNYLQTDEHQKFITTKEHGQEQYLEKTSFPYLEVLNLWPGETCHFMGRFLITWRTSEVVVNGMCLHDSQKHTCQYCMVNQDGSLAIRHMFDTDWLFLAEVCKIHSLEENKNYSNALCDKLEDAKLVQHSRYLQLSAMKSLQILRSIPAPARRMLPVLTNSQGAVLSIPCQPGVAEAIATFGTRQVEMKVPLDHCEGNFVSAGGGSSA >ONIVA02G12900.2 pep chromosome:AWHD00000000:2:9573317:9581463:1 gene:ONIVA02G12900 transcript:ONIVA02G12900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) TAIR;Acc:AT3G24560] MMPLLLLLLPVRSAPLRRLLLCRCSSSSSSAAAASASSSACPVPASSVLAPYHRAFARRMALAGVHPHHRVAVGVSGGPDSMALCVLAAAWKKAGEGREQEDEGEGGVSGFVDGLLGVVVDHGLRPESADEAQLVRDRVRGMGVVCEIATCEWPNGRPKLGHIQEAAREMRYQKLLDICIKQRIAVLLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGDNFSRYGVILVRPMLEFSKDDMYKSELHKLIYACRLTRAFIDNACSMVLKKSLTIMEHGYAVIDLEKLDPHNVDDLFLSRYLAYVLQFVSQRHRPLRGRSAQLLIDYIRTIPCKAALSVAGCYLCAVPRSKGTKVLVCCSVDLMESSSVHMSYKCSYVKQPPPVSEINQIVTEARIYSDQFRQNCPNTPFPSSKFSTDVLNKAKDLKLIGDCTLEKLNYLQTDEHQKFITTKEHGQEQYLEKTSFPYLEVLNLWPGETCHFMGRFLITWRTSEVVVNGMCLHDSQKHTCQYCMVNQDGSLAIRHMFDTDWLFLAEVCKIHSLEENKNYSNALCDKLEDAKLVQHSRYLQLSAMKSLQILRSIPAPARRMLPVLTNSQGAVLSIPCQPGVAEAIATFGTRQVEMKVPLDHCEGNFVSAGGGSSA >ONIVA02G12900.3 pep chromosome:AWHD00000000:2:9573317:9581461:1 gene:ONIVA02G12900 transcript:ONIVA02G12900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) TAIR;Acc:AT3G24560] MMPLLLLLLPVRSAPLRRLLLCRCSSSSSSAAAASASSSACPVPASSVLAPYHRAFARRMALAGVHPHHRVAVGVSGGPDSMALCVLAAAWKKAGEGREQEDEGEGGVSGFVDGLLGVVVDHGLRPESADEAQLVRDRVLFVRLLHVNGRMGDQSWGIFKRLPAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGDNFSRYGVILVRPMLEFSKDDMYKSELHKLIYACRLTRAFIDNACSMVLKKSLTIMEHGYAVIDLEKLDPHNVDDLFLSRYLAYVLQFVSQRHRPLRGRSAQLLIDYIRTIPCKAALSVAGCYLCAVPRSKGTKVLVCCSVDLMESSSVHMSYKCSYVKQPPPVSEINQIVTEARIYSDQFRQNCPNTPFPSSKFSTDVLNKAKDLKLIGDCTLEKLNYLQTDEHQKFITTKEHGQEQYLEKTSFPYLEVLNLWPGETCHFMGRFLITWRTSEVVVNGMCLHDSQKHTCQYCMVNQDGSLAIRHMFDTDWLFLAEVCKIHSLEENKNYSNALCDKLEDAKLVQHSRYLQLSAMKSLQILRSIPAPARRMLPVLTNSQGAVLSIPCQPGVAEAIATFGTRQVEMKVPLDHCEGNFVSAGGGSSA >ONIVA02G12900.4 pep chromosome:AWHD00000000:2:9573317:9581461:1 gene:ONIVA02G12900 transcript:ONIVA02G12900.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) TAIR;Acc:AT3G24560] MMPLLLLLLPVRSAPLRRLLLCRCSSSSSSAAAASASSSACPVPASSVLAPYHRAFARRMALAGVHPHHRVAVGVSGGPDSMALCVLAAAWKKAGEGREQEDEGEGGVSGFVDGLLGVVVDHGLRPESADEAQLVRDRVLFVRLLHVNGRMGDQSWGIFKRLPAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGDNFSRYGVILVRPMLEFSKDDMYKSELHKLIYACRLTRAFIDNACSMVLKKSLTIMEAALSVAGCYLCAVPRSKGTKVLVCCSVDLMESSSVHMSYKCSYVKQPPPVSEINQIVTEARIYSDQFRQNCPNTPFPSSKFSTDVLNKAKDLKLIGDCTLEKLNYLQTDEHQKFITTKEHGQEQYLEKTSFPYLEVLNLWPGETCHFMGRFLITWRTSEVVVNGMCLHDSQKHTCQYCMVNQDGSLAIRHMFDTDWLFLAEVCKIHSLEENKNYSNALCDKLEDAKLVQHSRYLQLSAMKSLQILRSIPAPARRMLPVLTNSQGAVLSIPCQPGVAEAIATFGTRQVEMKVPLDHCEGNFVSAGGGSSA >ONIVA02G12890.1 pep chromosome:AWHD00000000:2:9564183:9567684:-1 gene:ONIVA02G12890 transcript:ONIVA02G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein / ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G24530) TAIR;Acc:AT3G24530] MPVPGSQNGRPRPAKAETIHGLARAGDLAGVQRKLQENPALINDRNPVMSQTPLHVAAGYNNTGIVKFLLDFQGTDKVELEAKNMYGETPLHMAVKNSSCESAKLLLERGAHIEAKANNGMAPLHLAVWHALQSGDCSTVSVLLSYNADCYAKDDEGKIPSNHIPGGAGNEKLQKLLTRHMEEQRQRKALMSCREGKAMAEFEEAISQIVGLQDLKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGVLPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQDAEGGILFVDEAYRLIPMQKSDDKDYGVEALEEIMSVMDNGKIVVIFAGYCEPMKRVIASNDGFCRRVTKFFYFDDFTTTELAEILHLKMNNPTESSLLYGFKLDPSCSIEVVGELIARETTEQRRKQMNGGLVDTLLINARENLDLRLDFNCDDANTMITITLEDLEAGLKQISKQRQLQ >ONIVA02G12880.1 pep chromosome:AWHD00000000:2:9559537:9564943:1 gene:ONIVA02G12880 transcript:ONIVA02G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16010) TAIR;Acc:AT3G16010] MASRAPCLLAARGIASSPHLARRLKQTENEIVQMFRTPSPRNEDAVAALSPRYTNSVRVLDERFIRILKIFKWGPDAERALEVLMLRVDHWLVREVMKTDVGVNVKMQFFRWAAKKRNYQHDTSTYMALIHCLELVEQYGEMWKMIQEMVRSPICVVTPMELSQVIRMLGNAKMIGKAITIFYQIKARKCQPTAQAYNSMIIMLIHEGQYEKVHELYNEMSNEGHCQPDTVTYSALISAFCKLGRQDSAIRLLNEMKENGMQPTAKIYTMIISLFFKLDNVHGALSLFEEMRYMYCRPDVFTYTELIRGLGKAGRIDEAYHFYHEMQREGCKPDTVVMNNMINFLGKAGRLDDGLKLFEEMGVSHCIPNVVTYNTIIKALFESKSRVSEVFSWFERMKGSGISPSPFTYSILIDGFCKTNRIEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAINLFDEMSKLGCTPNVYAYNALMSGLARACMLDEALTTMRKMQEHGCLPDINSYNIILNGLAKTGGPHRAMEMLTNMKNSTIKPDAVSYNTVLSALSHAGMFEEAAELMKEMNALGFEYDLITYSSILEAIGKVDQE >ONIVA02G12870.1 pep chromosome:AWHD00000000:2:9555707:9559310:-1 gene:ONIVA02G12870 transcript:ONIVA02G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G03120) TAIR;Acc:AT4G03120] MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQVGAPFNQHLLSFPGGVPRPRLPILPTPGMPLGVPQVPGAPLMPGVRPPILPAPGIPGYPGAPNVPTMPQTGAPPGSMPPGSMPPGSMPMQMAPLPRPPTLPPPTSGAPGAPIPNSGAPPAMYQTNPPQPAGPTSGAPPPVAAPPPAAPPQAPFSYAQPPEGNH >ONIVA02G12860.1 pep chromosome:AWHD00000000:2:9551972:9555109:1 gene:ONIVA02G12860 transcript:ONIVA02G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQATVAPSISSVAKQPQRFPAPSTSGNSKFPLFKTSCLAMSHGLVSNTAVSSRPNDGAAKLIQPVVKMCGITSAKDAETALEAGAKLIGMILWPNSKRSVALAEAKEISRVAQSYGAESVGVFVDDDEETILRVSDSCDLNLVQLHGDESRSLLHVLSKNNRIIYVLNANDDGKLINALPDEKYELDWFLVDSAKGGSGKGFNWQKFQMPSVRSKNGWLLAGGLHADNVCDAFYALKPNGVDVSSGICAPDGIRKDPTRISSFMRNVKSLGRSQ >ONIVA02G12850.1 pep chromosome:AWHD00000000:2:9547008:9550104:1 gene:ONIVA02G12850 transcript:ONIVA02G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G4N8] MAEPAGEAAPQADGVSEEAAARPRGFRLLGEDKSVHQALGGGKAADVLLWKDKKISAAVIGGATVLWVLFEVVDYHFLTLISHVLIGVLAILFLWSKATIFIKKSPPNIPEVKISEDLAVNVALALRTDINQALHLLREISLGHDLMKFLGVIVALWILSEIGSLCDFLTLFYVAVLMLHTVPILYHKYQDKVDHFAGKAHVELSRQYSVLDAKVLSKIPRGPAKDKKQN >ONIVA02G12840.1 pep chromosome:AWHD00000000:2:9535901:9545502:1 gene:ONIVA02G12840 transcript:ONIVA02G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELPLPAKVDFGKILTPAAVEGFEGGGGGGGGGGGGGDVLRRCADADRRHGDVKQHNQNVDSISSYRIKGTSSEVPMQKSLALGIKSENNGKRDYIGTETGQALHKQDSKVLTKKTIKLDAPPCSKRPKLEPVQITRETESKSHDFLLQKNVPELMQCTPSEKSRLLKQKRIYDPKRIDKKNFRSGARSKYDCFTSRASLGNFDPCLGNNTLGTHGLRSDIRDITNHIENLSLSELLNGTYKYSSLGREKGKKVLRTKDELLVSVRKAFSMLSGRDSYSKDPNFLLSPKLPTASTSSCDGKDQCTDKPMKGPSQMEVCDSTIHCPKDILNRLTLPQGQDLDSLLSPGCESFAAVKPSLPSVTTHGASLPPFPWSHSQAGGYRPGADCGKHGSSRSNTQWQWVRVGSNLTALDSEDPSVHKIDDLLQEMDTAKTSIMDSYGKQSSLCCTESTSGSLGQIIQSRKKLNGHNPQQLFSMDNVDSSDSFQKNDNESFLLRTPQASPKVLQAAEILCDMRSSMDVWSPQVFSNGTIKWPKSPSEKVMKARKPSSPFGTAESSSGSRNSDAARAGNNHSTKKIVDRKNDSVRMNNPGKGSIRWPVPIEDGASPVKSERGLVLDMRQNHVNAARHPNQVSSQAKEYENQQKLRKATLTSSLGSAGDWNRDRNKRM >ONIVA02G12830.1 pep chromosome:AWHD00000000:2:9529744:9530738:-1 gene:ONIVA02G12830 transcript:ONIVA02G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSSFAAAAAVGAPWRGVVGAGRAAVGFPPRRRAVTLVVRAQAEPEVEPTKEETITSTPSPVAAAPKAKPAASTGLWDVLAFSGPAPEPINGRLAMVGFVSALAVEASRSGELLEEASSGGGLAWFAATAAVRPSRPPRRRFRVPRRRCLCPTPRARGRLLVVAAAAASVSASRAAARNRLHLSPRRGVPARRGRGRHRRLVPRRRSRRVRVTRCRLRRRIPRLRRQR >ONIVA02G12820.1 pep chromosome:AWHD00000000:2:9522115:9528073:-1 gene:ONIVA02G12820 transcript:ONIVA02G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGVDAVGGGVGSTVGASYDAGCLEMRLAIVRCRQRRRYMRAREIPHNFRIRSAVAAAAAAAASATATAGSFFPIPS >ONIVA02G12810.1 pep chromosome:AWHD00000000:2:9517106:9521932:-1 gene:ONIVA02G12810 transcript:ONIVA02G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47810) TAIR;Acc:AT3G47810] MVLVLALGDLHVPHRAADLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATMHA >ONIVA02G12800.1 pep chromosome:AWHD00000000:2:9516097:9516910:-1 gene:ONIVA02G12800 transcript:ONIVA02G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRTGADLYEVCIDWCCAWRGVACCTARIHPRAGAGHMPLPGVLCVALLVVSARVCCVRPWRGVPMPLHAPRTAASRHGSVEQRQRARGRHPPR >ONIVA02G12790.1 pep chromosome:AWHD00000000:2:9508373:9508705:1 gene:ONIVA02G12790 transcript:ONIVA02G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARMRSVAALLLPLAAMTALLWPTSASVVLVWCFCSTLCKSAFPQHCAIENSSFVDGCALHCIRVAGSGILLPLCLRAVASTLGVPFGLSSSSSDSMENSVVKFG >ONIVA02G12780.1 pep chromosome:AWHD00000000:2:9505353:9507573:1 gene:ONIVA02G12780 transcript:ONIVA02G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELCGNNWDLDAVVRLGCCRRRISPAAVAQQVDPFASFLQQGVAMEVAAEKEVGVEAAWSFPELTVRDGGGGGLGRDADELLKAFCAAFPSSSSSKSSPLPTPPPPPPTQPQPEQQKPVTVQENLPAPTTAPARASQPAAARQVPAGGVPRSKRRKNQQKKVVRHVPADGVSADVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRSDPNTFILTYTGEHNHSAPTHRNSLAGTTRNKLPSSSSASAASAQPQPPPPSVVVVGAGGGGAEAAGLSPTTPLRTPSMEEDEEEEEEEELLVEDMEMAGEDELLFLNGGDDNAALDGTPMSSLFDIADEPFLPSPWTEPTAAGS >ONIVA02G12770.1 pep chromosome:AWHD00000000:2:9481659:9482383:1 gene:ONIVA02G12770 transcript:ONIVA02G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDVAVIAGKEEVGDEATAAAALIADKEEVGDEATASATVNGPDKGTRREGGGGEGHPPVPQGKIRLSQQTIDAILATKTMHYSTNTLEYYRIKKDYEMLRLMHSCMRTHDEFQKRIVKRKAWIRSELEKKGYIDIDESNAATFTPIHWREELVTEDEESDNDGDDEDDFSEGEEESEEDDDDNGDNEEEVSNDDTTRRTTLKTMTSPLLMMMRHQMKVVLLISIIS >ONIVA02G12760.1 pep chromosome:AWHD00000000:2:9475342:9477343:-1 gene:ONIVA02G12760 transcript:ONIVA02G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDAAEAEEVLERIWDLHDRLSDAILAVSRAHFLLPPPPPPPPPPPSQPSAPPAREGRNGYVFVKGLKGGGGGGGGGVGDGVGVGSAKAAAAEAMAEARSLHAIRTALEDLEEHLEFLHTVQSQQRAEQDAAIARLEQSRLVLAMRLTEHQGKKYRVIDEALAFVGEVGDKSQFISPEDVRATHNQSGEDVMDSGSNGSSIMGNVLSCSLFLAKNSFRVDKIGSALGNAAAFAVTASSCLWKQISSNGLQEAQLPFWELTTEWQRKATRSVPSQRLRNHQVTCCCLYRSFDQIPVFTGFVRALIILYDEMDYVSGY >ONIVA02G12750.1 pep chromosome:AWHD00000000:2:9468663:9472557:1 gene:ONIVA02G12750 transcript:ONIVA02G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVSLTDLQKLSSLTRLAVKGCESMLFSEVEEGVIFPSVQQLEISDCRLTRNSLTKLLNRFPALTEFHLKFSSFEVGEEAVLQLPSSNLLSYVKIWCCKNLVLPVADGGGLHDLSSLQEVEIRGCGKMFDRWSMVEARTRTSKFFPASLRELNIIDESSIQSMALLTNLTSLTHLTLVNCDNLTVDGFDPLITCSLKELVVYNSPKADDEIHLSVADVLFLQVATRMTKVIPAGGSSFQQLEKLEVDSISAVLVGPICSLLAANLRELGFTYDLWMESFTEEQEEAIQLLTSLQCLKFGNCLRLQSLPEGLHCLYTLYELDIIGCPEIMSLPKDGFLASLEHLRIPECSIDLMAKPVQIYLFKKFATEHLEVVRKTCRIEFRSVQVVSEVFKSSREHYHEAEHPDLGNEDGRQRQRRWLKRGRDDARDANRCYAGADLGLHGAGYVDRASEKLAAASAVRGGGRIAVAAARVGESSAEDAGGGTDEERGAQQQFLGKKKLLTVVAI >ONIVA02G12750.2 pep chromosome:AWHD00000000:2:9468663:9473640:1 gene:ONIVA02G12750 transcript:ONIVA02G12750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVSLTDLQKLSSLTRLAVKGCESMLFSEVEEGVIFPSVQQLEISDCRLTRNSLTKLLNRFPALTEFHLKFSSFEVGEEAVLQLPSSNLLSYVKIWCCKNLVLPVADGGGLHDLSSLQEVEIRGCGKMFDRWSMVEARTRTSKFFPASLRELNIIDESSIQSMALLTNLTSLTHLTLVNCDNLTVDGFDPLITCSLKELVVYNSPKADDEIHLSVADVLFLQVATRMTKVIPAGGSSFQQLEKLEVDSISAVLVGPICSLLAANLRELGFTYDLWMESFTEEQEEAIQLLTSLQCLKFGNCLRLQSLPEGLHCLYTLYELDIIGCPEIMSLPKDGFLASLEHLRIPECSIDLMAKPVQIYLFKKFATEHLEVVRKVSFWLYTSLMFDGSNQSVVALYLPFVGALSRTYL >ONIVA02G12750.3 pep chromosome:AWHD00000000:2:9470033:9471049:1 gene:ONIVA02G12750 transcript:ONIVA02G12750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPGLLMEPTPFCNGTFRGGEKEHYHEAEHPDLGNEDGRQRQRRWLKRGRDDARDANRCYAGADLGLHGAGYVDRASEKLAAASAVRVGRRADRGRGGASRREQRGGRRRRNR >ONIVA02G12740.1 pep chromosome:AWHD00000000:2:9466276:9468406:1 gene:ONIVA02G12740 transcript:ONIVA02G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFTIHSRTLSVIPIVGPGGIGKTTFAQYLYNDKTIEAHFSIKVWVCVSTHFDVVKLTQEILKCIYHAENEGSRRVDELSNLDQLQITIAQRLKSKRFLLVLDDMWKCGSEAEWGSLLAPFSKGDAKGSMVLVTTRFPSIAQMVKTTKPIELQGLGDSEFFTFFEECIFGHDKPEYYEDNIIARKISKKLKGFPLAAKSVGRLLKNHISQEPLGIIDSGSHKNRAEDIGLKYLDKLEGNGFLVKKVDDRTGRQYYVMHDLLHELAQNISSQECINISSYDFRSDNIPWSIRHVSITLQDNYEDSFEREMENLKRKIDIGNLRTLMLFGEGNASMLILIKDLLKETKRLRVLFMHTNSLQSFPHNFSKLIHLRYLKLEIPYDVELSLPNAVSRFYHLKFLDLGYSKCILPKDINHLVNLCLLNARKELCSNIPGIGKMKYLQRLEGYHVKKRDIGFELSELGDLTDLGGELKIFNLEKVATREEANKAKLMSKRNMEKLVLAWGMVQRTTRSDVLEGLQPPSNLKALVIKNPGGSIGPSWLCGNICVNYLKSLHIEGVSWGILPPFGQLMQLEELTLNNIPSTRRFGPNFGGVTQKSFSHLKKVEFVDMPELVEWVGGAHVICFQRLQASGVKIVPISLCCLCHPMHKT >ONIVA02G12730.1 pep chromosome:AWHD00000000:2:9465972:9469001:-1 gene:ONIVA02G12730 transcript:ONIVA02G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSIRHRKNQRQFYVISSIGLVVFTICAMDGNRVVTRTMLPLASPLLNGASRLPHSASLPHFHISSKTIFVQWLFGADPSWIIHPLCGFPHFQHDICTSRSPENITMRLGYIMWIIGVISCRGTTKT >ONIVA02G12720.1 pep chromosome:AWHD00000000:2:9460067:9462189:1 gene:ONIVA02G12720 transcript:ONIVA02G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVTETAPGDLLSNGLWLAWAGCAQTNQPMCVFGVVAQGPPRLLGFSSLLLHAKGTRGGVGSVGSAS >ONIVA02G12710.1 pep chromosome:AWHD00000000:2:9449799:9453379:1 gene:ONIVA02G12710 transcript:ONIVA02G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATLMGPSDSPYAGGVFLVTIHFSPDYPFKPPKVAFKTKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >ONIVA02G12710.2 pep chromosome:AWHD00000000:2:9449705:9453379:1 gene:ONIVA02G12710 transcript:ONIVA02G12710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATLMGPSDSPYAGGVFLVTIHFSPDYPFKPPKVAFKTKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >ONIVA02G12690.1 pep chromosome:AWHD00000000:2:9422612:9423942:1 gene:ONIVA02G12690 transcript:ONIVA02G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPPPPPTKPKPKPATAAQPAPAPATTRTTPPLKKPPPLAPPTQARPLKPPPAHQYRQQQQQPANRKRQYGRHRGGGGCSGRRVCCLATGFVLLALCLALAAACLAYLYYHPRPPSFHLQPLAATRFRVGNSSAVSAMDVTAAVRVVSWNPNDRVSFEYGDGEGRVALADADGDVALGWAPVAGFRHAPRSVATVAFVAAAKGVVVDEAVAARVRDRYRRRQLAFKVVVDTHVGARAGAMRTGMVPVRLLCDGGAMAPRGGVSGSVVGPMSKCQVYLFRVRW >ONIVA02G12680.1 pep chromosome:AWHD00000000:2:9409796:9415420:1 gene:ONIVA02G12680 transcript:ONIVA02G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEAAAAQEAEMDPDFSGGGGGGPSFEFAFNSVNFSDRVLRIEVVAGDDDDDDDHAPGSSRDGGAGSLSDWARHRKRRREELLKEKESEAVMPDQINCKVEPEECDAYEENQEEPVAMMDDSPPSVGPDGDDGPSMDSPWSGGVSTPVLRVKNIYISSAILAAKSPFFFKLFSNGMKESDERQATLRITDSEENALMELLSFMYSGKLTSTDPTLLLDILMAADKFEVVSCMRYCSQLLTSLTMTTESALLYLDLPCSISMAAAVQPLTDAAKEYLSNKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDAIYDFLIRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLICTDLDHEQATKCVTEALLYKADAPHRQRALAADVTTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRMYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWSTFMADDSLFFLDGVLHLRAELTIKQPTV >ONIVA02G12670.1 pep chromosome:AWHD00000000:2:9395184:9399348:1 gene:ONIVA02G12670 transcript:ONIVA02G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAAAQPSSSAASGAGAGAAGPVLGKVAGQEGASTSAAAAVAARRGEGDCRGEEEVPLRVRLGRAARRRAGPCTPSPSWKLEGEEVEVAAGELAPVHPAVAPARRSSASASASARQLGASLWEIHDVMREGRGGGSRRRRSGRPLASAGGELHQNTSGFYTLFLGIGKKNSGGFGRHIADSSTNHQKLNQARNCTAQPFSPGSYRSSVGDSSINQAISPARSLDIKGRFRGADYNLKTSTELLKVLNRIWSLEEQHTADMSAINGLKLELQHAQEHIQELKCERRGYRHDVASLVRQLSEDKLVRKNKDREKIAADIHSLQDELEDERRLRRHSEDLHRKFGKELSEIKSAFVKAVKDLEKEKKTKNLLEDLCDQFAMGIRDYEEEVRALKQRHVNYEYQFDKSVLHVSEAWLDERMQMQNTDVKEDSLKKSTITERLRSEIEAFLLAKRSVSFKNNDNYMHDSRPNARLRRQSLESVHFNGATSAPQLAEDDDDDSVASDLRCFELNMHGSSIQMHDHTGPRRSYTGNMDAPKRRTEYSHSVVGESSHMSDVQIYSECNKARSSSSRPWHATRTQEIDSQASARTVPAEEQNEIPCPHISQGYHNGTTSKNNLGAHADCLGQESLDHYSRASLFCDGTTSGDLCNPHSPSRQLDYPSASLGHDIGECSTGLLVGMKENTLKAKLLQARLEGRHARLKASGGSVTSRRK >ONIVA02G12660.1 pep chromosome:AWHD00000000:2:9383269:9386675:-1 gene:ONIVA02G12660 transcript:ONIVA02G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSALLPPIAAAPPLAGSTLSFGVPYQNKRRILTMRIYCAADEEEEVNDLGVNEKYHPYYPQAAVMCQLAVSTLCKHTKNTVLQRVVMDMIPQGGLVRKSYQSNDSLVKKLDLYAALKNITCIIANYPPRTTAVVKISANFQLSGIGEGFTRCLDIVIMVPVCGGKWLE >ONIVA02G12660.2 pep chromosome:AWHD00000000:2:9383269:9386675:-1 gene:ONIVA02G12660 transcript:ONIVA02G12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSALLPPIAAAPPLAGSTLSFGVPYQNKRRILTMRIYCAADEGEISPLLPSSCRYVVMDMIPQGGLVRKSYQSNDSLVKKLDLYAALKNITCIIANYPPRTTAVVKISANFQLSGIGEGFTRCLDIVIMVPVCGGKWLE >ONIVA02G12650.1 pep chromosome:AWHD00000000:2:9381733:9382102:1 gene:ONIVA02G12650 transcript:ONIVA02G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLLANFKNIFDKFTFKDSSAAAKDYEKKDEAGTDAANKAAGSDSDDDDKQGTRQKEGIFERYVFCLSVCLQWLKCGFVNHPVIRI >ONIVA02G12630.1 pep chromosome:AWHD00000000:2:9367252:9371575:1 gene:ONIVA02G12630 transcript:ONIVA02G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSNLTFLLFFFPHLLPLFPSQLPPSLSPLSLSLSLSDLQSRSPEARLRLPSLLLLLQRAASEQPRRPPPPPPRPDGGGAGGAPSSGASPPNLGRNRIEGSCVSYCAVRWMLAMGSEEWELYPSSFIGAQVIDYGHVSGDMDDDQSGDLAVSMDAVLPDDLLEKVLSFLPVASVIRSGSVCKRWHEIVHARRQTWSKMVPQKPWYFMFTCSEEAVSGFTYDPSLRKWYGFDFPCIEKTTWSISSSSGLVCLMDSEDRSRIIVCNPITKDWKRLVDAPGGKSADYSALAISVTRTSHQYMVAVARCNQVPSEYYQWEFTIHLYESEINTWVSPFTELLIGWRGGDECVICDGVLYYLVYSTGVLVNNNEHRHCLLMYDLSTRPTHTSLMSMAIPVPCPLTCGRLMNLNERLVLVGGIGKQDRPGIIKGIGIWELRNKEWHEVARMPHKFFQGFGEFDDVFASCGADDLIYIQSYGSPALLTFELNQKLWKWSLKSPVTKRFPLQLFTGFSFEPRLDIAS >ONIVA02G12630.2 pep chromosome:AWHD00000000:2:9367252:9371766:1 gene:ONIVA02G12630 transcript:ONIVA02G12630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSNLTFLLFFFPHLLPLFPSQLPPSLSPLSLSLSLSDLQSRSPEARLRLPSLLLLLQRAASEQPRRPPPPPPRPDGGGAGGAPSSGASPPNLGRNRIEGSCVSYCAVRWMLAMGSEEWELYPSSFIGAQVIDYGHVSGDMDDDQSGDLAVSMDAVLPDDLLEKVLSFLPVASVIRSGSVCKRWHEIVHARRQTWSKMVPQKPWYFMFTCSEEAVSGFTYDPSLRKWYGFDFPCIEKTTWSISSSSGLVCLMDSEDRSRIIVCNPITKDWKRLVDAPGGKSADYSALAISVTRTSHQYMVAVARCNQVPSEYYQWEFTIHLYESEINTWVSPFTELLIGWRGGDECVICDGVLYYLVYSTGVLVNNNEHRHCLLMYDLSTRPTHTSLMSMAIPVPCPLTCGRLMNLNERLVLVGGIGKQDRPGIIKGIGIWELRNKEWHEVARMPHKFFQGFGEFDDVFASCGADDLIYIQSYGSPALLTFELNQKLWKWSLKSPVTKRFPLQLFTGFSFEPRLDIAS >ONIVA02G12620.1 pep chromosome:AWHD00000000:2:9345413:9367823:-1 gene:ONIVA02G12620 transcript:ONIVA02G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFNPIPAKIGGARSAGRSTARSPAVRARRRRRWPPRLLAGRALEEEEEAWQPQPRLRAPALQIREREREREGRERWRELRGKEGEEVREEEEKEAAEGEHLCWSSMARFGYAPAIKNSPNKCGGSSMYHSVLSMSPHHQCLSPDETTRWINQASKSWGMDEGGEEEQRATTPDLKCQTMRTDTAVAVQSKKGRHSGVVEKDHGNTDEVVEFLEGMGAFGLSNFK >ONIVA02G12610.1 pep chromosome:AWHD00000000:2:9344853:9351141:1 gene:ONIVA02G12610 transcript:ONIVA02G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPANQYMDPYYSHYRNHAPYAYYPPSGCWEVSHPRMAMDSSCRPPSYGPWPNMNHLHPPEFHSCCNHTYPPGYYSFRLPSPQEIPPPHLYYHGPFPQHPNAYPSYFAPPPPYPVDQTACGYDKFKSHCCGCPNHSCHGGERSNIKIEEERPEVNPDTEQRDASGSDIVRHPNYQNQAIWLPSGNMNDKENKKSMELPPQFYNGWIPVSGKWVGDVKQQDQDDQKAKQFQWPIFWMPAGYNEKRQEAKELKEVDESPKVSEEAPPSPKIKIIPLSWFENGHNDQKPSVKDESHHNERSTVKKQSAGTEHQDGREMKNIPLMPKKESEEKKPARENYKTIPVMPRKDNEENKPAGGNYRIIPVMPVKESDDKKPEASVQRDEKKASSTEKEEENGKRSNEESSKAKNSKLPPVCLRVDPLPRKKSGSGSSRSPSPPTRKDADIAKKDVKEIHMQKQDAKQSDPKKERTVSEAKEKAHDEMNKGRAYGNETVQAASVKQMQEEQFPMSLADQKVQATGVNFDAQENVGEKNLQGSDKNTEGEAKIQGEPAKDYDTTPRINFSEVDAAVCIQSAYRGYNVRRWQPLEKLRMIKNVNEQMKDLKEQLQGIEASSKQLTVKEQVAINETIMNLLLKLDTIQGLHPTVREARKSVARELISLQEKLDCLCKQSSGESIHTNGEKEKPEAMENNFQNTDPVSAIEASEKEKAAGVDEEQGLSTINSKLLMPDAVSSVVSMDTTQDADPSDHIEESNTTKEEAPNNGGKVATQCDCQGEPSMDVMGDAALLGHSTEQKQQIEESNAISMDKSCEREKDVPPVGGQEIPSGDHMEPLHDEALSENSNELQQCTTSERSSTVISPAAADNSMITMAATSVESSVSADKVSPVEGQVTEAAVEHAPVEKDQCEEPNTTIVDSGDSSVSLKNEELQDHDQAPSGSSIMSNSAEQPEEASDVNMQQQVENVDTTQDATEESDATPEIGMVDVTYANTENYVQSPLLQTTSKLQSTTGQNVLKEPEAAKQSDVSVEYESVLVGKQNESANNLTGDSAKEEPPLVGLGMEADTHESAPRELKDEPILPETERSELSCEHGDITGHEDSEMYAPPECKTDVQKESCCVDRRRADMQVPKEVECDELGDDNPKEDASVQTENMASEEASLASATPDGMKDENKVAEETTSDYATPYSSKSDNENKLAEENQKLKEMLQNLLASGSDQMGVITELSEKVKTLERKLARKKRPKVRVHRPTRQATAKVH >ONIVA02G12590.1 pep chromosome:AWHD00000000:2:9339366:9344058:1 gene:ONIVA02G12590 transcript:ONIVA02G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRGESEEEGKRPLKQNEIYRAWSSAAWSPQSTAEAVMRQCRSKGVKTLVDNVRLVCDLSAISDGVLHISSSVMPTEIIKRYFKEKSCLLDLMELGNKSSDCHEQRISRDTEFNDRLEKPLTGCFRLNDWLDSRLPLARAAAVGEELAGRPRPAGRRGEARREVAAGWTRGKRLLGSRGQPSAGEELAGRSRPLGRADGARREAAAAWTRGWSSLGCLGRLGTGEELAGWPRLAGRWEERIGWSRSAGPGRSCTLRTPPPSCSPRGGGGAAFSRRQPMPSRSPRVVVVSPPVPPSPADSRCRPARREVVVVSPSVPPSPAGSRCSLPAARILGERGEKGKDPPGCCDLRLPHAVDRRRRQAAGRQGGTAWTVDVARPLSVKVAQFSISGTYIIASAHCLHDDR >ONIVA02G12580.1 pep chromosome:AWHD00000000:2:9337905:9338614:1 gene:ONIVA02G12580 transcript:ONIVA02G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRGDCCADKAVLGEEGRGRGHPQRRGSLVVIDCDGSNSDIKMKQCYMSMKALADDRTPKDSCKFNITPGPCFPMLCLTYCHAQVAAISTGKCSPEGCQCTYCLPSPPLDKTN >ONIVA02G12570.1 pep chromosome:AWHD00000000:2:9333613:9335478:-1 gene:ONIVA02G12570 transcript:ONIVA02G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21 [Source:Projected from Arabidopsis thaliana (AT1G35680) TAIR;Acc:AT1G35680] MATATLPLRLLASKTLPFPSAPSLPASRCSLPVAASAPRRCWRLLASAEEPAPAPVEAEAEAEVVEEEEVEEEEAALPEPVEAQIAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGRDDKVIVFKYKKKKKYQRKLGHRQPNTRLRITGISGYEDFPADPILEYVPA >ONIVA02G12560.1 pep chromosome:AWHD00000000:2:9322186:9322557:-1 gene:ONIVA02G12560 transcript:ONIVA02G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVLLRRSVESGHCADGVNSVSTFGVQVAFGGFRCGCYGDSEVKALLGLPVLATATPSGVVHILEDVAIGVLIQLHIKGIQQVKTLDSFGLDDVTLFLVSVCQCQGFHQFFCKLTVSF >ONIVA02G12550.1 pep chromosome:AWHD00000000:2:9313164:9315059:-1 gene:ONIVA02G12550 transcript:ONIVA02G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLGRSAFINFSNPNAYVGKFPVISTVSHPTASSQIDVLAHCSSYNLPRGTKRKFDGLSLGLGNSSSSESSKQSMGTGCTISSAKGSDDGSSIDLDLNHFTLGNEGTSRLDKRACDSRRALDKPELNLELSLSSQSAITGADFTAATEYNSPSLQPYYMDLVPTVDEGSTSARRPSGCQVLSFLNKTAKMSEFSPREVFPGSSNQSQGPAPMPTLLQLPKSPVACTSGLSRPQQRSSSTKNCTYPGCMKGARGSSGRCIAHGGGRRCQKDGCDKGAEGKTIFCKAHGGGRRCEHLGCTKSAEGRTDFCIAHGGGRRCSHEGCKRAARGKSGRCIKHGGGKRCQHAGCTKSAEGRSGLCIAHGGGRRCQQDGCGKGAQGSTNFCKAHGGGKRCTHPDCKKGAEGSTAFCKGHGGGKRCSAEGCTKSVHGGTLCCVAHGGGKRCVVEGCTKSARGRTDRCVGHGGGKRCQSSGCDKSAQGSTNFCKAHGGGKRCLWGHEGSDHGAGNTPCERLARGKNGLCVYHNPQVDENRVHGGFSVVSDALSQGDRPSNTETSRRSIFSHPMEAPRRVAALADEGRVHGGNILSMFANGMSLGKHPANQAEASTSAPRNSKSTNGMVTGNSAARGSWL >ONIVA02G12540.1 pep chromosome:AWHD00000000:2:9306008:9308910:-1 gene:ONIVA02G12540 transcript:ONIVA02G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRCLSELARRRPDRAVDAMRVGLRCLMSTCPLTKATDDSQRLYATSSCANVPEVALSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAQGVQLTPNSELLSHDEIIRVAGLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDASIQLGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEMMDKVRQRFKGVERLQDHPSETAKNFKIDGHAGTISFITSMTEHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVDLALPAPEAIVPLDS >ONIVA02G12530.1 pep chromosome:AWHD00000000:2:9302986:9303270:1 gene:ONIVA02G12530 transcript:ONIVA02G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPSVVRLQPKAEKTTAAAGGEQQHGGGGGGCGGSSFRMPLHYPRYKKAEYEAMPEWRVDCLLREYGLPVDGDLDAKRRFAMGAFLWPDQY >ONIVA02G12520.1 pep chromosome:AWHD00000000:2:9295650:9296444:1 gene:ONIVA02G12520 transcript:ONIVA02G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGGEDEMIQATTAGQAAQSLHTHITLYAVLSVTSAHLGYLDLLGAGMHTRGGLLLLLLLTCILHACKKQEKESRNQNETSMQASAPSSPPSFADQVAGKNCNGNGAITHRIRLKRWGKRRGGNSS >ONIVA02G12510.1 pep chromosome:AWHD00000000:2:9285313:9286023:-1 gene:ONIVA02G12510 transcript:ONIVA02G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLLLLTSLSCMVTMTTASAGALPAVGHLATARSTWHISFPSFGFARLAEKKDQKAKTGLIDRNRSLHF >ONIVA02G12500.1 pep chromosome:AWHD00000000:2:9267025:9271992:-1 gene:ONIVA02G12500 transcript:ONIVA02G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGQRRQQQIRVVRCPKCEKFLPELPNYSVYVCGGCGATLQAKKNSASENSSEKSDGGHVKYLEVLESSPDNKGAASKDTCEAAQEGEAKNGEAKAEERQVLLDRMAACDDSRIPREPNALKLEASLRDDSREIREAKYRRIRSEDKGEAKHTVRARDRSPRSVVDAIPPNAYPAEGPSDYHIKSRFRHTNGEQADMRNLEGLNRVNGLEKDRADLLRMLDELRDQVQRSCEITNKPSGSTSTDKAVDASGLYNPRERLSRLRHGSPQLQRSGSQQSPSLNGQAPCIPQAYAPGTAQQDLHGYGEPMAHMGAPSYPVGTYPWRNFDNYFYGQYDPDPLISYHHDGFYHQPACSCLHCYHREFLPVQGPPLGFNHRRVPPYVMNNPRVYPVDGPAMFGTQNYNSRVNASMQRNHMRAAMSKKPAQTCEPIACGAPFTICYNCYEVLQLPKKSPVPGKDEYKLRCGSCSHALVVKLDGSRLDVSAPSPISHISGGSKISSNDGQGSNANSAPHERVLPLYSFSAASHGSQDLPSNSSEAEKMQGISSSCSISEDENSPARSNSQRDTPGSRDLHPEAEVSTRVPSLHLRDHFGYSPSEKVVDGSGKGSRSTRSEHEKAVLTESFKQNTVKDVSVVNIMDLSDDEYDDPDYMQDRGDVAQPVDHPRAVKTGDSFFTNLIKKSFKINNGMGNGRAKVFINGYPISDRAVRKAEKIAGPIYPGEYWYDYRAGFWGVMGQSCLGMIPPYIPELNYPMPKKCAAGNTGVFVNGRELHQKDLDLLVGRGLPDSPGRSYRVEMSGKVSDEVSGEELYCLGKLAPTVEKMKRGFGMRVPRIIP >ONIVA02G12490.1 pep chromosome:AWHD00000000:2:9263968:9265670:-1 gene:ONIVA02G12490 transcript:ONIVA02G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSRKGGRGRKALTAVLDNDANISAGKADVAAAAGILSPPQKAKRATSKSSKGKAAAAAAAEEQASVVDAVSELQGMLEKLRLEKEKAEEMVRERDEVIRRKEEEQGRLQAELKKVQRAKEFKPTVSIPLVKALIEKDQEGEKKKGKGKAGHERKKPCPAYVLWCKDQWNEIKKESPDADFKEVSNALGAKWKALGAEEKQPYEERYRQEKEAYLQVVGQEKREAEAMKLLEEEQMQRTAKELLEQYLKFRQEADDDGDGGDNKKASKKGKKKKEKDPSKPKQPMSAYFVYTQQRRAALVAEKKNVPEIGRITGEEWKAMSEAEKAPFEAAARKQREEYQVEMAAYRQRKQEEAACQEKEEEEQKKIMKQEALQLLKKKEKTDNIIKKTKEEQRKKKVGGAAAAADPNRPKKPASSFLLFSKEARRQLAEERPGVASSTLTALVSVKWKELGEAEKQAWNGKAAEAMAAYKRDMEEYTKAAASSGGGGDASPCTSSSASS >ONIVA02G12480.1 pep chromosome:AWHD00000000:2:9256378:9256794:1 gene:ONIVA02G12480 transcript:ONIVA02G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLPPPMAAAAAAVAVADGGRTKKRAGLPRLLHKFFFKVLRLRPAAAAEEPGAAAFEAYYGYRMVDEYYYYSYGGAAGPASWAGVLSSIPEEESSDEGTPAADAATLRKARSDSDQFVAAEAAAVAVVVVNYRGAAS >ONIVA02G12470.1 pep chromosome:AWHD00000000:2:9242913:9251864:-1 gene:ONIVA02G12470 transcript:ONIVA02G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESIPDKQIVVRFPNHVLPASLRENMGKEGATVDNMDPSCPFLVLEKYDRKKSYEWLNLRAAWVAKSMPNDIIIPDPTPEAMRKIRLTGSEPSLKRRGFFRYRRLPVEAQSLSTAADDKSLRLHGGQLRNYSSTSMSNESLVPNNNIGLLGRIQQLIDSHQSRRCSTAAFDVLRKVLRHA >ONIVA02G12460.1 pep chromosome:AWHD00000000:2:9207856:9211291:-1 gene:ONIVA02G12460 transcript:ONIVA02G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGLCELFSDDHRDIRHVADADLFRILETWEECINGGAGGGGGGVSLAGVADQGAAAASTGAGGGARTTTTTTAANGRRREGRDEEKGGGGGGGPPAQKKQKGSSSSPAALAAAVGDGDGAAKMSHITVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLRSLEAKKNRKAYADQVLSPRPSPAAAALMVKPTPPISPRFAAAAAAGVPISPRTPTPGSPYNKHAAAAATARPPHPAAATSSCSVAYSMSPAMTPTSSSSTTTTTTHELSPAPAFLPILDSLVTELAARGGASCRPLVIPSSAAAIAGIVGVPDVRVEFAGPNLVLKTVSHRAPGQALKIIAALESLSLEILHVSICTVDDATVLSFTIKIGIECELSAEELVQEIQQTFL >ONIVA02G12450.1 pep chromosome:AWHD00000000:2:9196283:9199800:1 gene:ONIVA02G12450 transcript:ONIVA02G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid rhodanese-like [Source:Projected from Arabidopsis thaliana (AT4G01050) TAIR;Acc:AT4G01050] MAATTTILSSAAPTPLTAPPRARARAPAARRRRLRARDILGAALGLASGGASAALAAPLSYEETLRLSTDSGGGGGGGGEFALPDLGLGGVLDFVAQNPLVAAAGVAAVALPLVLAQVLGGASKPYGVVSAAAAYRALVEEPGAQLVDIRPPGDARQSGAPDLREAKKKAAAVPYDGEDKNGFLKKLSLRFKDPENTTLVILDKFDGNSELVAELVTANGYKAAFAVKDGAEGRRGWLSSSLPWTAPKKGFSLSDLIGDGTDGLPVTLGLAAATGLGILAYTEIETVLQFLGSAAIVQLVASKLIYAEDRKRTLKQIDDFFNKKVAPKELVDEIKEIGQALLPSTGTKSQPAITEAAPATAEAAPAAATATAAPPAAPVEETSTEAAPAEPTPLSPYTNYPDLKPPSSPSPLAPAEATKNESESESAATESAPAVNSAPVAEAAPEAAPPAAPRPLSPYPNYPDLKPPSSPSPSAP >ONIVA02G12440.1 pep chromosome:AWHD00000000:2:9192772:9194824:-1 gene:ONIVA02G12440 transcript:ONIVA02G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATARECRAYGLGGGGRAARGRWEYINAGAYVFAAVLLAGGFGWHLSAWSATTRSGLAAAALGLLLLLAVNAHDLLAHAAGVDYSLALAAGLDSQFALVEVAVPAVHFAGTVLTLIALIFFEIQMARGYRHSLEKHGLNMLIAGPALWLLGSIQNICQVYERANGHVQILQKCVQTPLLLGSTLFLIGGIINRHDIHSQSTSRSELLGRSWGWFCVSGSLLFVAAGLLNLLKVFKMQQMDGRGLEKLRGGAQERLNREREGKVPLILEEGRRRTPAPVSGQVPPPPAGSYKEAVVSGAPAG >ONIVA02G12430.1 pep chromosome:AWHD00000000:2:9190468:9190924:-1 gene:ONIVA02G12430 transcript:ONIVA02G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSVCVAVLLAVCCAETILVAGATEWHVGDDKGWTFGVAGWENGKAFKVGDVLVFKYSPMMHNVLQVDHAGYDGCKVGAGDKKYASGNDRITLAAGKVFFICGFPGHCAKGMKIAVATK >ONIVA02G12420.1 pep chromosome:AWHD00000000:2:9158013:9164375:-1 gene:ONIVA02G12420 transcript:ONIVA02G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLPSIDGRLRVTARGGEVGPALHRRSTPPQPTAPSASSLRRSITVPTSKANQLRVPRAATDPGLLNPASRRSYLRTRKIQADRIPCQLLLFAAQSRKFLADSTKFLFGIGMASMYDVDFSPHQATLKESMNFKDDAKVQPSSGQTPNKGNYYVGHANAIIMMFQYIRDGCRHFHGRLSKKVEEGRGEKRKVGEAYDPRWSSPIEIIMKICNDDVSDEATEKEL >ONIVA02G12410.1 pep chromosome:AWHD00000000:2:9152139:9155683:1 gene:ONIVA02G12410 transcript:ONIVA02G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSPVVAPTLVPIVTLTPLPISLPPSPLLAMAPTRLAYPSPLVSTQEATEGGGGGDRQIRYALAGSGGVAADSGEGDGDEDGELRRVVGTDSGGDGNGGPDLAAPEADPRPPKGRSGAGQTHSDGVGDNGVNSRRESASRRQQRHGAHGDGGDSDDSEWLAGGNSGWRSQCGCQRRRRRDRSDR >ONIVA02G12400.1 pep chromosome:AWHD00000000:2:9150611:9151288:-1 gene:ONIVA02G12400 transcript:ONIVA02G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSAAAPGLLLALCCAAAAIAVVHGEDWAVGDNKGWSFGVAGWENGKRIQPGDELVFNKYDAKIHNVVEVDRAGYGGCTVTVQGVQLRRRPDQARRRRGLLHLQNPGPLHRGHEGKGRGHGQRVGRT >ONIVA02G12390.1 pep chromosome:AWHD00000000:2:9145293:9146944:1 gene:ONIVA02G12390 transcript:ONIVA02G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAAAAVELPPALFTNASSLEQLMLKSNPGLTGRIPATLSDLRSLRVLSLSQNGFRGEIPPELGRLAALQQLDLSYNNLTGEIPEEIGGMESLSILDLSWNSLAGGLPAALGSLRMLEKADLSHNELAGRVPAEVGSLRELVFLDLSHNELAGPLPASMAGLGKLQYLLLQENPIGTAVPAAVVGSLRRLQVVGMSGCGLTGPIPRGAFAALASLAALSLDRNRLDGPIPASLPALPRLGRLNLSQNRLAGEIALPAEFVARLGRRLDVRGNDELCVGRGRYGGAQASYLGAPPCAAAGGGSGAAVGGTSSSPVESSAGAAATAGRGFRVYGIAGMFGGMIW >ONIVA02G12380.1 pep chromosome:AWHD00000000:2:9144775:9145032:1 gene:ONIVA02G12380 transcript:ONIVA02G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLGDPTWPQLHPRPCTDTPWPGLQCELAPDDARHLRATRLHFGPDVATPPCRPGARLAAPVLLGLPHLKTLSLFGCFVVADC >ONIVA02G12370.1 pep chromosome:AWHD00000000:2:9126426:9127271:-1 gene:ONIVA02G12370 transcript:ONIVA02G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQQQKRKVASVSSALVMSLLPILYASLLRLPPAALARDTTFWFLLSNCIIAIIAAADSAAAAPITTSSSSSHGHDDVDEPALLAAAVVPAAPPPAAGDDQLPAAAPVAVRNDDEINEPPSAPAVTSSATPSSDNPPAFIASDDVATEGERPDQQPQEAATDGETHGEAVKGDDDEDEAASDKTTTTNKSLPSSSSEELAIVTSNNDDDYDDGGDSASFGEEDEGKVVPWGMPAPAPATTTGGGKQYWQLSDEELNRKVEEFITRFNREMRLQILQEAGV >ONIVA02G12350.1 pep chromosome:AWHD00000000:2:9103627:9106885:-1 gene:ONIVA02G12350 transcript:ONIVA02G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHQLDEENQRAALFHSSAPSSSLGADGEEERETVPLLSCKMADDKSDTVQVSEDTAHQISIDPWYQVGFILTTGVNSAYVLGYSASIMVPLGWIGGTCGLILAAAISMYANALLAHLHEVGGKRHIRYRDLAGHIYGRKMYSLTWALQYVNLFMINTGLIILAGQALKAIYVLFRDDGVLKLPYCIALSGFVCALFAFGIPYLSALRIWLGLSTVFSLIYIMIAFVMSLRDGITTPAKDYTIPGSHSDRIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPIWIKTVANLSAFLQTVIALHIFASPMYEFLDTRFGSGHGGPFAIHNIMFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLTVKQNKMSIFRKCWHWLNVVGFSCLSVAAAVAAVRLITVDYSTYHLFADM >ONIVA02G12340.1 pep chromosome:AWHD00000000:2:9099545:9099745:-1 gene:ONIVA02G12340 transcript:ONIVA02G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENINNGNRGVDPKILVERWGDAAWAREGEPGRRDRCGVRKGGRVRERVWSIRLGACKGERVPYEG >ONIVA02G12330.1 pep chromosome:AWHD00000000:2:9087761:9096081:1 gene:ONIVA02G12330 transcript:ONIVA02G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYSPSASRSELATPPSPAIPPLRPPSLRAAHVSPPSPSSHGLCPRRNLISYTSNPHPATPPSRDFPAGYSTAPGGWSRALRIGVGLRSRPALSGGDMKPPASSAAAAGDKGGGVDPSLPRFKCQECQRALVVVGVESFTDKLPAHAVSGMNVSSVQGSVMGASRMDNSYVVLSKQNRSHSHGIPPRPPSAGIPRAEPNQPTRAMEGSYIVLPPAAASIYKTSASEGGGAQLSPTSMNPGSPLPGNNFHSSVTVLKRAFEIATSQTQIEQPLCLDCMRLLSDKMEKEIEDVNTDNKAYEACLQRLEQETYNILSETDFQKERQKVIEEEEKKLKAAIEEAEKQYSEICSEMKCLETKSKQFEELEERYCHDLNSFQFQWTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFFPKFEYRIKIHPMGSYPKVTDINQNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFADFAVSLDKENNVPPDKSLKLPYKIEGDKVGSYTIFLSFNKLDNWTKALKYMLCNLKWVLYWFIGNTSFAPPSGSLHLYFLRFTIFINVNMNIGNARMTYIVKRREYIWLKSAQEKLQMMSQHEL >ONIVA02G12330.2 pep chromosome:AWHD00000000:2:9087761:9096081:1 gene:ONIVA02G12330 transcript:ONIVA02G12330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYSPSASRSELATPPSPAIPPLRPPSLRAAHVSPPSPSSHGLCPRRNLISYTSNPHPATPPSRDFPAGYSTAPGGWSRALRIGVGLRSRPALSGGDMKPPASSAAAAGDKGGGVDPSLPRFKCQECQRALVVVGVESFTDKLPAHAVSGMNVSSVQGSVMGASRMDNSYVVLSKQNRSHSHGIPPRPPSAGIPRAEPNQPTRAMEGSYIVLPPAAASIYKTSASEGGGAQLSPTSMNPGSPLPGNNFHSSVTVLKRAFEIATSQTQIEQPLCLDCMRLLSDKMEKEIEDVNTDNKAYEACLQRLEQETYNILSETDFQKERQKVIEEEEKKLKAAIEEAEKQYSEICSEMKCLETKSKQFEELEERYCHDLNSFQFQWTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFFPKFEYPLYSDIIFATVYLLVILLRNTGSKFTLWEAIQKFGPVNLFWSTRFDKAMTWFLTCLQEFADFAVSLDKENNVPPDKSLKLPYKIEGDKVGSYTIFLSFNKLDNWTKALKYMLCNLKWVLYWFIGNTSFAPPSGSLHLYFLRFTIFINVNMNIGNARMTYIVKRREYIWLKSAQEKLQMMSQHEL >ONIVA02G12320.1 pep chromosome:AWHD00000000:2:9083586:9088237:-1 gene:ONIVA02G12320 transcript:ONIVA02G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILCGGDKDGVDLQLERCLSPQLPHRAHVKREEAGRPAAASAGPLPLPSPQRRAAEEAAAPSSSSPVSPHRRPRHPAARRCPRLPIDNGGEVDGDGGDGGATLPSANKSPSSSSSGALDGDAAEAEARRRLTSSLREALGLYRDILRVTWLFEWPDDRGDYDGIVTEYDVECGVLVWERDEHEGRRVWATARHRPVLFALTLATTAVALPFSPRAPAAVAPPPPSSLPSRLPTSRRRFASPRNRLEREKWKKREVCMRQALSCAPPLSIVHALPQPAPDSHVGTEMGRCY >ONIVA02G12310.1 pep chromosome:AWHD00000000:2:9082664:9083163:1 gene:ONIVA02G12310 transcript:ONIVA02G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGEKGSAARRWARVLQEVARLPEGASRRALTVVVGKWKNAVQRPRRSGGRCGCGLGLVVAHGVGRRLASPPPLPLPPHPAAARLLRRRHLASSASSTSSRRRLPPPLPCPRRSPPRARCLRRRRPPVPAPSSPCA >ONIVA02G12300.1 pep chromosome:AWHD00000000:2:9082035:9082628:1 gene:ONIVA02G12300 transcript:ONIVA02G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTIVEEFKKAAKQGAFPPVYPVGPFVRSSSDKAGESACLEWLDRQPAGSVVFVSFGSGGVLSVEQTRELAAGLEMSGHRFLWVVRMPSHDGESYSYDFGTDHRNDDDPLACLPDGFLERTRGRGLAVASWAPQVRVLSHPAMVAFVSHYGWNSALESVSAGMPMVVPLYVEQKVNAVILTEVVGVALRPATVTGW >ONIVA02G12290.1 pep chromosome:AWHD00000000:2:9079071:9079769:-1 gene:ONIVA02G12290 transcript:ONIVA02G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKKSVVLINCAVSMYGNRVRIALARKGVAYEEKPENLAAKSALLLSSNPVHGQVPVLLVGGKPVCESLVILEFIDEEFAGVGEPLLPAGPYERAQARFWASYIDAKLAPCAGRVWRSPAGAAGAAAVEAARGELVAAMRTLEAELGGRRYFGGGGEALGYVDVALAPFTAWFATYERFGGFNVAAECPELAAWAARCVRENACVAASLPDPEFVYQFACGMRKHFGLDG >ONIVA02G12280.1 pep chromosome:AWHD00000000:2:9063579:9071339:-1 gene:ONIVA02G12280 transcript:ONIVA02G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ornithine-delta-aminotransferase [Source:Projected from Arabidopsis thaliana (AT5G46180) TAIR;Acc:AT5G46180] MGIELNPISSHPIPLRNFCELATRTPYAAAAGFLFISSSSRPPRERAPGWKRRRRRLERNLVAWGRVTMAAALARRGGGGLARALARGRGMCSATAAERAAGAALTSEELMRMERERSAHNYHPIPVVFSKGEGSHILDPEGNKYIDFLSAYSAVNQGHCHPKVLRALKEQAERLTLSSRAFYNDKFPIFAEYLTSMFGYEMMLPMNTGAEGVETAIKLVRKWGYEKKKIPKNEALIVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDTDGLEKIFKDHGERICGFLFEPIQGEAGVIIPPDGYLKAVRDLCSRHNILMIADEIQTGIARTGKMLACDWENIRPDVVILGKALGAGVVPVSAVLADKDIMLCIKPGEHGSTFGGNPLASAVAVASLKVVTDEGLVERAAKLGQEFRDQLQKVQQRFPQIIREVRGRGLLNAVDLSNEALSPASAYDICIKLKERGVLAKPTHDTIIRLAPPLSISPEELAEASKAFSDVLEHDLPQLQKQIKKTESAAEKQSCDRCGRDLY >ONIVA02G12270.1 pep chromosome:AWHD00000000:2:9045177:9060661:-1 gene:ONIVA02G12270 transcript:ONIVA02G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSVIFLGTGCSGALPDARCLIHPSTPPCPVCSHSLSLPPERNPNYRCNTSLLIDYCQHDGIHKYILIDVGKTFREQVLRWFSHHKIPYVDSIILTHEHADAVLGLDDVWVVQPSGCRNGLGKVPIFLTHFTMNSVAARFPYLLKNKLEEGDEGSQVIQLDWTIIEGDIDKPFVSSGLEFVPLPVMHGEDYVCLGFLFGRRSRIAYLSDVSRILPRTEHAISKSGAGQLDLLILETNELHGEGDAGSCHLTLSQTLNAVKRISPKRALLIGMNHEFEHHKENQTLAEWSSREGIPVQLAHDGLLSSSSGVSSAAAGRAMSLPGCPDKCGDVSIPYPFGVGDRCAAVGLNPYFNITCDDAARPPVPKLGDPGMQAEVIDITLERGEVRMNGFISYVCYTSNTSSTNATGQFVVGGTQLRVSPSRNQLTVIGCSTLGLTGGAYSGPGGRSEPDDDVYYATGCYTYCGSLNSTGADGAPCAGTGCCQVPITADLASVGARFPSNWVSSSWRFNPCFYAMIAEVGWYSFRRSHLVGVLGIVNDSNIMRRVPVVLDWAVRDGWCPATAEEKARRKYACVSGNSHCVNSSNGMGYTCSCLQGYEGNPYLEDGCQDECALRRQGGQYEDVYPCKHGICINTPGSYRCKCKTGTKPDGTNFGCQQVLPMAAKVIIGLSACSIFVMALSCLLVIQLQRRRHIIEKQEYFRRNGGLRLYDEMVSRQVDTVRVLTVDELKKATDNFSDARVLGRGGHGTVYRGTLDDLREVAIKRSKAAVDDGGTAARRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDGALDAKVADFGASALRSMGEGEFIEFVQGTLGYLDPESFVSRELTDKSDVYSFGVVLAELITRKKAVYDDGGGGGSGEKRSLSSTFLAASSRGELWRVVDRDIMDGDGDADAAVVRELARVAEECMGARGEERPAMKEVAERLQVLRRVEMQAAAAGVEVVDGFNGGGLVGRHGHLDTTMTTTTTSYYQSMETDKLQLDVDDLPPAGDAASSSLIFLGTGCSTVVPDTRCLIRPSSTPPCPICSQALTPAPPKPQLQSLALKRCNTSLFIDYCDNDGTHRYIFFDARTHKYIIIDVGKTFREQVLRWFVCHKIPWVNSIIPTHEHADAILGLDDVWMIRPSDGRNDFGQVPVFLTKFTMDSKIPLLSEGDEASQVAQLDWRIIEGDIEKPFISSGLEFVPLPVMHGEGYVCLGFPIWESGAGQLDLLILEANSLHGEALDAVKRISPKRALLTGMAHEIKYCKENQNLAEWSRREGIPVQLAHDGLRVFINLQVLKRKMENDFPPIGTIAGGCKCNTNSIKSQR >ONIVA02G12260.1 pep chromosome:AWHD00000000:2:9043508:9045040:-1 gene:ONIVA02G12260 transcript:ONIVA02G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAALPPPPPPPPPPSSVIFLGTGCSGALPDTRCLLRPSAPPCAVCSLGVSLPPEQNPNYSHHDAKQSLNVGELEIDECFLPPRRPPSRATREADTAAAVVRSPSYLCRLAAARAAASKAAQPQGRWRRGFVSPSHRRWGHRRGLGREDGAAGLPGRLARRRRGGRGCSGGEGIGGEVGGRVEAATAGSPACGDAGSGYPSAGSGAPPLGSGLPCAPRVAEASGDGEKERPALVATAWAAPGGVVGEADSAADGGARSPCNGGGFGWRSTGPGSASNGTTQHGTVVAVRGRRRPAWWRRCTAGGGRHSGVGGGGRPACGGRVCEVVARSPDAAAAGDVVQTIAGDS >ONIVA02G12250.1 pep chromosome:AWHD00000000:2:9032466:9039666:-1 gene:ONIVA02G12250 transcript:ONIVA02G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSVIFLGTGCSRTLPNTRCLLRPSAPPCVVCSLGVSLPPEQNPNYRSCMERTLDAVKRISPKRALLIGMRHEFEHYRENQNLAEWSSRAF >ONIVA02G12240.1 pep chromosome:AWHD00000000:2:9028837:9030979:-1 gene:ONIVA02G12240 transcript:ONIVA02G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGCPDKCGDVSIPYPFGIGDRCAAAGLSRFFNLTCDGSRSPPVPMLGDPGAQADVIDFSPERGELRLYAGLSYACYASSATSPSTNATFAFSLVGTPFRVSPSRNRLTVVGCSALGLVFGTAGGGGGDDDDLYATGCFTYCAELNAAGADGAPCAGAGCCQVPISPDIPYLGAAFRTGNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLVGVLAYYNETVDAGRGVPVVIDWAVRDGWCPATAEERARRKYACVSDINECVLREQDPKYEEMYPCRHGICINTPGSYRCKCKDGTKRDGTNFGCQQVLPMDWS >ONIVA02G12230.1 pep chromosome:AWHD00000000:2:9018890:9019906:-1 gene:ONIVA02G12230 transcript:ONIVA02G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVAAALLLVALCRVVHGEADQETAPAYANREAYVEHNGGDAAGTSVAIVPPYLDAAAAARDEPAAAAASPEGPVIPVEDEAADQQGFLRFPCRYHCRYRHHMRHGGHRHGEGFHGKEEKQQLVFEMPVEPATRGEERREEEEGVVLPVAEPDPDSRRQYAAVAAAEEEDEDEMARLHHGRRSHHHHHHHDEHEEDEHEQADEASPAVERLISFHRRRHHHHHHEDDHEQREEGAPMKRFRHHHEEEEESEMRTKRFHHHHHKDDDERELEEMARRWIRKALMSSRMHHHRGCRFHHHHHHHLSFRHRAEDAAAAGEEEEKGGVMSWLKDFVNRF >ONIVA02G12220.1 pep chromosome:AWHD00000000:2:9010081:9014280:1 gene:ONIVA02G12220 transcript:ONIVA02G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHGHGFAVTVLLVVLLVQCDAAEATTCAGAVPARPRPETVSIAEFGGVGDGRTVNTWAFHKAVYRIQHQRRRGGTTLLVPAGTWLTGSFNLTSHMTLFLARGAVLKATQETRSWPLAEPLPSYGRGRELPGARYTSFIHGDGLRDVVITGDKGIIDGQGDVWWNMWRQRTLQHTRPNLLEFMHSSGIHISNIVLKNSPFWNIHPVYCDNVVITNMMIIAPHDSPNTDGVDPDSSTNVCIEDSYISTGDDLVAIKSGWDEYGIAYGRPSSGITIRRVRGSSPFSGIAIGSEASGGVSNVLVEDCSIFNSGYGIHIKTNIGRGGFIRNITVDNVRMNSVRNGLRIAGDVGDHPDEHFSQLALPTVDAVSIKNVWGVNVQQPGSIEGIRNSPFTRICLANVKLFGWRNNAAWKCRDVHGAALGVQPGPCAELTTSLSSGFCSY >ONIVA02G12210.1 pep chromosome:AWHD00000000:2:9001969:9005587:-1 gene:ONIVA02G12210 transcript:ONIVA02G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVCAMLCTVLLLGSKRRRGSWPAVTERSGCHRCSSCRALWELRGREGIREREKVWDLLFSLLLSRAPNSRTSIESVISLTSGDASGSSQRGGERATEGITIACGDDTHRCSTCRHTLVLERGPPLKSGADTMMWVQPSYNVCKHSKKEQKHEENNEQHHW >ONIVA02G12200.1 pep chromosome:AWHD00000000:2:8987146:8997184:-1 gene:ONIVA02G12200 transcript:ONIVA02G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TSS [Source:Projected from Arabidopsis thaliana (AT4G28080) UniProtKB/Swiss-Prot;Acc:F4JKH6] MAPKAGKAKPHKAKGDKKKKEEKVLPTVLDVTVETPDYTQLTLKGISTDRILDVRKLLAVHVDTCHLTNYSLSHEVRGAQLKDTVEVASLKPCHVSIVEEGYTEELAVAHVRRLLDIVACTAAFGPRKSAPEQKPASPSSPDAPPPPPPPASPDAAKTPGSPAGGGGGVGAGGGGGEEPMYPPPKLGQFYEFFSFSHLSPPLHYIRRSTRPFVDDKTEDDFFQIDVRVCSGKPVTIVASKAGFYPAGKRALISHSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPAVADLPSVFPPLPTEDETWGSNGGGQGRDGKHDHRPWAKEFAILAAMPCKTAEERQIRDRKAFLLHSLFVDVAVLKAFAAIQQMVPDKSSLETPNDTTNPDLHTQQIGDMKIRVTKDKADASSKLDVKLDGSQAPGMLSDELAKRNLLKGITADESATVHDTATLGVVVVKHCGYTAVVQVPADAQLTTVSLAQHDIDIEDQPEGGSNALNVNSLRMLLHKPCIQPSGGVQRLQSSPQESEYSTNFVRKIMTDSLQKLECEAPRETRPIRWELGACWVQHLQNQTSEKADTKKNEETKDVPTVKGLGKQFGQLKEIKKKTDEKSGKGASTKENTSTNTNDAQTVNSSSTKEDNEAILQRWLPEAAFQRLKESETGLHAKSPDELIEMAHKYYDDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVELADKLPHIQSLCIHEMVVRAFKHVLRAVISAVHDINDMAEAVASCLNILLGPFPEENNDGKCYEDNNLRQRWLEVFLVKRFGWTWKDEYRADLRKYAILRGICHKVGLELVTKDYDMDMPHPFRRSDIISIVPIYKHVACSSADGRTLLESSKTFLDKGKLEDAVNYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRAGQNPSEVVDDEDQRSPPPKSDHSLIEKESSEVKENGTFIQKEKLKEEIPGNTLSRIPQDDFTEEYTSDEGWQEAVPKGRSTGNRKTGVSARRPNLAKINTNALNNTENGRYKGRAPSNFSSPRVLPSEAVTAKKLVKSSSFNSKPGSPAISSNSAENSSNPNSLSASPATTPAAAKAVLSSAPIASQTVRKALSYKEVAIAAPGTLVKALNDAQTKEKDATDAGANIETAKAPKESNGHLSKEKDGAVQVSPKDSTSQGSKETGEGKSSNPDDEQTVVLAGSNQSETQPEKKRDLVASDVSSSSQSLTTATEANAPNEVASMVTEANDSSSNDDERDAGEDAQEQMSSGGENEKSSPSESEKNDSPGAKETASKLSAAAAPFNPSTVPAFGSMAIPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGYNRSGHRGPRNKSALPSSEGLTEANTFATRVMNPNAAEFVPGQSRSPNGNPASPNGPLASPGGTEASPHGLPSPSDSIVESPATASPQVSEISQTSPEGNDTTSGVDTENGSEKQDTDGNNHVESKDGEGEPEQTEASKGDGDGAITPEDGSAVTENPKSWADYSDGEAEAVEVAS >ONIVA02G12190.1 pep chromosome:AWHD00000000:2:8987368:8989436:1 gene:ONIVA02G12190 transcript:ONIVA02G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDPKAGTVEGLNGAAAAESLLAVSLAPDRTALAAAGVVAGLADRELGLEEFSALFGLLALTPVLRFPVDRPFGTASCHPSSDRESPCHFQY >ONIVA02G12190.2 pep chromosome:AWHD00000000:2:8987368:8989436:1 gene:ONIVA02G12190 transcript:ONIVA02G12190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDPKAGTVEGLNGAAAAESLLAVSLAPDRTALAAAGVVAGLADRELGLEEFSALFGLLALTPVLRFPVDRPFGTASCHPSSDRESPCHFQY >ONIVA02G12190.3 pep chromosome:AWHD00000000:2:8985279:8989436:1 gene:ONIVA02G12190 transcript:ONIVA02G12190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPVFTTASGNPITCDDGAAAQIQEAAIDLDFLSSWLELGENTSFFKLYSAPCRPLPRRSFSSSPPRPTPISFSPRMIPARVILLYCLREKEGHEVKLQCHLVMLERESPCHFQY >ONIVA02G12190.4 pep chromosome:AWHD00000000:2:8985279:8987537:1 gene:ONIVA02G12190 transcript:ONIVA02G12190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPVFTTASGNPITCDDGAAAQIQEAAIDLDFLSSWLELGENTSFFKLYSAPCRPLPRRSFSSSPPRPTPISFSPRMIPARVILLYCLREKEGHEVKLQCHLVMLEVRLEMLGCH >ONIVA02G12180.1 pep chromosome:AWHD00000000:2:8977608:8982403:1 gene:ONIVA02G12180 transcript:ONIVA02G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGGGAAEAAAGRRWRLADERCDLRAAETEYVRRFHRHEPRDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVRFVGGDHRLKNYSSILTVHPEVIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALLKCNLKSLAEVSERLVVKDQTEPLDR >ONIVA02G12170.1 pep chromosome:AWHD00000000:2:8944207:8948965:-1 gene:ONIVA02G12170 transcript:ONIVA02G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAITERRRKEKDGNKRKRGGGVAEKPPPPPEEELYLCPRGGASSPRTPPPRRSPPRPRGWELRGGAGSMEGGGARNGSTTGAARTGGSGGDAAGGGGGGSAGNGKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSRGGKTSKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLVFESSTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREICRHGSVESIDICEIDQLVIDVCKDFFPDLSVGFKDPRVRLHVGDAVEFLRNAPEGTYDAIIVDSSDPIGPAQELVEKPFFDTIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPSGVIGFLLCAKEGPPVNFLTPANPIEKLEGAMEAGRDIRFYNSEMHRAAFVLPTFARRELEAYCTTVEREQQEETTAEPLKMNIMPNSEILTAS >ONIVA02G12170.2 pep chromosome:AWHD00000000:2:8944207:8948965:-1 gene:ONIVA02G12170 transcript:ONIVA02G12170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAITERRRKEKDGNKRKRGGGVAEKPPPPPEEELYLCPRGGASSPRTPPPRRSPPRPRGWELRGGAGSMEGGGARNGSTTGAARTGGSGGDAAGGGGGGSAGNGKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSRGGKTSKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLVFEILVFGFMLVMFLRNAPEGTYDAIIVDSSDPIGPAQELVEKPFFDTIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPSGVIGFLLCAKEGPPVNFLTPANPIEKLEGAMEAGRDIRFYNSEMHRAAFVLPTFARRELEAYCTTVEREQQEETTAEPLKMNIMPNSEILTAS >ONIVA02G12160.1 pep chromosome:AWHD00000000:2:8928384:8940422:-1 gene:ONIVA02G12160 transcript:ONIVA02G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGRGRGRDRGGRARQWRQLGTQSCISLMLAPCQLGNTADLSESSHTQLRTTANRRYVTCRSPSPVRTILTAVVVVVALLLLRLHTTSATSEPPTTNVSWSVILFGARSTKGVDRGGCVPQPPLYIP >ONIVA02G12150.1 pep chromosome:AWHD00000000:2:8926869:8927282:-1 gene:ONIVA02G12150 transcript:ONIVA02G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLQSGGGGAAALGDGKMSMRRRVCGGGGGGEEEDEAAGSSSSSSVMKVKMVLTKAELEWLMAQLKAGDRRLEDVLQEMARKRNRGLLTTAAAAGDACTACAAGAGGGDGADGCWRPSLESIVEGPEMSSFSFDY >ONIVA02G12130.1 pep chromosome:AWHD00000000:2:8911817:8912653:1 gene:ONIVA02G12130 transcript:ONIVA02G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLPLFGDTLPPPTSSLIYQRPPQRRIRLSRGEEDLPNRRMRFSYYFVIS >ONIVA02G12120.1 pep chromosome:AWHD00000000:2:8906747:8911600:-1 gene:ONIVA02G12120 transcript:ONIVA02G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWACCGAELCWTKKKRRDISSRLRLNHPWTYDDWWYALYYALQFLHDERSSSTAEIAGLVDDTFQETDTTELFRTTLCLSNIKPIPYRACEAEKKDSEWINASRKDDSDDEDIDEEQAPDIEDYDMQSHLEQTKEYMDKFFEDSYLSQSISLILDPRFKLVNAERLLKKASLPPDRISEVQAAVVQLFQDYSNQGSARQHTNHNNENSIKLPTNTLKPPRGPSSITTKKLRTIMQTLGLNPMKAELQDIISEVDADGSGIIDFYKFLDLIAH >ONIVA02G12110.1 pep chromosome:AWHD00000000:2:8892555:8893865:1 gene:ONIVA02G12110 transcript:ONIVA02G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAIQRRLEQNDGESSSFTIFRVPAQVRHENRQHYEPRLVSIGPYYRGRDELRAMEQHKWRLLRHFLQRAATVPLSDFMRAVRAVEQRARCCYSERTAIFDDDDDDDGFAEMLLLDGCFILEFFFKLNCREPDALCDVGWGLPLLHSDLLLLENQIPFFVVETLFHAFFRGAVAQDMLVALLLLQLRPNGIVFPKLPSSCPAPAPTGKINHLLHLYHEGFVPKPHAPLATAPSRQEGASRRLPLVIPCVTMLREAGVRFVNKRSPRDMFDITFDSNKGVLELPPVAIDQASLPLLVNLVAFEQSRGHTGGAAAAPLTSYTVLLSSLVRTGDDVDELHRAGIVDNMLSNNDDAASGFFQRLGDCSTMNYDDHLFGALFAGVKRYHDASWHRHKARFLRDHCSNPWSVIALGLAVLAFVFSLFNQLVVIHSLIHHNG >ONIVA02G12100.1 pep chromosome:AWHD00000000:2:8883768:8884841:-1 gene:ONIVA02G12100 transcript:ONIVA02G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVHLASPAINKYDRPSLDFVPPRLKIPAGTIEESVIGGGTIDHRQTTKPIVVTAPQDTWCRMDPKSLQQSEVDGHEGCSQNMLGYLHLASLAINKYDSRSLDFVPPHLKIPAGPIEESMWRDKLQNQLVLWLRRIHGVGWIPNPFNRAKSMGTKGAALKTQYTPTALSPIDTPYLRRMIKINVNIHGPNPPINIPELQGTIMDTTRLQVIMKEVSHLQYILKEAPHLGDIIKEIPHLQGMMEKVPHLQVTMKIATGLLMCHGIECSPPYGDGK >ONIVA02G12090.1 pep chromosome:AWHD00000000:2:8876675:8877165:-1 gene:ONIVA02G12090 transcript:ONIVA02G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSMKNESERLYEGEMVREVPVQRSLDLEHAVLASPAMARGDRPILDFVPPRLDGPALCSEIPPISAHPFPRPSTSAEGSDVGVEVSKATNHCSGPAQSISPAIIDRRSRAAFKL >ONIVA02G12080.1 pep chromosome:AWHD00000000:2:8871481:8874448:1 gene:ONIVA02G12080 transcript:ONIVA02G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRACYDIVNTMTILLSPSSITTKKLGTRMQTLGLNPMKAELQDIISEMDTDSGSIIDFYKFLDLVAH >ONIVA02G12070.1 pep chromosome:AWHD00000000:2:8863580:8876581:-1 gene:ONIVA02G12070 transcript:ONIVA02G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQFVKDEMEQTEPIIPPKDSLETAVEQKLFPGHDDGSSFTIFRVPAHIREENKKLYEPQLVSIGPYYRGRDALRAMEQHKLRLLRHFLQRAATVPLSDFVRAVRAVEQRARCCYSERTSVFDGGGFAEMLLLDGCFILEFFFKWNRGEPDPPCDVGWGLTLLHSDLLLLENQIPFFVLERLFDTFFRGAVTQDNLVKILLIQLKLNGTVVPRQPPRPEVTGQFDHLLHLLHDKFVPKLEELELPAVTNGSPSPSPPRLLLIPCVSLLREAGVTFRKKRSPRDMFDVTFDRKRGVMELPRIEIHLANLPQLMNLIAFEQSRGQRAGTPAPLTSYSALMSSLVRSGQDVSVLQRGGIVDNLLSNDDEAAINFFSRLGDPCTMHYSDNLFAQLFDDVKCYHDSSWHKHRTINQSSAIEGLTRQKSGAPQPEEAPLTYYPLKWVADMWVHQLWGPHVILSNRAKDLWLYCGLEQHLAKQRPKQAQEESSKRDMIEEYDRRRVEADQRRSADLLSLKVASKVTPTTCSTKCPNGGSPSTIERFIYINEGTTPTGVLELGNGEDKVHDPYIITKDFPEVTLTTCSMKCSIPDIEPNLTMVERVTFASTAAASMELVAHKNTTRIAYINTPDYPKVTHAKCSTLGLGVKGGAYHARVMCQTMMGEPEGVLNTLKIKEEKILVLHILTFKQLGILVGLAVLLIGLGTSQILRRGIVKDLWLYYGLEQRLAKQRPKQAQEESSKRDMAAAQRVSNRERIGSAEGFLGGGGDLEPGLINTG >ONIVA02G12060.1 pep chromosome:AWHD00000000:2:8840136:8840459:-1 gene:ONIVA02G12060 transcript:ONIVA02G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYETVTVKRDEPARAALIDSETAEEALVPPWGSVQAIDQRQWDGRLQFGGRIDHNRRLMSKSSPKQCRLANGRISGISHTGSTSVRRPVSWSWSNICSGQIKQRNP >ONIVA02G12050.1 pep chromosome:AWHD00000000:2:8834543:8835332:-1 gene:ONIVA02G12050 transcript:ONIVA02G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNLIYGPCGEYGSHWLFAFMELCLTKKKHRDISSRLKLNHPWTYDRYWYALYYVRVYAEIAGLVGDDTFQETVTSELFRTTLGMIYNAIETVASSSSPTSNLSLIELVKLKINKCTNASRRKDDNDDEDTDEEEIDDSDDEGIDEEQTNDARCSFKKLKEYLNKVSEKTYLSQSIPLILDPRFKLVKVE >ONIVA02G12040.1 pep chromosome:AWHD00000000:2:8832229:8832489:1 gene:ONIVA02G12040 transcript:ONIVA02G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVIVRSFPTHGPWQGSRGADMQTAWVTASVTHVHEDSKRIDDSSAALSSMSESVSTFPVVATHDRGCSGNGPLSPDATPASPTP >ONIVA02G12030.1 pep chromosome:AWHD00000000:2:8829391:8831861:-1 gene:ONIVA02G12030 transcript:ONIVA02G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G54970) TAIR;Acc:AT3G54970] MPPPPPPATVLVTNGTVSPQAPPSAASFLDSTPGAYTTARATAAGGLLWWPRHLLRLADSTRLLARFHPHLLGLAAPPPRKPFEDSLRGIEPLVNRSVRVALDEMPGEDMALTALLRASPAEEESELEVCVHLGAYVPPVFGEAGARLAVAGRGRDAAAAKMRKSMEKMRPPGVTELLLTNDGDHILEGSITNFFVVCRREEEHPLNKPLSVEMTANEFEVQTAPLGDGILPGIMRQIVIEVCHDIGIPFREVSPSWSKHKLWEEAFVTSSLRLIQHVETVQAPILWENIESKTWADVSWEVKQFQREISKRAIQEEYDIKDLLCWIRCCIT >ONIVA02G12020.1 pep chromosome:AWHD00000000:2:8820527:8821651:-1 gene:ONIVA02G12020 transcript:ONIVA02G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGALSPVEEKPAVVKTTKAEQHEEEAAVAVKSAAEMMKKSSPCCPRCNSIKTKFCYYNNYSMAQPRYFCRECRRYWTQGGSLRNVPVGGGCRKSKRSSASSASASAASPPAPAVGAAAPPVVPALSSAISKLLQSEPMAAPCADFPNVLPTFVSTGFELPAAAGDRLSRGSFGAFGNLSAAVAAPGGGGGSSTTTSFMDMLRGVGGLFDGVGNSHQMGGNGGGGGSYYAPLITGAGNGMLMPPPPLPPFSGSLMQHGMQGLFANHAMGGGGGGVMNAGEDGSVMAGLGGGQWPPALGGADEQQGGGDGGEAVMTKDTGGGASSSASRPDYFYGWNSAGGGVIAGGGIGGNAAAATGATPWQGLIDSSSAMM >ONIVA02G12010.1 pep chromosome:AWHD00000000:2:8808336:8810357:1 gene:ONIVA02G12010 transcript:ONIVA02G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNGAPLAVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQEEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIFLGGGGGTRIGLRKTLVFYTGRAPHGKKTDWIMHEYRLDDDNVDVPEEGWVVCRVFKKKSIHQRGFDQPDMAAAADEDELRYQLLHGVGMSSSPVDQKHVLLQEQLVAHGAHGGGFVVPAFDASMHLPQLASADAAPCGGGGGGGHVAFASMNPLDAAGCGSQNMMTMKMAATSGGEMLLMRSGGVDGGRFGAAADWSILDKLLASHQNLDQLFHGKVAGAHQQQQQQQQQMAMDAASSLQRLPFHHYLGLEAADLLKFSM >ONIVA02G12000.1 pep chromosome:AWHD00000000:2:8795973:8799742:-1 gene:ONIVA02G12000 transcript:ONIVA02G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVENRAMGRMTTVDPRVGRVAAAYPVVGREMAADPTGSMFEGGIREKGEDEVNNRPARREEDAAIGDEPYTVSSCDVEDLVCVVSRQRCVASEPPVGDTSTKKGGASIGGRS >ONIVA02G11990.1 pep chromosome:AWHD00000000:2:8791118:8795110:-1 gene:ONIVA02G11990 transcript:ONIVA02G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALSLSPRGRLAFSLANSPTLPIPASAAAAAAAAATEPRRRQAYSPDKTMRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRKEQGSGSLLGRTGLKEPRGFAFVEFVDPYDASEAQYHMNRQVVFGREITVVLAAESRKRPEEMRSRARVREVSFTFAFPLSPLSSYSPAPRRRDDYSASPQRKDTHRAKSPRRQPKEHEVDKKRRSYSPANKDGDQRDADNGYEKRSPPADSDGSPPHRRSPRQSSGSPPGSRSRSADGSPARSD >ONIVA02G11990.2 pep chromosome:AWHD00000000:2:8791118:8795110:-1 gene:ONIVA02G11990 transcript:ONIVA02G11990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALSLSPRGRLAFSLANSPTLPIPASAAAAAAAAATEPRRRQAYSPDKTMRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRKEQGSGSLLFVDPYDASEAQYHMNRQVVFGREITVVLAAESRKRPEEMRSRARVREVSFTFAFPLSPLSSYSPAPRRRDDYSASPQRKDTHRAKSPRRQPKEHEVDKKRRSYSPANKDGDQRDADNGYEKRSPPADSDGSPPHRRSPRQSSGSPPGSRSRSADGSPARSD >ONIVA02G11990.3 pep chromosome:AWHD00000000:2:8791118:8795110:-1 gene:ONIVA02G11990 transcript:ONIVA02G11990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALSLSPRGRLAFSLANSPTLPIPASAAAAAAAAATEPRRRQAYSPDKTMRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRKEQGSGSLLTHTTHEHFAPSYLLVLPSIFLKQLSHKIYIYREPRGFAFVEFVDPYDASEAQYHMNRQVVFGREITVVLAAESRKRPEEMRSRARVREVSFTFAFPLSPLSSYSPAPRRRDDYSASPQRKDTHRAKSPRRQPKEHEVDKKRRSYSPANKDGDQRDADNGYEKRSPPADSDGSPPHRRSPRQSSGSPPGSRSRSADGSPARSD >ONIVA02G11980.1 pep chromosome:AWHD00000000:2:8778569:8778949:-1 gene:ONIVA02G11980 transcript:ONIVA02G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCNGNGGGGGGVKVTFIETQFVTSDAAGFKSLVQRLTGNDAAVPAAPPQRPRPCRADGWRGAGGASATVVKREAVPPPVAPWVDEMMMLYETCDLAEMLRVDVVGASGGGRCHGGGGYGGFSC >ONIVA02G11970.1 pep chromosome:AWHD00000000:2:8776196:8776546:-1 gene:ONIVA02G11970 transcript:ONIVA02G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCNGNGGVKVTFIETQFVTSDAAGFKSLVQRLTGNDAAVATAPPLERPRPCRRGVDGWRGAEATVGVGLPAAPAPWMDEIEMMMLYETCDLADMLCVDVAAGGGGCHGGGGRR >ONIVA02G11960.1 pep chromosome:AWHD00000000:2:8773284:8775705:1 gene:ONIVA02G11960 transcript:ONIVA02G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase-related [Source:Projected from Arabidopsis thaliana (AT4G18593) TAIR;Acc:AT4G18593] METIQALELGVEQKKSEPEVDEMPGSMEDQKPAEISQDKVAETDIKPAVQTELETSPVANPNPAETNQYTDGVTYGDLETTDPGTTYRCKRCRTLVATEGYVVTHKVGRGEKCFATRKKYHVDEKEPGCTCLFVEPLKWMQPVVEGYISGKIACRKCNSRLGQFHWAGMQCSCGAWVNPAFQLVKSKIDQCEM >ONIVA02G11950.1 pep chromosome:AWHD00000000:2:8756051:8776124:-1 gene:ONIVA02G11950 transcript:ONIVA02G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCHTILESQTRCIHFKGSTKRQKTRVRPRDEDAKKMKSEQGNPGFKIRPPKRPQAEGSFVDTAARKRRRRLRRRRRRNRERCAYVSTAVEQQYYTRDMPTKCPREGTTYNIRFHSVVVSTPDSESGNLGSNPASKGQNPNRLMHRAQQHGQS >ONIVA02G11940.1 pep chromosome:AWHD00000000:2:8755050:8772591:1 gene:ONIVA02G11940 transcript:ONIVA02G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMEGLHSSQKCYHLASKHNCHLFGGTLLMWLFRVIRCFNTKSDMYAIFYAGDANGVMEGEIRPQSQSSLCLKAYRLFPSCLHPGKTMINLIR >ONIVA02G11940.2 pep chromosome:AWHD00000000:2:8755050:8772591:1 gene:ONIVA02G11940 transcript:ONIVA02G11940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMEGLHSSQKCYHLASKHNCHLFGGTLLMWLFRVIRCFNTKSDMYAIFYAGDANGVMEGLSVRLWVGEVEIVRRSIDSDTMKTIPFEEYGNTQKRGPTGI >ONIVA02G11940.3 pep chromosome:AWHD00000000:2:8755050:8772558:1 gene:ONIVA02G11940 transcript:ONIVA02G11940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMEGLHSSQKCYHLASKHNCHLFGGTLLMWLFRVIRCFNTKSDMYAIFYAGDANGVMEGLSVRLWVGEVEIVRRSIDSDTMKTIPFEEYGNTQKRGPTGI >ONIVA02G11940.4 pep chromosome:AWHD00000000:2:8755050:8772591:1 gene:ONIVA02G11940 transcript:ONIVA02G11940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMEGLHSSQKCYHLASKHNCHLFGGTLLMWLFRVIRCFNTKSDMYAIFYAGDANGVMEGLSVRLWVGEVEIVRRSIDSDTMKTVMPHVDALH >ONIVA02G11940.5 pep chromosome:AWHD00000000:2:8755050:8772591:1 gene:ONIVA02G11940 transcript:ONIVA02G11940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMEGLHSSQKCYHLASKHNCHLFGGTLLMWLFRVIRCFNTKSDMYAIFYAGDANGVMEGEIRPQIQLSLCPQTCANPQLFTAQIHPNKTMFNLVR >ONIVA02G11940.6 pep chromosome:AWHD00000000:2:8755050:8772591:1 gene:ONIVA02G11940 transcript:ONIVA02G11940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMEGLHSSQKCYHLASKHNCHLFGGTLLMWLFRVIRCFNTKSDMYAIFYAGDANGVMEGEIRPQSQSSLCLKAYRLFPSCLHPGKTMINLIR >ONIVA02G11940.7 pep chromosome:AWHD00000000:2:8755050:8772558:1 gene:ONIVA02G11940 transcript:ONIVA02G11940.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMEGLHSSQKCYHLASKHNCHLFGGTLLMWLFRVIRCFNTKSDMYAIFYAGDANGVMEGLSVRLWVGEVEIVRRSIDSDTMKTVMPHVDALH >ONIVA02G11940.8 pep chromosome:AWHD00000000:2:8755050:8772591:1 gene:ONIVA02G11940 transcript:ONIVA02G11940.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMVTTSVTFCGEIRPQIQLSLCPQTCANPQLFTAQIHPNKTMFNLVR >ONIVA02G11940.9 pep chromosome:AWHD00000000:2:8755050:8767804:1 gene:ONIVA02G11940 transcript:ONIVA02G11940.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMEGLHSSQKCYHLASKHNCHLFGGTLLMWLFRVIRCFNTKSDMYAIFYAGDANGVMEGEIRPQSQSSLCLKAYRLFPSCLHPGKTMINLIRPQIQLSLCPQTCANPQLFTAQIHPNKTMFNLVR >ONIVA02G11930.1 pep chromosome:AWHD00000000:2:8753552:8754967:-1 gene:ONIVA02G11930 transcript:ONIVA02G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQQKQPRKAKQEEGEGHSAEEAAGLEEIGRYRAQAQQNSMDAIRDAEERYAKANREHGGATRAPGATVVSCVERKKEQPPPPPQHGKKHEAAEEGHGGGKAETGGQEGVTGKNRGEGRQQHGEPGAAGAAAREKSAGATHGAKQAGSTAATRAADYAAAKGTEAKDAGAHAAQAATEKAKVAAGTATDYARQAAAKAKDVTLSTGGTAAEKTKDVALSTGGTASEYAKQAAVKGKDVTLSAGETAAEHAKAAAEKARDAAVATGRTTAEYTQQAAVKAKDVTLSTGATVAQKAKEVTADTAHKVAEYAREKAEQGKEAAARAADGAEEPSSGTADKAGDMAGQTKDTTSDTTGGMAHKAGAISAQTKDTVKDAAAAMAQKTSDTIAQAGHGAGEAKNRAAESGKNSSATTTIGSGGGGDGDDTTVVGDVLEAVGATVYGIAKHTKGIVAGEEELVPVAKEEDKGKLE >ONIVA02G11920.1 pep chromosome:AWHD00000000:2:8745461:8752567:-1 gene:ONIVA02G11920 transcript:ONIVA02G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAATWQTATAKRPLAVAAVCGGGGWRRWQRRQRLTCGGCDCGGAGDVGGCGSLVATRNYYPFAVCY >ONIVA02G11910.1 pep chromosome:AWHD00000000:2:8743849:8746966:1 gene:ONIVA02G11910 transcript:ONIVA02G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRGILVVAVVLAVAAILAGAAEGKVNGKAKGKYRALFNFGDSLADAGNLLANGVDFRLATAQLPYGQTFPGHPTGRCSDGRLVVDHLADEFGLPLLPPSKLKNSSFAHGANFAITGATALDTPYFEAKGLGAVVWNSGALLTQIQWFRDLKPFFCNSTKAECDEFYANSLFVVGEFGGNDYNAPLFAGKGLEEAYKFMPDVIQAISDGIEQLIAEGARELIVPGVMPTGCFPVYLNMLDEPADGYGPQSGCVRRYNTFSWVHNAHLKRMLEKLRPKHPNVRIIYGDYYTPVIQFMLQPEKFGFYKQLPRACCGAPGSVAKAAYNFNVTAKCGEAGATACDDPSTHWSWDGIHLTEAAYGHIARVGYKGGIGLSKA >ONIVA02G11900.1 pep chromosome:AWHD00000000:2:8732511:8741063:1 gene:ONIVA02G11900 transcript:ONIVA02G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE4 [Source:Projected from Arabidopsis thaliana (AT1G06230) UniProtKB/Swiss-Prot;Acc:Q9LNC4] MASSPPGAGAGGEERPAASPVAPAVAEAAEEGPVTSRWAPEIRVYRRKYPRKNPKPPPNPSPSSSPLAQTLASIRRSIRRPEDGPAAPRPDPPAAPASSPHPPPPSAPVAPAQQGEPAAPASDDVSAGPNRDGGAVPNGHGDVRAAAEEKARKRRARSELRRQLASELDQVRGLSKRLKAAAEAIAAESAAALALPVVVPPPQLPVGYAHSQFALADPVTPIPGQVAGAIVPVRSVMQRGPLTVSVTHTESFEKEKRTPKANQLYQNSEFLLAKDKFPPSDSHGRKKPKHHKKKHRSLASHGAGYDAEQRLYSHAFKKSMSLLSRLMKHKFGWVFNKPVDAVALGLHDYFAIIKHPMDLGTIKTRLTHGQYRNPREFADDVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIEAEVQYLASCPPLPNKFPPPPIDVRFLDRSDSVKHHMALDSKSRPLSHTPTYSARTPSMKKPKAKDPDKRDMTIDEKRKLSNNLQNLPPEKLDVVVQIIKNKNLSVRQHDDEIEVEIDSMDTETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELHAQHVAPQQPSQEPNIGVKSPKQNLIVDEKLATSVPEQADNNGQNASRSSSSSSSSSDTGSSSSDSDSDSSSSDGSDAANSS >ONIVA02G11890.1 pep chromosome:AWHD00000000:2:8728931:8731744:-1 gene:ONIVA02G11890 transcript:ONIVA02G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCRAGGGISGGGGRIRGRNPMQRKARNEPESSQKKGVYHNVGRREITVEMMQHNLLQKGVEQSTRRESEEAIRGNASCWVHIQAHTKEIWRYEGGH >ONIVA02G11880.1 pep chromosome:AWHD00000000:2:8714221:8714457:-1 gene:ONIVA02G11880 transcript:ONIVA02G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGCGRSCGTGRRRSTSTIWRGERGEAGRKKKANPSSSSYTSPPRQWSNLIDEAEANGVDGEPDGESEGGVGGRSV >ONIVA02G11870.1 pep chromosome:AWHD00000000:2:8711199:8713342:1 gene:ONIVA02G11870 transcript:ONIVA02G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPSSPKAVAKNVSKLSLASCAAGTQCNPVDSKMMKCIMR >ONIVA02G11860.1 pep chromosome:AWHD00000000:2:8706995:8710121:-1 gene:ONIVA02G11860 transcript:ONIVA02G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQEYMKHIPVPAHPMSKKKKPGGVDFNALSRHGYRGGPSVLTVPPPKVEPNWSWSTGKDRNDKEDQTESYEERERTRAAVTEGEKLIGVRNPQPRQMEKENKDASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >ONIVA02G11860.2 pep chromosome:AWHD00000000:2:8706995:8710121:-1 gene:ONIVA02G11860 transcript:ONIVA02G11860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKKPGGVDFNALSRHGYRGGPSVLTVPPPKVEPNWSWSTGKDRNDKEDQTESYEERERTRAAVTEGEKLIGVRNPQPRQMEKENKDASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >ONIVA02G11850.1 pep chromosome:AWHD00000000:2:8700508:8702783:1 gene:ONIVA02G11850 transcript:ONIVA02G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLSSTSAALGGGRRRESGGAVGEKLAVSPGGCRLAVSLRCREVITPDGDAHASALPLPLTPPLDPRCVQLRQAPGSPGAWRRQSFVTTADSLSVTNIVSSTRFLITVFLGSVVHSSNAKDVEEKLSMSRLNLSTTNLYFL >ONIVA02G11850.2 pep chromosome:AWHD00000000:2:8700508:8702783:1 gene:ONIVA02G11850 transcript:ONIVA02G11850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLSSTSAALGGGRRRESGGAVGEKLAVSPGGCRLAVSLRCREVITPDGDAHASALPLPLTPPLDPRCVQLRQAPARFLITVFLGSVVHSSNAKDVEEKLSMSRLNLSTTNLYFL >ONIVA02G11850.3 pep chromosome:AWHD00000000:2:8700508:8703798:1 gene:ONIVA02G11850 transcript:ONIVA02G11850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLSSTSAALGGGRRRESGGAVGEKLAVSPGGCRLAVSLRCREVITPDGDAHASALPLPLTPPLDPRCVQLRQAPGSPGAWRRQSFVTTADRKLLPDQEEFKDGGDEDFDTGTEEFII >ONIVA02G11840.1 pep chromosome:AWHD00000000:2:8695601:8696053:1 gene:ONIVA02G11840 transcript:ONIVA02G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRTSSPCRSRPLLRNIVDFRSPSSKLLSSTHIVLLLEENAGRSATTRCPQGVISSGCVPNHLAGVAVDTAEPPKSIDGEALPANASLSPSSSAARRRRPLPGRQGASCRYPEVVNISSTSRDGYRRTPVASTCHDAPLVGRDVPLILY >ONIVA02G11830.1 pep chromosome:AWHD00000000:2:8684709:8685688:-1 gene:ONIVA02G11830 transcript:ONIVA02G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPPLPTHARATASVLTTKFEHSAVDPAGVTRVCAYSLAAAGSSGDHRKLMQVELLWPVAAAEEEGAARLEEADDIGDVAVLVDASGAGSAFTRECPGLRLSTMYFAIDPTGETRVCAYSLAAVGSSMPLASPAIASGRASPLFPSPAGAVGPGPCHPPLPPSRAVTLAASHPISRRRRRARSAPLAATPASPRLSRRRDLASARCCAAGARKPSHPYGPQIQGQTCLYLVFLSPNL >ONIVA02G11820.1 pep chromosome:AWHD00000000:2:8677217:8677402:1 gene:ONIVA02G11820 transcript:ONIVA02G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQLLLLTSGDMFCNTDASFGRRTCLNLISGAIILPKTWLKNLSMLAYVSAVGLVRRWR >ONIVA02G11810.1 pep chromosome:AWHD00000000:2:8664916:8666746:1 gene:ONIVA02G11810 transcript:ONIVA02G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNRIHIHVTAVVLYVLWCRGGGSIWAGFRPAVVCGNRSPIHNSNHEARSLGEVGVEEIIVAAHPAPAHPGIFQANTLIILGLTKTGHSALKI >ONIVA02G11800.1 pep chromosome:AWHD00000000:2:8663813:8664442:-1 gene:ONIVA02G11800 transcript:ONIVA02G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLRPPEHQVAGNCMSTDKLGPLVPRAGERGEHEAAFFPGGGGEGGGGGEAGRGRGRQRRQEGGGTAASRVGSGAAVACGGAARRSSVAGGRHAEEWRRSQIRCLYALYSVAADDRRQVGEGWRRTTGGGRRSRGGGRWRRAGSGVGRAAGTAARAAKSSKSPPLCSVATGASSLFLFLHPLCSIRMGAGEPDGSGRLGMA >ONIVA02G11790.1 pep chromosome:AWHD00000000:2:8661393:8661692:1 gene:ONIVA02G11790 transcript:ONIVA02G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKLYLLAISFLILEGDILDKLLPSTWWRSYGTRCVREAVVRARCRCRHPPDDVAQLPQHARLYLGGQAHLVGGVTTSLVWVGMSDKGFDIEGNRLLT >ONIVA02G11780.1 pep chromosome:AWHD00000000:2:8660619:8661005:1 gene:ONIVA02G11780 transcript:ONIVA02G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVGGSAMGAVAVGANDGSPPPAHIWRRRQEWSTARRREQRWWERTAAHLLPCISGDGGGSGRQLISSCLDPVAAGLLPPSSDSRTGRRRKQRRWEGLFPRWRLASSCLDPATQWEKLLLLYKIS >ONIVA02G11770.1 pep chromosome:AWHD00000000:2:8648241:8648945:-1 gene:ONIVA02G11770 transcript:ONIVA02G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCFPYTWYPQDLHHRARKELLDVVTLEDEEADGDKSYSFYTAPSQFTIRHWVADVRIASDAVVSAHAAIDEFAGVEADSADHGEEC >ONIVA02G11760.1 pep chromosome:AWHD00000000:2:8645231:8646372:-1 gene:ONIVA02G11760 transcript:ONIVA02G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMAGEAEEVEDEGDGQPGSCSTGCCPPCGSTYWPCRPRLAAPSVPPKRRV >ONIVA02G11750.1 pep chromosome:AWHD00000000:2:8639722:8642421:-1 gene:ONIVA02G11750 transcript:ONIVA02G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDFFTLTEMKDGISTVARIGELVSEIKKLKSAAELNTADLIRQCATAANTLASTKNEECLQHFVQLNGVGFLHQWLQDAQNCGEDISNAAEDLIVAVLSALECLPVENAQITCGVLHTVEHLLSHSNTDINKKAGVLCHKWRSVPKCTSDVHDMVAKERNPDQLKFPEPKPESGGANEAAIAGDKSKSEVMVCSSVPLPNHSQTNDNCDIVKQSLVMIPPNSDGNAIIGDGNPSVPSLACHNGLENVPVTEESSANNDAKSGAAQVSLPDGTAEAKSSGTNNPENSFVSNKMDVQDQNVSISVDIKKGESFLEDMPHSEKNTVGGWDLAPLDMQDSSDDESTRKEEGPTSSSDTDVKGAVNELRLKRCMTSFGDSSKAADKKSKAEKGDTSTPLAEYDDTDALEVARLVAIEVEREVIDYRGPFCGSPDINSRRSDSPDLEARRQPEPPMDEPDNDNKSSTTGEDSGSSSSMKEDGSGITDDSGTFSRKHTRSMKLGGIDLNENQCTEEVDCHTKSTLSNSINLSTPIAVAASRTSSVFPARLHFEGELGWKGSAATSAFRPASPRRTPDGEKSVSASSQRTGNALFDLNVSESDNATAGEPLSAAILPLSSDIVRKDASATVGLNSLELDLNCPCDDEEAAITTSNVPSFWNRQQCNGDWSHPSSSSSSRQPAVRNFDLNDNTPIVDGFLRGADESSVKTSGRDVSDHSAVTILGKRIVLGQKEHSHQNEHNFLGPSVESRDPARSMQSYGHTPPDYSVVSYSSHSALSFPSPFYAPGTVPYMVDAKGTPVIPPLPGFGVPTVPSLGVGTSHPSLSSRAIPPSSELSYFHPSMDLNYGRSYEGARREGASYWPVSFQGQTMFVDERMGNMSQGGSSGVPVLKRKEPDSGWDLYPRR >ONIVA02G11740.1 pep chromosome:AWHD00000000:2:8578642:8581756:-1 gene:ONIVA02G11740 transcript:ONIVA02G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGLGLFKSVGLGSTMKSSSVGPLFRRELLTTHSGSRRPRWTCCQCSRTRLVAQGDSGGGDLEAGNGEPCSMCLEELHTGEMVREMPSCKHLFHVVCIDMWLHSHRTCPMCRCDLSPPRDVAVEETTAAETSPPADDALPPV >ONIVA02G11730.1 pep chromosome:AWHD00000000:2:8573606:8573872:1 gene:ONIVA02G11730 transcript:ONIVA02G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSYDGSCLARWQGGGNDRGGSTWRGGNGEETSAAARCGAEAVAVATMLGGGDEAMTVAAQRQEEVTALAVRPGDWKETTTMTWCG >ONIVA02G11720.1 pep chromosome:AWHD00000000:2:8557718:8571763:-1 gene:ONIVA02G11720 transcript:ONIVA02G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVAAARRSAARVGGGSGGGDDDYECRACYGVSVACVSLLLFCVLAASVSVTKACAVAGLAVLLFGVIGWFVPLCGAGGPPARAGAAAGGGGRGAGAAGVTRCACRLVGAALIATLPAFVFEGPAEGGAGGGGGSKHGGSVLCAVCLEDVARGETVRRLPACGHLFHRDCVDMWLHSHTTCPLCRCEVLPRKPAAKPAPPPPAQAAESTSAYADPDALPPMFNGERRPAASQRGGDGGYLACYSVVMVCASLLLLSVLAATVSIAKACVFAAAAAVLFCAVGCASRWCGDAGGAPAALPTTAAAAEEEARARAPAAAACATCGLVGAAIDALPAFAYARPAADDGGGGGSKSGRCALCSVCLEDVQAGEMVRQLPACRHLFHVGCIDMWLHSHSTCPLCRCNVSPPATIVVKATAMSTATAAAAAQQLPADTLPPCCFRVYGLAIANAVSIGGTSLLVYRLVRLARTPGSKGGVVALAISLVFWVSITAVVYSVFCGMLFPWSALRRCLAPLPRAARWLLCLPCRCARRRRRRPATSTSSSASALPPHMYVLEREPPVRWGARVATADDIPAYEQPAASEGGAAAAAAECAVCLGEVEKGEMVKRLPVCLHMFHRRCIDPWLRDHSTCPVCRCDAFAAPPLPAQMVSRTGGDAGSLVVLSLFLALWVAVGSCVYASFCGAFFPWASLRRPLAPVRDALSRCARALLPRRNGGGGDGLPSHLGDGVQPRETPTVRGGGGARVATADDIPAYEQPPAGKGEGGAAAAPECAVCLGEVEKGEMAKRLPACLHVFHQRCIDAWLRGNSTCPVCRRNAFATAAPPLPAQMVYLSAVGGAHER >ONIVA02G11710.1 pep chromosome:AWHD00000000:2:8556893:8557703:-1 gene:ONIVA02G11710 transcript:ONIVA02G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPPADSCDFAVHLVRTSRSAHAIFFAAVVASSSSTPSPSSPHPRPLLQLLRRSQAPAVAAHAPACCCRHRRARAQATGCDGAGAAKRCGAHSPLVAASRVTRTATATATLSARCASARWSRGRRCGGCRRASTLLYCRKFLQNFWGPNLADPISSTSPPKSNGTVM >ONIVA02G11700.1 pep chromosome:AWHD00000000:2:8555950:8556573:-1 gene:ONIVA02G11700 transcript:ONIVA02G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLLLAVVPLAVIAGVLVYVAGVPWAISIVVLVVVFVVVHWARRGRSPAAGGGGGMVRDDQEPTVARPAAPSAVVVVVAPPLPPPLPIHRVPAAAVQPSAPPVVADDVALLAYAYEKKKKKRRGSDGDSGGGDDGDGGGEECSVCLGEMRQGEAAKRLPVCLHVFHEECIDMWLGSHATCPICRSPVDAGAVAARVQVQVQVLSC >ONIVA02G11690.1 pep chromosome:AWHD00000000:2:8551623:8557183:1 gene:ONIVA02G11690 transcript:ONIVA02G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPTRCLPVLLLLLVVAPLLAHGRRPFISDGGNANANANASVLRLPSAAAAAGEDIGCEMSYGFLPCTTTAWGNLFLVLAYGFLMFKSATYLSSGSEMLLQILGPGIVGGLFLPILGALPDALLILVSGLSGTKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLSENSTAIDSRDTKGFSLLGSGVSTDKQTSYAARIMAISILPFIIVQIPKIFKLHSGHQITVLIGLIVAALLLLSYCLYQVFQPWIQRRRLEYTRLKHVMSGLLRHAQKHSIGRLLDDEGRPNVSVIEKLFHRIDQDNDGKLERGELQAFIVGINFEDIDWNSNLAADQVMADFDTSRNHFIEKGEFVNGMLRWLDEAKRTVTSGAYSKKFLNDFHARTRDEQTGLLDKDEEEGEADGNPTWTCIKAILLLLLGTAMAAASADPLVDAVHNFSNATHIPSFFISFIVMPLATNSSEAVSAIIFASRKKKRTLSLTFSEVYGGVTMNNTLCLAVFLALVYVRGLTWDFSSEVLIILLVCIIMGLFTSFRTDFPLWTCFVAFLLYPLSLIMVYILDYKFGWS >ONIVA02G11680.1 pep chromosome:AWHD00000000:2:8548111:8549232:1 gene:ONIVA02G11680 transcript:ONIVA02G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSDPTLATEIAEVTADKGRSPAATVGPARRRSPSSLAATQPWSPASRPYPMSSIEKCNNGVKLLEPELSIAATNCKRHGKRERVEDCNCSIHPPSSLSFILSSWPKKAPGRACEDDSDCAIVAERRKEAKRDHGCTVRCEKSRESRPPPPWERGKR >ONIVA02G11670.1 pep chromosome:AWHD00000000:2:8522370:8540147:-1 gene:ONIVA02G11670 transcript:ONIVA02G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:A0A0E0G4A2] MALHAPVLVLKDSLKRESGTKVHHANIQAAKAVADIIRTTLGPQSMLKMLLDAAGGIVVTNDGNCILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALDDALSVLDKIAIPVDVDDRVAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGIREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMRRKIVNPRIILLDCPLEYKKGENQTNAELMKEEDWQVMLQMEEEYIENLCAQILKFKPDLVITEKGLSDLAVHYLGKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKFGDEFFAFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSMARNIWKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLLQNCGLNVIRTMTQLQGKHANGENAWVGIDGSSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASSAPKQPQIEQEERVELMDHLESGDAALKLRDVLQLSHP >ONIVA02G11660.1 pep chromosome:AWHD00000000:2:8521481:8524136:1 gene:ONIVA02G11660 transcript:ONIVA02G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRAPSAAAAAAAAAGQEWSAMAAAGEFLGFAAARRGAHRRSASDSAAFLMEAAVPMDDVIVGVGGGGEFDRLDDEQLMSMFSDVEAPAVSDGGGGGGGGERGPAGEAHLMDMGDGDDGMGATSPAGAGAMAAAAAAAADGIADPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQMEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQVYHQQQIKATGGADIATAASMQAKHELLACEGAAMR >ONIVA02G11650.1 pep chromosome:AWHD00000000:2:8496966:8514177:1 gene:ONIVA02G11650 transcript:ONIVA02G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan synthase-like 4 [Source:Projected from Arabidopsis thaliana (AT3G14570) TAIR;Acc:AT3G14570] MEVEIVEVGPSSRPLRYVPAQQRWRPTPTPLPPPPPPLPPPPAASAASSGAGDAAAVAAAAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGREKQKRIASETFADKSALFRYNILPLYPGSTKQPIMLLPEIKVAVCAVFNVRSLPFANTKDHKNQMDIFLWMQSWFGFQKGNIANQREHLILLLANMHARLNPKSSSETMMSYELYGVLSGAVSLITGEKVRPAYGGDDESFLKKVVTPIYKEIYEESLKNKNGVSDHSTWRNYDDLNEFFWSADCFKLGWPMRLNNDFFFTSNKNKNSRLPIVPPQTEQPNTQLRTPNGSSSFQNMLNPEAPEQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNAVLRVIQVILDITFSWRTKRTMRFSQKLRFAVKLSIAVAWAIILPIFYASSQNYLSCSARRPKTFLGIFCLSKYMVVVALYLTSNVIGMALFFVPAFFRDIYLENLQYVSLVVSVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFCTLPEAFNTSLVPHSMMTKKKGILPSFLEKKIFKNFGKAERHDPTKFALFWNQIINSFRSEDLISNREMDLMTMPMSLEHRSGPIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKRVIAAIYTEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKDKVVEVPSNLEAQRRISFFATSLFMDMPSAPKVSNEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTELYKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSGNPHAQDIIDLMTRYPALRVAYIEEKEIIVDNRPHKEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQSASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELKIVCEHCGTCDDHILNVIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFIYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKLVNCASRRLSSKHQLIFRLIKLLIFLSVMTSLILLSCLCQLSIMDLIICCLAFIPTGWGLLLVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >ONIVA02G11650.2 pep chromosome:AWHD00000000:2:8496966:8514177:1 gene:ONIVA02G11650 transcript:ONIVA02G11650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan synthase-like 4 [Source:Projected from Arabidopsis thaliana (AT3G14570) TAIR;Acc:AT3G14570] MEVEIVEVGPSSRPLRYVPAQQRWRPTPTPLPPPPPPLPPPPAASAASSGAGDAAAVAAAAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGREKQKRIASETFADKSALFRYNILPLYPGSTKQPIMLLPEIKVAVCAVFNVRSLPFANTKDHKNQMDIFLWMQSWFGFQKGNIANQREHLILLLANMHARLNPKSSSETMMSYELYGVLSGAVSLITGEKVRPAYGGDDESFLKKVVTPIYKEIYEESLKNKNGVSDHSTWRNYDDLNESADCFKLGWPMRLNNDFFFTSNKNKNSRLPIVPPVQQTEQQIAQLRTSQQTDQQNTQLRTSQQTEQQNTQLRTSQQTEQPNTQLRTPNGSSSFQNMLNPEAPEQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNAVLRVIQVILDITFSWRTKRTMRFSQKLRFAVKLSIAVAWAIILPIFYASSQNYLSCSARRPKTFLGIFCLSKYMVVVALYLTSNVIGMALFFVPAFFRDIYLENLQYVSLVVSVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFCTLPEAFNTSLVPHSMMTKKKGILPSFLEKKIFKNFGKAERHDPTKFALFWNQIINSFRSEDLISNREMDLMTMPMSLEHRSGPIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKRVIAAIYTEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKDKVVEVPSNLEAQRRISFFATSLFMDMPSAPKVSNEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTELYKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSGNPHAQDIIDLMTRYPALRVAYIEEKEIIVDNRPHKEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQSASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELKIVCEHCGTCDDHILNVIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFIYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKLVNCASRRLSSKHQLIFRLIKLLIFLSVMTSLILLSCLCQLSIMDLIICCLAFIPTGWGLLLVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >ONIVA02G11650.3 pep chromosome:AWHD00000000:2:8496966:8514177:1 gene:ONIVA02G11650 transcript:ONIVA02G11650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan synthase-like 4 [Source:Projected from Arabidopsis thaliana (AT3G14570) TAIR;Acc:AT3G14570] MEVEIVEVGPSSRPLRYVPAQQRWRPTPTPLPPPPPPLPPPPAASAASSGAGDAAAVAAAAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGREKQKRIASETFADKSALFRYNILPLYPGSTKQPIMLLPEIKVAVCAVFNVRSLPFANTKDHKNQMDIFLWMQSWFGFQKGNIANQREHLILLLANMHARLNPKSSSETMESLKNKNGVSDHSTWRNYDDLNESADCFKLGWPMRLNNDFFFTSNKNKNSRLPIVPPVQQTEQQIAQLRTSQQTDQQNTQLRTSQQTEQQNTQLRTSQQTEQPNTQLRTPNGSSSFQNMLNPEAPEQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNAVLRVIQVILDITFSWRTKRTMRFSQKLRFAVKLSIAVAWAIILPIFYASSQNYLSCSARRPKTFLGIFCLSKYMVVVALYLTSNVIGMALFFVPAFFRDIYLENLQYVSLVVSVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFCTLPEAFNTSLVPHSMMTKKKGILPSFLEKKIFKNFGKAERHDPTKFALFWNQIINSFRSEDLISNREMDLMTMPMSLEHRSGPIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKRVIAAIYTEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKDKVVEVPSNLEAQRRISFFATSLFMDMPSAPKVSSMLSFSIITPYFNEEVKFSEDELHSDQDEASILSYMQKIYPDEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTELYKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSGNPHAQDIIDLMTRYPALRVAYIEEKEIIVDNRPHKEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQSASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELKIVCEHCGTCDDHILNVIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFIYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKLVNCASRRLSSKHQLIFRLIKLLIFLSVMTSLILLSCLCQLSIMDLIICCLAFIPTGWGLLLVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >ONIVA02G11650.4 pep chromosome:AWHD00000000:2:8496966:8514177:1 gene:ONIVA02G11650 transcript:ONIVA02G11650.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan synthase-like 4 [Source:Projected from Arabidopsis thaliana (AT3G14570) TAIR;Acc:AT3G14570] MEVEIVEVGPSSRPLRYVPAQQRWRPTPTPLPPPPPPLPPPPAASAASSGAGDAAAVAAAAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGREKQKRIASETFADKSALFRYNILPLYPGSTKQPIMLLPEIKVAVCAVFNVRSLPFANTKDHKNQMDIFLWMQSWFGFQKGNIANQREHLILLLANMHARLNPKSSSETMESLKNKNGVSDHSTWRNYDDLNESADCFKLGWPMRLNNDFFFTSNKNKNSRLPIVPPVQQTEQQIAQLRTSQQTDQQNTQLRTSQQTEQQNTQLRTSQQTEQPNTQLRTPNGSSSFQNMLNPEAPEQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNAVLRVIQVILDITFSWRTKRTMRFSQKLRFAVKLSIAVAWAIILPIFYASSQNYLSCSARRPKTFLGIFCLSKYMVVVALYLTSNVIGMALFFVPAFFRDIYLENLQYVSLVVSVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFCTLPEAFNTSLVPHSMMTKKKGILPSFLEKKIFKNFGKAERHDPTKFALFWNQIINSFRSEDLISNREMDLMTMPMSLEHRSGPIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKRVIAAIYTEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKDKVVEVPSNLEAQRRISFFATSLFMDMPSAPKVSNEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTELYKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSGNPHAQDIIDLMTRYPALRVAYIEEKEIIVDNRPHKEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQSASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELKIVCEHCGTCDDHILNVIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFIYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKLVNCASRRLSSKHQLIFRLIKLLIFLSVMTSLILLSCLCQLSIMDLIICCLAFIPTGWGLLLVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >ONIVA02G11650.5 pep chromosome:AWHD00000000:2:8496966:8514177:1 gene:ONIVA02G11650 transcript:ONIVA02G11650.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan synthase-like 4 [Source:Projected from Arabidopsis thaliana (AT3G14570) TAIR;Acc:AT3G14570] MEVEIVEVGPSSRPLRYVPAQQRWRPTPTPLPPPPPPLPPPPAASAASSGAGDAAAVAAAAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGREKQKRIASETFADKSALFRYNILPLYPGSTKQPIMLLPEIKVAVCAVFNVRSLPFANTKDHKNQMDIFLWMQSWFGFQKGNIANQREHLILLLANMHARLNPKSSSETMESLKNKNGVSDHSTWRNYDDLNESADCFKLGWPMRLNNDFFFTSNKNKNSRLPIVPPVQQTEQQIAQLRTSQQTDQQNTQLRTSQQTEQQNTQLRTSQQTEQPNTQLRTPNGSSSFQNMLNPEAPEQTQQQTTSDTSQQKWLGKTNFVEVRSFWHIFRSFDRMWTLLVLGLQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNAVLRVIQVILDITFSWRTKRTMRFSQKLRFAVKLSIAVAWAIILPIFYASSQNYLSCSARRPKTFLGIFCLSKYMVVVALYLTSNVIGMALFFVPAFFRDIYLENLQYVSLVVSVKSNAGAILAVWAPIILVYFMDTQIWYSVFCTIFGGMCGIIHHLGEIRTMGMVRSRFCTLPEAFNTSLVPHSMMTKKKGILPSFLEKKIFKNFGKAERHDPTKFALFWNQIINSFRSEDLISNREMDLMTMPMSLEHRSGPIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKRVIAAIYTEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKDKVVEVPSNLEAQRRISFFATSLFMDMPSAPKVSNEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTELYKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSGNPHAQDIIDLMTRYPALRVAYIEEKEIIVDNRPHKEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALKIVCEHCGTCDDHILNVIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFIYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKLVNCASRRLSSKHQLIFRLIKLLIFLSVMTSLILLSCLCQLSIMDLIICCLAFIPTGWGLLLVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >ONIVA02G11640.1 pep chromosome:AWHD00000000:2:8489440:8490018:1 gene:ONIVA02G11640 transcript:ONIVA02G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMMRSQGGCGVAGEYQYYYHGGTGVGGLVDQEMAVAAPPSSDDGVVLLMELLDGEEEMGDDYSPSPAATTDGDGDADQLSRVIRSLEAEIGGGGATGAVAAATATRDSDESMAAAGPASDDDGAAAAGIRRLEDMFSDDLDGYGGGAFGYGWPPELALPAAASWCVYDDEHLYYGDGSIDDQVYSPLWEQ >ONIVA02G11630.1 pep chromosome:AWHD00000000:2:8488450:8497199:-1 gene:ONIVA02G11630 transcript:ONIVA02G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEASFRAQRLRHGGFYAGEGGERERRSWLLGLHRVELPSAPSAYEKLNTNRSPVVLFWPKVLGGNKRGACHRKMATLDGSVRGKGDLFFSLALSLSNPTT >ONIVA02G11620.1 pep chromosome:AWHD00000000:2:8487283:8487673:-1 gene:ONIVA02G11620 transcript:ONIVA02G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLAGSGRYCTHSCRAPGSTTAGLGSDGSMMGIQRGVVDSTAAPPRVAASAPAIAGDGVVDRSTAACSRGSELAIKAIESTIQQPRAQEEVDRTIVIVGAGRWTMATGQAGFGGSSDHNGLLP >ONIVA02G11610.1 pep chromosome:AWHD00000000:2:8474790:8480037:1 gene:ONIVA02G11610 transcript:ONIVA02G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEICRSSTTVVASKQSSPSPSSSAGASQGETLPRGSEMIPPAVTVAESSRWKEQQHQQQARRLSRSL >ONIVA02G11600.1 pep chromosome:AWHD00000000:2:8468735:8470706:-1 gene:ONIVA02G11600 transcript:ONIVA02G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPSFPGMLRVLALTSLFLQLFLLVSATFRKYRVPALLRSCIWLAYLGGDALAIYALATVFNRHRQTASTDHGSVLEVMWVPVFLVHLGGQDSITAYNIEDNELWARHAVAMSSEAAVAVYVFWRSWSGGQVPESSPALLLFAAGFLKLGERLWALRRASFTRLAAVRSSAAGRRTPAREEEEDAGDDYIPLESYVHLASGYVQSQATGDHVPRPKDNYVFHVHPLIEPELQDVLMELFVDFPAPYPRRLAYLRSFLALEDDDAYEELCALLNQAFQFFYTKKEAAYTVVGIYLRTLHHAPGHRGQCKLQRQSQGRICALLWLADWRFVTSRILPEMQRTVAQFNLIGFATRRRWPTMVVMRIAALFRCKKYVNQHWYLGHLSSTPIIIEFIGKDLKSRWVDDLTNAAAYRRGQWTLRRERCYQELGWSVTELPFDEAVLVWHIATDIYLDCNNGIENPPATADERAAVKCSREISNYMMYLLLFQPDMLMPGTRQSLFAVACREIKHALRDQRQRLDERGVARWISENPNAAQPGDHLAAARKLAEAMMQMNDAGRMLKVISGVWVEMICYSASRCRGFLHAKSLGAGGEFLTVVWLLLHRMGMEVLADKLQKPEIPRHVQILP >ONIVA02G11590.1 pep chromosome:AWHD00000000:2:8463176:8463883:1 gene:ONIVA02G11590 transcript:ONIVA02G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prefoldin 6 [Source:Projected from Arabidopsis thaliana (AT1G29990) TAIR;Acc:AT1G29990] MAAAAASSSTPAAVREMQRDLESQANALSKIQKGTLETPPSPPLPSHISKNHQVRKQYTIQELELVNDEANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEEKQNSKKESVS >ONIVA02G11580.1 pep chromosome:AWHD00000000:2:8457503:8457914:1 gene:ONIVA02G11580 transcript:ONIVA02G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPSCLHLLRVVPAQVGDSDRAAHLAVLLSRKASDGIDNDQIMSCTGCQRQRPDRPKLLPPRSLTDEVTATCLDLLLLLLLRDALASAVRRLWENEVELDATRCRAAELEERLH >ONIVA02G11570.1 pep chromosome:AWHD00000000:2:8456997:8457476:1 gene:ONIVA02G11570 transcript:ONIVA02G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRDQDDHGGAKEEEEAIHGMAATLGKPSSYVPRCWVPARAHVRHFGTVRLADAPASDTSDGNDNDDDQIDPSSFRLALSLTRSPPLVSTSFALSLPKSVTLIAPRASPSSYPGEPATGSTVTRSEPCWMPSTATKLI >ONIVA02G11560.1 pep chromosome:AWHD00000000:2:8452292:8455135:1 gene:ONIVA02G11560 transcript:ONIVA02G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSFLYYRRLAPVIKKNIVFQIYFFLLFYGTRKNTRALQWVKIILILLLLYGLAKVNLTV >ONIVA02G11550.1 pep chromosome:AWHD00000000:2:8445161:8449074:-1 gene:ONIVA02G11550 transcript:ONIVA02G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAHSKSCFHQFWDGLQIKRSSDSFTVELLPSLGATINHSNKLQKFIISPYDPRYRSWELFLIVLVVYSAWICPFELAFLRDLPSKLLLVENIVDIFFAIDIVLTFFVAYVDSKTHLLVDDRKRIAMRYLSTWFIFDVCSTAPFQPIILLFTHKGNDIAFKVLNLLRLWRLHRVSSLFARLEKDIRFNYFWTRCSKLISVTLFAVHCAGCFNYMIADRYPNPEKTWIGAVMSTFRSESLWTRYITALYWSITTLTTTGYGDLHAENPTEMLFDIVYMMFNLGLTAYLIGNMTNLVVHGTSRTRKFRDSIQAASEFAARNQLPENIKQQVLSHFCLQFKTEGLNQQVMLDCLPKGIRSSIAYSLFFPIIRQAYLFNGVSGNFIAELVMEVQAEYFPPKEDIILQNEGEADVYIVVSGAVNIITTIHGNEQVYEKIAEGEIFGEVGALCNIPQPFTCRTAELSQLLRISKTRLREIIEENREDSNILMNNLVQKLKLRESLPDMNQPDRRFLSKYELFHVPREAWLLKKSQLHYTEHTSRGSSNNTPVFGGDRYSRQLLGEATRSSASENENSGMTDKEENHDEVHTNCETKKRTEEHCIQINSEDSSSTYSQRTMNATVQTGSPHKTEENITRRIPDEYYIKEANKRVTIHKYRHNSTVSAAQNGKLIKLPTSLEELFKIGSQKFQGFHPRKVVSRDYAEIDDVSVIRDGDHLFLLEM >ONIVA02G11550.2 pep chromosome:AWHD00000000:2:8445161:8449074:-1 gene:ONIVA02G11550 transcript:ONIVA02G11550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAHSKSCFHQFWDGLQIKRSSDSFTVELLPSLGATINHSNKLQKFIISPYDPRYRSWELFLIVLVVYSAWICPFELAFLRDLPSKLLLVENIVDIFFAIDIVLTFFVAYVDSKTHLLVDDRKRIAMRYLSTWFIFDVCSTAPFQPIILLFTHKGNDIAFKVLNLLRLWRLHRVSSLFARFKILSRLEKDIRFNYFWTRCSKLISVTLFAVHCAGCFNYMIADRYPNPEKTWIGAVMSTFRSESLWTRYITALYWSITTLTTTGYGDLHAENPTEMLFDIVYMMFNLGLTAYLIGNMTNLVVHGTSRTRKFRDSIQAASEFAARNQLPENIKQQVLSHFCLQFKTEGLNQQVMLDCLPKGIRSSIAYSLFFPIIRQAYLFNGVSGNFIAELVMEVQAEYFPPKEDIILQNEGEADVYIVVSGAVNIITTIHGNEQVYEKIAEGEIFGEVGALCNIPQPFTCRTAELSQLLRISKTRLREIIEENREDSNILMNNLVQKLKLRESLPDMNQPDRRFLSKYELFHVPREAWLLKKSQLHYTEHTSRGSSNNTPVFGGDRYSRQLLGEATRSSASENENSGMTDKEENHDEVHTNCETKKRTEEHCIQINSEDSSSTYSQRTMNATVQTGSPHKTEENITRRIPDEYYIKEANKRVTIHKYRHNSTVSAAQNGKLIKLPTSLEELFKIGSQKFQGFHPRKVVSRDYAEIDDVSVIRDGDHLFLLEM >ONIVA02G11540.1 pep chromosome:AWHD00000000:2:8432994:8433521:-1 gene:ONIVA02G11540 transcript:ONIVA02G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRAWYLRHDIVQCRGGERSGGEGGGGGGVAVELEAPGTAVVCVGWRREQQRSAETGGGGGTETVEERSGATRRRTRGSCEEWRRIVGHRGGDRGRLSVIKAEIVGGADGMEEGEGSGERWEGISEAGVGVLGTWFDGGVTSLAVAAAERVEENGGGGESGVERKGRERWRMR >ONIVA02G11530.1 pep chromosome:AWHD00000000:2:8418150:8419324:-1 gene:ONIVA02G11530 transcript:ONIVA02G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTRQSLFTAARHEIAHTLRHQGRHQQQLSGRDLVRCLAAGDDDEYSTPATEGQDRAGSRLLKRRGGGGGGHLAHARRLAGAMMKLDAGKRLRVIGGVWVEMICYSASRCSGSLHLKSLGVGGGEFLTVVWFLLHWMGMEKLRFGARSLESEAYMEPNLHKNSRKSIGFREEINKLADRLAKEAKSNQPSAPVYVCQNVHFSPGLSQYRMSCK >ONIVA02G11530.2 pep chromosome:AWHD00000000:2:8419324:8429901:-1 gene:ONIVA02G11530 transcript:ONIVA02G11530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASLPPSSNGRMVEPAGTMVQWWEEWQLRVLVLTSLFLQCFLFFSATFRKHRIPAILRASIWLAYLGSDAVAIYGLAAIFSRHGKNAGDDGGRGESSMLEVLWAPVFLIHLAGAQDSITAYDAAEDNALWARRAVAMSSQAAVAVYVFCRSWSGGKVPARCPVALFVTGFLKMGLMLWALRRASATRIATVGRKAAAVEANWSLERYLQWASKSAIEATRNVQTNDDGDGGVDGGGENRSAARRHRSATSELHLDLIDLEYQNDLMELFIDFPNTYTSRLSYLSSFLKLEPYDAYCRLCDLVDYAFQIFYTSRNAAYPFASLFLILRFIFFMLAATAIGGFDGLDSNMDGLDTNDVQVTYILLYSVFAVEFSNMVSLRYHKWPVCKLEPQIKRTIAQFNLIGCASRSRWSMKMNSKLSGRYLLIHTCQTVLSRVAMLLRCNNQYYWYHVEHSSSTEKVVGLIREDLRSGWVEDDLRSAAAYRRFNNRRGQWTLRREQCYGEMGWSVAKLPFDEAVLVWHVATDICLHCTQNIDIDISSSPAAGADEVAAVTEISNYMMYLLAFQPNMLMPGARQGLFTAARHEIMHAIRHQGRHQQQLSERDLARCLAGGDDDDEYSTPATEEQAGAGSRLLERKGGGGGGRHLAHARRLAGAMMKLDAGKRLRVIGGVWVEMICYSASRCSGSLHLKILGVGGGEFLTVVWLLLHRMGMEVLADKLHRPELARDEPDAVGATFLSTDDATIADTIKRRNLDLEPEPAGTMVQWWEEWQLRVLVLTSLFLQCFLFFSATFRKHRIPAILRASIWLAYLGSDAVAIYGLAAIFSRHGGAATGDMSSSMLEVLWAPIFLIHLAGAQDSITAYDAAEDNALWARRAVAMSSQAAVAVYVFCRSWSGGKVRARCPVALFVAGFLKMGRTLCALRRASATRIAAVARKTAAADLSISLYLQRASKHAIEATRNRNNIQTNDDEDDDGDERQLDVPHSVLDNQNEFMELFIDFPAPYTRRLSYLWSFLQLEPYDAYCKLFNLVDYAFQIFYTSRNPITGRFIRSIFLVLGMMAMGGIEELDRNKDGSFDTNDVKVTYILLWSAFFMEFTNLIPLAHQKWPMCKLAPQMKRTIAQFNLIGFTARSRWSTKIDSDLSRRYSLVRTCQTVLSRIATLLRCNKQHWYYGEHSPWTEKVVYLNYLRSGWVEDDLRSAAAYRRFNDRRGQWTLWREQCYGEMGWSVAKLPFDEAVLVWHVATDICLHCTEYINISSSPVAGADKITAVMKISNYMMYLLAFQPQTC >ONIVA02G11520.1 pep chromosome:AWHD00000000:2:8415256:8415835:1 gene:ONIVA02G11520 transcript:ONIVA02G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWTLLAVLVLAVALGATAGILYAVFKPKIPDFRVNRLTVTRFDVNATAATVSDAFEVEVTSTNPNRRIGMYYDGLEVMASFHNNGTELCRGGFPALYQGHRSTVRPVILLQQQARFVPLTVWARMPIRIKFGAIKQWKMTGKATCNLVVDNLVTGQADPNPLQQLQLQAQGLIELCRGVDPSPFL >ONIVA02G11510.1 pep chromosome:AWHD00000000:2:8414640:8414909:-1 gene:ONIVA02G11510 transcript:ONIVA02G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVDAWSEPRSATGLGGCGGEEGSTRIPGGWQRVGGDLGDRLRIGGDPGDRLRVDGEAGKPPRVKGEPGAWSRIVREPGGRPRVVGE >ONIVA02G11500.1 pep chromosome:AWHD00000000:2:8410330:8412924:1 gene:ONIVA02G11500 transcript:ONIVA02G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNKVLVFFAVHGNYSTIIALKTSIYSEDLVATALWLNSKRRGVERAGSELLATIDKAHRFINGDWEQQEQRQHRPEKHCEERTARAPEHREWVTSARGGAARPTGTVS >ONIVA02G11490.1 pep chromosome:AWHD00000000:2:8407320:8414593:-1 gene:ONIVA02G11490 transcript:ONIVA02G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAPLTGRRNSNRSIWGWMEINLQHGYRNMYPAASPLVQRHCEGWTLLTCLITAEKEDDN >ONIVA02G11480.1 pep chromosome:AWHD00000000:2:8405572:8406540:-1 gene:ONIVA02G11480 transcript:ONIVA02G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) TAIR;Acc:AT1G29260] MPAFKAPAPGFSVRFSPFHEQRLLAAASQHFGLVGNGHLLVLDLSAAAAPGGAPAPPPVFAFPTSDALFDCAWSESHESLCAAASGDGSVRLFDVALPPAQNPVRLLREHAREVHGLDWNPVRRDAFLSASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVREPAPTLVIPAHDHEVLSLDWDKYDPSILATGSVDKSIRVWDVRAPRAPLAQLAGHGYAVKRVKFSPHRQGMLMSCSYDMTVCMWDYRKEDALLARYGHHTEFVAGIDMSVLVEGLLASTGWDEMIYVWPFGSDPRAM >ONIVA02G11470.1 pep chromosome:AWHD00000000:2:8397151:8402382:1 gene:ONIVA02G11470 transcript:ONIVA02G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILPLPAPDGDGDSHPQQPPPPPPGGGAKPEPPPTVATHTRPLGIIHPPPDIRVIIEKTATFVSKNGPEFERRIISHNAGNAKFNFLQPSDPYHAYYQHRVSELAAAPPSAAASAADAAPEPDESGAAPPPADSAAAPTVDGEAAKADHSAPFRVPPPTKVLVPPKAELYTVYLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRESTNPQFHFIRPTHSMFTFFTKLTDTYSRVLNPVEGMPALLKDLQDGAKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMQMIDWHDFVVVETIEFADDECEGLPVPLTLEELKRRKRIEDLGEEEAAMELAEPVKDVEMEMDEEEIQLVEEGMRAARLEENEGGVQVKLAGDEEPPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSMGGEEQVDASNVPGPAPLPRPPMPLLRPPQPLPLVNVPRFQPSAMPYPPHQQPHLMQGVPHMMPTMHPPPPPPIPGQPQVLRMPGSMGPMPTNIPPPPPGQNPYMPGPPRPYSMPPPPHMPTMATMVNPIGIPQPPPPLPPQPPAEEQPPQPDEPEPKRLRTDDASLIPAEQFLAQHPGPAHISVSVPNLDEGNLRGQVLEIGVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNIGPGVVINLTLRERGGRKK >ONIVA02G11470.2 pep chromosome:AWHD00000000:2:8397151:8400776:1 gene:ONIVA02G11470 transcript:ONIVA02G11470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILPLPAPDGDGDSHPQQPPPPPPGGGAKPEPPPTVATHTRPLGIIHPPPDIRVIIEKTATFVSKNGPEFERRIISHNAGNAKFNFLQPSDPYHAYYQHRVSELAAAPPSAAASAADAAPEPDESGAAPPPADSAAAPTVDGEAAKADHSAPFRVPPPTKVLVPPKAELYTVYLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRESTNPQFHFIRPTHSMFTFFTKLTDTYSRVLNPVEGMPALLKDLQDGAKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMQMIDWHDFVVVETIEFADDECEGLPVPLTLEELKRRKRIEDLGEEEAAMELAEPVKDVEMEMDEEEIQLVEEGMRAARLEENEGGVQVKLAGDEEPPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSMGGEEQVDASNVPGPAPLPRPPMPLLRPPQPLPLVNVPRFQPSAMPYPPHQQPHLMQGVPHMMPTMHPPPPPPIPGQPQVLRMPGSMGPMPTNIPPPPPGQNPYMPGPPRPYSMPPPPHMPTMATMVNPIGIPQPPPPLPPQPPAEEQPPQPDEPEPKRLRTDDASLIPAEQFLAQHPGPAHISVSVPNLDEGNLRGQVLEIGVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNIGPGVVINLTLRERGGRKK >ONIVA02G11470.3 pep chromosome:AWHD00000000:2:8397151:8400776:1 gene:ONIVA02G11470 transcript:ONIVA02G11470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILPLPAPDGDGDSHPQQPPPPPPGGGAKPEPPPTVATHTRPLGIIHPPPDIRVIIEKTATFVSKNGPEFERRIISHNAGNAKFNFLQPSDPYHAYYQHRVSELAAAPPSAAASAADAAPEPDESGAAPPPADSAAAPTVDGEAAKADHSAPFRVPPPTKVLVPPKAELYTVYLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRESTNPQFHFIRPTHSMFTFFTKLTDTYSRVLNPVEGMPALLKDLQDGAKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMQMIDWHDFVVVETIEFADDECEGLPVPLTLEELKRRKRIEDLGEEEAAMELAEPVKDVEMEMDEEEIQLVEEGMRAARLEENEGGVQVKLAGDEEPPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSMGGEEQVDASNVPGPAPLPRPPMPLLRPPQPLPLVNVPRFQPSAMPYPPHQQPHLMQGVPHMMPTMHPPPPPPIPGQPQVLRMPGSMGPMPTNIPPPPPGQNPYMPGPPRPYSMPPPPHMPTMATMVNPIGIPQPPPPLPPQPPAEEQPPQPDEPEPKRLRTDDASLIPAEQFLAQHPGPAHISVSVPNLDEGNLRGQVLEIGVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNIGPGVVINLTLRERGGRKK >ONIVA02G11460.1 pep chromosome:AWHD00000000:2:8378224:8384167:-1 gene:ONIVA02G11460 transcript:ONIVA02G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGKAAMERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLDELGRKLTSLPPGDSIVVSSSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVTQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADDLHRSSRKAAKHYIEFWKQIPPNEPYRVILGGVRDKLYYTRERTRHLLTTGVSEIPEEATFTNVEEFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITTYLGIGSYAEWSEEKRQDWLLSELRGKRPLFGPDLPQTEEIADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHIKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELVKVAKHYGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFKEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGGAFKHIMQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVAGDLQSFGEQLRNNFEETKQLLLQVAGHKDILEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFEVMSQPALSKEFVDSNQPAELVQLNAASEYAPGLEDTLILTMKGIAAGMQNTG >ONIVA02G11460.2 pep chromosome:AWHD00000000:2:8379766:8384167:-1 gene:ONIVA02G11460 transcript:ONIVA02G11460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGKAAMERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLDELGRKLTSLPPGDSIVVSSSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVTQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADDLHRSSRKAAKHYIEFWKQIPPNEPYRVILGGVRDKLYYTRERTRHLLTTGVSEIPEEATFTNVEEFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITTYLGIGSYAEWSEEKRQDWLLSELRGKRPLFGPDLPQTEEIADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHIKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELVKVAKHYGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATKEYRSIVFKEPRFVEYFRSVSSPLF >ONIVA02G11450.1 pep chromosome:AWHD00000000:2:8369741:8374586:1 gene:ONIVA02G11450 transcript:ONIVA02G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIEAAAAAERGPIRAEAEAEAEAKVVDVEGKEEEEAAKVVVEEEEEEEREYKSDMRKLEELMSKLNPRAQEFVPSSRRAPPAAAAQAAGGLSADAPVFVSAAEYFGGAGAGAGQLQVGGGGGGRDSSSDGSSNGGGQPQNRRRRSGFNQGRRRTGGRTRRADREDSVRRTVYVSDIDQQVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALTLGGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEEDVKIFFQQLCGKVSRLRLLGDYVHSTCIAFVEFAQAESAILALNYSGMVLGTLPIRVSPSKTPVRPRSPRVMSN >ONIVA02G11440.1 pep chromosome:AWHD00000000:2:8339678:8348620:1 gene:ONIVA02G11440 transcript:ONIVA02G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) TAIR;Acc:AT1G17110] MLQPRESDVPVLFVVFIVLPVIAYFLLGRWHDAVSKKARVSVLAQRAAEETFKVETMATPDVILPGPSLRPMPYMRSAPSARPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQQWNGFGTSSSGGLPPTENTEQMPFLSNLNSPLRGSDVHLHDMDFDTMSEPSFVTTDSYNLDTSPFLSDRSNMNKPNQFLHTSENGAAIGSYEKNDYSIDGEVPSSEILSGNKGLNNSSGSGENCGNRDVIYPLNSVVHQPNNYAPEIRKRPKASITVYESDKGVYLTSDMISSGEGPYASAAESLQRSNSSGNVTGKGNMIHKKPPYPSGSTKTAVSTNSSLQGCNGISKAGASKVEALKKPSKFLKTSLVGLINDNKRSKVLFPYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCNTKTQAPTLLGVVVKSLTISDFFDIDCFILLGCSKNWCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQGACLDGLGGEKQVEASLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPDMLDMVPFVTGAGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQAVSLNQVMSEGAYMLFYMRSFPRPPKIYIEKGLSSVPTCSKRHSSKSSKGSKQDLNHTESLFASSDQTYGIYDFRPDNGYIQDQHAALRTRNFYHTDDAFADSISTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPYYAQDHPPGSFASCTRLSPSNPQTRYFQENTGFVSDSSMPAHLPGNVHRGRYPDRACSSSAEPPASANPRSVYGRYGLSREGFVQTSGFCQM >ONIVA02G11440.2 pep chromosome:AWHD00000000:2:8340632:8348620:1 gene:ONIVA02G11440 transcript:ONIVA02G11440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) TAIR;Acc:AT1G17110] MLQPRESDVPVLFVVFIVLPVIAYFLLGRWHDAVSKKARVSVLAQRAAEETFKVETMATPDVILPGPSLRPMPYMRSAPSARPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQQWNGFGTSSSGGLPPTENTEQMPFLSNLNSPLRGSDVHLHDMDFDTMSEPSFVTTDSYNLDTSPFLSDRSNMNKPNQFLHTSENGAAIGSYEKNDYSIDGEVPSSEILSGNKGLNNSSGSGENCGNRDVIYPLNSVVHQPNNYAPEIRKRPKASITVYESDKGVYLTSDMISSGEGPYASAAESLQRSNSSGNVTGKGNMIHKKPPYPSGSTKTAVSTNSSLQGCNGISKAGASKVEALKKPSKFLKTSLVGLINDNKRSKVLFPYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCNTKTQAPTLLGVVVKSLTISDFFDIDCFILLGCSKNWCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQGACLDGLGGEKQVEASLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPDMLDMVPFVTGAGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQAVSLNQVMSEGAYMLFYMRSFPRPPKIYIEKGLSSVPTCSKRHSSKSSKGSKQDLNHTESLFASSDQTYGIYDFRPDNGYIQDQHAALRTRNFYHTDDAFADSISTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPYYAQDHPPGSFASCTRLSPSNPQTRYFQENTGFVSDSSMPAHLPGNVHRGRYPDRACSSSAEPPASANPRSVYGRYGLSREGFVQTSGFCQM >ONIVA02G11440.3 pep chromosome:AWHD00000000:2:8341318:8348620:1 gene:ONIVA02G11440 transcript:ONIVA02G11440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) TAIR;Acc:AT1G17110] MLQPRESDVPVLFVVFIVLPVIAYFLLGRWHDAVSKKARVSVLAQRAAEETFKVETMATPDVILPGPSLRPMPYMRSAPSARPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQQWNGFGTSSSGGLPPTENTEQMPFLSNLNSPLRGSDVHLHDMDFDTMSEPSFVTTDSYNLDTSPFLSDRSNMNKPNQFLHTSENGAAIGSYEKNDYSIDGEVPSSEILSGNKGLNNSSGSGENCGNRDVIYPLNSVVHQPNNYAPEIRKRPKASITVYESDKGVYLTSDMISSGEGPYASAAESLQRSNSSGNVTGKGNMIHKKPPYPSGSTKTAVSTNSSLQGCNGISKAGASKVEALKKPSKFLKTSLVGLINDNKRSKVLFPYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCNTKTQAPTLLGVVVKSLTISDFFDIDCFILLGCSKNWCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQGACLDGLGGEKQVEASLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPDMLDMVPFVTGAGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQAVSLNQVMSEGAYMLFYMRSFPRPPKIYIEKGLSSVPTCSKRHSSKSSKGSKQDLNHTESLFASSDQTYGIYDFRPDNGYIQDQHAALRTRNFYHTDDAFADSISTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPYYAQDHPPGSFASCTRLSPSNPQTRYFQENTGFVSDSSMPAHLPGNVHRGRYPDRACSSSAEPPASANPRSVYGRYGLSREGFVQTSGFCQM >ONIVA02G11430.1 pep chromosome:AWHD00000000:2:8338079:8338532:1 gene:ONIVA02G11430 transcript:ONIVA02G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVCGGGEGVWRRGRRSPGAGAPSTAGTIVGGGLDRMAEGGIAGESLAEPFGRLTTATPFGVVPLFGGVHTPFLSLLYSPDENLASVPNERWRRSTSHPPWGHRFGETSSYKDIVIGLCIVFEFQF >ONIVA02G11420.1 pep chromosome:AWHD00000000:2:8317989:8325826:1 gene:ONIVA02G11420 transcript:ONIVA02G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRIGGRRKAGVEERYTRPQGLYEHRDIDQKKLRKLILEAKLAPCYMGADDAAAAADLEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHTAQPTQCPFCKTPSYAVEYRGVKTKEERSIEQFEEQKVIEAQMRMRQQALQDEEDKMKRKQNRCSSSRTITPTKEVEYRDICSTSFSVPSYRCAEQETECCSSEPSCSAQTSMRPFHSRHNRDDNIDMNIEDMMVMEAIWRSIQEQGSIGNPVCGNFMPVTEPSPRERQPFVPAASLEIPHGGGFSCAVAAMAEHQPPSMDFSYMAGSSAFPVFDMFRRPCNIAGGSMCNLESSPESWSGIAPSCSREVVREEGECSADHWSEGAEAGTSYAGSDIVADAGTMPQLPFAENFAMAPSHFRPESIEEQMMFSMALSLADGHGRTHSQGLAWL >ONIVA02G11410.1 pep chromosome:AWHD00000000:2:8314783:8317917:1 gene:ONIVA02G11410 transcript:ONIVA02G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKDFEDRAVVRGPWIFHFVQGSYDAALSRPTKFPHGPHLGDPSPAAAAARDGALGRLRGARPADGGRPQPPPLPPPRLSPSSSSSLSSPSPNPSFPRPHRGASSPPQAEKELQAERSRLLDAKLASARRLERRRLLLERRAADLASRALSARAGIDAARARRVAISRDLRQARAYASPTQTPPPSRLLKFPHPHRSVRGEIEEAERREEEWDRFYEAKRKEMEEFQAMSGWFEAAARDEVQSLRDLVSQLKSTLQEHHGGVMYLNNAEITAAEARKSDLMAKKAKLDESLASARQFRALLQQQLQKSFASQIGDQKTTQTTI >ONIVA02G11400.1 pep chromosome:AWHD00000000:2:8297092:8299310:-1 gene:ONIVA02G11400 transcript:ONIVA02G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G463] MEPFTSAARDAPRPHVVLLASPGAGHLIPLAELARRLADHHGVAPTLVTFADLENPDARSAVLSSLPASVATATLPAVPLDDLPADAGLERTLFEVVHRSLPHLRVLLQSIGSTAALVPDFFCAAALSVADEVGVPGYIFFPTSITALCLMRRTVELHDDFAAAGEQRALPDPLELPGGVSLRTAEFPEAFRDSTAPVYGQLVETGRQYRGAAGFLANSFYELEPAAVEDSKKAAEKGTFPPAYPVGPFVRSSSDEPGESACLEWLDLQPAGSVVFVSFGSAGVLSVEQTRELAAGLELSGHRFLWVVRMPSLNDAHRNGGHDEDPLAWLPDGFLERTSGRGLAIAAWAPQVRVLSHPATAAFVSHCGWNSTLESVATGVPMIAWPLHSEQRMNAVVLEESVGMALRPRAREDDIGGTVVRRGEIAAAVKEVMEGEKGHGVRRRARELQQAAGRVWSPEGSSRRALEVVAGKWMAAAQNRFRSSF >ONIVA02G11400.2 pep chromosome:AWHD00000000:2:8291029:8299310:-1 gene:ONIVA02G11400 transcript:ONIVA02G11400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G463] MEPFTSAAVEPAPPTADDQRDAPRPHVVLLASPGAGHLIPLAELARRLADHHGVAPTLVTFADLENPDARSAVLSSLPASVATATLPAVPLDDLPADAGLERTLFEVVHRSLPHLRVLLQSIGSTAALVPDFFCAAALSVADEVGVPGYIFFPTSITALCLMRRTVELHDDFAAAGEQRALPDPLELPGGVSLRTAEFPEAFRDSTAPVYGQLVETGRQYRGAAGFLANSFYELEPAAVEDSKKAAEKGTFPPAYPVGPFVRSSSDEPGESACLEWLDLQPAGSVVFVSFGSAGVLSVEQTRELAAGLELSGHRFLWVVRMPSLNDAHRNGGHDEDPLAWLPDGFLERTSGRGLAIAAWAPQVRVLSHPATAAFVSHCGWNSTLESVATGVPMIAWPLHSEQRMNAVVLEESVGMALRPRAREDDIGGTVVRRGEIAAAVKEVMEGEKGHGVRRRARELQQAAGRVWSPEGSSRRALEVVAGKWMAAAQKPPPFMRKNKYGTLIIFLCEMLQRYPTCDHLLLARHAQRVPRPACDDVSAVLCLAGMSSPLPTTKEACEVGRGGGGRARAVHECPVCGLDFAVG >ONIVA02G11400.3 pep chromosome:AWHD00000000:2:8291029:8295725:-1 gene:ONIVA02G11400 transcript:ONIVA02G11400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G463] MATTDKRALLAAENCHGGGGPVAVSFNTNAIVLLALCGLGAVALHIVLQCALHVTPPPPFMRKNKYGTLIIFLCEMLQRYPTCDHLLLARHAQRVPRPACDDVSAVLCLAGMSSPLPTTKEACEVGRGGGGRARAVHECPVCGLDFAVG >ONIVA02G11390.1 pep chromosome:AWHD00000000:2:8289399:8291013:-1 gene:ONIVA02G11390 transcript:ONIVA02G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKATAAQPVPNAADLHRPLHATHDHSPIRKNIMEGERKKMNLTDGSHMTWNLHVLFDSIFVSHGVATGWVGPGLDRNSPASDSRLLHPPYPRCSKQGKISPYPASGPLGTRTQPGHRMDAASRWEASSSGWYAEKHMGGDTGENGIDKTLIGDVMLQHRQEAANETPQGPDPTTPRVRKQSRP >ONIVA02G11380.1 pep chromosome:AWHD00000000:2:8284477:8285973:-1 gene:ONIVA02G11380 transcript:ONIVA02G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G460] METFTADDQRDADAPRPPRVVLLASPGAGHLIPLAGLARRLADHHGVAPTLVTFADLEHPDARSAVLSSLPASVATATLPAVPLDDLPADAGLERTLFEVVHRSLPNLRALLRSAAPAPLAALVPDFFCAAALPVAAELGVPGYVFVPTSLAALSLMRRTVELHDGAAAGEQRALPDPLELPGGVSLRNAEVPRGFRDSTAPVYGQLLATGRLYRLAAGFLANTFYELEPAAVEEFKKAAERGTFPPAYPVGPFVRSSSDEPGESACLEWLDLQPAGSVVFVSFGSAGMLSVEQTRELAAGLEMSGHRFLWVVRMPSFNGESFAFGKGAGDEDDRRVDDDPLAWLPDGFLERTSGRGLAVAAWAPQVRVLSHPATAAFVSHCGWNSTLESVAAGVPMIAWPLHAEQSLNAVVLEESVGVAVRPRSWEEDDVVGGAVMRRGEIAAAVREVMEGEKGRVVRRRARELKLAAGRVWSPEGSSRRALEEVAGKWKAAATTHK >ONIVA02G11370.1 pep chromosome:AWHD00000000:2:8272317:8274353:1 gene:ONIVA02G11370 transcript:ONIVA02G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIAFSRFSICFCVLLLCHGSMAQIFSLGINPWQNPRQGGSRECRFDRLQAFEPLRKVRHEAGVTEYFDEKNEQFQCTGTLVIRRIIEPQGLLLPRYSNTPGLVYIIQGTGVLGLTFPGCPATYQKQFRHFGLEGGSQRQGKKLRDENQKIHQFRKGDVVALPSGVPHWFYNEGDTPVVALFVFDVNNNANQLEPRQKEFLLVGNNIEQQVSNPSINKHSGQNIFNGFNTELLSEALGVNIEVTRRLQSQNDRRGDIIRVKNGLRLIKPTITQQQEQTQDQYQQIQYHREQRSTSKYNGLDENFCAIRARLNIENPNHADTYNPRAGRITNLNSQKFSILNLVQMSATRVNLYQNAILSPFWNINAHSLVYTIQGRARVQVVSNHGKAVFNGVLRPGQLLIIPQNYVVMKKAELEGFQYVAFKTNPNAMVNHIAGKNSVLRAMPVDVIANAYRISRQEARSLKNNRGEEIGAFTPRYQQQKIHQGYSNANESETQEVI >ONIVA02G11360.1 pep chromosome:AWHD00000000:2:8261310:8262773:1 gene:ONIVA02G11360 transcript:ONIVA02G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G458] MDPSPRSPPPPHVVLVASPCAGHVMPMAELARRLVAFHGCAATLVTFSGLAASLDAQSAAVAASLPASSVAAVTLPEVTLDDVPAGANIATLIFELVRRSLPNLRQFLRSIGGGVAALVPDFFCGVVLDLAVELGVPGYLFLPPNVASLACMRRLVELHDGAAPGEYRDFSDPLHLAGDVTISVADLPIEFLDRSNPVFGQLIDEGRRHRRADGFLVNSFAEMEPTIVEDFKKAAAEGAFPPVYPVGPFVRSSSDEPGESACLEWLDRQPAGSVVFVSFGSAGMLSVEQTRELAAGLEMSGHRFLWVVRMPSHDGESYDFGTDHRNNDDDPLAWLPDGFLERTSGRGLAVASWAPQVRVLSHPATAAFVSHCGWNSVLESVSAGVPMVAWPLYAEQKVNAAILTEVAGVALRPAAARGGGDGVVTREEVAAAVRELMDPGEKGSAARRRAREMQAAAARARSPGGASHRELDEVAGKWKQTNRAPYE >ONIVA02G11350.1 pep chromosome:AWHD00000000:2:8245461:8246909:1 gene:ONIVA02G11350 transcript:ONIVA02G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G457] MDPSPRPSPHVVLVASPCAGHVMPMAELARRLVAFHGCAATLVTFSGLAASLDAHSAAVLASLPASSVAAVTLPEVTLDDIPAGANIGTLIFELVLRSLPSLREFLRSIGGVAALVPDFFCGVVLDLAVQLGVPGYVFVPSNTASLAFMRRFVEVHDGAAPGEYRDLPDPLRLAGDVTIRVADMPDGYLDRSNPVFWQLLEEVRRYRRADGFLVNSFAEMEPTIVEEFKTAAERGAFPPVYPVGPFVRSSSDEPGESACLEWLDRQPAGSVVFVSFGSAGMLSVEQTRELAAGLEMSCHRFLWVVRMPSLDGESYDFGTDHRNDDDPLAWLPDGFLERTSGRGLAVASWAPQVRVLSHPATAAFVSHCGWNSALESVSAGVPMVAWPLYAEQKVNAVILTEEVAGVALCPAAARGGDGVVAREEVAAAVKELMDPGEKGSAMRRRAREMQVAAARARSPEGASCRALTVVVGKWKNAVQEER >ONIVA02G11340.1 pep chromosome:AWHD00000000:2:8237534:8238988:-1 gene:ONIVA02G11340 transcript:ONIVA02G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G456] MAEAATGDTSLPLPPPPPHVVLMASPGAGHLIPLAELARRLVSDHGFAVTVVTLTGLSDPATDAAVLSSLPASVATAVLPPVALDDLPADIGFGSVMFELVRRSVPHLRPLVVGSPAAAIVCDFFGTPALALVAELGVPGYVFFPTSISFISVVRSVVELHDGAAAGEYRDLPDPLVLPGCAPLRHGDIPDGFRDSADPVYAYVLEEGRRYGGADGFLVNSFPEMEPGAADAFRRDAESGAFPPVYLVGPFVRPNSNEDPDESACLEWLDRQPAGSVVYVSFGSGGALSVQQTRELAAGLEMSGHRFLWVVRMPRTGGLLSSMGASYGNPMDFLPEGFVERTNGRGLAVASWAPQVRVLAHPATAAFVSHCGWNSALESVSSGVPMIAWPLHAEQKMNAAILTEVAGVALPLRPVAPGGVVSREEVAAAVKELMDPGEKGSAARRRARELQAAAAARAWSPDGASRRALEVVAGKWKNAVHEDR >ONIVA02G11330.1 pep chromosome:AWHD00000000:2:8226275:8226755:-1 gene:ONIVA02G11330 transcript:ONIVA02G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWARFGRGRTLHNGITIYAQEKLPGVSGPSLTDREILEKLTDISGPGLTDRKILGEARKTARLGPASLTRKFFSRFVRAVYIQEKLPDVSGPDLGEAGRYNSPQSISKKSFSSPIGKFFPGAHK >ONIVA02G11320.1 pep chromosome:AWHD00000000:2:8222850:8224224:-1 gene:ONIVA02G11320 transcript:ONIVA02G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G454] MEPLASTTAEDHRPHVVLLASPGAGHLIPMAELARRLADHHGVAPTLATLAGLSDRATDAAVLSSLPASVATASIGSTAALVPDFFCAAALSVADEVGVPGYIFFPTSITALCLMRRTVELHDDFAAAGEQRALPDPLELPGGVSLRTAEFPEAFRDSTAPVYGQLVETGRQYRGAAGFLANSFYELEPAAVEDSKKAAEKGTFPPAYPVGPFVRSSSDEPGESACLEWLDLQPAGSVVFVSFGSAGVLSVEQTRELAAGLELSGHRFLWVVRMPSLNDAHRNGGHDEDPLAWLPDGFLERTSGRGLAIAAWAPQVRVLSHPATAAFVSHCGWNSTLESVATGVPMIAWPLYAEQKMNTVILTEVAGVALRPVAHGGDGGVVSRKEVAAAVKELMDPGEKGSAARRRARELQAAAAARAWSPDGASRRALEEVAGKWKNAVREDR >ONIVA02G11310.1 pep chromosome:AWHD00000000:2:8209661:8222101:1 gene:ONIVA02G11310 transcript:ONIVA02G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGYLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRSDGASTSNMRRGRRRSISFTPEIGDDIVSAVRAMSERMRENRLSRGQNDGSPGQLNDSQKNESPHDFNDNEVHVRGPDEQEGSRRQVSNQKAVSLPSSPHRLRSDGSGLRGPAEFLTADLMSTWNKVLRSSPFLNKPLLPFEEWHIEFSEITVGTRVGIGFFGEVFRGIWNGTDVAIKLFLEQDLTTENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSLVTEYMEMGSLYYLIHASGQKGKLSWRRRLKMLRDICRGLMCMHRLKIVHRDLKSANCLVNKHWAVKLCDFGLSRVMSNSAMNDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPSVQVVYNVANEGARLEIPDGPLGSLIADCWAEPDKRPGCQEILTRLLDCEYTLC >ONIVA02G11310.2 pep chromosome:AWHD00000000:2:8209661:8222101:1 gene:ONIVA02G11310 transcript:ONIVA02G11310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGYLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRSDGASTSNMRRGRRRSISFTPEIGDDIVSAVRAMSERMRENRLSRGQNDGSPGQLNDSQKNESPHDFNDNEVHVRGPDEQEGSRRQVSNQKAVSLPSSPHRLRSDGSGLRGPAEFLTADLMSTWNKGSLEKFSVVSGMEQT >ONIVA02G11310.3 pep chromosome:AWHD00000000:2:8211999:8222101:1 gene:ONIVA02G11310 transcript:ONIVA02G11310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGYLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRSDGASTSNMRRGRRRSISFTPEIGDDIVSAVRAMSERMRENRLSRGQNDGSPGQLNDSQKNESPHDFNDNEVHVRGPDEQEGSRRQVSNQKAVSLPSSPHRLRSDGSGLRGPAEFLTADLMSTWNKGSLEKFSVVSGMEQT >ONIVA02G11310.4 pep chromosome:AWHD00000000:2:8209661:8222101:1 gene:ONIVA02G11310 transcript:ONIVA02G11310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGYLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRYLSQMAHQHLICVEDGEEV >ONIVA02G11310.5 pep chromosome:AWHD00000000:2:8211999:8222101:1 gene:ONIVA02G11310 transcript:ONIVA02G11310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGYLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRSDGASTSNMRRGRRRSISFTPEIGDDIVSAVRAMSERMRENRLSRGQNDGSPGQLNDSQKNESPHDFNDNEVHVRGPDEQEGSRRQVSNQKAVSLPSSPHRLRSDGSGLRGPAEFLTADLMSTWNKVLRSSPFLNKPLLPFEEWHIEFSEITVGTRVGIGFFGEVFRGIWNGTDVAIKLFLEQDLTTENMEDFCNEISILRGLMCMHRLKIVHRDLKSANCLVNKHWAVKLCDFGLSRVMSNSAMNDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPSVQVVYNVANEGARLEIPDGPLGSLIADCWAEPDKRPGCQEILTRLLDCEYTLC >ONIVA02G11300.1 pep chromosome:AWHD00000000:2:8202187:8202501:-1 gene:ONIVA02G11300 transcript:ONIVA02G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRKEAEDERTSGGCEETAYARTGGGYAEVADHYGWGFLARPTALPPFSIAAPAVVAAPTAGPTSSAPVTFRSRRADCRLDPPALRPLGHVREETERRIEKEE >ONIVA02G11290.1 pep chromosome:AWHD00000000:2:8197520:8201316:-1 gene:ONIVA02G11290 transcript:ONIVA02G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKMLGGKNKPPHPPSVLPDPAATPCLPPRSKTMVLGGNSTHSRHPSVLPDEAAAMEMPEEEDMDLSTSRAWNIMRNNRFANQLGIRKLAQIFQSSVAKKKAVGKKSKALLLGEDVAITGDCVTTGTGRASKRVLAPENLEETMRCTRQRAMKQVSTTSLIEATEVGLEPDLSANQNQGTLTGSRQVETRGKSMGKEIDSISKGLGTMIPIIVKEGKKRPEAPMQAAKLASESGIIIRQHIPIYSSWTDYKNDSSQLDNLKGKLKGKFSIDIHSDPVIAAMEDILRCGTRHMRYRLKKKYFDGVPANQVRTTSPLKCMTDEQWTKLVDMWSSPEHKRTGSRSYIAHAYVVKQEKYKDSEPTAIDLFKELHCSKTKGFSEPVKKAIDDMHTREALTSPSVEDGQQAKTSIEAVSKVLPKSNTFLRNVGIQQPAAKTTNVMKEIQAELDAKKLESAEELERLKAQAQESDAKVEKQAEEIESLRKMAADTQSLLRQMIAFGQCQISPP >ONIVA02G11280.1 pep chromosome:AWHD00000000:2:8190531:8195134:1 gene:ONIVA02G11280 transcript:ONIVA02G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEVLVEIVEDDELKRSIGRNQLDLNEEVMDVESEEGEVGDDEDDEDEEDDDDDDDGGSTTDVAGSRSSSNNSSTNNVSESKLKGDKDSGGGRLEGINGGEQRVPSVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHEGRQIRGAIASVFSPMDFHLMRGDRRFHDMLLQRAAALSSSRQEHGGFFSSRSGGGGGGLPPEASRLYGLLQHRQSPAIATMQTFDFKNSSFRNQEWSFSFNHQRKETMNPSSSSSTTAAIRRWPSAAGTVAVVAGERQRLAERFGYYTGNGGASSTSLPLITRAAMAAPPPMFAAAVAPPGRDHHRLPFGWHAGGGGGGGGGGNKNRSSSDPVVIDEALDSRRLEHQQKHVEQPRMTPTTTTTPAGKRPPEWSPPDLQLTLSPTTAPAAADRGGAKRSKTSTTTTNTTAAISGEQEAEKNMDRCKNKLSISLSLSPPAAAAAAANFSSMDLSMEQQKQKQEKTTIGSSEEEAGDLGQSTLDLTMSIRALE >ONIVA02G11270.1 pep chromosome:AWHD00000000:2:8180665:8183148:-1 gene:ONIVA02G11270 transcript:ONIVA02G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMLHAILLLAVAVAATSASAATAPAAARNSTSNCTVPLPDAGIVTAAFKNVANFPLPRRPSCRPVRRLAYSSRNLAGVVGWAALGNLSGLLTVDLSGNSLEGDDGFGGGALWRAPLLRAVDVSRNRLGGALRLGASARMASLNASRNGFTSVVGVDGLAAGLVVLDVSGNRIAAVPEGLRRLTRVRRLDMSHNSMAGKFPDDLPPLDGVEFLDISDNNFSGVVNSTWITKFGRSAFLRAGNATSLVIEDNPPASAPAPAPATMTPSSGGKKHKRVVLIVVVVVCGVVAVSAAVAFMALAGCVACGFSRRRKRGKKAAAAWEDDEVAVGAVKVVATAPVVLVERPLMELTLADLAAATSGFGRESQLADVGGRSGAAYRAVLPGDLHVVVRVVDGAVAGVGGDDGDVAAAAAGLRELARLRHPNILPLLGYCIAGKEKLLLYEYIEKGNLYRWLHELPASSMDMEETGADMWDTTEQDKKSIDDWPTRYHIILGIARGLAFLHQGWAGSSGRPIVHGNLVPTNILLDDDLEPRISDYIHPVDSNNGELTPESDVYSFGVLVFELVTGQVRWDDSTVSWARGVIRNRKSLNIVDARLREEEEEGGTSGAAKTTMTVAEREMVECLQVGFLCTAHSPEKRPSMQQVVGVLKDIRPAPPPPAGGVGETP >ONIVA02G11260.1 pep chromosome:AWHD00000000:2:8166020:8169178:-1 gene:ONIVA02G11260 transcript:ONIVA02G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPAVAGTAWAWTEVVVEPTDPAWVRPNLGLARVAPAIRGIKREGMVMLASKKRNSTTAGGDPTVTGGDATGGDPTVTGSQIHHSREGT >ONIVA02G11250.1 pep chromosome:AWHD00000000:2:8165679:8166019:-1 gene:ONIVA02G11250 transcript:ONIVA02G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVVGSVLSLPVAVRREQRSLELPVAESGVRGEEVESLLSAASVTGACARGLAEAEA >ONIVA02G11230.1 pep chromosome:AWHD00000000:2:8157611:8158012:1 gene:ONIVA02G11230 transcript:ONIVA02G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGAAEVPLAGRRCRWVARGGDLRANDADEEESVFVAGTTHGNAQHEDSLERRGGLRGGLNAQREGRGCDGNGESGTKETRVRAAAWLVSAMRGQRVLMRDISGKKVGEMGAAGFERVAFRPLDLMNEGGRM >ONIVA02G11210.1 pep chromosome:AWHD00000000:2:8072821:8073657:1 gene:ONIVA02G11210 transcript:ONIVA02G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRYAPGTRFSFGSLDFIATGSGVLKLAPGEPAPPTTTPTIPLGINNFTASAAQALQVGRIGTSVLANASSSHRLGHHGSSKQRELGSRWVDFLDESAYPSNLGSGSSNNTPTSSHPPCEIFIVFQETDEEKREREEEECRIQQKYEDLTRGLNELRQRSHLAEDAILQVKLGSMTSSPRHRTT >ONIVA02G11200.1 pep chromosome:AWHD00000000:2:8062411:8068396:-1 gene:ONIVA02G11200 transcript:ONIVA02G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid scramblase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G437] MRWLLRLLSHAAAAGRATAKTTGSHVGGSNGFASGRGGDDGTVVPREWLQKLWTEELRKQRDVARRWGWRAVATSDGGGADSFGDFAMAPSRSFQHDDRDLTPNSWIGLKGIGYRMKMETNFLNEQDGHFCGQAKLKPLLSRANLLIARDIEWANIMLAFEQPVGFIREKSNVIFRQLFRSRRPFVAEITDAMGNEIFTVRRPFWLINSSIYAEVNGKEVGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFTLTDEDDNVLAQIDRNWRGIGFELFTDAGQYAIRFGDAGLNRKFGLASDIDELHVVRQLTLPERAVALALAVSLDCDYFSRRGGW >ONIVA02G11200.2 pep chromosome:AWHD00000000:2:8062411:8068396:-1 gene:ONIVA02G11200 transcript:ONIVA02G11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid scramblase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G437] MRWLLRLLSHAAAAGRATAKTTGSHVGGSNGFASGRGGDDGTVVPREWLQKLWTEELRKQRDVARRWGWRAVATSDGGGADSFGDFAMAPSRSFQHDDRDLTPAKLKPLLSRANLLIARDIEWANIMLAFEQPVGFIREKSNVIFRQLFRSRRPFVAEITDAMGNEIFTVRRPFWLINSSIYAEVNGKEVGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFTLTDEDDNVLAQIDRNWRGIGFELFTDAGQYAIRFGDAGLNRKFGLASDIDELHVVRQLTLPERAVALALAVSLDCDYFSRRGGW >ONIVA02G11200.3 pep chromosome:AWHD00000000:2:8062531:8068396:-1 gene:ONIVA02G11200 transcript:ONIVA02G11200.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid scramblase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G437] MRWLLRLLSHAAAAGRATAKTTGSHVGGSNGFASGRGGDDGTVVPREWLQKLWTEELRKQRDVARRWGWRAVATSDGGGADSFGDFAMAPSRSFQHDDRDLTPNSWIGLKGIGYRMKMETNFLNEQDGHFCGQAKLKPLLSRANLLIARDIEWANIMLAFEQPVGFIREKSNVIFRQLFRSRRPFVAEITDAMGNEIFTVRRPFWLINSSIYAEVNGKEVGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFTLTDEDDNVLAQIDRNWRGIGFELFTDAGQYAIRFGDAGLNRKFGLASDVSAVLILVLHSPHTACLLNCYLPDRDPCHK >ONIVA02G11200.4 pep chromosome:AWHD00000000:2:8062531:8068396:-1 gene:ONIVA02G11200 transcript:ONIVA02G11200.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid scramblase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G437] MLAFEQPVGFIREKSNVIFRQLFRSRRPFVAEITDAMGNEIFTVRRPFWLINSSIYAEVNGKEVGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFTLTDEDDNVLAQIDRNWRGIGFELFTDAGQYAIRFGDAGLNRKFGLASDVSAVLILVLHSPHTACLLNCYLPDRDPCHK >ONIVA02G11190.1 pep chromosome:AWHD00000000:2:8018681:8019125:-1 gene:ONIVA02G11190 transcript:ONIVA02G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRPPPPLQASSLSQQFSLSSSRRRCRRRVDAIIAAVVVVIVVTSAPPSSRVSSPYPQAAPSSSHVAGRGRSQVAVAERGRISAPGLAGGGSTGVGAIRYSWWRRWRAAGDPHSQGEPAADPQASALSWPAAEAAPRPATEAATS >ONIVA02G11180.1 pep chromosome:AWHD00000000:2:8018218:8019818:1 gene:ONIVA02G11180 transcript:ONIVA02G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERENNKMKMEEGGRHHRPSLEVSSCGGLGVECGSLGAVASPKSLQRVTWESSSTTSEGRSQSITGWMSTSARACRCCVNPSRSRRECGGFMHLSTRAHNRSTQQPPPGRSIHPSAAPAAAVSANGMCTQGQSTPNVWFFFRLINTSSCIHMQKDPYYTLLLALHLYLQVKVYTLPPSLNILCFSNHFSY >ONIVA02G11170.1 pep chromosome:AWHD00000000:2:8012725:8015836:-1 gene:ONIVA02G11170 transcript:ONIVA02G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19530) TAIR;Acc:AT5G19530] MVGAVQEGIVREMNGGFEVMSGYDRSSMAPKQQQREEESKCVLHRGASKYQEIALIDTKHFGKALIIDGKMQSTEVDEFIYHESLIHPPLLFHPNPKTVFIMGGGEGSAAREVLRHNTVHRVVMCDIDQEVVDFCRTYLTVNWDAFASDKLCLIINDARAELEKSREKFDVIVGDLADPVEGGPCYQLYTKSFYQHIVKPKLNDRGVFVTQAGPAGVLTHKEVFSSIYNTLRHVFKYVKAYTAHVPSFADTWGWVMASDYPFSMNAQQINERIKERIDGELVYLSGESLISSTILNKSVYQSLLNETHVYTEDDARFIYGHGRARCA >ONIVA02G11160.1 pep chromosome:AWHD00000000:2:8000488:8011073:1 gene:ONIVA02G11160 transcript:ONIVA02G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G433] MAVGGRGRRPLLLLLLLLAVALALAARARAQLSPGFYSASCPTVHGVVRQVMSQAVMNDTRAGAAVLRLFYHDCFVGGCDASVLLDDTPAAPGEKGVGPNAVGSTTVFDLVDTIKAQVEAVCPATVSCADVLAIAARDSVNLLGGPSWAVPLGRRDALSPSRSAVSTDLPGPEADISALVSAFAAKGLSSRDLAALSGAHTVGRASCVNFRTRVYCDANVSPAFASHQRQSCPASGGDAALAPLDSLTPDAFDNGYYRNLVAGAGLLHSDQELFNNGPVDSVVQLYSSNAAAFSSDFAASMIRLGNIGPLTGSTGEVRLNCRKFSATMAAMSASGRRVFLLGALLLVAVSCHGGFGGVGVAEGLSTRYYAKTCPAVESVVRSVMARAVAADRRMGASVLRLFFHDCFVNGCDGSVLLDDAPPGFTGEKGAGANAGSARGFEVVDAAKARVEAACRATVSCADVLALAARDAVALLGGPTWPVRLGRKDARTASQAAANGNLPGPVSSLTSLLATFAAKGLSARDMTALSGAHTVGRARCATFRGRVNGGDANVNATFATQLRRLCPAGTGGDGNLAPLDAQTPDVFDNGYFRELTKQRGLLHSDQELFAAGGGGRSSSQDALVRKYAGNGAEFARDFAKAMVKMGNLAPAAGTPVEVRLNCRKPN >ONIVA02G11130.1 pep chromosome:AWHD00000000:2:7963358:7967274:-1 gene:ONIVA02G11130 transcript:ONIVA02G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPGGPHPTGGGAAADPMQVDQPRSAAAAAAVAPAGEKHGASLMEGSDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVVSLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYSNMNQRMPLIYVKLYVYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKICDFGSAKMLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPNLRCTALEACAHSFFDELREPHARLPNGRPFPPLFNFKQELANISPELINRLIPEHARRHCGFNFLPAAGP >ONIVA02G11120.1 pep chromosome:AWHD00000000:2:7955121:7959313:-1 gene:ONIVA02G11120 transcript:ONIVA02G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G45780) TAIR;Acc:AT5G45780] MAGPRALAVAAAVVVAAWAVAAAGDPPLSPKGLNYEVAALMAVKSRMRDEKGVMGGWDINSVDPCTWSMVACSPDGFVVSLQMANNGLAGTLSPSIGNLSHLQTMLLQNNMISGGIPPEIGKLTNLKALDLSGNQFVGEIPSSLGRLTELNYLRLDKNNLSGQIPEDVAKLPGLTFLDLSSNNLSGPVPKIYAHDYRWRLPFASADQDLEIELGHLKHFSFHELQSATDNFNSKNILGQGGFGVVYKGCLRNGALVAVKRLKDPDITGEVQFQTEVELIGLAVHRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLRDYHHGKPSLDWSKRMRIAVGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDRQESHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHAQSQKGMILDWVREVKEENKLDKLVDRDLKDSFDFAELECSVDVILQCTQTNPILRPKMSEVLNALEANVTLPENGIDLNREVPPYGGSCSFSVRHEDPHDSSSFIIEPIELSGPR >ONIVA02G11110.1 pep chromosome:AWHD00000000:2:7950330:7957067:1 gene:ONIVA02G11110 transcript:ONIVA02G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G430] MAMSSSRSAAAIRGRRVGALARARRHATRAMASLFGHVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLECVREAERRIAGNLNMEYLPMGGSIKMIEESLKLAYGEDSDFIKDKRIAAVQALSGTGACRLFADFQRRFLPNSQIYIPTPTWSNHHNIWRDAQVPQRTFTYYHPESRGLDFAGLMDDIKNAPNGSFFLLHACAHNPTGVDPTEEQWREISYQFKIKNHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVSVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLKEVKGMADRIIGMRKALRENLEGLGSPLSWDHITNQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKTK >ONIVA02G11100.1 pep chromosome:AWHD00000000:2:7934122:7935825:1 gene:ONIVA02G11100 transcript:ONIVA02G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRPRLPLLLLLLLHFSLLASPCSARWRDGCGVGDLRESFLRCVARRSPATAGDPSRLVHAPGDASYPSLLDSTIQNLRFASPRTPRPALLLTPVTADEVRACVVCCRAHGLTVRARSGGHDYEGLSYRSLGRSPRFAVVDVAALRAVRVDAARGVARAEAGATLGELYYAVAEGSGGRLGFPAGICPTVCVGGHLSGGGFGPMMRKYGLAADNVVDAEVVDAEGRLLDRAAMGEGLFWAIRGGGGGSVGIVVSWTVNLVPVPAVVSAFTVHRLLLRRGGHDEQSLLRLLTKWQAVAHALPDNLFVKMSMEAKTINDGDDSTRHPLVTFKSLFLGNCSDMITQIDHHLPELGIKPTDCREMNWLQSMLYSYGYTNGQPAEVLLDRTLQPKDYYKIKLDYLTSPIPTPGLIELLTKIVEDEDGSIDIDPQGGEMSRIPESGTPYAHRSGYLYNLQYFVKWGGDKNVSYEDDHLSWVRGLHELMTPYVSKNPRAAYINYRDLDLGQNVEGNTSYEEARVWGEKYFRGNFRRLAMVKGEVDPDQLFWSEQSIPPLVVSTRDAGLVSDS >ONIVA02G11090.1 pep chromosome:AWHD00000000:2:7920504:7931381:1 gene:ONIVA02G11090 transcript:ONIVA02G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >ONIVA02G11080.1 pep chromosome:AWHD00000000:2:7900938:7906197:1 gene:ONIVA02G11080 transcript:ONIVA02G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRIQAHVQDWFPFVLATETRRLGGNSHGRGQSQGQGEYKCASVVPPSSVTTASKLFFHDARKRVKELDFGNLLNIKLEKLTSRELAARLTDQAEIQTNQDQTELNNKPGESLCITVEVVHLILTMRRGSVQELTSLPTNEASKAFISIYSVLKVRDDKFSKVKPIGMLLLMIDTKREMKICGTTSIREWINHTPMIVTESLQLHVQSSHHHKPKEARPYIYGLLKSLLKQSLKLHVLQAKDPDEDEEVYCIHNAKPSILSLPSHKTATPVSLIPMQTQPMDGDMDDPIPIPWVSEDEKTASTKPEFIPVNRNSAEAPCSSCSSSMPPSNSGDEVHVPDQRGLGWRASCGFSRRRSPRHGMPTVGGVVLQLVAPRRATAAAAEVRGAGEDGEAAVVGEEPEGAGSGWVEQAAEPRRKAGRRGSPRAAGEDRADERAWRDGRARRMSSLREAYGGGGAGAGAGVRFGSTEAAPPIARIGMDCGGVSA >ONIVA02G11070.1 pep chromosome:AWHD00000000:2:7896366:7905061:-1 gene:ONIVA02G11070 transcript:ONIVA02G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKALSDNHRGTVKPCETFWKERTLRQRSSVVVQTEIFDFVEDLHNFTPTFGKIREAHVQK >ONIVA02G11060.1 pep chromosome:AWHD00000000:2:7894366:7899768:1 gene:ONIVA02G11060 transcript:ONIVA02G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein C3 [Source:Projected from Arabidopsis thaliana (AT1G60430) TAIR;Acc:AT1G60430] MSQVGSAPVYHSSFVDDTGITKACGCPLLPLKTHIRGPAPAFDQDKADIVDEAITFFRANVFFKNFNVKSPADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPELLRNYLKQIREETSGRLLNCAYRANGTPNKWWLAFAKRKFMNIVIL >ONIVA02G11060.2 pep chromosome:AWHD00000000:2:7894231:7899768:1 gene:ONIVA02G11060 transcript:ONIVA02G11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein C3 [Source:Projected from Arabidopsis thaliana (AT1G60430) TAIR;Acc:AT1G60430] MISFQIESMYMQLTGGPHESYSLTCENVHVYYALLYGTSLRIFFLISCPFKTLEKPDTQLILWRSTKRCADQAALGHRKAA >ONIVA02G11050.1 pep chromosome:AWHD00000000:2:7882064:7885855:-1 gene:ONIVA02G11050 transcript:ONIVA02G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A [Source:Projected from Arabidopsis thaliana (AT1G09760) TAIR;Acc:AT1G09760] MVRLTADLIWKSPHFFNAVKDRELDIRGNKIPIIENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLVNNNRITRINPNLGEFLPKLHTLVLTNNRLTNLAEIDPLASLPKLQFLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERIAAAQKFHSKEAEEEAKKVPAKTFTPGQVVDAQDTTMEEQGPKVVAPTPEQITAIKAAIVNSQTLEEVARLEKALSTGQIPAEFAIPKPDANMADASEETEKMETDGQNQENGADEKKQNEESTPIEED >ONIVA02G11040.1 pep chromosome:AWHD00000000:2:7878323:7878745:-1 gene:ONIVA02G11040 transcript:ONIVA02G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCHSSPPSLVDLVSLPPSSPAGGGGGGAGAGVVQVVPLDVSEELLGKFQDTSEFGFEYEKSGLWSPLVVRPEALVLAGGGKRRRRSWRRKVFCCW >ONIVA02G11030.1 pep chromosome:AWHD00000000:2:7872393:7879014:1 gene:ONIVA02G11030 transcript:ONIVA02G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18810) TAIR;Acc:AT4G18810] MSCCAASSSSPVLANPRGGFAASCSTRRNHRVIFLGSRQFSPIIYSPARRASSRLSRREVIAFAGQQSWDIGRFVRTLYFFNGPPNPLKIVESILSSFTGSAPGEVPKKMESSDVVLVTGATGGVGRRVVDILRNKGIPARNEEKARSMLGPDVDLIIGDVTKENSLDPKYFKGIKKVVNAVSVIVGPKEGDTPDRQKYKQGIKFFEPEIKGPSPEMVEYLGMQNLINAVKNSVGLTEGKLLFGGTGNLSGKIVWGALDDVVMGGVSESTFQIQPTGSETDGPTGLFKGTVSTSNNGGFTSIRTKNFTVPEDLSAYDGIELRVKGDGRRYKLIVRTSFEWDTVGYIASFDTTKGEWQSVKLPFSSLNPVFRARTMPDAAPFDASNVTSLQLMFSKFEYDGKLNPTFTEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRMNKELGSILTYKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEIAFICVAALASPNAVEKTFEVKSTVPFSEPFVVDPSNPPPEKDYDVYFKELKAGITGKEALEGTPAQV >ONIVA02G11030.2 pep chromosome:AWHD00000000:2:7872393:7877760:1 gene:ONIVA02G11030 transcript:ONIVA02G11030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18810) TAIR;Acc:AT4G18810] MSCCAASSSSPVLANPRGGFAASCSTRRNHRVIFLGSRQFSPIIYSPARRASSRLSRREVIAFAGQQSWDIGRFVRTLYFFNGPPNPLKIVESILSSFTGSAPGEVPKKMESSDVVLVTGATGGVGRRVVDILRNKGIPARNEEKARSMLGPDVDLIIGDVTKENSLDPKYFKGIKKVVNAVSVIVGPKEGDTPDRQKYKQGIKFFEPEIKGPSPEMVEYLGMQNLINAVKNSVGLTEGKLLFGGTGNLSGKIVWGALDDVVMGGVSESTFQIQPTGSETDGPTGLFKGTVSTSNNGGFTSIRTKNFTVPEDLSAYDGIELRVKGDGRRYKLIVRTSFEWDTVGYIASFDTTKGEWQSVKLPFSSLNPVFRARTMPDAAPFDASNVTSLQLMFSKFEYDGKLNPTFTEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRMNKELGSILTYKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEIAFICVAALASPNAVEKTFEVKSTVPFSEPFVVDPSNPPPEKDYDVYFKELKAGITDSVIGI >ONIVA02G11020.1 pep chromosome:AWHD00000000:2:7869310:7871820:1 gene:ONIVA02G11020 transcript:ONIVA02G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G419] MPWAESLLFLLLLFSLPIPFSHSWSFDYPSPIANINSLWTNNNATIPYSATYPDGSTIRAILVRQNPTWYSPFFACGFICTAPCNDFLFAIFSVSVGDPSNPAFNTSSMPQIMWTANRSRPVKDNASLQFKDGNLILRDFDGSLVWSTNTSDSRVVGLNLAETGNMVLFDAMGKTVWESFEHPTDTLLLGQSLRQGKRLTSDSLATNWTQGQFYLTVLDNGLYAFIEADPPQLYYQRRFNITDAIVQPNMNISSDGAKNYTTYISFLKGSLSAFVSFNNTDINLFDISLPSPSSAQFMSLENDGHLRVYRWDGTSWKPQADVLHVDLDDCAYPTVCGDYGICSEGQCSCPSRNSGDEDQFFRQLDNRQPNMGCSLAIPLSCDLTQYQQLLPLPNVMYFNLGQNWTTDEYSCKEACLKACSCKAAFFKYNNVSNGSCYLMPKLFSLMNYQPEVVGYNLSAYIKVQMLPPPPRSKQLNPLVYHVGAPIIVAVICIIILIIRRIMKRKMDDDDPFKGLAGMPTRFSYKQLREATNNFSKKLGQGGFGPVYEGKLGNVKIAVKCLRDIGHGKEEFMAEVITIGSIHHINLVRLIGYCSDKFHRLLVYEHMTNGSLDKWIFRKNPRGTLSWATRYKIILDIAKGLAYLHEECRQKIAHLDIKPGNILLDDKFNAKISDFGLAKLIDRDESHVMTKIRGTRGYLAPEWLSSTITEKADIYSFGVVVLEIVSGRKNLDNNQPEASNNLINLLQEKIKVGQVLDILDNQNEEIQLHGEEIIEVIKLAVWCLQRDCSKRPAMSQVVKVLEGKIDTETSAGYDAASKDDINFDASSPLSPAPVSAR >ONIVA02G11010.1 pep chromosome:AWHD00000000:2:7865885:7872693:-1 gene:ONIVA02G11010 transcript:ONIVA02G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAAAGRQGEDGVGRAYSPGGWREPERKTRRRSSSSLLLLRPPGRCYEPSPRGGGGDDDGGDAAGEVGEWSGGKRIPRPHKTLPSPRAPTWPPQHGPRAPRDSCSARVALRFLFYYFIILSLSRFPRRRALALVSHASLAGVARRAAPDEDGLRRRVIPTGWVHERARGARRRQSGGGVPRRGLPVGGRGGTDGVGPPRVRRNAWPSMARFGYNCNYNRSTNMFVAKESEVSLFPCLSDCPRRSVSVGCSNDSHTVFPIASKRTIFPVSARFRPTTRLSDVFVDQTREPSKSRSMRLPSLNWSDALSFTGRDLLAVHMICGMDEVLKAGLLGSPTDTEKMAKRKSLQGAVQMKPHAKNGLYHDQEWEKGMGSENKRRRNSKLSAQGMVGLCSLFKWKQGNFHQERDIPHDFSRRTHQLGASCKASN >ONIVA02G11010.2 pep chromosome:AWHD00000000:2:7867351:7872693:-1 gene:ONIVA02G11010 transcript:ONIVA02G11010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAAAGRQGEDGVGRAYSPGGWREPERKTRRRSSSSLLLLRPPGRCYEPSPRGGGGDDDGGDAAGEVGEWSGGKRIPRPHKTLPSPRAPTWPPQHGPRAPRDSCSARVALRFLFYYFIILSLSRFPRRRALALVSHASLAGVARRAAPDEDGLRRRVIPTGWVHERARGARRRQSGGGVPRRGLPVGGRGGTDGVGPPRVRRNAWPSMARFGYNCNYNRSTNMFVAKESEVSLFPCLSDCPRRSVSVGCSNDSHTVFPIASKRTIFPVSARFRPTTRLSDVFVDQTREPSKSRSMRLPSLNWSDALSFTGRDLLAVHMICGMDEVLKAGLLGSPTDTEKMAKRKSLQGAVQMKPHAKNGLYHDQEWEKGMGSENKRRRNSKLSAQGMVDEFDLIGCRDIHVSDPDLSSRQPQIRRREPS >ONIVA02G11010.3 pep chromosome:AWHD00000000:2:7866358:7872693:-1 gene:ONIVA02G11010 transcript:ONIVA02G11010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAAAGRQGEDGVGRAYSPGGWREPERKTRRRSSSSLLLLRPPGRCYEPSPRGGGGDDDGGDAAGEVGEWSGGKRIPRPHKTLPSPRAPTWPPQHGPRAPRDSCSARVALRFLFYYFIILSLSRFPRRRALALVSHASLAGVARRAAPDEDGLRRRVIPTGWVHERARGARRRQSGGGVPRRGLPVGGRGGTDGVGPPRFVAKESEVSLFPCLSDCPRRSVSVGCSNDSHTVFPIASKRTIFPVSARFRPTTRLSDVFVDQTREPSKSRSMRLPSLNWSDALSFTGRDLLAVHMICGMDEVLKAGLLGSPTDTEKMAKRKSLQGAVQMKPHAKNGLYHDQEWEKGMGSENKRRRNSKLSAQGMVGLCSLFKWKQGNFHQERDIPHDFSRRTHQLGASVSGNWVPL >ONIVA02G11010.4 pep chromosome:AWHD00000000:2:7867351:7872693:-1 gene:ONIVA02G11010 transcript:ONIVA02G11010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAAAGRQGEDGVGRAYSPGGWREPERKTRRRSSSSLLLLRPPGRCYEPSPRGGGGDDDGGDAAGEVGEWSGGKRIPRPHKTLPSPRAPTWPPQHGPRAPRDSCSARVALRFLFYYFIILSLSRFPRRRALALVSHASLAGVARRAAPDEDGLRRRVIPTGWVHERARGARRRQSGGGVPRRGLPVGGRGGTDGVGPPRFVAKESEVSLFPCLSDCPRRSVSVGCSNDSHTVFPIASKRTIFPVSARFRPTTRLSDVFVDQTREPSKSRSMRLPSLNWSDALSFTGRDLLAVHMICGMDEVLKAGLLGSPTDTEKMAKRKSLQGAVQMKPHAKNGLYHDQEWEKGMGSENKRRRNSKLSAQGMVDEFDLIGCRDIHVSDPDLSSRQPQIRRREPS >ONIVA02G11010.5 pep chromosome:AWHD00000000:2:7865937:7866869:-1 gene:ONIVA02G11010 transcript:ONIVA02G11010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSREVMKTDGLMMACAPCSNGSREISIRSVIFHTTSPEEHTNSVPRVNVKVYVVLQSHW >ONIVA02G11010.6 pep chromosome:AWHD00000000:2:7867351:7872693:-1 gene:ONIVA02G11010 transcript:ONIVA02G11010.6 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAAAGRQGEDGVGRAYSPGGWREPERKTRRRSSSSLLLLRPPGRCYEPSPRGGGGDDDGGDAAGEVGEWSGGKRIPRPHKTLPSPRAPTWPPQHGPRAPRDSCSARVALRFLFYYFIILSLSRFPRRRALALVSHASLAGVARRAAPDEDGLRRRVIPTGWVHERARGARRRQSGGGVPRRGLPVGGRGGTDGVGPPHEFDLIGCRDIHVSDPDLSSRQPQIRRREPS >ONIVA02G11000.1 pep chromosome:AWHD00000000:2:7863393:7865579:1 gene:ONIVA02G11000 transcript:ONIVA02G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 17 [Source:Projected from Arabidopsis thaliana (AT1G29340) TAIR;Acc:AT1G29340] MAAAASASSPVEFLLRRPAPRRRRLPLAGAFFAPTGLAGATLLRAVASLAASLVAGARPPSQRRNVDALARRLALLSAILESILLDTAAAGAFSDAANLCFRELYVVLFRAELLVSYVASAGRAWALLRSPHLAASFRDLDAELAVVLDVLPAASLRLSHDATGLLDLLRAHCRCRAPAQYHDPDEAALRERLMDALRQFDLGQPPDHPSLQSLLADMGISTAASCRAEIDYLEEQILSQEEDTDLPLVGSVLALLRYCLFAVFDPSNAKALRDWPLSGNRQRLLSIGGGDDTSFSVPKEFSCPISLDLMRDPVVASTGQTYDRPSIIQWIEEGHSTCPNSGQTLADHRLVPNRALRSLISQWCGVYGLQYDSPESNEGMAECVAASCSSRAAMEANKATARILVRMLEDGSENVKAVAAKEIRLLAKTGKQNRAFIADLGAIPLLCRLLLSNDWMAQENAVTALLNLSIFEPNKGRIMEQEGCLRLIVGVLQNGWTTEAKENAAATLFSLSVVHNFKKLIMNEPGAVEELASMLTKGTSRGKKDAVMALFNLSTHPESSARMLESCAVVALIQSLRNDTVSEEAAGALALLMKQPSIVHLVGSSETVITSLVGLMRRGTPKGKENAVSALYEICRRGGSALVQRVAKIPGLNTVIQTITLNGTKRAKKKASLIVKMCQRSQMPSAMALGSTLTVVDRSLVGNNTLRRAASFGSGELSNPISISVQVP >ONIVA02G10990.1 pep chromosome:AWHD00000000:2:7845431:7851116:1 gene:ONIVA02G10990 transcript:ONIVA02G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G14860) TAIR;Acc:AT3G14860] MASLLLLLLLVLSLAAVHTDAAAFPSPADSIVRQLSSVVKWPRVPSSSSSSSHGHKQPSHPQYDGGVALQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEYSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDTGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDATLLSSDIILVIGAVVAGYIFSVVQHGFGSSTAEKIEAPEDEHQESSTVGKPPLVVESLKEEPSAGWPSLGTLIGDLLKLVIEGVGNQLLRLVPSRLQHGKRKTDLTPLKDRLVMPEDTEETPVAQKLSSTPMRPETLHGPNPVNETAPKAQKSVKSSKFRDSTLSSKHRSSKRQEYAEFYGSSETPQVSSKVPKDRLRHRHREKSGEAVYGTSHPEPKPAEVKPADYSDPKYDPYNIRSKYGADSGYRY >ONIVA02G10980.1 pep chromosome:AWHD00000000:2:7841756:7842070:-1 gene:ONIVA02G10980 transcript:ONIVA02G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAGLAFLQASAEEGAADDQRRHAMASAAAAVPWRRVLRHRPGRKEHGGGGGGGDDGHDDLDWRSWRIPPSGPSSRGHVAVDADAPEKEKKTATVGGGAP >ONIVA02G10970.1 pep chromosome:AWHD00000000:2:7829888:7834156:-1 gene:ONIVA02G10970 transcript:ONIVA02G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWNTDSDSDSDTRKKPIWRTYIFEFLSSHLLQPLAPASTCAQVLDQQLAPHHGSRHPAPPSLHDLNADPPAEDDNHIVHLHGEPLPQPPYFPEPKPPPTSTVVEAVAVAVVAAAAAASPATCHYIWRLIQAIKPLLTIGQRNNRQASPLDPAPPSLRDLNADPPAEDDDHIVHLHGEPLPQPPYFPEPNAAADLDGGLDGDAEASFPGSNPEADGRELDGDLEQDHSLFMFLDPGEVRCRKRLRASEEDDVDVGDARGAPGRCYDDDVAEDGRSTQSRYSWRPRKLHARRRHAGGEQIAADDTYYDSYYYMDGEYGGAYDEEEHQQDDMEAADFHDGNQLSPEHQRVLDRLFGEADGSTRQEEQEAAAQGSGGEHVPPEEDSYEAAAVLAGDDVDEEQLQRQEQVDMTDGTDETF >ONIVA02G10960.1 pep chromosome:AWHD00000000:2:7815822:7816852:-1 gene:ONIVA02G10960 transcript:ONIVA02G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANVGGWRRASAATVCEWWAERRRTSTAAAGHLSPPPSSHLPSTTSPSPILSTCHAGGRGGSSDGGGGAEGIGASTKSGGDPCRGARCRGIHVLWRVEGKCWTVPAREAAVEEAPVLSTTTADAALHPLSRRPHLCPLRPAPAAAAPPLPPLRLFLPSPKPPLARCHRSTAASLPCSSQSHSRTATSPAEPPRPRSTCLRMRVAVAPPNATSAKPNKNSTEGPPVNGL >ONIVA02G10950.1 pep chromosome:AWHD00000000:2:7810945:7814923:-1 gene:ONIVA02G10950 transcript:ONIVA02G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRGALPPRAPLRPAPGGLCGRALLRRTCCLSWPATTGGAALSYQMKNSRWKPVFALETGGPSNADSQDFEDDGGFLGRTRLGRLIQAAGRELLEKLNSARSNSPTKIFLVLFGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPMSRPPGRFQSLIAMVNYWKAGEAKVRECLGDRRRRGELDAGWEWWIVEGDELLLDIICHSPLGIGALLWVWRIHTVHAAACKQRGRGAQTMHRHGSKQSHGEDGLHSPRVCDCDEDSQRVTIQHPTMGLESGDATSGGDLRSRAKDDSYHNPQAPCKEEVGAVTGQRRRWW >ONIVA02G10940.1 pep chromosome:AWHD00000000:2:7795354:7798444:-1 gene:ONIVA02G10940 transcript:ONIVA02G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGGGGGRVPTWRERENNRRRERRRRAIAAKIYAGLRAYGNYTLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKYWSLDRIEAAHVKKGLVKEAIMETKGCKPPASELADQLGRSPSASPCSSYQPSPRGTSSFPSSGSSSQITLGGGGGGEGSSLIPWLKTLSSAGVGIGGGSSSKFPAHYSYFGGGSISAPVTPPSGSPPRTPRLKTAAWEEYHHHHAGSVLPPWATVGASYAYAASSSLPNSTPPSPRRKVAAAAAAAGGGNDAAAWLAGFQISSAGPSSPTYSLVAPPPNPFGAAAAAAGSSSRVMSGACSPVAGGDVQMADAARREFAFGGEGGKMTGLVKAWEGERIHEECGSDDLELTLGSSMTRGDR >ONIVA02G10930.1 pep chromosome:AWHD00000000:2:7789244:7794335:1 gene:ONIVA02G10930 transcript:ONIVA02G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAERPYYPPRPTDGGSGQPAGCDSPMRWDDDDEDHGGGGGDGMTAVAGFNLFEEESDDPPAKNGVVDDPDTTTTSDCNTDMSEDLVKREEGMNFHCDPPLHEHTGIWVPVSVPPMTKRDHEEWHKGFGHNGDYFPEDEFNWEIDEENKEMTMWDVFSEMVVAAKDKVISVASYDLGRRGMSKLSKFFFQEAWKDMAQTLADANAGIANELLETERTIWLPDSAASACMLCNVRFHPIMCSRHHCRFCGGVFCGGCSKGRSLMPPKFNTSEPQRVCDVCGVRLESIQPYLMNRISRASQPPTHDVTDLSTLRSWLNFPYAHTMEYEIYKAANSLNSYCKVGRLKPEKAIPYTILKQAKGLAIITVAKVGMMVAYKVGTGLVIARRADGSWSPPSAIATCGIGYGAQAGGELADFIIVLRNTEAVKTFSGKAHLSVGAGVSASVGHVGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSLVSTRDTENARFYGGPIKAPDILIGSMARPPAAAALYKVLSELFDNPEKQSL >ONIVA02G10930.2 pep chromosome:AWHD00000000:2:7789244:7794335:1 gene:ONIVA02G10930 transcript:ONIVA02G10930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAERPYYPPRPTDGGSGQPAGCDSPMRWDDDDEDHGGGGGDGMTAVAGFNLFEEESDDPPAKNGVVDDPDTTTTSDCNTDMSEDLVKREEGMNFHCDPPLHEHTGIWVPVSVPPMTKRDHEEWHKGFGHNGDYFPEDEFNWEIDEENKEMTMWDVFSEMVVAAKDKVISVASYDLGRRGMSKLSKFFFQEAWKDMAQTLADANAGIANELLETERTIWLPDSAASACMLCNVRFHPIMCSRHHCRFCGGVFCGGCSKGRSLMPPKFNTSEPQRVCDVCGVRLESIQPYLMNRISRASQPPTHDVTDLSTLRSWLNFPYAHTMEYEIYKAANSLNSYCKVGRLKPEKAIPYTILKQAKGLAIITVAKVGMMVAYKVGTGLVIARRADGSWSPPSAIATCGIGYGAQAGGELADFIIVLRNTEAVKTFSGKAHLSVGAGVSASVGHVGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSLVSTRDTENARFYGGPIKAPDILIGSMARPPAAAALYKVLSELFDNPEKQSL >ONIVA02G10920.1 pep chromosome:AWHD00000000:2:7764065:7766561:-1 gene:ONIVA02G10920 transcript:ONIVA02G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDNNSQTTNGGSGHEQRAMEEGRKQEEFAADGQGCGLAFSVPFIQKIIAEIFGTYFLIFAGCGAVTINQSKNGQITFPGVAIVWGLAVMVMVYAVGHISGAHFNPAVTLAFATCRRFPWRQVPAYAAAQMLGATLAAGTLRLMFGGRHEHFPGTLPAGSDVQSLVLEFIITFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPISGASMNPARSLGPAMIGGEYRSIWVYIVGPVAGAVAGAWAYNIIRFTNKPLREITKSGSFLKSMNRMNSST >ONIVA02G10910.1 pep chromosome:AWHD00000000:2:7761775:7763594:1 gene:ONIVA02G10910 transcript:ONIVA02G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELLLATPPPATADDPGLVVYWGRHKEEGSLRKACDTGHYTTVIITFYNVFGYGRYSLDISGHPLAASRGITVLLSIGGQGGGYSLPTHASAADVAYNLIWNAYLGGHRAGVHRPFGDDAAVDGIDFFIDQGGAYHYDDLARLLNGYNKFNVLVSSAVSATTLHISSLSLSSPAAGPSPIHHARDDVAGEAHQSGVGVDVLAMSKY >ONIVA02G10900.1 pep chromosome:AWHD00000000:2:7761400:7762066:-1 gene:ONIVA02G10900 transcript:ONIVA02G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTVMPLDWQCLINVGTDGGKRVAGYVERVAAVAEDVVEGDDDGGVVAGVAGLSQGAFLLVAAPVDDEARVVGRRWRRRSEEQLLLRGGVHARGPCVICVNVGGGTPAERADEARHLLAADMAEPV >ONIVA02G10890.1 pep chromosome:AWHD00000000:2:7748971:7761167:1 gene:ONIVA02G10890 transcript:ONIVA02G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSRLFLLLLLPLLLLLLGAGCRDGRRCAAAAAQTQRLPQQEVEALKGIARKLNKMDWDFSVDPCTGSKTWVNASDSNSYPKSSYPNFPVSNLTCDCSFKNNTECHVISLELMRQNLSGVLPEEVVNLTYLTNLSLQGNRISGTVPKELGRMPFLKSIFISANDITGELPSTFSRLTNMTDFRIDGTNISGRIPSFIKNWQRVNRIDMQGTLMSGPIPPEISLLNNLTELRVTDLSGPSMKFPPLQNAQHLTKVVLRNCSIYGEIPPYLGQMQYLILMDLSFNKLTGQIPQNFDRMVALQLQYLDVSFNNFTDSSLFINCGGKSVEVNGNIYEDDSSRIGTSTFVLSNDRKWAYSSTGDFVGNPDADYIARNTSELTLDHPELYTEARLSPLSLKYYGVCMENGEYSVELHFAEIVFTEDHTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKTFTTNITDNTLEIHFYWAGKGTTGVPDRGVYGPLISAISVIQLNRNHHGISTGLMITIIVAACLIVILALILCYIKFFRKKNLKGNGLQFFYHGRKTDTSDLQTRTQYFFSLKEIESATKNFDPANKIGEGRGDHQLKLDWPTRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRGRMSTRIAGTVGYMAPEYATRGCLTCKADVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGRLLEIVDQRLGSHYSQEEALRMLNVALLCTNTSPVQRPRMSSVVSMLCGQAPLEVVPDEDLSGYIRPSYSQSNQSMNNSLTEWSYAPSSDPSILLQNSMESGDWKQILESDAADVMVIVWWASREDM >ONIVA02G10890.2 pep chromosome:AWHD00000000:2:7748971:7761369:1 gene:ONIVA02G10890 transcript:ONIVA02G10890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSRLFLLLLLPLLLLLLGAGCRDGRRCAAAAAQTQRLPQQEVEALKGIARKLNKMDWDFSVDPCTGSKTWVNASDSNSYPKSSYPNFPVSNLTCDCSFKNNTECHVISLELMRQNLSGVLPEEVVNLTYLTNLSLQGNRISGTVPKELGRMPFLKSIFISANDITGELPSTFSRLTNMTDFRIDGTNISGRIPSFIKNWQRVNRIDMQGTLMSGPIPPEISLLNNLTELRVTDLSGPSMKFPPLQNAQHLTKVVLRNCSIYGEIPPYLGQMQYLILMDLSFNKLTGQIPQNFDRMVALQLQYLDVSFNNFTDSSLFINCGGKSVEVNGNIYEDDSSRIGTSTFVLSNDRKWAYSSTGDFVGNPDADYIARNTSELTLDHPELYTEARLSPLSLKYYGVCMENGEYSVELHFAEIVFTEDHTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKTFTTNITDNTLEIHFYWAGKGTTGVPDRGVYGPLISAISVIQLNRNHHGISTGLMITIIVAACLIVILALILCYIKFFRKKNLKGNGLQFFYHGRKTDTSDLQTRTQYFFSLKEIESATKNFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFGRGDHQLKLDWPTRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRGRMSTRIAGTVGYMAPEYATRGCLTCKADVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGRLLEIVDQRLGSHYSQEEALRMLNVALLCTNTSPVQRPRMSSVVSMLCGQAPLEVVPDEDLSGYIRPSYSQSNQSMNNSLTEWSYAPSSDPSILLQNSMESGYLPSSSSPSSKL >ONIVA02G10890.3 pep chromosome:AWHD00000000:2:7748971:7761369:1 gene:ONIVA02G10890 transcript:ONIVA02G10890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSRLFLLLLLPLLLLLLGAGCRDGRRCAAAAAQTQRLPQQEVEALKGIARKLNKMDWDFSVDPCTGSKTWVNASDSNSYPKSSYPNFPVSNLTCDCSFKNNTECHVISLELMRQNLSGVLPEEVVNLTYLTNLSLQGNRISGTVPKELGRMPFLKSIFISANDITGELPSTFSRLTNMTDLDMQGTLMSGPIPPEISLLNNLTELRVTDLSGPSMKFPPLQNAQHLTKVVLRNCSIYGEIPPYLGQMQYLILMDLSFNKLTGQIPQNFDRMVALQLQYLDVSFNNFTDSSLFINCGGKSVEVNGNIYEDDSSRIGTSTFVLSNDRKWAYSSTGDFVGNPDADYIARNTSELTLDHPELYTEARLSPLSLKYYGVCMENGEYSVELHFAEIVFTEDHTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKTFTTNITDNTLEIHFYWAGKGTTGVPDRGVYGPLISAISVIQLNRNHHGISTGLMITIIVAACLIVILALILCYIKFFRKKNLKGNGLQFFYHGRKTDTSDLQTRTQYFFSLKEIESATKNFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFGRGDHQLKLDWPTRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRGRMSTRIAGTVGYMAPEYATRGCLTCKADVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGRLLEIVDQRLGSHYSQEEALRMLNVALLCTNTSPVQRPRMSSVVSMLCGQAPLEVVPDEDLSGYIRPSYSQSNQSMNNSLTEWSYAPSSDPSILLQNSMESGYLPSSSSPSSKL >ONIVA02G10890.4 pep chromosome:AWHD00000000:2:7748971:7761369:1 gene:ONIVA02G10890 transcript:ONIVA02G10890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSRLFLLLLLPLLLLLLGAGCRDGRRCAAAAAQTQRLPQQEVEALKGIARKLNKMDWDFSVDPCTGSKTWVNASDSNSYPKSSYPNFPVSNLTCDCSFKNNTECHVISLELMRQNLSGVLPEEVVNLTYLTNLSLQGNRISGTVPKELGRMPFLKSIFISANDITGELPSTFSRLTNMTDFRIDGTNISGRIPSFIKNWQRVNRIDMQGTLMSGPIPPEISLLNNLTELRVTDLSGPSMKFPPLQNAQHLTKVVLRNCSIYGEIPPYLGQMQYLILMDLSFNKLTGQIPQNFDRMVALQLQYLDVSFNNFTDSSLFINCGGKSVEVNGNIYEDDSSRIGTSTFVLSNDRKWAYSSTGDFVGNPDADYIARNTSELTLDHPELYTEARLSPLSLKYYGVCMENGEYSVELHFAEIVFTEDHTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKTFTTNITDNTLEIHFYWAGKGTTGVPDRGVYGPLISAISVIQLNRNHHGISTGLMITIIVAACLIVILALILCYIKFFRKKNLKGNGLQFFYHGRKTDTSDLQTRTQYFFSLKEIESATKNFDPANKIGEGRGDHQLKLDWPTRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRGRMSTRIAGTVGYMAPEYATRGCLTCKADVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGRLLEIVDQRLGSHYSQEEALRMLNVALLCTNTSPVQRPRMSSVVSMLCGQAPLEVVPDEDLSGYIRPSYSQSNQSMNNSLTEWSYAPSSDPSILLQNSMESGYLPSSSSPSSKL >ONIVA02G10880.1 pep chromosome:AWHD00000000:2:7739090:7745874:1 gene:ONIVA02G10880 transcript:ONIVA02G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G3Z6] MDRARLAVLSAHLASPAAACGEADAAGPLERSAASAGARGGALAVVDGRTGKRYEVKVSDEGTVRATDFKKITTGKDDKGLKIYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSSFVEVAYLLMYGSLPTQSQLAGWEFAISQHSAVPQGLLDIIQAMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQVRDKQIVRVLGKAPTIAAAAYLRLAGRPPILPTNSLSYSENFLGDKEYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVFTALSGAVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAVALEKAALSDDYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERVPASQGEQLGQIATSNATRRRRAGSAL >ONIVA02G10870.1 pep chromosome:AWHD00000000:2:7738487:7761042:-1 gene:ONIVA02G10870 transcript:ONIVA02G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKANKQETQVLTALKKGSLKMGALAQDGIFKTKRAAKSKLKSQNNFLLRFAIDKIAQLALILGALGGNNFEAVVKNTTVHSGMAWMSSNSTRKSSEDINTTKTDIFGGES >ONIVA02G10860.1 pep chromosome:AWHD00000000:2:7733343:7734926:1 gene:ONIVA02G10860 transcript:ONIVA02G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLATGRVIGDVLDPFISTVDLTVMYGDDGMPVISGVELRAPAVAEKPVVEVGGDDLRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDATYGREVVCYESPNPTTGIHRMVLVLFRQLGRETVYAPAVRHNFTTRAFARRYNLGAPVAAVYFNCQRQAGSGGRRFTGPYTSRRRQA >ONIVA02G10850.1 pep chromosome:AWHD00000000:2:7715238:7716224:-1 gene:ONIVA02G10850 transcript:ONIVA02G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53490) TAIR;Acc:AT1G53490] MKSAYRSVMFYIGQKELEMQYKMNRIVGQCRQKCELMQAKFTEKLEEVHTAYQKMAKKCQLMEQEVENLSRDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESAKRSAIQPANNYFPRAQPDLFSGVPNIMDSSDPLRQGLAGLPETPGRRDEGWAPPPRQRRSTSGPFELSAGSPAHNAAPPVDIRPRQPARPVFGTAMNNTSAALRNMIISPVKRPQLSRNRPHMFT >ONIVA02G10840.1 pep chromosome:AWHD00000000:2:7711696:7713178:1 gene:ONIVA02G10840 transcript:ONIVA02G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTAEGGGGVAPFVAKTYRMVDDPATDGVIAWGRDSNSFVVADPFAFSQTLLPAHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHVSFLRGQTHLLRRIVRRSSGGGGAKRKEEAGGCGGGGEAAAGDVDEESAVVAMEVARLRREQREIEGRVAAMWRRVQETERRPKQMLAFLVKVVGDPQVLRRLVDRDNTNAAPAASNADDAAVHHQVKRPRLLLDSSSTTTTHGDRHLVTAAADGFYAGGCGPEAAAAAAFVPDDALDFTGLYTGGDGFGNAVVDAGVDDPPAYAFPVVDSGY >ONIVA02G10830.1 pep chromosome:AWHD00000000:2:7700723:7701553:-1 gene:ONIVA02G10830 transcript:ONIVA02G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKTSKELDYVLVPMGMAVMVAYHAWLLLRIRRRPATTVIGINAINRRIWVRHVMEEASGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGVAHGIFSPGAGDGQGEIVVGAGGETALSIKFFAILVCFLVAFLLNVQSIRYYSHTGILVNVPLHAHRHRRRRPGLAVDYVTATLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFASCLAMVCGLYFLDVYTEWDEKPEEEEELNGNGDGDDGGAAACHEQPKMAAGNRVTPQQDVV >ONIVA02G10820.1 pep chromosome:AWHD00000000:2:7695835:7696578:-1 gene:ONIVA02G10820 transcript:ONIVA02G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLDYVLVPMGMAVMVAYHAWLLLRIRRRPATTVIGINAINRRIWVRHVMEEPSGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGVAHGIFVSSGHAVVGGGVAGEAELSVKFFAILVCFLLAFLLNVQSIRYYSHTGLLVNVPLHAHRHRRRRPGLAVDYVTATLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFAACLAMVCALYFLDVYTEWDKADDEEDDLDDDDDGCVPAKC >ONIVA02G10810.1 pep chromosome:AWHD00000000:2:7691486:7692605:-1 gene:ONIVA02G10810 transcript:ONIVA02G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCRCSDRTVAPPPASTAPPSHLSSSPIPAVSVTPPSSRHRLLALRYPSRLLAPTPLARIIPVQPATPTAPLATTTTVFSLCPAQVTGEKERAQCVFLGQALPEFLFPRPAHDTPGHRLASRRR >ONIVA02G10800.1 pep chromosome:AWHD00000000:2:7689535:7691346:-1 gene:ONIVA02G10800 transcript:ONIVA02G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPAPAHSPPWPSRRPCGAVTSPARRRRSHPRHGRPRPPTTASLPATPGCSAASRTHATCSTEYRPRMLSPYNTLLSCHFASGDADGARRLFASMPVRDVASWNTMVSGLSKSGAVEEAKAVFLAMPVRNSVSWNAMVSGFACSGDMSAADEWFRNAPEKGDAVLWTAMVSGYMDIGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSHADDALRLFRTMVREANVQPNASTLSSVLLGCSNLSALGFGKQIHQWCMKLPLSRNLTVGTSLVSMYCKCGDLSSACKLFGEMHTRDVVAWNAMISGYAQHGDGKEAINLFERMKDEGVEPNWITFVAVLTACIHTGLCDFGIRCFEGMQELYGIEPRVDHYSCMVDLLCRAGKLERAVDLIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLIEKDPQSAGAYVQLANIYAGANQWDDVSRVRRWMKDNAVVKTPGYSWIEIKGVMHEFRSNDRLHPQLYLIHEKLGQLAERMKAMGYVPDLDFVLHDVDETLKVQMLMRHSEKLAISFGLISTAPGMTLRIFKNLRVCGDCHNAAKVISKIEDREIILRDTTRFHHFRGGHCSCGDYW >ONIVA02G10790.1 pep chromosome:AWHD00000000:2:7655594:7659190:1 gene:ONIVA02G10790 transcript:ONIVA02G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGGCWAKEPLEDSRDPMEEGAESTSSTGSPNGTNPLSLWPNALSEDGAAEDAAGATRTTSGEVCLLRGCHLQKLRTGLSSTFAFAPWDFVAWRRRGLAARCGRRRRRKAGGLADAAREYGRRDCRLGLLSWRTAEVAGRSARTRPAWRPPSRPPPCEAGGRGRGEPSLQPLVVRKKWRWGGPSSESSDLGRPPWPVSSLEGAKDRYGTGGAALSSTALSARPTDSNANELPSNGGILPWRLLLVRSRDERLGSVPRPRGISPLIALKLRLRYWSLATSAVNVRPPERPLLGRWILARDERLEMQGGISPVRPPASMSRATTTRGRSWSLMEQVTPRQVQKSGEMVLLHVEKADPFGLERCDFRQSSASRSSANAVRVEKKRIAATATRAAHAILLNLLVCILDSMLVVSYEMLVILNYSGLGCVKIFYPLKPYIGHIFDPC >ONIVA02G10780.1 pep chromosome:AWHD00000000:2:7650430:7659040:-1 gene:ONIVA02G10780 transcript:ONIVA02G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAALVAVAAILFFSTLTALADEREALLCLKSHLSSPNGSAFSTWSNTISPDFCTWRGVTCSIKLQERPRVVVALDMEAGGLTGEIPPCISNLSSLARIHLPNNGLSGGLTFTADVARLQYLNLSFNAISGEIPRGLGTLPNLSSLDLTSNNLHGRIPPLLGSSLALESVGLADNYLTGEIPLFLANASSLRYLSLKNNSLYGSIPAALFNSSTIREIYLRKNNLSGAIPPVTMFTSRITNLDLTTNSLSGGIPPSLANLSSLTAFLAAQNQLQGSIPDFSKLSALQYLDLSYNNLSGAVNPSIYNMSSISFLGLANNNLEGMMPPDIGNTLPNIQVLMMSNNHFVGEIPKSLANASNMQFLYLANNSLRGVIPSFSLMTDLQVVMLYSNQLEAGDWAFLSSLKNCSNLLKLHFGENNLRGDMPSSVADLPKTLTSLALPSNYISGTIPLEIGNLSSMSLLYLDNNLLTGSIPHTLGQLNNLVVLSLSQNKFSGEIPQSIGNLNQLAELYLSENQLSGRIPTTLARCQQLLALNLSSNALTGSISGGMFVKLNQLSWLLDLSHNQFISSIPLEFGSLINLASLNISHNRLTGRIPSTLGSCVRLESLRVAGNLLEGSIPQSLANLRGTKVLDFSANNLSGAIPDFFGTFTSLQYLNMSYNNFEGPIPVGGIFSDRDKVFVQGNPHLCTNVPMDELTVCSASASKRKHKLVIPMLAVFSSIVLLSSILGLYLLIVNVFLKRKGKSNEHIDHSYMELKKLTYSDVSKATNNFSAANIVGSGHFGTVYRGILDTEDTMVAVKVFKLDQCGALDSFMAECKALKNIRHRNLVKVITACSTYDPMGSEFKALVFEYMANGSLESRLHTRFDPCGDLSLGERISIAFDIASAHRCGGQRSAACAIPPSNVLFNHDYVACVCDFGLARSIREYSSGTQSISRSMAGPRGSIGYIAPEYGMGSQISTEGDVYSYGIILLEMLTGRHPTNEIFTDGFTLRMYVNASLSQIKDILDPRLIPEMTEQPSNHTLQLHEHKTGIMDICALQLLKLGLECSEESPKDRPLIHDVYSEVMSIKEAFFATSI >ONIVA02G10770.1 pep chromosome:AWHD00000000:2:7644252:7644902:-1 gene:ONIVA02G10770 transcript:ONIVA02G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAASSRLRLLPWLSSPPARRRRRQGSSTASVAPTGGSPRRRRRRSCTTPGGRRPSPSTSATPSVTNQRQFHLIVVNSSSISSSLILHSHSHPWRVVAEFEYRNDSVIKVSKAGYYHCNETAGVDAGDAPVPGDGARVFYLYVPGFAYFASPDLGRCNEGQRLMINVLAAVPPAAAPAPSTDYDTGAAAGSAFAAASFFAPVVSAAAMAMAGLV >ONIVA02G10760.1 pep chromosome:AWHD00000000:2:7609950:7616959:1 gene:ONIVA02G10760 transcript:ONIVA02G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLLLAAVLVAAEPAAAISTMPMELYFSPGELARIAGYGEEPVSTVVVSGQVACELCLCPGSDLLTFELPGAKVEVACETEGPNTQANSVFTATDEFGNFTFHLPSRLHATPSLENACVVKVLQLPPDSACGLRHRPAASYRIRPSPSLSSSADGFRAYTAGVIRLQHGGTPSGECVQVEDRVDK >ONIVA02G10750.1 pep chromosome:AWHD00000000:2:7590925:7592299:-1 gene:ONIVA02G10750 transcript:ONIVA02G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQSTRGRSTSSGGGEEEESEAPAAAVQAGRISSEDAAARRQNIRGGGGASEEEKRSLRVWSDVLAGGGGAKYSAWRGWMDRAVDGWTPLHLAIQSRNRDITMILLVNGADETRRNKELSLAVTDVLTCAYAVLLSSLRRSWSRGIW >ONIVA02G10740.1 pep chromosome:AWHD00000000:2:7582754:7583513:-1 gene:ONIVA02G10740 transcript:ONIVA02G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATARMHAWQLLLVAVVISCFHCHLAAHHGGKNVRQPPSSVVVVVGSVHSGSDATKAAVSGAPVAVRCHDGNGRDVFRQQALTDRRGEFRVHLPPEAKSRLASVTSCSVKLLASNAARPCDDAAATSAATARGFRLAATKHNGGDGARVFNAGAFAVHPELCDDKGIFFPPLPLVPEPPNIGGVPIPPNPITPAPPSLVPPVFPTPSPPSMHVS >ONIVA02G10740.2 pep chromosome:AWHD00000000:2:7582756:7583513:-1 gene:ONIVA02G10740 transcript:ONIVA02G10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVATARMHAWQLLLVAVVISCFHCHLAAHHGGKNVRQPPSSVVVVVGSVHSGSDATKAAVSGAPVAVRCHDGNGRDVFRQQALTDRRGEFRVHLPPEAKSRLASVTSCSVKLLASNAARPCDDAAATSAATARGFRLAATKHNGGDGARVFNAGAFAVHPELCDDKGIFFPPLPLVPEPPNIGGVPIPPNPITPAPPSLVPPVFPTPSPPSMHVS >ONIVA02G10730.1 pep chromosome:AWHD00000000:2:7579352:7580142:1 gene:ONIVA02G10730 transcript:ONIVA02G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRQKSHGAESGGGRRQKLAVEFCRTAEASGGRWQEAEQRWRNLIGKFCHATEAGGAGGHRMTIILIGNKCDLSHRRVVSYQEGEQFAKEHGLLFMEASAKTAHNVEKAFFLAARTVHKKIEDGVINLLDESTEEEKHYSCWF >ONIVA02G10720.1 pep chromosome:AWHD00000000:2:7570136:7573513:1 gene:ONIVA02G10720 transcript:ONIVA02G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFPECISAVVLISLSTMIAASSGLSMSNSSNTDLTALMASKAQLSDPLGILGRNWTVGTPFCHWVGVSCRRHRQRVTAVELPDVPLQGELSPHIGNLSFLSVLNLSNTGLMGSVPDDIGRLHRLKILDLGHNDMLGGVPATIGNLTRLDVLDLEFNSLSGPIPVELRLSHNLRSINIQMNYLTGLIPNGLFNNTPSLKHLIIGNNSLSGPIPSCIGSLPLLERLVLQCNNLTGPVPPSIFNMSRLHVIALASNGLTGPIPGNKSFILPILQFFSLDYNYFTGQIPLGLAACRHLKVFSLLDNLFEGPLPSWLGKLTKLNVISLGENLLVVGPIRDALSNLTMLNFLDLAMCNLTGAIPADLGQIGHLSVLRLSTNQLTGPIPASLGNLSALSVLLLDDNHLDGLLPTTIGNMNSLTELIISENGLQGDLNFLSAVSNCRKLSVLCINSNRFTGILPDYLGNLSSTLESFLASRIKLSGKLPATISNLTGLKLLDLSENQLFSALPESIMEMENLHMLDLSGNNLAGSIPSNTAMLKNVVMLFLQNNEFSGSIIEDIGNLTKLEHLRLSNNQLSSTVPPSLFHLDSLIELDLSRNLFSGALPVDIGHLKQIYKMDLSSNHFLGSLPDSIGQIQMITYLNLSLNSFNDSIPNSFGNLTSLQTLDLSHNNISGTIPKYLSSFTMLASLNLSFNNLHGQIPGGGVFSNITLQSLVGNSGLCGVVRLGFAPCKTTYPKRNGHMLKFLLPTIIIVVGAVACCLYVMIRKKVKHQKISTGMVDTVSHQLLSYHELVRATDNFSNDNMLGSGSFGKVFKGHLSSGLVVAIKVIHQHLEHAVRSFNTECRVLRMARHRNLIKIVNTCSNLDFRALVLPYMPNGSLEALLHSEGRIQLGFLQRLDIMLDVSMAIEYLHHEHCEVILHCDLKPSNVLFDDDMTAHVSDFGIARLLLGDDSSMISASMPEYGALGKASRKSDVFSYGIMLLEVFTGKRPTDAMFVGELNIRLWVSQAFPAELVHVVDSQLLHDGSSSTTNLHLHGFLVHVFELGLHCSADYPEQRMAMRDVVVTLKTIRKDYVNWMVLMGSTAQQ >ONIVA02G10710.1 pep chromosome:AWHD00000000:2:7546580:7552918:1 gene:ONIVA02G10710 transcript:ONIVA02G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G51340) TAIR;Acc:AT1G51340] MNGESLLDRSSSADAAMDDAVPGHRHHHPLSVFLRDARLAFRWDELGREIMGIAVPGALALMADPVASLVDTAFIGHIGPVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDATSSDREKYEINGENEFNVSDSEMEELVSHEEASAAPSKSSFETDSSDVKIEHKRKNIPSVSTALLLGGVLGLLQALLLVICAKPLLGYMGVKQGSAMLMPALKYLVVRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDATNIVLDPIFMFVFQYGVSGAAIAHVISQYFIASILLWRLRLHVDLLPPSFKHMQFSRFLKNGFLLLARVIAATCCVTLSASMAARLGSVPMAAFQICLQIWLASSLLADGLAFAGQAILASAFARQDHSKAAATASRILQLGLVLGLLLSIFLGIGLRLGSRLFTDDQDVLHHIYLGIPILVAIVSIIFIVTLASYNGFVGIWIALTVYMSLRMLAGFLRIGTARGPWTFYAAQRMHSHEVVGLC >ONIVA02G10710.2 pep chromosome:AWHD00000000:2:7546580:7552918:1 gene:ONIVA02G10710 transcript:ONIVA02G10710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G51340) TAIR;Acc:AT1G51340] MNGESLLDRSSSADAAMDDAVPGHRHHHPLSVFLRDARLAFRWDELGREIMGIAVPGALALMADPVASLVDTAFIGHIGPVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDATSSDREKYEINGENEFNVSDSEMEELVSHEEASAAPSKSSFETDSSDVKIEHKRKNIPSVSTALLLGGVLGLLQALLLVICAKPLLGYMGVKQGSAMLMPALKYLVVRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDATNIVLDPIFMFVFQYGVSGAAIAHVISQLRLHVDLLPPSFKHMQFSRFLKNGFLLLARVIAATCCVTLSASMAARLGSVPMAAFQICLQIWLASSLLADGLAFAGQAILASAFARQDHSKAAATASRILQLGLVLGLLLSIFLGIGLRLGSRLFTDDQDVLHHIYLGIPILVAIVSIIFIVTLASYNGFVGIWIALTVYMSLRMLAGFLRIGTARGPWTFYAAQRMHSHEVVGLC >ONIVA02G10700.1 pep chromosome:AWHD00000000:2:7536638:7537632:1 gene:ONIVA02G10700 transcript:ONIVA02G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TAPETUM DETERMINANT 1 [Source:Projected from Arabidopsis thaliana (AT4G24972) UniProtKB/Swiss-Prot;Acc:Q6TLJ2] MADCTTMRLASSVTIILLLLVASQALVVSGESSSSAMQSKTLNMNKLLNISEDHSPNGGRHWMQRMQPDSCSEQNVVVYQNNAEHLPSGIPTYSVEIINVCTACTVYDVHISCGEFASAELVDPSQFQRIGFNDCLVKGGGRLGPSEAVSFQYSNSFAYPLAVANVACE >ONIVA02G10690.1 pep chromosome:AWHD00000000:2:7524799:7529016:-1 gene:ONIVA02G10690 transcript:ONIVA02G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITQVIATSVILGLLWWHFDPSTPKGVEPASTYPNLGMPPEWYGSLEWVFPEVQYSSMCIKIAISTGGHACFGDPGFEGWMRSIESEYKDKFRGWVGFTVLVSHRITACCDILLMPSRFEPCGLNQLYAMQYGIVPVVHGTGGLRVKNQEEVANFDDILAKSVAFMVARVD >ONIVA02G10680.1 pep chromosome:AWHD00000000:2:7521725:7522940:1 gene:ONIVA02G10680 transcript:ONIVA02G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKESSLVITVDLCCCRSLTKIRKTLCKLQESEDIRAIMYDDKAGTVAISGGFDPLVLPCKLRRKAGSVIKDIHLKKEREKNRVPPPPPPPPPQPAPAARAAFGTICGAGVAPGRACLCLGQCRCHCGGGYGCRCCGAAPPCYCVPIGGGGCSVVQFTYDEPSPACSIM >ONIVA02G10670.1 pep chromosome:AWHD00000000:2:7494272:7500539:-1 gene:ONIVA02G10670 transcript:ONIVA02G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLPGTGRASSLSSSLLLLLLLGAAMAAAPEAAAVMPMEAYFSPAEFVRIAGYGEELVSTVIVSGKVVCELSLRPPGSDLLSIELPGATVGVACETGGIKTMANSVFTVTDENGNFTIELPSRLHATPNLEKACSVKVLQLPLDCACWPRRSPSYYHGIQLSSSEDGIRSYTTGVIRLQHHDTKSDMSMHHMSGLRLTYVN >ONIVA02G10660.1 pep chromosome:AWHD00000000:2:7486347:7500360:1 gene:ONIVA02G10660 transcript:ONIVA02G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63290) TAIR;Acc:AT3G63290] MAETALATALDIAELPFSDLLLLISPDLPDDGRRGRLLTTVATSLGRGGSGLLAITNVPLAAALRRRLLPLARRLAVMDHPSRSQLLKKHGLGSDVPLKKLDRRVSSFARLLRHSGEFQLLESMKEIESIKNDPDYLEKALDGVVIGEPMGDGTEKLGELVEELGLCMMELGILAARACDTVTGGNQLEKSITDFGTAKARLIHYHSELDNIIIKNSSSKRKVPINKIAKATAYESCSRRSASSQGSCIRSECAMTDTLKDSNDKSIHGQGSVVSLTNLWQEWHYDYGVLTVLTAPLFLCSTMGEDCSISKECSPPDEHTYLQLFNRRKVFSVRCSPESFIVQVGETADILSGGKLRSTLHAVSRPYGSTNISRETFVVFLQPSWDKKLPYSGHCFAGDDEPSEGDDSTFSDGSDMFSSEHTLMQDILKKIPPLSSRVKEGMTFAEFSRQTTKQYYGGGGIQQNN >ONIVA02G10660.2 pep chromosome:AWHD00000000:2:7486347:7495206:1 gene:ONIVA02G10660 transcript:ONIVA02G10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63290) TAIR;Acc:AT3G63290] MAETALATALDIAELPFSDLLLLISPDLPDDGRRGRLLTTVATSLGRGGSGLLAITNVPLAAALRRRLLPLARRLAVMDHPSRSQLLKKHGLGSDVPLKKLDRRVSSFARLLRHSGEFQLLESMKEIESIKNDPDYLEKALDGVVIGEPMGDGTEKLGELVEELGLCMMELGILAARACDTVTGGNQLEKSITDFGTAKARLIHYHSELDNIIIKNSSSKRKVPINKIAKATAYESCSRRSASSQGSCIRSECAMTDTLKDSNDKSIHGQGSVVSLTNLWQEWHYDYGVLTVLTAPLFLCSTMGEDCSISKECSPPDEHTYLQLFNRRKVFSVRCSPESFIVQVGETADILSGGKLRSTLHAVSRPYGSTNISRETFVVFLQPSWDKKLPYSGHCFAGDDEPSEGDDSTFSDGSDMFSSEHTLMQDILKKIPPLSSRVKEGMTFAEFSRQTTKQYYGGGGIQQNN >ONIVA02G10660.3 pep chromosome:AWHD00000000:2:7486347:7495910:1 gene:ONIVA02G10660 transcript:ONIVA02G10660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63290) TAIR;Acc:AT3G63290] MAETALATALDIAELPFSDLLLLISPDLPDDGRRGRLLTTVATSLGRGGSGLLAITNVPLAAALRRRLLPLARRLAVMDHPSRSQLLKKHGLGSDVPLKKLDRRVSSFARLLRHSGEFQLLESMKEIESIKNDPDYLEKALDGVVIGEPMGDGTEKLGELVEELGLCMMELGILAARACDTVTGGNQLEKSITDFGTAKARLIHYHSELDNIIIKNSSSKRKVPINKIAKATAYESCSRRSASSQGSCIRSECAMTDTLKDSNDKSIHGQGSVVSLTNLWQEWHYDYGVLTVLTAPLFLCSTMGEDCSISKECSPPDEHTYLQLFNRRKVFSVRCSPESFIVQVGETADILSGGKLRSTLHAVSRPYGSTNISRETFVVFLQPSWDKKLPYSGHCFAGDDEPSEGDDSTFSDGSDMFSSEHTLMQDILKKIPPLSSRVKEGMTFAEFSRQTTKQYYGGGGIQQNN >ONIVA02G10660.4 pep chromosome:AWHD00000000:2:7486347:7493381:1 gene:ONIVA02G10660 transcript:ONIVA02G10660.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63290) TAIR;Acc:AT3G63290] MAETALATALDIAELPFSDLLLLISPDLPDDGRRGRLLTTVATSLGRGGSGLLAITNVPLAAALRRRLLPLARRLAVMDHPSRSQLLKKHGLGSDVPLKKLDRRVSSFARLLRHSGEFQLLESMKEIESIKNDPDYLEKALDGVVIGEPMGDGTEKLGELVEELGLCMMELGILAARACDTVTGGNQLEKSITDFGTAKARLIHYHSELDNIIIKNSSSKRKVPINKIAKATAYESCSRRSASSQGSCIRSECAMTDTLKDSNDKSIHGQGSVVSLTNLWQEWHYDYGVLTVLTAPLFLCSTMGEDCSISKECSPPDEHTYLQLFNRRKVFSVRCSPESFIVQVGETADILSGGKLRSTLHAVSRPYGSTNISRETFVVFLQPSWDKKLPYSGHCFAGDDEPSEGDDSTFSDGSDMFSSEHTLMQDILKKIPPLSSRVKEGMTFAEFSRQTTKQYYGGGGIQQNN >ONIVA02G10650.1 pep chromosome:AWHD00000000:2:7483527:7484344:-1 gene:ONIVA02G10650 transcript:ONIVA02G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGPGLSVARLLSFSLSFVSPSSSTSGQAATRRCGSAGRGGYGAGAARRRPGKARRNSGDPPSAAAARDGARASSAAGQRHGRSRLQGDRAVELGSFQIDGCASVYEGSLWGGLSTMIPPAAERRPGRHSYDGAHPKLKGPASSMLQEVSQGARIYHGTDGRRRAKTRGAVRTKQRWLAVGDVRRCSTCAPRRPVATRLPQWRRRQQDYSSTPSNLQLRLGSLTMVISSGGERVIARCSMECMTGKRQRRMGFLWPGLA >ONIVA02G10640.1 pep chromosome:AWHD00000000:2:7479439:7479930:1 gene:ONIVA02G10640 transcript:ONIVA02G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEEGSPANGHRSSARGTYAVGDRLDDGARSNDGCMRRRGFNDGGHCGISGGAEEIDEAGVASDSLRERSEEIDEAGNTATFAGNIGNLKFPKLKCSLSVDGRNSSSPLTSFTKKDWSAIHLNEMKPNQQELKKIKYWLPPKCSMSIYRKKNTIQFGCFDL >ONIVA02G10630.1 pep chromosome:AWHD00000000:2:7475760:7482715:-1 gene:ONIVA02G10630 transcript:ONIVA02G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAVAVAGTGVVGKGIKVRRRWGVSQHLQWNPPPSLPEWAAVLPGSTTATSRLLPCRTKRGDAGAKRIATGAREKTPSSVAHRSPPSAIGINVDCRPVLFGKRSEGGTTVATIHRKVASNARLVDLLRAATDPTMATVVESPSPHAAVIGASAVVQPVAHCVVTISNSNSTVQNNLGQEGSSVATDNNLLRCRKNGSNRRYTSTERLYGPCESARIEIEIEIEKCRSNLGIEIPEISVITESDVDPTGLCSAATVRDGIVSNSY >ONIVA02G10620.1 pep chromosome:AWHD00000000:2:7473784:7475590:-1 gene:ONIVA02G10620 transcript:ONIVA02G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTYAYRFKFVTIGDAGVGKSCLLLQFTDKRFREVEDLTTGVEYGACVVAVDGKNTKLQIWDTAGQEAFRCITRSYYRGNAACLLVYDITRNGFAHSMAAGDQSEAMIALFGNITSILMEVILFP >ONIVA02G10610.1 pep chromosome:AWHD00000000:2:7454410:7471546:-1 gene:ONIVA02G10610 transcript:ONIVA02G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTKCVRERILQSPDQSGASQESRGGGSDGVNCSRGLDPTVAVASAAPAGESGGGGGGVVSGRQIRWRLFRRVDPAVLYPLVLTLRAQACPAAVASTLPRADLAEVVAAAFLMGRSSGPPHTPPLPQASPADPSAAAAASPLDPVAVAAVAATTLVAPTGDLAKGICLTPPTSPRPDLPLLILSFSAERAGQRAAIKGQLFGTKE >ONIVA02G10600.1 pep chromosome:AWHD00000000:2:7368214:7368748:1 gene:ONIVA02G10600 transcript:ONIVA02G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LARSRGEAEWWGGDWRCWRRWLEVWQRRRRPPNPSLDLAGWGPATASIDGSGGAWWWWRRAAGSGWTVDGLRWLGSAVAASCRRRRQQQRRERLRSAAASALARTHRGRDGSGGSGGHDSRRDNGACLDGGKCASTAEWPVVVWCEEGDEAGRRAVAAQREVRPVEAS >ONIVA02G10600.2 pep chromosome:AWHD00000000:2:7368754:7369373:1 gene:ONIVA02G10600 transcript:ONIVA02G10600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRCGGGGGDRQIKAWIWWGGGRRRRPLTAAAAPGGGDGGQPDPAGRWLACGGWVRPRRRVAGNGVSGRGAIGCGRRRQAHQRGRIEAVTAAEAREAMTAGATAARAWPVASTVTVDGTTMAASTAEWPAAVGYEEGDEAGWCAVAAQREVRLVEAEPSKAAHVKTGRRGATMRWCPHIDVGLNGGGATVHLW >ONIVA02G10590.1 pep chromosome:AWHD00000000:2:7337722:7338465:1 gene:ONIVA02G10590 transcript:ONIVA02G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELEVCSPASSGGASPPESPVEGRRGGGGGEKRGRSGDGGRHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPAAVLNFPDMAASLPRAASASPCDVQAAAARAAAMEPPQPPPSSLTVQADSAAAAFLTPKNGGVSGAGAADDELEAIVELPRLDELDAAELVFGAAFQDTAAEHPWCDPVWIDGGYAAAAAAAAAAAAHDDLFGLDADHHGWAQSVGALLWNL >ONIVA02G10580.1 pep chromosome:AWHD00000000:2:7320812:7321679:-1 gene:ONIVA02G10580 transcript:ONIVA02G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTAPRRRCCPTLAPRLGYGPSSTSAALWPLRHRLRLRSHLLLDIVVWEVRKRGMTVKDMTGVQLFIFQGVHTKTNDNGDICENRVTTSGLLRQSFRNT >ONIVA02G10570.1 pep chromosome:AWHD00000000:2:7307422:7308370:-1 gene:ONIVA02G10570 transcript:ONIVA02G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPPPSAGGGGGGGKTDRKTTERIRREQMNKLYSDLDSLVGSAPPTGGAAAATTRPDRLGVAAEYIRQKQERVDMLREKKRELTGGGGGGSSSSSGAGAATAAAPEVEVQHLGSGLHAILFTGAPPTDGASFHRAIRAVEDAGGQVQNAHFSVAGAKAVYTIHAMIGDGYGGIERVVQRLKEAIRSN >ONIVA02G10560.1 pep chromosome:AWHD00000000:2:7298399:7299466:-1 gene:ONIVA02G10560 transcript:ONIVA02G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVLLISAVLVGLLSLSSCRSLGELSEQKTYSSTTQYGGSPTPSYGSDGGYKPTPTPTPAYGSTPTPSYGTTPTPSYGTTPTPSYGTTPSTPSTPDVPEVPTKHDFCGSCDYWKNHPDVIISAIGSLGDIGKTLGTACSLITGKKLENLHDALSNTGTDGTGALLREGAAAYLNSIVNKKFPFTTQQVKDCIVVAMTSDGAASSQAEIFKKANDYHY >ONIVA02G10550.1 pep chromosome:AWHD00000000:2:7295835:7296893:1 gene:ONIVA02G10550 transcript:ONIVA02G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLAGIPQLRRPAALIASCCALVLLATVLLLPRAPPAAPEQLASAAAAAAVRLDARVERRSGNEVLWQLPPPPTPPRAAVFVAPGCTIRATDFFDASPGCPRCAGLPEERRFTREALRRGYAVLAVSSRAECWSLDTGDGGELAAVESIIEWWVKERHPNQLAGLPLVGIGASSGGYFLSALAARVRFSSVAIMIAEGVFATMEEIPARYPPALFVQMPKDGERAREVAASMGKLRGSRVSVREIQCGEFAVSAQFLAARIPGLTLAVADGLVDVLRRKGFVDEKGFLKNDGRSTPWKKAAEEAKILPEEFRLERHVTEELNLAYAYHEFTSLKNGEIFDWFESHMDHKS >ONIVA02G10540.1 pep chromosome:AWHD00000000:2:7290742:7293929:1 gene:ONIVA02G10540 transcript:ONIVA02G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G19680) TAIR;Acc:AT5G19680] MASGDPVDAPAAAAEPMEVEADQCLDLTSCQLHDLSEVEIPPTLEELDLTANRLAAVDPRIGRLPGLRKLSFRQNLLDDAAVAPLSTWADIAGLQELVFRDNKLTTIPDASIFKGLLVFDVSFNEISSLKGLSKVSSTLKELYVSKNEVAKMEELEHFHALQILELGSNRLRVMENLETLANLQELWLGRNRIKTINLCGLKAIKKLSLQSNRLTSMNGLQECIALEELYLSHNGIQKMEGLSTLQNLRVLDVSSNKLTAIEDVETLSRLEDLWLNDNQIPSLDGIESALASSREKLTTIYLERNPCAKAPNYSSTLKTIFPNLEQLDSDMLA >ONIVA02G10530.1 pep chromosome:AWHD00000000:2:7289460:7289759:1 gene:ONIVA02G10530 transcript:ONIVA02G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPQQTARKRCYVNLGKGDDSARRLSSLPSLLAPHAAASFPLFSFPTLTLSSASTLTPCPDDNVDFDTSEDHRPSIKLHVSSTSLLCSCHFATLLSDR >ONIVA02G10520.1 pep chromosome:AWHD00000000:2:7288174:7288953:-1 gene:ONIVA02G10520 transcript:ONIVA02G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRSFSRPAAAFLRSAAGRSPAASLPRAVAPVTRAASVGRLVGLARSLQPLHSAVAEARLTSRLGAEVARAVSQGHNWFSV >ONIVA02G10510.1 pep chromosome:AWHD00000000:2:7284493:7285011:1 gene:ONIVA02G10510 transcript:ONIVA02G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGEASSAVVAAVAPFLATFIGNHHLGHGGDGHGHKEMELLATTTGGGGGGGESIPAEEQQQVVEEAECECCGMSEECTAAYAGAVRRRFSGRWVCGLCAEAVAEEAGKKKGGEREAALAAHMAVCRRFNGFGRTHPALFQADAMRHILRKLSAPAPLDRPSRPTLAADT >ONIVA02G10500.1 pep chromosome:AWHD00000000:2:7276916:7287559:-1 gene:ONIVA02G10500 transcript:ONIVA02G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNIPPRVFFDTDNLKLMISWMSCRDGSQRASITKDDTPLDDVEPVTLIKLKLKEQQKPIIKVKGKKKKKKNQREHGHRRLEMKRRTKKNGEGGRDWCSHHRRRHRLRALRLPPSPPPPGAATAGSRRSRCYRCHLRAQPPPMGPVIITRSVKTAKPPYLEEPWKKEWERTHREPSAHRLRKTKDTEGLGHDK >ONIVA02G10490.1 pep chromosome:AWHD00000000:2:7275896:7276783:1 gene:ONIVA02G10490 transcript:ONIVA02G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGGGKVVAAEPGVARRLWRVVRAVLYMLRRGLQAPSGRKLAMDLHLLLRRGKIAGKALGHLVTFHHHHHNHGHGFSASAAAAGSSSLSCRGIDPALAVYEPSRGRRREVEFSCSNTPSSTTGGGGGGGLLGRRRGNRHHRRDDYEFSNDAGAGGSGYYDHGYDAAYVARVFEMLNDSEHLFNDDDAAVAVAPATAETTPLWTPARSHHSHSPAPAAPNRHRGRTTDSPFAASNGDEAGGGAQQQVDRKADEFIRRFYEQLRAQRSVAATPDYYGASPYAGRRAPRPVAAGIA >ONIVA02G10480.1 pep chromosome:AWHD00000000:2:7275086:7275343:1 gene:ONIVA02G10480 transcript:ONIVA02G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLPEVAMRVRGVGFIGNEAGGLTMASESFSGEVAMAPFGWAFLARCGHMVRPVDKLSRHESQEISSLTREVDGQQWHRVVRG >ONIVA02G10470.1 pep chromosome:AWHD00000000:2:7254091:7261236:-1 gene:ONIVA02G10470 transcript:ONIVA02G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNAAAAAAASPDPSRRREDVVGWLLALFPDLPLPPPPEATDEDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVAKFSASDLERGQMTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKRVESKLQRMLTSPIMSEPSSPVFQLKQGGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLKTVKAEETQRIEDEDKYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTDDNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKEDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKEKDDIVVRLTKEKEEIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKITDEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVINQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELGVQMIEIYNEQIRDLLGSGIQNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDTISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADSSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSAATGVRKSSTSQATPPLARNNNGQKGYALDMDFESQAAI >ONIVA02G10470.2 pep chromosome:AWHD00000000:2:7254091:7261236:-1 gene:ONIVA02G10470 transcript:ONIVA02G10470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNAAAAAAASPDPSRRREDVVGWLLALFPDLPLPPPPEATDEDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVAKFSASDLERGQMTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKRVESKLQRMLTSPIMSGIPGVDKLTIATDFVMVFQLKQGGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLKTVKAEETQRIEDEDKYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTDDNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKEDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLTKEKEEIIKEKDDIVVRLTKEKEEIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKITDEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVINQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELGVQMIEIYNEQIRDLLGSGIQNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDTISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADSSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSAATGVRKSSTSQATPPLARNNNGQKGYALDMDFESQAAI >ONIVA02G10470.3 pep chromosome:AWHD00000000:2:7254091:7261236:-1 gene:ONIVA02G10470 transcript:ONIVA02G10470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNAAAAAAASPDPSRRREDVVGWLLALFPDLPLPPPPEATDEDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVAKFSASDLERGQMTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKRVESKLQRMLTSPIMSEPSSPVFQLKQGGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLKTVKAEETQRIEDEDKYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTDDNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKEDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKEKDDIVVRLTKEKEEIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKITDEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVINQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELGVQMIEIYNEQIRDLLGSGIQNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDTISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADSSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSGEQQQVYEKVQLPRQLLPLQEIIKGYALDMDFESQAAI >ONIVA02G10470.4 pep chromosome:AWHD00000000:2:7254091:7261236:-1 gene:ONIVA02G10470 transcript:ONIVA02G10470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNAAAAAAASPDPSRRREDVVGWLLALFPDLPLPPPPEATDEDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVAKFSASDLERGQMTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKRVESKLQRMLTSPIMSGIPGVDKLTIATDFVMVFQLKQGGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLKTVKAEETQRIEDEDKYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTDDNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKEDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKEKDDIVVRLTKEKEEIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKITDEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVINQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELGVQMIEIYNEQIRDLLGSGIQNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDTISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADSSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSAATGVRKSSTSQATPPLARNNNGQKGYALDMDFESQAAI >ONIVA02G10470.5 pep chromosome:AWHD00000000:2:7254091:7261236:-1 gene:ONIVA02G10470 transcript:ONIVA02G10470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNAAAAAAASPDPSRRREDVVGWLLALFPDLPLPPPPEATDEDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVAKFSASDLERGQMTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKRVESKLQRMLTSPIMSGIPGVDKLTIATDFVMVFQLKQGGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLKTVKAEETQRIEDEDKYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTDDNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKEDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKEKDDIVVRLTKEKEEIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKITDEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVINQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELGVQMIEIYNEQIRDLLGSGIQNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDTISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADSSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSGEQQQVYEKVQLPRQLLPLQEIIKGYALDMDFESQAAI >ONIVA02G10460.1 pep chromosome:AWHD00000000:2:7248583:7255072:1 gene:ONIVA02G10460 transcript:ONIVA02G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLESEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSILYFLSSLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGREDVSGEMALLVEGLEVGADTSIEEYIIGPAIEPADEHVVDGDKDQITLYGPEEGQSWIARPSKGPSILGSVLSLTSRHGSMVNQSVPLMDPIVTLFGSVHENMPHAGGSMRSTLFPNFGSMFSVTDQHPKVDQWDEENLHRDDEEYASDGAGGDYEDNVHSPLLSRQTTSAEGKDIAHHAHRGSALSMRRSSLLEEGGEAVSSTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHQEEVPGSRRGSVISLPGGGDAPEGSEFIHAAALVSQPALYSKDIIEQRMSGPAMIHPSEAAAKGSSWKDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPVLIASLVVLVVSNVIDLGTVAHAALSTISVIIYFCCFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCSIAFVFVFLKVPETKGMPLEVITEFFAVGAKQMQATKA >ONIVA02G10460.2 pep chromosome:AWHD00000000:2:7249010:7255072:1 gene:ONIVA02G10460 transcript:ONIVA02G10460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLGSGSCSFSSPLSPFVLTRRDRRGVKMSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLESEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSILYFLSSLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGREDVSGEMALLVEGLEVGADTSIEEYIIGPAIEPADEHVVDGDKDQITLYGPEEGQSWIARPSKGPSILGSVLSLTSRHGSMVNQSVPLMDPIVTLFGSVHENMPHAGGSMRSTLFPNFGSMFSVTDQHPKVDQWDEENLHRDDEEYASDGAGGDYEDNVHSPLLSRQTTSAEGKDIAHHAHRGSALSMRRSSLLEEGGEAVSSTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHQEEVPGSRRGSVISLPGGGDAPEGSEFIHAAALVSQPALYSKDIIEQRMSGPAMIHPSEAAAKGSSWKDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPVLIASLVVLVVSNVIDLGTVAHAALSTISVIIYFCCFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCSIAFVFVFLKVPETKGMPLEVITEFFAVGAKQMQATKA >ONIVA02G10450.1 pep chromosome:AWHD00000000:2:7239596:7240082:1 gene:ONIVA02G10450 transcript:ONIVA02G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRAPSLSTWTRRCCHHVVTAWRPGSAPLWPRRRHSQAQQMRKKMEMDGKSDGIGMIPIL >ONIVA02G10440.1 pep chromosome:AWHD00000000:2:7235448:7236831:-1 gene:ONIVA02G10440 transcript:ONIVA02G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:A0A0E0G3U1] MADTKTAPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKEKLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >ONIVA02G10430.1 pep chromosome:AWHD00000000:2:7222365:7224978:-1 gene:ONIVA02G10430 transcript:ONIVA02G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G3U0] MGEASESMKKISRGRLGGSWMGEPSDHHRHGDEQEEEEKTLELSLGLPGGGWRAACRDKGTTTKHSIAAAAAADDDDGDKSSMLSLGYSTLVSHSQGKANKNKGSPEEEEAHPPPATGNNALASNNNGCFQTRSPSTPVVGWPPVRTFRRNLATSSKASLELQNGKKAAKAEEIKRAPFIKINMDGVPIGRKIDLNAFDSYEKLSLAVDKLFRGLLAAQRGPLAAGAKDCQQEDVAISGLLDGTGEYTLVYEDYEGDKVLVGDVPWG >ONIVA02G10420.1 pep chromosome:AWHD00000000:2:7208693:7216578:-1 gene:ONIVA02G10420 transcript:ONIVA02G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLLVIVVVVTVAAVLILVLVLVVGHRGLGLAGSAHSLHRRRVGGGAESAAPVPLHHRIRCHRSFPPPDPPLSDPCGRWPPRLPTVGSATTRLTIVGSVTAVASLLRPLPLPEPSTASGGRRGERRSGGEEEEAVVVALARRRREIVAVGSYALRRSPLFRVPAAPPPRRAPLKPPRGTPAPLLLPPTPSHGFHRRGGRGALIDPPLPVDDEATASRVLTTSSPAVAVSAFFSSSFSGRIGRGISLSSRRCIRRMKYSLHRQHQWICGGNIEHKGKKAQLGGVIYPSFIAYNGVGWGSPGRNIPLDAGWGDPADLLRSSRPSARASWASEGGGRVTRVTPGEGGREAVARESWPAWDAGAAAGAGAAMGRGTMATGGGDELGDDDTNPTTTTVWLAGGGASSDAAGGRRCELGDACR >ONIVA02G10410.1 pep chromosome:AWHD00000000:2:7195485:7196871:-1 gene:ONIVA02G10410 transcript:ONIVA02G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGSQVSTAVPQSGGEGTALSCGSNAWNRAGPIWSIENHTIECLQQQETSIFHSVEGLVLKETYHAE >ONIVA02G10400.1 pep chromosome:AWHD00000000:2:7192183:7195363:-1 gene:ONIVA02G10400 transcript:ONIVA02G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYNILILFLLLLLFSLSTAQPSADEQKLLLAIKQDWDNPAPLSSWSSTGNWTGVISTSTGQVTGLSLPSFHIARPIPASVCSLKNLTYIDLSFNNLTSDFPTVLYGCSALEFLDLSNNQLSGRLPGDIDKLSSGMLHLNLSSNAFVGDVPSAIGRFSKLKSLVLDTNRFNGNYPGAAIGGLVELEMLTLASNPFKPGPIPDEFSKLTKLTYLWLSFMNLTGAIPGALSTLTELTLLDISVNKMQGEIPKWIWKLEKLEQLYLFANKFTGGIGPDITALNLQQLDLSMNKLTGPIPEDIANMKNLSLLYLYYNNLTGPIPTGVGLLPNLTDIRLFNNMLSGSLPPELGKYSELGNFEVSNNNLSGELPNTLCFNKKFYDLVVFNNSFSGVFPANLGDCETINNVMAYNNHFVGDFPKKIWSFALLTNVMIYNNEFTGTLPSEISPNITRIEIGNNLFSGALPSAAIALKSFKAENNQFSGALPDDMSRLANLTELILAGNRLSGSISSSIKSLTMLTALNLSRNRISGEIPSALGWMDLTMLDLSDNELTGDIPQEFNNLHLNSLNLSSNQLSGEVPETLQNGAYDRSFLGNHGLCATTDMAMNLPPCGSGNGVRNKLSMSLITVFSVLAGVLFIGAVAIRLLMLRHQKRQQDLAGWKMTPFRTLDFSECDVLGNLHEDNVIGSGGSGKVYRINIGGKGSAGKVVAVKRLWRTAAKSDAKSDKEFDAEVRILGEVRHINIIDLLCCISGDDTKLLVYEYMENGSLDRYKAGGELHDVVDESIQDRAAFLEDAVAVFLLGMICTGEDPTSRPTMKEVLEQLVQYDRTSSVAAACRDNSGGAPSFSKGKKDGKGKSSSAGTTAGKMWGAGAGDEESGSFVAHPV >ONIVA02G10390.1 pep chromosome:AWHD00000000:2:7186344:7191865:-1 gene:ONIVA02G10390 transcript:ONIVA02G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWNYSPSIPSNSLQFPPNRSPQYHKFGVVLLELTMGRVANDGGADWCLAEWAWRRYKAGGELHDVVDESIQDRAAFLEDAVAVFLLGMICTGEDPTSRPTMKEVLEQLVQYDRTFSVAAACRDDSGGAPSFSKGKKDGKWKSSSAGMMAGKMWGAGASDEESGSFVAHPV >ONIVA02G10380.1 pep chromosome:AWHD00000000:2:7177324:7178036:-1 gene:ONIVA02G10380 transcript:ONIVA02G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEHRSASCGLAGGDWRVKTQPSLDQAGNDDRWSVTLSGGRFGASLLLDLCVGVAGVWVVVYFFSFPGYDFPGCNLVIFFCSINKLRTVFCELFKKNLHFMSF >ONIVA02G10370.1 pep chromosome:AWHD00000000:2:7175332:7176155:-1 gene:ONIVA02G10370 transcript:ONIVA02G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEFYKIKTVPLPSHFPSILFLFHLLPSFSHHLPGVKPERELAGKVNEPNLERGADPGVGLLQAEPPPIARSIRRDWQIQRWARPTNPVVAVSDDSGVGRNRRKPRLRVESDRRRDPLLPRRCSTSAPALASRSVSTSRRPLSLTAVFSLLSSSAGLSKCLLAACRKRQDTSPPSLSLRRHRQACSRHAVPDPPTLAPPPKLSKLAAAMDGWTTAGGIAATAPARDLACLGLAADYSELASLALGRNARKEPPLP >ONIVA02G10360.1 pep chromosome:AWHD00000000:2:7165539:7169069:-1 gene:ONIVA02G10360 transcript:ONIVA02G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIAGSRPGAVVGNMEAMLLHRFIPQISSIVAANASTDELQCRLEETMLGIIRHDNIVVLRGSIQSDDDDGTVQLVYEDMENGCCLHEWLHGNRRSQLEAGERRRRRRLRWRARRSIAVDVARAICYLHHDCKSPIIHRDIKPTNILLDGNLKAKIAGFGLARINVAGLNQPLLNVEIPSEAFGYTAPGERGYGRHLSKDVVDKEIVPDMARYLKEMKAMFKLGVECTAMDPRERPSMLTVLRRLTKLGSVYLDDGGELQTLLTIKRDWGSPAALSSWKVRNSSSFSHCNWAGIGCRNGQVTSLSFHNFQIANLIPASICSLKNLKYLDLSFNNLTGEFPTALYSCSALQFLDLSNNEFTGKLPEHVDKLSLGMQHLNLSSNSFIGDLPSAIGRFSKLKSLVLDSNNFNGTYQGAAIGGLVELEMLTLAHNPFKASLIPNEFGKLTKLT >ONIVA02G10350.1 pep chromosome:AWHD00000000:2:7160320:7165523:-1 gene:ONIVA02G10350 transcript:ONIVA02G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIGNIPNWIWKLQKLEMLFLSGNNFIGEIGSDISALKLQELDLSINKLTGSIPEDIVNLKNLKILYLYYNNLVGQIPSGVGMLPNLTDLRLFKLGKYSELEHFQVSNNNLSGRSNGDMLGEKINIPPGPLCAKRPDLCKNCWCCEVSDGQCYQSLEACQVNCPLPSPPAI >ONIVA02G10340.1 pep chromosome:AWHD00000000:2:7151561:7159176:-1 gene:ONIVA02G10340 transcript:ONIVA02G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVATKEKRGDPSAAGMKMATAGKTQSRRKRIRPPEDRSGAGRGRGGSGAAELRRRCWLQRSRLRGDGDGGNGVELVAMVAAAVGRRRQHGGWSTETAAAGGCGNGVHSRRPHLAGVVVIGGVGGRLGAERRSRWQWRLVRRERRGRWRGGPLGARGVTDGGRPNWRERRVRWWRPA >ONIVA02G10330.1 pep chromosome:AWHD00000000:2:7151280:7151514:-1 gene:ONIVA02G10330 transcript:ONIVA02G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGVWRGLGYTKAGWRGHRCSGPTCQQRLSGGDERRVKTQPGLCRIDNNGSFPLLRALSCRLIP >ONIVA02G10320.1 pep chromosome:AWHD00000000:2:7146574:7149923:-1 gene:ONIVA02G10320 transcript:ONIVA02G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTDNLLLLLLLFFSGSSAELDDGGELQTLLTIKRHWGSPAAFSSWEVRSSNSFGYCDWVGVACTDGQVTSLSFQSFQIANPIPASICSLKNLKYLDLSYNNLTGDFPTVLYNCSALQFLDLSNNELTGSLPSNIDKLSLGMQHLNLSSNYFIGDVPSAIARFLKLKSLVLDTNSFNGSYPGASIGGLVELEILTLASNPFMPGPIPNEFSKLTKLTYLWLSWMNLTGDIPDALSALKELILLDLSENKMQGKIPKWIWKLQKLEMLYLFASNFSGEIGPDISTLNMQELDLSMNKLTGSIPEDIANLKNLRLLSLYYNNLTGSIPKGVSMLPNLTDIRLFNNKLSGPLPPELGKYSELGNFEVSNNNLSGELPDTLCFNKKLYDLVVFNNSFSGVFPMNLGDCDTINNIMAYNNHFVGDFPENIWSFAKLTNIMIYNNNFTGNLPSEISFNITRIEIGNNMFSGALPSAAIALKNFMAENNQLSGALPDDMSRFANLTELDLAGNRLSGLIPPSMQSLTKLTSLNLSSNQISGEIPAVLGLMDLNILDLSNNKLTGHIPQEFNDLHVNFLNLSSNQLSGEVPAALQTLAYEDSFLDNPSLCCQSESGMHIRTCPWSQSMSHDHLALSIRAILVILPCIALAILVTGWLLLLRRKKGPQDVTSWKMTQFRTIDFTEHDIVSNISECNVIGRGGSGKVYRIHLGGDIKAGRHGGGCTPRTVAVKRIGNTSKLDTNLDKEFESEVRTLGDLRHSNIVDLLCCISSQETKLLVYEHMENGSLDQWLHRYKRAGKSGPLDWPTRVAIAIDVARGLSYMHEEFVQPVIHRDVKCSNILLDREFRAKIADFGLARILAKSGESESASAVCGTFGYIAPEYVYRSKVSVKVDVYSFGVVLLELATGRGPEDGGTESGSCLAKWASKRYNNGGPVADLVDGEIQDPSYLDDMVAVFELGVVCTSEEPASRPPMSDVLHRLMQFDHSGTHSDGVVAKGVFDIDDSSDCIV >ONIVA02G10310.1 pep chromosome:AWHD00000000:2:7136241:7136889:-1 gene:ONIVA02G10310 transcript:ONIVA02G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVDARLVFLLLLVIGCAALPAVQCRSNGEMVREKKINIPAGPLCAEHSLLPPIGCKDCWCCEVGDGCYPTLEECQANCPLPSPP >ONIVA02G10300.1 pep chromosome:AWHD00000000:2:7117393:7120621:1 gene:ONIVA02G10300 transcript:ONIVA02G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTGTIPDDLSSLTELTLLDLSQNKMQGQIPEWVLKHQKLENLYLYASNLSGEIGPNITALNLQELDLSMNKFSGSIPEDIANLKKLRLLYLYYNNLTGPIPAGVGMMPNLTDIRLFNNKLSGPLPAELGKHSELGNFEVSNNNLSGELPDTLCFNKKLYDIVVFNNNFSGVFPANLGDCETINNVMAYNNHFVGDFPKKIWSFAVLTNVMIYNNNFTGTLPSEISFNISRIEMENNRFSGALPSAAVGLKSFTAENNQFFGELPTDMSRLANLTELNLAGNQLSGSIPPSIKSLTSLTSLNLSRNQISGEIPAAVGWMGLYILDLSDNELTGDIPQDFSNLHLNFLNLSSNQLSGEVPDTLQNSAYDRSFLGNHGLCATVNMNMNLPACPYQGRNKLSTSLIIVFSVLAGVVFIGAVAIWLLILRHQKRWQDLTVWKMTSFRKLDFSECDVLGNLHEENVIGSGGSGKVYRIHVGGKGSTGKVVAVKRLWRTAAKSDAKSDKEFDAEVRILGEARHINIIDLLCCISGDDTKLLVYEYMENGSLDRWLHRRDDGAPTAPLQWPTRLCVAIDAARGLCYMHHECVQPIMHRDVKSSNILLDPGFRAKIADFGLARILVKSGEPNSVSAISGTFGYMAPEYGCRAKANEKVDVYAFGIVLLELTTGQAATDDDYCNLVDWAWRWYKASGALHLHDVIDMRIPDRAAFLEDAVAVFLLGVSCIRDDPASRPTMKEVLEQLVHNADYIRNWGILRFPTTTFGELPDTLCFNKKLFDIVVFNNSFSGVFPTNLGDCKTINNIMAYNNHFVGDFPKKIWSFELLTNVMIYNNFTGTLPSEISFNILRIEMGNNRFSGALPSAAVGLKSFLAENNQFSGELPTDMSRLANLTKLNLAGNQLLTIVKIYINNFASTLPSNKIVSKSNVKEIGLQSQGKMGFAHCDNGRLQRNWPC >ONIVA02G10290.1 pep chromosome:AWHD00000000:2:7115107:7116002:-1 gene:ONIVA02G10290 transcript:ONIVA02G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHRQTFAGMWNHCTGAPRRPAFMHPPPYRTVPASSTANNASLCHAGLLHRRPRLTVPSRPLAVGRTSSRQAGLHRRRLRHFAPCQPLLPPATPQSTVPASNPSSSRCHAGQVRSSITPPRLAARGRPCLLSQSSSPVTSRRRCVRTHTHTSPSPPPYSFLASTCYRQQPSARHRQPPTSPAVRRRLHNCGRHKSAAVCRYQRHQPLSPTAITAAASSPSATVLAAARLCQCPLRRR >ONIVA02G10280.1 pep chromosome:AWHD00000000:2:7108992:7112231:1 gene:ONIVA02G10280 transcript:ONIVA02G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYNILILFLLLLLFSLSAAQPSADEQTLLLAIKQDWDNPAPLSSWSSTGNWTGVISTSTGQAGGELHDVVDESIQDRAAFLEDAVAVFLLGVICTGDDPALRPTMKEVLEQLVQYDRTSSVAAACRDDSGGAPSFSKGKKDGKGKSSSAGTTAGEMWGGGAGDEESGSFVAHPV >ONIVA02G10270.1 pep chromosome:AWHD00000000:2:7094461:7097340:-1 gene:ONIVA02G10270 transcript:ONIVA02G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGETLEERSEEHLKNLTSIDLSYNNLTGDFPTVLYACSALELLDLSNNQLSGRLPDDIDKLSSDMQHLNLSNSIVPISVIAGGVVIFAVGCVVASCRCRARNDDMTPISTTTGDPLYRLGVIDDSSDTYRRLTVKKMQNETRSVDAELENRRQTEETTLGMIVHPNIIILLGYIRRNDMIVILYEDMENGSLDKWIHHNTQAGERRLRPPLGWRKRLAIVIDVAGAILYMHHGCRRPIIHGDIKPANILLDGNFKAKISGFSSARINLAGRNTLLGNVRLHAGAFGYFAPEYTDTEVSEKVDVYSFGVVLLEIVTGKVVNDQHGHLTTWAKAYLNTWARHGYDDKLFTDDVLDNDIASDAARYLKEMKAVFKLGVECTMMDPQRRPSMQTVLRRLRQCARWWRRFPCFV >ONIVA02G10260.1 pep chromosome:AWHD00000000:2:7084744:7090850:1 gene:ONIVA02G10260 transcript:ONIVA02G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVAVRGKPRSSPKRSGGGGADPPLSLAMPTVADLHKTAELEKFLVEAGLYEGEEESAKREEVLREIDQIVKEWVKKVTIQKGYSEQMVKEANAVLFTFGSYRLGVHGPGADIDALCIGPSYVKREEEFFVTLYGALSEMEEVTELQPVPDAHVPVMKFKFRGLPIDLLYASVSLPVIPPDFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAEIFRKTLRCLKYWAQRRGVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDNLGFAVWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWSSLFEPFQFFEAYTRYLVVDIVADDDDDLRLWKGWIESRLRQLTLKIERDTKGMLQCHPNPCEYADPSIQCAHCAFYMGLSRKEGMKIRGQKFDIRGTVDEFMHEIGMYTQWKSGMDLAVTHVCKKEIPLYVFEQGCQKTRPPTPICAEQQDQSDKNDSEVCTTTASLVGQLKRKYHSVGGADVDSFKSVRRASVSPACEEASIQLHDDANFGLTNCSTSPHGSEGSTVSGNSCAAVGTIGLVDETSLPGCLMPNVENDPIRTILEQAPSESVVEKDERKLEGIESLASSNCTEFMEVAEVVAGTILTENGDIRLSGHEVWNRMHPSFN >ONIVA02G10260.2 pep chromosome:AWHD00000000:2:7084744:7091972:1 gene:ONIVA02G10260 transcript:ONIVA02G10260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVAVRGKPRSSPKRSGGGGADPPLSLAMPTVADLHKTAELEKFLVEAGLYEGEEESAKREEVLREIDQIVKEWVKKVTIQKGYSEQMVKEANAVLFTFGSYRLGVHGPGADIDALCIGPSYVKREEEFFVTLYGALSEMEEVTELQPVPDAHVPVMKFKFRGLPIDLLYASVSLPVIPPDFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAEIFRKTLRCLKYWAQRRGVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDNLGFAVWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWSSLFEPFQFFEAYTRYLVVDIVADDDDDLRLWKGWIESRLRQLTLKIERDTKGMLQCHPNPCEYADPSIQCAHCAFYMGLSRKEGMKIRGQKFDIRGTVDEFMHEIGMYTQWKSGMDLAVTHVCKKEIPLYVFEQGCQKTRPPTPICAEQQDQSDKNDSEVCTTTASLVGQLKRKYHSVGGADVDSFKSVRRASVSPACEEASIQLHDDANFGLTNCSTSPHGSEGSTVSGNSCAAVGTIGLVDETSLPGCLMPNVENDPIRTILEQAPSESVVEKDERKLEGIESLASSNCTEFMEVAEVVAGTILTENGDIRLSGHEVI >ONIVA02G10260.3 pep chromosome:AWHD00000000:2:7084744:7090694:1 gene:ONIVA02G10260 transcript:ONIVA02G10260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVAVRGKPRSSPKRSGGGGADPPLSLAMPTVADLHKTAELEKFLVEAGLYEGEEESAKREEVLREIDQIVKEWVKKVTIQKGYSEQMVKEANAVLFTFGSYRLGVHGPGADIDALCIGPSYVKREEEFFVTLYGALSEMEEVTELQPVPDAHVPVMKFKFRGLPIDLLYASVSLPVIPPDFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAEIFRKTLRCLKYWAQRRGVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDNLGFAVWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWSSLFEPFQFFEAYTRYLVVDIVADDDDDLRLWKGWIESRLRQLTLKIERDTKGMLQCHPNPCEYADPSIQCAHCAFYMGLSRKEGMKIRGQKFDIRGTVDEFMHEIGMYTQWKSGMDLAVTHVCKKEIPLYVFEQGCQKTRPPTPICAEQQDQSDKNDSEVCTTTASLVGQLKRKYHSVGGADVDSFKSVRRASVSPACEEASIQLHDDANFGLTNCSTSPHGSEGSTVSGNSCAAVGTIGLVDETSLPGCLMPNVENDPIRTILEQAPSESVVEKDERKLEGIESLASSNCTEFMEVAEVVAGTILTENGDIRLSGHEDKRSSGIEKPWKLKYEVLRQGYGVMDLWLWED >ONIVA02G10250.1 pep chromosome:AWHD00000000:2:7079928:7082522:-1 gene:ONIVA02G10250 transcript:ONIVA02G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLANPSRVVVAKSDPFTPSPSPNRSKNTRLLLHPSRAEQRRGQRSRRRGGGEGAMAARSFQARSPKEESDAAVHEAVTLGLKNAAISGTVVAVPTLVGCRVLPWAKANLNYTAQALIISAACIAGFFITADKAILRNARQNTIGKIDRST >ONIVA02G10240.1 pep chromosome:AWHD00000000:2:7076609:7077852:-1 gene:ONIVA02G10240 transcript:ONIVA02G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGELNLDTCLCLPKSGVQKYTGDKQPFAPGRMREACAPVPPGAHEEACIEQSDDRSEDGSFSGSSIGSSCSSASDLSDDGSSYRPGDYLEPSSSSSSASSSTLQLDSEGPLCDLSSLIAQLPIRRGLSNYYQGKSQSFTSISDATCVQDLAKKITYNKRMKACKSYAAGLDMNQRSNHLPKPCNKMIAKRPSKGSFTCLLSRPSSTSLLCSSAKPPTHQSKKDVQMHINS >ONIVA02G10230.1 pep chromosome:AWHD00000000:2:7071221:7078937:1 gene:ONIVA02G10230 transcript:ONIVA02G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G25870) TAIR;Acc:AT2G25870] MARLHHLLLSRALASQPLHHHLLPSPPPSSSLLPPVLPSPPRPPSPLPGGRGVSHSSLAAASRHYASSTPGRRRRAPPMLLRRRRARRPPREGPAELNVQIGIEEALPDDPTILSIAEALQMDVAKAAKVAFDHLENSEYKTRDNCISDVNKYDTVEVSILLCDDDFIRKLNKEWRDEDHATDVLSMSQHIPGLDIPILQLGDIVISIDTAQRQAEERGHTLHDEIRILMVHGLLHLLGFDHELSKVAEEEMESGEEHILNSLDWKGKGLIKSAYDSIHDMDHSQSYVEASRDSDEINLREVHQPKLSHIVCDIDGIVDNEGYPHEESIESLREAVSRGINVITVTGKTRASTIRTFRLLDLGGKDRFISESSPGVFLQGSLVYGRHGQEIYRANLGLDICKEAFSYSLKYSIPLVAYHEEQCLTLFEHPLVDLLHTIHYETKVKVVPSVEDLLGYSSFQKLLFLDKVDGDSSVLRQHWSELTQGRARVIKAHSSMIEIVPLNASKGGGIRILLDHLGITEDSDLDAVGDYTRWLSNIFT >ONIVA02G10230.2 pep chromosome:AWHD00000000:2:7071221:7078937:1 gene:ONIVA02G10230 transcript:ONIVA02G10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G25870) TAIR;Acc:AT2G25870] MARLHHLLLSRALASQPLHHHLLPSPPPSSSLLPPVLPSPPRPPSPLPGGRGVSHSSLAAASRHYASSTPGRRRRAPPMLLRRRRARRPPREGPAELNVQIGIEEALPDDPTILSIAEALQMDVAKAAKVAFDHLENSEYKTRDNCISDVNKYDTVEVSILLCDDDFIRKLNKEWRDEDHATDVLSMSQHIPGLDIPILQLGDIVISIDTAQRQAEERGHTLHDEIRILMVHGLLHLLGFDHELSKVAEEEMESGEEHILNSLDWKGKGLIKSAYDSIHDMDHSQSYVEASRDSDEINLREVHQPKLSHIVCDIDGIVDNEGYPHEESIESLREAVSRGINVITVTGKTRASTIRTFRLLDLGGKDRFISESSPGVFLQGSLVYGRHGQEIYRANLGLDICKEAFSYSLKYSIPLVAYHEEQCLTLFEHPLVDLLHTIHYETKVKVVPSVEDLLGYSSFQKLLFLDKVDGDSSVLRQHWSELTQGRARVIKAHSSMIEIVPLNASKGGGIRILLDHLGITEDSDLDAVGDYTRWLSNIFT >ONIVA02G10220.1 pep chromosome:AWHD00000000:2:7060253:7070965:1 gene:ONIVA02G10220 transcript:ONIVA02G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MPRRLATTYSGRIAAARPSPAGPSLTVTVAPTPPPTPLDPRGYPLPRRHLVCAAARILRSHASPSPLLDLADYLRGLRLTLTVAEASEVVKALYGDPPLALAFFRFAAASLPGFRHDAFSYNRILALLFRTRAGPSEALRLVADMERDGVAGNISTINLLVGMGGGGVEVERCLELASKWGLRLSGYTYKCIVQAHLRSREVSKGFQVYEEMRRKGYKLDIFAYNMLLDALAKAGMVDQAFQVFEDMKQKHCVPDAYTYTILIRMSGKAGRTSKFLSFFDEMVSKGCVLNLIAFNTIIEALGKNKMVDKVIFVLSKMVENDCQPNQFTYSITLDILATEGQLHRLNEVLDICSRFMNRSIYSYLVKSLCKSGHASEAHNVFCRMWNSHEKGDRDAFVSMLEVLCNAEKTLEAIDLLHMMPEKGIVTDVGMYNMVFSALGKLKQVSFISNLFDKMKTNGIIPDVFTYNIMISSYGRVGLVDKASELFEVMEASSCKPDVVTYNSLINCLGKHGDLDEAHMLFKEMQEKGYDPDVFTYSILIECFGKSNKVEMACSLFDEMISEGCTPNIVTYNILLDCLERRGKTEEAHKLYETMKQQGLIPDSITYSILERLESRSQRTVRIRKPTRISGWVVSPLR >ONIVA02G10210.1 pep chromosome:AWHD00000000:2:7054862:7055494:-1 gene:ONIVA02G10210 transcript:ONIVA02G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHMERALREAVASEAERRELEGVVRAHHTFPAAERAAGPGRRPTCTSLVAQRVDAPLAAVWPIVRGFANPQRYKHFIKSCELAAGDGATVGSVREVAVVSGLPASTSTERLEILDDDRHVLSFRVVGGDHRLRNYRSVTSVTEFSSPSSPPSPPRPYCVVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAVATSSSPPAAGNHH >ONIVA02G10200.1 pep chromosome:AWHD00000000:2:7049320:7049787:1 gene:ONIVA02G10200 transcript:ONIVA02G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGRGSTEDAGMDGDRESRSTSPFYSPIPLHHPRSKRELGDEAWSSGRRPRARRQQRRRPRQQQCRHTSVGAGAGVVVVGNDGEAEPTAAAATDVAAREQGSGDGVDGAGSQRLLADAAQPPADRPSPRSSSPCALREREEEENYMRVPQFFN >ONIVA02G10190.1 pep chromosome:AWHD00000000:2:7040409:7042798:1 gene:ONIVA02G10190 transcript:ONIVA02G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPSFSQLGAVDAAMNGGYFMAASGNGADVPLFHPAMAPPHDHGGSFGYGDAAAAAMDVGAHFAAANNLVLASLATQLFGAAPAAAAHGHGDYLGATTPPEEEMGGGYDVAVGDSSGGAVSLACLGHGQPGDMAAGWCSTSARKPSCNWSSSNAGVHGGSYYLAGVPEAAGFVSAAAAASELSLSLCSKSSSDSMLNAGGDQCSSAASRSGLTQMSRVVVVEPEPPLVPYYPAANFAVVVARSRYAAVAQQVLNDAVGCVLGGVADAAADSASGVDSGSSRPSSCSVAGGAPSSAVSSNNQLIASSGEHTHGGGDASAQRLRSEILTMLQLMDQKYNQCLDEIQSTTARFNTLTHATARAAGMSSSSICAPFAHRAVSAMYHGLRRRIAGEIMSAAAAAGRPCRGGESSSAVTGGERERSWESAFIQKHWAVQQLRRGEQQCWRPQRGLPEKSVAVLKAWMFENFLRP >ONIVA02G10180.1 pep chromosome:AWHD00000000:2:7026426:7030849:1 gene:ONIVA02G10180 transcript:ONIVA02G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G26180) TAIR;Acc:AT4G26180] MEARARGREAGEGGWGGGGGGLPLAVRELVAGGVAGGVAKTAVAPLERTRRAEFHGSGLIGSFRTISRTEGLLGFYRGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPILDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGAVKLSLREYKPSEQVYKGILDCVKTIYRQNGLRGLYRGMAPSLYGIFPYSGLKFYFYETMKTYVPEEHRKDIIAKLACGSVAGLLGQTITYPLDVVRRQMQVQAFSSSNLEKGKGTFGSIAMIAKHQGWRQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLKVPSREDTAIAALTEERSNAAPAHSS >ONIVA02G10170.1 pep chromosome:AWHD00000000:2:7022549:7024112:1 gene:ONIVA02G10170 transcript:ONIVA02G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGNGVVVVFDFDKTIIDCDSDNWVVDALGATARFDDLLRRLPWNSAIDAMMGELHAEGRTVEEVAASLRAAPLSPRVAAAVETARALGCELRVLSDANAFFVGAVLDHHGLAGCFSAVDTNPAAVDADGRLRILPYHGLPGHGCPLATCPPNMCKGKVMERIIDELSCGCGGAPAARRRRVVYVGDGRGDYCPSLKLTEMDYVMPRKGYPVWDLIAGGDRAAVRADVREWADFEDLEAVLLGIVAECLTSEHDDADDDGGEAAPPAECRALPATLASGQEAILPKAVHVPN >ONIVA02G10160.1 pep chromosome:AWHD00000000:2:7013569:7039434:-1 gene:ONIVA02G10160 transcript:ONIVA02G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACKSSDLVVTQEDKRERERREERKSIVGYANNRADCALMDNTLPTAHILVQVLCGIVKSVKDENIQIDYAIVVAILLFRCQAHRPPPLRAVELVACLLSALSSCLSTRLPDLHRIERGRAGVERKRGKATTGRGAATLSSSPPPLFLAPGERNVEHRRGFLPTATPVAAHFLRLSAAASLPFAGRERRKERERRDGKSSLKSPLKKCDFLWNEGCKRCWFWNPAPMTEVICAAFVDA >ONIVA02G10150.1 pep chromosome:AWHD00000000:2:7009743:7012322:-1 gene:ONIVA02G10150 transcript:ONIVA02G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT4G04470) TAIR;Acc:AT4G04470] MAAAAVASGRGGVRGRGGEGEEGSLARRAWRQYLRQLQLHPLRTKMITAGCLAGVSDSVAQKLSGYQRIEKRRLLLKMLFGFAYGGPFGHFLHKVLDYIFKGKKDTKTIAKKVLLEQITSSPWNNLLFLFYYGYVVERRPFKEVKTRVKKQYPSVQLSAWMFWPIVGWINHMYMPLQFRVIFHSFVACCWGIFLNLRARAMSLKQS >ONIVA02G10140.1 pep chromosome:AWHD00000000:2:7006019:7007350:1 gene:ONIVA02G10140 transcript:ONIVA02G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQAHQRLFLASTCAGQRLRPRPSRIAAPCRAAVSGGVRTLERDVRATLALAAPVTRADVHDAMDRVLALDAAYPLPLLPGMLEKFPKTVEPTRWWPKKKQQQQQQQRAAVNKSNSFGSSSRRRGARGNGWTPELEEEMRGILRVIKAKDEHEYITVGKMVLGLNKGLAVAGPALAGTAAVAAAFIGSGEAGAWASGAAVLGGALAAAANTVEHGGQLGMVFELLRNCAGFYRKMQEEIEANLGEADVERREDGEVLETKVALQLGRSTSELKQFRRMASPSFKDVDVKDFAGKLF >ONIVA02G10130.1 pep chromosome:AWHD00000000:2:7003380:7003906:-1 gene:ONIVA02G10130 transcript:ONIVA02G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEEVAACGCEVGGGGTVSWTNPRRRWLGSNDGRRPAPHDDHGSCGGWRPKRRQRRSERVVVEAGVGHRGDTPYVGVGARSGWGRKRVWMEREKERGEREHLAWGRRLASQFVLAKFGHRGSQFGSQMAWPSLENGITPLLEMLQLAR >ONIVA02G10120.1 pep chromosome:AWHD00000000:2:7000125:7001423:-1 gene:ONIVA02G10120 transcript:ONIVA02G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQAHHRLFLASTCAGQQRRLLRPSRIAAPCRAAVSGGVRTAQSPSSSSSGSGSPSSLRLNLDWVDPRIVAVPTSSPGAQVEVEKLRAIAEAAADRAEMHDIIGRQRDNWNHLLLHSNNSLALAASVMAALAPAAPTVVALKASAGVLLASAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERDVRVTLALAAPVTRADVHDAMDRSNSFGSSSRRRGARGNGWTPELEEEMRGILRVIKAKDEHEYITVGKMVLGLNKGLAVAGPALAGTAAVAAAFIGSGEAGAWASGAAVLGGALAAAANTVEHGGQLGMVFELLRNCAGFYRKMQEEIEANLGEADVERREDGEVLETKVALQLGRSTSELKQFRRLASPSFKDDDVKDFAGKLF >ONIVA02G10110.1 pep chromosome:AWHD00000000:2:6989603:6998517:-1 gene:ONIVA02G10110 transcript:ONIVA02G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPNLRGGKELTRGGNPTVRCFSDGTPLSHRPPAGLQPAVRLQRCRAPASVRHVHPTASSSVHIRAAVAQRVPQWSSRSHLLHLRRADVPTAVRQCVPVAIVQIQHELNNRHTNATIHQLKTLVAYGWGEKVIVGAKVEQVSSPGRVASVRAKGLCLLDTHATSIDGFLRMESLGSGLLGPHPLDDDMLALADDHPDASHTLLDKSYLAEFKEKMIEKIRKIHQSDQTHTTGVDVMRCVTPCILPTQAQKWLRRPESHRRFGGAGAGGPLSPPPALLPPVSSIS >ONIVA02G10100.1 pep chromosome:AWHD00000000:2:6987547:6989557:-1 gene:ONIVA02G10100 transcript:ONIVA02G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: ATPase, vacuolar ER assembly factor, Vma12 (InterPro:IPR021013); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 /.../ce: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G52980) TAIR;Acc:AT5G52980] MASGLVVAATDPLRAFLASAAASHDLPADLRDLASSLAARSAVPYRSLRDIWCAASPGARPPLRRLLHGADFLLSSPKPRDKSDELKARLDKLREMQERKEYAELVRDVAPPAKEDRPELFSSYKDQIGFGLHVVLIMFTGYLVGFVAFRALFNNSPVMNAAGGILGLVGGMLMETVLFIIRSSSKELATSVPRPKKVQ >ONIVA02G10090.1 pep chromosome:AWHD00000000:2:6981750:6983078:-1 gene:ONIVA02G10090 transcript:ONIVA02G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALARSLATAAAAVMLPPPPPASSLRRRSSSRVAASGLRASVSASTATSSTSMAQLVAAGSGIDELRLVDERAAVAALQLLPVGGCEVEKLRAVAEAAADRAEMHDIIGRQRDNWNHLLLHSNNSLLLAASLMAALAPAAPTVVALKASAGVLLASAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERDVRATLALAAPVTRADVHDAMDRVLALDAAYPLPLLPVMLDKFPKAVEPARWWPPTKKHQQRSPTKVAATNGTACRRATSMAGNGWTQELEEEMRGILRVIKAKDENEYVSVGKLVLAVNKRLAVAGPALAGAAALAAAFIGSAGEAGAWASGAAVLGGALAAAVNTVEHGGQVGMVFELCRNVAGIYRKMQEDIEANLEEADVERRENGEVFETKVALQLGRSTSELRQFRAMASPAVKDEDIREFAGKLV >ONIVA02G10080.1 pep chromosome:AWHD00000000:2:6979224:6979829:1 gene:ONIVA02G10080 transcript:ONIVA02G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAATTATTANNDSGSGGGGGGYIRTVTLRGFDGIRVRVSAGTMAAASATARARLDEAIRRTPRHAAVPDDVLINVPGVARPVLARVADYCDRHYGGGGEGGEFAAPEGYGFDDPLARFDDELMDGADVGTVVDLLRAATFLRVERLADLASREVAACMRGRTVEGIRQVFGIANDYTDEEEQDVRKENSWAFDAYND >ONIVA02G10070.1 pep chromosome:AWHD00000000:2:6972267:6978653:1 gene:ONIVA02G10070 transcript:ONIVA02G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGGALTDSRRLGSEAGLAAEVESLDATERALSAILFRVSPLLRMQYPDFRRALTHNIASGRTLFGRDLLGITNKPESLFAKNPPPSSAPSAPHNILPISPVSLSPPLLKNASRARGCRSPSGEESRSEQVNTRQQTERSAKKKKANGAAIANTSTLFQMLQCVIELLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVGDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLYKLDSEKPRPYGTIQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELVKFVVMLIARSRTVLGPSSKKR >ONIVA02G10060.1 pep chromosome:AWHD00000000:2:6967973:6972413:-1 gene:ONIVA02G10060 transcript:ONIVA02G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGRSRCPSNFYLSALSVASRDSTSAANPASDPSLLESQDGKCKKPKGKHKVVLLGFSRIKRFHSVAYLQQIRCFEVVGEIDFSFPVLTYSLYFRIHLGRFYKRFGRRACSTEHVHGWDQKPCSLGEPGSWVLYHAGNFVVSKPDRTIKLKFSMAQIDCTHTKGGLCVDSAFIYPKGFQQERMAALEGAPHHVLPPFQNIAIQIYS >ONIVA02G10050.1 pep chromosome:AWHD00000000:2:6961866:6967072:1 gene:ONIVA02G10050 transcript:ONIVA02G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSIDVERISFGGKEHHIHTNHGPVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISPNAPIPSVDDLADQVADVLDFFGLGSVMCLGVSAGAYILTLFAAKYRDRVLGLILVSPLCKPPTWTEWFYNKVASNLLYYYGMCGLVKEGLLQRYFSKEVRGCSDLPESDIVQACRSLLDQRQSMNVWRFVQTMNMRYDLTKDLKQLQCRTLIFVGEYSQFHTEAVHMTSKLDRRYCALVEVQACGSLITEEQPHAMLIPMEYFFMGYGLYRPSQLDCSPRSPLSPFCISPDLLSPESMGVKLKPIKTRVRLEV >ONIVA02G10040.1 pep chromosome:AWHD00000000:2:6937882:6952307:1 gene:ONIVA02G10040 transcript:ONIVA02G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G25970) TAIR;Acc:AT2G25970] MAAAAAPPSSSSLAPPEVPMELHAGNRDRLVAALRAHLSASGRPLRGLVLLQGGEEQTRYCTDHLELFRQESYFAYLFGVREPGFYGAIDIASGQSILFSPRLPADYAVWMGEIKPLSYFKDRYKVDMVFYVDEITQVLQDRFSDHGKPLLFVLYGKNTDSGNYSKPASFEGMEKFDSDLSTLHPILTECRVIKSDMELALIQYANDVSSEAHIEVMRRARPGMKEYQLESIFLHHVYMYGGCRHCSYTCICATGENSSVLHYGHAAAPNDRTLNDGDMALMDMGGEYHCYGSDITCSYPINGKFNNNQTIVYNAVLKAHNAVIAHMRPGVNWLDMHKLAEQTILESLRNERILHGDVTDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTIRELKEGMVITVEPGCYFIDALLIPARDDPVYSKFFNWEEIEKYKSFGGVRIESDVYVTAHGCKNLTNCPRETWEIEAVMAGAPWPAGMYINLS >ONIVA02G10040.2 pep chromosome:AWHD00000000:2:6937882:6952314:1 gene:ONIVA02G10040 transcript:ONIVA02G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G25970) TAIR;Acc:AT2G25970] MAAAAAPPSSSSLAPPEVPMELHAGNRDRLVAALRAHLSASGRPLRGLVLLQGGEEQTRYCTDHLELFRQESYFAYLFGVREPGFYGAIDIASGQSILFSPRLPADYAVWMGEIKPLSYFKDRYKVDMVFYVDEITQVLQDRFSDHGKPLLFVLYGKNTDSGNYSKPASFEGMEKFDSDLSTLHPILTECRVIKSDMELALIQYANDVSSEAHIEVMRRARPGMKEYQLESIFLHHVYMYGGCRHCSYTCICATGENSSVLHYGHAAAPNDRTLNDGDMALMDMGGEYHCYGSDITCSYPINGKFNNNQTIVYNAVLKAHNAVIAHMRPGVNWLDMHKLAEQTILESLRNERILHGDVTDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTIRELKEGMVITVEPGCYFIDALLIPARDDPVYSKFFNWEEIEKYKSFGGVRIESDVYVTAHGCKNLTNCPRETWEIEAVMAGRDVHQLVIT >ONIVA02G10040.3 pep chromosome:AWHD00000000:2:6937882:6952314:1 gene:ONIVA02G10040 transcript:ONIVA02G10040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G25970) TAIR;Acc:AT2G25970] MAAAAAPPSSSSLAPPEVPMELHAGNRDRLVAALRAHLSASGRPLRGLVLLQGGEEQTRYCTDHLELFRQESYFAYLFGVREPGFYGAIDIASGQSILFSPRLPADYAVWMGEIKPLSYFKDRYKVDMVFYVDEITQVLQDRFSDHGKPLLFVLYGKNTDSGNYSKPASFEGMEKFDSDLSTLHPILTECRVIKSDMELALIQYANDVSSEAHIEVMRRARPGMKEYQLESIFLHHVYMYGGCRHCSYTCICATGENSSVLHYGHAAAPNDRINGKFNNNQTIVYNAVLKAHNAVIAHMRPGVNWLDMHKLAEQTILESLRNERILHGDVTDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTIRELKEGMVITVEPGCYFIDALLIPARDDPVYSKFFNWEEIEKYKSFGGVRIESDVYVTAHGCKNLTNCPRETWEIEAVMAGRDVHQLVIT >ONIVA02G10040.4 pep chromosome:AWHD00000000:2:6937882:6952380:1 gene:ONIVA02G10040 transcript:ONIVA02G10040.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G25970) TAIR;Acc:AT2G25970] MADDHYSSKRKYDDPSPPPRRTGFSSAPPAASPPSGGAPASYNSVPPPPADEIQLAKQRAQAIAARLFNAAEAKRPRLDGGGGGDDDDAGAGLGSLGGGGGGGRATGLGFSSSAGGGHGSAIPSLSSHGSTPQYSSYGGYQGTSKTIEIPNGRVGVIIGKSGETIKNLQLQSGAKIQVTRDLDALPGSQTRPVELSGTPDQISRAEQLINEVLAEADAASSGNLSSRKYNAPQPGADQFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVVPLHLPPGDPATERTVYIDGTQEQIETAKQLVIEVTSEVRFYPLSYLLPDFMIAMPICDLSVNRARNPMSGGYSQQGYRPPRPQSNWGPHGGAPMQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPASGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQSAPGTAAPGDATSYNSSQPPAYASQGYDSSYAQQSGGQQQAYDYSSYYQTQGQQQGYSQQTGYDQQGYGTSGYGSAANSTQDGSAPSYGAQGVAGQASPGQQTSTPAAGSHPGYSSQQPPTSAASSYPVQGSAPQSGYGAPPPQTGYGTQPQPQGGYGQGSYGAPPQGQKAPPNTSPYGQAPPPGSAPGGYGQYGYSQNQQGYGAPPPYPGAPAASHPGYGQQQSYGDPYGSGSYGQPAAYSTEATTAAASQDQSASAPAAGAAPATTAVPAPTAPENSGAQSPAS >ONIVA02G10040.5 pep chromosome:AWHD00000000:2:6937882:6952380:1 gene:ONIVA02G10040 transcript:ONIVA02G10040.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G25970) TAIR;Acc:AT2G25970] MADDHYSSKRKYDDPSPPPRRTGFSSAPPAASPPSGGAPASYNSVPPPPADEIQLAKQRAQAIAARLFNAAEAKRPRLDGGGGGDDDDAGAGLGSLGGGGGGGRATGLGFSSSAGGGHGSAIPSLSSHGSTPQYSSYGGYQGTSKTIEIPNGRVGVIIGKSGETIKNLQLQSGAKIQVTRDLDALPGSQTRPVELSGTPDQISRAEQLINEVLAEADAASSGNLSSRKYNAPQPGADQFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVVPLHLPPGDPATERTVYIDGTQEQIETAKQLVIEVTSENRARNPMSGGYSQQGYRPPRPQSNWGPHGGAPMQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPASGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQSAPGTAAPGDATSYNSSQPPAYASQGYDSSYAQQSGGQQQAYDYSSYYQTQGQQQGYSQQTGYDQQGYGTSGYGSAANSTQDGSAPSYGAQGVAGQASPGQQTSTPAAGSHPGYSSQQPPTSAASSYPVQGSAPQSGYGAPPPQTGYGTQPQPQGGYGQGSYGAPPQGQKAPPNTSPYGQAPPPGSAPGGYGQYGYSQNQQGYGAPPPYPGAPAASHPGYGQQQSYGDPYGSGSYGQPAAYSTEATTAAASQDQSASAPAAGAAPATTAVPAPTAPENSGAQSPAS >ONIVA02G10030.1 pep chromosome:AWHD00000000:2:6928075:6941661:-1 gene:ONIVA02G10030 transcript:ONIVA02G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIYVDSLGMSNIKFELHATPNLKRANIMGCELGMDTGHYDHQGNLPEPPPDPSPSPSLSPSAMVS >ONIVA02G10020.1 pep chromosome:AWHD00000000:2:6919844:6928053:-1 gene:ONIVA02G10020 transcript:ONIVA02G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0E0G3P1] MDPSSKSPDDDDLRPEAGAARRPQPQPQPREWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSRDSASRSELERQDYPIARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALFLLSTNDKTIKYWKVQERKVKRISVMNLNTSQSSGNGTTSSSSSSSSRAILPNGGCSEKLYNFPNNDLLFPPGECTSLRLPVVTGQDLNLVPRCRRVYSHAHDYHINSISNNSDGETYISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHAKLFEEHEAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKFWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSAEATTLEASRNPMRRQVANPTRPARTLTSLTRAVRRGGENPGVDANGNSYDLSTKLLHLAWHPTENSIACAAANSFLGTQ >ONIVA02G10020.2 pep chromosome:AWHD00000000:2:6919844:6928053:-1 gene:ONIVA02G10020 transcript:ONIVA02G10020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0E0G3P1] MDPSSKSPDDDDLRPEAGAARRPQPQPQPREWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSRDSASRSELERQDYPIARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALFLLSTNDKTIKYWKAMAQLLVRAAVVLERFFQMVDAQRSYTTSQTTTYYFLLENAHHCVCLDGETYISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHAKLFEEHEAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKFWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSAEATTLEASRNPMRRQVANPTRPARTLTSLTRAVRRGGENPGVDANGNSYDLSTKLLHLAWHPTENSIACAAANSFLGTQ >ONIVA02G10010.1 pep chromosome:AWHD00000000:2:6912552:6916361:1 gene:ONIVA02G10010 transcript:ONIVA02G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASSEQLEHVHETDESIVYVKDEQGRGDREMKSGARKVASLFSQRGKKGPNQDSVILCQGFGMEDGVFCGVFDGHGRCGQFISKLVRDYLPFMILSHRNALLLAAADDDDAAFSDDAARSSSTDSSGNSSPQPSASASAQMLEEWRQACANAFAAMDGELKLQPNLDCAFSGTTAVCAIKQGRDLIIANLGDSRAVLATMSDTGYLQAVQLTVDHKPSVPEEAARIKRSGGRVFGLKDEPGVMRVWLPGENSPGLAMARSLGDMRLKRHGVISAPEVTSRRVTGADLFMVLATDGVWDVLSNEEVVSIVCATPRKQHASKAVVEAAVQRWRAKFPTSRVDDCSAVCLFLHDHTLGTAAAASAAAAAAARKARRAAAATPPAS >ONIVA02G10000.1 pep chromosome:AWHD00000000:2:6903434:6904132:1 gene:ONIVA02G10000 transcript:ONIVA02G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRVKDSQGDATTSKLAMVEVTEGVPCEHRLNGKAVEAMAGTQGGGGGHSRGWRWQWRPPPALRDIVASVSSTHQSSPMCGPPFPR >ONIVA02G09990.1 pep chromosome:AWHD00000000:2:6877106:6879790:1 gene:ONIVA02G09990 transcript:ONIVA02G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICHEIFKVSLVKAKQAAAGIPPPPLGPWRLPVIGSMHHLAGKLPHRVLRDLAAAHGPLMMLQLGETPLVVASSREVAREVLRTHDANFATPPQAARRRGRALRLRRHPLLPYWRKLQQLCAAEVLGPKRVLSFRHIREQEVGNRRYTPSISIILGFEQD >ONIVA02G09980.1 pep chromosome:AWHD00000000:2:6869203:6871343:1 gene:ONIVA02G09980 transcript:ONIVA02G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYNDNPESRTNLNVLMSSKLVASPLKSMEQGQLRQPLRDQPQHPEEEHWMGCSLLTLIGFGFLTFNSGMAVYRSDRDLAAISFVVFSYVDLVSLFYCLRLFEKTPPESPRRHHIKTAVWLLTTMLTAVFSYKVAAIMPFPVQILVWAMAAATVLGGFYAFFLHSEEQKP >ONIVA02G09980.2 pep chromosome:AWHD00000000:2:6870915:6873626:1 gene:ONIVA02G09980 transcript:ONIVA02G09980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQLRQPLRDQPQHPEEEHWMGCSLLTLIGFGFLTFNSGMAVYRSDRDLAAISFVVFSYVDLVSLFYCLRLFEKTPPESPRRHHIKTAVWLLTTMLTAVFSYKVAAIMPFPVQILVWAMAAATVLGGDWQNIW >ONIVA02G09970.1 pep chromosome:AWHD00000000:2:6840144:6853358:1 gene:ONIVA02G09970 transcript:ONIVA02G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDVRHPGTQPWPCGGLVALDLSNLDLSGTIDPSISNLTYLRKLDLPVNHLTGNIPSELGRLLDLQHVNLSYNSLQGDIPASLSLCQQLENISLAFNHLSGGMPPAMGDLSKLRIVQWQNNMLDGKMPRTIGSLGSLEVLNLYNNSLAGSIPSEIGNLTSLVSLILSYNHLTGSVPSSLGNLQRIKNLQLRGNQLSGPVPTFLGNLSSLTILNLGTNRFQGEIVPLQGLTSLTALILQENNLHGGIPSWLGNLSSLVYLSLGGNRLTGGIPESLAKLEKLSGFVLAENNLTGSIPPSLGNLHSLTDFYIDKNQLTGYIPSSISNLSSLRIFNVQFNQLTGSLPTDNGVNFPLLEIFNAGYNQFQGAIPTWMCNSSMLSSFAIEMNMISGTVPPCVDHLNSLSVLTIENNQLEANNNYGWEFLSSLTNSSQLKILDFSSNKFRGTLPNAVANLSTNLKALALSENMISGHIPEGIGNLVNLLYLFMSNNSFEGNIPSSLGTLWKLSHLDLGFNNLSGQIPPALGNLTLLNKLYLGQNSLSGPLPSSLRNCTLQKIDIQHNMLRGPIPREIFLISTLSVFMYFQSNMFIGSVPLEIGNLKNIADIDLSNNQISGEIPLSIGDCQSLQYFKIQGNFLEGPIPASVSRLKGLQSQQAKVDLSLINDLHLRVSYAELVNATNGFAPENLIGVGSFGSVYKGRITIQDQEVIVAVKVLNLQQRGASQSFIAECETLRCVRHRNLVKILTVCSTLDYLHQHRPLPIIHCDLKPSNILLDRDMVAHVGDFGLARVLHQNHSDMLEKSSGWATIRGTIGYAAPGILLGATSTAFFWWEGKESGGR >ONIVA02G09960.1 pep chromosome:AWHD00000000:2:6834609:6839137:1 gene:ONIVA02G09960 transcript:ONIVA02G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLECFLLAVAVVLVAHAAAPAPAASSRLPLPPPPAAAAGDVSGSDSDRRALMAFKKLVSGDPSQALESWGDGSTPLCRWRGVSCGVAAGRRRGRVVALDLAGAGIAGEVSPALGNLTHLRRLHLPENRLHGALPWQLGRLGELRHLNLSHNSIAGRIPPPLISGCRRLKNVLLHGNRLHGELPGELLSSLRRLEVLDLGKNTLTGSIPPDIGNLVSLKQLVLEFNNLTGQIPSQIGKLGNLTMLSLSSNQLSGSIPESIGNLSALTAIAAFSNNLTGRIPPLERLSSLSYLGLASNNLGGTIPSWLGNLSSLTALDLQSNGFVGCIPESLGDLQFLEAISLADNKLRCRIPDSFGNLHELVELYLDNNELEGSLPISLFNLSSLEMLNIQDNNLTGVFPPDMGYKLPNLQQFLVSRNQFHGLIPPSLCNLSMIQVIQTVDNFLSGTIPQCLGRNQNMLSVVNFDGNQLEATNDADWGFMTSLTNCSNMILIDVSINKLQGVLPKAIGNMSTQLEYFGITNNNITGTIPESIGNLVNLDELDMENNLLMGSLPASLGNLKKLNRLSLSNNNFSGSIPVTLGNLTKLTILLLSTNALSGAIPSTLSNCPLEMVDLSYNNLSGPIPKELFLISTISSFLYLAHNKLTGNLPSEVGNLKNLDELDLSDNKISGKIPTTIGECQSLQYLNLSRNFLEDTIPPSLEQLRGLLVLDLSQNNLSGTIPRFLGSMTGLSTLNLSSNDFEGEVPKDGIFLNATATSVMGNNDLCGGAPQLKLPKCSNQTKHGLSSKIIIIIIAGSTILFLILFTCFALRRRTKLRRANPKIPLSDEQHMRVSYAQLSKATNSFASENLIGVGSFGAVYKGRIGISDQQMVVAVKVLNLQQAGAYRSFDAECETLRCIRHRNLVKILTVCSGIDFQGSDFKALVFEFLPNGNLDQWLHKHLEEEGEPKVLNLIERLQIAIDVASALEYLHQHKPCPIVHCDLKPSNILLDNDMVAHVGDFGLARFLHQEHSNSSEKSTGWNVIRGTIGYVAPEYGLGNEVSIHGDVYSYGILLLEMFTGKRPTNSEFGEVLTLHEYVETALPDQTTSVIDQGLLNATWNSEGTAQKYHDIEEIRTECIVSILKVGILCSKEIPTDRMQIGDALRELQAIRDRFDTHQL >ONIVA02G09950.1 pep chromosome:AWHD00000000:2:6825481:6829073:-1 gene:ONIVA02G09950 transcript:ONIVA02G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVASSRRHVAAVDVDDGLALTAFMARMSTGSGSPPPWGNRSVPVCRWRGVACGARGRRRGRVVALELPGLGLRGTVPPDLGNLTYLRRLHLAGNRLHGVLPPELGGLAELSHLNLSDNAFQGQIPASLANCTGLEVLALYNNRLHGEIPPELCSLRGLRVLSLGMNTLTGSIPSEIGNLANLMTLNLQFSNLTGGIPEEIGDLAGLVGLGLGSNQLAGSIPASLGNLSALKYLSIPSAELTGSIPSLQNLSSLLVLELGENNLQGTVPAWLGNLSSLVFVSLQQNRLSGHIPESLGRLQMLTSLDLSQNNLISGSIPDSLGNLGALSSLRLDYNKLEGSFPPSLLNLSSLDDLGLQSNRLSGALPPDIGNKLPNLQRFVVDINQFHGTIPPSLCNATMLQVLQTVYNFLSGRIPQCLGIQQKSLSVVALSKNQLEATNDADWVFLSSLANCSNLNALDLGYNKLQAEMGNLKNLGEFDFSSNNISGEIPTSIGECKSLQQLNISGNSLQGIIPSSLGQLKGLLVLDLSDNNLSGGIPAFLGGMRGLSILNLSYNKFEGEVPRDGVFLNATATFLTGNDDLCGGIPEMKLPPCFNQTTKKASRKLIIIISICSIMPLITLIFMLFAFYYRNKKAKPNPQISLISEQYTRVSYAELVNATNGFASDNLIGAGSFGSVYKGRMTNNDQQVVAVKVLNLTQRGASQSFMAECETLRCVRHRNLVKILTVCSSIDFQGNEFKAIVYEYLPNGNLDQWLHPNIMGQSEHKALDLTARLRIAIDVASSLEYLHQYKPSPIIHCDLKPSNVLLDSDMVAHVSDFGLARFLHQESEKSSGWASMRGTVGYAAPEYGIGNEVSIQGDVYSYGILLLEMFTRKRPTDGEFGEAVGLRKYVQMALPDNAANVMDQQLLPETEDGEAIKSNSYNGKDLRIACVTSSVMRIGISCSEEAPTDRVQIGDALKELQAIRDKFEKHVSNEGTSSQ >ONIVA02G09940.1 pep chromosome:AWHD00000000:2:6824775:6828071:1 gene:ONIVA02G09940 transcript:ONIVA02G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPEQPLPCPERPASGAAAAARPSRHLHPPSRRPPRRFGSLLPISGGRAPLRRLLCKPRSSREERLSSEGGNEPSSLL >ONIVA02G09940.2 pep chromosome:AWHD00000000:2:6824816:6829595:1 gene:ONIVA02G09940 transcript:ONIVA02G09940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLD >ONIVA02G09940.3 pep chromosome:AWHD00000000:2:6828517:6830043:1 gene:ONIVA02G09940 transcript:ONIVA02G09940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFARFPISDGMLPVRVFMPRLSTLRPRNEQSSGGISPCNLLLYRARTSRPVQLASDAGICPWKALSLRLRWLSSARPPSSGGRTPCSRLPARWSRLRYVRLPRSGGTVPRRPSPGSSSATTRPRRRPLAPHATPRHRHTGTDRKMSQLYRKAEQWLSGLLSDSGITTGAYPVDAY >ONIVA02G09940.4 pep chromosome:AWHD00000000:2:6824775:6830043:1 gene:ONIVA02G09940 transcript:ONIVA02G09940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALPEQPLPCPERPASGAAAAARPSRHLHPPSRRPPRRKMSQLYRKAEQWLSGLLSDSGITTGAYPVDAY >ONIVA02G09930.1 pep chromosome:AWHD00000000:2:6819443:6823398:1 gene:ONIVA02G09930 transcript:ONIVA02G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAMLHTHELLLLAIVFLSCFFSHVSPALLSSSTIDRLALMSFRSLIRSDPTQALASWGNQSVPMCQWYRVACGLRGRRRGRVVALDLANLNLLGMISPALGNLTYMRRLYLPRNSFHGELPPELGNLRDLKTLHLEYNSIGGEIPPSLSNCGQLVQIALSNNKLHGGIPSELSSLHNLEVLDLSENRLTGSIPSDIGNLVNLRVLGMHLNNLTREIPPEIGKLINLGGLNLFSNQLSGSIPVSLGNFSALTFLALSFNKLTGSIPPLQGLSSLKTLGLGPNNLKGSIPTWLGNLSSLQVIELQESNLEGNIPESLGNLKWLTDLFLLHNNLRGPVPNTIGNLHSLETLSVEYNELEGPLPPSIFNLSSLQTLGIQFNRLNGSFPVDIGNTLPNLQSFLADENQFHGIIPPSLCNASMMQMIQAQNNILSGTIPQCLGIHQKSLYSVAFAQNQLETRNDYDWGFMSSLTNCSNLRLLDLGDNKLRGELPNTVGNLSTRLEYFITGHNSITGKIPEGIGNLVGLKFIEMNNNLHEGTIPAALGKLKNLNKLYLTNNKLSGSIPSSIGNLRLLIVLALGGNALSGEIPPSLSNCPLEQLELSYNNLTGLIPKELFSISTLSASVNLEHNFLTGPLPSEVGNLTNLALLDLSKNRISGEIPSSIGECQSLQYLNTSGNLLQGKIPPSLDQLKGLLVLDLSHNNLSGSIPKFLGTMTGLASLNLSFNNFEGDVPKDGIFSNATPALIEGNIGLCNGIPQLKLPPCSHQTTKRKKKTWKVAMTISICSTVLFMAVVATSFVLHKRAKKTNANRQTSLIKEQHMRVSYTELAEATNGFASENLIGAGSFGSVYKGSMRINDQQVAVAVKVFNLKQRGSSKSFAAECETLRCVRHRNLVKVLTVCSSIDFQGRDFKATVYKFLPNRNLDQWLHQNIMENGEHKALDLITRLEIAIDVASSLEYLHQYKPSPIIHCDLKPSNVLLDDEMVARVGDFGLARFLHQDPEQSSGWASMRGTIGYAAPEYGLGNEVSIYGDVYSYGILLLEMFSGKRPTDSKFGESLGLHKYVNMALPDRVASVIDLSLLEETEDGEARTSISNQTREMRIACITSILHVGVSCSVETPTDRVPIGDALKELQRIRDKFHRELQGAGATIHQGIQIC >ONIVA02G09920.1 pep chromosome:AWHD00000000:2:6819429:6823360:-1 gene:ONIVA02G09920 transcript:ONIVA02G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPIGTRSVGVSTEQETPTCRIEKSCKAKVTNTCNHFIVEENIAWLKITILEFAKSSWNSSLMLFGPNPRVLRDDNPWSGGMLPVNLLKERARKVTEITKLWWQLPMEAVPWKIEPPHVCEVAQCRADHTKKVEVGEVQRHHTATTASSQATRHSDASACVGSLLMSDLNDMSARRSMVDEESKAGDTWLKKHERKTMASKRSSWVWSIAIAAIVGCLSAV >ONIVA02G09920.2 pep chromosome:AWHD00000000:2:6819427:6823360:-1 gene:ONIVA02G09920 transcript:ONIVA02G09920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPIGTRSVGVSTEQETPTCRIEILEFAKSSWNSSLMLFGPNPRVLRDDNPWSGGMLPVNLLKERARKVTEITKLWWQLPMEAVPWKIEPPHVCEVAQCRADHTKKVEVGEVQRHHTATTASSQATRHSDASACVGSLLMSDLNDMSARRSMVDEESKAGDTWLKKHERKTMASKRSSWVWSIAIAAIVGCLSAV >ONIVA02G09920.3 pep chromosome:AWHD00000000:2:6820164:6823360:-1 gene:ONIVA02G09920 transcript:ONIVA02G09920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPIGTRSVGVSTEQETPTCRIEKSCKAKVTNTCNHFIVEENIAWLKITILEFAKSSWNSSLMLFGPNPRVLRDDNPWSGGMLPVNLLKERARKVNAEKFPSETGIDPES >ONIVA02G09920.4 pep chromosome:AWHD00000000:2:6819427:6821097:-1 gene:ONIVA02G09920 transcript:ONIVA02G09920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGPNPRVLRDDNPWSGGMLPVNLLKERARKVTEITKLWWQLPMEAVPWKIEPPHVCEVAQCRADHTKKVEVGEVQRHHTATTASSQATRHSDASACVGSLLMSDLNDMSARRSMVDEESKAGDTWLKKHERKTMASKRSSWVWSIAIAAIVGCLSAV >ONIVA02G09920.5 pep chromosome:AWHD00000000:2:6820164:6823360:-1 gene:ONIVA02G09920 transcript:ONIVA02G09920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPIGTRSVGVSTEQETPTCRIEILEFAKSSWNSSLMLFGPNPRVLRDDNPWSGGMLPVNLLKERARKVNAEKFPSETGIDPES >ONIVA02G09910.1 pep chromosome:AWHD00000000:2:6809814:6815604:1 gene:ONIVA02G09910 transcript:ONIVA02G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G3M2] MDPSSAGAGGNSLASASCGDAQKRRVCYFYDPEVGNYYYGQGHPMKPHRVRMTHALLAHYGLLAPAKMQVLRPLPARDRDLCRFHSDDYVAFLRAVTPETQLDQIRSLRRFNVGEDCPVFDGLYAYCQTYAGASVGAAVKLNHGTHDIAINWSGGLHHAKKSEASGFCYVNDIVLAILELLKLHERVLYIDIDIHHGDGVEEAFYTTNRVMTVSFHKFGDYFPGTGDIRDIGYSEGKYYCLNVPLDDGIDDDSYQSIFKPIIGKVMEMYRPGAVVLQCGADSLSGDRLGCFNLSGKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGEELREKLPYNEYYEYFGPEYSLFVAASNMENRNTNKQLEEIKCNILDNLSKLQHAPSVQFEERIPETKLPEPDEDQEDPDERHDPDSDMVLDDHKPMGHSARSLIHNIEVKREITESETKDQHGKRLTTEHKGPEPMADDLGSSKQAPVSASSRIAEVPTPQPPRLLSPFLSGLRFCFIADDRLIATSVFNFKILLDH >ONIVA02G09910.2 pep chromosome:AWHD00000000:2:6809814:6815646:1 gene:ONIVA02G09910 transcript:ONIVA02G09910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G3M2] MDPSSAGAGGNSLASASCGDAQKRRVCYFYDPEVGNYYYGQGHPMKPHRVRMTHALLAHYGLLAPAKMQVLRPLPARDRDLCRFHSDDYVAFLRAVTPETQLDQIRSLRRFNVGEDCPVFDGLYAYCQTYAGASVGAAVKLNHGTHDIAINWSGGLHHAKKSEASGFCYVNDIVLAILELLKLHERVLYIDIDIHHGDGVEEAFYTTNRVMTVSFHKFGDYFPGTGDIRDIGYSEGKYYCLNVPLDDGIDDDSYQSIFKPIIGKVMEMYRPGAVVLQCGADSLSGDRLGCFNLSGKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGEELREKLPYNEYYEYFGPEYSLFVAASNMENRNTNKQLEEIKCNILDNLSKLQHAPSVQFEERIPETKLPEPDEDQEDPDERHDPDSDMVLDDHKPMGHSARSLIHNIEVKREITESETKDQHGKRLTTEHKGPEPMADDLGSSKQAPI >ONIVA02G09900.1 pep chromosome:AWHD00000000:2:6806232:6807787:1 gene:ONIVA02G09900 transcript:ONIVA02G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEMPERSVARFNALVAGLTQRGEADEARRVFRLLAEEVLPATELCHGWERAACLLMRRSGGQARGAVALAWHRYNAMDSGLLRNDEHTMALDTVGEMIFGFSEEPNENDFSGVLSMHNRGGTKLGVLEYHDLGFSVTQGAHDKQKESCFQVATLKSRNVHESLVIAGVINFEIAFCRKAMIKIDPVIDTCRVVGAKNHMHCGGLEVRTVSAALGITENASWRWRS >ONIVA02G09900.2 pep chromosome:AWHD00000000:2:6806232:6808067:1 gene:ONIVA02G09900 transcript:ONIVA02G09900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEMPERSVARFNALVAGLTQRGEADEARRVFRLLAEEVLPATELCHGWERAACLLMRRSGGQARGAVALAWHRYNAMDSGLLRNDEHTMALDTVGEMIFGFSEEPNENDFSGVLSMHNRGGTKLGVLEYHDLGFSVTQGAHDKQKESCFQVATLKSRNVHESLVIAG >ONIVA02G09890.1 pep chromosome:AWHD00000000:2:6804171:6809814:-1 gene:ONIVA02G09890 transcript:ONIVA02G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGKGGFIYRGRRDGGETAVSNNGLSPLGASSIFGWRGTEEYFRLSAATERHLQITFMEEKPELARRAWTWIVEASSRAGARLGEGLEQAGLGEGGTEAGGVGGEGFLSETSSDDFFPRGGGDGGRGASAIGNATELAEMLLPWRQWRRRRHGNDQAGGAAAVQPADPKPRKEASRIFSKLPDPSSSPKALDP >ONIVA02G09880.1 pep chromosome:AWHD00000000:2:6796432:6803909:-1 gene:ONIVA02G09880 transcript:ONIVA02G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62760) TAIR;Acc:AT5G62760] MWAPPGPHPYEVLDMEGPHKRMRVGEAPPPFDPYGDGMPPPPPPGMVPVEGDRLLGLIRDHGRPPFPPPPGMLHGEPYPPPDRFGYGGGRGYPPPSSYDNPYAHGGSFPDYDHAGRFPPAHERLVLGSSFVPGGPQEGYFNHDHRYNRFQRSESPVAPPLPPPARYPEARSHYDSHGWHPEADVPPPPPPEPPVPSSSDYHAKPPLQAVKSSLFPVHSGSPAATVRPPSSHTLHQAHLMPNANRYNGPIHNEVPGLAYQPHLEQHLGGGRQTQAQHSINNAKISVISACDLFKQPLRGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGGNAPRIHSMDDYFMIEVEKKVEDNEGSKSSSTSKGRKQLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGNFTFVIVDDRNLRVADFAQFWASAKEALCSYTLFFGILFSESFYLVGSHLKKSGYEVYLLEAPYKDPTGCAARNVHGFTVDDVNKMAADWEEAPSLYLRLDIHSLFNDDNLREHSIQEVDMETEDTDGASNTATSTEAENTQKAVSESLDNGHDQDISEAGKKWDSSEEDDLDGYKELGQSKWSKDFDEDTEKSDHAEGSTHALSGLAQTYSTHRKTVTWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAEDNSKQAKGVINTDTKKRFSEQLRDEGESFRAVFDKRRQRVGVFGNGDGE >ONIVA02G09870.1 pep chromosome:AWHD00000000:2:6788373:6793820:-1 gene:ONIVA02G09870 transcript:ONIVA02G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAGAGGNSLASASCGDAQKRRVCYFYDPEVGNYYYGQGHPMKPHRVRMTHALLAHYGLLAPAKMQVLRPLPARGIDLCRFHSDDYVAFLRAVTPETQLGQVRALRRFNIGPDCPVFLSWSVSSADGDDTTAATATKVTQRRRQQHASSHLGRKDAAPIDFPKFSMRKDHLTLPPVRYHLRVALGEELQEKLPYNEYYEYFGPEYSLYVAASNMENRNTNKQLEEIKCNILDNLSKLEHAPSVQFEERIPETKLPEPDEDQEDPDERHDPDSDMVLDDNKPTGHSARSLIHNIGVKREITETETKDQHGKRLTTEHKGPEPMAEDLGSSKQAPTADANAVAVNAPGNARNEPGSSPK >ONIVA02G09860.1 pep chromosome:AWHD00000000:2:6787163:6788043:-1 gene:ONIVA02G09860 transcript:ONIVA02G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQAKTPCLDVFDIAIDVVSALDYLHQHRPLPIIHCDLKPSNIFLDSEMVAYVGDFGLARVLHQDHSDMLEKSSGWATMREMDMLLQYGLGNEVSILGDVYSYGILLLEMFTGKRPTGTEFKEALSLHNYVKMALPDNVIDIADQHFYQRTMMEKKETQMAKEQETQE >ONIVA02G09850.1 pep chromosome:AWHD00000000:2:6785258:6786103:1 gene:ONIVA02G09850 transcript:ONIVA02G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVESADPASDLQAACPTSRAWLAVVVRRTAGGLRGESLAPLPVLATPTPSGAVHLLEGVAIGALVQRHYKGILRHQRDDETGEFDGICWVSRQVAGIPTDVPLANT >ONIVA02G09840.1 pep chromosome:AWHD00000000:2:6781573:6783482:1 gene:ONIVA02G09840 transcript:ONIVA02G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPQLAFSRMPPGFRFQPTDEQLVVDYLQRRTAAQPCVTPDITDIDVYNVDPWQLPAMAMYGSDHDRYFFTMAAREAQARRTTPSGFWKPTSTKKTIFVVAGGHEVPTAVKRRFVFYLGHHQPSGSNNNNKTSWIMHEYRLMNSPRAAVPSSSSVNRLPTDDLTEEMVLCRISNKDLPKPPFIHNGLLQFSSVGLNGDGYNYLILDHLEPPAMEYPNVDIGNVDDAAAADDDPGDLDEEIDDSMQRNHGG >ONIVA02G09830.1 pep chromosome:AWHD00000000:2:6777326:6779617:-1 gene:ONIVA02G09830 transcript:ONIVA02G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G3L3] MDDQRLQWRKPGSFLLVAGVFLAAAAAVSNAGIGEFDEHWEKRRAAAEAAAEEVYKPDPFNVTNEFNHAVIRHAIHTIFVQILSTERGVLRRELSGKNSKYKGPCLATNPIDRCWRCRKDWATDRKRLARCAMGFGRGATGGVRGKIYVVTDPGDGDAANPRYGTLRWGAMQAAPLWITFAKSMVIRLTQELLVASDKTIDGRGAQVHIARGGAGITVQFARNVIITSLHVHDVKHSDGGAVRDSPTHIGPRTRADGDGISLFAATDVWVDHVSMSMCEDGLIDVVQGSTGVTISNSHFTNHNDVMLFGASDSYPQDKVMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGGMSPTILSQGNRYIAPPNIAAKLITRHYAPEWEWKNWAWRSDGDLFMNGAYFQASNGAINRKVKGSDMVKPKPGSYVRRLTRFAGALSCRPGEPC >ONIVA02G09820.1 pep chromosome:AWHD00000000:2:6774939:6777805:1 gene:ONIVA02G09820 transcript:ONIVA02G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAse Z1 [Source:Projected from Arabidopsis thaliana (AT1G74700) TAIR;Acc:AT1G74700] MANSGKSSPAATSTTAPPPGRPKAKAPPLTVEGYPVEGISIGGQETCVIFPTLSAAFDIGRCPQRAVSQEFLFISHAHLDHIGGLPMYVATRGLYRQRPPTIFIPACLRDPVERLFELHRSMDQSELKHNLVPLEIGQEHELRRDLKVKAFKTYHAIPSQGYVIYTVKQKLKPEYLGLPGSEIKRLKLSGVEITNTLTVPEIAFTGDTMADFILDPDNADVLKAKILVVESTFVDDSVTIEHAREYGHTHLSEILNQCDKLENKAILLIHFSARYTAEEIDTAINKLPPSFRSRVHALKEGF >ONIVA02G09810.1 pep chromosome:AWHD00000000:2:6762444:6762794:-1 gene:ONIVA02G09810 transcript:ONIVA02G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRRLRLLAIVQLAVAIWLAATSSYQCKLDAVPPPPPPPTELVDGGRTPATPPPPKANRHPPPSPPEGRSSQQAGLQP >ONIVA02G09800.1 pep chromosome:AWHD00000000:2:6753397:6754657:1 gene:ONIVA02G09800 transcript:ONIVA02G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGLRVRLVAILVMSSLLLSPPLLVPCVLAAGSSSGGGSRGREMKHNGHLLTMAARYTTLLNPLEEAKMKSSLAIDQNLSIESIEKSQEQFFFFFFWGGGGAMAWLSELVGLLRIHSPSVDMRTWADRHGVCILSQYVHIARRVQNYRIVSRSTYVLYSSTKTIRPQSSSVNNMAEKCYFLQ >ONIVA02G09790.1 pep chromosome:AWHD00000000:2:6748249:6751039:1 gene:ONIVA02G09790 transcript:ONIVA02G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFMARVVLILVLSLWLLPSLLPGSLAAGASSAGNELSPLSGGSSRRMAMVRSRERQDGYGLSTAKSARRSLRPTPDPGDGPRETPVTPIERNRQPGN >ONIVA02G09790.2 pep chromosome:AWHD00000000:2:6748249:6751039:1 gene:ONIVA02G09790 transcript:ONIVA02G09790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFMARVVLILVLSLWLLPSLLPGSLAAGASSAGNELSPYPGDGPRETPVTPIERNRQPGN >ONIVA02G09780.1 pep chromosome:AWHD00000000:2:6745711:6746521:1 gene:ONIVA02G09780 transcript:ONIVA02G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPSVAAAIEETAVVTEQTSRKAVAAVTRGKLYVVRLSSSPLISDLFFAQPRCCRGLQTWMTAAQTGDTILLCRGDMHNSNGERPWKDLSRGRNN >ONIVA02G09770.1 pep chromosome:AWHD00000000:2:6717001:6717258:1 gene:ONIVA02G09770 transcript:ONIVA02G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLTEAKTAPVALFLAAAGASANAGVSSLLDGAIPAPAWVGLNAAADESAFVDLLVALAAASSSDTDTTTTIAACSNHHHHHK >ONIVA02G09750.1 pep chromosome:AWHD00000000:2:6706481:6706925:-1 gene:ONIVA02G09750 transcript:ONIVA02G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLQRQRYSVVALLQLAVALWLAATAGYLCQPTYGYPPLPPGYPTYPPVPPPNTPRRLKP >ONIVA02G09740.1 pep chromosome:AWHD00000000:2:6696033:6697453:-1 gene:ONIVA02G09740 transcript:ONIVA02G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLQRRRLVLALLQLAVALWLAATSGCLCRQTPTPIAGVPSPPILPDGNRPGSSPPAPVSAQGHNHFVLPPGCPPDCTDQQRQP >ONIVA02G09730.1 pep chromosome:AWHD00000000:2:6685607:6685989:-1 gene:ONIVA02G09730 transcript:ONIVA02G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRRRRHRLLAVVQLAVALWLAATSGYWCKMGVAPPLPPGQLVVDGSRTPTNPPPPQPHWPWRPQPEPAPTPVCPPEGCTGGGHPSGRKP >ONIVA02G09720.1 pep chromosome:AWHD00000000:2:6667224:6668195:1 gene:ONIVA02G09720 transcript:ONIVA02G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARLRVRLVAILVISSLLLSPPFLVPCVLAAGSSGGGSRGREMKHAGHLLVIAPRKSTWPRRVLNSGDQPNHDPIHNSPISQEEAKMKSGLAIDERVSINTTT >ONIVA02G09710.1 pep chromosome:AWHD00000000:2:6660285:6660813:-1 gene:ONIVA02G09710 transcript:ONIVA02G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRLRLLAIVQLAVVLWLAATSGYRCKLDAVPPPPTELVHVGRPPATPPSPMGNRPPRPLPPVCPPEGCSGQQAAGRQP >ONIVA02G09700.1 pep chromosome:AWHD00000000:2:6646396:6656731:-1 gene:ONIVA02G09700 transcript:ONIVA02G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEMYGATNRLRTANGWPGLVLAKAIIACKTPKYLFKVGHGLEDTGKPFLWVVKKSEVAGWKRPEP >ONIVA02G09690.1 pep chromosome:AWHD00000000:2:6645400:6645685:-1 gene:ONIVA02G09690 transcript:ONIVA02G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSDTLAGVYDGHGGPDASRFLRSRLFPLVHEFAALCSGVVDADVIRKAFLAADEEY >ONIVA02G09680.1 pep chromosome:AWHD00000000:2:6645046:6645372:-1 gene:ONIVA02G09680 transcript:ONIVA02G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAASGSCCLLGAISGDMLYVTNAGDSCTTVSERLSTEHNVASEEVRRELAALHPDNGEVVVHARGTWRVKGIVQVARAIGDVYLKTPEFKHDPAV >ONIVA02G09670.1 pep chromosome:AWHD00000000:2:6637195:6638721:-1 gene:ONIVA02G09670 transcript:ONIVA02G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G3J7] MAPTAELDTATSPPPPHFVIVPFPAQGHTIPMVDLARLLAERGVRASLVVTPVNAARLRGAADHAARAELPLEIVEVPFPPSAADAGLPPGVENVDQITDYAHFRPFFDVMRELAAPLEAYLRALPAPPSCIISDWSNSWTAGVARRAGVPRLFFHGPSCFYSLCDLNAAAHGLQQQGDDDRYVVPGMPVRVEVTKDTQPGFFNTPGWEDLRDAAMEAMRTADGGVVNTFLDLENEFIACFEAALAKPVWTLGPFCLYNRDADAMASRGNTPDVAQSVVTTWLDAMDTDSVIYVNFGSLARKVPKYLFEVGHGLEDSGKPFIWVVKESEVAMPEVQEWLSALEARVAGRGVVVRGWAPQLAILSHRAVGGFVTHCGWNSILESIAHGVPVLTWPHFTDQFLNERLAVNVLGVGVPVGATASVLLFGDEAAMQVGRADVARAVSKLMDGGEEAGERRRKAKEYGEKAHRAMEKGGSSYESLTQLIRSFTLQKPKNSSSITVECSANRHI >ONIVA02G09660.1 pep chromosome:AWHD00000000:2:6624988:6629740:1 gene:ONIVA02G09660 transcript:ONIVA02G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPDSVSATSPPPPLPPPHFVIVPFPAQGHTIPMVDLARLLAERGARASLVVTPVNAAHLRRVADHAARAKLPLEIVEVSFSPSAADAGLPPGVENVDQITDYAHFRPFFDVMRHLAAPLEAYLRALPVPPSCVISDWSNPWTAGVASRVGVPRLFFHGPSCFYSLCDLNAAAHGLQQQGDDDRILQLTMEAMRTADGAVVNTFKDLEDEFIACYEAALGKPVWTLGPFCLYNRDADAMASRGNTLDVAQSAITTWLDGMDTDSVTYVNFGSLACKVPKYLFEVGHGLEDSGKPFICVVKESEVATPEVQEWLSALEARVAGRGVVVRGWAPQLAILSHRAVGGFVTHCSWNSILESIAHGTSGSSIPPTAVLPLARPRLHSPLLRRLHGPLLLLRPGSLRHHLRRHPLRLPPLARHHLRHDRRRRQLRCRAHAAIVLHVVEVLHGHGAGVHGHHDHGVHAAVSVKLHVIEVLHGHGATSTSSLAPASAADSPRPPPVLAVRTAREREDREGRAEEEHDSWL >ONIVA02G09650.1 pep chromosome:AWHD00000000:2:6616027:6616581:1 gene:ONIVA02G09650 transcript:ONIVA02G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLDKRIYEERQETKLFNDMIQKVPKYLFEVGHGLEDSGKPFIWVVKVTEVATPEVQEWLSALEARVAGRGVVVRGWAPQLAILSHRAVGGFVTHCGCNSILEDITHGVPVVTWPHISDQFLNERLAVDVPVGATACMMLYYISNYYYYIVVVYWTLFVSLTSTDVNFINFEWLILIFNNFAS >ONIVA02G09640.1 pep chromosome:AWHD00000000:2:6610991:6613259:1 gene:ONIVA02G09640 transcript:ONIVA02G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCGGGGGGDVAETDSGVGAMVGTRAFLFSSSTEHQAALHGPLPGNCQTFSRASTIQASSRTTTKHYCFSSSDRADADADSTAFSSTPASRALLQERRGDRTPMVMTRQCCWRRTSPSGCGYITVVFCCGRVGRVER >ONIVA02G09630.1 pep chromosome:AWHD00000000:2:6609566:6610498:-1 gene:ONIVA02G09630 transcript:ONIVA02G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVEVTKDTQPGFLNSPGWEDLRDAAMEAMRTADGAVVNTFLDLEDEFIACYEAALGKPVWTLGPFCLYNRDADAMASRGNMPDVAQSAVTTWLDAMDTDSVIYVNFGSLARKVPKYLFEVGHGLEDTGRPFLWVVKESEVATPEVQEWLSALEARVARRGLVVRGWAPQLAILSHRAVGGFVTHCGWNSMLESIAHGVPVVTWPHFSDQFLNERLAVDVLGVGVPVGVTTPVLLFGDEAMAVTRGDVARAVTALMDGGEEAGERRRKAKEYGEKARRAMEKGGSSYESLTQLIHSFTLQGAKNAPEQ >ONIVA02G09620.1 pep chromosome:AWHD00000000:2:6588766:6600936:-1 gene:ONIVA02G09620 transcript:ONIVA02G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPMTAESTTQPPSPQPHFVLAPLAAHGHVIPMVDLAALLAAHGARASLVTTPLNATRLRGVADKAAREKLPLEIVELPFSPAVAGLPSDCQNADKLSEDAQLTPFLIAMRALDAPFEAYVRALERRPSCIISDWCNTWAAGVAWRLGIPRLFFHGPSCFYSLCDLNAVVHGLHEQIVADDEQETTYVVPRMPVRVTVTKGTAPGFFNFPGYEALRDEAIEAMLAADGVVVNTFLDLEAQFVACYEAALGKPVWTLGPLCLHNRDDEAMASCGTGSTDLRAITAWLDEQVTGSVVYVSFGSVLRKLPKHLFEVGNGLEDSGKPFLWVVKESEASSRPEVQEWLDEFMARTATRGLVVRGWAPQVTILSHRAVGGFLTHCGWNSLLEAIARGVPVATWPHFADQFLNERLAVDVLGVGVPIGVTAPVSMLNEESLTVDRGDVARVVSVLMDGGGEEAEERRRKAKEYGEQARRAMAKGGSSYENVMRLIARFSNTGGAMTSAASAAGSFLPHSLGTDRVSDNIKSLFPSSSTASGAASAGRDEYRGSPPDLLSRRQATSRRSHAGAMPQSVAEKAVMAAESTAQAPAQPHFVLAPLAAHGHLIPMVDLAGLLAAHGARASLVTTPLNATWLRGVAGKAAREKLPLEIVELPFSPAVAGLPPDYQSADKLSENEQFTPFVKAMRALDAPFEAYVRALERRPSCIISDWCNTWAAGVARSLGIPRLFFHGPSCFYSLCDLNAVVHGLHEQIAAAADADDEQETYVVPGMPVRVTVTKGTVPGFYNAPGCEALRDEAIEAMLAADGVVVNTFLDLEAQFVACYEAALGKPVWTLGPLCLHNRDDEAMASTDQRAITVWLDKQATGSVVYVGFGSVLRRLPKHLFEVGHGLEDSGKPFLWVVKESELVSSRPEVQEWLDEFMARTATRGLVVRGWAPQVTILSHRAVGGFLTHCGWNSLLEAIARGVPVATWPHFADQFLNEQLAVDVLGVGVPIGVTAPVSMLNEESLTVDRGDVARVVSVLMDGGGEEAKERRRKAKEYGEQARRAMAKGGSSYENVMRLIARFTQTGVE >ONIVA02G09610.1 pep chromosome:AWHD00000000:2:6562440:6564239:-1 gene:ONIVA02G09610 transcript:ONIVA02G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G3J1] MDNNAEAANDGAGAGERRRLPDFQQSVRLKYVKLGYHYLITNGVYLLLTPLIALVAVHLSTLTAGDVAGLWSHLRFNLVSVVACTTLLVFLSTVRFLTRPRPVYLVDFACYKPPPERRCSRDAFMRCSRLAGCFTAASLDFQRRIVERSGLGDDTYLPAAVLREPPNPSMAEARREAEAVMFGAVDDLLAKTGVSAKEIGVLVVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGLLAIDLAKDLLQVHRNSYALVISMENITLNWYSGNDRSMLVSNCLFRMGGAAILLSNRWSERRRSKYELVHTVRTHKGGDDKCFGCVTQEEDGEGNVGVALSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKKLLKMKNVKPYIPDFKLAFEHFCVHAGGRAVLDEIEKNLSLGEWQMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRVRRRDRVWQIAFGSGFKCNSAVWRALRSVDPEEEAVKKNPWMDEIDRFPVVVPRVSRISTD >ONIVA02G09600.1 pep chromosome:AWHD00000000:2:6556581:6562822:1 gene:ONIVA02G09600 transcript:ONIVA02G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKAGVPLVCHGHSRPVVDLFYSPVTPDGCFLISASKDSNPMIRNGDTGDWIGTFEGHKGAVWSCCLDTNALRAASGSADFSAKVWDALTGEELHSFEHKHIVRACAFSEDTHLLLTGGLEKILRIYDMNRPDAAPREIDKSPGSVRTVAWLHSDQSILSCCTDMGGVRLWDVRSGKIAQTLETKATVTSAEVSQDGRYIITADGSSVKFWDANYFGLVKSYNMPCNVESASLEPKYGNKFIAGGEDMWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLGPATSDEQESPPNANGKLKVNTVSDAARKIEGFHLPKDGQTEG >ONIVA02G09590.1 pep chromosome:AWHD00000000:2:6551225:6555431:-1 gene:ONIVA02G09590 transcript:ONIVA02G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDISKPPPAAGGDEAAAAKGRGGAGGGGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >ONIVA02G09580.1 pep chromosome:AWHD00000000:2:6539722:6541018:-1 gene:ONIVA02G09580 transcript:ONIVA02G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSASLLLLMVVLCAAASGTALAAITDGLLANGNFERGPAPSQLRGTRVVGASAIPSWRTSGFVEYIPSGRKQGDMVLVVPEGSHAVRLGNEASIRQRLAGAARGARYALTFSAARTCAQAERLNVSASGQWAVLPMQTMYSSNGWDSYAWAWDAAADAFDVVIHNPGVTEDPACGPLIDSVAIRTLNPPRRTNSVRPGVAENLVKNGDFEEGPYIIPGTRWGVLIPSMVVDEHSPLPGWMVESLKAVKYIDSDHFAVPRGRRAVELLAGRESAIAQVIRTVPGRQYALSFTVGDASNGCEGSLVVEAYAGRESTRVAHESAGRGGAAKRAVLPFRAAAARTRVVFFSSFYSTRSDDMSSLCGPVIDDVAVVSVRARRPAAKRG >ONIVA02G09570.1 pep chromosome:AWHD00000000:2:6537445:6538997:1 gene:ONIVA02G09570 transcript:ONIVA02G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGRLSPPAATFSPVSTLPFPFTPPLAKLGLGFFSLLSFPSSPPSLFSPCGFLVSEHSVIRRPPPRILLDLRWEHCSW >ONIVA02G09560.1 pep chromosome:AWHD00000000:2:6534188:6536019:-1 gene:ONIVA02G09560 transcript:ONIVA02G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRRVYLAGSHGSAAKLLDRAASGVAQSGANLLSIKHLSSCSWIRPFGNSIVSGDSVHSHGFCVNTMPMRGLSTVGSAEVSVEEESSDSPGVEHPPRIKFKRPDKTARHIMNILNKEAVDKVRGEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIGRRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKVLDRKKVRRAKLYYLRDRMNALKK >ONIVA02G09550.1 pep chromosome:AWHD00000000:2:6486526:6488857:-1 gene:ONIVA02G09550 transcript:ONIVA02G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGGGAGWGWATWRVAAVAAAAAVWVAMHVAARMADALWWRPRRLEAHFAAQGVRGPPYRFLLGSVREMVALMAEASSKPMSPPTSHNALPRVLAFYHYWRKIYGHRFLIWFGPTPRLTVAEPELIREIFLTRADAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLTDAFYPDNLNRLIPHVGKSVAALAAKWGAMAEAGGSGEVEVDVAEWFQAVTEEAITRATFGRSYDDGRVVFAMQGQLMAFASEAFRKVLVPGYRFLPTKKNRLSWRLDREIRRSLMRLIGRRSDEAEQGEKADDGSFRDLLGLMINAGAAAATRGEKNSPAAAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQERARREVFDVCGAGELPSKEHLPKLKTLGMIMNETLRLYPPAVATIRRAKVDVQLSDGCMIPRDMELLVPIMAIHHDTRYWGPDASQFNPARFANGAAKAAKHPLAFIPFGLGSRMCVGQNLARLEAKLTMAILLQRFEIRTSPNYVHAPTVLMLLYPQYGAPLIFRPLSSHPPDSTGPSSIVQIDKKRVLVR >ONIVA02G09540.1 pep chromosome:AWHD00000000:2:6475226:6478573:-1 gene:ONIVA02G09540 transcript:ONIVA02G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGHDMNLGTARQLLQESTASASFALLAHGFQLSLRDIRDAAETAASYRMAMRGRRAEKEAQVVLER >ONIVA02G09530.1 pep chromosome:AWHD00000000:2:6474856:6475195:-1 gene:ONIVA02G09530 transcript:ONIVA02G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLPLARSTMRSIDRRDGWMRLQVQLQQLAASGAMNDEIVAECGSACRQAVGGAGESPGPRLGRVHVCLINHPGRPSTPDKPR >ONIVA02G09520.1 pep chromosome:AWHD00000000:2:6464797:6473497:1 gene:ONIVA02G09520 transcript:ONIVA02G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEVFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNRLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKVDAVDSQCLELGEKYMHDSLSKRANGFHKTNLAAVKANFDYLDPAVTTQDSDTDSVVSSVGSCNPCGSPYGSTHPQEYDCADICSRTDDAEASVSGSESFSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRSLVSSEVHSR >ONIVA02G09520.2 pep chromosome:AWHD00000000:2:6464797:6473497:1 gene:ONIVA02G09520 transcript:ONIVA02G09520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEVFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNRLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKVDAVDSQCLELGEKYMHDSLSKRANGFHKTNLAAVKANFDYLDPAVTTQDSDTDSVVSSVGSCNPCGSPYGSTHPQEYDCADICSRTDDAEASVSGSESFSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRSLVSSEVHSR >ONIVA02G09520.3 pep chromosome:AWHD00000000:2:6464797:6473497:1 gene:ONIVA02G09520 transcript:ONIVA02G09520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEVFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNRLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKVDAVDSQCLELGEKYMHDSLSKRANGFHKTNLAAVKANFDYLDPAVTTQDSDTDSVVSSVGSCNPCGSPYGSTHPQEYDCADICSRTDDAEASVSGSESFSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRSLVSSEVHSR >ONIVA02G09520.4 pep chromosome:AWHD00000000:2:6464797:6469186:1 gene:ONIVA02G09520 transcript:ONIVA02G09520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEVFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNRLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKVDAVDSQCLELGEKYMHDSLSKRANGFHKTNLAAVKANFDYLDPAVTTQDSDTDSVVSSVGSCNPCGSPYGSTHPQEYDCADICSRTDDAEASVSGSESFSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRSLVSSEVHSR >ONIVA02G09520.5 pep chromosome:AWHD00000000:2:6464797:6469686:1 gene:ONIVA02G09520 transcript:ONIVA02G09520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEVFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNRLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKVDAVDSQCLELGEKYMHDSLSKRANGFHKTNLAAVKANFDYLDPAVTTQDSDTDSVVSSVGSCNPCGSPYGSTHPQEYDCADICSRTDDAEASVSGSESFSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRSLVSSEVHSR >ONIVA02G09510.1 pep chromosome:AWHD00000000:2:6458550:6462099:1 gene:ONIVA02G09510 transcript:ONIVA02G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase family protein [Source:Projected from Arabidopsis thaliana (AT3G15620) TAIR;Acc:AT3G15620] MDAAATAATATAAAAMVWFRKGLRVHDNPALDAARRGGAAARLYPVFVLDPRYLLPDQAAPSPGSARAGVARVRFLLESLSDLDARLRRLGSRLLLLRARDDGDVAGTVCAALKDWNIGKLCFESDTEPYALARDKKVMDFAAASGIDVFSPVSHTLFDPAEIIEKFLFSFGKWKNGGRPPMTYQSFVAIAGEPPEPIMEEYSELPPVGDTGEYELLPVPRVEELGYGDISQEDLSPFRGGETEALKRMRESLHDKEWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIQDVYRSTKKHTNPPVSLTGQLLWRDFFYTVAFGTPNFDQMKGNKICKQIPWTENEELFPAWRDGRTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYHRIYSPTSFGKKYDPNGNYIRHFIPVLKDMPKEYIYEPWTAPLSIQKKANCIIGKDYPKPVVDHAIASKECKKMMGEAYASNRLDDDKPDKGKSSNSSRRKLSAGSQVTPNSSKTKQLKRSS >ONIVA02G09500.1 pep chromosome:AWHD00000000:2:6449323:6457890:1 gene:ONIVA02G09500 transcript:ONIVA02G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G32420) TAIR;Acc:AT4G32420] MAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTSKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHRGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNGVTESKTERNADIALTSNRSKSRSQSMSANHSMSKSMSISPRRSPVKRSAISPKISLISRSPDVQGHGRSPVRAPERSESRSPPREKSISMSPQRRSARRSKSRSPLREKSVSMSPRRSMSKSLPRSVSRSPVARRSRSPVKARTRSISRSSARSLQRRSRSRSLERSPVHKSVSRSPPTMDKGRSISRTSGRLPLRRSISRSPDRPYRRIPSRSPRKNTRRSISRSPVRLSKRSISPVRGGRSRRSVSRSASPPRRAISPAQNHGRSPSRSPSPDGSKRVRRGRGFTHRYSFARQYRSPSPDHSFRYGGRGDRDRHMSYRGSRQRSPPRRYRSSPLRGRASPRYRRRSRSISRSPVYRDRGRGGGRSRSPVRSRSPAGGKLRSHGDRSRSMSRSRSLSGSRSRSPPPIHNRSPLASLSPKRESNAKSRSPSASSEGKKGLVSYGDGSPDSAGK >ONIVA02G09500.2 pep chromosome:AWHD00000000:2:6449323:6457890:1 gene:ONIVA02G09500 transcript:ONIVA02G09500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G32420) TAIR;Acc:AT4G32420] MAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTSKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHRGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNGVTESKTERNADIALTSNRSKSRFPIFLHTSIILCAYCVHEQCFI >ONIVA02G09500.3 pep chromosome:AWHD00000000:2:6449336:6457890:1 gene:ONIVA02G09500 transcript:ONIVA02G09500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G32420) TAIR;Acc:AT4G32420] MAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTSKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHRGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNGVTESKTERNADIALTSNRSKSRFPIFLHTSIILCAYCVHEQCFI >ONIVA02G09500.4 pep chromosome:AWHD00000000:2:6449323:6457861:1 gene:ONIVA02G09500 transcript:ONIVA02G09500.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G32420) TAIR;Acc:AT4G32420] MAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTSKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHRGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNGVTESKTERNADIALTSNRSKSRFPIFLHTSIILCAYCVHEQCFI >ONIVA02G09500.5 pep chromosome:AWHD00000000:2:6452077:6457890:1 gene:ONIVA02G09500 transcript:ONIVA02G09500.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G32420) TAIR;Acc:AT4G32420] MSANHSMSKSMSISPRRSPVKRSAISPKISLISRSPDVQGHGRSPVRAPERSESRSPPREKSISMSPQRRSARRSKSRSPLREKSVSMSPRRSMSKSLPRSVSRSPVARRSRSPVKARTRSISRSSARSLQRRSRSRSLERSPVHKSVSRSPPTMDKGRSISRTSGRLPLRRSISRSPDRPYRRIPSRSPRKNTRRSISRSPVRLSKRSISPVRGGRSRRSVSRSASPPRRAISPAQNHGRSPSRSPSPDGSKRVRRGRGFTHRYSFARQYRSPSPDHSFRYGGRGDRDRHMSYRGSRQRSPPRRYRSSPLRGRASPRYRRRSRSISRSPVYRDRGRGGGRSRSPVRSRSPAGGKLRSHGDRSRSMSRSRSLSGSRSRSPPPIHNRSPLASLSPKRESNAKSRSPSASSEGKKGLVSYGDGSPDSAGK >ONIVA02G09490.1 pep chromosome:AWHD00000000:2:6447604:6448199:-1 gene:ONIVA02G09490 transcript:ONIVA02G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSQGACVCHFLAEIVELVAFIFSLPFCLLAKILSGGGGDGDLQDAHDYFVEEGRKHLARPGALHLLGERRRDAPSALDAALSAGYGYGYHDLLPLEERADALVARAEIELARYLRCTLLNSGHRGQAIADLREAVRICPDNGRANALLLKYN >ONIVA02G09480.1 pep chromosome:AWHD00000000:2:6442059:6442490:-1 gene:ONIVA02G09480 transcript:ONIVA02G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAALSTSNAVAAGAYMGPTGYAAAAAAGALAAGGAVAVLVAFTLPTPDQPLPPLPPIVVRCRKLLDDIRRAAPRSHHRFQVASGALAEADRAIAAGAWGGLHKPLLLVVRAFALDALGQRRRALRALDAALAGRRGSAGTRS >ONIVA02G09470.1 pep chromosome:AWHD00000000:2:6435370:6436734:-1 gene:ONIVA02G09470 transcript:ONIVA02G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G65520) TAIR;Acc:AT5G65520] MAALALGAAATWPEAIVQCLLFILAAAMLVALHSLPRRAAHRLRRASSAGSSSAAAAQSRRHFAQGAQLLARARAAAAGGTKKPPGPLARAALAEADRAIALDPRDAAPLILKALALDLQGHRLPALRALDAALAPPLARSLEPRERGDALAKRAEIALALHRRHRRRLDQAADDLAEAVRLSPQNARAHALLGECYERKGMAAEALDAFKTAASIDPSLAAARDALRRTEGSDDGVESDSD >ONIVA02G09460.1 pep chromosome:AWHD00000000:2:6422483:6423803:-1 gene:ONIVA02G09460 transcript:ONIVA02G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSGGGGDYANFGGRGGSSFGGGFGTGSDVRPGDWYCNCGAHNFASRSSCFKCAAFKDDAAVNSGGAGAFDGGDMSRSRGYGFGSGAARASRPGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEV >ONIVA02G09450.1 pep chromosome:AWHD00000000:2:6416422:6420096:-1 gene:ONIVA02G09450 transcript:ONIVA02G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDVEVDEGTGPEDSVLELVAARRTHAIGLFDRKRGHMDAVNVLASATQLVSAMLTAVGALEQAAADFAEAPRRLQVLEDFVSDLGLLMQQSKQKHAHKMHAPQLERQLQSLGKLMDQLHANITKARRVLKKGKGKKGLARVVWSSVTGDPLMKYVQLIRDDLNWWLELQKLTESVGNVIASTAKSTPSLVRVKSEHGYPVSKKCSYVRELLITDGSHRVVLIVGLSGIGKSCLARQIASDPPGNFVDGAIELSFGRWCSRAACNGNRDEYHKRLVRKICKFLVQIGSMTVNEDVGKDLEDVCYLLQTALVGRSMLILLDDVWEQDIVDRFTNLYDNDCRYLVTTRDEAIYEIAEAEKVEISKDDIKEIGKDILLYHSLLTVEELPPVAYDLLDRCGHHPLTVAVMGKALRKETRVEKWDRAISNLSTYATCAPGPVSYVNEKEVETTLTIFGSFEFSLEAMPENSRRFFMVLAAISWDEPVPEACLESMWSALMQDTLFPLVVSKLVEGSLIIKLEDQSMYHMHDMVSLYLESKTDNAVHTLLFGSFPEYAALVSPWLFIFGKESAKERAEQKIRSLFSLLEFMEIEILLGSTTQALMECKSISEFEASRLRFSKILSPRIAELISVGSTSLIVTVTKSITVIFFQGDYAKLAQSLETAGSVDKLIHVLRGCEDSSTLANVSTVLAKISEHVDATTADEILATIPMDQIAKLLSPENEEWHEIVFTTLASLIKVGKLRAVETMIESGIDKKLLVLLGSGSEISQHHAIIMLKTFCELGAPLQGCMGPGVLTHLPWHARLSLERFVLFDQNVTPSPKPQQSFELILHKILQRDNKDNIEAIQGLLPLAERANDSRVQDLLLGSNMSDGLALLLQRRDIESNQVRSHTAFLVMKLACTGGEPYVHRFLEANIVHQLIDMMQCNINDLQDSAYYALHQIIFAKGGSLVLQRFLQAGTIEKLVNLLDRKSSKTKELTMQLLVDIAVVGTKPCIERMLSSQIIEKFVALEKAGGSFSGAVSRYVQGLNMCKNVQSAERSVMKQQILRKVRSEIRGHDLEASLVASVEACISEKGASSSRRKK >ONIVA02G09440.1 pep chromosome:AWHD00000000:2:6410642:6410939:1 gene:ONIVA02G09440 transcript:ONIVA02G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLAGARSRSGSNRACLWQWRRDAAVGLGGLADGNGAGRGWLPCPDSRGGMSAAIRPPRQSNNDGKGGAAMETTASA >ONIVA02G09430.1 pep chromosome:AWHD00000000:2:6402410:6403865:1 gene:ONIVA02G09430 transcript:ONIVA02G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIYNLLVTNAWWGVSVCAVTGADRVLETGKLARCVETVMVETEAAGHRHLPELGSVEGEAPWSRVACGTTKK >ONIVA02G09420.1 pep chromosome:AWHD00000000:2:6400721:6402157:1 gene:ONIVA02G09420 transcript:ONIVA02G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G3G4] MARQHFLVVAYPGQGHINPARALAARLARVTGAHVTLSVAVSAHRRMFPSLAAPDEEVHDADAGGGGISYVPYSDGYDKGFRLFASDGEAAWRHSETFGRVGREAFAGVVDRLAARGRPATCVVYAFLMWWAADVARERGIPRVLYWIQPATMLAVYYHYLHGFEELVMEHTGEPEFTVDMPGLPPMAIRDLPSFFTDLADTRLAAAFHGVRTTIEQLDIDRRSSSRPPMVLVNTVEELELDVLAASFPDLDILPIGPAATSLDGGGAAAAARASHDLYRHDEKGYMEWLDAKPAGSVVYVSFGSMSVVSRRQKEELRRGLAATARPYLWVVRSDDRDDGDGDGDGGGMVVEWCDQVRVLSHGAVGCFVTHCGWNSTLEAVACGAPMVAVPQWSDQDTNARLVAGWGVGVRAATGADRVVEAGELARCVETVMADTEAAAAVRRSSAAWKAKVREAVAEGGSSDRNLKAFLDRIANVA >ONIVA02G09410.1 pep chromosome:AWHD00000000:2:6389015:6389446:1 gene:ONIVA02G09410 transcript:ONIVA02G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRGRNTTAVAAVGESVIAAGGQHGWCLEVGVGDGRNVGPTDSVGGQRGDGREASIVAAGKPVTVGMEHRHAEVGQQGGRFNSVHALSKDDGWWSDGVIASDDRGWAVGENLAWPCWTINDDIPGVVLRPSGGVVELSLFL >ONIVA02G09400.1 pep chromosome:AWHD00000000:2:6377434:6381045:-1 gene:ONIVA02G09400 transcript:ONIVA02G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRASLTDPLLPSPAARAPVKAKKLSWSMLHASSKDERRGQSGEAEAEASGGVHANPSSPARMQEQATSSRPSSSERSSSSGGHHMEIKEGKEAPLRSLPLPFLDFHFTVPLSGMESDEEIGRVPELGLEPGGASTSGRAAGGGGGGAERAQSSTAQASARRRGRSPADKEHKRLKRLLRNRVSAQQARERKKAYLNDLEVKVKDLEKKNSELEERFSTLQNENQMLRQILKNTTVSRRGPVLLKIPKSGLREAAPAGCGGLREAEGDEKFVLNGFTAANLSFDGMATVTPNGLLMLTNGTNQLKGHTFFPAPLQFHRTPNSTAMQSFSTAFVIGIISAFEDQGSGSPAAAGGSGRAA >ONIVA02G09390.1 pep chromosome:AWHD00000000:2:6372765:6375355:-1 gene:ONIVA02G09390 transcript:ONIVA02G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAVEAWDRPWTEGTKLLRVERSVAGAAAARLMPRAKACAQPSLRASIHPLKPKRSPGRDHRGGGGAGGGGGRQCPLIPGLPDDLAVACLIRVPRGDHWKLRLVCRRWSRLLAGNYFYGLRRRLGLAEQWVYAVKREGEGRVSWDVLDPARRAWRALPPVPGEYAGAAGFGCAVLGGCHLYLLGGSDPRRGPMRRVVFYSARSNRWHRAPDMLRRRHGFGCCVMGNRLYVAGGEGCGVGGGGGGGLRSVEVFDPAKNRWSFVSDMAASLMPFVSAVHGGRWYVKGLGAQRQVMSQVYSPEADEWSAAHELDAMVTGWRSPSASLGGRLYAADCKDGCRLRAYDEAAGAWSGRVDGGQHAGSSHAVEAAAMVALHGKLCVVRNDMSVSVVDVAAASPRWETVVGKGQMKAFVANLLSAIAGGRGRAKNRVLHCQVLEA >ONIVA02G09380.1 pep chromosome:AWHD00000000:2:6368028:6370922:-1 gene:ONIVA02G09380 transcript:ONIVA02G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVVPLASTAQGPCEPSPGSGPLPVSAAASDPPAPSSSVPAGGREPSASGLPPAVTPQLGG >ONIVA02G09370.1 pep chromosome:AWHD00000000:2:6364642:6365541:-1 gene:ONIVA02G09370 transcript:ONIVA02G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAQPLQHEHDPSPPEPDAAADADDEEESRVWSQIKAEARRDADGEPALASFVYATVLSHPTLAGSLAFHLANKLASSSTLLSSTLLYDLFAAAHAARPDLRAAAAADLLAAGARDPACAGLLSHCLLDYKGFLAVQAHRVAHALWAQGRRALALALQSRVAEVFAVDIHPAAAVGSGVLLDHATGVVIGETAVVGDGVSILHHVTLGGTGEAVGDRHPKVGDGVLIGAGATILGNVRIGAGAKIGAGSLVLADVPPGATAVGNPARLLLGGDQRGGAPAGESMDHASFMLEWSDYTI >ONIVA02G09360.1 pep chromosome:AWHD00000000:2:6361186:6364068:-1 gene:ONIVA02G09360 transcript:ONIVA02G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT2G25570) TAIR;Acc:AT2G25570] MLAASARRVAAAAAASSSHSRLANQLAHALNPQRWIHDRNKKALELVAKGWSALQEVDRVIDYADRNDKRLIPLLRGAKENFELALEIDNMNTHARLWLAKMHFKYHVPGACKAIGAALLVEAANMGDPDAQYELGCHLRIENDYVHSDQQAFYYIEKAVDQLHPGALYLLGAVYLTGDCVKRDIASAMWCFHRASEKGHSGAAIAYGSLLLKGAEVPEVITRFNSAEAGCDLGLRWLKRLGDYEKQQEQPKQIQQ >ONIVA02G09360.2 pep chromosome:AWHD00000000:2:6361186:6364068:-1 gene:ONIVA02G09360 transcript:ONIVA02G09360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT2G25570) TAIR;Acc:AT2G25570] MLAASARRVAAAAAASSSHSRLANQLAHALNPQRWIHDRNKKALELVAKGWSALQEVDRVIDYADRNDKRLIPLLRGAKENFELALEIDNMNTHARLWLAKMHFKYHVPGACKAIGAALLVEAANMGDPDAQYELGCHLRIENDYVHSDQQAFYYIEKAVDQLHPGALYLLGAVYLTGDCVKRDIASAMWCFHRASEKGHSGAAIAYGSLLLKGAEVPEVITRFNSGKSPSTGKMRKRPIQQDPVKLAKEQFQIAAEAGCDLGLRWLKRLGDYEKQQEQPKQIQQ >ONIVA02G09350.1 pep chromosome:AWHD00000000:2:6356720:6358396:-1 gene:ONIVA02G09350 transcript:ONIVA02G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAAHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDSRSRPMQKAKIEIVLGKTDKFDELMAAAAEEREAAAAEAEAEEQS >ONIVA02G09350.2 pep chromosome:AWHD00000000:2:6356720:6358396:-1 gene:ONIVA02G09350 transcript:ONIVA02G09350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVGNLTIAAGEAAGAGGGAGEAHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDSRSRPMQKAKIEIVLGKTDKFDELMAAAAEEREAAAAEAEAEEQS >ONIVA02G09340.1 pep chromosome:AWHD00000000:2:6353748:6355477:1 gene:ONIVA02G09340 transcript:ONIVA02G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMAVTTMVTRNNAVGGGAVAVVDRKGWFVPEVSFPWSSVEGERINSSSKRLEFPRRTAAPPLFASVGLSLPSAAKGRDNCDVARQLAAAEAEEAAGKKRQGRKMKGGGGLLSLRKVRVKIGNPHLRRLVSGAIAGAVSRTFVAPLETIRTHLMVGSCGAGSMAEVFRWIMRTEGWTGLFRGNAVNVLRHFTYDTAKKYLTPEDGEPAKIPIPVPLVAGALAGVASTLCTYPMELVKTRLTIEKDVYDNVLHAFVKIVREGGPGELYRGLAPSLIGVVPYAATNFYAYETLRRLYRRATGRADVGPAATLLIGSAAGAIASTATFPLEVARKQMQVGAVGGRQVYRHVLHAMYCILRGEGAAGLYRGLGPSCIKLMPAAGISFMCYEALKKVLVEEEAAPELEAECAEEIKEKVA >ONIVA02G09330.1 pep chromosome:AWHD00000000:2:6323784:6349099:-1 gene:ONIVA02G09330 transcript:ONIVA02G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3414) [Source:Projected from Arabidopsis thaliana (AT5G51200) TAIR;Acc:AT5G51200] MPPPPPPRELLAVVEAALLGPSPPSPAQRVELLHAVRDAAPAFRALLSYPGPKASDRTQVEAKEVRLPDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVDANREWVLYGREPLEIYRLAAGLWYMERRDLITSLYILLRSVVLDQGLDADLMYEIQNQMEALFIEGLGQRIITLVKELNREESTGVGQPSSEHYVLDFRGALVERRAIVSRERLSLSHCLALSALIKLMSPREVKDVFSLLKDCAAEVNENSSVELQITYGVLFSLVVTFVSDALSTSHEKPSLSSSDSSFRRDFHELVMRSDNNLTIEGFVGVVRLAWAVHLMLTQDRSSARDTLTSSSRDVTDIWACLEIICRQNSFQFLRERIMQTAAYKNDDEDIVYMYTGYMHKLMMCFLSHPTSRDKIKEIKEKTMNALSPYGSIRDHREDPSRTGEQIGQPTNQPFISLLELVREIYQKEPELVHGNEELWTFVISAGEDHTNTQTLVAFLGLLSTLASSEVGAAKVYELLQGKVYRSLGWSTLFDCLSIYEEKFKESLQSSASVMPEFPEADAQALVSYLAVLQKVVENGNTTERRKWFPDIEPLFKLLSYENVPPYLKGALRNSITAFIKVSPLLKDAIWSYLEQYDLPVVTPPLGQHNATQIYDMRFELNEVEAMRESYPSTISFLNLVNALIAEERNISDKGRRFMGIFKFVYEDVFGPFPQRAYADPREKWELAVACLEHFRMVLSMYDIKDDDIYAAVNASGPSTTSHASIDRQLPVLELLKDFMSGKVAFRNIMNIVSVGVDTLINERTTQTYGILLEKTVHLSFEIFILVMERDLVLADVFRPLYQPLDVVLAQNHRHIIALLEFVRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLKADVAKSVIEDYAACLEFRFDDFQVIENTKDDVGVLILQLLIDNICRPAPNITHLLLRFDVNGSIERTVLKPKSHYSCLKTILDNLEKVTKPDINALLHEFGFQLLYELCLDPLTCGPVMDLLSTTKYQFFSKHVGTIGVSPLPRRNNNQSLRISMLHERAWLLKMLALALHVSDISSSLYRESCLAILCHTFGHCAENLRSANLLQSPGSSNLAMNGNKVLDLLEVVQFRCPDTSIKYPQMLSNLRLESKIEEILRNSATSEFGGVYYYSERGDRLIDLDAFHEKLLQMSQLLNPQLSESEKSELKESFHQMLKWAWRYNKNLEEQAAQLHMLTGWSQIVEIAVSRRMSLLEDRSHLLLLDASLSATTSPDCSVKMAYILTNVALTCMAKLRDERFICPTGADSDAVTCLDIISAKQLSNAACTSLLFKLTMTILRNESSETLRRRQYALLLSYFQYCRSTLDSDVPPPVLRFLLLEEQEGDDDELGLQKVLKEQNELAHSNFSIIRKEAQAVIDLVAKDAIHGSEAGKAISFYVLDSLISIDHDKYFLNQIQSRGILRSCLSDVNNYLSKEASFSSESSQRFCTIDAQLSLLLRISHHYGKHGSQILLSMGALHNLSSCNLMGSQKKANSRLNSNVVKEQAGEIDKRRSLTAPILRIVTSFTSLVDSADFLEVKNKIVRELVDFAKQHQPVFNIILRESISGANIFNLERLNMVVSILGKNQKSELIVFGLCFSLISYLYVLATKKDMRFQISYDDTTEGQQQPTLQLISDLLNSITVAMERVAEEKYMLLNKIRDLNELSRKEVDDIIKLCMKQDCISPNDNIRKRRFIAMIELCCMAGNRGQLITLLLQIAECAVTILLVHFQDESCAKDISSFSDELLPILERLEHFTEDKVGRSLKLFHRSVTTLKEMTIRSMSLCKFPSFEGGKQTDYTKNTQKKLNLSSSASRRPTNPACSSVIPPAAAAVTVTAVAPPTRRRLLALSPAAPPEMRLRRFLRSL >ONIVA02G09330.2 pep chromosome:AWHD00000000:2:6325885:6349099:-1 gene:ONIVA02G09330 transcript:ONIVA02G09330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3414) [Source:Projected from Arabidopsis thaliana (AT5G51200) TAIR;Acc:AT5G51200] MPPPPPPRELLAVVEAALLGPSPPSPAQRVELLHAVRDAAPAFRALLSYPGPKASDRTQVEAKEVRLPDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVDANREWVLYGREPLEIYRLAAGLWYMERRDLITSLYILLRSVVLDQGLDADLMYEIQNQMEALFIEGLGQRIITLVKELNREESTGVGQPSSEHYVLDFRGALVERRAIVSRERLSLSHCLALSALIKLMSPREVKDVFSLLKDCAAEVNENSSVELQITYGVLFSLVVTFVSDALSTSHEKPSLSSSDSSFRRDFHELVMRSDNNLTIEGFVGVVRLAWAVHLMLTQDRSSARDTLTSSSRDVTDIWACLEIICRQNSFQFLRERIMQTAAYKNDDEDIVYMYTGYMHKLMMCFLSHPTSRDKIKEIKEKTMNALSPYGSIRDHREDPSRTGEQIGQPTNQPFISLLELVREIYQKEPELVHGNEELWTFVISAGEDHTNTQTLVAFLGLLSTLASSEVGAAKVYELLQGKVYRSLGWSTLFDCLSIYEEKFKESLQSSASVMPEFPEADAQALVSYLAVLQKVVENGNTTERRKWFPDIEPLFKLLSYENVPPYLKGALRNSITAFIKVSPLLKDAIWSYLEQYDLPVVTPPLGQHNATQIYDMRFELNEVEAMRESYPSTISFLNLVNALIAEERNISDKGRRFMGIFKFVYEDVFGPFPQRAYADPREKWELAVACLEHFRMVLSMYDIKDDDIYAAVNASGPSTTSHASIDRQLPVLELLKDFMSGKVAFRNIMNIVSVGVDTLINERTTQTYGILLEKTVHLSFEIFILVMERDLVLADVFRPLYQPLDVVLAQNHRHIIALLEFVRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLKADVAKSVIEDYAACLEFRFDDFQVIENTKDDVGVLILQLLIDNICRPAPNITHLLLRFDVNGSIERTVLKPKSHYSCLKTILDNLEKVTKPDINALLHEFGFQLLYELCLDPLTCGPVMDLLSTTKYQFFSKHVGTIGVSPLPRRNNNQSLRISMLHERAWLLKMLALALHVSDISSSLYRESCLAILCHTFGHCAENLRSANLLQSPGSSNLAMNGNKVLDLLEVVQFRCPDTSIKYPQMLSNLRLESKIEEILRNSATSEFGGVYYYSERGDRLIDLDAFHEKLLQMSQLLNPQLSESEKSELKESFHQMLKWAWRYNKNLEEQAAQLHMLTGWSQIVEIAVSRRMSLLEDRSHLLLLDASLSATTSPDCSVKMAYILTNVALTCMAKLRDERFICPTGADSDAVTCLDIISAKQLSNAACTSLLFKLTMTILRNESSETLRRRQYALLLSYFQYCRSTLDSDVPPPVLRFLLLEEQEGDDDELGLQKVLKEQNELAHSNFSIIRKEAQAVIDLVAKDAIHGSEAGKAISFYVLDSLISIDHDKYFLNQIQSRGILRSCLSDVNNYLSKEASFSSESSQRFCTIDAQLSLLLRISHHYGKHGSQILLSMGALHNLSSCNLMGSQKKANSRLNSNVVKEQAGEIDKRRSLTAPILRIVTSFTSLVDSADFLEVKNKIVRELVDFAKQHQPVFNIILRESISGANIFNLERLNMVVSILGKNQKSELIVFGLCFSLISYLYVLATKKDMRFQISYDDTTEGQQQPTLQLISDLLNSITVAMERVAEEKYMLLNKIRDLNELSRKEVDDIIKLCMKQDCISPNDNIRKRRFIAMIELCCMAGNRGQLITLLLQIAECAVTILLVHFQDESCAKDISSFSDELLPILERLEHFTEDKVGRSLKLFHRSVTTLKEMTIRSMSLWETNRLHEKYPS >ONIVA02G09320.1 pep chromosome:AWHD00000000:2:6323383:6327275:1 gene:ONIVA02G09320 transcript:ONIVA02G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNQRRHLLKKRREYFEKKSLFVAPTISHRNPSKKNFQPGRIRRGKRQCATPRDIPVTNIPLPPPSPHYLSPSSPPPLLHPPCNFHFPLDPTQFDPNFRFSCVNLLERSMKFGKSLSSQIVEMQPEWRDNFLSYKDLKKRLNLISGGAAGERASKRRRVGGATAVTVTAAAAGGMTLEQAGFVGLLDAELDKFNFFFLEKEEEYVIKQKELRERKMASAEEVMRVRKEIVDLHGEMVLLENYSALNYTGLVKILKKYDKRTGSMIRLPFVQKVLQQPFFTTDLLYKLVKECEEMLDQLMPTNEHSVASEDGKDDSEGEEKGSKPSSSSSANGGAVPGEAEDERSTDMKSTVTAALRALREIRSGSSTVSVFSLPPLHGSNGQDEPGR >ONIVA02G09310.1 pep chromosome:AWHD00000000:2:6311554:6314317:-1 gene:ONIVA02G09310 transcript:ONIVA02G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02065) TAIR;Acc:AT3G02065] MEQEENHSADHLSAQPGNGNELEESSVKERCFEQREALVGEPRCVICGRYGEYICDQTDDDICSVECKTILLSKLSAETRPVVKAAKRVNLPVGDESFCIRDENFPKIPSMHDGQIASLRSKLDICVKGEDVPDPIMCFSSSGLPEKLVLNLEAAGYVMPTPVQMQVIPSSICNRSLLVSADTGSGKTASFLVPIIAHCSHVRSERCTDKQGPLAIVLAPTRELCLQVEEQAKVLGKGLPFKTALVVGGDPLAQQIYRIENGIELIVGTPGRLIDLLMKHNVDLNKVDVFVLDEVDCLLERGFRDQVMQIFQALSHPQVMMFSATVNSEVEKMSNSLAKNAIHISCGNPSRPNKSVKQVVIWVESKQKKQKIFEIMTSKQHFKPPAVVFVSSRIGADLLSEAITVATGLKVVSIHGDKTMNERRESLRRFLTGEVSVVVCTGVLGRGMDLLKVRQVILFDMPNSIDEYVHQVGRASRMGVEGMAIVFVNEEDRNLFRELVQILKTAGAPIPRELANSKYTTGIPLGGGSGSRILLSMLSFESFFTGSFAKLNATFAQMSSPTK >ONIVA02G09300.1 pep chromosome:AWHD00000000:2:6305519:6306430:1 gene:ONIVA02G09300 transcript:ONIVA02G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRNSTGDLATAADRDARSNGGSRNSSAGNLSQILSAKLRKCCKAPSPSLTCLRLDPEKSHIGVWQKRAGARADSNWVMTVELNKEVEPTEPAAQPTSTATASQVTMDDEEKIALQMIEELLSRSSPASPSHGEGEGSFVI >ONIVA02G09290.1 pep chromosome:AWHD00000000:2:6302118:6306169:-1 gene:ONIVA02G09290 transcript:ONIVA02G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDFSGSRRRQVARGGVPATAIATGVPVCGRGEITGGVPLHGEVGLGVAATHQHSSLIVGSRSLLGRLKGLQSHFMARVLRTPYQH >ONIVA02G09290.2 pep chromosome:AWHD00000000:2:6302462:6306169:-1 gene:ONIVA02G09290 transcript:ONIVA02G09290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDFSGSRRRQVARGGVPATAIATGVPVCGRGEITGGVPLHGEVGLGVAATHQHSSLIVGSRSLLGRLKGVYYFPKNLV >ONIVA02G09280.1 pep chromosome:AWHD00000000:2:6285108:6290161:-1 gene:ONIVA02G09280 transcript:ONIVA02G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALCKRPSRAKNHPLGGRWIAHMTAQKRFAIAKGEAGDLQKPEEKNNTGEREALLLLMSKQLKSSSATASPS >ONIVA02G09270.1 pep chromosome:AWHD00000000:2:6280008:6285017:-1 gene:ONIVA02G09270 transcript:ONIVA02G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVATHAAEPPRPSAAAAEVAVAGGGGGCGAVRKPAATMEHVLLALHETEAEREARIRAMFGFFDAAGRGHLDHAQIEAGLAALHLPPPPPPEEGGGGYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAAIPERISKHASASKYLIAGGVSGATSRTATAPLDRLKVIMQVQTNRTTVLQAVKDIWREGSLRGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTFACGSGKIPSLGALSRDIWMQEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYVLKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSEAAYRGMSDVFWKTLQHEGISGFYKGLVPNLLKVVPAASITYLVYETMKKNLGGNFSVRFEMEL >ONIVA02G09270.2 pep chromosome:AWHD00000000:2:6280704:6285017:-1 gene:ONIVA02G09270 transcript:ONIVA02G09270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVATHAAEPPRPSAAAAEVAVAGGGGGCGAVRKPAATMEHVLLALHETEAEREARIRAMFGFFDAAGRGHLDHAQIEAGLAALHLPPPPPPEEGGGGYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAAIPERISKHASASKYLIAGGVSGATSRTATAPLDRLKVIMQVQTNRTTVLQAVKDIWREGSLRGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTFACGSGKIPSLGALSRDIWMQEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYVLKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSEAAYRGMSDVFWKTLQHEGISGFYKGLVPNLLKVVPAASITYLVYETMKKMGIPGI >ONIVA02G09260.1 pep chromosome:AWHD00000000:2:6271633:6272151:-1 gene:ONIVA02G09260 transcript:ONIVA02G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSGGGGSLALAAATAVAVSGSIVIFSLCRAARPAAAAEAEASLRPCLSSSSSSSSERRRRRSGRRVRFAADVVDNEGAARPARRLAAAEEHTCRGDAAAAAAAAERMPANREALYRGMLRDRSSHRVAYSY >ONIVA02G09250.1 pep chromosome:AWHD00000000:2:6271246:6272300:1 gene:ONIVA02G09250 transcript:ONIVA02G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYSASRFAGIRSAAAAAAAASPLHVCSSAAASRRAGRAAPSLSTTSAANRTRLPLLRLRLSEEEEEEERQGRREASASAAAAGLAARQREKMTMEPDTATAVAAASARDPPPPDDDDDEDDAMGGVGRAGVGGG >ONIVA02G09240.1 pep chromosome:AWHD00000000:2:6260907:6261302:-1 gene:ONIVA02G09240 transcript:ONIVA02G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGLDDYYQLPLRAPPVILPVTEREGVVEWSLSQLFRPICPRTPRVIPSLQTQTQTQTQSPPYSNDSPPPPPLHSSHQISPPASASAAALAVAVAIAVVVVFVCSCTLDRSIDRARVEEEEEEGFEGVC >ONIVA02G09230.1 pep chromosome:AWHD00000000:2:6257550:6260821:-1 gene:ONIVA02G09230 transcript:ONIVA02G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCSSLTSWVRRLVACVGNCFGCAKPTPIIAVDEPSKGLRIQGRSIKQRSLSEDFWSSSPPGMENSAMQSQRSMSSISTAAQSSDQHGAGSSTNPNEFVNQGLLLWNQTRQQWVGNRRHNSQRQQPREPKISWNATYESLLGSTKPFPLAIPLGEMVDFLVDGWEQEGLYD >ONIVA02G09220.1 pep chromosome:AWHD00000000:2:6255849:6256894:-1 gene:ONIVA02G09220 transcript:ONIVA02G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARQCLSKHLAAGCALARPLHAASPVAAAAANSHGPLNFRALFSSAGADAAATTGGCAPAKGGGHSREVAVVDRSRRRWLWRDLRDFVPLRLVDGIGSALSQVAETLTRPLTGKVREDEERYRLRFEVPGLGKDDVRVYVDDGVLAIHGEKRDVVEEDRGRDGDGECWAAATYHAGLLLPEDAVAEGITAEVRDGVLHVTVPRSPERKRSVTEVKVR >ONIVA02G09210.1 pep chromosome:AWHD00000000:2:6245562:6247699:-1 gene:ONIVA02G09210 transcript:ONIVA02G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFYGLGDGGCLVSAPAELAGMFCRGGVVVQQQQRKRSLVAASAVAAADCVVRAAKRQRQQRQPPSLDALPDECLFEVLRRLPGGRERGASACVSRRWLALLCSIRASELNQATAAAAAAAAPSLPDLNEEFVMEEDDEEEKESSPVVDPCVERVLEGKEATDVRLAAMAVVAGSRRGLEKLAVRGSHPTRGVTDRGLLAVARGSPNLCSLALWDVPLVTDAGLAEIAAGCPSLERLDITRCPLITDKGLAAVAHGCPNLLSLTVESCSGVGNDGLRAIGRSCSKIQALNIKNCALIGDQGISSLVCSATASLTKIRLQGLNITDASLAVIGYYGKAVTDLTLVRLPVVAERGFWVMANAAGLQNLRCMSVTSCPGVTNLALAAIAKFCPSLRQLSFRKCGHMTDAGLKAFTESARLLESLQLEECNGVTLVGILDFLVNCGPKFRSLSLVKCMGIKDICSTPAQLPLCKSLQFLTIKDCPDFTDASLAVVGMVCPYLEQVDLSRLREVTDRGLLPLINSSEGGLVKVDLSGCKNITDAAVSTLVKGHGKSLKQVSLEGCSKITDASLFAISENCTELAELDLSKCMVSDNGVATLASAKHLKLRVLSLSGCSKVTPKSVSFLGNMGQSLEGLNLQFCNMIGNHNIASLEKQLWWCDILA >ONIVA02G09200.1 pep chromosome:AWHD00000000:2:6239864:6244151:1 gene:ONIVA02G09200 transcript:ONIVA02G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTIADAVGAPGIEKEVNGKPALPDSMEEHEEAHEVQANGDHSGESDVINPPEEAGGESTSHLDGKKPRPTKATQSHGPKVVKSRSPKSGGEGQARRSTPSSTLSKAPVARVSHADSSTGSKTNGDSSVDRNKAEKQEPRSSTKETSLEDSKEKRKTQKPLGQNSSVKKDDESNPESRKAGGIPAYGFSFKCDERAEKRKEFYSKLEEKIHAREMEISNLQAKSKETEEAELKMLRKSLNFKATPMPSFYQEPTPPKVELKKIPPTRARSPKLGRSKNKSAGETEETVTPPGRPVRLSLDSLDEKVSQNGVKKANPSNAVKKPQRKSLPKLPSEETGPFDSSHLKNTELSTGNIQESGSTTPNQQETESNTSISESIQDRIATGGQELDEQIIA >ONIVA02G09190.1 pep chromosome:AWHD00000000:2:6239223:6239625:-1 gene:ONIVA02G09190 transcript:ONIVA02G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGCDGMGENREDRRMGNQRKWIPSLSRKPIASPELQLYRHMISRNHVTGVVLLYILATLFILARAAKARSQWLRARKSQHTYVDSGSDVSKRRRARRATIDGLEDCMMGILMPVSTVVVRAMDHL >ONIVA02G09180.1 pep chromosome:AWHD00000000:2:6234027:6236947:1 gene:ONIVA02G09180 transcript:ONIVA02G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQAQLSFKPSLHHPLGGGDHYSTVLGPPGNLQFLGGGNGAGGDNGGSAIGGSGAGVAPGGGDVSGGAAGLIYPDSTRHQGTYCDTMHLPAMDDGSMLQKSSNSKQQLISPEEKPKPEATRESLCFGDSSVGIAAAAAAASDSGRGGALDRKLQAATTAVYGASLHFGKELLIRVTSTQNAAGATGLAVVEVRPPCAAQEAREQVLATATGTAVGVHWDTAEATSSRNALHSLQRCCQLMTRMSLPADPTPEKYRATIAVPFLPTTAALSRAGRRLPSLSPSPPALRQNRPTD >ONIVA02G09170.1 pep chromosome:AWHD00000000:2:6228031:6230701:-1 gene:ONIVA02G09170 transcript:ONIVA02G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTARKVRVLLLSSPPPPPSPPVMLRRGGVVLLVVVVVLGLLAARCDGKKVSSFVGTYGVNYGRIADNLPPPTEVVKLLRMARIKNVKIYDADHTVLDAFRGSGLNLVIAVTNGEVKDIAASPAKAMDWLNENVQPYYPSTRIVGITVGNEVLGGADAGLAEALIGAVVNIHDALKMLGLATKIELTTPHSEAVFANSYPPSACVFRDDLMVYLKPLLDFFSKTGAPFYVNAYPFLAYMSDPAHIDVNYALFKPNAGIYDAKTRLRYDNMFEAQVDAAYFALEAAGYPEMEVRVAETGWASAGDATEAGADPANARAYNFNLRKRLFLRKGTPYRPGRVAKAYIFALFNENLKPGPTTERHYGLFKPDGSVSIDLGFKGLVPSSSSPSSSIISFKRARERGWMALVQYSATLLSYKTEKADAKR >ONIVA02G09160.1 pep chromosome:AWHD00000000:2:6218211:6224074:1 gene:ONIVA02G09160 transcript:ONIVA02G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASDDAVKQLALLMDQVEAPLRRTFQNVHQGYPKETLLRFLKAREWNVSKAHKMLVDSLNWRIQNEIDTVLERPIVPVDLYRSIRDSQLVGLSGYTKEGLPVFAVGVGQSTYDKASVHYYVQSHIQINEYRDRVILPMLTEKFGRPVTTCVKVLDMTGLKLSALSQMKMLTSISTVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTKKKVKVLHGCGRDELLKIMDYSSLPHFCRREGSGSSKHSSTDADDCYSLDHPFHKELYGHIEELASRKELIKMGSLHVSIPEPDPDDAKIVEVIQAEFQKIGEQNGSANGHKV >ONIVA02G09150.1 pep chromosome:AWHD00000000:2:6209849:6214808:-1 gene:ONIVA02G09150 transcript:ONIVA02G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDAAAARRRAAVTEYRKKLLNCRELESRVSTVRENLKNAKKDFTKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQARMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >ONIVA02G09140.1 pep chromosome:AWHD00000000:2:6199736:6207629:-1 gene:ONIVA02G09140 transcript:ONIVA02G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGGGSGGGGERPGSAPMRLCVHVLEARGLPAAYLTGHSDPYVRLQMGRRRAKTTVVKRCLSPLWDEEFGFAVGDAEEELVVSVLNEEGYFGGGFLGRVKVPLSTVMAADGLSLGTAWYHLHSKGGRFRKKRRVAGEIRLRIYLSRTAICDEPRNMPMQLINDTPCSSMSLDLSACPSMERASCSSMDKLSQGIMDQQGRRSPGQLSCISTERSILLEPEEDDNDATTNASSVVEVMSRYFCRKPVDAAPSATSDHEQFQDTQMNSESCENGDNGALPETSLNELMKSLESKDKGSEMPENLRGGILVDQSYVLQPTEMNSMLFSAHSDFWPAVAEVQGLSGFQTDPWKLVSNDCLKRTLSYTKAASKLVKAVKITEEQTYLKAAGNSFAVLSSVSSPEVPCGNCFKVEILYCITPGPQLPSKEQTSHLTISWRLNFVQSTMLKGMIESGTKQGLREGYAQFTEVLSQKTKVIAPDDSNLSKDEILSSLQIQEESIWKLAARFLGNFAFIFSLCIALYAIAHLRLVKPNMVHGLEYFGIDLPDSIWEVVFCAILIIQGQNIFKSGRRFLYAWKQRGSDHGVKAHGDGWLLTVALIEGSGVVGSGTPGLPDPYVVFTCNGKRKTSSVKFQTSEPKWNEIFEFNAMDDPPSRLEVVVHDSEGPHNKIPIGQTEVNFLKNNLSDLGDMWLPLDGRFPQGCEPKLHLRIFLNNSRGTEIVMNYLAKMGKEVGKKIHLRSAQTNSAFRKLFSLPPEEFLIDDFTCYLKRKMPLQGRIFLSSRILGFYSNILGRKTKFFFLWDDIDDIQVAPPTLAKVGSPSLMIILRKDRGLEARHGAKTLDPQGKLKYHFQTFVSFNDAHRIIMALWKMRSVDPEQKGEMIDKNSELKQLPCEEGSLLANEDVKMSEVYSVVLSVDINSLMDMFSGGPLEHKVMQKAGCVDYSPTEWELLNQNIYQRQISFKFDKILSRFGEASTTQRKYNLANRDGWVIEEVMTLQGVQHEDYSSIQLKYQMTSTSLKPSTCSIQVLLGIAWLKGAKQQKKVVKNVMSNSANRLREIFSEVEKELASKKGRLDEELRCEQVAAHIEELIGEGGDAVARGRALGAPRRRAEPSSPSVPALSPSPSAPPSPFLVAVATTGNLRVNPVSFAVELVRAAKGGVGAIPGVASFLIP >ONIVA02G09140.2 pep chromosome:AWHD00000000:2:6199736:6207629:-1 gene:ONIVA02G09140 transcript:ONIVA02G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGGGSGGGGERPGSAPMRLCVHVLEARGLPAAYLTGHSDPYVRLQMGRRRAKTTVVKRCLSPLWDEEFGFAVGDAEEELVVSVLNEEGYFGGGFLGRVKVPLSTVMAADGLSLGTAWYHLHSKGGRFRKKRRVAGEIRLRIYLSRTAICDEPRNMPMQLINDTPCSSMRSVGTTASSLSARSVGTTASSLSARSVGTTASSLSASVSSLDLSACPSMERASCSSMDKLSQGIMDQQGRRSPGQLSCISTERSILLEPEEDDNDATTNASSVVEVMSRYFCRKPVDAAPSATSDHEQFQDTQMNSESCENGDNGALPETSLNELMKSLESKDKGSEMPENLRGGILVDQSYVLQPTEMNSMLFSAHSDFWPAVAEVQGLSGFQTDPWKLVSNDCLKRTLSYTKAASKLVKAVKITEEQTYLKAAGNSFAVLSSVSSPEVPCGNCFKVEILYCITPGPQLPSKEQTSHLTISWRLNFVQSTMLKGMIESGTKQGLREGYAQFTEVLSQKTKVIAPDDSNLSKDEILSSLQIQEESIWKLAARFLGNFAFIFSLCIALYAIAHLRLVKPNMVHGLEYFGIDLPDSIWEVVFCAILIIQGQNIFKSGRRFLYAWKQRGSDHGVKAHGDGWLLTVALIEGSGVVGSGTPGLPDPYVVFTCNGKRKTSSVKFQTSEPKWNEIFEFNAMDDPPSRLEVVVHDSEGPHNKIPIGQTEVNFLKNNLSDLGDMWLPLDGRFPQGCEPKLHLRIFLNNSRGTEIVMNYLAKMGKEVGKKIHLRSAQTNSAFRKLFSLPPEEFLIDDFTCYLKRKMPLQGRIFLSSRILGFYSNILGRKTKFFFLWDDIDDIQVAPPTLAKVGSPSLMIILRKDRGLEARHGAKTLDPQGKLKYHFQTFVSFNDAHRIIMALWKMRSVDPEQKGEMIDKNSELKQLPCEEGSLLANEDVKMSEVYSVVLSVDINSLMDMFSGGPLEHKVMQKAGCVDYSPTEWELLNQNIYQRQISFKFDKILSRFGEASTTQRKYNLANRDGWVIEEVMTLQGVQHEDYSSIQLKYQMTSTSLKPSTCSIQVLLGIAWLKGAKQQKKVVKNVMSNSANRLREIFSEVEKELASKKGRLDEELRCEQVAAHIEELIGEGGDAVARGRALGAPRRRAEPSSPSVPALSPSPSAPPSPFLVAVATTGNLRVNPVSFAVELVRAAKGGVGAIPGVASFLIP >ONIVA02G09130.1 pep chromosome:AWHD00000000:2:6190743:6192047:-1 gene:ONIVA02G09130 transcript:ONIVA02G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVAVIAAAGETQVPDDVVDEILVRLPSRSSLARAAAACSAFRALVSSPRFLRRHRAHHGPCPGALLGSFAFSSEGGAFHPAEPPHASAAAARAVAAAADFSFAFLPPSPVVGDDDPRRGLGWIVRDHRDGRFLLDRVASLDDNVFPELAVCDPLSRRYVVLPPIPRELAAAVDRPLGVIGGRRRCEPFLAPCDADADADAESEPAFAVIWTARCPRKVVAFAFASRDGRWRALPSPECFVWSRHRSPFGCPVHAVWNRRFYAHGCFYWLDCLTHRWLVLDTRAMEITVKQIPSPAGYWEEHVAVVEGEDGKVGVFAHDFYHAGGEACLYYYTIVDGGDGPRWRLERTVPLPWSAAHGRPYSIRAAANGSLILEVSHGTPAFMTSYRSRDVELYRIDVKSFELEMICRARCAAGDIAWAYFGFPPLLSLPTV >ONIVA02G09120.1 pep chromosome:AWHD00000000:2:6187326:6190201:1 gene:ONIVA02G09120 transcript:ONIVA02G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNDEILDGQNEEVQSQVSLPQDIQRIIIGFLPGRTVLKFCSVCKFWRDCIVEPAFVDHHLNCALRFRQAIACFTSVDNGLVQMYMFDPITVNFKRTEPVFSSRFHMSQPCNGMVCAYDLKGAAEVLNPTTRKHLTLPASESVYQAQYSEYFLGYVHSTKEYKVVALRHWIKHLTFEVCTIGTLSWRTVRGSEEEELLKTTKPVVVNDEMHWLLLDDESSHFTRKILSFNLTDEKFSYLDVPDSVRDRDLELVEGEGKLHLWSMPCKGAAYTESEIWLADSTRQFWVHLHNIAHPSVLGTKPFFMYKSKLFLGSQKRFIYIDILDGTVCYVDIPSGENIISSGMHRLGELNDIINRFSLCWVIIKRLWTIFSCCWIFLNKNSTFTRCLQVVLSSCAILQAGEENNKLSVEDVYRRHKQDSAGAMK >ONIVA02G09110.1 pep chromosome:AWHD00000000:2:6180603:6182150:1 gene:ONIVA02G09110 transcript:ONIVA02G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAIVSGVVADMVGRLMSLVAGQLRDRRGDAEEKLRRLRRLVVRIESAVEAAEARRITGRALLAWLSELVDGALQGRYFLDAFPVATDHDGGGRGEAAVANPLNPAKRLRVAARRLVFWDGGAAAELDGVLADLESVSGDLTGFITMLQSCPPALHRPLNTNIYADSQMFGRQVERRRVFDFLLHDSDGDGGGEPAGAELAVLSIVGRQGLGKTTLVQHVCNDLEVRRRFSLIIELDFHCLSLMAAGETALLLRSMFAGTGGAASATTTSVFGDSGETLALLERRLRGVRFLAVFDGVDARRRRVIDAIMPTLRRGRRGSKVIVTSRHAEHVAGLAAAAADTITLRPPPPAEYWLFFKAHAFGGADAEADPRLVAAGQAIAKRLRLAASFFGGKMLAALLRSRPDPRFWRTVLSSGAADLPCLGYADDAVAGRLFPPHVTLQSVTMSRSPERGIVSLQDSCLVTPPATQSGDHRRRRRSPELPVLLCKSVFPSYCIYYTAHCTISDSDTDNKQ >ONIVA02G09100.1 pep chromosome:AWHD00000000:2:6170442:6184286:-1 gene:ONIVA02G09100 transcript:ONIVA02G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MAPASLTRLTNPLPCASPSPRCRRRPGRSPPARSAACGVVSRRRAVSEMAILSGAAAAAASCCVDLFFAHMPARAATLEPDVIRYRKLDSGVKLEDVVDGEGPEAREGDVVQFNYVCRRANGYFVHSTVDQFSGESKPVTLALDGKEMIRGLKDVIVGMKTGGKRRALIPPQVGYTDESLQPIPEEFGPRRSLLSHAKEPLVFEVQLLKIIRVTNPKSLDDLRRYQQAESMKVTTSSSRLEGKTDLHSNTGNSGERRPESVGGGVIKEEPWRPTRPLSGERETVTPWRFRCGGNRQPAAAAM >ONIVA02G09100.2 pep chromosome:AWHD00000000:2:6170442:6184286:-1 gene:ONIVA02G09100 transcript:ONIVA02G09100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MAPASLTRLTNPLPCASPSPRCRRRPGRSPPARSAACGVVSRRRAVSEMAILSGAAAAAASCCVDLFFAHMPARAATLEPDVIRYRKLDSGVKLEDVVDGEGPEAREGDVVQFNYVCRRANGYFVHSTVDQFSGESKPVTLALDGKEMIRGLKDVIVGMKTGGKRRALIPPQVGYTDESLQPIPEEFGPRRSLLSHAKEPLVFEVQLLKEGKTDLHSNTGNSGERRPESVGGGVIKEEPWRPTRPLSGERETVTPWRFRCGGNRQPAAAAM >ONIVA02G09100.3 pep chromosome:AWHD00000000:2:6181996:6184286:-1 gene:ONIVA02G09100 transcript:ONIVA02G09100.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MAPASLTRLTNPLPCASPSPRCRRRPGRSPPARSAACGVVSRRRAVSEMAILSGAAAAAASCCVDLFFAHMPARAATLEPDVIRYRKLDSGVKLEDVVDGEGPEAREGDVVQFNYVCRRANGYFVHSTVDQFSGESKPVTLALDGKEMIRGLKDVIVGMKTGGKRRALIPPQVGYTDESLQPIPEEFGPRRSLLSHAKEPLVFEVQLLKGRLTCTATRATPASGGGGGDRRTESPEE >ONIVA02G09100.4 pep chromosome:AWHD00000000:2:6170442:6181096:-1 gene:ONIVA02G09100 transcript:ONIVA02G09100.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MFVFSGRCSAGGQLCSIVMNPVGKDAVELRSRAAVPEHQPPRRDAQPLGRVERVRHRRLAAPAAVVIRRHGERVEEVAALERAVDELGEPREERAAGDPPRLGGLHRALDPDDEAPQPAQLLLGVAPPVAQLAGDEGHEPADHVGDDAGDDGVAGHKSLDDLRRYQQAESMKVTTSSSRLEGKTDLHSNTGNSGERRPESVGGGVIKEEPWRPTRPLSGERETVTPWRFRCGGNRQPAAAAM >ONIVA02G09100.5 pep chromosome:AWHD00000000:2:6181097:6181969:-1 gene:ONIVA02G09100 transcript:ONIVA02G09100.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26555) UniProtKB/Swiss-Prot;Acc:Q944B0] MPLSGERDMVTLCKVTCGGNRRPATASSASAAPLLSTVRQKRGSGRDRSSAASILPPKKEAARRSRLAMACPAATSRGSASASAPPNACALKKSQYSAGGGGRRVMVSAAAAARPATCSACLLVTMTLLPRRPRRSVGMIASITRRLRASTPSNTARNLTPRSLRSRSASVSPLSPNTLVVVALAAPPVPANMERRSSAVSPAAMRLKQWKSSSMISEKRRRTSRSLQTCCTSVVFPRPWRPTIERTASSAPAGSPPPSPSLSCSKKSKTRRLSTCRPNIWLSA >ONIVA02G09090.1 pep chromosome:AWHD00000000:2:6168953:6171022:1 gene:ONIVA02G09090 transcript:ONIVA02G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAIVSGVVADMAGRLVSLVAGHLLADRRGVDDKLRRVRRLVVRIESAVEAAEARRITGRALLAWLSDLVDGAHQGRYFLDAFPVVADHDGDGDVEVAPSSFNPAKRLRVAARRLVFRDGGGAAAELDGVLADLESVSGDLTGFIMMLQSCPPAMHRPLATNIYADSQMFGRQVERRRVFDFLLQDGDGDDGGEPAAAELGVLSIIGRSGLGKTTLVQHVCDDPAVRRRFSWIILVDFHCVSLMAAGETTALLRSLFAAAAATAAGTGSTSISGVGEKLRLLEKNLRGERLLIVFDNVDARRRPAVDAIMRALRRGSRRGSKVIVTSSDARHVAGLATAADTITLRPPPPAEYWLFFKAHAFGGADDADADPRLAAAGQAIAERLRLRASYFGGKALGALLDDSPSHRLRPPLAGVARVAVQRLRLRASYFGGKALGALLRWRPDHRLWRRVLSSGAADLPCLGTGDYIAAAAGCLFPPHLNLHGVTVSRSPLRGLVGLHGSSLMTPPPTDSGRRSPELPVLLCKYCKKNCALFVDSDLSCSDLKKTRRLLLVVTFIDSAC >ONIVA02G09080.1 pep chromosome:AWHD00000000:2:6163942:6166526:-1 gene:ONIVA02G09080 transcript:ONIVA02G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein [Source:Projected from Arabidopsis thaliana (AT3G06040) TAIR;Acc:AT3G06040] MSLSTIARRLCCSRPTSGGRLSAVWAHLYSTEAAKDTGAKKYKYPEVYDPYGPMSPPSQKVVDLADRIAALPPEEIKQIAPALLLRLNQPPPQAISGQGFSFSPQGGGGAAGAAKAEEKKAEKTVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIEKIKLG >ONIVA02G09070.1 pep chromosome:AWHD00000000:2:6162784:6163731:1 gene:ONIVA02G09070 transcript:ONIVA02G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRRRGGVGGNGATTCDDSACGARAAASSASSSSSSATTSHCSSVSVYRAKINGAPRHVTAVWHRTLINQSFTISIDGGGGGGAGAGDDGALSHKVELKPWPFWSKRGAKTLDVDGDRLDIVWDLRSAKFPASSPEPAAGYYVALVSRDEVVLLLGDGKKDAFKRTRSRPSLDDAVLVSRRESVSGRRTFAARAPLAAGRKDHEIVVDSAIAGPREPEMRITVDGVVLVHVRSLQWKFRGNETVIVDQSPVQVLWDVHDWIFAGGPAAQAVFVFKPGAPPPGGDRCGRRGGAGAGGIGDEGGYSFFLQAWKTE >ONIVA02G09060.1 pep chromosome:AWHD00000000:2:6145060:6151697:-1 gene:ONIVA02G09060 transcript:ONIVA02G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIVVLLVCSLPSLLVGAAAAGGGEKQSYVVYLGEHAHGERLGAAAAADVDVEALARQAEDSHCELLAGVLGDKEKAREAIFYSYTRHINGFAANLDAAAAAKIAEKPGVVSVFPNRGHKLHTTRSWQFLGLAGVGGAPTGAAWKKARFGEDTIIGNLDTGVWPESESFRDDGLGPIPSWWRGECQKGQDDAFSCNRKLIGARFFNKGYASAVGNLNTSLFDTPRDTDGHGTHTLSTAGGAPVAGASVFGYGNGTASGGSPMARVAAYRVCYTPVNGSECFDADILAAFDAAIHDGVHVLSVSLGGDAGDYFADGLAIGSFHAVRHGIAVVCSAGNSGPAPGTVSNVAPWLFTAAASTMDREFPAYVVFNYTKLKGQSLSASALSPASSSFPMIDSSLAASPNRTQNESQLCFLGSLDPEKVKGKIVVCLRGVNPRVEKGEAVLEAGGAGMVLANDVTTGNEIIADAHVLPATHIKFSDGQILFSYLKNTKSPAGTITRPETRLGTKPAPFMAAFSSQGPNTVTPGILKPDITAPGVSVVAAWTRASAPTDLAFDKRRVAFNSESGTSMSCPHVAGVVGLLRTLRPDWSPAAIRSALMTTAVEVDNERHAILNSSFAAANPFGFGAGHVSPARAMNPGLVYDLAAVDYLNFLCSLRYNATVMAMFAGGGGAAPFRCPASPPKVQDLNYPSITVVNLTSSATVRRTVKNVGKPGVYKAYVTSPAGVRVTVSPDTLPFLLKGEKKTFQVRFEVTNASLAMDYSFGALVWTNGKQFVRSPLVVKTTTPTMA >ONIVA02G09050.1 pep chromosome:AWHD00000000:2:6138864:6143793:1 gene:ONIVA02G09050 transcript:ONIVA02G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin family protein [Source:Projected from Arabidopsis thaliana (AT3G13235) TAIR;Acc:AT3G13235] MKVTVMTADEQILTVDVDPDESVENLKALLEVETSVPLRQQQLHFNGREIQNTDKLSTVGVQDGDLVMMVKVTSNERPSQDIIRLNPDGSAVDPQAFRQHIRGDSQLMGQLLQNDPALAQAILGDDINELQNTLRSRHQQRLELKRKQEEELALMYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGVAIGVGQSEILGRIHVAPIKIGHVFYPCSFTVLDAPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLQEKDIPSHIRDEEKLSKLASLSQGAAGESSTAREKTPDAPPRAPTTGAPAVNPPQPQGGGDFEAKVTKLVELGFDRASVIQALKLFNGNEEQAAAFLFGG >ONIVA02G09040.1 pep chromosome:AWHD00000000:2:6134519:6134776:-1 gene:ONIVA02G09040 transcript:ONIVA02G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVFEPHGGAAVAVAGVGGGDVVFCVVILCLSVLSMIIFAAASPGGERRRRRRSSSGPVFVGGRGCGCGGRSSGACVCGTYLS >ONIVA02G09030.1 pep chromosome:AWHD00000000:2:6126347:6132829:-1 gene:ONIVA02G09030 transcript:ONIVA02G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTGSPAPPPPRSPLAERLPAGQIAAPRSPALYGRGCSGVGVGVGGGVGNGLFSPKSLPPVRTTARHSGLLGRHHHSVLLAAADSEEEEGEEGEESVASWGVPDDCYYGNFSDTLEEEEEDGACSSGDSSLLRRAMDRGGVAFDDEVTSQLSRRGGGGGLVRGQSKENLRVEVRAASAFAGKCSSGHNTVDSTSHEHYFGEQKFQAIGTPSAPPIAGDGVEAIFDTVAETKGGLERAGVSSVADILAQNVHEPELPTRSNVQEDGVHVPYVESNLLAQMPSFTANVQSAWQSFVAYDACFRICLNAWARNCMEAPEFLRDECMVLRSAFGIQSFLLHPKHKSQDDGKSIYDKDGSCNMKGRKLVKQIEIEEMFTCTLELQSSCKDNQRDSISPQYLKPGTGESQLFYLESQGDSILVEVQDNNRVVIGRAKIQVSSITDTHLCINFSVSSDNQGAAKMLQGGPAVDTIVYDMVLEAAMRAQNFNSKMLHVSGSWKWLLDEFSDYYGVSDAYRKLRYLSYILNVATPTKDCLELAYELLLPVMKARDDRTLTRQERSILLDCEDRIKSLLALVFENYKSLDENSPTGLSDLFGPISDCAAPALAPAVQIFSVLHDILSNEAQNILRNYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTICINISREIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRDLFHGYIMVWIEDTRLQLLDNCRADKLSCPAVSTTSTFVEQMYEQIKESINEYGVVINRWPHYLMSLESAIADVEREIMKALEKQYMETLLPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRVEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRTTRLKRILEETRESEGESDIRERMQALRVHLSDSIYNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKHLGNSLQDRDLDPPQSVVDARSILC >ONIVA02G09030.2 pep chromosome:AWHD00000000:2:6126347:6132829:-1 gene:ONIVA02G09030 transcript:ONIVA02G09030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTGSPAPPPPRSPLAERLPAGQIAAPRSPALYGRGCSGVGVGVGGGVGNGLFSPKSLPPVRTTARHSGLLGRHHHSVLLAAADSEEEEGEEGEESVASWGVPDDCYYGNFSDTLEEEEEDGACSSGDSSLLRRAMDRGGVAFDDEVTSQLSRRGGGGGLVRGQSKENLRVEVRAASAFAGKCSSGHNTVDSTSHEHYFGEQKFQAIGTPSAPPIAGDGVEAIFDTVAETKGGLERAGVSSVADILAQNVHEPELPTRSNVQEDGVHVPYVESNLLAQMPSFTANVQSAWQSFVAYDACFRICLNAWARNCMEAPEFLRDECMVLRSAFGIQSFLLHPKHKSQDDGKSIYDKDGSCNMKGRKLVKQIEIEEMFTCTLELQSSCKDNQRDSISPQYLKPGTGESQLFYLESQGDSILVEVQDNNRVVIGRAKIQVSSITDTHLCINFSVSSDNQGAAKMLQGGPAVDTIVYDMVLEAAMRAQNFNSKMLHVSGSWKWLLDEFSDYYGVSDAYRKLRYLSYILNVATPTKDCLELAYELLLPVMKARDDRTLTRQERSILLDCEDRIKSLLALVFENYKSLDENSPTGLSDLFGPISDCAAPALAPAVQIFSVLHDILSNEAQNILRNYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTICINISREIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRDLFHGYIMVWIEDTRLQLLDNCRADKAIADVEREIMKALEKQYMETLLPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRVEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRTTRLKRILEETRESEGESDIRERMQALRVHLSDSIYNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKHLGNSLQDRDLDPPQSVVDARSILC >ONIVA02G09030.3 pep chromosome:AWHD00000000:2:6126347:6132829:-1 gene:ONIVA02G09030 transcript:ONIVA02G09030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTGSPAPPPPRSPLAERLPAGQIAAPRSPALYGRGCSGVGVGVGGGVGNGLFSPKSLPPVRTTARHSGLLGRHHHSVLLAAADSEEEEGEEGEESVASWGVPDDCYYGNFSDTLEEEEEDGACSSGDSSLLRRAMDRGGVAFDDEVTSQLSRRGGGGGLVRGQSKENLRVEVRAASAFAGKCSSGHNTVDSTSHEHYFGEQKFQAIGTPSAPPIAGDGVEAIFDTVAETKGGLERAGVSSVADILAQNVHEPELPTRSNVQEDGVHVPYVESNLLAQMPSFTANVQSAWQSFVAYDACFRICLNAWARNCMEAPEFLRDECMVLRSAFGIQSFLLHPKHKSQDDGKSIYDKDGSCNMKGRKLVKQIEIEEMFTCTLELQSSCKDNQRDSISPQYLKPGTGESQLFYLESQGDSILVEVQDNNRVVIGRAKIQVSSITDTHQEEIIKWWPLYLDDQECVGKIQLCINFSVSSDNQGAAKMLQGGPAVDTIVYDMVLEAAMRAQNFNSKMLHVSGSWKWLLDEFSDYYGVSDAYRKLRYLSYILNVATPTKDCLELAYELLLPVMKARDDRTLTRQERSILLDCEDRIKSLLALVFENYKSLDENSPTGLSDLFGPISDCAAPALAPAVQIFSVLHDILSNEAQNILRNYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTICINISREIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRDLFHGYIMVWIEDTRLQLLDNCRADKAIADVEREIMKALEKQYMETLLPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRVEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRTTRLKRILEETRESEGESDIRERMQALRVHLSDSIYNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKHLGNSLQDRDLDPPQSVVDARSILC >ONIVA02G09020.1 pep chromosome:AWHD00000000:2:6119775:6124528:1 gene:ONIVA02G09020 transcript:ONIVA02G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRDDSAKMAKLKELLHRSENRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDQWSDNEIDNVIEVGGNSHANAIYEAFLPQNHSKPHPDSTQEEREKFIRSKYELQEFLEPSLRIVSHQSSDSGKHAGSASHSVSSKSEVGMIEFIGILNVKVKGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVIKANLNPVWNEELKLSVPQQYGPLKLQVFDHDMLSKDDLMGEAEIDLQPMINAAAAFGDQGLLGDRQIGRWLKSGDNALVRDSAVVVAGGEVRQELALKLQFTESGEVELEMQWFPLNM >ONIVA02G09020.2 pep chromosome:AWHD00000000:2:6119775:6124528:1 gene:ONIVA02G09020 transcript:ONIVA02G09020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRDDSAKMAKLKELLHRSENRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDQWSDNEIDNVIEVGGNSHANAIYEAFLPQNHSKPHPDSTQEEREKFIRSKYELQEFLEPSLRIVSHQSSDSGKHAGSASHSVSSKSEVGMIEFIGILNVKVKGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVIKANLNPVWNEELKLSVPQQYGPLKLQVFDHDMLSKDDLMGEAEIDLQPMINAAAAFGDQGLLGDRQIGRWLKSGDNALVRDSAVVVAGGEVRQELALKLQFTESGEVELEMQWFPLNM >ONIVA02G09020.3 pep chromosome:AWHD00000000:2:6119775:6124528:1 gene:ONIVA02G09020 transcript:ONIVA02G09020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRDDSAKMAKLKELLHRSENRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDQWSDNEIDNVIEVGGNSHANAIYEAFLPQNHSKPHPDSTQEEREKFIRSKYELQEFLEPSLRIVSHQSSDSGKHAGSASHSVSSKSEVGMIEFIGILNVKVKGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVIKANLNPVWNEELKLSVPQQYGPLKLQVFDHDMLSKDDLMGEAEIDLQPMINAAAAFGDQGLLGDRQIGRWLKSGDNALVRDSAVVVAGGEVRQELALKLQFTESGEVELEMQWFPLNM >ONIVA02G09020.4 pep chromosome:AWHD00000000:2:6120033:6124528:1 gene:ONIVA02G09020 transcript:ONIVA02G09020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRDDSAKMAKLKELLHRSENRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDQWSDNEIDNVIEVGGNSHANAIYEAFLPQNHSKPHPDSTQEEREKFIRSKYELQEFLEPSLRIVSHQSSDSGKHAGSASHSVSSKSEVGMIEFIGILNVKVKGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVIKANLNPVWNEELKLSVPQQYGPLKLQVFDHDMLSKDDLMGEAEIDLQPMINAAAAFGDQGLLGDRQIGRWLKSGDNALVRDSAVVVAGGEVRQELALKLQFTESGEVELEMQWFPLNM >ONIVA02G09010.1 pep chromosome:AWHD00000000:2:6116636:6119320:1 gene:ONIVA02G09010 transcript:ONIVA02G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRCYMLFVASIGLMRIVPPQVLSSKVSGKWLLSPYKIALFAEMGGVVGRGDSPRHTSPASKLERKMVEAMQQRAQKGTSVKSFNSVIMKFPKIDESLRNCRIIFQQFDEDSNGEIDQQELKHCFQKLDISFTDEEIKDLFQACDIYENMGMKFNEFIVFLCLVYLLNDPLVSEARKRMGLGSLEPTFETLVDSFVFLDKNKDGYVSKNEMIQAINETIGGERSSGRIGMKRFEEMDWDKNGTVTFKEFLFAFTRWVGIDDNEDDDDEEGV >ONIVA02G09000.1 pep chromosome:AWHD00000000:2:6097997:6101779:-1 gene:ONIVA02G09000 transcript:ONIVA02G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ketoacyl synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) TAIR;Acc:AT2G04540] MGCLRRALRLGLRRGLSSSSAAPAAAEQAELPPPRPSAGRRVVVTGLGAVTPLGRGVGPTWDRLVAGGCAVRALAAEDLRLPGGADAGRTLEQLPSRVAAPVPRGKGDAEFDEEAWTKDNKSISGFIAYALCAADEALRDANWLPSEDEKKERTGVSIGGGIGSISDILDASQMILENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFSRLRALSTKYNSLPQAASRPFDCGRDGFVIGEGCGVMVLEALDHAKERGAKIYAEVRGYGMSGDAHHITQPQNDGRGATLAMKRALDQSGLQADQIDYLNAHATSTPLGDAVEANAIKSVFGDHATSGGLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGIAPPTLNLEKPDTLFEGAFMPLSSPKKMPIRAAISNSFGFGGTNTSLLFSCPP >ONIVA02G08990.1 pep chromosome:AWHD00000000:2:6090768:6094061:-1 gene:ONIVA02G08990 transcript:ONIVA02G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSRSPLRSFVEVAPGSHFPIQNLPFGVFRRRGSPEPEPPRPAVAIGDFALDLAAVSDAGLFHGPLLSASPCFRQETLNMFLGMGRPAWKEARATLQKILSADEPVLRDNEALKKKCLVPMSDTEMLLPITVGDYTDFFCSVHHARNCGFIFRGPQTPVNPNWFQLPIGYHGRASSVIVSGTDIIRPKGQGHPTGDSRPYFGPSKKLDFELEMAAIVGPGNELGKPIDINDAEEHIFGLMIMNDWSARDIQAWETIPLGPFLGKSFSTTVSPWIVTMDALKPFTCEAPKQEPEPLPYLAEKNHVNYDIPLEVWVKPKEQSEPSMVAKSNFKHLYWTLTQQLAHHTVNGCNLRPGDMFATGTLSGPETESLGCLLELTWNGQKEISVGNSTRKFLEDGDEVILTACCKGEGYNVGFGTCTGKVLPALP >ONIVA02G08980.1 pep chromosome:AWHD00000000:2:6086808:6089708:1 gene:ONIVA02G08980 transcript:ONIVA02G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTRKTTSSLSATTAAAIAAAAAALMVSVAFATAQYTPATPAAPGAAAAGAAAGATPAAPYTPATPGAAGAAPSVPAGPLDIAQLGAKGDGTSDSTAFVLQAWKNACNATGTQKIVIPPGNYLTGALNLKGPCTSSIILRLDGNLLGTGDLNAYKTNWIEVEHVDNFAINGHGIIDGQGPLVWTHNQCNKNYNCKILPNSLVIDYSTNVTVRGITLKNSKFFHLNIYESKNVVIDKVTITSPGDSPNTDGIHVGDSTNITISSTTIAAGDDCISIGPGTKMVRVNGVRCGPGHGISVGSLGRYKDEKDVEDIIVTNCTIKGTTNGLRIKSYEDSKSQLRATKFLYDGITMDNVSYPIIIDQKYCPNNICSASGTSKVAVTDIVFKNIVGTSATPEAVTLNCANNLPCQGIQLHNVDLKYAGQGNTTLSVCKNLS >ONIVA02G08970.1 pep chromosome:AWHD00000000:2:6080148:6086253:1 gene:ONIVA02G08970 transcript:ONIVA02G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGENHLKDPLLQADGGGSGASPAGASPRKERKTRKVMFNVRGISCASCAVSIETVVAGLKGVESVSVSPLQGQAVVQYRPEEADARTIKEAIEGLNFEVDELQEQEIAVCRLQIKGMACTSCSESVERALQMVPGVKKAAVGLALEEAKVHFDPNITSRDLIIEAIEDAGFGADLISSGDDVNKVHLKLEGVSSPEDIKLIQSRLESVEGVNNVECDTAGQTIIVAYDPDVTGPRLLIQCIQDAAQPPKYFNASLYSPPKQREAERHHEIRNYRNQFLWSCLFSVPVFMFSMVLPMISPFGDWLFYKVCNNMTIGMLLRWLLCSPVQFIIGWRFYVGAYHALKRGYSNMDVLVALGTNAAYFYSVYIVLKALTSESFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALSKLTELAPETACLLTLDKDGNAISETEISTQLLQRNDVIKIVPGEKVPVDGVVIKGQSHVNESMITGEARPIAKKPGDKVIGGTVNDNGCIIVKVTHVGSETALSQIVQLVEAAQLARAPVQKLADRISRFFVPTVVVAAFLTWLGWFVAGQFDIYPREWIPKAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKVKAIIFDKTGTLTVGKPSVVQTKVFAKIPLLELCDLAAGAEANSEHPLSKAIVEYTKKLREQYGSHSDHMMESKDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEVPISSEVEGHMSETEELARTCVLVAIDRTICGALSVSDPLKPEAGRAISYLSSMGISSIMVTGDNWATAKSIAKEVGIGTVFAEIDPVGKAEKIKDLQMKGLTVAMVGDGINDSPALAAADVGLAIGAGTDVAIEAADIVLMRSSLEDVITAIDLSRKTLSRIRLNYVWALGYNVLGMPVAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHVEEVAAGPKNDPDLV >ONIVA02G08970.2 pep chromosome:AWHD00000000:2:6080827:6086253:1 gene:ONIVA02G08970 transcript:ONIVA02G08970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGENHLKDPLLQADGGGSGASPAGASPRKERKTRKVMFNVRGISCASCAVSIETVVAGLKGVESVSVSPLQGQAVVQYRPEEADARTIKEAIEGLNFEVDELQEQEIAVCRLQIKGMACTSCSESVERALQMVPGVKKAAVGLALEEAKVHFDPNITSRDLIIEAIEDAGFGADLISSGDDVNKVHLKLEGVSSPEDIKLIQSRLESVEGVNNVECDTAGQTIIVAYDPDVTGPRLLIQCIQDAAQPPKYFNASLYSPPKQREAERHHEIRNYRNQFLWSCLFSVPVFMFSMVLPMISPFGDWLFYKVCNNMTIGMLLRWLLCSPVQFIIGWRFYVGAYHALKRGYSNMDVLVALGTNAAYFYSVYIVLKALTSESFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALSKLTELAPETACLLTLDKDGNAISETEISTQLLQRNDVIKIVPGEKVPVDGVVIKGQSHVNESMITGEARPIAKKPGDKVIGGTVNDNGCIIVKVTHVGSETALSQIVQLVEAAQLARAPVQKLADRISRFFVPTVVVAAFLTWLGWFVAGQFDIYPREWIPKAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKVKAIIFDKTGTLTVGKPSVVQTKVFAKIPLLELCDLAAGAEANSEHPLSKAIVEYTKKLREQYGSHSDHMMESKDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEVPISSEVEGHMSETEELARTCVLVAIDRTICGALSVSDPLKPEAGRAISYLSSMGISSIMVTGDNWATAKSIAKEVGIGTVFAEIDPVGKAEKIKDLQMKGLTVAMVGDGINDSPALAAADVGLAIGAGTDVAIEAADIVLMRSSLEDVITAIDLSRKTLSRIRLNYVWALGYNVLGMPVAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHVEEVAAGPKNDPDLV >ONIVA02G08960.1 pep chromosome:AWHD00000000:2:6048502:6055077:-1 gene:ONIVA02G08960 transcript:ONIVA02G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:outer envelope protein of 80 kDa [Source:Projected from Arabidopsis thaliana (AT5G19620) TAIR;Acc:AT5G19620] MGTHRDVRFVSSGVKLPCADAAPAPAPAPTLLSAALPFARIGRAIDGVVRHVARSLPRLPVARAETGAGAAAAPIALPRRQKDGGGGGGGEERVLISEVAVRGKDGEPLERPELEAAAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLEDAFHDRHGKIINIRHLDQVIKSVNGWYQERGLTGLVSYAEILSGGILRLQVSEAEVNNINIRFLDRRTGEPTVGKTQPETILRHLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNYTDPWIDGDNKRTSRTIMVQNSRTPGTLIHGGDHPDHGPITIGRVTAGIEYSRPFRPKWSGTLGLIFQHAGARDDKGNPIIRDFYNSQLTASGNAYDDTLLAKLESVYTDSGDRSSTMFVFNIEQGLPILPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRMFGPLEGVVFGDYGSDLSSGPKVPGDPAGARGKPGSGYGYGVGIRVDSPLGPLRLEYAFNDKQARRFHFGVGYRN >ONIVA02G08950.1 pep chromosome:AWHD00000000:2:6044336:6044611:1 gene:ONIVA02G08950 transcript:ONIVA02G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCKGHSQEANPIKASTMEVEAFMAKQENHNHRFEIHHQSPQGRAPTLAGLLIGKLKNGRRNLLLLVDLKDYMLQMLKTKASVLSLTVAG >ONIVA02G08940.1 pep chromosome:AWHD00000000:2:6039795:6041557:-1 gene:ONIVA02G08940 transcript:ONIVA02G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYAQTNLISRGHGHHHQPELMKEFPFLSLISSTSSCISSSGQQQQQQQDDDGHGGHDRHQLLRYGCCSGNKQQEEEEEEVTVALRIGLPAVSSASPSPSPAMANHGASASSTGVAGDDGGGGAMTGVGVEVESVRRSGGGGGGGQYWIPTAAQILAGATQFSCPVCRKTFNRYNNLQMHMWGHGSQYRRGGTAALRGAQPTAMLRLPCYCCAAGCRNHIDHPRARPLKDFRTLQTHYRRRHGARDFACRRCAKRFAVRGDWRTHEKNCGRLWRCACGAHFRHKRSLNDHARAFGAAHAHAGAGAEDDGRAAAAAGVLR >ONIVA02G08930.1 pep chromosome:AWHD00000000:2:6032344:6037325:1 gene:ONIVA02G08930 transcript:ONIVA02G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVLVALALSLVGGLSTSIGALFVILNPTPNLKMLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKGNLWFFAGVLFFGLVVKFIPEPTVVPTADAGKKQTDDDGSGKDMMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSMKGLRVGLNLAIAIALHNIPEGVAVALPLYFATNSKWQAFKVATLSGFAEPLGVIIVAYLFPSSLNPEVLEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFVGMAFMSASLYFLEISLPKEVSL >ONIVA02G08920.1 pep chromosome:AWHD00000000:2:6026532:6031615:1 gene:ONIVA02G08920 transcript:ONIVA02G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKARVSRDGDGEEEEEPAPVAAESKSLYEILGVERTASQQEIKKAYHKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRALYDQTGIADDDALVGEAADNLQEYFRAVYKKVTEADIEEFEAKYRGSDSEKKDLKDLYTKFKGNMNRLFCSMICSDPKLDSHRFKDIIDEAIAEGELKSTKAYDKWAKKISEIEPPTNPLERRVKKNKKKSEENDLILAISQRRAQRKDRFDSVLSSIMSKCDPKGSSSSEPTEEEFEQARQRLEKKRSKNRK >ONIVA02G08910.1 pep chromosome:AWHD00000000:2:6022167:6026513:-1 gene:ONIVA02G08910 transcript:ONIVA02G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSMENACTPECLSLPPPPSPEERERERERRDATTRTELAIPIPARLLRHRRLGLRRRRDQVAMEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKEEQAKLAASSIDSIVNGCDGGKEHIVAASGSTAVAVAQVEAKTLVVQPTDVAGTSEEVAVVPKVKEGPNRCATCRKRVGLTGFNCRCGNMYCALHRYSDKHECQFDYRTAARDAIAKANPVVKAEKLDKI >ONIVA02G08900.1 pep chromosome:AWHD00000000:2:6015054:6020710:1 gene:ONIVA02G08900 transcript:ONIVA02G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVVVVVVVFLAAAVDGVAGKDCTNGFPGLTASHTERAAAAAELRPDGEVEAARVLDLLLPHGHGHGDDHDGDRHLTPTDESTWMSLMPRRLLASPASSPRRDAFDWLMLYRNLRGSGSGAGAIAASGGALLAEASLHDVRLQPGTVYWQAQQTNLEYLLLLDVDRLVWSFRTQAGLPASGAPYGGWEGPGVELRGHFVGHYLSATAKMWASTHNDTLQAKMSSVVDALHDCQKKMGSGYLSAFPSEFFDRVESIKAVWAPYYTIHKIMQGLLDQYTVAGNSKALDLVVGMANYFSDRVKNVIQKYSIERHWASLNEESGGMNDVLYQLYTITNDQKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDLLYKQIATFFMDTINSSHSYATGGTSAGEFWTNPKRLADTLSTENEESCTTYNMLKVSRNLFRWTKELSYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGRSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGDRPVLNIIQYIPSAYNWKAAGLTVNQQLKPISSLDMFLQVSLSTSAKTNGQSATLNVRIPSWTSANGAKATLNDNDLGLMSPGSFLSISKQWNSDDHLSLQFPITLRTEAIKDDRPEYASLQAILFGPFVLAGLSTGDWNAEAGNTSAISDWISPVPSSYNSQLVTFTQESSGKTFVLSSANGSLAMQERPTVDGTDTAIHATFRVHPQDSAGQLDTQGATLKGTSVQIEPFDLPGTVITNNLTQSAQKSSDSLFNIVPGLVGNPNSVSLELGTKPGCFLVTGVDYSVGTKIQVSCKSSLPSINGIFEQAASFVQAAPLRQYHPISFIAKGVKRNFLLEPLYSLRDEFYTVYFNLGA >ONIVA02G08890.1 pep chromosome:AWHD00000000:2:6012444:6013076:-1 gene:ONIVA02G08890 transcript:ONIVA02G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRQATTSASPIRPGRLLARRRPPISILPAEVAPASSCSRRIRSPVSILIHPIRPRPRPRISPPRPPLPPRAEVAPGVLLLPPLVARRQN >ONIVA02G08880.1 pep chromosome:AWHD00000000:2:6008283:6012428:-1 gene:ONIVA02G08880 transcript:ONIVA02G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPWRVLLPLLLLHSSPVFFVFAQEGQDNDPSTLFKRALEMMNLRKYDGSLGLLNAVLEVEPNHSEAYRQRASVLRHKCRYKEAEGDYSKYLELKPGSSSVEKELSQLLQAQNALESAYGQFESHDFSKVLDYINKIVLVFSPDCLKAKLLKAKALLALKDYSTVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLVKKTKSAEDNAAKGKLRVSAEDYKASLAMDPDHTSYNVHLYLGLCKVLVKLGRGKEAISSCTEALNIDGELVDALTQRGEAKLLTEDWEGAVQDLKEAAQKSPQARIESKGHDMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDLDEMNMGGGGGGGFNPFGGGGQQYTFHYDGGFHGGGGFPGGGFPGGFQFNFG >ONIVA02G08880.2 pep chromosome:AWHD00000000:2:6008283:6012428:-1 gene:ONIVA02G08880 transcript:ONIVA02G08880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPWRVLLPLLLLHSSPVFFVFAQEGQDNDPSTLFKRALEMMNLRKYDGSLGLLNAVLEVEPNHSEAYRQRASVLRHKCRYKEAEGDYSKYLELKPGSSSVEKELSQLLQAQNALESAYGQFESHDFSKVLDYINKIVLVFSPDCLKAKLLKAKALLALKDYSTVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLVKKTKSAEDNAAKGKLRVSAEDYKASLAMDPDHTSYNVHLYLGLCKVLVKLGRGKEAISSCTEALNIDGELVDALTQRGEAKLLTEDWEGADMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDLDEMNMGGGGGGGFNPFGGGGQQYTFHYDGGFHGGGGFPGGGFPGGFQFNFG >ONIVA02G08870.1 pep chromosome:AWHD00000000:2:6001919:6004127:-1 gene:ONIVA02G08870 transcript:ONIVA02G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQLFPEARCQNWPPTAVQPMWKTVWETKSSCLREGVFRTTCDPRLIEALPPESHNARVAFLTPKSVSPEKMACVIHLAGTGDHSFERRLRLGGPLLKDNIATMVLESPYYGQRRPSMQHGSKLQCVSDLLLLGKATIDEARSLLYWLQNEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCDGLYRHATAWDALRNDAATLAQDVTSLTEDTAQKSGITIEQVRERLRSVLSLTDVTRFPVPKNPQAVIFVGATDDGYIPKHSIMELQKAWPGSEVRWVTGGHVSSFFLHNDAFRKAIVDALDRL >ONIVA02G08860.1 pep chromosome:AWHD00000000:2:5990884:6001188:1 gene:ONIVA02G08860 transcript:ONIVA02G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRPWQQQLQPHDQQAASCSVTAGMMMQASATSSSIHGNNIIRKDPGGGYDMAELDHIFLYLNSQDQASAAIQEQPQTLNIFPSQPMHAGEPSPKGSSSMAAINSAPSNNALAIAAGSSKRPAAAGGQPSRLNNPADQPSASGKDGKAAVVKKEGGGGGGKHHGGASSAAASEHEGPKTPDAKTLRRLAQNREAARKSRLRKKAYIQNLETSRIRLSQLEQELVQRSRTQGAILGGGAFSAGIGGQSPEAAWFDGEYARWVESHERMMAHMRAAVEEQPQHGGVAAAAAEAQLRQLVDAAVAHHGVLVELKAAVASADVFHLVSGTWLPAAERCFLWIGGFRPSELIKMMARHAEPLTEQQAAGVYGVQQSAREREEALDRDLHATHHALSDAVSSDSLLLFPPGTGATAYSDADALRLQTLYKLPQILTARQSARCFLAIADHSHRLRALTSLWLSRPRHPDQPAPPPPPPPPINPRN >ONIVA02G08850.1 pep chromosome:AWHD00000000:2:5973533:5983336:-1 gene:ONIVA02G08850 transcript:ONIVA02G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLDPPPEPQPQTPPAVAAASPSPSPPPLLLRPRREAFEHGLLPIPKLIFPEGTLAHTLAQVKDKLASAADGGGRVAAAALAEALQIPHEQAALALATLAAVLPADDPALDSGGGGGADLRDVLLFLYIQSYKRLVPRAHKDSPAVTDVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLLKFSEGTPLSQAATFFANSDPDMPAAPVPAAQVHDWISQNISASLEFSTEKSISKEVSQQVTSDIDVTMADANASNARNSTPTGTNPAYYRNTTFVEGFSKTSVVKHASDVKGHSVKVLNCHESVIYILAPLKYATVYGCSDATVVLGAIGKVVKVEHCERVHIIAAAKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLAKVGVDPAINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESAARLDPDLFTNFMIPSWFGTEVQEPTKCCPFPLPEIYCASQSKKLAALEDIRRTIRELQIDDNRKKELTNALHAQFKDWLYVPVVYTNRDPNSKNRENS >ONIVA02G08850.2 pep chromosome:AWHD00000000:2:5973533:5983336:-1 gene:ONIVA02G08850 transcript:ONIVA02G08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLDPPPEPQPQTPPAVAAASPSPSPPPLLLRPRREAFEHGLLPIPKLIFPEGTLAHTLAQVKDKLASAADGGGRVAAAALAEALQIPHEQAALALATLAAVLPADDPALDSGGGGGADLRDVLLFLYIQSYKRLVPRAHKDSPAVTDVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLLKFSEGTPLSQAATFFANSDPDMPAAPVPAAQVHDWISQNISASLEFSTEKSISKEVSQQVTSDIDVTMADANASNARNSTPTGTNPAYYRNTTFVEGFSKTSVVKHASDVKGHSVKVLNCHESVIYILAPLKYATVYGCSDATVVLGAIGKVVKVEHCERVHIIAAAKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLAKVGVDPAINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESAARLDPDLFTNFMCCIITVFLLQIPSWFGTEVQEPTKCCPFPLPEIYCASQSKKLAALEDIRRTIRELQIDDNRKKELTNALHAQFKDWLYVPVVYTNRDPNSKNRENS >ONIVA02G08840.1 pep chromosome:AWHD00000000:2:5953994:5959555:-1 gene:ONIVA02G08840 transcript:ONIVA02G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G388] MIHPNQPLALSAAKNASTVVVGSPLLAASPASSPAAACCSSQLQQRRAAGPGRRGAGRLAAPRCSTIEQAAGVSSAVTVDRTLTVTATVTVQPPIGVVYAARGIDDLTDLIGKTLLLELVSSELDPRTGKEKETVSAFAHRTMKQDTYEAEFAVPATFGPVGAVLVENEHHREMFVKEIRLVTGADDSSAVTFDCNSWVHSKFDNPDRRIFFTVKSYLPAQTPKGIEALRKKELETLRGDGTGERKFFDRVYDYDVYNDLGDPDFKIEHLRPVLGGDEHPYPRRCRTGRPHTEIDPRTEKRRGPVYVPRDEQFSDVKGMTFSATTLRSGLHAMLPALEPLLANQELRFPHFPAIDGLYSVGIPLPAQLAAAGAATAAAGGAAASSSTSTNIVGGVIPRLVRMIEDTTDHVLRFDVPEMFERDRFSWFRDEEFARQVLAGVNPICIQLLTEFPIVSKLDPEVYGPPESALTKELLESQIVESVTVEEAMAQRRLFILDYHDVFLPYVHRVRERPETTLYGSRTVFFLTGAGTLSPLAIELARPQSPTRPQWRRAFVHGPDATASWLWKLAKAHVLSHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRMHPVHRLLHPHFRYTMEINALARESLINADGIIEESFWPGRYAMELSSVAYAATWRFDAEALPEDLVRRGLAVRQEDGELELTIKDYPYANDGLLVWNSIKQWASDYIDFYYKSDEEVACDEEVRAWWEEVRTKGHADKKDEPWWPAVDTRDGLIGVLTTIMWVTSGHHAAVNFGQYHYGGYFPNRPTVMRKNMPVEENKEEVMKKFMEMPEHVLLDTMPSKMQAITIMATLDILSSHSPDEEYMGEHAEPAWLAEPRVKAAFERFAGRMKEIEGIVDERNNDPELRNRCGAGIVPYELLKPFSTPGVTGRGIPNSISI >ONIVA02G08830.1 pep chromosome:AWHD00000000:2:5947268:5953119:1 gene:ONIVA02G08830 transcript:ONIVA02G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRUBBELIG-receptor family 2 [Source:Projected from Arabidopsis thaliana (AT5G06820) TAIR;Acc:AT5G06820] MAAAALPRLLLAAAVLCAAFAPVSGFTDPSDALGLWELYRTLDSPWQLSGWTSQGGDPCGRGGEQRPWHGVLCRDSSIVALNISGLGVGGWLGLELLKFYSLKILPVFNFFASLNHDDRRDVSFNNIAGEIPRNLPPSVEYLNLSHNKLSGIIGDVFVNMESLGTMDLSFNSFSGDLPTSFSSLKNLHHLYLQHNEFTGSVILLADLPLSSLNIENNSFSGYVPGTFESIPELRIDGNQFQPGFKRASPSFTRSAHSPPTPHPPPSSPPPPMSPPPPAVKENLKHKPEPLKPSLSHSSMYNHNQHRKSHSRVTAAAIATVTGTAFVLLIVGLVLKSCTYSPKSTANNAKSPPANVEKVPKANEVLYSWNSLMNDCEASSSDVIKPERAMKTKVWAKTSKNFLTAKQFQAVDILAATRNFSKECFIGEGFTGQVYRGDFPGGQLLAIKKINMVDLSLSEQDELIDMLGKMSNLKHPNISALVGYCVEFGHCALLYEYAENGSLNDILFSAATRSRALSWKARMKIALGVAYALEFMHSTCSPPVVHGNIKATNILLDAQLMPYLSHCGLARLSQFVSAIRTDSEALNSGKGYVAPELTDPATDSIKADIYSFGVILLVLLTGQKAFDSSRRQNEQFLVDWASPHLHNLDSLERITDPRIHASMPPQAISTLGNIILLCIKKSPELRPPMTVITDKLLKLVQSTGLQKTSTTTQHLEVDAQEPSFKTTRPYFEPSFTVSQSATGGCISQR >ONIVA02G08820.1 pep chromosome:AWHD00000000:2:5938186:5944762:-1 gene:ONIVA02G08820 transcript:ONIVA02G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLSIASRSPSPALIAPHASARATGLRAPFAGNRIVGWRWGDQTKSGTDRNRASICVVLFLRVRSGEPTQAPRDPPAAVGGGGCYVRLPAPPRDRDAVLYHVCLFTLLLCFIPITALAESDIKNLFALRKAIAVGKGFLHNWFELETPPCNWSGISCVGLTVVAIDLSSTPLYVDFPSQIIAFQSLVRLNVSGCGFSGELPEAMVNLQHLQHLDLSDNQLGGPLPASLFDLKMLKVMVLDNNMFSGQLSPAIAHLQQLTVLSISTNSFSGGLPPELGSLKNLEYLDIHTNAFSGSIPASFSNLSRLLYLDANNNNLTGSIFPGIRALVNLVKLDLSSNGLVGAIPKELCQLKNLQSLILSDNELTGSIPEEIGNLKQLEVLNLLKCNLMDTVPLSIGNLEILEGLYISFNSFSGELPASVGELRNLRQLMAKSAGFTGSIPKELGNCKKLTTLVLSGNNFTGTIPEELADLVAVVLFDVEGNRLSGHIPDWIQNWSNVSSISLAQNMFDGSLPGLPLHLVSFSAESNQLSGSIPAKICQGTFLQILRLNDNNLTGSIDETFKGCKNLTELSLLDNHLHGEIPEYLALLPLVSLDLSHNNFTGMIPDRLWESSTILDISLSDNQLTGMITESIGKLLSLQSLSIDRNYLQGPLPRSIGALRNLTALSLSGNMLSEDIPIQLFNCRNLVTLDLSCNNLTGHIPKAISHLTKLNTLVLSRNRLSGAIPSELCVAFSRESHSELEYVQHIGLIDLSRNRLTGHIPRAINNCSILVELHLQDNLLSGTIPVELAELRNITTIDLSSNALVGPVLPWPVPLASLQGLLLSNNRLSGSIPSGIGNILPQITMLDLSGNALTGTLPLDLLCKESLNHLDVSDNNISGQIPFSCHEDKESPIPLIFFNASSNHFSGSLDESISNFTKLTYLDLHNNSLTGRLPSAIARVTSLYYLDLSSNDFSGTIPCGICGMFGLTFANFSGNRDGGTFTLADCAAEEGGVCAANRVDRKMPDHPFHVLEATICCIATAIVIVLVVILVVYLRRRRKMLRRRQFVLVPAGDNAMADHETTLSDNLLGRRRMKKREPPSINLATFEHAPVRVTVDEIMRATGNFDGMHVVGDGGFGTVYRAELPGGRRVAVKRLHGVGRRFQGGEREFRAEMETVGKVRHPNLVPLLGYCAAGDERFLVYEYMEHGSLEDRLRGGGGAALGWPERLTICGGAARGLAFLHHGFVPHVIHRDVKSSNVLLGEGLQPRVSDFGLARIISACETHVSTVLAGTLGYIPPEYALAMQCTAKGDVYSFGVVMLELLTGRPPTWSSAEVTAEGDDERGGGGSLVGWVRWMAARGRGGEVFDACLPVSGAEREQMARVLDVARDCTADEPWRRPTMAEVARRVGAIEAMEYGPLVVAVSSGEPPAMP >ONIVA02G08810.1 pep chromosome:AWHD00000000:2:5932704:5935623:-1 gene:ONIVA02G08810 transcript:ONIVA02G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSGGGGRQQQQGVAERRLLRSRYLAVKNLISDEKDDMARAGSDTFAAIITQVDCLHELVQRPREQIADAETLLDIANTLVTSVRSQSSEGITPSDFVTAMLKKFGQSGGLDSEAASLRWGDVGLSVSHVFRAAPGCCTMLGPMNTEVKQRKAVVANRKRTARPTENARPEQLAESSEGVKTDTDRNVSVIFDILRRNKRARLENIVLNRRSFAQTVENVFALSFLVKDGRVAINIDDNGHHIVYPRNAPAASAIASGEVSYSHFVFRFDFKDWKLMQEVVPDGEELMPHRNPENIPCAEDHDQADPPELCAQRTPIRKLTRNRGLVMQQDQMVVAETPEEKQPTSKRRRLFRDQQS >ONIVA02G08810.2 pep chromosome:AWHD00000000:2:5932704:5935623:-1 gene:ONIVA02G08810 transcript:ONIVA02G08810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSGGGGRQQQQGVAERRLLRSRYLAVKNLISDEKDDMARAGSDTFAAIITQVDCLHELVHKMMASFYNAVQRPREQIADAETLLDIANTLVTSVRSQSSEGITPSDFVTAMLKKFGQSGGLDSEAASLRWGDVGLSVSHVFRAAPGCCTMLGPMNTEVKQRKAVVANRKRTARPTENARPEQLAESSEGVKTDTDRNVSVIFDILRRNKRARLENIVLNRRSFAQTVENVFALSFLVKDGRVAINIDDNGHHIVYPRNAPAASAIASGEVSYSHFVFRFDFKDWKLMQEVVPDGEELMPHRNPENIPCAEDHDQADPPELCAQRTPIRKLTRNRGLVMQQDQMVVAETPEEKQPTSKRRRLFRDQQS >ONIVA02G08800.1 pep chromosome:AWHD00000000:2:5928710:5933254:1 gene:ONIVA02G08800 transcript:ONIVA02G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGRKRGKPDGANGAGGKRARESESFQTGVGSKSKPCTKFFSTSGCPFGEGCHFLHHFPGGYQAVAKMTNLGGPAIAPPPGRMPMGNAVPDGPPTPTVKTRLCNKYNTAEGCKWGDKCHFAHGERELGKPMLMDSSMPPPMGPRPTGHFAPPPMPSPAMSTPASFGASATAKISVDASLAGGIIGRGGVNTKQISRVTGAKLAIRDHESDTNLKNIELEGTFDQIKNASAMVRELIVSIGGGAPPQGKKPVGGSHRGGGPGSNFKTKLCENFTKGSCTFGDRCHFAHGENELRKSAAA >ONIVA02G08790.1 pep chromosome:AWHD00000000:2:5921432:5927158:-1 gene:ONIVA02G08790 transcript:ONIVA02G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G382] MAFFRGLTAVSRLRSRVAQEATTLGGVRWLQMQSASDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPDEGIRFRGLSIPECQKVLPTAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKELASRSSVPGHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMSKSKFWEPTYEDCLNLIARLPAVASYVYRRIFKGGKTIAADNALDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIGETGSDVTTDQLKEYVWKTLKSGKVVPGFGHGVLRKTDPRYTCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENHCKKVAA >ONIVA02G08780.1 pep chromosome:AWHD00000000:2:5916579:5920770:1 gene:ONIVA02G08780 transcript:ONIVA02G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:switch subunit 3 [Source:Projected from Arabidopsis thaliana (AT2G33610) TAIR;Acc:AT2G33610] MATPSMPAPAAEAAAAATTATANSMPLAPPQPPSSGAPFSQPPSTAGTVKTEAPPPPSTSASSAASAAAPAPAAAAAEESPCTITIPSYSAWFSYDSIHETERRLMPEFFEGEAAAASGSRGPEAYKYYRDTLVRRFRARPGRRLTLTEARRGLVGDVGSVRRVFDFLEEWGLINYGASPSGAKQGRDKKEEAALSQSSLPIGATMPSKLCTGCRTVCGLAYFSCEKADISLCARCYVRANYRPGLTSADFKRIEITEDAKSDWTDKETLHLLEAVLHYGEDWKKVSHHVGSRSEKDCIARFTRLPFGEQFMGPKEDKIQFGNDCDLNEESGSHISKRLRLTPLADASNPIMAQVAFLSAIVGSDVAVAAGQAAISAQSQVDISASETDSSINISKEEESSCTNGLSANDLLKEASANAQVQLEKERKAIEQSLSDIVGVQMKEIQDKIRRFEQKELLMEKERKQLHCLKELLFSDQLAVVQHQRRPPAVTTESKDDEKPKPVISRASSIDMALV >ONIVA02G08770.1 pep chromosome:AWHD00000000:2:5906468:5910079:1 gene:ONIVA02G08770 transcript:ONIVA02G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 18 [Source:Projected from Arabidopsis thaliana (AT2G22370) UniProtKB/Swiss-Prot;Acc:Q9SJZ6] MECVVQGIIETQHVDALEVLLQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQSTPSWTIRHVGGAMRGAGAEQISVLVRSIVESKASNNVLRYFYGIGYKLDHEVLKGGFAFRFHRGAQITVTVTSVSKMTKLHATNEAVPITPAIQLVEITAPAAADNYNDVVSAVTSFCEYLAPLLHLSKPGNSTGIVPTAGAAAASLMSSGGGSGGKTL >ONIVA02G08760.1 pep chromosome:AWHD00000000:2:5897861:5906220:-1 gene:ONIVA02G08760 transcript:ONIVA02G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGAIGGASPSGRVLGPALDRIIKNAAWRKHSGLVAAAKAALDLLSSSAYAASAPSLLLGLPAAAADACIHALLLALESASPKVADPALDCVAKLLYHRLLVGDLGGGGGDDDSLSSKLLAAVLSCGALADDAMELSTLRVLVAAARCPSVAIRGEGLGQMLKTCYNIYLSSSSGANQLCAKLALAQVLVIVFARVEVDSMDVRVRTVSITDMMDMSDRNLNDSSIVHAAQSFINETMEGSDVPEPGSPVEPAEMDGKEDVVMSKIREDGLTLFKNLCKLSMKFSTPDNPEDQVLLRGKVLSLELLKMVIDNAGAFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIYQLLCSIFLGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKDSQVIIDVFVNYDCDVDAPNIFERIVNGLLKTALGVPPGSATTLTPAQDQTFRIESVKCLATIIKSMGSWMDQQLKIGEFSPKPSEISLNSIDIPNILVGEDGGAVDYELQTDSGNPDLSDASSLEQRRTYKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVASFLRDTAGLNATMIGDYLGERDEFPIKVMHAYADALNFEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMSKSDFIRNNRGIDDGKDLPEHYLSTLYDQIVKNEIKMSADSSVPQSKQPSSVIKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQEKFKAKSGKSESIFHVITDSTILRFMMETQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQDSWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEDKTQKSSSTTASKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVTPEQINSFISNINLLDQIGIFELNHIFAHSQRLNSDAIVAFVKALCKVSMTELHSPTEPRIFCLTKIVEIAHYNMNRIRLVWSHIWKVLSDFFVSVGSSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELVVRCISQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHEKDTDHQSNNLDVSDGNATLHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGHLFSQSFWRNIFESVVYPLFSTGSSTPNGHINLTEDDSWNSETKTVAVKCLVDLYITFFDEMRTELSRVTSVVTNFIRSPYKQSASTGLSVFQRLTEGLESRLSKEEWKEILLCFKDSAMQTFVVFDKIVRMMQDIEIPDRNESYPEVERYSDNDIYNDDEEEANMETTSYAIIKLKNHMAQQLLVVQGIVKLYETHRWSFYAEHMGIILETLSAIASHASEVSSESTLLMKFHKACSLLEVSEPAVIHFENESYQSYLKLLQALVHDHPSISEDMKIESHIMLVSEKILRKYLKCAGRERSNDSSGRDPALRWKLPLGTAKKEELSARTSLVLHVMQLLGGLERDCFRRNLPLFFPLLTNLIRCEHSSGEVQLALQHAPLKHDHPYP >ONIVA02G08760.2 pep chromosome:AWHD00000000:2:5897861:5906220:-1 gene:ONIVA02G08760 transcript:ONIVA02G08760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGAIGGASPSGRVLGPALDRIIKNAAWRKHSGLVAAAKAALDLLSSSAYAASAPSLLLGLPAAAADACIHALLLALESASPKVADPALDCVAKLLYHRLLVGDLGGGGGDDDSLSSKLLAAVLSCGALADDAMELSTLRVLVAAARCPSVAIRGEGLGQMLKTCYNIYLSSSSGANQLCAKLALAQVLVIVFARVEVDSMDVRVRTVSITDMMDMSDRNLNDSSIVHAAQSFINETMEGSDVPEPGSPVEPAEMDGKEDVVMSKIREDGLTLFKNLCKLSMKFSTPDNPEDQVLLRGKVLSLELLKMVIDNAGAFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIYQLLCSIFLGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKDSQVIIDVFVNYDCDVDAPNIFERIVNGLLKTALGVPPGSATTLTPAQDQTFRIESVKCLATIIKSMGSWMDQQLKIGEFSPKPSEISLNSIDIPNILVGEDGGAVDYELQTDSGNPDLSDASSLEQRRTYKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVASFLRDTAGLNATMIGDYLGERDEFPIKVMHAYADALNFEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMSKSDFIRNNRGIDDGKDLPEHYLSTLYDQIVKNEIKMSADSSVPQSKQPSSVIKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQEKFKAKSGKSESIFHVITDSTILRFMMEVCWAPMMAAFSVTLDQSDDKAATSQCLQGFRSAVHVTAVMCMQTQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQDSWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEDKTQKSSSTTASKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVTPEQINSFISNINLLDQIGIFELNHIFAHSQRLNSDAIVAFVKALCKVSMTELHSPTEPRIFCLTKIVEIAHYNMNRIRLVWSHIWKVLSDFFVSVGSSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELVVRCISQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHEKDTDHQSNNLDVSDGNATLHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGHLFSQSFWRNIFESVVYPLFSTGSSTPNGHINLTEDDSWNSETKTVAVKCLVDLYITFFDEMRTELSRVTSVVTNFIRSPYKQSASTGLSVFQRLTEGLESRLSKEEWKEILLCFKDSAMQTFVVFDKIVRMMQDIEIPDRNESYPEVERYSDNDIYNDDEEEANMETTSYAIIKLKNHMAQQLLVVQGIVKLYETHRWSFYAEHMGIILETLSAIASHASEVSSESTLLMKFHKACSLLEVSEPAVIHFENESYQSYLKLLQALVHDHPSISEDMKIESHIMLVSEKILRKYLKCAGRERSNDSSGRDPALRWKLPLGTAKKEELSARTSLVLHVMQLLGGLERDCFRRNLPLFFPLLTNLIRCEHSSGEVQLALQHAPLKHDHPYP >ONIVA02G08750.1 pep chromosome:AWHD00000000:2:5895136:5896855:1 gene:ONIVA02G08750 transcript:ONIVA02G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSHSNSCHFSDSISRRIVMQQRCEAESDQTKSQPHKTKAQKCSEFPIHKYKVISISCRPATGRRGRRVRHVRLGSVLRLRVRLFGVVGLLLRCLEELNCCPRRWSPATAAAAATTVMRAQRLSQDCRRRRLAAPAEAGGESSFQAEAIADCLEFIKRSYLQPTTASAC >ONIVA02G08740.1 pep chromosome:AWHD00000000:2:5888094:5891264:1 gene:ONIVA02G08740 transcript:ONIVA02G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUB1-related (BUB1: budding uninhibited by benzymidazol 1) [Source:Projected from Arabidopsis thaliana (AT2G33560) TAIR;Acc:AT2G33560] MAAAMATTAAAAAEEAVVALDKETLMLMGCGDAPPAAPCAEWETFKENVRPLKRGRNVGLLNRALKAHADPAQRAALLAARRKMIEAIDEYSGEDPLQPWIDCIKWVQESFPTGGDCSGLVVIYEQCVRAFWHDDRYKNDLRYLKVWLEYAGNCADSEVIFRFLEANQIGQSHTNYYLSYASVMESKNKLKKANEIFNLGIARKAKPVEKLETTYRAFLQRSSKKKAHPEDDTTTDDHPVRNFGTVLNRGEIRGQHAENSHLVKPRATLQRVDVNRPLAVYTDENSLPSHGLARTRSNNTAWQTLGSQADRNKENNMRPAKWTSHKIPQKVGSRAAVQPTRVSSIEVFVDDECAEEPVPQVPKSTKPSILKLRQATSRNLKQETELLMENPLRNFPLTSLR >ONIVA02G08730.1 pep chromosome:AWHD00000000:2:5886883:5887323:1 gene:ONIVA02G08730 transcript:ONIVA02G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRGMPRDEFATPKTHKRKVVSRPLPPSQIKAEPELLRREVPLTSGKTKKAPKITFKNEPRHSAPQSDWGTPDSVPEFGPADEYRALRKKYLLLEDENGELDKQLSQAEEEASVLEDEKFALLDQLVVLEGLVDPSQMQPPRRL >ONIVA02G08720.1 pep chromosome:AWHD00000000:2:5885933:5886426:1 gene:ONIVA02G08720 transcript:ONIVA02G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIGLRAAHAISRPIKHSTSHHRKSVTNWSSSSSSSSSSSPARSPTAAATPDRRRSPGSPARAGECRDKGGVVEYRVKEREISPRLVVSSAPRAAAKTSRGGGAAIEQSRGGEGILIWKELFSSSLI >ONIVA02G08710.1 pep chromosome:AWHD00000000:2:5880968:5883541:-1 gene:ONIVA02G08710 transcript:ONIVA02G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADAAMAAGLAEREPAWLRSLLGARFFEACAAHRGMSRNECNQYCLTCAAAADDAGGAAAVGCQWCVVAAHGGGPGRDRGHRHRVVQVRRSSYHNVVRVSELERALDLTRVQTYVINRDRVVFLNKRPQAPRNGRCAAAAAVACAACEACGRGLLDVAFRFCSLGCKLKCMESDPTLTFTIDPNNIPEPQISGPQEDEEEDDDEEDEPFYPTKANAAQSKAAGGGGCRPPPPPSASSSRPRRGGRRVARGDKEEDQEAAANILAFAAAAARSVPAASAADPNSYRRRARKGAHRAPERSPFF >ONIVA02G08700.1 pep chromosome:AWHD00000000:2:5868071:5873753:-1 gene:ONIVA02G08700 transcript:ONIVA02G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLSCISGRRGSPVPAPARVIAADGSLKELHAAASPAVADVLRGEGESFFVCNSDALYFNEQPPAMAPGEALRPGQIYFVLPAAMLGQPLSTADMAALAVRASAALAAVKAPRRRGARRGGDRMMKTVRVTPLRDEGLDGGDVLLHEKLNERTLGEFPASWSPPKSGGEKLAAAARSRLKRLSCISCRRRSSSHQPAPAPARVITADGSLKELAVSSAVADVLRGEGEGRSFFVCNSDALYFNEQPPAMAPGEALRPGQIYFVLPAAMLGQPLSTADMAALAVRASAALAAAAAKTPRRRGVRRGGGDRKRKTVRVTPLRDERLDGGDVLLHEKLNERTLGEFPASWSPPKSGGEKLAAAARSRLKRVLSIIQEDAE >ONIVA02G08690.1 pep chromosome:AWHD00000000:2:5862413:5863051:-1 gene:ONIVA02G08690 transcript:ONIVA02G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLSCIYRPESDIVVESEAPAPPPPAARVIAADGSLRELPASPCVAVVSDVLGGGDDAASFFVCNSDALYFDDRPPALSPGEPLRPGELYFVLPRAMLGRPLSSADMGRLAVRASLALVGERPQRRRRHRQGGGKKQKKKVHVMPAQAQSRDDGDGDVDGVFNEKLNEQTLGVFAVFLSPARGAAPAAAAAAAAARSPLKRALSLVEEEA >ONIVA02G08680.1 pep chromosome:AWHD00000000:2:5858524:5860593:1 gene:ONIVA02G08680 transcript:ONIVA02G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPRRIRRGGAGLSLVVVALLLAVAARAQQEYEANQQNACYATNASSTLGYTCNATSASAAAAAPCDAYLVFRSSPPLYASAVSISYLLNVAAAAVADSNAVDPVAPVAADRLVLAPVPCGCSPGGYYQHNASHTIRDTGVETYFIIANLTYQGLSTCQALIAQNPLHDSRGLVAGDNLTVPLRCACPSPPQAAAGVKHMVTYLVTWGDTVSAIAARFRVDAQEVLDANTLTESSIIYPFTTLLVPLKNAPTPDMLAPPAQAPPPPAPAPPRAQPPPGGSGSGKGVAVGVGVGCGVLALAGVFGLLFFCLRRRRGVGEESVRPGKVVGDVSSSAEYGALASGKQTTTATSMSSLSAARSLMASEVREALESLTVYKYSELEKATAGFSEERRVPGTAVYRGVFNGDAAAVKRVSGDVSGEVGILKRVNHCSLIRLSGLCVHRGDTYLVFEYAENGALSDWLHGGDAATGVLGWRQRVQVAFDVADGLNYLHHYTNPPCVHKNIKSSNILLDADLHGKMSSFGLARALPAGDGAAAAAAQLTRHVVGTQGYLSPEYLEHGLITPKLDVFAFGVVLLELLSGKEAAFSGDGENGEALLLWESAAEALVDGGGEDAGSNVRAFMDPRLGGDYPLDLAMAVASLAARCVARQPAARPAMDEVFVSLAAVYGSTVDWNPSDHGNSGSSLIGR >ONIVA02G08670.1 pep chromosome:AWHD00000000:2:5854321:5854998:-1 gene:ONIVA02G08670 transcript:ONIVA02G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAAALSTLSAASVTSGKRFITSSFSLSFSSRPLATGVRAAGARAARRSAASASTVVATIAVGDKLPDATLSYFDPADGELKTVTVAELTAGRKAVLFAVPGAFTPTCSQKHLPGFIEKAGELHAKGVDAIACVSVNDAFVMRAWKESLGLGDADVLLLSDGNLELTRALGVEMDLSDKPMGLGVRSRRYALLADDGVVKVLNLEEGGAFTTSSAEEMLKAL >ONIVA02G08660.1 pep chromosome:AWHD00000000:2:5839429:5845816:-1 gene:ONIVA02G08660 transcript:ONIVA02G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucomannan 4-beta-mannosyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G22740) UniProtKB/Swiss-Prot;Acc:Q9FNI7] MEVNGGGAAGLPEAWSQVRAPVIVPLLRLAVAVCLTMSVLLFLERMYMAVVISGVKILRRRPDRRYRCDPIPDDDPELGTSAFPVVLIQIPMFNEREVYQLSIGAVCGLSWPSDRLVVQVLDDSTDPVIKEMVRIECERWAHKGVNITYQIRENRKGYKAGALKEGMKHGYVRECEYVAIFDADFQPDPDFLRRTIPFLVHNSDIALVQARWRFVNADECLMTRMQEMSLDYHFTVEQEVSSSVCAFFGFNGTAGVWRVSAVNEAGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIVRNKKVTIWKKIHVIYNFFLIRKIIAHIVTFTFYCLIIPATIFVPEVRIPKWGCVYIPTIITLLNSVGTPRSFHLLFFWILFENVMSLHRTKATLIGLLEAGRANEWVVTEKLGNALKMKSSSKSSAKKSFMRVWDRLNVTELGVAAFLFSCGWYDLAFGKDHFFIYLFFQGAAFFIVGIGYVGTIVPQS >ONIVA02G08650.1 pep chromosome:AWHD00000000:2:5818759:5840421:1 gene:ONIVA02G08650 transcript:ONIVA02G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyl-CPG-binding domain 9 [Source:Projected from Arabidopsis thaliana (AT3G01460) TAIR;Acc:AT3G01460] MDRPPHLVIDLNEEPEPAPTNAADGAHLTEFAVQLPHFEGLHLKNTALYDGNQFMPAFGLAHCLSMRQQFTSMDRDIMADGNIVQRSIHLRRKRRDLPQTSSLPKFAENHELGSANIFMDPSFYTKATEPSSTENKSSSKPPKFLGENSSRRPHHRTVGLPVQYSDFFITSLGEIDKRPSYHNSYQIWPVGFTSYWHDRITGSLFECEVCDGGNFGPLFKVRRISCSVFPLPDASTILSQNSTRKAETIETNENNTLLEDTANDTDDNILMLLSDSSETNQDFLSCFSNDMESKMTSLGCNDLHSSNRSVPTVPSHSGTDKIGEFTFEGTSPSSVWRMISCAMMEACEKMYKEHGHLVFFCTHGTEKHSFDSGSGFQDFNGPYTPLTRFCSSYGPSIPRIVEKENDVEPTYSLLKEWLYQDRIGFDLEFVQEIVESLPRSRACSNYQFLCNRAEFVSSLTVASGSLRVVHKNGQSNGDVMSYGRHGSVVTGLQDHTQPSGFRIRELPLGRPISNKLPPELAGEVLQIWEFLGRFSEIIGLKEIPSYEQLEDELIDPWPICADQKEKLSNDIHRDHTSPINSPANVSTSYSNGESGLTSNEEIVSLFIPVETSSMKKAAQDKSAAQTLGRCSGVVLPGVHLTLFRVLFGELLSKVAIFVDPNIDPKESKTRRGRKKDTENLISAKEFKFDMLTANKLTWPELARRYILAISSISGCMDLSDISSREGVKLFRCLQGDGGILCGALPGVAGMEKDALLLVEAENLICKSSVNEESKVFMMDQKDTDMVDSPEVSATDNKTLPDWAKSLEPVRKLPTNVGTRIRKCVYESLERKPPEWARKILEHSISKEVYKGNASGPTKKAVLSVLTEACRVKVPHNPEKPRKERNAISISEAILKKCRIALRSAISSDESKLFGNLLGTTLVNSNENEDEGILGFPGMVSRPLDFRTIDIRLAMGAYYGSWEAFLEDVQEVIRNLHTAFGDRPDVLEMVVALSQSFESLYKTEVLDLVEKFDKYLSDKNAGSEMHEELHDILTAANSLPKAPWEDGVCKVCGIDRDDDSVLLCDKCDSEYHTYCLNPPLARIPEGNWYCPSCMLGQTKAHHDQGVQDVKRQQKKFVGEEAHAFQEELNKLATAMEEKEYWDLNMQERIYLLKFLCDEMLNTALIREHLDQCSDKLGDLQQKFRASNFELKDLKYKEEMRTSYARQSRSSKTEQHFNNSSGPVENQQQCTPTALDHLEEAEQGNVGVNLNNPADGVPDGQLNVGKPYKSDKDISSASMVEERKSSGLSEQPSGMAIDQIDGDAIDEGSQSCEKRSLGAKSSTCDNLNLKDTEFSTPGRELPDERASTSFQDNLEASSTKSIELDADNNEMDTLSDDISKLQDSISLLESQINMASSRRECLGKDSIGRLYWVIGRPGKRPWLVADGSMLIPKERDISMVNSYPPSAFDCKGWNSASIFIYESDEEIQCLLDWLRDYDPREKELKDSILQWQRHFCHQSSSPLVDPPISGPKGEQLMELPNTKAAVILEQKYGLQLDQDTSDLPKKRGKKIKLSSEDRTYRCDCLEPVWPSRYHCLTCHETYLISTEFEGHNDGKCSKIHQSPDESRENDEPKVKVTKSDMKEKDSLERSSVIEPSSDRKLMQCPYDFEEICRKFVTNDSNKETVKQIGLNGSNGVPSFVPSPAFFLEPAIVQSQNRKDDELKDWTSSLEECNAMSAQKLVQEVSKSGQSCPGNVGDEKVQKSKKPTPDNTSGEEAHSTTGKPTRLLAVNGGLVPESSLRPLIGRNSHILKQQKINLLDIEAALPEEALRASKCQQIRRRSWRAFVKDAESISQMVLAANLLEGMIKAEFLKNDWWYWSSFTAAMKTSTVSSLALRVYTLDDCIIYSKDQVPSVEPADNTRSGNRGGRRRRELESLAS >ONIVA02G08640.1 pep chromosome:AWHD00000000:2:5809116:5818197:-1 gene:ONIVA02G08640 transcript:ONIVA02G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGVGWGSVDARVARAPVVVAVSVRAAVSGGDALILYYEDEWGQRRGPCLGNPANALPESGMQ >ONIVA02G08630.1 pep chromosome:AWHD00000000:2:5808597:5814160:1 gene:ONIVA02G08630 transcript:ONIVA02G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREERSLPLAVAAGGGGGGGGGGSRVGRRRRRGGSRKTASDFSLKFSCYFSPRAQEWGEKDRIPSRTRARPPRATVAGGAPSAGARGLGGEAVIWGVFLAAAAAAAAWVVRERRRDYCIPDSGNCSCGSFTVADTSQYTQRNTPP >ONIVA02G08620.1 pep chromosome:AWHD00000000:2:5805990:5808572:-1 gene:ONIVA02G08620 transcript:ONIVA02G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRAPPPYHHRGAHEMMHRDPYGGAPGMPGPFPYDMLAAAAPPPEILEQKLMAQRGELQKLAVENDRLAMSHDSLRKELAAAQQEAQRLQAQGQAARAAEEQEARGILDKVAKMEADLKARDPVKAELQQAHAEAQGLVVARQQLAADTQKLSKDLQRNLGEAQQLPALVAERDAARQEYQHLRATYEYERKLRMDHSESLQVMKRNYDTMVAELDKLRAELMNTANIDRGGMPNHTMRHFLCVGMLYNTNTAQKDDGAPSLPVGQIAYDSGYGAAQGRTPPAGLGDSLSGNPAGTAPRTGFDPSRGNMYDASRIASFSSSKAGGHDASRGAAGYNSLKGAGYDPSKAPALGGQATAAAAHGSSADYYGSNQATPPSYAWGQAASAYGSAQVPQSHASGPPVQSTSYSATTARNFGSAQALPSYAHAQEQPSYGHAQLPSSYGLAQASFPFAPAQGVSPYGSGAQPPQYGAGQAATNPGSAYQAPHGRK >ONIVA02G08610.1 pep chromosome:AWHD00000000:2:5799939:5800172:1 gene:ONIVA02G08610 transcript:ONIVA02G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEFIGAALIEVWRWEERRMVEKKRTRNTRERGGLFPRKLQLRALAAIARFRRWGFDHTFLDPFTLEIGDLQEVP >ONIVA02G08600.1 pep chromosome:AWHD00000000:2:5799586:5799849:-1 gene:ONIVA02G08600 transcript:ONIVA02G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCSSRSSSTELDGGGGYRPQQRRVRPSDEDGEWPLAGRRTVDLEAAVYIAKFHQYQSNCCAEHAAMAPPTPRAPPPAAAHSPPA >ONIVA02G08590.1 pep chromosome:AWHD00000000:2:5769903:5770325:-1 gene:ONIVA02G08590 transcript:ONIVA02G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGIGGAAASTSSVPAMPAAVAPPPFWSTPTPYLFIGFGVVMALIAVALAVLLCTRRKDGGRGVGGEERGDVVVPPGMMSVRVLAPLDREAPPRVVVVMAGDDSPSFLASATPLAFAAAAATGVPLAPAPKVVAPPQP >ONIVA02G08580.1 pep chromosome:AWHD00000000:2:5762920:5766615:-1 gene:ONIVA02G08580 transcript:ONIVA02G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADLSAAAEALALQVDLLQLPPEIPAPGAPALSVVLDRLFAHWLTLPDTVALVGCLVQKAKASGGGGGGGAAGAAVGPGSAMLPSMMMQGGAAVPPLSPRSPRLSRRPSGVGTGGQSNRSASPLRPATARAVKEVIPQFYFQDGRPPTYELKKQCIAIVDQLFAGHSNGLRIQEFRLVTRELCKLPSFFTTVLFGKIDKENTGFVTRDAFIDFWLNSNMVTMDVATQVFIILKQKNQDFLTKEDFKPVLRDLLDNHPGLEFLKSTPEFQERYAETVVYRIFYCLSRIGGGHLTLRELKRGNLIDGLRHADDEEDINKVLKYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKVEGKMGYEDFVHFILSEEDKSSEPSQEYWFRCIDVDGNGILTHNELQFFFEEQLHRMECMAQEPVLFEDILCQLIDMIGPENETFFTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYIRLAMEEDGEDASNASGDVWDESLESPF >ONIVA02G08570.1 pep chromosome:AWHD00000000:2:5758353:5758865:-1 gene:ONIVA02G08570 transcript:ONIVA02G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDAISNIAYHPSMDFTSFFLPQTDAYSHDLSALLDMAVVDPYISCNGSSITMIPVTEDEANAQPMNHGNDERKKRRLVSNRESARRSRVRKQRRLDELSSQVSELRDTNQRLLVELNHMISKHSRIVRENSQLREEASDLQRKLSEMEMEDAEVAAAAAAAPRTLEVA >ONIVA02G08560.1 pep chromosome:AWHD00000000:2:5754058:5757757:1 gene:ONIVA02G08560 transcript:ONIVA02G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAGDDSSFFGDDGDGDEFCYGPFDVEDLCYGASDVDDDWEEFCDGPFGGGGVEEFCVSGFSVRDLSDASSSGARETGDPHDDDPLPQTLARSLDSDGDLSATLHQIVSAMRLSEDEEEEEEEELLVLGHGHGVGIGGGGDVDGFMVSAFDLDTAMAIGGILEDIHEVMGADEVREEVVVEEEEAGNGGGIMPNGFEFGPPPRAISGTAAGFRMMVDADDTDSDDFQFVEVLGGGQVREAGAGMSMRPSRASQLVVESLPEATLSEEEASRGCAVCEDCFASGQIVALLPCKHYFHGDCIWPWLAIRTTCPVCRHQVRTEDDDYEQRMARRVIVLAAVEHQGAPAQGCGDSATMGAEGASECVVENGPEKTSS >ONIVA02G08550.1 pep chromosome:AWHD00000000:2:5749264:5751451:-1 gene:ONIVA02G08550 transcript:ONIVA02G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNGSPSDSRHVSHKEIRDETTPLLPIKEEEEGIHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIILVALLTEASIDMLVRCSHEGKITSYGWLMGETFGQWGRIVLQASVVINNIGMMIVYMIIVGDVLSGTSTSGVHHRGIFEGWFGPHLWNSRPVVLLATTLFVFGPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIVRLIEGTVEIPKLFPELDGTNSIWELFTAVPVVVTAYICHYNVHSIDNELEDRTQTKPIVQTSLALCSSVYIATSFFAYLLFGEGTLADVLANFDSNLHIPFSSVFNDVVRVSYVVHIMLVFPIVFFALRLNLDGLLFPTARHISRDNRRFAIITGSLLAVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYGIATKRDKILALTMIVLAVLSNSVALYSDALNIIFHRKVEA >ONIVA02G08540.1 pep chromosome:AWHD00000000:2:5744503:5748278:1 gene:ONIVA02G08540 transcript:ONIVA02G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATHRKPPSSTPASPPPATSSSTRHPPATSSTPSSTASSPIPSTSATPSTCSTECPPPTFLFDTALRACSRAGSDPHRPFLLFRRMRRAGVRPDGFTFHFLFKCSSSSSRPHSLLLCTMLHAACLRTMLPSAAPFVSNSLIHMYIRLGLAADARRAFDEIHVKDAVAWTMLISGLAKMGMLCDAQLLLSQAPVRDVISWTSLIAAYSRANRAREAVGCFKTMLSHGIAPDEVTVIAVLSACAKLKDLELGRSLHLLVEEKGMPTSENLVVALIDMYAKCGDFGHAQQFFDALGRGPRPQSWNAIIDGYCKHGHVDVARSLFDEMEVRDIITFNSMMTGYIHSGQLREALLLFMSMRRHDLRVDNFTVVNLLTACASLGALQQGRALHACIEQRLVEADIYLGTALLDMYMKCGRVDEATIVFQRMGKRDVHTWTAMIAGLAFNGMGKAALEHFYQMRCDGFQPNSVSYIAVLTACSHSCLLNEGRLYFDEMRILYNIHPQIEHYGCMIDLLGRSGLLDEAMILSRPCPYNQMLVHKHIDLAQCAAEHLLKLEPDEEGVYVQLYNIYIDSRQWENASKIRMLMEERQVKKTAGYSSITVAGQVHKFVVSDKSHPRILEIIAMLEEISHRLKSLGYSPLTSQITVDVDEEEKEQALLAHSEKLAIAFGLISLAPNLPVHIRKNLRVCEDCHSAIKLISRLWNREIIVRDRSRFHHFREGTCSCNDFCKMMSAVSSITMLSVHPQVSFPEISYFQTYQRISAKEQVRGSVFPMLASLLIFSYGQNLDWMATIAAWAEGTVKFKGQYRSFSWNISS >ONIVA02G08530.1 pep chromosome:AWHD00000000:2:5737776:5740517:-1 gene:ONIVA02G08530 transcript:ONIVA02G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVLALGKLVTSFGVSSLRTYLEKEPARLPDLPYTAKHIERELDMIHHFLSQVGTKIYSNKVLEGWIVRVRKVAYRVEDITDEYSYNIALEHENHFKRLIHKFFYPTAFHRIAIELKDIEEEIKHLSQLKRDYREMFNELLDNTSDSAHIHLLSSNGSPHAVKGDDIVGMKEDMELLGRWLDPKELDRTVISIWGFGGLGKTTLVRKVYDWEKGMKSFDCYSWIAVSHNYNINAILRQLIQELSEDQSKIPTDLDTMHHGKLNDELKEVLSNKKYLIVLDDVWDTRAFHELSDSLMDDKKGSRIIITTRNNDVASLAQEMYKMKLNPLGNDDAFELFHRRCFQKSNMECPSHLEELSRQIVNKCGGLPLAINAIGNVLAVQESKEIVWRRINNQFKCELEDNPGLDKVRSALSISFMYLPRHLKNCFLYCSMFPQDYIFKRELLIKLWIVEGFVIQRGQSTLEEVADGYFTELIQQSMMQLVENDEIGRVVSCRMHDIMRELALSFSRKERFGLADINLETQKKDDVRRLLVSNFDQVNQLIKSSMDLPRLRTFIAANRVANYQLLTLLISRCKYLAVLELRDSPLDKIPENIGDLFNLRYLGLRRTRIKSLPISIKKLTNLETLDLKSTNIERLPREVAKLKKLRHIFAEQLYDPEERQLRYFRGVKLPDCAFDLAQLQTLQTVEATKESVKLLKYLPELRLLCVENVCRADCATLFSSLSNMNHLYDLVISANDLNEPLDFNAFNPICTKLEKLTIRGCWDNETFRRPVFCEYGANIKYLTLTFCKNDTNPLPSISSSMPNLIFLSIRRGCWAEDIILRAGWFPQLRTLWLGKLEELRRLVIEEGAIIRLEVLLLLSLPSLREVPKGLELLASLKKLNVTMQHHELKVEWERDNWKTKLHHIQEIRF >ONIVA02G08520.1 pep chromosome:AWHD00000000:2:5736344:5741824:1 gene:ONIVA02G08520 transcript:ONIVA02G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQNCTIHPQHLLPSPSSGGGEAEGGGAKWSARARCRRRWRFAGGSIGSARTTPVYGRSGSRAGSFSRYDPLGQEGKREESSAI >ONIVA02G08510.1 pep chromosome:AWHD00000000:2:5734684:5736267:-1 gene:ONIVA02G08510 transcript:ONIVA02G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative homolog of mammalian BLOC-1 Subunit 2. Protein - protein interaction with BLOS1. [Source:Projected from Arabidopsis thaliana (AT5G49550) TAIR;Acc:AT5G49550] MGLGPKAYSRLSPKSQSPIPSTRHRRRRRSDDGGAPIRGGGGRVGHRGPPAMSAAASGERDELADSLAELFTNVSLMVRGELQGTNSQLSLLEKMNRRVAEEYNNYGDVASGLRVFVEQLNEKNQSFGEYVSQIDAIDQQVTEFEAVVSMLDKHVSLLEKKVKSAYNIAPTQ >ONIVA02G08500.1 pep chromosome:AWHD00000000:2:5728301:5732283:-1 gene:ONIVA02G08500 transcript:ONIVA02G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSTVAARFAFFPPSPPSYGAEAPPPPAAAGAGVGVEKDGGGGGVVVELTDVPRRGNVEARRLRTKRGTEVVAMYVRQAGARLTLLYSHGNAADLGQMFELFVELSAHLNVNLMGYDYSGYGQSSGKPSEHNTYADIEAVYRCLVETYGASEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVRCPVLVIHGTADEVVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVGAIEKSPPLYDESPESSGPSDNTQTNPEGTEESRKSTDCREKTRSSIDHRQSTDRRDKSRGSTDRRDKNRKSVDQPRASVDQPDRPRKSVDRFGGVMKSVRYIDCFRVTTASGS >ONIVA02G08490.1 pep chromosome:AWHD00000000:2:5722724:5723303:-1 gene:ONIVA02G08490 transcript:ONIVA02G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLNWVSLLLLLFFMVAALSSFVATAHRELPMARKVDEIGDHLQAKLDNQASSVSVTRATAKAEHDHQEAVMRKCKNGRKNCKNFRTRKLPADADGKIHFDGHMPFTADYHSVRRHPPSHN >ONIVA02G08480.1 pep chromosome:AWHD00000000:2:5718025:5719494:-1 gene:ONIVA02G08480 transcript:ONIVA02G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lycopene cyclase [Source:Projected from Arabidopsis thaliana (AT3G10230) TAIR;Acc:AT3G10230] MATTALLLRAHPSCKPPPPPSPSPRPTRALVCRAAAAGEALRSLAPPSRPELLSLDLPRYDPARSTPVDLAVVGGGPAGLAVAQRVAEAGLSVCAIDPSPALVWPNNYGVWVDEFDAMGLSHCLDAVWPSATVFTHDDGAAKSLHRPYARVARRKLKSTMMDRCVAHGVTFHKARVVKAVHGEASSLLICDDGVAVPATVVLDATGFSRCLVQYDKPYDPGYQVAYGILAEVDGHPFDIDKMLFMDWRDAHLPEGSEIRERNRRIPTFLYAMPFSPTRIFLEETSLVARPGLAMDDIQERMAARLRHLGIRVRAVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADAIVRFLDTGSGDSAFAGDALSAEVWRELWPAQRRRQREFFCFGMDILLKLDLDGTRRFFDAFFDLEPRYWHGFLSSRLFLPELAMFGLSLFAKASNTSRLEIMAKGTAPLAKMIGNLIQDRDR >ONIVA02G08470.1 pep chromosome:AWHD00000000:2:5708786:5717314:1 gene:ONIVA02G08470 transcript:ONIVA02G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAALVGFLLAVSSAPAGATTDASDAAALGNLYSSWNSPSQLAGWSAGGGGDPCGAGWQGISCSGAGVTEMLAGVGLDGSLGYELSSLFSLKTLDLSNNNLHGSIPYQLPPNLTYLNLATNNLSGNLPYSISNMVSLEYLNVSHNSLSQQIGDLFGSLNSLSELDVSFNKLTGDLPNSLGSLSNLSSLYMQNNQLTGSVNVLSGLSLTTLNIANNNFNGWIPQEFSSIPDLTLGGNSFTNGPAPPPPPFMPPPPRRPRNRPSHPRGSGDAPEGSVSSAGQGDKKQGLQTGPLVGIVAGSTVGALCALLLLVFCIRNAQKRKDDTSSNSKDFVGPLSVNIERASNREIPEQSPENTSVATMKISPAEKMTPERIYGKTGSMRKTKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSSALSLQEEDNFLEAVSSMSRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDVLHYSDELSRKLTWNIRVRVALGTARALEYLHEVCLPSVVHRNFKSSNILLDEEHNPHLSDCGLAALTPNTERQVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGYSYRAPEREGDMRDLSF >ONIVA02G08460.1 pep chromosome:AWHD00000000:2:5703564:5706872:1 gene:ONIVA02G08460 transcript:ONIVA02G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVIPLTWHFYPYKPSKWPNKAEKCQSWRLQQLEELDSPVWTGQWTKQKVQKIGRNWTAAKVPPVVVLVLLPPKLLSWKEDDKTQQDYQDQKPQFQTLIILPHLKYS >ONIVA02G08450.1 pep chromosome:AWHD00000000:2:5685601:5686820:1 gene:ONIVA02G08450 transcript:ONIVA02G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRVSGSSWAARSYKVRTTLTLELNSLTMSAPLPRSLKMRLVMSSITGVEMPSPMAPRSPSTISATSAASACMNTVMNDENTGLAFALPAPPSPPPMAVAGEFSAIAW >ONIVA02G08440.1 pep chromosome:AWHD00000000:2:5677855:5686477:-1 gene:ONIVA02G08440 transcript:ONIVA02G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGGAGKAKARPVFSSFMTVFMHADAADVALMVLGLLGAMGDGISTPVMLLITSRIFNDLGSGADIVKEFSSKVNVNARNLVFLAAASWVMAFLEGYCWARTAERQASRMRARYLRAVLRQDVEYFDLKKGSTAEVITSVSNDSLVVQDVLSEKVPNFVMNAAMFAGSYAVGFALLWRLTLVALPSVVLLIIPGFMYGRILVGLARRIREHGSGKSTVIALLERFYDPLAGEVTVDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEEATAEEVVAAAKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDLASMGRTTIVIAHRLSTIRNADIIAVMQSGEVKELGPHDELIANDNGLYSSLVRLQQTRDSNEIDEIGVTGSTSAVGQSSSHSMSRRFSAASRSSSARSLSDARDDDNTEKPKLPVPSFRRLLMLNAPEWKQALMGSFSAVVFGGIQPAYAYAMGSMISVYFLTDHAEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRIREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTISAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIHAQAESSKLAAEAVSNLRTITAFSSQERILHLFEQSQDGPRKESIRQSWFAGLGLGTSMSLMTCTWALDFWYGGRLMAEHHISAKELFQTFMILVSTGRVIADAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPQGYKPEKLKGEVDIRGVDFAYPSRPDVIIFKGFTLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEVEIEDAARSANAHDFISNLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMIGRTSVVVAHRLSTIQNCDLITVLEKGTVVEKGTHASLMAKGLSGTYFSLVNLQQGGNQQVQH >ONIVA02G08430.1 pep chromosome:AWHD00000000:2:5675963:5680211:1 gene:ONIVA02G08430 transcript:ONIVA02G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHPARRRRGIRFHHKFDLAAGHPLTHPAVSEPYPDLAGNQSKRRTPPITPTTRTPARVSLEEIKYVRKETKMLRRRISLSRTGGRRHHRGFQHPRLTSCVRKNSEESSTQWITGIAEVHLPIVSDD >ONIVA02G08430.2 pep chromosome:AWHD00000000:2:5679935:5684915:1 gene:ONIVA02G08430 transcript:ONIVA02G08430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWGPSSLTSPDCMTAIMSALRIVDRRCAITIVVRPIDARSRASCTTRSDSVSNALVASSRRRILGDFRIALAIAILCFCPPDIWTPRSPTCCLLERNYAEAAARKAAPQVGITLSWEMKLCALAALAAATTSSAVASSFPNRMFSLMLVANSAGSWLTSPICARSHFSRSRRMSTPSTVTSPANGS >ONIVA02G08430.3 pep chromosome:AWHD00000000:2:5675963:5680211:1 gene:ONIVA02G08430 transcript:ONIVA02G08430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASAITRPVLTRIMKVWKSSLALMWCSAISLPPYQKSRAHVHVIRLMEVPSPSPANHDCRMLSLRGPSCDCSKRCKMRSWDEKAVIVRRLETASAASLLLSACACIDFLDMLLSKTRRA >ONIVA02G08430.4 pep chromosome:AWHD00000000:2:5675963:5678191:1 gene:ONIVA02G08430 transcript:ONIVA02G08430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHPARRRRGIRFHHKFDLAAGHPLTHPAVSEPYPDLAGNQSKRRTPPITPTTRTPARVSLEEIKYVRKETKMLRRRISLSRTGGRRHHRGFQHPRLTSCVRKNSEESSTQWITGIAEFCIVLSLCATTTLVLPIITRSSASCTTFSDWLSSALVASSRSRIAGFFRMARAIAMRCFCPPDN >ONIVA02G08430.5 pep chromosome:AWHD00000000:2:5679935:5684915:1 gene:ONIVA02G08430 transcript:ONIVA02G08430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWGPSSLTSPDCMTAIMSALRIVDRRCAITIVVRPIDARSRASCTTRSDSVSNALVASSRRRILGDFRIALAIAILCFCPPDIWTPRSPTCCLLERNYAEAAARKAAPQGSWEMKLCALAALAAATTSSAVASSFPNRMFSLMLVANSAGSWLTSPICARSHFSRSRRMSTPSTVTSPANGS >ONIVA02G08430.6 pep chromosome:AWHD00000000:2:5676666:5679895:1 gene:ONIVA02G08430 transcript:ONIVA02G08430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASAITRPVLTRIMKVWKSSLALMWCSAISLPPYQKSRAHVHVIRLMEVPSPSPANHDCRMLSLRGPSCDCSKRCKMRSWDEKAVIVRRLETASAASLLLSACACIDFLDMLLSKTRRA >ONIVA02G08420.1 pep chromosome:AWHD00000000:2:5662639:5674229:1 gene:ONIVA02G08420 transcript:ONIVA02G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPRRKPVQGRLLGMCRKLRERKRRVRKNSEESSTQWTTGIAEVQPPIKSAGEKLCCSRNEEGTPQGSWEMKLCALAALAAAITSSAVASSFPNRMFSRMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGSSAITVDLPDPLPPTSATVRPAGTRRLRLTKMGLSGQLHVPELHLAGDVAQLLAGVALGVDLGHPPDHLQDPLRRRARLREAALDNGVGDDEDGATLVAMGHDEAASVPDVEGQDGEGDAVATDGDALGKPLLEPQSHRLLECR >ONIVA02G08420.2 pep chromosome:AWHD00000000:2:5662639:5674229:1 gene:ONIVA02G08420 transcript:ONIVA02G08420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPRRKPVQGRLLGMCRKLRERKRRVRKNSEESSTQWTTGIAEVQPPINWEMKLCALAALAAAITSSAVASSFPNRMFSRMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGSSAITVDLPDPLPPTSATVRPAGTRRLRLTKMGLSGQLHVPELHLAGDVAQLLAGVALGVDLGHPPDHLQDPLRRRARLREAALDNGVGDDEDGATLVAMGHDEAASVPDVEGQDGEGDAVATDGDALGKPLLEPQSHRLLECR >ONIVA02G08420.3 pep chromosome:AWHD00000000:2:5670323:5674229:1 gene:ONIVA02G08420 transcript:ONIVA02G08420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHIMQAKLSHHHVGSEIEKPCIAIEGLLERNYAAAATKKAHRKSSLILFTGTGSWEMKLCALAALAAAITSSAVASSFPNRMFSRMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGSSAITVDLPDPLPPTSATVRPAGTRRLRLTKMGLSGQLHVPELHLAGDVAQLLAGVALGVDLGHPPDHLQDPLRRRARLREAALDNGVGDDEDGATLVAMGHDEAASVPDVEGQDGEGDAVATDGDALGKPLLEPQSHRLLECR >ONIVA02G08420.4 pep chromosome:AWHD00000000:2:5662639:5671747:1 gene:ONIVA02G08420 transcript:ONIVA02G08420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPRRKPVQGRLLGMCRKLRERKRRVRKNSEESSTQWTTGIAEVQPPIKSAGEKLCCSRNEEGTPQVLPHPIHWDSWEMKLCALAALAAAITSSAVASSFPNRMFSRMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGSSAITVDLPDPLPPTSATVRPAGTRRLRLTKMGLSGRDG >ONIVA02G08420.5 pep chromosome:AWHD00000000:2:5672426:5674651:1 gene:ONIVA02G08420 transcript:ONIVA02G08420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFMTKLGTFSLSTSCTTRLSLDTLVITSAVDPFFRSKYRARIRDACRSAVRVQQYPSKRHDPLTGGQEEEVPRVLMKWRSTLQFSKANPIRSFQLRIELGESTRGARTMLTLELNSWTLSAPPPKLLYTLPVMSSNTGIESPSPTAPRSPSTINTTSTASACMNTVMNDPSTGFAFPALRSSPPMAAVMPAGSGRLLLPLHLPSVTASLSLTLYFSSLRGYHLNGLKKKEAKLYKLKYKLSQLEEAKLVAVKAMGVSGDGDGAAKAKPVLGSFMTVFMHADMADMVFMFPRTSVLLTAIHILVINASSHHNTLFCHRLVVIHTSPGHWTSSFVKPLGMAVTGSESLRVATGAGELDDRGWAEMNDDDQGDLNNGVWVKELERITMVGSSMVAA >ONIVA02G08420.6 pep chromosome:AWHD00000000:2:5671409:5674229:1 gene:ONIVA02G08420 transcript:ONIVA02G08420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCALAALAAAITSSAVASSFPNRMFSRMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGSSAITVDLPDPLPPTSATVRPAGTRRLRLTKMGLSGQLHVPELHLAGDVAQLLAGVALGVDLGHPPDHLQDPLRRRARLREAALDNGVGDDEDGATLVAMGHDEAASVPDVEGQDGEGDAVATDGDALGKPLLEPQSHRLLECR >ONIVA02G08420.7 pep chromosome:AWHD00000000:2:5662639:5671747:1 gene:ONIVA02G08420 transcript:ONIVA02G08420.7 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPRRKPVQGRLLVRKNSEESSTQWTTGIAEVQPPINWEMKLCALAALAAAITSSAVASSFPNRMFSRMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGSSAITVDLPDPLPPTSATVRPAGTRRLRLTKMGLSGRDG >ONIVA02G08420.8 pep chromosome:AWHD00000000:2:5672784:5674651:1 gene:ONIVA02G08420 transcript:ONIVA02G08420.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRSTLQFSKANPIRSFQLRIELGESTRGARTMLTLELNSWTLSAPPPKLLYTLPVMSSNTGIESPSPTAPRSPSTINTTSTASACMNTVMNDPSTGFAFPALRSSPPMAAVMPAGSGRLLLPLHLPSVTASLSLTLYFSSLRGYHLNGLKKKEAKLYKLKYKLSQLEEAKLVAVKAMGVSGDGDGAAKAKPVLGSFMTVFMHADMADMVFMFPRTSVLLTAIHILVINASSHHNTLFCHRLVVIHTSPGHWTSSFVKPLGMAVTGSESLRVATGAGELDDRGWAEMNDDDQGDLNNGVWVKELERITMVGSSMVAA >ONIVA02G08420.9 pep chromosome:AWHD00000000:2:5672784:5674651:1 gene:ONIVA02G08420 transcript:ONIVA02G08420.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRSTLQFSKANPIRSFQLRIELGESTRGARTMLTLELNSWTLSAPPPKLLYTLPVMSSNTGIESPSPTAPRSPSTINTTSTASACMNTVMNDPSTGFAFPALRSSPPMAAVMPAGSGYHLNGLKKKEAKLYKLKYKLSQLEEAKLVAVKAMGVSGDGDGAAKAKPVLGSFMTVFMHADMADMVFMFPRTSVLLTAIHILVINASSHHNTLFCHRLVVIHTSPGHWTSSFVKPLGMAVTGSESLRVATGAGELDDRGWAEMNDDDQGDLNNGVWVKELERITMVGSSMVAA >ONIVA02G08410.1 pep chromosome:AWHD00000000:2:5659944:5661103:1 gene:ONIVA02G08410 transcript:ONIVA02G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWGPSSIISSDCMTTIMSALRIVDRRCAIKIVVRPIDAMSRASCTMRSDSVSNALVASSRSRILGDFRIALAIAILCFCPPDIWTPRSPTYYMHVQIAGMFGV >ONIVA02G08400.1 pep chromosome:AWHD00000000:2:5659136:5659888:1 gene:ONIVA02G08400 transcript:ONIVA02G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAKRQAMISPMVHPITSLASPNDFAELYLLTVENLLVMMWLLDCSTADLLPVTHLINLI >ONIVA02G08390.1 pep chromosome:AWHD00000000:2:5659892:5673112:-1 gene:ONIVA02G08390 transcript:ONIVA02G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDRSAGKAKPVLGSFMTVFMHADAVDVVLMVLGLLGAVGDGLSMPVLLLITGSVYNNFGGGADNVQEFSSKVNMNARNLLFLAAEGYCWTRTAERQASRMRARYLQAVLRQDVEYFDLKKGSTAEVITSVSNDSLVVQDVLSEKVPNFVMNAAMFVGNYAFGFALMRQLMLVALPSVVLLIIPTFMYGRVVVDLARRIREQYTRPGAIAEQAMSSVRTVYSFVAERTTMAQFSAALEESVRLGLKQGLAKGVAIGSNGITFAILAFNVWYGSRLVMSHGYKGGTVFVVSYAVIQGGLALGSGLSNVKYLSEASSAAERILEVIRRVPKIDSESDTGEELGNVAGEVEFRNVKFCYPSRPESPIFVSLSLRVPAGRTVALVGGSGSGKSTVIALLERFYDPSAGEVMVDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDATAEEVIAAAKAANAHSFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESESVVQEALDLASMGRTTIVIAHRLSTIRNADIIAVMQSGEVKELGSHDELIANENGLYSSLVRLQQTRDSNEIDEIGVIGSTSALGQSSSHSMSRRFSAASRSSSVRSLGDARDADNTEKPKLPVPSFRRLLMLNAPEWKQALMGSFGAVVFGGIQPAFAYAMGSMISVYFLTDHAEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRIREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTISAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIHAQAESSKLAAEAVSNLRTITAFSSQERILRLFDQSQDGPRKESIRQSWFAGLGLGTAMSLMACSWTIGFWYSGRLMAEHQITAKEIFQTFIILASTGRVIAEAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPQGYKPEKLKGEVDIRKVDFAYPSRPDVIIFKGFTLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEAEIEDAARSANAHDFISNLKDGYGTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMIDRTSVVVAHRLSTIQNCDLITVLEKGIVVEKGTHASLMAKGPSGTYFSLFEIKQQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERIVQEALDMASMGRTTILIAHRLSTIRNADIIVVMQSDEIMELGPHDELIANENSLYSSLVRLQQT >ONIVA02G08390.2 pep chromosome:AWHD00000000:2:5659892:5673112:-1 gene:ONIVA02G08390 transcript:ONIVA02G08390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDRSAGKAKPVLGSFMTVFMHADAVDVVLMVLGLLGAVGDGLSMPVLLLITGSVYNNFGGGADNVQEFSSKVNMNARNLLFLAAGQWGHLLNSNACRRWRGELTPAGDVCVVQTEGYCWTRTAERQASRMRARYLQAVLRQDVEYFDLKKGSTAEVITSVSNDSLVVQDVLSEKVPNFVMNAAMFVGNYAFGFALMRQLMLVALPSVVLLIIPTFMYGRVVVDLARRIREQYTRPGAIAEQAMSSVRTVYSFVAERTTMAQFSAALEESVRLGLKQGLAKGVAIGSNGITFAILAFNVWYGSRLVMSHGYKGGTVFVVSYAVIQGGLALGSGLSNVKYLSEASSAAERILEVIRRVPKIDSESDTGEELGNVAGEVEFRNVKFCYPSRPESPIFVSLSLRVPAGRTVALVGGSGSGKSTVIALLERFYDPSAGEVMVDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDATAEEVIAAAKAANAHSFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESESVVQEALDLASMGRTTIVIAHRLSTIRNADIIAVMQSGEVKELGSHDELIANENGLYSSLVRLQQTRDSNEIDEIGVIGSTSALGQSSSHSMSRRFSAASRSSSVRSLGDARDADNTEKPKLPVPSFRRLLMLNAPEWKQALMGSFGAVVFGGIQPAFAYAMGSMISVYFLTDHAEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRIREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTISAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIHAQAESSKLAAEAVSNLRTITAFSSQERILRLFDQSQDGPRKESIRQSWFAGLGLGTAMSLMACSWTIGFWYSGRLMAEHQITAKEIFQTFIILASTGRVIAEAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPQGYKPEKLKGEVDIRKVDFAYPSRPDVIIFKGFTLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEAEIEDAARSANAHDFISNLKDGYGTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMIDRTSVVVAHRLSTIQNCDLITVLEKGIVVEKGTHASLMAKGPSGTYFSLFEIKQQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERIVQEALDMASMGRTTILIAHRLSTIRNADIIVVMQSDEIMELGPHDELIANENSLYSSLVRLQQT >ONIVA02G08390.3 pep chromosome:AWHD00000000:2:5658742:5659884:-1 gene:ONIVA02G08390 transcript:ONIVA02G08390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIKCVTGSKSAVEQSNSHIMTRRFSTSSAKSLGDARDADNTKKQKLPVPSFRRLLMLNALEWKQSLMGEIKDNTRTNALIFLGLAVLSFFLNIGQHYNFGAMGKYLTKRIKEQMLTKILTFEIGWFDHDKNSSVKSMSEKSKLAQAESSKLATEAVSNLQTVTAFSSQERILCLFNQAQDGPRKESIRQSWFAGLGLGTPMSLVICTWALELWYCGRLVANHQITNTELFQTFYILVNTGRVIAKA >ONIVA02G08380.1 pep chromosome:AWHD00000000:2:5657914:5658738:-1 gene:ONIVA02G08380 transcript:ONIVA02G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDLAKGAYAVASVFSILDRETEIDPNNPHGYKTEKLKGEVDIRGVDFSYPSRLDVIIFKGFTLSIQPGKSTAIVGKSGSGKSTIIGLIERFYDPIRGLVKIDGRDIKAYNLRALRRHIGLVSQEPTLFAGTIRDNIVYGIDIASEVEIEDAARSTNAHDFISNLKDGYDTLCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALERVMVGRTSVVVAHRLSIIQKCDLITVLEKGTIGEGHTLIPHGEGLLWNIF >ONIVA02G08370.1 pep chromosome:AWHD00000000:2:5652902:5656917:-1 gene:ONIVA02G08370 transcript:ONIVA02G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVQARQPSVASAHRGILVYYCYSIQVAVLLSPPPYQAMLSSAGLDLVLALYSSCSHWLNLVHEDVDSTLIWWRRTPQPALLNMHGEAAFEVAAGSYDVAHASCYKCNRFEFITWWGAPGRGGGVAIVGSLELRSQTRDEFSERRRRRGDGGSWVAAPPPSRSTGGGRRLALPRAVCPYRALRSR >ONIVA02G08370.2 pep chromosome:AWHD00000000:2:5654401:5656917:-1 gene:ONIVA02G08370 transcript:ONIVA02G08370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVQARQPSVASAHRGILVYYCYSIQVAVLLSPPPYQAMLSSAGLDLVLALYSSCSHWLNLEDVDSTLIWWRRTPQPALLNMHGEAAFEVAAGSYDVAHASCYKCNRCNCQC >ONIVA02G08370.3 pep chromosome:AWHD00000000:2:5654401:5657179:-1 gene:ONIVA02G08370 transcript:ONIVA02G08370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRRGSVDAPRAGTAAQRSVGAPHGEAAFEVAAGSYDVAHASCYKCNRCNCQC >ONIVA02G08360.1 pep chromosome:AWHD00000000:2:5652897:5655252:1 gene:ONIVA02G08360 transcript:ONIVA02G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRERKARYGHTARGSARRRPPPVERDGGGAATQLPPSPRRRRRSENSSRVWDLNSRDPTMATPPPRPGAPHQVQQRNMFSRAGCGVLLHQISLYLKKL >ONIVA02G08360.2 pep chromosome:AWHD00000000:2:5652897:5655252:1 gene:ONIVA02G08360 transcript:ONIVA02G08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRERKARYGHTARGSARRRPPPVERDGGGAATQLPPSPRRRRRSENSSRVWDLNSRDPTMATPPPRPGAPHQVQQRNMFSRAGCGVLLHQISVLSTSS >ONIVA02G08350.1 pep chromosome:AWHD00000000:2:5634152:5642464:-1 gene:ONIVA02G08350 transcript:ONIVA02G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGGGGGGGKAKTKAKPKPALVSFMTVFVHVDKADVVLMVLGLLGAIANGISMPVMLLTFSHVYNDLGHGTDIVGQQFGSKVNMNARNLVFLAAGLWVMAFLEGYCWARTAERQVSLMRARYLRAVLRQDMEYLDLKKGSTAEVITGMSNDTTVVQDVLSEKIPNFVMNAALFAGSYGVGLALMWKLVLPALPSVLLLIIPGFIYGGVVIGLARRSREQYTHAGAIAEQAISSVRTVYSFVAERTTMAQFSAALEKSVRLGLKQGLAKGVAIGSNGIAFAILAFNVWYGSRLVMYHGYQGGTVFAVSFVTIRGGLALGSALSSLKFLLEASLAAERILEVIRRVPKIDSESDTGEELANVAGEVEFRNVDFCYPSRPESPIFVSFSLRVPAGRTVALVGGSGSGKSTVIALLERFYDPSAGEVTVDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDAPAEEVVAAAKAANAHNFISQLPQGYDTQVGERGVQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERIVQEALDMASMGRTTIIIAHRLSTIRNADIIVVMQSGEVMELGPHDELIANENGLYSSLVRLQQTRDSNEIDQICVTGSTSGVEQSNIHIMTRRFSTVSRSNSARSLGDARDADNTKKQKLPVPSFRRLLMLNAPEWKQSLMGGFSAILFGGIQPTYSYTLGSMISAYLLTDHAEIKDNTRTNALIFLGLAVLSFFLNIGQHYNFGAMGKYLTKRIKEQMLAKILTFEIGWFDRDKNSSGPLCSQLAKYANISMSEKSKHAQAESSKLATEAVSNLQTVTAFSSQERILCLFNQAQDGPRKESIRQSWFAGLGLGTPMSLVICTWALELWYCGRLVADHQITNTELFQTFYILVNTGFTLSIQPGKSTDIVGKSGSGKSTIIGLIERFYDPIRGLVKIDGRDIKAYNLRALRRHIGLVNQEPTLFTGTIRDNIVYGIDIASEVEIEDAARSANAHDFISNLKDGYDTLCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSVVVAHRLSIIQKCDLITVLEKGTIVEKGTHTSLMAKGFSGTYCSLVSLQQAGNQQVQL >ONIVA02G08340.1 pep chromosome:AWHD00000000:2:5631374:5633187:1 gene:ONIVA02G08340 transcript:ONIVA02G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERAGCSTTGPVTARRRAELPVDPLSLPKAINYLSPDLKRGNFTDDDDELTIRLHALLGNKWNTHIKRKLLSQGIDSQTHQPVSARTSVAAASELTTTASTVGFPPLAPAPREAAAAAAGRAGRRRRCAARPTPHLLDRVVRHSSPPGSAAAAPGELVVCLGPHL >ONIVA02G08340.2 pep chromosome:AWHD00000000:2:5632978:5641086:1 gene:ONIVA02G08340 transcript:ONIVA02G08340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAATDGDEGDGEDGATLVSMVHDEAAAVPDIEGQDGEGDAVAADGDALGEPLLEPEPHRLLQRRRELSHGGPLRHERVHRPHRRYGLLSDGTSVGVLLPAPASEADDDAAIYETRDDEQKHRWQRR >ONIVA02G08340.3 pep chromosome:AWHD00000000:2:5632978:5640188:1 gene:ONIVA02G08340 transcript:ONIVA02G08340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLRRGAPTSSITSPDCMTTIMSALRIVDRRCAIMIVVRPIDAMSRASCTMRSDSVSNALVASSRSRILGDFRIALAIAILCFCPPDIWTPRSPTCLATCSVPHSSWEMKLWALAALAAATTSSAGASSFPNRMFSLMLVANSAGSWLTSPICARSHFSRSLRMSTPSTVTSPADGS >ONIVA02G08340.4 pep chromosome:AWHD00000000:2:5640291:5640530:1 gene:ONIVA02G08340 transcript:ONIVA02G08340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGREGSSPVSLSESIFGTRRITSRILSAAKLASNKNFKLDRAEPSALQSHACQSEAFDV >ONIVA02G08340.5 pep chromosome:AWHD00000000:2:5640291:5641086:1 gene:ONIVA02G08340 transcript:ONIVA02G08340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDEAAAVPDIEGQDGEGDAVAADGDALGEPLLEPEPHRLLQRRRELSHGGPLRHERVHRPHRRYGLLSDGTSVGVLLPAPASEADDDAAIYETRDDEQKHRWQRR >ONIVA02G08340.6 pep chromosome:AWHD00000000:2:5632978:5640188:1 gene:ONIVA02G08340 transcript:ONIVA02G08340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLRRGAPTSWEMKLWALAALAAATTSSAGASSFPNRMFSLMLVANSAGSWLTSPICARSHFSRSLRMSTPSTVTSPADGS >ONIVA02G08330.1 pep chromosome:AWHD00000000:2:5618672:5624019:-1 gene:ONIVA02G08330 transcript:ONIVA02G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGAAEEDAEAARFRGVRKRPWGRYAAEIRDPAKKARAKTNFPLSLPHAQPQLHHHHHHHLTYPAAAVVAARPATSSLSSTVESFGTRPRPVLPPRPPPPPPILDGDCRSDCGSSASVVDDDCADAAASPSCRLPFQFDLNLPPGGGGGFGLGVDSNLLHEPNGAIMGKDKVLLVALVPTDPATDYPLVYPVVKCNMSRLWGNKYAAAPDLARHCLLTSTQEQSFPCA >ONIVA02G08330.2 pep chromosome:AWHD00000000:2:5618672:5623261:-1 gene:ONIVA02G08330 transcript:ONIVA02G08330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILPRFAFPLNLIPVLLRNIGNLIRVLLVALVPTDPATDYPLVYPVVKCNMSRLWGNKYAAAPDLARHCLLTSTQEQSFPCA >ONIVA02G08330.3 pep chromosome:AWHD00000000:2:5623339:5624019:-1 gene:ONIVA02G08330 transcript:ONIVA02G08330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGAAEEDAEAARFRGVRKRPWGRYAAEIRDPAKKARAKTNFPLSLPHAQPQLHHHHHHHLTYPAAAVVAARPATSSLSSTVESFGTRPRPVLPPRPPPPPPILDGDCRSDCGSSASVVDDDCADAAASPSCRLPFQFDLNLPPGGGGGFGRAYDDEELRLTALRL >ONIVA02G08320.1 pep chromosome:AWHD00000000:2:5606943:5613110:1 gene:ONIVA02G08320 transcript:ONIVA02G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRDLVVAVALLQLSVLLLLLSGEPAAAAGKSKVAAIIVFGDSTVDTGNNNYLSTLVRSDFAPYGRDLQLAGAGVSGSGGGNGRPTGRFSNGRLAVDFISEAFGLPPLVPAYLDPAVNMSSLGAGACFASAGAGYDNATSDLFSVLPLWKELDYFKEYAARLRSFRGDDDAAAAAAAATLSEALYIVSMGTNDFLENYYAVARGHAAEYSTAAAYGDYLLGVAEAFVRELHALGARKVDLNGLPPMGCLPLERATGGACTEEYNAVAERFNAGLQDMIARLNGELGGGARIVYGDVYRPVAAGGNVKAGCCGVTGVFEMGYMCGAGARSPLTCTDASKFAFWDAIHPTERLHRAIADAKMNTTLHLAAMASPLVRLLLLLLLLVAAASRGAASAAKAARVTAVIVFGDSTVDTGNNNQIGTPLRSDFPPYGRDMPGGARATGRFGNGRLAPDFMSESLGLPPLVPAYLDPAYGIADFARGVCFASAGTGLDNATAGVLSVIPLWKEVEYYREYQRRLRAHAGAAAARDVVRGALHVVSIGTNDFLENYYMLATGRFARYSVGEYEDYLVAAARAFLAAIHRLGARRVTFAGLSPMGCLPLERTAGALLGGGGGGCVEEYNRVAREYNGKVEAMVRSLRAELPRLKVAFIPVYDNMLDLITHPEKYGLENVEEGCCATGRFEMGFMCNDESPLTCDDASKYLFWDAFHPTEKVNRIMAQHTLDVCYQQGVL >ONIVA02G08320.2 pep chromosome:AWHD00000000:2:5606943:5612698:1 gene:ONIVA02G08320 transcript:ONIVA02G08320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRDLVVAVALLQLSVLLLLLSGEPAAAAGKSKVAAIIVFGDSTVDTGNNNYLSTLVRSDFAPYGRDLQLAGAGVSGSGGGNGRPTGRFSNGRLAVDFISEAFGLPPLVPAYLDPAVNMSSLGAGACFASAGAGYDNATSDLFSVLPLWKELDYFKEYAARLRSFRGDDDAAAAAAAATLSEALYIVSMGTNDFLENYYAVARGHAAEYSTAAAYGDYLLGVAEAFVRELHALGARKVDLNGLPPMGCLPLERATGGACTEEYNAVAERFNAGLQDMIARLNGELGGGARIVYGDVYRPVAAGGNVKAGCCGVTGVFEMGYMCGAGARSPLTCTDASKFAFWDAIHPTERLHRAIADAKMNTTLHLAAMASPLVRLLLLLLLLVAAASRGAASAAKAARVTAVIVFGDSTVDTGNNNQIGTPLRSDFPPYGRDMPGGARATGRFGNGRLAPDFMSESLGLPPLVPAYLDPAYGIADFARGVCFASAGTGLDNATAGVLSVIPLWKEVEYYREYQRRLRAHAGAAAARDVVRGALHVVSIGTNDFLENYYMLATGRFARYSVGEYEDYLVAAARAFLAAIHRLGARRVTFAGLSPMGCLPLERTAGALLGGGGGGCVEEYNRVAREYNGKVEAMVRSLRAELPRLKVAFIPVGLENVEEGCCATGRFEMGFMCNDESPLTCDDASKYLFWDAFHPTEKVNRIMAQHTLDVCYQQGVL >ONIVA02G08310.1 pep chromosome:AWHD00000000:2:5604208:5606130:-1 gene:ONIVA02G08310 transcript:ONIVA02G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQSQSSSLQRLNHVEQMIVRAVNLAGTVMEELGNATGPRTEGVAGHCREFMLAMKEIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKVEYVLEKLDAMQKNIEECSTTS >ONIVA02G08300.1 pep chromosome:AWHD00000000:2:5600830:5601819:-1 gene:ONIVA02G08300 transcript:ONIVA02G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPATTSLLSALLQLPLAPFSGRRSPPPPSVVHVAPPRSPTAAVAATKGYNVQIVVDENEGEESIFRRFRREVMRAGVLQEIKRRRRYESKKDERKRKAREAGRRNRRRRMMEEPRFPEDDADSEAARRARDDEKDNWEIDGIL >ONIVA02G08290.1 pep chromosome:AWHD00000000:2:5596839:5600104:1 gene:ONIVA02G08290 transcript:ONIVA02G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGCPRGKRGRVRASPHATATAGLDFLSALPEGILHHIMSFLNACQVIQTCVLSWRWHDLWRSVPRINANYGELSMSPIAAFTPDNEAAFKRFVNRLLERRDPAAVIHTFNLRYTISNPNNRDNDSADANRWISHALQNQASFLKIIVDAHELHLDHTVFTSCYLGRITLKNVFLDQGFFEQLEIGCPLLQDLLLYDCIIGDDEISSETLNVLTMYGCQFPTLQESCISAPNLTSLIMHQPENFVPVLDDVASLVTATVDLFPLIEFCAYDMRQLLWSLSGVRNLDLDYYACVMTIKNNPQLCPKFINLVDLTLGQWCLDSDFYVLIIFLQSSPKLEKLTLKLEKYYPHPYEHIIGDELTERSFTCEHLKIVEIICMEDDEPLAKIVEGLFVDNGMNSVRFDIKYWSQIPFQLPAFYRELYN >ONIVA02G08280.1 pep chromosome:AWHD00000000:2:5589898:5590233:-1 gene:ONIVA02G08280 transcript:ONIVA02G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRVWVLAAVLVACAIAVRSARAGHASGHAAAADEEDAAAAAPAPSKGHGCNPLKDKTCRHDDRHDPENQEEEGGFGVRLPSFPSLTGDDGDDDELPSFDTHMTILGH >ONIVA02G08270.1 pep chromosome:AWHD00000000:2:5585894:5587959:-1 gene:ONIVA02G08270 transcript:ONIVA02G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPDAAAGDIESALDDFARADADCIAAALACGRTSFSYRRLPEPRRLRLTVRKLDDSYFDVQIARSAAVWELKAAIEDVFAALYDETDNKAISWQHVWSHFCLCFKDEKLTDDKATLRAFGIRDGDELHFAQHLSVEYRPCKSLSLRRSRTSLDDFSARSRSLLDDLGEDDGDKFIDTRHSTSVLEEGLYVYQHDEECMEQSRKKGGFFSGWFSYSRLRGNSRTHSEDAIQSSCEKRDNRTRPKLGRWLSSKKTKGT >ONIVA02G08260.1 pep chromosome:AWHD00000000:2:5584912:5585230:1 gene:ONIVA02G08260 transcript:ONIVA02G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLCQSNTLTTPAPTTAIFFIFKIASFAAELGMGFRSSSSLSLMQLAVLVVVVLLLCTAVECSRPLRDHVGGGGGFSVGRLPVFAVARAGPSPRGPGH >ONIVA02G08250.1 pep chromosome:AWHD00000000:2:5584134:5584785:-1 gene:ONIVA02G08250 transcript:ONIVA02G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKVRSVPVPPDTKILAVGSGPIQRLVEFGTRRYQFYPYPVPHKELSNQIGRDGRAARTLEAAGFSIAVATSWIDAADSWR >ONIVA02G08240.1 pep chromosome:AWHD00000000:2:5565685:5567118:-1 gene:ONIVA02G08240 transcript:ONIVA02G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G300] MGEEAAAATVTAAAAAAASGGAPHLLLICFPGQGHVNPMLRLAKRIAAKGLVVTFSSTSAIGAKLVESSGVSVGGDGVPLGGGRIRFEFLEDGFDGSDLDELMRHLGTAGPAAFAELLARQEAAGRPVACVVGNPFIPWAVDVAAAAGIPSAVLWVQSCAVFSLYYHRVHGLVEFPPEDDLDARLTLPGLPAMSVADVPSFLLPSNPYMSLTEAIQQQIRTIDKATWVFVNSFTELERGVVDALRGVATSPPPPPLIPVGPLIELEGDAAVRGDMIRAADDCVGWLDEHPPRSVVYASLGSVVVLSAGEVAEMAHGLASTGRPFLWVVRPDSRALLPEGFLDAVAGRGMVVPWSPQEQVLVHPAVACFLTHCGWNSTLETVAAGVPVVAFPQWGDQCTDAMFLVDELGMGVRLRAPLRRGAVRDAVDAAVAGPDAGAMRSSAAAWSAAARAAVAAGGSSDRHVEAFVEEVKARAAKA >ONIVA02G08230.1 pep chromosome:AWHD00000000:2:5555952:5556323:-1 gene:ONIVA02G08230 transcript:ONIVA02G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLETAKKFKEIFLETATNSREITAEANKQADLLVNQIKHLTTDLPVPSIPAIPHIPSAVTPKPDAAELEHYGIIEDLREFVKGMTTLPTPSSRVDADNLLHATASRHRLHSVASRAVDAQLS >ONIVA02G08220.1 pep chromosome:AWHD00000000:2:5547218:5550795:-1 gene:ONIVA02G08220 transcript:ONIVA02G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAEKTVTGWAARDASGHLTPYNYTLRKTGPEDVVVKVLYCGICHTDIHQAKNHLGASKYPMVPGHEVVGEVVEVGPEVTKYSAGDVVGVGVIVGCCRECHPCKANVEQYCNKRIWSYNDVYTDGRPTQGGFASAMVVDQKFVVKIPAGLAPEQAAPLLCAGLTVYSPLKHFGLMSPGLRGGVLGLGGVGHMGVKVAKSMGHHVTVISSSARKRGEAMDDLGADAYLVSSDAAAMAAAGDSLDYIIDTVPVHHPLEPYLALLKLDGKLILMGVINQPLSFISPMVMLGRKAITGSFIGSMAETEEVLNFCVDKGLTSQIEVVKMDYVNQALERLERNDVRYRFVVDVAGSNIDDADAPPA >ONIVA02G08210.1 pep chromosome:AWHD00000000:2:5544638:5545543:1 gene:ONIVA02G08210 transcript:ONIVA02G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAECDRIKGPWSPEEDEALRRLVERHGARNWTAIGRGIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDAAILRAHARLGNRWAAIARLLPGRTDNAVKNHWNSSLKRKLATATDGGEIDRPCKRVSPGPGSPTGSERSELSHGGCGSGSGGGQVFRPVPRPGGFDAISAADVVRPPRRRDDNDDDGDDDPLTSLSLSLSLPGFHHDSARSHFQELPSPSRSPSPPPSPPAASPSAYPFNADLVSAMQEMIRTEVRNYMAGVGLRAGCGPGAVAESFMPQLVDGVMRAAAERVGVVTRQ >ONIVA02G08200.1 pep chromosome:AWHD00000000:2:5536657:5540685:1 gene:ONIVA02G08200 transcript:ONIVA02G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALLLPARPSAAALPTLLRRGAASASSARAPTPLPAGRLSGGVLSAVRRLTVAAAGSSSSSSGPLYPTPPPTEQDIERAKFEQVVKRLGKTARYFKNLGTLGFWSQLVSTVVSAGILSFSTVITGKVTAPFTFYATAAGIAAGFISVFWSFGYIRLSERLRKTANEPAKAPPRADVIRSLKNGIVLNMLGMGAAVLGMQATVGALVAKALTTSAVPYYQATSPGQSPVLALDVFLVQASANIILSHFLGLASSLELLRSVAIPPAEAAAA >ONIVA02G08190.1 pep chromosome:AWHD00000000:2:5533118:5536045:-1 gene:ONIVA02G08190 transcript:ONIVA02G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRWHSPIRSFQSAGPTTTTTSPLPLARCSPPATAKQPAAAVARRPVASPGLVVAGPPAAHSSMAAKWAQKTVVIPAQRRGCHLITPKILREIEGDLSGFKCGLAHLFLQHTSASLTINENYDSDVQADTETFLNRIVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCALTIPITDGHLNMGTWQGIWLCEHRDNASSRKIVITLNGV >ONIVA02G08180.1 pep chromosome:AWHD00000000:2:5524722:5529089:-1 gene:ONIVA02G08180 transcript:ONIVA02G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERYPHMFLILLLFHGAHAALKDPVQKWQTLGGQRPLVIARGGFSGLFPDSSQFSYQFAMSSSLHDVVLYCDLQLSSDGLGFCKTGLTLENSTLIAEAFPKRAKTYKVNGEEIHGWFALDFTADELYQNVTLIQDIFSRPSTFDGAMGMFTLEDLVGLKPPHLWVNVEYALFLQEHKLSAEDYILGLPKDFSVAYISSPEIGFLKNVGAKLKKSNTKLIFRFLREDVAEHTTKKTYGEILKDLKSVKAFASGILVPKEYIWPLGKDQYLRLPTSLVKDAHALGLEVFASGFANDVSMSYNYSFDPSAEYLQYIGNANFSVDGVITDFPPTASGAVACLANTKGNPLPPPGGDGGRPLIITHNGASGVYPGSTDLAYQQAVKDGADIIDCAVRMSKDGVAFCQPSADLSTSTTASTSFMTKISTVSEIQNKSGIFSFDLTWSEIQTLKPDLLGPYTQAGLKRNPAAKNAGKFVTLPEFLDLAKATNVSGIMVEMEHASFLAKRGLGLVDAVSGALANASYDKEGGHLPELMVVSDDTSVLAAFKKFPAFRRVLMVDETISDASAPSVEEIKQFATAVTVGRGSIAQVNGFFLTRFTDVADRMHAANLTVYVGVLRNEFMNLGFDYWADPIIEIATYAFHVMADGLITDDLSLNLSYAILPADAGALVHLAAPGALPPALPPAPVLEPGDVLDPPLPPRRRRWLPCAGRRRRFPVSATAAISWLPLTTILMDGIVLTHVFSAFFSPRILLCTV >ONIVA02G08180.2 pep chromosome:AWHD00000000:2:5524807:5529089:-1 gene:ONIVA02G08180 transcript:ONIVA02G08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERYPHMFLILLLFHGAHAALKDPVQKWQTLGGQRPLVIARGGFSGLFPDSSQFSYQFAMSSSLHDVVLYCDLQLSSDGLGFCKTGLTLENSTLIAEAFPKRAKTYKVNGEEIHGWFALDFTADELYQNVTLIQDIFSRPSTFDGAMGMFTLEDLVGLKPPHLWVNVEYALFLQEHKLSAEDYILGLPKDFSVAYISSPEIGFLKNVGAKLKKSNTKLIFRFLREDVAEHTTKKTYGEILKDLKSVKAFASGILVPKEYIWPLGKDQYLRLPTSLVKDAHALGLEVFASGFANDVSMSYNYSFDPSAEYLQYIGNANFSVDGVITDFPPTASGAVACLANTKGNPLPPPGGDGGRPLIITHNGASGVYPGSTDLAYQQAVKDGADIIDCAVRMSKDGVAFCQPSADLSTSTTASTSFMTKISTVSEIQNKSGIFSFDLTWSEIQTLKPDLLGPYTQAGLKRNPAAKNAGKFVTLPEFLDLAKATNVSGIMVEMEHASFLAKRGLGLVDAVSGALANASYDKEGGHLPELMVVSDDTSVLAAFKKFPAFRRVLMVDETISDASAPSVEEIKQFATAVTVGRGSIAQVNGFFLTRFTDVADRMHAANLTVYVGVLRNEFMNLGFDYWADPIIEIATYAFHVMADGLITDAGAGGCRVLAAAVASLFLLLLPSHGFH >ONIVA02G08170.1 pep chromosome:AWHD00000000:2:5520039:5524061:-1 gene:ONIVA02G08170 transcript:ONIVA02G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGRFGSPPPLPLPRLRRRATFSAGARRSAARGRITSSRNFSRMDSCAARASASGAESSSGGGEDASEIIDAMEVKSSSTAASFLAKVALALGVAATATVISLFMRQPSSGPSFSLPQIVDASAPPDAAATIGYTFSLFGKKVIVPEYTPGWVYFWLLMAAGFGLFISEEALNVWVGISLARSLCLDGTWQSLANSFSMNASYIISTVLWVYWQTRASEDISSKIGIGKEKALSISRAVQKYGNLIGFVERFSVGVRNPTGFLAGALGIPADCYFAGVCCGCLFTLPIQLAVGFFLRERPVVALASVAAAVGMWTVFPYAAAACTALFFYLSRRNSKSICKFISSPVLHSSPNEKKKRGCSLFFGLELKAIRHPPHIAILYLKSHDFRITEI >ONIVA02G08170.2 pep chromosome:AWHD00000000:2:5520039:5524061:-1 gene:ONIVA02G08170 transcript:ONIVA02G08170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGRFGSPPPLPLPRLRRRATFSAGARRSAARGRITSNYLRNCEIPHLIILANTNSSRNFSRMDSCAARASASGAESSSGGGEDASEIIDAMEVKSSSTAASFLAKVALALGVAATATVISLFMRQPSSGPSFSLPQIVDASAPPDAAATIGYTFSLFGKKVIVPEYTPGWVYFWLLMAAGFGLFISEEALNVWVGISLARSLCLDGTWQSLANSFSMNASYIISTVLWVYWQTRASEDISSKIGIGKEKALSISRAVQKYGNLIGFVERFSVGVRNPTGFLAGALGIPADCYFAGVCCGCLFTLPIQLAVGFFLRERPVVALASVAAAVGMWTVFPYAAAACTALFFYLSRRNSKSICKFISSPVLHSSPNEKKKRGCSLFFGLELKAIRHPPHIAILYLKSHDFRITEI >ONIVA02G08170.3 pep chromosome:AWHD00000000:2:5520039:5524061:-1 gene:ONIVA02G08170 transcript:ONIVA02G08170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGRFGSPPPLPLPRLRRRATFSAGARRSAARGRITSSRNFSRMDSCAARASASGAESSSGGGEDASEIIDAMEVKSSSTAASFLAKVALALGVAATATVISLFMRQPSSGPSFSLPQIVDASAPPDAAATIGYTFSLFGKKVGISLARSLCLDGTWQSLANSFSMNASYIISTVLWVYWQTRASEDISSKIGIGKEKALSISRAVQKYGNLIGFVERFSVGVRNPTGFLAGALGIPADCYFAGVCCGCLFTLPIQLAVGFFLRERPVVALASVAAAVGMWTVFPYAAAACTALFFYLSRRNSKSICKFISSPVLHSSPNEKKKRGCSLFFGLELKAIRHPPHIAILYLKSHDFRITEI >ONIVA02G08160.1 pep chromosome:AWHD00000000:2:5515907:5518750:-1 gene:ONIVA02G08160 transcript:ONIVA02G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLPGAASAAAAPLPRALLLLAALALFSLTFLSLRSLRPAAAPPSLAIGGSRPSSFARPSVYHSAEAFAAGYAEMERSFKVYMYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTGDPDKAHLFFVPISPHKMRGKGTSYENMTIIVKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRVVCSPSYNVDFIPHKDIALPQVLQPFALPEGGNDVENRTILGFWAGHRNSKIRVILAQVWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCVPVILSDYYDLPFNDILDWRKFAVVLKERDVYQLKSILKSISQEEFVELHKSLVQNISCPQVQKHFVWHSPPLPYDAFHMVMYELWLRHHVIKY >ONIVA02G08150.1 pep chromosome:AWHD00000000:2:5507652:5511725:1 gene:ONIVA02G08150 transcript:ONIVA02G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLALLLLVLAVAAARHALPAAGSDAHPGYDGAEDTCGVPAAAAAAGRMEEYGGGRILDITHAYRADLPAFAPGAVTGPVVRLRDSMANGTLYNLSELKMECHMGTHVDAPGHMNQGHFAAGLDVDKLDLDLLNGPTLLVDTPRNTNITAKAMESLNIPKGVRRVLFRTLNTDRKLMWKKGGDLSYVGFTEDGAQWLVDNTDIKLVGIDYLSVAAYDHLITAHVVFFKIPNIILVEGLKLDDVKAGIYMLHCLPLRLVGSEGSPIRCILIK >ONIVA02G08140.1 pep chromosome:AWHD00000000:2:5505196:5507503:1 gene:ONIVA02G08140 transcript:ONIVA02G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELAFYLLLVGLVAVPLLILLGSERRTAARTRLPPGPWALPVVGHLHHLAGGLPPHRAMRDLARRHGPLMLLRLGEVEAVVASSPDAAREIMRTHDVAFASRPVGPMSRLWFQGADGLVFAPYGEAWRRLRRVCTQELLSHRRVQSFRPVREDELGRLLRAVDAAAAAGTAVNLTAMMSTYVADSTVRAIIGSRRLKDRDAFLRMLDELFTIMPGMSLPDLFPSSRLAMLVSRAPGRIMRYRRRMRRIMDSIIHEHQERRAAADAAGDDDDDDDEDLVDVLLRLQKEVGAQYPLTTENIKTVMMDIFGAASETSSTTLEWVMAELMRSPSAMRKAQDEVRRALAAGAAGHDTVTEDILPNLNYLKLVVKETLRLHPPAPLLAPRRCDSPREVLVLGHDVPAGATVLVNAWAIGRDTAAWGGAAEEFSPERFERCERDFRGADFELIPFGAGRRMCPGMAFGLVHVELALAALLFHFDWSLPGGMAADELDMAESSGLTTRRRLPLLVVARPHAALPTKYCN >ONIVA02G08130.1 pep chromosome:AWHD00000000:2:5500492:5504795:1 gene:ONIVA02G08130 transcript:ONIVA02G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAAMAGGAMPLVVLLLATIPLLFFTIKRSAQRRGGGGGGEGRLPPGPWALPVIGHLHHLAGDLPHRALSALARRHGALMLLRLGEVQAVVASSPDAAREIMRTHDAAFASRPLSPMQQLAYGRDAEGVIFAPYGDGWRHLRKICTAELLSARRVQSFRPVREAELVRLLRSVAEATSSSSSASLVNLTELISAFVADSTVRAIIGSRFEHRDAYLRMLQDGLKIVPGMTLPDLFPSSRLALFLSRVPGRIEHHRQGMQRFIDAIIVEHQEKRAAAAANDDDDEDEDLLDVLLKLQKEMGSQHPLTTANIKTVMLDMFGAGSESSATVLQWTMAELMRNPRVMQKAQDEVRRALAGHDKVTEPNLTNLPYLRLVIKETLRLHPPAPLLLPRKCGSTCKILGFDVPEGVMVIVNAWAIGRDPTYWDKPEEFVPERFEHNGRDFKGMDFEFIPFGAGRRICPGITFGMAHVELVLSALLYHFDWELPQGMAAKDLDMTEDFGVTTQRRSNLLVRPIHRVSVPVE >ONIVA02G08120.1 pep chromosome:AWHD00000000:2:5496932:5499240:1 gene:ONIVA02G08120 transcript:ONIVA02G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHANTGPSRGPRGPNLRVQGGGEKQQSPTSSASSRPHPASPPQTLSPPASPLLHGGGGHHRTRRSSPAASGTTPSNMDSGSESDSAPEELTAVQGVEKHDEISKVEKDSAIRVSQQEKERRRRWAQRRTSSKPDKKEPLEVEDKDIKQKAENEEDEESEETHTMPGMLPTNVIEMLAAREKQTFSSDSEEEITNQKVQKRKKRLKSSGPETILLKDVRSTQHVKNALAFLEQRKNQVPRSNAVLKNANKALRLLSSKGNFLS >ONIVA02G08110.1 pep chromosome:AWHD00000000:2:5484803:5493415:-1 gene:ONIVA02G08110 transcript:ONIVA02G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASCCKGVDGVLPGRRKKKKETTWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAETEFAIEVEVLATVRHKSLLSLRGYCAEGQERLIVYDYMPNLSLHSHLHGQHAAECHLGWERRMKIAIDSAEGIAYLHHQATPHIIHRDIKSSNVLLDKNFQARVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKASESCDVFSFGVLLLELASGKRPVEKLNPTTKLTITEWALPLARDKKFKEIADPKLKDVFVEAELKRMVLVGLACSQNKQEQRPIMSEVVELLKGESAEKLSNLENDEMFKPDLTSSFQDSSHSSHPDSSDCITEEKNSKADTIDEAVDSSETVPSAR >ONIVA02G08100.1 pep chromosome:AWHD00000000:2:5479730:5482617:-1 gene:ONIVA02G08100 transcript:ONIVA02G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein [Source:Projected from Arabidopsis thaliana (AT4G32190) TAIR;Acc:AT4G32190] MPPLSPSSSPPATAAAALRCGSPSCRPVTHVLFRQKLSFMVSFQAQHMRCAPHLIKSVVKGIRANITDGENGATEPARELLERLFAKTQRLDTSASQDSELSMSIDVLKSEFEAALSTLRKKERDLRDAENRVSVDQVRLNRAKKDLDQRERGINRAYARQQEMERSLGKASRDLVLQVRQIDNLKLLVDEQDKKIASSQDLLSQKVTEVEKLKQDMLKKNEEVTLMHSEIKSKEQLLLEANQAAEQQEATIKELRSEIKRKEIDFSRSNELRKANEQKLKITEQELERQNMGWLAAQKELKEVAQLACKDMDGIKDTVSDFKRVRSLLDAVRSELIASKEAFSSSRKQIEDQAVQMQKQVQELSGQRLLLSSFNQNLEAARLEIQGKAKELNAAQSRCHELESLLLQEKEKVESLEAVLTKERESLEEKTKEVELLQKALVQKENEHSNSLKLVEIKESELLEARNEVQDMKSKVESIQIAVQEKDSELSETQRRLAEVNSEVVELKQLLDSKEDQLVQVRTELQDKEQHIQTLQNKLDSMKFSCSQAESVVQKIAELTGNLASSVEGEEMDIYALLDDEISSTGTALKSNLHKHNQLEADIEMLKESLHQKDMDLRAAHEALDAKDQELKAVMRRWDVKEEVDKLEGFLKDPSDIKRPSDFSVHMGLQNLQTEAAEVEALAATTTLKKLADMAKGFLRSGKTDSGINLVASPSVNSTRIVSKTKPNKEMDMILDAEKEIAGLFSLTEQLITEAGIDVAHQA >ONIVA02G08090.1 pep chromosome:AWHD00000000:2:5476351:5478644:1 gene:ONIVA02G08090 transcript:ONIVA02G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVLACVGILVAFTPLFLLAVLPLKLTNGGDGLPPGPWRLPVIGSMHHLMGESLVHRAMADLARRLDAPLMYLKLGEVPVVLASSPCAAREIMRVHDVAFASRPLSPTVRRMRPPGDGSSARSASSSCSARAASGRSAASGRRRWPASWARSGGSETSATVIQWAMSELMKNPRVMRKVQAELRDKLAGKPRVTEDDLSDLKDPNYWDDAEVFRLERFANSTIDFKGMDMEFIPFGAGRRMCPGLAFAEAIMDLLFSTLLFHFDWELPCGMTASELDMIEEMALTVRRKNDLHLRPILRVPQTQTSSALLFCERAQTSSVFLF >ONIVA02G08080.1 pep chromosome:AWHD00000000:2:5467673:5468488:-1 gene:ONIVA02G08080 transcript:ONIVA02G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSCSPLVAVLVALLCRGDIPAPDCALCASAAARQLAGACRGRADARVWYDYCFARYDDADFVGLPDTGYALILLNTQNATDPEAFEKAQRKVMARVAADAGDAGGGGLARETARFKDGVTIYGLGWCTRDITAADCGLCVAQAVAEMPNYCRFRRGCRVLYSSCMARYETYPFFFPLDGGQSADASASAAGDYDRVVLNP >ONIVA02G08070.1 pep chromosome:AWHD00000000:2:5464911:5467227:-1 gene:ONIVA02G08070 transcript:ONIVA02G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDEVQPTTTGPSLVRRPKTKRQRLSEVPLGREFTSAAAAAASLRLERRSQRRRRRIAGGSLISSPPIFRRRRRSSAGGTRVNLLSDHTKERGTAVPMGMKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTLKDKWCILKEATRLYGASWVRDIGPDLRPNDYKKVKEEPDIKTEEGSSEPTTVEDLLGALKGGAEKAKPALQRMYMARASNYTDALKNYVESYKEGLKEHLEEEAMGKGHRQGNDATKPPQSPSS >ONIVA02G08060.1 pep chromosome:AWHD00000000:2:5462172:5463424:1 gene:ONIVA02G08060 transcript:ONIVA02G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDGGGDEWSGLEPFFYDEAAARADGERALERQREKERKEAEHQAWREACDAARDKILEYDPKHGCRTYTRLWFCSSILFNLDEESPIGPLCRTVDRGQPPLHRAGDSRLRMSLNVLAVNIVSSDVGYPVLVYGTVIARDDETLVLTGPTRSIEVSDSVFFEVNLKLKEEEDDGDVVVDDREFSKGLIEFRSLSMPRGVEDVVVGSCSTLDSRLSTVELSYAYIGGAVEAAVDVTLRLPAAAGAGRHRRCFHGQITACSSSIPDASIVLYDSSKVNATSNSSAGGAAGDVAVDLARRVMAVRAADELVLTLIAAAGDADCHHYRTNVEFTPRICGSESLEVSICGIKLLIKVSWSAF >ONIVA02G08050.1 pep chromosome:AWHD00000000:2:5421148:5422980:-1 gene:ONIVA02G08050 transcript:ONIVA02G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSCGDLGRVSEVISDGTSSGSADGSKSLPEKHSALADATSGVAAENADNLSQAGKYMDFSSTTSLGVKKGFQKCMTFPPSSGEAQQQQGSCCDADKNPKDVPTYERSVSLPPTLKIISAMKGSRQKNGMASPTESRHVKWAPDVYDPPVTSVCHSVNNSYQRRSKSRKKDKNKQKQKQKQKGRSKKNHQNAIQSSAVLQAPGEFDRLKDAETTSSNSAPDDLNKHETDILDYGISSQDAKCGSSFLRESAAKMHFSTAEAS >ONIVA02G08050.2 pep chromosome:AWHD00000000:2:5421148:5422980:-1 gene:ONIVA02G08050 transcript:ONIVA02G08050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSCGDLGRVSEVISDGTSSGSADGSKSLPEKHSALADATSGVAAENADNLSQAGKYMDFSSTTSLGVKKGFQKCMTFPPSSGEAQQQQGSCCDADKNPKDVPTYERSVSLPPTLKIISAMKGSRQKNGMASPTESRHVKWAPDVYDPPVTSVCHSVNNSYQRRSKSRKKDKNKQKQKQKQKGRSKKNHQNAIQSSAVLQAPGLKDAETTSSNSAPDDLNKHETDILDYGISSQDAKCGSSFLRESAAKMHFSTAEAS >ONIVA02G08040.1 pep chromosome:AWHD00000000:2:5418008:5423677:1 gene:ONIVA02G08040 transcript:ONIVA02G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVDDECKLKFLELKAKRTYRFIIYKIDEKKKMVVVEKVGEPVLNYDDFAASLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEVGLDVIRGRAN >ONIVA02G08040.2 pep chromosome:AWHD00000000:2:5418071:5423677:1 gene:ONIVA02G08040 transcript:ONIVA02G08040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTIYAIFTLMFGPQSRYQPFVLHKHYYHCLMWTCEVFITYQAVIYGHLASRACDQHSRSCHGIQFVRQPLSCQAFAMNCVLIQIEYFSQPITANLTINSHGQIIYCFLHPPLFLISCSHIMYFHKCINCNINICNEQC >ONIVA02G08030.1 pep chromosome:AWHD00000000:2:5413225:5417832:1 gene:ONIVA02G08030 transcript:ONIVA02G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/H+ exchanger 20 [Source:Projected from Arabidopsis thaliana (AT3G53720) TAIR;Acc:AT3G53720] MGMSSPVTEAEMATVKTSSNGVWQGDDPLHFAFPLLILQALLILLLSRLLALLLRPLRQPKVIAEIVAGILLGPSALGRNKAYLRALFPPWSAPVLESVASLGLLFFLFLVGLELDLRSVRRSGRRAFAIAAAGISLPFACGVGVAFVLRGELPGAARAGYAPFLVFMGVALSITAFPVLARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGSGDHRSPIVSLWVLLSGAAFVTIWMVFVKPAMAWVARRSDGQGGGEVWVAATLAGVLASGLATDMIGIHAIFGAFVFGLTVPKEGEFAGRVTERVEDLVSELLLPLYFASSGLKTDVATIRGGGAWGMLALVIGTACAGKIVGTFAVAMACGMSAREALVLGVVMNTKGLVELIVLNIGRERKVLDEETFAILVLMALVTTFITTPTVMAIYKPARNAGRRRLHHRKLHGPSAPSSPSAAATAGAKELRVLACIHGGHDVPALINLIETIRGHTQPRRLVKLYILRMVELTERTSSILMARAARRNGVPFLRPRRGGGDQVDVAFDTYAQLGHVHVRPMTAVSALHTIHDDVAAVAEDKRVSLVVLPFHKRHPGHGHGDDLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSEQVAHGVCVVFFGGPDDREALELAGRMAEHPGVQVTVVRFVDGKEGSEEHAEVTLRPSNTKNADKSYTFSTAIVDTHKEKELDEAAVAEFRQRMGAMVRYEERVVVGNVIEEVVSIGKSREYGLVVVGKGRLPSAMVAELAVRAAEHPELGPIGDALASAGHGVTSSVLVVQQHDMSNADELPVSIVVDGHAHDDGELGGNKDMAEP >ONIVA02G08020.1 pep chromosome:AWHD00000000:2:5404696:5408353:1 gene:ONIVA02G08020 transcript:ONIVA02G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G39570) TAIR;Acc:AT2G39570] MPPVGEVAAAEARSGMVLGGGAAAAAVGGGEDAVVMQVAGAEGQDSVITINCPDQAGLGCDLCRTILEFGLRITRGDVSTDGQWCFVVFWVVPRTPSIKVRWANLKNRLMSMCPSNYPMTFYPEITQPGPSQFYLLKLFSADRKGLLHDVTHILSELELIIHRVKVSTTPDGRVIDLFFITDGMELLHTKERQEETCSMLIATLGPSISCEILLAEGFQQGFSSLPPTISEELFRLELADGDNCSRSICAEMKRMQKATINFDNTLSPAHTLLQINCADQKGLLYDILRTMKDCSIQVTYGRFWSDKKGFREVDLFIKQADGKKIIDPEKQDVLSSRLRSEMLHPLRVMIVNRGPDVELLVANPVELSGKGRPRVFYDATFALKALGICIFSAEIGRQAASERQWEVYRFLLDDSSEFPLSNSLVNRNRIVDWSLEP >ONIVA02G08020.2 pep chromosome:AWHD00000000:2:5404696:5408795:1 gene:ONIVA02G08020 transcript:ONIVA02G08020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G39570) TAIR;Acc:AT2G39570] MPPVGEVAAAEARSGMVLGGGAAAAAVGGGEDAVVMQVAGAEGQDSVITINCPDQAGLGCDLCRTILEFGLRITRGDVSTDGQWCFVVFWVVPRTPSIKVRWANLKNRLMSMCPSNYPMTFYPEITQPGPSQFYLLKLFSADRKGLLHDVTHILSELELIIHRVKVSTTPDGRVIDLFFITDGMELLHTKERQEETCSMLIATLGPSISCEILLAEGFQQGFSSLPPTISEELFRLELADGDNCSRSICAEMKRMQKATINFDNTLSPAHTLLQINCADQKGLLYDILRTMKDCSIQVTYGRFWSDKKGFREVDLFIKQADGKKIIDPEKQDVLSSRLRSEMLHPLRVMIVNRGPDVELLVANPVELSGKGRPRVFYDATFALKALGICIFSAEIGRQAASERQWEVYRFLLDDSSEFPLSNSLVNRNRIVDWVRKTLLGCYN >ONIVA02G08010.1 pep chromosome:AWHD00000000:2:5399281:5403054:1 gene:ONIVA02G08010 transcript:ONIVA02G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLIILGRTEKCRYEEAGGASEVRTSFMPPAVFIAVLIAEEQQEAAMLGEAPFQSKVPADDNKVGRLMHPENCKAVRGEKER >ONIVA02G08000.1 pep chromosome:AWHD00000000:2:5396819:5398804:1 gene:ONIVA02G08000 transcript:ONIVA02G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSCIKRLPRFALRRGVSDAFLRPLLRTAFLLRRRRRLSTAESTHPPRRGRFRRDPDSDESKLVSLARQRGAAAARAMFDGMPRRDDAVAYAAMVGIHLWDRDLPHAEALYRAAPPDCRGIHLDTVMLDGYVKAGQVDRARRLFDGMAVKNVVAWTCMVSGYCRAGLVDEARRLFDLMPYRNVFSWTTMVQGYAHNGMLREAREMFDKMPERNVVAWTVMVKAYVDNGCIQEALELFNRMPQMNSYSWNAMATGLMSAGKVDDAVQLFDKMPHKNVVSWTIMVTGLAQNGFVSRAREFFDRMPKKDIPAWNSMITAYTNDGQVNDAQRLFDIMPSKNLVTWNIIIDGYSMNNLKDEALRLFLLMLRSAVSPDSTTLISVLVVSESTMEVRQIHGLSTKLGYQPETNLGNTLVSMYSRSGDLSSAWLAFRRLNEKDAITWTSMIQALANHGCAPCALQGFAQMLRCGYKPSSTTFTAVLSACNHVGLVEKGRKIFKSISHVYGLEPTIEHYSCLVDLLGRAGYVREAKEVVDGMQRDMCDEAILGTLLGSCMTHNEVEVGRAVGEDLVKIDPSGSGGYTLLANVFASGGMWNEVASVWKIMKGSKVKKTPGFSQIEVNARNHVFYSRDQMHSQRTEIYEMLNSRLVPQMKGSSSLGTDFY >ONIVA02G07990.1 pep chromosome:AWHD00000000:2:5383702:5390478:1 gene:ONIVA02G07990 transcript:ONIVA02G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSCFAAAAAAVLVVLSLARMLLAPRREWDGLNLPPSPSRLPFIGSFHLLRRSPLVHRALADVVRQLGAPPLMYMEIGEVPAIVVSCADAAREIMKTHDINFSSRPWPPTVQKLRAQGKGIFFEPYGALWRQLRKICIVKLLSVRRVSSFHGVREEEAGRLVAAVAATPPGQAVNLTERIKVAIADTTMRPMIGERFERREDFLEVLPEIVKLASGFSLDDLFPSSWLAGAIGGSRRRGEAVNRASYELVDSAFRQRQQQKEAMAAPPPDIAKEEEDDLMDELIRIHKEGSLEVPLTAGNLKAVILELFCAGSETSSNAIQWAMSELVRNPRVMEKAQNEVRSILKGKPTVTEANMVDLTYVKMIVKETHRLHPVLPLLTPRVCQQTCQIMGYDVPQGSVIFINSWTIMRDPKHWDDAETFKPERFEDSEIDLKGTNYEFTPYGAGRRICPGLALAQVSIEFILATLLYHFNWELPNGAAPKELDMTEDMGLTIRRKNDLYLLPTLRLARSGDGGGGGGGGVRLPPGPWRLPVIGSLHHVVGDRLLHRAMARIARRLGDAPLVYLQLGEVPVVVASSPGAAREVTRTHDLAFADRALNPTARRLRPGGAGVALAPYGALWRQLRKICVVELLSARRVRSFRRVREEEAGRLVGALAAAAASPGEEAAVNFTERIAEAVSDAALRAMIGDRFERRDEFLQELTEQMKLLGGFSLDDLFPSSWLASAIGGRARRAEANSRKLYELMDCAIRQHQQQRAEAAVVDGGAGVEDDKNQDLIDVLLNIQKQGELETPLTMEQIKAVILDLFSGGSETSATTLQWAMSELIKNPMVMQKTQAELRDKLRRKPTVTEDDLSGLKYVKLIIKETLRLHPVVPLLVARECRESCKVMGYDVPKGTTVFVNVWAIGRDLKYWDDAEEFRPERFEHNTVDFKGVDLEFIPFGAGRRICPGMAFAEAIMELLLAALLYHFDWELPNGMAASELDLTEEMGITVRRKNDLHLCPILRVL >ONIVA02G07980.1 pep chromosome:AWHD00000000:2:5373551:5374582:1 gene:ONIVA02G07980 transcript:ONIVA02G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lysophospholipase 2 [Source:Projected from Arabidopsis thaliana (AT1G52760) TAIR;Acc:AT1G52760] MAPPPPPPTATKYFWGDSPEPDEYYASLGLRHAEAYFQSPCGRLFTHSFHPLSAASDGDVKGVVFMSHGYGSDSSWMFQNIAISYARWGYAVFCADLLGHGRSDGVRGYLGDTEAVARAALSFFLSVRRSGAYASLPAFLFGESMGGATTLLAYLRSPPDAGWAGIILSAPLLVFPDDMYPSRVRLFLYGLLFGLADTWAVMPDKRMVGRSIRDPAKLRVIASNPRLYRGSPRVGTMRELARVTALLRESFGEVAAPFLVVHGTDDGVTSPEGSRMLYERAASEDKSLILYDGMYHSLIQGESDENRDRVLADMRAWIDERVRRYGAGAGAAAADGHAEAPAA >ONIVA02G07970.1 pep chromosome:AWHD00000000:2:5360893:5363715:-1 gene:ONIVA02G07970 transcript:ONIVA02G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G2W7] MESGLVASHRLRVPSAAAHHRHHPAAPPHLLRQRRGSVAATPLRLGLHLPTPTPLRLPAALPLRPSLPPLRAAAASAAAPEPVGSASPKFLGVETKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLADVLSKEALFYTVIFPFIAFFGAFGYLLYPMRDAIHPTALADRLLAALGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRQNLGPGVDGWAISLRGMMSIVVLLGLVIAGIYWGVNKFVIDKSAAPVVERKKKDKPKLSMGESLKVLVSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQYPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMATLGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQELEKEKMLKAKTVETTAQVVGSGNGSLQETLASENSANGSAIKQSQEPESTTSEKSGQQSQ >ONIVA02G07960.1 pep chromosome:AWHD00000000:2:5354452:5358487:-1 gene:ONIVA02G07960 transcript:ONIVA02G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G19960) TAIR;Acc:AT5G19960] MSAAVNEETSVYVGGLPYEANEDMLRDAFGRFGTIVSVKVINDQRVRGKCYGFVTFTHADAAQHAISGMDGKRINRRVVRVNEVRTRGAREFGREGFRREPGSARDAYWDRRDRERSYDRDRDPYHDRDSDRSRDRDRDRFYEPRGFDQEIDYPMDQDHGDERRRDYDRAAEMHNVDSDNDREKENSKDYDSEREKEKEQRSRKRFSRPKDHDSRDLSVSSDDLHSDAKRQLNKAIQMREDLESEVSQIKDKVAAKEQHIADLQKRSQKLEDELSAARKVSSERQLAVTKLYKCFLQLQDYNDRVKMSEKELQSLIDDAMGEVDIGEEATTKDGSMYENGVA >ONIVA02G07950.1 pep chromosome:AWHD00000000:2:5345323:5351733:-1 gene:ONIVA02G07950 transcript:ONIVA02G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVRMGSVASGGGSVRRTASSWRGTSGRSDAFGRSVREEDDEEALKWAAIEKLPTYDRMRKGILTAGGVEEVDIGGLGLQERRNLIERLVRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFENLSIDAEAYVGNRGIPTFTNFFSNKIMDVLSAMRIVSSGKRPISILHDISGIIRPGRMSLLLGPPGSGKTSLLLALAGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYDLFDDIVLLSEGQIVYQGPRENILEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSEAFKEFHVGRNLGSELRVPFDRTRNHPAALTTSRYGISKMELTKACFSREWLLMKRNSFVYIFKILQLIILGSIGMTVFLRTKMHRRSVEDGAIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWICMTYYVMGFDPNIERFFRHYVLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLILLVLGGFLISRENIKKWWIWGYWSSPLMYAQNAIAVNEFLGHSWNKVVDPTQSNDTLGVQVLKVRGIFVDANWYWIGVGALLGYIMLFNILFILFLEWLDPLGKGQAVVSEEELREKHVNRTGENVELLTLGTDSQNSPSDGRGEITGADTRKRGMVLPFTPLSITFDNIRYSVDMPQEMKDKGVTEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDSEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLINYFEGIQGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLYQRNKTLISELSTPPPGSTDLHFPTQFSQPFFTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKINKRLDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFDWTVEKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYCIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQYGDITNSTLEDGEVVQDYIRRYFGFRHDYLGYVATAVVGFAALFAFVFAFSIKVFNFQRR >ONIVA02G07950.2 pep chromosome:AWHD00000000:2:5345323:5351733:-1 gene:ONIVA02G07950 transcript:ONIVA02G07950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVRMGSVASGGGSVRRTASSWRGTSGRSDAFGRSVREEDDEEALKWAAIEKLPTYDRMRKGILTAGGVEEVDIGGLGLQERRNLIERLVRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFENLSIDAEAYVGNRGIPTFTNFFSNKIMDVLSAMRIVSSGKRPISILHDISGIIRPGRMSLLLGPPGSGKTSLLLALAGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYDLFDDIVLLSEGQIVYQGPRENILEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSEAFKEFHVGRNLGSELRVPFDRTRNHPAALTTSRYGISKMELTKACFSREWLLMKRNSFVYIFKILQVHLYQLIILGSIGMTVFLRTKMHRRSVEDGAIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWICMTYYVMGFDPNIERFFRHYVLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLILLVLGGFLISRENIKKWWIWGYWSSPLMYAQNAIAVNEFLGHSWNKVVDPTQSNDTLGVQVLKVRGIFVDANWYWIGVGALLGYIMLFNILFILFLEWLDPLGKGQAVVSEEELREKHVNRTGENVELLTLGTDSQNSPSDGRGEITGADTRKRGMVLPFTPLSITFDNIRYSVDMPQEMKDKGVTEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDSEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLINYFEGIQGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLYQRNKTLISELSTPPPGSTDLHFPTQFSQPFFTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKINKRLDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFDWTVEKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYCIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQYGDITNSTLEDGEVVQDYIRRYFGFRHDYLGYVATAVVGFAALFAFVFAFSIKVFNFQRR >ONIVA02G07950.3 pep chromosome:AWHD00000000:2:5345323:5351733:-1 gene:ONIVA02G07950 transcript:ONIVA02G07950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVRMGSVASGGGSVRRTASSWRGTSGRSDAFGRSVREEDDEEALKWAAIEKLPTYDRMRKGILTAGGVEEVDIGGLGLQERRNLIERLVRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFENLSIDAEAYVGNRGIPTFTNFFSNKIMDVLSAMRIVSSGKRPISILHDISGIIRPGRMSLLLGPPGSGKTSLLLALAGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYDLFDDIVLLSEGQIVYQGPRENILEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSEAFKEFHVGRNLGSELRVPFDRTRNHPAALTTSRYGISKMELTKACFSREWLLMKRNSFVYIFKILQLIILGSIGMTVFLRTKMHRRSVEDGAIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWICMTYYVMGFDPNIERFFRHYVLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLILLVLGGFLISRENIKKWWIWGYWSSPLMYAQNAIAVNEFLGHSWNKVVDPTQSNDTLGVQVLKVRGIFVDANWYWIGVGALLGYIMLFNILFILFLEWLDPLGKGQAVVSEEELREKHVNRTGENVELLTLGTDSQNSPSDGRGEITGADTRKRGMVLPFTPLSITFDNIRYSVDMPQEMKDKGVTEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDSEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLINYFEGIQGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLYQRNKTLISELSTPPPGSTDLHFPTQFSQPFFTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKINKRLDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFDWTRIPIWWRWYSWACPVAWTLYGLVASQYGDITNSTLEDGEVVQDYIRRYFGFRHDYLGYVATAVVGFAALFAFVFAFSIKVFNFQRR >ONIVA02G07940.1 pep chromosome:AWHD00000000:2:5343565:5345415:1 gene:ONIVA02G07940 transcript:ONIVA02G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRRPAHGRIVAAAAARVTPAALGRRGSGSIPRLIFLRVYVAIANYQSIVATLMEKAKTNAKRAANPTTAVAT >ONIVA02G07930.1 pep chromosome:AWHD00000000:2:5341674:5342733:1 gene:ONIVA02G07930 transcript:ONIVA02G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNAMKRRASSMPACSCCAAASAATKPASTASSASAAAARRTTDRDQDRFPGFVSELFVEIIKG >ONIVA02G07920.1 pep chromosome:AWHD00000000:2:5331418:5336368:-1 gene:ONIVA02G07920 transcript:ONIVA02G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQPLPSSNIQPNQAEYPSMLYRSLPADWGAQPMFSMGASVPISSYFIVPMSQQSVQIGASRPETPRSSGAHSLSRVSLRPPQQVLSIRTSLPTMVGSQHSPAGKKLQPTIASPKVQILKSTQSQSSNKRSAQKETPSKVQTQQLESVRSKFRESLSAALRTDSDQSKNQSSDVQPDGSADQKKEMDVDADQVATTSQGMSAAKSEVLTSVGAERRAEDEKLNSDLVSNIATPLNADIQQQPENASLQDEMLGQYTVVADELLQGHGLCWVSDFDAGVPEPATQPNLKRSRASDIDPVVADTLSESESKRMKSANDEEAIDKDSIIQKADDLAVRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDITPDRLCSMTAEELASKELSEWRLAKAEELAQMVVLPSTEVDVRRLVRKTHKGEFQVEVEETDGISVEVELGELMVDDLKDTENLPPIPSLDEFMQGLDSEPPFENLSAGTPEEDSDDRDEADTTAESAELPEEEVKVSAAEKIVSEADLPSSQDKSESKLESPKDEVGSNLGPVEQREGKLIKSSPDSVEVKQTTTENVLNRDSTVHNMATTLPMIRESIWEGAIQLTMSSLTNVVAIFKSGEKPPVKEWRSFVEIKGRVKLSAFQEFVEQLPKSRSRAIMVTELCWKEGSHESGRQHLLQTIDSYISDERVGLAEPADGIELYLCPSQGKTVEILSRHLPKEHLESLAVSASSIIGVIVWRRPNVPRMPAHPRHDGSRRPSILKKPQVTGSTPGPRPSLPMSSHGAPPGFPVQRHRHEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTTQAYKGRQHVPLTSARPVEQMRELVQKYGKRSSVQARPWDDDDDDDIPEWNPNQLVTQQQPTRQLPVPPTPQQPLPPPPPPPSLQQLHHPYQHQQQQQQQQLYHHQNALQPQIPSNSIPQAYLRTQQPLQQLPLMQQQLQPAQAWQQTNAWWPAQGGAAAAAPASMVQQSQYGVIPNSNNSAQSYGSGSVGGMAWRRR >ONIVA02G07920.2 pep chromosome:AWHD00000000:2:5331418:5336410:-1 gene:ONIVA02G07920 transcript:ONIVA02G07920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNQGQTPMSTNMGSQPLPSSNIQPNQAEYPSMLYRSLPADWGAQPMFSMGASVPISSYFIVPMSQQSVQIGASRPETPRSSGAHSLSRVSLRPPQQVLSIRTSLPTMVGSQHSPAGKKLQPTIASPKVQILKSTQSQSSNKRSAQKETPSKVQTQQLESVRSKFRESLSAALRTDSDQSKNQSSDVQPDGSADQKKEMDVDADQVATTSQGMSAAKSEVLTSVGAERRAEDEKLNSDLVSNIATPLNADIQQQPENASLQDEMLGQYTVVADELLQGHGLCWVSDFDAGVPEPATQPNLKRSRASDIDPVVADTLSESESKRMKSANDEEAIDKDSIIQKADDLAVRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDITPDRLCSMTAEELASKELSEWRLAKAEELAQMVVLPSTEVDVRRLVRKTHKGEFQVEVEETDGISVEVELGELMVDDLKDTENLPPIPSLDEFMQGLDSEPPFENLSAGTPEEDSDDRDEADTTAESAELPEEEVKVSAAEKIVSEADLPSSQDKSESKLESPKDEVGSNLGPVEQREGKLIKSSPDSVEVKQTTTENVLNRDSTVHNMATTLPMIRESIWEGAIQLTMSSLTNVVAIFKSGEKPPVKEWRSFVEIKGRVKLSAFQEFVEQLPKSRSRAIMVTELCWKEGSHESGRQHLLQTIDSYISDERVGLAEPADGIELYLCPSQGKTVEILSRHLPKEHLESLAVSASSIIGVIVWRRPNVPRMPAHPRHDGSRRPSILKKPQVTGSTPGPRPSLPMSSHGAPPGFPVQRHRHEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTTQAYKGRQHVPLTSARPVEQMRELVQKYGKRSSVQARPWDDDDDDDIPEWNPNQLVTQQQPTRQLPVPPTPQQPLPPPPPPPSLQQLHHPYQHQQQQQQQQLYHHQNALQPQIPSNSIPQAYLRTQQPLQQLPLMQQQLQPAQAWQQTNAWWPAQGGAAAAAPASMVQQSQYGVIPNSNNSAQSYGSGSVGGMAWRRR >ONIVA02G07910.1 pep chromosome:AWHD00000000:2:5329336:5330108:-1 gene:ONIVA02G07910 transcript:ONIVA02G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIPARTMERWRIQI >ONIVA02G07900.1 pep chromosome:AWHD00000000:2:5325142:5327757:1 gene:ONIVA02G07900 transcript:ONIVA02G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDELIPGLPEEVARECLLRVGFDQLPAARSTSRRWKAEVESPFYHRLRRARGMARPLLALAQAEPPLAAAGPANKYAGLSTSYRLVLHDPVTGGWAALPPLPGAGGLPLFCQLASVAACGRERRRLVVVGGWDPETWAPTDAVHVYDFLSGSWRRGAAMPGPRRSFFACAAVGRWVFVAGGHDEEKNALRSAVAYDAEADAWVPLPDMAAERDEARGVCVGGRFVAVGGYPTEAQGRFAGSAEAFDPAAWAWGPVQERVLDEGTCPRTCCAAPAPAAGATMYMLRDGHLAARDATNNGGAAWRAVASLPEDGRAVTALAAIGDGRVVAIGAGSHGGEQAVYLLTTEEGGDKNGAAQSWARAAAPPEFAGVSYWARAKSRFSLYTMFLCQLPRVALWGRVEMAKPTHDMTKEGDVCYRFMRAELPSHI >ONIVA02G07890.1 pep chromosome:AWHD00000000:2:5317749:5329301:-1 gene:ONIVA02G07890 transcript:ONIVA02G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIASAKKKSGRGGWGCKACCKMEDAARSAKLAGAKKKEKTNSLTVTLKLMNKEYAAKLSLWTGCSHMSVDQEIREDAGKLVMEMIGLWTSASFWRIQQLPGLDWRTSPSNGTRHGRLPWDLVPATS >ONIVA02G07880.1 pep chromosome:AWHD00000000:2:5308083:5308367:1 gene:ONIVA02G07880 transcript:ONIVA02G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKEDKSDREREYKKGEVKSQISSEKKSYESSKAEDHKGKGYEKSNTEKKGKGKENKSSEKGKGKTIDGRKK >ONIVA02G07870.1 pep chromosome:AWHD00000000:2:5296755:5304938:-1 gene:ONIVA02G07870 transcript:ONIVA02G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPHTPGPTNPPAAAAKQPANERGEAFASPLAATSSSAARSRASAATGGGGASAGFAAAAAAAAGDPGGDGEQAQGGREERADHTRAAQAARQQEGPQYVCTNFWTFICTNCSGAHREFTHRVKSVSMAKFTAQEVSALQEGGNERAREIFFKEWDAHRNSFPDSSNADKLRNFIKHVYVERRYTGERSADRPPRGKDDKDEYSENRRSDGNWGGSRSPPYNESYSDRRSYSGRSDDRNSRYSYGERSPGYEHNDYKKSPRYFEVDDRNREDRSGKTTPVQRFEDRRPSEPQRPDNGSPNYQKETDGSSPVVRPVRDILGDNAPQLRVEDINCQQYWFFRGDLRTDKSGKYDNADPEPSASVPPPQSTPTSQQQPASAQPEQPVNAPAQQPAVEQGKNVSSVSSGGGDWASFDSFGQQQTPQTGNSVDPLESALAQLSFSETPSAPNASAFPASVMPTSVPNDGGSSMMGQSHSSFFGAPPGVSGHQASTGMSIHGSSVQQTGLAAPAAGLPFQVSANSRATSGIQEAAPNTDSRSIGRKELPADIFTSLYPPGPQTIGGWQRTPQFGMGYAMPYQTAMGMQAYPQMAFAQPAYQQPVYPQQQHAYPQPAKASNPFDLGNEPAPVQAHTQQPLPGPLGASAGMTPTGLLGTSSFGVLPQQPQQLYQSPAPPNHYMMQQVPNMSEQLPNTMLPMQQGGLGSLNMGFDQQAAPRYPQPSTPPSYGSVGGNPFG >ONIVA02G07870.2 pep chromosome:AWHD00000000:2:5296755:5304938:-1 gene:ONIVA02G07870 transcript:ONIVA02G07870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPHTPGPTNPPAAAAKQPANERGEAFASPLAATSSSAARSRASAATGGGGASAGFAAAAAAAAGDPGGDGEQAQGGREERADHTRAAQAARQQEGPQYVCTNFWTFICTNCSGAHREFTHRVKSVSMAKFTAQEVSALQEGGNERAREIFFKEWDAHRNSFPDSSNADKLRNFIKHVYVERRYTGERSADRPPRGKDDKDEYSENRRSDGNWGGSRSPPYNESYSDRRSYSGRSDDRNSRYSYGERSPGYEHNDYKKSPRYFEVDDRNREDRSGKTTPVQRFEDRRPSEPQRPDNGSPNYQKETDGSSPVVRPVRDILGDNAPQLRVGEPPKPNVARTIDPPRPIDPPRPIDPPRPIDPPRPNGTRTIEPPPQMQRTSTASSIGSSEGTSEQIKVASTISLIDFSADPEPSASVPPPQSTPTSQQQPASAQPEQPVNAPAQQPAVEQGKNVSSVSSGGGDWASFDSFGQQQTPQTGNSVDPLESALAQLSFSETPSAPNASAFPASVMPTSVPNDGGSSMMGQSHSSFFGAPPGVSGHQASTGMSIHGSSVQQTGLAAPAAGLPFQVSANSRATSGIQEAAPNTDSRSIGRKELPADIFTSLYPPGPQTIGGWQRTPQFGMGYAMPYQTAMGMQAYPQMAFAQPAYQQPVYPQQQHAYPQPAKASNPFDLGNEPAPVQAHTQQPLPGPLGASAGMTPTGLLGTSSFGVLPQQPQQLYQSPAPPNHYMMQQVPNMSEQLPNTMLPMQQGGLGSLNMGFDQQAAPRYPQPSTPPSYGSVGGNPFG >ONIVA02G07870.3 pep chromosome:AWHD00000000:2:5296755:5303937:-1 gene:ONIVA02G07870 transcript:ONIVA02G07870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRYLRYKYQESNGAYELLNREFTHRVKSVSMAKFTAQEVSALQEGGNERAREIFFKEWDAHRNSFPDSSNADKLRNFIKHVYVERRYTGERSADRPPRGKDDKDEYSENRRSDGNWGGSRSPPYNESYSDRRSYSGRSDDRNSRYSYGERSPGYEHNDYKKSPRYFEVDDRNREDRSGKTTPVQRFEDRRPSEPQRPDNGSPNYQKETDGSSPVVRPVRDILGDNAPQLRVGEPPKPNVARTIDPPRPIDPPRPIDPPRPIDPPRPNGTRTIEPPPQMQRTSTASSIGSSEGTSEQIKVASTISLIDFSADPEPSASVPPPQSTPTSQQQPASAQPEQPVNAPAQQPAVEQGKNVSSVSSGGGDWASFDSFGQQQTPQTGNSVDPLESALAQLSFSETPSAPNASAFPASVMPTSVPNDGGSSMMGQSHSSFFGAPPGVSGHQASTGMSIHGSSVQQTGLAAPAAGLPFQVSANSRATSGIQEAAPNTDSRSIGRKELPADIFTSLYPPGPQTIGGWQRTPQFGMGYAMPYQTAMGMQAYPQMAFAQPAYQQPVYPQQQHAYPQPAKASNPFDLGNEPAPVQAHTQQPLPGPLGASAGMTPTGLLGTSSFGVLPQQPQQLYQSPAPPNHYMMQQVPNMSEQLPNTMLPMQQGGLGSLNMGFDQQAAPRYPQPSTPPSYGSVGGNPFG >ONIVA02G07870.4 pep chromosome:AWHD00000000:2:5304281:5304938:-1 gene:ONIVA02G07870 transcript:ONIVA02G07870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPHTPGPTNPPAAAAKQPANERGEAFASPLAATSSSAARSRASAATGGGGASAGFAAAAAAAAGDPGGDGEQAQGGREERADHTRAAQAARQQEVHQLQQFGEFPLAFYCSLAKCGRISAGLARI >ONIVA02G07860.1 pep chromosome:AWHD00000000:2:5265492:5296112:1 gene:ONIVA02G07860 transcript:ONIVA02G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:A0A0E0G2U9] MAVNVGSRSEEARAEVGEGQVRGSASDRAMDFVESVFRERLHLELPDAVIVCKLYRVIKQEEETMVKSFEVTELPVRSSKFIARKQWIVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPITLTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWSKHNEIQTVNIKTIGADNEIADGERLPLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQERKSIRPPFSAERIFGGVLLAMCTNDFICFHDWEEGRMIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEAIAIEAQSESKWRQLGELAMSTGKLDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMSRSYLPSKVPDIVTLWKKDLQKVNPKAAESLADPDEYPNLFEDWQIALNVEANVAPKRGIYPPAEEYIIHAERPNETLVEAFKSMHIHLEEVLLDENGDDTHEAIEENGVEESQEDAVEVDVEADGSTDGAVLVNGNDTEEQWVLTPDQ >ONIVA02G07860.2 pep chromosome:AWHD00000000:2:5265492:5275467:1 gene:ONIVA02G07860 transcript:ONIVA02G07860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:A0A0E0G2U9] MAVNVGSRSEEARAEVGEGQVRGSASDRAMDFVESVFRERLHLELPDAVIVCKLYRVIKQEEETMVKSFEVTELPVRSSKFIARKQWIVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPITLTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWSKHNEIQTVNIKTIGADNEIADGERLPLAVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQERKSIRPPFSAERIFGGVLLAMCTNDFICFHDWEEGRMIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEAIAIEAQSESKWRQLGELAMSTGKLDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMSRSYLPSKVPDIVTLWKKDLQKVNPKAAESLADPDEYPNLFEDWQIALNVEANVAPKRGIYPPAEEYIIHAERPNETLVEAFKSMHIHLEEVLLDENGDDTHEAIEENGVEESQEDAVEVDVEADGSTDGAVLVNGNDTEEQWVLTPDQ >ONIVA02G07860.3 pep chromosome:AWHD00000000:2:5286151:5296112:1 gene:ONIVA02G07860 transcript:ONIVA02G07860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:A0A0E0G2U9] MAMAVMLAAAQVGYQAECVWWLDQRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQVCKLFRAKQEETMVKSFEVTELPVRSSKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKTALVVPFLSIIVLDPFNLTVDWNNTLLVSYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWSKHNEIQTVNIKTIGADNEIADGERLPLVVKELGTCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSLDGEYAVRESTSRIKIYSKNFQERKSIRPPFSAERIFGGVLLAMCTNDFICFHDWAEGRMIRRIDVNVKVTTLFHLDRQMYLLGYLANQSRVYLIDKQFKQLPLRHKLDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNRIPEAALMSRSYLPSKVPEIVTLWKKDLQKVNPKAAESLADPNEYPNLFEDWQIALNVEANVAPKRGIYAPAKEYIIHAERPNETLVEAFKNMRIHQEEVLPDENGDDTHEAIEENGVEESQEDAVEVDVEADGSTDGTVLVNGNDTEEQWVLTPDQ >ONIVA02G07850.1 pep chromosome:AWHD00000000:2:5258815:5259695:1 gene:ONIVA02G07850 transcript:ONIVA02G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRREGGGGGNIGCDPPVINALSMDGGLREVSLSVVFSVWCLLLLLLLCSQFLHSQTDPSDFYDYAEDGMRENYCKLDKFRNRILQGKAENGRVPDSAAAHRLEPSGGEYNYAAASKGSKVLAHNREAKDAANILGAMLLCYHTAPLPRSSATSAPRPPHSSAATLLCRPRLLHLRADVRAAALASPCRPPVPALVLAVRERKE >ONIVA02G07840.1 pep chromosome:AWHD00000000:2:5254618:5255160:1 gene:ONIVA02G07840 transcript:ONIVA02G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRSETGGAATTTTVVAAAVDAPPPWRLRRQRSVPDAVVATFAPCVGIGVGGHHAPRRVLRLGGNKAAAAVHHRRGEAEEEGESFDSGGGVGVLRALWRRIVWGRRWKVMSRSGSSTARRREQYAQDEYEQNFDEGAAAAGGEPEPEYLTRSFSARYASAAAGGRRSAGLARFGVSRAN >ONIVA02G07830.1 pep chromosome:AWHD00000000:2:5250890:5251206:1 gene:ONIVA02G07830 transcript:ONIVA02G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSIKVQAVILTYVLLAVLLHPLLCQGSPAASLLEAQTSDGKTIDQGIAYILMIVALVVTYLVG >ONIVA02G07820.1 pep chromosome:AWHD00000000:2:5247783:5249386:1 gene:ONIVA02G07820 transcript:ONIVA02G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFTSGSFKKYADLKDQAALDDMESGGGGGEGANLEQFFEEVEGVKGEMRGLEALHGRLQASHEGSKTAHDARAVRSLRARMDADVEQVLRRARAVKGRLQALDRANAASRKLPGRGPGSSTDRTRSSVVSGLGTKLKDLMDDFQGLRSRMAEEYKETVARRYYTVTGEKAEESTVEALISSGESETFLQKAIQEQGRGQVLDTISEIQERHDAVKEIERGLLDLHQVFLDMAALVEAQGHQLNDIESHVARANSFVRRGAVELETAREYQRSGRKWACIAILAGVVLVVVIVLPIIVNLHLLTIR >ONIVA02G07810.1 pep chromosome:AWHD00000000:2:5236963:5239124:1 gene:ONIVA02G07810 transcript:ONIVA02G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLRPTACDQDNEEALTLGLGRPLVGPHPNGPMRDTQARSSCSTVRSERGCAGDGALRA >ONIVA02G07810.2 pep chromosome:AWHD00000000:2:5236963:5238693:1 gene:ONIVA02G07810 transcript:ONIVA02G07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLRPTACDQDNEEALTLGLGRPLVGPHPNGPMRDTQARSSCSTVRSERGCAGDGALRA >ONIVA02G07800.1 pep chromosome:AWHD00000000:2:5223260:5226833:-1 gene:ONIVA02G07800 transcript:ONIVA02G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEAYITSTSSCSHTSSMISPTSPALFLVLLALTCSWPSSSSAGHGDGNDIDRQALLSFRSLVSDPARALESWRITSLDFCHWHGVTCSTTMPGRVTVLDLSSCQLDGLIPPCIANLSSIERLDLSNNSFHGRIPAELSRLEQLRHLNLSVNSLDGGIPAELSSCSRLEVLSLWNNSLQGEIPASLAQLVHIQLIDLSNNKLQGSIPSGFGTLRELKILNLATNTLVGNIPWLLGSGSSLTYVDLGGNGLSEGIPEFLANSSSLQFLSLTQNKLTGALPRALFNTSSLTAIYLDRNKLIGSIPPVTAVAAPIQYLSLAENNLTSEIPASIGNLSSLVGVSLAANNLVGSIPESLSRIPTLEMLILSINNLSGQVPQSIFNISSLKYLELANNSLIGRLPPDIGYKLPNLQRLILSKTRLSGPIPASLVNASKLEIIHLVDIGLTGILPSFGSLSHLQQLDLAYNQLEAGDWSFLSSLANCTQLQRLCLDGNGLQGHLPSSVGNLPSELKWLWLKQNKLSGTIPLEIGNLRSLEVLYMDQNLFTGTIPPSVGNLSNLLVLSFAQNNLSGHVPDSIGNLVKLTELYLDGNNFSGTIPASLGQWRHLEKLNLSHNSFGGSIPSEVFNISSLSQSLDLSHNSFAGPIPLEIGGLINLGSLSISNNRLTSNIPSTLGKCVLLESLHMEENLLVGSIPHFLMNLRSIKELDLSSNNLSGSIPDFFASMNYLKDLNLSFNDFDGPVPSTGIFRNASRVSLQGNDGLCANTPELGLPHCPALDRRTKHKSIILMIVVPIAAIVLVISLICLLTVCLKRREEKPILTDISMDTKIISYKDIVQATKGFSTENLVGSGSFGDVYKGTLELEVDLVAIKVFNLNRHGGPSSFIAECEALKNIRHRNLVKVITLCSTLDPKGEEFKAIIFQYMPNGSLETWLHQKVYDHNQKQVLTLGDRISIALDIAYALDYLHNQSASPLIHCDLKPSNVLLDLQMTAYVSDFGLARFMCTTTAACANSTSLADLKGSIGYIAPEYGMGGPISTKGDAYSYGVLLLEILTGKRPSDDKLKDGLSLHELVESAFPHKLDEILDPIMLQSDLNGGKYHTEIMQSCIIPMVKLGLLCSSISPKDRLGMSQVSAEMGTIRQSFLELQ >ONIVA02G07790.1 pep chromosome:AWHD00000000:2:5222103:5227671:1 gene:ONIVA02G07790 transcript:ONIVA02G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVREDVLKRARGSAPVSLFCVRLRNWRDELFAKNSGIPSERPFPPRSTYVRDEPLPNNHGMLPTKVLVARFRIFSSRSVPNPLGMLPCSLLFDRSMSWI >ONIVA02G07790.2 pep chromosome:AWHD00000000:2:5222103:5229481:1 gene:ONIVA02G07790 transcript:ONIVA02G07790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNHFPFGPRYFRPDPVRARPNKLSRRLLDSRSSARHRRLHFSAGAAATATATVPRAPEKAPRRIYVRWPPTSLDRVLRLCARLEPSELSSGKRRY >ONIVA02G07780.1 pep chromosome:AWHD00000000:2:5217406:5222017:-1 gene:ONIVA02G07780 transcript:ONIVA02G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24750) TAIR;Acc:AT5G24750] MDGGGGGRRPRAVFMAFGTRGDVFPIAALAAAFAQDQRQYGVVFITHSAHQSLSTHLADCNVRYMPVSSPPVLAAEQLESISCDSVQSNVEHDSFSQRKKTIQVEHRRECLSAVENVFGNDMSTHGDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPASFERQFKQSLPLLYKYFQEAPLNMDPVTNLPLWYTREESPLLLYGFSKEIVECPGYWPFSAHNQVSIRHLLFIVTAISFLMVDSFAFLVAIHHAGSGSTAAALFAGIPQISCPFLLDQFYWAERLHWLGVAPEPLGRQHLIPDTDNASSINSAADMLIGAIKSALSPEIKAQATRIANKLSSEATGLEKPSGS >ONIVA02G07780.2 pep chromosome:AWHD00000000:2:5217406:5222017:-1 gene:ONIVA02G07780 transcript:ONIVA02G07780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24750) TAIR;Acc:AT5G24750] MDGGGGGRRPRAVFMAFGTRGDVFPIAALAAAFAQDQRQYGVVFITHSAHQSLSTHLADCNVRYMPVSSPPVLAAEQLESISCDSVQSNVEHDSFSQRKKTIQVEHRRECLSAVENVFGNDMSTHGDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPASFERQFKQSLPLLYKYFQEAPLNMDPVTNLPLWYTREESPLLLYGFSKEIVECPGYWPFSAHNQVSIRHLLFIVTAISFLMVDSFAFLEFLIIRYFHNSGSTAAALFAGIPQISCPFLLDQFYWAERLHWLGVAPEPLGRQHLIPDTDNASSINSAADMLIGAIKSALSPEIKAQATRIANKLSSEATGLEKPSGS >ONIVA02G07780.3 pep chromosome:AWHD00000000:2:5217406:5222017:-1 gene:ONIVA02G07780 transcript:ONIVA02G07780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24750) TAIR;Acc:AT5G24750] MDGGGGGRRPRAVFMAFGTRGDVFPIAALAAAFAQDQRQYGVVFITHSAHQTVMLDICLCQAHLSLLPNSSRASHRKKTIQVEHRRECLSAVENVFGNDMSTHGDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPASFERQFKQSLPLLYKYFQEAPLNMDPVTNLPLWYTREESPLLLYGFSKEIVECPVAIHHAGSGSTAAALFAGIPQISCPFLLDQFYWAERLHWLGVAPEPLGRQHLIPDTDNASSINSAADMLIGAIKSALSPEIKAQATRIANKLSSEATGLEKPSGS >ONIVA02G07770.1 pep chromosome:AWHD00000000:2:5215053:5215490:-1 gene:ONIVA02G07770 transcript:ONIVA02G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHLVLHAITKAAAATPAAAAACGKLGAAAAAFLAVCALALALCASHAAPERLRRALASVSRRRTEPVIVSIHQVQPGVVGAGGELADDGLGGPPSCVWQKNILMGGKCQLPEFSGVINYDAAGNIVAPSGRPRAAGTAALGW >ONIVA02G07760.1 pep chromosome:AWHD00000000:2:5205949:5213908:-1 gene:ONIVA02G07760 transcript:ONIVA02G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCLAQTNALFRKSLVIQRRAGKTNCCIVLFPLLIFSAIGGLQIAINVEMLRGLAAAASVDCGGCGGGVAVAANATGGLDCPTPCALPRAPKWPPLLQIPPPERRAVGDGDLLPFAGDLPDAASCRAAGSCAAAFLVTGGNRSFVARVMDNMFPAHNSSAKLSSDISGLADYVLAEADDELDFNSFEVSSFLQKTCTPNQTLSFTYQSGNTTETKHVQCTQGLMLWRDSLWLINDELYRGYYQGNNKKKTNEIAAAYDFLSSDQGNFNVFISYNSTKKFDAYEQDISLTFNQGAWQAPRLVQVSRLINMASNAYLHLRASGLKISFDFVKDMPRAARPMRPIDISSLIGQLPYVWTMELLFPVILTNIVYEKQKKLRIMMKMHGLGDFSYWTISYCYFFLLSLLYVMSFTLFGSVLGLRFFRLNDYSVQFVYYFAYMNLQISFAFLMASCFSSVSTATVTGYFYIIGSGLLGEFLFRSYVEDVFLSRSWITLLELFPAFSLYRIIYEFAQSALLGNYMNSSGMKWVDLNDPKNGMRSVLTIMVLEWFLFLSLAFYLDHFGSFQNGIRKAAVLFHSRVDKNRFQATQHNIQLQEFKASADNEKTDVIKERETVEQILQESKNSYSVICDNLKKVYHGKDGNAKKIAVRGLSLSMPRGQCFGVLGPNGAGKTTLINMLTGFTKPTSGTAYIEGMDIQFEMNKIYAGIGVCPQHDLLWETLTGREHLLFYGRLKNLRGAPLSQAIEKSLKSVRLFAGGIADKLVSKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWNAVKSAKQDRAIILTTHSMEEAEFLCDRIGIIANGSLQCIGNSKELKAKYGGSYVLTVTTATGEAEEEMRRLVQSISPTMNIVYHISGTQKFEMAKQEVRISQVFRAMEHAKLRMNVLAWGLADTTLEDVFIRVARESDSASSSVA >ONIVA02G07750.1 pep chromosome:AWHD00000000:2:5198233:5202184:1 gene:ONIVA02G07750 transcript:ONIVA02G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFAPCPKFIPLLAVFIISCSLPLAISDDTDTDREALLCFKSQISDPNGSLSSWSNTSQNFCNWQGVSCNNTQTQLRVIALNVSSKGLSGSIPPCIGNLSSIASLDLSRNAFLGKIPSELRRLRQISYLNLSINSLEGRIPDELSSCSNLKVLGLSNNSLQGEIPQSLTQCTHLQQVILYNNKLEGSIPTGFGTLPELKTLDLSNNALRGGIPPLLGSSSSFVYVDLGGNQLTGGIPEFLANSSSLQVLRLTQNSLTGEIPPALFNSSTLTTIYLDRNKLVGSIPPITAIAAPIQYLTLEQNKLTGGIPASLGNLSSLVHVSLKANNLVGSIPESLSKIPTLERLVLTYNNLSGHVPQAIFNISSLKYLSMANNSLIGQLPPDIGNRLPNLEALILSTTQLNGPIPASLRNMSKLEMVYLAAAGLTGIVPSFGSLPNLQDLDLGYNQLEAGDWSFLSSLANCTQLKKLALDANFLQGTLPSSVGNLPSQLNWLWLRQNRLSGTIPSEIGNLKSLSVLYLDENMFSGSIPPTIGNLSNLLVLSLAQNNLSGLIPDSIGNLAQLTEVILDGNYRLCANDPGYSLPLCSELGSQSKHKSTILKIVIPIAVSVVISLLCLMAVLIKRRKQKPSLQQSSVNMRKISYEDIAKATDEFSPTNLVGLGSFGAVYKGMLPFETNPVAIKVFDLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTIDPNGYDFKALCFQYMPNGSLEMWLHPEDHGHGKKRFLTLGERISLALDIAYALDYLHNQCVSPLIHCDIKPSNVLLDLEMTAYVSDFGLARFMSANSTAAPGNSTSLADLKGSIGYIAPEYGMGGQISMKGDVYSYGVLLLEILTGKRPTDEKFNDGLSLHDRVDAAFPHRVTEILDPNMLHNDLDGGNSELMQSCVLPLVKVALMCSMASPKDRLGMAQVSTELHSIKQAFLELSSGGKVV >ONIVA02G07740.1 pep chromosome:AWHD00000000:2:5197036:5204867:-1 gene:ONIVA02G07740 transcript:ONIVA02G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARRLRFGLRAPAASAMSVLLLLLPSSLSLALSPPPPPPPPPPFFPPQWAPPVAGGGGPFAEHGVGYGSGPDGAHNPKVSSVYGNQYMPTGKCIPENPNINKAGPTQSCFVTLPLFLQPFDGVEPVAPPRSGGGRRDDGRRRRGGRWQVCQAYAIVSEMCKPDFLIHLNMPHYPNQELESILVPVVAREEVHPLDETFETSPVHQPRMELINCRSS >ONIVA02G07740.2 pep chromosome:AWHD00000000:2:5203249:5204867:-1 gene:ONIVA02G07740 transcript:ONIVA02G07740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARRLRFGLRAPAASAMSVLLLLLPSSLSLALSPPPPPPPPPPFFPPQWAPPVAGGGGPFAEHGVGYGSGPDGAHNPKVSSVYGNQYMPTGKSTWNVSLARPQDIASQAK >ONIVA02G07740.3 pep chromosome:AWHD00000000:2:5197036:5202764:-1 gene:ONIVA02G07740 transcript:ONIVA02G07740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIYEDGPAHSPISTRRAQPNLVLSPSLSFSNPSTASSLSRRRGAAEEDETMGGAGAAEDGRSARPTPSSPRILVPVVAREEVHPLDETFETSPVHQPRMELINCRSS >ONIVA02G07730.1 pep chromosome:AWHD00000000:2:5189742:5189960:1 gene:ONIVA02G07730 transcript:ONIVA02G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTLLAHAPAEAKEEAHPGGGRGGALCRRICRCMMEVYLELGMMMMSALQQEGIGEDVDALLRSAGKAVS >ONIVA02G07720.1 pep chromosome:AWHD00000000:2:5177914:5181953:1 gene:ONIVA02G07720 transcript:ONIVA02G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNVSSKGLSGSIPPCIANLSSIASLDLSRNAFLGKIPSELGRLRQISYLNLSINSLEGRIPDELSSCSNLKVLGLSNNSLQGEIPQSLTQCTHLQQAILYNNKLEGSIPTGFGTLPELKTLDLSSNALRGDIPPLLGSSPSFVYVNLGGNQLTGGIPEFLANSSSLQVLRLTQNSLTGEIPPALFNSSTLTTIYLDRNNLVGSIPPVTAIAAPIQYLTLEQNKLTGGIPASLGNLSSLVHVSLKANNLVGSIPESLNIGNRLPNLEALILSTTQLNGPIPASLRNMSKLEMVYLAAAGLTGIVPSFGSLPNLQDLDLGYNQLEAGDWSFLSSLANCTQLKKLALDANFLQGTLPSSVGNLPSQLNWLWLRQNRLSGTIPSEIGNLKSLSVLYLDENMFSGSIPPTIGNLSNLLVLSLAQNNLSGLIPDSIGNLAQLTDLDLSHNLFTGPIPLEIGNLINLGSISISNNRLTGEIPSTLGNCVLLEYLHMEGNLLTGSIPQSFMNLKSIKELDLSRNSLSGKVPEFLTLLSSLQKLNLSFNDFEGPIPSNGVFGNASRAILDGNYRLCANDPGYSLPLCRESGSQSKHKSTILKIVIPIAVSVVILLLCLMAVLIKRRKQKPSLQQSSVNMRKISYEDIANATDGFSPTNLVGLGSFGAVYKGMLPFETNPVAIKVFDLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTIDPNGYDFKALVFQYMPNGSLEMWLHPEDHGHGKKRFLTLGERISLALDIAYALDYLHNQCVSPLIHCDIKPSNVLLDLEMTAYVSDFGLARFMGANSTAAPGNSTSLADLKGSIGYIAPEYGMGGQISTKGDVYSYGVLLLEILTGKRPTDEKFNDGLSLHDRVDAAFPHRVTEILDPNMLHNDLDGGNSELMQSCVLPLVKVALMCSMASPKDRLGMAQVSTEINSIKQAFVDLSSGGKIV >ONIVA02G07710.1 pep chromosome:AWHD00000000:2:5157659:5158033:1 gene:ONIVA02G07710 transcript:ONIVA02G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLAAMAIEDRGGDCESRSVALAATAKMPVAVGHGGWRLQTSMALEAQVLRHSPQRFLVGEDTAIDGAGTSCHSNNVHNTCSGLDDVGGMGFRSLTSRHLRLWAEGEGRRGRGARWRLGGG >ONIVA02G07700.1 pep chromosome:AWHD00000000:2:5155616:5156772:1 gene:ONIVA02G07700 transcript:ONIVA02G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLSVGLQAVLVMSLLLLQIMPFLPCTLATGGKSVELLMGRETHEGRHRLLAPSKLIWSRRILVGEPWTGPAMELGRLPTYLPKSRGDNGQDRSGLGWNIPVRGPEPTAG >ONIVA02G07690.1 pep chromosome:AWHD00000000:2:5147021:5150255:-1 gene:ONIVA02G07690 transcript:ONIVA02G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDGDGDAEPASTAGAAMAVSQFARDERKLQSPASSWLYARSRSCKFGKDPKDGTTPECSPPSYLLLEIKEPRRKGFPVKLVSLLLVYSVLD >ONIVA02G07680.1 pep chromosome:AWHD00000000:2:5145614:5150522:1 gene:ONIVA02G07680 transcript:ONIVA02G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFAPCPKLIPLLAIFIISCSLPLAISDDTDTDREALLCFKSQISDPNGALSSWTNTSQNFCNWQGVSCNNTQTQLRVMALNVSSKGLGGSIPPCIGNLSSIASLDLSSNAFLGKIPSELGRLGQISYLNLSINSLVGRIPDELSSCSNLQVLGLWNNSLQGEIPPSLTQCTHLQQVMLYNNKLEGEIPTGFGTLRELKTLDLSNNALTGDIPPLLGSSPSFIYVDLGVNQLTGGIPEFLANSSSLQVIRLMQNGLTGEIPPSLFNSSTLTTIYLNRNSLVGSIPPITAVAAPIQYLSLAQNKLTGGIPASLGNLSSLVLLSLGANNLVGSIPESLSKIQTLERLVLTYNKLSGNVPQNIFNMTSLKYLGMANNSLIGRLPPDIGNRLPNLETLILSTTQLNGPIPASLANMSKLEMIYLTATGLTGVVPSFGSLPNLQDLDLAYNQLEAGDWSFLSSLANCTQLKKLALDGNILRGSLPSSVGNLPSQLNWLFLKQNKLSGTIPSEIGNLKSLTVMYMDNNMFSGSIPPTIGNLSNLLVLSFAQNNLSGHIPDSIGSLSQLTEFYIDGNNLNGSIPANIGQWRQLEKLDLSHNFFGGSLPSEVFNISSLSKSLDLSHNLFTGPIPLEIGNLINLGSISISNNRLNGEIPSTLGKCVLLEYLHMEGNLLTGSIPQSFMNLKSIKELDLSRNSLSGKVPEFLTLLSSLQKLNLSFNDFEGAIPSNGVFGNASRVILGGNYRLCANAPGYGLPFCPESGSQSKSKSTVLKIVIPIVVSAVVISLLCLTVVLMKRRKEEPNLQHSSVNLRKISYEDIAKATDGFSATNLVGLGSFGAVYKGLLAFEDNPVAIKIFNLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTVDPNGYDFKALVFQYMPNGSLEMWLHPEDHGLGKQRFLTLGERISLALDIAYALDYLHNQCVSPLIHCDIKPSNVLLDLEMTAYVSDFGLARFMCANSTAEPSNSTSLADLKGSIGYIAPEYGMGGQISTKGDVYSYGVLLLEILTGKRPTDEKFNDGLSLHDRVDAAFPHRVTEVLDPNMLHNDLDGGNSELMQSCVLPLVKVALMCSIASPKDRLGMAQVSTEINSIKQAFVDLSSGGKIV >ONIVA02G07670.1 pep chromosome:AWHD00000000:2:5144317:5146949:-1 gene:ONIVA02G07670 transcript:ONIVA02G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNVELLKRDGGISPVRPFCMSLMTCRDELFAKNSGIPPLIDPEINIYKRRATAQQWWYVTCEGVVGEIQCFQLTKRSESGRNFAFKLVVVKHNLLELICPKRPSSLGIFPRNALLDKSRLAMELRLPMQGGIEPPRPLELTLSAMTRSWVCVLLHETPCQLQKFWDSRASLSVSVSSLIANGREHEMMKIAKSGMSFGQGANKRIILLLVLRAGPGILGGESVTMCFVAL >ONIVA02G07670.2 pep chromosome:AWHD00000000:2:5146066:5146949:-1 gene:ONIVA02G07670 transcript:ONIVA02G07670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNVELLKRDGGISPVRPFCMSLMTCRDELFAKNSGIPPLIDPEINIYKRRATAQQWWYVTCEGVVGEIQCFQLTKRSESGRNFAFKLVVVKHNLLELICPKRPSSLGIFPRNALLDKSRLAMELRLPMQGGIEPPRPLELTLSAMTRSWVCVLLHETPCQLQKFWDSRASLSVSVSSLIANGREHEMMKIAKSGMSFGQGANKRIILLLVLRAVRLAILLMANM >ONIVA02G07660.1 pep chromosome:AWHD00000000:2:5134710:5136543:1 gene:ONIVA02G07660 transcript:ONIVA02G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMGAARLRIRLQAVLVMSLVLLQIMPFLPCTLATGGNSAELLIMGREKHAGHLLLAPTKSTRSRRILYNRIGSGGGNPHWDI >ONIVA02G07650.1 pep chromosome:AWHD00000000:2:5125849:5127836:-1 gene:ONIVA02G07650 transcript:ONIVA02G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLPMQGGIEPPRPLELTLSAMTRSWVCVLLHETPCQLQKFWVVLSRASLSVSVSSLIANGREHEMMKIAKSGMSFGQGANKRIILLLVLRASRASLSVSVSSLIANGREHEMKKIAKSGMSFGQGANKRIILLLVLWLAFAYGIFIAGCGSD >ONIVA02G07650.2 pep chromosome:AWHD00000000:2:5128066:5131734:-1 gene:ONIVA02G07650 transcript:ONIVA02G07650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSTRPSQSCCPTTPFSLSDGVDHGHAESVAPPPPPRTRWAMATPSRPAQDDGGFPGAASYSEFARDERKLQSPASRWLYARSRYLKFGNNPKDGTTPAGILLRLSGMLPTKLLAARERRTREERLPSVGELRDSSCELIASKINIYKRRATAQQWWYLTCEGVVGEIQSFQLTKRPKSGGYTAFKLVVV >ONIVA02G07650.3 pep chromosome:AWHD00000000:2:5128066:5128526:-1 gene:ONIVA02G07650 transcript:ONIVA02G07650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKLLAARERRTREERLPSVGELRDSSCELIASKINIYKRRATAQQWWYLTCEGVVGEIQSFQLTKRPKSGGYTAFKLVVV >ONIVA02G07650.4 pep chromosome:AWHD00000000:2:5129246:5131734:-1 gene:ONIVA02G07650 transcript:ONIVA02G07650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSTRPSQSCCPTTPFSLSDGVDHGHAESVAPPPPPRTRWAMATPSRPAQDDGGFPGAASYSEVYSR >ONIVA02G07650.5 pep chromosome:AWHD00000000:2:5125839:5131734:-1 gene:ONIVA02G07650 transcript:ONIVA02G07650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSTRPSQSCCPTTPFSLSDGVDHGHAESVAPPPPPRTRWAMATPSRPAQDDGGFPGAASYSEDVVQISLW >ONIVA02G07640.1 pep chromosome:AWHD00000000:2:5120776:5131609:1 gene:ONIVA02G07640 transcript:ONIVA02G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLSGPIPASLANMSKLEMIYLVATGLTGVVPSFGLLPNLRDLDLAYNHLEAGDWSFLSSLANCTQLKKWLWLKQNKIYGIIPSEIGNLRSLTILYMDNNLFSGHIPPSIGNLSNLQILSFALNDLFGEIPDYIGNLAQLIEFHIDGNNFSGSIPSSLWHWKHLEKLDISDNSFFGYIPPAVGNLSSIRDLIFARNNFFGHIPSTVGNLSNLSILSFAQNNLFGHIPEFVGNLVKLTNLFLHGNSFTKASHSTNSKMIRLFAPCPKLIPLLAIFFISCSLPLAISDDTDTDREALLCFKSSRPISLEYRNIRQVRASSYILAISKIASLTALSTNSKMIRLFAPCPKLIPLLAIFIISCSLPLAISDDTDTDREALLCFKSQISDPNGALSSWTNTTQNFCNWQGVSCNNTQTQLRVMALNVSSKGLGGSIPPCIGNLSSIASLDLSSNAFLGKIPSELGRLGQISYLNLSINSLVGRIPDELSSCSNLQVLGLWNNSLQGEIPPSLTQCTHLQQVILYNNKLEGSIPTGFGTLRELKTLDLSNNALTGTIPSNGVFGNASRVILDGNYRLCANAPGYSLPLCPESGSQIKSKSTVLKIVIPIVVSAVVISLLCLTIVLMKRRKEEPNQQHSSVNLRKISYEDIAKATDGFSATNLVGLGSFGAVYKGLLTFEDNPVAIKVFNLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTVDPNGYDFKALVFQYMPNGSLEMWLHPEDHGHGKQRFLTLGERINVALDIAYALDYLHNQCVSPLIHCDMKPSNVLLDLEMTAYVSDFGLARFMCANSTAAPGNSTSLADLKGSIGYIAPEYGMGAQISTRGDVYSYGVLLLEILTGKRPTDEKFKDGRSLHELVDTAFPHRVTEILDPNMLHNDLDGGNSEMMQSCVLPLVKLALMCSMASPKDRLGMVQVSTEIHSIKQEFLDLSSGGKIV >ONIVA02G07640.2 pep chromosome:AWHD00000000:2:5120776:5131609:1 gene:ONIVA02G07640 transcript:ONIVA02G07640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLSGPIPASLANMSKLEMIYLVATGLTGVVPSFGLLPNLRDLDLAYNHLEAGDWSFLSSLANCTQLKKWLWLKQNKIYGIIPSEIGNLRSLTILYMDNNLFSGHIPPSIGNLSNLQILSFALNDLFGEIPDYIGNLAQLIEFHIDGNNFSGSIPSSLWHWKHLEKLDISDNSFFGYIPPAVGNLSSIRDLIFARNNFFGHIPSTVGNLSNLSILSFAQNNLFGHIPEFVGNLVKLTNLFLHGNSFTKASHSTNSKMIRLFAPCPKLIPLLAIFFISCSLPLAISDDTDTDREALLCFKSSRPISLEYRNIRQVRASSYILAISKIASLTALSTNSKMIRLFAPCPKLIPLLAIFIISCSLPLAISDDTDTDREALLCFKSQISDPNGALSSWTNTTQNFCNWQGVSCNNTQTQLRVMALNVSSKGLGGSIPPCIGNLSSIASLDLSSNAFLGKIPSELGRLGQISYLNLSINSLVGRIPDELSSCSNLQVLGLWNNSLQGEIPPSLTQCTHLQQVILYNNKLEGSIPTGFGTLRELKTLDLSNNALTGEIPPLLGSSPSFVYVDLGGNQLTGGIPEFLANSSSLQVLRLMQNSLTGEIPPALFNSSTLTTIYLNRNNLAGSIPPVTAIAAPIQFLSLTQNKLTGGIPPTLGNLSSLVRLSLAANNLVGSIPESLSKIPALERLILTYNKLSGPVPESIFNMSSLRYLEMANNSLIGRLPQDIGNRLPNLQSLILSTIQLNGPIPASLANMTKLEMIYLVATGLTGVVPSFGLLPNLRYLDLAYNHLEAGDWSFLSSLANCTQLKKLLLDGNSLKGSLPSSVGNLAPQLDWLWLKQNKLSGTIPAEIGNLKSLTILYMDDNMFSGRIPQTIGNLTNLLVLSFAKNNLSGRIPDSIGNLSQLNEFYLDRNNLNGSIPANIGQWRQLEKLNLSHNSFSGSMPSEVFKISSLSQNLDLSHNLFTGPILPEIGNLINLGSISIANNRLTGDILSTLGKCVLLEYLHMEGNLLTGSIPQSFMNLKSIKELDLSRNRLSGKVPEFLTLFSSLQKLNLSFNDFEGTIPSNGVFGNASRVILDGNYRLCANAPGYSLPLCPESGSQIKSKSTVLKIVIPIVVSAVVISLLCLTIVLMKRRKEEPNQQHSSVNLRKISYEDIAKATDGFSATNLVGLGSFGAVYKGLLTFEDNPVAIKVFNLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTVDPNGYDFKALVFQYMPNGSLEMWLHPEDHGHGKQRFLTLGERINVALDIAYALDYLHNQCVSPLIHCDMKPSNVLLDLEMTAYVSDFGLARFMCANSTAAPGNSTSLADLKGSIGYIAPEYGMGAQISTRGDVYSYGVLLLEILTGKRPTDEKFKDGRSLHELVDTAFPHRVTEILDPNMLHNDLDGGNSEMMQSCVLPLVKLALMCSMASPKDRLGMVQVSTEIHSIKQEFLDLSSGGKIV >ONIVA02G07640.3 pep chromosome:AWHD00000000:2:5119824:5120767:1 gene:ONIVA02G07640 transcript:ONIVA02G07640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALNISSKGLSGSIPPCIGNLSSITSLDLSNNAFLGKIPTELGHLGQISYLNLSINSLEGHIPDELSLCSKLKVLSLCNNSLQGEIPPSLTQCTHLQQVVLCNNKLQGRIPTKFGMLHELKTLDLSNNALTGDIPPLLGSSPSFVHVDLGGNQLTGGIPEFLANSSSLQVLTLFNSSTLTNRNNLVGSIPPVTAIAAPFQFLSLAQNKLRGGIPASLGNLSSLVRLSLAVNNLVGSIPGSLSELRKLERLILTYNNLSGPVPQSIFNVSSLQYLEMANNSLISQLPVYHQILVTGFQTYKA >ONIVA02G07630.1 pep chromosome:AWHD00000000:2:5118220:5122687:-1 gene:ONIVA02G07630 transcript:ONIVA02G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAQEHGIRRPPSHLQVREESILIPSSTPFSRLVMELRLPMQGGIEPLSPLELMFSAMTRIWVCVLLHETPCQLQKSRDSRAPLSVSVSSLIAIGREHEKTKTAKSGMSFGKEANKRIIFAVGPGSYWTFDEKICAFDETKDITCS >ONIVA02G07630.2 pep chromosome:AWHD00000000:2:5119456:5122687:-1 gene:ONIVA02G07630 transcript:ONIVA02G07630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAQEHGIRRPPSHLQVREESILIPSSTPFSRLVMELRLPMQGGIEPLSPLELMFSAMTRIWVCVLLHETPCQLQKSRDQSRAPLSVSVSSLIAIGREHEKTKTAKSGMSFGKEANKRIIFAVGAEACFCLWQLKYRHARTCLILVSSNELGVLLLSPMQN >ONIVA02G07620.1 pep chromosome:AWHD00000000:2:5115513:5116810:-1 gene:ONIVA02G07620 transcript:ONIVA02G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRRLRVSLQVTLLVSLLLLPGCLAGRREHAGGIENTRNEKKNPLITQHETLNKGKFHKSECRQISRDGNQLGEEASSALVISRLGN >ONIVA02G07610.1 pep chromosome:AWHD00000000:2:5113639:5114405:1 gene:ONIVA02G07610 transcript:ONIVA02G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSGKLSSSTLVLILLLVVTTEMMGMQARLCEKPSSHFKGLCLRSQNCGNECMLEGYMDGKCKYLTGRCICSVECATMSNGGLTLME >ONIVA02G07600.1 pep chromosome:AWHD00000000:2:5106959:5107701:-1 gene:ONIVA02G07600 transcript:ONIVA02G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWLGVGLQAILVMFLLMSPPFLPCALAAGCSSEGKSGDQVVGMVKMGDTTLPSPRDTSSTNGD >ONIVA02G07590.1 pep chromosome:AWHD00000000:2:5105088:5105468:-1 gene:ONIVA02G07590 transcript:ONIVA02G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRIRVELQAILVMFLLLPLPFLPRVFAAGSSGGNSGELVAGGRETRRKSTRFLSGGVPANPGGGHDPPVNDGRRGR >ONIVA02G07580.1 pep chromosome:AWHD00000000:2:5101787:5102724:-1 gene:ONIVA02G07580 transcript:ONIVA02G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARLCVGLQAILVMSLLLPLPFLPCVLAGAGPGGELVAGEEKHQGRVFASGISTRSLRILSQNPGPGGEHHPPISSGRGNNG >ONIVA02G07570.1 pep chromosome:AWHD00000000:2:5094204:5097008:-1 gene:ONIVA02G07570 transcript:ONIVA02G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKFRRLDVPRFGIFWCFTYIGTKVVIPILRPSGVVILSEFDVYAVVGGNRPLHTRDIRVTVESDGSFVINFKGVRGNPMVCTICIRKTVAIAEQVLDRQADQLSSVSQKYKNANKLWAAAISNLENKIKVMKQEQTLLSLEAHGHANAVPELSKMVGAVQALVVQCEDPKLKYYEEMAKRKKLHNIVEETKGAGLQGAVVPSNSTNMGCSHGLPVLARASAGTAGLAAARGVGAVGRAQLSLLPRDDHDCCSHSGAHALQGSECELTTWSATKQTTRVTESATKQHIILAF >ONIVA02G07560.1 pep chromosome:AWHD00000000:2:5061638:5064893:-1 gene:ONIVA02G07560 transcript:ONIVA02G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G2Q3] MGCSWALAALVLGFLVVAVHGSEPWLNQTQVYSTNANSGSNGVFVGITLIQSAAAKGAVCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVKSCVFRKSSRRGSSNHMESQLQFTGIMSNRPEENPDFYNWNRVKVRYCDGGSFTGDGADASAGLYFRGQRIWQAAMDDLMAQGMRYANQALLSGCSAGGVSTILHCDEFRGLFSGSTNVKCLADAGMFLDFVDVSGQREMRDFFNGIVSATVHHVMILLFVMTVTLLLHGSGRSLPRSCTSRMDKTSCFFPQNVVPNIQTPTFILNTAYDVWQLQQSVAPKRADPQGLWRGCRMNHASCNSNQLQFLQGFRNQMLDAVRGFSGARQNGLFINSCFAHCQSERQDTWYAGDSPRLGNKRIAEAVGDWFFDRADAKYTDCAYPCDGTCHHLTFRGDY >ONIVA02G07560.2 pep chromosome:AWHD00000000:2:5061638:5064893:-1 gene:ONIVA02G07560 transcript:ONIVA02G07560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G2Q3] MGCSWALAALVLGFLVVAVHGSEPWLNQTQVYSTNANSGSNGVFVGITLIQSAAAKGAVCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVKSCVFRKSSRRGSSNHMESQLQFTGIMSNRPEENPDFYNWNRVKVRYCDGGSFTGDGADASAGLYFRGQRIWQAAMDDLMAQGMRYANQALLSGCSAGGVSTILHCDEFRGLFSGSTNVKCLADAGMFLDFVDVSGQREMRDFFNGIVRLQGSGRSLPRSCTSRMDKTSCFFPQNVVPNIQTPTFILNTAYDVWQLQQSVAPKRADPQGLWRGCRMNHASCNSNQLQFLQGFRNQMLDAVRGFSGARQNGLFINSCFAHCQSERQDTWYAGDSPRLGNKRIAEAVGDWFFDRADAKYTDCAYPCDGTCHHLTFRGDY >ONIVA02G07550.1 pep chromosome:AWHD00000000:2:5057240:5058234:-1 gene:ONIVA02G07550 transcript:ONIVA02G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLCNVGLVLADRCCMHACVALLYTLVENYIRFETPNSSKDQPETRLMLKAMKNNLLEGPGHWPSFCLSHRPRKNYTLDDIGNLTSSQLSSIF >ONIVA02G07540.1 pep chromosome:AWHD00000000:2:5049317:5050776:-1 gene:ONIVA02G07540 transcript:ONIVA02G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRAGGARKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIHLHSMLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKKLRQRGIDPATHKPLAEVVAAAACARTPAVFSDAELILSSIGAQPPPESYMYYDGSRYSTECGAASVGGDGSLSSLSGYSQATAEFAVDGASASALLHCGGGGPTSSGGGAPPAPTAAVIPSVSSSSTLNSMVGLSPAATTTTTTTDEQYGNTNHLPWLELGPSTTTPSSSAAAATVDHYGAALDELKWSDYVFDGYNHQLPPYNHGGIYGGGDSKDTAVHFDAHALGNWC >ONIVA02G07530.1 pep chromosome:AWHD00000000:2:5043356:5043766:-1 gene:ONIVA02G07530 transcript:ONIVA02G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPMPAPPLPSSSPPPGHDAATAAAATGTTPLHPSFRGAPPPSPSTYIIQIPKDQVLRVPPPDRAARKPPPAPPRVLCRLQRVPPPGPPRRRPVARVPPGAEERDGVLDGVDRRRRELHGGVEEAARSARCP >ONIVA02G07520.1 pep chromosome:AWHD00000000:2:5036698:5038542:-1 gene:ONIVA02G07520 transcript:ONIVA02G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFVWMVAAAAAAVASWAFIAVVVKLVWRPRAISRRLRAQGVGGPGYRFFSGNLGEIRRLRAEGADVVLDVSSHDFVPIVQPHFRKWVSLYGKTFLFWFGAQPNICLADINIVRQVLSDRTGMYPKDLTNPYFAHLLGKGLVLIDGDEWKRHYKVVHPAFDMDKLKMMTVTISDCTGSMMSEWESELGMKGGSAEIELSQRFQELTADVISRTAFGSRYSEGKQVFLAQRKLQLLALSMFLTIQIPGFRYLPTKKNLKIWSLDKKVRSMLRNIIKIRLANKDTMGYGNDLLGLMLETCVPEHDESQQLSMDEIIAECKTFFFGGHDTTSHLLTWTMFLLSTHPEWMRKIRKEVTTMCGDEVPTGDMLNKMNLLNMFLLETLRLYGPVSLISRRTGTNAKFGGIKVPEGTILRIPIATIHRDKEVWGEDADEFKPARFENGVSKAAKHPNALLSFSNGPRSCIGQNFAMIEAKAVITMILQRFSFTLSPKYVHTPISVITLRPKYGLPMILRSLKLYKIGM >ONIVA02G07510.1 pep chromosome:AWHD00000000:2:5032103:5033774:1 gene:ONIVA02G07510 transcript:ONIVA02G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGVKENLCKIMVMTAFASNMAKFCPMHDLGPLEKESYALGFLASLDTPFGKRPQNTN >ONIVA02G07500.1 pep chromosome:AWHD00000000:2:5014182:5023101:-1 gene:ONIVA02G07500 transcript:ONIVA02G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLGWMVAAAVAAVVASWAFDAVVKLVWRPRAITRRLRAQGVGGPGYRFFSGNLGEIKRLRDEGAGVVLDVSSHDFVPIVQPHFRKWIPLYGKTFMYWFGARPTICLADVSMVRQVLSDRTGMYPKNVSNPYFARLLGKGLVLTDGDEWKRHRKVVHPAFNMDKLKMMTVTMSDCAQSMISEWESELGTKGDIVEIELSRRFEELTADVISHTAFGSSYKEGKQVFLAQRELQFLAFSTFLSIQIPGSSYLPTKKNLKTWSVDKKVRSMLTDIIKSRLNNKDVAGYGNDLLGLMLEACAPEHGESQPQLSMDEIIAECKTFFFAGHDTTSHLLTWTMFLLSTHPEWQEKLREEVAMECDGKVPTGDMLNKLKLVNMFLLETLRLYGPVAFIQRRVNAELELGGITVPEGTVLSIPIATIHRDKEVWGEDADIFKPERFENGVSKAGKYPNALLSFSSGPRACIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHAPTDVITLRPKYGLPMILKSLKLVVVRFLYASSSSSRFPALIGNSSIDLSHTRPACAQMGYGWALAAVLATWCLLDALSRLVWRPRAVAAALRRQGVRGPGYRLLVGSLGDINKLRADAAGAGGAAALDVASHDFIPFVQPQFRQWIPLYGRVFLYWFGWTPDMCVADVEVAKQVLSDRTGLFPKNVTTPMLLKLFGRGLVLANGDEWQRHKKVVHPAFNTDKLKMMTATMAGVARSMVSRWEEKVASHGGKVVIELSSQFEELTADVISHTAFGSSYAEGKQVFMAIKELQFIAFSSLLSVQIPGSRYFPTKKNLKVWRLDRKVRSTLMQIISNRLAAAAKEKAGGGGGGYGEDLLGLMLEASAPPELGTKRRQPPVLSMDEIIDECKTFFFAGQETTSHLLSWTMFLLSTHPDWQDKLREEAVRECAGAGAGDDDDQLPTYDMLGKLKLLNQFLLETLRLYSPVPAIRRRTAAAVEMGGVTVPGGTMLTFPIATMHRDEEVWGADAGVFDPMRFDGGGGAMAKLLSFSTGPRACVGQSFAMVEAKAVVAAILRRFRLELSPEYVHAPTDVITLRPKHGLPMVVTRE >ONIVA02G07500.2 pep chromosome:AWHD00000000:2:5023107:5030074:-1 gene:ONIVA02G07500 transcript:ONIVA02G07500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEWTVATAAAAVAALWAFIAVVVKLVWWPRAITRRLGAQGVTGPGYRFFSGNLSEIRRLRAEGANLVLDVSSHDFVPIVQPHIRKWIPLYGKTFLYWFGTRPNICLADMNMVRQVLSDRTGMFPKYIDNMQFARLLGKGLVLTDDDEWKRHYKVVHPAFDMDKLKMMTETISDCARSMMFEWESELGMKGGSTEIELSRWFEELTADVISRTAFGSSYREGKQVFLAQRKLQFLAFSAFLTIQIPGFSYLLTKKNLKTWSLDKKVRSMLMNIIKSRLTNKETMGYGNDLLGLMLEACVPEHGGSQPQLSMDDIIAECKTFFFAGHDTTSQLLTWTMFLLSTHQHWMEKLRKEVRMVCNDEVPTGDMLNKLKLVNMFLLETLRLYGPVSVVTRRAGTDVKLGSIKVPKGTILTIPIATIHRDKEVWGEDADEFKPERFENGVLKAAKHPSALLSFSIGLRSCIGQNFAMIEAKTIIAMILQRFSFTLSPKYVHTPISVITLRPKYGLPMILRSLKVKRDSRSKQKQSYSIGVRTPATGSGDLAAYV >ONIVA02G07490.1 pep chromosome:AWHD00000000:2:5011902:5014007:-1 gene:ONIVA02G07490 transcript:ONIVA02G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAAVKGRIKSRPRLPPLASPATTSTCAPAPAATARRGSHAAASQVVSHASLLLRLQSCPDFQEARRLHAAVLVGGHGHGTVLVAQLVRAYAKLGDVAHALRVFDGMPRRNSFAWNAVIKGLVDAGRFSEALEMFWGMVNDGSVAVDGFTYPPVIKACAALGAVAQGRKVWEMVEADIASGNARPNVFVQCALVDMFAKCGCLDEARNVFESMQVRDLALWTAMIGGTVHSGNWLEVVDLFNHMRSEGFGVDSLIAATVISACGRAGELQVGTALHGCAVKSGASGDIYVSNALVDMYCKCGCVEMADCLFWSTNSKDVVSWSSLIVGYSQNGMHNVSVSLFCEMISLGINPNSSTLASILPCLSVLKLIRSGKEIHCFSIRHGLERSEFVVSALIDLYSKQGLIRVAETIFWLTLDKDLAIWNSMVAGYAVNGYSDSAFCALRLLQKVGLKPDHVTVVSVLPLCNQHHMLIQGKELHAYVIKYCINSVCSVNNALLDMYCKCGFLEVAKEVFQLMTERNTVTYNILISSFGKHNHEDQALSFFDLMKRDGIAPDKVTFVALLSCCSHAGLIDKGLHLYHSMLHDYNISPEKEHYSCIVDLYSRCGKLDEAWCFMSNMAEEPVIDVLGGLLAACRVHNRMDIAELVGKRIFEQNPNDPGYHILLSNIYADAGMWSDVTRIRTMIQERNLKKETGNSLT >ONIVA02G07480.1 pep chromosome:AWHD00000000:2:5007480:5007762:-1 gene:ONIVA02G07480 transcript:ONIVA02G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTATCIDIILAIILPPLGVFFKFGCGIEFWICLLLTFFGYLPGIIYAVWVITK >ONIVA02G07470.1 pep chromosome:AWHD00000000:2:4948792:4978560:1 gene:ONIVA02G07470 transcript:ONIVA02G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQDVTGYLCLSLALLLLTLVLHKVARKASAEASAGAVAAAREITKTHDVAFATRPWSSTVRVLMSDGVGLVFAPYGALWRQLRKIAMVELLSARRVQSFRGIREDEVGRLVAAVAAASAAQPGEAVNVSERIAVLIADSVVRQAGRIPGSARREGQDHLGDLFPSSRLASFVGGTTRRAEANHRKNFELIECALRQHEERRAAGAVDDDEDLVDVLLRVQKDGSLQMPLTMGNIKAVVLELFGAGSETSANTLQWAMTELIMNPRVMLKAQAELSNVIKGKQTISEDDLVELKYLKLIIKETLRLHPVVPLLLPRECRETCEVMGYDIPIGIIVLVNVWAIGRDPKYWEDAETFIPERFEDGHIDFKGTNFEFIPFGAGRRMCPGMAFAEVIMELALASLLYHFDWELPDGISPTKMDMMEELGATIRRKNDLYLIPAVLFAPYGALWRQLRKIAMVELLSARRVQSHHITGYLCLSLALLLLTLVLHKVARKATGNGAGKPRLPPGPWRLPVIGNLHQVAMGGPLVHRTMADLARRLDAPLMSLRLGELRVVVASSANAAREITKTHDVAFATRPWSSTIRVLMSDGITSGFSLGDLFPSSRLASFVGGTTRRAEANHRKNFELIECALRQHEERRAAGAVDDDEDLVDVLLRVQKDGSLQMPLTMGNIKAVVLELFGAGSETSANTLQWAMTELIMNPRVMLKAQAELSNVIKGKQTISEDDLVELKYLKLIIKETLRLHPVVPLLLPRECRETCEVMGYDIPIGTTVLVNVWAIGRDPKYWEDAETFIPERFEDGHIDFKGTNFEFIPFGAGRRMCPGMVFAEVIMELALASLLYHFDWELPDGISPTKVDMMEELGATIRRKNDLYLIPAVRLHLHIAMAMADLELEKVASFLLAALLPLVLFKLAAAKRGGGDGGMRLPPGPWRLPVIGNLHQIMAGGQLVHRTMAGLARGLGDAPLLSLRLGEVPVVVASSADAAREIMSRHDAKFATRPWSPTVRVQMVDGEGLAFAPYGALWRQLRKITMVELLSPRRVRSFRRVREEEVGRLVVAVATAATRRPGEAAVNVGERLTVLITDIAMRTIIGDRFERREDFLDAAAEWVKIMSGFSLGDLFPSSRLASFVSGTVRRAEANHRKNFELMDYALKQHEEKRAAAAAAGAGAVEDDEDIVDVLLRIQKEGGLEVPLTMGVIKGVIRDLFGAGSETSANTLQWTMSELVRNPRVMQKAQTELRDCLRGKQSVSEDDLIGLKYLKLVIKETLRLHPVVPLLLPRECQETCNIMGYDVPKGTNVLVNVWAICRDPRHWENAETFIPERFEDSTVDFKGTDFEFIPFGAGRRMCPGLAFAQVSMELALASLLYHFDWELPSGVAPSNLDMEEEMGITIRRKNDLYLVPKVHVAWCACFLFLALMVVRLRTKRRGDNNGGVKLPPGPWRLPLVGNLHQVMARGPLVHRTMADLARRLDAPLMSLRLGELRVVVASSADAAREITKTHDVAFATRPWSSTIRVMMSEGVGLVFAPYGALWRQLRKIAMVELLSARRVQSFRRIREDEVGRLVAAVAAAPAAQPVNVSERIAALISDSAVRTIIGDRFERRDEFLEGLAEAIKITSGGTTRRAEANHRKNFELMECALKQHEEKRAAAAAAAAGAVEDDEDIVDVLLRIQKEGSLQVPLTMGNIKAVVLDLFSAGSETSANTLQ >ONIVA02G07470.2 pep chromosome:AWHD00000000:2:4948772:4978560:1 gene:ONIVA02G07470 transcript:ONIVA02G07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQDLRKIAMVELLSARRVQSHHITGYLCLSLALLLLTLVLHKVARKATGNGAGKPRLPPGPWRLPVIGNLHQVAMGGPLVHRTMADLARRLDAPLMSLRLGELRVVVASSANAAREITKTHDVAFATRPWSSTIRVLMSDGITSGFSLGDLFPSSRLASFVGGTTRRAEANHRKNFELIECALRQHEERRAAGAVDDDEDLVDVLLRVQKDGSLQMPLTMGNIKAVVLELFGAGSETSANTLQWAMTELIMNPRVMLKAQAELSNVIKGKQTISEDDLVELKYLKLIIKETLRLHPVVPLLLPRECRETCEVMGYDIPIGTTVLVNVWAIGRDPKYWEDAETFIPERFEDGHIDFKGTNFEFIPFGAGRRMCPGMVFAEVIMELALASLLYHFDWELPDGISPTKVDMMEELGATIRRKNDLYLIPAVRLHLHIAMAMADLELEKVASFLLAALLPLVLFKLAAAKRGGGDGGMRLPPGPWRLPVIGNLHQIMAGGQLVHRTMAGLARGLGDAPLLSLRLGEVPVVVASSADAAREIMSRHDAKFATRPWSPTVRVQMVDGEGLAFAPYGALWRQLRKITMVELLSPRRVRSFRRVREEEVGRLVVAVATAATRRPGEAAVNVGERLTVLITDIAMRTIIGDRFERREDFLDAAAEWVKIMSGFSLGDLFPSSRLASFVSGTVRRAEANHRKNFELMDYALKQHEEKRAAAAAAGAGAVEDDEDIVDVLLRIQKEGGLEVPLTMGVIKGVIRDLFGAGSETSANTLQWTMSELVRNPRVMQKAQTELRDCLRGKQSVSEDDLIGLKYLKLVIKETLRLHPVVPLLLPRECQETCNIMGYDVPKGTNVLVNVWAICRDPRHWENAETFIPERFEDSTVDFKGTDFEFIPFGAGRRMCPGLAFAQVSMELALASLLYHFDWELPSGVAPSNLDMEEEMGITIRRKNDLYLVPKVHVAWCACFLFLALMVVRLRTKRRGDNNGGVKLPPGPWRLPLVGNLHQVMARGPLVHRTMADLARRLDAPLMSLRLGELRVVVASSADAAREITKTHDVAFATRPWSSTIRVMMSEGVGLVFAPYGALWRQLRKIAMVELLSARRVQSFRRIREDEVGRLVAAVAAAPAAQPVNVSERIAALISDSAVRTIIGDRFERRDEFLEGLAEAIKITSGGTTRRAEANHRKNFELMECALKQHEEKRAAAAAAAAGAVEDDEDIVDVLLRIQKEGSLQVPLTMGNIKAVVLDLFSAGSETSANTLQ >ONIVA02G07470.3 pep chromosome:AWHD00000000:2:4948792:4978560:1 gene:ONIVA02G07470 transcript:ONIVA02G07470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQDVTGYLCLSLALLLLTLVLHKVARKASAEASAGAVAAAREITKTHDVAFATRPWSSTVRVLMSDGVGLVFAPYGALWRQLRKIAMVELLSARRVQSFRGIREDEVGRLVAAVAAASAAQPGEAVNVSERIAVLIADSVVRQAGRIPGSARREGQDHLGDLFPSSRLASFVGGTTRRAEANHRKNFELIECALRQHEERRAAGAVDDDEDLVDVLLRVQKDGSLQMPLTMGNIKAVVLTSANTLQWAMTELIMNPRVMLKAQAELSNVIKGKQTISEDDLVELKYLKLIIKETLRLHPVVPLLLPRECRETCEVMGYDIPIGTTVLVNVWAIGRDPKYWEDAETFIPERFEDGHIDFKGTNFEFIPFGAGRRMCPGMVFAEVIMELALASLLYHFDWELPDGISPTKVDMMEELGATIRRKNDLYLIPAVRLHLHIAMAMADLELEKVASFLLAALLPLVLFKLAAAKRGGGDGGMRLPPGPWRLPVIGNLHQIMAGGQLVHRTMAGLARGLGDAPLLSLRLGEVPVVVASSADAAREIMSRHDAKFATRPWSPTVRVQMVDGEGLAFAPYGALWRQLRKITMVELLSPRRVRSFRRVREEEVGRLVVAVATAATRRPGEAAVNVGERLTVLITDIAMRTIIGDRFERREDFLDAAAEWVKIMSGFSLGDLFPSSRLASFVSGTVRRAEANHRKNFELMDYALKQHEEKRAAAAAAGAGAVEDDEDIVDVLLRIQKEGGLEVPLTMGVIKGVIRDLFGAGSETSANTLQWTMSELVRNPRVMQKAQTELRDCLRGKQSVSEDDLIGLKYLKLVIKETLRLHPVVPLLLPRECQETCNIMGYDVPKGTNVLVNVWAICRDPRHWENAETFIPERFEDSTVDFKGTDFEFIPFGAGRRMCPGLAFAQVSMELALASLLYHFDWELPSGVAPSNLDMEEEMGITIRRKNDLYLVPKVHVAWCACFLFLALMVVRLRTKRRGDNNGGVKLPPGPWRLPLVGNLHQVMARGPLVHRTMADLARRLDAPLMSLRLGELRVVVASSADAAREITKTHDVAFATRPWSSTIRVMMSEGVGLVFAPYGALWRQLRKIAMVELLSARRVQSFRRIREDEVGRLVAAVAAAPAAQPVNVSERIAALISDSAVRTIIGDRFERRDEFLEGLAEAIKITSGGTTRRAEANHRKNFELMECALKQHEEKRAAAAAAAAGAVEDDEDIVDVLLRIQKEGSLQVPLTMGNIKAVVLDLFSAGSETSANTLQ >ONIVA02G07470.4 pep chromosome:AWHD00000000:2:4977898:4989125:1 gene:ONIVA02G07470 transcript:ONIVA02G07470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIMNPRTMLKAQAELRDALQGKQIVSEYDLVKLKYLKLIIKETLRLHPVVPLLLPRECQETCKVMDYDIPIGTIVLVNVWVIGRDPKYWDDAKTFRLERFEDGHVDFKGMNFEYLPFGAGRRMCPGVAFAEAIMELALASLLYHFDWEFPDGILPAKMDMMEVMGSTVAWCACFLFLALMVVRLRTKRRGDNNGGVKLPPGPWRLPLVGNLHQVMARGPLVHRTMADLARRLDAPLMSLRLGEVPVVVASSADAAREITKTHDVAFATRPWSPTTRRLRCDGEGVVFATYGALWRQLRKLCVVELLGARRVRSFRRVREEEARRLVAAVAASPRGEAVNVSERITAVITDATMRAMIGDRFGRRDEFLELLADIVKIGSGFSLDDLFPSWRLAGAIGGMARRAEANHRRTYELMDSVFQQHEQRRVHVAAPADGAMDDAEEDLVDVLFRIQKDGGLEVPLTIGNIKAIILDLFNAGSETSANTLQWVMSELMRNPKVMRKAQAELRNNLQGKTTVTEDDLTNLKYLKLVIKETLRLHPVLPLLLPRECQEACNVIGYDVPKYTTVFINVWAINRDPKYWDMAEMFKPERFDNSMIDFKGTDFEFVPFGAGRRICPGIAFAQSNMELVLATLLYHFDWELPSGMSPEELDMTEDMGLSVRRKNDLYLHPTVSCFAAAAAVVVVVLLLARMLLAPRGEWDGLNLPPSPPRLPFIGSFHLLRRSPLVHRALADVARQLGSPPLMYMRIGELPAIVVSSADAARELRKICIVKLLSVRRVSSFHGVREEEAGRLVAAVAATPPGQAVNLTERIEVVIADTTMRPMIGERFERREDFLELLPEIVKIASGFSLDDLFPSSWLACAIGGSQRRGEASHRTSYELVDSAFRQRQQQREAMAASPPDIAKEEEDDLMDDLIRIHKEGSLEVPLTAGNLKAVILDLFGAGSETSSDALQWAMSELMRNPRVMEKAQNEVQSILKGKPSVTEADVANLKYLKMIVKETHRLHPVLPLLIPRECQQTCQIMGYDVPQGSVIFINSWAIMRDPKHWDDAETFKPERFEDGEIDLKGTNYEFTPFGAGRRICPGLALAQASIEFMLATLLYHFNWELPNGAAPEELDMTEEMGITIRRKKDLYLLPTLRVPLTT >ONIVA02G07460.1 pep chromosome:AWHD00000000:2:4946931:4947223:-1 gene:ONIVA02G07460 transcript:ONIVA02G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTEEDRVESASNGGFFCYFSFARRHYNMNENVLMMDGCYCAMSSPSLYHATTPFHDSIIDYRSPSGCPLHYLSQDTYRHLHPYSKIQ >ONIVA02G07450.1 pep chromosome:AWHD00000000:2:4927517:4937818:1 gene:ONIVA02G07450 transcript:ONIVA02G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQDVTGYLCLFVALLVLLKVVRKASGNGAAGRLRLPPGPWRLPVIGNLHQVAMGGPLVHRTMADLARRLDAPLMSLRLGELRVVVASSADAAREITKTHDVAFATRPLSSTIRVMVSDGEGLVFAPYGALWRRLRKIAMLELLSARRVQSFRRVREEEVGRLVAAVAAAAAARPGEAVNLSQLIAELISDTAARTIIGDRFEKRQELLEGLTEGIRISSGFSLGDLFPSSRLANLIGGTTRRAEANHRKNLALIECALRQHEERRAAGDEEDDEDLVDVLLRVQKEGGGEVPLTMGNVKVVIREMFGAGSETSANTLQWLMSELILNPRVMSKAQVELSDTLRGKQTVTEDDLAGLKYLKLIIKENLRLHPVVPLLLPRECQKTCKVMMYDVPVGTTVLVNVWSINRDPKYWEDPETFKPERFEDGHIDFKGTDFEFIPFGAGRRMCPGITFAEAIMELALASLLYHFDWKLLGNGISSTKLDMTEELDAMAMVQDATGYLSLFLALLSITLVLHKVARKASGDGAGKPRLPPGPWRLPVIGNLHQIAMGGPLVHRTMADLARRHDAPLMSLRLGEVPVVVASSADAAREITKTHDVAFATRPWSSTIRVLMSDGVGLVFAPYGALWRQLRKIAVVELLSARRVQSFRGIREDEVGRLVAAVAAAPAAQPVNVSERIAALISDSAVRTIIGDRFERRDEFLEGLAEGIKITSGFSLGDLFPSSRLASFVGGTTRRAEANHRKNFELIECALRQHEERRAARAGAAAAGAVDDDEDLVDVLLRIQKEGKLEVPLTMGNINAVIYDLFGAGSETSANTLQWVMSELILNPRVMLKLQAELRGILQGKQRVTEDDLVELKYLKLVIKETLRLHPVVPLLLARECQDTCKIMGYDIPVGTIVFVNVWVICRESKYWKDAETFRPERFENVCVDFKGTHFEYIPFGAGRRMCPGVAFAEASMELVLASLLYHFDWKLPNDILPTKLDMTEEMGLSIRRKNDLYLIPTICVPPLAA >ONIVA02G07440.1 pep chromosome:AWHD00000000:2:4921060:4923602:1 gene:ONIVA02G07440 transcript:ONIVA02G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRVTAMHVQETDGGGDDTMTCVQERAATAGNGDADARSNTAEIDGASAAQIDRAAEVRGLIGHNSRSNFADDAMKVPSHAHHRCRITDSSLTRVLKEILFLI >ONIVA02G07430.1 pep chromosome:AWHD00000000:2:4911259:4916243:-1 gene:ONIVA02G07430 transcript:ONIVA02G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTSCYGYYHYLALAVAVLVLVRVTRTRGGGSDGVRLPPGPWRLPVIGSLHHLAGKPLVHRALADLARRMDAPLMYLRLGEVPVVVATSPGAAREVMRTHDVAFATRPVSPTVRIMTADGEGLVFAPYGALWRQLRRIAILELLSARRVQSFRRVREEEAARLAAAVAAAAPHGEAAVNVSERIAVLIADSAVRAMIGDRFKKRDEFLEALAEGLKLVSGFSLADLFPSSWLASFVTGAARRAQENHRKNFELMDRAIEQHQERRAAAAAASGDVVEDDDLVDVLLRIQKGGGLDVPLTMGIIKAVILDLFSAGSETSATTIQWAMSELMRNPRVMKRAQAELRDNLQGKPKVTEEDLADLNYLKLIIKETLRLHLPAPLLLPRESRESCKIFGYDVPKGTTVFVNAWAIGRDPKYWDDPEEFKPERFEDSKIDFKGLDFEFLPFGSGRRMCPGIMFAQPNIELALATLLYHFDWSLPAGVKPSELDMTEEMGITVRRKNDLYLHAVVLIEHLACTAMAPMAQDVAEYLSIFLALVVAPLLLLRVARRARGNGAGRPRLPPGPWRLPVIGSLHHLMGKPQVHRAMADLARRHGAPLMYLRLGEVPFVVASSPDAAREVLRAQDANFASRPWSPTLRVMMADGKGLTFARHGAHWRLRKICVLELLGPRRVRSFRRVREEEVARLLAAVAAAAAAGADAVVNVSERAAVLVTDTTVRAMIGDRFEMRDEYLEGVAEVGKLLLGLSLGDLFPSSRLASLVSGTARRAAASHRKMFELMDCAIRHHQERKAAMDADEDILDVLLRMQKEGGHDAPLTMGDVKDTILDLFAAGTETSTATLQWAMSEVVRNPRIMQKAQAELRNKLQGKPSVTEDDLVGLTYLKLVIKETLRLHPAAPMLVPRECGESCKVLGYDVPRGTNVLINAWAIGRDPNYWDDTETFKPDRCENNKYDFRGTDFEYIPFGSRRKICPCPAFTHAILELALAALLYHFDWELPCGVAQGRWIWPRRRASSFARRTTSTCVRSSVCRRELPRAAMVAPSHAPHLLPSLNYVKM >ONIVA02G07420.1 pep chromosome:AWHD00000000:2:4907771:4910864:1 gene:ONIVA02G07420 transcript:ONIVA02G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFGDELIPSSPPSPSPPHHHHHPAKRSRCSPARAFDEATHRREALLHHLLSLFPHMDPQLLERALEASGDDIDSAIKSLNELCLESAAVGDSNSVLPAALKLSAEGVVNNGHLDVLTENPHATENFQTNHHGSEWVELFVREMMSASDIDDARARASRALEALEKSIMERAGTEAVHNLHKENVMLKEQLAIYLRENAVLKRGVAIQHERQKEFDERTQEVHSLKQLVLQYQEQIKTLEINNYALRVHLKQAQQNNSMPGRFPPDVF >ONIVA02G07410.1 pep chromosome:AWHD00000000:2:4898732:4907155:1 gene:ONIVA02G07410 transcript:ONIVA02G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHFSALAPPPPPLLFLLFLPFPWLRLHSSAHSSPPPRSRRDLHGGGGGMAGNDNWINSYLDAILDAGKAAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKERVSLATVYVIVPRATILEALNFVILLSTICSYMQFEKEEACRLLKRQPEAEKLRTDTNADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPTEMLVSTSFKNSKQEKGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALGHIVRMSKTIGEEIGCGHPVWPAVIHGHYASAGIAAALLSGSLNIPMAFTGHFLGKDKLEGLLKQGRHSREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHIIHDFEMDGEEENPCPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITSLVKAFGECRPLRELANLTLIMGNREAISKMNNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRSPAIGGKQEQKAPISGRKHIIVISVDSVNKEDLVRIIRNTIEVTHTEKLSGSTGFVLSTSLTISEIRSLLVSAGMLPTVFDAFICNSGSNIYYPLYSGDTPSSSQVTPAIDQNHQAHIEYRWGGEGLRKYLVKWATSVVERKGRIERQIIFEDPEHSSTYCLAFRVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVVPIHASRSQALRYLCIRWGIELPNVAVLVGESGDSDYEELLGGLHRTVILKGEFNIPANRIHTVRRYPLQDVVALDSSNIIGIEGYSTDDMKSALQQIGVLTQ >ONIVA02G07400.1 pep chromosome:AWHD00000000:2:4889106:4896436:-1 gene:ONIVA02G07400 transcript:ONIVA02G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASEYRAQRNQEEIERETTTKIRISTCGRATGLPNLRGAVAKQEVCLRHGTISQARVHGSGSRHARQRSPAGPNPRDVASNFEITKPHRQLMRLVLAASLCCVLLLLRSSPSPPPPHL >ONIVA02G07390.1 pep chromosome:AWHD00000000:2:4882487:4888987:-1 gene:ONIVA02G07390 transcript:ONIVA02G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAILPELAAQVVIPVAAAVGIAFAVLQWALVSKVKLTAEPRRGEAGGAAGGKSGPSDYLIEEEEGLNDHNVVSKCAEIQTAISEGATSFLFTEYKYVGLFMSIFAVLIFLFLGSVEGFSTKSQPCHYSKDKTCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKAVSEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGAQKTVQSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWLRDVIVVILFLFFLLILIVILALALTLIIVPVLILVIVIFSTIVVITPSFTIGFRVPVLVLIIPFLFRGIFITSIIVALIFSILVVSLLIVIIIRLTIILVLRNRFSFAFAENPLGEGSKTNLSFEMRY >ONIVA02G07380.1 pep chromosome:AWHD00000000:2:4880216:4880425:-1 gene:ONIVA02G07380 transcript:ONIVA02G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSTAAQAARYSGGREEYLGVELGRGPAEGGARLGDGEEPMGGDRGSHGVGVGRRRRRERKGEQDR >ONIVA02G07370.1 pep chromosome:AWHD00000000:2:4880107:4885270:1 gene:ONIVA02G07370 transcript:ONIVA02G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASHRFLSVAEAGAAFRWTPSQLDPKMLSLQNEIFITSGGDRDLINRILSKSKTETVPENKDDGESDDDNDEEGDDEDAENQGDDDAGDEDASEEEGNDEDEDRDPEANGEGGSDDDDGGEDDDDEDEDGDDDEGEGEGEDDDEDEEEEEEEDDDDDVPQPPAKKRK >ONIVA02G07360.1 pep chromosome:AWHD00000000:2:4871457:4879175:-1 gene:ONIVA02G07360 transcript:ONIVA02G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G2M9] MASEIEVLEDTTAAAAAPAATTGGGEVAGAGAGEEGEAAADAEALKDDVYTGAAYGDLEKLHRLVEREGRPVTEPDALGYHALQWAALNNRVAAAQYILEYVLSFSVVCFGGMTVLSLLSGQHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTSYLYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSLKCFSLGCTPLHWAAIRGNLESCTVLVQAGKKEDLMVQDNTGLTPAQLAADKNHRQVAFFLGNARRVHERGCGQYSSNMTLLFGLFSWLGIFLATAGLVMFYKCSRKDPGYIDKNTRDAQNQRDDEPLLKRGLDNPELLAGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLILEVSAMIITGVTAIIRVVGDPDSPASFGGWLNYSATNHPWVVSFVVMDLFLFFGVITLTVVQASQISRNLTTNEMANAMRYSYLRGPGGRFRNPFDHGVRKNCSDFLLKGYNEDIERVEQTLQPDEELGMIQMTRSAVSQNGESMSLHANGTDHGCADPQGNSKSHRHSHGSSQCCSHSKRPDKTPLGLGLGLGRNNPSSRYTRSLPSIHSESSAYLPL >ONIVA02G07350.1 pep chromosome:AWHD00000000:2:4854397:4869544:-1 gene:ONIVA02G07350 transcript:ONIVA02G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVCEGKDFSFPAEEERVLRLWEELDAFHEQLRRTAGGEEFVFYDGPPFATGLPHYGHLLAGTIKDAVARHHAMRGRHVARRFGWDCHGVPVERAVDEALRIATRAQVLEMGVASYNDACRGVVTRYVAEWEAVVTRMGRWVDFEDGYKTMDIKFMESVWWVFAQLWDKDLVYKSFKVMPYSTGMKTPLSNFEAGQHRQFVPAETAMVSFPVVGDVDNAALVAWTTTPWTLPSNLALCVNANFVYAKVKDKSNGSVYIVAETRLDQLPVTVKVTGKKPGPSKGSSGAAKNGLDTESYELLEKIHGSSLVGLRYTPLFDYFSELQDTAFRVVADNCVADDTGTGVVHFAPAFGEDDYRVCLASGIVESARGYQSMLITFNADAISTALISQAISEKLCIPPAEFRVEILPKMTNDNVGAESWVEVLPKMTNDNVGVPNKVVLGCHLPDGQEVPSSPPEGDLEKLTAAPLPSVVSPLSLSAPNTAVALTVSTSHGSVPSSLNEGHGVSSKIAAPKEEHVTPTMDPPSRCEHLPLEVAPSNLVTDKAELLEITKAPAVKNRLAKRVRQKIITATSRRSVPLPSNMKKRRLLIDQMKTYVLMKKLGIITKDEEVDEDTMDRCIAFLKEAYPGHYVQLAVSSVALGNDLEVFIVVPNNNHHGFKSLATGTDLVVAVDDDGFFKDKISEFNGLLVTDKETNKYVINAVKCRFIRVERIRDQLLECNKETYWVPDYVKEKRFHNWLEGARDWAVSRTRFWGTPLPLWISQDGEEIIVMDSVDKLEKLSGVKISDLHRHHIDGITVPSQRGEEFGALKRVDEVFDCWFESGSMPYAYIHYPFENRELFEKNFPGDFIAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKNNYPSPMEVIDNYGADALRLYVINSPVVRAESLRFNKNGVHGVVKDVFLPWYNAYRFLVQNAKRLEVEGLAVFSPINQAILLRSSNVLDHWIQSATESLVSFVRQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEDDCRISLSTLYHALVTTCVVMAPFTPFFTEVLYQNLRKVSSKSEKSIHFCNFPSSTGQIDERVEHSVNRMMTIVDLARNIRERHNRPLKTPLRHAHYTTILFTQFLVLWEMVVVHPDNDFLEDITGKLKEYVKEEMNVKMVTACSDPLVYSSLRAEPNFSVLGKRLGKDMGKISNEVKKMTQDQILTFEESGEIFFGSHLLTLEDIKVFREFKRPANVSEKEIDAAGDGDVLVILDLQVDQSLFEAGVAREVVNKIQKLKKTAQLRLTDPVDVYYSSQDSSDSSLEKILQSQDQYIRDALGSPLTSKAQAQPNVEVICEEAYNCVSHYHTFRLLQETVKLVHPFIKIEDFP >ONIVA02G07340.1 pep chromosome:AWHD00000000:2:4852332:4852676:1 gene:ONIVA02G07340 transcript:ONIVA02G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVNSSSSSSSSSSPAKNAGAPAAVAKYCLCAPTTHPGSFRCRLHRSPAATAKAKAAIVPPPATEEEEEEGEEMAAARAFLARASRKSRQDGGRNRIKCFHPRTSRLGIIEE >ONIVA02G07330.1 pep chromosome:AWHD00000000:2:4846566:4849993:1 gene:ONIVA02G07330 transcript:ONIVA02G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVIMASRAPPLLLLLIVLSLVVLAALLAAAAADASAVAGGGRSSPSTTTFVLAGERTRRKDPLDGLRLYSGGWNISDEHYWASVGFTAAPVFAAAAIWFVVFGVSLFLAGCCFCCCPGSRRRGGGSYSCTALVVSLVLLLAFTAAAAVGCGVLYDGQGRFDGSTAATVEYVAGKSGDAVASLRGFASSMEAAKAAGVGPVSLPASVKGSIDGVVRKMSSAADELAAHTASNAAKIRDALETIRKILIVVAATMLILAVLGLAFSICGMESLVYVLVFLGWILVAATLLLCVTFLLLHNVVGDTCAAMGEWVQRPQARTALDDILPCVDTAAAADALARSKDVTHHLVTVLNGVIANVSNAAADGLPPPLYYNQSGPPVPLLCSPGERCAPGEVDLAAAPRAWRERVCRTTRAAAAAPEVCATVGRLTPAMYAQMVAAASACDALSRYGPVLADMADCAFVRRAFRVVGDEHCPGLGRHSAEVYRGLLAVAVAALASVVLWVAHSRERRRRRDAVELRAAASPYTVHHSHLEEGALLKSPRMMYR >ONIVA02G07320.1 pep chromosome:AWHD00000000:2:4836142:4837452:-1 gene:ONIVA02G07320 transcript:ONIVA02G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G12646) TAIR;Acc:AT2G12646] MWKPAWLEALNTQKFFIACSFHEHAKKNEKNICCLDCCTSICPHCVAAHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQVHQICIVHACKFDSCARVNMYAALQFCIYMVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFHCSLDCKVEYILRQKKDLSAYLRPCKTLQLGPDFFIPHDADDETTHSTLVDVDEPMGSSDSENLSAPCPNFVRKKRSGPYICARSANRVSDDDMATNMSRRKGVPHRSPLC >ONIVA02G07310.1 pep chromosome:AWHD00000000:2:4831104:4840268:1 gene:ONIVA02G07310 transcript:ONIVA02G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDRGGEIGERPVVRAFENWLLARRVFDVMSRRDAVQTVQIVVLAGAGCGVCYGGVFPRVDDAHECTSRAFQLYAPNHITRCGFDEDCNGLSQEQLFHHSLFLHNDGVECR >ONIVA02G07300.1 pep chromosome:AWHD00000000:2:4825742:4830493:-1 gene:ONIVA02G07300 transcript:ONIVA02G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT2G26000) TAIR;Acc:AT2G26000] MATSGGEDPSGSASSSASALDSLPFSSGNPRIEETRGVVLLHPEPPAASSSSLLPVGRKPRVCVPGVPNHLTYADFGRFCASWASHILETRIIRIDGVEDQYGVLIKFDTQSFTDSFYMSFNGNRFSSLEGNVCRVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPNDLTKILEAFLQQYATILSTIRACQSGQTLRAQYCQQEPEKSSCSVCGTSENLWICVICGHVGCGRYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGNLVEYNFYGDHSVDGMCSTCNGDAGISEALLDSKMEAIVEEYNDLVTSQLEKQRNYYESLLLEVKEDNEKEIAAATEKAVGIKVQKLQAKLDKCMEETGFLNDIHENLVKNMEMWRERIQKVKEREQAAIRLKDEKIEKLEEELRDLIAHFERQNTVAEASESMSSDINAFMETEASPPSPMSSLRDRLRATVCCCFGYGGGGGGGGLGDTVAQWRRRVGSPGEFRYDPLSYALNFDEGAADDEDDDYEAGDNHRADGLLYRSFSPPAAAIAAV >ONIVA02G07300.2 pep chromosome:AWHD00000000:2:4826528:4830493:-1 gene:ONIVA02G07300 transcript:ONIVA02G07300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT2G26000) TAIR;Acc:AT2G26000] MATSGGEDPSGSASSSASALDSLPFSSGNPRIEETRGVVLLHPEPPAASSSSLLPVGRKPRVCVPGVPNHLTYADFGRFCASWASHILETRIIRIDGVEDQYGVLIKFDTQSFTDSFYMSFNGNRFSSLEGNVCRVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPNDLTKILEAFLQQYATILSTIRACQSGQTLRAQYCQQEPEKSSCSVCGTSENLWICVICGHVGCGRYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGNLVEYNFYGDHSVDGMCSTCNGDAGISEALLDSKMEAIVEEYNDLVTSQLEKQRNYYESLLLEVKEDNEKEIAAATEKAVGIKVQKLQAKLDKCMEETGFLNDIHENLVKNMEMWRERIQKVKEREQAAIRLKDEKIEKLEEELRDLIAHFERQNTVAEASESMSSDINGSTILSVPSESSASSNSSIRN >ONIVA02G07290.1 pep chromosome:AWHD00000000:2:4821167:4824213:1 gene:ONIVA02G07290 transcript:ONIVA02G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYPDHGLAMDPSSAAASSPNPSFSPGGGGGAGVGGGEREKAAVAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAASAAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNDGSDGMGFGPLMLTEGERSLVERVRHELKNELKQGYKEKLVDIREEILRKRRAGKLPGDTASILKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSGEKTKKKR >ONIVA02G07280.1 pep chromosome:AWHD00000000:2:4812706:4818149:1 gene:ONIVA02G07280 transcript:ONIVA02G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAGDDDAVAAGIICSLRGADLAGKGAAREELIWPPVTRGKRSRRRSPSAVAAAAGKKGRWARASPASPLDYSGGSGSGSGSGSGSAASTSGGEDGAFCSPPGHRPAPATTKVSAMGRQQQLPFSAPSPLRPAGQRPRKKMRLPEVQQLVRSLAVENDSLREEMRTLQRACAALSKENDKLEIRLQISSSRNKPMITEDLKGKQQIDQQSATQSIGGSFALPDLNIPVQDAADGSVH >ONIVA02G07280.2 pep chromosome:AWHD00000000:2:4812506:4818149:1 gene:ONIVA02G07280 transcript:ONIVA02G07280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAGDDDAVAAGIICSLRGADLAGWTPPWWCSSSSKGAAREELIWPPVTRGKRSRRRSPSAVAAAAGKKGRWARASPASPLDYSGGSGSGSGSGSGSAASTSGGEDGAFCSPPGHRPAPATTKVSAMGRQQQLPFSAPSPLRPAGQRPRKKMRLPEVQQLVRSLAVENDSLREEMRTLQRACAALSKENDKLEIRLQISSSRNKPMITEDLKGKQQIDQQSATQSIGGSFALPDLNIPVQDAADGSVH >ONIVA02G07270.1 pep chromosome:AWHD00000000:2:4802569:4811843:-1 gene:ONIVA02G07270 transcript:ONIVA02G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWSGFVGRRGHPCGALVPPGSDGGQEDLQSVDRVEPSLIRPLTTIRPLSGSPYRTISRETPLTSKIRCLYSDLGDEARSIPPINPEGICTCPGGDGLSSPWAGAGQRFRSGPDEEGMRSTLPAR >ONIVA02G07260.1 pep chromosome:AWHD00000000:2:4799500:4802543:-1 gene:ONIVA02G07260 transcript:ONIVA02G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLTPLSSSSSSSRFFFLLVLVLVLSCSLPANGRTHRSPAAAASPSPGPGPSPAPATPRVVPPAPAPATGGGGGGGGGGGGIFSSNGSLAVTPSAAAAAAAVAPSPPLGAVAAMEQRQHHHFHKELIIAIGLASVAGMAIVATVLYACILCRHSRRAHDSKNIRSSSDTARVALVPMLNKFNSMKTNKKGLVAMMEYNTLETATGKFSESNLLGAGGFGCVYKANFEGGLVAAVKRFGHRGQDCEKEFENELDLLGSIRHLNIVSLLGFCIHEENRFIVYELMENGSLEAQLHGPSHGSALSWHIRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKISDFGLAVTSGNHSKGSLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRRPVEKTAQSQCQSIVTWAMPQLTDRSKLPNIIDPMIKNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRISPESPCATRKQSPC >ONIVA02G07250.1 pep chromosome:AWHD00000000:2:4792819:4796408:-1 gene:ONIVA02G07250 transcript:ONIVA02G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0E0G2L6] MAGDLGFVSLQIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPQAFASLVSALLYEKRFILIKESLYGACESMYKPSMDSHRGSGESDQGKDGLSARPIRHSLFTQCKHHLWGPQISSPTPNPQLVLLLPRHPTAPALPSSAARSLAASAQAPAASAPVVSEHYGGGGGRRRCRRLRRCAPSLPRPTLPLPGGGDEEAERGGRRRRRGRTTAAAAREDSGGGVLGLIFGKRQAEERQGRS >ONIVA02G07240.1 pep chromosome:AWHD00000000:2:4789925:4790521:-1 gene:ONIVA02G07240 transcript:ONIVA02G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMSKLAGGGGDVTFVGGGRRMAAAAAAALRLVELDLIGTVGAAVPGQATAPRLLVVSPAPAKEEDEEEERDGDDDGEPRQLFACHYCRREFYSSQALGGHQNAHKRERTLARRGAGAGAGGEQASSSSFAIHHGAFVSASPGWMARVLHGEAPPAISVAGDGGGGERWWWGGGNVGYYWPRDGDDQTRQLDLTLKL >ONIVA02G07230.1 pep chromosome:AWHD00000000:2:4766474:4770976:-1 gene:ONIVA02G07230 transcript:ONIVA02G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:A0A0E0G2L4] MTVEERQGRVGGHGVSGGGGGRDQFPVGMRVLAVDDDPTCLKILENLLLRCQYHVTTTGQAATALKLLRENKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRLEQLRTIWQHVIRRKNCDAKNRGNDDDAGQKAQGMNNEGESIGANRNKRQSRKSRDENGDDGDDSDENSNENGDSSTQKKPRVVWSVELHRKFVAAVNQLGIEKAVPKKILDLMNVENITRENVASHLQKYRLYLKRLSTDASRQANLAAAFGGRNPAYINMNSFGNYNAYGRYRTVPTAGHTQANNILTRMNSPSAFGVHGLLHSQPIQLGHAQNNLSTSLNDLGGLNNGNMIRGAQMSTILTGPSGNSFPNISNGAPLATANRSLQHLESSNQQHLSRVHSSSADPFSTLVGESPQFPDLGRTTNTWQTAVPSNIQDRGHNDNMSQATLHMNGPKIEPVSSFTSSNQIPLLGNEMQGQVASLASNVPIAFNQDTSPFNYGSSTNSRDMLNNSHVFSNSSINTSLPNLSLDNPAVPKQTLDRGNTGIVSPMQDGRIHHQAVSNQLNYNDDLMRTTGLQRGLSGGLDDIVVDMFRPDREDDGVPYIDGDWELFCEDGKNSCVRLRPELRILKLQISEEKLLNYSLN >ONIVA02G07220.1 pep chromosome:AWHD00000000:2:4765744:4766129:1 gene:ONIVA02G07220 transcript:ONIVA02G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTLRVAGWEKRARSRGDFRAAAAEAAAAKGGDAWRRGKKKKKKKKVEKRIEAKIILSGPFISESTV >ONIVA02G07210.1 pep chromosome:AWHD00000000:2:4760669:4765767:-1 gene:ONIVA02G07210 transcript:ONIVA02G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:casein lytic proteinase B4 [Source:Projected from Arabidopsis thaliana (AT2G25140) TAIR;Acc:AT2G25140] MSRATAVSRLARAARAARAAAAARRHHAGGRDPLRALASLAGDASASAGGGARRPAWFAPPMGRLGGGGLLVPPPPPPPQRRLFHPTQAARYSTSSSSQITPGEFTEMAWEGVVGAVDAARMSKQQVVEAEHLMKALLEQKDGLARRIFSKAGIDNTSVLQATDEFISRQPKVVGDTSGPIIGSSFVSILDNARKHKKEYADEFVSVEHILRAFTEDKRFGQQLFRDLKIGENELKEAISAVRGSQRVTDQIVFLQRYLFVQLSIHNLRGDSANGNGFVSARWFFMLALKCTQCDCLITLGIITLFMVLLPDPEGKYQALEKYGIDMTELARRGKLDPVIGRDDERIVRGDVPEPLQNRKLISLDMGALLAGAKFQGQFEERLKAVLKEITASNGQIILFIDEIHTIVGAGAAGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVSAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEITSKPIELDEVDREIIRLEMEKLSLKNDTDKASKQRLSKLEADLESLKQKQKNLSEHWEYEKSLMTRIRSIKEETDRVNLEIEAAEREYDLNRAAELKYGTLLSLQKQLEEAENKLMEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVSNLQQSEKEKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASLMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYVGYGEGGQLTEAVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTSDSKEAVYEIMKKQVIDMARQSFRPEFLNRIDEYIVFQPLDTTEINRIVEIQLNRVKNRLRQQKIHLQYTPEAVEHLGSLGFDPNYGARPVKRVIQQTVENEIALSVLKGDFKEDDTVLVDVSSVAIAKGLAPQKKLVLQRLENANLELVAND >ONIVA02G07200.1 pep chromosome:AWHD00000000:2:4755634:4759507:1 gene:ONIVA02G07200 transcript:ONIVA02G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFEGYGYRGSTFEQTYRCYPASFIDKPQLETGDKIIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLTEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPERQKAAVPPSTAPTAEEAAEEEPKFIPFTGPGRRLDGKAPKDKDVSASSPAKRQANATNSVQPSTASTSQSSSSRKTTGKLVFGPGGNRTSKETEKVPEKEPKEDPKKDEPKFSAFTGRKYSLKG >ONIVA02G07200.2 pep chromosome:AWHD00000000:2:4755941:4759507:1 gene:ONIVA02G07200 transcript:ONIVA02G07200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFEGYGYRGSTFEQTYRCYPASFIDKPQLETGDKIIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLTEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPERQKAAVPPSTAPTAEEAAEEEPKFIPFTGPGRRLDGKAPKDKDVSASSPAKRQANATNSVQPSTASTSQSSSSRKTTGKLVFGPGGNRTSKETEKVPEKEPKEDPKKDEPKFSAFTGRKYSLKG >ONIVA02G07190.1 pep chromosome:AWHD00000000:2:4751413:4752533:1 gene:ONIVA02G07190 transcript:ONIVA02G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAIGAREKKLVRISDFLLLHDDSDGAGGADHELLRRRRRRRRRQEDEEEEVVAAAAAQVASPPSPGTPRLRIPGFTCARLRFVSFRGGRGGRRDGGRKEELAAEKSEAASSSADEASGREVAAGSGSGASSSAATATTTEAAAGLGLSLLFLLARTSAELNKMAEVRAQMEALLSEMRDEAAICKRNIAAAARRELRTTSSSSSSISTRLASGYSSNTSSAGRVASSPAANGEVEIKKPLQEEEWSDDGEFIELEGGFGFVAGGDEEDGGSGGGGVSGVELERRLREVQHERDRERVAELESALRRAERRLMEKEMEARLWKDTAELALQRPPPPPLAGGRQ >ONIVA02G07180.1 pep chromosome:AWHD00000000:2:4749597:4749845:1 gene:ONIVA02G07180 transcript:ONIVA02G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWCGLQLLVVVSSLAGGGEAMAPNWDFGSIIWHFAICDERRRWMMGRSNWPCLRADGLFLRGVVVVAFFPTASVINHWGME >ONIVA02G07170.1 pep chromosome:AWHD00000000:2:4731465:4733967:1 gene:ONIVA02G07170 transcript:ONIVA02G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWISTQPSLSLDLRVGLPATAAVAMVKPKVLVEEDFFHQQPLKKDPEVAALEAELKRMGAENRQLSEMLAAVAAKYEALQSQFSDMVTASANNGGGGGNNQSSTSEGGSVSPSRKRKSESLDDSPPPPPPPHPHAAPHHMHVMPGAAAAGYADQTECTSGEPCKRIREECKPKISKLYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSAEDNTILVATYEGEHNHGQPPPPLQSAAQNSDGSGKSAGKPPHAPAAAAPPAPVVPHRQHEPVVVNGEQQAAAASEMIRRNLAEQMAMTLTRDPSFKAALVTALSGRILELSPTKD >ONIVA02G07160.1 pep chromosome:AWHD00000000:2:4685580:4715830:-1 gene:ONIVA02G07160 transcript:ONIVA02G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHLSPSRWRGSAASAAVPDVARARALLPHGGRTWTLGQKIKGHFWLTEERCQGRLTLAFQQQHVKLVPFDGVTRAEAGPQVIESWRRSMDGLSVITIQLRFDDQDAMLDDTLTGKGGQGIRAVAGTTTEESDTDAGDNGLPHDHNSVFMHRDRILREEAGEDPAELAAVQGALGADVPASAGPRRLQHPDVGLGGVHDVHSRSLQKESSRGESGSRRGSMYMVPMVEESTTRRTPASAATSTTFSVPVITGSMISSCATTMTSPFLWGRERGGGGIGGLPGRRASARRCG >ONIVA02G07160.2 pep chromosome:AWHD00000000:2:4688424:4715830:-1 gene:ONIVA02G07160 transcript:ONIVA02G07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHLSPSRWRGSAASAAVPDVARARALLPHGGRTWTLGQKIKGHFWLTEERCQGRLTLAFQQQHVKLVPFDGVTRAEAGPQVIESWRRSMDGLSVITIQLRFDDQDAMLDDTLTGKGGQGIRAVAGTTTEESDTDAGDNVVVLLLALGAEAWRCCQYTGALWLLAMVVGFIKASILARLETDTVE >ONIVA02G07160.3 pep chromosome:AWHD00000000:2:4688037:4715830:-1 gene:ONIVA02G07160 transcript:ONIVA02G07160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHLSPSRWRGSAASAAVPDVARARALLPHGGRTWTLGQKIKGHFWLTEERCQGRLTLAFQQQHVKLVPFDGVTRAEAGPQVIESWRRSMDGLSVITIQLRFDDQDAMLDDTLTGKGGQGIRAVAGTTTEESDTDAGDNGLPHDHNSDELPEIGNLPEISN >ONIVA02G07160.4 pep chromosome:AWHD00000000:2:4686463:4715830:-1 gene:ONIVA02G07160 transcript:ONIVA02G07160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHLSPSRWRGSAASAAVPDVARARALLPHGGRTWTLGQKIKGHFWLTEERCQGRLTLAFQQQHVKLVPFDGVTRAEAGPQVIESWRRSMDGLSVITIQLRFDDQDAMLDDTLTGKGGQGIRAVAGTTTEESDTDAGDNGIGYSGKRPARIRQSSPRCRVRSAQMYRRPPAPGASSTLTWASAASTTCT >ONIVA02G07160.5 pep chromosome:AWHD00000000:2:4688735:4715830:-1 gene:ONIVA02G07160 transcript:ONIVA02G07160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHLSPSRWRGSAASAAVPDVARARALLPHGGRTWTLGQKIKGHFWLTEERCQGRLTLAFQQQHVKLVPFDGVTRAEAGPQVIESWRRSMDGLSVITIQLRFDDQDAMLDDTLTGKGGQGIRAVAGTTTEESDTDAGDNGIATVTTVTSDHCRFN >ONIVA02G07160.6 pep chromosome:AWHD00000000:2:4688037:4715830:-1 gene:ONIVA02G07160 transcript:ONIVA02G07160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEHLSPSRWRGSAASAAVPDVARARALLPHGGRGQKLGHRLRYRVITIQLRFDDQDAMLDDTLTGKGGQGIRAVAGTTTEESDTDAGDNAARSGEGDELPEIGNLPEISN >ONIVA02G07150.1 pep chromosome:AWHD00000000:2:4685133:4695199:1 gene:ONIVA02G07150 transcript:ONIVA02G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVCVTGAGGFIGSWIVKLLLARGYAVRGTSRRADDPKNAHLWALDGAAERLTMVSVDLLDRGSLRAAFAGCHGVIHTASPMHDDPEEIIEPVITGTLNVVEVAADAGVRRVVLSSTIGTMYMDPRRDPDSPLDDSFWSDLDYCKNTKNWYCYAKTIAERKAWEVARGRGVDMAVVIPVVVLGELLQPGMNTSTKHILKYLTGEAKTYVNESHAYVHVVDAAEAHVRVLEAPGAGGRRYICAERTLHRGELCRILAGLFPEYPIPTRCRDEINPPKKGYKFTNQPLKDLGIKFTPVHEYLYEAVKSLEDKGFIKKTSNTKELHRQSSPPQNSPASMLMSKL >ONIVA02G07150.2 pep chromosome:AWHD00000000:2:4685133:4690374:1 gene:ONIVA02G07150 transcript:ONIVA02G07150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVCVTGAGGFIGSWIVKLLLARGYAVRGTSRRADDPKNAHLWALDGAAERLTMVSVDLLDRGSLRAAFAGCHGVIHTASPMHDDPEEIIEPVITGTLNVVEVAADAGVRRVVLSSTIGTMYMDPRRDPDSPLDDSFWSDLDYCKNTKNWYCYAKTIAERKAWEVARGRGVDMAVVIPVVVLGELLQPGMNTSTKHILKYLTGEAKTYVNESHAYVHVVDAAEAHVRVLEAPGAGGRRYICAERTLHRGELCRILAGLFPEYPIPTRCRDEINPPKKGYKFTNQPLKDLGIKFTPVHEYLYEAVKSLEDKGFIKKTSNTKELHRQSSPPQNSPASMLMSKL >ONIVA02G07140.1 pep chromosome:AWHD00000000:2:4675289:4677502:-1 gene:ONIVA02G07140 transcript:ONIVA02G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G2J8] MGEAELSLAAVRDALVREEDSIVFALIERARRPRNAPAYAAAAAAGGRSLAEFFVREAEVLHAKAGQYQKPEDVPFFPQDLPSPLFPTKDYPKVLHSFASSVSVNDAIWKMYFNELLPLFTVDGDDGNYAETVALDFACLKALSRRIHIGKYVAEVKFKDASQDYSPLIRAKDTKALMNLLTFKAVEEKVKRRVEKKARIFGQNVTLEDNADKQEGNAGDSECKVNPEVLSKLYDLWVMPLTKDVEVEYLLRRLD >ONIVA02G07130.1 pep chromosome:AWHD00000000:2:4672058:4674709:-1 gene:ONIVA02G07130 transcript:ONIVA02G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFFSDQQRPWQRRRSKLGSHRGCRSSLRKTPPPERSPEGGEESSDATGNAKPSIQTREFFRFNLVHFDDGIFRV >ONIVA02G07120.1 pep chromosome:AWHD00000000:2:4670171:4671861:1 gene:ONIVA02G07120 transcript:ONIVA02G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKIMAAGKKMVRVREFIMEKDLPAVEELERLCQAGLSGDNGAGGGGGKKKKRGMSLYAEQIGDPFARVRHAPDHVILVAECGDEVVGVIKACVRMVTRGSSSSLRKTKTKTNKFVKAACLLGLRVSPSHRRLGIATELVRRAEEWCAARGAAYATMATTASNAASLALFQGRFKYALFRKPRFLGHPVHRHRARVPRAHRVLQLPPPLAAAAYAALLPAAAAAAAAPEFVPADLPALLAHKLTRGTYLAVERSPGAGAPSSFAVLSVYDATRSLSFRVGGAQPLLRASLAAARALDRRAPWLRVPSVPDVFRPFGAYLLYGLHMSGPAGAALLRTLCRHAHNVARNNPACAVVAADVAPDDPAAAAVPHWRRFSCDEDVWCIKKITSVAANGNAAPAAGDDDDWTTAPPSSVLFVDPREF >ONIVA02G07110.1 pep chromosome:AWHD00000000:2:4648800:4652451:1 gene:ONIVA02G07110 transcript:ONIVA02G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTALSPVAFKSSFSPLLFNPTRSKINVEGAFCLPCYNRKKASNRSFRVYSLFGGKKDKDENGEEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPVRVEITEAAMEVGAEKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGDGLK >ONIVA02G07110.2 pep chromosome:AWHD00000000:2:4648735:4652451:1 gene:ONIVA02G07110 transcript:ONIVA02G07110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTALSPVAFKSSFSPLLFNPTRSKINVEGAFCLPCYNRKKASNRSFRVYSLFGGKKDKDENGEEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPVRVEITEAAMEVGAEKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGDGLK >ONIVA02G07100.1 pep chromosome:AWHD00000000:2:4643659:4646304:-1 gene:ONIVA02G07100 transcript:ONIVA02G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C12, ubiquitin carboxyl-terminal hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT5G16310) TAIR;Acc:AT5G16310] MGPCPTPSLQSHPSPTTHARSPPTRRRRRIRFAPSAAPRAASVDAGPTRAVREGGGGGGASAGSDHLQEGAQGFFFDMSWAAIENDPAVFTELLQQMQLKGLQVDELYSLDLDALNDLQPVYGLIVLYKWQTPEKDERPIKDPIPNLFFAKQIINNACATQAIVSVLLNSPGITLSEELKKLKEFAKDLPPDLKGLAIVNSESIRLASNSFARPEVPEEQKSSVKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGGVGDIGWLRMVQPVIQKRIDRFSQNEIRFSVMAILKNRREKFTLELKELQRKRENLLAQMGDPSANRHAPSVEHSLAEVAAHIEAVTEKIIMEEEKWKKWKTENIRRKHNYVPFLFNFLKILEERQQLKPLIEKAKQKSHSSANPR >ONIVA02G07090.1 pep chromosome:AWHD00000000:2:4638883:4643310:1 gene:ONIVA02G07090 transcript:ONIVA02G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVISHSQPQHQLHHSPPLRDRKGRADPPRTRSPRDSDLPENLRLAARRIHPSRHLSPTRNLLALGETTLINMGIYLSTPKTDKFSEDGENDKLKFGLSSMQGWRASMEDAHSALLNLDNETSFFGVFDGHGGRVVAKFCAKYLHSQVLRSEAYSAGDLGTAVHRAFFRMDEMMRGQRGWRELSALGDKINKIGGMIEGLIWSPRGSYSNNGQDDWSFEEGPHSDFAGPTCGCTACVALIRNNQLVVANAGDSRCVISRAGQAYNLSRDHKPELEAERDRIVKAGGFIHMGRINGSLNLTRAIGDMEFKQNKFLPPEKQIVTANPDINVVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHIQKESSLSAVCERVLDRCLAPSTIGGEGCDNMTMVLVQFKKPITQNKKADVGEQSAKGVEEAEIK >ONIVA02G07090.2 pep chromosome:AWHD00000000:2:4638883:4643223:1 gene:ONIVA02G07090 transcript:ONIVA02G07090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVISHSQPQHQLHHSPPLRDRKGRADPPRTRSPRDSDLPENLRLAARRIHPSRHLSPTRNLLALGETTLINMGIYLSTPKTDKFSEDGENDKLKFGLSSMQGWRASMEDAHSALLNLDNETSFFGVFDGHGGRVVAKFCAKYLHSQVLRSEAYSAGDLGTAVHRAFFRMDEMMRGQRGWRELSALGDKINKIGGMIEGLIWSPRGSYSNNGQDDWSFEEGPHSDFAGPTCGCTACVALIRNNQLVVANAGDSRCVISRAGQAYNLSRDHKPELEAERDRIVKAGGFIHMGRINGSLNLTRAIGDMEFKQNKFLPPEKQIVTANPDINVVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHIQKESSLSAVCERVLDRCLAPSTIGGEGCDNMTMVLVQFKKPITQNKKADVGEQSAKGVEEAEINSVPCCCGAALVW >ONIVA02G07080.1 pep chromosome:AWHD00000000:2:4628851:4637903:1 gene:ONIVA02G07080 transcript:ONIVA02G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEGGKSKDGGSVPKKGSRYVPSFLPPSFGKEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGSGDSILLEVNCDSKEGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHSGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGYKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTTSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGRLHQLKSVAYMKSIWPATCRMA >ONIVA02G07080.2 pep chromosome:AWHD00000000:2:4628851:4637903:1 gene:ONIVA02G07080 transcript:ONIVA02G07080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEGGKSKDGGSVPKKGSRYVPSFLPPSFGKEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHSGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGYKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTTSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGRLHQLKSVAYMKSIWPATCRMA >ONIVA02G07080.3 pep chromosome:AWHD00000000:2:4628851:4637903:1 gene:ONIVA02G07080 transcript:ONIVA02G07080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGSGDSILLEVNCDSKEGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHSGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGYKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTTSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGRLHQLKSVAYMKSIWPATCRMA >ONIVA02G07080.4 pep chromosome:AWHD00000000:2:4628851:4636999:1 gene:ONIVA02G07080 transcript:ONIVA02G07080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEGGKSKDGGSVPKKGSRYVPSFLPPSFGKEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHSGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGYKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTTSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGRVSGLPPAAWLEEPVY >ONIVA02G07080.5 pep chromosome:AWHD00000000:2:4628851:4637580:1 gene:ONIVA02G07080 transcript:ONIVA02G07080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHSGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGYKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTTSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGR >ONIVA02G07080.6 pep chromosome:AWHD00000000:2:4628851:4637580:1 gene:ONIVA02G07080 transcript:ONIVA02G07080.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTLYVAYFYPGMFHLFCRRHLGKSRTKRLVQFQYSYGCLSSHYGFLVKHYLAVQKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGYKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTTSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGR >ONIVA02G07080.7 pep chromosome:AWHD00000000:2:4628851:4635579:1 gene:ONIVA02G07080 transcript:ONIVA02G07080.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEGGKSKDGGSVPKKGSRYVPSFLPPSFGKEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEAQRRWCLGHRKGSGNKGAVRASAS >ONIVA02G07070.1 pep chromosome:AWHD00000000:2:4621737:4627035:-1 gene:ONIVA02G07070 transcript:ONIVA02G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: autophagy; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Autophagy-related protein 17 (InterPro:IPR007240), Autophagy-related protein 11 (InterPro:IPR019460); Has 8793 Blast hits to 6 /.../oteins in 570 species: Archae - 89; Bacteria - 657; Metazoa - 4844; Fungi - 808; Plants - 441; Viruses - 15; Other Eukaryotes - 1939 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G30790) TAIR;Acc:AT4G30790] MVLTGRNWGKGRGEEEEELCVLGWARRASGSAVTGGGGGGGGAEDAAAVALGQKLPVHVAENGHTFEFKCGGETPVEAIQRTIEGLCGIPPADQLLLCGNTSLDGAHHLAYYQLPRDDREVFLYNKARLHDGAPRPAPESIEIPQPSIPPPPRPQDSPPLEVSADPALKALVSYETTFRYHFQVGNAVYQSSVAKHEVCCRLLREGQVQERALDTARSNLEHTARKLTQRYSDFVKCFSQQHRGHAEMLANFERDVERLRAVRLHPALQCEGRRSLIDLVKENDLRKLADGCFSSHKQFDVKVSQHKAKFMELKKRLENLFNIMSSTGCKDLEAMIKEHEKFIGDQKIIMQSLSKDVTTSKKLVDDCSNCQLSASLRPHDAVSAVGRIYEVHEKDNLPSIHNIDRMFTKLLEKCKAKKNEMNTLVHVSMQRVKSAQIVIKEMMNELHAFQEVMGHQDKIFDSLKLASGMGHAYRACLAEVVRRKSSFKLYTGLAGQLAEKLATEREAEVRRREAFFRTWSKYIPEDIMGSMGLFDSPSQCDVTVAPFDCNLLSIDVDDVEKLAPQSLVGSFLKSERSQLPKSSLSNSGTSGNLSKSEQYPPNADDKMDFQDFLGGFDSVDIAGTSKLEVENARLKAELASAIAVLCSFGAEYGYESIDEGQIDNVLKDAREKTAAALSAKDEYANHLQAMLTAKQNQNLSYEKRIQELEEQLANQYIQGHVISGSKDASDSLLSAFKANDCNLHISGGRQTQVRDESSVAMDEASSTSEQPSKQTEGGDENMTDISGALNLQLLDPIARTNLDAFMAELPPDSEHKIVDSDKEGQILTQFTTTDTSGVPIEDPLGILNSRTNEHHTSELRNKELLVSELQSTLEDKSKRLDETESKLNALVDEVNSLKKELEHTQGLLDESQMNCVQLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGMSGFADSLRALALSLASAKKDEGDTTIQFQQCIKILADKVGFLSRQSAELLERYSRIVRELEEKKESIKNLYSKLQLEKQASKEKISFGRFEVHELAVFVRNPAGHYEAINRNCSNYYLSEESVALFTEQHPRHPAYIIGQIVHIERRIAKLPSHGDQMEASRLDSGGRRSPASMLNPYNLPVGCEYFLVTVAMIPDNIR >ONIVA02G07060.1 pep chromosome:AWHD00000000:2:4617507:4619477:-1 gene:ONIVA02G07060 transcript:ONIVA02G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAIATLLGPPEARRPSAAVAAAPATTTGDAFLDLMDANFNKPAPRKALTENLSPTFVSSGDACLDFFFHVVPGTPSAAVPSLLAAAWGADPATALRLVANLRGVRGTGKSDREGFYAAALWLHSHHPATLALNAASVAAFGYLKDLPELLHRIVNGGLSTRKPGKKARLAAADGIGFIARRGRGRGRGRGCFRGRGRGFSRGYHTSSRKQSRGVGSAEERIAASLERDGRLAAKAAVERRCRRAEAAARAVERYSRDPTYRSLHDRTADLFADLLRDDMRKLAEGNVHEFSLAAKWCPSLDKSYDRSTLLCEAIARRLFPKGSSPELAADLPDAHYAYRARERLRKAALVPLRRALKLPEVYISARAWESVVYTRVASVAMKNYKDLFLKHDADRFNAYLADVKSGKKKISAGALLPHQIISSLDDDDGGSGVADLQWQRMVDDMRALGKLRNCVAVCDVSGSMTGLPMDVCVALGLLVSDLSDDPWRGRVITFSESPQLHHIVGEALSDKARFIREMNWGMNTNFQAVFDKILEVAAGAALSPDKMVRRVVVFSDMEFDQASAQPWETDYEAIVRKYTAAGYGAAVPEVVFWNLRDSKAVPVTSGQKGVALVSGFSKNLLKLFLDGDGVVSPRAVMEKAISGPEYDKLVVFD >ONIVA02G07050.1 pep chromosome:AWHD00000000:2:4612868:4618400:1 gene:ONIVA02G07050 transcript:ONIVA02G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVAAAAAEMRRTGRCGGGGGGGGEKKGAKEEGVVVAAEAAAERGRRLRLVGYDELPDFLRDNEFIRGYYRAEWPLRDAALSAFSWHNETLNVWTHLGGFLLFLALALAGAAGDAAGDVAPGIIRFVVGSTNASWQTNDHSGAASHDAAAAAAAAAVLGGVHGVPRWPRMVFLVGAMTCLAISATAHLLACHSRRASVVFWQLDYAGISAMIVASFVPPVYYAFLCHRPARVAYLSAISALGALVVGALLSPPCSSPRFRRLRAALFLAMGLSGVVPALHALWLNWGHAACYLALSLEVAMGLAYAAGAWFYVSRVPEKWRPGVFDVVGHSHQIFHVLVLVGAVTHYVAVDVLLNWRETVAAACSATS >ONIVA02G07040.1 pep chromosome:AWHD00000000:2:4606141:4607982:-1 gene:ONIVA02G07040 transcript:ONIVA02G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubby like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G16070) TAIR;Acc:AT1G16070] MAATKREPLRPISSNAGTVERRARGGAAAAAAAKEKEKENEVPTEIGRGKDGGEKKPPVVVAVVVPPAPPLKPSSLQVRMKAEEEKEREEEEEGSSPAVALVAGLQVRMGPRGRELLLPPPPPPPPLPLPTSSSYEAWDLSDNEAAPASSWATLPNRALLCRPLPLDVGRCTCIIAKETLAAAAAGARGVALYSLYTNEGQGRQDRKLAVARYRRRRGRSEFVVAQNLDGIFCTSDKNFLGTLSSNLVGSRYRIWGQGNRVDEIKSQSKRLLGVVAFAPTVTTLTGSFRSMRAWIPKNQSIHLKNSNSAQASEFPVVEIQHISGLPKDWQEKKIKADQLCSRSPFYNNMTKRYELDFRERAGRMGYKVQPSVKNFQMTLEENGRQTILQLGRIGKAKYIMDFR >ONIVA02G07030.1 pep chromosome:AWHD00000000:2:4600923:4605844:1 gene:ONIVA02G07030 transcript:ONIVA02G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTQFEIRVQPNDTIMAVKKIIEEIQGKDSYPWGQQLLIHNGKVLKDESTLEENKVSEVGFLVVMLSKSKASGSSGALSSLTSSTPLTRQETPADASRAAPQPLAPSNAYGQAASNLLSGSNLDTTINQLMEMGGGSWDRDKVQRALRAAYNNPERAVEYLYSGIPITAEVAVPAGGQGANTTEPSSTREASLSGIPNASPLNLFPQFQALREMVHTNPQILQPMLQELSKKNPQLLRLIQENHDEFLQLINEPFDGADGDFLDQPDQDEMPHSINVTPEEQEAIGRLEGMGFDRARVIEAFFACDRNEQLAANYLLEHAADED >ONIVA02G07020.1 pep chromosome:AWHD00000000:2:4593419:4597702:-1 gene:ONIVA02G07020 transcript:ONIVA02G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGPIRLLFLFLAATPPLSSSELRRPRQLYDALSIAIHITIPNPNLAGVRGNSCAIPLVAAAAGVPAPLHSSSVGASSSQPLVALSHQRRTCGSLASAAPAVARTHRRLLQVGAAARTDSTGVPIGFYTPLFEMADSSKQVGMDTDGGGAAAAAVDGQNLPVLVTNRKRELTLEGKALPVDCSGRRRIDLEKDLSMIGSPFTKHTSDKNGDNNEMRDVDERCTMDVDNTEMRDVVHEREARDVELGDMAAAKELEQGHMASVKEESELIKVVEVLHMVRCREITEYNLKLGRYQNLGVGHRSNRSIARNIHGWRDRDDPQLITSPEDTLTLTGPNRALGALDRVYFEFHLKVRVDGDVDKVFCKGVREHHADACLIRPVTLWLRSCLSTVILVYSPVESAIEACVAVNIQGVVSNFNGKVTAWTTEDHENKIVLYDSKVAGTKTVLGVDGSVELTRRFVAVELEDILVLNICVFEGEDEAEFELYLGQNDEECTLEQGPYKLQVKISWTAAMKKRWRERSMKLGRKFVLV >ONIVA02G07020.2 pep chromosome:AWHD00000000:2:4593419:4597702:-1 gene:ONIVA02G07020 transcript:ONIVA02G07020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGPIRLLFLFLAATPPLSSSELRRPRQLYDALSIAIHITIPNPNLAGVRGNSCAIPLVAAAAGVPAPLHSSSVGASSSQPLVALSHQRRTCGSLASAAPAVARTHRRLLQVGAAARTDSTGVPIGFYTPLFEMADSSKQVGMDTDGGGAAAAAVDGQNLPVLVTNRKRELTLEGKALPVDCSGRRRIDLEKDLSMIGSPFTKHTSDKNGDNNEMRDVDERCTMDVDNTEMRDVVHEREARDVELGDMAAAKELEQGHMASVKEESELIKVVEVLHMVRCREITEYNLKLGRYVPTRFCFRNIALFDLDKESKLGRGPPVKSLNCSEYTWMVHSMNISSIKVTESDVGYPISVFGTVLARDEYDFRRDRDDPQLITSPEDTLTLTGPNRALGALDRVYFEFHLKVRVDGDVDKVFCKGVREHHADACLIRPVTLWLRSCLSTVILVYSPVESAIEACVAVNIQGVVSNFNGKVTAWTTEDHENKIVLYDSKVAGTKTVLGVDGSVELTRRFVAVELEDILVLNICVFEGEDEAEFELYLGQNDEECTLEQGPYKLQVKISWTAAMKKRWRERSMKLGRKFVLV >ONIVA02G07010.1 pep chromosome:AWHD00000000:2:4584951:4588678:-1 gene:ONIVA02G07010 transcript:ONIVA02G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPALKYQRCNNVDGLVSVEALRIIVETKACFVAVALALAYFLTASRHRLWSSSHLIKGPLRPFRYPLWALWALMVAKFLERIVLFKNGNRKYGDGNTSRVADYMKHEHELSNTDAEAGGFSMEDYKYLIVGDSKLETTIVDGMTYEPKLMPPIRQTQEADDTVVVVTVDKVWTCKGELLKTDNRGDKLKDICLSFALCKLLRRKFAGVNASENERSKAQKLVFDGLIPDPERTFRVVRAELGFARDMSFTKYPILFSYGFPVVSVVLFAATLGVSLWIISSAIHHYRIPRKSTPNLVNGKNVDLIITFVIVFMVTAMDICEFFMHLFSDWTKVMVVSEYVRKRYVRCCLLDRILWLVCHGKLAETIGSSLGQFDLLNGAKKGYIPERIVRLYHMVRSFILLTDDKDYRIKKGKSLRPVPESVEKALCETLLSNRKQLTQGLRLLTRSKLQAESEELLTYCQLAEIETIVVWHVATCKLEQQSPHEPVESYQVATALSKYCAYLVFYNPKLLPVGNTSVRHTCKTLVRHDSSCDRSCGGDDCMIRKGEALAAALLKGRELNKSSKEPGMWTELAEFWSELLISLAPFGSVGAHEKGLGDGGEFITHLWALLYHAGIDAKYSWSSASTAGGESGGRADVYPFQNGMDTVSHAVN >ONIVA02G07000.1 pep chromosome:AWHD00000000:2:4574222:4577450:-1 gene:ONIVA02G07000 transcript:ONIVA02G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAAAASGGVGVRRYALLLALNDSEYARKVYGGYGNVFVSALGGGGEEERWDCFRVIDGEFPAAEEVGRYEGFVVSGSPHDAYGDERWILRLCSLLRALHAMGKRILGICFGHQVLCRALGGRIGKARSGWNIGVKKMTFVRDFEGSKLFGDLKEIPQSASIIEVHQDEVLEVPPMGRVLAYSDKTPVEMFAVGDNVLGIQGHPEYTSDILLNLIDRLVNNNTITSGIGEEARRTVEASEPDRRFWTGLCKGFLKRPTAATTVDMPPREVAPKMMSCSHIIADGHFRKMIHL >ONIVA02G06990.1 pep chromosome:AWHD00000000:2:4568240:4572291:1 gene:ONIVA02G06990 transcript:ONIVA02G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase [Source:Projected from Arabidopsis thaliana (AT2G23820) TAIR;Acc:AT2G23820] MGGSRALPLSSLLAAATKSPLLHHRPLPLRLAASMSSSSPSPSPAAPASASAIDFLTLCYRLKTTKRAGWVRRGVQGPESVADHMYRMGVMALVAADLPSGVNRDRCVKMAIVHDIAEAIVGDITPSDGVPKEEKSRREQEALDHMCSLLGGGPRAEEIRELWMEYEQNATLEAKVVKDFDKVEMILQALEYEKEQGLDLEEFFQSTAGKFQTDVGKAWAAEVASRRK >ONIVA02G06980.1 pep chromosome:AWHD00000000:2:4560880:4566335:1 gene:ONIVA02G06980 transcript:ONIVA02G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRRGGSSSSGPQAVARKRDQFPSRAKDYELLEPVGDGATAVVRRARCLPLGGEVIAVKIMNMSQRSEDDVNHASEEVKMMSTIDHDNLLGAYCSFTEGETLWIIMPYMAGGSCFHLMKSSYPKGFDEKFIAFVLRETLEGLAYLHRYALVHRDVKAGNILLDQHKGVKLADFGASASLYDPMINRHGKRKTLVGTPCWMAPEVMEQKEYDAKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLMKDPSKRPTAQHLLELPFFKKVKFEDNVLKSVLNKLPSLGDRMQSIQENEAKLQAEKKPLDKCKEKASQDEYMRGVSEWNFDIEELKAQAALYPDENDGGEDEYLRFLFELDTICESAPIHDVQSRDYSKNENEKKESNEITRNEKIDTTPILQRIKEALMASCATISNCKDFDEYLKSAIQKGRFKVTVEGAEVEKLEVATPREKELLERIASLERIDKRSNVMPTATVAKSKYNPS >ONIVA02G06970.1 pep chromosome:AWHD00000000:2:4539297:4546227:-1 gene:ONIVA02G06970 transcript:ONIVA02G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLSSWPWASLGSWVQYVLYGAVVWKVAEEWRQQGAAPVGSWWLHLLLLFAARGLTYQFWFSYGNMLFFTRRRRVVPDSVDFRQVDAEWDWYALRRATLVGSPAVARQQLLLPSLKQAWDPRGWAIALLLHVLVAEPLFYWAHRALHRAPLFSRYHAAHHHASVTTPLTAGFGTPLESLLLTVVIGVPLAGAFLMGVGSVGLVYGHVLLFDFLRSMGYSNVEVISPRVFQAVPLLRYLIYTPTYLSLHHREKDSNFCLFMPIFDLLGGTLNHKSWELQKEVYLGKNDQAPDFVFLAHVVDIMASMHVPFVLRSCSSTPFANHFVLLPFWPVAFGFMLLMWCCSKTFLVSSYRLRGNLHQMWTVPRYGFQYFIPAAKKGINEQIELAILRADRMGVKVLSLAALNKNEALNGGGTLFVNKHPELRVRVVHGNTLTAAVILNEIPSNVKDVFLTGATSKLGRAIALYLCRKKIRVLMLTLSSERFLKIQREAPAEFQQYLVQVTKYQPAQNCKTWLVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGYCEFADMVGRRSPGNICVQYTMERGVVHACHAGGVVHFLEGWEHHEVGAIDVDRIDVVWKAALKHGLTPA >ONIVA02G06960.1 pep chromosome:AWHD00000000:2:4534756:4536231:1 gene:ONIVA02G06960 transcript:ONIVA02G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSTTTMATFKQSFLKNLLSSLKSSSKNKAAMSTLSERKRAIKSSADIAMATARTGIAGAARWPHAILASSSSSSSSSSSSSSSSSMPRTTFPCKMMQGKVRRRCKSIVRRRTPLMSSSSEVARRLVKKRDKVLRRMIPGGELIADEISLLHEAMDYVVHLHAQVDVLRRVSRAAVARRSNASSSSSGGLAQLKERTVQISGETENPC >ONIVA02G06950.1 pep chromosome:AWHD00000000:2:4531419:4534346:-1 gene:ONIVA02G06950 transcript:ONIVA02G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVASPPELATLWSYEANVGRLAHHLVKLAGKPLDQLPLQIDDVQHAAYGVLQLQALWSMHPCDVIYCDGMDGWMRFVGLEDLTVERAVRLSSTISFMRTRPKVGNWGADGLRPRRQAAHVCSLQSSPERLAQIEEGTK >ONIVA02G06940.1 pep chromosome:AWHD00000000:2:4525796:4526188:-1 gene:ONIVA02G06940 transcript:ONIVA02G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALALFLLFAAAAAILLLHLVVAARAFRNQRHGGAGRSRYRVPETSYTPSRAGLSPADLRFLPCFAASASASASPELCAVCLEAACAGERWRALPACGHAFHAACVDRWLARAAACPVCRAAVSASAS >ONIVA02G06930.1 pep chromosome:AWHD00000000:2:4518948:4522059:-1 gene:ONIVA02G06930 transcript:ONIVA02G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREDLDLSDSEDDDSASHASDASSDFATPPPSSSAKPSAAKSSAAAATPASIDAIDRHLRSLHLKYAEPISPNPSPSPTSAAAPAALNAVKLYLHIGGSSPSARWIISDRLAAASFVRAGDDDDDDAPSSGPWCLVVGSKIRARVGPELQLKTFPAQRRVDFVADGVWALKFLHADGYPNFYAKYQSCLFENSYGVAATDEGRAKVFGKDFAAWARPEDGDESIWENATDGFVPSPSRSPMPSRSPILKPLMEDLREYEEPVEEGGGIQSLALGALDNSFLVGDSGIQVVRNFEHGIHGKGVSVKFSGGSTNFSTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGKVVSEWKFGKDGADINMRDITNDSKGAQMDPSESTFLGLDDNRLCRWDMRDRRGIVQNIASATESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFIDKDGKEKTGFGGRMGNRIAAPRLLKLTPLDSHLAGQNNIFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSHHECYQCQEGLKSCYCYKVIPKDESIVASRFMHEKYAVSDTPEAPLVVATPMKVTSFSISSKH >ONIVA02G06920.1 pep chromosome:AWHD00000000:2:4516317:4519466:1 gene:ONIVA02G06920 transcript:ONIVA02G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDSVVDPLREFAKDSVRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >ONIVA02G06910.1 pep chromosome:AWHD00000000:2:4510312:4510935:-1 gene:ONIVA02G06910 transcript:ONIVA02G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAEYAMVHGGGPAPAAVAAAGPSSSAVVASTASVAARSPWQSPVPYLFGGLAAMLGLIALSLLALACSYWKLAAAGGGGGGGQDGGEESRDGGGGGGGEKGSGGGGGGLARKWRDHVVVIMAGDERPTFLATPASSRAEPAAPDVAAAVCCSCGAASGSSSSSSTEVKTPAAAAAPEFPAGDGEPQAQSPSEQTSSSHSSVITS >ONIVA02G06900.1 pep chromosome:AWHD00000000:2:4504629:4508305:1 gene:ONIVA02G06900 transcript:ONIVA02G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRLAAFYWPRLRAADRDDVRAAGMGHGLDPEGITITPLMDMVLGFLYQSIPRPPVSASASLSAAAATGDGGGDDRISLLPDDILRAVVSRLPAKDGARTAVLSSRWRRLWRSTPLVLVDTHLLPRRGGGRPARAGAASRAVADAVSRVLEAHPGPFPFVSLSCSFIGDDAQRGVAARWLDLLAAKGVEHLVFVNRPCPLPGVTLPAALFNCSSLRRLYIGSWELPDTASIPLPRAAAAAAFPNLRELVLGCVVMVDGDLPFLLAASPALETLAVFGILNTLRARLSSGSLRCAQFCLSFMEEVAVLDAPHLERLFLWRNIKNTRVKIGHAPQLRMLGYLQPGVHQLEIGNTIIKARTIVRPGTTVPSVNMLALHLHFGVRNEVKMLPSFLRCFPNVETLCVESEEAPGRTSNIDVNFWQEAGPIECVQSHLKMMILREFQGEESELSFLKFVGENARVLEKMVIVMKLGRYSAPEEVAAKVMDLQSAKWAREGNKLGFLISRLRAGGSAWSLRDGTDLSCDDPFMCL >ONIVA02G06890.1 pep chromosome:AWHD00000000:2:4499235:4501576:1 gene:ONIVA02G06890 transcript:ONIVA02G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGLGRYWGVGGRRCGACAVAPAAVHCRTCDGGGGGGGYLCAGCDAEHGRAGHERVWVCEVCELAPAAVTCKADAAALCAACDSDIHDANPLARRHERVPVHPIGSSAAPPPDALLLGGENDAAAAVDGGGGGKEVKLDFLFADFMDPYLGGSPELARFPHADSVVPNHNGSAGPAMELGFAGGGGAAVKPSYSSYTAASLGNSGSSSEVGLVPDAICGGGGGGIIELDFAQSKAAYLPYASTPSHSMSSSMDMGVAAPEMSDGAAAAAGRAYAAEGRAARLMRYREKRKNRRFEKTIRYASRKAYAETRPRVKGRFAKRADDHDAAAPPPQIMLDFAGYGVVPTF >ONIVA02G06880.1 pep chromosome:AWHD00000000:2:4491223:4493911:-1 gene:ONIVA02G06880 transcript:ONIVA02G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) TAIR;Acc:AT5G57630] MGFVESIGRYRVGRTIGAGTFAKVRLAVDADTGATVAVKVIDKRMVIRNNLMYQVKREITAMKLLNHPNIVKIYEVIATKTKICLVMEYVSGGQLSDKLSYLKRLDEKEAKKYFYQLIDAVDYCHRRGVYHRDLKPENLLVDNQGNLKVSDFGLSVLKKPGQFLSTSCGSPCYVAPEVIQHKSYDGAAADVWSCGVILFELLAGYLPFQDCSLTNLYRRISRAQFVFPQWLSVPQKKIIIRILDPSPITRAKISDIFDDKWLQDHCNPSARIENDDDCDVIEEASTDSDSSHNTEVKETEEMTAETDRFINAFQLIVRCSDLDLSGLFQEQKTKLASPHPVQETFDKIKVAAKDVSMAVKRMNSSLVEIQDSKLLPRSNLDLTLSAEVIKVTPAHCVVEVSKSTGDLRSYKEKPIKLAQWWTAVCKLI >ONIVA02G06880.2 pep chromosome:AWHD00000000:2:4491223:4493911:-1 gene:ONIVA02G06880 transcript:ONIVA02G06880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) TAIR;Acc:AT5G57630] MGFVESIGRYRVGRTIGAGTFAKVRLAVDADTGATVAVKVIDKRMVIRNNLMYQVKREITAMKLLNHPNIVKIYEVIATKTKICLVMEYVSGGQLSDKLSYLKRLDEKEAKKYFYQLIDAVDYCHRRGVYHRDLKPENLLVDNQGNLKVSDFGLSVLKKVIQHKSYDGAAADVWSCGVILFELLAGYLPFQDCSLTNLYRRISRAQFVFPQWLSVPQKKIIIRILDPSPITRAKISDIFDDKWLQDHCNPSARIENDDDCDVIEEASTDSDSSHNTEVKETEEMTAETDRFINAFQLIVRCSDLDLSGLFQEQKTKLASPHPVQETFDKIKVAAKDVSMAVKRMNSSLVEIQDSKLLPRSNLDLTLSAEVIKVTPAHCVVEVSKSTGDLRSYKEKPIKLAQWWTAVCKLI >ONIVA02G06880.3 pep chromosome:AWHD00000000:2:4489887:4493911:-1 gene:ONIVA02G06880 transcript:ONIVA02G06880.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) TAIR;Acc:AT5G57630] MGFVESIGRYRVGRTIGAGTFAKVRLAVDADTGATVAVKVIDKRMVIRNNLMYQVKREITAMKLLNHPNIVKIYEVIATKTKICLVMEYVSGGQLSDKLSYLKRLDEKEAKKYFYQLIDAVDYCHRRGVYHRDLKPENLLVDNQGNLKVSDFGLSVLKKVIQHKSYDGAAADVWSCGVILFELLAGYLPFQDCSLTNLYRRISRAQFVFPQWLSVPQKKIIIRILDPSPITRAKISDIFDDKWLQDHCNPSARIENDDDCDVIEEASTDSDSSHNTEVKETEEMTAETDRFINAFQLIVRCSDLDLSGLFQEQKTKLASPHPVQETFDKIKVAAKDVSMAVKRMNSSLVEIQDSKLLPRSNLDLTLSAEVIKVTPAHCVVEVSKSTGDLRSYKEPKET >ONIVA02G06870.1 pep chromosome:AWHD00000000:2:4486178:4493374:1 gene:ONIVA02G06870 transcript:ONIVA02G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FGGY family of carbohydrate kinase [Source:Projected from Arabidopsis thaliana (AT4G30310) TAIR;Acc:AT4G30310] MPPGGGGAVFLGVDGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKHACSLANVAPENVVGLGFAATCSLVAVDADGSPVSVSWTGDARRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDEVFWEEIGLGDLELGLRPGIPVGTSLIDAHAGGVGVMESVPDAESKADTSDESDEQAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQRISIYELLNKILFSMAHEQNISFISSLTQDIHVLPDFHGNRSPLADPKSKGIICGFTLDTSEKHLALLYLATIQGIAYGCPTILPRENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMAQALQSPLTSCPFPLPRYKGNLSNYQQERLVESLEMVDNQQEVQTGSHHKTRHSCPPETYSITRHIFVFVAITCTGRKGII >ONIVA02G06870.2 pep chromosome:AWHD00000000:2:4486178:4491503:1 gene:ONIVA02G06870 transcript:ONIVA02G06870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FGGY family of carbohydrate kinase [Source:Projected from Arabidopsis thaliana (AT4G30310) TAIR;Acc:AT4G30310] MPPGGGGAVFLGVDGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKHACSLANVAPENVVGLGFAATCSLVAVDADGSPVSVSWTGDARRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDEVFWEEIGLGDLELGLRPGIPVGTSLIDAHAGGVGVMESVPDAESKADTSDESDEQAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQRISIYELLNKILFSMAHEQNISFISSLTQDIHVLPDFHGNRSPLADPKSKGIICGFTLDTSEKHLALLYLATIQGIAYGCPTILPRENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMAQALQSPLTSCPVSFNP >ONIVA02G06870.3 pep chromosome:AWHD00000000:2:4486178:4493613:1 gene:ONIVA02G06870 transcript:ONIVA02G06870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FGGY family of carbohydrate kinase [Source:Projected from Arabidopsis thaliana (AT4G30310) TAIR;Acc:AT4G30310] MPPGGGGAVFLGVDGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKHACSLANVAPENVVGLGFAATCSLVAVDADGSPVSVSWTGDARRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDEVFWEEIGLGDLELGLRPGIPVGTSLIDAHAGGVGVMESVPDAESKADTSDESDEQAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQRISIYELLNKILFSMAHEQNISFISSLTQDIHVLPDFHGNRSPLADPKSKGIICGFTLDTSEKHLALLYLATIQGIAYGCPTILPRENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMAQALQSPLTSCPKQGM >ONIVA02G06870.4 pep chromosome:AWHD00000000:2:4486178:4494097:1 gene:ONIVA02G06870 transcript:ONIVA02G06870.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FGGY family of carbohydrate kinase [Source:Projected from Arabidopsis thaliana (AT4G30310) TAIR;Acc:AT4G30310] MPPGGGGAVFLGVDGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKHACSLANVAPENVVGLGFAATCSLVAVDADGSPVSVSWTGDARRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDEVFWEEIGLGDLELGLRPGIPVGTSLIDAHAGGVGVMESVPDAESKADTSDESDEQAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQRISIYELLNKILFSMAHEQNISFISSLTQDIHVLPDFHGNRSPLADPKSKGIICGFTLDTSEKHLALLYLATIQGIAYGCPTILPRENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMAQALQ >ONIVA02G06860.1 pep chromosome:AWHD00000000:2:4477547:4482859:-1 gene:ONIVA02G06860 transcript:ONIVA02G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKRGLEAAAAGGDGRPEAKRARPPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALGRLGPATITGRSSPKRIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDAGTGCVVSSGPESCAKLDIVVLEGDFNNEDEEGWSGEEFESHVVKEREGKRPLLTGDVQVTLKEGVGTVGELTFTDNSSWIRSRKFRLGLKISSGFCEGIRIREAKTEAFMVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNKAGISTVEDFLRLVVRDPQKLRSILGSGMSNKMWDILVEHAKTCVLSGKYYIYYSDENRSIGAIFNNIYAFCGLISGEQFYSSESLDDSQKLFADALVKKAYDNWMYAIEYDGKALLNSKPKKKAAPTGQVETHPPLSQPASYEQRISSASMTGPSPAGGSGTGTDSIGYDGNQAATQPSQLQSTSANVPVPYDDTFSFLPPSMLMGSDNQETGNDGMGLELGQLQQAISQSQSIQPANVGYDDWTRSQNGQFADDFTEDIRMKSHQMLESEDMQQLLRVFSMGGASTSLQEDAFGFPTYMPSPLPNLGFEGERTRSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >ONIVA02G06850.1 pep chromosome:AWHD00000000:2:4471516:4473032:-1 gene:ONIVA02G06850 transcript:ONIVA02G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G2F5] MAATTAAAAVPGVVRAERLLRGGCVVMAATAALLLGFSAETKTVLFVRKTAVAKDVQALWVLTVAAAAAAGYHFAQLVRCMYCSSSGDAGAMAVAWTSFLLDKGCAYVVFASTAAALQACMVGLIGVEALQWSKLCNIYTRFCEQAAAGMLCSFLAAAGMAVLSAFSARRLFRLYSPAGHRRSCPRAAVLATSPH >ONIVA02G06840.1 pep chromosome:AWHD00000000:2:4464836:4470478:1 gene:ONIVA02G06840 transcript:ONIVA02G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAAEEVVVFRSKLPDIEIDNSMTLQEYCFARMAEVGARPCLIDGQTGESYTYAEVESASRRAAAGLRRMGVGKGDVVMSLLRNCPEFAFSFLGAARLGAATTTANPFYTPHEVHRQAEAAGARVIVTEACAVEKVREFAAERGVPVVTVDGAFDGCVEFREVLAAEELDADADVHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFSKDDVILCLLPLFHIYSLNSVLLAGLRAGSTIVIMRKFDLGALVDLVRKHNITIAPFVPPIVVEIAKSPRVTAEDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFKVKSGSCGTVVRNAELKIVDPDTGASLGRNQSGEICIRGEQIMKGYLNDPEATKNTIDEDGWLHTGDIGFVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDDLAGEVPVAFIVRTEGSEITEDEIKKFVAKEVVFYKRINKVFFTDSIPKNPSGKILRKDLRARLAAGIPDAVAAAAADAPKSS >ONIVA02G06830.1 pep chromosome:AWHD00000000:2:4455643:4458404:-1 gene:ONIVA02G06830 transcript:ONIVA02G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIR >ONIVA02G06830.2 pep chromosome:AWHD00000000:2:4455643:4458404:-1 gene:ONIVA02G06830 transcript:ONIVA02G06830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKEEYIDGQFSGNLGEILIR >ONIVA02G06820.1 pep chromosome:AWHD00000000:2:4444510:4452889:-1 gene:ONIVA02G06820 transcript:ONIVA02G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGDDPPSPPPPAERPGGWLSGLVCGAGRLLAAVLGPDSPDSGTGGSASSSQESSSQSPPPPRGHRGSGDNTAHFASNNQFNQSGKEITLKDSGVGSLALVSEIDPKDAILQMLLQETYSRSECDILIKIIHERVVDSDPDVVEPSIVLPIAWQTSQQQDHLDNNIVEESQHALKRSNSCTGHNLDESHSRSVRPKLNDLNISNRQDGILKSHSASFEEATTKYPNAFRGIPEDTKKLFKDIPLLGTDNLIFSNIVSYDDTDNDISALRGKRPAVTARTFASATSEANRDNRCPTMLYPYSDRAPSAMTLVLFQNLCFKRTRRLRPVHQQACRLKTVLGIAQELACKGALKLGENPIKEWSITSRRTDSGWPGARLGSNPGEETGWEAKEVKKVLEGQQKMKNAQDQNCKRLTPGGAG >ONIVA02G06820.2 pep chromosome:AWHD00000000:2:4444510:4452889:-1 gene:ONIVA02G06820 transcript:ONIVA02G06820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGDDPPSPPPPAERPGGWLSGLVCGAGRLLAAVLGPDSPDSGTGGSASSSQESSSQSPPPPRGHRGSGDNTAHFASNNQFNQSGKEITLKDSGVGSLALVSEIDPKDAILQMLLQETYSRSECDILIKIIHERVVDSDPDVVEPSIVLPIAWQTSQQQDHALKRSNSCTGHNLDESHSRSVRPKLNDLNISNRQDGILKSHSASFEEATTKYPNAFRGIPEDTKKLFKDIPLLGTDNLIFSNIVSYDDTDNDISALRGKRPAVTARTFASATSEANRDNRCPTMLYPYSDRQAPSAMTLVLFQNLCFKRTRRLRPVHQQACRLKTVLGIAQELACKGALKLGENPIKEWSITSRRTDSGWPGARLGSNPGEETGWEAKEVKKVLEGQQKMKNAQDQNCKRLTPGGAG >ONIVA02G06810.1 pep chromosome:AWHD00000000:2:4435224:4440862:1 gene:ONIVA02G06810 transcript:ONIVA02G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVSVENINPKVLRCEYAVRGEVAIHAQHLQQQLQTQPGSLPFDEIVYCNIGNPQSLGQKPITFFREVLALCNHPNLLEREEIKSLFSTDAIARAKKILSMIPGRATGAYSHSQGIKGLRDEIAAGIASRDGFPANADDIFLTNGASPGVHMMMQLLIRNNRDGIMCPIPQYSLYSASLALHGGALVPYYLDESSGWGLEVSKLKNQLEDARSKGITVRALVVINPGNPTGQILDEQQQYELVKFCKDEELVLLADEVYQENIYVTNKKINSFKKIARSMGYNGDDLQLVSLHSVSKGYYGECGKRGGYMEVTGFSTPVREQLYKIASVNLCSNITGQILASLIMDPPKAGDASYDLYEEERDNILKSLSRRAKAMESAFNSIDGITCNKTEGAMYLFPRIYLPQKAIEAARAVNKAPDVFYALRLLDTTGIVVTPGSGFGQVAGTWHVRCTILPQEEKIPSMISRFREFHEEFMSQYRD >ONIVA02G06810.2 pep chromosome:AWHD00000000:2:4434513:4440862:1 gene:ONIVA02G06810 transcript:ONIVA02G06810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPEPASAAGRRRRGGRSGTTGEGSSSCGRRWSSRPKGRGYGDGGKPKRREAAAAAAFGRRELDSSHGGRLAFHGGMLTRSSISIAKVLRCEYAVRGEVAIHAQHLQQQLQTQPGSLPFDEIVYCNIGNPQSLGQKPITFFREVLALCNHPNLLEREEIKSLFSTDAIARAKKILSMIPGRATGAYSHSQGIKGLRDEIAAGIASRDGFPANADDIFLTNGASPGVHMMMQLLIRNNRDGIMCPIPQYSLYSASLALHGGALVPYYLDESSGWGLEVSKLKNQLEDARSKGITVRALVVINPGNPTGQILDEQQQYELVKFCKDEELVLLADEVYQENIYVTNKKINSFKKIARSMGYNGDDLQLVSLHSVSKGYYGECGKRGGYMEVTGFSTPVREQLYKIASVNLCSNITGQILASLIMDPPKAGDASYDLYEEERDNILKSLSRRAKAMESAFNSIDGITCNKTEGAMYLFPRIYLPQKAIEAARAVNKAPDVFYALRLLDTTGIVVTPGSGFGQVAGTWHVRCTILPQEEKIPSMISRFREFHEEFMSQYRD >ONIVA02G06810.3 pep chromosome:AWHD00000000:2:4434513:4435369:1 gene:ONIVA02G06810 transcript:ONIVA02G06810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPEPASAAGRRRRGGRSGTTGEGSSSCGRRWSSRPKGRGYGDGGKPKRREAAAAAAFGRRELDSSHGGRLAFHGGMLTRSSISIAKVL >ONIVA02G06800.1 pep chromosome:AWHD00000000:2:4431887:4434716:-1 gene:ONIVA02G06800 transcript:ONIVA02G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEFSSPKCSSSSSLPSLRLPSISVASPLRPRGPPPSAAGGSFTRRPTAASPPPPAGRASRFRQRHGRGRRRRRMMSTTKHFEAQSTLMGLICKPTRELHTCECDIASWTRLDLVEIGPFATAIANLKCEKDLFSSADTIFIEATITQEEECTQVSNKTTSSNPENMLLSSQGLPDNFTDEATKDQYLCNSGLQAANRESKKKNSTFLTKFQNRIIASLASESSPCRNAFRRPLLSREIVVREYFKLARIIRRTAAACFSPSSDADEDYDYLPHMQLDKVTHAISREAFGPLYLVT >ONIVA02G06790.1 pep chromosome:AWHD00000000:2:4421958:4427175:-1 gene:ONIVA02G06790 transcript:ONIVA02G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADAPWLPLLLLCSSCCFCIWPQKQILVAADTDPNDVTVLNTLFTSLNSPGQLKGWQASGGDPCGQSWQGITCSGSSVTAIKLPSLGLSGNLAYNMNTMGSLIEIDMSQNNLGGGQQIQYNLPTNKLERLNLAGNQFTGNLPYSIFSMSNLKYLNLNHNQLQGNITDVFSSLYSLTTLDLSFNSLAGDLPQGFTSLSSLKKLYLQNNQFTGYINVLANLPLDDLNVANNHFTGWIPSQLKKINNLQTDGNSWSNGPAPPPPPYSAPPPPNRPNSPGQNNGGSSSGGSSGIGGGGVAGIIISLLVVGAVVAFFVIRRRKRRAALEEHFEQHQPFTSFPSNEVKDMKPIEESTTIDVESLPSPASFSLKPPPKIERHKSFDDDDLSNKPVLKKTNVAPIKATVYSVADLQMATESFSMDNLVGEGTFGRVYRAQFTGGKVLAVKKLDSTVMPFHSSDDFAELVSDISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNLLLDSEFNPHLSDAGLASFISDAEFQAAQQSAGCTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPFDSTRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDTSSRRTDDQEQDFI >ONIVA02G06780.1 pep chromosome:AWHD00000000:2:4416337:4421777:1 gene:ONIVA02G06780 transcript:ONIVA02G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:autoinhibited H(+)-ATPase isoform 10 [Source:Projected from Arabidopsis thaliana (AT1G17260) TAIR;Acc:AT1G17260] MDEPGEPLLGLENFFDEDVDLENLPLEDVFEQLNTSQSGLSSADAAERLKLFGANRLEEKRENKIIKFLSFMWNPLSWVMEAAAVMALVLANGGSQGTDWEDFLGIVCLLIINSTISFIEENNAGDAAAALMARLALKTKVLRDEQWQELDASTLVPGDIISIRLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDIVFTGSTCKHGEIEAVVIATGIHSFFGKAAHLVDSTEVVGHFQKVTHRSSDCNKNLTSGCAVLTSIGNFCICSIAIGAIVEVIIMFPIQHRSYRDGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNHLTVDKNLIEVFSREMDREMIILLAARASRVENQDAIDMAIINMLADPKEARSSITEVHFLPFNPVDKRTAITYVDSDGNWFRVSKGAPEQILSLCYNKDDISEKVQLIIDRFAERGLRSLAVAYQEVPEKSKHGHGGPWVFCGLLPLFDPPRHDSADTIRRALDLGVCVKMITGDHLAIAKETGRRLGMGTNMYPSASLFGRHGDGGGAAVPVEELVEKADGFAGVFPEHKYEIVRMIQGGGGHVCGMTGDGVNDAPALKKADIGIAVSDATDAARGAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVIGFVLLASIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPSPDSWKLNEIFAAGVVIGTYLALVTVLFYWTVTRTTFFESHFKVRSLKQNSDEISSAMYLQVSIISQALIFVTRSQGLSFLERPGALLICAFILAQLVATLIAVYATISFASISAIGWGWAGVIWLYSLVFYAPLDLIKIAVRYTLSGEAWNLLFDRKAAFASRRDYGGNERRPETRWPRSHHHHQQQRRALSDHLLSSGWRPTRIAERAKRRAEIARLGDAHMLRAHVQSVMRLKRVDSDVIRSAQTV >ONIVA02G06770.1 pep chromosome:AWHD00000000:2:4410133:4414549:-1 gene:ONIVA02G06770 transcript:ONIVA02G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytoene desaturase 3 [Source:Projected from Arabidopsis thaliana (AT4G14210) TAIR;Acc:AT4G14210] MDTGCLSSMNITGTSQARSFAGQLPTHRCFASSSIQALKSSQHVSFGVKSLVLRNKGKRFRRRLGALQVVCQDFPRPPLENTINFLEAGQLSSFFRNSEQPTKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKLLGRMKMEIGMKLGFISFNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPLNGIWAILRNNEMLTWPEKVKFALGLLPAMVGGQAYVEAQDGFTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHVRSLGGEVRLNSRIQKIELNPDGTVKHFALTDGTQITGDAYVFATPVDILKLLVPQEWKEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWVGRSDTEIIEATMQELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTIPDCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSVVEDYKMLSRRSLKSLQSEVPVAS >ONIVA02G06760.1 pep chromosome:AWHD00000000:2:4405892:4407857:-1 gene:ONIVA02G06760 transcript:ONIVA02G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFFLAAREAVNRPIKAEPAAGGIAQGNRILDMMSSGWTDERHMHYISSMEASFVEQLYNHEQNDAGNGFKVLRGGVWEKLKFDRTSACSRIGRKYCLPASPWIQHFRPRECSSNARNDAAEALVGDHESGIQTIQGRTPLSHGREWEACKEEKAVGESTEVSDQNFADDEAEVDAESSKACKRRKLRSALTRNYQVVPSDKLFASTKADGRKDAPE >ONIVA02G06760.2 pep chromosome:AWHD00000000:2:4405892:4407496:-1 gene:ONIVA02G06760 transcript:ONIVA02G06760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSVNRPIKAEPAAGGIAQGNRILDMMSSGWTDERHMHYISSMEASFVEQLYNHEQNDAGNGFKVLRGGVWEKLKFDRTSACSRIGRKYCLPASPWIQHFRPRECSSNARNDAAEALVGDHESGIQTIQGRTPLSHGREWEACKEEKAVGESTEVSDQNFADDEAEVDAESSKACKRRKLRSALTRNYQVVPSDKLFASTKADGRKDAPE >ONIVA02G06750.1 pep chromosome:AWHD00000000:2:4403985:4404356:-1 gene:ONIVA02G06750 transcript:ONIVA02G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAACVALAGRALVTLAAAALVALATAAACIVLASPALVACVALTGRALSRSTPPPPLALCSRCLTRSANVSSLPGRMMPKKCKSSLGFI >ONIVA02G06740.1 pep chromosome:AWHD00000000:2:4403093:4405748:1 gene:ONIVA02G06740 transcript:ONIVA02G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGDGEFAFDLNEPPLEHGNEHVMALVEVNHRRKERHDRRSEKTIVLSYHFFGIILPGSELTFALLVKHLEHNASGGGGVDRERARPVSATQATRAGLASTMQAAAVASATRAAAASVTRARPASATQAAAASMSGEVERRAACLHATLKELNEANAGVLRINCGSGYRIDKGNSSGSLVNSCEDGGQEWQICVPSYLYTALNVGLHREVRTCIISNSVLLKICTLHTMNTIIYGHFTDVGYHSAKLVIFHSQYHDGSTCMYNGIMAKGMMGFASFSSCGKHKHITHIANKQLPEHSNTHKKNHYINMIKQ >ONIVA02G06730.1 pep chromosome:AWHD00000000:2:4390451:4395279:-1 gene:ONIVA02G06730 transcript:ONIVA02G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant glycogenin-like starch initiation protein 3 [Source:Projected from Arabidopsis thaliana (AT4G33330) TAIR;Acc:AT4G33330] MGVTGGAGEAVKPSSSSSLSPVAGLRAAAIVKLNAAFLAFFFLAYMALLLHPKYSYLLDRGAASSLVRCTAFRDACTPATTTTAQLSRKLGGVAANKAVAAAAERIVNAGRAPAMFDELRGRLRMGLVNIGRDELLALGVEGDAVGVDFERVSDMFRWSDLFPEWIDEEEDDEGPSCPELPMPDFSRYGDVDVVVASLPCNRSDAAWNRDVFRLQVHLVTAHMAARKGLRHDAGGGGGGRVRVVVRSECEPMMDLFRCDEAVRRDGEWWMYMVDVERLEEKLRLPEVFNVSELTTAAATAGRPRREAYATVLHSSDTYLCGAIVLAQSIRRAGSTRDLVLLHDHTVSKPALAALVAAGWTPRKIKRIRNPRAERGTYNEYNYSKFRLWQLTDYDRVVFVDADILVLRDLDALFGFPQLTAVGNDGSLFNSGVMVIEPSQCTFQSLIRQRRTIRSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANTTAERALKERLFRADPAEVWSIHYLGLKPWTCYRDYDCNWNIGDQRVYASDAAHARWWQVYDDMGEAMRSPCRLSERRKIEIAWDRHLAEEAGFSDHHWKINITDPRKWE >ONIVA02G06720.1 pep chromosome:AWHD00000000:2:4386727:4389562:-1 gene:ONIVA02G06720 transcript:ONIVA02G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPIAFLFLLLLLLLAASSHATASAVPQPRGFYINCGSEKEEQIGSIKWIQDEGFIAVGNMSTVDKPNILPLLATVRYFPDATARKYCYQLPVVKGSRYLVRTTYFYGGFDGGDVPPVFDQIVDGTRWSAVNTTDNFRRGMSTYFEMVAEAQGKTMSVCLARRADTRSSPFISALELVSLDDSMYNTTDFDKYVMSTVARSRFGAKGEIVSYPDDQYNRYWAPFTDANPTVESHSAITPEEFWNVPPAKALRAGVTTSRGKKLSVQWPPVELPAATYYVALYFQDSRTASPYSWRVFDVAVNGKEFFRELNASAAGVMVYSTMMPLSGKMEIVLTPNETSPELARSIKNPPPDWAGDPCLPRQNSWTGVICSEGSPVRVVSLDLKNHGLSGSLPDSIGNLTGMKNIYFGGNKLTGSIPDLSSMHILEELHFEGNQLSGPISPSLGTLTNLKELYLNNNNLTGQIPESLKNKPGLDMRTEGNKLN >ONIVA02G06720.2 pep chromosome:AWHD00000000:2:4385067:4389562:-1 gene:ONIVA02G06720 transcript:ONIVA02G06720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPIAFLFLLLLLLLAASSHATASAVPQPRGFYINCGSEKEEQIGSIKWIQDEGFIAVGNMSTVDKPNILPLLATVRYFPDATARKYCYQLPVVKGSRYLVRTTYFYGGFDGGDVPPVFDQIVDGTRWSAVNTTDNFRRGMSTYFEMVAEAQGKTMSVCLARRADTRSSPFISALELVSLDDSMYNTTDFDKYVMSTVARSRFGAKGEIVSYPDDQYNRYWAPFTDANPTVESHSAITPEEFWNVPPAKALRAGVTTSRGKKLSVQWPPVELPAATYYVALYFQDSRTASPYSWRVFDVAVNGKEFFRELNASAAGVMVYSTMMPLSGKMEIVLTPNETSPELARSIKNPPPDWAGDPCLPRQNSWTGVICSEGSPVRVVSLDLKNHGLSGSLPDSIGNLTGMKNIYFGGNKLTGSIPDLSSMHILEELHFEGNQLSGPISPSLGTLTNLKELYLNNNNLTGQIPESLKNKPGLDMSWSENVKYSFFTVQ >ONIVA02G06710.1 pep chromosome:AWHD00000000:2:4384360:4387242:1 gene:ONIVA02G06710 transcript:ONIVA02G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMEKGMTAYEAARERTVEENKRKMEALNLRHLSAAIAVAPKTPSPMKQKRRRIIEAAVVAPSPPRRSRRLANLPEVKYAEVAPDGAERMKRSPRKAIDSIYLATRGSISMEARLEAARKAEELESQLDPEFPSFVKPMLHSHVVRGFWLGLPRHFCETYLPKHDAIVTLLDEKDEQFDTNYLAYKNGLSGGWAGFALDHGLLDGDATVFQLVKPTTFKVHIIRATVDDGNEVTK >ONIVA02G06700.1 pep chromosome:AWHD00000000:2:4378130:4382613:-1 gene:ONIVA02G06700 transcript:ONIVA02G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAVTGATGYLGSRLCGALADAGHAVRAFALRSAGGGGGGGDVEAGLLPASVELAYGDVADVESLAAAFDRCDAVFHVAAAVEAWLPDPSIFITVNVGGLENVLKAARRTPTVKKIVYTSSFFAIGPTDGYVADETQMHQGKTFCTEYEKSKVLADQIALQAAAEGMPITIVYPGFMYGPGKLTAGNLVSRILIERFNGRLPGYVGHGHDRESFCHVDDVVAGHVAAMEKGREGERYLLTGENTSLVQIFDMAARITNTKAPRFHAVRVLRHQWAYSCEKAKKELGGTTGQAGGWPRRKAWKPALTSGGWIGGGGGDSTMGGGMRVVVTGATGYLGGRLCAALAAAGHAVRAFARRSSDASGLPASVELAYGDVTDEGSLATAFDGCDAVFHVAAAVEPWLPDPSVFTTVNVRGLENVLKAAKRTPTVKKIIYTSSFFAIGPTDGYVADETQRHQEKTFCSEYEKSKVLADRIALQAAAEGVPITILYPGVIYGPGKLTTGNIVSRILIERFNWRLPGYIGDGYDRESFCHVDDVVNGHIAAMEKGRPGERYLLTGENLSFKQIFDMAANITNTKAPLFHVPLWLIEIYGWISVFISHITGNLPLISYPTVRVLRHQWAYSCDKAKRELGYSPRNLTEGLSEMLLWLKDEKLIKF >ONIVA02G06690.1 pep chromosome:AWHD00000000:2:4375247:4380411:1 gene:ONIVA02G06690 transcript:ONIVA02G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex second largest subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G37560) TAIR;Acc:AT2G37560] MALRGGHAAAAAGVSSGSEDDDEEAGFSRSYFLAKEKEPSSGKKRARAAGKLSDLNLVDEQVLRASLAEIPPKHEREVEALTRSYKEQYRNWLFELRCGFGLLMYGFGSKKMLLEDFASTTLSDFTVIVVNGYLPSINLKQVIVTVAEIFWEQTKLKRKRQTATRSQLQPFASQSIDDIISFLNNQTSDNGDDNVCLLIHNIDGPALRDAESQQYLAQVSCCPQVHVVASVDHVNAPLLWDKKMVHTQFKWSWYHVPTFAPYKVEGVFYPLILASGGHAQTMKTALVVLQSLTPNAQSMHFSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKIRKHSDGQDCLHIPLVSDALEKLLQELT >ONIVA02G06680.1 pep chromosome:AWHD00000000:2:4364601:4364838:-1 gene:ONIVA02G06680 transcript:ONIVA02G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCLAALGQQNDDLAKPNRRFPPNQASSSDTPIRCLLNDDLTIFHRAIASNEGTRERWGSMAA >ONIVA02G06670.1 pep chromosome:AWHD00000000:2:4362731:4363510:-1 gene:ONIVA02G06670 transcript:ONIVA02G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVDKWPAAYPCRLPDKFYCALPDCTTTDRPVAPAPAASGSSGDYVWDALRAEAQDDADDEPLLRKFYHDLVLSRPSLEFALASLLAAKLCIPGALPQDQLRDLLAGALAAHPEAGRAARADLAAARDRDPACAKLVHCFLYYRGFLALQAHRAAHALWSDNRRAPALLLQSRASEVFGVDIHPGARIGGGILLDHATGVVIGEKAVVGYGVSILHAVTLGGTGKESGGTTAKPIIGKKAEPQRELPGVTMEQRWSD >ONIVA02G06660.1 pep chromosome:AWHD00000000:2:4359097:4359832:-1 gene:ONIVA02G06660 transcript:ONIVA02G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDNLAKPNRRFPPNQVLAGQFKRYADMLSPIYSLHAAPRHLPSRQRQQRRDKRGMRMVDGSVRNKDARGERELARPRKEEDGHDGLAFPKGMGRERERGEGQRQARVCPSSLRS >ONIVA02G06650.1 pep chromosome:AWHD00000000:2:4351714:4358519:1 gene:ONIVA02G06650 transcript:ONIVA02G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRARCSTSAPAAGERRALPVDPLSLPRLLVSVATTPGAAAPAVSTLHAAALKLGVLPSSLPASNALVSAYSLSGLLPCALRAFSLIPHPSTGSYTAILSALSRHGRPREALSLFSAAAVARPDAELLSCVVSCCRRASASLPARAAHAYGVKTAPLLAFYASAGPALVALYAMCGRVSAAKRIFDRMDGEDVVSWNAMIGGFAGAGMNGEAWDCFRVMRARGVRGNARTAVAVLGACDLESGRQVHGHMVRNHGDSGSNAILWNALMNMYSRVGCVDNAEHVFFEIERKDVVSWNVMIGAFAKNGHGERALELVDAMLRCGMQPDSVTFTTLLMACCHCGLVDEGLALFERFVENSALIPTMEQCACIVDLLSRAGRFREAAGFIDQMPIRPNAVVWGALLSGSRMHHDVDHAQIAFEKLVQLEPDNPGNFVTMSNIYAKAGMVEDAKRVRMMIDREELVKPSGQSRVQAIVQQHSCIHYQQFIWCLPLDMDKVCSLRGDLRACSAPRVLCQVLSGVHCLVVCLLRRLRGKDDIVNAGIAGCCTGLALSFPGLGFNE >ONIVA02G06650.2 pep chromosome:AWHD00000000:2:4351714:4358519:1 gene:ONIVA02G06650 transcript:ONIVA02G06650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRARCSTSAPAAGERRALPVDPLSLPRLLVSVATTPGAAAPAVSTLHAAALKLGVLPSSLPASNALVSAYSLSGLLPCALRAFSLIPHPSTGSYTAILSALSRHGRPREALSLFSAAAVARPDAELLSCVVSCCRRASASLPARAAHAYGVKTAPLLAFYASAGPALVALYAMCGRVSAAKRIFDRMDGEDVVSWNAMIGGFAGAGMNGEAWDCFRVMRARGVRGNARTAVAVLGACDLESGRQVHGHMVRNHGDSGSNAILWNALMNMYSRVGCVDNAEHVFFEIERKDVVSWNVMIGAFAKNGHGERALELVDAMLRCGMQPDSVTFTTLLMACCHCGLVDEGLALFERFVENSALIPTMEQCACIVDLLSRAGRFREAAGFIDQMPIRPNAVVWGALLSGSRMHHDVDHAQIAFEKLVQLEPDNPGNFVTMSNIYAKAGMVEDAKRVRMMIDREELVKPSGQSRVQAIVQQHSCIHYQQFIWCLPLDMDKVCSLRGDLRACSAPRVLCQVLSGVHCLVVCLLRRLRGKDDIVNAGIAGCCTGLALSFPDAAHVDWLLLDEVLPGRRS >ONIVA02G06650.3 pep chromosome:AWHD00000000:2:4351714:4356072:1 gene:ONIVA02G06650 transcript:ONIVA02G06650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRARCSTSAPAAGERRALPVDPLSLPRLLVSVATTPGAAAPAVSTLHAAALKLGVLPSSLPASNALVSAYSLSGLLPCALRAFSLIPHPSTGSYTAILSALSRHGRPREALSLFSAAAVARPDAELLSCVVSCCRRASASLPARAAHAYGVKTAPLLAFYASAGPALVALYAMCGRVSAAKRIFDRMDGEDVVSWNAMIGGFAGAGMNGEAWDCFRVMRARGVRGNARTAVAVLGACDLESGRQVHGHMVRNHGDSGSNAILWNALMNMYSRVGCVDNAEHVFFEIERKDVVSWNVMIGAFAKNGHGERALELVDAMLRCGMQPDSVTFTTLLMACCHCGLVDEGLALFERFVENSALIPTMEQCACIVDLLSRAGRFREAAGFIDQMPIRPNAVVWGALLSGSRMHHDVDHAQIAFEKLVQLEPDNPGNFVTMSNIYAKAGMVEDAKRVRMMIDREELVKPSGQSRVQAIVQQHSCIHYQQFIWCLPLDMDKVCSLRGDLRACSAPRVLCQVLSGVHCLVVCLLRRLRGKDDIVNAGIAGCCTGLALSFPAGLHIPFCQEIDCRRGVGDGVPAWSPRLTAVEVTTMAPVRSREVEEEQIGRRHGVQI >ONIVA02G06640.1 pep chromosome:AWHD00000000:2:4347021:4349692:1 gene:ONIVA02G06640 transcript:ONIVA02G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRARCSTSAPAAGERRALPVDPLSLPRLLVSVATTPGAAAPAVSTLHAAALKLGVLPSSLPASNALVSAYSLSGLLLGRHQGKGSSTTFHSSGGSDLSAAVAAAAARMNSPRRFVNLVLDGIARRQPSYGLHRVDVSTLFRPLAADEDEEGRRGGAMEVEDAQLPRAAMIFHPCPSYGLPSWPSSVDFMPLGSGSGSGRGGEKNDVVAVDYSGSGVLYGAASRAVTILPPMNTPKAFPVSLTIGGNIYVMERYPASSQSPSSCFEVLVHDRHPNHPFATPHWHWRLLPPPPFAFTADDALDSIRNFFQDDDDFLTAYTAVGGSCIWMTVQSTVAAAAGTYSFDTSTATWTKLGDWLLPFRGRAEYAPEHKLWFALSSDGNELCASDLAAAAQCVSPVSPSGSPVTPHLVPLGAGRFCIVRFMYKGWRSNFDFDEKFAVFTGVEVRRGCNKNSSTTLQMVNHRSRRYSLLDRN >ONIVA02G06630.1 pep chromosome:AWHD00000000:2:4336944:4345618:-1 gene:ONIVA02G06630 transcript:ONIVA02G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34310) TAIR;Acc:AT4G34310] MTHGKPITTRLPPSARSPQPPNHHRLSLSLSLSLSLSSLSGEYSLPPRLPRLRNVHLQRLPRHRTHCSSGPASAPSTSTTSSSAPLPVAAPPPPHHLAPRGGGGGGGGGPRRRLTPLLALSTLSLVTAAGTIYHISAWDLEGTVERSRASAARVVERMQHTCAAGKVLCRSLMSVLSSANHEVRSGFELRVAALLADIAAASAARRAAIVSAGGGAVVDWLLESVVRRATQAEAARALAHLLADPWVAPAVLGRPRAVPCLLQFIFSYQPKRGKKNSEYSSFNVSDHSKGRSMLVAALMDIITSNCDNADYSSFQPLLPSDADTRDIAAAIEVIEQGGMHFDDHDDNNSDDGDSGLKGIGIKVLGGTTVLGFSREINSLEVGNSDDGIVGASNSRILMQETATDSPLVEKLSSAAAPGLWDDLQREHVAVPFATWALANWAIASDLNRSRIQELDSDGHTVTTALKAPERTVKWHGAMVARALLEDQSLTLAPSVPDWCSSLLLTASRAAENGDMALAQMSLSTFLLSMIRCNESKFVIRQKGLHLLRSIAKKIENENAQSRMKESLAVALSLLYAGEVPLSLEETQRWSGILLRWLFDKSVSETTNLTAIKILSCILEDYGPASVPISQGWLALVLSEILGDNKTQNLKGTTQPQPERVKNQVDHHNASSATQILNQLATAVVKLAIVQSHYDPASGDKVPLFDFLSLEPFATALKNLNKKNPPKFDAADSASATLKGIKALAELCSEDGACQKRIADLGVLSLLRRILLGDDYEKLAAIEAYDASRIREVQDKNVSASNDSSNDTTSDPSSVRVPPAAHIRRHAGRLVTILSLLPNSKKEIISDDVWCKWLEECASGRVPCNDLKLKSYCRLTLLNVFCSEDPNTGSTSDEYPDSKSEYKRKCPQFGDALFLLNPELPLEVHLDNNGHEISRKKFKDDCCTEEGGDSETGDAPSNTAKYTPPLMDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLSADFPQARFLTVKYKTNLTQWTGASLPLQEVSSMLLRKLIAAGIGSRPVVFVTHSMGGLVVKQMLYQAKLNNYDKFLNNTNGLVFYSCPHFGSRLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHSKGLLNVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGYGELVVLASTDHINSCKPVNKNDPSMVDAWWPLLAAAIPAVVAGQAFRVKRRRDEEQRLKAARGREKSSDEVFVCERVCTSKRMLKKVGAFSKDPIPETCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLKRCQSECLKLSSTLIHNASCFSYFAVYELQKLVFCSS >ONIVA02G06630.2 pep chromosome:AWHD00000000:2:4339173:4345618:-1 gene:ONIVA02G06630 transcript:ONIVA02G06630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34310) TAIR;Acc:AT4G34310] MTHGKPITTRLPPSARSPQPPNHHRLSLSLSLSLSLSSLSGEYSLPPRLPRLRNVHLQRLPRHRTHCSSGPASAPSTSTTSSSAPLPVAAPPPPHHLAPRGGGGGGGGGPRRRLTPLLALSTLSLVTAAGTIYHISAWDLEGTVERSRASAARVVERMQHTCAAGKVLCRSLMSVLSSANHEVRSGFELRVAALLADIAAASAARRAAIVSAGGGAVVDWLLESVVRRATQAEAARALAHLLADPWVAPAVLGRPRAVPCLLQFIFSYQPKRGKKNSEYSSFNVSDHSKGRSMLVAALMDIITSNCDNADYSSFQPLLPSDADTRDIAAAIEVIEQGGMHFDDHDDNNSDDGDSGLKGIGIKVLGGTTVLGFSREINSLEVGNSDDGIVGASNSRILMQETATDSPLVEKLSSAAAPGLWDDLQREHVAVPFATWALANWAIASDLNRSRIQELDSDGHTVTTALKAPERTVKWHGAMVARALLEDQSLTLAPSVPDWCSSLLLTASRAAENGDMALAQMSLSTFLLSMIRCNESKFVIRQKGLHLLRSIAKKIENENAQSRMKESLAVALSLLYAGEVPLSLEETQRWSGILLRWLFDKSVSETTNLTAIKILSCILEDYGPASVPISQGWLALVLSEILGDNKTQNLKGTTQPQPERVKNQVDHHNASSATQILNQLATAVVKLAIVQSHYDPASGDKVPLFDFLSLEPFATALKNLNKKNPPKFDAADSASATLKGIKALAELCSEDGACQKRIADLGVLSLLRRILLGDDYEKLAAIEAYDASRIREVQDKNVSASNDSSNDTTSDPSSVRVPPAAHIRRHAGRLVTILSLLPNSKKEIISDDVWCKWLEECASGRVPCNDLKLKSYCRLTLLNVFCSEDPNTGSTSDEYPDSKSEYKRKCPQFGDALFLLNPELPLEVHLDNNGHEISRKKFKDDCCTEEGGDSETGDAPSNTAKYTPPLMDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLSADFPQARFLTVKYKTNLTQWTGASLPLQEVSSMLLRKLIAAGIGSRPVVFVTHSMGGLVVKQMLYQAKLNNYDKFLNNTNGLVFYSCPHFGSRLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHSKGLLNVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGYGELVVLASTDHINSCKPVNKNDPSYADTLAFLEKILKSRLKESES >ONIVA02G06630.3 pep chromosome:AWHD00000000:2:4336944:4338360:-1 gene:ONIVA02G06630 transcript:ONIVA02G06630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34310) TAIR;Acc:AT4G34310] MVDAWWPLLAAAIPAVVAGQAFRVKRRRDEEQRLKAARGREKSSDEVFVCERVCTSKRMLKKVGAFSKDPIPETCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLKRCQSECLKLSSTLIHNASCFSYFAVYELQKLVFCSS >ONIVA02G06620.1 pep chromosome:AWHD00000000:2:4334299:4336261:1 gene:ONIVA02G06620 transcript:ONIVA02G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITSTAMLAPVYGTPHPLAGAEVQLTVFDRAAFDLYVPSVLAYRAPAPSNEAIKEGLLRAVAAYPHLAGRLAVDRHGRRFLHVNDQGVLVVEATVDGADLDDVLANSGRAMATDVADLYPALPEDNVGAALLQVKLVRYRCGGLVVGSICHHHTADGHSMSAFFTAWATAVREGEGFTAPTPFLDRAATAVPRTPPAPAFDHRSIEFDGGEAAAAGGGRSSYAAVSLDKIKDLTVHFTAEFVGELKARAGGRCSTFQCLLAHVWKKITAARDLSPEEFTQVRVAVNCRGRANPPVPMDFFGNMVLWAFPRMRARELLRATYGAVVGAIRDAVARVDGEYIQSFVDFGGAAAAGGGGGGDLVATAAAAGTMLCPDLEVDSWLGFRFHQMDLGTGSPAAFLPPDLPVEGLMVFVPSRAAKGGVDVFMAVAEHHVEAFERIVYSLEEGHGHHGDF >ONIVA02G06610.1 pep chromosome:AWHD00000000:2:4333176:4333672:-1 gene:ONIVA02G06610 transcript:ONIVA02G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRETHVSAGRRGEVGEEVGRPATGDGRCGSGGRGGGGDDDDVGIGGPPPMPPASVASPPAPMDDDGGLLALPVALPIGSSSFVLREDGVSRAADEAAAPRCLPLLFDLNLPASSPSAAAAAEVDEMDWCCDTLLHL >ONIVA02G06600.1 pep chromosome:AWHD00000000:2:4332229:4332856:-1 gene:ONIVA02G06600 transcript:ONIVA02G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKMIKFGSKFVTSSSSGEQRGAAASSAAPETPSSQSTEEEEPTGGATGRARRPPSSSMAAGGVATEAGGVGAALLLPRRRRRRHCIRSVRAEDTVRRSTSDDRKSNTRACTTMASTNDASPARDDGLHGRAHTLSPPPCFYVIVSATGSSSLFSAGSGGGGQSPKQVS >ONIVA02G06600.2 pep chromosome:AWHD00000000:2:4332229:4332811:-1 gene:ONIVA02G06600 transcript:ONIVA02G06600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEDDGDEAGRFRSSSSGEQRGAAASSAAPETPSSQSTEEEEPTGGATGRARRPPSSSMAAGGVATEAGGVGAALLLPRRRRRRHCIRSVRAEDTVRRSTSDDRKSNTRACTTMASTNDASPARDDGLHGRAHTLSPPPCFYVIVSATGSSSLFSAGSGGGGQSPKQVS >ONIVA02G06590.1 pep chromosome:AWHD00000000:2:4331960:4332169:-1 gene:ONIVA02G06590 transcript:ONIVA02G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTAAAAIRATASIGGAARRIGPPLCRAPSPPAAGHAGSPPRRVEDAATIHAQGKMREEGREEGKRER >ONIVA02G06580.1 pep chromosome:AWHD00000000:2:4327741:4331579:-1 gene:ONIVA02G06580 transcript:ONIVA02G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uroporphyrinogen-III synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G26540) TAIR;Acc:AT2G26540] MALSSSSHLLPFSRPPATFPRARHAGGGRGRAGATGRFMACSSPPPPDVVVTRERGKNAKLIAALEKHNVQSLELPLIKHVEGPDTDRLSAVLRDEKFDWITITSPEAAAVFLEGWKAAGNPKVRIAVVGAGTERVFDEVIQYNDGSLEVAFSPSKAMGKFLASELPRTTETTCKVLYPASAKAGHEIQNGLSNRGFEVTRLNTYTTVPVQDVDPLILKPALSAPVVAVASPSALRAWLNLASQVDNWGNAIACIGETTASAAKKFGLKSIYYPTTPGLDGWVESILEALRAHGQSKEAPGC >ONIVA02G06570.1 pep chromosome:AWHD00000000:2:4323045:4323761:-1 gene:ONIVA02G06570 transcript:ONIVA02G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLNRTAAPIFALPSLLCPLLQLKGYPSRYGLSDSKFSFSLNLSPQRFAFKLQNRVNALLLQPDLQIWLYIYTSGTSFIFTSTIKTSYVVSALPLFNRIERMAFVIGDDGWAAQPTRGLWRSDGSADGGGGRQEAAWGGNRAARRRAASCLPPPPSALPSLLHNPRVGCAAQPSSHITNAILSILLKSGSAETTYEVFMVLVNMKLVPDVYMYNQICKSGCSNKALTLFCNLKANL >ONIVA02G06560.1 pep chromosome:AWHD00000000:2:4309397:4314412:-1 gene:ONIVA02G06560 transcript:ONIVA02G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVKSRPPPPPPPPPPHALPAVTTRRRGSSSSSPAAAKASVFLSAPRELLLVLCSLLVVAVLFVSSSSAAAAAEDGAEGSDGDLGGCLGFRDGCADRSALCFSPSAVESMLASEDDGVKEMDLVVSRDWGPPPPPSLGFRLPGHRGVVTCSSAADALITSRNGVGREDGGERWYNVASCQAPLVPDNWMRAMAGATPELDAADASTDGIFGSSSLDVEISPPVLDWGKSSLYVASMATLTVVNLNNDSALRVYEPFSTDPQFYVYGYDDLVLQPRENASVTFIFLPKVLGSSSAHLVLQTNFGGFIIQAKGMAVRSPYQLEPLAGMDVITGGRLERNLSIFNPFDDSLYVEEVAVWMSSFQGTKQSSHIVCQLGPFDESLEFTSLSSNWYTASSTEFGLPMIHIRPSEQWEVLPTQSSTVVELKLQPLSEGMVFGAICMKLRNCTSDRVDTVVIPMELEVRARTYYEPTNLVSVTFERVSSCAGVGSIISLSLRNEGTKLLRIVRVTEDNRDGSNNFEVKYLNGLILFPDTVTDIALIKYTSVPTDNSFDNCNIVVETNSSVGSSILIPCRDVISATLSYTASAVTQSDGPFSEDELSANSRTGSLGSIVEVKGLQHMKPTITRAYKADDTVLRRWRSHGTRTGISVLTDQKMLFPIVQVGSQFSKWITVHNPSLEHASMQLVLNSEEIIGQCKTINDACEHTFSSRSPEVDSTETRFGFSLSDAAITEASVGPLESALLGPIIFRPSNQCMWSSMVLIRSNISGMEWLPLQAHGGRQSIVLLEESEPVWKLEFNLISDIQNKSALSKSEFTSPLCGQHLSKEIHVKNSGDLPLQVTKVKISGADCAVDGFSVDNCKGFSLAPSESIRMLVSFKADFSSAMVQRDLELAMTTGIFIIPMTANIPVCMLDQCRKSYLRSIHWKTLIFLFGTVSVFVLVFARGVPTSLSGGSQDCYIKIHDGKGTIDKPVKPSFLQCSSKTSRSTREHKRDKETHKYPADIHNSPKRTKDKNNADEQLNTTSTMSLPPSNTVEDKVSIEAPETSGNLTIRVARDKGKRRKRKVGGAGLAAKFEVSSSHSGNSTPSSPLSPSSTPKQSWSFSGTPSELKHSSKLENGSDIEARPPSARNNHEKSSWLQTAKEQPAPPPSVTSGNPSPSPSPSPTAPTNAWRSPLLSSPSPIAPRSRAPGSNLMKDKAVKRTEGAATTTTTRKKDFTYDIWGDHFSGHLLGKAREVAPPCYKMFAASEGASNSFFAREPQALVTKPPSPSPSSSSSSSPPVTRGRGSLPSDVASGYGIN >ONIVA02G06550.1 pep chromosome:AWHD00000000:2:4302141:4308574:1 gene:ONIVA02G06550 transcript:ONIVA02G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGRGEGEGRAATGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFQFASTSMKQIIDRYNSHSKTLQRAEPSQLDLQGEDSSTCARLKEELAETSLRLRQMRGEELHRLNVEQLQELEKSLESGLGSVLKTKSKKILDEIDGLERKRMQLIEENLRLKEQVSRMSRMEEMQPGPDSEIVYEEGQSSESVTNASYPRPPPDNDYSSDTSLRLGLSLFSSK >ONIVA02G06540.1 pep chromosome:AWHD00000000:2:4291582:4294174:-1 gene:ONIVA02G06540 transcript:ONIVA02G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRFPLFGSNSQQQQPDPNFQDNPTQPWYPQSVVGSSSHPSTPSSSNVGPHQRASDNSQSSSRAQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRDELRKETVQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLAELERQKDEIMRSYSPAALLDKLQKSMAKLDEESEELHQKFLEKDIDLPTFVQKYKKLRAAYHKQALLHLAGKTSLR >ONIVA02G06530.1 pep chromosome:AWHD00000000:2:4285544:4286863:-1 gene:ONIVA02G06530 transcript:ONIVA02G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT1G09750) TAIR;Acc:AT1G09750] MAATTTIPLLLLLLLAATVAAAAAELSVYHNVHPSSPSPLESIIALARDDDARLLFLSSKAATAGVSSAPVASGQAPPSYVVRAGLGSPSQQLLLALDTSADATWAHCSPCGTCPSSSLFAPANSSSYASLPCSSSWCPLFQGQACPAPQGGGDAAPPPATLPTCAFSKPFADASFQAALASDTLRLGKDAIPNYTFGCVSSVTGPTTNMPRQGLLGLGRGPMALLSQAGSLYNGVFSYCLPSYRSYYFSGSLRLGAGGGQPRSVRYTPMLRNPHRSSLYYVNVTGLSVGRAWVKVPAGSFAFDAATGAGTVVDSGTVITRWTAPVYAALREEFRRQVAAPSGYTSLGAFDTCFNTDEVAAGGAPAVTVHMDGGVDLALPMENTLIHSSATPLACLAMAEAPQNVNSVVNVIANLQQQNIRVVFDVANSRIGFAKESCN >ONIVA02G06520.1 pep chromosome:AWHD00000000:2:4280585:4282923:-1 gene:ONIVA02G06520 transcript:ONIVA02G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT5G62440) TAIR;Acc:AT5G62440] MAEPEVVVAAGGEAMEAEAAEPAAANPSQKREREEGDDSAAGAAGEEAAEGAAAKKQKVEGEGEAKGAEDEAKGEEEEGAKEEEAKPVKLGPKEFATGVEMFDYFFALLHSWAPQLEINKYEHMVLEDLLKKGHAESDRKIGAGIEAFEIRNHPVFQSRCFFVRRVDGSSDDFSFRKTRWWPRWRAWFPRSG >ONIVA02G06520.2 pep chromosome:AWHD00000000:2:4280572:4282923:-1 gene:ONIVA02G06520 transcript:ONIVA02G06520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT5G62440) TAIR;Acc:AT5G62440] MAEPEVVVAAGGEAMEAEAAEPAAANPSQKREREEGDDSAAGAAGEEAAEGAAAKKQKVEGEGEAKGAEDEAKGEEEEGAKEEEAKPVKLGPKEFATGVEMFDYFFALLHSWAPQLEINKYEHMVLEDLLKKGHAESDRKIGAGIEAFEIRNHPVFQSRCFFVRRVDGSSDDFSFRKCVDSILPLPEDMKIGNKSNGKKGGGHFKGGGGRGGGRGGGRGGGRGFRGRGRRGN >ONIVA02G06510.1 pep chromosome:AWHD00000000:2:4280563:4286992:1 gene:ONIVA02G06510 transcript:ONIVA02G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLQQVFQHHMLILVDLELRRPRVEQGEEVVEHLDARGELLGSQLHRLRLLFLRALLFLPLRLILRSLRLPLPLHLLLLGRSSLRRLLASSAGGGVIPLLPLPLLTRIRRSRLRRLGFHRLPTCGNHHLGFSHGRELEESAETEAEAELGFWRCSKRSERVKYHAREQKRTRCEPSPCGPTRGRPGPRAKHRVRVFAREEGRKQNADVYINRSSGKMPLLPAPFRPLAPAAAAAPRRATAGEGGRSSLTGAFCS >ONIVA02G06500.1 pep chromosome:AWHD00000000:2:4269122:4277417:-1 gene:ONIVA02G06500 transcript:ONIVA02G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPRGRGAAAAAAAAAAAAAAAAAQAAGREESFSLESSGPPAFAAIIRLTPDLVDEIRRAEESGSGARIKFNPNMYNSSENIIDVGGKEFKFTWASERGELCDIYEERQSGEDGNGLLLECGSAWRKVNVQRILDESAKNLVKMRSEEAERLSKSRKSIVLDPANPSVKSQAKSMAAAAVEGNMRRMNWKQKKEFYKKNPAAVIAPTKSVSKVKLSNSVPKANFSTSPALSPEQPGPSIPSVPTGSDVNNEVITPFDLNKDENSKVEKSTPNRVSQGTSRRASVVSASTDDNTNELRSLLISVLSENPKGMNLKGLEKAVADVFPNASKKIDSIIKNIAIFQAPGRYLLKPGLEAESSKRSIDDNTEEAGPSLKMDDPDIFERIDIGGSPVSAARDEKVNNDSDGKAGSSSESGSGSDSDSESSDSGSDSGSQSRSAASGSGSSSDSDSDASSSSKEGSDAFVDITSDDDKGGAHRKAADELKLSSSPRDLTALDGDDELIDIGTNLDYKSASPHIDLNNFNDNNNESAYTAVPTDSFDASHLEKPPEIPGSKNMVNTSIDPSRIDSKYAANEMSYEDLFGDPLAPSSENLPKEETSQSTKHHGSRRKSVSKDGSNHGQDRINEKGAKPKLKRCSANENSSVMSGSAKRAKADYLGTTSSLSEQRQTLPLDKHVNEKLSKETGNVSWDAHSDLHAHDSSPAVKVRHLASGNLQKINQSPNVLNQAMHSERTQDKVEKSISKKKADKVQKPWNSLDGNLGRVSHAEDPYANFDDSDDSATRKKARYGGTQVEDKMLSRSKDANIDVNSMVSAKSAKGNVGYDGVMPFPDSNESNGQPSNLQRNNAESSPHGKKVLQRELSDLELGEFREASLENETGRAKKQFERNSSSKSLDGKLANVDNSIPSMANRKGSLSSSQDQRKPSPREFGIGGNINQEGFPKKASGYDFDNNRPQQRGNVPQSQQLSKPDNPVPVVILHPDQPGEKPGKRETRVQVGMLDQVGPKKIGKTTPKLPQNGSRNAIGSRTQKSISPAENEERSRNNSLIENDASRKRRDSSSDEDNLFFSKYDKEEPELKGPIKDFSQYKDYVQEYTEKYKVYSYLNIQIEKTRSEFLKVQEDLNVAKERDKDLYYNIMERIRDMYHESGTRHKLMKKVFSLLHEELQTIKRRIKDFADSYSNE >ONIVA02G06490.1 pep chromosome:AWHD00000000:2:4259348:4267047:-1 gene:ONIVA02G06490 transcript:ONIVA02G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G10940) TAIR;Acc:AT5G10940] MEMDHAAAEKARWRFSDGGVTDLLQARSLHGTADINKRVQFHSSLVQRLALEKEMEGHLGCVNAIAWNSKGSLLLSGSDDTRIGIWSYANRELLHDIDTGHSANIFCTKFVPETSDEVIVSGAGDAEVRVFNLSRLSGKRPVEISMEPSAVYQCHSRRIKKLAVEIGNPNIVWSASEDGTLRQHDFRECSSCPRARSTNQECRNVLLDLRWGAKKSLADIPRQPLALKSCDISTVRPHQLLVGGSDAFARLYDRRMLPPLSTCQTKKEPPPCIKMFCPMHLADTRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDLENTSSVRYTADNVQEQLCLPPFNKEPAKLISKQQKFPVNRASRNVCRVDTFKKLMQVATKSLEMGTNLMLGVEACSTILQAVDHNIDDNMKHDCLCTRAGLYLKRRWKNDVYMAIRDCNSARCIDSRSFQAHLYMAEALLQLGRLKEASEYVDALNTIVPPYSQSVKQVENIKEQLFAAELEKNKKDQVGNTNTDARHGRLRSLSDLLFRSDASGSSSQEGREDSDYDDEMELDFETSASGDESRDSDPGFVRGSLSLRFHRRDSQTDEHSGENGSAESTQNGDSAYQPEVAVDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPYDCAVATSGIDNTIKLWTPDANATSMIAGPEIDVSSVIENNQRKLSRNREILLLREKEGNAWKVTSSKR >ONIVA02G06480.1 pep chromosome:AWHD00000000:2:4257126:4258489:1 gene:ONIVA02G06480 transcript:ONIVA02G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFESNIMLEKSEAIVVRVPGMMMDRGCSLVAVVQHQRKLLPCVPLPLQLEKRSSTVLIDSPCNQKVWLVLPIQQAGTALPCTRPTGHASCRQRRRRAQELMFITELLAANLPGRRRRVQMT >ONIVA02G06470.1 pep chromosome:AWHD00000000:2:4254832:4256369:-1 gene:ONIVA02G06470 transcript:ONIVA02G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPRVSGWVVGGGSVEVGPCVRTAVQRSPSAQLRYYSSGERPWQQQQQEEEDKIKGGQLPSFLLQDLEMREVGRRRITTSNSSSSQTTFDFDFAISNQSAPF >ONIVA02G06460.1 pep chromosome:AWHD00000000:2:4252843:4254705:-1 gene:ONIVA02G06460 transcript:ONIVA02G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDKSAAGSSSSPPRPVAAATAAVCCMCGDRGLLPELFRCAACAVRSQHTYCTERYPKAESYGTCNWCLRADQGSDGGGGGAAAASSSMARPPTGARAAAGGDPAASSRSTAAAKVVAARGHFAADLSKPIKKPPPAPAPLPPPSPAQRRSASDLGGRVRRADHDAPPPLSPGVARGRPRVRRYKLLEEVITTS >ONIVA02G06450.1 pep chromosome:AWHD00000000:2:4241776:4244378:1 gene:ONIVA02G06450 transcript:ONIVA02G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDDDQPPPLPAQKRPRASPPPDQVLDNVLETVLQFLDSARDRCAASLVCRSWSRAESATRASVAVRNLLAASPARVARRFPAARRVLLKGRPRFADFNLLPPGWAGADFRPWAAAVAAAAFPALASLFLKRITVTDDDLDLVSRSLPASFRDLSLLLCDGFSSAGLASIASHCRGLRVLDVVDCEMNDDDDEVVDWVAAFPPGTTDLESLSFECYVRPVSFAALEALVARSPRLTRLGVNEHVSLGQLRRLMANTPRLTHLGTGAFRPGDGPEDVGLDIEQMASAFASAGRTNTLVSLSGFREFEPEYLPTIAAVSGNLTNLDFSYCPVTPDQFLPFIGQCHNLERLYVLDSVRDEGLQATARTCKKLQVLHVLPLNALEDADELVSEVGLTAIAEGCRGLRSTLYFCQSMTNAAVIAISQNCMDLKVFRLCIMGRHQPDHVTGEPMDEGFGAIVRNCSKLTRLSTSGHLTDRAFEYIGKYAKSLRTLSVAFAGDSNLALQHILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFLWMSGCNLTLQGCKEVARRLPRLVVELINSQPENERTDSVDILYMYRSLEGPREDVPPFVKIL >ONIVA02G06440.1 pep chromosome:AWHD00000000:2:4233988:4235955:-1 gene:ONIVA02G06440 transcript:ONIVA02G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPAAAGGDHATATPAPATGAAAATASDYAHYPRLSPEDVAPPPPPPYHAAASSAPPYSGNPYVSSPAGGVAPASKNTMDTVKDVLGKMGKRFGEAARKTETLTGNFWQHLKTGPSITDAAMGRVSQITKVIAEGGYDKIFHQTFDVLPDEKLKKPYACYLSTSAGPVMGVLYLSNKKLAFCSDNPLAYKVGDKDEWSYYKVVIPHTQLRSVNPSTSRTNASEKYIQVVSVDNHEFWFMGFVYYDSAVKNLQEALQEAQNLRA >ONIVA02G06430.1 pep chromosome:AWHD00000000:2:4230553:4232360:-1 gene:ONIVA02G06430 transcript:ONIVA02G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAYGSREGLTARPAASSSSSEISLQIDPITADLDDHIVGLRGQVRKLRGVAQEIQTEAKYQNDFISQLQMTLTKAQAGVKNNMRRINKKIIQNGSNHLFHVILFALVCFFLVYLVSKFSRR >ONIVA02G06420.1 pep chromosome:AWHD00000000:2:4228276:4229472:1 gene:ONIVA02G06420 transcript:ONIVA02G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAASPRPSAAPAAMEETSKAMPSESPAASGGNASPPARSRPSLLVIFSACLVLLGAGGPLLLRVYFVHGGTRLWLSATLQISGWPLLLPPLCVSLYRGRRHGIGNLLLPRRLVGAAAVLGGLYAVSCFVYALGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVVLLTIGPAVLGVGPSSGKPAGESSRAYWTGFCEAIGAAALAGLVIPLVEVATARYGRRTGPAARVPPPYATVMQMQAVMGAAGTAVCVLGMAIKGDFQAVAREAAAFGLGAANYYLVLAWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSQVLAVIFLHEKFDGTKGIALVLSLWGFASYLYGEKAQKKKEAQKMREREQEVALAQKTADVESAAP >ONIVA02G06410.1 pep chromosome:AWHD00000000:2:4224507:4224793:1 gene:ONIVA02G06410 transcript:ONIVA02G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLVQVERDGPWWVQSLGYQATRPKEEWAAGLSEADHKAEQDGQLAQLRLTLLVHKFHVKVSYEKFSNENFQMKVLQIKAFR >ONIVA02G06400.1 pep chromosome:AWHD00000000:2:4206416:4215297:-1 gene:ONIVA02G06400 transcript:ONIVA02G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0G298] MGDGRGDEEECRVALLNGGGAAKEGWQVVSGGDGKLRRRVWEESRKLWVIVAPAIFSRVVTYSMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMAFGAKKYHMMGVYMQRSWIVLLSCALLLLPMYIYAEDVLLLTGQPPELSAMAGRVSVWFIPLHLSFAFLFPLQRFLQCQMKNFASAAASGVALCVHVAISWLLVSRFRFGLVGIALTLNFSWWATAAMLFAYVACGGCPETWNGLSLEAFAGLWEFVKLSAASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMTINAWELMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSVTSLVIGLFFWVLIVGLHDKFALIFTSSDVVLDAVDNLSVLLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGTYYLIGIPMGILLGWLFKLGVLGIWAGMIGGTAVQTLILAIITIRCDWDKEAMIASTRMDKWSQVR >ONIVA02G06390.1 pep chromosome:AWHD00000000:2:4204417:4206112:1 gene:ONIVA02G06390 transcript:ONIVA02G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRIALAVLVTLLLSAFRPCLAQQSNDDTSKHHRSATAGGFTPTTVVVLVALITAFVLLTVFSVLINRCAQARAPPRRAFRSTASHQPVGGAAAASRASRGLDKEVVEAFPTAVYGDVKARMAAKSGPLECAVCLAEFADSDELRVLPACCHVFHPDCIDPWLAAAVTCPLCRANLTAPPVSLAAAESSDLTAPEEAVQEEESEELDEASLMATFTPESVIDFGATHDHEFDRAGYPHYRRTQSAMDAAPDRHTLRLPEHVMKELAADRRHRRAASLAGYPDSVERTPRWLTSLWRSVSWQRQSRADWDAGEEHGGSKRVHPVAGAQDETPSGSGSDGSKENSDSDALNRGSYVHQYGEHAG >ONIVA02G06380.1 pep chromosome:AWHD00000000:2:4191726:4192983:-1 gene:ONIVA02G06380 transcript:ONIVA02G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQGHFGYGCGRDSPAYGMPWCDVLAAAGGGGGFGEFYGVDEWDDQLQVASVDEWEVASKDNSDASTEGKAAAAERAAPVAAGRRKRRRTKVVKNKEEIECQRMTHIAVERNRRRQMNEYLAVLRSLMPASYSQRGDQASIVGGAINYVKELEQLLQSLEVQKSLKNRSGAMDAAGDSPFAGFFSFPQYSTSPRTGCSAAASAGSSGSASSVVMDDTAGSAESGRQSAAIADIEVTMVEGHASLKVLARRRPKQLLKLVVGLQQLRIPPLHLNVTTVDAMVLYSFSLKVEDDSKLGSVEDIATAVHQILGSIQQQEAVLSIS >ONIVA02G06370.1 pep chromosome:AWHD00000000:2:4185495:4186671:-1 gene:ONIVA02G06370 transcript:ONIVA02G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPATATKLAVAVYVEEAAGAPVGAETEEGVTADGVTAEGALAAGASVGGAGGDALGDGDAAVGGVATGAGAVAGDLAGGAGSGAILGAGTGAAPGACAAAVTARRATMAATTAKRAMVFRLGNRHRVAGREKRLFYQVIIQRLVPRWTVPCCADRWPALRAVTAGPANKCCAVLPPSAM >ONIVA02G06360.1 pep chromosome:AWHD00000000:2:4170764:4171989:-1 gene:ONIVA02G06360 transcript:ONIVA02G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPTSSSRKSKKQGEDLAFSEEGSLPAVTMEQKDEAEMEEVDEEEEEEVDEDMAGGHAAQSPSPSCGLGEKKRRLALEQVRALERSFDTDNKLDPDRKARIARDLGLQPRQVAVWFQNRRARWKTKQLERDFAALRARHDALRADCDALRRDKDALAAEIRELREKLPTKPADTAASVKVEAGNDAAAGAAAATVCKDGSSDDSDSSVVFNDEASPYSGAAFIGFGPSFLVDDASAATVGCSSSLPALESKWHGPYSDDSCKGGVYGFTEEWLAACSGEMAGNDAAGFFSDEHASNLNFGWCASGNEGWE >ONIVA02G06350.1 pep chromosome:AWHD00000000:2:4163691:4164221:-1 gene:ONIVA02G06350 transcript:ONIVA02G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSEWEDRWCKRHPEHRLSKGVCPYCLRDRLAHLSASSSATTTTRASSSAESSGYSSGSPPCYGALSADVSSVHVVGAAGSSFANVAAFSQPLMPSSVSRKPAGGGQEEPGREASGKGKQQEVKRKKSGKKKKIGRFLSRLVGAEKRRQSGDADGGDLFHSKTMKEKTAHKWVFF >ONIVA02G06340.1 pep chromosome:AWHD00000000:2:4160325:4160720:1 gene:ONIVA02G06340 transcript:ONIVA02G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAAPEAERLTAAGVAKEELVAAVRIQAAEVMRAAAPRRGEGQHVLLGTAGETRAAPQGLADAVDVDGLKRADDERPPVGSMTTPPRRPRPVGANTALQRLQLPPPTATTRRRADDAAVVDLEWTRMV >ONIVA02G06330.1 pep chromosome:AWHD00000000:2:4160069:4160311:1 gene:ONIVA02G06330 transcript:ONIVA02G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVHGFSLDWPQWTTGGKKHRKGKEYRGDSQPLPSSSPAAVPTPVCQEEAVVELSTASGGDDQHVVALLLRRARCHAAA >ONIVA02G06320.1 pep chromosome:AWHD00000000:2:4155255:4159024:1 gene:ONIVA02G06320 transcript:ONIVA02G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLAHPRSPHHHGPRILAHIAVQRLKRCSIRTNKMQQSRQDVDEHVAELRGELRKAREERDRAHRVLEVSEWKALASANDRTTIETLEAELDASRESEKRMLESLAMQTKQLEMTKIELEEARLENASLRETIQRLEAIAVPVATTPRGRYDRDYQRVHGELRMALVAEDKNKKAMEELVLALKEVNGELHTTRQLLARSQHEAETARLESDRLHVSLKRKDDKLRALSEEVARLRADAEESFAAWRGKEAGFTSCMKSTESELAETRRENARLLESQRSGRDEIAKLRDILRQAVKDTKVVKEALEEARGENAALKEMLGDKDTAIKCTKQELECLRVTEAAARDSVKELQSLLVATSSSPTAAGMKLSASPSPTASGIKLDMEDSSSSHGSRELHGLIKCHSEARVKPPAGLTLPRRRSDNFEGSVYDIFGSMEDQKSELSAFSTMPRSLPARRRVMMRKVGSLFRFKSFSIK >ONIVA02G06310.1 pep chromosome:AWHD00000000:2:4143765:4143979:1 gene:ONIVA02G06310 transcript:ONIVA02G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTVLRCRRRRRDDEQRPAAGEPLAVEEIVVDPPKAHEVRIKIVCTSLCHSDVTFWRMQVSPIPCR >ONIVA02G06300.1 pep chromosome:AWHD00000000:2:4138212:4141226:1 gene:ONIVA02G06300 transcript:ONIVA02G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSLKPIRCKAAVCRAASEPLIVEEIVVDPPKAYEIRIKIICTSLCHTDVTLWHKVDPAFPRILGHEAYGVVESVGENVEGFAAGDTVVPTFMGQCDSCASCAAEWTNQCTAVPFTMGPGMRRDGTTRFWDGEGKPLSDTVAVTSFSQYTVVDVNQVVKVDTAVPPKIACLLGCCGGTGVGAAWRLAKVQPGSSVVVFGLGSVGLAVVQGAKMCGATKIIGKEFGVTDFVNPSQLGDKSVSEVINAMTDGGADYSFECIGISSVMTEAGRGKTIILGVEKDSQPLCLPSFEFLFGKCVMGSLFGGAKPKTDIPILAEKCMNKELELEKLVTHEVGLTEINTAFNLLLQGKSLRCIIWMDK >ONIVA02G06300.2 pep chromosome:AWHD00000000:2:4138212:4141226:1 gene:ONIVA02G06300 transcript:ONIVA02G06300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSLKPIRCKAAVCRAASEPLIVEEIVVDPPKAYEIRIKIICTSLCHTDVTLWHKVDPAFPRILGHEAYGVVESVGENVEGFAAGDTVVPTFMGQCDSCASCAAEWTNQCTAVPFTMGPGMRRDGTTRFWDGEGKPLSDTVAVTSFSQYTVVDVNQVVKVDTAVPPKIACLLGCCGGTGEPEIRRYIEPAWGRSSMEVGQSATRFVGGCLRAGIRRIGGKEFGVTDFVNPSQLGDKSVSEVINAMTDGGADYSFECIGISSVMTEAGRGKTIILGVEKDSQPLCLPSFEFLFGKCVMGSLFGGAKPKTDIPILAEKCMNKELELEKLVTHEVGLTEINTAFNLLLQGKSLRCIIWMDK >ONIVA02G06290.1 pep chromosome:AWHD00000000:2:4128263:4132817:-1 gene:ONIVA02G06290 transcript:ONIVA02G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVVPGLDGKPGSVSLELGSKPGCFLVAGASAKVQVGCRSRGSDGPKTLIYGEREGGGRERGASGMAKLDSGYALRMLEAKRAGGGSGTGGLAGRFALDTSTI >ONIVA02G06280.1 pep chromosome:AWHD00000000:2:4122346:4127102:1 gene:ONIVA02G06280 transcript:ONIVA02G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G60600) TAIR;Acc:AT1G60600] MPLAGIALAPLLVSHLAPPHHRRSSVASAAAAAARRRPRAVQCSATATAASGEGAGDDVELSRGTLLWRAAKLPIYSVALVPLTVGSACAYHHVGSFFGKRYFVLLVASVLVITWLNLSNDVYDSDTGADKNKKESVVNIVGSRTMTQYAANISLLFGFMGLFWAFAQAGDARFILSVTCAIICGYVYQCPPFRLSYRGLGEPLCFAAFGPLATTAFYFSNSSRNISSGTALLPLSKTVIASSILVGLTTTLILFCSHFHQIDGDRAVGKMSPLVRIGTKTGSRLVTLGVVTLYVLLAAFGMSKSLPSACTVLCALTLPVGKWVVDYVLKNHEDNSKIFMAKYYCVRLHALFGMALASGLVLARNGTLV >ONIVA02G06280.2 pep chromosome:AWHD00000000:2:4126632:4127002:1 gene:ONIVA02G06280 transcript:ONIVA02G06280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G60600) TAIR;Acc:AT1G60600] MINSSPYTPTKYYKISPTPAISTHWKQQQQQQEHPWLVLGHGSRTRGLVAGMGTPGDGGVRGEGRHPNPSGEVGTWIGDGIPRRRRM >ONIVA02G06270.1 pep chromosome:AWHD00000000:2:4116967:4120752:1 gene:ONIVA02G06270 transcript:ONIVA02G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISCFSALLAGKRKLSKVTSKIGYGKKSGGNEFQKVKPVEFMEGTDTVDIGKGGGDIVLACDTKVVAFNAAELACEGRDKDDDMVSVKRDTSDVDLVAGGDADSSGYNSDAADKDASSAAAAPDASEPGVGLMVPAMASRLERSCSNIETARRGSKAFELPAKSLSYGDLMALPAGGSATATPVGAPDDSPAASVKTTCSADHVMLKKCSSSQVLPSRSRKLWWRLLLRSHRNLHRPAATVPAAVPSAEQRHDGYASDTLDAGAATADVKNKGIAVGHEPIPNQWMAFSSEATSLDRVSAWVNSLVDNPFKANEECIVEHDDDDDDTARPHCTEIGEPSSFGGKFPAQARRRMAGEAIKANSIIQTLTTSSSVAHISGMGLTVIPVISPFSSLRAISVIEGLRELTRLRVLNLSYNKISRIGHGLSNCGAIRELYLAGNKISDVEGLHRLLKLAVVDLSFNKITTTKALGQLVANYSSLRALNLVGNPVQTNIGDDALRKAASGLLSRLEYLNKQPVRPQRAREAAKDSVAKAALGNGGWSSRRRPTPSSRRLSQSPGSSVKNRGRDNGSGSHRGSRSRSKSRPHQGFSLARK >ONIVA02G06260.1 pep chromosome:AWHD00000000:2:4110422:4110821:1 gene:ONIVA02G06260 transcript:ONIVA02G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIVEGPRSNDPRWLNRHWLRSYWPPLCRRRFWDLGAAARRRAARRAVAALRRRRRRVSGDAPLAPPCAESFRLGPPRRTDAAPLLRREREVEDDGEERKEREREKPCGTHIVVSAQ >ONIVA02G06250.1 pep chromosome:AWHD00000000:2:4103173:4107422:1 gene:ONIVA02G06250 transcript:ONIVA02G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKSTGDVEDNMLTCLADLFSQISNQKKKTGVIAPKRFIQRLKKQNEIFRSYMHQDAHEFLNFLLNELVDILEKESKVVAEPCENSSLKKNSNGPINVQLNGTKKEPVPTLVHKCFQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLINTVDNSDLEYSLFAVLVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQTFFGSAQEFSGNTDNGYILFYESLAEKS >ONIVA02G06240.1 pep chromosome:AWHD00000000:2:4098473:4102200:1 gene:ONIVA02G06240 transcript:ONIVA02G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein (TAIR:AT5G51150.1); Has 323 Blast hits to 315 proteins in 124 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi /.../; Plants - 73; Viruses - 0; Other Eukaryotes - 45 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G34630) TAIR;Acc:AT1G34630] MAPTSPEELKCAANGGCAAASPGGTPRAGHYLPAVPAAVEGELRWLRRCVEAAAKGFAIGAGLKGGLALFSVLVRLRSRRRSPRSRKVGAMTNEEAVVLALKETVRYGLFLGIFAGSYVSVDECIAGIWGRKRTARWRSLLAGLIAGPSMLLTGPGTQHTSLAIYILMRAAVLASRCGIKSKRFGNICKPLTWSHGDIFLMCLSSAQILSAYILSQESLPSTYKAFLNKHGGKDLTILQGVKEVVNHTAFSNLAGIEKYYKSVGVDLKLDPNMKVPCSIVHGNQSCAGHFITFLFQAYGRAVPVYVPVYLVPALVVHRQDLLKRPYPIIGKSLLGTARSSLFLSVYCASAWAWTCLLFRIFQSCNTPLVVLATFPTGLALLIEKKSRRIEIALYCFARAIESFFTCMTDAGLCPPILQIKRADVVVFSMATSIIMHCYAQEREVFRSKYLNVLDWVFGVPPPSDEESNKDFPSDDGTKKIC >ONIVA02G06230.1 pep chromosome:AWHD00000000:2:4088731:4096784:1 gene:ONIVA02G06230 transcript:ONIVA02G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYAIAPQLDIEQILKEAQRRWLRPTEICEILKNYRSFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRSYWMLEEDYMHIVLVHYLEVKLKFHFLHIYGYDHQAGKLSSRSTGHDDVLQVSHADSPLSQLPSQTTEGESSVSGQASEYDETESAYLKQNSVQQIFIREEPDTTLSLGCGSMKMEVDLYQGLQATAPNTGFYSHGQDNLPVVLNESDLGTAFNGPNSQFDLSLWIEAMKPDKGTHQIPLYQAPVPSEQSPFTGGPGIESFTFDEVYNNGLSIKDVDGDDTDGETPWQIPNASGTFATADSFQQNDKTLEEAINYPLLKTQSSSLSDIIKDSFKKNDSFTRWMSKELAEVDDSQITSSSGVYWNSEEADNIIEASSSDQYTLGPVLAQDQLFTIVDFSPTWTYAGSKTRVFIKGNFLSSDEVKRLKWSCMFGEFEVPAEIIADDTLVCHSPSHKPGRVPFYVTCSNRLACSEVREFDFRPQYMDAPSPLGSTNKIYLQKRLDKLLSVEQDEIQTTLSNPTKEIIDLSKKISSLMMNNDDWSELLKLADDNEPATDDKQDQFLQNRIKEKLHIWLLHKVGDGGKGPSMLDEEGQGVLHLAAALGYDWAIRPTIAAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGAVTDPTPSFPSGSTPADLASANGHKGISGFLAESSLTSHLQTLNLKEAMRSSAGEISGLPGIVNVADRSASPLAVEGHQTGSMGDSLGAVRNAAQAAARIYQVFRMQSFQRKQAVQYEDENGAISDERAMSLLSAKPSKPAQLDPLHAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRPTENAVTESTSSSSGNVTQNRPAENDYDFLQEGRKQTEERLQKALARVKSMVQYPDARDQYQRILTVVTKMQESQAMQEKMLEESTEMDEGLLMSEFKELWDDDMPTPGYF >ONIVA02G06230.2 pep chromosome:AWHD00000000:2:4088731:4096784:1 gene:ONIVA02G06230 transcript:ONIVA02G06230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYAIAPQLDIEQILKEAQRRWLRPTEICEILKNYRSFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRSYWMLEEDYMHIVLVHYLEVKLKFHFLHIYGYDHQAGKLSSRSTGHDDVLQVSHADSPLSQLPSQTTEGESSVSGQASEYDETESGSYQGLQATAPNTGFYSHGQDNLPVVLNESDLGTAFNGPNSQFDLSLWIEAMKPDKGTHQIPLYQAPVPSEQSPFTGGPGIESFTFDEVYNNGLSIKDVDGDDTDGETPWQIPNASGTFATADSFQQNDKTLEEAINYPLLKTQSSSLSDIIKDSFKKNDSFTRWMSKELAEVDDSQITSSSGVYWNSEEADNIIEASSSDQYTLGPVLAQDQLFTIVDFSPTWTYAGSKTRVFIKGNFLSSDEVKRLKWSCMFGEFEVPAEIIADDTLVCHSPSHKPGRVPFYVTCSNRLACSEVREFDFRPQYMDAPSPLGSTNKIYLQKRLDKLLSVEQDEIQTTLSNPTKEIIDLSKKISSLMMNNDDWSELLKLADDNEPATDDKQDQFLQNRIKEKLHIWLLHKVGDGGKGPSMLDEEGQGVLHLAAALGYDWAIRPTIAAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGAVTDPTPSFPSGSTPADLASANGHKGISGFLAESSLTSHLQTLNLKEAMRSSAGEISGLPGIVNVADRSASPLAVEGHQTGSMGDSLGAVRNAAQAAARIYQVFRMQSFQRKQAVQYEDENGAISDERAMSLLSAKPSKPAQLDPLHAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRPTENAVTESTSSSSGNVTQNRPAENDYDFLQEGRKQTEERLQKALARVKSMVQYPDARDQYQRILTVVTKMQESQAMQEKMLEESTEMDEGLLMSEFKELWDDDMPTPGYF >ONIVA02G06230.3 pep chromosome:AWHD00000000:2:4088731:4096784:1 gene:ONIVA02G06230 transcript:ONIVA02G06230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYAIAPQLDIEQILKEAQRRWLRPTEICEILKNYRSFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRSYWMLEEDYMHIVLVHYLEVKIFIREEPDTTLSLGCGSMKMEVDLYQGLQATAPNTGFYSHGQDNLPVVLNESDLGTAFNGPNSQFDLSLWIEAMKPDKGTHQIPLYQAPVPSEQSPFTGGPGIESFTFDEVYNNGLSIKDVDGDDTDGETPWQIPNASGTFATADSFQQNDKTLEEAINYPLLKTQSSSLSDIIKDSFKKNDSFTRWMSKELAEVDDSQITSSSGVYWNSEEADNIIEASSSDQYTLGPVLAQDQLFTIVDFSPTWTYAGSKTRVFIKGNFLSSDEVKRLKWSCMFGEFEVPAEIIADDTLVCHSPSHKPGRVPFYVTCSNRLACSEVREFDFRPQYMDAPSPLGSTNKIYLQKRLDKLLSVEQDEIQTTLSNPTKEIIDLSKKISSLMMNNDDWSELLKLADDNEPATDDKQDQFLQNRIKEKLHIWLLHKVGDGGKGPSMLDEEGQGVLHLAAALGYDWAIRPTIAAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGAVTDPTPSFPSGSTPADLASANGHKGISGFLAESSLTSHLQTLNLKEAMRSSAGEISGLPGIVNVADRSASPLAVEGHQTGSMGDSLGAVRNAAQAAARIYQVFRMQSFQRKQAVQYEDENGAISDERAMSLLSAKPSKPAQLDPLHAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRPTENAVTESTSSSSGNVTQNRPAENDYDFLQEGRKQTEERLQKALARVKSMVQYPDARDQYQRILTVVTKMQESQAMQEKMLEESTEMDEGLLMSEFKELWDDDMPTPGYF >ONIVA02G06220.1 pep chromosome:AWHD00000000:2:4081210:4085700:1 gene:ONIVA02G06220 transcript:ONIVA02G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G64970) TAIR;Acc:AT5G64970] MPWLEMWLPPAGSGGGGEGMAAGLFLDGEAAHGALLAAMPGISASFGVRQRRPGFVSLTMSVKGGRGFVSGPVGLLASGEEKGARAEEAEALVAGRRATEEEVAEASEGKVVEEVKEARAGAGAMNMTKHLWSGAVAAMVSRTVVAPLERLKLEYIVRAEQRNLFELIHAIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKWSGNDETTNFERFIAGAAAGVTATILCIPMDTIRTRMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGVYDILKMGYLHSPEGKRRVSTMKQQGQEANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVVRRQLQMQVKATRMNAFATCLKIVDQGGVPALYAGLIPSLLQVLPSASISYFVYELMKIVLKVE >ONIVA02G06210.1 pep chromosome:AWHD00000000:2:4059772:4063953:1 gene:ONIVA02G06210 transcript:ONIVA02G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAAAVAADIVDFGYAAPMPPPYVGFDPAGMGGERQLFQHGGACHGLYDGGLDFSAAAAFQEAATLGVGLPGGNLLQSLAPPAAAAATPSSLQMPMMMSLPGLPATAADVYPFGGGGFVKREDGPVLDVVGGGGGGRIGLNLGRRTYFSPADVLAVDRLLLRSRLGGMGMEMGMGMGVLGLGLAAAAHHHQPPRCQAEGCKADLSAAKHYHRRHKVCDFHAKAAAVLAAGKQQRFCQQCSRLAPIPRNHVAARWDPAGTRVAARFHVLAEFDEAKRSCRKRLTEHNRRRRKPTAGGQSSKDSPPPPPSKKGTDASIASSYTSCDHHKAAASTTTASGVSCLQELADHHDVGGGHQAAMAAPPPPTLSLAALPPQEEEDEDEDGGLGTVLMMQQHHQRRRLQHDGDGDDDVAAAAAHHHLMRSLARQQQQHRHSSGCSNNNDGDDDDHNNNILSCSSASDQQNSSNNNNMHFFEVDFI >ONIVA02G06200.1 pep chromosome:AWHD00000000:2:4039957:4048702:-1 gene:ONIVA02G06200 transcript:ONIVA02G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRWRRHTTAMAGLPVSPRLTVTGVWGGDSVSAAAVAGLPASSRLTVTDVWGGNGGVTQRL >ONIVA02G06190.1 pep chromosome:AWHD00000000:2:4036491:4039843:-1 gene:ONIVA02G06190 transcript:ONIVA02G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSSVEVVKDGATGLEKLVLREAHGCSVQVFLYGGQVIFWENEYREQLLFVSRKAYFKPPKAIRGGIQICFPQFGNHGVLEQHGFARNRLWSVDETPPPFPATTSNCHIDLILKQSPEDLKIWPNSFEFRLRVALSPTGDLMLTSRIKNTNADGKPFKFGFSYNTYFSVSDISEVRVEGLETLDYIDNLQCGKRCTEQGDAVVFESEAEKVYLSAPPKIVIIDHDKKRTFELRKEGLPDVVVWNPWDRKAKTILDFGEEEYKCMLCVGAANAEKPITLRPGEEWQGRQEISVVPSNSEGIKNAWPVAPIAI >ONIVA02G06190.2 pep chromosome:AWHD00000000:2:4036491:4039843:-1 gene:ONIVA02G06190 transcript:ONIVA02G06190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSSVEVVKDGATGLEKLVLREAHGCSVQVFLYGGQVIFWENEYREQLLFVSRKAYFKPPKAIRGGIQICFPQFGNHGVLEQHGFARNRLWSVDETPPPFPATTSNCHIDLILKQSPEDLKIWPNSEVRVEGLETLDYIDNLQCGKRCTEQGDAVVFESEAEKVYLSAPPKIVIIDHDKKRTFELRKEGLPDVVVWNPWDRKAKTILDFGEEEYKCMLCVGAANAEKPITLRPGEEWQGRQEISVVPSNSEGIKNAWPVAPIAI >ONIVA02G06190.3 pep chromosome:AWHD00000000:2:4037185:4039843:-1 gene:ONIVA02G06190 transcript:ONIVA02G06190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSSVEVVKDGATGLEKLVLREAHGCSVQVFLYGGQVIFWENEYREQLLFVSRKAYFKPPKAIRGGIQICFPQFGNHGVLEQHGFARNRLWSVDETPPPFPATTSNCHIDLILKQSPEDLKIWPNSFEFRLRVALSPTGDLMLTSRIKNTNADGKPFKFGFSYNTYFSVSDISEVRVEGLETLDYIDNLQCGKRCTEQGDAVVFESEAEKVYLSAPPKIVIIDHDKKRTFELRKEGLPDVVVWNPWDRKAKTILDFGEEEYKCMLCVGAANAEKPITLRPGEEWQGRQEISVVPSSYSSGQWDPEIIHRIQDI >ONIVA02G06180.1 pep chromosome:AWHD00000000:2:4012079:4026893:-1 gene:ONIVA02G06180 transcript:ONIVA02G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G267] MELAERLAWLWTIERIRKTIGLENKNQRQPTGSSLRSTVRFATEPTRARTTPCAPDTKSPTRNLFSPAQPRPSRVPRTQHVRVTETPSQSRRFDRAVGLVRSGQVTPASSDRDTIHHTSHGLELDSAATSTTSSPLAHHHDDYTLPAPRPAGKSPGELRRDSSPRTMPVGVQAPEASPGRYHRRRDDDDGNGSDCSDVLGVDVLDEGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGQKHDVDRELPGLANLLKTNTEKGVHGDEVDLACRANAFGANRYPRKKGRSFLVFLWEACQDLTLVILIIAAVISLVLGIATEGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIEVSIFDIVVGDVVALKIGDQVPADGVLVSGHSLAIDESSMTGESKIVVKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLVARYFTGHTTNPDGSIQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSPVVSSLILEGIAQNSSGSVFEPEDGSPIEITGSPTEKAILSWGVELHMKFAEEKSKSSIIHVSPFNSEKKRAGVAVIVDDSDIHVHWKGAAEIVLALCTNWLDVNGISHEMTPDKANQFKKYIEEMAEESLRCVAFAYRTLDLNYVPNEEERINWELPDNELALIGIVGMKDPCRPGVRNAVDLCKNAGVKVRMVTGDNLQTARAIALECGILTDSQASQPVIIEGKVFRAYSDAEREAVADQISVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRKEPLVTNIMWRNLFIQAVFQVTVLLTLNFRGRDLLHLTQDTLDHANKVKNTFIFNTFVLCQVFNEFNSRKPYELNIFDGVSRNHLFLAVVSITVVLQITKDQLHLHLHLHLCDCACPSDQNYQL >ONIVA02G06180.2 pep chromosome:AWHD00000000:2:4012079:4026893:-1 gene:ONIVA02G06180 transcript:ONIVA02G06180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G267] MELAERLAWLWTIERIRKTIGLENKNQRQPTGSSLRSTVRFATEPTRARTTPCAPDTKSPTRNLFSPAQPRPSRVPRTQHVRVTETPSQSRRFDRAVGLVRSGQVTPASSDRDTIHHTSHGLELDSAATSTTSSPLAHHHDDYTLPAPRPAGKSPGELRRDSSPRTMPVGVQAPEASPGRYHRRRDDDDGNGSDCSDVLGVDVLDEGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGQKHDVDRELPGLANLLKTNTEKGVHGDEVDLACRANAFGANRYPRKKGRSFLVFLWEACQDLTLVILIIAAVISLVLGIATEGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIEVSIFDIVVGDVVALKIGDQVPADGVLVSGHSLAIDESSMTGESKIVVKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLVARYFTGHTTNPDGSIQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSPVVSSLILEGIAQNSSGSVFEPEDGSPIEITGSPTEKAILSWGVELHMKFAEEKSKSSIIHVSPFNSEKKRAGVAVIVDDSDIHVHWKGAAEIVLALCTNWLDVNGISHEMTPDKANQFKKYIEEMAEESLRCVAFAYRTLDLNYVPNEEERINWELPDNELALIGIVGMKDPCRPGVRNAVDLCKNAGVKVRMVTGDNLQTARAIALECGILTDSQASQPVIIEGKVFRAYSDAEREAVADQISVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRKEPLVTNIMWRNLFIQAVFQVTVLLTLNFRGRDLLHLTQDTLDHANKVFNEFNSRKPYELNIFDGVSRNHLFLAVVSITVVLQITKDQLHLHLHLHLCDCACPSDQNYQL >ONIVA02G06180.3 pep chromosome:AWHD00000000:2:4012079:4026893:-1 gene:ONIVA02G06180 transcript:ONIVA02G06180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G267] MELAERLAWLWTIERIRKTIGLENKNQRQPTGSSLRSTVRFATEPTRARTTPCAPDTKSPTRNLFSPAQPRPSRVPRTQHVRVTETPSQSRRFDRAVGLVRSGQVTPASSDRDTIHHTSHGLELDSAATSTTSSPLAHHHDDYTLPAPRPAGKSPGELRRDSSPRTMPVGVQAPEASPGRYHRRRDDDDGNGSDCSDVLGVDVLDEGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGQKHDVDRELPGLANLLKTNTEKGVHGDEVDLACRANAFGANRYPRKKGRSFLVFLWEACQDLTLVILIIAAVISLVLGIATEGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIEVSIFDIVVGDVVALKIGDQVPADGVLVSGHSLAIDESSMTGESKIVVKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLVARYFTGHTTNPDGSIQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSPVVSSLILEGIAQNSSGSVFEPEDGSPIEITGSPTEKAILSWGVELHMKFAEEKSKSSIIHVSPFNSEKKRAGVAVIVDDSDIHVHWKGAAEIVLALCTNWLDVNGISHEMTPDKANQFKKYIEEMAEESLRCVAFAYRTLDLNYVPNEEERINWELPDNELALIGIVGMKDPCRPGVRNAVDLCKNAGVKVRMVTGDNLQTARAIALECGILTDSQASQPVIIEGKVFRAYSDAEREAVADQISVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRKLAVFQVTVLLTLNFRGRDLLHLTQDTLDHANKVFNEFNSRKPYELNIFDGVSRNHLFLAVVSITVVLQITKDQLHLHLHLHLCDCACPSDQNYQL >ONIVA02G06180.4 pep chromosome:AWHD00000000:2:4012079:4026893:-1 gene:ONIVA02G06180 transcript:ONIVA02G06180.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G267] MELAERAPEASPGRYHRRRDDDDGNGSDCSDVLGVDVLDEGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGQKHDVDRELPGLANLLKTNTEKGVHGDEVDLACRANAFGANRYPRKKGRSFLVFLWEACQDLTLVILIIAAVISLVLGIATEGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIEVSIFDIVVGDVVALKIGDQVPADGVLVSGHSLAIDESSMTGESKIVVKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLVARYFTGHTTNPDGSIQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSPVVSSLILEGIAQNSSGSVFEPEDGSPIEITGSPTEKAILSWGVELHMKFAEEKSKSSIIHVSPFNSEKKRAGVAVIVDDSDIHVHWKGAAEIVLALCTNWLDVNGISHEMTPDKANQFKKYIEEMAEESLRCVAFAYRTLDLNYVPNEEERINWELPDNELALIGIVGMKDPCRPGVRNAVDLCKNAGVKVRMVTGDNLQTARAIALECGILTDSQASQPVIIEGKVFRAYSDAEREAVADQISVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRKEPLVTNIMWRNLFIQAVFQVTVLLTLNFRGRDLLHLTQDTLDHANKVFNEFNSRKPYELNIFDGVSRNHLFLAVVSITVVLQITKDQLHLHLHLHLCDCACPSDQNYQL >ONIVA02G06170.1 pep chromosome:AWHD00000000:2:4006185:4006559:-1 gene:ONIVA02G06170 transcript:ONIVA02G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELDLLSAQLPPIRTTAAAEMPEFEEVVLCSTPTAAASVLRAPSVCPPAPRKPPRPAAKRRKKDARFSRSCYCCGRRRGGRAPAAAAFVAVPDDLAKVFVPRRPVPCRPPLDGKKIGVHVVG >ONIVA02G06160.1 pep chromosome:AWHD00000000:2:4002200:4002511:-1 gene:ONIVA02G06160 transcript:ONIVA02G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEFFTFVAWFAPTLPIMPIFDLTEKSAKAPTKCLSCMQPNVLQQVAMDWVFGADRRAPPWRIWGKERGDGKRGRGKRRPLTYGVCCWLRQFKHGQLSMLTTI >ONIVA02G06150.1 pep chromosome:AWHD00000000:2:4000592:4001629:-1 gene:ONIVA02G06150 transcript:ONIVA02G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDDLVGGAVGGPRGAPVKEIAFAAALLAFGALGAIGGVLMAANNVGGDRAHGIFFMILGIVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >ONIVA02G06140.1 pep chromosome:AWHD00000000:2:3997901:3998365:-1 gene:ONIVA02G06140 transcript:ONIVA02G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKDSDDVAAVYTAVMDHVVGEVHGDLVAQGVGDGVEPAGVLAAVRARWEAKLARRRGGAALDGDGDGAPPEYKPAAGGGYCCDAPSSGPHHHDAVVKEEEEVAAAVDDDGAFFPAAAAAPETSNDGAASRAVVRRDLLGTLGAKRKRDTCN >ONIVA02G06130.1 pep chromosome:AWHD00000000:2:3984230:3991049:-1 gene:ONIVA02G06130 transcript:ONIVA02G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAAGGRCCFRRGAPAAVLVLPLLLLIAAAALPRRALAATVAADVAAINGLYVALGSPALPKWTANGGDPCGDGWQGVVCIGSNIDSIIFNAANLEGQLGSLGNFTSITTINLSNNNIGGTIPEDLPVTLQHFFMSDNQLTGSIPTSLSKLQSLTDMSLNDNHLDGKLPDAFGSLTGLVNLHMQDNQLSGTLDVLQDLPLKDLKDGNPFNTSIAPSASPSSTPTGSTPTQTPSSPSSSGTPSPSSSPSNSSGGSTARDSSSPSSRKHKSSTLRIVGYVLLAIVLFIVTVLLVIFCLSKYQERQSRRDYTTSQVGRVHQRVEEPKVKQASVQSRNDAKKGSTEVPERRQVREINLAVPAALEKPPEKRKEHVINLQRSETEIFASTPPPPPPPPPPPPPPPPPTPPPPPPRPPPPPPPPPVEKVIVNPIVKPEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEEGNLIRESRLGKVYLAELPEGRFLEVMKIDNANDRIPVDEFLELVASVSDIRHPNILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEGEELDGALSWNARLQVALGAAKALDYLHESCEPPVVHQNFEPANVLLGNGFSVRVAECGLAELTLSGSVTQLSGRMRALLNYEAPEIHEAGTFTYRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQFHDIESISKMVDPSIQGECSEKVLSRFADIISRCIRPEPEFRPSMSEIVQDLARIISVTSEESE >ONIVA02G06120.1 pep chromosome:AWHD00000000:2:3971722:3972387:-1 gene:ONIVA02G06120 transcript:ONIVA02G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGNGKCGGGGAGCELCGGVAAVHCAADSAFLCLVCDDKVHGANFLASRHRRRRLGVEVVDEEDDARSTASSSCVSTADSASSTAAAAAVESEDVRRRGRRGRRAPRAEAVLEGWAKRMGLSSGAARRRAAAAGAALRAVGRGVAASRVPIRVAMAAALWSEVASSSSRRRRRPGAGQAALLLRLEASAHVPARLLLTVASWMARASTPPAAEEGWAECS >ONIVA02G06110.1 pep chromosome:AWHD00000000:2:3965550:3968331:-1 gene:ONIVA02G06110 transcript:ONIVA02G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mitotic checkpoint protein PRCC, C-terminal (InterPro:IPR018800); Has 930 Blast hits to 533 proteins in 146 species: Archae - 0; Bacteria - 18; Metazoa - 327; Fungi - 143; Plants - 61; Viruses - 0; Other Eukaryotes - 381 /.../e: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G19270) TAIR;Acc:AT2G19270] MDSLLANYASSDDEADETLPTPPAAAAARPKPPSGGGIFSSLPQPKSALLFSSLPAPKSGPVFSAIPPPKSSSGNPKRVVQFRPPPIRQPTGESSDEEDDDAEKRRPSEAEPRPPVSAGTGPVSSFLPPPKRSLGLGGGGAARRSAIDTAALERSNVVAAGPSSSAVNAIAPERPDTTSADDEDDESNGSSDDDEMPVPGEQQEQLAVDSEAGQQQQNQQQSYDAGVGSSNGQEGYAWDPNYYANYGANYGWDPSGNVNYGTEAQYAAYGGEHGGVYGNTYGVEHGDGYGHSTEMAYGGGYAGGYEHNAAAATAPPIQQPILPPEVGRIGGKRGRSDMPAEILEVNQAELMKNRPREDKSKLTGMAFGPSYQPAPSAKGKPSKLHKRKHQIGSLFYDMKQKEMELAERRSKGFLTKAETQAKYGWQPISLPTKGARSKASNVALIYETTCDPCEKDDKEPRIVGSI >ONIVA02G06100.1 pep chromosome:AWHD00000000:2:3963028:3963315:1 gene:ONIVA02G06100 transcript:ONIVA02G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALISEIRGMKVREVPGYLKPRLSWENVKKSSDQAVDRYIDKYIETSSPEPLFHVIYGLMAFSYLINLPKERRHLAHLEELERQGAAAAAHH >ONIVA02G06090.1 pep chromosome:AWHD00000000:2:3957956:3962101:-1 gene:ONIVA02G06090 transcript:ONIVA02G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRRKAYGALKDSTKVGLAKVNSDFKELDIAIVKATNHVECPPKDRHVRKIFVATSINRPRADVQYCIYALSRRLSKTKNWTVALKTLIVVHRLLREGDPTFKEEFLAYSYKGSVLQIANFKDDSSQLAWDCSAWVRAYALFLEERLECFRILKFDIETERLMRSPECSRKAHSRTRTLPCIELLEQLPALQQLLFRLIGCQPEGAAGTNYLIQYALALVLKESFKIYCAINDGIINLVDMFFDMPKYDAIKALVVYKRAGQQAEDLSDFYDSCKQLELARTFQFPTLRQPPPSFIATMEEYIREAPRPSINSVENGERKLVTYDQEATEESEKPAEEEKDEPAESEQEQEPKQEPKPPETTGDLLNLDAEVSPLVAELEENNAWALAIVGTGDQTKASTSLDLFSGNTSGWELALAGGFDKLLLDSLYEDETRRRQIAGVTYTGSIGGGAPNPFDTNDPFATSSSFLPPSNVQFAMLNQQQHQYYQSQHQQQYYQPHHFQDHQHHHNMYFQTHYQQNQIYQQQQQQQHQYPAPQAGSSNPFGDPFGDLVPMAMAASQKHGHSSLI >ONIVA02G06090.2 pep chromosome:AWHD00000000:2:3957956:3962101:-1 gene:ONIVA02G06090 transcript:ONIVA02G06090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRRKAYGALKDSTKVGLAKVNSDFKELDIAIVKATNHVECPPKDRHVRKIFVATSINRPRADVQYCIYALSRRLSKTKNWTVALKTLIVVHRLLREGDPTFKEEFLAYSYKGSVLQIANFKDDSSQLAWDCSAWVRAYALFLEERLECFRILKFDIETERLMRSPECSRKPEGAAGTNYLIQYALALVLKESFKIYCAINDGIINLVDMFFDMPKYDAIKALVVYKRAGQQAEDLSDFYDSCKQLELARTFQFPTLRQPPPSFIATMEEYIREAPRPSINSVENGERKLVTYDQEATEESEKPAEEEKDEPAESEQEQEPKQEPKPPETTGDLLNLDAEVSPLVAELEENNAWALAIVGTGDQTKASTSLDLFSGNTSGWELALAGGFDKLLLDSLYEDETRRRQIAGVTYTGSIGGGAPNPFDTNDPFATSSSFLPPSNVQFAMLNQQQHQYYQSQHQQQYYQPHHFQDHQHHHNMYFQTHYQQNQIYQQQQQQQHQYPAPQAGSSNPFGDPFGDLVPMAMAASQKHGHSSLI >ONIVA02G06080.1 pep chromosome:AWHD00000000:2:3956796:3957230:1 gene:ONIVA02G06080 transcript:ONIVA02G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLSNRFHCPAVNVERLWSMVPAEAGAGAGKAPVIDVTQFGYTKVLGKGMLPPERPIVVKAKLISKVAEKKIKAAGGAVLLTA >ONIVA02G06070.1 pep chromosome:AWHD00000000:2:3950798:3955620:1 gene:ONIVA02G06070 transcript:ONIVA02G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQVWQRGSKDMTAMPPPRQRGAAKKPMWIIVLLSLVCVALIGAYAYPPRRYSACYFFASSVCTPFKDWLPTVTRRERTDEEIISSVVMRDLLAMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYIHASRERPVHSSSLFVGREIHSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHTFDYIYNFLMGTNVSFIDCFLDPGPHGSGRYSVEMLPEIEQRDFRKGAQWFAVTRRHALLILADHLYYNKFELYCKPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYRAIDVTYALLKNITAIKENFRITSDDKKVVTMTPCMWNGTKRPCYLFARKFYPEALNNLLKHSSYTST >ONIVA02G06070.2 pep chromosome:AWHD00000000:2:3950798:3955620:1 gene:ONIVA02G06070 transcript:ONIVA02G06070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQVWQRGSKDMTAMPPPRQRGAAKKPMWIIVLLSLVCVALIGAYAYPPRRYSACYFFASSVCTPFKDWLPTVTRRERTDEEIISSVVMRDLLAMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYIHASRERPVHSSSLFVGREIHSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHTFDYIYNFLMGTNVSFIDCFLDPGPHGSGRYSVEMLPEIEQRDFRKGAQWFAVTRRHALLILADHLYYNKFELYCKVCSSFIDC >ONIVA02G06070.3 pep chromosome:AWHD00000000:2:3950825:3955620:1 gene:ONIVA02G06070 transcript:ONIVA02G06070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQVWQRGSKDMTAMPPPRQRGAAKKPMWIIVLLSLVCVALIGAYAYPPRRYSACYFFASSVCTPFKDWLPTVTRRERTDEEIISSVVMRDLLAMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYIHASRERPVHSSSLFVGREIHSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSFLDPGPHGSGRYSVEMLPEIEQRDFRKGAQWFAVTRRHALLILADHLYYNKFELYCKPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYRAIDVTYALLKNITAIKENFRITSDDKKVVTMTPCMWNGTKRPCYLFARKFYPEALNNLLKHSSYTST >ONIVA02G06060.1 pep chromosome:AWHD00000000:2:3941501:3949364:1 gene:ONIVA02G06060 transcript:ONIVA02G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDRITTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDALWHFKPEKLGVGDIITGGDLYAIVNENTLMQHKVALPPGAMGKISYIAPAGQYSLQDTVLELEFQGIKKEFTMLQTWPVRSPRPVMEKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSQAVVYVGCGERGNEMAEVLMDFPQLTMTTEDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYYRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVRCLGSPKRDGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALEPHYEREDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLATQAVERAAGADGQKITYSVIKHRMGDLFYRLVSQKFEDPAEGKEVLIAKFQKLYDDLTAGFRNLEDEAR >ONIVA02G06050.1 pep chromosome:AWHD00000000:2:3934462:3937366:-1 gene:ONIVA02G06050 transcript:ONIVA02G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKKMEEEAAVKTCHDRRSFVKKAIAQRNLLASSHVAYAHSLRRVSLALFYCLAEDEHLYFLQDTAASSAAPCRHRPCSPERKVLVMNWLRPDAGGVGGGAPVHPVVEVEQRWEENDVAAETVTVDGFFGADPGQLFHPSSYAPVNAMPASPPPPPPTTTWDFVSWDPFSSLHHDHQQYVSYGVEDDEERRRRSDDEDDEQMPELEEESDDAADDDDGDGDVKLQAEASPAAVERPMAEEEEEEKTVDRVKNELRVVASAEIEQQSTPGFTVYVDRPPASMAEAMRDIQGHFVKIVDTANHVSVLLEVVPYQRKVRPAAPSDGDDEEGGGEVSPEPFELFKSHKESLDRLYEWEKRLYEEVKAGERVRLSYERKCALLRSQDANGAEPSAIERTRAAMRDLRTKLDISITSVDAVSKRIAAVRDDELLPQLAQLIRGQEQCQSIHRSDLLRCFFLFFLLICLRCFRLARMWMVIADAHRVMKRTADEACALLSSSSAAAARAAAGGEGGVRGPPPPPGQARAATAAGALGAELRGWGAAMEAWAESQRGYAAALWGWARSCVADGEHMPRLLAAWAAAVEAVDVEAATRAVDALAAEAGAVATAARRRGGEEEWNEEEGKKRICVGLAAALAATAEAGGLASAAYGELVVEMEERERAREMAGRDEEQNQN >ONIVA02G06040.1 pep chromosome:AWHD00000000:2:3931230:3934656:1 gene:ONIVA02G06040 transcript:ONIVA02G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEAFIHGGSGGGDADADHPLGIFSAADLSGFGFADSSTITGGIPNHIWPQSQNLNARHPAVSTTIESQSSICAAASPTSATNLNMKESQTLGGTSGSDSESESLLDIEGGPCEQSTNPLDVKRVRRMVSNRESARRSRKRKQAHLADLESQVDQLRGENASLFKQLTDANQQFTTSVTDNRILKSDVEALRVKVKMAEDMVARGALSCGLGHLGGLSPALNPRQACRVPDVLAGLDYAGDDPFTAGLSPPEQLQMPGGEVVDAWGWDNHPNGGMSK >ONIVA02G06030.1 pep chromosome:AWHD00000000:2:3920650:3922837:1 gene:ONIVA02G06030 transcript:ONIVA02G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCLVVSHKKLQTFRTFAASKFSSFTKSAQKSIKYSFQFIYQNNPLFVHVAYFALISFAGYGSLKVLKPRDKSNTLKDLDVLFTSVSASTVSSMATVEMEDFSSAQLWVLTILMLIGGEVFTSMLGIHFMRAEFGTKESVSTRDHSPCIDIESITSTKFGPSTQGTKVTVSFSELRMENGGHVEPKTIKFLGFVVMGYLLITNLGGSLLIYLYLNLVPSAHKILKRKGIGIIVFSVFTAISSVGNCGFTPVNENMIIFQKNSILLLLILPQILAGNTLFAPCLRLMVWSLEKITGKKDCRYILEYPKAIGYKHLMSTRESVYLTLTVVSLIILQTVLFLSLEWSSVALDGMSNYQKIVSALFQSVNARHAGESVTDLSNLSSAILVLYTIMMYLPGYTSFLPRHDGEDSKTEKINKRKGLLENWIFSHMSYLAIFVMLICITERDSMATDPLNFNVFSIFAYGNVGFSVGYSCKRLLNHDARCKDVSYGFAGKWSDNGKAILIIVMLFGRLKTFNMKGGRAWKLR >ONIVA02G06020.1 pep chromosome:AWHD00000000:2:3913566:3923608:-1 gene:ONIVA02G06020 transcript:ONIVA02G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELPFAARRDVKVEMARSHPSFSYSTKKLFLGANSVPELKSSLTMSSPSSLLSHRKHRLKKSAAGAGSSSSASTSQPPPPSPNPKYTRFLTLPLAPSTPATCVMTQV >ONIVA02G06020.2 pep chromosome:AWHD00000000:2:3914766:3923608:-1 gene:ONIVA02G06020 transcript:ONIVA02G06020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTILMRAKVNRANWALLIGPCPTVALAVGCLNHHRRRRRPPARYRGRRLAPPPPPPPCESFPSPPDEMLR >ONIVA02G06020.3 pep chromosome:AWHD00000000:2:3913566:3923608:-1 gene:ONIVA02G06020 transcript:ONIVA02G06020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRELPFAARRDMARSHPSFSYSTKKLFLGANSVPELKSSLTMSSPSSLLSHRKHRLKKSAAGAGSSSSASTSQPPPPSPNPKYTRFLTLPLAPSTPATCVMTQV >ONIVA02G06020.4 pep chromosome:AWHD00000000:2:3914766:3923608:-1 gene:ONIVA02G06020 transcript:ONIVA02G06020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTILMRAKVNRANWALLIGPCPTVALAVGCLNHHRRRRRPPARYRGRRLAPPPPPPPCESFPSPPDEIWQDHIQASRIPPRNYSWEQILCQN >ONIVA02G06010.1 pep chromosome:AWHD00000000:2:3911446:3915794:1 gene:ONIVA02G06010 transcript:ONIVA02G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLEQATERKAPPPARLGSITKLTAASSSFANLFSTFLGPVSSPEPRPRRSFDGGATGVGLGIVAAMSHACLTTEAEPIAIGATARRRAREEAELSESYTCVITHVAGVDGASGSVRKRVYFGFGDGGGGWLVEADEEEPAPAADFLSRCFLCDKRLDGLDIYMYRGEKAFCSSECRCHQMLMDDHADNCGSEALKANDYSASPHSAPLPFSLSVAAA >ONIVA02G06000.1 pep chromosome:AWHD00000000:2:3903626:3906878:1 gene:ONIVA02G06000 transcript:ONIVA02G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRPPGLIAVAAAAALVAWCLCGPASAAAAAGGEAGGGGAGPEGDVLIAFRETLRGPDGAPPGPLRAWGTPAVPCRGKASQWFGVSCHGNGSVQGLQLERLGLSGAAPDLGLLAALPGLRVLSLANNAIAGAFPNVSALAMLKMLYLSRNRFSGVVPDGTFHTMRGLRKLHLSSNELSGPIPSSITSPRLLELSLAHNQFNGPLPDFSQPELRYVDVSSNNLSGPIPEGLSRFNASMFSGNEYLCGKPLDTPCDKLASPSNMSTFMTIAVVLIVVGVILAAAGIATGVIGRRRRKRRRRRPGPGEPGGDQTPSNPKLHTAPAVNINRGSATAAASTAAAAGTSASGGGGGAAAKRGGRRDEHGRLVFVQESRKRFEIEDLLRASAEVLGSGNFGSSYKATLQERPAVVVKRFKDMNGVGREDFSEHMRRLGRLSHPNLLPVVAYLYKKDEKLLITDYITNGSLAHFLHGNRGSELDWGKRLRIIRGTARGLGHLYDELPMLTVPHGHLKSSNVLLDGDMEAVLSDYALVPVVTASAAAQVMVAYKAPECVAAAAAGKPSKKSDVWSLGILILEVLTGKFPANYLRQGRQDNADLAGWVSSVVSEERTGEVFDKDMAAAGAGAEDDMLKLLHVGLGCCDADVDQRWELKTAIARIEEIRVPDPTPTPAAAADAAEPSPSTTTTTTTTTTNSGETRS >ONIVA02G05990.1 pep chromosome:AWHD00000000:2:3900216:3903488:1 gene:ONIVA02G05990 transcript:ONIVA02G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDPDAAEWPCIIQALPALPPSPSSTGVPRLPTMVQALPAATDPPPAAARLRRGAEPPSPRRTRSGGAPEWTPAETLALVAEVAAVDDGWSRSVSAFQKWAIVAENLAASRGRAARGRGRGRAASECRRRWEALAAEYGVVRRWEVRGAGGYWRMSAAARRKAGLPADFDAEVYGAMEALTLVEEALLADATAGAGGAEIGEKSAAAAEVGEGDEVEAGEEDGNGDRGEVGEEDEGEIGEDGEEDGNEMVEVEDDGNADDEDTQPDGGNAAASDDLEVCETGANNEGKKSQTDACELANKLQENAQHIHMLLKEEAGENENHNLAISSDSMETTRQKGDELIKSLGGLVSYLNQFTDLIKENGFENVVGMS >ONIVA02G05980.1 pep chromosome:AWHD00000000:2:3898043:3902845:-1 gene:ONIVA02G05980 transcript:ONIVA02G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNGDANAGSNSSSLLSGLQKSKRGGEAKPTQPHANPLGIRLGFPPLPLPLAAAARRILLWRRRAAGVGAASWSDQLFLLLSGSDNQGISCTRMNSLPRLK >ONIVA02G05970.1 pep chromosome:AWHD00000000:2:3896033:3898012:-1 gene:ONIVA02G05970 transcript:ONIVA02G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQPRFHDMIGGGGKGMQDNEINGLFNMPSYHKFVEGSQMSVDSADGFNMANYVGGSVAMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPSHPTEILSNYEEWAIDLGRLDMGVPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQALEQQFVQEVMMLSRLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLRLAVKQALDIARGMAYVHALGFIHRDLKSDNLLIAADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPQDCLPALSHIMTLCWDANPEVRPAFTDIVCMLESAEMEILSNVRKARFRCCITEPMTTD >ONIVA02G05960.1 pep chromosome:AWHD00000000:2:3891049:3895468:1 gene:ONIVA02G05960 transcript:ONIVA02G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMPPPKPTSPRSPPLLWDWADAAVPGSSSGEVSATAAAAAAAHPGRRRKEKRGRAEEGGGGGGEVRCQVEGCGVELVGVKDYHRKHRVCEVHSKFPRVVVAGQERRFCQQCSRFHALSEFDQKKRSCRRRLYDHNARRRKPQTDVFSYASARPPSSLLFDDNRQISFVWNKAPLSHVRPFAISPWESSSEVGTTDGHIYLDKSHISKSLPAFNTDIDELLPMKGPDASLTASKFDGAPDLQRALSLLSSSSCGLPDPVQQASRVIQFTGASQNNRELPPLNGGNSASASCANVQTIAQPAQLVRFTMDASSNACQSNFFGLNQIN >ONIVA02G05950.1 pep chromosome:AWHD00000000:2:3876733:3878843:-1 gene:ONIVA02G05950 transcript:ONIVA02G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGSKKGGGGGAAVSSGDGGGGRAAAAMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFSEHSVKEAAAMEMQRNAASSSGIMGRSMNHDRNVNDAIRMQMEVQRRLHEQLEVQKHLQMRIEAQGKYMQSILEKAYQTLAAGDVAAAVACGPAGYKSLGNHQAAVLDVCSMGFPSLQDLHMYGGAGGGHLDLQQQQPPASTMESFFACGDGGGSLGKTAAKTRHYGGAGKSPMMWGVDDDDDDDDPAGKCGGGGHHQLQMAPPPMMDGGIDVMDSLAADVYETKPIMSGDSTGSKGGGYDVAAAASKLERPSPRRPPQLGSPSVMAGAQTRNLSYG >ONIVA02G05940.1 pep chromosome:AWHD00000000:2:3863028:3871382:1 gene:ONIVA02G05940 transcript:ONIVA02G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 5F1 [Source:Projected from Arabidopsis thaliana (AT1G79440) TAIR;Acc:AT1G79440] MAMAMAMAMRRAAALGARHILAASSTSSSGVLLRRHMSVDAGAAMEKVRAAGLLRTQGLIGGKWVDAYDGKTIEVQNPATGETLANVSCMGSKETSDAIASAHSTFYSWSKLTANERSKALRKWHDLIISHKEELALLMTLEQGKPMKEALVEVTYGASFIEYFAEEAKRIYGDIIPPTLSDRRLLVLKQPVGVVGAVTPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGAINVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSANTVKKVSLELGGNAPCIVFDDADIDVAIKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFIKAVQSLKVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANIMLGGKRHSLGMSFYEPTVVGNVSNDMLLFREEVFGPVAPLVPFKTEEDAIRMANDTNAGLAAYIFTKSIPRSWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGMDEYLELKYICMGNLN >ONIVA02G05940.2 pep chromosome:AWHD00000000:2:3863028:3871382:1 gene:ONIVA02G05940 transcript:ONIVA02G05940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 5F1 [Source:Projected from Arabidopsis thaliana (AT1G79440) TAIR;Acc:AT1G79440] MAMAMAMAMRRAAALGARHILAASSTSSSGVLLRRHMSVDAGAAMEKVRAAGLLRTQGLIGGKWVDAYDGKTIEVQNPATGETLANVSCMGSKETSDAIASAHSTFYSWSKLTANERSKALRKWHDLIISHKEELALLMTLEQGKPMKEALVEVTYGASFIEYFAEEAKRIYGDIIPPTLSDRRLLVLKQPVGVVGAVTPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGAINVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSANTVKKSLKVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANIMLGGKRHSLGMSFYEPTVVGNVSNDMLLFREEVFGPVAPLVPFKTEEDAIRMANDTNAGLAAYIFTKSIPRSWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGMDEYLELKYICMGNLN >ONIVA02G05930.1 pep chromosome:AWHD00000000:2:3855206:3860502:-1 gene:ONIVA02G05930 transcript:ONIVA02G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGQEAGSSSGGSGDGGAAAPRRNTRKPKYSKFTQQELPACKPILTPKWVISVFVLVGVIFVPIGLVSLKASRKVVEIVDRYDDACVPANTTDKLAYIQNPTISKNCRRTLTVPKDMDAPIFVYYQLDNFYQNHRRYVKSRSDAQLRDPKKANDTSTCDPEGTANGMAIVPCGLIAWSIFNDTYGFVRNSKNLPVDKKDISWKSDREHKFGRDVFPKNFQNGSLIGGKTLDPNKSLSEQEDLIVWMRTAALPTFRKLYGRIHTDLKKGDTITVTLENNYNTYSFSGKKKLVLSTSTWLGGKNDFLGLAYLSVGGLCFFLAFAFTLLYLIKPRKMGDNNYLSWNRNPAGRHSEQVIAEISAFRDNILIGKV >ONIVA02G05920.1 pep chromosome:AWHD00000000:2:3852649:3860267:1 gene:ONIVA02G05920 transcript:ONIVA02G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54310) TAIR;Acc:AT1G54310] MQLQVEISIHEPSPSRVGERRAMLRACGGASPAAAAAVPALVRARLAKQASSAAHAAATATASASSSLSALGEVAAGRKGLARVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDVVLVADGAEKPIGWGLYNSVSMFCVRLMQLEEEAKRDPTCALNMERLLEARILSAVDLRRSLGLPSVHTNAYRLINSEGDRLSGLIVDIFADVAVVASSAAWVEKYRHEIQFLVNKVSDVNHIKWRSSTDILKEEGLDVSEQKDPESSSHCGTVEVMENDVLYLVSLEGQKTGFYADQRENRHFISTLSKDQRVLDLCCYSGGFALNAAKGGANNVIGIDSSASALDLANKNIILNKLDTQRISFVKEDATAFMKGAISRNEVWDLVILDPPKLAPRKKVLQSASGMYRSLNALAMQVVKPGGLLMTCSCSGAMTQSGLFLRTIQGAASMTGRKVTVLRQAGAACDHPVDPAYPEGRYLCNYLLRVT >ONIVA02G05910.1 pep chromosome:AWHD00000000:2:3843661:3852349:1 gene:ONIVA02G05910 transcript:ONIVA02G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAAAEAAPQSSQEEEEDWKEAEGGDGDVEVADRGGGGGAANGGIPEGRPIRVYADGIYDLFHFGHAKSLEQAKRLFPNTYLLVGCCNDELTHKYKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVTEEFLNKHNIDFVAHDSLPYADASGAGNDVYEFVKKLGKFKETQRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGVSYEKRLRVNMGLKNLRDKVKQHQEKVGEKWNTVAKLQEEWVENADRWVAGFLEKFEEGCHSMGTAIKERIQERLKAQSRDFSLLQYDGEDVDEDEDDDEDDTSTFQLAVGHLRRLTAAAAHACGPSIKCAEDN >ONIVA02G05910.2 pep chromosome:AWHD00000000:2:3848030:3852349:1 gene:ONIVA02G05910 transcript:ONIVA02G05910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRMHEGFKAAYTEMTVKHPCFGHASNMPNIDWWKMCVKDSFIRAGYEYDDATFEKIFRRIYSTFGSSAPYSVFPDAQQFLRWLRNNGCTVGIVSNAEYRYKDVVLPALGLNEGSEWDFGVFSGIVGVEKPDRRMYEAALEMAGGVAAAEALHIGDSMRKDYAPARRAGMHALLLDRFRTAEAEGWRRSGAAVLPDLAAAREWLTAAAAPPATAAWRRSPSSQLTAETSE >ONIVA02G05910.3 pep chromosome:AWHD00000000:2:3848030:3852349:1 gene:ONIVA02G05910 transcript:ONIVA02G05910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRMHEGFKAAYTEMTVKHPCFGHASNMPNIDWWKMCVKDSFIRAGYEYDDATFEKIFRRIYSTFGSSAPYSVFPDAQQFLRWLRNNGCTVGIVSNAEYRYKDVVLPALGLNEGSEWDFGVFSGIVGVEKPDRRMYEAALEMAGGVAAAEALHIGDSMRKDYAPARRAGMHALLLDRFRTAEAEGWRRSGAAVLPDLAAAREWLTAAAAPPATAAWRRSPSSQLTAETSE >ONIVA02G05910.4 pep chromosome:AWHD00000000:2:3848030:3852349:1 gene:ONIVA02G05910 transcript:ONIVA02G05910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRMHEGFKAAYTEMTVKHPCFGHASNMPNIDWWKMCVKDSFIRAGYEYDDATFEKIFRRIYSTFGSSAPYSVFPDAQQFLRWLRNNGCTVGIVSNAEYRYKDVVLPALGLNEGSEWDFGVFSGIVGVEKPDRRMYEAALEMAGGVAAAEALHIGDSMRKDYAPARRAGMHALLLDRFRTAEAEGWRRSGAAVLPDLAAAREWLTAAAAPPATAAWRRSPSSQLTAETSE >ONIVA02G05910.5 pep chromosome:AWHD00000000:2:3848030:3852349:1 gene:ONIVA02G05910 transcript:ONIVA02G05910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRMHEGFKAAYTEMTVKHPCFGHASNMPNIDWWKMCVKDSFIRAGYEYDDATFEKIFRRIYSTFGSSAPYSVFPDAQQFLRWLRNNGCTVGIVSNAEYRYKDVVLPALGLNEGSEWDFGVFSGIVGVEKPDRRMYEAALEMAGGVAAAEALHIGDSMRKDYAPARRAGMHALLLDRFRTAEAEGWRRSGAAVLPDLAAAREWLTAAAAPPATAAWRRSPSSQLTAETSE >ONIVA02G05900.1 pep chromosome:AWHD00000000:2:3836291:3836593:-1 gene:ONIVA02G05900 transcript:ONIVA02G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSSLSSADDDSNPWRNMSPPPPPYPTSPPPPPYCDDAAWRWWWPRWSNDMTDAGVGDGRTGMAPPPYSPAPKLMTGPAAAAVA >ONIVA02G05890.1 pep chromosome:AWHD00000000:2:3835983:3836672:1 gene:ONIVA02G05890 transcript:ONIVA02G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGGGMAAHWAALYGGGVNGGAAAGAEGTVSSPTSGGSPVAGGSPTRGGAAALPGIEGGRVAKPARRRSRASRRAPVTLLNTDTSNFRAMVQQFTGIPTPPYATAAAAGPVISFGAGEYGGGAMPVRPSPTPASVMSFDHLGHHHRQAASSLQSQLFRPQHQHHHQYGGGGGDVGYGGGGGDMFLHGFESSSAEERLLLQSIQAAQMLPAARPTTTSANNANGYNFG >ONIVA02G05880.1 pep chromosome:AWHD00000000:2:3823151:3829698:1 gene:ONIVA02G05880 transcript:ONIVA02G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 97, subfamily B, polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT4G15110) TAIR;Acc:AT4G15110] MAITAATAAAAATPHPWQADASPRRHAACPALRGRRRLPVVRCQSSSVDDKPKSKRGLLDNASNLLTNLLSGGSLGAMPVAEGAVTDLFGRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFCYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVGVFTKCSERTIFKLEELIERGEHGEKYTIVDLEAEFSNLALDIIGLGVFNFDFDSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTRWIVPRQRKFHSDLKVINDCLDSLIKNAKETRQEADVEKLQQRDYSSLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQNPSKMRKAQAEVDSVLSNETINVDQLKKLEYIRLIIVEALRLYPQPPLLIRRALRPDKLPGGYNGAKEGYEIPAGTDIFLSIYNLHRSPYFWDRPDEFEPERFSVPKKDESIEGWAGFDPDRSPGAMYPNEILADFAFLPFGGGPRKCVGDQFALLESTVALALLLQKFDVELRGSPDEVEMVTGATIHTKSGLWCRVRRRT >ONIVA02G05870.1 pep chromosome:AWHD00000000:2:3819093:3821713:-1 gene:ONIVA02G05870 transcript:ONIVA02G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:urease accessory protein D [Source:Projected from Arabidopsis thaliana (AT2G35035) TAIR;Acc:AT2G35035] MEAEAAMAAAAAATGAVRVEKVRGRSAVTRCFAKYPLKLIAPSKAGRASSGAAWLYAITYGGGIVSGDIISCTVAVGDGCAAAMTTQASTKVYKAVDSKCSEQVLEARVGEDALFALIPDPVTCFSMARYHQKQVFHVFPNSNLVVVDWFTSGRYESGEKWNFSFYKSINHILLEDQPLFIDSVLLEQSSNFSIADRMQEYNVVAMVILLGPKLKHIQDQMQDEVKKMMSVQLRPPTSAGGRYSTRSQPLHPQRPPIIASCSPFGRMGTGMVARITAVSTESVYSFLRHHLAALEPFLGACPYPAS >ONIVA02G05870.2 pep chromosome:AWHD00000000:2:3819093:3821713:-1 gene:ONIVA02G05870 transcript:ONIVA02G05870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:urease accessory protein D [Source:Projected from Arabidopsis thaliana (AT2G35035) TAIR;Acc:AT2G35035] MEAEAAMAAAAAATGAVRVEKVRGRSAVTRCFAKYPLKLIAPSKAGRASSGAAWLYAITYGGGIVSVSRRIASSSSPGLQSTNQPTGDIISCTVAVGDGCAAAMTTQASTKVYKAVDSKCSEQVLEARVGEDALFALIPDPVTCFSMARYHQKQVFHVFPNSNLVVVDWFTSGRYESGEKWNFSFYKSINHILLEDQPLFIDSVLLEQSSNFSIADRMQEYNVVAMVILLGPKLKHIQDQMQDEVKKMMSVQLRPPTSAGGRYSTRSQPLHPQRPPIIASCSPFGRMGTGMVARITAVSTESVYSFLRHHLAALEPFLGACPYPAS >ONIVA02G05860.1 pep chromosome:AWHD00000000:2:3798060:3801772:1 gene:ONIVA02G05860 transcript:ONIVA02G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVGGLVEESFFVGCPAHESRKKNEKNIFCLACCTSICPHCAPSHRHHPLLQVRRYVYNDVVRLGDLEKLIECSYVQPYTINSAKVIFLKPRPQSRPFKGSGNVCLTCDRILQEPFHFCSLSCKVDHVMVHGGGDLSDIILLHPHHHPNTATASAFPRFEDLRVGADDDAAAITTVTPEGCYGGGGGGSSDNGGGGGGGGEAGEVKRKKKKGGGFFPQILGLGSRRKGAPHRSPLS >ONIVA02G05850.1 pep chromosome:AWHD00000000:2:3782792:3813475:-1 gene:ONIVA02G05850 transcript:ONIVA02G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQYNRGIGARVAGAVIQQDGASLPPATVAHVNRRGRRRAPAMLAAGAAPGKRAPAWLAPLPPFLLVASILGPGFDSPCTSTRRQRGVCDDFVNLQDGFAGLVFEDAHRGLTRPEPVANRNRGGFWQGWLGDWVGRSVGRSHWTIYMYPVGTSVQRLPFHRRQSKLELQRLAAASKHLTAAAPDAAPKSELRQPAGTTQLLLLHLHHDACQRMEGNEGRIPIAVVAPYNHYTDASKATIWLLHLDAINIMNNPPKFKASNGGRINPPKAHKTTMVKNQAKPVAISFLAALLPGGSPPWLAISSPVGHLPIRWPPSWRPPALPATSLQGGLLLVNHLHIRRPGPSPRPAIVEELQYNADTHNTRHDRYLSLILANDAIIIIPSGYKYPEIDRIG >ONIVA02G05840.1 pep chromosome:AWHD00000000:2:3777033:3782549:-1 gene:ONIVA02G05840 transcript:ONIVA02G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLTPLAAGGGRGGADEMEEVALLGPDSYDEEAAAAAGPEEEEGMRRVQVRVTGMTCSACTAAVEAAVSARRGVGGVAVSLLQSRARVVFDPALAKEEDIIEAIEDAGFEAELLPDSTVSQPKLQNTLSGQFRIGGMTCAACVNSVEGILKKLPGVKRAVVALATSLGEVEYDPSVISKDEIVQAIEDAGFEAALLQSSEQDKVLLGLMGLHTEVDVDILHDILKKMEGLRQFNVNLVLSEAEIVFDPEVVGLRSIVDTIEMESSGRLKAHVQNPYIRAASNDAQEASKMLHLLCSSLFLSHTDSPLVPLQQIPVFFIRMVCPRIHFTRSLLLMHLGPFYIGDLLKWILVSIVQFGVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTRFHPPIYFETSAMIITFVLFGKYLEVLAKGRTSDAIKKLVELVPATALLLLKDKEGKYAAEKEIDASLIQPGDVLKVLPGSKVPADGTVVWGTSHVDESMVTGESAPISKEVSSIVIGGTMNLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVAGIFVPIVVTLSLVTFIAWFLCGSLGAYPNSWVDETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYVIFDKTGTLTQGKATVTSTKVFSGIDLGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSSKDDIKKRKQQILSQWLLEVAEFSALPGKGVQCLINGKKILVGNRTLITENGINIPEEAESFLVDLELNAKTGVLVAYDSELIGSIGMTDPLKREAVVVVEGLKKMGIYPVMVTGDNWRTAQAVAKEVGIMDVRAEVMPAGKADVVRSLQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNIIAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVDFVDR >ONIVA02G05830.1 pep chromosome:AWHD00000000:2:3774745:3778451:1 gene:ONIVA02G05830 transcript:ONIVA02G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKIASTVLVLLLLTLDGVAAAKMCHDPSQTFRGLCGHPTNCIACCTNEGYTGGYCTTVRHKCMCTKACGGESPPDDPPSAMPASPVTTRA >ONIVA02G05820.1 pep chromosome:AWHD00000000:2:3768411:3773574:1 gene:ONIVA02G05820 transcript:ONIVA02G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAKPSKGCASIPPAASPAVPTRDTPAATANHLHIDACALKIVEGTVHLMIHHRRCRHRRRRRRRWPDRRRGRQHMVTLCVSCLWQYESIGGSLMMN >ONIVA02G05810.1 pep chromosome:AWHD00000000:2:3765280:3766366:1 gene:ONIVA02G05810 transcript:ONIVA02G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKECDDAPPPLPSLPAPKSGRRRPPQRAPGVTTTIERGLKRGCVVCGVWWLF >ONIVA02G05800.1 pep chromosome:AWHD00000000:2:3761593:3764624:1 gene:ONIVA02G05800 transcript:ONIVA02G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKCHLHTEDAANAPAPCPTFPAPDTTWESPQACANQCVANGYLIGFCEIFTLGLGDCVCVKCPNAQMMHADHLPLQSRFQ >ONIVA02G05790.1 pep chromosome:AWHD00000000:2:3758262:3758543:1 gene:ONIVA02G05790 transcript:ONIVA02G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQSSKIAAAFFVFFLLATGGGAAGGCSVGESSTFRGNCEIDGGGCVESCRGEGYTDGYCFTEVANPGYHVCTCTRGCYSPAQSTRKMMARN >ONIVA02G05780.1 pep chromosome:AWHD00000000:2:3738495:3739290:1 gene:ONIVA02G05780 transcript:ONIVA02G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVASIFASILILSVLVMSCDAAGSSCPTVRAPNPTCLSPQICANQCVAAGYLIGFCEFYGSRLGDCVCAKCTNAVQAGRPPTIAPTPAVRRLIL >ONIVA02G05770.1 pep chromosome:AWHD00000000:2:3732118:3737413:1 gene:ONIVA02G05770 transcript:ONIVA02G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G214] MAYMFKYDSTHGPFKGSIKVVDDSTLEINGKKVTITSKRDPADIPWGNFGAEYVVESSGVFTTTEKASAHLKGGAKKVVISAPSADAPMFVVGVNEKSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDARSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALVNAKH >ONIVA02G05770.2 pep chromosome:AWHD00000000:2:3732154:3737413:1 gene:ONIVA02G05770 transcript:ONIVA02G05770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G214] MAYMFKYDSTHGPFKGSIKVVDDSTLEINGKKVTITSKRDPADIPWGNFGAEYVVESSGVFTTTEKASAHLKGGAKKVVISAPSADAPMFVVGVNEKSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDARSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALVNAKH >ONIVA02G05760.1 pep chromosome:AWHD00000000:2:3720387:3726523:-1 gene:ONIVA02G05760 transcript:ONIVA02G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast, vacuole; EXPRESSED IN: 19 plant structures; EXPRESSED DURING: 11 growth stages; CONTAINS InterPro DOMAIN/s: Lytic transglycosylase-like, cata /.../(InterPro:IPR008258); Has 171 Blast hits to 155 proteins in 40 species: Archae - 0; Bacteria - 54; Metazoa - 0; Fungi - 0; Plants - 55; Viruses - 0; Other Eukaryotes - 62 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G16290) TAIR;Acc:AT1G16290] MAISFKYWDDCLDPEDMQLMWADPHVSKEWIDAGEGPGQKVHLSRDPDGEAYLTQTEMMAVAAITVHKHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKEIKAGIMQVTIEVAQWLGRELGYKYYDIEEDANLLYWPFVNVYFGAAYAKWLFSCDDKERTEEFVVRAYKGGKKKAVHKSTSPIFQRYLYVKEALLSMRQPESFNDLTPNLLANSSSTEGQLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDSKRRPYLSRVEVKAVAEITISRHLSSKGVTPEALAALAEVDCGHRAYNVSSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPENVSLQETGPFWNKFLEALRLYQDPKKLPLKGFNAN >ONIVA02G05760.2 pep chromosome:AWHD00000000:2:3719782:3726523:-1 gene:ONIVA02G05760 transcript:ONIVA02G05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast, vacuole; EXPRESSED IN: 19 plant structures; EXPRESSED DURING: 11 growth stages; CONTAINS InterPro DOMAIN/s: Lytic transglycosylase-like, cata /.../(InterPro:IPR008258); Has 171 Blast hits to 155 proteins in 40 species: Archae - 0; Bacteria - 54; Metazoa - 0; Fungi - 0; Plants - 55; Viruses - 0; Other Eukaryotes - 62 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G16290) TAIR;Acc:AT1G16290] MAISFKYWDDCLDPEDMQLMWADPHVSKEWIDAGEGPGQKVHLSRDPDGEAYLTQTEMMAVAAITVHKHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKEIKAGIMQVTIEVAQWLGRELGYKYYDIEEDANLLYWPFVNVYFGAAYAKWLFSCDDKERTEEFVVRAYKGGKKKAVHKSTSPIFQRYLYVKEALLSMRQPESFNDLTPNLLANSSSTEGQLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDSKRRPYLSRVEVKAVAEITISRHLSSKGVTPEALAALAELAFSCRDCGHRAYNVSSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPENVSLQETGPFWNKFLEALRLYQDPKNSEQCHVIVLGLQSPQY >ONIVA02G05760.3 pep chromosome:AWHD00000000:2:3720387:3726523:-1 gene:ONIVA02G05760 transcript:ONIVA02G05760.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast, vacuole; EXPRESSED IN: 19 plant structures; EXPRESSED DURING: 11 growth stages; CONTAINS InterPro DOMAIN/s: Lytic transglycosylase-like, cata /.../(InterPro:IPR008258); Has 171 Blast hits to 155 proteins in 40 species: Archae - 0; Bacteria - 54; Metazoa - 0; Fungi - 0; Plants - 55; Viruses - 0; Other Eukaryotes - 62 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G16290) TAIR;Acc:AT1G16290] MAISFKYWDDCLDPEDMQLMWADPHVSKEWIDAGEGPGQKVHLSRDPDGEAYLTQTEMMAVAAITVHKHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKEIKAGIMQVTIEVAQWLGRELGYKYYDIEEDANLLYWPFVNVYFGAAYAKWLFSCDDKERTEEFVVRAYKGGKKKAVHKSTSPIFQRYLYVKEALLSMRQPESFNDLTPNLLANSSSTEGQLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDSKRRPYLSRVEVKEALAALAEVDCGHRAYNVSSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPENVSLQETGPFWNKFLEALRLYQDPKKLPLKGFNAN >ONIVA02G05760.4 pep chromosome:AWHD00000000:2:3720387:3726523:-1 gene:ONIVA02G05760 transcript:ONIVA02G05760.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast, vacuole; EXPRESSED IN: 19 plant structures; EXPRESSED DURING: 11 growth stages; CONTAINS InterPro DOMAIN/s: Lytic transglycosylase-like, cata /.../(InterPro:IPR008258); Has 171 Blast hits to 155 proteins in 40 species: Archae - 0; Bacteria - 54; Metazoa - 0; Fungi - 0; Plants - 55; Viruses - 0; Other Eukaryotes - 62 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G16290) TAIR;Acc:AT1G16290] MAISFKYWDDCLDPEDMQLMWADPHVSKEWIDAGEGPGQKVHLSRDPDGEAYLTQTEMMAVAAITVHKHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKEIKAGIMQVTIEVAQWLGRELGYKYYDIEEDANLLYWPFVNVYFGAAYAKWLFSCDDKERTEEFVVRAYKGGKKKAVHKSTSPIFQRYLYVKEALLSMRQPESFNDLTPNLLANSSSTEGQLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDSKRRPYLSRVEVKEALAALAELAFSCRDCGHRAYNVSSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPENVSLQETGPFWNKFLEALRLYQDPKKLPLKGFNAN >ONIVA02G05750.1 pep chromosome:AWHD00000000:2:3717301:3717696:-1 gene:ONIVA02G05750 transcript:ONIVA02G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRGGVGGQPPSSVAAAAVVARRCGCGLGRLVRRLRRQAALCAARPAPASSRLRGGRCQYDPLSYARNFDRGGVDVAGGLDDDSDAAQLYYSYTFSSRFVLPAAAAAAAARAHPSSLAAGRTAPATATH >ONIVA02G05740.1 pep chromosome:AWHD00000000:2:3711256:3714295:-1 gene:ONIVA02G05740 transcript:ONIVA02G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNEGRNNAEFYQAWSPHRETSGPPSPPPPPAAAAASLLGDRVSLSLSLSAADAEGSTRGRWAARPFAGGSALRRRRSRSRRRGRSGRRLSGLWLWTDKS >ONIVA02G05730.1 pep chromosome:AWHD00000000:2:3710475:3711254:-1 gene:ONIVA02G05730 transcript:ONIVA02G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPVMGVATGGSQAYPPPAAAYPPQAMVPGAPAVVPPGSQPSAPFPTNPAQLSAQHQLVYQQAQQFHQQLQQQQQQQLREFWANQMEEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIVPRDEMKEEGLGLPRVGLPPNVGGAADTYPYYYVPAQQGPGSGMMYGGQQGHPVTYVWQQPQEQQEEAPEEQHSLPESS >ONIVA02G05720.1 pep chromosome:AWHD00000000:2:3707423:3708309:1 gene:ONIVA02G05720 transcript:ONIVA02G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMVKLLCTLLLALSLTAHYSDMSMKVSADCQSVNVPGPCSPTTCDDNCKSQIGAGAVGECTSGGCQCTFCTLPPPKKN >ONIVA02G05710.1 pep chromosome:AWHD00000000:2:3697785:3700697:-1 gene:ONIVA02G05710 transcript:ONIVA02G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPTCSLRELIEHYQTVTNTHFEEINHDQHQLLNQQLDNLRRKEHILEDQNSFLCRMINENHHQAAVGGGDVKAMVEMAPVLSMLTAAPAYYGEESSSTALQLTPPLHAVDAAAAAGFRLQPTQPNLQDPGCSSSSFHAAAAGHGLQLW >ONIVA02G05710.2 pep chromosome:AWHD00000000:2:3697785:3700697:-1 gene:ONIVA02G05710 transcript:ONIVA02G05710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPTCSLRELIEHYQTVTNTHFEEINHDQHQLLNQQLDNLRRKEHILEDQNSFLCRMINENHHQAAVGGGDVKAMVEMAPVLSMLTAAPAYYGEESSSTALQLTPPLHAVDAAAAAGFRLQPTQPNLQDPGCSSSSFHAAAAGHGLQLW >ONIVA02G05700.1 pep chromosome:AWHD00000000:2:3694680:3696229:-1 gene:ONIVA02G05700 transcript:ONIVA02G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGKSRKRRSSPSSGEEEERERKKRRDKKESRRSSRDEQAEEEEEEERRRRKKKKHGDRGKDKERDSKEKHSKEKEKSKRKHKDDDFKEISKDDYFAKNNEFATWLKEEKGKFFSDLSSESARDLFEKFVKTWNKGKLPSQYYEGITRGPRSAHRWNIKA >ONIVA02G05690.1 pep chromosome:AWHD00000000:2:3686109:3695764:1 gene:ONIVA02G05690 transcript:ONIVA02G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEAQCVPTEDTYALLLRAYCNAGSLHRAEGVISEMREHGIPPNATVYNAYLDGLLKARCTEKAVEVYQRMKRERCRANTETFTLMINVYGKAKQPMSSMKVFNEMKSIGCKPNICTYTALVNAFAREGLCEKAEEVFEEMQQAGHEPDVYAYNALMEAYSRAGLPQGASEIFSLMQHMGCEPDRASYNILVDAYGRAGLHEDAEAVFEELKQRGMSPTMKSHMLLLAAHARSGNATRCEEVMAQLHKSGLTPDTFALNAMLNAYARAGRLDDMERLLAAMERRGDADVGTYNVAVNAYGRAGYVGRMEAAFAAVAARGLAADVVTWTARMGAYARRKEYGRCVGMVEEMVDAGCYPDAGTARVLLAACSDERQVEQVTAIVRSMHKKPKTLFTI >ONIVA02G05680.1 pep chromosome:AWHD00000000:2:3681664:3685491:-1 gene:ONIVA02G05680 transcript:ONIVA02G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 1 [Source:Projected from Arabidopsis thaliana (AT1G31190) TAIR;Acc:AT1G31190] MARYLLRPPTAAAAASSHRRNGTTSPRGPVLGLRALASRAGKARPVMAVSSEQPAARGKCPKVAAPTTGPIPAAELLGVIQDAARAGAEVIMEAVNKPRNIHYKGVADLVTDTDKLSESVILEVVRKTFPDHLILGEEGGLIGDALSEYLWCIDPLDGTTNFAHGYPSFSVSIGVLFRGKPAASTVVEFCGGPMCWSTRGGAYCNGQKIHVSKTDKVEQSLLVTGFGYEHDDAWVTNINLFKEYTDISRGVRRLGSAAADMSHVALGITEAYWEYRLKPWDMAAGVLIVEEAGGMVSRMDGGEFTVFDRSVLVSNGVVHDQLLDRIGPATEDLKKKGIDFSLWFKPDKYPTDF >ONIVA02G05680.2 pep chromosome:AWHD00000000:2:3681664:3685491:-1 gene:ONIVA02G05680 transcript:ONIVA02G05680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 1 [Source:Projected from Arabidopsis thaliana (AT1G31190) TAIR;Acc:AT1G31190] MARYLLRPPTAAAAASSHRRNGTTSPRGPVLGLRALASRAGKARPVMAVSSEQPAARGKCPKVAAPTTGPIPAAELLGVIQDAARAGAEVIMEAVNKPRNIHYKGVADLVTDTDKLSESVILEVVRKTFPDHLILGEEGGLIGDALSEYLWCIDPLVILPPLDGTTNFAHGYPSFSVSIGVLFRGKPAASTVVEFCGGPMCWSTRTVSASSGGGAYCNGQKIHVSKTDKVEQSLLVTGFGYEHDDAWVTNINLFKEYTDISRGVRRLGSAAADMSHVALGITEAYWEYRLKPWDMAAGVLIVEEAGGMVSRMDGGEFTVFDRSVLVSNGVVHDQLLDRIGPATEDLKKKGIDFSLWFKPDKYPTDF >ONIVA02G05670.1 pep chromosome:AWHD00000000:2:3670157:3680578:1 gene:ONIVA02G05670 transcript:ONIVA02G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEAVPLLINYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNMTKGQGDIDDPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHIGSLVPGIENTLNDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALYGPILSAIGDRYDKVTAEALRVCEELVRVLHPNSEPHSPDYRPYIGPIYKAILARLANQDQDQDQEVKECAISCMSLVLSTFGDGLQRELPACLPVLVDRMGNEITRLTAVKEIEHNGQPLGLVKSPSSVVEQNEQILESIDDLTLIRYLIKWILAQPWADGEIYLYSPDTHSWSSQGMKMKFFIMDEKYNMEAKGIYKKIDELVSLANHSMFLAPVLPCMIRRLVVLFCVIQNLIYCFKIGFLLEPCKGRFIPPIWMHLIKDVISYMSNIDNLAASLGSIDSYVLETKPERIKVILFPFESREVNWRKDFATFLIEHLWNKWKSTMLDHFINILQKDFKIGDIQHHPLLQDFDQLSNMIRMTWKESKYLTVERRVLLSSTLNAIRNNIPWTSVTTMDAVVNEYISKATNDSLGLFTEIKKIAAHYIENRRKLNKEKGICNRKQVHPIEIIEEIWPVLKDLEYSENHEWVSVEGDYATIGISDHAQCLKGSASW >ONIVA02G05670.2 pep chromosome:AWHD00000000:2:3670157:3680578:1 gene:ONIVA02G05670 transcript:ONIVA02G05670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEAVPLLINYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNMTKGQGDIDDPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHIGSLVPGIENTLNDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALYGPILSAIGDRYDKVTAEALRVCEELVRVLHPNSEPHSPDYRPYIGPIYKAILARLANQDQDQDQEVKECAISCMSLVLSTFGDGLQRELPACLPVLVDRMGNEITRLTAVKEIEHNGQPLGLVKSPSSVVEQNEQIGFLLEPCKGRFIPPIWMHLIKDVISYMSNIDNLAASLGSIDSYVLETKPERIKVILFPFESREVNWRKDFATFLIEHLWNKWKSTMLDHFINILQKDFKIGDIQHHPLLQDFDQLSNMIRMTWKESKYLTVERRVLLSSTLNAIRNNIPWTSVTTMDAVVNEYISKATNDSLGLFTEIKKIAAHYIENRRKLNKEKGICNRKQVHPIEIIEEIWPVLKDLEYSENHEWVSVEGDYATIGISDHAQCLKGSASW >ONIVA02G05670.3 pep chromosome:AWHD00000000:2:3670157:3680578:1 gene:ONIVA02G05670 transcript:ONIVA02G05670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEAVPLLINYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNMTKGQGDIDDPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHIGSLVPGIENTLNDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALYGPILSAIGDRYDKVTAEALRVCEELVRVLHPNSEEVKECAISCMSLVLSTFGDGLQRELPACLPVLVDRMGNEITRLTAVKEIEHNGQPLGLVKSPSSVVEQNEQILESIDDLTLIRYLIKWILAQPWADGEIYLYSPDTHSWSSQGMKMKFFIMDEKYNMEAKGIYKKIDELVSLANHSMFLAPVLPCMIRRLVVLFCVIQNLIYCFKIGFLLEPCKGRFIPPIWMHLIKDVISYMSNIDNLAASLGSIDSYVLETKPERIKVILFPFESREVNWRKDFATFLIEHLWNKWKSTMLDHFINILQKDFKIGDIQHHPLLQDFDQLSNMIRMTWKESKYLTVERRVLLSSTLNAIRNNIPWTSVTTMDAVVNEYISKATNDSLGLFTEIKKIAAHYIENRRKLNKEKGICNRKQVHPIEIIEEIWPVLKDLEYSENHEWVSVEGDYATIGISDHAQCLKGSASW >ONIVA02G05670.4 pep chromosome:AWHD00000000:2:3670157:3680578:1 gene:ONIVA02G05670 transcript:ONIVA02G05670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEAVPLLINYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKSTEPMLAILLLFSPRWLLKQEVPKVVKSINRQLREKSIKTKDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALYGPILSAIGDRYDKVTAEALRVCEELVRVLHPNSEPHSPDYRPYIGPIYKAILARLANQDQDQDQEVKECAISCMSLVLSTFGDGLQRELPACLPVLVDRMGNEITRLTAVKEIEHNGQPLGLVKSPSSVVEQNEQILESIDDLTLIRYLIKWILAQPWADGEIYLYSPDTHSWSSQGMKMKFFIMDEKYNMEAKGIYKKIDELVSLANHSMFLAPVLPCMIRRLVVLFCVIQNLIYCFKIGFLLEPCKGRFIPPIWMHLIKDVISYMSNIDNLAASLGSIDSYVLETKPERIKVILFPFESREVNWRKDFATFLIEHLWNKWKSTMLDHFINILQKDFKIGDIQHHPLLQDFDQLSNMIRMTWKESKYLTVERRVLLSSTLNAIRNNIPWTSVTTMDAVVNEYISKATNDSLGLFTEIKKIAAHYIENRRKLNKEKGICNRKQVHPIEIIEEIWPVLKDLEYSENHEWVSVEGDYATIGISDHAQCLKGSASW >ONIVA02G05660.1 pep chromosome:AWHD00000000:2:3666833:3669022:1 gene:ONIVA02G05660 transcript:ONIVA02G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKPNILLVVGRVIGGGGGAGAGAPGVLDAAGEAGSSSSSLLLLSPSPDPRPPPPFHPLPGPFGIRCRPRDPSTSAGGASPPPISDYSVHAAKMANMNITTILEKRLNKEGFKADQDIEPKLTSTVLQQLEDTSGEVSGLAVKCLAPLVKKVGEDSVVEMTNILCDKLLNGKDQ >ONIVA02G05650.1 pep chromosome:AWHD00000000:2:3661417:3665674:1 gene:ONIVA02G05650 transcript:ONIVA02G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFMELKERHDYVPPEDFITRVLPPEAFADSRDIPEYEIAFIRVPLYELRDPVDKGLMRKRIEEQRRREAERRRQLEKDMRRWEEEEEEEEAWPWEDDDDDFLRMSQQLSVICSESRGKRGDWPNMKATRRGRRRTHALTPDNEEEEENLSELELAVENTRRRIHHCNCNHIPRHTLFTSHADVEELFLPFKLEEPYLCFASRCQKSAPSYAHFFPDFNRSPRITSDWLRPSTFEGDLQYILFFHKLMDTVECGNTEDTVLAKAITIATQSYQKMLYFQIEAAVQDCLELLKEMERMNDRYDFYHFIASEILFNNKSYEDVLKLIRDGHVELHYTLKEFSTPHADHHMDDLVHRLVGRTRAYMPTDAQRECGMFKDWVCQQVDKEYGSLGYLYMHFLDNKMRAWFAPFPEVLKDLKYSETHEWVSVEGDYATIGISDHAQDHLGYVASVELPEVGQSVSQGNKFGVVVSVKGTTRGINSPVSGEVVEVNDQLSDLPGLVNARPYETGWIIVVKISDSGELNSLMNDEDYSKFCKGEKDKY >ONIVA02G05640.1 pep chromosome:AWHD00000000:2:3650200:3651583:1 gene:ONIVA02G05640 transcript:ONIVA02G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNQGKEEEEKQYRKDVLWTRIELRDREEEKNTGRQEHAPLNLTAREEEKRNTGRQERAPPKGTSSREEEEVNAGRQEHSAATGTHREEEEANTGRQEHAAAATTGHQPQPEPGLHPPERGGNTYFRRPGVSGGGDWRSKPEGQTTSRTWDGFSRRRDEEMDWRHSHRGEGIANPTESPTADMPPGSYAFLILCRASIFTCGSIGLTRRLLVSANSLP >ONIVA02G05630.1 pep chromosome:AWHD00000000:2:3637086:3643969:-1 gene:ONIVA02G05630 transcript:ONIVA02G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLYDRHHSLKHNKAERQAILSTYRLAKRPNLSSEGMIGESCIVRTNCFSVHLESLDDRTIYEYDVCVTPEVGINRAVIRELVKQQKDSGLGGRLPAYDGRKRLYTSGPLPFDSHRFLVLLDSIEDSPEESRHLRVRDFVVTLKFASKISLWTLCKFRGGKPNRESRAALRALDVVLKELPTARYTQFAGSFYSPNLGECRQLCKVLESWRGFHQRIQATQMGLQLNIDVSSSVFIKPVPVVDYVAQLLNEDILLDRPLCSTEFLKIKEALEGLKVQINGILFNTYHVQDLVHQAASFPVNFSIQYPSLPCLKVAHFGETIFLPLEVCKIAEGQCHQKQLNAKHMAALLQVARQPPNERDYNILQTVHQNKYQEDPHAKEFGIKIEEKLVSIKSRILPAPWLKFHDSGETTEFLPQLGIWNMMHKKMINGGRVKSWACVNFCWSVREYAARNFCYDLGFMCRESGMVFSVKPVLPLVIAKPGCVESALRTLHDDVMDILRPQGRKLDLLIVILPNNNGSLYGDVKRICETDIGLISQCCLAKHVLKMNKWYLASVALKINAKMGGRNTVLVDALEMRLPHVRDTPTIVFGAHVTHPHPGKANSSSIAAVVASQDWPEVTKYAGLISVQACHQESIQGLFKVQDDPERGTTTSGMIKEHLMSFYRATKRKPGRIIFYRDGVSKGQLPQALMHELGAIKMACASMGPDYNPLVTYVVLQKCRHTRLFADYYNANTHDSTANIRAGTVVDSNICQPNQFDFYLCSHRSTQGTKRPRYYHVLWDENDFLAGSFQELTNYLCYTSATCTQSISVVAPVHYARLLSSRARCYIKPRSIGDSTSHTSLPSEEDSSAASETGSLLPIKDNLKGAMFFC >ONIVA02G05620.1 pep chromosome:AWHD00000000:2:3630819:3631243:1 gene:ONIVA02G05620 transcript:ONIVA02G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWPLACTTTTDNIAAVGKGEGRRETKTVARGVCNMVVTSIHTWSLSYLSHLVVINHVAVCQFLPGAGKRLKMIPVLCLLVQNLSSTADDQSEF >ONIVA02G05610.1 pep chromosome:AWHD00000000:2:3611609:3615758:1 gene:ONIVA02G05610 transcript:ONIVA02G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGERPKLVRGVRQESRRFRLLVIVVGFFLVSLTFVFVSKPDAILFSLNGKLPVEQAPTSILIQQKVNEPSGESRKTSTDALRGDPKVVDDEADAKPKGTGGGSEEEEGRVLSEPDPTSGMIEPTHNKDGNGHKSHQETLGGGGDGESKGNDEEGEHAEQKHKVTLPTVSNYTIHDAAEDTENAKQEGMNNVQQGSKPLCDFSNFRANVCEMRGDVRIHPTATSVLFMEPEGSQRDEVWKIKPYPRKGDEFCLSHITEVTVKSSKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHAIVGLHAYMEFTIDSTKAPHNYSMADFNRFMRGAYSLGRDSVTVLGEYPKIKPRLLIIKRHRTRMFLNLDEIISMAEELGFEVVIDEANVSSDISRFARLVNSVDVMMGVHGAGLTNCVFLPQHATLIQIVPWGGLDWISRTDFGNPAELMGLRYKQYSIGVDESSLTDQYPRDHEIFKNPISFHQRGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >ONIVA02G05610.2 pep chromosome:AWHD00000000:2:3611609:3615758:1 gene:ONIVA02G05610 transcript:ONIVA02G05610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGERPKLVRGVRQESRRFRLLVIVVGFFLVSLTFVFVSKPDAILFSLNGKLPVEQAPTSILIQQKVNEPSGESRKTSTDALRGDPKVVDDEADAKPKGQLINFLFFSPSQFYLTDTNGVRSFLLLLLPSLPYLLLGLLIARVVRPRLISTGTGGGSEEEEGRVLSEPDPTSGMIEPTHNKDGNGHKSHQETLGGGGDGESKGNDEEGEHAEQKHKVTLPTVSNYTIHDAAEDTENAKQEGMNNVQQGSKPLCDFSNFRANVCEMRGDVRIHPTATSVLFMEPEGSQRDEVWKIKPYPRKGDEFCLSHITEVTVKSSKVAPECTKYHDVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHAIVGLHAYMEFTIDSTKAPHNYSMADFNRFMRGAYSLGRDSVTVLGEYPKIKPRLLIIKRHRTRMFLNLDEIISMAEELGFEVVIDEANVSSDISRFARLVNSVDVMMGVHGAGLTNCVFLPQHATLIQIVPWGGLDWISRTDFGNPAELMGLRYKQYSIGVDESSLTDQYPRDHEIFKNPISFHQRGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >ONIVA02G05600.1 pep chromosome:AWHD00000000:2:3570099:3574054:1 gene:ONIVA02G05600 transcript:ONIVA02G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQESRGSSGKAPVTVTVTAAGASSSGECSTPPFRLNVHAPEFVPRSPAASPMAAAAAGYYSPFLQLPGSSIGLGADWSIFADPDPTFFLPDFGHAKIGGGNGQPKGASPADIAQKIIKQVEYQFSDTNLVANDFLMKIMNKDPECYVPMSVISSWKKIKAMGVTNQLLVNALRTSSKLVVSDDGKKVRRAQPFTERHKEELQSRMVIAENLPEDSTRNSLEKIFGIIGSVKNIRICHPQEPSSARSSKSDALISNKLHALIEYETSQQADRAVDKLNDERNWRKGLRVRPVLRRSPKSAMRLKRPDFDHLMISDDDHSPQSQASSDSPMADHLPDHHEDQHGKKSWGRGRGSRPHAAAGGAPQAAAAAAGHLDSLMMMSPRHAPQGPRMPDGTRGFTMGRGRPSLAAVLRSSPARAVAAPAPAAVMI >ONIVA02G05590.1 pep chromosome:AWHD00000000:2:3563301:3565664:-1 gene:ONIVA02G05590 transcript:ONIVA02G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Methyltransferase FkbM (InterPro:IPR006342); Has 1073 Blast hits to 1073 proteins in 243 species: Archae - 45; Bacteria - 509; Metazoa - 0; Fungi - 4; Plants - 60; Viruses - 4; Other Eukaryotes - 451 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G26680) TAIR;Acc:AT2G26680] MPAPPAASWRRHAAAAAASSSTRKTLLLLLPVLLLLLLFVLSRAPDLTLSPAAASSRHLSAGVRPFDCYASQQASPVFASLVEGVPHPFFYSLADMGALPDHPHKNIARILKGKRFRKPDISETIQQLLGGKVGIGSRGVVVDVGANVGMASFAAAVMGFRVVAFEPVLENLQRICDGVYLNRVQDQVVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKANEEIAVEVATIPLDEVILDAERVVLIKIDVQGWEYHVLRGASKLLSRRKGDAPYLIYEEDERLLQASNSSAREIRAFLSSVGYNHCTRHGTDAHCMKN >ONIVA02G05580.1 pep chromosome:AWHD00000000:2:3559296:3562568:1 gene:ONIVA02G05580 transcript:ONIVA02G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSYLAVGGWFIQVIFDKYLSYQLQSWAADCGISHEMNRLRVALLRTQSVLHGAEVTPSLSYGSLPWMRELRDVMYHAEDLLDKLEYNRLHHQMQESSSTESNSSPISAFMHSRFRNQGAQASGLEPHWDRSTRVKNQMVNLLERLEQVASGVSEALSLPRKPRHSRYSIMTSSVAHGEIFGRESEIQQLVSTLLSSQVDGDNPVSVASIVGVGGVGKTALAQHVYNNTRVAQYFDMRMWICVTDAFDESRITREMLESVSSSRFRHDSITNFNRLQVALRARLVSKRFLLVLDDVWSNDKITLAIEHENWQKLLSPLKAAANGSKILLTTRSSMVAEMLQSAHITNLECLSDKDCWSLIKMIVFDDTNHLINSQLANIGSEIAKTLNGLPLAAKVVARQLKCKHTTDEWKQVLQRNAVWDEIMPIFQHSYENLPVHLQQCLAYCSIFPKDWEFEAEQLILMWMAQGYVYPDGCRRMEDIGKQYVDELCSRSFFAIQKKQFVSYYVMPPVIHKLAKSVSAEECFRIGGDEQRRIPSSVRHLSIHLDSLSMLDETIPYMNLRTLIFFTSRMVAPINISIPQVVLDNLQSLRVLDLSPCKIDRLPDSIRQCVHLRYLNISSTAINMLPEYLGKLYHLQVLNLSGCRLEKLPSSINNLVSLRHLTAANQILSTITDIGSLRYLQRLPIFKVTSEETNSIIQLGYLQELRGSLHIRNLENIDAPDEAKEAMLCKKVNLTMLQLMWAPARDLVNSDKEAEVLEYLQPHPNLKRLDIIGWMGVKAPSWLESKWLINLELIFLSGCNAWEQLPPLGQLPSVRTIWLQRLKTVRQIGLEVYGNRSSHVAFQSLEEIVLDDMQELNEWSWTGQEMMNLRNIVIKDCQKLKELPPLPPSLTELTIAKKGFWVPYHHDVKMTQLTTVTTVSSLCIFNCPKLLARFSSPVTNGVVESFQSLRSLIVDHMRILTCPLLRERLEHIENLDIQDCSEITTFTADNEDVFLHLRSLQSLCISGCNNLQSLPSSLSSLESLDKLILWNCPELELLPDEQLPLSLRKLEVALCNPVLKDRLRKECGIDWPKIAHIPWVEIDGEILQ >ONIVA02G05570.1 pep chromosome:AWHD00000000:2:3553638:3559196:1 gene:ONIVA02G05570 transcript:ONIVA02G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIREDLLRGIYAYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVNTAVREVQALILSPTRELAAQTERVMLAIGDYINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLGRGFKDQIYDVYRYLPPELQVCLISATLPHEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRSNNFTVSAMHGDMPQKERDAIMGEFRSGATRVLITTDVWARGLDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >ONIVA02G05560.1 pep chromosome:AWHD00000000:2:3550582:3552780:-1 gene:ONIVA02G05560 transcript:ONIVA02G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIWLEKEDREGPPVLPLVEVKLCVDLATSRATVARPPMEIGGKGIGDRNGTVEIGGRDGAVEIGDRGSEVEVGISLSSSLSSSMDGVRSGAIWESPDGESSDIFPSLDLTTSTDYLAAVDDGIPEVADYLAAVDNDG >ONIVA02G05550.1 pep chromosome:AWHD00000000:2:3548620:3548967:1 gene:ONIVA02G05550 transcript:ONIVA02G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPVEVGAQGTIGSLVCREVEYFRRMEVAVVSHDHGKNMSSSSKQASRRRHRQRGEPQDQEQGPAAVEGGRGRRAYLFLPSICSSAEVAEATGAARVRYQHLGQDEGHSLPQ >ONIVA02G05540.1 pep chromosome:AWHD00000000:2:3536506:3537124:-1 gene:ONIVA02G05540 transcript:ONIVA02G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASYGGGFRIELDVVAKHGGGADCGPDGVAVEGSVPRDNRNGSAASTSRQASRVQRGSRRRGGKGGDRATGGAIRVRGGNGMVRGMREGAPSRWQRRSVGRRESAREEEQSERRDFRLTGVGAGGKAEGETSGDQSRLIQ >ONIVA02G05530.1 pep chromosome:AWHD00000000:2:3530756:3536283:-1 gene:ONIVA02G05530 transcript:ONIVA02G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTTRSLSLHAHALPSPTTGTAETLSSLILHLPPVSGARRQGLRAVAFPSKQGCRPKKEESSRWRMLLRSCRRLRKGSYLSPTRRMDTPSSTHLDDRQILHIDDWSKRKEMEKMKNRLHTEVQLMRFDVTLYWREETNFLEKREEERDERSDTIDIDKEASSEQATTADGNLQYAGGEPAIDGASGH >ONIVA02G05530.2 pep chromosome:AWHD00000000:2:3530756:3536283:-1 gene:ONIVA02G05530 transcript:ONIVA02G05530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTTRSLSLHAHALPSPTTGTAETLSSLILHLPPVSGARRQGLRAVAFPSKQGCRPKKEESSRWRMLLRSCRRLRKGSYLSPTRRMDTPSSTHLDDRQILHIDDWSKRKEMEKMKNRLHTVVDTIKTEAKEVQLMRFDVTLYWREETNFLEKREEERFLEEGTICIHGSDERSDTIDIDKEASSEQATTADGNLQYAGGEPAIDGASGH >ONIVA02G05530.3 pep chromosome:AWHD00000000:2:3530756:3535135:-1 gene:ONIVA02G05530 transcript:ONIVA02G05530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKWFVQGQILHIDDWSKRKEMEKMKNRLHTVVDTIKTEAKEVQLMRFDVTLYWREETNFLEKREEERFLEEGTICIHGSDERSDTIDIDKEASSEQATTADGNLQYAGGEPAIDGASGH >ONIVA02G05530.4 pep chromosome:AWHD00000000:2:3530756:3535135:-1 gene:ONIVA02G05530 transcript:ONIVA02G05530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKWFVQGQILHIDDWSKRKEMEKMKNRLHTVVDTIKTEAKETNFLEKREEERDERSDTIDIDKEASSEQATTADGNLQYAGGEPAIDGASGH >ONIVA02G05530.5 pep chromosome:AWHD00000000:2:3535223:3536283:-1 gene:ONIVA02G05530 transcript:ONIVA02G05530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTTRSLSLHAHALPSPTTGTAETLSSLILHLPPVSGARRQGLRAVAFPSKQGCRPKKVLAEAKKGFLLVTDKKNGYSFLYPFG >ONIVA02G05520.1 pep chromosome:AWHD00000000:2:3524823:3528019:1 gene:ONIVA02G05520 transcript:ONIVA02G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEELLVQESTVPIVSDGDPSRPAVRSAHFLLPRAAAGGRPPPLPSSPLCSGGPVPDHHGLLRVVEFKGWAGSPGLWRQWVDRLRPRHEPLWRSVGILDAILATAYRVRRDEGTLLQLAAFWSADTNTFMFPWGEATVTLEDMTVLAGLPLFGKPVRACLPDALVGDVDALMAVRSALHRSKYKKPSYPGWVQYFLKRQEEEDDETAASAGADLIEHGAFLAMWLSLFVFAAPPFDVVGPQVFPIAARLARGIRVALAPAALAGIYGDLSALKRFLDLRDREEEALQVTAPMHILLLWVWERFPQLRPAMATTTIPATTDACRVPMAARWHGVHKALDPQFVHGVFMSPDKFEWRPYGSRSIALPPKEAKAGTWVVQDVMTSNTLLSFTRCLLQCELVGMGCIEQYNPHRVARQFGFDQDVPGTIARANSNWKVAWRTYTFGYRKFALVVPHYKLGVTLEYARWWEPYSLACSTDVSNYANTREPHSLFIPMKRNTEELSGANSCKKQHVDTSVPLPGTMEDPLDEIPLIERLNNIIMVQCARQEQIADVVKYSVPEFIRGKDNSMIVQQDAEQYLSDSMRVLDSSADESFCGSVTKMEQHISLLQSKQKAQDHASAYEANNCNSGQVMIHHGVESAASTGSNEAIEAATTAGMLPTPEDILVTSDEVMMERNCGYELDAVLLGAAPQQQSTEDMGTCMFALERDNRAKTDKDELASLKGTEKENEDDSTSNQAAAGSLIEDCIEISRKNSGNNGISSDILVNASTELVRTKVSTKTLYYLTKIWLLKNAHERDASDMNRDHGVYQPRREVGTREMIEKSFAAREAQKVELERVIKHLKEQLRDRNPSKLDV >ONIVA02G05510.1 pep chromosome:AWHD00000000:2:3511757:3515238:-1 gene:ONIVA02G05510 transcript:ONIVA02G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASFSPPLASPSRWSPPSRRRRLRLRLRVRAGSSLKEWGDFEDAVRSRDLPRALRFLQSVDVETPPAAAAAGAAVARVALPVPPGRDWEVLDACIDADDMRLVGRAYQFLADRGVLANFGKCKNIVLEGPREVTPTVLKEMTGLEAIKLAPKKWGLSGNSSYVLIGFLGGVSYLLNQGVDVRANLAAILGLVAADAMFLGGTCFAQISCFWPPYKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELAEGHLSSTAFDRYCMILFAGIAAEALVYGEAEGGENDENLFRSLCILLDPPLSVAQMANRARWSVMQSYNLLKWHKKAHRAAVKALESGHSLSTVIRRIEEAISSDR >ONIVA02G05500.1 pep chromosome:AWHD00000000:2:3509237:3510595:-1 gene:ONIVA02G05500 transcript:ONIVA02G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ribonuclease H2, subunit C (InterPro:IPR013924); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (sou /.../CBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G39440) TAIR;Acc:AT2G39440] MEPAAAAAAAVTAAVDLSTAAADLGRVHLLPCGIKQNGAAAVSDYFKPKDTGVEVEGIRVEEAFFRGRKLQGATISLPDGYRGYVLEKRSGGKDMKKLEGEVSNFKSRAEFQNITYWNHDTTPSAEDPLPRCFHLLTVANAMHKPVTAEEMAYMSAMQNQSS >ONIVA02G05490.1 pep chromosome:AWHD00000000:2:3505533:3510565:1 gene:ONIVA02G05490 transcript:ONIVA02G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxymethylbilane synthase [Source:Projected from Arabidopsis thaliana (AT5G08280) TAIR;Acc:AT5G08280] MPPPPRCAATTAHHSLLGSPTCLARPRRRCCPVRAAVAVQAEAQAKVSLIRIGTRGSLFEICKYDSPLALAQAHETRDKLKAAHSELAEEGAVEIVIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTASSLAELPAGSVVGSASLRRQSQILYKYPSLKVVNFRGNVQTRLRKLKEGDVHATLLALAGLKRLNMAETATSVLSVDEMLPAVAQGAIGIACRSSDDTMMNYLSSLNHEDTRLAVACEREFLSVLDGNCRTPIAAYASRDKDGNCSFRGLLASPDGSTVYETSRTGPYDFDIMVEMGKDAGHELKAKAGPGFFDSLQ >ONIVA02G05490.2 pep chromosome:AWHD00000000:2:3505533:3510565:1 gene:ONIVA02G05490 transcript:ONIVA02G05490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxymethylbilane synthase [Source:Projected from Arabidopsis thaliana (AT5G08280) TAIR;Acc:AT5G08280] MPPPPRCAATTAHHSLLGSPTCLARPRRRCCPVRAAVAVQAEAQAKVSLIRIGTRGSPLALAQAHETRDKLKAAHSELAEEGAVEIVIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTASSLAELPAGSVVGSASLRRQSQILYKYPSLKVVNFRGNVQTRLRKLKEGDVHATLLALAGLKRLNMAETATSVLSVDEMLPAVAQGAIGIACRSSDDTMMNYLSSLNHEDTRLAVACEREFLSVLDGNCRTPIAAYASRDKDGNCSFRGLLASPDGSTVYETSRTGPYDFDIMVEMGKDAGHELKAKAGPGFFDSLQ >ONIVA02G05490.3 pep chromosome:AWHD00000000:2:3510289:3510611:1 gene:ONIVA02G05490 transcript:ONIVA02G05490.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxymethylbilane synthase [Source:Projected from Arabidopsis thaliana (AT5G08280) TAIR;Acc:AT5G08280] MVAPCSFLPRKKASSTLIPSTSTPARIDSSTKRPPPRRERLRDSETAAAPFCLMPQGRRCTLPRSAAAVDRSTAAVTAAAAAAAGSMARGC >ONIVA02G05480.1 pep chromosome:AWHD00000000:2:3501922:3503843:-1 gene:ONIVA02G05480 transcript:ONIVA02G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G19830) TAIR;Acc:AT4G19830] MVSLSAAVAPAAGVVPPPQKAQAFAVAEAHAPTIPRRHLLLASAASTLPAAAAAASASAAAAPSFAEIPGSGGVKALDLREGPGEVPADGDQVAIHYYGRLAAKQGWRFDSTYDHKDETGDPMPFVFTVGAGNVIPGIEAAVKSMRVGGLRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELISIRQHS >ONIVA02G05470.1 pep chromosome:AWHD00000000:2:3496573:3500376:-1 gene:ONIVA02G05470 transcript:ONIVA02G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFTSIFFCCLALLLSFFLSFFPASSSPSRSSPLLSAADLLLREPELLLLPRAAGKNQQGSMSEQQDHASKSSCSSLSTSTQESEEDVTVGTLLTEAKNSGRSLGKRLSHLDSIPHTPRVNGQIPDVNNATIDHETLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKSVVKQLKEFRKHYEGYVPMEYKVYLKKMKRSGEWGDHVTLQAAADRFAAKICLLTSFRDTCLIEIVPRGATPTKELWLSFWSEVHYNSLYATEDLPNRKTRKKHWLF >ONIVA02G05460.1 pep chromosome:AWHD00000000:2:3494160:3495485:1 gene:ONIVA02G05460 transcript:ONIVA02G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G05320) TAIR;Acc:AT3G05320] MNIILELNHLKQVSLPTRPVVCKGLVIVIALIVLRAIVSPFLAVNPSEKEGFYDPTADLLPGIRRGKFIEVPQIIWGLNNQKIAFARACLTARFLNRSLLMPSLSASLFYKEVDLLRPITFDKVFDFTKFNARCQGFVRLARYSEVSNQTKPFKLQKGSGRRWTVEKDLDQLLQYRRGEADDSEVIEIIGKHPFLWPDHWPVKDYARIFDCLALVPEIETEVVKVISKIREAGIKARHEAGISHNKHVKDGTMNPPVPYIAVHMRIEKDWMIHCKKWEQRSNSKEICSSKEEIIHKVSQITDLRRPVVVYLAVADSLLEDDSITSGWRVGMVAFEKKRLGVTDIYNRQPYLIKSAIDFEVCARADVFVGNSFSTFSNLVVLSRTQRLYNMGEASSCGENVGLSSYAYNVIGDDGGPQRWMTDMSDTSLQNLSYGTNNISCH >ONIVA02G05450.1 pep chromosome:AWHD00000000:2:3485134:3490480:1 gene:ONIVA02G05450 transcript:ONIVA02G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSPPMPPMPPSSSSSLRDLLEQERCESHALDAAPRRARSGRHASPPPQRVAPEEEDDGGGGAAAVGAVVAMLSGYVGRFVKDEGFRRGLREKCAACLAPAASRRGAGHAVLANLELGIESIERLAADAASAQAQQRDAKIRSLRNSIRLLSVVASLHAPPQPPRHAAPTSAPAAAEAHTCGVPNSHLSACAQLYLSVVYKMERNDHVSARHLLQVFVDAPYLARKNLLPDLWDHVFLPHLLHLKVWFTAEADLAPDDRSRRMKTLQRLYNDHLNSGTAQFAIYYKEWLKSGGAEAPPPPSVPLPSMPGDFDAWDKHSSSLRRSSINRGLYNAVFGTAMEQEDVKDTKLEDDEMSQLVLETDVELEDNPGCLKMGRIAHFRDSNGCKEKQPHFDIIATALEPMPTWNVINHPKIPKKEAVSVEKDLECSELTMNLERAVSMCEYAVQEVARACSNLREDPNLGTWLSCPSFIQGLLEVTFTSKDDLVLECAILIIGELILSNEVNRQIVLNADPQLEVFLRLLRSKELFLKAAIVLYLMKPKAKQMLSLDWIPLVLHILECGDEVQFLSSVKCAPKVAALYFLDQLLMGFDVDRNVENAKQMIALGGLDLLMNRIDGSDSRESKKCISLLTSCIQADGSCRHYLVDNLKKEPIVQLLVGNQKKASSAALNLLSELVCLNRTTQILEFLKELKNGGCLNTMHILLVYLQQAPIAQHPLAAVMLLQLDLLGDSSQYSVYREEAIDAMVAALEHGSHSRKLQEQCARALLLLAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKSARVEEEKIVEERLKKLALMLLNSGNKKFLTALSNCISDGIPSLARACLITVTWMSSSLSPLHGCNTFQPLACSILATKLVDSLSYDRVLEERVLASLSLLNLVRHPECLEKLYPLKKDTVESLQDLAEVTWTAKELLFACCR >ONIVA02G05450.2 pep chromosome:AWHD00000000:2:3485134:3490480:1 gene:ONIVA02G05450 transcript:ONIVA02G05450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSPPMPPMPPSSSSSLRDLLEQERCESHALDAAPRRARSGRHASPPPQRVAPEEEDDGGGGAAAVGAVVAMLSGYVGRFVKDEGFRRGLREKCAACLAPAASRRGAGHAVLANLELGIESIERLAADAASAQAQQRDAKIRSLRNSIRLLSVVASLHAPPQPPRHAAPTSAPAAAEAHTCGVPNSHLSACAQLYLSVVYKMERNDHVSARHLLQVFVDAPYLARKNLLPDLWDHVFLPHLLHLKVWFTAEADLAPDDRSRRMKTLQRLYNDHLNSGTAQFAIYYKEWLKSGGAEAPPPPSVPLPSMPGDFDAWDKHSSSLRRSSINRGLYNAVFGTAMEQEDVKDTKLEDDEMSQLVLETDVELEDNPGCLKMGRIAHSNMGLQEKHSVIRKEGNIPETAPTPRKSYSLRLFSCRGDLTRNVINHPKIPKKEAVSVEKDLECSELTMNLERAVSMVSCSDSLTQCEYAVQEVARACSNLREDPNLGTWLSCPSFIQGLLEVTFTSKDDLVLECAILIIGELILSNEVNRQIVLNADPQLEVFLRLLRSKELFLKAAIVLYLMKPKAKQMLSLDWIPLVLHILECGDEVQFLSSVKCAPKVAALYFLDQLLMGFDVDRNVENAKQMIALGGLDLLMNRIDGSDSRESKKCISLLTSCIQADGSCRHYLVDNLKKEPIVQLLVGNQKKASSAALNLLSELVCLNRTTQILEFLKELKNGGCLNTMHILLVYLQQAPIAQHPLAAVMLLQLDLLGDSSQYSVYREEAIDAMVAALEHGSHSRKLQEQCARALLLLAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKSARVEEEKIVEERLKKLALMLLNSGNKKFLTALSNCISDGIPSLARACLITVTWMSSSLSPLHGCNTFQPLACSILATKLVDSLSYDRVLEERVLASLSLLNLVRHPECLEKLYPLKKDTVESLQDLAEVTWTAKELLFACCR >ONIVA02G05440.1 pep chromosome:AWHD00000000:2:3478302:3481801:1 gene:ONIVA02G05440 transcript:ONIVA02G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSCFRGAGSDPAGSLRDPLVRESRIGEAFLDDETKVEASGTLDGDRGNGGSVDEELWREANYLKSCGAIGETPPEMLKGSNQITEEETNGELKGAAVSEENLSEGFNCDEHGALKHEQSDSPHPTPLVLRGDMQTPGTLNTAYKESLRSGKRARTNKQFIYPVLRPIENKLQWMELRDDSSPILSFNPPKRRYLSTDCSAKPQESITNSMATQTARITPASFSFHDITAGQDQGVISPEEHKSENDSRKLLDDADQLKYNADSERKGVASLSCWLKPPSSAGGSQSDTEGKVVKQRCYENSVFTDLPIFTASGLNWDNDKPTPVLPKVWDGNGIPNTTTKYKEDQKVSWHATPFEERLMKVLSDEKPHHQRKISGKLIQLDEETN >ONIVA02G05430.1 pep chromosome:AWHD00000000:2:3472770:3474765:-1 gene:ONIVA02G05430 transcript:ONIVA02G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGRRDRMGSAGGGGGSPPVVVRRYNRSEAPRMRWPEELHRRFVHAVRRLGGCHEATPKRIMQLMGAKGVSISHVKSHLQMYRNSNNSSNVNRRHPVTPQIDWTTTAQQDEQQRRQMSSFSFLATRTVPAAGIGSHSHQRPHRRQALHAGDDDGCELTLSISGGAAEESKDSGSSITDDDDELLIQPPAPNIINDDGATRHGHRHPFACSTQPPPPAAINLELTISSPCCWLT >ONIVA02G05420.1 pep chromosome:AWHD00000000:2:3468165:3470285:-1 gene:ONIVA02G05420 transcript:ONIVA02G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytoene desaturation 1 [Source:Projected from Arabidopsis thaliana (AT1G06570) TAIR;Acc:AT1G06570] MPPTPTPTATTGAVSAAAAAGENAGFRLVGHRRFVRANPRSDRFQALAFHHVELWCADAASAAGRFAFALGAPLAARSDLSTGNSAHASLLLRSASVAFLFTAPYGGDHGVGADAATTASIPSFSPGAARRFAADHGLAVHAVALRVADAADAFRASVAAGARPAFQPADLGGGFGLAEVELYGDVVLRFVSHPDGADAPFLPGFEGVSNPGAVDYGLRRFDHVVGNVPELAPVAAYISGFTGFHEFAEFTAEDVGTAESGLNSVVLANNAETVLLPLNEPVHGTKRRSQIQTYLDHHGGPGVQHIALASDDVLGTLREMRARSAMGGFEFLAPPPPNYYDGVRRRAGDVLSEEQINECQELGVLVDRDDQGVLLQIFTKPVGDRPTFFLEMIQRIGCMEKDESGQEYQKGGCGGFGKGNFSELFKSIEEYEKSLEAKQAPTVQGS >ONIVA02G05410.1 pep chromosome:AWHD00000000:2:3466484:3467269:1 gene:ONIVA02G05410 transcript:ONIVA02G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:urease accessory protein F [Source:Projected from Arabidopsis thaliana (AT1G21840) TAIR;Acc:AT1G21840] MERVMECDYPASKKNKVVHPMDCEMKEEPTNAASMNQHSLWSQWQLLDSILPTGGFAHSYGLEAAMQSRMVNNPEELRSFVVQVLENTGSLLLPFVCCANKSPDAATWVKLDQLLEAMLTNEVSRKASMSQGSALLRVAASVFTEIQSLQDLRQTFLGSKIVSFHHAPIFGLICGLVGFDSETTQRAYMFVTMRDVISAATRLNLIGPLAASVLQHQVAEDAERMVQKWKDRGVEEATQTSPLLDALQGCHAYMFSRLFCT >ONIVA02G05400.1 pep chromosome:AWHD00000000:2:3460330:3460671:1 gene:ONIVA02G05400 transcript:ONIVA02G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPWLGMTGGVMRMKLGDGGAARRGRGEVGRRRDLAVAGEVRMAAGRGHAVAARPCGGRRATNGGGARPRGGAAALRWPARSGVASRGRLGAAWLGGRAPPRLARGGWPAP >ONIVA02G05390.1 pep chromosome:AWHD00000000:2:3459761:3460117:-1 gene:ONIVA02G05390 transcript:ONIVA02G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWMRVRVNHVYVYLDEVEHVYDGSGGEHGVGAAGVGVGRSGSAVPIGAKPWVVQQQQPTDLTKHSINAYAHESAHTHPMIVPMHNEHPGNWTDTF >ONIVA02G05380.1 pep chromosome:AWHD00000000:2:3455555:3459261:-1 gene:ONIVA02G05380 transcript:ONIVA02G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGCNTVGGERHRRIHGEVEVHGGVLEGVRPDGEREQWEVDELVDDGEHGEGEEELYDSDSPAATGVSPAPAAATTTVVVSHAKGSNSSAACKCVKRNDTIWGAWFFFTHYFKPVMLADKNEKVKAPTAVGTLDINL >ONIVA02G05370.1 pep chromosome:AWHD00000000:2:3455201:3455524:1 gene:ONIVA02G05370 transcript:ONIVA02G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYSQGKLSGARSMLTTPLPAAANVPAHLPLAGKWCSPWAIEEDDGLEAGRRGGAAVLAGCHYRLAHLPWGVLRRSHRSPWESGRRKEPWSPWRLRAGRGHAVLMD >ONIVA02G05360.1 pep chromosome:AWHD00000000:2:3445005:3454024:-1 gene:ONIVA02G05360 transcript:ONIVA02G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNITTILEKMTGKDKDYRYMATSDLLSELNKEGFKADQDIEPKLTTTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDRVVEMTNILCDKLLNGKDQHRDTASIALKTIIVEVTTTSLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDSMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEAVPLLINYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNMTKGQGDIDDPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHIGSLVPGIEKALNDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYYKVTAEALRVCGELVRVLRPNFEARTLDYRPYIGPIYKAILARLANQDQDQEVKECAISCMSLVVFTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFAVIAKSPLRIDLSCVLDHVISELTAFLRKANRALRQATLGTLNSLVVAYGGQIGSSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRYKVLPQALILIRSALLQGQALQALQRFFASLVQSANTSFDTLLDSLISTAKPSQSGGLAKQALSSIAQCVAVLCLAAGDQKCASTIEMLKGILKDDSATNSAKQHMALLCLGEIGRRKDLSNHAQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILNQIDNQQKKQYLLLHSLKEVIARQSVDHTGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPRKLIPALKERTSSPAANTRATVAIAIKYSIVERPGKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPIEKTINHKPKGDAVKQEVDRNEDMIRSALRAIAALSRISGNDYSMRFKNLMNKIMASPPLADKYNSVRSE >ONIVA02G05360.2 pep chromosome:AWHD00000000:2:3445005:3454024:-1 gene:ONIVA02G05360 transcript:ONIVA02G05360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNITTILEKMTGKDKDYRYMATSDLLSELNKEGFKADQDIEPKLTTTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDRVVEMTNILCDKLLNGKDQHRDTASIALKTIIVEVTTTSLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDSMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEAVPLLINYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKSTEPMLAILLLFSPRWLLKQEVPKVVKSINRQLREKSIKTKDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYYKVTAEALRVCGELVRVLRPNFEARTLDYRPYIGPIYKAILARLANQDQDQEVKECAISCMSLVVFTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFAVIAKSPLRIDLSCVLDHVISELTAFLRKANRALRQATLGTLNSLVVAYGGQIGSSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRYKVLPQALILIRSALLQGQALQALQRFFASLVQSANTSFDTLLDSLISTAKPSQSGGLAKQALSSIAQCVAVLCLAAGDQKCASTIEMLKGILKDDSATNSAKQHMALLCLGEIGRRKDLSNHAQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILNQIDNQQKKQYLLLHSLKEVIARQSVDHTGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPRKLIPALKERTSSPAANTRATVAIAIKYSIVERPGKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPIEKTINHKPKGDAVKQEVDRNEDMIRSALRAIAALSRISGNDYSMRFKNLMNKIMASPPLADKYNSVRSE >ONIVA02G05360.3 pep chromosome:AWHD00000000:2:3454026:3455480:-1 gene:ONIVA02G05360 transcript:ONIVA02G05360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPSAGHSPTVSDATSSGRPTASAQACSGTRQAPPPHPGGLPRDRRPLRWPMVSTTSQPTAGVQAHLQQLAMAWAVTAKNTASSPSPSRRPPASPSRPLAAGEPAAWSRPPAAVPAVRYVVSGPGVLGSRRVLDSLDWTGDAALRSTSPHPPPASSSSATVISSAEKDFWIRFS >ONIVA02G05350.1 pep chromosome:AWHD00000000:2:3440736:3441110:1 gene:ONIVA02G05350 transcript:ONIVA02G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKRLLKRFSRVADDSPAAAAYQQLRPKQAAAAAGGKVPQGHVPVCVGEEGGPVERFAVRAELLGSPAFAALLRRAAQEYGYGHPGALRIPCPVADFRRLLLRLSAAAAAAADEGEYPSSYDC >ONIVA02G05340.1 pep chromosome:AWHD00000000:2:3420591:3427126:-1 gene:ONIVA02G05340 transcript:ONIVA02G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPQHGWENNSALDGYGVINGPDYRAGGSYNGRRFVDDGFPGDSYGRGAFYQDTHDRNVYPPAPSVPMLSQPRRNKRIGSRDRAEFHGDFEDRYRSSHQSREDSYERDRDYDRYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHERDRSPYMQHSRSRSRGRDERSRSRSRSRSPRGKSRGRNQRDGFYDDNSFGRRREYDWDERRHGDLVAPSATVVVKGLSQKTNEDDLNQILAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGVGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEENFTRYNYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDSLPADPTSPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEEATKALEATNGITLEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAIGWAPKEYNPDDKLNSNSEPQSSGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYVPCSEQNSSKAAGDMANTSTKTSESSGKNVVISAPAATIKQSEKTSLPEAVQAAASAALAAEKKEKERAKEIKLASKGSLLANKKKMNNVLAMWKQRNQEGQAGRAILDDKEPSNSADDKLNNLHNSTGFAVKAKPKSDVGNAKDMNSPASYNSLGRTAAPTEMIDSDIKPTPVSNSLGTTIMGVIRGSGRGIVRSDAAFHAPSDAGGADSFSNIPTSTCGLTANAGAPTSAPFKTELSALASYTPSGVSGSGKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGEYPRRGPSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKSSDPSLEAQAGDSYKTIIQKKAMARFREMS >ONIVA02G05340.2 pep chromosome:AWHD00000000:2:3420591:3427126:-1 gene:ONIVA02G05340 transcript:ONIVA02G05340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPQHGWENNSALDGYGVINGPDYRAGGSYNGRRFVDDGFPGDSYGRGAFYQDTHDRNVYPPAPSVPMLSQPRRFHDDEYSTARDYRRHDTYHCNDGKHHEFEFYGGVDILHDNYAADNYESGSYRDFGFDRNKRIGSRDRAEFHGDFEDRYRSSHQSREDSYERDRDYDRYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHERDRSPYMQHSRSRSRGRDERSRSRSRSRSPRGKSRGRNQRDGFYDDNSFGRRREYDWDERRHGDLVAPSATVVVKGLSQKTNEDDLNQILVQVPLHKLLNWLQAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGVGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEENFTRYNYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDSLPADPTSPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEEATKALEATNGITLEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAIGWAPKEYNPDDKLNSNSEPQSSGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYVPCSEQNSSKAAGDMANTSTKTSESSGKNVVISAPAATIKQSEKTSLPEAVQAAASAALAAEKKEKERAKEIKLASKGSLLANKKKMNNVLAMWKQRNQEGQAGRAILDDKEPSNSADDKLNNLHNSTGFAVKAKPKSDVGNAKDMNSPASYNSLGRTAAPTEMIDSDIKPTPVSNSLGTTIMGVIRGSGRGIVRSDAAFHAPSDAGGADSFSNIPTSTCGLTANAGAPTSAPFKTELSALASYTPSGVSGSGKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGEYPRRGPSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKSSDPSLEAQAGDSYKTIIQKKAMARFREMS >ONIVA02G05330.1 pep chromosome:AWHD00000000:2:3416517:3419669:1 gene:ONIVA02G05330 transcript:ONIVA02G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0G1V0] MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYSGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEEDYGDEEEDEVAA >ONIVA02G05320.1 pep chromosome:AWHD00000000:2:3410538:3413036:-1 gene:ONIVA02G05320 transcript:ONIVA02G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20770) TAIR;Acc:AT4G20770] MASAAAQLAGVVEACIKRSPKPSRAHAKAAHARALAAGLAADTFLANRLVELYSRAGLPRHALLAFRALPSPNDYSYNAALSAACRAGDLDAARDLLGGMPRRNAVSWSTVISALARSPGDGGEAVEMYGRMRAEGLLPTHFTLASVLSACGGLAALGDGRRCHGVAVKVGLDANQFVENALLGMYTKCGSVGDAVRLFYGMARPNEVSFTAMMGGLAQTGSIDDALRLFARMCRSGVPVDPVSVSSVLGACAQACATDYSVARAFRLGQAIHALVVRKGFGSDQHVGNSLIDMYTKCVEMDEAVKVFESLPSVTIVSWNILITGFGQEGSCAKAVEVLSLMQEAGFEPNEVTYSNLLASCIKARDVHSARAMFDKISRPSVTTWNTLLSGYCQEEQHQDTIELFRRMQHQNVQPDRTTLAVILSSCSKLGILDFGRQVHSASVRFLLHNDMFVASGLVNMYSKCGQIGIARSIFNKMTERDVVCWNSIISGLTIHSLNKEAFDFFKQMRENGIMPTESSYSSMINSCSRLSSIPHGRQIHAQVMKDGYDQNVYVGSALIDMYAKCGNMDDARLFFDTMMMKNIVAWNEMIHGYAQNGLGDKAVELFEYMLTTEQKPDAVTFIAVLTGCSHSGLVDKAMAFFNSMENSYGIIPLAEHYTCLIDALGRAGRFVEVEALIHKMPCKDDPIIWEVLLAACVVHHNAELGKCAAEHLFRIDPKNPSPYVLLSNIYASLGRHGDASAVRALMSNRGVVKGRGYSWIDQKDGVRAFMVADDLGADGGELTMFSNEDSIPQVHHADSTCGFSAVKYSKCTDKSKQMVAESSCATLVPFA >ONIVA02G05310.1 pep chromosome:AWHD00000000:2:3406757:3409995:1 gene:ONIVA02G05310 transcript:ONIVA02G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDSVVSAQWLHEHLGQPDVKVLDASWYMPVEKRDPWQEYQVAHIPGALFFDIDGIVDLTTDLPHMLPSQEAFAAAVSALDIKNHDKVIVYDGKGFFSAPRVWWMFRVYGHNKIWVLDGGLPQWRTSGFVLESSTPGDAVQKTKAANSVVERIYNGQLASDVTFQTEFQPHIFWTLEKVKHNMDAQSHQVVDARSKGRFDGVAPEPREGVRSGHIPGTKCVPFPEMFDDAPMLLPADEIRKKFEQAGISLDRPIVVTCGSGVTACILALGLYRIGKQDIPVYDGSWTEWEALPDHDYPKVTSNGF >ONIVA02G05310.2 pep chromosome:AWHD00000000:2:3406892:3409995:1 gene:ONIVA02G05310 transcript:ONIVA02G05310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDSVVSAQWLHEHLGQPDVKVLDASWYMPVEKRDPWQEYQVAHIPGALFFDIDGIVDLTTDLPHMLPSQEAFAAAVSALDIKNHDKVIVYDGKGFFSAPRVWWMFRVYGHNKIWVLDGGLPQWRTSGFVLESSTPGDAVQKTKAANSVVERIYNGQLASDVTFQTEFQPHIFWTLEKVKHNMDAQSHQVVDARSKGRFDGVAPEPREGVRSGHIPGTKCVPFPEMFDDAPMLLPADEIRKKFEQAGISLDRPIVVTCGSGVTACILALGLYRIGKQDIPVYDGSWTEWEALPDHDYPKVTSNGF >ONIVA02G05300.1 pep chromosome:AWHD00000000:2:3402944:3405754:1 gene:ONIVA02G05300 transcript:ONIVA02G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVRLSPPATAAAATAGAVLAAVALRRYLRTRSSMATSAHRRGSAGGITLVVSGKSTEDQHHLAAAGGALVLGEGDYVEDITVSLDSGAGAGFDAGAYMAALRASRFGRWMLWSPRLASTHDLVTQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMEDARKLPLMQIMDIFLSLTIFVGLSELDVKIKWPNDLYLKGLKVGGILCTSSYQPKVYNICTGIGLNVDNEEPTTCLNAALKEMNANLPTLKREDILASFFNKFEVLFEIFTNEGFQALEEQYYNSWLHSGQKVVVQDGPEAQHADSVVTIQGLTPAGYLYAIGEDGKSYELHPDGNRVSAKEDGNVVLHEYIHR >ONIVA02G05300.2 pep chromosome:AWHD00000000:2:3402944:3405754:1 gene:ONIVA02G05300 transcript:ONIVA02G05300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVRLSPPATAAAATAGAVLAAVALRRYLRTRSSMATSAHRRGSAGGITLVVSGKSTEDQHHLAAAGGALVLGEGDYVEDITVSLDSGAGAGFDAGAYMAALRASRFGRWMLWSPRLASTHDLVTQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMEDARKLPLMQYVVCLAMTEAIKELCCAKGLSELDVKIKWPNDLYLKGLKVGGILCTSSYQPKVYNICTGIGLNVDNEEPTTCLNAALKEMNANLPTLKREDILASFFNKFEVLFEIFTNEGFQALEEQYYNSWLHSGQKVVVQDGPEAQHADSVVTIQGLTPAGYLYAIGEDGKSYELHPDGNRVSAKEDGNVVLHEYIHR >ONIVA02G05290.1 pep chromosome:AWHD00000000:2:3391269:3391946:1 gene:ONIVA02G05290 transcript:ONIVA02G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIGMASPAESPGGGGAARPSRYESQKRRDWQTFGQYLRNHRPPLELSRCSGAHVLEFLRYLDQFGKTKVHAHGCPFGARAVRLYLRDIRDTQSKARGIAYEKKRRKRAAASHTKQKQQQQLVEQAVAPPAAAAAAAALPDMETTTTTTTVPHFLFPAHFLHGHYFLAPAGEQPGGGDVAASTGGAAGAPSGGGGEDLVLAMAAAAAAAEAHAAGCMMPLSVFN >ONIVA02G05280.1 pep chromosome:AWHD00000000:2:3376352:3376613:1 gene:ONIVA02G05280 transcript:ONIVA02G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLLVFVLVAAFSFVIGTAATTAPAPPWPAAAFAAYLFWVVASAAVALFVRRPAA >ONIVA02G05270.1 pep chromosome:AWHD00000000:2:3367487:3368413:1 gene:ONIVA02G05270 transcript:ONIVA02G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNSDTGGRQVYLRMEPAGARVAGRRPSGVLLMLYAAGGILILNSTAPVMNSHVLAIMGFYHAMWLLGCAIFFAFLG >ONIVA02G05260.1 pep chromosome:AWHD00000000:2:3362293:3362814:1 gene:ONIVA02G05260 transcript:ONIVA02G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAIAALAGDGHGVEVDDVEVGRSCVAVAPPPPPAEEEEKKEGAVGTTSSTAALAVAAAAAVELTNLFFFLHGAGDGRAVAPRRRGRRRCCEMGVAPVELVEAATVILALAAFLSAAGLLLLWHAAGDLGGHHRIVSPACSTAVLVASTAALFLASLGTVVLLLNNYQTVMY >ONIVA02G05250.1 pep chromosome:AWHD00000000:2:3360141:3360731:-1 gene:ONIVA02G05250 transcript:ONIVA02G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMLQQLGMGNLVCKLRKQVDASDAKPNQSRLQLTYQLARGLLESGDLTDGEKRLIHGGGGDNDLSKKKKKSSGLKLDGYDRHGRRYGPMRFGRVGSVDGKNGNGLYRLKSFGRFVAANGLRAGHTVVAWVFRLPPPPPPEEEEEEDAPARLAVMLLDYPSCDPEMVEAMVEWEDEASKKFGAASGIVKISNAGN >ONIVA02G05240.1 pep chromosome:AWHD00000000:2:3354626:3355016:1 gene:ONIVA02G05240 transcript:ONIVA02G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGNAYGGAPLVELERGGDAAAEYAAAAAVDPIPISRSRSIRAAVILLTWGAASFIVGAVPDLSIPTAHVMLSFAFLIAGVAMLTLAMAAPGSPAAATLETWITAII >ONIVA02G05230.1 pep chromosome:AWHD00000000:2:3350171:3351349:-1 gene:ONIVA02G05230 transcript:ONIVA02G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTLHSIHLLYYPTTWYQSGRPRAAAAHASARRPREGIDLPGDGSISVSSAAAFFIVSSSSTRVKQQQSALAADLVAPFLVVLYHRRRHPRVPTVPHRPPPRPAPSPGPQVVANTPPSPTSTTPPATVDAATADVDHGRCGVIGAAQGTGDPSLPAPPAFARRPLLLHVAITCDCVPGYNNFYNQSSSSMRLCHQASGCRCVALLGP >ONIVA02G05220.1 pep chromosome:AWHD00000000:2:3349374:3349806:-1 gene:ONIVA02G05220 transcript:ONIVA02G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRQRRRIFLNYTSLFSGNCVLLRQFSLYIVLTPRPSRKPSLLVFSDIGGPLPRPRATQLRAFVPSCPRVWQTRRDVSSFTVRLHRLFGVIYLNDCRDRVTVIVLRVLAYLGPRRPPVHPRPLYGAPCATQRLSYLDIDS >ONIVA02G05210.1 pep chromosome:AWHD00000000:2:3342029:3342328:1 gene:ONIVA02G05210 transcript:ONIVA02G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDHYDRGHLPELGGGAPFLFRSRTIGVGAIFLMWGASAIVLGAVPEPAIPIAHMLLSFAFLMAGVALLTLSVAAPRCAMAARAAATLENWLTALI >ONIVA02G05200.1 pep chromosome:AWHD00000000:2:3334553:3335764:1 gene:ONIVA02G05200 transcript:ONIVA02G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASTMPSPPPPAVSFGWLAPTRVSPPPALPAVAVAAEQAVSKEFIDFEFSLGGSATMLPADELFADGKLLPLRPHPVAAEKAVAMEAEKAVPELVKAVRPAVAVAAEVFDPYVFSPKAPTCSSRWRELLRLKKVQTPTKPSASTSASPSTATAATPSRSSNSSAARSLKLLLLQRNGGRASGAAASDLSVAPLLRDSSDSEASLSLASSRFSLSSSSSSSCHEHDDFPRHSLDSVDPTPRPRLRLVRSQPQPHPPAAAAAAVAKPRAAIQSPARRRPSPPPPPPPQVASVDSPRMNSSGKIVFQGLERSSSSPAGSIHSSLRSRSRVMDRSYSAGVRAATPVVLNVPVCSRPVFGFFKDRKDAAAKDSSASRPRSALGRKTAPHAGNGGGASRDLGTSN >ONIVA02G05190.1 pep chromosome:AWHD00000000:2:3315422:3322213:-1 gene:ONIVA02G05190 transcript:ONIVA02G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARLPPGFRFHPRDDELVVDYLSGKLRSGDGGAASGGGAAGAGCPTPTLIDVDLNKCEPWDLPEIACIGGKEWYFYNLKDRKYARGQRTNRATESGYWKATGKDREITRKGSLVGMRKTLVFYRGRAPKGERTDWVMHEFRQELDHANHHHHLKEGWVLCRVFYKSRTEAVAAPTMESTLPPRYINGGTSRSPLPPLVDSSISFNHGGYEEVLPCFSSSHHQQPSPASMNASAAADDDQDYHHLSEGQRHYSDKKLMRDVQNDQVTTRFDGHLAVKREMSLKKKDLSEDEQAAPNADAGGFSILLKYSVSKMTSLMKSIQRNISTLQEFLNQKKEAILEKVEIFTKLLLPSRLGSAVFQLCLEHLIKNHKVGISWDGIWELSDWEVADNEVVLKMVGQCSAPADSKSKDLKRLFDLLRPYYDQEGKDPCHTLKI >ONIVA02G05170.1 pep chromosome:AWHD00000000:2:3290619:3295768:1 gene:ONIVA02G05170 transcript:ONIVA02G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCAIASRVRPAEPPPPRRARAAPRVRLADRWAPRGQRGHHVVNGLTGQPILLLLFFLFFFFFFLLPFPDSHCGEVKYGHLVRYNPSPPPLRSCHSPTNSSPERLLSRSFELLGLALLGFLLGWDYQRRATRQQSSQHNDDPSGDMNITKFTYKELSRVTENFSPSNKIGEGGFGSVYKGKLRNGKLVAVKVLSLESRQGAKEFLNELMAISNVSHENLVKLYGYCVEGNQRILVYNYLENNSLAQTLLGYGHSNIQFNWATRVNICVGIARGLTYLHEVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTRLPYEDQILLERTWVHYEEGDLEKIIDASLGDDLDVAQACMFLKIGLLCTQDVTKHRPTMSMVVRMLTGEMDVELAKISKPAIISDFMDLKEHEERSGHSFILNIHIAIFHHGTLFSFVVARDNRSLHDIHRDIRSQNGLDGVQ >ONIVA02G05170.2 pep chromosome:AWHD00000000:2:3290619:3295768:1 gene:ONIVA02G05170 transcript:ONIVA02G05170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCAIASRVRPAEPPPPRRARAAPRVRLADRWAPRGQRGHHVVNGLTGQPILLLLFFLFFFFFFLLPFPDSHCGEVKYGHLVRYNPSPPPLRSCHSPTNSSPERLLSRSFELLGLALLGFLLGWDYQRRATRQQSSQHNDDPSGDMNITKFTYKELSRVTENFSPSNKIGEGGFGSVYKGKLRNGKLVAVKVLSLESRQGAKEFLNELMAISNVSHENLVKLYGYCVEGNQRILVYNYLENNSLAQTLLGYGHSNIQFNWATRVNICVGIARGLTYLHEVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTRLPYEDQILLERFPEITNGVLLLQTWVHYEEGDLEKIIDASLGDDLDVAQACMFLKIGLLCTQDVTKHRPTMSMVVRMLTGEMDVELAKISKPAIISDFMDLKEHEERSGHSFILNIHIAIFHHGTLFSFVVARDNRSLHDIHRDIRSQNGLDGVQ >ONIVA02G05170.3 pep chromosome:AWHD00000000:2:3290619:3298530:1 gene:ONIVA02G05170 transcript:ONIVA02G05170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCAIASRVRPAEPPPPRRARAAPRVRLADRWAPRGQRGHHVVNGLTGQPILLLLFFLFFFFFFLLPFPDSHCGEVKYGHLVRYNPSPPPLRSCHSPTNSSPERLLSRSFELLGLALLGFLLGWDYQRRATRQQSSQHNDDPSGDMNITKFTYKELSRVTENFSPSNKIGEGGFGSVYKGKLRNGKLVAVKVLSLESRQGAKEFLNELMAISNVSHENLVKLYGYCVEGNQRILVYNYLENNSLAQTLLGYGHSNIQFNWATRVNICVGIARGLTYLHEVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTRLPYEDQILLERFPEITNGVLLLQTWVHYEEGDLEKIIDASLGDDLDVAQACMFLKIGLLCTQDVTKHRPTMSMVVRMLTGEMDVELAKISKPAIISDFMDLKVRSMRKEVDIVSSSTSTLLSSIMAHSSPLLSQETTEASMTFTAISDRE >ONIVA02G05170.4 pep chromosome:AWHD00000000:2:3290619:3298530:1 gene:ONIVA02G05170 transcript:ONIVA02G05170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCAIASRVRPAEPPPPRRARAAPRVRLADRWAPRGQRGHHVVNGLTGQPILLLLFFLFFFFFFLLPFPDSHCGEVKYGHLVRYNPSPPPLRSCHSPTNSSPERLLSRSFELLGLALLGFLLGWDYQRRATRQQSSQHNDDPSGDMNITKFTYKELSRVTENFSPSNKIGEGGFGSVYKGKLRNGKLVAVKVLSLESRQGAKEFLNELMAISNVSHENLVKLYGYCVEGNQRILVYNYLENNSLAQTLLGYGHSNIQFNWATRVNICVGIARGLTYLHEVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTRLPYEDQILLERTWVHYEEGDLEKIIDASLGDDLDVAQACMFLKIGLLCTQDVTKHRPTMSMVVRMLTGEMDVELAKISKPAIISDFMDLKVRSMRKEVDIVSSSTSTLLSSIMAHSSPLLSQETTEASMTFTAISDRE >ONIVA02G05160.1 pep chromosome:AWHD00000000:2:3281348:3286449:-1 gene:ONIVA02G05160 transcript:ONIVA02G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGGAAAAAAAAESSNRAGEDAKSDGVSCQNSRRPNLSLQIPNRAFDDTLPTSTRIKISPSPNSARSGLPPRPNSTRTKSSIRGIIPQRSFKAKSSLQDGDQTILLIPDTPSSSGQQVKATTSRSFSFTKVINSLSAKRTHSLPVTPVAASGPSSHEGHADNLPSTVKNEVETQIRRSLSAPGNHDSKDLRRTASSGLIRVIPTTPRPVPVETVASNDGIEEAVDAPEDGGEDIPEEDAVCRICLVELNEGGETLKLECSCKGELALAHQECAIKWFSIKGNKTCDVCRQEVQNLPVTLLRIQIRTVNRQPRNGVQQRAAHPHRFWKETPVLVMVSTLAYFCFLEQLLVADMKSRALAISLPFSCLLGIFSSILASTMATDNYLWAFATFQFAFLILFAHIFYNLLKMGAVLAILLASFTGFGIAISLNAMLIEYLRWRLLRNQRLTQRRNHRHGQSGNNASNENTASSKAAEAINSLQNMAEDDPSVVLQLLNSADSKSKVLMNEWNKGAAGSLNCISNFDEIRDMVKIWKPDEAWLASRVMSSWWSPAAAVLLWRRLRGTANSGDPSFLRSGEVTHPRSLNP >ONIVA02G05160.2 pep chromosome:AWHD00000000:2:3281348:3286449:-1 gene:ONIVA02G05160 transcript:ONIVA02G05160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGGAAAAAAAAESSNRAGEDAKSDGVSCQNSRRPNLSLQIPNRAFDDTLPTSTRIKISPSPNSARSGLPPRPNSTRTKSSIRGIIPQRSFKAKSSLQDGDQTILLIPDTPSSSGQQVKATTSRSFSFTKVINSLSAKRTHSLPVTPVAASGPSSHEGHADNLPSTVKNEVETQIRRSLSAPGNHDSKDLRRTASSGLIRVIPTTPRPVPVETVASNDGIEEAVDAPEDGGEDIPEEDAVCRICLVELNEGGETLKLECSCKGELALAHQECAIKWFSIKGNKTCDVCRQEVQNLPVTLLRIQIRTVNRQPRNGVQQRAAHPHRFWKETPVLVMVSTLAYFCFLEQLLVADMKSRALAISLPFSCLLGIFSSILASTMATDNYLWAFATFQFAFLILFAHIFYNLLKMGAVLAILLASFTGFGIAISLNAMLIEYLRWRLLRNQRLTQRRNHRHGQSGNNASNENTASSKAAEAINSLQNMAEDDPSVVLQLLNSADSKSKVLMNEWNKGAAGSLNCISNFDEIRDMVKMVMSSWWSPAAAVLLWRRLRGTANSGDPSFLRSGEVTHPRSLNP >ONIVA02G05160.3 pep chromosome:AWHD00000000:2:3281348:3286449:-1 gene:ONIVA02G05160 transcript:ONIVA02G05160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGGAAAAAAAAESSNRAGEDAKSDGVSCQNSRRPNLSLQIPNRAFDDTLPTSTRIKISPSPNSARSGLPPRPNSTRTKSSIRGIIPQRSFKAKSSLQDGDQTILLIPDTPSSSGQQVKATTSRSFSFTKVINSLSAKRTHSLPVTPVAASGPSSHEGHADNLPSTVKNEVETQIRRSLSAPGNHDSKDLRRTASSGLIRVIPTTPRPVPVETVASNDGIEEAVDAPEDGGEDIPEEDAVCRICLVELNEGGETLKLECSCKGELALAHQECAIKWFSIKGNKTCDVCRQEVQNLPVTLLRIQIRTVNRQPRNGVQQRAAHPHRFWKETPVLVMVSTLAYFCFLEQLLVADMKSRALAISLPFSCLLGIFSSILASTMATDNYLWAFATFQFAFLILFAHIFYNLLKMGAVLAILLASFTGFGIAISLNAMLIEYLRWRLLRNQRLTQRRNHRHGQSGNNASNENTANSKSKVLMNEWNKGAAGSLNCISNFDEIRDMVKIWKPDEAWLASRVMSSWWSPAAAVLLWRRLRGTANSGDPSFLRSGEVTHPRSLNP >ONIVA02G05150.1 pep chromosome:AWHD00000000:2:3273204:3280406:1 gene:ONIVA02G05150 transcript:ONIVA02G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0G1S6] MKLSPSAGGVSDQPPSPPEVAEEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPFLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELMAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDSNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWMNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLYGGKEDDLASSLMWLRDSQNTGFQSLNFGGLGMSPWMQPRLDSSLLGLQPDMYQTIAAAAALQNTTKQVSPAMLQFQQPQNIVGRSSLLSSQILQQAQPQFQQMYHQNINGNSIQGHSQPEYLQQPLQHCQSFNEQKPQLQPQQQQQESHQQQPQHQQMQQQKHLSNFQTVPNALSVFSQLSSTPQSTPSTLQTVSPFSQQHNFPDTNISCLSPSNVSSMHDTLRSFPSEAASDLPGVPRITPVPVSDPWSSKRVAVESTITSRPHDISSQIENFDLTPSSIPQNSTLAPLPGRECLVDQDGSSDPQNHFLFGVNIDSQSLLMQDGIPSLHNENSSSTIPYSTSNFLSPSQDDYPLSQTLTTPGCLDESGYVPCSDNADQVKRPPATFVKVYKSGTVGRLLDITRFSSYHELRSEVGRLFGLEGQLEDPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPGIELFSTSTRRLGNSCDNYMSRQESRSLSTGIASVGSVEF >ONIVA02G05140.1 pep chromosome:AWHD00000000:2:3257030:3260775:1 gene:ONIVA02G05140 transcript:ONIVA02G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT1G50670) TAIR;Acc:AT1G50670] MEGVVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAAAVASDPAKYSEVFLGKPNEAYCAWILDSEKWGGAIELSILSEYYGREIAAYDIQTTRCDLYGQEKNYTERTMLIYDGLHYDALAMSPFEGAPEEFDQTIFPVDHKRSIGPVEGLALNFVKDEQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >ONIVA02G05140.2 pep chromosome:AWHD00000000:2:3257304:3260775:1 gene:ONIVA02G05140 transcript:ONIVA02G05140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT1G50670) TAIR;Acc:AT1G50670] MEGVVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAAAVASDPAKYSEVFLGKPNEAYCAWILDSEKWGGAIELSILSEYYGREIAAYDIQTTRCDLYGQEKNYTERTMLIYDGLHYDALAMSPFEGAPEEFDQTIFPVDHKRSIGPVEGLALNFVKDEQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >ONIVA02G05130.1 pep chromosome:AWHD00000000:2:3247153:3255601:1 gene:ONIVA02G05130 transcript:ONIVA02G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDNAAITSVTNAATSAIRKTLRPADDTVSADDRAAAEALADATSAAAVKAVQDAISALVVSSSATANTASSPSSPTTVTVDASALRAALGVPQPDAPSSAGAPVDRPEPDLSSLFAALAFAISMAPPPSPPHAAALPAWAAENALFRRHRRLRPLLLPAASLRAFAPLLSHCIVSGLARNPFVASRLLVASSRLSLRFSLLLLSHLPASSLSPFSFNSLIRASPPRLALQLFDQMRHRGVTTDRYTLPFLIHACSSGDRPLCESLHGQSLRLGYSSVLFTQTALMNAYFACRFEVAARRVFDEMQAKDVVAWTGMVSGYVDSGMFLRGVEVFQEMRSCEEAVRPNVATVVSVASACAGLGSLEYAKGLHAYVEKVGLEGELIVKNSLIDMYGKCGSIELARGLFGLMPQKDLHSWTAMISGLASHGHGKEAVALFFSMKEAGVLPDSTTFVVVLSACSHAGLVDEGISIFNSMENEYKVTPDIKHYGCMVDLFSRAGLIARGYQFIESMPFEPNLAILGALLSACSINNEFDIGELVIKRIESVCSDKGGAGVLLSNIYANQNLWHEVDSLRRKIRDDTICRKPPGQSGFNTSTLNVASLIIGQQSVWGPDDVSESLFAPARPTHMPLTKEIKGGMSAAERRRILLFTGK >ONIVA02G05120.1 pep chromosome:AWHD00000000:2:3245913:3246770:1 gene:ONIVA02G05120 transcript:ONIVA02G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAEMDVGGGEGRRKRTRGRQRIEMKLIENKEARQVCFSKRREGVFKKASELSVLCGARVAVVFFSPAGRPHCFGHPSVPAVADRFLLGRSPTVAAAAAAEEEEAVVREFNRVEERLKDALGAAARRRDALDEAARVAGVWNDADVRRAGLADLVSMLAALQRVQAEASERVHDIIVEEAMTHYTGAAAAAANLIDYLDAGPFVSHSPGSHDTTTKLIGGNAVHAPPLSFPPMIMPPPLPPQFSHEFGYTDLAAGYGYNLDHGHGAACETQEIHNAAACDFF >ONIVA02G05110.1 pep chromosome:AWHD00000000:2:3244721:3245101:1 gene:ONIVA02G05110 transcript:ONIVA02G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRLHRAAQREEERMHRFLRPGALARLRDSRIVARWLRSAAAATRLLPTSLPPAPTPPQVVAEQQQGGAPHFVVPARGLGGAGRYPLRRRMCAARSVVFLPPPAADAFFAAFAAAPPPPADLVS >ONIVA02G05100.1 pep chromosome:AWHD00000000:2:3239847:3244260:1 gene:ONIVA02G05100 transcript:ONIVA02G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G1S0] MSWRKGGGGDGGVSRRWAVLLCLGSFCLGLLFTNRMWTLPEANEIARPSGNGDEGNTLVAAECGPKKVQHPDYKDILRVQDTHHGVQQKTGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHGDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSNHALKPRVYIGCMKSGPVLTEKGVRYYEPEHWKFGEPGNKYFRHATGQLYAISKDLATYISINRHVLHKYINEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >ONIVA02G05090.1 pep chromosome:AWHD00000000:2:3228284:3236613:-1 gene:ONIVA02G05090 transcript:ONIVA02G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQECHKHTSLNLSDPNPSIFTRSEKKFPVGEETQAEAEAETPLLTIFSPPAENLLSAPVMPLICKRKMPRRTIDISSEESEGNSINSESDTCTGSDEDYHTDEVLEALNISGFDNMLHELYSKKGIKKKGAHNETKLDKMLYALFRKDVIQKMKKELVSTSKNVRHKPKIQKGKAASKTDTSFIRFSAKYFAEVVSKLPEEKKIVIKNFGFHSLLLFDSSFIPNKFATWIVKKVDLKTSEIVLGDKVIPVNKEAVHAILDLPIGGSEFGKNYEHGRQFILSKFGKTVMPSVKFFGDQLINNEDMTDDSIIISFLIVALATFLCPNSSTCPSIKYLDIFEDVDMLHSFDWSSFVYDWLMSYVKKFQKTNSFGGCLYIWAVLHLDHRDFGSKNVPKDVPRIAVWKQDMVKIYSDLDEIDDENYGPRPLKDFSDTCYYEILIDASANHFVRAAYNNASAENRTDTPTENAIPKSCGKDYPSSDRNADIDIDANLDQGHGEHLTNDLADNNVIDAPNIVHSDGSLNCCCEGQAFCTPGIVCPPNSKSCGSESIKEPPALLTKIAMEFKSRLAEFNNRDNRGHIYDEDKLAFDIFHEYNIGENVCTPDTLKSHTHGKENEAPANPATFVGPDYMTPPLGTRTRLNNNTYRRHANLNPSQIGMKRTFQDLTNSPNDICNTTKQMTNSSHASIPRINNSGLSSSGGKVPLYGPRRIIHPAKHRSDPFVCPRRRFVVSDNAHRYYNAICSLSDSEYQDEDAVNIDNVRISFCNFGNSLKKGGDVNGFVISAFCRSLFHKNHPSKSKKNYFFPSIGDMLISHLNSKELAKIEKSFQGAAMISNLQNAWDEAEESAMDFNSFEIFFPPVPREENNADSGIFVMKSIELWSPRSLLCNEFDKSDIDIIRIQLANKIFFNEKNKMLQTETEHLVQSWASKGNLSCAGKRDQV >ONIVA02G05090.2 pep chromosome:AWHD00000000:2:3228284:3236613:-1 gene:ONIVA02G05090 transcript:ONIVA02G05090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQECHKHTSLNLSDPNPSIFTRSEKKFPVGEETQAEAEAETPLLTIFSPPAENLLSAPVMPLICKRKMPRRTIDISSEESEGNSINSESDTCTGSDEDYHTDEVLEALNISGFDNMLHELYSKKGIKKKGAHNETKLDKMLYALFRKDVIQKMKKELVSTSKNVRHKPKIQKGKAASKTDTSFIRFSAKYFAEVVSKLPEEKKIVIKNFGFHSLLLFDSSFIPNKFATWIVKKVDLKTSEIVLGDKVIPVNKEAVHAILDLPIGGSEFGKNYEHGRQFILSKFGKTVMPSVKFFGDQLINNEDMTDDSIIISFLIVALATFLCPNSSTCPSIKYLDIFEDVDMLHSFDWSSFVYDWLMSYVKKFQKTNSFGGCLYIWAVLHLDHRDFGSKNVPKDVPRIAVWKQDMVKIYSDLDEIDDENYGPRPLKDFSDTCYYEILIDASANHFVRAAYNNASAENRTDTPTENAIPKSCGKDYPSSDRNADIDIDANLDQGHGEHLTNDLADNNVIDAPNIVHSDGSLNCCCEGQAFCTPGIPATFVGPDYMTPPLGTRTRLNNNTYRRHANLNPSQIGMKRTFQDLTNSPNDICNTTKQMTNSSHASIPRINNSGLSSSGGKVPLYGPRRIIHPAKHRSDPFVCPRRRFVVSDNAHRYYNAICSLSDSEYQDEDAVNIDNVRISFCNFGNSLKKGGDVNGFVISAFCRSLFHKNHPSKSKKNYFFPSIGDMLISHLNSKELAKIEKSFQGAAMISNLQNAWDEAEESAMDFNSFEIFFPPVPREENNADSGIFVMKSIELWSPRSLLCNEFDKSDIDIIRIQLANKIFFNEKNKMLQTETEHLVQSWASKGNLSCAGKRDQV >ONIVA02G05080.1 pep chromosome:AWHD00000000:2:3211829:3219918:-1 gene:ONIVA02G05080 transcript:ONIVA02G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKKQEDHSIVASGHNMADSDEPSVTAVGSERCVVNSAPDEPTENVSILDEMRNIPLSTSSISKKLRDAQPQNCYTRSRVSSLRRSRSSLNTDTRKAQDSGKLSGGTCLASVDLAADGTKEGSSHHVYVEDVKGNSGSPSTQDDVGLHSSAGIDNQPGTPGTSNNNKKLNYTTKVDSTCDSETSQNGALETEFKSHDASSIPMKKSVIFKRKRKPSRNIFSHSTDKDDEFQAELSEKTADSPNPKTEVNKSDGDEHLPLVKRARVRMGRPQLEDSPVDGIDVTNNRPELAMLADLCNMHNTVALPDDDCSVDQSAVVNSVSLTGRVANTVSNQSSKLYMPGPSGEGQSAWKNKEYQPKVLTLDVEAALPPSKRLHRALEAMSANVAETNNLPEVTGSKQLIPNGFVASENSHSNKSADAVVTATNGSAIAESPRPSLCTESMHSPKCKTHSSESILQNNSVPASASVPSEAKDDSHVTEGNICEETHVDSKTTDCLLVSSEVGNDDCGKGLALSMKLNESALGGTQTVAVPDRLSSSLGTASVSEVAKPINFNEGPKPVDRPAYDTDRSVQRCDEPIYRPKLLSSNNNAISDSVLHNETVVAETVVNVADTASTSSLATKSSSIQSDADTRTFEVHTFSALALKELNHRNLKDKSTSPDSMPMKELIAVAQARRFSRSTSFPDNFLNAKYIPETVVDTPAFKEGSQKQLSPLNRIIRSTSTNDNIHSRSPFDSQQQKNLSKLTGHDEANAARKAFGSFLGTLTRTKENIARATRLAIECAKHGIAGEAIDIIVERLEKESNLYKRVDLFFLVDSITQYSRNQKGGAGDVYPSLIQAVLPRLLYAAAPPGNSAWENRRQCLKAGTFSSLIELVLKLWLERKTLPEYIIRHHIRELEVINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFQLPNLISTKVLEDEDGSSSEDRSFEAVTPEHDAPCTDEKEESQMPAEKHHRILEEVDGELEMEDVAPPSDVEASTRCRPEQIDTKCRTSDRHTLGPPLPDDRPPSPPPLPSSPPPVPPPPPAPITQTGQLQRTLPMASDPVGPHPTRATNNIQTQQPNSVVERPGSMNPSVAQLQPPPFCNSGYGGHPNQMPPPPPIAPLNPPGPHGNFPAPPAPYHGNNYHQPPMASVPNEGYHMQPPPPPPPINQCPYRPPEPQQRPRPWSNNSSSYPERYRYDGHDRDHHRHDRRHHGHDRRHHFDDRGYHYDDRGYHYDDRGHYFDDRGHHFDDRGCSFDERAIRGPMHHEVADRGRFPFPPGPPGPDHFEASSAAPVHYGRPSDPPPGPCSGWSMPPRSSNYSPSRHSMEPPVPHVGGSMLLSAGHFEMLSLMLSDSSVWFLHMKTHQKGGR >ONIVA02G05070.1 pep chromosome:AWHD00000000:2:3207718:3207945:-1 gene:ONIVA02G05070 transcript:ONIVA02G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVGKDESVNRLKAVVQKLKDIRASSGRLMQAAGLTKPGSGESSSTLLTSDGPVITGSILEDAEVFGRDKGHEQ >ONIVA02G05060.1 pep chromosome:AWHD00000000:2:3204137:3204967:-1 gene:ONIVA02G05060 transcript:ONIVA02G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALQAAAIAAVLFLLPSLFAAVAAQSKAKAFCISQFAIASQACSILPPSPPDEHDDDDDDDDDDDDDDDDDDDDDDDDDHDDDDDHGGGGDDDDHGDDHGGGDHHRVRRRHRHHRDRGRRGHAAVVDLSALVAGNGSGSTQIAPAVAGNDTAGHRGNRTARGGRGGGRGRRRGHGRRGRLRGDDDDEGGGGDDDDHHDDDDDHDDDDHEHDHDEHHDEELRAYRDCCRWLQEVSKDCVCDALMRLPPFLVKPQHTYVVRVGRTCKITYRCGGV >ONIVA02G05050.1 pep chromosome:AWHD00000000:2:3203257:3204985:1 gene:ONIVA02G05050 transcript:ONIVA02G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHATASCRPVRARAGLLNHARVVAPARSAGSRDDDDDDASNDEWRQPHQRVAHAVLGHLLQPPAAVAVRPQLLVVVLVVVVLVVVVVVVVVVVMVVIVVAAAAFVVVVTAKTAAPAMAAAPSAASAAAATGSAVAAVARGVVPGYGGCDLRAAAAVARHERREVDHGGVATAPAVAVVAVAAAHTVVIPAAVVVAVVVVVTTTTVVVVVVVVIIVVVVVVVIIVVVVVVVVVVVVVVLVGGTRREDGARLAGDGELADAEGLGLGLCRDGREEGREEEEDGGDRRRLKRRRRHGRLAS >ONIVA02G05050.2 pep chromosome:AWHD00000000:2:3202780:3203605:1 gene:ONIVA02G05050 transcript:ONIVA02G05050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRARAPCPRVGTPQYVSWARSGVYHPCRCAAASTGEEIKERSGALMQRRREGERAGAPPPSPPRWTPAPHRPAWYAPLRFHGDETTSSHARTRAAG >ONIVA02G05040.1 pep chromosome:AWHD00000000:2:3199480:3199689:1 gene:ONIVA02G05040 transcript:ONIVA02G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQWLARQAAARVVTQHARSGSGSGLAGAVRGYNNVSRRTQLLLVVPVVQPTNRRQGRWRRRHRGSGK >ONIVA02G05030.1 pep chromosome:AWHD00000000:2:3191337:3191681:1 gene:ONIVA02G05030 transcript:ONIVA02G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVMMMQRLARQAAHAVTQRARNQTAATARGYHHAGVGAAGGKNVTPAAAAARRHPDVVVTIEEAAGAGPRPSSTSQEDAARRLRWLLYEASFWRGCSVYFAGVAAARVMAR >ONIVA02G05020.1 pep chromosome:AWHD00000000:2:3189222:3190199:1 gene:ONIVA02G05020 transcript:ONIVA02G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHVGGVIGQSPKPKVVFVLGATATGKSKLAISIAERFGGEVINSDKIQVHDGFPIITNKVTEEERAGVPHHLLGVLHPDADFTAEDFRREAAAAVARVLAAGRLPVVAGGSNTYVEALVEGGGGAFRAAHDCLFLWTDVAPGLLRWYTAARVDDMVRRGLVGEARAGFVDGAGAADYYTRGVRRAIGIPEMHGYLLAERSGGEAADDGELAAMLDGAVREIKANTYRLAATQVAKIRRLSALDGWDVRRVDATVVVARMAEGAPHRETWEAVVWKPCEEMVGRFLEASAAVDDDDNAASGSPAALAPMTAACRLRAQLVQLQY >ONIVA02G05010.1 pep chromosome:AWHD00000000:2:3180029:3180645:-1 gene:ONIVA02G05010 transcript:ONIVA02G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETPPLALTLTELSMRIEEMGGGRFDGQCSLVVLKEALGCCHRSRNLEEEIQTPPLRRNG >ONIVA02G05000.1 pep chromosome:AWHD00000000:2:3167561:3179447:1 gene:ONIVA02G05000 transcript:ONIVA02G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKPVLDPAFQGVGQKPGTEIWRIQDFKPVPLPKADYGKFYNGDSYIVLQTTCSKGGGAYLFDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGAEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDAVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVREPHLYTFSLRNG >ONIVA02G05000.2 pep chromosome:AWHD00000000:2:3167481:3179447:1 gene:ONIVA02G05000 transcript:ONIVA02G05000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFVSQEGEPFKFPSRKTPPHFLRYSLVPLLSPTVQGPQGGHRPRLDAALATLALKTGSALCQIYPAASPLSPLFRRPPRSPPPLPLPLPSASDRIPSSSSSSPSPVCKFLLFCGGAIFHYPKYGGFKILSQFLCQKQITTTCSKGGGAYLFDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGAEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDAVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVTEIFNFSQDDLLTEDMMVLDTHGEVFVWMGQCVDAKEKQKAFEIGQKYAEHAAAFESLSPDVPLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSSQKNKGNDRPKSSDGGPTQRASAMAALTSAFNPSAKPKSPPQRAGQGSQRAAAVAALSNVLTAEGSSQSPRIGRSSPMAGDADTAELTPSAASPLSEGASEFSADKDAPGDGALSEGGRTEPDVSVEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >ONIVA02G05000.3 pep chromosome:AWHD00000000:2:3167481:3179447:1 gene:ONIVA02G05000 transcript:ONIVA02G05000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKPVLDPAFQGVGQKPGTEIWRIQDFKPVPLPKADYGKFYNGDSYIVLQTTCSKGGGAYLFDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGAEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDAVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVTEIFNFSQDDLLTEDMMVLDTHGEVFVWMGQCVDAKEKQKAFEIGQKYAEHAAAFESLSPDVPLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSSQKNKGNDRPKSSDGGPTQRASAMAALTSAFNPSAKPKSPPQRAGQGSQRAAAVAALSNVLTAEGSSQSPRIGRSSPMAGDADTAELTPSAASPLSEGASEFSADKDAPGDGALSEGGRTEPDVSVEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >ONIVA02G05000.4 pep chromosome:AWHD00000000:2:3167481:3179447:1 gene:ONIVA02G05000 transcript:ONIVA02G05000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKPVLDPAFQGVGQKPGTEIWRIQDFKPVPLPKADYGKFYNGDSYIVLQTTCSKGGGAYLFDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGAEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDAVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVTEIFNFSQDDLLTEDMMVLDTHGEVFVWMGQCVDAKEKQKAFEIGQKYAEHAAAFESLSPDVPLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSSQKNKGNDRPKSSDGGPTQRASAMAALTSAFNPSAKPKSPPQRAGQGSQRAAAVAALSNVLTAEGSSQSPRIGDADTAELTPSAASPLSEGASEFSADKDAPGDGALSEGGRTEPDVSVEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >ONIVA02G05000.5 pep chromosome:AWHD00000000:2:3169093:3179447:1 gene:ONIVA02G05000 transcript:ONIVA02G05000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKPVLDPAFQGVGQKPGTEIWRIQDFKPVPLPKADYGKFYNGDSYIVLQTTCSKGGGAYLFDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGAEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDAVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVTEIFNFSQDDLLTEDMMVLDTHGEVFVWMGQCVDAKEKQKAFEIGQKYAEHAAAFESLSPDVPLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSSQKNKGNDRPKSSDGGPTQRASAMAALTSAFNPSAKPKSPPQRAGQGSQRAAAVAALSNVLTAEGSSQSPRIGRSSPMAGDADTAELTPSAASPLSEGASEFSADKDAPGDGALSEGGRTEPDVSVEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >ONIVA02G04990.1 pep chromosome:AWHD00000000:2:3146111:3155273:-1 gene:ONIVA02G04990 transcript:ONIVA02G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-N-acetylglucosaminidase family / NAGLU family [Source:Projected from Arabidopsis thaliana (AT5G13690) TAIR;Acc:AT5G13690] MRPPTPLPFLLLLLLLLVLLLPSPPAAAAGGADGWEAIGAAAAGGGRRRVASPGEQEAAAAGLLRRLLPSHARSFRFQIVSKGGVCGGSSCFRISNADGSRRNGAEILIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKGTGVKIERPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKSFNVTDRDLDDFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLTLQKKILSRMIELGMVPVLPSFSGNVPSVFKKLFPSANITKLGDWNTVDGDPRWCCTYLLDPSDALFIDVGQAFIRQQMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGILDSIASGPIDARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVEDWLKIYSYRRYGQSNVEVEKAWGILYHTIYNCTDGIADHNKDYIVQFPDISPNSFSSDVSKRKAISEVKKHRRFVLSEVSASLPHPHLWYSTKEAIKALELFLNAGNDLSKSLTYRYDLVDLTRQSLSKLANEVYLDAMNAYRKKDSNGLNFYTKKFLELIVDIDTLLASDDNFLLGPWLEDAKSLARTENERKQYEWNARTQVTMWYDNTKTEQSKLHDYANKFWSGLLKSYYLPRASKYFSRLTKGLQENQSFQLEEWRKDWIAYSNEWQSGKELYAVKATGDALAISSSLFKKYFS >ONIVA02G04990.2 pep chromosome:AWHD00000000:2:3146111:3155273:-1 gene:ONIVA02G04990 transcript:ONIVA02G04990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-N-acetylglucosaminidase family / NAGLU family [Source:Projected from Arabidopsis thaliana (AT5G13690) TAIR;Acc:AT5G13690] MRPPTPLPFLLLLLLLLVLLLPSPPAAAAGGADGWEAIGAAAAGGGRRRVASPGEQEAAAAGLLRRLLPSHARSFRFQIVSKGGVCGGSSCFRISNADGSRRNGAEILIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKGTGVKIERPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKSFNVTDRDLDDFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLTLQKKILSRMIELGMVPVLPSFSGNVPFLCFRNTVDGDPRWCCTYLLDPSDALFIDVGQAFIRQQMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGILDSIASGPIDARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVEDWLKIYSYRRYGQSNVEVEKAWGILYHTIYNCTDGIADHNKDYIVQFPDISPNSFSSDVSKRKAISEVKKHRRFVLSEVSASLPHPHLWYSTKEAIKALELFLNAGNDLSKSLTYRYDLVDLTRQSLSKLANEVYLDAMNAYRKKDSNGLNFYTKKFLELIVDIDTLLASDDNFLLGPWLEDAKSLARTENERKQYEWNARTQVTMWYDNTKTEQSKLHDYANKFWSGLLKSYYLPRASKYFSRLTKGLQENQSFQLEEWRKDWIAYSNEWQSGKELYAVKATGDALAISSSLFKKYFS >ONIVA02G04990.3 pep chromosome:AWHD00000000:2:3146111:3155273:-1 gene:ONIVA02G04990 transcript:ONIVA02G04990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-N-acetylglucosaminidase family / NAGLU family [Source:Projected from Arabidopsis thaliana (AT5G13690) TAIR;Acc:AT5G13690] MRPPTPLPFLLLLLLLLVLLLPSPPAAAAGGADGWEAIGAAAAGGGRRRVASPGEQEAAAAGLLRRLLPSHARSFRFQIVSKGGVCGGSSCFRISNADGSRRNGAEILIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKGTGVKIERPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKMGWTTITKLAGSTIDTAEENIVPNTVDGDPRWCCTYLLDPSDALFIDVGQAFIRQQMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGILDSIASGPIDARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVEDWLKIYSYRRYGQSNVEVEKAWGILYHTIYNCTDGIADHNKDYIVQFPDISPNSFSSDVSKRKAISEVKKHRRFVLSEVSASLPHPHLWYSTKEAIKALELFLNAGNDLSKSLTYRYDLVDLTRQSLSKLANEVYLDAMNAYRKKDSNGLNFYTKKFLELIVDIDTLLASDDNFLLGPWLEDAKSLARTENERKQYEWNARTQVTMWYDNTKTEQSKLHDYANKFWSGLLKSYYLPRASKYFSRLTKGLQENQSFQLEEWRKDWIAYSNEWQSGKELYAVKATGDALAISSSLFKKYFS >ONIVA02G04980.1 pep chromosome:AWHD00000000:2:3139697:3143418:-1 gene:ONIVA02G04980 transcript:ONIVA02G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASPRLSSAPVAAATFVICCAASAAFVDASGRVAEKNALVIAATTGADDINRPFLVRLLSEIGLGVGVEDNCKRRSAKTRQEDWAGNRRGRQLRGGGRQRSICDTPILCANACNAGRRPDDPEAGLYSAWCRGDDSQVDPALYMAQGRATNVTRSSGDAYHGQREDVTRTSSLSHADAMPKSSKKHVPARRREPHAPLREASGLRPGFEPWLALCSLRPLGRVALRNFTINKVSLVGITSIGEISSPV >ONIVA02G04970.1 pep chromosome:AWHD00000000:2:3134941:3138651:-1 gene:ONIVA02G04970 transcript:ONIVA02G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGGGGGDGGVRGGPGRGEAAPYRPTGVVWPPPPPGSTPVTVRRPPPSTSPAPQAAASSSSTSTPDKLVRQKAMEIALAPPAAASPDGEALASDNLAQIKTALGGVCIETNHQDDKIRRFSVDKNGTRCCLDSSSESGPWYSKKLNNKKGVTGILSQWHKQTEQKAHEVVIYKRCTASGSAVTLFLIMMSLICTVRGSNHGTDCEIGEYSTSIAQVCQYGAPPAVCCDLLQSAVHACGNASVLCEIGRSVPITSRGFNVHDVMDWHRECTGQPESSSVDASSICYVSGERHKEDTKEEGHQVNILIETGNKECNARKSTSTNPMFIGLGIGFGSAMLFAFALVVIWLFVRRRSLGGPLVPQISLLAIAPHFSQGLPALPFQEHPALTLPCGPVPVPIPQEVTTVQPATTEYGQPVQPATNPMAYLASVVSYAVVDRLTSRRRR >ONIVA02G04960.1 pep chromosome:AWHD00000000:2:3128479:3128736:1 gene:ONIVA02G04960 transcript:ONIVA02G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLPFLLGGGGGNWKAAVLQSLLSFVVEQEKSVVVLLSNLVRFAMDKVTGTSTVATGEAEAWEDQDAGGKQQQSVIELARGF >ONIVA02G04950.1 pep chromosome:AWHD00000000:2:3119414:3123779:-1 gene:ONIVA02G04950 transcript:ONIVA02G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G1P7] MSARGSDGDLKAAAALATGVGCGAVEGRERVGREGNDVSHKRHVNATWNEDRVNTTTHLWANPTVLRFDFVREAYHAILRTFIAFITSTSSMLRVYDIVILKIQRPVSEAPESWNMAHLIGRDGDETMLSMRDDNLYVLGFANRSGDWHAHLFREDVTPLKINDNYGSLLGAGHRGSPLANRPC >ONIVA02G04940.1 pep chromosome:AWHD00000000:2:3105959:3106402:1 gene:ONIVA02G04940 transcript:ONIVA02G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGFEIASTTTFPATTQISFGAFDFLVDSAGKLRQVSPGVTGPVMACCYAPGTRFSFGSLDFIATSSGVLELAPCEPAPPTTTPTMPLGINNFAASGPSTAGRQHRHQHFSDCPTLMLPGASWQLQASTSPTFAGTRIPLGRLAR >ONIVA02G04930.1 pep chromosome:AWHD00000000:2:3103044:3104515:1 gene:ONIVA02G04930 transcript:ONIVA02G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEDPKLNMVDLLPDDVLADILRRLAPRPLAACRCVCKPWRAVVDGHRLLRADLLPLSLGGIFINFHEVLTSFFLRRPSARPPAAAISGKFEDFTPSAARSTVVDHCNGLLLLRSRYVVNPATQRWAAFPEPPPPRPGITRSFYHDEYLVFDPTVSPHYEVFLIPSVTPEEFVSKKLRPKVEESEWPPSPCFLSVFSSSTGQWEERSFVREGEAAGTIADMRSQPLLEQYNAVYWKRALYVHREANFVMRISPSKSKYQVIKLPIAQDGYVDPFLARSEKGVYLAVLDRCHLRVWILNESCEQMKWELKYDKEIQLSFQRWNYDEESVGPWTLHYSRRDVYDGDDTNYNAEVAERKFEWDSDSDDVLDLEDRVQRSSHGGFLILGFHPYKEVIFLDEGSSRGLAYHLGTSKAQDLGRLRPNFFDHWHVEGVNRSFVYTPCWIGELSNGI >ONIVA02G04910.1 pep chromosome:AWHD00000000:2:3095653:3097622:-1 gene:ONIVA02G04910 transcript:ONIVA02G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLRRLRSWRVSRGATSPCSTSAASMAAARTTNTLHRLLPLARAVHSSLPPSRYGDPRSPPQARRPSGEVELSKLEKTPSDRFDEIEAAIHANLVNDIEAYKSSLMADHGSVGIGFAKIDDRLKQAPSNAL >ONIVA02G04910.2 pep chromosome:AWHD00000000:2:3095653:3097622:-1 gene:ONIVA02G04910 transcript:ONIVA02G04910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLRRLRSWRVSRGATSPCSTSAASMAAARTTNTLHRLLPLARAVHSSLPPSRYGDPRSPPQARRPSGEVELSKLEKTPSDRFDEIEAAIHANLVNDIEAYKSSLMADHGFFERMLTSMGIKKSYTRDQTLWLCKLILIFFASGSVGIGFAKIDDRLKQAPSNAL >ONIVA02G04900.1 pep chromosome:AWHD00000000:2:3087043:3089923:-1 gene:ONIVA02G04900 transcript:ONIVA02G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFARSFLGCGGKAGRAGARRPRALSSEVRGGDLSQRKPAPGVHEAGSGCVHKDPYPPLSEAATKLNALLDEIKGKKLNSVPLVMVGKTISNFEIVRREVHFKNIGRSWAITAVLLGGYFTGYCMEEEKTRKKRQSLVN >ONIVA02G04890.1 pep chromosome:AWHD00000000:2:3082373:3085239:-1 gene:ONIVA02G04890 transcript:ONIVA02G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRRSSITHHATSAVASAAGAHRAFSPAMGGGIRPDLTNTEIDKLLLQKSAFNKAGIFSARGKITHANVEKGLVLSECLKILRREGEQQSWAKLWCKQIAAVVIFGVLFRSGEPEKHEPNASS >ONIVA02G04880.1 pep chromosome:AWHD00000000:2:3077900:3082509:1 gene:ONIVA02G04880 transcript:ONIVA02G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSRARGAVLRVLDGLKRRPPASGAADTMSPVRKIQMLEEELRPSGGEISRILQRARAHLERQEEKFDPSSQTPELFRNGAGWQLNTFFLCLFSSIIANYKYNKVD >ONIVA02G04870.1 pep chromosome:AWHD00000000:2:3074430:3076944:1 gene:ONIVA02G04870 transcript:ONIVA02G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVSALRRALSSSSSAAKTSSSLRRLLPAAPLRRGVLSSQPRPSRRKDSIELSRSEEGRRLAGRFDEIEDAVHAILVRDIESYRASTMADQGFVERRLTSLGFTKGYTRDQALWLSKLVLAFFSSWVVGTGFAKIDDSLHQVNS >ONIVA02G04860.1 pep chromosome:AWHD00000000:2:3058147:3058542:-1 gene:ONIVA02G04860 transcript:ONIVA02G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRRVENKMASNISRIRQDVSVIKSMIRRGIKLEGEKKMLNTSGKSVLVESLGLIPGLQEVAATFKVIDHINNPADGRSFVRTERFFKSKSHMVMSAAISYSVFYLARKTKSVSEVLNYDYGEFLHQMC >ONIVA02G04850.1 pep chromosome:AWHD00000000:2:3033413:3033853:1 gene:ONIVA02G04850 transcript:ONIVA02G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQAAARIMTEVAPPQLVSVMRRRKQVARSLDTIAEDDRELMHQAPYAGDGHHHGVKKQAAATSSASTFATPTLAFERQPPPAPAPAPASGFMRGLSKWFSNNGVHGQEGWPEISREGHRRAIYSQQAHIRGRATGLNSSSLTS >ONIVA02G04840.1 pep chromosome:AWHD00000000:2:3033121:3057469:-1 gene:ONIVA02G04840 transcript:ONIVA02G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSAAASSGVGGGGGGGGGGGDGGGGAGGSSSSAPDRLAAAPSPRVRFSDSGVEGVLNMLWQKYENAIDKAEKKESLQIFIMHFVQAFKEWEPQYTEQSVDQEPISDDTVLGCSRGHPSEIILILVQEVSQITSFITESSSCSESSPNISEQSSDLMLSSEGLNILECLTIVTRSVHNCRVFSYYGGVQKVTALLKAAVVKLKTLTSLLAADEQLSNKAIDNMKMMQKILVHIVTIISNFMNLEPTATRLTQFVNTTGKTLSNEFLATVTPISAKSAVHDTNWQQKAIVAVMEAGGVNWLVELLRVIRRLNLKEQWTDVLLHFITLHALRSTISQHARAQNHFRSIGGLEVLLDGLGLPSSKLSVSKYSFVPSDERSGILQLQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMLQGFHQQKALDSRVQNCKLEESTGISLTSESFASPIDILDTTEWTEYSVKLSIALCSFLLPPNEIRNSSGAVDSQVSLSISVAYCEQCARWIIKVLSTVFPCIKACASESELPNHIRILANTLQHYILCTFRKVLISVPALLKSFRAEGLWSLIFSDKFFYFGSSMEYIHHIVCDTQNNHFIDATESAGSKGLNQADVNILQAEAISFLEFAATINENTNNLPECSALLDVLERCTYDPGLAGTILKSFHVILQLATEQTISSFKSLDALTRVLKVACLQAQHLRKLSHPGDGLCGNVFQSENVQMSSSDDKIKSTIACVELAFNLFKEYTTISELGRILVLHNANCIECLFDLFQEENLRKNVLEQVLDLFRLPSASAQDHTAKLQLCSKYLEAFTRAKEKEDFAELSIDLLVNMREIIMIDRMYYQNLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTVLLAENDVSKNEDVIILFLNVLQKSSTALQHYGLVVLQQLLKGSITNRSSCFKAGFLSFLLDWFSVEETDDTVIKIAELIQIIGGHSICGKDIRKMFALLRDEKIGVKQKRNSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVESFLENSMMGLFSFFTEIGRAFSTGSQLRCYVDGGQISNQKCRWAKVNEVMTRCSIGTDLMPIGEEPTSLGFESTSAFVGQMGPVYAFSDALSSEQIKGIYNLGPSYMYSFLGDQNLLMNVDTLYKGILDGKDGISSKMIFGLNAQASNNRTLFNVSAALDGLDKSKFEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAVIHSREPAIGDELAGQVVELVASVLDGNVANQQQMHLLSGFSILGFLFQSVSPQLLNFKTLSSLKYMLNVLKNCGMSDVLLKDALSQIYLNPHIWAYASYEVQRELYLLLIQYFECDGKCLPMLCGLPRIIDIVLQFYSEKTDLRSSKTSLHPVTKKVIAERPNIEEIRKIRLLLLSLAEMSIKLKVSQHDITTLVSFFERSQDVACIEDVLHMIIRALSHNSLLSSFLEKVNPLGGCYIFINLLKREFEPIRLLGLQFLGELLVGVPSEKKGPKFFGLPVGRPRSISENLRKGMTAAPQLFFYSISERLFKFPLSDHLRATLFDVLLGGASPKQKRSQSEVSKDSAISSASLSPFAVPQILVCIFKYMQSCQDTLARTKILSDLLDLLDSNPSNVEALVEYGWSSWLETSVKLDVFRDYKSSSEAEDNTYETNELILVRNMYSLVLTYCLCSMKGGWHQLEDTTNFLLLKIEQFPSPGLSAQSSSDDSLIEDINTSVVEILNAEGSGQLTSFPWSNSTYTDGDKLSDDWWSFYDKIWTLLCNLNGRGQNRLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVTGGIGSALSGKANKNADKAMMLRGERFPRIIFHLIILYLCKAGLENLSKSLLRGRSQYGGLDDGARSHIMSPLIFEILVQGKSLLATNMLARDDSTEVNSNKDSGYVLKDSGYVLNFVQKDRVLAAAADEVKYMKDAKADRLRQLQELHSKIDESLIEDIEQLQSFEDDIQFAKSAAISVDDSRKAALQLAFDEDQQIIADKWIHIFRALSEERGPWSANPFPNSTVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPPSKSSNESNASSVNPSVSAKIPEKMKHLLLKGVRGITGDVSSEPCEDNNDMSEPSQNTLSETQGSSDAADSSGYSTSVQNRKEPVSTGGDDNYAAILSSVQCVLVTPKRKLAGQLTITQKALHFSFEFLVEGTGGTSVFNRYQEKDSDPKNDLGGAEKLKGSLDGGRGNATESGDALMKNTSNNIKHHRRWKISRIKAVHWTRYLLQYTATEIFFDDANAPVFLNFSSQNDAKNIGSLLVSLRNDALFPKGSTKDKNSVISFVDRKVALEMAESARESWRRREMSNFEYLMILNTLAGRSYNDLTQYPIFPWILSDYSSEKIDFNKSSSFRDLSKPIGALDAKRFKVFEERYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGNVGLPPWAKGCPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPSSSSSSSPVLFVSLLDSNIVVMNEGLVLSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKISTSLAENVDFGRQCLTAVQIHGDNYLILCGNWENSFQIISLGDGRIVQSVRQHKDVVSCVAVSSDGSVIATGSYDTTVMIWHAFRGKSADKKSRNANYDLSTKDHVIIESPYHILCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGKYVRSIRHPSGAGLSKLVASQHGRLVFYSDSDLSLHMYSINGKHIASSEANGRLNCMELSCCGEFVVCAGDHGQIVLRSMHSLDVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLIVFSIENPLIRKGTVQRNKIKSSVGARNINKSLLLHVLSNKRLSAVNGSHNCATPAARTYADKTHTWLASVGAPCRDATR >ONIVA02G04830.1 pep chromosome:AWHD00000000:2:3030424:3031892:-1 gene:ONIVA02G04830 transcript:ONIVA02G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGKFRVSLIQPKDIEIVVCFIYAVDSVCSRLIMLQSSLDIVMIVCLILFAEDVTPVPTDSTRRKGGRRGRRL >ONIVA02G04820.1 pep chromosome:AWHD00000000:2:3028117:3029408:1 gene:ONIVA02G04820 transcript:ONIVA02G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASSCSVLVVACSFAVLHVVAIAGATQYKVGGDGGWGVPGAGDEPYNTWAEKTSFQVGDQLLFVYPKDKDSVLVVEPADYNACNTASYDSKFADGNTAVTLDRAGAFFFISGVDANCRAGEKLIVMVANATGSSASPPSSSSSPSSPSGGGGGGGAPAGQAPPGAPATPAGTNSSPANGGAAGGGAKSGAGLTVAASGLAGSLIAAIACVAIAI >ONIVA02G04810.1 pep chromosome:AWHD00000000:2:3024875:3026130:1 gene:ONIVA02G04810 transcript:ONIVA02G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGTSGYSYGLGLACFALVVAMAGATQFKVGGGNGWSVPAANAESYNDWAEKMRFQIGDTLVFVYPKDKDSVLVVEPADYNACNTSSFDKKFADGNTVFTLDRAGAFFFISGVDANCRAGEKLIVMPQRHRHRHRAVSAPGFFDRAAADVACTRQPAAVVPVTSARFTAVSQLVGRRCSDDAAARVVASLHSDASVACAIRVVPAGAAIGERAERAGGEEPERHVVAAARRERRRARRGGGIGPRRRHHWLRHARTLKSDHAISAKVKLL >ONIVA02G04800.1 pep chromosome:AWHD00000000:2:3022389:3023875:1 gene:ONIVA02G04800 transcript:ONIVA02G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMKGIFKGLKIISQMFVHKEHEMEIGYPTDVKHVAHIGLGTSDTSPSWMNEFTGTEDLSTGSLSTTTAPSRQTSWASLDFEHPRSMLPIEILPEKSGQEAPSCPDIPRGPRKILLMIGWQSADVRLQ >ONIVA02G04790.1 pep chromosome:AWHD00000000:2:3016423:3018790:-1 gene:ONIVA02G04790 transcript:ONIVA02G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESPFLPRERLFKQQQYFQNLTKHTYLKGRYDVVTSVAIPLALAASSLFMIGRGVYNMSHGVGKKE >ONIVA02G04760.1 pep chromosome:AWHD00000000:2:3002540:3004841:1 gene:ONIVA02G04760 transcript:ONIVA02G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMTMLFRISVFLLLFCTAKATDDSGAETEAEALLRWKSTLIDATNSLSSWSIANSTCSWFGVTCDAAGHVTELDLLGADINGTLDALYSAAFENLTTIDLSHNNLDGAIPANISMLHTLTVLDLSVNNLTEFILNSTSLRMEHLDLSGNAFSGPIPDSLPEIAPNLRHLDLSYNGFHGSIPHSLSRLQKLRELYLHRNNLTRAIPEELGNLTNLEELVLSSNRLVGSLPPSFARMQQLSFFAIDSNYINGSIPLEMFSNCTQLMIFYVSNNMLTGSIPSLISNWTHLQYLFLFNNTFTGAIPREIGNLAQLLSVDMSQNLFTGKIPLNICNASLLYLVISHNYLEGELPECLWNLKDLGYMDLSSNAFSGEVTTSSNYESSLKSLYLSNNNLSGRFPTVLKNLKNLTVLDLVHNKISGVIPSWIGESNPLLRILRLRSNLFHGSIPCQLSKLSQLQLLDLAENNFTGPVPSSFANLSSMQPETRDKFSSGETYYIDIIWKGMEYTFQERDDCVIGIDLSSNSLSGEIPSELTNLRGLQFLNMSRNVLYGGIPNDIGHLHVVESLDLSCNRLLGPIPPSISNLTGLSKLNLSNNLLSGEIPIGNQLQTLDDPSIYANNLRLCGFPLKIPCSNHSNSTSTLEGEKEHHQELETLGLYCSVTAGAVFGVWLWFGALFFCNAWRLAFFSLIDAMQQKLMQNITHLSNMLCFSSSH >ONIVA02G04740.1 pep chromosome:AWHD00000000:2:2993864:2995549:1 gene:ONIVA02G04740 transcript:ONIVA02G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLDLSYNAFSGPIPDSLPNLRVLELSNNGFHGTIPHSLSRLQKLQDLYLYRNNLTGGIPEELGNLTNIEALYLSRNRLVGSLPPSFARMQQLSFFAIDSNYINGSIPLEIFSNCTWLNWFDVSNNMLTGSIPPLISNWTNLHYLALFNNTFTGAIPWEIGNLAQVYLEVDMSQNLFTGKIPLNICNATLEYLAISDNHLEGELPGCLWGLKGLVYMDLSRNTFSGKIAPSDTPNNDSDLLALDLSNNNFSGYFPVVLRNLSRLEFLNLGYNRISGEIPSWIGESFSHLMILQLRSNMFHGSIPWQLSQLPKLQLLDLAENNFTGSIPDSRHYIDIDWKGREHPFKDISLLATGIDLSNNSLSGEIPSELTNLRGIQSLNISRNFLQGNIPNGIGNLTHLESLDLSWNKLSGHIPHSISNLMSLEWLNLSNNLLSGEIPTGNQLRTLDDPSIYANNLGLCGFPLKISCSNHSSSTTTLEGAKEHHQELETLWLYCSVTAGAVFGVWLWFGALFFCNAWRLAFFCRIDAMQQKLM >ONIVA02G04730.1 pep chromosome:AWHD00000000:2:2992217:2992942:1 gene:ONIVA02G04730 transcript:ONIVA02G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMTMLFSLLLVRAAKAADDSGAETEAEALLRWKSTLIDATNSLSSWSIANSTCSWIGVTCDAAGHVTELDLPGADINGTLDALYSAAFENLTTIDLSHNNLDGAIPANICMLRTLTILDLSSNYLVGVIPINISMLIALTVLDLSGNNLAGAIPANISMLHTLTFLDLSSNNLTGAIPYQLSKLPRLAHLGLGDNHLTNPEYAMFFTPMPFLEFLSLSRHFTVFAFLKWHRSNGSR >ONIVA02G04720.1 pep chromosome:AWHD00000000:2:2966122:2987532:1 gene:ONIVA02G04720 transcript:ONIVA02G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding;ATP binding;nucleic acid binding;binding;helicases;ATP binding;DNA binding;helicases [Source:Projected from Arabidopsis thaliana (AT3G54280) TAIR;Acc:AT3G54280] MVLGEEIIEPVISEAGLIGGFHLGGGIPLEESPGVIVPFMIEGHLLEKEILAIHDLLAGRECERRHEKKTDDGETNSSRSLSLSDNNDEKKKDKFSSGDEKEDHEKQLKQIRLDMEALRDDKTQMEVILDEKIDEVRKISSKVNDLEVQLRREKDECHRMTSKMKKFIKAHARFLKAQEEVKRSQARFERLGDLLASDILKRGANEEGSSVNEDLNERSPNTAATKKRSIPYSTSEEAKAVKKRRERDSDTMTRSDKYRSDVTDFDKTSKGTEATKSLYLKKKLWEDEKSKYFVNLQVKGSPVRHVLPSTGMAAHAIDDLNEAIELEDRHESIDALLENDADDKTRSPAIPLQPPPVVQNAYEQSGFERISFDIPFGLSIYCAKFPLTQLAGSTQATRFAAARQIGEIAKSHPQELNVLLKKVSPYLRSKNWDTRVAAAHAIGAIAENVKHTSVKDLFASAEAEKHASGLSGIGDVGSTLRHADTTATSELAFGSFDINRVLEFGSPLLASGGQEYDIANDNGKNPAERLARQKQNLRRRLGLDVCEQFMDFNDVIKDEDLLAQKNYWGANMQNNGFYSFNTGQNIQHLVASMVPSAIALEHSVGMALSSICDDLITPLTFFQDTVDAITDEDNLEYSENGRWPFQQFVDQLIHDMFDPIWEVRHGTIMALREILTHQGACAGVYFPDLNSPFADLDDKNNLDSLKRAHGIDLNEDIDSGQLEPVLKRQKKEESNPEVMDIQLDKEPSNGDYSKTEASLSTEPTVSSGEPNLAHAKVESPFQVDGSANPSKVDPYCTPPHETLNSMPKLSSTHLPENSKFIKLMKLANYSAVKNWEFLQDSAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPTLVCHTLNILLQMQRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLNYVLHACKAGLEDPDDDVRAVAAEALIPAAASLVRLNDQMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTTAIGEDNEFDLNSVTLVAGEEKMGSNDNPYVLAALTPRLWPFMRHSITSVRRSAVRTLERLLEVGNTRNSAKLWLASILGDALQVVFQNLLLESNDEIIRSSERAWKLLLQCPTEDLESAASSYFSNWVQLATTPYGTALDSAKMFLPVALPRGSRSRAAAKIKSARLEHENTRMISFGSTGENTSQEKHSEASLSVSKIIVGSDSDKSVTHTRVLTSMALGLFASKLPEGSWQVVLGPLASDLMSLSGVQRQVASMVIVSWFKDLRKSDPAAVGTLLAFLSSLKGWMLDLLACSDPSFPTKDSPLPYAELARTYRKMRNEANNLFQSIESCALLKEYTSNLNFEADMLSVDDAINFASKLLLPSEPDFSLDSDKIVLNNIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMAGLPSKLNPVILPLMAAVKREQEEILQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAVINSIQVIEDQNLLSIGKRFSNHKSRGQMTSGGESKSEGFISRRGSELAFKHLCEKFGASLFEKLPKLWDCLTEFLKPVKTGDDLMKEDPSIAQLGRSCEDKEPQSLINNIQVIRSVTPHLAEPLRPQLLSLLPCILGCVRHPHVAVRLAAARCITSMAKSLTANVMVIVIENVIPMLSDSSSVCARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLRCMSDPDGSVRQTVTHSFAALVPLLPLAKGSLLPDGLSERLSSSAEDAQFLEQLLDNSQIDDYKLSIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVAADIAESRARNDEQDPKSLIICPSTLVAHWEYEIEKYIDSSIMKPLQYIGSSQDRIILRSQFDKFNVIITSYDIIRKDIDFLENVFWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLLAAKDPKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSLLQLKLYDKFSNSNAKQEISTIVKENELDQSTSQPKATRHVFQALQYLLKLCSHPLLVTGESPPDYLVDLLKEIGMGTGDELHDLHHSPKLVALQEILQECGIGSEISSPDASAAIGQHRVLIFAQHKALLDIIEKDLFQSHMRSVTYLRLDGSVEPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENASLKTMNTDQLLDLFASTPASRKASVLPSSSGGDQSKDSKGKSGGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >ONIVA02G04720.2 pep chromosome:AWHD00000000:2:2966358:2987532:1 gene:ONIVA02G04720 transcript:ONIVA02G04720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding;ATP binding;nucleic acid binding;binding;helicases;ATP binding;DNA binding;helicases [Source:Projected from Arabidopsis thaliana (AT3G54280) TAIR;Acc:AT3G54280] MVLGEEIIEPVISEAGLIGGFHLGGGIPLEESPGVIVPFMIEGHLLEKEILAIHDLLAGRECERRHEKKTDDGETNSSRSLSLSDNNDEKKKDKFSSGDEKEDHEKQLKQIRLDMEALRDDKTQMEVILDEKIDEVRKISSKVNDLEVQLRREKDECHRMTSKMKKFIKAHARFLKAQEEVKRSQARFERLGDLLASDILKRGANEEGSSVNEDLNERSPNTAATKKRSIPYSTSEEAKAVKKRRERDSDTMTRSDKYRSDVTDFDKTSKGTEATKSLYLKKKLWEDEKSKYFVNLQVKGSPVRHVLPSTGMAAHAIDDLNEAIELEDRHESIDALLENDADDKTRSPAIPLQPPPVVQNAYEQSGFERISFDIPFGLSIYCAKFPLTQLAGSTQATRFAAARQIGEIAKSHPQELNVLLKKVSPYLRSKNWDTRVAAAHAIGAIAENVKHTSVKDLFASAEAEKHASGLSGIGDVGSTLRHADTTATSELAFGSFDINRVLEFGSPLLASGGQEYDIANDNGKNPAERLARQKQNLRRRLGLDVCEQFMDFNDVIKDEDLLAQKNYWGANMQNNGFYSFNTGQNIQHLVASMVPSAIALEHSVGMALSSICDDLITPLTFFQDTVDAITDEDNLEYSENGRWPFQQFVDQLIHDMFDPIWEVRHGTIMALREILTHQGACAGVYFPDLNSPFADLDDKNNLDSLKRAHGIDLNEDIDSGQLEPVLKRQKKEESNPEVMDIQLDKEPSNGDYSKTEASLSTEPTVSSGEPNLAHAKVESPFQVDGSANPSKVDPYCTPPHETLNSMPKLSSTHLPENSKFIKLMKLANYSAVKNWEFLQDSAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPTLVCHTLNILLQMQRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLNYVLHACKAGLEDPDDDVRAVAAEALIPAAASLVRLNDQMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTTAIGEDNEFDLNSVTLVAGEEKMGSNDNPYVLAALTPRLWPFMRHSITSVRRSAVRTLERLLEVGNTRNSAKLWLASILGDALQVVFQNLLLESNDEIIRSSERAWKLLLQCPTEDLESAASSYFSNWVQLATTPYGTALDSAKMFLPVALPRGSRSRAAAKIKSARLEHENTRMISFGSTGENTSQEKHSEASLSVSKIIVGSDSDKSVTHTRVLTSMALGLFASKLPEGSWQVVLGPLASDLMSLSGVQRQVASMVIVSWFKDLRKSDPAAVGTLLAFLSSLKGWMLDLLACSDPSFPTKDSPLPYAELARTYRKMRNEANNLFQSIESCALLKEYTSNLNFEADMLSVDDAINFASKLLLPSEPDFSLDSDKIVLNNIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMAGLPSKLNPVILPLMAAVKREQEEILQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAVINSIQVIEDQNLLSIGKRFSNHKSRGQMTSGGESKSEGFISRRGSELAFKHLCEKFGASLFEKLPKLWDCLTEFLKPVKTGDDLMKEDPSIAQLGRSCEDKEPQSLINNIQVIRSVTPHLAEPLRPQLLSLLPCILGCVRHPHVAVRLAAARCITSMAKSLTANVMVIVIENVIPMLSDSSSVCARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLRCMSDPDGSVRQTVTHSFAALVPLLPLAKGSLLPDGLSERLSSSAEDAQFLEQLLDNSQIDDYKLSIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVAADIAESRARNDEQDPKSLIICPSTLVAHWEYEIEKYIDSSIMKPLQYIGSSQDRIILRSQFDKFNVIITSYDIIRKDIDFLENVFWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLLAAKDPKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSLLQLKLYDKFSNSNAKQEISTIVKENELDQSTSQPKATRHVFQALQYLLKLCSHPLLVTGESPPDYLVDLLKEIGMGTGDELHDLHHSPKLVALQEILQECGIGSEISSPDASAAIGQHRVLIFAQHKALLDIIEKDLFQSHMRSVTYLRLDGSVEPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENASLKTMNTDQLLDLFASTPASRKASVLPSSSGGDQSKDSKGKSGGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >ONIVA02G04710.1 pep chromosome:AWHD00000000:2:2960165:2964519:1 gene:ONIVA02G04710 transcript:ONIVA02G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G1M5] MAMKRVVFLLLLVAASALVKSSRGGGGGEEKLGKFYGWRRHLSSGPAASSLVLSGDLVDKIWSVCLQDIVSPEDTFGFGESFAWDELSSHSTEDELKATLFMELMALLPPEKSSFTYDCIRANCFSLGVPQIFSVALSNYLESQKSLVGSNFYPRRRLVDKLIGDAPSMAPAFAPSMSSGGEVHSPLSVAEAPLTPSNSLNMEPPSPYYPSKSAHKHQGVAPPVSPSEEHHDYMKVVLIAVLPTAALSFLAAFLCFYCCGCNKSKVSVGEQRDDHPLLHLQFSNLPGSSPDVHVPASPLHKDDHGVRPSNAGVSMSKCFPCCFKTSSDATTPTLVTGGTQENNATSDAPKLMPPPPPPPPPPPPPPPPPPPPPPPPPRPPPPPPPIKKGAPPPAPPKATMARFPKLSPTESSRSEESSASELASESSETEVNAPRAKLRPFYWDKVLANPDQSMAWHDIKFGSFHVNEEMIEELFGYGAGNQNNVKDKEISIADPSPQHVSLLDVKKSCNLAVVFKAMNARAEEIHVALVEVSSVTLCYEGNELPRLLLETILRMKPTDEEEQKLRLYNGDCSQLGLAEQVMKALIDIPFAFERIRALLFMSSLQEDASSLRESFLQLEAACGELKHRLFLKLLEAILKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVREARLAMENGRSPPFPSTSDDNSNESLQEDGNYYSNLGLKIVSGLSNELDNVKRVAALDADALSTSVANLRHELLRAKEFLNSDMASLEENSGFHRSLESFIEHAETETNFLLKEDKRLRMLAKRTIRYFHGNDEKDDGFRLFIIVRDFLVMLDKACKEVGASQKKATNKSQANGNSNNPSSQSNPQEQQFPAVLDHHFDSSDSND >ONIVA02G04700.1 pep chromosome:AWHD00000000:2:2952690:2954171:-1 gene:ONIVA02G04700 transcript:ONIVA02G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G1M4] MDERRTILMDRYEIGRQLGQGNFAKVYYARNLTSGQAVAIKMIDKEKVTRVGLMVQIKREISIMRLVKHPNILQLFEVMASKSKIYFVLEYAKGGELFKKISKGKFSEDVARRYFHQLISGIDYCHSRGVYHRDLKPENLLLDENESLKVSDFGLSALSESKRHDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVSGYLPFHDTNLIEMYRKIAKAEYKCPRSFSAELKDLLYKILDPDPSTRISIPKIKRSAWYRKSSDVNALKSKHETGDKVYKGEATTSDTTECSIFEGNRASSRDKVYTNGEATTSDSPECSNSDGKQASLSLPNLNAFDIISLSTGFDLSNLFEERYGQREERFTTRQPAAAIFAKLNELARRFKLKIKKKENGVLRLVAPKEGIKGLLELDAEVFELAPSFHLVEFKKSNGDTIEYQKLMKEDIRPALKDIVWAWQGGQHQQPEQSMQGMQGEQQPSRLPSQQPQG >ONIVA02G04690.1 pep chromosome:AWHD00000000:2:2951277:2956088:1 gene:ONIVA02G04690 transcript:ONIVA02G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNAFKFGRLNEACFPSELLHSGESEVVASPLVYTLSLDDARFPSKILHSVVSEVVASPLYTLSPVSCLLFSAFTSLDFLYQALLFIFGIEILVLGSGSSILYSRSFNSAEKERGHLYSALAIFLYISIRFVSWKGR >ONIVA02G04680.1 pep chromosome:AWHD00000000:2:2948620:2948856:1 gene:ONIVA02G04680 transcript:ONIVA02G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICGAKAAAPSLVVAASMGAVEALKDQAGLCRWDYALRSLYLRAAASSPRLRSPLSNTAAADVRLRKVHHLVCWGPN >ONIVA02G04670.1 pep chromosome:AWHD00000000:2:2940630:2940949:-1 gene:ONIVA02G04670 transcript:ONIVA02G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRESRWELPATLRALARDAGQQLRVPRAAAAAATPAATAGRRAADGGGKADKVMHLLLWGPK >ONIVA02G04660.1 pep chromosome:AWHD00000000:2:2937251:2937586:-1 gene:ONIVA02G04660 transcript:ONIVA02G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVFDEMGGCLLAWEMIIWVPPWRKRARRPTLSAVMTATKVEKTLTRPVMTEETREASWRKPTVLKRTGA >ONIVA02G04650.1 pep chromosome:AWHD00000000:2:2932183:2939103:1 gene:ONIVA02G04650 transcript:ONIVA02G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQETTAAATKIDESYNGGRLRLRSDLDSISSKLDIHLKDLKEMVSSRISVHSQAVIATRPAIGASLSNKRFYINDLFLRVRIGDLAQRKQALVTIGELLSEDIEYVKIVALDIDNSITLLISFLESGDACIQEQAARIVSLIAGYDSYRGMLVKAGVVAPLVQLLDSPSCTSTTVSSRERAAHALRELTSNSDNVWAVCAQGGVTVLLIVCANVDSRGKLVSSAFAVLKNLSRVEEVKMFMVEQGAVMELVKLSRQKEEERKVGSVELLHYMALADANVRQAAISMGMIQSLTQLMNPDLPYSSKAREVALSAIAFFCLPSKALTDDLISSNFLSWLQTYLNNVDYAVLECTLNILVRLTRISEEYSKMVGRAGFMTALVSSLGAKSCQVREMAAQVLCNLLLLHSNRVVFIQDGDNLNRLLQSLEHGDGKTMAKDLAISCLVSLAETSAGRKKIISSQHFVSLKGLADSGDLPAKKIVKKLCANKLQSILTRIRIT >ONIVA02G04650.2 pep chromosome:AWHD00000000:2:2933379:2939103:1 gene:ONIVA02G04650 transcript:ONIVA02G04650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAECSEKLQILKASASASMAYSIVQFPVKWQSINDESYNGGRLRLRSDLDSISSKLDIHLKDLKEMVSSRISVHSQAVIATRPAIGASLSNKRFYINDLFLRVRIGDLAQRKQALVTIGELLSEDIEYVKIVALDIDNSITLLISFLESGDACIQEQAARIVSLIAGYDSYRGMLVKAGVVAPLVQLLDSPSCTSTTVSSRERAAHALRELTSNSDNVWAVCAQGGVTVLLIVCANVDSRGKLVSSAFAVLKNLSRVEEVKMFMVEQGAVMELVKLSRQKEEERKVGSVELLHYMALADANVRQAAISMGMIQSLTQLMNPDLPYSSKAREVALSAIAFFCLPSKALTDDLISSNFLSWLQTYLNNVDYAVLECTLNILVRLTRISEEYSKMVGRAGFMTALVSSLGAKSCQVREMAAQVLCNLLLLHSNRVVFIQDGDNLNRLLQSLEHGDGKTMAKDLAISCLVSLAETSAGRKKIISSQHFVSLKGLADSGDLPAKKIVKKLCANKLQSILTRIRIT >ONIVA02G04650.3 pep chromosome:AWHD00000000:2:2935802:2939103:1 gene:ONIVA02G04650 transcript:ONIVA02G04650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVIVHHHTRYKTYPGEVTGFVFFCCLIASVGGCIFGYDIGLTAGLTSTESFLAMFFPVIFEQQQERVITNQYCKFDSQVLTLFGSSLFLSALVAGIFASPMSRAFGRKWTLFVAAVAYLIGAILGAISFNFIVLLTGRLLLGVGVGVCIHASPLYISEMAPAQQRGMLNILFQLMITVGILSASLTTYWTSKIAGGWGWRVGLAFGTVPAAVIALGSLAIPDTPVSLIARGEGEAARATLAKIRGVDDVRAEFEDLTTASEESKAVAHPWRELFFGGRYKPQLAFAVLIPFFQQLTGINVIMFYAPVLFKTVGFRQDASLVSSVITGLVNVFSTFVAVMTADKILVGTFIGLQFGVSGTGAMSEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEVYPLAVRSAAQSVTVAVNMFFTAFISQIFLTLLCHLRFGLFYFFGAWVLLMTVFIATLLPETKCVPLEEVAHVWRKHWFWRKFIVDSPDRGGAEMRKRIALEMS >ONIVA02G04640.1 pep chromosome:AWHD00000000:2:2924596:2926922:1 gene:ONIVA02G04640 transcript:ONIVA02G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDQEGRRQRRRGGGEEEEDRISDLPDALRLQILSLLPLKSAIRTGALSSRWRGLWEQRWPDPSSLDVRLPSGGGAASASVAALRAEHLAGIDRRGRRRMDLLSLAFHAGQLAPPELKRFIEYAAACDVEEVRLRLDGGGGRGARGGTRRPGALAVHFPIGSKLLARLSVRGLHLTASANAMVATLEVIHFHSVSLTDAALRRVVSACPRLRELELRYCRHLRRIDFTVGASNLKSLTVVDCSRATELRVPSAPRLRSFRFSGAFLCSNIFGSTADCVEHLYLCSGGPETGLPRTNLPSAVPRLSNLTVLTLCSIALQYVSASVATPNVEKSLNSLRELQLLMFGMANSNLADIYSFLKACRCPQLERLFVQLPTNTYDSFTTNYLEVAEEEPPEGGLENLRLVKMTNFKGYRNELRLVDFLLRKASRLNKLFLIAPKEVHPQGLRKVHSEALPHFLKTDVLHLERASAIAQIIFDESVSPQILPLHSEVFVRI >ONIVA02G04630.1 pep chromosome:AWHD00000000:2:2919282:2921740:1 gene:ONIVA02G04630 transcript:ONIVA02G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPMTRRSCRRRARRDRSMATTGEPVASAASNPPSFLLPVVVTNGPLGASSSSCLTERRGILGKSSAKKVMLNPMMGRSSGEGGGGGGGGGDNVLIDDNVLDSLPLPPLPMRPTPRCRRHPSRPQRHVIVEFSGPWTLQPWSSSHLKEEEEAKDKTTTLVEELEGKAAMAEARLRQKEEENAELKRKFESYHVRWLQHEIRLSSLKETIDEQMISLQVAQECVEKRSREMLSLYDHQESSESHVKMSKETSARLAHSSRHYAGIARGTCTEFRQQSQALVESREPWQPSTQGGNSIDDLEKLKSKFCMWKKDYKARLRKAMAAELDLEGRHRSICWI >ONIVA02G04620.1 pep chromosome:AWHD00000000:2:2907021:2918911:-1 gene:ONIVA02G04620 transcript:ONIVA02G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein [Source:Projected from Arabidopsis thaliana (AT3G46960) TAIR;Acc:AT3G46960] MGDLTTSSPASEVPFRVSFSGHGGHLRLDPTPNPPSPIPEFVLPPAYPPESPSSVKEYLEANYLNPELHLPTAADGARVWDLDWFELARPPLEPSAPRTMLVPAWEPPFRRRRPPLSSSSSSRQESQVWDPESVQMDMSDVFDSGTGGITPRMPGPAKDFVRGSVNSRPFRPGGLHDDAAAAAALEKAFPEGARNGDWVRELMSGGPAQVNPPGFRKGLDLGNLKEYKSHWKCYQDGERVDEQSTSSSNDTMDKYSVQFDDLFKIAWEEDSDDKVPREDHVQQLVGDEETNDVDKQNISKLQNASETIENLDIEKQKGGAQGDVSEPQSDLDQMLLSSVKDTSRDSSGSGDGSMAKEGKVWALVGGDEDIVTNFYKLVPDMAIEYPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIHVTSTNKRPVPLEHCLFYSGEVFKICEKDAFLTQGYREAKEVFKKKNSSKLGMKPGSKPGTTAVRAGTQGRNPDTSSRGRDQKNPKHHHASSSAAAVQQSTSGPRRSESSFWMPLINNLLKKSLVPVVIFCFSKNRCDRSAESMFGADLTSNSEKSEIRLFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDNIGTVIVMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPTKTIECIKGEPAIEEYYEMVLEAEAHRESITEAIMQLPASQQSLTPGRLVVVKSQSDDDHLLGVIVKTPSAALKQYVVLVLTGDCTSSALAPDSSNQNEKEAGDFKQGYFVIPKGKRSMEDEYFSSVSTRKGSGVINIKLPYKGDASGMGFEVRAIENKEIMSICASKIKIDQVRLLEDPSKTVYSKTVQMLIKEQPDGNKYPAALDAIKDLKMKDMLLVENYYAYQRLLQKMSENKCHGCIKLKEHIALMKEQKVYKDQLNDLKYEMSDEALQQMPEFQGRIDVLKEIHYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDDLEPEEAVAIMSALVFQQRNTSEPSLTPKLADARKRIYDTAIRLGKLQREFKVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALHKKMETASNAIKRDIVFAASLYVTGI >ONIVA02G04610.1 pep chromosome:AWHD00000000:2:2903405:2906815:1 gene:ONIVA02G04610 transcript:ONIVA02G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGMKEQGILLVRKAVEEDDAGNHARALPLYVHALDYLAAHLKYERNPRVRDAITAKLAGYIARAEEIRDALLPAAGDDATPPAAAAEEGKAKCGGGEDESDRAKLRAGLHSAIVSEKPNVRWSDVSGLDGAKQALQEAVVLPVEFPQFFTGKRKPWKAFLLYGPPGTGKSYLAKAVATEADFTFFSISSSDLLSKWMGESEKLVTNLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRVKTEFLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHSLTKGDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFGTAEGDGDGGAWTPCGPTRPGAVQITMQELAAKGLAAQITPPPITRTDLDKVLARQKATVSEKDLEVYTRFTREFGEGG >ONIVA02G04610.2 pep chromosome:AWHD00000000:2:2903405:2907235:1 gene:ONIVA02G04610 transcript:ONIVA02G04610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGMKEQGILLVRKAVEEDDAGNHARALPLYVHALDYLAAHLKYERNPRVRDAITAKLAGYIARAEEIRDALLPAAGDDATPPAAAAEEGKAKCGGGEDESDRAKLRAGLHSAIVSEKPNVRWSDVSGLDGAKQALQEAVVLPVEFPQFFTGKRKPWKAFLLYGPPGTGKSYLAKAVATEADFTFFSISSSDLLSKWMGESEKLVTNLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRVKTEFLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHSLTKGDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFGTAEGDGDGGAWTPCGPTRPGAVQITMQELAAKGLAAQITPPPITRTDLDKVLARQKATVSEKDLEVYTRFTREFGEGG >ONIVA02G04600.1 pep chromosome:AWHD00000000:2:2902273:2903242:-1 gene:ONIVA02G04600 transcript:ONIVA02G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEINEAEFMGPTTRPLGLCEVSGPTAQAVEAAAQLGEAVAEEIFSWCAITVLPLQWEKFERNMPATTILHRKQREVKPLNPSTPKTHGRRRSSEAEPNARSLALLRTS >ONIVA02G04590.1 pep chromosome:AWHD00000000:2:2898592:2902207:-1 gene:ONIVA02G04590 transcript:ONIVA02G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transport SCO1/SenC family protein [Source:Projected from Arabidopsis thaliana (AT3G08950) TAIR;Acc:AT3G08950] MRRAPRLHALLSRALAFGGLPPPPLPRAQLQGITEPGAASRLGAAFLARALATTGLPAPRRPRALQVQRITEPGAASRFGAAFLARGGFSTDASAAAQDSAKPATATGGEGGDGKSGKSEQGDAGKSVRGGPVSWLSFLLLLVTGGGIIVYYDKEKKRHIEELKNRTSAVKQEPSVGTAAIGGPFNLLNHDGKPVTQKDFFGKWTLLYFGFTHCPDICPDELQKMALAIDKIKEKAKMEVVPVFITVDPERDTVEQVRDYVNEFHPNLIGLTGTTDEIRKVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFYGKNYDADSLADGIIKELKGHQ >ONIVA02G04580.1 pep chromosome:AWHD00000000:2:2897055:2898221:1 gene:ONIVA02G04580 transcript:ONIVA02G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRPRKRARTTSPCSPPASSWRDLPLDIAGEVLRRLPSYADRICFGATCRSWRTSAREHRAPPPLSPCLCFADGSFRGFFPEDARPFRLPAAAGWLGSCGEWLLYRRHDDGAYLLVDPFSKAAAMAPLPSVSRLHVRHDPIVAVDERDLRWCRPTWLPRENTGEPQAAASLLKLAVSPAADVVAAVVGEGRHGKLAVCRPGAPAWSVSGGDGWRRIKDMAFYQGKLYAVDHNEDLLAVTLAADGEPPAMSRIDRVINGKPPGAAALLRVTLHYLVDSGGELLLVRREVQRSSMVRTQPWQHTAELQDRFAVFRADFRRSRWRRVKTIGDESGGRALFVGRWCSRAVRVAGDRWADQVFFLEDGRRRVAHTGAALLAEEEHLRVREAE >ONIVA02G04570.1 pep chromosome:AWHD00000000:2:2894261:2894488:-1 gene:ONIVA02G04570 transcript:ONIVA02G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTPYPPRPVDRSTCSSLDPPAPRSRPLCSAFLIQFRRQAGAAAHAAATSTAEGSASVLVTPASSPALGRPNN >ONIVA02G04560.1 pep chromosome:AWHD00000000:2:2889829:2894118:-1 gene:ONIVA02G04560 transcript:ONIVA02G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLISDGDIPDSQDDQGSGGRADGRQVLERGGGGGKRRRRVFLEEEDEEENAMKVDEEAVILSCARGGPAGVSTAKIDGCIDKQDGQGSSKQRKKSCSTVMKLQFREIGLHCSLRTCGISRFVPTPSTFSHEVFSKIKNNKVVFDIYRCKDVIRHLERPIRKRSAVLQNLPLKDLVAVVLCSGLSFLLSRVTNKMISILNRYDDEIIVSIFYNKEDKSVITTSSRLFDGCMSRQVTSTPLECIRSNKQNNGQQIFESENVKWPDSVDFDAPNARALIQQRSTYRVFDLKDYSLLYQIPDVNVHQVVFRPSLFLIKLEQTHNVYPFRIFCAQNFEETHSFVLFASSKRPDIQPLHDKMIIKQNFANENGNLQILDLRSSKITEVPIGIYEFHALHGRNLFLSFQNNSTELRDLQGDIVRNFEDHVLDELNCVDDKLFITKDEDVIISGCKSEGIGAVHISSIESGKCITDINTKVIVSALSYNPELNEIFIGTAKGKALADLDILPHQIPQKLKSPNKDS >ONIVA02G04550.1 pep chromosome:AWHD00000000:2:2887360:2888805:-1 gene:ONIVA02G04550 transcript:ONIVA02G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMQQCRSLRAAVEGVAGEPDRPQCSEVSAHPCSRRRQDTGSDAERCMRHARSASSGWWPATVRTLSCGHDFHEDYNITKWLRDNKKACPVHSACNIQLYASKHLIPKSLSRSYGRRRRPNATASLELRHRTSPAAIAHFLANPSRPPHPHTTLVHSQLPIPPTSPPFAGTRRTSSAVQLPRDLLCLGQSYMAGLVVRRGQGPSAAWRRGEGPPLVAGGGGDVDRAGDDGGGEGDGQATKSTMDGVRRLRMAKV >ONIVA02G04540.1 pep chromosome:AWHD00000000:2:2886122:2886934:1 gene:ONIVA02G04540 transcript:ONIVA02G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGFKNGGKQKPLKAPKAAKKEYDETDLENMKKKKEEEKALKELRAKAAQKGALGGAGLKKSSKK >ONIVA02G04530.1 pep chromosome:AWHD00000000:2:2884683:2884874:-1 gene:ONIVA02G04530 transcript:ONIVA02G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLASRPAATAAAATVLYHGGALPRSPRLQRLVCHELLDGGGGGGDDYILHFAVAVMRSLG >ONIVA02G04520.1 pep chromosome:AWHD00000000:2:2879469:2884332:1 gene:ONIVA02G04520 transcript:ONIVA02G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNSKFYNKCKHAIKCTRTRLDLVRRKKQAMVKFMKKDVADLIGNRLESHAFGRMEALIVEMNQASCYDMIEQYCEYIVKQLNNLQKQNECPQEALEAVSTLIFATARFPELPELCDLRHMFTERYGSFVEPFVSSEFVQKLQNKSFTNEEKLQVMQSIAEEFSVPFNAKALERKISGVPQNKHDLQNKSSFQRVEVEASACNELKVDRHALHERKSKVTPEVHERKQEMPVKPKDIHVIPDAIGKVGEKSRKNRSDIPYDVPPSDLKQINDQELKKDHKKHSHHQRELRNADKSAPPYAEPKEDVAEKSDGKGYHVHRSRMAGGLDHNWGHADLGLKTLGLEKQGIEPASSLDGKTLNKAPPYSKPYKASDEYGQSVQDRQKMPEKAVNMRPPYVKPNSTNQAVDDYKHAGTGEIGHQRDGLADDNTLQPVSVRRKSAKPPTHGDRYDDEAKMTSQTPGGRRRHSSRRNGSDDDYDQRVGYMLPPDDDAVNNARHFKRMSERRKHGSRQGGSASGNDYESDEDGTNSAIDFGNLLPRAPSSHRKHRSRSAHPRSGGRDDEERVMDKLLMHYSKKGIDREEHKTRTKSRTPRPRADQPADGVGERSNREVAPQHPPERTVSLPSDSGNLGVKPKAPARSISMQPDKSRGIVHPSMPDFDELAARISALRKE >ONIVA02G04510.1 pep chromosome:AWHD00000000:2:2875183:2875467:-1 gene:ONIVA02G04510 transcript:ONIVA02G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSVDADAGDRLKGSATSGVTGLRVAADEAATAAATREQAATPGSQPTTPAPSPRRPRRSRAVSASWPAERGGRGGGRAAGDGRRATQRQ >ONIVA02G04500.1 pep chromosome:AWHD00000000:2:2871172:2873428:1 gene:ONIVA02G04500 transcript:ONIVA02G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLRTPAAEEALLRGHAAGDKDDAVAAAVAGESKDAKRARAGLCGVLRERKVVELARAKRRLVEVPYTATLAHTANALLAARVSAVAVAAPPGHWIGAGGSMILESDPATGAVRKHYIGMVNMLDILAHIAEAGDDNDAAADAAPGEAVDLDRRMAVPVSSVIGHSLEGLTLWTLHPNTSVLDCMETFSKGVHRALVPLESAAENVVAAELVEAAPGYRMVTQMDVARFLRARAAELGDAILSRSVRGVGVGGAVLAVASGTKVIEAVRAMRAASLAAVPVVDAAPADDADARRILLQLQDGRGKRVVETFSATDLRDCPVAELQAWLGVAVAEFKKKVAMYRAGVLAADADEDEERRREMVTCSPESTLGEAIEKAVAHHVHRLWVVDEEGLLAGVVSLTDVLRVVREAAIGEDRELHDILS >ONIVA02G04490.1 pep chromosome:AWHD00000000:2:2864373:2870309:1 gene:ONIVA02G04490 transcript:ONIVA02G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSTDAHRSSPLLPHHHKRLGLPSPLRSLLALEDPRSPSASSSYRILLALLAFLLLAAAFSATSVWSRLNAPYLCQKDGITLHCPETKEAPSLWENPRAATTSWKPYVPPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMKATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADKLAARMRNRTGSVNPYMALHLRFEKGMVGLSFCDFAGTREEKEMMAAYRQKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAIILRALGYTRGTQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASAAEMAPFRKHVTSLAALDFLVCLRSDAFVMTHGGNFAKLIMGARRYGGRHRLKSIKPDKGLMSKSLGDPHMGWAAFSDDVVITHQTRAGLPEPTFPNYDLWENPLTPCMCPTA >ONIVA02G04490.2 pep chromosome:AWHD00000000:2:2864014:2870309:1 gene:ONIVA02G04490 transcript:ONIVA02G04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSTVAAAAARSSNSPAKRDSDASAASSPFASTSSARGRGGGDDDDGKDAHRSSPLLPHHHKRLGLPSPLRSLLALEDPRSPSASSSYRILLALLAFLLLAAAFSATSVWSRLNAPYLCQKDGITLHCPETKEAPSLWENPRAATTSWKPYVPPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMKATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADKLAARMRNRTGSVNPYMALHLRFEKGMVGLSFCDFAGTREEKEMMAAYRQKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAIILRALGYTRGTQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASAAEMAPFRKHVTSLAALDFLVCLRSDAFVMTHGGNFAKLIMGARRYGGRHRLKSIKPDKGLMSKSLGDPHMGWAAFSDDVVITHQTRAGLPEPTFPNYDLWENPLTPCMCPTA >ONIVA02G04480.1 pep chromosome:AWHD00000000:2:2861118:2863017:-1 gene:ONIVA02G04480 transcript:ONIVA02G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAMDAISAKLDRRNPDTSPSARRRGLARRSSMTVAALAPAWAVAGQLSTEYRLVFKIRLFSNKAAAPALTNSWNHPEPHPAAAAVHPPTGGDPSIGSE >ONIVA02G04470.1 pep chromosome:AWHD00000000:2:2856314:2857239:1 gene:ONIVA02G04470 transcript:ONIVA02G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQSEKRRFWTGRQSADWAARAASRRSGNSRTAVAYETSYASRPGSAPSMCATNTVRPPWFSARHSGVSAPRPNTSSLHGARRRSTDAIARTSSSGMLAVAFAPAAAAYCTSASNTYAGSPPAAPPAADAAAAPAAPATVSLARCGSAAPSDAARAVEKTVSRDTQAQQRRREPRNNGMDAADGPGDAAPDSDTTPLYPFDAGCTTSSFGPDIPFISFLLSPPPPPPQFLAPLLLPPCLTDTQTLSELVFLNEVMRKEEWFTRPD >ONIVA02G04460.1 pep chromosome:AWHD00000000:2:2855195:2861085:-1 gene:ONIVA02G04460 transcript:ONIVA02G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYDVEMEAAEGEPMAEQAPPPAAAAAAAAARGDGWSMLSRARVLLEEGKPSLALQAILLAIRSQGGEQALMQTLNRARELYRQRSQPSPNSHSVDLDKGENGYWMRQGGRRRGAKNCGGGGGGERRKEMKGMSGPKLLVVHPASKGYNGVVSLSGAASPGPSAASMPLFLGSRRRCCAWLVALLLALLTCVSLLTVFSTARAASEGAALPQRARLTVAGAAGAAAASAAGGAAGGLPAYVFDALVQYAAAAGANATASMPEEDVRAIASVLRRRAPCRLLVFGLGAETPLWRALNHGGRTVFLDENPFYVAHMEGALPGLEAYDVSYATAVREFPDLLDAARAAQSADCRPVQNLLFSDCRLAINDLPNQLYDVSWDVILVDGPSGFTEGSPGRMSAIFSAAVMARTKGSETEVLVHDYQREVEIACAREFLCPENRVEATATPSLGHFLVRGGAAANRDAFCGGAAGATTKKAN >ONIVA02G04450.1 pep chromosome:AWHD00000000:2:2849758:2854252:-1 gene:ONIVA02G04450 transcript:ONIVA02G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein WHY2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G71260) UniProtKB/Swiss-Prot;Acc:Q8VYF7] MAHSLMAVGPGRPDWAVGWPTCQARKRRHRCGWLPETSGAFPAAFFSSPIPFGIRFSVGNATAAESQGQRCSASPASSPPPPVAQSVSGVLSQSLVSPFDPRDPRVTDLKDALWSGSLTFQHALSTFAADENTSGRKFASYTVFKGKAALSMQPILPSFSKLESGGSRVNKNGSVMLTFFPAVGQRKYDYSKKQLFALSPTEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSVTPLGNDSGYFLNITVLNNLQKTTERLSLPISKAEFTVMRTALSFALPHILGWDQALTNHQPSPSPASKPRVERPHPDSEWERGKGTFIQRATVTLLF >ONIVA02G04450.2 pep chromosome:AWHD00000000:2:2849758:2854252:-1 gene:ONIVA02G04450 transcript:ONIVA02G04450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein WHY2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G71260) UniProtKB/Swiss-Prot;Acc:Q8VYF7] MAHSLMAVGPGRPDWAVGWPTCQARKRRHRCGWLPETSGAFPAAFFSSPIPFGIRFSVGNATAAESQGQRCSASPASSPPPPENTSGRKFASYTVFKGKAALSMQPILPSFSKLESGGSRVNKNGSVMLTFFPAVGQRKYDYSKKQLFALSPTEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSVTPLGNDSGYFLNITVLNNLQKTTERLSLPISKAEFTVMRTALSFALPHILGWDQALTNHQPSPSPASKPRVERPHPDSEWERGKGTFIQRATVTLLF >ONIVA02G04440.1 pep chromosome:AWHD00000000:2:2846541:2850809:1 gene:ONIVA02G04440 transcript:ONIVA02G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase (SIS) family protein [Source:Projected from Arabidopsis thaliana (AT3G54690) TAIR;Acc:AT3G54690] MGSLPVSSSECAPGRRVTVAASDLAPLFGAQRRHLDHFFDRLDLSQAAAFAQALVDAPGAVFFTGVGKSGIVARKLAQTLASLGFTRAGFLSPVDALHGDIGSVFPGDLLVLLSKSGASDELLALAPCARAKGAHLISLTSAASGADCPLAAVCDLNVHLPLQAEVCPFGLAPVTSTAIQMVFGDTVVAAIMEARRLSRDQYASNHPAGKIGKSLIFKVKDVMKKQNELPLCKEGDMIMDQLTELTSKGCGCLLVVDDEYHLIGTFTDGDLRRTLKASGQAIFNLTVGEMCNRHPRTITADAMAVQAMEKMESPPSPVQFLPVVDSNNVVCGIITLHGLVSAGL >ONIVA02G04430.1 pep chromosome:AWHD00000000:2:2834194:2838276:-1 gene:ONIVA02G04430 transcript:ONIVA02G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSTSSTPHHPHLVRFPFRLRLRLCCSSSSSPPPPPRRGMELARSACSKEHHKIYAGWFAVADPDGDGRVTGADATKFFAMSGLSRADLKQVWAIADTKRQGFLGFGEFVAAMQLVSLAQAGEEITQDSLKREDLSSLDPPVMEGLDELLARSKAGVKRVHPEENGAPQVQVHSANSWFSSKSAKKMQVPLTAVTSVIDGLKRLYVEKLKPLEVAYRFNDFASPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLQTSYPGAHVGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGITSWFAAKCDLILLLFDPHKLDISDEFKRVIASLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKDLFEKEQDDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLGDEFAKVQREYHLPAGDFPDVEHFKEVLGGYNIDKFEKMKPKLVQAVDDMLAYDIPELLKNFRNPYE >ONIVA02G04430.2 pep chromosome:AWHD00000000:2:2834194:2838276:-1 gene:ONIVA02G04430 transcript:ONIVA02G04430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSTSSTPHHPHLVRFPFRLRLRLCCSSSSSPPPPPRRGMELARSACSKEHHKIYAGWFAVADPDGDGRVTGADATKFFAMSGLSRADLKQVWAIADTKRQGFLGFGEFVAAMQLVSLAQAGEEITQDSLKREGCFSCDAAICISVFVWMSPEFMVILADLSSLDPPVMEGLDELLARSKAGVKRVHPEENGAPQVQVHSANSWFSSKSAKKMQVPLTAVTSVIDGLKRLYVEKLKPLEVAYRFNDFASPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLQTSYPGAHVGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGITSWFAAKCDLILLLFDPHKLDISDEFKRVIASLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKDLFEKEQDDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLGDEFAKVQREYHLPAGDFPDVEHFKEVLGGYNIDKFEKMKPKLVQAVDDMLAYDIPELLKNFRNPYE >ONIVA02G04420.1 pep chromosome:AWHD00000000:2:2831893:2832537:-1 gene:ONIVA02G04420 transcript:ONIVA02G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARLPPAAAMAGGGGGEGEVRYRGVRRRPSGRYAAEIRDPAKKTPIWLGTFDSAEAAARAYDAAARSLRGAAARTNFPPSTSSSAPPPPPPQHQPPQHLPPAAAAATSSHSSTVESWGVTVAAAAASAGALGLPPPRNGGSNPAADEDCRSYCGSSSSVLCEDGASGAGDEAAAPRCSPLPFDLNLPASSPSAAAAAEADEMDWRCDTLLHL >ONIVA02G04410.1 pep chromosome:AWHD00000000:2:2826161:2828120:1 gene:ONIVA02G04410 transcript:ONIVA02G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKLNPSAAPFHCSRRHLFFAPPPPPPPPMPAYQYHATGACAAAAPPPFPFFATYSCASLPFHSHLYPPCGYQAQMGPAPPGAAFAKGVLAAAPPPPHGRPPHKLMVCKGAPTVTDVKLRAQARAAARVGVAAAVRGWRPAPATAGPPRMLVAAAPCGMLHPAAVARRRGMSKVYKPRKPQRAGRERSPSPSPVFTTRPMSPTPPMQKLKPAHTTVMVRNIPNKLTRSDMVRLLDDHCARENRRRGRGGEPRAEYDLVYVRMDFGMCNKERSSNMGYAFVNFTTAEAARGLQRALHGCRWKRSAFDSGKIIDIRAARIQGKDALVRHFGRTTYYECDTDEYLPAVFSPPRDGSTAGAGAPSPPAVKTVGIRVPPRPITLLTHRGNVN >ONIVA02G04400.1 pep chromosome:AWHD00000000:2:2819545:2824321:1 gene:ONIVA02G04400 transcript:ONIVA02G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKQTEGSSIQAAASATPGGIKRSFGLGRAEAPGGGDDLGGRWAPSMELMGEYCSNAPCKWLLDLGKGGAHDTNAHNLFDGMPSQSEMPKEDQRTSKPVPINSTMNKEEKWLDKSLDRILEKFEQMEAKRMQEEKINRIFQKLEDIEEQGFRGNNCSHQGNHCCSQGYITYSTHGTTTSPTAPMAPPTPVPTKCLTECPNNSFTWATASSSHIGGDTAPTATWELGDNKDKGHAPYIVTKDSPEPIAMRPKQTEGSSIRAAASATPGGIERSFGLGGAKAPGSGGDLGGRWAPSSISLPYLSSDLQPSPLVKVWPMLREVR >ONIVA02G04390.1 pep chromosome:AWHD00000000:2:2810685:2818752:-1 gene:ONIVA02G04390 transcript:ONIVA02G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT5G08650) TAIR;Acc:AT5G08650] MATATASRLAVPAPRTSPHAPGRRRPAAPLPSTPPRPRALSAAPRGRVVCPAAPASSPASTTDAGQDRLQKVPVSNIRNFSIIAHIDHGKSTLADKLLELTGTVQKREMKQQFLDNMDLERERGITIKLQAARMRYIMNDEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENDLEIIPVLNKIDLPGAEPDRVAQEIEEIIGMDCSNAIRCSAKEGIGITEILDAIVTKIPPPQNTAKSPLRALIFDSYYDPYRGVIVYFRVVDGSIKKGDKICFMASGKEYVADEIGVLSPNQMQVSELYAGEVGYLSASIRSVADARVGDTITHSSKRAECALPGYSQATPMELREALEKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYHVNLADGETVECSNPSLLPEPGKRRSIEEPYVKIDMLTPKEYIGPIMELGQERRGEFKEMNFITENRASVVYELPLAEMVGDFFDQLKSRSKGYASMEYSLIGYRESNLVKLDIQINGDPVEALSTIVHRDKAYSVGRALTQKLKELIPRQMFRVPIQACIGAKVIASEALSAIRKDVLSKCYGGDISRKKKLLKKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >ONIVA02G04380.1 pep chromosome:AWHD00000000:2:2808115:2811119:1 gene:ONIVA02G04380 transcript:ONIVA02G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTQQHRKLDKAFQRSHPFQGWRRAVNRDTTSSSMASAAMSSNKKELEALPIVDAGEVRELMSSGHHYLDVRLGKDFDKAHADGARNISYYLSVTPSGKEKNPHFVDEVASLFGKDEHLIVGFKNVRNLKGGYQSFLRSESQQPAAHQQ >ONIVA02G04370.1 pep chromosome:AWHD00000000:2:2805667:2806749:-1 gene:ONIVA02G04370 transcript:ONIVA02G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELPLALGNFSKPSASSITTSSAIFQVPYVSLRDWRSSIWTTPNISGELPSALGNCTSLKTISIKHNNCIGDIPDSIDWRSTIWATPNMSGKLPSALGNCTSLKTISIKHNFIGDIPGSIGQIKRLEELHLD >ONIVA02G04360.1 pep chromosome:AWHD00000000:2:2804112:2805661:-1 gene:ONIVA02G04360 transcript:ONIVA02G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELPSALGNCTSLKIIGIKHNNFIGDIPGSIGQLNRLEELQLDNNRMSRVFPSSLSNFTSLKIINLKHNNFTGELSKVNFSRLPNLKLLDLMSNKFTGEILDSIYRCSNLTTLQLFSNKFYGQLSPRIDNLKSLVFAGVFELPVYADYEEPSLTYGMTNALPAMLNLGNNKLTGAIPSEVGQLKSLTVLILSFNSSSGHIPPKLFNLTNLQVLELSNLHLTGSIPPGLNNVHSLSIFDVSNNDLEGSVPIGYEYWGFDGNPKLCGHTLIRTCASAKTPPVFRLQRTKHSKDHLCDCFCRILLCRCAI >ONIVA02G04350.1 pep chromosome:AWHD00000000:2:2800547:2802742:-1 gene:ONIVA02G04350 transcript:ONIVA02G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFHFPCSRSSSKLPTDLLGFALLTVLCLASSTDSCIDQEKSVLLQFLAGLSGDGGLSASWRNGTNCCTWEGITCNADMRIADILLASKALEGQISPSLGSLTGLLQLNLSHNSLSGELPLEGLVSSSSIVVLDVSFNHFSGALQELFIQSTIWPLQVLNISSNLFTGKFPTTTCKVMNNLVALNASNNSFIGQIPSSLCINSPSFGVLDLSSNQFGGSIPSDIGNCSMLRVLKGGRNNFKGPLPDELFNASSLEHLSFPNNDLNGVLDDANIIKLSKLSILDLQQNIFSGNIPKSIGQLKRLKELHLGENYLYGELPSTLGNCTNLKILDLKINYLSGDLGKINFSSLSNLMIIDLLVNNFNGTIPESIYDCTNLIALRLSWNKFHGEFSHRMDRLRSLSFLSVGWNDFTNITKALYILKSFSNLKTLLLGGNFNHETLLADETMDGFENLQYLEISGSSLHGKISLWLSKLTKLKIPMLKSDKRTNLDVSVPNMRFYGIPFIKNRQYQYIHTTINIAKNGFTGAIPPEISQLKALDMLNLSFNSFSGEIPQAICNLTKLVMLDLSNNNLTGTIPLELNKLNFLSAFNVSNNDLEGAIPTGGQFDTFDNSSFTGNPKLCGGMLSHHCNSARALPSPTSSTDQFGDKVIFGITFGLFFAYGVLLDQMVLKRLRSLQGILIYQLYEQS >ONIVA02G04340.1 pep chromosome:AWHD00000000:2:2794518:2796674:-1 gene:ONIVA02G04340 transcript:ONIVA02G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGFAVVLLLSLASSTSSCKDHEKSFLLQFLAGLSEDGGLAVSWQNDTDCCTWEGITCGTDATITEISLVSKGLEGHISPYLGNLTGLMRLNLSHNLLSGELPLEELVSSTSLVILDISFNHLSGALQEFSAQISETTIRPLQVLNISSNLFTAQFPTNTWKVMNNLVALNASNNSFTGQAPSSFCISAPSITELDLSFNRFGGSVPQDIGNCSMLRVLKGGHNNFHGALPDELFNASSLEYLSFPDNVLNGVLDDANIIKLRKLSVLDLERNMFIGKIPNSIGQLKRLEELHLGHNNMYGELPSTLGNCTNLKILDLKINYLSGDLGKINFSSLSNLMIIDLLVNNFNGTIPESIYDCTNLIALRLSWNKFHGEFSQRMDRLRSLSFLSVGGNAFANIRNALHIFKSFRNLTVLSIEQNFMHEILPEDETIDGFESLQHLEIYGSSLSGKMPVWLSKLKNLEKLFLYDNRLTGTVPVWINKLNFLICLDISNNSFTGEILMTLIQMPMLKSEKTVADIDARVLILPTYMSSKKDLPALKDWKYEYHILRAEVNVARNGFTSVIPPEIGRLKVLDMLDLSFNSFSGEIPQAICNLTNLEMLDLSSNNLMGAIPLELNKLHFLSAFNVSNNDLEGPIPTGGQFDTFDNSSFIGNPKLCGGMLSHHCNSAKAVHAPASTLSTDQFSDKVIFGVAFGLFFALGVLLDQIVLSKLRFLQF >ONIVA02G04330.1 pep chromosome:AWHD00000000:2:2791627:2793985:-1 gene:ONIVA02G04330 transcript:ONIVA02G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCLVLVLMLSSASSTSCCTEHENNCLLQFLAGLSQDGGLAASWRLGTDCCSWEGITCSSMVSKDAMVTDVLLASKRLEGSISPALGRLPGLLRLNLSHNSLSGGLPSEVMSSGSIIILDVSFNSLGRILPLSPPLTTGLKLPLQVLNISSNKFSTELPSLDGMAHLITLSASNNRFSGHIPTNFCTNLPSLAVLELSYNQFSGSIPPGLGNCSRLRVLKTNSSMLSTSIECLSFPNNNLHGTLEGENVIKLGKLATLDLGENNFSGNIPESIGQLNRLEELLLNNNKMYGGIPSTLSNCTSLITINLRSNNFSGELVNVNFSNLPNLKALDLLWNNFSGSFPNCLLLIYGKIPRWLSKLSSLEMLILNRNQLTGPIPDWISSLNFLFYLDISNNNLTGEIPTALVQMPMLRSEKSAVQVQLHPRAFQLPIYSLTSLLQYRKANAFPIMLDLGSNKFTGLIPPEIGQLKGLLELNLSANKLYGDIPQSICNLTNLLTLDLSSNKLSGTIPAALKNLNFLTRFNISYNDLEGPIPTEGQLSTFTDCFIGNPKLCGPMLSHRCSSAKAVPAPASTLSTGEFSDKVIFGITVGLFFALGVLLDQMVFSRMNIPDDGHYSNPSKFRVNLPLLELVCTL >ONIVA02G04320.1 pep chromosome:AWHD00000000:2:2791267:2791461:-1 gene:ONIVA02G04320 transcript:ONIVA02G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKHFLESLRAAGEPKRGGGCAGDREAAAPSPWRLDETAMWPRNVWVPKGSGPHTFLVCQAH >ONIVA02G04310.1 pep chromosome:AWHD00000000:2:2786110:2788223:1 gene:ONIVA02G04310 transcript:ONIVA02G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELQLLLVLAALVLLSFLSPATSCTEQEKSSLLQFLRELSPDSSSKFSRSWQSGTSCCTWEGIACGSNGTVTLPSMALEGPISVSIANLTGLRRLDLSYNSLSGELPPELISSASVAFLDVSFNRLNGELQESSSSLPHHPLQVLNISHNFFAGEFPSTIWEKKSDLVAISASHNTFSGALPSSFCISSPSFAVLDLSYNLFSGSIPAEIGKCSSLRVLKASNNEINGSLPDELFDASMLEHLSFLKNGLEGELDGKQIAKLKNIVVLDLGSNNFIGDIPGSIGQLKRLEELHLDYNRMSGELPSALGNCTNLKIINLKYNSFRGELLKLSPRIGNLKSLFFLSLSNNAFVNIANVIHALKNSRKINTLIIGTNFKGETMPEDIPITDGFQSLQVLSIPSCSLSGKIPLWLSKLAKLEVLDLSNNQLTGPIPDWIHDLNFLYFIDISNNKLTGDLPTAIMLMPMLQPDKVATQLDPRAFEQPVYAGPSLTYGKNNALPAMLNLANNELTGAIPSEVGQLKSLTLLNLSFNSLSGQIPQQLFDLTNLQVVDLSNNHLSGSIPPGLNNLHFLTTFDASNNDLEGWIPAGVQSSYPYDFSGNPKLCDPTLTRTCDSAEAPPVSTLTGEETTPKTVFAIAFGAFFCLGVVYDQAVLSRFFG >ONIVA02G04300.1 pep chromosome:AWHD00000000:2:2775366:2780821:1 gene:ONIVA02G04300 transcript:ONIVA02G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDDLMQKKVGIGPVKLLLLALSTTRFSISSHELDGNRNPPDSELCDRFRRCKPGSLPRAGDMLPSSFLEASKMSVIVTALLAVLLLQVMPSHEQQSVPCGHDAARPPWPSCESPAKNWRRQFLSWSVQQLMEDAEKRISARTRQRVGMASNAGADTIPKRTEEKRRRRFSVAGAPAASSAEGFRAAISTPNRGYAATKLIEREVEHSKGWCIDAQRTQYLSYKAVVAGIKSHQIVHHLPCGGWKLPCKQVA >ONIVA02G04300.2 pep chromosome:AWHD00000000:2:2779799:2780821:1 gene:ONIVA02G04300 transcript:ONIVA02G04300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVEGYAATKLIEREVEHSKGWCIDAQRTQYLSYKAVVAGIKSHQIVHHLPCGGWKLPCKQVA >ONIVA02G04290.1 pep chromosome:AWHD00000000:2:2773803:2775199:1 gene:ONIVA02G04290 transcript:ONIVA02G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTNELRIITAGKSLTVKYLAAYSWCQLPCHAVVVQVNLLQPLELPNGIRDVATEHIESKIKDNQIPELDHACTIKNSL >ONIVA02G04290.2 pep chromosome:AWHD00000000:2:2773803:2775199:1 gene:ONIVA02G04290 transcript:ONIVA02G04290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTNELSWCQLPCHAVVVQVNLLQPLELPNGIRDVATEHIESKIKDNQIPELDHACTIKNSL >ONIVA02G04280.1 pep chromosome:AWHD00000000:2:2771266:2773210:1 gene:ONIVA02G04280 transcript:ONIVA02G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDTSSSGKPPDNELRDKFSLSKPVRSPRDGEMLPSRPLDAREITSTTAPFPLQVMPSQVQQSVPFCHDTPRPPSFDSPERNWRRDSLSCSDRQVQ >ONIVA02G04270.1 pep chromosome:AWHD00000000:2:2762467:2787914:-1 gene:ONIVA02G04270 transcript:ONIVA02G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGQVYHLQFDVFYKMDAYYREKRRLGSQVGGASSTGSCTDQEKSFLLQFLAGLSEDGGLAVSWQNDTDCCTWEGITCGTDATITEISLVSKGLEGQISPYLANLTGLLHLNLSHNSLSGELPLEELVSSSSIVILDVSFNHLSGALKELSAHTTIRPLQVLNISSNLFAGQFPSTTWKVMNNLVALNASNNSFVGQILSSLCINAPSFAVLDLSFNQFGGSIPLDISNCSMLRVLKGGHNNFHGALPDELFNASSLEYLSFPDNFLNGVLDDANIIKLSKLSILDLEQNMFSGKIPKSIGQLKRLKELRLGENKLYGELPSTLGNCTNLKILDLKFNNLSGDLGKIDFSSLSNLTTIDLLVNNFSGTVPESIYACTNLIVLRIARNNFHGEFSQTMNRLRSLVFLSVADNAFTNIRTALHIFKTFRNLKMLLIGGNFKNEVLPEDETIDGFENLQHLSISGSSLYGKMPVWLSKLTNLEKLHLYDNQLTGSVPVWIKNLNFLFHLDITNNNFTGEILTTLIQLPVLKSKKMVSILNERVSKIIVCSGSRHQLLMGPATLNIGRNGFTGVIPPEIGQLKALDMLDLSYNSFSGEIPQAICKLTDLEMLDLSNNNLTGTIPLQLSKLHFLSAFNVSNNDLEGPIPTGGQFDTFDNSSFDGNPKLCGPMISRQCNSAKAIPTPAFYTDNFSVNIFGITVGLFFALGVLLDQMLLSRLGSSSNYNKLTMPTLCLVLALILFSASSISCCTDHERNCLLQFLAGLSQDGHGGLAASWPQGTDCCSWEGITCSSSTASKAVTITDILLASKKLEGSISPALGRLPGLQRLNLSHNSLSGGLPAEIMSSDSIVILDISFNLLNGDLQDSPSSSASGRRIQVINVSSNSFSGRFPSSSWEEMENLVVLNASNNSFTGPMPTFFCIRSSSFAMLDLSYNHFSGNLPPEIGNCSSLRLLKAGHNSLRGTLPDELFNVTSLEHLSFPNNGLQGVLDGAGMIKLRNLVVLDLGFNMFSGNIPDSIGKFKRLEEIHLHHNSMAGELTPAIGSCTNLKALNLGSNNFSGELGKVNFSKLSSLKSLHVSYNSFAGTIPESVYTCSNLNALQLSFNKFHGQLSFRITNLKSLTYLSLAENSFTNISNTLQILKSSRDLTTLLIGGNFRDEEISDDKTVDGFENLKVLAMENCPLFGNIPIWISKLKNLEMLFLFNNHLSGSIPVWISTLNSLFYLDLSNNSLSGEIPAELTEMPMLRSEMVTSHLDIKIFELPVYTGPSPKYFTVSDFPAVMILENNKLTGVIPTEIGQLKALLSLILGYNNLHGEIPQTILDLTNLEILDLSNNHLTGTIPADLNNLNFLSALNVSNNDLQGPVPTGGHLDTFPRSSFDGNPRLCGHILDQDCDDPVMVDSPQGSSRQGGYKVIFVIAFGAFFGVGPMPRQLSSSSNRDNSRFCMPPFGQALLLLLLCFVCHAGSCTEQERESLLQFLSGLSNDGGLGVSWQNGTDCCTWEGITCSGNGAVVEVISLASRGLEGSISPSLGDLTGLLRLNLSRNSLSGGLPLELVSSSSIVVLDVSFNYLTGGLSELPSSTPDRPLQMPTSFCASAPSFAVLELSYNQFSGRIPAGLSNCSKLTLLSASYNNLTGTLPDELFDLTSLKHLCFLRNQLEGSIKGITKLKNLVTIDLGQNRLSGSIPNSIGQLKRLEKLHLAYNSMSGELPSTVGNCRNLKNMNLGGNNFSGDLGNVNFSTLRNLQSLDLMSNNFTGTVPESIYSCRNLSALQLSNNSFHGQLSEKIRNLKCLSFVSLVDISLTNITGSLQILQSCRNLTTLLIGYNFMQETMPEDDEIYGFENLRIFSLNDCSLSGKIPKWLSKLTNLEMLSLYNNQLNGAIPDWISSLNFLFHIDISNNSLSGEIPSALVEMPMLKSDNVPPKVFELPICTGYALQYRINSAFPKVLNLGINNFTGIIPNEIGQLKALQLLNLSSNRLSGEIPESIYKLTNLQVLDLSSNNLTGTIPDGLNKLHFLSAFNISNNDLEGPVPNAGQLSTFPSTSFDGNPKLCGPMLARHCGLAQTPFVSTKQNADKLGRKPTKTCDTMIAVTISGHDRRPQRSQPGGAVKTDRDHSVLSEQTTDFNHLNNGTNCCVWEGITCNEDGAVIEVRLTSKGLEGQIAPSLGELTSLLRLNLSYNSLSGGLPAELMSSGSIVVLDVSFNRLNGDLQELNPSVSDRPLQVLNISSNRFTGEFPSITWEKMRNLVAINASNNSFTGHIPSSFCSNSPSFAVLDLGYNQFSGNIPPGIGKCSALRLLKANANNIRGPLPGDLFNATSLEYLSFANNGLQGTIDDALIVKLINLVFVDLGWNRFSGKIPNSIGQLKRLKELHICSNNLSGELPSSLGDCTKLVTINLRGNKLTGELAKVNYSNLPNLKTLDFASNHFTGKIPESIYSCSNLTWLRLSSNRLHGQLTKNIKNLNSITFLSLSYNNFTNIKNTLHILKSLRNLNVLLIGGNFMHEAMPQDETIDGFENIFGISIHDCALTGKIPSWLSKLGNLAVLDLSNNKLRGPIPTWINSLNFLKYADISNNSLSGEIPQALMEIPMLKSDKIADNSDPRAFPFLVYAGACLCFQYRTVTAFPKMLNLGNNKFTGAIPMEIGELKALVSLNLSFNNLNREIPQSISNLKNLMVLDLSYNHLTGAIPPALVNLHFLSEFNVSHNDLKGSVPIGGQFSTFPSSSFAGNPELCSPILLHRCNVAEVDLSSPNSTKEYINKVIFVIAFCVFFGVGVLYDQIVLSRYFRLN >ONIVA02G04270.2 pep chromosome:AWHD00000000:2:2758244:2787914:-1 gene:ONIVA02G04270 transcript:ONIVA02G04270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGQVYHLQFDVFYKMDAYYREKRRLGSQVGGASSTGSCTDQEKSFLLQFLAGLSEDGGLAVSWQNDTDCCTWEGITCGTDATITEISLVSKGLEGQISPYLANLTGLLHLNLSHNSLSGELPLEELVSSSSIVILDVSFNHLSGALKELSAHTTIRPLQVLNISSNLFAGQFPSTTWKVMNNLVALNASNNSFVGQILSSLCINAPSFAVLDLSFNQFGGSIPLDISNCSMLRVLKGGHNNFHGALPDELFNASSLEYLSFPDNFLNGVLDDANIIKLSKLSILDLEQNMFSGKIPKSIGQLKRLKELRLGENKLYGELPSTLGNCTNLKILDLKFNNLSGDLGKIDFSSLSNLTTIDLLVNNFSGTVPESIYACTNLIVLRIARNNFHGEFSQTMNRLRSLVFLSVADNAFTNIRTALHIFKTFRNLKMLLIGGNFKNEVLPEDETIDGFENLQHLSISGSSLYGKMPVWLSKLTNLEKLHLYDNQLTGSVPVWIKNLNFLFHLDITNNNFTGEILTTLIQLPVLKSKKMVSILNERVSKIIVCSGSRHQLLMGPATLNIGRNGFTGVIPPEIGQLKALDMLDLSYNSFSGEIPQAICKLTDLEMLDLSNNNLTGTIPLQLSKLHFLSAFNVSNNDLEGPIPTGGQFDTFDNSSFDGNPKLCGPMISRQCNSAKAIPTPAFYTDNFSVNIFGITVGLFFALGVLLDQMLLSRLGSSSNYNKLTMPTLCLVLALILFSASSISCCTDHERNCLLQFLAGLSQDGHGGLAASWPQGTDCCSWEGITCSSSTASKAVTITDILLASKKLEGSISPALGRLPGLQRLNLSHNSLSGGLPAEIMSSDSIVILDISFNLLNGDLQDSPSSSASGRRIQVINVSSNSFSGRFPSSSWEEMENLVVLNASNNSFTGPMPTFFCIRSSSFAMLDLSYNHFSGNLPPEIGNCSSLRLLKAGHNSLRGTLPDELFNVTSLEHLSFPNNGLQGVLDGAGMIKLRNLVVLDLGFNMFSGNIPDSIGKFKRLEEIHLHHNSMAGELTPAIGSCTNLKALNLGSNNFSGELGKVNFSKLSSLKSLHVSYNSFAGTIPESVYTCSNLNALQLSFNKFHGQLSFRITNLKSLTYLSLAENSFTNISNTLQILKSSRDLTTLLIGGNFRDEEISDDKTVDGFENLKVLAMENCPLFGNIPIWISKLKNLEMLFLFNNHLSGSIPVWISTLNSLFYLDLSNNSLSGEIPAELTEMPMLRSEMVTSHLDIKIFELPVYTGPSPKYFTVSDFPAVMILENNKLTGVIPTEIGQLKALLSLILGYNNLHGEIPQTILDLTNLEILDLSNNHLTGTIPADLNNLNFLSALNVSNNDLQGPVPTGGHLDTFPRSSFDGNPRLCGHILDQDCDDPVMVDSPQGSSRQGGYKVIFVIAFGAFFGVGPMPRQLSSSSNRDNSRFCMPPFGQALLLLLLCFVCHAGSCTEQERESLLQFLSGLSNDGGLGVSWQNGTDCCTWEGITCSGNGAVVEVISLASRGLEGSISPSLGDLTGLLRLNLSRNSLSGGLPLELVSSSSIVVLDVSFNYLTGGLSELPSSTPDRPLQMPTSFCASAPSFAVLELSYNQFSGRIPAGLSNCSKLTLLSASYNNLTGTLPDELFDLTSLKHLCFLRNQLEGSIKGITKLKNLVTIDLGQNRLSGSIPNSIGQLKRLEKLHLAYNSMSGELPSTVGNCRNLKNMNLGGNNFSGDLGNVNFSTLRNLQSLDLMSNNFTGTVPESIYSCRNLSALQLSNNSFHGQLSEKIRNLKCLSFVSLVDISLTNITGSLQILQSCRNLTTLLIGYNFMQETMPEDDEIYGFENLRIFSLNDCSLSGKIPKWLSKLTNLEMLSLYNNQLNGAIPDWISSLNFLFHIDISNNSLSGEIPSALVEMPMLKSDNVPPKVFELPICTGYALQYRINSAFPKVLNLGINNFTGIIPNEIGQLKALQLLNLSSNRLSGEIPESIYKLTNLQVLDLSSNNLTGTIPDGLNKLHFLSAFNISNNDLEGPVPNAGQLSTFPSTSFDGNPKLCGPMLARHCGLAQTPFVSTKQNADKLGRKPTKTCDTMIAVTISGHDRRPQRSQPGGAVKTDRDHSVLSEQTTDFNHLNVKILPLQCVLVVI >ONIVA02G04270.3 pep chromosome:AWHD00000000:2:2758233:2761960:-1 gene:ONIVA02G04270 transcript:ONIVA02G04270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSSYSAQSFPSFEEAATERNIDAIDLRELFIGRLRGFRFGGISPLPGHGANQEGEEDMANLEASAARRGAALSLRWQLPFAQPITHGWGEGGGGSGGDEMCSGGNLIHN >ONIVA02G04260.1 pep chromosome:AWHD00000000:2:2755686:2757830:-1 gene:ONIVA02G04260 transcript:ONIVA02G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGLATAALLLLLLAVATSAMACVEQEKSSLLRFIAELSQDGGIAMSWQNGTDCCVWEGITCNEDGVVIEVHLASKGLEGQISPSLGELTSLSRLNLSYNSLSGGLPAELMSSGSIVVLDVSFNRLNGDLQELNPSVSDQPLQVLNISSNRFTGEFPSITWEKMRNLVAINASNNSFTGHIPSSFCSSSTSFAVLDLGYNQFSGNIPPGIGKCSALRLLKADDNNIKGSFPGDLFNATSLEYLSFANNGLQGTIDDALVVKLINLVFVHLGWNRFSGKIPNSIGQLKRLEELHMNNNNLSGELPSSLGNCTNLATINLRSNSFTGELAKVNFSNLPNLKTLDLSTNYFTGIIPESIYSCSNLTWLRLSSNRLHGQLTNKIGNLKSIAFVSLSYNNFTNITNTIHILKSLRNLTTLLIGGNFMHEAMPQDETIDGFENIKGLSINDCTLSGKIPKWLSKLKKLKVLALYNNKLSGPIPTWINSLNFLKYVDISNNSLTGEIPAALMEMPMLKSDNVSDSSVPRGFPFSVYAGACLCFQYHTATALPKMLNLGNNKFTGVIPNEIGELKALVSLNLSFNNLNREIPQSISNLKNLMVLDLSYNHLTGAIPPALVNLHFLSEFNVSYNDLKGSVPIGGQFSTFPSSSFAGNPELCSPMLLHRCNVAEADLSPPSSKIDYINKVVPVIAFCVFFGIGVLYDQIVVSRYFRLNRLR >ONIVA02G04250.1 pep chromosome:AWHD00000000:2:2754978:2771241:1 gene:ONIVA02G04250 transcript:ONIVA02G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSWASESIRRSERAAPRRGAGSLEESDTKAMDFKFPILFVGEVNLSKLSRETVAPESKGRTFTYSRGDVATELVVPKIKNSKRCRAAAEGGRSPLRRLKLTSRTTMLPEDINSAGRPPDRELYDRLRRDKLVMPSHTQQSVPFCHDMAMPPSCDSSAMNRRRELFSCSTQAMAEVATARSSSNNAAVARPEEGIASLLLHGECCAICMNSLIGAAFGVICGNLEAKIPAATFSPTMSDGLGKRELPAKREGGAAASSGSLEVSHVFFPLLICAVARKGGNPPESKPPQPADEQLAQINRVDIGVVNLGKLTRQLVSPEVDEITWERPSDVVGISLEKSKGRTFTYSRGDVATELVVPKIKNSKRWRIAAEGGRSPLRRLKLTSRTTMLPEDISSAGRPPDRELYDRLRRNKLVMPSHTQQFVPFCHDMAMPPSCDSSARNRRRELFCSTQAMAEVATMRSSSNNAAVARPEYGIASLLLHGECGVICMNSLIPAICIVEVPVFPIGSSKTFGGTLSDFSIGISTKADGISPERLLFEMSIWNKKFRLLIQSAKDGALAQNEVGICPVNLLLLALSTTRLFILSHVVDGKFPVNKLLEMFNTCSGRSGVEDGSSLSPPVK >ONIVA02G04250.2 pep chromosome:AWHD00000000:2:2754978:2771241:1 gene:ONIVA02G04250 transcript:ONIVA02G04250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSWASESIRRSERAAPRRGAGSLEESDTKAMDFKFPILFVGEVNLSKLSRETVAPESKGRTFTYSRGDVATELVVPKIKNSKRCRAAAEGGRSPLRRLKLTSRTTMLPEDINSAGRPPDRELYDRLRRDKLVMPSHTQQSVPFCHDMAMPPSCDSSAMNRRRELFSCSTQAMAEVATARSSSNNAAVARPEEGIASLLLHGECCAICMNSLIGAAFGVICGNLEAKIPAATFSPTMSDGLGKRELPAKREGGAAASSGSLEIGVVNLGKLTRQLVSPEVDEITWERPSDVVGISLEKSKGRTFTYSRGDVATELVVPKIKNSKRWRIAAEGGRSPLRRLKLTSRTTMLPEDISSAGRPPDRELYDRLRRNKLVSSPSDGAICPSRPLEVRRTSMTAPSSLQVMPSHTQQFVPFCHDMAMPPSCDSSARNRRRELFCSTQAMAEVATMRSSSNNAAVARPEYGIASLLLHGECGVICMNSLIPAICIVEVPVFPRCFKEVRSNSSSGRVPLSSSTAKDGALAQNEVGICPVNLLLLALSTTRLFILSHVVDGKFPVNKLLEMFNTCSGRSGVEDGSSLSPPVK >ONIVA02G04250.3 pep chromosome:AWHD00000000:2:2754978:2771241:1 gene:ONIVA02G04250 transcript:ONIVA02G04250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSWASESIRRSERAAPRRGAGSLEESDTKAMDFKFPILFVGEVNLSKLSRETVAPESKGRTFTYSRGDVATELVVPKIKNSKRCRAAAEGGRSPLRRLKLTSRTTMLPEDINSAGRPPDRELYDRLRRDKLVMPSHTQQSVPFCHDMAMPPSCDSSAMNRRRELFSCSTQAMAEVATARSSSNNAAVARPEEGIASLLLHGECCAICMNSLIGAAFGVICGNLEAKIPAATFSPTMSDGLGKRELPAKREGGAAASSGSLEVSHVFFPLLICAVARKGGNPPESKPPQPADEQLAQINRVDIGVVNLGKLTRQLVSPEVDEITWERPSDVVGISLEKSKGRTFTYSRGDVATELVVPKIKNSKRWRIAAEGGRSPLRRLKLTSRTTMLPEDISSAGRPPDRELYDRLRRNKLVSSPSDGAICPSRPLEVRRTSMTAPSSLQVMPSHTQQFVPFCHDMAMPPSCDSSARNRRRELFCSTQAMAEVATMRSSSNNAAVARPEYGIASLLLHGECGVICMNSLIPAICIVEVPVFPIGSSKTFGGTLSDFSIGISTKADGISPERLLFEMSIWNKKFRLLIQSAKDGALAQNEVGICPVNLLLLALSTTRLFILSHVVDGKFPVNKLLEMFNTCSGRSGVEDGSSLSPPVK >ONIVA02G04250.4 pep chromosome:AWHD00000000:2:2754978:2771241:1 gene:ONIVA02G04250 transcript:ONIVA02G04250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSWASESIRRSERAAPRRGAGSLEESDTKAMDFKFPILFVGEVNLSKLSRETVAPESKGRTFTYSRGDVATELVVPKIKNSKRCRAAAEGGRSPLRRLKLTSRTTMLPEDINSAGRPPDRELYDRLRRDKLVMPSHTQQSVPFCHDMAMPPSCDSSAMNRRRELFSCSTQAMAEVATARSSSNNAAVARPEEGIASLLLHGECCAICMNSLIGAAFGVICGNLEAKIRGPCLPAKDGALAQNEVGICPVNLLLLALSTTRLFILSHVVDGKFPVNKLLEMFNTCSGRSGVEDGSSLSPPVK >ONIVA02G04250.5 pep chromosome:AWHD00000000:2:2754861:2771241:1 gene:ONIVA02G04250 transcript:ONIVA02G04250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSWASESIRRSERAAPRRGAGSLEVRGDVATELVVPKIKNSKRWRIAAEGGRSPLRRLKLTSRTTMLPEDISSAGRPPDRELYDRLRRNKLVSSPSDGAICPSRPLEVRRTSMTAPSSLQVMPSHTQQFVPFCHDMAMPPSCDSSARNRRRELFCSTQAMAEVATMRSSSNNAAVARPEYGIASLLLHGECGVICMNSLIPAICIVEVPVFPRCFKEVRSNSSSGRVPLSSSTAKDGALAQNEVGICPVNLLLLALSTTRLFILSHVVDGKFPVNKLLEMFNTCSGRSGVEDGSSLSPPVK >ONIVA02G04240.1 pep chromosome:AWHD00000000:2:2754187:2754514:-1 gene:ONIVA02G04240 transcript:ONIVA02G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREARRSMATASEEVLLSIEITGSAYSLSSSMTAPKEAVELSRVISSLAIPRSLMVKTLASERRKINVNNLERKGNKVCFVLENVSYNSL >ONIVA02G04230.1 pep chromosome:AWHD00000000:2:2742195:2744000:-1 gene:ONIVA02G04230 transcript:ONIVA02G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPTIPHSSYSNRSSSSLIQILLMMILFASPAISCTEEDRSSLLRFLAGLSHDGGLAASWRPDVDCCHAWEGVVCDDEGTVTEVSLQSRGLHGSISLSSLAGLTSLTRLNLSHNALSGSLPPELMYSASLVVLDVSFNSLDGVLPPLPMLMTGLKHPLQLQVLNISTNNLHGEIPESIGQLKKLEVIRLSNNNMSGNLPSSLGNCTRLTTIDLKMNSFSGDLGSVDFSSLHNLRALDLLHNDFSGVIPESIYSCNNLTALRLSSNQIHGEISSKIGDLKYLSFLSITENSFSDIAKTLHAFKSSRNLTTLFIGENFWGEVIPQDETIESLESIRHLSIYRCSLIGNIPLWLSKLKNLEVLDLSNNQLTGPMPSWLNSFNNLFYLDVSNNSLTGQIPATLIEIPMLKSDDYKAHRTILFDLPVYVTTLSRQYRAVTSFPALLNLSANSFTSVIPPKIGELKALTHLDFSSNQLQGEIPPSICNLTNLQVLDLSRNYLTGPIPEALNKLNFLSKFNISDNDLEGPIPTGGQMNTFSSSSFAGNPKLCGSMLAPCGSVEVAHTIPTISEDQQCSSKTISAIAFGVFFGIGVLYDQLVLSRYYG >ONIVA02G04220.1 pep chromosome:AWHD00000000:2:2731121:2742158:-1 gene:ONIVA02G04220 transcript:ONIVA02G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTCVLVSNLKNLMVLDLSYNHLTGAIPSSLANLHFLSEFNISYNDLEGPVPVIGHYAALCFCTHCNSAEASPVSIISTKQYIDKVVFAVALGLLFGVGTITLHTIPAYLGKSSSQLIQTMKPHSSRSNKTSRFRINFFDPAFVLLLTFISPVNSCTEQERHSLLRFLAGLSQDSGLAASWQNSTDCCTWEGIICGEDGAVTEISLASRGLQGRISLSLRELTSLSRLNLSYNLLSGGLPSELISTSSIVVLDVSFNRLDGELQELNSSSPERPLQVLNISSNLFTGAFPSTTWEKTSSLFAINASNNSFTGYIPSTFCISSSSFAVLDLSYNQFSGNIPHGIGKCCSLRMLKVGHNNIIGTLPYDLFSAISLEYLSFANNGLQGTINGALIIKLRNLVFVDLGWNRFSGKIPDSIGQLKKLEELHMCSNNLSGELPSSLGECTNLVTINLRSNKLEGELAKVNFSNLHNLKKIDFGSNNFTGTIPESIYSCSNLTWLRLSSNRLHGQLTKNIGNLKSITFLSLSYNNFTNITNTLHILKSLRNLNVLLIGGNFKNEAMPQDEAIDGFENILCLAIEDCALSGKIPNWFSKLRNLQILVLHNNQLNGPIPTWTSSLKFLKYVDISNNNLTGEIPAGLMEMAMLKSDKVADNSDPIAFPLPYHTATALPKMLNLGNNKFTGAIPMEIGELKALVSLNLSFNNLNSEIPQSMNNLKNLMVLDLSYNHLTGAIPPALMNLHFLSKFNVSYNDLEGPVPIGGQFSTFPSSSFAGNPKLCSPMLLHHCNSAEEDLSSSNSTKDPSRFGRRRRFGTTTYGHSCVDGGGGGSAGRRAMARLVFNVAEAPVGFGVGVGVGGWMTGEPMSLTNMSRTRVHFPSGPTIVGLMKEKAHNGTKNMGRIQVVIPFRPHDSSLTMSWRNNTDCCTWDGIICSMDGAVTELLLSSRGLEGQISPSLGELTSLSRLNLSYNSLSGGLPVELMSSSSIIVLDVCFNRLGREVQELNSSVLNISSNRFTGDFPSTTWEKMRNLVVINASNNSFTGYIPSSFCISSPSFTVLDLSYNRFSGNIPPGIGNCSALKMFKAGYNNISGTLPDELFDAISLEYLSFPNNGLQGRIDGTHLIKLKNLATLDLRWNQLTGKIPDSINQLKQLEELHLCSNMMSGELPGKLGSCTNLKVIDLKHNNFYGDLGKVDFSALHNLRTLDLYLNNFTGTIPVSIYSCRNLKALRTMTTLLIGRNFRGEIMPQDENIDGFGNLQVLDISGCLLSGNIPQWISRLKNLEMLILSANRLTGPIPGEISPIIGQLEVHVLDFSFNNLSGKIPQSICNLTNLQVIHLSNNHLTDAIPPGLSNLHFLSAFNVSNNDLEGPIPTGGQFDTFPDSSFRGNPKICSPIVARRCNSTEEALTSPISTKQYIDKTVFVIAFGVSFGVGVTSLKLCSDLKYSHYSKAYSVAPQSPAPSKMNTPKENLIGQLVICQRDSVNAVYAPKSRKLGRN >ONIVA02G04220.2 pep chromosome:AWHD00000000:2:2731121:2742158:-1 gene:ONIVA02G04220 transcript:ONIVA02G04220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTCVLVSNLKNLMVLDLSYNHLTGAIPSSLANLHFLSEFNISYNDLEGPVPVIGHYAALCFCTHCNSAEASPVSIISTKQYIDKVVFAVALGLLFGVGTITLHTIPAYLGKSSSQLIQTMKPHSSRSNKTSRFRINFFDPAFVLLLTFISPVNSCTEQERHSLLRFLAGLSQDSGLAASWQNSTDCCTWEGIICGEDGAVTEISLASRGLQGRISLSLRELTSLSRLNLSYNLLSGGLPSELISTSSIVVLDVSFNRLDGELQELNSSSPERPLQVLNISSNLFTGAFPSTTWEKTSSLFAINASNNSFTGYIPSTFCISSSSFAVLDLSYNQFSGNIPHGIGKCCSLRMLKVGHNNIIGTLPYDLFSAISLEYLSFANNGLQGTINGALIIKLRNLVFVDLGWNRFSGKIPDSIGQLKKLEELHMCSNNLSGELPSSLGECTNLVTINLRSNKLEGELAKVNFSNLHNLKKIDFGSNNFTGTIPESIYSCSNLTWLRLSSNRLHGQLTKNIGNLKSITFLSLSYNNFTNITNTLHILKSLRNLNVLLIGGNFKNEAMPQDEAIDGFENILCLAIEDCALSGKIPNWFSKLRNLQILVLHNNQLNGPIPTWTSSLKFLKYVDISNNNLTGEIPAGLMEMAMLKSDKVADNSDPIAFPLPYHTATALPKMLNLGNNKFTGAIPMEIGELKALVSLNLSFNNLNSEIPQSMNNLKNLMVLDLSYNHLTGAIPPALMNLHFLSKFNVSYNDLEGPVPIGGQFSTFPSSSFAGNPKLCSPMLLHHCNSAEEDLSSSNSTKDPSRFGRRRRFGTTTYGHSCVDGGGGGSAGRRAMARLVFNVAEAPVGFGVGVGVGGWMTGEPMSLTNMSRTRVHFPSGPTIVGLMKEKAHNGTKNMGRIQVVIPFRPHDSSLTMSWRNNTDCCTWDGIICSMDGAVTELLLSSRGLEGQISPSLGELTSLSRLNLSYNSLSGGLPVELMSSSSIIVLDVCFNRLGREVQELNSSVLNISSNRFTGDFPSTTWEKMRNLVVINASNNSFTGYIPSSFCISSPSFTVLDLSYNRFSGNIPPGIGNCSALKMFKAGYNNISGTLPDELFDAISLEYLSFPNNGLQGRIDGTHLIKLKNLATLDLRWNQLTGKIPDSINQLKQLEELHLCSNMMSGELPGKLGSCTNLKVIDLKHNNFYGDLGKVDFSALHNLRTLDLYLNNFTGTIPVSIYSCRNLKALRTMTTLLIGRNFRGEIMPQDENIDGFGNLQVLDISGCLLSGNIPQWISRLKNLEMLILSANRLTGPIPGWINSLNLLFFIDMSDNRLTEEIPINLMNMTMLRSEKYVTHVDPRVFEIPDYNGPSLQYRALTAFPTLLNLSYNSFTGEISPIIGQLEVHVLDFSFNNLSGKIPQSICNLTNLQVIHLSNNHLTDAIPPGLSNLHFLSAFNVSNNDLEGPIPTGGQFDTFPDSSFRGNPKICSPIVARRCNSTEEALTSPISTKQYIDKTVFVIAFGVSFGVGVTSLKLCSDLKYSHYSKAYSVAPQSPAPSKMNTPKENLIGQLVICQRDSVNAVYAPKSRKLGRN >ONIVA02G04220.3 pep chromosome:AWHD00000000:2:2731121:2742158:-1 gene:ONIVA02G04220 transcript:ONIVA02G04220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTCVLVSNLKNLMVLDLSYNHLTGAIPSSLANLHFLSEFNISYNDLEGPVPVIGHYAALCFCTHCNSAEASPVSIISTKQYIDKVVFAVALGLLFGVGTITLHTIPAYLGKSSSQLIQTMKPHSSRSNKTSRFRINFFDPAFVLLLTFISPVNSCTEQERHSLLRFLAGLSQDSGLAASWQNSTDCCTWEGIICGEDGAVTEISLASRGLQGRISLSLRELTSLSRLNLSYNLLSGGLPSELISTSSIVVLDVSFNRLDGELQELNSSSPERPLQVLNISSNLFTGAFPSTTWEKTSSLFAINASNNSFTGYIPSTFCISSSSFAVLDLSYNQFSGNIPHGIGKCCSLRMLKVGHNNIIGTLPYDLFSAISLEYLSFANNGLQGTINGALIIKLRNLVFVDLGWNRFSGKIPDSIGQLKKLEELHMCSNNLSGELPSSLGECTNLVTINLRSNKLEGELAKVNFSNLHNLKKIDFGSNNFTGTIPESIYSCSNLTWLRLSSNRLHGQLTKNIGNLKSITFLSLSYNNFTNITNTLHILKSLRNLNVLLIGGNFKNEAMPQDEAIDGFENILCLAIEDCALSGKIPNWFSKLRNLQILVLHNNQLNGPIPTWTSSLKFLKYVDISNNNLTGEIPAGLMEMAMLKSDKVADNSDPIAFPLPYHTATALPKMLNLGNNKFTGAIPMEIGELKALVSLNLSFNNLNSEIPQSMNNLKNLMVLDLSYNHLTGAIPPALMNLHFLSKFNVSYNDLEGPVPIGGQFSTFPSSSFAGNPKLCSPMLLHHCNSAEEDLSSSNSTKDPSRFGRRRRFGTTTYGHSCVDGGGGGSAGRRAMARLVFNVAEAPVGFGVGVGVGGWMTGEPMSLTNMSRTRVHFPSGPTIVGLMKEKAHNGTKNMGRIQVVIPFRPHDSSLTMSWRNNTDCCTWDGIICSMDGAVTELLLSSRGLEGQISPSLGELTSLSRLNLSYNSLSGGLPVELMSSSSIIVLDVCFNRLGREVQELNSSVLNISSNRFTGDFPSTTWEKMRNLVVINASNNSFTGYIPSSFCISSPSFTVLDLSYNRFSGNIPPGIGNCSALKMFKAGYNNISGTLPDELFDAISLEYLSFPNNGLQGRIDGTHLIKLKNLATLDLRWNQLTGKIPDSINQLKQLEELHLCSNMMSGELPGKLGSCTNLKVIDLKHNNFYGDLGKVDFSALHNLRTLDLYLNNFTGTIPVSIYSCRNLKALRLSANHLHGELSTGIINLKYLSFLSLANNNFTNITNALQVLKSCRTMTTLLIGRNFRGEIMPQDENIDGFGNLQVLDISGCLLSGNIPQWISRLKNLEMLILSANRLTGPIPGWINSLNLLFFIDMSDNRLTEEIPINLMNMTMLRSEKYVTHVDPRVFEIPDYNGPSLQYRALTAFPTLLNLSYNSFTGEISPIIGQLEVHVLDFSFNNLSGKIPQSICNLTNLQVIHLSNNHLTDAIPPGLSNLHFLSAFNVSNNDLEGPIPTGGQFDTFPDSSFRGNPKICSPIVARRCNSTEEALTSPISTKQYIDKTVFVIAFGVSFGVGVTSLKLCSDLKYSHYSKAYSVAPQSPAPSKMNTPKENLIGQLVICQRDSVNAVYAPKSRKLGRN >ONIVA02G04210.1 pep chromosome:AWHD00000000:2:2733073:2738448:1 gene:ONIVA02G04210 transcript:ONIVA02G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEEGMYPVKLLLLALMTTRFLIFSHVVDGKSPVNRLLEMFSTCSGQSHTDEFNSCTSLPRRLKQTSRTMMLLEDISSTGRPPESELYDRLRRDKLVSSPRDGEICPSRPLEDSSSSVTAPSMLQMIPSQVQQSVLFLHDMVRLLSCESPARKWRRELCSCSVHELTGVTRVSNSKTRARPNKCMQKLLLLLLLEEWSIGFMPPTPTPTPKPTGASATLKTSLAMALLPAEPPPPPSTHEWPYVVVPNRRRRPKREGLRPQRCSPGAAKATGDNEMRPCRPLEASDISVTAPSSPQMIPSHVQQSVLFCHDAARPLSCESPARNRRRECLSCSVHELTGEMKVSSNTKAGSKKFMRNLLVLLLREECGFMVCISCTAYNRTLCFF >ONIVA02G04210.2 pep chromosome:AWHD00000000:2:2733073:2738448:1 gene:ONIVA02G04210 transcript:ONIVA02G04210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEEGMYPVKLLLLALMTTRFLIFSHVVDGKSPVNRLLEMFSTCSGQSHTDEFNSCTSLPRRLKQTSRTMMLLEDISSTGRPPESELYDRLRRDKLVSSPRDGEICPSRPLEDSSSSVTAPSMLQMIPSQVQQSVLFLHDMVRLLSCESPARKWRRELCSCSVHELTGVTRVSNSKTRARPNKCMQKLLLLLLLEEWSIGFMPPTPTPTPKPTGASATLKTSLAMALLPAEPPPPPSTHEWPYVVVPNRRRRPKREGLRPQRCTAYNRTLCFF >ONIVA02G04210.3 pep chromosome:AWHD00000000:2:2730852:2734384:1 gene:ONIVA02G04210 transcript:ONIVA02G04210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEEGMYPVKLLLLALMTTRFLIFSHVVDGKSPVNRLLEMFSTCSGQSHTDEFNSCTSLPRRLKQTSRTMMLLEDISSTGRPPESELYDRLRRDKLVSSPRDGEICPSRPLEDSSSSVTAPSMLQMIPSQVQQSVLFLHDMVRLLSCESPARKWRRELCSCSVHELTGVTRVSNSKTRARPNKCMQKLLLLLLLEEWSIGFMVSISVGNCYGLSSLICQERLILCAKP >ONIVA02G04200.1 pep chromosome:AWHD00000000:2:2725724:2727853:1 gene:ONIVA02G04200 transcript:ONIVA02G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSGLAAAALLLLLLSMANSTIACLEQEKSSLLRFLAGLSHDNGIAMSWRNGIDCCVWEGITCSEDGAIIEVYLVSKGLEGQISPSLGELRSLLYLNLSYNLLSGGLPEELMSSGSIIVLDVSFNRLDGDLQELNSSVSDRPLQVLNISSNRFTGEFPSTTWEKMRSLVAINASNNSFTGQIPSSFCTGLPSFAMLDVSYNQFSGSIPPGIGKCTALKVLKAGHNNISGALPDDLFHATSLECLSFPNNDLQGTIDGVLMIKLSNLVFLDLAWNRFSGTIPDSIGKLKRLQEFHMNNNNISGELPSSLGDCTNVITINLENNKLAGELSKVNFSNLHNLQALGLSSNYFTGTIPDSIYSCGTLTWLRLSRNKLQGQLTEKLENLKSLTFVSLSYNNFTNITGSLHILKSLRNLTSLLIGSNFIHEAMPEDETIDGFENLHVLAINNCALTGKIPNWLSKLKKLELLLLHNNQLSGPIPTWINSLNFLKYIDLSNNSLIGDIPTALMEMPMLKSDKIEDHPDGPRVSPFTIYVGVSLCFQYRAASAFPKMLNLGNNKLSGLIPVKIGQLKALLSLNLSFNNLHGEIPQSISDIKNLMGLDLSSNHLTGAIPSALVNLHFLSEFNVSYNDLQGPVPIGGQFSTFPSSSFAGNPKLCSPMLVQHCNLAEAAPTSPTSTKQYIDKVVFAIGFGVFFGIGVLYDQTIISRYFG >ONIVA02G04190.1 pep chromosome:AWHD00000000:2:2725580:2728548:-1 gene:ONIVA02G04190 transcript:ONIVA02G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIRSGGLEERDTKVPVEAVEADIKDDDAARGHQLLRKATGEQVVGQVEVMPSHTQQSMPFRHDMAMPLSCDSPARNRRRELFSCSRHAMVELAMESSSNNKAAAARPEKGIASLLLHRECGASFMISPITAVFGLRIWQLIFVREKLHCSVRIYIHN >ONIVA02G04190.2 pep chromosome:AWHD00000000:2:2725580:2728548:-1 gene:ONIVA02G04190 transcript:ONIVA02G04190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIRSGGLEVPVEAVEADIKDDDAARGHQLLRKATGEQVVGQVEVMPSHTQQSMPFRHDMAMPLSCDSPARNRRRELFSCSRHAMVELAMESSSNNKAAAARPEKGIASLLLHRECGASFMISPITAVFGLRIWQLIFVREKLHCSVRIYIHN >ONIVA02G04180.1 pep chromosome:AWHD00000000:2:2723597:2724429:1 gene:ONIVA02G04180 transcript:ONIVA02G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYKVQMSTLSRSSLSFDGNPKLCGPVLGNDCDDSVEAASSQPSLKKNPASKSHCSDCLWRVLWNRGAKKFGTKLGLVNGVIVTMDREGRTYGPSSTAINKAGTIISIRKSVCKFICDILFFRTGYRDIFDIVVALVCWSIWKERNARIFEHRMRTPEHLVDDVKEETLVWKTAGVIKPCNNENH >ONIVA02G04170.1 pep chromosome:AWHD00000000:2:2716442:2719377:1 gene:ONIVA02G04170 transcript:ONIVA02G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIPEERDMKKEEGMCPLKLLLLALIATRLLIFSHVVEGNAPVNRLLEIFSTWRGRSGDDEFSSCNSPSRRLKLTSRTTMLLNISSDGSSLESKLYDRLSRDKLLKLTSRTTMLLVDISSDGSPPESKLYDRLSRDKLPLEASNSSVTAPSSPQMIPSQVQQSVLFCHDAARPPSCDSLVRNWRRERLSLSVHEPTGEMKVNSSNTRTGPKKFMQNLLVLLLHEKCGIGFMVCISWKL >ONIVA02G04170.2 pep chromosome:AWHD00000000:2:2716442:2719375:1 gene:ONIVA02G04170 transcript:ONIVA02G04170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIPEIGQGGEVNLGKLSLQLIFLEVDSDDEFSSCNSPSRRLKLTSRTTMLLNISSDGSSLESKLYDRLSRDKLLKLTSRTTMLLVDISSDGSPPESKLYDRLSRDKLPLEASNSSVTAPSSPQMIPSQVQQSVLFCHDAARPPSCDSLVRNWRRERLSLSVHEPTGEMKVNSSNTRTGPKKFMQNLLVLLLHEKCGIGFMVCISWKL >ONIVA02G04170.3 pep chromosome:AWHD00000000:2:2716445:2719375:1 gene:ONIVA02G04170 transcript:ONIVA02G04170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIPEIGQGGEVNLGKLSLQLIFLEVDSDDEFSSCNSPSRRLKLTSRTTMLLNISSDGSSLESKLYDRLSRDKLLKLTSRTTMLLPLEASNSSVTAPSSPQMIPSQVQQSVLFCHDAARPPSCDSLVRNWRRERLSLSVHEPTGEMKVNSSNTRTGPKKFMQNLLVLLLHEKCGIGFMVCISWKL >ONIVA02G04170.4 pep chromosome:AWHD00000000:2:2716442:2719375:1 gene:ONIVA02G04170 transcript:ONIVA02G04170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIPEKEEGMCPLKLLLLALIATRLLIFSHVVEGNAPVNRLLEIFSTWRGRSGDDEFSSCNSPSRRLKLTSRTTMLLNISSDGSSLESKLYDRLSRDKLLKLTSRTTMLLVDISSDGSPPESKLYDRLSRDKLPLEASNSSVTAPSSPQMIPSQVQQSVLFCHDAARPPSCDSLVRNWRRERLSLSVHEPTGEMKVNSSNTRTGPKKFMQNLLVLLLHEKCGIGFMVCISWKL >ONIVA02G04170.5 pep chromosome:AWHD00000000:2:2716442:2719375:1 gene:ONIVA02G04170 transcript:ONIVA02G04170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIPEKEEGMCPLKLLLLALIATRLLIFSHVVEGNAPVNRLLEIFSTWRGRSGDDEFSSCNSPSRRLKLTSRTTMLLNISSDGSSLESKLYDRLSRDKLLKLTSRTTMLLMIPSQVQQSVLFCHDAARPPSCDSLVRNWRRERLSLSVHEPTGEMKVNSSNTRTGPKKFMQNLLVLLLHEKCGIGFMVCISWKL >ONIVA02G04160.1 pep chromosome:AWHD00000000:2:2698623:2709884:1 gene:ONIVA02G04160 transcript:ONIVA02G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRKRKGNAPVNWLLEIFSTCSGWLDTDEFSSCRLPLRWLKLTSRTTMLPEDISSAGRPPVSELYDRLRCDKLVSSPSDGEILPSRPLEARETSMTAPSSLQVMPSHAQQSMPFRHDMAMPLSCPSPARNRRRELFSCSRHAVVETAMGSSNSSRHNEEAARPEKGITTLLLHAEWGAIFMSGDIDFVPTAGGGGGGRARQRQGRPQAIWSARLTSRDYMEAVGYALECHWLRISGPLVGKRRLKLTSRTTMLLVDISSDGSPPESKLYDRLSRDKLIIPSQVQQFGLFCHDTARPPSCDNPARNRRRECLSCLVHESTGEMKTV >ONIVA02G04160.2 pep chromosome:AWHD00000000:2:2698623:2709884:1 gene:ONIVA02G04160 transcript:ONIVA02G04160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRKRKGNAPVNWLLEIFSTCSGWLDTDEFSSCRLPLRWLKLTSRTTMLPEDISSAGRPPVSELYDRLRCDKLVMPSHAQQSMPFRHDMAMPLSCPSPARNRRRELFSCSRHAVVETAMGSSNSSRHNEEAARPEKGITTLLLHAEWGAIFMSGDIDFVPTAGGGGGGRARQRQGRPQAIWSARLTSRDYMEAVGYALECHWLRISGPLVGKRRLKLTSRTTMLLVDISSDGSPPESKLYDRLSRDKLVSSLRDDEMHPCRPLEASNSSVTAPSSPQIIPSQVQQFGLFCHDTARPPSCDNPARNRRRECLSCLVHESTGEMKTV >ONIVA02G04160.3 pep chromosome:AWHD00000000:2:2698623:2709884:1 gene:ONIVA02G04160 transcript:ONIVA02G04160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRKRKVAVEVVEADIKDNDATRGHQLCRKATGERVVRQVEVMPSHAQQSMPFRHDMAMPLSCPSPARNRRRELFSCSRHAVVETAMGSSNSSRHNEEAARPEKGITTLLLHAEWGAIFMSGDIDFVPTAGGGGGGRARQRQGRPQAIWSARLTSRDYMEAVGYALECHWLRISGPLVGKRRLKLTSRTTMLLVDISSDGSPPESKLYDRLSRDKLVSSLRDDEMHPCRPLEASNSSVTAPSSPQIIPSQVQQFGLFCHDTARPPSCDNPARNRRRECLSCLVHESTGEMKTV >ONIVA02G04160.4 pep chromosome:AWHD00000000:2:2698623:2709884:1 gene:ONIVA02G04160 transcript:ONIVA02G04160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRKRKGNAPVNWLLEIFSTCSGWLDTDEFSSCRLPLRWLKLTSRTTMLPEDISSAGRPPVSELYDRLRCDKLVSSPSDGEILPSRPLEARETSMTAPSSLQVMPSHAQQSMPFRHDMAMPLSCPSPARNRRRELFSCSRHAVVETAMGSSNSSRHNEEAARPEKGITTLLLHAEWGAIFMSGDIDFVPTAGGGGGGRARQRQGRPQAIWSARLTSRDYMEAVGYALECHWLRISGPLVGKRRLKLTSRTTMLLVDISSDGSPPESKLYDRLSRDKLVSSLRDDEMHPCRPLEASNSSVTAPSSPQIIPSQVQQFGLFCHDTARPPSCDNPARNRRRECLSCLVHESTGEMKTV >ONIVA02G04160.5 pep chromosome:AWHD00000000:2:2698623:2709884:1 gene:ONIVA02G04160 transcript:ONIVA02G04160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRKRKGNAPVNWLLEIFSTCSGWLDTDEFSSCRLPLRWLKLTSRTTMLPEDISSAGRPPVSELYDRLRCDKLVSSPSDGEILPSRPLEARETSMTAPSSLQVMPSHAQQSMPFRHDMAMPLSCPSPARNRRRELFSCSRHAVVETAMGSSNSSRHNEEAARPEKGITTLLLHAEWGAIFMSGDIDFVPTAGGGGGGRARQRQGRPQAIWSARLTSRDYMEAVGYALEGKRRLKLTSRTTMLLVDISSDGSPPESKLYDRLSRDKLVSSLRDDEMHPCRPLEASNSSVTAPSSPQIIPSQVQQFGLFCHDTARPPSCDNPARNRRRECLSCLVHESTGEMKTV >ONIVA02G04160.6 pep chromosome:AWHD00000000:2:2698623:2709884:1 gene:ONIVA02G04160 transcript:ONIVA02G04160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRKRKGNAPVNWLLEIFSTCSGWLDTDEFSSCRLPLRWLKLTSRTTMLPEDISSAGRPPVSELYDRLRCDKLVSSPSDGEILPSRPLEARETSMTAPSSLQVMPSHAQQSMPFRHDMAMPLSCPSPARNRRRELFSCSRHAVVETAMGSSNSSRHNEEAARPEKGITTLLLHAEWGAIFMSGDIDFVPTAGGGGGGRARQRQGRPQAIWSARLTSRDYMEAVGYALECHWLRISGPLVTV >ONIVA02G04160.7 pep chromosome:AWHD00000000:2:2699905:2709884:1 gene:ONIVA02G04160 transcript:ONIVA02G04160.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRKRKVAVEVVEADIKDNDATRGHQLCRKATGERVVRQVEVMPSHAQQSMPFRHDMAMPLSCPSPARNRRRELFSCSRHAVVETAMGSSNSSRHNEEAARPEKGITTLLLHAEWGAIFMSGDIDFVPTAGGGGGGRARQRQGRPQAIWSARLTSRDYMEAVGYALECHWLRISGPLVGKRRLKLTSRTTMLLVDISSDGSPPESKLYDRLSRDKLVSSLRDDEMHPCRPLEASNSSVTAPSSPQIIPSQVQQFGLFCHDTARPPSCDNPARNRRRECLSCLVHESTGEMKTV >ONIVA02G04160.8 pep chromosome:AWHD00000000:2:2698623:2709884:1 gene:ONIVA02G04160 transcript:ONIVA02G04160.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRKRKGNAPVNWLLEIFSTCSGWLDTDEFSSCRLPLRWLKLTSRTTMLPEDISSAGRPPVSELYDRLRCDKLVSSPSDGEILPSRPLEARETSMTAPSSLQVMPSHAQQSMPFRHDMAMPLSCPSPARNRRRELFSCSRHAVVETAMGSSNSSRHNEEAARPEKGITTLLLHAEWGAIFMSGDIDFVPTAGGGGGGRARQRQGRPQAIWSARLTSRDYMEAVGYALETV >ONIVA02G04160.9 pep chromosome:AWHD00000000:2:2698623:2702112:1 gene:ONIVA02G04160 transcript:ONIVA02G04160.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRKRKGNAPVNWLLEIFSTCSGWLDTDEFSSCRLPLRWLKLTSRTTMLPEDISSAGRPPVSELYDRLRCDKLVSSPSDGEILPSRPLEARETSMTAPSSLQVMPSHAQQSMPFRHDMAMPLSCPSPARNRRRELFSCSRHAVVETAMGSSNSSRHNEEAARPEKGITTLLLHAEWGAIFMVSLITDLWS >ONIVA02G04150.1 pep chromosome:AWHD00000000:2:2698375:2708850:-1 gene:ONIVA02G04150 transcript:ONIVA02G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQSWHSNKTRRFCINFFDPALVLLLLTFISPVDSCTKQERHSLLRFLAGLSQDGGLAVSWQNSPNCCTWEGIICGEDGAVTELLLASRGLQGCISSSLSELTSLSRLNLSYNLLSGGLPSELISTSSIVVLDVSFNRLDGELHELNSSSPDRPLQVLNISSNLFTGAFPSTTWEKMSNLFAINASNNSFTGYIPSTFCISSSSFAMLDLSYNQFSGNIPHGIGKCCSLRMLKAGHNNIIGTLPDDLFSATSLEYLSFANNGLQGTINGALIIKLSNLVFVDLGWNRFSGKIPNSIGQLKRLEELHMSSNNLSGELPSSLGECTYLVTINLSSNKFTGELANVNFSNLPNLKALDFSGNDFTGTIPESIYSCSNLTSLRLSANRLHGQLTKNIGNLKSIIFLSISYNNFTNITNTLHILKSLRNLSVLLMGSNFKNEAMPQDEKIDGFKNILGLGINDCALSGKVPNWFSKLRNLQVLVLYNNQLSGPIPTWINSLNFLKYVDISNNSLSGEIPAALMEMPMLKSDKIADYTDPRLFQFPVYVGCMCFQYRTITAFPKMLNLGNNKLTGAIPMEIGELKALVSLNLSFNNLNGEIPQLVTNLRNLMVLDLSYNHLTGAIPSALVSLHFLSEFNISYNDLEGPVPIGGQFSTFPSSSFAGNPKLCSPMLVHYCNLAEAAPTSPTSRKQYIDQVVFAIAFGVFFGVVVYIYAQRTMSFFANISCQIQLQRSVIRETMKMAPHSAWRSRVVMPFSGLAASSLCLLLLLLPMAVSTTACLEQEKSSLLRFLAGLGHDNGIAMSWRNGMDCCAWEGITCSEDGAVIEVSLASKGLEGRISPSLGELTSLSHLNLSYNSLTGGLPAELMSSGSIVVLDVSFNHLNGNLQELNSSVSNQPLQVLNISSNQFTGAFPSTTWEKMRNLVAINASNNSFTGHIPSSFCIGSPSFAVIDIGYNQFSGSIPPGICNCSALRMLKAGNNNISGPLLDDLFRATSLEYLSFANNGLQGTINGALIIKLRNLVFVDLGWNRISGKIPNSIGQLKRLEELHMSSNNLSGELPASLGECTNLVIINLGTNKFTGELANVNFSNLPNLKALDFSWNNFTGTIPESIYSCSNLTLLRLSANRIHGQLSKNIGNLKSITFLSISYNNFTNITNTLHILKSLRNLTVLFMGSNFKNEAMPQDEAIDGSCHRALCTVLTLYSNQLSGPIPTWINSLNFLKYVDVSNNSLTGEIPAALMEMPMLKSDKYHTTTALPDMLNLGNNKFTGVIPMEIGELKELVSLNLSFNNLNGEIPESISNLKNLMVLDLSYNHLTGAIPPAMVNLHFLSEFNVSYNDLKGPVPIGDQFSTFPSSSFAGNPKLCSPMLVHHCNSAEAAPTSIIFTKQYIDKSKRVLS >ONIVA02G04130.1 pep chromosome:AWHD00000000:2:2672407:2675550:-1 gene:ONIVA02G04130 transcript:ONIVA02G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLHYKKHSNKFPIPVLALALVLLINLASLTSSCTEQDRSSLLKFLRGLSQDGGLSASWQDGTDCCKWDGIACSQDGTVTDVSLASRSLQGNISPSLGNLTGLLRLNLSHNMLSGALPQELVSSSSIIVVDVSFNRLNGGLNELPSSTPIRPLQVLNISSNLFTGQFPSSIWDVMKNLVALNVSSNKFTGKIPTRFCDSSSNLSVLELCYNQFSGSIPSGLGNCSMLKVLKAGHNKLSGTLPGELFNDVSLEYLSFPNNNLHGEIDGTQITKLRNLVTLDLGGNQFIGKIPDSVSQLKRLEELHLESNMMSGELPGTLGSCTNLSIIDLKHNNFSGDLGKVNFSALHNLKTLDLYFNNFTGTIPESIYSCSNLTALRLSGNHFHGELSPGIINLKYLSFFSLDDNKLTNITKALQILKSCSTITTLLIGHNFRGEVMPQDESIDGFGNLQVLDINSCLLSGKIPLWLSRLTNLEMLLLNGNQLTGPIPRWIDSLNHLFYIDVSDNRLTEEIPITLMNLPMLRSTSDIAHLDPGAFELPVYNGPSFQYRTLTGFPTLLNLSHNNFIGVISPMIGQLEVLVVLDFSFNNLSGQIPQSICNLTSLQVLHLSNNHLTGEIPPGLSNLNFLSAFNISNNDLEGPIPTGGQFDTFPNSSFGGNPKLCLSRFNHQCSSAEASSVSRKEQNKKIVLAISFGVFFGGICILLLVGCFFVSERSKRFITKNSSDNNGDLEAASFNSDSEHSLIMMTRGKGEEINLTFADIVKATNNFNKAHIIGCGGYGLVYKAELPDGSKIAIKKLNSEMCLTEREFSAEVDALSMAQHANLVPFWGYCIQGNLRLLIYSLMENGSLDDWLHNRDDDASSFLDWPTRLKIALGASQGLHYIHDVCKPHIVHRDIKSSNILLDKEFKSYIADFGLSRLVLPNITHVTTELVGTLGYIPPEYGQSWVATLRGDMYSFGVVLLELLTGRRPVPILSTSEELVPWVHKMRSEGKQIEVLDPTLRGTGCEEQMLKVLETACKCVDCNPLKRPTIMEVVTCLDSIGTEIKI >ONIVA02G04120.1 pep chromosome:AWHD00000000:2:2672085:2692279:1 gene:ONIVA02G04120 transcript:ONIVA02G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRECVPTMPRSLHARQCASFDMTNDQNIAVIFLSWAEIRGPRVVVSLRAQHEPKSFPSSPRRIAAGEAAATGGDRVAGFAVPSPSPVLPPPPAALLLHREACRRATATPFDCSTQCQGWPGGAEAELLLLRCLRRRAQGVRRNARESLVQSWSVATSTVEDLVVEDVAGLDVTMDNLLLLALSATRFFITSHVEDGNCPANKLLEMLSTCSGLAGVEDGSSLRPPFKRLKLTSMMMRLLENTSSAVRLPRYGEMCPSSRLEASETSVTVLSGLQVIPSHSQQSTPSFHDMLRPPSLESPVRKLRREFFSCSVHELVGEVKLSSSTRARLRTDTTSKASFRECLSPIGMKWNGGDGELLAEGGRVPQQCPQEGYHVRRRSHCWPKEIGLSFRSEPHTAVVRYPIRIHHLNIGGTWLSPHPNRRPSLPPQSSLETRRGGALGRARVPIDNEENDPQPPTPFALPSGPAPMLETLPLRLRCHPPAPPLSGLLAPPSRAAT >ONIVA02G04120.2 pep chromosome:AWHD00000000:2:2672085:2680989:1 gene:ONIVA02G04120 transcript:ONIVA02G04120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTPTNTADWTDKPTMKLSWAGIHGPRVVVSLRAQHEPESFDSSPRLAAGRRPAATGGRLPAAPRPCSSSSGPVSPDSMTPRDGDAATPFNCSTQCQGEGSGTSGGSGTEPLRCEAPRSGCSAKCPRGCPVPVGRRLRPSKISWRWARRPGD >ONIVA02G04110.1 pep chromosome:AWHD00000000:2:2665880:2669029:-1 gene:ONIVA02G04110 transcript:ONIVA02G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLHSPCKTHSTKLPIPLLGLALVMLINFASLTSSCTEQDRSSLLRFLRELSQDGGLAASWQNGTDCCKWDGITCSQDSTVTDVSLASRSLQGHISPSLGNLPGLLRLNLSHNLLSGALPKELLSSSSLIAIDVSFNRLDGDLDELPSSTPARPLQVLNISSNLLAGQFPSSTWAVMKYMVALNVSNNSFSGHIPANFCTNSPYLSVLELSYNQFSGSIPPGFGSCSSLRVLKAGHNNLSGTLPDEIFNATSLECLSFPNNDFQGTLEWANVVKLSKLATLDLGENNFSGNISESISQLNRLEELHLNNNKMFGSIPSNLSNCTSLKIIDLKSNSFSGELVYVNFSNLPNLKTLDLMRNNFSGEIPESIYTCSNLTALRVSSNKLQGQLSKGLGNLKSLSFLSLTENNLTNITNALQILSSSSNLTTLLIGHNFMNERMPDGSIDGFENLQVLSLSECSLSGKIPQWLSKLSRLEMLELDNNQLTGPIPYWISSLNFLFYLDISNNHLTGEIPMSLLQMPMLRSERAAAQLDRRAFQLPIYKTATLLQYRKASALPKVLNLGKNEFTGLIPREIGLLKALLSLNLSFNKLYGDIPQSICNLTDLLVLDLSSNNLTGTIPAALNNLNFLSEFNISYNDLEGPIPTGGQLDTFTNSSFYGNPKLCGPMLVRHCSSADGHLISKKQQNKKVILAIVFGVFFGAIVIVMLSGYLLWSIRGMSFMTKNRCNNDYTVALSSNINSEHLLVMLQQGKEAEDKLTFTGIMEATNNFNREHIIGCGGYGLVYRAELPDGSKIAIKKLNGEMCLMEREFSAEVETLSMAQHDNLVPLLGYCIQGNSRLLIYSYMENGSLDDWLHNKDDGTSTILDWPRRLKIAKGASHGLSYIHNICKPRIVHRDIKSSNILLDKEFKAYIADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQAWVATLKGDVYSFGVVLLELLTGRRPVPILTTLKELVPWVQEMISEGKQIEALDSTLQGTGCEEQMLKVLETACKCVDGNPLMRPTMMEVVASLDSIDPDLKMQ >ONIVA02G04100.1 pep chromosome:AWHD00000000:2:2651369:2663035:-1 gene:ONIVA02G04100 transcript:ONIVA02G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQQQLHSSCKKCSNRCFIDYLRFNLAFALLLLLSLASPTSSCTEQERSSLLQFLSGLSNDGGLAVSWRNAADCCKWEGVTCSADGTVTDVSLASKGLEGRISPSLGNLTGLLRLNLSHNSLSGGLPLELMASSSITVLDISFNHLKGEIHELPSSTPVRPLQVLNISSNSFTGQFPSATWEMMKNLVMLNASNNSFTGHIPSNFCSSSASLTALALCYNHLSGSIPPGFGNCLKLRVLKVGHNNLSGNLPGDLFNATSLEYLSFPNNELNGVINGTLIVNLRNLSTFDLEGNNITGRIPDSIGQLKRLQDLHLGDNNISGELPSALSNCTHLITINLKRNNFSGNLSNVNFSNLSNLKTLDLMGNKFEGTVPESIYSCTNLVALRLSSNNLQGQLSPKISNLKSLTFLSVGCNNLTNITNMLWILKDSRNLTTLLIGTNFYGEAMPEDNSIDGFQNLKVLSIANCSLSGNIPLWLSKLEKLEMLFLLDNRLSGSIPPWIKRLESLFHLDLSNNSLIGGIPASLMEMPMLITKKNTTRLDPRVFELPIYRSAAGFQYRITSAFPKVLNLSNNNFSGVIPQDIGQLKSLDILSLSSNNLSGEIPQQLGNLTNLQVLDLSSNHLTGAIPSALNNLHFLSTFNVSCNDLEGPIPNGAQFSTFTNSSFYKNPKLCGHILHRSCRSEQAASISTKSHNKKAIFATAFGVFFGGIAVLLFLAYLLATVKGTDCITNNRSSENADVDATSHKSDSEQSLVIVSQNKGGKNKLTFADIVKATNNFDKENIIGCGGYGLVYKADLPDGTKLAIKKLFGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDDASTFLDWPKRLKIAQGAGRGLSYIHDACKPHIIHRDIKSSNILLDKEFKAYVADFGLARLILANKTHVTTELVGTLGYIPPEYGQGWVATLKGDIYSFGVVLLELLTGRRPVHILSSSKELVKWVQEMKSEGNQIEVLDPILRGTGYDEQMLKVLETACKCVNCNPCMRPTIKEVVSCLDSIDAKLQMQNSPMEKTMQPLHFSNKNHKNRFHMTYLGHALVLLLFLASPTSSCTEQERNSLVQFLTGLSKDGGLGMSWKNGTDCCAWEGITCNPNRMVTDMFLASRGLEGVISPSLGNLTGIFSSTTWEVMKSLVALNASTNSFTGNIPTSFCVSAPSFALLELSNNQFSGGIPPGLGNCSKLTFLSTGRNNLSGTLPYELFNITSLKHLSFPNNQLEGSIDGIIKLINLVTLDLGGNKLIGSIPHSIGQLKRLEELHLDNNNMSGELPSTLSDCTNLVTIDLKSNRFSGKLTNVNFSTLPNLKTLDVVWNNFSGTVPESIYSCRNLTALRLSYNGFHGQLSERIENLQYLSFLSIVNISLTNITSTFQSCRNLTSLLIGRNFKQETMPEGDIIDGFENLQVLSLANCMLSGRIPHWLSKFKNLAVLFLFNNQLTGQIPDWISSLNFLFYLDVSNNSLSGELPKALMEMPMFKTDNVEPRVFELPVFTAPLLQYRITSALPKVLNLGINNFTGVIPKEIGQLKALLLLNLSSNKFSGGIPESICNITNLQVLDISSNNLTGPIPAALDKLNFLSAFNVSNNDLEGSVPTVGQLSTFPNSSFDGNPKLCGPMLVHHCGSDKTSYVSKKRHNKKAILALAFGVFFGGITILFLLARLILFLRGKNFMTENRRCRNNGTEETLSNIKSEQTLVVLSQGKGEQTKLTFTDLLKATKNFDKENIIGCGGYGLVYKAELSDGSMVAIKKLNRDMCLMEREFSAEVDALSTAQHDNLVPLWGYCIQGNSMLLIYSYMENGSLDDWLHNRNDDASSFLNWPMRLKIAQGASQGISYIHDVCKPQIVHRDIKCSNILLDKEFKAHIADFGLSRLILSNRTHVTTELVGTFGYIPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPVPILSSSKQLVEWVQEMISEGKYIEVLDPTLRGTGYEKQMVKVLEVACQCVNHNPGMRPTIQEVVSCLDIIGTELQTTKLN >ONIVA02G04100.2 pep chromosome:AWHD00000000:2:2654528:2663035:-1 gene:ONIVA02G04100 transcript:ONIVA02G04100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQQQLHSSCKKCSNRCFIDYLRFNLAFALLLLLSLASPTSSCTEQERSSLLQFLSGLSNDGGLAVSWRNAADCCKWEGVTCSADGTVTDVSLASKGLEGRISPSLGNLTGLLRLNLSHNSLSGGLPLELMASSSITVLDISFNHLKGEIHELPSSTPVRPLQVLNISSNSFTGQFPSATWEMMKNLVMLNASNNSFTGHIPSNFCSSSASLTALALCYNHLSGSIPPGFGNCLKLRVLKVGHNNLSGNLPGDLFNATSLEYLSFPNNELNGVINGTLIVNLRNLSTFDLEGNNITGRIPDSIGQLKRLQDLHLGDNNISGELPSALSNCTHLITINLKRNNFSGNLSNVNFSNLSNLKTLDLMGNKFEGTVPESIYSCTNLVALRLSSNNLQGQLSPKISNLKSLTFLSVGCNNLTNITNMLWILKDSRNLTTLLIGTNFYGEAMPEDNSIDGFQNLKVLSIANCSLSGNIPLWLSKLEKLEMLFLLDNRLSGSIPPWIKRLESLFHLDLSNNSLIGGIPASLMEMPMLITKKNTTRLDPRVFELPIYRSAAGFQYRITSAFPKVLNLSNNNFSGVIPQDIGQLKSLDILSLSSNNLSGEIPQQLGNLTNLQVLDLSSNHLTEQAASISTKSHNKKAIFATAFGVFFGGIAVLLFLAYLLATVKGTDCITNNRSSENADVDATSHKSDSEQSLVIVSQNKGGKNKLTFADIVKATNNFDKENIIGCGGYGLVYKADLPDGTKLAIKKLFGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDDASTFLDWPKRLKIAQGAGRGLSYIHDACKPHIIHRDIKSSNILLDKEFKAYVADFGLARLILANKTHVTTELVGTLGYIPPEYGQGWVATLKGDIYSFGVVLLELLTGRRPVHILSSSKELVKWVQEMKSEGNQIEVLDPILRGTGYDEQMLKVLETACKCVNCNPCMRPTIKEVVSCLDSIDAKLQMQNSTIGSNA >ONIVA02G04100.3 pep chromosome:AWHD00000000:2:2655343:2663035:-1 gene:ONIVA02G04100 transcript:ONIVA02G04100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQQQLHSSCKKCSNRCFIDYLRFNLAFALLLLLSLASPTSSCTEQERSSLLQFLSGLSNDGGLAVSWRNAADCCKWEGVTCSADGTVTDVSLASKGLEGRISPSLGNLTGLLRLNLSHNSLSGGLPLELMASSSITVLDISFNHLKGEIHELPSSTPVRPLQVLNISSNSFTGQFPSATWEMMKNLVMLNASNNSFTGHIPSNFCSSSASLTALALCYNHLSGSIPPGFGNCLKLRVLKVGHNNLSGNLPGDLFNATSLEYLSFPNNELNGVINGTLIVNLRNLSTFDLEGNNITGRIPDSIGQLKRLQDLHLGDNNISGELPSALSNCTHLITINLKRNNFSGNLSNVNFSNLSNLKTLDLMGNKFEGTVPESIYSCTNLVALRLSSNNLQGQLSPKISNLKSLTFLSVGCNNLTNITNMLWILKDSRNLTTLLIGTNFYGEAMPEDNSIDGFQNLKVLSIANCSLSGNIPLWLSKLEKLEMLFLLDNRLSGSIPPWIKRLESLFHLDLSNNSLIGGIPASLMEMPMLITKKNTTRLDPRVFELPIYRSAAGFQYRITSAFPKVLNLSNNNFSGVIPQDIGQLKSLDILSLSSNNLSGEIPQQLGNLTNLQVLDLSSNHLTGAIPSALNNLHFLSTFNVSCNDLEGPIPNGAQFSTFTNSSFYKNPKLCGHILHRSCRSEQAASISTKSHNKKAIFATAFGVFFGGIAVLLFLAYLLATVKGTDCITNNRSSENADVDATSHKSDSEQSLVIVSQNKGGKNKLTFADIVKATNNFDKENIIGCGGYGLVYKADLPDGTKLAIKKLFGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDDASTFLDWPKRLKIAQGAGRGLSYIHDACKPHIIHRDIKSSNILLDKEFKAYVADFGLARLILANKTHVTTELVGTLGYIPPEYGQGWVATLKGDIYSFGVVLLELLTGRRPVHILSSSKELVKWVQEMKSEGNQIEVLDPILRGTGYDEQMLKVLETACKCVNCNPCMRPTIKEVVSCLDNIIIAIETAYSINIRSTPYLPKEKTNASNLFAGY >ONIVA02G04100.4 pep chromosome:AWHD00000000:2:2654528:2663035:-1 gene:ONIVA02G04100 transcript:ONIVA02G04100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQQQLHSSCKKCSNRCFIDYLRFNLAFALLLLLSLASPTSSCTEQERSSLLQFLSGLSNDGGLAVSWRNAADCCKWEGVTCSADGTVTDVSLASKGLEGRISPSLGNLTGLLRLNLSHNSLSGGLPLELMASSSITVLDISFNHLKGEIHELPSSTPVRPLQVLNISSNSFTGQFPSATWEMMKNLVMLNASNNSFTGHIPSNFCSSSASLTALALCYNHLSGSIPPGFGNCLKLRVLKVGHNNLSGNLPGDLFNATSLEYLSFPNNELNGVINGTLIVNLRNLSTFDLEGNNITGRIPDSIGQLKRLQDLHLGDNNISGELPSALSNCTHLITINLKRNNFSGNLSNVNFSNLSNLKTLDLMGNKFEGTVPESIYSCTNLVALRLSSNNLQGQLSPKISNLKSLTFLSVGCNNLTNITNMLWILKDSRNLTTLLIGTNFYGEAMPEDNSIDGFQNLKVLSIANCSLSGNIPLWLSKLEKLEMLFLLDNRLSGSIPPWIKRLESLFHLDLSNNSLIGGIPASLMEMPMLITKKNTTRLDPRVFELPIYRSAAGFQYRITSAFPKVLNLSNNNFSGVIPQDIGQLKSLDILSLSSNNLSGEIPQQLGNLTNLQVLDLSSNHLTGAIPSALNNLHFLSTFNVSCNDLEGPIPNGAQFSTFTNSSFYKNPKLCGHILHRSCRSEQAASISTKSHNKKAIFATAFGVFFGGIAVLLFLAYLLATVKGTDCITNNRSSENADVDATSHKSDSEQSLVIVSQNKGGKNKLTFADIVKATNNFDKENIIGCGGYGLVYKADLPDGTKLAIKKLFGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDDASTFLDWPKRLKIAQGAGRGLSYIHDACKPHIIHRDIKSSNILLDKEFKAYVADFGLARLILANKTHVTTELVGTLGYIPPEYGQGWVATLKGDIYSFGVVLLELLTGRRPVHILSSSKELVKWVQEMKSEGNQIEVLDPILRGTGYDEQMLKVLETACKCVNCNPCMRPTIKEVVSCLDSIDAKLQMQNSTIGSNA >ONIVA02G04090.1 pep chromosome:AWHD00000000:2:2650915:2665325:1 gene:ONIVA02G04090 transcript:ONIVA02G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDTSSSGKPPDSELWDKLRRIRPVRLPREGEMTPSRPLEARNISVTILFGLQVIPSHAQQSVPFFHDMPRPPSFESPVRNWTSEFLSCSVQELVGEAKKSSSTRAWPRIAHVLLPKIGVISLSSGDGDRRAAAASWSLFRAAVRTSPDNRGLASILSKQETTSFIDIAGLDITVDDMWLAGIMDIRKSPACSLGNFEPLRPVKKLTPQWYKIVMLCHRKCFNLCSEFSFHQAHLTKKLLDGQLGAIRDAELLQKLLGMCPVKLLLLAFSITRFFIISHVADGNCPVNELLEMFSTWRGRTGVEDGSSCISPLRWLKLMSRTVMLLDAINSSGKPPDSELWDRLRRSRPVRLPRDGEIRPSRPLEASETSVTVPSALQVTPSHLQQSAAFRHDTARPPSLERPERNWRRELLSCSVHELVGEARESNSSSAKARLNLRVFGEMLE >ONIVA02G04080.1 pep chromosome:AWHD00000000:2:2647204:2651171:-1 gene:ONIVA02G04080 transcript:ONIVA02G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEANTRNLISDSSTHDYHVMEKTMQPLQFSSKNYSNRFPITSFGLALALLLFLASPTSSCTEKESNSLIQFLAWLSKDGGLGMSWKNGTDCCAWEGITCNPNRTVNEVFLASRGLEGIISPSLGNLIGLMRLNLSHNSLSGGLPLELVSSSSIMVFDVSFNYLTGDLSDLPSSTHDRPLQVLNISSNLFTGNFPSTTWEVMKSLVALNASNNSFTGKIPTSFCASAPSFALLDLSYNQFSGGIPPGLSNCSTLKLLSSGKNNLTGAIPYEIFDITSLKHLSFPNNQLEGSIDGIIKLINLVTLDLGGNKFIGSIPHSIGQLKRLEEFHLDNNNMSGELPSTLSDCTNLNNFSGELTKVNFSTLPNLKTLDVVWNKFNGTIPESIYSCSNLTALRLSFNNFRGQLSEKIGNLKSLSFLSLVLSLYGCSLSGKIPHWLSKLTNLEMLFLHNNQLTGQIPIWISSLNFLFCLDITNNSLSGEIPTALMEMPMLKTENVAPKVFELPIFTSQSLQYRITSAFPKVLNLGINNFAGAIPKEIGQLKALLLLNLSSNKLSGQITESICNLTNLQMLDLSNNNLTGTIPEALNKLHFLSAFNVSNNDLEGLVPTVGQLSTFPSSIFDGNPKLCGPMLANHCSSAQTSYISKKRHIKTAVLAVAFGVFFGGIGILVLLAHLLTLLRSKRFLSKNRRYSNDGTEAPSSNLNSEQPLVMVPQGKGEQTKLIFTDLLKATKNFDKENIIGCGGYGLVYKAELSDGSMLAIKKLNSDMCLMEREFSAEVDALSMAQHDNLVPLWGYCIQGNSRFLIYSYMENGSLDDWLHNRDNDASSFLDWPMRLKIAQGASQGLAYIHDVCKPNIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELVGTLGYVPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPIPVLSASKELIEWVQEMRSKGKQIEVLDPTLRGTGHEEQMLKVLEVACQCVNHNPGMRLTIREVVSCLDIIGTELQTTELN >ONIVA02G04080.2 pep chromosome:AWHD00000000:2:2647204:2651171:-1 gene:ONIVA02G04080 transcript:ONIVA02G04080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEANTRNLISDSSTHDYHVMEKTMQPLQFSSKNYSNRFPITSFGLALALLLFLASPTSSCTEKESNSLIQFLAWLSKDGGLGMSWKNGTDCCAWEGITCNPNRTVNEVFLASRGLEGIISPSLGNLIGLMRLNLSHNSLSGGLPLELVSSSSIMVFDVSFNYLTGDLSDLPSSTHDRPLQVLNISSNLFTGNFPSTTWEVMKSLVALNASNNSFTGKIPTSFCASAPSFALLDLSYNQFSGGIPPGLSNCSTLKLLSSGKNNLTGAIPYEIFDITSLKHLSFPNNQLEGSIDGIIKLINLVTLDLGGNKFIGSIPHSIGQLKRLEEFHLDNNNMSGELPSTLSDCTNLNNFSGELTKVNFSTLPNLKTLDVVWNKFNGTIPESIYSCSNLTALRLSFNNFRGQLSEKIGNLKSLSFLSLVKNSLANITSTFQMLQSSKNLTTLIIGINFMHETIPLDDSIDGFENLQVLSLYGCSLSGKIPHWLSKLTNLEMLFLHNNQLTGQIPIWISSLNFLFCLDITNNSLSGEIPTALMEMPMLKTENVAPKVFELPIFTSQSLQYRITSAFPKVLNLGINNFAGAIPKEIGQLKALLLLNLSSNKLSGQITESICNLTNLQMLDLSNNNLTGTIPEALNKLHFLSAFNVSNNDLEGLVPTVGQLSTFPSSIFDGNPKLCGPMLANHCSSAQTSYISKKRHIKTAVLAVAFGVFFGGIGILVLLAHLLTLLRSKRFLSKNRRYSNDGTEAPSSNLNSEQPLVMVPQGKGEQTKLIFTDLLKATKNFDKENIIGCGGYGLVYKAELSDGSMLAIKKLNSDMCLMEREFSAEVDALSMAQHDNLVPLWGYCIQGNSRFLIYSYMENGSLDDWLHNRDNDASSFLDWPMRLKIAQGASQGLAYIHDVCKPNIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELVGTLGYVPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPIPVLSASKELIEWVQEMRSKGKQIEVLDPTLRGTGHEEQMLKVLEVACQCVNHNPGMRLTIREVVSCLDIIGTELQTTELN >ONIVA02G04070.1 pep chromosome:AWHD00000000:2:2646172:2649933:1 gene:ONIVA02G04070 transcript:ONIVA02G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAEPFDSPRSLCGGGGIQLSTPRLSAYDVQAGDYLSYNKTGMGRLPNVAGLDVTVDYVWLTNIMDISKALACPLSSKANDGALAQNEVGIFPVKLLLLALSATRLFITSHVVDGKFPVNKLLEMFSTCRGRSWVEDGRSLKSPVR >ONIVA02G04060.1 pep chromosome:AWHD00000000:2:2639632:2644219:1 gene:ONIVA02G04060 transcript:ONIVA02G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCARAEDALPSAAIAALEMPSSAAAAAAAGGLIQKVGGGRRSGGSGRALHRSAHLSAEDDGHAPPPASCSKDDKIGGGGKREGSQRSRMGKYRSQLEQEVKKLQRQLEEEIDLHVALADAVMQNAGPVLNSSVKIPYKAQELLTNIAFLESAVSKLEKDLNELYYQLCHERNERLLAESKPGCLPSTSPDHSLSTCTCTWEEHISSLRDLKFGGSESMRSTQQDLYSELEYEQDVGEDPEERQMVSLNRLFEKHRDVSLNRLLEKHRDEEMQESCSTDKEGEEDEKIDTLSFEQSILKITSMKVGNLWNNPNQLSEEMVRCMRNIFLRLSESSKMSPKESSDCSSSSAERLSGSTLASFSDSSIIPSMLRSPSVDSNRNDEMTTEASNFDPYKVNGKESRRDIGNYHSAAEVSWMSVGKEQLEYASEALKKFRFLVEQLSKVNPNSMNCDERLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKACYTVGGQSFSAAEIEFVILKMKTPVHRPQLSLMLALNKFKITEEHKKYSIDGTEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYVQASVGISDRGKLLIPKLLQSYAKGNVEDSLLADWICHHLTPDQVAVIRDSSSQRKQRLLGARSFTVVAFDSKFRYLFLPDSSGSQKPEPKRTS >ONIVA02G04050.1 pep chromosome:AWHD00000000:2:2634900:2637312:-1 gene:ONIVA02G04050 transcript:ONIVA02G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRAILLFASLALCFVLDPWIYFGRCGSATTPPRPDPRVYTDNIRCQTSQPHVTATLHSSPLIQNPNFPPKSISSLIFFSPADLQITHFCKLKNPAQLRKKGVVFEEWFLSTQLLHIMPPLATMSSPGSLLLLTPTVYQGIGRNQGGQSQEGQSISSSRSPKTKLSVSARAVSSCEASMRITCCANQTQTARRKSFSGPTSPPSGSVKEKVRSPKLDDGGTGFPPFRFGGGGGGGGGGGSNSAGGFILFVIVLLLDYLREFERNLQNGTRRGSDYDNGLAPQ >ONIVA02G04040.1 pep chromosome:AWHD00000000:2:2632610:2632923:1 gene:ONIVA02G04040 transcript:ONIVA02G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSFGGASSGTTALHQLRLLLHCIHYILCYVYFLFVATSVAGDEENARAPGGGGNDGIGESINGGNTNA >ONIVA02G04030.1 pep chromosome:AWHD00000000:2:2611437:2630011:-1 gene:ONIVA02G04030 transcript:ONIVA02G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0G1B8] MEEDQSAIPVAEGAIKSIKLSLSTEDEIRTYSINDCPVTHPSQLGNPFLGLPLETGKCESCGASENGKCEGHFGYIELPVPIYHPCHVTELRQILNVVCLKCLRVKKGKVKQTEGKDNTSALSCYYCRDLPALSLKEIKTADGAFRLELKMPPRKFMTEGSWNFLDKYGFHHGGTSHCRTLLPEEALNILKKIPEETKRKLAARGYIAQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLLKKVLQKIEQIKKSRAGSPNFESHEVESCDLQLSIAQYIHLRGTTRGPQDNTKRFAISTDPSALSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDVIGLPSEVAKRITFEEQVTDINLNRLQEIVDKGLCLTYRDGQATYAITVGSKGHTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFRVYVHEDHTVKINPLICAPFAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTSSHSGKVNLQLVSDSLLALKHMSSRTMLSKEAANQLAMLVTCSLPDPAVIKSKPYWTISQIVQGALPNALTSQGDKHVVRDSTIIKLDLDKESVQTSFSDLVYSTLSVKGPGEALQFLNVLQPLLMELILLDGFSVSLQDFNVPKVLLEEAQKNIEKQSLILEQSRFAENQVVEMRVDNNLKDIKQQISDFVVKRSHLGLLIDPKSDSSVSKVVQQLGFVGLQLYREGKFYSRRLVEDCYYTFVNKHPAVREEHSPEAYGLVRSSYFHGLNPYEELVHAISTREAIVRSSRGLTEPGTLFKNLMALLRDVVICYDGTVRNVCSKSIIQLNYTEDDALDFPSAIGPGEPVGVLAATAISNPAYKAVLDASQSNNTSWERMKEILQTTSRYKNDMKDRKVILFLNDCSCAKKFCKEKAAIAVQGCLRRITLEDCATDICIEDGNWAAPAGFQHPVPPPQCKILPVPIPIPAHGSVKFPPVPIPAPEHLKYNIHVVRYQKQIGLDGTSEAAPALVGHIHLDRAHLERINISTEDILQKCQEVSGKYGKKKGHLSDPRIQEAKIVWVGSDATSWVKNTQKASKGEPAVEIIVEEEEALHIGDAWRTTMDACIPVLNLIDIRRSIPYGIQQVRELLGISCAFDQVVQRLSTTVRMVAKDVLKDHLVLVANSMTFTGNLNGFNNAGYKATFRSLKVQVPFTESTLITPMKCFEKAAEKCHSDSLGCVVSSCSWGKHAASGTGSSFQILWNESQLKSNKEYGDGLYDYLALVRTDEEKARYTFFDDVDYLAEENEADVCLSPELDGTIGQPIFDDNLEEQDVQNNSSWDNGTTTNASWEQNGSAGNDSDKWGGWNDAAAGADTGVTKPADQGNSCWDVPATVEKSSSDWGGWGTEKAKEKEKISEEPAQHDAWSVQGPKRATDGGASWKKQSSTQNDGNSWKENKGRGSNGGSWEKDNVQKGSWGRGNDEAENNNDVQNKSWETVAADAHASTEKSWGNVTASPSDNAWSAAPVSQGNGSSDTKQSDSWDGWKSAGVDKAINKDKESLGNSAGVDASTNKDKESWGNVPASPSDSAWNAAPVSQGDDVWNSAEANESRNKDWKSDGWGARGGNWRGQRNNPGRPPRKPDGRGLPRRPDERGPPRRHFDLTAEEEKILGEIEPTVLSIRKIFRESIDSIKLSPEDEKFIKENVLEHHPEKQSKVSGEIDHIMVDKHQVFQDSRCLFVVSSDGTRSDFSYLKCMENFVRKTYPEHGDSFCKKYFKRRRDQPPAADGGTAPVTPAGATQSTAVDTQEGTSQQTQPDIATAPAATQQETLQDTPAPPADDGLLGKGPSPMVIWLVQCKPILRGRAESDDFVVLQGTELSLPPRPNPSTLFRLRLRLAAAADDDDLHLSTPPSAMQARVVVFPVKGRAWCFASPRATAPAAACGGGDGGALLPPPPPTVKDLWRGIAGGGRTASENAEAVVNFVADKMNWAWIGFGSAPEGSMKNRIHSFGLKLLSRVRPSEVLLKSVTKDVSLLEIVHPASINPRLVRRRLRHIAVRGASVHRKFLYGSVCLLPVTSVFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLVSDSSDQWKILLEKQKEMSSRKDGNPCENTQYAPWPSKKLDGFLESRKLNEGLDCDTISRICQAYDLDKIDVLKYRDLE >ONIVA02G04030.2 pep chromosome:AWHD00000000:2:2611437:2630011:-1 gene:ONIVA02G04030 transcript:ONIVA02G04030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0G1B8] MEEDQSAIPVAEGAIKSIKLSLSTEDEIRTYSINDCPVTHPSQLGNPFLGLPLETGKCESCGASENGKCEGHFGYIELPVPIYHPCHVTELRQILNVVCLKCLRVKKGKVKQTEGKDNTSALSCYYCRDLPALSLKEIKTADGAFRLELKMPPRKFMTEGSWNFLDKYGFHHGGTSHCRTLLPEEALNILKKIPEETKRKLAARGYIAQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLLKKVLQKIEQIKKSRAGSPNFESHEVESCDLQLSIAQYIHLRGTTRGPQDNTKRFAISTDPSALSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDVIGLPSEVAKRITFEEQVTDINLNRLQEIVDKGLCLTYRDGQATYAITVGSKGHTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFRVYVHEDHTVKINPLICAPFAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTSSHSGKVNLQLVSDSLLALKHMSSRTMLSKEAANQLAMLVTCSLPDPAVIKSKPYWTISQIVQGALPNALTSQGDKHVVRDSTIIKLDLDKESVQTSFSDLVYSTLSVKGPGEALQFLNVLQPLLMELILLDGFSVSLQDFNVPKVLLEEAQKNIEKQSLILEQSRFAENQVVEMRVDNNLKDIKQQISDFVVKRSHLGLLIDPKSDSSVSKVVQQLGFVGLQLYREGKFYSRRLVEDCYYTFVNKHPAVREEHSPEAYGLVRSSYFHGLNPYEELVHAISTREAIVRSSRGLTEPGTLFKNLMALLRDVVICYDGTVRNVCSKSIIQLNYTEDDALDFPSAIGPGEPVGVLAATAISNPAYKAVLDASQSNNTSWERMKEILQTTSRYKNDMKDRKVILFLNDCSCAKKFCKEKAAIAVQGCLRRITLEDCATDICIEDGNWAAPAGFQHPVPPPQCKILPVPIPIPAHGSVKFPPVPIPAPEHLKYNIHVVRYQKQIGLDGTSEAAPALVGHIHLDRAHLERINISTEDILQKCQEVSGKYGKKKGHLSDPRIQEAKIVWVGSDATSWVKNTQKASKGEPAVEIIVEEEEALHIGDAWRTTMDACIPVLNLIDIRRSIPYGIQQVRELLGISCAFDQVVQRLSTTVRMVAKDVLKDHLVLVANSMTFTGNLNGFNNAGYKATFRSLKVQVPFTESTLITPMKCFEKAAEKCHSDSLGCVVSSCSWGKHAASGTGSSFQILWNESQLKSNKEYGDGLYDYLALVRTDEEKARYTFFDDVDYLAEENEADVCLSPELDGTIGQPIFDDNLEEQDVQNNSSWDNGTTTNASWEQNGSAGNDSDKWGGWNDAAAGADTGVTKPADQGNSCWDVPATVEKSSSDWGGWGTEKAKEKEKISEEPAQHDAWSVQGPKRATDGGASWKKQSSTQNDGNSWKENKGRGSNGGSWEKDNVQKGSWGRGNDEAENNNDVQNKSWETVAADAHASTEKSWGNVTASPSDNAWSAAPSAGVDASTNKDKESWGNVPASPSDSAWNAAPVSQGDDVWNSAEANESRNKDWKSDGWGARGGNWRGQRNNPGRPPRKPDGRGLPRRPDERGPPRRHFDLTAEEEKILGEIEPTVLSIRKIFRESIDSIKLSPEDEKFIKENVLEHHPEKQSKVSGEIDHIMVDKHQVFQDSRCLFVVSSDGTRSDFSYLKCMENFVRKTYPEHGDSFCKKYFKRRRDQPPAADGGTAPVTPAGATQSTAVDTQEGTSQQTQPDIATAPAATQQETLQDTPAPPADDGLLGKGPSPMVIWLVQCKPILRGRAESDDFVVLQGTELSLPPRPNPSTLFRLRLRLAAAADDDDLHLSTPPSAMQARVVVFPVKGRAWCFASPRATAPAAACGGGDGGALLPPPPPTVKDLWRGIAGGGRTASENAEAVVNFVADKMNWAWIGFGSAPEGSMKNRIHSFGLKLLSRVRPSEVLLKSVTKDVSLLEIVHPASINPRLVRRRLRHIAVRGASVHRKFLYGSVCLLPVTSVFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLVSDSSDQWKILLEKQKEMSSRKDGNPCENTQYAPWPSKKLDGFLESRKLNEGLDCDTISRICQAYDLDKIDVLKYRDLE >ONIVA02G04030.3 pep chromosome:AWHD00000000:2:2611437:2630011:-1 gene:ONIVA02G04030 transcript:ONIVA02G04030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0G1B8] MEEDQSAIPVAEGAIKSIKLSLSTEDEIRTYSINDCPVTHPSQLGNPFLGLPLETGKCESCGASENGKCEGHFGYIELPVPIYHPCHVTELRQILNVVCLKCLRVKKGKVKQTEGKDNTSALSCYYCRDLPALSLKEIKTADGAFRLELKMPPRKFMTEGSWNFLDKYGFHHGGTSHCRTLLPEEALNILKKIPEETKRKLAARGYIAQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLLKKVLQKIEQIKKSRAGSPNFESHEVESCDLQLSIAQYIHLRGTTRGPQDNTKRFAISTDPSALSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDVIGLPSEVAKRITFEEQVTDINLNRLQEIVDKGLCLTYRDGQATYAITVGSKGHTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFRVYVHEDHTVKINPLICAPFAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTSSHSGKVNLQLVSDSLLALKHMSSRTMLSKEAANQLAMLVTCSLPDPAVIKSKPYWTISQIVQGALPNALTSQGDKHVVRDSTIIKLDLDKESVQTSFSDLVYSTLSVKGPGEALQFLNVLQPLLMELILLDGFSVSLQDFNVPKVLLEEAQKNIEKQSLILEQSRFAENQVVEMRVDNNLKDIKQQISDFVVKRSHLGLLIDPKSDSSVSKVVQQLGFVGLQLYREGKFYSRRLVEDCYYTFVNKHPAVREEHSPEAYGLVRSSYFHGLNPYEELVHAISTREAIVRSSRGLTEPGTLFKNLMALLRDVVICYDGTVRNVCSKSIIQLNYTEDDALDFPSAIGPGEPVGVLAATAISNPAYKAVLDASQSNNTSWERMKEILQTTSRYKNDMKDRKVILFLNDCSCAKKFCKEKAAIAVQGCLRRITLEDCATDICIEYQKQIGLDGTSEAAPALVGHIHLDRAHLERINISTEDILQKCQEVSGKYGKKKGHLSDPRIQEAKIVWVGSDATSWVKNTQKASKGEPAVEIIVEEEEALHIGDAWRTTMDACIPVLNLIDIRRSIPYGIQQVRELLGISCAFDQVVQRLSTTVRMVAKDVLKDHLVLVANSMTFTGNLNGFNNAGYKATFRSLKVQVPFTESTLITPMKCFEKAAEKCHSDSLGCVVSSCSWGKHAASGTGSSFQILWNESQLKSNKEYGDGLYDYLALVRTDEEKARYTFFDDVDYLAEENEADVCLSPELDGTIGQPIFDDNLEEQDVQNNSSWDNGTTTNASWEQNGSAGNDSDKWGGWNDAAAGADTGVTKPADQGNSCWDVPATVEKSSSDWGGWGTEKAKEKEKISEEPAQHDAWSVQGPKRATDGGASWKKQSSTQNDGNSWKENKGRGSNGGSWEKDNVQKGSWGRGNDEAENNNDVQNKSWETVAADAHASTEKSWGNVTASPSDNAWSAAPVSQGNGSSDTKQSDSWDGWKSAGVDKAINKDKESLGNSAGVDASTNKDKESWGNVPASPSDSAWNAAPVSQGDDVWNSAEANESRNKDWKSDGWGARGGNWRGQRNNPGRPPRKPDGRGLPRRPDERGPPRRHFDLTAEEEKILGEIEPTVLSIRKIFRESIDSIKLSPEDEKFIKENVLEHHPEKQSKVSGEIDHIMVDKHQVFQDSRCLFVVSSDGTRSDFSYLKCMENFVRKTYPEHGDSFCKKYFKRRRDQPPAADGGTAPVTPAGATQSTAVDTQEGTSQQTQPDIATAPAATQQETLQDTPAPPADDGLLGKGPSPMVIWLVQCKPILRGRAESDDFVVLQGTELSLPPRPNPSTLFRLRLRLAAAADDDDLHLSTPPSAMQARVVVFPVKGRAWCFASPRATAPAAACGGGDGGALLPPPPPTVKDLWRGIAGGGRTASENAEAVVNFVADKMNWAWIGFGSAPEGSMKNRIHSFGLKLLSRVRPSEVLLKSVTKDVSLLEIVHPASINPRLVRRRLRHIAVRGASVHRKFLYGSVCLLPVTSVFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLVSDSSDQWKILLEKQKEMSSRKDGNPCENTQYAPWPSKKLDGFLESRKLNEGLDCDTISRICQAYDLDKIDVLKYRDLE >ONIVA02G04030.4 pep chromosome:AWHD00000000:2:2611437:2630011:-1 gene:ONIVA02G04030 transcript:ONIVA02G04030.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0G1B8] MEEDQSAIPVAEGAIKSIKLSLSTEDEIRTYSINDCPVTHPSQLGNPFLGLPLETGKCESCGASENGKCEGHFGYIELPVPIYHPCHVTELRQILNVVCLKCLRVKKGKVKQTEGKDNTSALSCYYCRDLPALSLKEIKTADGAFRLELKMPPRKFMTEGSWNFLDKYGFHHGGTSHCRTLLPEEALNILKKIPEETKRKLAARGYIAQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLLKKVLQKIEQIKKSRAGSPNFESHEVESCDLQLSIAQYIHLRGTTRGPQDNTKRFAISTDPSALSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDVIGLPSEVAKRITFEEQVTDINLNRLQEIVDKGLCLTYRDGQATYAITVGSKGHTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFRVYVHEDHTVKINPLICAPFAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTSSHSGKVNLQLVSDSLLALKHMSSRTMLSKEAANQLAMLVTCSLPDPAVIKSKPYWTISQIVQGALPNALTSQGDKHVVRDSTIIKLDLDKESVQTSFSDLVYSTLSVKGPGEALQFLNVLQPLLMELILLDGFSVSLQDFNVPKVLLEEAQKNIEKQSLILEQSRFAENQVVEMRVDNNLKDIKQQISDFVVKRSHLGLLIDPKSDSSVSKVVQQLGFVGLQLYREGKFYSRRLVEDCYYTFVNKHPAVREEHSPEAYGLVRSSYFHGLNPYEELVHAISTREAIVRSSRGLTEPGTLFKNLMALLRDVVICYDGTVRNVCSKSIIQLNYTEDDALDFPSAIGPGEPVGVLAATAISNPAYKAVLDASQSNNTSWERMKEILQTTSRYKNDMKDRKVILFLNDCSCAKKFCKEKAAIAVQGCLRRITLEDCATDICIEYQKQIGLDGTSEAAPALVGHIHLDRAHLERINISTEDILQKCQEVSGKYGKKKGHLSDPRIQEAKIVWVGSDATSWVKNTQKASKGEPAVEIIVEEEEALHIGDAWRTTMDACIPVLNLIDIRRSIPYGIQQVRELLGISCAFDQVVQRLSTTVRMVAKDVLKDHLVLVANSMTFTGNLNGFNNAGYKATFRSLKVQVPFTESTLITPMKCFEKAAEKCHSDSLGCVVSSCSWGKHAASGTGSSFQILWNESQLKSNKEYGDGLYDYLALVRTDEEKARYTFFDDVDYLAEENEADVCLSPELDGTIGQPIFDDNLEEQDVQNNSSWDNGTTTNASWEQNGSAGNDSDKWGGWNDAAAGADTGVTKPADQGNSCWDVPATVEKSSSDWGGWGTEKAKEKEKISEEPAQHDAWSVQGPKRATDGGASWKKQSSTQNDGNSWKENKGRGSNGGSWEKDNVQKGSWGRGNDEAENNNDVQNKSWETVAADAHASTEKSWGNVTASPSDNAWSAAPSAGVDASTNKDKESWGNVPASPSDSAWNAAPVSQGDDVWNSAEANESRNKDWKSDGWGARGGNWRGQRNNPGRPPRKPDGRGLPRRPDERGPPRRHFDLTAEEEKILGEIEPTVLSIRKIFRESIDSIKLSPEDEKFIKENVLEHHPEKQSKVSGEIDHIMVDKHQVFQDSRCLFVVSSDGTRSDFSYLKCMENFVRKTYPEHGDSFCKKYFKRRRDQPPAADGGTAPVTPAGATQSTAVDTQEGTSQQTQPDIATAPAATQQETLQDTPAPPADDGLLGKGPSPMVIWLVQCKPILRGRAESDDFVVLQGTELSLPPRPNPSTLFRLRLRLAAAADDDDLHLSTPPSAMQARVVVFPVKGRAWCFASPRATAPAAACGGGDGGALLPPPPPTVKDLWRGIAGGGRTASENAEAVVNFVADKMNWAWIGFGSAPEGSMKNRIHSFGLKLLSRVRPSEVLLKSVTKDVSLLEIVHPASINPRLVRRRLRHIAVRGASVHRKFLYGSVCLLPVTSVFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLVSDSSDQWKILLEKQKEMSSRKDGNPCENTQYAPWPSKKLDGFLESRKLNEGLDCDTISRICQAYDLDKIDVLKYRDLE >ONIVA02G04020.1 pep chromosome:AWHD00000000:2:2598978:2605271:-1 gene:ONIVA02G04020 transcript:ONIVA02G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPYAGVPIDPAKCRLMSVDEKRELVRELSKRPESAPDKLQSWSRREIVEILCADLGRERKYTGLSKQRMLEYLFRVVTGKSSGGGAVEHVQEKEPTPEPNTANHQSPAKRQRKSDNPSRLPIVASSPTTEIPRPASNARFCHNLACRATLNPEDKFCRRCSCCICFKYDDNKDPSLWLFCSSDQPLQKDSCVFSCHLECALKDGRTGIMQSGQCKKLDGGYYCTRCRKQNDLLGSWKKQLVIAKDARRLDVLCHRIFLSHKILVSTEKYLVLHEIVDTAMKKLEAEVGPISGVANMGRGIVSRLAVGAEVQKLCARAIETMESLFCGSPSNSQFQRSRMIPSNFVKFEAITQTSVTVVLDLGPILAQDVTCFNVWHRVAATGSFSSSPTGIILAPLKTLVVTQLVPATSYIFKVVAFSNSKEFGSWEAKMKTSCQKEVDLKGLMPGGSGLDQNNGSPKANSGGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCENPEILDSDKASHHPNEPTNNSQSMPMVIARVTEVSGLEEAPGLSASALDEEPNSAVQTQLLRESSNSMEQNQRSEVPGSQDASNAPAGNEVVIVPPRYSGSIPPTAPRYMENGKDISGRSLKAKPGDNILQNGSSKPEREPGNSSNKRTSGKCEEIGHKDGCPEASYEYCVKVVRWLECEGYIETNFRVKFLTWYSLRATPHDRKIVSVYVNTLIDDPVSLSGQLADTFSEAIYSKRPPSVRSVVIKDEVEVELKEVVQHMQVSKRPKKRKETGWMDAVTDDHRSEDEHELNTLVHSAPATVAGEAAADGGLWTTKDMHWHWRLLSKAMRRMKASGYSLRHSSTSRSTAAASEHPNIGSFHSVQYLPS >ONIVA02G04020.2 pep chromosome:AWHD00000000:2:2600501:2605271:-1 gene:ONIVA02G04020 transcript:ONIVA02G04020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPYAGVPIDPAKCRLMSVDEKRELVRELSKRPESAPDKLQSWSRREIVEILCADLGRERKYTGLSKQRMLEYLFRVVTGKSSGGGAVEHVQEKEPTPEPNTANHQSPAKRQRKSDNPSRLPIVASSPTTEIPRPASNARFCHNLACRATLNPEDKFCRRCSCCICFKYDDNKDPSLWLFCSSDQPLQKDSCVFSCHLECALKDGRTGIMQSGQCKKLDGGYYCTRCRKQNDLLGSWKKQLVIAKDARRLDVLCHRIFLSHKILVSTEKYLVLHEIVDTAMKKLEAEVGPISGVANMGRGIVSRLAVGAEVQKLCARAIETMESLFCGSPSNSQFQRSRMIPSNFVKFEAITQTSVTVVLDLGPILAQDVTCFNVWHRVAATGSFSSSPTGIILAPLKTLVVTQLVPATSYIFKVVAFSNSKEFGSWEAKMKTSCQKEVDLKGLMPGGSGLDQNNGSPKANSGGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCENPEILDSDKASHHPNEPTNNSQSMPMVIARVTEVSGLEEAPGLSASALDEEPNSAVQTQLLRESSNSMEQNQRSEVPGSQDASNAPAGNEVVIVPPRYSGSIPPTAPRYMENGKDISGRSLKAKPGDNILQNGSSKPEREPGNSSNKRTSGKCEEIGHKDGCPEASYEYCVKVVRWLECEGYIETNFRVKFLTWYSLRATPHDRKIVSVYVNTLIDDPVSLSGQLADTFSEAIYSKRPPSVRSGFCMELWH >ONIVA02G04010.1 pep chromosome:AWHD00000000:2:2598027:2598754:-1 gene:ONIVA02G04010 transcript:ONIVA02G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSISLEMDFALRGGRHHPPLIPDKLGRLLRRSDDGAGDEAGRVDEHDVAGVESGGGGRRWREEVKKGRVEQGDKRWRVWWRWEKVAPVHLGWQWHGHLSISFITINKMGVSNMSLFRNIKMGSNLFLEKHTIVNKILAWLSRGMVTFLGK >ONIVA02G04010.2 pep chromosome:AWHD00000000:2:2598027:2598754:-1 gene:ONIVA02G04010 transcript:ONIVA02G04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSISLEMDFALRGGSSEAIGCCTSSCPAGARHHPPLIPDKLGRLLRRSDDGAGDEAGRVDEHDVAGVESGGGGRRWREEVKKGRVEQGDKRWRVWWRWEKVAPVHLGWQWHGHLSISFITINKMGVSNMSLFRNIKMGSNLFLEKHTIVNKILAWLSRGMVTFLGK >ONIVA02G04000.1 pep chromosome:AWHD00000000:2:2592929:2605548:1 gene:ONIVA02G04000 transcript:ONIVA02G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPTFHVHPPFPVSARKKEDDGGGGGGKKEAAAAAMRRLAEMDHRAAADGGKVVAAVAVDGDRGSQHALKWAADHVLSRSHPFFLLHVRRKHASLHSAGGKQFSLLHVQDDVAASSPDQMDHHTKDLLLPFQCFCSRRGLQCRETILDGTDVWKVIIDFVLDQKVDKLVLGASSRNAFTRTIWKLDVPTCVTKSAPNFCSVYVISKGKLSSFRLATHANANDTSKEELENISENRPLIAKSEPAPIIHIEGQNSSSALCPGCNPLSPNLSEECTESTSKYYPEDLEAEIRKLKLELKQKNDDMHMWNKLPLGIGDRSENSNASVEHEDEHLQEFTTCSTHSYSERPNAEPSSAVRGPKHKLLKLETSSSDQCRERTIQEFKDHSSQDTVHPILRRLPPKFYSPRNDSKHGCASEKAYNLELKCKSLPRPIETKRLLEGLPTRFQCKIYTTEEVANATDHFSPELKVGEGGYGPVYKATLDNTLVAAKILHSNITQGLKQFRQEVELLNNIRHPNMVHLLGACPEYGCLVYEYMLNGSLEDRLFCRSGTPPLPWQLRFKMAVEIATGLLYLHKMKPEAFVHRDLKPGNILLDKDFVSKISDVGLARIIPRSMDETVTQYRMTDAAGTFCYIDPEYQKTGLVTTKSDVYALGIIYLQMITAKDAMGLAYMVSDALEEGTFEGLLDPNVTGWPVQEAQKFAELSLKCCELRHRDRPDLESVVLPELIRLHTLVASSGDHSSIDQGHQRSVSDKELALENDLAEILNDGLVKGASFAA >ONIVA02G04000.2 pep chromosome:AWHD00000000:2:2592929:2605548:1 gene:ONIVA02G04000 transcript:ONIVA02G04000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPTFHVHPPFPVSARKKEDDGGGGGGKKEAAAAAMRRLAEMDHRAAADGGKVVAAVAVDGDRGSQHALKWAADHVLSRSHPFFLLHVRRKHASLHSAGGKQFSLLHVQDDVAASSPDQMDHHTKDLLLPFQCFCSRRGLQCRETILDGTDVWKVIIDFVLDQKVDKLVLGASSRNAFTRCMWNLQEDLEAEIRKLKLELKQKNDDMHMWNKLPLGIGDRSENSNASVEHEDEHLQEFTTCSTHSYSERPNAEPSSAVRGPKHKLLKLETSSSDQCRERTIQEFKDHSSQDTVHPILRRLPPKFYSPRNDSKHGCASEKAYNLELKCKSLPRPIETKRLLEGLPTRFQCKIYTTEEVANATDHFSPELKVGEGGYGPVYKATLDNTLVAAKILHSNITQGLKQFRQEVELLNNIRHPNMVHLLGACPEYGCLVYEYMLNGSLEDRLFCRSGTPPLPWQLRFKMAVEIATGLLYLHKMKPEAFVHRDLKPGNILLDKDFVSKISDVGLARIIPRSMDETVTQYRMTDAAGTFCYIDPEYQKTGLVTTKSDVYALGIIYLQMITAKDAMGLAYMVSDALEEGTFEGLLDPNVTGWPVQEAQKFAELSLKCCELRHRDRPDLESVVLPELIRLHTLVASSGDHSSIDQGHQRSVSDKELALENDLAEILNDGLVKGASFAA >ONIVA02G03990.1 pep chromosome:AWHD00000000:2:2576120:2577719:-1 gene:ONIVA02G03990 transcript:ONIVA02G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLVVVQLLLLLLPLPLREHLWSGQHRRNDVDAGELHPIVVLPGVACSDLEARLTEAYRPSAARCGAMKGKGWFPLWKNSSDLSTHRYNECFLEQMSLVYDPVANDYRNFPGVETRVPYFGLVKGYHQKWPFDKPWCLTPLIRALEEMGYRDGDNMHGAPYDFRHVPPVPGQESQVYSRYYEEFMELVEATSKRHRKKKVIILGHSHGGCVALEFVRNTPLAWRKEYIKHLFLVTPTLSAGLLDPVENLATGPHNLFYVPDATELSLRPMWRSFETSIANLPSPAVFGRKPIVVTERRNYSAYDMEDLLAAVGFGDGIEPFRRRMVVRMNYLEAPMVPLTYINGVGKRTPRQLVYWDGNFDKAPEKVYGDGDGIVNLVTMLAFDEEMRRQPGQRGQFKSIKVENASHMGILMDEWALKRVMQEILEVNQDSS >ONIVA02G03980.1 pep chromosome:AWHD00000000:2:2561418:2562692:-1 gene:ONIVA02G03980 transcript:ONIVA02G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPLHVVVFPWLAFGHLLPALELAGRLASRGLRVSFVSTPRNIARLRRPCPSVEFVELPLPRVDGLPDGAEATTDVPDHMSSALWKAAFLDAAAAAGNKVDWLILDGMLSWAAASAADRKVPCVLMMPYTATAELLAVRSCVEFEPESVPLLSNIFGKPVVPIGLLPPPQVDGDGDGDGDTALMSSWLDRQPPKSVVYVALGSEAPLTAEQRRELALGLELSGAPFLWALRKPHGGDDDGGLLPPGFEERTRGRGMVKTGWVPQLKILAHAAVGAFLTHCGHSSVIEGLRFGHPLVMLPLFLDQFTNASYLEGARGVGVQVARDGEHGSAFDRDGVAAAVRAAVVDEESKKALAANAGKMGEVVADTECHERCIDAFIQQLRSYTTTRTGY >ONIVA02G03970.1 pep chromosome:AWHD00000000:2:2552911:2559168:-1 gene:ONIVA02G03970 transcript:ONIVA02G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESISMAENSIHLWPDPGGGRSSTTMSPPFPVTRHHEPSSSRTPHYGNASRCVSRKLSAVETPSRSRHVARLCGTQHYIKEPEIHRDVQASDLQRQ >ONIVA02G03960.1 pep chromosome:AWHD00000000:2:2540847:2552903:-1 gene:ONIVA02G03960 transcript:ONIVA02G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIIPVLLRLLLLLLPLPLIRDHLWAPSHRRPTPQDDAGELHPIFLVPGVTCSNVEARLTEAYRPSAAHCGAMKGKGWFGLWENNTELQAHDYAECFQEQMALVYDPAANEYRNLPGVDTRVPNFGSVRSFGSKDVFNPEWCLASLIGALEEMGYRDGDNMYGVPYDIRYAPPIPGQASQVYSRHFREFMELVETASEKHHNKRAIILGHSLGGMVALEFVRNTPSAWRDKYIEHLFLVAPTLSTGFVRTVRNLVSGPKDLLHVANTTALSLRPMWRSFETSIVNVPSPGVFGHEPIVVTKRRNYSAYDLEDLLAAIGFSDGIEPFRRRTVARMNYIEAPMVPLTCINAVGKRTPRQFVYWDGNFDDEPTEIVYGDGDDSINLVSMLAFDEEMRRQPGQKGRFKSIKLNNASHGSILTDEWALRRVMQEIFLKRRMVARMNYLEAPIVPLTYINKVGKRTPRQLAPERVYGDGDGIVNLVTMLAFDEEMCRQPGQKGQFKSIKIENATHMRILMDE >ONIVA02G03950.1 pep chromosome:AWHD00000000:2:2527754:2531770:1 gene:ONIVA02G03950 transcript:ONIVA02G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRRVVHLVTALNGSANLRHIDTSRFFSRRPPPPFSPSSMAVVTEESSLPEPSMSFYPAPAPPESNGDIGVMLFGRARDRLLVTDQSVSAAIYDAGTHALLAETTPLKPKYWPVSVPVGDDIYLFDLYPRVPCGGRHCFEAVTAVDSSSSSYCSRALPPPPFLFAPGYSPKPIESYTVVGGSEVWISTARAGTYAFDTVSCSWSKQADWPMPFAGLAEYVPEHKLWFGLSSSRMDKHPLCAVDLAAASPETGPELTDMWMELSVPREWIPVETFLVHLGSSRFFVARFFQELVEVRCDFSQRFDRFAVFTGVELERTSRGELRMIKHKSERWLLNNIRHPNMVQQQLHNRQTPVLPSVTLQETQASNCHVNRSVCQQAEASINRRI >ONIVA02G03940.1 pep chromosome:AWHD00000000:2:2526743:2527204:-1 gene:ONIVA02G03940 transcript:ONIVA02G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKCDLLKFSRKTFDQMAYRTLDSWLLMIEVSYNAGEFEEAFWLFKQLKTNYHDLHKTKHLISPVGSVELIQLCRSGKGRKALKLLEMGVHANLHAFFEIAAGCRTIAELNLVRGCLQRSAFSHNTFINSKIDQMFEQMHANLKNKDGHGHP >ONIVA02G03930.1 pep chromosome:AWHD00000000:2:2518398:2525990:1 gene:ONIVA02G03930 transcript:ONIVA02G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFPLLPEAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDIVVKIGLRKGSKSFDEARAAGFTEESGTLGDIWETVSGSDLDLSLVVERDALLQEDSIVFALIKQRPTLPPPKLPVAILSHDKTCGYQTSGHLQKNKESATAVKPTGERVDHRSRHHAISTKIAISRSLPNNVAVKPQAILIN >ONIVA02G03930.2 pep chromosome:AWHD00000000:2:2518398:2525990:1 gene:ONIVA02G03930 transcript:ONIVA02G03930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFPLLPEAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDIVVKIGLRKGSKSFDEARAAGFTEESGTLGDIWETVSGSDLDLSLVVERDALLQEDSIVFALIKQRPTLPPPKLPVAILSHDKTCGYQTSGHLQKNKESATAVKPTGERVDHRSRHHAISTKIAISRSLPNNVAVKPQAILIN >ONIVA02G03930.3 pep chromosome:AWHD00000000:2:2518322:2525990:1 gene:ONIVA02G03930 transcript:ONIVA02G03930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSRGFFAKQRPLAHTPSSPSRCRSLPLSLSPSRAAASPSPRRRRRAPCPPLRAAAVARSNPGRLRLRLRSRRRLHLGCRCRRVATEEDEQVLLIAAAVISWRKGGGGDGGVSRRWAVLLCLGSGSFCLGLLFTDRCSGCTHEVDLDKPPVLQEVENFFMGMSMFSNMMGGCLDHDSIVTTNIFCGAAMHLHCDWASTGKELAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDIVVKIGLRKGSKSFDEARAAGFTEESGTLGDIWETVSGSDLDLSLVVERDALLQEDSIVFALIKQRPTLPPPKLPVAILSHDKTCGYQTSGHLQKNKESATAVKPTGERVDHRSRHHAISTKIAISRSLPNNVAVKPQAILIN >ONIVA02G03930.4 pep chromosome:AWHD00000000:2:2518825:2525990:1 gene:ONIVA02G03930 transcript:ONIVA02G03930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVWAVDGGEYKSRLKNDPKGGGGDGGVSRRWAVLLCLGSGSFCLGLLFTDRCSGCTHEVDLDKPPVLQEVENFFMGMSMFSNMMGGCLDHDSIVTTNIFCGAAMHLHCDWASTGKELAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDIVVKIGLRKGSKSFDEARAAGFTEESGTLGDIWETVSGSDLDLSLVVERDALLQEDSIVFALIKQRPTLPPPKLPVAILSHDKTCGYQTSGHLQKNKESATAVKPTGERVDHRSRHHAISTKIAISRSLPNNVAVKPQAILIN >ONIVA02G03930.5 pep chromosome:AWHD00000000:2:2518398:2525990:1 gene:ONIVA02G03930 transcript:ONIVA02G03930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFPLLPEAFKGNKQIGVIGWGSQGPAQAQNLRDSIAQVKSDIVVKIGLRKGSKSFDEARAAGFTEESGTLGDIWETVSGSDLDLSLVVERDALLQEDSIVFALIKQRPTLPPPKLPVAILSHDKTCGYQTSGHLQKNKESATAVKPTGERVDHRSRHHAISTKIAISRSLPNNVAVKPQAILIN >ONIVA02G03930.6 pep chromosome:AWHD00000000:2:2518825:2524807:1 gene:ONIVA02G03930 transcript:ONIVA02G03930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVWAVDGGEYKSRLKNDPKGGGGDGGVSRRWAVLLCLGSGSFCLGLLFTDRCSGCTHEVDLDKPPVLQEVENFFMGMSMFSNMMGGCLDHDSIVTTNIFCGAAMHLHCDWASTGKELVCKERKRG >ONIVA02G03920.1 pep chromosome:AWHD00000000:2:2513561:2518017:1 gene:ONIVA02G03920 transcript:ONIVA02G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRVGRRFLAGDILSSEASPHAVGRLFTEPPLPSPLEGVRSPSPLPLPRATKQPFIGNFSPFKSYPLQVIRESLPLAHHQSLSRNAIGPSYRWPWTRLTSAVALPKLLGTRWASTNTSSTTGFGPCFTSAGELIDALTYARANPLMRKVKIMGREDKIIWIPNDNLRRLVRSLNKTYALLHAKKKCPSSLTSSNVLVGEDGSAVIQGVIEIPYSEEEACCRYNETASILKELITESVGSEAIGVDCIADFRRLLRQMESMTSVCQEYIISNHSSLIPDANRTAVFLLFYNHIMGKLAQEQPRLKNQIISKLPYDGIWLGIVISNRFLRRWLNSHREYVSTGDDDMSFNWNVRSHFYAHLWIFAYSQLEVEECLYGEFPELLLEIEILLWKANEIDGLGFEDKF >ONIVA02G03920.2 pep chromosome:AWHD00000000:2:2513728:2518017:1 gene:ONIVA02G03920 transcript:ONIVA02G03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRVGRRFLAGDILSSEASPHAVGRLFTGIVSHLAAPSEASPLPPLQALIGILSASSEPPLPSPLEGVRSPSPLPLPRATKQPFIGNFSPFKSYPLQVIRESLPLAHHQSLSRNAIGPSYRWPWTRLTSAVALPKLLGTRWASTNTSSTTGFGPCFTSAGELIDALTYARANPLMRKVKIMGREDKIIWIPNDNLRRLVRSLNKTYALLHAKKKCPSSLTSSNVLVGEDGSAVIQGVIEIPYSEEEACCRYNETASILKELITESVGSEAIGVDCIADFRRLLRQMESMTSVCQEYIISNHSSLIPDANRTAVFLLFYNHIMGKLAQEQPRLKNQIISKLPYDGIWLGIVISNRFLRRWLNSHREYVSTGDDDMSFNWNVRSHFYAHLWIFAYSQLEVEECLYGEFPELLLEIEILLWKANEIDGLGFEDKF >ONIVA02G03910.1 pep chromosome:AWHD00000000:2:2511524:2512472:1 gene:ONIVA02G03910 transcript:ONIVA02G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIGASAVIFMSSYWLHFWSTMLPQEEHDTMRNGATLLESGRCLTADNLAKRGWPHDLACPLCSYEQEDCDHLFPKCSFTNRDDKAFPAGVVATGETLFLDMLHECF >ONIVA02G03900.1 pep chromosome:AWHD00000000:2:2498414:2503747:-1 gene:ONIVA02G03900 transcript:ONIVA02G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSGRFVVAAAVVAVSLAMAAAAAAAHDYGEALSKSLLYFEAQRSGRLPYNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSVLEYGEEIAAAGELGHALHAIKWGTDYFIKAHTHPNVLWTQRPEDMTTSRHAYKVDAENPGSEVAAETAAAMAAASILFEFGDKYRGRYDESVEVVKNYYPSSSGYKDELLWAALWLHRATGRREYLDYAVDNADDFGGTGWAVSEFSWDIKYAGLQVLASKLLVEEKHLSSQQREVLEKYRSKAEYYVCSCMGRNPGGAAHNAGRTPAGLLFIRPWNNLQYVSNAAFLLTVYSDVLSSLSLPLLCPDPDAAADEAAPAAADAGEVLEFARSQADYILGTNPMATSYLVGYGEAYPRRVHHRAASSASYARDRDFIGCLQGFDSWYSAAAENPHDLVGAVVGGPNGNDVFTDHRGAYMQTEACTYNTAPMVGVFSRLMELERRRRGEDAPPSSTSPVAEDDL >ONIVA02G03890.1 pep chromosome:AWHD00000000:2:2490703:2497679:-1 gene:ONIVA02G03890 transcript:ONIVA02G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRWKGDSPSHATRRAPERLRWVPEVAARVVAFVRFRMNICAAILLFYILQFVHGQPDSQGFISIDCGIPEKSSYQDPTSSIIYVSDYGFISTGANHNISSAYIKPSLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSNADAVNWMDIIVVAPADYLQVCLVNKGMGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSITRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKPLTEFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITSLNLSSSGLAGSIATYFGDLKSLQYLDLSHNNMSGPIPNFLGQLPDLSSNDLSGPIPYNLLQKSQNGSLSLRVGYNAKLCGNDTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLEYLHVACKPALIHRDVKSRNILLTTNLGAKIADFGLTKVFSESRTHMTTEPAGTFGYLDPEYYRNYHISEKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGGGYDINSVWKVADLALHCKREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSVSNLGEETTLEVEEQSGEISRVSPGPAVR >ONIVA02G03890.2 pep chromosome:AWHD00000000:2:2490703:2497679:-1 gene:ONIVA02G03890 transcript:ONIVA02G03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRWKGDSPSHATRRAPERLRWVPEVAARVVAFVRFSTQWKATADSQCSHVSFRGFISIDCGIPEKSSYQDPTSSIIYVSDYGFISTGANHNISSAYIKPSLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSNADAVNWMDIIVVAPADYLQVCLVNKGMGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSITRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKPLTEFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITSLNLSSSGLAGSIATYFGDLKSLQYLDLSHNNMSGPIPNFLGQLPDLSSNDLSGPIPYNLLQKSQNGSLSLRVGYNAKLCGNDTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLEYLHVACKPALIHRDVKSRNILLTTNLGAKIADFGLTKVFSESRTHMTTEPAGTFGYLDPEYYRNYHISEKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGGGYDINSVWKVADLALHCKREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSVSNLGEETTLEVEEQSGEISRVSPGPAVR >ONIVA02G03890.3 pep chromosome:AWHD00000000:2:2490703:2497679:-1 gene:ONIVA02G03890 transcript:ONIVA02G03890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRWKGDSPSHATRRAPERLRWVPEVAARVVAFVRFSTQWKATADSQCSHVSFRGFISIDCGIPEKSSYQDPTSSIIYVSDYGFISTGANHNISSAYIKPSLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSNADAVNWMDIIVVAPADYLQVCLVNKGMGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSITRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKPLTEFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITSLNLSSSGLAGSIATYFGDLKSLQYLDLSHNNMSGPIPNFLGQLPDLSSNDLSGPIPYNLLQKSQNGSLSLRVGYNAKLCGNDTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLEYLHVACKPALIHRDVKSRNILLTTNLGAKIADFGLTKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGGGYDINSVWKVADLALHCKREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSVSNLGEETTLEVEEQSGEISRVSPGPAVR >ONIVA02G03890.4 pep chromosome:AWHD00000000:2:2490703:2497679:-1 gene:ONIVA02G03890 transcript:ONIVA02G03890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRWKGDSPSHATRRAPERLRWVPEVAARVVAFVRFSIDCGIPEKSSYQDPTSSIIYVSDYGFISTGANHNISSAYIKPSLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSNADAVNWMDIIVVAPADYLQVCLVNKGMGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSITRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKPLTEFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITSLNLSSSGLAGSIATYFGDLKSLQYLDLSHNNMSGPIPNFLGQLPDLSSNDLSGPIPYNLLQKSQNGSLSLRVGYNAKLCGNDTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLEYLHVACKPALIHRDVKSRNILLTTNLGAKIADFGLTKVFSESRTHMTTEPAGTFGYLDPEYYRNYHISEKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGGGYDINSVWKVADLALHCKREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSVSNLGEETTLEVEEQSGEISRVSPGPAVR >ONIVA02G03890.5 pep chromosome:AWHD00000000:2:2490703:2497679:-1 gene:ONIVA02G03890 transcript:ONIVA02G03890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRWKGDSPSHATRRAPERLRWVPEVAARVVAFVRFSTQWKATADSQCSHVSFRGFISIDCGIPEKSSYQDPTSSIIYVSDYGFISTGANHNISSAYIKPSLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSNADAVNWMDIIVVAPADYLQVCLVNKGMGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSITRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKPLTEFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITSLNLSSSGLAGSIATYFGDLKSLQYLDLSSNDLSGPIPYNLLQKSQNGSLSLRVGYNAKLCGNDTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLEYLHVACKPALIHRDVKSRNILLTTNLGAKIADFGLTKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGGGYDINSVWKVADLALHCKREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSVSNLGEETTLEVEEQSGEISRVSPGPAVR >ONIVA02G03890.6 pep chromosome:AWHD00000000:2:2490703:2497679:-1 gene:ONIVA02G03890 transcript:ONIVA02G03890.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRWKGDSPSHATRRAPERLRWVPEVAARVVAFVRFSTQWKATADSQCSHVSFRGFISIDCGIPEKSSYQDPTSSIIYVSDYGFISTGANHNISSAYIKPSLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSNADAVNWMDIIVVAPADYLQVCLVNKGMGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSITRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKPLTEFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITSLNLSSSGLAGSIATYFGDLKSLQYLDLSSNDLSGPIPYNLLQKSQNGSLSLRVGYNAKLCGNDTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLEYLHVACKPALIHRDVKSRNILLTTNLGAKIADFGLTKVFSESRTHMTTEPAGTFGYLDPEYYRNYHISEKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGGGYDINSVWKVADLALHCKREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSVSNLGEETTLEVEEQSGEISRVSPGPAVR >ONIVA02G03880.1 pep chromosome:AWHD00000000:2:2488948:2491450:1 gene:ONIVA02G03880 transcript:ONIVA02G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELQALLKLCHHLCHRRPLPGDLSLAMQRQVSDFPDRVDVVASPSSHPCIHNALDAAVVEALMHKLTNVDAHALVDGDDGRAACDQLQEDDTEAVHVALLADMIIAVVPEQDGQNMTWKFSYLVELKIFHFERKTMRLFTRNIEKESSVYES >ONIVA02G03870.1 pep chromosome:AWHD00000000:2:2486064:2488829:1 gene:ONIVA02G03870 transcript:ONIVA02G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLLLRRCLSAAAASAAARFAVRHAHGELEVSGCSARIRDLGRLGRVGEAREVFDAMPRRDIIAWNSMISAYCHNGMPDAARDLYNAISGGNMRTGAILLSGYGRLGRVLEARRVFDGMLERNTVAWNAMISCYVQNGDITMARRLFDAMPSRDVSSWNSMLTGYCHSLQMVDARNLFEKMPERNLVSWTVMISGYGRIENHGKAWDIFCKMHREGLLPDQSNFASALSAVKGLGNLDVLESLRVLALKTGFERDVVIGTAILNVYSRDTSVLDTAIKFFESMIERNEYTWSTMIAALSHGGRIDAAIAVYERDPVKSIACRTALITGLAQCGRIDDARILFEQIPEPIVVSWNALITGYMQNGMVNEAKELFDKMPFRNTISWAGMIAGYAQNGRSEEALGLLQELHRSGMLPSLSSLTSIFFACSNIVALETGTQVHSLAVKVGCQFNSFACNALITMYGKCRNMEYARQVFSRMVTKDIVSWNSFLAALVQNDLLDEARNTFDNMLSRDDVSWTTIISAYAHAEQSNEAMGAFKTMFCEHELPNSPILTILLGVCGSLGASKIGQQIHTVAIKLGMDSELIVANALISMYFKCGCADSRRIFDLMEERDIFTWNTIITGYAQHGLGREAIKMYQHMESAGVLPNEVTFVGLLNACSHAGLVDEGWKFFKSMSQDYGLTPLPEHYACMVDLLGRTGDVQGAEQFIYDMPIEPDTVIWSALLGACKIHKNAEIGKRAAEKLFRIEPSNAGNYVMLSNIYSSLGMWGEVAEVRKIMKQQGVIKEPGCSWTQIKDKMHSFVTGDKQHEQIEEIVATLEELYTLLKATGYVPDTEFVLHDIDEEQKESSLLYHSEKLAVAYCLLATPKGMPIQILKNLRICGDCHTFIKFVSHVTKRQIDIRDGNRFHHFRNGSCSCEDFW >ONIVA02G03860.1 pep chromosome:AWHD00000000:2:2478575:2481224:-1 gene:ONIVA02G03860 transcript:ONIVA02G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREREAEKWRLGPISRACLLFVISPLLSSLLFSSLRHGATHLPPRSLLLLLLRFSLEDTLGSIRPASWKFSMGSGMVKKKVVKAGSFDLDVKLDKSWMEDITCPICLDFPHNAVLLRCTSYEKGCRPFICDTDQSRSNCLERFKGAHGLPTNMKVPSFNGAPLDSIHIISSNTTDRPACPLCRGDVIGWVVIDEARLHLNQKKRCCEESCCSYVGNFHELQKHTQQKHPNSRPSEIDPARRVDWENFQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDDAGDDYEVYHRVRGNWWTSCIFCKSFCRSSGGRSRARARERRSSGRRSSNRSSQESFTIEVPSGSVDIREIRFDEIDDEYIVTGAMPGIAASRRIASHYRDPRYGRRRSYY >ONIVA02G03860.2 pep chromosome:AWHD00000000:2:2477135:2480727:-1 gene:ONIVA02G03860 transcript:ONIVA02G03860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCERGRFSLEDTLGSIRPASWKFSMGSGMVKKKVVKAGSFDLDVKLDKSWMEDITCPICLDFPHNAVLLRCTSYEKGCRPFICDTDQSRSNCLERFKGAHGLPTNMKVPSFNGAPLDSIHIISSNTTDRPACPLCRGDVIGWVVIDEARLHLNQKKRCCEESCCSYVGNFHELQKHTQQKHPNSRPSEIDPARRVDWENFQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDDAGDDYEVYHRVRGNWWTSCIFCKSFCRSSGGRSRARARERRSSGRRSSNRSSQESFTIEVPSGSVDIREIRFDEIDDEYIVTGAMPGIAASRRIASHYRDPRYGRRRQTNSDAEKR >ONIVA02G03850.1 pep chromosome:AWHD00000000:2:2472702:2484484:1 gene:ONIVA02G03850 transcript:ONIVA02G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSEGSGDEEEEEEEEGGVEVGGGGDGGVGVGVGGGFALAIEGVLGACGLVVSDALEPDFPIIYVNRGFEDATGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDTTVVTDIRRCLEEGTVFQGDLLNFRKDGSPFMAKLQLTPIYGDDETITHYMGMQFFNDSNVDLGPLSVSTTKEIVRSTLITPDNTIRPSPMGKGFCSEHSDLFLLSDEVLCQKILSRLSPRDIASVNSVCKRLYHLTRNDDLWRMVCQNAWGSEATQVLETVAGTRSLAWGRLARELTTLEAVTWRKLTVGGAVEPSRCNFSACAAGNRVVLFGGEGVNMQPMNDTFVLDLNASKPEWRHINVRSAPPGRWGHTLSCLNGSRLVLFGGCGRQGLLNDVFMLDLDAQQPTWREIPGLAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMERPVWREIPASWTPPCRLGHSLSVYDGRKILMFGGLAKSGPLRLRSNDVFTLDLSENKPCWRCITGSGMPGASNPAGVGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASKLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWTLTELHELSLMFPTLNQKDLELYSWKL >ONIVA02G03840.1 pep chromosome:AWHD00000000:2:2464461:2469270:1 gene:ONIVA02G03840 transcript:ONIVA02G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSTFPGPTCHRAGVRTGTVRVGFSQASSTPETRKATTTTQFGLNGSGFELPDPLSPPESKPSQRWPISRLLAALALLRFSSSSSSFLGGCSGSDSGEPSRSWYGGGSEGSEKFRTGGGAHIRESVPPNHMEDYPSGRSKAAIGFLRRGGGFSSRNQSTEERTIQNYDGPGITTRLNPMKTRLSDNQERPRYLRDSFRSSTSMAIHGSSSKVPLRKFGDEKRRQTLLAGVDIAESSSRNAGGKHLEGSNKRIVVDDRSSDVLHTETEGLATEQDQLIAPNAGVSDSASSSDISEHAVESLVRSAAPSSRTRRQKDKELNLGQSGVCSSSCTNRPTISRYAPANVKRPCNHASGVQRHGHNNLDCTSVPNFLPSGCSSGSVYSRRFDAMRKRTSDGGSFSRSRGLSGTASLDDSPPAYPAIAGPRIRTTTTERASQQNALRSRRNFQDSAVSVRTRRPPWGARFRISEEREDGMISQRDSSIGNQQSDQVHSSSEEASTESSSRPFSAELPHAIYSSRGEGSNAFTARRRRSSSLYEERPPQTFHDLFRERNGRRHISIEGIAEVLLALDRIEQEAELTYEQLMVLETNILLGAFTSHDQHSDMRMDIDNMSYEELLALGDRIGSVSTALSEEQFVKCLRRSIYIPVATKANAQVVDDIKCSICQEEYIEGEEVGRLGCEHQYHVCCIHQWLRQKNWCPICKASAEPSTVS >ONIVA02G03840.2 pep chromosome:AWHD00000000:2:2464461:2469270:1 gene:ONIVA02G03840 transcript:ONIVA02G03840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSTFPGPTCHRAGVRTGTVRVGFSQASSTPETRKATTTTQFGLNGSGFELPDPLSPPESKPSQRWPISRLLAALALLRFSSSSSSFLGGCSGSDSGEPSRSWYGGGSEGSEKFRTGGGAHIRESVPPNHMEDYPSGRSKAAIGFLRRGGGFSSRNQSTEERTIQNYDGPGITTRLNPMKTRLSDNQERPRYLRDSFRSSTSMAIHGSSSKVPLRKFGDEKRRQTLLAGVDIAESSSRNAGGKHLEGSNKRIVVDDRSSDVLHTETEGLATEQDQLIAPNAGVSDSASSSDISEHAVESLVRSAAPSSRTRRQKDKELNLGQSGVCSSSCTNRPTISRYAPANVKRPCNHASGVQRHGHNNLDCTSVPNFLPSGCSSGSVYSRRFDAMRKRTSDGGSFSRSRGLSGTASLDDSPPAYPAIAGPRIRTTTTERASQQNALRSRRNFQDSAVSVRTRRPPWGARFRISEEREDGMISQRDSSIGNQQSDQVHSSSEEASTESSSRPFSAELPHAIYSSRGEGSNAFTARRRRSSSLYEERPPQTFHDLFRERNGRRHISIEGIAEVLLALDRIEQEAELTYEQLMVLETNILLGAFTSHDQHSDMRMDIDNMSYEEEYIEGEEVGRLGCEHQYHVCCIHQWLRQKNWCPICKASAEPSTVS >ONIVA02G03830.1 pep chromosome:AWHD00000000:2:2454922:2462708:1 gene:ONIVA02G03830 transcript:ONIVA02G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAEMIAGAVVQRVAGMLGDNAWERIQLLWNFQEDVQDMKSKMTDLKVALSYADKHSRETDDDALVLRHWLNKYKSVAYDMEDTLDELLTNAMIWENNPCTVKLFFSSINPLIVRITMSIKMRNIRMKLDKIAEDQKKFPSMQLANPTGQDSTDKWRETFIGHTDEIEMVGRAREKKEILIKVLQNDGGQEISIIPVVGLGGMGKTTLAKAVYTDKETHMFDVKAWVHVSMEFQLNKIVSGIISHVEGSTPANIADLQYLKSQLDRILCNKLYLIILDDLWEEGWSKLEKLMEMLQSGKKGSKIIVTTRSEKVVNTLSTIRLSYFHTVDPIKLVGMPIDECWFIMKPRNMENCEFSDLVDIGKEIAQRCSGVPLVAKALGYVMQKHRTREEWMEIKNSNILDTKDDEEGILKGLLLSYYHMPPQLKLCFMYCSMFPMSHVIDHDCLIQQWIALGFVQDTDGQPLQKVAMEYVNELLGMSFLTIFTSPTVLASRMLFKPTLKLHMHDMVHELARHVAGNEFSHTNGAENRNTKRDNLNFHYHLLLNQNETSSAYKSLATKVRALHFRGCDKMHLPKQAFSHTLCLRVLDLGGRQVSELPSSVYKLKLLRYLDASSLRISSFSKSFNHLLNLQALILSNTYLKTLPTNIGCLQKLQYFDLSGCANLNELPTSFGDLSSLLFLNLASCHELEALPMSFGNLNRLQFLSLSDCYKLNSLPESCCQLHDLAHLDLSDCYNLGKLPDCIDQLSKLEYLNMTSCSKVQALPESLCKLTMLRHLNLSYCLRLENLPSCIGDLQLQSLDIQGSFLLRDLPNSIFNMSTLKTVDGTFTYLVSSKVEKLRENLKLEGCCKLDGGSTDLCSRITELKKTHCHELEIQGLEDFKHLEGIEHAILLNSLKLTKLIFSWQPKQYTNETAHHKTVLGMLVPPRSVHHLAIKGYCGIELPKWMLDIRSYLPQLTTIFLHGLMECNRLPPLGCLPHLKCLKSRVLVQNFMETTEAARS >ONIVA02G03830.2 pep chromosome:AWHD00000000:2:2456008:2462708:1 gene:ONIVA02G03830 transcript:ONIVA02G03830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAEMIAGAVVQRVAGMLGDNAWERIQLLWNFQEDVQDMKSKMTDLKVALSYADKHSRETDDDALVLRHWLNKYKSVAYDMEDTLDELLTNAMIWENNPCTVKLFFSSINPLIVRITMSIKMRNIRMKLDKIAEDQKKFPSMQLANPTGQDSTDKWRETFIGHTDEIEMVGRAREKKEILIKVLQNDGGQEISIIPVVGLGGMGKTTLAKAVYTDKETHMFDVKAWVHVSMEFQLNKIVSGIISHVEGSTPANIADLQYLKSQLDRILCNKLYLIILDDLWEEGWSKLEKLMEMLQSGKKGSKIIVTTRSEKVVNTLSTIRLSYFHTVDPIKLVGMPIDECWFIMKPRNMENCEFSDLVDIGKEIAQRCSGVPLVAKALGYVMQKHRTREEWMEIKNSNILDTKDDEEGILKGLLLSYYHMPPQLKLCFMYCSMFPMSHVIDHDCLIQQWIALGFVQDTDGQPLQKVAMEYVNELLGMSFLTIFTSPTVLASRMLFKPTLKLHMHDMVHELARHVAGNEFSHTNGAENRNTKRDNLNFHYHLLLNQNETSSAYKSLATKVRALHFRGCDKMHLPKQAFSHTLCLRVLDLGGRQVSELPSSVYKLKLLRYLDASSLRISSFSKSFNHLLNLQALILSNTYLKTLPTNIGCLQKLQYFDLSGCANLNELPTSFGDLSSLLFLNLASCHELEALPMSFGNLNRLQFLSLSDCYKLNSLPESCCQLHDLAHLDLSDCYNLGKLPDCIDQLSKLEYLNMTSCSKVQALPESLCKLTMLRHLNLSYCLRLENLPSCIGDLQLQSLDIQGSFLLRDLPNSIFNMSTLKTVDGTFTYLVSSKVEKLRENLKLEGCCKLDGGSTDLCSRITELKKTHCHELEIQGLEDFKHLEGIEHAILLNSLKLTKLIFSWQPKQYTNETAHHKTVLGMLVPPRSVHHLAIKGYCGIELPKWMLDIRSYLPQLTTIFLHGLMECNRLPPLGCLPHLKCLKSRVLVQNFMETTEAARS >ONIVA02G03830.3 pep chromosome:AWHD00000000:2:2456174:2462708:1 gene:ONIVA02G03830 transcript:ONIVA02G03830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAEMIAGAVVQRVAGMLGDNAWERIQLLWNFQEDVQDMKSKMTDLKVALSYADKHSRETDDDALVLRHWLNKYKSVAYDMEDTLDELLTNAMIWENNPCTVKLFFSSINPLIVRITMSIKMRNIRMKLDKIAEDQKKFPSMQLANPTGQDSTDKWRETFIGHTDEIEMVGRAREKKEILIKVLQNDGGQEISIIPVVGLGGMGKTTLAKAVYTDKETHMFDVKAWVHVSMEFQLNKIVSGIISHVEGSTPANIADLQYLKSQLDRILCNKLYLIILDDLWEEGWSKLEKLMEMLQSGKKGSKIIVTTRSEKVVNTLSTIRLSYFHTVDPIKLVGMPIDECWFIMKPRNMENCEFSDLVDIGKEIAQRCSGVPLVAKALGYVMQKHRTREEWMEIKNSNILDTKDDEEGILKGLLLSYYHMPPQLKLCFMYCSMFPMSHVIDHDCLIQQWIALGFVQDTDGQPLQKVAMEYVNELLGMSFLTIFTSPTVLASRMLFKPTLKLHMHDMVHELARHVAGNEFSHTNGAENRNTKRDNLNFHYHLLLNQNETSSAYKSLATKVRALHFRGCDKMHLPKQAFSHTLCLRVLDLGGRQVSELPSSVYKLKLLRYLDASSLRISSFSKSFNHLLNLQALILSNTYLKTLPTNIGCLQKLQYFDLSGCANLNELPTSFGDLSSLLFLNLASCHELEALPMSFGNLNRLQFLSLSDCYKLNSLPESCCQLHDLAHLDLSDCYNLGKLPDCIDQLSKLEYLNMTSCSKVQALPESLCKLTMLRHLNLSYCLRLENLPSCIGDLQLQSLDIQGSFLLRDLPNSIFNMSTLKTVDGTFTYLVSSKVEKLRENLKLEGCCKLDGGSTDLCSRITELKKTHCHELEIQGLEDFKHLEGIEHAILLNSLKLTKLIFSWQPKQYTNETAHHKTVLGMLVPPRSVHHLAIKGYCGIELPKWMLDIRSYLPQLTTIFLHGLMECNRLPPLGCLPHLKCLKSRVLVQNFMETTEAARS >ONIVA02G03830.4 pep chromosome:AWHD00000000:2:2454922:2458846:1 gene:ONIVA02G03830 transcript:ONIVA02G03830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAEMIAGAVVQRVAGMLGDNAWERIQLLWNFQEDVQDMKSKMTDLKVALSYADKHSRETDDDALVLRHWLNKYKSVAYDMEDTLDELLTNAMIWENNPCTVKLFFSSINPLIVRITMSIKMRNIRMKLDKIAEDQKKFPSMQLANPTGQDSTDKWRETFIGHTDEIEMVGRAREKKEILIKVLQNDGGQEISIIPVVGLGGMGKTTLAKAVYTDKETHMFDVKAWVHVSMEFQLNKIVSGIISHVEGSTPANIADLQYLKSQLDRILCNKLYLIILDDLWEEGWSKLEKLMEMLQSGKKGSKIIVTTRSEKVVNTLSTIRLSYFHTVDPIKLVGMPIDECWFIMKPRNMENCEFSDLVDIGKEIAQRCSGVPLVAKALGYVMQKHRTREEWMEIKNSNILDTKDDEEGILKGLLLSYYHMPPQLKLCFMYCSMFPMSHVIDHDCLIQQWIALGFVQDTDGQPLQKVAMEYVNELLGMSFLTIFTSPTVCKNDAYLIELCN >ONIVA02G03830.5 pep chromosome:AWHD00000000:2:2454922:2457393:1 gene:ONIVA02G03830 transcript:ONIVA02G03830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDHDAEGVRMTGEGAADGCDAHSLAGGEEEIGDQRRRRRASSTHRPPPPPRGPRRGRRPSSTSPPLGPSTPAHEGLAAEDERRGAPDAPPAGGERGGASRVLDGEAGDAEVVGESANAVLAVVALGGGKRGAVAGGGMAEEPPEAASDLEAALDVARTAASPSCASVQGPRGAEVDDGRRPGATEVAMKVVSLSHDAEQGMRQFVTEVVSVGRFRHRNVVPLLGYCRRRGELLLVYDYMPNGGLDRWLHGQGAARSRHQRRRRRPALPPQGLGAGGRTPRRQGKQRDNVLLDGEMDARLGDFGLARLYGRAAAHGAVAAGAWRKRGGARAVRLAVAEDGRRAGRRAQAPVDVDEPATARVLHEEEEKEAPAWPPSASSRPPPPPLGCEPPSQPLHAATRLLAGQLASSTPPRQRRRPGEVRPRRRGSEPKDAAGGRPTRPVSGVARPVPPPWQRARAPFRVRPYAHKFI >ONIVA02G03830.6 pep chromosome:AWHD00000000:2:2460439:2462708:1 gene:ONIVA02G03830 transcript:ONIVA02G03830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLEEWWTTRSSKQDNELLLIPDLQMLWASDCPKLKFLPYPPRSLTWFIENSNHVLPEHGFGNLTSATYPLHLSIERAPNSPEMWRRAQHLSSIESLTLMSIAGLRALPEAIQCFTSLWRLSILGCGELETLPEWLGDYFTCLEEISIDTCPMLSSLPESIQRLTKLKKLVITNCPVLSEKCQGEDRHKIAHILEPIFLLADTVSRAIGP >ONIVA02G03820.1 pep chromosome:AWHD00000000:2:2448854:2451628:1 gene:ONIVA02G03820 transcript:ONIVA02G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAGDEELESLLRNFHRFSQGYKDALVEAQALRVNCSSESERRQALESHVADLKSDNERLRRLYTETLFKFTNQMKFHTESRNLKEELEKANTRLLSMEEEYKREIEQLKLGSEMNSNDLENKLSCAVVQQATNEAVIKQLNLELEAHKAHIDMLNSRLEQVTADVHQQYKNEIQDLKDVVIVEQEEKNDMHRKLQNTENELRIMKMKQAEQQRDSISVQHVETLKQKVMKFRKENESLKRRLAISELDCS >ONIVA02G03810.1 pep chromosome:AWHD00000000:2:2444745:2448581:1 gene:ONIVA02G03810 transcript:ONIVA02G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHHEAKPYQPRRGPAPADEEAAPAAAADEAEAEAEVEAMERYEQEQEYEEGEEGEEEEYEGGEGVPMDADASAAAVAGMDPHGEMVPVAGGEAGGGYPHVASNTLTLSFQGEVYVFESVSAERVQAVLLLLGGRELAPGSGSVPSSSAAYSKKMNFPHRMASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKSKAEEATSAITSSEGSPNWGAVEGRPPSAAECHHCGISAASTPMMRRGPDGPRTLCNACGLMWANKGTMREVTKGPPVPLQIVPAATNDVQNGIVEATGVEQHNSAVEEAVSAANGHESQSGVA >ONIVA02G03800.1 pep chromosome:AWHD00000000:2:2428806:2443745:1 gene:ONIVA02G03800 transcript:ONIVA02G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGGGGGGGNRNRTDLLAAGRQKLQQFRKKKEKRGPGKKAEAKADAAEAEEGSSKSGADAEEAMPEPKSPVGLKLLAGEGGASHRTPFEEAARSQVEQCNGQGPDTAESCDVDNADVLPVQEGGDGGGNAQDVGVSEHGSLEHVNPGPGDGEGATIPVTGADGSGLLIEGAQPVEMDVDEKLPDNSLKENTELCTSSQGDIADDNGDSQAEEHQQVEMYPVERPTSSDSKEITDIIGHSQDIGAGNTNKGEGRARETEIDVSGMPSGAVVECEGELNVRASHEASESTSREDTDKEADALGEEAAVQEDPGVANATEGVVTVDDLSLHAKSIGAVSLPPHKEIDQALLARDISQDMAPYHLEDIQRHLYLATMSRDFLQLQMDESADLNTDDTPQSSNEVINLQVLLEETEKSKLAVCEELQQCRHELSDMNTVKEELELTVASLTDRINTSNNKCEHLEFELQSSKENTQQILNELAGCRAMLEALQKENLELTATLTFEKEARKEVEEQREHLCSENKRVLSNLSDLELSLASLKEEMNDGSNRCADLECELRSTKENMERTLVELASCRNSLETLQNDNLELSANSSFEKEAIKKLEEDNLCLSNEKQGLLLDLSETKEELHLSYAKHEHLESHARDMETYFGQLTEQLIEENIYTSTSVDIYQTITKELYAKCNVVLGEARNAHQDNEACLDSSEIIVENVERETTSPELIGHDDNQRPLLVAENDSCNSTALQSLKGHLKVAKGDLRDLQKLVERISSRSDGRVLVSKLIQSFESKGNQEDLGMSEGEHDNLRKLTQEMICRLVEKLKAMTSDIAKTEEYVAELCNRIELSVKFMSQHEAEIEHTAVLVAKMDGFAGKLSNYKDTIDQLVSQVANVHQDADNHAGRLIDQAELLQNDVTERISTLEKERTSLTDVLMEVTDKLSALSKNALPSDLGGSEGLGSLALSSVECAAKLVQNLQEKLEHAQTDNAKLNASLVELKTAHSDVQERSKHAHGIVKKMYISLQELLFNSLGNPDESGVEYNAEEPIEALFSQYGDIVEHLKSLLHERQYLLSKNTDLESRLLSKCEETEALSSCLTKSMNDFSLLNEELKSVSTSRIEAQDELHGRCLAIAEKMVHRSTSHSSTVLSSMEMSSKANHILTTLLPCIEEGVASYIEEFENMAEEIRLSKICLQESNIIGQSSSEKWSVSLPVLIKEEIVPIFFDLQGRIDQLSTLNIQLETEVPVLRDGLTKLDSALETSRAELQKKVFELEQSEQKLSSVKEKLSIAVAKGKGLIVQRDSLKQTLLEKSGELEKLAHELQSKDSLLIELEAKIKSYADADRIEALESELSYIRNSATALRDSFLQKDSVLQRIEEVLEDLDLPENFHFRDIVEKIELLSKMAVGASFTVPDGNKQSSVDGNSESGAAIDSINDEQNSNSNSGAEEIKIKYDELHRRFYELAEHNNMLEQSLVERNNLIQKWEEVLGQISIPQQFRMLEPEDRIAWLGNRLLEVEHERDALHLKIEHLEDSSEMLISDLEESHKRISELSAEIVAVKAEKEFFSQSLEKLRFDFLGLSEKAVQDEFVRDNLRKDLAELQEKLAEKTEESKLYHDMEMEIKKLMDLVRDALQDDSNTEIPSGAGVGAAVLCLGSLLSRLIDGYKTHLSESTVRSSAEMETLSETKISKDASTSERGMEEKEMALNTLSGELEHTRNSLALVEQQRDEAVEKTQLLTIELETLRAQIDQLQGDGAEQMNRYQSLMLELESMTKQRDDLQEKLGQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTMEEMNTMIEKLKVERKQHIESLESERSSLMGRLAENEKSLHDATQYLSRLLNSLSTVDIGREFDTDPITKVENFSKFCLDLQNEVKKSKQATELLLAELNEVHERADNLQDELVKAEAALSESFKQNSVVESARADAVRHLERIMHMQSQTKRKQIDHLMELNSTSSQLREIFSELLHHLLNTFSKDVDIINYMESFVKSSDKWMDSTSMVEIPITSNHHLSNSISSKMAHIPNVPLKITLDNADETQILHHLATACHAVADCVNDCNDLKSRIHEHGFSVDRKAADLFNVMSNLQNKFTSQNTELESLRENIIELQSEIKQRDEEILSMRRNLSLLYEACTSSVSEIEGMTGIESGDHSCSVVQNHLSADDHIKSVVNQLVAAIKTTQNSNEGNTKELKATVLELQQELQEKHIQISTISAELASQVKEAESSAKQLSVELENARMEIHNLEKHSEMLLNQKKNLETQVSELKDMEAVAHDQHGRIKDLSDELSKKDQEIEGLMQALDEEERELEVLENKSNDLEKMLQEKEFALKSLEVSRTKALTKLATTVDKFDELHSLSESLLAEVENLQSQLQERDSEISFLRQEITRSTNELLTTEESNKKYSSQINDFTKWLETALLQFSVHCDSTNDYECTQVPVYMDMLEKKIGSLISESDELRVTLQSKDSLLQAERTRMEELLRKSEALESSLSQKDSQIGLLRRDRTSGQPSRFINLPGTSEIEQVNEKVSPAAVVTQIRGARKVNTDQVAIDVEVEKDKPLDDEDDDKAHGFKSLTMSHIVPKFTRPISDRIDGMWVSGDRLLMRQPTLRLGVLLYWIVLHALLASFI >ONIVA02G03800.2 pep chromosome:AWHD00000000:2:2428806:2443745:1 gene:ONIVA02G03800 transcript:ONIVA02G03800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGGGGGGGNRNRTDLLAAGRQKLQQFRKKKEKRGPGKKAEAKADAAEAEEGSSKSGADAEEAMPEPKSPVGLKLLAGEGGASHRTPFEVKLVLLLGGCGWGFGSVLVVFNTDVSMQEAARSQVEQCNGQGPDTAESCDVDNADVLPVQEGGDGGGNAQDVGVSEHGSLEHVNPGPGDGEGATIPVTGADGSGLLIEGAQPVEMDVDEKLPDNSLKENTELCTSSQGDIADDNGDSQAEEHQQVEMYPVERPTSSDSKEITDIIGHSQDIGAGNTNKGEGRARETEIDVSGMPSGAVVECEGELNVRASHEASESTSREDTDKEADALGEEAAVQEDPGVANATEGVVTVDDLSLHAKSIGAVSLPPHKEIDQALLARDISQDMAPYHLEDIQRHLYLATMSRDFLQLQMDESADLNTDDTPQSSNEVINLQVLLEETEKSKLAVCEELQQCRHELSDMNTVKEELELTVASLTDRINTSNNKCEHLEFELQSSKENTQQILNELAGCRAMLEALQKENLELTATLTFEKEARKEVEEQREHLCSENKRVLSNLSDLELSLASLKEEMNDGSNRCADLECELRSTKENMERTLVELASCRNSLETLQNDNLELSANSSFEKEAIKKLEEDNLCLSNEKQGLLLDLSETKEELHLSYAKHEHLESHARDMETYFGQLTEQLIEENIYTSTSVDIYQTITKELYAKCNVVLGEARNAHQDNEACLDSSEIIVENVERETTSPELIGHDDNQRPLLVAENDSCNSTALQSLKGHLKVAKGDLRDLQKLVERISSRSDGRVLVSKLIQSFESKGNQEDLGMSEGEHDNLRKLTQEMICRLVEKLKAMTSDIAKTEEYVAELCNRIELSVKFMSQHEAEIEHTAVLVAKMDGFAGKLSNYKDTIDQLVSQVANVHQDADNHAGRLIDQAELLQNDVTERISTLEKERTSLTDVLMEVTDKLSALSKNALPSDLGGSEGLGSLALSSVECAAKLVQNLQEKLEHAQTDNAKLNASLVELKTAHSDVQERSKHAHGIVKKMYISLQELLFNSLGNPDESGVEYNAEEPIEALFSQYGDIVEHLKSLLHERQYLLSKNTDLESRLLSKCEETEALSSCLTKSMNDFSLLNEELKSVSTSRIEAQDELHGRCLAIAEKMVHRSTSHSSTVLSSMEMSSKANHILTTLLPCIEEGVASYIEEFENMAEEIRLSKICLQESNIIGQSSSEKWSVSLPVLIKEEIVPIFFDLQGRIDQLSTLNIQLETEVPVLRDGLTKLDSALETSRAELQKKVFELEQSEQKLSSVKEKLSIAVAKGKGLIVQRDSLKQTLLEKSGELEKLAHELQSKDSLLIELEAKIKSYADADRIEALESELSYIRNSATALRDSFLQKDSVLQRIEEVLEDLDLPENFHFRDIVEKIELLSKMAVGASFTVPDGNKQSSVDGNSESGAAIDSINDEQNSNSNSGAEEIKIKYDELHRRFYELAEHNNMLEQSLVERNNLIQKWEEVLGQISIPQQFRMLEPEDRIAWLGNRLLEVEHERDALHLKIEHLEDSSEMLISDLEESHKRISELSAEIVAVKAEKEFFSQSLEKLRFDFLGLSEKAVQDEFVRDNLRKDLAELQEKLAEKTEESKLYHDMEMEIKKLMDLVRDALQDDSNTEIPSGAGVGAAVLCLGSLLSRLIDGYKTHLSESTVRSSAEMETLSETKISKDASTSERGMEEKEMALNTLSGELEHTRNSLALVEQQRDEAVEKTQLLTIELETLRAQIDQLQGDGAEQMNRYQSLMLELESMTKQRDDLQEKLGQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTMEEMNTMIEKLKVERKQHIESLESERSSLMGRLAENEKSLHDATQYLSRLLNSLSTVDIGREFDTDPITKVENFSKFCLDLQNEVKKSKQATELLLAELNEVHERADNLQDELVKAEAALSESFKQNSVVESARADAVRHLERIMHMQSQTKRKQIDHLMELNSTSSQLREIFSELLHHLLNTFSKDVDIINYMESFVKSSDKWMDSTSMVEIPITSNHHLSNSISSKMAHIPNVPLKITLDNADETQILHHLATACHAVADCVNDCNDLKSRIHEHGFSVDRKAADLFNVMSNLQNKFTSQNTELESLRENIIELQSEIKQRDEEILSMRRNLSLLYEACTSSVSEIEGMTGIESGDHSCSVVQNHLSADDHIKSVVNQLVAAIKTTQNSNEGNTKELKATVLELQQELQEKHIQISTISAELASQVKEAESSAKQLSVELENARMEIHNLEKHSEMLLNQKKNLETQVSELKDMEAVAHDQHGRIKDLSDELSKKDQEIEGLMQALDEEERELEVLENKSNDLEKMLQEKEFALKSLEVSRTKALTKLATTVDKFDELHSLSESLLAEVENLQSQLQERDSEISFLRQEITRSTNELLTTEESNKKYSSQINDFTKWLETALLQFSVHCDSTNDYECTQVPVYMDMLEKKIGSLISESDELRVTLQSKDSLLQAERTRMEELLRKSEALESSLSQKDSQIGLLRRDRTSGQPSRFINLPGTSEIEQVNEKVSPAAVVTQIRGARKVNTDQVAIDVEVEKDKPLDDEDDDKAHGFKSLTMSHIVPKFTRPISDRIDGMWVSGDRLLMRQPTLRLGL >ONIVA02G03790.1 pep chromosome:AWHD00000000:2:2423614:2426063:-1 gene:ONIVA02G03790 transcript:ONIVA02G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G172] MAVLKAGGDKCRRQCMLGDGASEMAIMVDPPNGMGNQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSPQGLSNDHCQYFLFQLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDLEFIDNPKARRYIKSLPYTPGVPLASMYPHAHPLAIDLLQKMLIFDPTKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENISADMIREMMWHEMLHYHPEVVAAMSAR >ONIVA02G03780.1 pep chromosome:AWHD00000000:2:2418474:2422608:1 gene:ONIVA02G03780 transcript:ONIVA02G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCIPTGLRLDLDMVKAATSPGAHSSPLRPAHSSPSSTLSEASNTSSSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTASRPPTRQLSGLSAVFDPSSDLLPPLPVLADAAFLLRDTPPTPRQNCSSPAPVSSAFREFRDPAPLSPASPHTTDEPGELDFDDDCFLDVDEAAADGAAGGIDGIMGSLTVETNTAAATTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGSRHGARPNLNRALKRRDDDGAWWMWPAVPVKDITVAPPPPTPTPTPTPPRPQGTASNTTAAMPSPPSAPEKKKSKKKKVVKVEKVMAREKEEEESPPDARCKEEEAADGTVDAGNGDGAPTMAPKTGLGLKLDADDVLKAWSGKGSMFADGGAPESPKSAAEVRAKLADIDLFPENGNGAGGIREASVMRYKEKRRTRLFSKKIRYQVRKVNADCRPRMKASTRTDS >ONIVA02G03770.1 pep chromosome:AWHD00000000:2:2412031:2412402:-1 gene:ONIVA02G03770 transcript:ONIVA02G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAATSIGFERDGLICPTNEEGTVVAPKIGEASLSWRARRGDRRWRRLELKAGWREGGTSARREKGEVALVLSCHHRLRLDPMSPRTVWESSVAPLSASSSAASGPNEEAVMPPLLCLVFAT >ONIVA02G03760.1 pep chromosome:AWHD00000000:2:2400653:2407015:1 gene:ONIVA02G03760 transcript:ONIVA02G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPEKKPLCYTSRRALQQRTESSSELISVSKRATRQNTPRKPDSPPKRTTRSSANLAKCIENKHHSSPLKRRRGSDAATGKSATGPTRRKHKQKRKNDESDEVSRMEKRARYLLIKIKQEQNLLDAYSGDGWNGHSREKIKPEKELQRAKKQIMKYKIAIRDVIHQLDLCSSSGSKDDSVIPPDGCHESVNPEHTICSRCKSHESFPDNNIIFCEGGCKLACHQKCLEPPFDKILPTTRHGRLCKHCSSKMKILDAINAHLGTSFTVKCPSSDIFKEAAEHFNSDDGLGQDWLSEYSGDEDYDPEENEASSSGEENKSADSNCSGSPLYSPNDDIPDFISADFNDAEGFCRESSNLGIDFGEDGLAEILTHQRPRRDVDYTQLNEQMFGEPIGNDEQSEDEDWGLNKRKKRRTGSTGVGTNSVEGRSDVKSNKKAQPRRKLFRIPPAAVEVLRKAFAENELPARSVKENLSTELGISFEKGESRYSGPSKRSRTSIEKAETSAKVDQMDNSCFLPLSEIINVPTRLQKGLDKKPKSINSPPRPQDNETCLSPTDKTKEGTPPTIKPSITDSSQLMNNNIGTEETAVSWVDTWASDALHFLDVSDDEHFFDVIEKVCGLENRLQRLKENMLSSSSSTDNNVAAESGLQNEVVLVPAAELKDKAS >ONIVA02G03760.2 pep chromosome:AWHD00000000:2:2400653:2407015:1 gene:ONIVA02G03760 transcript:ONIVA02G03760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQHKGIPRFPPTQLPSPSLPFPSLLSSPLLFSPPNSPPPPLPLSSYPSPRRRREAARCVSSPPPHSAGAGAGLPLGEAGDPPGPGVPFPPPARRALQQRTESSSELISVSKRATRQNTPRKPDSPPKRTTRSSANLAKCIENKHHSSPLKRRRGSDAATGKSATGPTRRKHKQKRKNDESDEVSRMEKRARYLLIKIKQEQNLLDAYSGDGWNGHSREKIKPEKELQRAKKQIMKYKIAIRDVIHQLDLCSSSGSKDDSVIPPDGCHESVNPEHTICSRCKSHESFPDNNIIFCEGGCKLACHQKCLEPPFDKILPTTRHGRLCKHCSSKMKILDAINAHLGTSFTVKCPSSDIFKEAAEHFNSDDGLGQDWLSEYSGDEDYDPEENEASSSGEENKSADSNCSGSPLYSPNDDIPDFISADFNDAEGFCRESSNLGIDFGEDGLAEILTHQRPRRDVDYTQLNEQMFGEPIGNDEQSEDEDWGLNKRKKRRTGSTGVGTNSVEGRSDVKSNKKAQPRRKLFRIPPAAVEVLRKAFAENELPARSVKENLSTELGISFEKGESRYSGPSKRSRTSIEKAETSAKVDQMDNSCFLPLSEIINVPTRLQKGLDKKPKSINSPPRPQDNETCLSPTDKTKEGTPPTIKPSITDSSQLMNNNIGTEETAVSWVDTWASDALHFLDVSDDEHFFDVIEKVCGLENRLQRLKENMLSSSSSTDNNVAAESGLQNEVVLVPAAELKDKAS >ONIVA02G03760.3 pep chromosome:AWHD00000000:2:2400653:2401446:1 gene:ONIVA02G03760 transcript:ONIVA02G03760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQHKGIPRFPPTQLPSPSLPFPSLLSSPLLFSPPNSPPPPLPLSSYPSPRRRREAARCVSSPPPHSAGAGAGLPLGEAGDPPGPGVPFPPPARG >ONIVA02G03750.1 pep chromosome:AWHD00000000:2:2393146:2394274:-1 gene:ONIVA02G03750 transcript:ONIVA02G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFLYIADHCFTGPSLSHRLRRVNPSHLFYPDGHPLATKPERATVEVEDARLPPPAMAFCSPGTTATAMGMEFMRRNDGSIVGIDHTGRAVLYDPAARAVRTLPALAAPKLMPVSAAVGDDLYVMETTPLPDGAGCFEALVRLEDNPAESDSDKWEVGDNYLWQPLPPPPPPCVNAYAAAVRSSRGMICGYAVAGDGDGGTRILVSTTTNGTYSFDTASSAWSKAGDWELPFRGQAEHVPEHGLWFGISDMDHTILGAWNLSSTIQQPQPPVASLQLKGFSVESHSDDRRRELEVYTSQVVHLGGGKLCVAKMFSVNRRERGEINFAMLTGVEVVRCRGGKLRIVKHKSCRYNFGEDYTPDYLL >ONIVA02G03740.1 pep chromosome:AWHD00000000:2:2392161:2399467:1 gene:ONIVA02G03740 transcript:ONIVA02G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAAATMGILARFPPVQGSERLGKLRRSTTRAPPPPPRLAAGALARSAGPRSGLPSIVGSGGVLREGGAGMGEEEEDPDWLRAFQPPTTSTVMLSSGSDDSPENSPTRTTPSGEEQKGENKANAFDKDEKPTMESNQDKPPKRSTPKKKLVKPPSGSNASKVTGPKAGPDQIDDTLEHQEEGVAEEDMQDKLTEHSVSQRLPLIIPDKIQRSKALIECDGDSIDLSGDVGAVGRIIISNSPNGNQELLLDLKGTIYKSTIVPSRTFCVVSVGQTEAKIESIMDDFIQLEPQSNLFEAETMMEGTLDGFTFDSDEEGDKLPEPHASQNDQNNEDGDQPKAKTKRKAEKPAGKGQKKAKVAGKATKKGTRKTQTTKRTKKAKK >ONIVA02G03730.1 pep chromosome:AWHD00000000:2:2389228:2389746:-1 gene:ONIVA02G03730 transcript:ONIVA02G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGDGGMHILISTTTNGTYSFDTASSAWSKAGDWELPFRGRAEHVPEHGLWFGISDMDGTILGAWNLSSAFQQPQPPVASLQVKGFSVESHSDDRRRRELEVYASQVVHLGGGKLCVAKMFSVDRRERGEINFAMLTGVEVVRGRGGKLRVVKHKSRRYNFGQDYTPDYLL >ONIVA02G03720.1 pep chromosome:AWHD00000000:2:2380606:2387988:1 gene:ONIVA02G03720 transcript:ONIVA02G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPENPNGAGPAAPSDPAQPQPQPPAKSKWKKKDEKKDDDLSEEDLALKEQLELYVVRAQDADPGVQKLALESMRNLAGEIAQEFQKRQDDDMPIDALMELVQQIVSFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNYKRTCLYLTSSSKYLPAPDDMLALDIAYTIYMKFEDLTSALRIALLLDNKSMQYVKQVYTATEDLQLKKQFSFIIARHGLAMEIDDEIAADGNDKEGLQEIVNNSKLSEGYLTLARDIEVMEAKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGASGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDAHVVAGALLGIGIVTCGVKNDCDPALAILMEYINKDDTNIRIGAILGLGIAYAGSQKEELKSYLSTVLGDSEKSTLEVLIFSAIALGLVFVGSCNEEIAQSIIFALMERSEAELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTSVLEGFVILKKNPEYNEERGILGNHAATWDRIFAYWVPSSAPPGVNRIGLLSLLPESRVLEQSSLNSEILFGCAMTDVTYHLYPNMGI >ONIVA02G03710.1 pep chromosome:AWHD00000000:2:2374678:2378136:1 gene:ONIVA02G03710 transcript:ONIVA02G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLHQGDNEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQSLVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVTIEELPANVADLL >ONIVA02G03700.1 pep chromosome:AWHD00000000:2:2368668:2373077:1 gene:ONIVA02G03700 transcript:ONIVA02G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAPAVVSGERLVVFLFVARVALAAPAQLAAPLAVLAAAALAVELAVDGSASASSSPLRRFRTRPGASSGILLGATTLPSVMLSRLIQLYRVLLADPNGREEYAYLEMQYWAVSVSCLGMLSFFVWHLRQSPSNGNSIASKYGLLLIILYPLAYLFHLLLKTDGGLLVMSNLVYLLCHGVAAVILIQHILEKFPSCSSFGEAILVSSGLVLYCGDMLAHTLSKMEFSVSSEAFIRAPGTRSEIGTVIQGVLLGLFLLPLLYKSSLQVLAYCRKLESQRTQTVEECTQKRIDCGVFYVSLLVALLLLVPSWTRLIQGFEVHPFVWVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPDFLDLAFGAAFAVFLILEMVRVWEIYPLGHIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGSGETYFTPQLHKQLGKNSKLRVKPYAARSLKYGDLF >ONIVA02G03690.1 pep chromosome:AWHD00000000:2:2362911:2368526:1 gene:ONIVA02G03690 transcript:ONIVA02G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDAELARAQEERKKMEEALAAGAPMAVSSVTFDTDLYGGGGSDPNRFAGYDTSIPASEDDAPEDDSEAAYQLLRWERDIEERNRPLTDEELDTMFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKELPGGLPLMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPEFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQQQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVPYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGPAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALDDDGDNIYSRPELAMLVASEGGAMFRIYFPLGILTRFAEEFP >ONIVA02G03680.1 pep chromosome:AWHD00000000:2:2358903:2360777:-1 gene:ONIVA02G03680 transcript:ONIVA02G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVVFVVGGSCAASSVRILHYGAPSPSSERCSRYVRRDRSHRSFKPPFEDTAAYLHALDPTVQYRDKHSLETCYEPLFFFRKHPAVEFHHPIDAPDSKFDRVILTEWAKPAMRGVLETISSHHSANLELTEFSLKDLKIFCNYQTPEFKPRFKLVCGTRNATCEGMRMNYIQAGQIFKEIVNASLGTPSSWDILIEDFQDLLQMLENPILEEAYLMRNHPALLALKLHSQFFMACYETLMRASLQSVFEVFKMPPYGMNDSSGHWKVRLEQHPYLWWMLHSQFTSYGDRNSEQCRYRRNYSCHKIHHLTKRTERFKWYSANDVDMLLYQYLPMSLPGLMRAMWDEGLLALFCLRLDELFTK >ONIVA02G03670.1 pep chromosome:AWHD00000000:2:2345104:2349587:-1 gene:ONIVA02G03670 transcript:ONIVA02G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQMSMNENTQPQGPSFLEMLGHGDWLFNQPPIMQPQTTGMYNPEQMMGYAGSIQSYGEPCSYGGGLSTAQHDIGPLQHDEPPPITQPAQDYDDVDLRGVEVVRRSVRERYSPERLSLSGRRPPTGARRKGKKKETGTSRNFDDEA >ONIVA02G03660.1 pep chromosome:AWHD00000000:2:2342714:2343206:1 gene:ONIVA02G03660 transcript:ONIVA02G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASAVRRYPPGCGRAAAASKPSKAQSASRNGEAESIGGGGECWLSGSNAWLDELQRRCRWCAGGSWWAALGPDWAHVTTIPVVGAAWEEVAAVEVAVKHRPATLALAVAGSASRPNPALFEAIG >ONIVA02G03650.1 pep chromosome:AWHD00000000:2:2329888:2330148:-1 gene:ONIVA02G03650 transcript:ONIVA02G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTESGGARGRLLGESMTHDGEGRDEVGVGCSHHRCSNSNASTATATAGPPPGRREEAPHRPGLEHGQVDGGTSSSLPSSSPPPP >ONIVA02G03640.1 pep chromosome:AWHD00000000:2:2329205:2331720:1 gene:ONIVA02G03640 transcript:ONIVA02G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNSPDLSNGQNLRFHLTDQYFCSIKIVLFVKKFPVILPSSPSSHPLPPPSPSCHRRLLHLLRHRRRIAVSEVGARPPPPRAVAERSRLRRATVKAGAAFISDEVVAPTTTPQAAQRADGPDPGIGSHAAAPADGDGEEEEEAPSPRPSSAGCYTFLRSASRRGGHWRLDSSASASAAAHVGGGGDDEGREELVPPSTWPCSRPGRCGASSRRPGGGPAVAVAVDALLFEHLWWLQPTPTSSLPSPSWVIDSPNSRPLAPPDSVDVIALPSSADAPPPRRRRPPTQQIHAARRPSATTVAALPCSRSTPPAAALDHNVIWRWRSLVCYEFILKSATLDDSPGCGFFANTRVNLANDMVGLDSMRVSRACAFVDHGCGWDVGSGRCSGCGDDCFFLGWEPPFADLAAADARISFHVCVPEVNGSFFLFFHPRPWRSHRYLWAGGARTVHTVVLPVELDGLFRDLWDASSSRNSDRARPIPATFSVMYGKVGISADTHPQFYLRLFLQR >ONIVA02G03640.2 pep chromosome:AWHD00000000:2:2329205:2331720:1 gene:ONIVA02G03640 transcript:ONIVA02G03640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNSPDLSNGQNLRFHLTDQYFCSIKIVLFVKKFPVILPSSPSSHPLPPPSPSCHRRLLHLLRHRRRIAVSEVGARPPPPRAVAERSRLRRATVKAGAAFISDEVVAPTTTPQAAQRADGPDPGIGSHAAAPADGDGEEEEEAPSPRPSSAGCYTFLRSASRRGGHWRLDSSASASAAAHVGGGGDDEGREELVPPSTWPCSRPGRCGASSRRPGGGPAVAVAVDALLFEHLWWLQPTPTSSLPSPSWVIDSPNSRPLAPPDSVDVIALPSSADAPPPRRRRPPTQQIHAARRPSATTVAALPCSRSTPPAAALDHNVIWRWRSLVCYEFILKSATLDDSPGCGFFANTRVNLANDMRNSDYTLMRLLAQADAINFIFFTKMDIGGLLCCLQLCRVGLDSMRVSRACAFVDHGCGWDVGSGRCSGCGDDCFFLGWEPPFADLAAADARISFHVCVPEDLWDASSSRNSDRARPIPATFSVMYGKVGISADTHPQFYLRLFLQR >ONIVA02G03640.3 pep chromosome:AWHD00000000:2:2329205:2331720:1 gene:ONIVA02G03640 transcript:ONIVA02G03640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNSPDLSNGQNLRFHLTDQYFCSIKIVLFVKKFPVILPSSPSSHPLPPPSPSCHRRLLHLLRHRRRIAVSEVGARPPPPRAVAERSRLRRATVKAGAAFISDEVVAPTTTPQAAQRADGPDPGIGSHAAAPADGDGEEEEEAPSPRPSSAGCYTFLRSASRRGGHWRLDSSASASAAAHVGGGGDDEGREELVPPSTWPCSRPGRCGASSRRPGGGPAVAVAVDALLFEHLWWLQPTPTSSLPSPSWVIDSPNSRPLAPPDSVDVIALPSSADAPPPRRRRPPTQQIHAARRPSATTVAALPCSRSTPPAAALDHNVIWRWRSLVCYEFILKSATLDDSPGCGFFANTRVNLANDMVGLDSMRVSRACAFVDHGCGWDVGSGRCSGCGDDCFFLGWEPPFADLAAADARISFHVCVPEDLWDASSSRNSDRARPIPATFSVMYGKVGISADTHPQFYLRLFLQR >ONIVA02G03630.1 pep chromosome:AWHD00000000:2:2326250:2329883:-1 gene:ONIVA02G03630 transcript:ONIVA02G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRGGGGVEAPVPAAARRGAEEGVAAGGGRTRRRGLLLLLAVAIGGRSGVAPDARIWAVSSLRRLWRGRRSYDLVADEGCARLHRCSAETAALGDGARRRRTSSDLRDGDATAVAEEVEEAAVARWRRRRERASAAEFEGTADMTATSRTARTAMEAATES >ONIVA02G03620.1 pep chromosome:AWHD00000000:2:2325851:2328321:1 gene:ONIVA02G03620 transcript:ONIVA02G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRSQRRRRKRECECQPRDGATATLRSPRRRRSPLRWPTSHRILSPPPSPSSPSWRSPSYPPSPRTPRLMPFIFRNARSIVAASSPAARQLSTHLGLV >ONIVA02G03610.1 pep chromosome:AWHD00000000:2:2320247:2320714:1 gene:ONIVA02G03610 transcript:ONIVA02G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMPDRLGFPGGGNLDGGTNRIVSDSATSMRNWRRGGDGCRSGGWQDTGETVMGARSAGRWSQRMGGEIGGGGGRDDLGGSGGLQRRWRMGKISSPAVRLRWPVTRRREDARESSRSEEGGGDSWGGVSAAGIYATESSRGRGIGGIAHKWRRG >ONIVA02G03600.1 pep chromosome:AWHD00000000:2:2317351:2324940:-1 gene:ONIVA02G03600 transcript:ONIVA02G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVDRALACTATAGREAGAAVLSEAEIRQLYVEVKRVLLSQPKCTTSCASTPPSRSALISTNAPI >ONIVA02G03600.2 pep chromosome:AWHD00000000:2:2320733:2324940:-1 gene:ONIVA02G03600 transcript:ONIVA02G03600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVDRALACTATAGREAGAAVLSEAEIRQLYVEVKRVLLSQPKCTTSCASTPPSRSAEPGEIIPSNLIRLSTI >ONIVA02G03590.1 pep chromosome:AWHD00000000:2:2310725:2311706:1 gene:ONIVA02G03590 transcript:ONIVA02G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTSPSGRPPPCLPYCRPGKKKKGRRRKGEKEAEGIEVKMEMKGLITAAHGGFSPCFGFLLGFRSSVGSEIGGIELLIYAAFIGRLSAESVFIQVVPLRNATRVHLAIGEGK >ONIVA02G03580.1 pep chromosome:AWHD00000000:2:2303340:2305568:1 gene:ONIVA02G03580 transcript:ONIVA02G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDCCAKFSSSSSPQLSMRKWRRIRQHLTCCCACATARISRKQGVDEVMDNSAGSTMRTGWSGEMDHIFHWQN >ONIVA02G03580.2 pep chromosome:AWHD00000000:2:2303340:2305747:1 gene:ONIVA02G03580 transcript:ONIVA02G03580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDCCAKFSSSSSPQLSMRKWRRIRQHLTCCCACATARISRKQGVDEVMDNSAGSTMRTGWSGEMDQ >ONIVA02G03580.3 pep chromosome:AWHD00000000:2:2303340:2305747:1 gene:ONIVA02G03580 transcript:ONIVA02G03580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDCCAKFSSSSSPQLSMRKWRRIRQHLTCCCACATARISRKQGVDEVMDNSAGSTMRTGWSGEMDQ >ONIVA02G03570.1 pep chromosome:AWHD00000000:2:2303024:2303295:-1 gene:ONIVA02G03570 transcript:ONIVA02G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAPAVLGNGGSCGAVLGRGGARRRRKWQHSATATAVAEAETVALGRRREEEADTEGRGDSNTTAAEVEAAAAREGETTAAEVEAAA >ONIVA02G03560.1 pep chromosome:AWHD00000000:2:2300246:2301325:1 gene:ONIVA02G03560 transcript:ONIVA02G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFLYMVDIFDKSHGPISRRLRRINPSHLFYPNDALPVPQSSSSATVVEDAPLPPIDMTFCGSTEFMRRSDDKIVSVDRTTRRAILYDPVEHTVRVLPSMVEYKFWTKSFAVGDDLYVMETIPLPDKVDLGRDARPGRSFEALIHREPRPVDGDRIEDECYWRPLPPPPYVHAAGIRTDGQICGYAVVGESHILVSTHSYGAYSFDTASAAWSKVGDWALPFCGRAEFVPEHGLWLGLSVADDGVLGAWDLSSTIHHQQPPPVAHHGCKGFAVPEVPYASHVVHLGAGKLCVAKLFMVAHHRETCSHSCCDFDSDKRYFAILTGVEVVRCNGDKVDIIKHKSCRYSFGEHYIPIYLL >ONIVA02G03550.1 pep chromosome:AWHD00000000:2:2278363:2278572:-1 gene:ONIVA02G03550 transcript:ONIVA02G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGYSLGLKVIQLTLANLLHAFSWSLPDGVAAGELSMQEIFGLTTPRKIPLLPIVKTRLPDNLYAEPL >ONIVA02G03540.1 pep chromosome:AWHD00000000:2:2274665:2275162:1 gene:ONIVA02G03540 transcript:ONIVA02G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTAEQQAMTAITGTREDHEGRAASDDSGDHHHLSNHKLAQGASGADGTGNDAGQGARTPGFDLPGARMRRS >ONIVA02G03530.1 pep chromosome:AWHD00000000:2:2259572:2265130:-1 gene:ONIVA02G03530 transcript:ONIVA02G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPSWATFFAVMLATVVFVFLLQAVLLRRRGAYKLPPGPKPWPIIGNLNLIGALPHRSIHEISKRHGPIVQLWFGSCPVVVGSSVEMAKLFLKTNDAVFADRPRTAAGKYTAFDCADIVWSPYGAYWRQARKMCVAELFSARRLESLEHIRHEEVRALLRDLHNAGVAGNAVQLGDHLSMATLGVISRMVLGKKYVEKQPAGAETASSPPMTPEEFKCMMDELFLMSGVLNIGDFIPWLDWLDLQGYVRRMKKVNRLMHRFLDRVLDEHDERRRLQGDGFVARDMVDVLLQLADDPNLDVQLTRNGVKGITQNLVAGGADTSAVTVEWAMSEVLKNPAILAKATKELDNVVGSGRLVTESDIPHLPYVDAIMKETMRMHPVAPLLIPRMSREDATVAGYDVPAGTRVLVNTWTISRDPSLWDSPEEFRPERFVGSEIDVKGRDFELLPFGTGRRMCPGYSLGLKVIQLALANLLHAFSWNLPDGIAAGELSMEEIFGLTMPRKIPLLAVVKPRLPDHLYAEP >ONIVA02G03520.1 pep chromosome:AWHD00000000:2:2248964:2251176:1 gene:ONIVA02G03520 transcript:ONIVA02G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0G138] MSSSWTTKQNKLFERALATYDKDTPGRWQNVARAVGGGKTAEEVKRHYDKLLQDLHHIESAGRQGSQYGGSSSSKSKGGSSSGEQRAAELTTLLESRMTNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGKGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRGTNESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGGVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSSKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVPKQPQYEPLPIEKQIVVIYAAVNGFCDRMPLDRISQYEKAILSTINPELLKSFNEKGGLTNERKIEPDASLKQTAKEIN >ONIVA02G03510.1 pep chromosome:AWHD00000000:2:2232562:2241940:-1 gene:ONIVA02G03510 transcript:ONIVA02G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQILISCLENREIIRCSDRVKIGTKRPLQKEEPQPPRYVLQRGVVIQLLLNERNPLRILLENSGTSIEANLGMGMV >ONIVA02G03500.1 pep chromosome:AWHD00000000:2:2227239:2231678:-1 gene:ONIVA02G03500 transcript:ONIVA02G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGREQAKRVMKQEASDAGLMFDLVARMNLALAIMVGLFPNLLSPRESLPEIKRKRFEGSGISDSSEPAKGG >ONIVA02G03490.1 pep chromosome:AWHD00000000:2:2224559:2225270:-1 gene:ONIVA02G03490 transcript:ONIVA02G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKTKLYTPFGRKAAGEGRGHWAGSFSSEFPIQIEAPIKKILRRLRDRGLISRRRPRPIHVASLTNVSDRDIVNWSAGIAISPLSYYRCCDNLYQVRTIVNYQIRWSAIFTLAHKHKSSARNIIPKYPKDSNIVNQEGGKTLAEFPNSIELGKLGLGQDPNNDGALNYIRPSMPL >ONIVA02G03480.1 pep chromosome:AWHD00000000:2:2220713:2222400:-1 gene:ONIVA02G03480 transcript:ONIVA02G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVINPERGQGESEQVVFEIASVRNGQIKNFTSNSGPQHPAAHGVSRSVLEMNGEVVERAEPHIGSLQCGTKPLTLSRLLCR >ONIVA02G03470.1 pep chromosome:AWHD00000000:2:2216847:2217096:-1 gene:ONIVA02G03470 transcript:ONIVA02G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFAKSSTLRCTRGDRYDRYCIRIEEMRQSVRIIVQCPNQMPSGMIKADDRKLCPPSRSRMKLSMESCAV >ONIVA02G03460.1 pep chromosome:AWHD00000000:2:2206466:2215265:-1 gene:ONIVA02G03460 transcript:ONIVA02G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNWNDFLACDGPQTPKRYIWRYKRASLETRNRVEASPDPEIDVVSKGGSNYGLEKKQDKAGRGLDD >ONIVA02G03450.1 pep chromosome:AWHD00000000:2:2173866:2177361:-1 gene:ONIVA02G03450 transcript:ONIVA02G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDDKIVGGAILYDPAEHAVRVLPSMVEYKFWTQSFAVGDDLYVMETGQRARLGVHPQLRRMLVRHGERRVEKAGDWALPFRGRAEYVPEHGLWYGLSAADDGVLGAWDLSASTVAQPQPPPAAHPGCGVFEVPEPEAPYGTNVVHLGGGKLCVAKLYMVARPGTCSCPCCVGEEDMMKFAMLTGVEVARGGRGGDLSIVKHKSCRYSFGEHHIPCYRLRRIDTSRLFYPKDQLPRASPSSSSAAAAVEDARLPPAAMGFSASMNFMRTSDDKIVTVDDTGRRAILYDPAAHTVRSLPPMASPKFLTVSLAVAGDLYVMVTPPHPDKVGGGEGRRPEYSFEALVHRERRSGWMTNADEEARHWRPMPPPPFVHDAAAGEIHGYAAIGDSHILVSTDRATYSFDTASAAWSKAGDWRLPFRGRAEHVPEHGLCVGFLEMDDTVLAAWDVSASPQPRAPAASVQSKGFSVASPGEWKGLAAPREVASHVVHLGGGKLCVAKVFWVVRRGTCSYPCCVGEHDKVKFAMLTGVEVVPGGGHGGKPRIVKHKSCRYRFGQYHPTHVL >ONIVA02G03440.1 pep chromosome:AWHD00000000:2:2168507:2168899:-1 gene:ONIVA02G03440 transcript:ONIVA02G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGGRASSNKIRDIVRLHQLLKRWKRAALAPKPGKNNNGGGASVPKGFFAVCVGEEMRRFVIPTEYLGHWAFEQLLRKAEEEFGFQHEGALRIPCDVEVFEGILRLVGRKDEKAAMCYSSSEHEILCR >ONIVA02G03430.1 pep chromosome:AWHD00000000:2:2166239:2166601:1 gene:ONIVA02G03430 transcript:ONIVA02G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFREPRRKAAAAAEGESVRAALLVGGGGEEAAVPKGYFAVYVGAEARRFVVPTSYLRQPAFRGLMELAADEFGFAQEGGLRLPCREEDFQATVAALDARRRPASGGAIMSTMVKARSL >ONIVA02G03420.1 pep chromosome:AWHD00000000:2:2157026:2164676:1 gene:ONIVA02G03420 transcript:ONIVA02G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGLNDTVSGVVSRKVLPACGGLCYFCPGLRARSRQPVKRYKKIIADIFPATQDEEPNERRIGKLCEYVARNHHRVPKITAYLEQRCYKELRNEQYGFVKVVVLIYRKLLVSCKKQMPLLASSALSIICTLLDQTRRDDMRIIGCETLFDFTVSQVDGTYQFNLEELVPKLCELAQIVKAEEKDNMLRASALQALSAMIWFMGEFSHISSAFDNVIQVVLESYNLQKMQNDNIDSEAPGNRWVEQVLKAEGNATISRIPSWKSIVDDKGELHLPAEDAKDPNFWSRVCVHNMAKLSREATTFRRVLESLFRHFDNNNSWSSQNTLAFCVLLDMQILMENQGQNIDLMISILVKHLEHKSVLKQPEMQLSVVEVIASLAEQSRAEASAATIGAISDLIRHMKKTLHVALGSRDLEVIKWNDKLRNAVDECILQLSKKVGDAGPVLDMMSVMLENISRTPLVAIATTSAVYRTAQIITSIPNLSYRNKVFPEALFHQLLLAMVHPDHETRVSAHRIFSVVLVPSSVSPFSKSTSPNQLVKHDIKRTLSRAVSVFSSSAALFDKLKRDKESFREKPQDGSMNRLSHAADNDTSTVKDMPSSRSRRHSFKVPNFSMKRVASLSLKSPMSPKECQNTSAESCSETESTLLRLSSRQATLLLSSIWAQAISPKNTPQNYEAIAHTYSLLLLFSGSKASIFEALAPSFQVAFSLMSYSLEGTDSLLPSRRRSLFTLATSMIMFFSRAFNVAPLIPICKSMLNERTMDPFLHLVQDTKLQAVKDCSEETYGSPEDDNNALKSLSAVELTQSQSRESMASTIMNNIRDLPDSELQTIRSQLLSDFSPDDMCPTSALFFELTVRNPGCDEDSRNQEDVLINMANDNDTFGEVYENTEATTASVPTANLLGIDELLESVVTDAPSQTARCSVSTAPNIPFKEMTNQCEVLSMEKQQKMSVLLSFKHKNQSNVLPINQADNTGAVHISSDDQNTNPFLQQSLDGYPKYVADGEALQVAADDVFQQQFLKLPASSPYDTFLKAAGC >ONIVA02G03420.2 pep chromosome:AWHD00000000:2:2157026:2164676:1 gene:ONIVA02G03420 transcript:ONIVA02G03420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGLNDTVSGVVSRKVLPACGGLCYFCPGLRARSRQPVKRYKKIIADIFPATQDEEPNERRIGKLCEYVARNHHRVPKITAYLEQRCYKELRNEQYGFVKVVVLIYRKLLVSCKKQMPLLASSALSIICTLLDQTRRDDMRIIGCETLFDFTVSQVDGTYQFNLEELVPKLCELAQIVKAEEKDNMLRASALQALSAMIWFMGEFSHISSAFDNVIQVVLESYNLQKMQNDNIDSEAPGNRWVEQVLKAEGNATISRIPSWKSIVDDKGELHLPAEDAKDPNFWSRVCVHNMAKLSREATTFRRVLESLFRHFDNNNSWSSQNTLAFCVLLDMQILMENQGQNIDLMISILVKHLEHKSVLKQPEMQLSVVEVIASLAEQSRAEASAATIGAISDLIRHMKKTLHVALGSRDLEVIKWNDKLRNAVDECILQLSKKVGDAGPVLDMMSVMLENISRTPLVAIATTSAVYRTAQIITSIPNLSYRNKVFPEALFHQLLLAMVHPDHETRVSAHRIFSVVLVPSSVSPFSKSTSPNQLVKHDIKRTLSRAVSVFSSSAALFDKLKRDKESFREKPQDGSMNRLSHAADNDTSTVKDMPSSRSRRHSFKVPNFSMKRVASLSLKSPMSPKECQNTSAESCSETESTLLRLSSRQATLLLSSIWAQAISPKNTPQNYEAIAHTYSLLLLFSGSKASIFEALAPSFQVAFSLMSYSLEGTDSLLPSRRRSLFTLATSMIMFFSRAFNVAPLIPICKSMLNERTMDPFLHLVQDTKLQAVKDCSEETYGSPEDDNNALKSLSAVELTQSQSRESMASTIMNNIRDLPDSELQTIRSQLLSDFSPDDMCPTSALFFELTVRNPGCDEDSRNQEDVLINMANDNDTFGEVYENTEATTASVPTANLLGIDELLESVVTDAPSQTARCSVSTAPNIPFKEMTNQCEVLSMEKQQKMSVLLSFKHKNQSNVLPINQADNTGAVHISSDDQVAADDVFQQQFLKLPASSPYDTFLKAAGC >ONIVA02G03410.1 pep chromosome:AWHD00000000:2:2147803:2154008:1 gene:ONIVA02G03410 transcript:ONIVA02G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHLLLLSPLSPRQPIATAHTSPSPSSPARPAASATRALAVAAPSRASCSLRRSARGASQAGKMDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEEMVPDDGWVEYLNNKWDRNIVVEETANVSELKLQVESEQRPHKVSFYVDKKSAQEVIKSLSEKLEKRGLDVKIIYSGGQDLDVLPQGAGKGQALAYLLKKLSSCGKPPNNTLACGDSGNDAELFSIPGVHGVMVSNAQEELLQWYSENAKDNPKIIHATERCAAGIIQAIGHFKLGPNVSPRDVDFPYVKENPVKPMDAVVKFYVLYEKWRRAEVPKSDSVTQYFKNITHANGVIIHPAGLECSLHASIDASGSCYGDKQGKKYRAWVDRLVVSQCGSEGWLVRFNLWELEVMEVSENPISLINIQCVKERPVSFGCISYQHTNAICDVWSCCLTSLALNAKPETPEGFVVTHIHKTWLKGYSSADEQSSKL >ONIVA02G03410.2 pep chromosome:AWHD00000000:2:2149735:2154008:1 gene:ONIVA02G03410 transcript:ONIVA02G03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEEMVPDDGWVEYLNNKWDRNIVVEETANVSELKLQVESEQRPHKVSFYVDKKSAQEVIKSLSEKLEKRGLDVKIIYSGGQDLDVLPQGAGKGQALAYLLKKLSSCGKPPNNTLACGDSGNDAELFSIPGVHGVMVSNAQEELLQWYSENAKDNPKIIHATERCAAGIIQAIGHFKLGPNVSPRDVDFPYVKENPVKPMDAVVKFYVLYEKWRRAEVPKSDSVTQYFKNITHANGVIIHPAGLECSLHASIDASGSCYGDKQGKKYRAWVDRLVVSQCGSEGWLVRFNLWELEVMEVSENPISLINIQCVKERPVSFGCISYQHTNAICDVWSCCLTSLALNAKPETPEGFVVTHIHKTWLKGYSSADEQSSKL >ONIVA02G03400.1 pep chromosome:AWHD00000000:2:2142537:2147266:1 gene:ONIVA02G03400 transcript:ONIVA02G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKVVAAACCDGCRRDPGGEKKQAWWQWETQNNGFLPSTTASAWWRGSPAAAVSPPAATAAAGGEITDLHRPPPAEGNLLIELVRELLGTARYEEDFLPAAAGLPPPPGRLRRCGISSEDAAFWRAEALVPMQEKYKDLHPRLPVIVAETLLYTDPEIELPLWLVQMFKRPVDVLHRKNMSATWFPYTAIERLWCQLEEMQNAGHSVDQCDRLKKLLHGSLMSHLQQRIAVLGTWRLEL >ONIVA02G03390.1 pep chromosome:AWHD00000000:2:2140694:2141737:1 gene:ONIVA02G03390 transcript:ONIVA02G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRRFVYLVLEEFAPRRSNYTLRNIDMERFFLPRPSPVPFVASGTDAAEYASLPCPAMTFYPPFSKLPGKQQMEFLLLGGNHNMVVAADQTCRTVLYDPGEHAVRTLPALPYQLELPTASVTVGDDLYILDHVEVGNVPCFHGLIYEDRLNEDWCCCALPPPPPLLSHKSDFQVDSYAVVGDTDIWISTHDSGIYCFNTVSHVWSTVATGWTLPFVGLAEYCQEHGLWFGLSHTRDRRSLVLSALDLDSSHLPVLLSLPLEFTPPDALKLVSSYLVNLGSAKFCIARFFQTDEDQRDGEELFAVLTAVEVERCDDDDAGANGGGLRMLKHRSEMYKLTSEMMYWVL >ONIVA02G03380.1 pep chromosome:AWHD00000000:2:2134836:2139144:1 gene:ONIVA02G03380 transcript:ONIVA02G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFRDRHYYTLRNIVDISRVFHPCPSPASAATGGAHDVMEYGSLPRHTMSFCPASLSRWFGGTERMKFVLLGGNHNMVVTADQSSRTVLYDLGKYAIRTLSAFVFPTYLRASDSLDSITASISATTSTSFDTTRSLVVATMGSSTAATRNGAVVVSRRLPFDLIPTLWSATQTYFFS >ONIVA02G03370.1 pep chromosome:AWHD00000000:2:2105091:2119051:1 gene:ONIVA02G03370 transcript:ONIVA02G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPAARKIAGTEVPIPGSDRVRWIELTVPSTPSPSPEGDSDPFVLVPPRAASGFHVVYSGDSQCYLAWRFHEEQQNVLEVIDLCAFKEFPSSGLRLVFQEELCPFSFLCAREGGRRGTPVYLLYVLTVSGVALLCHLRSPFSYISGSIFPQDDIVEFNLQTQVQSAKVTAVTAMSGCLVIGRQDGSICCYSFLNELRDDAGIGRLWSLVSRTKAVGPVQDIVTATVNERELLFVLHLDGSLRIWDIFNHTKLLSYNVRSNDIEGQPSRIWVGDADDDQELIFLAVLRQGTVTGACDCVSVYGFSFGAGERFLFSPEPSFFSIPLVEGKLIDLKISMDKLWILKEVGSMLYEIVQYDCDTEIMHSYVLQEVSVSDQLFQSSENTLDDLVWTADSIFSSKKEQTFGFISSMFLRRLLQPGVNHSSALRETLLEHKRFLSDSYFQSLTTSGLRKEILSTIEQEGSSQTASSTAYHWKKFSARYLHNWCWNNRPYGLLLDTNREVFGLIRKGSFSLFRCLEGMEQFIYGSSDDLRNLDILGVNPSDNISQSEILIEVLRCMDHISHLLGRSAAAIYHESLISSVISPDEIVSQILKILGTGFSPQSPSALITLFGTDAYAERRQTAHKSQRKFSVEMLLSFRKLQSKSTSWSAVFDVIENFMKYLNTNVTIQEYELKRVCNVNTALLVQATSQVARTMFESTFDLYLFLNYLVSIGGQVSLSQNDIARIKLKLFPVIQDILGQWIVLHFVGISPTTPPTIEDFSYQLSSLQLGKADDLSLHRKFGCSYFTLACLLDFPKSADGDVLSPWFPNPTELVNLVRRFSGSIMSENIAGNADCFLSSTINLAAVLVRHGQYEAAQSLLGILDTHMNYVKASQADQDTDLARSSCLHLNGFCLLVLARDEANIVLKESKVHEAIRCFFRAASGQEAPKALQKFSVETGFQISGECRSFTAWRLRYYEWAMQIFEQHSMSEGACEFALGALEQIDSIVDLDNGSEAEDIPETTTMIKGRLWANVFKYKLDLKNFQEAYCAIISNPDNDSKYVCLRRFIIVLCELGETKVICNGEIPFTGLVEKVEQELFWKAERSDLLSRPNLYKVLYSFEAYRNNWRKAAAHMYREGNAGGTRQLSHTLQERLHALSAAINALQLVDPSFAWLDSVCEADDQISPTAFGTDSELSRLKFCVDIEILEKEYTLTEALYMLSTVNSRFNFSDNQSIEALTDILINENMYDMVFTIVLKFRKESGMKRELERVFAAIAQQCCPNRVGNSGKNLLLPSSDDDACDGNGNSIAMAHQSQGSCHWETLEIYLEKYKDLHPRLPVIVAETLLYTDPEIELPLWLVQMFKTTKAGNRMISWGMSGTEADPATLFRLYINYGRHTEAANLLVEYLESFTSSRPVDVLHRKKMSAAWFPYTAIERLWCQLEEMQNAGHSVDQCDRLKKLLHGSLISHLQQVVVDSDDVLSSLGGGKGMGSQSN >ONIVA02G03370.2 pep chromosome:AWHD00000000:2:2105091:2119051:1 gene:ONIVA02G03370 transcript:ONIVA02G03370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPAARKIAGTEVPIPGSDRVRWIELTVPSTPSPSPEGDSDPFVLVPPRAASGFHVVYSGDSQCYLAWRFHEEQQNVLEVIDLCAFKEFPSSGLRLVFQEELCPFSFLCAREGGRRGTPVYLLYVLTVSGVALLCHLRSPFSYISGSIFPQDDIVEFNLQTQVQSAKVTAVTAMSGCLVIGRQDGSICCYSFLNELRDDAGIGRLWSLVSRTKAVGPVQDIVTATVNERELLFVLHLDGSLRIWDIFNHTKLLSYNVRSNDIEGQPSRIWVGDADDDQELIFLAVLRQGTVTGACDCVSVYGFSFGAGERFLFSPEPSFFSIPLVEGKLIDLKISMDKLWILKEVGSMLYEIVQYDCDTEIMHSYVLQEVSVSDQLFQSSENTLDDLVWTADSIFSSKKEQTFGFISSMFLRRLLQPGVNHSSALRETLLEHKRFLSDSYFQSLTTSGLRKEILSTIEQEGSSQTASSTAYHWKKFSARYLHNWCWNNRPYGLLLDTNREVFGLIRKGSFSLFRCLEGMEQFIYGSSDDLRNLDILGVNPSDNISQSEILIEVLRCMDHISHLLGRSAAAIYHESLISSVISPDEIVSQILKILGTGFSPQSPSALITLFGTDAYAERRQTAHKSQRKFSVEMLLSFRKLQSKSTSWSAVFDVIENFMKYLNTNVTIQEYELKRVCNVNTALLVQATSQVARTMFESTFDLYLFLNYLVSIGGQVSLSQNDIARIKLKLFPVIQDILGQWIVLHFVGISPTTPPTIEDFSYQLSSLQLGKADDLSLHRKFGCSYFTLACLLDFPKSADGDVLSPWFPNPTELVNLVRRFSGSIMSENIAGNADCFLSSTINLAAVLVRHGQYEAAQSLLGILDTHMNYVKASQADQDTDLARSSCLHLNGFCLLVLARDEANIVLKESKVHEAIRCFFRAASGQEAPKALQKFSVETGFQISGECRSFTAWRLRYYEWAMQIFEQHSMSEGACEFALGALEQIDSIVDLDNGSEAEDIPETTTMIKGRLWANVFKYKLDLKNFQEAYCAIISNPDNDSKYVCLRRFIIVLCELGETKVICNGEIPFTGLVEKVEQELFWKAERSDLLSRPNLYKVLYSFEAYRNNWRKAAAHMYRLKFCVDIEILEKEYTLTEALYMLSTVNSRFNFSDNQSIEALTDILINENMYDMVFTIVLKFRKESGMKRELERVFAAIAQQCCPNRVGNSGKNLLLPSSDDDACDGNGNSIAMAHQSQGSCHWETLEIYLEKYKDLHPRLPVIVAETLLYTDPEIELPLWLVQMFKTTKAGNRMISWGMSGTEADPATLFRLYINYGRHTEAANLLVEYLESFTSSRPVDVLHRKKMSAAWFPYTAIERLWCQLEEMQNAGHSVDQCDRLKKLLHGSLISHLQQVVVDSDDVLSSLGGGKGMGSQSN >ONIVA02G03360.1 pep chromosome:AWHD00000000:2:2099078:2101760:1 gene:ONIVA02G03360 transcript:ONIVA02G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGARGRRGGGVARSAAEDGVRHHQARARFFSKVGDADRGAQPRRRRGGGVAPLASLSISESHREVCIPSSSAAVEGTAGETSPPVSDAALEVWRRWLRASTRRSLSSFMDQASSETHAPPPTPRPSPPRVLPPPPLSAARRAKGCRTAVSSASLSRNYSTSSTNSQSPPEPRDSCRDDRSLDIWYTAKEFVKKYPVVLFAQPTDTHSQFHRWVYQEWFKPTVRSLLKCVSDHHASNLEFQEITTENVKIFCNADAPQFIPRIKIYCETRKATYEGRKQNFVQTGELITELIKSSVDNPTEVLDLLSEDIKDKLSMLKNPDLSHDADPRQCGVYILVNHPSMLRREMQSPFYLACYDLLMSVDKRIANRAFRKLPYKNWQSRALQHPLLKDHLINRRSSYGRDKYHQARYHRNVASHKTSWKSKYKAEEVDEIIHHHFPMILTRLMKVLSKMKLLIRLTLHNFFG >ONIVA02G03350.1 pep chromosome:AWHD00000000:2:2092245:2097012:-1 gene:ONIVA02G03350 transcript:ONIVA02G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDAELARAQEERKKMEEALAAGAPMAVSSVTFDTDLYGGGGSDPNRFAGYDTSIPASEDDAPEDDSEAAVNPAARRLASYTGHAVAAADIPRAAEDDGLPKKSQRIIDREDDYRRRRLARIISPERHDPFAAGEATPDPSVRTYADAMRENDLQKQKEQLLRDIAQKKKEEEEKAKEKKAVPEQQPVAAPKRRNRWDQSQDGDASAAAGSKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGITPGATPSGAWDATPKLPGGLVTPTPKKQRSRWDETPASMGSATPGGTGAATPAGYTPGPTPFGGDNLATPTPRPDCFSWSNDSRAVPALAELPGGLPFMKPEDYQYFGTLLNEEEGEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYACVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLESVVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSNRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIWNDILPEFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQASDDANVMLNGFGAVVNALGQGVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKHCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPLIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLVEDALMDRDLVHWQTAASAVKHMALGVAGLGYALVAAYPALDDDGDNIYSRPELAMFVCSMCSLFLKSNHCITRMYDSFSFTAVLASVLSVCTVPQQMGILSLGYWYFDPVC >ONIVA02G03340.1 pep chromosome:AWHD00000000:2:2085648:2090924:-1 gene:ONIVA02G03340 transcript:ONIVA02G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLIQLYRVLLADPNGREEYAYLEMQYWAVSVSCLSVLAFFVWHVRQSPSNGNSIASKYGSLLIILYPLAYLFHFLLKTDGDLLVMSNLVYLLCRGVAAVILIQHILEKFPSCSSFGEAILVSSGLFLYCGDMLAHTLSKGVLLGPFLLPLLYKSSVQVLAYCRKLGRQRTQTVEECTQKRIDCDVFYVSLLVALLLLVPSWTRLIQDFEVHPFVWVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHPVAVLIFSPAIIFQPDFLGLAFGAAFAVWEIYPLGNIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSALAACSILLSLLASSGYILSQHWISLLVAVTLSGLLEAYTAQLDNAFIPLVFYSLLCGDTCFTPQLHKQLGKNSKLRVKPYAARSLKYGDLVQN >ONIVA02G03340.2 pep chromosome:AWHD00000000:2:2085648:2090924:-1 gene:ONIVA02G03340 transcript:ONIVA02G03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYWAVSVSCLSVLAFFVWHVRQSPSNGNSIASKYGSLLIILYPLAYLFHFLLKTDGDLLVMSNLVYLLCRGVAAVILIQHILEKFPSCSSFGEAILVSSGLFLYCGDMLAHTLSKGVLLGPFLLPLLYKSSVQVLAYCRKLGRQRTQTVEECTQKRIDCDVFYVSLLVALLLLVPSWTRLIQDFEVHPFVWVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHPVAVLIFSPAIIFQPDFLGLAFGAAFAVWEIYPLGNIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSALAACSILLSLLASSGYILSQHWISLLVAVTLSGLLEAYTAQLDNAFIPLVFYSLLCGDTCFTPQLHKQLGKNSKLRVKPYAARSLKYGDLVQN >ONIVA02G03340.3 pep chromosome:AWHD00000000:2:2085648:2090924:-1 gene:ONIVA02G03340 transcript:ONIVA02G03340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLIQLYRVLLADPNGREEYAYLEMQYWAVSVSCLSVLAFFVWHVRQSPSNGNSIASKYGSLLIILYPLAYLFHFLLKTDGDLLVMSNLVYLLCRGVAAVILIQHILEKFPSCSSFGEAILVSSGLFLYCGDMLAHTLSKGVLLGPFLLPLLYKSSVQVLAYCRKLGRQRTQTVEECTQKRIDCDVFYVSLLVALLLLVPSWTRLIQDFEVHPFVWVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHPVAVLIFSPAIIFQVWEIYPLGNIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSALAACSILLSLLASSGYILSQHWISLLVAVTLSGLLEAYTAQLDNAFIPLVFYSLLCGDTCFTPQLHKQLGKNSKLRVKPYAARSLKYGDLVQN >ONIVA02G03340.4 pep chromosome:AWHD00000000:2:2085648:2090924:-1 gene:ONIVA02G03340 transcript:ONIVA02G03340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQYWAVSVSCLSVLAFFVWHVRQSPSNGNSIASKYGSLLIILYPLAYLFHFLLKTDGDLLVMSNLVYLLCRGVAAVILIQHILEKFPSCSSFGLYLKSKMGFCLVLFFSPCYTKVPFKFWLTVENWGGKEHKQLRNAHRRELTVMVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHPVAVLIFSPAIIFQPDFLGLAFGAAFAVWEIYPLGNIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSALAACSILLSLLASSGYILSQHWISLLVAVTLSGLLEAYTAQLDNAFIPLVFYSLLCGDTCFTPQLHKQLGKNSKLRVKPYAARSLKYGDLVQN >ONIVA02G03340.5 pep chromosome:AWHD00000000:2:2085648:2090924:-1 gene:ONIVA02G03340 transcript:ONIVA02G03340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLIQLYRVLLADPNGREEYAYLEMQYWAVSVSCLSVLAFFVWHVRQSPSNGNSIASKYGSLLIILYPLAYLFHFLLKTDGDLLVMSNLVYLLCRGVAAVILIQHILEKFPSCSSFGLYLKSKMGFCLVLFFSPCYTKVPFKFWLTVENWGGKEHKQLRNAHRRELTVMVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHPVAVLIFSPAIIFQPDFLGLAFGAAFAVWEIYPLGNIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSALAACSILLSLLASSGYILSQHWISLLVAVTLSGLLEAYTAQLDNAFIPLVFYSLLCGDTCFTPQLHKQLGKNSKLRVKPYAARSLKYGDLVQN >ONIVA02G03340.6 pep chromosome:AWHD00000000:2:2085648:2090924:-1 gene:ONIVA02G03340 transcript:ONIVA02G03340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQYWAVSVSCLSVLAFFVWHVRQSPSNGNSIASKYGSLLIILYPLAYLFHFLLKTDGDLLVMSNLVYLLCRGVAAVILIQHILEKFPSCSSFGEAILVSSGLFLYCGDMLAHTLSKVGFCLVLFFSPCYTKVPFKFWLTVENWGGKEHKQLRNAHRRELTVMVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHPVAVLIFSPAIIFQVWEIYPLGNIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSALAACSILLSLLASSGYILSQHWISLLVAVTLSGLLEAYTAQLDNAFIPLVFYSLLCGDTCFTPQLHKQLGKNSKLRVKPYAARSLKYGDLVQN >ONIVA02G03340.7 pep chromosome:AWHD00000000:2:2085648:2090924:-1 gene:ONIVA02G03340 transcript:ONIVA02G03340.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLIQLYRVLLADPNGREEYAYLEMQYWAVSVSCLSVLAFFVWHVRQSPSNGNSIASKYGSLLIILYPLAYLFHFLLKTDGDLLVMSNLVYLLCRGVAAVILIQHILEKFPSCSSFGLYLKSKMGFCLVLFFSPCYTKVPFKFWLTVENWGGKEHKQLRNAHRRELTVMVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHPVAVLIFSPAIIFQVWEIYPLGNIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSALAACSILLSLLASSGYILSQHWISLLVAVTLSGLLEAYTAQLDNAFIPLVFYSLLCGDTCFTPQLHKQLGKNSKLRVKPYAARSLKYGDLVQN >ONIVA02G03330.1 pep chromosome:AWHD00000000:2:2082586:2084287:-1 gene:ONIVA02G03330 transcript:ONIVA02G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIANIDQEHNFDLDNNTVTLDDLSEGQRCELEQEVEVEIAELREHKLICLQKTKNSVIAKQQKPINLELSANEKEVAMLDLSGNIGPFVLPAEFRAKEVDCMLQLQKSHMPWITDLIREIGLGGLVERSLRYRWEVVQQGSKSRCRKLVTNQDPPKSSGGNGSQLRHSHSLSNWQKKQLHKLSVEKLREKGMSWLPKGSVQVQNKTDVKVKVEAKNEKVVRRCVPNQRFASDHQVLLPPYYIYSSPMQPMHMSWNQFSGM >ONIVA02G03320.1 pep chromosome:AWHD00000000:2:2078036:2080814:-1 gene:ONIVA02G03320 transcript:ONIVA02G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFMGKRKELDQVVDGLSDFSLSGPAAKSRRLDIGLPPIMEEETPGPSMPFGHEMLGEEIHSVVSMPIIEDMLGGAMESHPSSEDKALVLYKSVDNTACIGPGTSNPSIIISPDLIRSLKNQAFMQRNFHGLEDKSPGCSNFLALVPWTPPQIATVSNWSASDSEKREFFEVPMESDETEVDSMDVEESPEANVAAIDGESLHQWQQHCMTPSSLPNPSAPVMWSRKDEEILQLVSIHD >ONIVA02G03310.1 pep chromosome:AWHD00000000:2:2076059:2077258:-1 gene:ONIVA02G03310 transcript:ONIVA02G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFFPPRKPTGGGDGSAAGDRPRLAPVAAAMVDAQLPRAGEAIYRPQGHGWGRCGAMILGGGKDKVVGVDGEYRSFLLILYKCGAHAVRLLPHMRATKRCPVAFTVGDGVYVMEAAAPEPARMRGAEHCFEALVHGLPPAPPRGGATIIEDWHWRSLTAPPYVLDPDGDDGPARVAAHAVVRDSQIWVSTERHGTFTFDTASGAWSKAGDWALPFRGRAEHVPEHSLWFGFSPHHDGHLCASDLTATPPSLRHTWRYRPPHKDWPAPVASYLVPLGGGRLCVAELFETTRVEVGGRPLDTNKKASLKTTTRRWGFNAAATAGEEEEEETEVRERFAVVTGVEVEASASGKAPLCMARRAVRRYVLSTETVVTREARRRSSWRRGRPRKDDDETLHWVF >ONIVA02G03300.1 pep chromosome:AWHD00000000:2:2064016:2073791:-1 gene:ONIVA02G03300 transcript:ONIVA02G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGDGGGDEEEAAMAVVSAPPQHLVFAYYITGHGFGHATRALEVVRHLIAAGHDVHVVTGAPEFVFTTEISSPNLHIRKVLLDCGAVQADALTVDRLASLEKYHQTAVMPRESILRTEVEWLNTIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVVAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSEVRKELGIKDDVKVVIFNFGGQPAGWKLKKEWLPDGWLCLVCGASETQELPPNFIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGVEMVRRDLLTGHWKPYLQRAMTLHPCYDGPINGGEVAAHILQDTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSVSEKEIGVRPAPTYHEVNGSAESSFEDFKILHGDIQGLTDTMAFLTSLSGLVGNDPRSPEKQSRERVAASVFFDWEEEIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAIQRSNPMKQKLWKHTQARQLANGGAVPLLQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSQDPSQKWAAYVAGTILVLMTELGVVFTDSMSILVSSSVPEGKGVSSSASVEVASMSAIAAAYGLNIPPRDLAILCQKVENRIVGAPCGVMDQMTSACGEANKLLAMICQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLSESLPSCPPIQSGNTNSDEYEEHGVDLLKSEASLEYLCNLPPHRYEAVYARDIPEIITGDAFLEKYGDHNDAVTTVDPKRSYCVKAPTRHPIYENFRVEAFKALLTAAKTVEQLSALGELMYQCHYSYNACGLGSDGTDRLVNMVQEVQHRKTSQDGGPSLFGAKITGGGSGGSVCVIGKNCLKSSEEIFEIQKRYKAATGYLPIVFEGSSPGAGNIS >ONIVA02G03290.1 pep chromosome:AWHD00000000:2:2041486:2049729:-1 gene:ONIVA02G03290 transcript:ONIVA02G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0G106] MASMKQQQTPASSAVTAAAAASSSATAAVAACEGERKAAAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDVDAHVPSYPNLPSKLICLLHGVNLHADPDTDEVYAQMTLQPVNTYGKEALQLSELALKQARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDIHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIVVRDEKHQLLLGIRRANRQPTNISSSVLSSDSMHIGVLAAAAHAAANSSPFTIFYNPRASPTEFVIPFAKYQKALYSNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFLCPQPFFGVKRPRQLDDESEMENLFKRAMPWLGEEVCIKDTQNQNSTAPGLSLVQWMNMNRQQSSSLANTAAQSEYLQALGNPAMQNLAADELARQLYVQNNLLQQNCIQFNSPKLPQQMQTMNDLSKAAIPLNQLGAIINPQDQKQDAVSHQRQQNSIQVIPLSQAQSNLVQAQVIVQNQMQQQKPSPTQNPQRINGQRLLLSHQQKDQNLQLQQQLLLQQKQQLQQQQQQQQQNQQQLNKSLGQLVNLASQQSKLFDEELQLQILQKLQQQSLMSQSTSTLSQPPLIQEQQKLITDMQKQLSNSHSLAQQQMMPQQEIKPSLQATPLLPTVQQEQQQKLLQKQVSLADVSGVAFQPISSTNVIPKTGGAMIISGATQSVVTEEMPSCSTSPSTANGNHFTQSTKNRHCINTERLPPSTAPMLIPTSIDAVTATPLMTKELPKPNNNVKQSVVNSKLPNVAPGPQNCINHALQTDNLETSSSATSLCPSRTDGLVHQGFPSSNFNQHQMFKDALPDVEMEGVDPSNSGLFGINNDNLLGFPIETEDLLINALDSVKYQNHISTDVENNYPMQKDALQEISTSMVSQSFGQSDMAFNSIDSAINDGAFLNKNSWPAAPLLQRMRTFTKVYKRGAVGRSIDIGRYSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVRCIRILSPQEVQQMSLDGDLGSNVLPNQACSSSDGVNGWRPRCDQNPGNPSIGPKHPHLKVPFTIEVRIILIAPNHDRLLKQQFQAI >ONIVA02G03280.1 pep chromosome:AWHD00000000:2:2040680:2049570:1 gene:ONIVA02G03280 transcript:ONIVA02G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASHRVFVYGTLMAEEVVRVLIGRSPSSSPAVLPNHQRFSIKGRVYPAILPVDGKEVSGKVFKGITDRELNVLDIFEDEEYVKRTVEISLTDTSEKLLAYAYIWGNQDDPDLYGEWDFEEWKRVHLEDYVKMTQEFMEELEQLEPKTET >ONIVA02G03280.2 pep chromosome:AWHD00000000:2:2040680:2049570:1 gene:ONIVA02G03280 transcript:ONIVA02G03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASHRVFVYGTLMAEEVVRVLIGRSPSSSPAVLPNHQRFSIKGRVYPAILPVDGKEVSGKVFKGITDRELNVLDIFEDEEYVKRTVEISLTDTSEKLLAYAYIWGNQDDPDLYGEWDFEEWKRVHLEDYVKMTQEFMEELEQLEPKTET >ONIVA02G03280.3 pep chromosome:AWHD00000000:2:2040680:2049733:1 gene:ONIVA02G03280 transcript:ONIVA02G03280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASHRVFVYGTLMAEEVVRVLIGRSPSSSPAVLPNHQRFSIKGRVYPAILPVDGKEVSGKVFKGITDRELNVLDIFEDEEYVKRTVEISLTDTSEKLLAYAYIWGNQDDPDLYGEWDFEEWKRVHLEDYVKMTQEFMEELEQLEPKTET >ONIVA02G03280.4 pep chromosome:AWHD00000000:2:2040680:2047982:1 gene:ONIVA02G03280 transcript:ONIVA02G03280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASHRVFVYGTLMAEEVVRVLIGRSPSSSPAVLPNHQRFSIKGRVYPAILPVDGKEVSGKVFKGITDRELNVLDIFEDEEYVKRTVEISLTDTSEKLLAYAYIWGNQDDPDLYGEWDFEEWKRVHLEDYVKMTQEFMEELEQLEPKTET >ONIVA02G03280.5 pep chromosome:AWHD00000000:2:2040680:2043298:1 gene:ONIVA02G03280 transcript:ONIVA02G03280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASHRVFVYGTLMAEEVVRVLIGRSPSSSPAVLPNHQRFSIKGRVYPAILPVDGKEVSGKVFKGITDRELNVLDIFEDEEYVKRTVEISLTDTSEKLLAYAYIWGNQDDPDLYGEWDFEEWKRVHLEDYVKMTQEFMEELEQLEPKTET >ONIVA02G03280.6 pep chromosome:AWHD00000000:2:2043069:2049163:1 gene:ONIVA02G03280 transcript:ONIVA02G03280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISYVNEPLSQVIQIDHTGRWKGSRGSGHSEASNHSPHLSCCKPGWGGHCSPDHHPSSSVALLEKIRRYEAYIPGEGISSLRHGEAQRILHVYLYHLRKK >ONIVA02G03270.1 pep chromosome:AWHD00000000:2:2040128:2040602:-1 gene:ONIVA02G03270 transcript:ONIVA02G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTPTMTTAARTSATVLSRRLPSNPTLGRRRRRHLPLRHGAPPTTGRCRSIGGLAEYVPEHGLSPASDGSGMVLSLPRTLTPASRRCGRSLLPRDYTLPGALNPVGSDLVGRFLHRQTDDEHRDGPELFVVFTAVEVELCDDDDGDAQVSDV >ONIVA02G03260.1 pep chromosome:AWHD00000000:2:2036466:2037512:-1 gene:ONIVA02G03260 transcript:ONIVA02G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRFVHLVLEEFAPRRSNYTLRNIDMDRFFLPRPSPVPSAAAAAADAVEYGSLPCPAMTFYPPSSSLSGNQNMEFFLLGGNHNMVVAADQSCRTVLYDPGEHAVRTMPALPYQVTLPATSVTVGDDLYILDVDDGGSFHGLIYEDGLNEDWRCCALPPPPLSDFEVDSYAVIGGTDIWLSTHDNGGIYCFDTVRHAWSTVATRWTLPFVGLAEYCHEHGLWFGLSHSTRDRRRRSLVLSALDLDGGELPLLRSFPMEFTPPDALNLVSSDLVNLGSGKFCIARFFRTDEDHRDGEELFAVLTAVEVERCDDDEDDAGGGANGGGLRMLKHRSEMYKLTSEMMYWVL >ONIVA02G03250.1 pep chromosome:AWHD00000000:2:2027323:2028668:1 gene:ONIVA02G03250 transcript:ONIVA02G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGKEVKTRPDPKVEIQEKGEIFFFYRPKVGKDEARGPDDVQRMYIVLRPEATGDGGDRAVEDKQAPDSGKEGHKNQQPQNSDGDGGGEGGHGKEVVSVTESCRFFRDFQEVNVEEQALLRLIVMGKKSLPDPAAKRGRPYWGYVELVTTDVEHIKDALKEEEYSTATRGTRRRAAARALGEGVYRILKHDSGRRVHTHLVYKLELPLPARRREHDAEADEAGEPQEAMNVEPEASYLIQIKNPEQPPPSGGGGDGGFRGLQSKRRAAFPAHLQGRFGSNHRYAPADPPDLLNYEGCEFLLIAASDDVEEELGLELETETDAEADLEGDGAAAAKCSDLVKMFGEVADVKPLLSGSWD >ONIVA02G03240.1 pep chromosome:AWHD00000000:2:2002823:2003404:1 gene:ONIVA02G03240 transcript:ONIVA02G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdopterin biosynthesis MoaE family protein [Source:Projected from Arabidopsis thaliana (AT2G43760) TAIR;Acc:AT2G43760] MASDELPVAAAATEEEDLVEILDEGSGRLDIARYVDHVRDLAAGAIATFEGTTRDSFEGRRVVELRYEAYGAMARRRLAAILREARAAHSLRRLAVAHRLGTVPAGEASVFVAASAVHRADAMEACRYVIDEVKASVPIWKKEVYDDGEVWKENREFLDRTTTDGTTASSPAPATRPAKGGGCCGSKVRVNES >ONIVA02G03230.1 pep chromosome:AWHD00000000:2:1991354:2013273:-1 gene:ONIVA02G03230 transcript:ONIVA02G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVAESGGTPLLRSTNGFLGRAVWEFDPDHGTPEDRADVERVRREFTDHRLHHRESADLLMRMQQNKHQRRRYRIPPVNNKLGEKEEVTEEIAMASLRRALDEFSSLQADDGHWPGDFSGVMFIMPGLNEDGGWGSLILSSSTMFGTCSNYITLRLLGEETSNEQLAKGRIWIILHGGPTLVPQWGKIWFSILGVYEWAGNSPIFPELWLTPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPTTPTILALREEIYSAHYLTIDWAQARSACAKEDLVCPRTRLQNAVWSWLYKWVEPVMSSWAMSKLRGRALDRLMAHPLRRREHAALNMVCCWAEDPNSDAFKQHLARVPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYASTVQRAHEFLKNSQVVRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLTKISINVVGDPIERERLHDAVDCLLSFVISRMHVLSASSFGAIQRTVPWLPLGTWGVCFTYGAFFSVKGLIAAGRTYENSSSIRKACDFIMSKQLNTGGWGESHVSNETKVYVNIKGDHAHAVNTAWAMLTLIYAGQMERDPAPLHCAAKELINMQLETGEFPQQQPPPFAGRVAGAGDDAVVPSVVVRSRNSRFSFQTSPSSYTSFFQMGTDALTSSMTLYGFAEMIWFWINHYSNLIYRLKVAEGGGALLRSTNGFLGRAVWELDPDHGTPEDRADVERVRREFTDDRLRRRESADLLMRMQFAKQKKLQRRRDSIPPAVKLGEKEQVTEEIAMASLRRALDEFSSLQADDGHWPGDFSGVMFIMPGLNEDGGWGTFLSSSTMFGTCSNYITLRLLGEVLTNEQLARGRIWIISHGGATLVPQWGKIWLSILGVYEWAGNNPIFPELWLAPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPTTPTILALREEIYPVHYLTIDWALARSACAKEDLVCPRTRLQNAVWSWLYKWVEPVMSSWAMNKLRGRALDALMEHIHYEDENTQYLCICSVNKALNMVCCWEEDPNSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETALIIQAFCATDLVNEYASTVQRAHEFMKNSQVVRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLTKISSSMVGDPIERERLYDAVDCLLSFVNKDGTISTYECKRTSTWIEISRMYLLSASIFGAVQRTMPWKACDFILSKQLNTGGWGESHVSNETKVYVNIKGDRAHVVNTAWAMLTLIYAGQMERDPTPLHCAAKELINMQLETGEFPQQEHVGCFNCSLLFNYPNYRNIFPIWALGEYCWHLR >ONIVA02G03220.1 pep chromosome:AWHD00000000:2:1981104:1983035:1 gene:ONIVA02G03220 transcript:ONIVA02G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRWASAMGYNRIRYRSSRPRLFGFSYMGTHVNVETWFRIKDMALKASGTCWHCKPCVGSSAAGASHWHHPYHQGGGFGDSDAASGSEQFLYMYHSTSTP >ONIVA02G03210.1 pep chromosome:AWHD00000000:2:1970099:1978388:-1 gene:ONIVA02G03210 transcript:ONIVA02G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0Z3] MWRLRVAEGGGDPWLRTKNGHVGRQVWEFDPAAGDPDELAAVEAARRGFAARRHELKHSSDLLMRMQWPVGKSNWVSMFLASYEDCRMQRTQFAKANPLKLDIPAIKLEEHEAVTGEAVLSSLKRAIARYSTFQAHDGHWPGDYGGPMFLMPGLIITLYVSGALNTALSSEHQKEIRRYLYNHQNEDGGWGLHIEGHSTMFGSALTYVSLRLLGEGPDSGDGAMEKGRKWILDHGGATYITSWGKFWLSVLGVFDWSGNNPVPPEIWLLPYFLPIHPGRMWCHCRMVYLPMCYIYGKRFVGPVTPIILELRKELYEVPYNEVDWDKARNLCAKEDLYYPHPFVQDVLWATLHKFVEPAMLRWPGNKLREKALDTVMQHIHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVHDYLWIAEDGMKMQGYNGSQLWDTAFTVQAIVATGLIEEFGPTLKLAHGYIKKTQVIDDCPGDLSQWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKISPDIVGEAVEVNRLYDSVNCLMSYMNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTAFKKLYPGHRKSEIDNCISKAASFIEGIQKSDGSWYGSWAVCFTYGTWFGVKGLVAAGRTFKNSPAIRKACDFLLSKELPSGGWGESYLSSQDQVYTNLEGKRPHAVNTGWAMLALIDAGQAERDPIPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSEYRNIFPIWALGEYRRRVLAADK >ONIVA02G03200.1 pep chromosome:AWHD00000000:2:1966289:1970993:1 gene:ONIVA02G03200 transcript:ONIVA02G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDDDNHGDPLQQQPEEEDGGGYGDVAVGGGLFSVPGYEWADVAALGPDVAGRAVRVRGAAHAVRAVGRRVAFLVLRQGSSTVQCVVGGGGGGGGGGDAGVARFAAGLSRESVVDVAGVVSLPREPVRGTTQQEVEIQVKKLYCISKATPNLPISVDDAARSEEDVVKAKAAGEQLVHVGQDKRLDFRVIDLRTPANQAIFRVQCEIENIFRQVLLSEGFVGIHTPKLIGGSSEGGAAVFKLDYNGQPACLAQSPQLHKQMAICGGFERVFEVGPVFRAEDSNTHRHLCEFVGLDMEMAIKDHYSEVCDVVDRLFVSMFDHLNKNCAKELEAINRQYPFKPLKYLPKTLRIEYDEGIRMLKEAGVHVEAMGDLNTESEKKLGELVHTKYDTDFYMLCRYPSAVRPFYTMPCSDDPRYSNSFDVFVRGEEIISGAQRVHVPEVLARQAEERGIDVGSIAAYVDAFRYGAPPHGGFGVGLERVVMLFCGLGNIRKASLFPRDPRRLNP >ONIVA02G03200.2 pep chromosome:AWHD00000000:2:1966289:1970797:1 gene:ONIVA02G03200 transcript:ONIVA02G03200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDDDNHGDPLQQQPEEEDGGGYGDVAVGGGLFSVPGYEWADVAALGPDVAGRAVRVRGAAHAVRAVGRRVAFLVLRQGSSTVQCVVGGGGGGGGGGDAGVARFAAGLSRESVVDVAGVVSLPREPVRGTTQQEVEIQVKKLYCISKATPNLPISVDDAARSEEDVVKAKAAGEQLVHVGQDKRLDFRVIDLRTPANQAIFRVQCEIENIFRQVLLSEGFVGIHTPKLIGGSSEGGAAVFKLDYNGQPACLAQSPQLHKQMAICGGFERVFEVGPVFRAEDSNTHRHLCEFVGLDMEMAIKDHYSEVCDVVDRLFVSMFDHLNKNCAKELEAINRQYPFKPLKYLPKTLRIEYDEGIRMLKEAGVHVEAMGDLNTESEKKLGELVHTKYDTDFYMLCRYPSAVRPFYTMPCSDDPRYSNSFDVFVRGEEIISGAQRVHVPEVLARQAEERGIDVGSIAAYVDAFRYGAPPHGGFGVGLERVVMLFCGLGNIRKASLFPRDPRRLNP >ONIVA02G03190.1 pep chromosome:AWHD00000000:2:1940910:1941245:-1 gene:ONIVA02G03190 transcript:ONIVA02G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRPDLTSGSTDMKQAAAAPAKSTDQGGDSWRSLRRSESAWNLFVLDVILKSTASRQWILLGSACALTPV >ONIVA02G03180.1 pep chromosome:AWHD00000000:2:1939357:1940892:-1 gene:ONIVA02G03180 transcript:ONIVA02G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLSSLSLTSNDSLMVCGWNGAIDLVKNVTQCKLQDLALCCWSRGFILSRRHCVYAQLAVTVM >ONIVA02G03180.2 pep chromosome:AWHD00000000:2:1939219:1940892:-1 gene:ONIVA02G03180 transcript:ONIVA02G03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLSSLSLTSNDSLMVCGWNGAIDLVKNVTQCKLQDLALCCWSRGWLPTTAVLAHKVRQNV >ONIVA02G03170.1 pep chromosome:AWHD00000000:2:1930665:1935484:1 gene:ONIVA02G03170 transcript:ONIVA02G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSCISFGNIHNVLFEVSSQTKTSPKTQGYPKFPIAAAPDPAMPLRPPPPPQGSLTAYPSAACPPVKPPHEDDLGAGDVLTFRFHSLAVLKLFDRIREYRLHDYRYQATRGWYSSGTAPEDALLPCAVARPPRMYGCVIGVQRGRTVEVVDTSDILLDTDPGTLDRDLLKKKLETYKKAFPGLAILGWYSIDTHVTNTDMETNQATVIWLSVWSYYEEMLLLLMDASGTTFYLLFNPAMNLSLKDLPVTIYEKVHSTNRSPTPLIFVQGKYKTETVEAERISLDHTCPVVSDVSVPPLVGKGQHANIMQTSGFKLMLFEIPSGFAMFEVSQELIARPKDIWARFAYQDDITNVIVTLGSIQIHNKSVARDIIVGPGDELKEFILSFCTHNYLIVQDVELKDVIEKKLNIYCYCNPTVVGELTWGLNYVLHKLLPQEQSLTHEPYLPLSKAMSKQIKEYGFKISPREIDREFLRAMSFLNYLGYMSERYSLLLDHLFGQYRKNNMSDIEFAKSIASHLHSSEGIVPRDERYTRAEIMEFIDFINAAPENRSRTLSFLRRIEAASIDDSPPPPSPPGGMGVSLLKRLRLDWITVCCLGCAVALYPFFFLPQSMNDFVIKFTMF >ONIVA02G03160.1 pep chromosome:AWHD00000000:2:1913995:1920437:1 gene:ONIVA02G03160 transcript:ONIVA02G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVQSSLNGDLLIGRAAGVPRMKRKTPSELRGEQLKRRASDKPANDQSLHSAAFDRASNGFRNPEQSKISKYISTRVTEVFPVKKSRNLGKENFKNNEKAPKSADAVTTSDFASPSLPCGYGDSAKLDSAVPSHTEAAKPSFRKVEKCSENALRSVSELHIGDEQQSGTNKFDMEKVLKGFGARDAFVASKLTEPNIQVGVVPSKSLDLCPSEIAVPGKRAPLDLTLKTTLQFVSSSSVKWCHKISTSFGRSSIVGPIAQSYHHGCQNSGCSRPERNKEFLFSKALQSWVYPQSLLPASIISAMVSSTVRGESDFLLKRHQDWEDSFQNLYYMLRKNLLNIFYVYTPQFVALFIGGNCLDKKQTCNAYLSQSTRGIRSLLRRHGVCFSMPLCNTEVEQVTEDDLIELSEIQKRNLGQALHIDAMSEVDNTTQSLLSFVGNKSVHGLYDFLLNYKSFLNSLSATDIPVLYSPVPFQNGCLHIPEVKCKEMRKADIGLVSGGFDAGEPGSTFASLTGNICYSMEIKDPVLPPWVVSGICAAMSSDANSFDLMMATEPSSMGLNAALSCVSSNSQSKAHSSEGCESLGIPEATLVPSLRSASSLRRLSYKDGEYIAYTTVHIISLGIPEATLVPSLRSASSLRRLSYNDGEYIAYTTV >ONIVA02G03160.2 pep chromosome:AWHD00000000:2:1913946:1919818:1 gene:ONIVA02G03160 transcript:ONIVA02G03160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVQSSLNGDLLIGRAAGVPRMKRKTPSELRGEQLKRRASDKPANDQSLHSAAFDRASNGFRNPEQSKISKYISTRVTEVFPVKKSRNLGKENFKNNEKAPKSADAVTTSDFASPSLPCGYGDSAKLDSAVPSHTEAAKPSFRKVEKCSENALRSVSELHIGDEQQSGTNKFDMEKVLKGFGARDAFVASKLTEPNIQVGVVPSKSLDLCPSEIAVPGKRAPLDLTLKTTLQFVSSSSVKWCHKISTSFGRSSIVGPIAQSYHHGCQNSGCSRPERNKEFLFSKALQSWVYPQSLLPASIISAMVSSTVRGESDFLLKRHQDWEDSFQNLYYMLRKNLLNIFYVYTPQFVALFIGGNCLDKKQTCNAYLSQSTRGIRSLLRRHGVCFSMPLCNTEVEQVTEDDLIELSEIQKRNLGQALHIDAMSEVDNTTQSLLSFVGNKSVHGLYDFLLNYKSFLNSLSATDIPVLYSPVPFQNGCLHIPEVKCKEMRKADIGLVSGGFDAGEPGSTFASLTGNICYSMEIKDPVLPPWVVSGICAAMSSDANSFDLMMATEPSSMGLNAALSCVSSNSQSKAHSSEGCESLGIPEATLVPSLRSASSLRRLSYKDGEYIAYTTV >ONIVA02G03160.3 pep chromosome:AWHD00000000:2:1914562:1920437:1 gene:ONIVA02G03160 transcript:ONIVA02G03160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVQSSLNGDLLIGRAAGVPRMKRKTPSELRGEQLKRRASDKPANDQSLHSAAFDRASNGFRNPEQSKISKYISTRVTEVFPVKKSRNLGKENFKNNEKAPKSADAVTTSDFASPSLPCGYGDSAKLDSAVPSHTEAAKPSFRKVEKCSENALRSVSELHIGDEQQSGTNKFDMEKVLKGFGARDAFVASKLTEPNIQVGVVPSKSLDLCPSEIAVPGKRAPLDLTLKTTLQFVSSSSVKWCHKISTSFGRSSIVGPIAQSYHHGCQNSGCSRPERNKEFLFSKALQSWVYPQSLLPASIISAMVSSTVRGESDFLLKRHQDWEDSFQNLYYMLRKNLLNIFYVYTPQFVALFIGGNCLDKKQTCNAYLSQSTRGIRSLLRRHGVCFSMPLCNTEVEQVTEDDLIELSEIQKRNLGQALHIDAMSEVDNTTQSLLSFVGNKSVHGLYDFLLNYKSFLNSLSATDIPVLYSPVPFQNGCLHIPEVKCKEMRKADIGLVSGGFDAGEPGSTFASLTGNICYSMEIKDPVLPPWVVSGICAAMSSDANSFDLMMATEPSSMGLNAALSCVSSNSQSKAHSSEGCESLGIPEATLVPSLRSASSLRRLSYKDGEYIAYTTVHIISLGIPEATLVPSLRSASSLRRLSYNDGEYIAYTTV >ONIVA02G03150.1 pep chromosome:AWHD00000000:2:1910791:1911305:-1 gene:ONIVA02G03150 transcript:ONIVA02G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQQQQQPSPTLVGGRRCMDRGGGSGGSIGLIALALASTGIIIISYSLHRRFLADLKLSIARAQQQQEQQEQEKPQRPRERTRRVRFAADVVEPSSDGDEYRRRYVAGRPSPAMGNSSSSSSPPARPFARPRRVMQSHPAS >ONIVA02G03140.1 pep chromosome:AWHD00000000:2:1904107:1910303:1 gene:ONIVA02G03140 transcript:ONIVA02G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G62310) TAIR;Acc:AT5G62310] MEQKDSGGGGGGLARRLMLDASGREMDSPRFRAILRATSGRRKRAPDVKSFSHELNIRGPLLHPLQQRKPRGCVNSPEEFIGAIRTKFIRLKEEVDAELGVFAGDLVGALDKADGDEERVALEDLLVVAQRCAEMSPEELWVKCECIVQGLDDRRQELTAGVLKQAHTRVLFILTRCTRLLQFRKEGGGYVAADDKQHVLSLHQLSDLGLYPFKVAGGSSELGRKSTSSLTELKERLIRRRMLEHKQLTLDFSAGRVISSDTGGDSPGSGGKISSWKKLPSPAEKTLHKVTEPTTEEKTTPTKKKAITRNKVAVDEIVERIDAASIHPDGLSYLGDSAVKLEVPSGFPEAQQIIVDGKPRMICRICDFEIPMVCAEGHFIVCTLADRCDSKGLNTDQRLQRVAEVLGRVLGCFESKSPQTAECNHNETARGSTSSLTESDGSMDHDILSHLLTVPSTELFSEGALTPASGSMPQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLMTIARGIERIKNSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFIQLCGQIEDSNTDSLGSIDEDGPMESSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMAKTYMAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSNVLVGDHQPADAEQRAQKREQRQKQAAVGTPDYLAPEILLGMTHGPTADWWSVGVILFELLVGIPPFNAEHPQIIFDNIMNREIPWPQVPEELSFEAYDLIDKLLIENPVQRLGATGAGEVKAHPFFKDINWDMIARQQAAFIPSTDDEYDTSYFACRHTWDDQVNVASNDYDDRSETSSMSCGSSPHSCDYEEDADEFGSMEEFGAPSLSVKYSFSNFSFKNISQLASMNYDLITKHNEDPLQACKSSY >ONIVA02G03140.2 pep chromosome:AWHD00000000:2:1904107:1910303:1 gene:ONIVA02G03140 transcript:ONIVA02G03140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G62310) TAIR;Acc:AT5G62310] MEQKDSGGGGGGLARRLMLDASGREMDSPRFRAILRATSGRRKRAPDVKSFSHELNIRGPLLHPLQQRKPRGCVNSPEEFIGAIRTKFIRLKEEVDAELGVFAGDLVGALDKADGDEERVALEDLLVVAQRCAEMSPEELWVKCECIVQGLDDRRQELTAGVLKQAHTRVLFILTRCTRLLQFRKEGGGYVAADDKQHVLSLHQLSDLGLYPFKVAGGSSELGRKSTSSLTELKERLIRRRMLEHKQLTLDFSAGRVISSDTGGDSPGSGGKISSWKKLPSPAEKTLHKVTEPTTEEKTTPTKKKAITRNKVAVDEIVERIDAASIHPDGLSYLGDSAVKLEVPSGFPEAQQIIVDGKPRMICRICDFEIPMVCAEGHFIVCTLADRCDSKGLNTDQRLQRVAEVLGRVLGCFESKSPQTAECNHNETARGSTSSLTESDGSMDHDILSHLLTVPSTELFSEGALTPASGSMPQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLMTIARGIERIKNSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFIQLCGQIEDSNTDSLGSIDEDGPMESSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMAKTYMAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSNVLVGDHQPADAEQRAQKREQRQKQAAVGTPDYLAPEILLGMTHGPTADWWSVGVILFELLVGIPPFNAEHPQIIFDNIMNREIPWPQVPEELSFEAYDLIDKLLIENPVQRLGATGAGEVKAHPFFKDINWDMIARQQAAFIPSTDDEYDTSYFACRHTWDDQVNVASNDYDDRSETSSMSCGSSPHSCDYEEDADEFGSMEEFGAPSLSVKYSFSNFSFKAGCRKELPQQ >ONIVA02G03130.1 pep chromosome:AWHD00000000:2:1903207:1903770:-1 gene:ONIVA02G03130 transcript:ONIVA02G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPIAMPARVHNHHHHHRRALAASPAALAAAGNGLSATRRVRRSPAVEMRRERERRRAREQQPRCGEVAGGTAAECAAVFCCFPFAVGELVVLAAVRAPAALCRRAVRGGRRRRVRSTKPKETGAMDIASPRSLAFAAAKARKVDADFPATPKAEHLVDMEKEVWASFYGGGFWRSPSQREDRR >ONIVA02G03120.1 pep chromosome:AWHD00000000:2:1897065:1901240:-1 gene:ONIVA02G03120 transcript:ONIVA02G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGIPMGVGGGFRGNLMKILVSVRAFFSMPDDCNDEEYIEVRTDKHLWRLLVRHKPQNLLRLHCQVNDLEGPIAVSPSKNTLENQKSQDRTPCTPPQCIPLLAEQTQPTQECTPNECAKNECALNKCPLLESPKCASKKKTAANVKDGFVDVDLGTKNSGSNAESLGSSDDSLAVDSNSSYTSADDSSSSDSDCEYEPESCSEDSEVNDDVDSDTDDESVILACPTVKPVAFYQLMKNQWQNDKYGKP >ONIVA02G03110.1 pep chromosome:AWHD00000000:2:1889949:1890197:-1 gene:ONIVA02G03110 transcript:ONIVA02G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPRTRSPPPPPPEAAPTFQGHWICAPASLLRTGASDPPRGHWIRALAPLVPEAAISARKWRKEEEGKVEEEKKSEMERK >ONIVA02G03100.1 pep chromosome:AWHD00000000:2:1878800:1887590:1 gene:ONIVA02G03100 transcript:ONIVA02G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIAPAEQVCYVHCNFCNTILAFSIDTVSVPGNSMLNIVTVRCGHCTNLLSVNLRGLMHSAPALQDHHHHHLQESGLSGCFRDQSGYPEFGFSAASSSSKLRLPPAAAAMVSYSQQNQQLEQALHARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHFGLSPGHEGGKKLVDVDPIPTAPSSKKIQGFYS >ONIVA02G03100.2 pep chromosome:AWHD00000000:2:1878800:1887590:1 gene:ONIVA02G03100 transcript:ONIVA02G03100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIAPAEQVCYVHCNFCNTILAVSVPGNSMLNIVTVRCGHCTNLLSVNLRGLMHSAPALQDHHHHHLQESGLSGCFRDQSGYPEFGFSAASSSSKLRLPPAAAAMVSYSQQNQQLEQALHARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHFGLSPGHEGGKKLVDVDPIPTAPSSKKIQGFYS >ONIVA02G03090.1 pep chromosome:AWHD00000000:2:1853631:1862974:-1 gene:ONIVA02G03090 transcript:ONIVA02G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRVAEEAGAGGEGWLSSTNAHVGRQVWEFDAAAADDDDAAAAAEEVEAARREFTRRRHQIKHSADLLLRLQTCPDSLAKDMGHISSYIRRRRATTGGGGMAAAPPPRRLGALASAGLLHGIDAQLRRFTRSNPSKLEIPGIKLGEDEDVTEEAVLTSLKRAIRRYSTLQAHDGHWPGDYAGPMFLLPGLNEDGGWGLHIEGTSTMFCTVLTYVTLRLLGDESDGGDGAMVLGVFDWSGNNPLLPELWMLPYFLPFHPGRIWSHCRMVYLPMSYIYGKKFVGPITPIVLTLRKELYNIPYDDINWDKARNQCAKEDLYYRHPLGQDILWATLYKFVEPVLSHWPGSKLREKALKNAMQHIHYEDENTRYICSGAVQKVLNMLSCWIENPNSEAFRFHIPRVHDYLWVAEDGMKMQGYNGSQLWDTAFTVQAILATNLIEDFGPTIKLAHDYIKNSQLLHDCPGDLSYRYRHISKGAWTFSTADQGWAVSDSTAEGLKNNNGGFATYELTRSYAWLEILNPSETFGDIMIDYPYVECTSGVVQGLTAFRKHYPGHRREEIDNCIQKADSFIQSIQRSDGSWYGSWAVCFTSGTWFGVKGLIAAGRTYENCPAIRKACNFLLSKELPCGGWGESHLSCKDKVYTNLEGERPHGVNTSWAMLALIDAGQGERDPAPLHRAARILINLQLEDGEFPQQEIIGAFSKNCAISYSQYRNIFPTWALGEYRCRVLLGRQDASVTGNTAS >ONIVA02G03080.1 pep chromosome:AWHD00000000:2:1848526:1848708:-1 gene:ONIVA02G03080 transcript:ONIVA02G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFTSSDLSQFHTTKNYYYYLTSRLLHYFFHVSSTAMVAAAYANQIRGAGKEPIPSQVK >ONIVA02G03070.1 pep chromosome:AWHD00000000:2:1847495:1853216:1 gene:ONIVA02G03070 transcript:ONIVA02G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFAMDWNQKSSVLWDWENMPPIGNSANENPKNVMLAESKLAGVGVDIGHESGHSSGGTFSSSSEIGYGSSKSSISASIDSPSKVGNTIELNFASAEEHDKNMDKGKSKVDDTGTSRSPVVAANRVEPLIGLKLGKRTYFEDVCGGQNVKSSPSGVSVATPSPGLAKKVKVAQQNTQNPHCQVEGCNVDLSSAKPYHRKHRVCEPHSKTLKVIVAGLERRFCQQCSRFHGLAEFDQKKRSCRRRLHDHNARRRKPQPEAISLSSSRLSTLLYGDARQQASFLFGQAPYGQMGSCASSWDNPVPGGFKFTATKAPWSRPTIAAGVDGTHVSNQQASGNVLPHGAHHSFDGLMAFKETNAKVLNQGMEASAVASGSARGPDFEHALSLLSIDSVGAANLQPGSQIHPGVTAIAGTSNPVMMPSPAIWQGGLSLDQQAQFQAFDRLGNDDDEDHLQLPKPSYDNSHYDQMN >ONIVA02G03050.1 pep chromosome:AWHD00000000:2:1839634:1845228:1 gene:ONIVA02G03050 transcript:ONIVA02G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWWWHGRAAAAAAAVACWVWLAAAAAVGVEAIGANWGTQASHPLAPDTVVQMLKDNGFDKVKLFDAGEDTMSALRKSGLEVMVGIPNDMLAAMASSMAAANKWVDQNVSNYLNDGVKIRYVAVGNEPFLETYNGSFLQTTFPAIRNIQSALVKAGLGSQVRVTCPLNADVYQSSTSKPSDGDFRTDIHDLMLTIVKFLSDTGGAFTVNIYPFISLYSDSNFPVDYAFFDGAASPIVDGSATYTNMFDANYDTLIWALKKNGFGNLPVIVGEIGWPTDGDMNANIQMAQHFNQGFLTHIATGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLNLGQSHGLLKAKNVKYLERKWCVLKPSIGLTDPRLSDSISYACSLADCTSLGYKTSCGGLDTRSNISYAFNSYYQKNDQDDVACGFSNLATITGQDPSTGTCRFGIMIEVDSAFSWKLQHVRSSNLLMLLLVLLQLCLSFS >ONIVA02G03030.1 pep chromosome:AWHD00000000:2:1830659:1836214:-1 gene:ONIVA02G03030 transcript:ONIVA02G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHK1 binding protein 1 [Source:Projected from Arabidopsis thaliana (AT4G31120) TAIR;Acc:AT4G31120] MPLGQRAGDKSESRYCGVEVLDFPAGEELPAVLSHSLSSSFDFLLAPLVDPDYRPTPGSVLPVAASDLVLGPAQWSSHIVGKISEWIDLDAEDEQLRLDSEITLKQEIAWASHLSLQACVLPPPKRSSCANYARVVNHILQGLTNLQLWLRIPLEKSEPMDEDHDGAKDNSDMEHTAIYEFTRAVAFLTNARGYPCLSKRHQKLLTGFFNHSVQVIISGRSNHNVSQGGVLSGDENHTEDTAVRHALSPYLDYIAYIYQRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAIAKALVDRVSDDDVSTTKTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTIISSDMRCWEAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIEPITASKLHNDIKAHKDIAHFETAYVVKLHRIARLAPTQSVFTFDHPNPSPNASNQRYTKLKFEIPQETGSCLVHGFAGYFDAVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYVPSKTPIEVHFWRCCGATKVWYEWAVTAPSPSPIHNSNGRSYWVGL >ONIVA02G03030.2 pep chromosome:AWHD00000000:2:1830659:1836214:-1 gene:ONIVA02G03030 transcript:ONIVA02G03030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHK1 binding protein 1 [Source:Projected from Arabidopsis thaliana (AT4G31120) TAIR;Acc:AT4G31120] MPLGQRAGDKSESRYCGVEVLDFPAGEELPAVLSHSLSSSFDFLLAPLVDPDYRPTPGSVLPVAASDLVLGPAQWSSHIVGKISEWIDLDAEDEQLRLDSEITLKQEIAWASHLSLQACVLPPPKRSSCANYARVVNHILQGLTNLQLWLRIPLEKSEPMDEDHDGAKDNSDMEHTAIYEFTRAVAFLTNARGYPCLSKRHQKLLTGFFNHSVQVIISGRSNHNVSQGGVLSGDENHTEDTAVRHALSPYLDYIAYIYQRMDPLPEQERFEVFSLSDYLSDLAHELIHLSESFLDLEFICFIQINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAIAKALVDRVSDDDVSTTKTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTIISSDMRCWEAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIEPITASKLHNDIKAHKDIAHFETAYVVKLHRIARLAPTQSVFTFDHPNPSPNASNQRYTKLKFEIPQETGSCLVHGFAGYFDAVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYVPSKTPIEVHFWRCCGATKVWYEWAVTAPSPSPIHNSNGRSYWVGL >ONIVA02G03020.1 pep chromosome:AWHD00000000:2:1826152:1828384:-1 gene:ONIVA02G03020 transcript:ONIVA02G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETATAAAAAEKASSYRYWVREATGDAAPLPVPRKLDATAAAAANGNGNPPPLGSVWNQAGTWEEKNLNSWANSRIKEMLGSLDSLEFPTGKASLDGVSKCIGDAFLVTVRNKKRVGYTYELSLKFKGEWLIKEEKMKVKGHLDIPEFSFGELEDLEVEVRFTDDKGLASDDKRQICKDLKSFLSPIREKLRAFEEELKDR >ONIVA02G03010.1 pep chromosome:AWHD00000000:2:1819125:1821376:-1 gene:ONIVA02G03010 transcript:ONIVA02G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-like HTH transcriptional regulator family protein [Source:Projected from Arabidopsis thaliana (AT3G13040) TAIR;Acc:AT3G13040] MSTQSVIAVKQFSGPDKIAQAYTVPQPSAHVLSNANYDYDLCGSTNSTSLSCAIQSSNIKTESISSSSLPKILPFSTDSNGESSLSRMSQAEFSDPILSSSSTFCTSLYTSSPMNSGSCRKTGYLPFLPQPPKCEQQQNSVGQSSSSLMLLDADLRNSGHADDEHTDDLKDFLNLSSDCSFHGKCSAMAYNEQMEFQFLSEQLGIAISNNEESPRLDDIYDRPPQLMSLPVSSCSDQEDLQDARSPAKVQLSSSRSSSGTASCNKPRLRWTPELHERFVDAVNKLEGPEKATPKGVLKLMKVEGLTIYHIKSHLQKYRLAKYLPETKEDKKQEEKKTKSVANGNDHAKKKSAQMAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQKILEEQQKARESISSMTSTTEGESPEFAPMEKTEDKAETSSAPLSKCRITDTDAECHSKVDNKKTKPQADLEMVHDE >ONIVA02G03000.1 pep chromosome:AWHD00000000:2:1815116:1820551:1 gene:ONIVA02G03000 transcript:ONIVA02G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSDSRRLLPSRHNNSHHQMDKINGTNPESTDQAPSLASRPDEFEEEESLVTPDALSARIGGFQIYAGSVNWGVFGSMKIVFLKSKLNVLIPCGFLAIFLNYMTQRYGWVFPLSMLGIIPLAERLGFATEQLALFTGPKGSILSNLLLVLGSAFFSGGLACGKTMQTFSKADAVVNSGLLLMAVMGLLIPAALHYTHSEAQFGKSELALSRFSSCIMLVAYASYLYFQLSNNRRRNEANVGASKAWNIPVAFISVVLLPVVGNSAGHANAVMFAVKDKLDISLGVAIGSSIQISMFGIPFCVVMGWMMGKPMDLNFHLFETASLLTTVLVVAFLLQDGTSNCVKGLMLFLCYLIVAASFYVHADPNSKASEKPPQN >ONIVA02G03000.2 pep chromosome:AWHD00000000:2:1815251:1820551:1 gene:ONIVA02G03000 transcript:ONIVA02G03000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSDSRRLLPSRHNNSHHQMDKINGTNPESTDQAPSLASRPDEFEEEESLVTPDALSARIGGFQIYAGSVNWGVFGSMKIVFLKSKLNVLIPCGFLAIFLNYMTQRYGWVFPLSMLGIIPLAERLGFATEQLALFTGPKGSILSNLLLVLGSAFFSGGLACGKTMQTFSKADAVVNSGLLLMAVMGLLIPAALHYTHSEAQFGKSELALSRFSSCIMLVAYASYLYFQLSNNRRRNEANVGASKAWNIPVAFISVVLLPVVGNSAGHANAVMFAVKDKLDISLGVAIGSSIQISMFGIPFCVVMGWMMGKPMDLNFHLFETASLLTTVLVVAFLLQDGTSNCVKGLMLFLCYLIVAASFYVHADPNSKASEKPPQN >ONIVA02G02990.1 pep chromosome:AWHD00000000:2:1808913:1809239:-1 gene:ONIVA02G02990 transcript:ONIVA02G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIEETEETSEVDLDDLQMDETEDPFAEELDNLVLNIITRKCNSSVAQLNREQFAENKDSRYKKRQQFEESSPHKN >ONIVA02G02980.1 pep chromosome:AWHD00000000:2:1807310:1813171:1 gene:ONIVA02G02980 transcript:ONIVA02G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGTQAMRRFVYLVVKGCYDRRLRCCRDSYMADTFHMRRINVSRFFFYPEPPPPPPLAMVVDARLPRPCITFCAPSVMHFMLLGRNSDKVLAVDHKGRTTMYDPAANTIRAAPTLAHPKRLPAISLPIGDDLYILDPTSSDHRCFEALVYKPGGPAYDWATRGPIDRFVGANRAIGAYAVVGGGGDSAEIWVSTNDGSGSGTFSFDTARRAWTKHGDWTLPFRGLAEYVPEYNLWFALSSGSNNNHLCAFDLAGAAEPPATRDFCQELKPPKDWKLVSSHLVHLGSGRFCIARFFEKPVKIPVCCNCDMDETYGIFTGVEVKKPGRGRLRMVKHRSECYRFDDHIKEWVLSSKSTSEVSSVSSICDMDTNRTVQAVFTGVEVKPCGKAGRGLRMIKHKSTWSSTSSDDAPQHRYNRYIYKTNFYNFVQNYKIFAILTLSLYDSLVFCLNFQI >ONIVA02G02980.2 pep chromosome:AWHD00000000:2:1807310:1813171:1 gene:ONIVA02G02980 transcript:ONIVA02G02980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGTQAMRRFVYLVVKGCYDRRLRCCRDSYMADTFHMRRINVSRFFFYPEPPPPPPLAMVVDARLPRPCITFCAPSVMHFMLLGRNSDKVLAVDHKGRTTMYDPAANTIRAAPTLAHPKRLPAISLPIGDDLYILDPTSSDHRCFEALVYKPGGPAYDWATRGPIDRFVGANRAIGAYAVVGGGGDSAEIWVSTNDGSGSGTFSFDTARRAWTKHGDWTLPFRGLAEYVPEYNLWFALSSGSNNNHLCAFDLAGAAEPPATRDFCQELKPPKDWKLVSSHLVHLGSGRFCIARFFEKPVKIPVCCNCDMDETYGIFTGVEVKKPGRGRLRMVKHRSSKSTSEVSSVSSICDMDTNRTVQAVFTGVEVKPCGKAGRGLRMIKHKSTWSSTSSDDAPQHRYNRYIYKTNFYNFVQNYKIFAILTLSLYDSLVFCLNFQI >ONIVA02G02980.3 pep chromosome:AWHD00000000:2:1807558:1813171:1 gene:ONIVA02G02980 transcript:ONIVA02G02980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVYLVVKGCYDRRLRCCRDSYMADTFHMRRINVSRFFFYPEPPPPPPLAMVVDARLPRPCITFCAPSVMHFMLLGRNSDKVLAVDHKGRTTMYDPAANTIRAAPTLAHPKRLPAISLPIGDDLYILDPTSSDHRCFEALVYKPGGPAYDWATRGPIDRYNDWHCQSLPPPPYHPYSCSFVGANRAIGAYAVVGGGGDSAEIWVSTNDGSGSGTFSFDTARRAWTKHGDWTLPFRGLAEYVPEYNLWFALSSGSNNNHLCAFDLAGAAEPPATRDFCQELKPPKDWKLVSSHLVHLGSGRFCIARFFEKPVKIPVCCNCDMDETYGIFTGVEVKKPGRGRLRMVKHRSSKSTSEVSSVSSICDMDTNRTVQAVFTGVEVKPCGKAGRGLRMIKHKSTWSSTSSDDAPQHRYNRYIYKTNFYNFVQNYKIFAILTLSLYDSLVFCLNFQI >ONIVA02G02980.4 pep chromosome:AWHD00000000:2:1807558:1813171:1 gene:ONIVA02G02980 transcript:ONIVA02G02980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVYLVVKGCYDRRLRCCRDSYMADTFHMRRINVSRFFFYPEPPPPPPLAMVVDARLPRPCITFCAPSVMHFMLLGRNSDKVLAVDHKGRTTMYDPAANTIRAAPTLAHPKRLPAISLPIGDDLYILDPTSSDHRCFEALVYKPGGPAYDWATRGPIDRYNDWHCQSLPPPPYHPYSCSFVGANRAIGAYAVVGGGGDSAEIWVSTNDGSGSGTFSFDTARRAWTKHGDWTLPFRGLAEYVPEYNLWFALSSGSNNNHLCAFDLAGAAEPPATRDFCQELKPPKDWKLVSSHLVHLGSGRFCIARFFEKPVKIPVCCNCDMDETYGIFTGVEVKKPGRGRLRMVKHRSECYRFDDHIKEWVLSSKSTSEVSSVSSICDMDTNRTVQAVFTGVEVKPCGKAGRGLRMIKHKSTWSSTSSDDAPQHRYNRYIYKTNFYNFVQNYKIFAILTLSLYDSLVFCLNFQI >ONIVA02G02970.1 pep chromosome:AWHD00000000:2:1794929:1797869:1 gene:ONIVA02G02970 transcript:ONIVA02G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVEEGGGGGAVARIFVGGISEGVAAADLEAMFASVGRVAGVEFVRTNGRSFAYVDFHCPSDKALAKLFSTYNGCKWKGGKLRLEKAKEHYLTRLKREWEQEAAAAQEMPASADVESKKEKLELNKAVLDSTKINIYFPKLRKVKALPFKGTGKHKYSFRHIEVPSYPIHFCDCEEHCGPPEAANDEYASVLDAAAYEKERSIMNSVMSKLFEKENDHLDSMEIQNHGVDFDAAEPSNARNELQMDKREETSEEDLDDQMEETEDPSEEELDDLVLNIVTRKPKSSVAQLNSEKQAADKDSRFRKRQQFEESSLQKRHKSSDFSETRNRKQSFPAISGAIQNEQKSSDLSGKGTHEFSSELDGDKSSASVQDVEALADSSTRNGSEQNSLASEPKRVSLWTQKSAWRDLVGGMGSASFSLSQILPNTNPAPPKVSNATEASASHAESRTKVKPSGKSLKPSEAATQLLPEQKMPTSSMAMLSSERKENNKLEKERVVPKITIGEVCPFMRNSESEKQWSKAKKVLTGFIKKGNESTGSNVRKGKPSTRR >ONIVA02G02970.2 pep chromosome:AWHD00000000:2:1794929:1798003:1 gene:ONIVA02G02970 transcript:ONIVA02G02970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVEEGGGGGAVARIFVGGISEGVAAADLEAMFASVGRVAGVEFVRTNGRSFAYVDFHCPSDKALAKLFSTYNGCKWKGGKLRLEKAKEHYLTRLKREWEQEAAAAQEMPASADVESKKEKLELNKAVLDSTKINIYFPKLRKVKALPFKGTGKHKYSFRHIEVPSYPIHFCDCEEHCGPPEAANDEYASVLDAAAYEKERSIMNSVMSKLFEKENDHLDSMEIQNHGVDFDAAEPSNARNELQMDKREETSEEDLDDQMEETEDPSEEELDDLVLNIVTRKPKSSVAQLNSEKQAADKDSRFRKRQQFEESSLQKRHKSSDFSETRNRKQSFPAISGAIQNEQKSSDLSGKGTHEFSSELDGDKSSASVQDVEALADSSTRNGSEQNSLASEPKRVSLWTQKSAWRDLVGGMGSASFSLSQILPNTNPAPPKVSNATEASASHAESRTKVKPSGKSLKPSEAATQLLPEQKMPTSSMAMLSSERKENNKLEKERVVPKITIGEVCPFMRNSESEKQWSKAKKVLTGFIKKGNESTGSNVRKGKPSTRR >ONIVA02G02960.1 pep chromosome:AWHD00000000:2:1793623:1793925:1 gene:ONIVA02G02960 transcript:ONIVA02G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAPAVVALAAVLAASTSPATAAAASADPAPQEEAAAEGGAASTLCNVPPTLSGEDKQAEKIKHPKSASAMRCTSKCVSTCVLGGAGSPGVDGPFNIRR >ONIVA02G02950.1 pep chromosome:AWHD00000000:2:1777371:1779455:-1 gene:ONIVA02G02950 transcript:ONIVA02G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRGHAAAAWALLLLLLGAAVAQHEGNVSEEYAASFASRYDAPPSWAFPNPRLRAAYAALQAWKQTAIFSDPSNFTASWVGANVCAYNGVYCAPSPGYGGGGGGGLVVAGIDLNHADIAGYLPASLPLGLPDLALIHLNSNRFCGVVPDTFRRLRLLHELDLSNNRFVGAFPEVVLALPSLRYLDLRFNDFEGSIPPALFDRPLDAIFLNSNRLRNPIPANLGNSPASVVVLAHNNLGGCIPPSIGKMADTLNEIVLIADELTGCVPTQVGLLRKVTVFDVSDNHLQGPIPASVAGMAAVEQLDVARNLFEGAVPAGVCGLASLKNFTYTDNFITSRPGCGVATADGVWNCIPGAPGQRPPSQCAAAAAHPFDCSKAQCQAAYYTPTPGGSPGRGGQGPPAPVSSPPRRRGPYPQPPSSSPTPSYPSPSSSYPAPPGSNTPSYPSPPSSATTPSSHSPPGGSSSTKPSYPSPNGGKPSTPSHPSPPGSTTPSYPSPPSSSTTPSYHSPPQGHTTPSHPSPPSSSTAPPSHSPPQSTPTHPSYPSPPVTYTPPPPTSADRPDVRFAPPPGSYGPIPSTPPSSGSPPSPSSGYQPPSGQPGASPPTQHVPGAGTPTTTPPSHSHPSTPPSPSGPSFHPPPTPHNCSPPSHGSSTGGGHGGGHPPSTSTPPGGKLPFPPVYGVSYASPPPPVKPYN >ONIVA02G02940.1 pep chromosome:AWHD00000000:2:1767736:1771236:1 gene:ONIVA02G02940 transcript:ONIVA02G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSIAVAAASAGLRGLAQPIIGNLSSFASRYVGVDMAREMVDLETIILPQLNLIIASAESQPQHVNNIVQKCLQRLKVELSQAEDLLDEHEYDLLKHRMKQKKLSVFSVHGQCQGPISNPFIWVLSNISSLSPHNTKMLNHLKEVKSILEKAKNFLGVLSVATQVSADTAGSRVIQDTTTFREEKVFGRDKDRDSIINLLFDPAMVGGGNSNIKGYSSIAIVGAGGAGKTTLLQYICSDDRVQNNFHVIWVCMSHKLDIRKHTTEVIESLATEETPKIQNLDTLQRKLKNLLLEKREKELLLVLDDVWFEQRHHSEWEQFLAPLISAEFNKGIRILVSSRSKELPSVLNCRKTVSLEDIEENDFLTFFRYYSLGHVLIGNEELEEELQGIGDDIAKKLRRSPLEAKAVASRLSRMLDVEIWKHARDSKQLDGNIMENLLWSYQRLDPQVQRCFLYCSIFPKGYMFHIDEIILLWEAEGFVSSNGRSERAEGITRQYFYELSLSGFFGKQSHGKDSHVGYRMHDLFHDLAENLSIDDGYRIETEENTEIPQFVRHISISVPSLERHAASICKLEQLHTLIFFNPVADIGKFLKPMLKKLKKLRVLSLCFFSSYTLPKHIGKLKHLRYLNLERTSISKLPKSSCKLYHLLVLKMNKKVPKTLPKKANNLISLRRINGPLKDVCNVGMLTSLEDMKEFQVKKEKGYEIGQLGSLTKLRGHLHIMNLENVQNRNAAKDAKLQGKANLDALRLVWNHDTYKNNNVDLEVLEGLEPSSRLNELAIEGYRSTSYPKWLSGCSQSLRSLELLNCTFLENLPSNLQCFARCRSLALLNLTGLKRLSPLPENLTSLKFGGCSSLCFISKEEEEHGVNPAREKALQPLTSSTELETLTEILRLDGSELEQFQACFQELQYPVSTISARRRDVAQLVLPLTLRRLELSSCNITDQALSECLRSLTSLKDLALLHITTLSALPSKQVMENLSMLSSVGITSCRSLCSVAGLGAIASLEKLAISFCPSLELSDRRILPSQLKEVTVRGCTIHDGFLHDDLPFLVNLEISKCRTPSVLQVGAWPSLKCLKLCDCLDVCFLVGLPALESLQEVQLVLPNLGADSFTGCKGNWRSLRVRTSSLLHDLSELEGFAPPMLLTIEGCQEPDFSLEGIQNSHQSSA >ONIVA02G02930.1 pep chromosome:AWHD00000000:2:1763257:1766409:1 gene:ONIVA02G02930 transcript:ONIVA02G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEANGEEEQQPPRRNHLRDDAEEEEEVERRAARPVSGQQQQQQRRRPTDVGGGAAMRSLGYVGKHRLSAAIARLDQELQSLQDELNELETMEPASAACQGVITSTEGKSDPLLPVTIGPENASWERWFQRVRSSRSNKWWASKGSDFS >ONIVA02G02920.1 pep chromosome:AWHD00000000:2:1757921:1763242:1 gene:ONIVA02G02920 transcript:ONIVA02G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSSRCAASSSSSAFLGAPLPGGRHHQGSCGFVAAGGGAKTTVRVAAALDTEATAKAGKSSSRARRARKDGGEPGGSSSTALLAPTHPDGGGGGGVALDDVIVNPVGLGRRSRQIFDEVWRKFSRLGQMSTVASPEQEEQEGVLFRGGGPMCDFAVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDQEVIDMLPRSVDIVVGDVGDASSVQAAVSGCNKVIYCATARSTITGDLNRVDNQGVRNVTKAFQDYYNQLAQSRAGKSSKSKLSIAKFKSAKSLQGWEVNQGSYFQDIYPSRFDEGTDASFEISENGQAVFSGFVFTRGGYVEVSKRLSLPLGSTLDRYDGLLLSVGGNGRSYVIILETGPLADTSQSKKYFARMNTKVGFCRVRVPFSDFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSQGASDPRNFQLIMEFIKALPTGQETDIVLVSCTGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVCPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEHVSKQGDELYELVAHLPDKANNYLAPALSVLEKNT >ONIVA02G02910.1 pep chromosome:AWHD00000000:2:1754261:1757093:1 gene:ONIVA02G02910 transcript:ONIVA02G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mraW methylase family protein [Source:Projected from Arabidopsis thaliana (AT5G10910) TAIR;Acc:AT5G10910] MAMFARRLLAFHLRPPAHPLAAAIAAPHRRRKHDAVACRAAAGKGTSKARARDKDGSKRQQRRALEEHLKRRTRSGAAFDAGLYRRHSHAEHVPVMLGEVLAAFRRPLPLRSFVDCTLGAAGHSLAMMEAHPEMELYIGMDVDPSALEIGQSRIEAFLANRETNGDDEDASQGTLRAYTHAKNFKYIKHVLGSVDENIAVGTSGVDGILIDLGMSSMQVNRSDRGFSVLQDGPLDMRMDPKATLKAEDILNSWPDLEVGRILRDYGEESNWQSLQKRIVKARAMGGLHSTGELVKLIQRTCTSSGGRQGWIKTATRVFQALRIAVNDELRTLEDALHACFDCLATDGRLAVISFHSLEDRIVKQTFLELIHEDEIDDDEDNLVSADIDSEDEPWFKQRVQGKNGTILTKRPISPSQEEEELNQRCRSAKLRVIQKA >ONIVA02G02910.2 pep chromosome:AWHD00000000:2:1754261:1757093:1 gene:ONIVA02G02910 transcript:ONIVA02G02910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mraW methylase family protein [Source:Projected from Arabidopsis thaliana (AT5G10910) TAIR;Acc:AT5G10910] MAMFARRLLAFHLRPPAHPLAAAIAAPHRRRKHDAVACRAAAGKGTSKARARDKDGSKRQQRRALEEHLKRRTRSGAAFDAGLYRRHSHAEHVPVMLGEVLAAFRRPLPLRSFVDCTLGAAGHSLAMMEAHPEMELYIGMDVDPSALEIGQSRIEAFLANRETNGDDEDASQGTLRAYTHAKNFKYIKHVLGSVDENIAVGTSGVDGILIDLGMSSMQVNRSDRGFSVLQDGPLDMRMDPKATLKAEDILNSWPDLEVGRILRDYGEESNWQSLQKRIVKARAMGGLHSTGELVKLIQRTCTSSGGRQGWIKTATRVFQALRIAVNDELRTLEDALHACFDCLATDGRLAVISFHSLEDRIVKQTFLELIHEDEIDDDEDNLVSADIDSEDEPWFKQRVQGKNGTILTKRPISPSQEEEELNQRCRSAKLRVIQKA >ONIVA02G02900.1 pep chromosome:AWHD00000000:2:1742035:1753411:1 gene:ONIVA02G02900 transcript:ONIVA02G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGQAAHLSGQMSGQAPQTNQVGGSGVGGTDGLPQQMQDVVGLGGLDTQFLLMRNTMRDRIFEYIGRKQSSTDWRRRLPELAKRLEEILYRKYLNKADYLNMMRGPVEPQLQFAIKTLSAQNQQNQQNQQMPRQMASSSGYGTMIPTPGITQSATGNSRMPYVTDNTGLPSSGATMVPQGANTGTSLPGSMSNGYQHLTTSVPLNSTTSSIPSTMGPVGIQRQVTHMIPTPGFNNQQNVPVNPDFSNGAGYFNGEPTVTSQMQQQKQFPSNQNSHQIQHIGGHSNSGMHSNMLENSSAYGLSDGHVNGGMGVHVSNMQLTNRSAASEAYINISTYGNSPKPVQQQFNQHPPQRIPTPVDISGSGNFYNTGSSALTAANNHSMGATNLPSRSRMNSMLHTNQLNMQSIQPQPQIKTEVLDQPEKMNFQSSQLTHEQLIRQQHSMQQHQMQPSSQFVQNQYHLNQQQPNSQHQQSILRSNSLKQPQLSSSHSMQLSEQGALPHTELISSQATEHADIPIYQGQYQQRSAHDNVKGGQVFGHLSSSQNFHSNASHDSQQLLPTNQQLDDSSNDVSYVLKGSQPEQMHQAQWRPQTMEKAPVTNDSSLEKQIQADLCQRTMSQDGAQQPFSSDWRLPGCTVTPADPALPKLPSGGLEQAAGNIYYFRQMKWLLLLFHAKSCLTPVQELVKHFENCKRKDCSYRDCRRSRMVTEHYKACVDLQCPVCSNAKKLLQRSAELASKQKPPEPRKIAQQNTAQRIMNGVEGDIMDIDLVSDEIFDSQPSVPKRLKMQPVSPSTAEREVSMPSNAGLILQETHSELPDQNNKVGQLKMDVKIDPRPLQKPAKIGYGTDGNVPTARHNVAPGGSNEIKTHVKQEIMPIDKETSETAPEVKNEANDSTDITVSKSGKPKIKGVSMTELFTPEQIQEHINSLRLWVGQSKAKAEKNQLMGHNENENSCQLCKVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHFFCIPCYNESRGDTIEVEGQNFLKARFEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYVEEVKRGLRMPLPQSAVLGAKDLPRTVLSDHIEDRLFKRLKQERQDRAAQERKSIEEVPGAEGLVVRVVSSVDKKLEVKPRFLEIFQEDNYPTEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECSYPNQRRVYLSYLDSVKYFRPEIRTVSGEALRTFVYHEILIGYLEYCKQRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKATKEEIVVELTNLYDHFFITMGECKAKVTASRLPYFDGDYWPGAAEDMINQLRQEEDDRKQQKKGKTKKIITKRALKAAGHTDLSGNASKDAMLMHKLGETIYPMKEDFIMSLLYPYGVWKTCYDAEQQLEDRERHPSNSRDTHTLHPVDIVGLPKDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCCHDIETGQGWRCEVCPDFDVCNSCYQKGAVNHAHKLTNHPSAADRDAQNKEARQMRLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCVLCKKMWYMLQLHARACRDSGCNVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAANE >ONIVA02G02890.1 pep chromosome:AWHD00000000:2:1734459:1739859:1 gene:ONIVA02G02890 transcript:ONIVA02G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGAVCAVIGSFSGRDSKKSAASGSSSSSSSTQEIAVARGTTLELLRPDPETGRLRTLLSVDVFGAIRSLAQFRLTGATKDYLVVGSDSGRLVILEYSPDRNRLDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTLTFSLTALDCGFDNPVFAAIELEYAESDRDPTGQAAEQAQKHLTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGLLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKSLFFFLLQTEYGDIFKVDLEHSNDTVTELRIKYFDTIPVTSAICVLRSGFLFAASEFGNHALYQFRDIGRDVDVESSSATLMETDEGFQPVFFQPRALKNLYRIDEIESLMPIMDMRVANLFDEETPQVFTACGRGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVSLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLMFLEVQASVGGEDGADHPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYLAVIESDKGALSAEEREAAKKECLEAAGVTENGNANNGDQMENGDGQEDGAEDRNTLPDEQYGYPKAESERWVSCIRILDPKSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRNLSAGFIHIYKFVDEGRSLELLHKTQVEEVPLALCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAANHIDFDTMAGADKFGNIYFARLPQDLSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >ONIVA02G02880.1 pep chromosome:AWHD00000000:2:1730390:1731944:-1 gene:ONIVA02G02880 transcript:ONIVA02G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 family protein [Source:Projected from Arabidopsis thaliana (AT2G43030) TAIR;Acc:AT2G43030] MAMAAVGIGGGGGGALGLLAPRRRAASWSGAGGAAAAAARRRPLVAVVRASYEAGVGVMATKVGMMTYFDPATGKPVPVTVVGFREGGNVVTQVKTAATDGYDAVQVGYHGVREEKLTRPELGHLGKAGAPPLRHLQEFRLQSVDGYDPGQPLDFADMFKEGDLVDISGKSIGKGFQGGIKRHNFKRGLMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDNDLKVVMIKGAVPGKPGNLLRIAPAKIVGKNIPKN >ONIVA02G02870.1 pep chromosome:AWHD00000000:2:1724687:1732054:1 gene:ONIVA02G02870 transcript:ONIVA02G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASGMSGGEDVRKVSRQDIQLVQNLIERCLQLYMNQKEVVETLSFQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLKNQIMVFNKLLEDQYRLMCKEQPSGVPSMPPTTNGSNMGTYTTPSTAMPDSLLPNGSSSGIVNGTPSSDQFIYAGKVIHGLPSSMDASSSLLAAHNSTAGRFNGDNGTTIKTEASYSGNSDFGFCNESAFLEPCQSIGDASGGSFSSSELNGQPLGDPIMDMDSSSFGFLSQIPRNFSFSDLTEDFSQSAEILENYGRSPFIPSEPNNFSESTPGDHAEIGNRRLDTISEGVSYEDFGSD >ONIVA02G02860.1 pep chromosome:AWHD00000000:2:1712683:1722935:1 gene:ONIVA02G02860 transcript:ONIVA02G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPSSRLHGNAAGGGAGGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVISDPRTMQLLCVLPMPSSSLASFVTAVRWVPPSSRFHAAGIDDDDDERRPLRLAAGDRHGRIAVWDARARLVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPSVLWMFDASPEYLSCLRRDPFDARHLCAIGLRGFLLSAIPRPDSDISLQEHRVTCGASDITELQRLEKEIAAPPPSPALAAFPAFTPKLCFSPLWRNILYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMSDPDFDLLYCTHIDGKLSIWKRKEGEHVHLLCAVEDLMPSIGTIVPPPAVLATTIWQSEAVFRNIEKQSRDLGQIQSTHSVISDTNTNQNMYQGTMTYLTSISEDGKIWSWHLSFDKSACARKDKLGTSNHSDAGISNSRSNGLDFTINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVAVGTQNGTIEIVDVLANAISVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPGQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDPRASSTLRPVTTRERFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLASSSFKEAPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGFILDDGRAKLYSAIVNKGTCARFAFAAAIFGDIQEALFWLQLPQALHHYLDKSTSRSSEKGSESSVHYHSEQASTLNRASSSTERSAPENMAKVAENCGQLSSMAFRQEQLWFNANERIPWHEKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWGDYILRSEHNMWRALILYVAAGALPEALETLRKNQRPDIAALFLLACHEIYSQITTESESSDDTPGSGSPTSEQSPKLRFPSKNVDDEDLIAVSEVFGHYQQKLIHVCMDAEPNVD >ONIVA02G02860.2 pep chromosome:AWHD00000000:2:1712683:1722798:1 gene:ONIVA02G02860 transcript:ONIVA02G02860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPSSRLHGNAAGGGAGGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVISDPRTMQLLCVLPMPSSSLASFVTAVRWVPPSSRFHAAGIDDDDDERRPLRLAAGDRHGRIAVWDARARLVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPSVLWMFDASPEYLSCLRRDPFDARHLCAIGLRGFLLSAIPRPDSDISLQEHRVTCGASDITELQRLEKEIAAPPPSPALAAFPAFTPKLCFSPLWRNILYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMSDPDFDLLYCTHIDGKLSIWKRKEGEHVHLLCAVEDLMPSIGTIVPPPAVLATTIWQSEAVFRNIEKQSRDLGQIQSTHSVISDTNTNQNMYQGTMTYLTSISEDGKIWSWHLSFDKSACARKDKLGTSNHSDAGISNSRSNGLDFTINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVAVGTQNGTIEIVDVLANAISVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPGQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDPRASSTLRPVTTRERFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLASSSFKEAPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGFILDDGRAKLYSAIVNKGTCARFAFAAAIFGDIQEALFWLQLPQALHHYLDKSTSRSSEKGSESSVHYHSEQASTLNRASSSTERSAPENMAKVAENCGQLSSMAFRQEQLWFNANERIPWHEKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWGDYILRSEHNMWRALILYVAAGALPEALETLRKNQRPDIAALFLLACHEIYSQITTESESSDDTPGSGSPTSEQSPKLRFPSKNVDDEDLIAVSEVFGHYQQKLIHAAKTEIWKL >ONIVA02G02860.3 pep chromosome:AWHD00000000:2:1712683:1722970:1 gene:ONIVA02G02860 transcript:ONIVA02G02860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPSSRLHGNAAGGGAGGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVISDPRTMQLLCVLPMPSSSLASFVTAVRWVPPSSRFHAAGIDDDDDERRPLRLAAGDRHGRIAVWDARARLVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPSVLWMFDASPEYLSCLRRDPFDARHLCAIGLRGFLLSAIPRPDSDISLQEHRVTCGASDITELQRLEKEIAAPPPSPALAAFPAFTPKLCFSPLWRNILYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMSDPDFDLLYCTHIDGKLSIWKRKEGEHVHLLCAVEDLMPSIGTIVPPPAVLATTIWQSEAVFRNIEKQSRDLGQIQSTHSVISDTNTNQNMYQGTMTYLTSISEDGKIWSWHLSFDKSACARKDKLGTSNHSDAGISNSRSNGLDFTINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVAVGTQNGTIEIVDVLANAISVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPGQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDPRASSTLRPVTTRERFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLASSSFKEAPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGFILDDGRAKLYSAIVNKGTCARFAFAAAIFGDIQEALFWLQLPQALHHYLDKSTSRSSEKGSESSVHYHSEQASTLNRASSSTERSAPENMAKVAENCGQLSSMAFRQEQLWFNANERIPWHEKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWGDYILRSEHNMWRALILYVAAGALPEALETLRKNQRPDIAALFLLACHEIYSQITTESESSDDTPGSGSPTSEQSPKLRFPSKNVDDEDLIAVSEVFGHYQQKLIHVCMDAEPNVD >ONIVA02G02860.4 pep chromosome:AWHD00000000:2:1712683:1722935:1 gene:ONIVA02G02860 transcript:ONIVA02G02860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPSSRLHGNAAGGGAGGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVISDPRTMQLLCVLPMPSSSLASFVTAVRWVPPSSRFHAAGIDDDDDERRPLRLAAGDRHGRIAVWDARARLVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPSVLWMFDASPEYLSCLRRDPFDARHLCAIGLRGFLLSAIPRPDSDISLQEHRVTCGASDITELQRLEKEIAAPPPSPALAAFPAFTPKLCFSPLWRNILYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMSDPDFDLLYCTHIDGKLSIWKRKEGEHVHLLCAVEDLMPSIGTIVPPPAVLATTIWQSEAVFRNIEKQSRDLGQIQSTHSVISDTNTNQNMYQGTMTYLTSISEDGKIWSWHLSFDKSACARKDKLGANQWSINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVAVGTQNGTIEIVDVLANAISVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPGQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDPRASSTLRPVTTRERFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLASSSFKEAPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGFILDDGRAKLYSAIVNKGTCARFAFAAAIFGDIQEALFWLQLPQALHHYLDKSTSRSSEKGSESSVHYHSEQASTLNRASSSTERSAPENMAKVAENCGQLSSMAFRQEQLWFNANERIPWHEKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWGDYILRSEHNMWRALILYVAAGALPEALETLRKNQRPDIAALFLLACHEIYSQITTESESSDDTPGSGSPTSEQSPKLRFPSKNVDDEDLIAVSEVFGHYQQKLIHVCMDAEPNVD >ONIVA02G02860.5 pep chromosome:AWHD00000000:2:1712683:1722798:1 gene:ONIVA02G02860 transcript:ONIVA02G02860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPSSRLHGNAAGGGAGGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVISDPRTMQLLCVLPMPSSSLASFVTAVRWVPPSSRFHAAGIDDDDDERRPLRLAAGDRHGRIAVWDARARLVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPSVLWMFDASPEYLSCLRRDPFDARHLCAIGLRGFLLSAIPRPDSDISLQEHRVTCGASDITELQRLEKEIAAPPPSPALAAFPAFTPKLCFSPLWRNILYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMSDPDFDLLYCTHIDGKLSIWKRKEGEHVHLLCAVEDLMPSIGTIVPPPAVLATTIWQSEAVFRNIEKQSRDLGQIQSTHSVISDTNTNQNMYQGTMTYLTSISEDGKIWSWHLSFDKSACARKDKLGANQWSINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVAVGTQNGTIEIVDVLANAISVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPGQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDPRASSTLRPVTTRERFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLASSSFKEAPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGFILDDGRAKLYSAIVNKGTCARFAFAAAIFGDIQEALFWLQLPQALHHYLDKSTSRSSEKGSESSVHYHSEQASTLNRASSSTERSAPENMAKVAENCGQLSSMAFRQEQLWFNANERIPWHEKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWGDYILRSEHNMWRALILYVAAGALPEALETLRKNQRPDIAALFLLACHEIYSQITTESESSDDTPGSGSPTSEQSPKLRFPSKNVDDEDLIAVSEVFGHYQQKLIHAAKTEIWKL >ONIVA02G02860.6 pep chromosome:AWHD00000000:2:1712683:1722970:1 gene:ONIVA02G02860 transcript:ONIVA02G02860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPSSRLHGNAAGGGAGGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVISDPRTMQLLCVLPMPSSSLASFVTAVRWVPPSSRFHAAGIDDDDDERRPLRLAAGDRHGRIAVWDARARLVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPSVLWMFDASPEYLSCLRRDPFDARHLCAIGLRGFLLSAIPRPDSDISLQEHRVTCGASDITELQRLEKEIAAPPPSPALAAFPAFTPKLCFSPLWRNILYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMSDPDFDLLYCTHIDGKLSIWKRKEGEHVHLLCAVEDLMPSIGTIVPPPAVLATTIWQSEAVFRNIEKQSRDLGQIQSTHSVISDTNTNQNMYQGTMTYLTSISEDGKIWSWHLSFDKSACARKDKLGANQWSINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVAVGTQNGTIEIVDVLANAISVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPGQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDPRASSTLRPVTTRERFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLASSSFKEAPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGFILDDGRAKLYSAIVNKGTCARFAFAAAIFGDIQEALFWLQLPQALHHYLDKSTSRSSEKGSESSVHYHSEQASTLNRASSSTERSAPENMAKVAENCGQLSSMAFRQEQLWFNANERIPWHEKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWGDYILRSEHNMWRALILYVAAGALPEALETLRKNQRPDIAALFLLACHEIYSQITTESESSDDTPGSGSPTSEQSPKLRFPSKNVDDEDLIAVSEVFGHYQQKLIHVCMDAEPNVD >ONIVA02G02850.1 pep chromosome:AWHD00000000:2:1707174:1710771:1 gene:ONIVA02G02850 transcript:ONIVA02G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRLVILVLLLSCSLQLLRAFPFPIPFFGPFTSPQDVDAINELYASLGSPDLHGWASSGGDPCMEAWQGVQCLGPNITAIELRGAGLGGKLSETLGKFTAMTALDLSSNRIGGVIPESLPPAVKQLNLSSNSLSGKLPDSMAKLNSLSTLHVQNNQLTGTLDVLGDLPLKDLDIENNLFSGPIPEKLINIPKFLRNGNHLTIPTMPGSSPTPDTIPGSPPTPAAAAAAPRSGASHPPIYVIPATPHGAAQGDPPRHGKKVSPAKAAGFSILAAGSLTIAVVLIVFAVSKRQRETSLHGGFLRGVEMSTPDWSGKPSGQSAVVKVDKEQSTVAEEKDTKGSISSYQKNVQESLQNHPLQFKFTIFTVASLQQYTNSFSEQNLMRQTLFGKIYLAEHQDIKFAVLKLDEAMARMPVDEFLRMVQRISELQHPNIEELAGCCVEHGQRLLVYKHFSDETLDDMIHLKKLASSDDPAAKITLPWDARVAVALEAAKALEYLHEGGQRQVVHQHFRPEHVLVDGEMRVRVSGCGLAAAVKSGLDLQSECWLDALSYEPPEAAAAPWTDKGDVYSFGVVMLQLLTGRRPYDGARPRGERRLVAWASSRLHDLTALEKMADPRLGTPATVRSMSRFADVISRCTQQEAEFRPAMSQVVQDLRRALQPARDACGQQSCSN >ONIVA02G02840.1 pep chromosome:AWHD00000000:2:1703997:1706562:-1 gene:ONIVA02G02840 transcript:ONIVA02G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRLDSGGQKSVMSYRRFVNLVLDKVQGNYTVRRMDMSRFFLPRKLATPLDAGAHDGAAAVEYGNLPCPVMSIRASVCAMETMEFMLLGGRHNKIVGTDLTGRTLLYDPDEHVVRSLPTLPMPKFSAVSLTIGDDDLYILDDIQGPFTGGHDHCFHALTYSRESFTDADGDWCCHTLPPPPYMVKQRGDFQFDSYAVVDGVDIWISKQGVGTYRFHTERGEWSAVASGGEWCAMPFTGLAVYVPEHGLFYGLASGTDNVLSASDLISGGRKPEQHSLLPLEYTPPKALTQVSSHLVHLGSANFCIARFLETGFFDADEQHNPELFAVFTAVEVERCDDTGVLRLVKHKSEMYKLISGIYYWIKN >ONIVA02G02840.2 pep chromosome:AWHD00000000:2:1703997:1706216:-1 gene:ONIVA02G02840 transcript:ONIVA02G02840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRFVNLVLDKVQGNYTVRRMDMSRFFLPRKLATPLDAGAHDGAAAVEYGNLPCPVMSIRASVCAMETMEFMLLGGRHNKIVGTDLTGRTLLYDPDEHVVRSLPTLPMPKFSAVSLTIGDDDLYILDDIQGPFTGGHDHCFHALTYSRESFTDADGDWCCHTLPPPPYMVKQRGDFQFDSYAVVDGVDIWISKQGVGTYRFHTERGEWSAVASGGEWCAMPFTGLAVYVPEHGLFYGLASGTDNVLSASDLISGGRKPEQHSLLPLEYTPPKALTQVSSHLVHLGSANFCIARFLETGFFDADEQHNPELFAVFTAVEVERCDDTGVLRLVKHKSEMYKLISGIYYWIKN >ONIVA02G02830.1 pep chromosome:AWHD00000000:2:1702383:1703045:-1 gene:ONIVA02G02830 transcript:ONIVA02G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWMDEWGRRLSARRRSGDGEESAEEAWWMPGAVEGAAERGIEPSRARDRGGCEERGEVGDSGGGEGMCVSVYSTHSEALDIWIQWVPLRREGVGIAKKAELHQLVYHSFGKISLNLAIYGHRLM >ONIVA02G02810.1 pep chromosome:AWHD00000000:2:1685438:1690108:-1 gene:ONIVA02G02810 transcript:ONIVA02G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRFVTSGMEVFSKLVMMPIARHSQRRRRVMSAASEILTENCRLLRRRISGRSELGCGLPPCRHRGNTPSAAGLSPPRCCCDSTEEFAAGRGFIRPRLGLGNLLVDIEREGSYRHADEGPSPPRRCDFTEFVAGSCFIRRRIGFGDRHVDAGTPPPRRCDSTEFSVASGSATSSSRAPPWGRVHLSGSLPQFHGIGGGADTLHSALPGQPARRERRHAGIHDAHKLGVFLEGFSGKLDELTMEVAAGSMKPPDEIISCRSLREAKAERRFQTNSSSXLGLGNLLVDVRLGLGNLLIDVEREGSDRRADTGPSPPRRCDFTEFVAGSRFMRRRIGFGDRRADAGPPPPRRCDSTAFVAGSRFMRRRIGLGDRHVDAGPTPPRCCDSTEFITGGRFIRRRVGLGDLVVESAAVGPCPLGCRDSTELVVARIRYIRHHLGNLFLEAVGRMKPPDEIIFSICQDHHLLSSSLPPRRLHGILSQGSAPPPDLRSVAGQYQLAALKRMKRILWRATPMASEFRASAKSHRVVEIHGEPPEQERIGLRVLLRRQSSSPPRRHQPLRASASHLQVLRRWCSNSHVPNVPPSSSSPPPPPSSPQPTNPADFWKINTPLNPSATKTEKSTIDETLLQLKFDPKEFARCNKLMETYNVLGQEWTFTKLKEQTKYLFDSLIVLVESFHEAGYCFSQISTSTVLVTSSWKFVLLEGCFALNNWSVEGVKKYYRYIAVLFRKLLSESMGEETVHPPDFGMLLSLMEKDGFRNKKINTPLNPSATKTEKSTIDETLLQLKFDPKEFARCNKLMETYNVLGQEWTFTKLKEQTKYLFDSLIVLVESFHEAGYCFSQISTSTVLVTSSWKFVLLEGCFALNNWSVEGVKKYYRYIAVLFRKLLSESMGEETVHPPDFGMLLSLMEKDGFRNKYLIGTYVSLLPDDNISIAYMKIHEFIRKILLSEEKDKARQLKLGITRYSRISTRIRRRNPYKDIWLTKARSNSFMTAFLEQNERNMELGGTDFELLDTIRHFVCHRLDLPKLGFRYKANEVDRMWYAEFPTLLAELQLALFLVDRLEELELEKNFMNRKPMRPKVSSHGTF >ONIVA02G02800.1 pep chromosome:AWHD00000000:2:1666440:1678455:-1 gene:ONIVA02G02800 transcript:ONIVA02G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRFVTSSMEAFSKLLVCGHPPCRHRSNAPSAAGLPPPRCCCDSTEEFAAGRGFIRPRLGLGNLLVDVRLGLGNLLIDVEREGSDRRADTGPSPPRRCDFTEFMAMASPKRQWDTMIILCNHSPSD >ONIVA02G02790.1 pep chromosome:AWHD00000000:2:1662938:1666149:-1 gene:ONIVA02G02790 transcript:ONIVA02G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVGDFNLLLQRGGAAGERGSEGGGGGSPGTEEAAAVAVKQRIARALRLYKEAAGDGGGGWMVQVWAPARDGARRVLATRGQPFVLASQCHRLFQYRTVSLTRVFPVGGAAAADEQGLPARAFDATAPEWTPNVQCYGSGEYARISYALIYDIQGSLALPILDPDDASSPLAVFELVTTAPLLRVSGEVANLCNALRAVSLRGAGICNRAAECYSLAVNQIVHRDATRAAMAEVSELLITVCEAHKLPLAQTWVRCRSCGGGGEDTEKAALTTAGAPFHLAAGADARGFRDACVEHHLQRGQGLVGTAARAPGGGRLCADVARCSKDDYPLAHYAGMYGLAGCLVLRAELSAAAMADAAAATAGDEEDCVVLELFLPPDCTGVAEQKAAVDAVSATIKQCSGNLKAIVISNLDDLFLDTMADGDHQLRHEMDDLGDDQRCSDEEDLQLLENTNIGELNIHNADQIRNEDPTSQVGKNKTKRGKAEKSVTLEELQKHFSGSLKDAARSLGVCPTTMKRICRQHGIPRWPFRKISKVNRSLDKMKRVMESVNCSPSPPVAMPAHPALLLPPPPPPPRPCLSSTVGETSSHGSCQAPPSHAKTALRKPPRCGNGDGVVTIKASHRGDIIRFRVPCSASVAAVKAEVAKRLSLDAGAFDVKYLDDDHQWVLLSCDADFQECLDVVPALPSMPVTGRSGSGAAAPVVVRLMVQEVADNIGKFPQPKDPFLSLMVCNPVGVPVYQCSPWTSVYQFRM >ONIVA02G02790.2 pep chromosome:AWHD00000000:2:1663533:1666149:-1 gene:ONIVA02G02790 transcript:ONIVA02G02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVGDFNLLLQRGGAAGERGSEGGGGGSPGTEEAAAVAVKQRIARALRLYKEAAGDGGGGWMVQVWAPARDGARRVLATRGQPFVLASQCHRLFQYRTVSLTRVFPVGGAAAADEQGLPARAFDATAPEWTPNVQCYGSGEYARISYALIYDIQGSLALPILDPDDASSPLAVFELVTTAPLLRVSGEVANLCNALRAVSLRGAGICNRAAEIVHRDATRAAMAEVSELLITVCEAHKLPLAQTWVRCRSCGGGGEDTEKAALTTAGAPFHLAAGADARGFRDACVEHHLQRGQGLVGTAARAPGGGRLCADVARCSKDDYPLAHYAGMYGLAGCLVLRAELSAAAMADAAAATAGDEEDCVVLELFLPPDCTGVAEQKAAVDAVSATIKQCSGNLKAIVISNLDDLFLDTMADGDHQLRHEMDDLGDDQRCSDEEDLQLLENTNIGELNIHNADQIRNEDPTSQVGKNKTKRGKAEKSVTLEELQKHFSGSLKDAARSLGVCPTTMKRICRQHGIPRWPFRKISKVNRSLDKMKRVMESVNCSPSPPVAMPAHPALLLPPPPPPPRPCLSSTVGETSSHGSCQAPPSHAKTALRKPPRCGNGDGVVTIKASHRGDIIRFRVPCSASVAAVKAEVAKRLSLDAGAFDVKYLDDDHQWVLLSCDADFQECLDVVPALPSMPVTGRSGSGAAAPVVVRLMVQEVADNIGSSCASSD >ONIVA02G02790.3 pep chromosome:AWHD00000000:2:1663533:1666149:-1 gene:ONIVA02G02790 transcript:ONIVA02G02790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVGDFNLLLQRGGAAGERGSEGGGGGSPGTEEAAAVAVKQRIARALRLYKEAAGDGGGGWMVQVWAPARDGARRVLATRGQPFVLASQCHRLFQYRTVSLTRVFPVGGAAAADEQGLPARAFDATAPEWTPNVQCYGSGEYARISYALIYDIQGSLALPILDPDDASSPLAVFELVTTAPLLRVSGEVANLCNALRAVSLRGAGICNRAAECYSLAVNQIVHRDATRAAMAEVSELLITVCEAHKLPLAQTWVRCRSCGGGGEDTEKAALTTAGAPFHLAAGADARGFRDACVEHHLQRGQGLVGTAARAPGGGRLCADVARCSKDDYPLAHYAGMYGLAGCLVLRAELSAAAMADAAAATAGDEEDCVVLELFLPPDCTGVAEQKAAVDAVSATIKQCSGNLKAIVISNLDDLFLDTMADGDHQLRHEMDDLGDDQRCSDEEDLQLLENTNIGELNIHNADQIRNEDPTSQVGKNKTKRGKAEKSVTLEELQKHFSGSLKDAARSLGVCPTTMKRICRQHGIPRWPFRKISKVNRSLDKMKRVMESVNCSPSPPVAMPAHPALLLPPPPPPPRPCLSSTVGETSSHGSCQAPPSHAKTALRKPPRCGNGDGVVTIKASHRGDIIRFRVPCSASVAAVKAEVAKRLSLDAGAFDVKYLDDDHQWVLLSCDADFQECLDVVPALPSMPVTGRSGSGAAAPVVVRLMVQEVADNIGSSCASSD >ONIVA02G02780.1 pep chromosome:AWHD00000000:2:1659243:1665409:1 gene:ONIVA02G02780 transcript:ONIVA02G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related [Source:Projected from Arabidopsis thaliana (AT5G39790) TAIR;Acc:AT5G39790] MECLTTSFTRNPGREYNLICPSEALSEKQRIQRRVLCYFPASTNSRRCRKFTTMAYPVSPIAGRRSNWRSFAASLNLEDGPASSDSTSSPSEQTSDGGEVYGDPSENLNSRKLKSDELKSLLSDSERSKLLKKLSEANQYNRFLKRQLQMKDNDVVKFKSELAVMELELQALVALAEEIANFDVPSGSRKINGKYIQSHLLTRLEAVHDKVMEQIKDVDSLKHQEISVYWVGIAENVQIMGSFDGWSQGEAMSMEYSGYQARFSATLNLRPGRYEIKFLVDGEWRLSLEYPIDGEGSMQNNILVVN >ONIVA02G02780.2 pep chromosome:AWHD00000000:2:1659244:1665409:1 gene:ONIVA02G02780 transcript:ONIVA02G02780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related [Source:Projected from Arabidopsis thaliana (AT5G39790) TAIR;Acc:AT5G39790] MECLTTSFTRNPGREYNLICPSEALSEKQRIQRRVLCYFPASTNSRRCRKFTTMAYPVSPIAGRRSNWRSFAASLNLEDGPASSDSTSSPSEQTSDGGEVYGDPSENLNSRKLKSDELKSLLSDSERSKLLKKLSEANQYNRFLKRQLQMKDNDVVKFKSELAVMELELQALVALAEEIANFDVPSGSRKINGKYIQSHLLTRLEAVHDKVMEQIKDVDSLKHQEISVYWVGIAENVQIMGSFDGWSQGEAMSMEYSGYQARFSATLNLRPGRYEIKFLVDGEWRLSLEYPIDGEGSMQNNILVVN >ONIVA02G02780.3 pep chromosome:AWHD00000000:2:1659243:1665409:1 gene:ONIVA02G02780 transcript:ONIVA02G02780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related [Source:Projected from Arabidopsis thaliana (AT5G39790) TAIR;Acc:AT5G39790] MECLTTSFTRNPGREYNLICPSEALSEKQRIQRRVLCYFPASTNSRRCRKFTTMAYPVSPIAGRRSNWRSFAASLNLEDGPASSDSTSSPSEQTSDGGEVYGDPSENLNSRKLKSDELKSLLSDSERSKLLKKLSEANQYNRFLKRQLQMKDNDVVKFKSELAVMELELQALVALAEEIANFDVPSGSRKINGKYIQSHLLTRLEAVHDKVMEQIKDVDSLKHQEISVYWVGIAENVQIMGSFDGWSQGEAMSMEYSGYQARFSATLNLRPGRYEIKFLVDGEWRLSLEYPIDGEGSMQNNILVVN >ONIVA02G02770.1 pep chromosome:AWHD00000000:2:1655886:1658498:1 gene:ONIVA02G02770 transcript:ONIVA02G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRLNPNATPFVPPPRPSFEESLSKRKASEKQVGDTEKDENADKSAEYELPDSLSLDDYAESLGKLNISAESSSKGEATNSAFDPSQYEQNGVDNHLAVVESLSKMFPDVSADFIVEALKAHEFDTELTIDMLADLCEGDDYGHPAEVSAK >ONIVA02G02770.2 pep chromosome:AWHD00000000:2:1656160:1658498:1 gene:ONIVA02G02770 transcript:ONIVA02G02770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRLNPNATPFVPPPRPSFEESLSKRKASEKQVGDTEKDENADKSAEYELPDSLSLDDYAESLGKLNISAESSSKGEATNSAFDPSQYEQNGVDNHLAVVESLSKMFPDVSADFIVEALKAHEFDTELTIDMLADLCEGDDYGHPAEVSAK >ONIVA02G02760.1 pep chromosome:AWHD00000000:2:1652984:1655408:1 gene:ONIVA02G02760 transcript:ONIVA02G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHQDVVHDIAMDYYGKRIATASSDNTIKIIGVSGNSHQQLATLSGHQGPVWQVAWAHPKYGSLLASCSYDGRVIIWKEGSKPDEWAQAHTFIEHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARSDGGWDTTRIDQAHPVGVTSVSWAPAMAPGALINTGPSGQFEYVQKLASGGCDNTVKVWKLYNGSWRMDCFPALQMHRDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTAPKEGEQWEGRVLYDFQTPVWRLSWSLTGNILAVSDGNDNVTLWKEAVDGEWQQVTTVEA >ONIVA02G02750.1 pep chromosome:AWHD00000000:2:1645394:1651759:1 gene:ONIVA02G02750 transcript:ONIVA02G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase V family [Source:Projected from Arabidopsis thaliana (AT5G64420) TAIR;Acc:AT5G64420] MAGKKRPPTALSEPEAAAAAEEEEAAAKTAPAAEAPAKKKKLAMERKKQRKEIDKERHRQSAESDAAAAKPKQPAEVVPEAAAAAAAAPVAPPPVIPVVSGPGLHMNVFRDLASPEASQREAAAEALVAELMQVQVAHEKGGRKEGESEAEGGDGSSRMEAEKDDGLENCAPSVRYAIRRLIRGISSSREFARQGFALGLAVVLESIHAISVEAIMKLIPNLLEYSASMRGPEAKDNLLGRLFGYGSIVRSGRVSGQWAHEEGSPIVKDFVDEVIKLGSKKRYLTEPAVAVILDLAGKLPEEAIISEILEAPSVQDWFNRAADAGDPDALYLALKLQEKTNAQKEIFGKLLPYPFSPEGFFAEEHLLSIAACFKESTFCLPRIHSLWLVITDMLVREAASQSDIATSSSKKHKKNKKGSSSEDTKKNLHTFCEVIIEGTLLLSSHDRKHLAFNILLNLLPRLSPSSIQVILSSKVVHGLMDVLSNESSWLHNAGQHFLKELVSLVSDDNDRRVAVITNLQKYTGGRFDCMTKTRTVKDLVAKFRSGQDCLCLVQSLMSLFVDESSVTDEPSDQSQTTDENSEVGSMEDKDLFVQGSADLLKSWIVTTIPCVLKNLKLTSKGNSLTDSEMVKCIEEKFLVQTEVLKFLAVQGLFSASLGYEVTSFELHEKFKWPKPAISTSIRNECIEQLQFLIEDAQKDEALHVSEVKSNDLGFYFMRFINTLCNIPSVSLFRTLSSNDDNAFKKLLDVESLLFYEERKVGPGLDSTKMHAMRYLLIQLLLQVLLHPDEYWEAAIDVTICCKKSFPSIVQNDKSSGQPSNEGAEVFNEDGPGKSNKDGPEEHNDDASEDSNEDGPLEFMDVLVQTFLSVLPHVSGPVFRVVCDEITETGLLDMLRVVKIDLKGSRRQSGSDDDEDDACVDIEDDDETIVEDAEVGDTDSAADGLDEEMDDDSADEVDEGQDDLKESVAHESKDGDGAEVTKDGDDSDDSDGMDDDAMFRIDPYIARIFKERNLPGSETKQSQLMRFKLRVLTLLEIYLQRNTGNNLVLEVYSFLMQAFVKSHSADGSEQFKQRIGGILQKRIFKAKDYPKGDGVELSTLESLLEKALKLASRSRYTTVASVAQNAAFWLLKIINSKSHSKQELASVVEKFQYILTDYFNNKKSRLKLGFVKEAVRRNPWVGEELFGFVLQKIGCTKAEYRRVQTLELVDCILKSWAGDDSSASKVLKKHLSQLCELIQEVLTKIPENKSRRQEVRRFCTRVLQTVTKLNLKDRFQKKLNPETLSLCEAQLGAAFVRFQK >ONIVA02G02740.1 pep chromosome:AWHD00000000:2:1642199:1645146:-1 gene:ONIVA02G02740 transcript:ONIVA02G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRGLVLYAAGHAALLPPASGGGGGAGGGGGGSHLDAFASLASCGFLSLRSPPLASGEEKRDSTILELAQLLDVYDDLFPAKTEKTGQETAQVDPLQLAVPKLSERFMGIRAAMVTNCPLVSSFAANLGFHVSGTEDLVAQSGSSAASKEAGIISQALSLLGFSEGNVQETSEFDLVFLHVAMENTNSKLGKLGMKTDLNRLDKLVGAIMEAAPISSAIASRILVSVMLSYGSAAENKDEFSISKSSTEIDSDLNLLRPRQSYTMKAGNTLDDVRNHHPILLAQWQEGVTRSDLTEGFSFEEFIKRGGNLAMLAERFLHEVAFKLWKAPKYGA >ONIVA02G02730.1 pep chromosome:AWHD00000000:2:1634472:1637047:1 gene:ONIVA02G02730 transcript:ONIVA02G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSMRSRQEPRRVSNGVIIAAMLLSLCVLSIVKARYCSTPFVKPDDQLQEQMNSSIRMETDEPATMAAGEQEEDEEEESSGGGGAEPEVSATPAVVVTAAAAAGGGGGGGGGGKRKPTCRMTSKRSERCEARGDIRVEGNASTIYIGGIDKEWKTKPYARYHDPVAMAVVREFTLKPVTESSPACTRNHSVPAFVFSNGGFSGNLYHDYTDVLVPLFLSTHQFKGQVQFLLSGLKPWWVNKFNLFFRQLTKYDILDIDNDKDVHCFPRIVVGATFHKDMGVDPKRSPGHVSVVDFKRALRRAFGLERVAASRGGATGNGKPRLLIISRKNSRRFLNEREMAQAAAAVGFEVRIAEPDQHTDMSTFAQLVNSADVMIGVHGAGLTNMVFLPRGAVLIQVVPFGGLEWLTTVTFKNPAKDMEVTYMDYNVQLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKTAYLDKQNIKMDMDRFKKTLQEALDRLPPA >ONIVA02G02720.1 pep chromosome:AWHD00000000:2:1622526:1626580:-1 gene:ONIVA02G02720 transcript:ONIVA02G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WEE1 kinase homolog [Source:Projected from Arabidopsis thaliana (AT1G02970) TAIR;Acc:AT1G02970] MLRTKTPRPRGGKSRRATAAAGKEREREGRSPSGELSLQLEHVSLFSFLADAPREGAAAARTPFTPFEELLEGSCDPDPDPDPTPPLPLPPLQPQATPMDADEVVEEKDSGILSQDFFCTPDYITPDAPQLGSGFDANKENIPCPNSPEKSVCRSKRYKRDCSPKGLGSNDIFDSQWIAPVQFEGLDDSEEEQLKESSSHKRGSYVSQSAVALRCRVMPPPCIRNPYLNTDHQIDDNVFGGRQCKSSGFSPSVDGDGLSRYRTDFHEIEVIHFHFMLVLLMSTLDFQQIGRGNFSVVFKVLKRIDGCLYAVKRSIRQLHNDRERRQAVKEVQALAALGCHENIVGYFTSWFENKQLFIQMELCDRCLSMDRNQPLKCGEALELLYQICKGLDFIHERGIAHLDVKPDNIYVRNGVYKLGDFGCATLIDRSLAIEDGDSRYMPPEMLNDKYEHLDKVDIFSLGAAIYELIRGTQLPDSGPQFTSLREGKIALLPGCPMQFQSLIKSMMDPDPVRRPSAKEVLRHPIFDKLHKAPAKK >ONIVA02G02720.2 pep chromosome:AWHD00000000:2:1622526:1626580:-1 gene:ONIVA02G02720 transcript:ONIVA02G02720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WEE1 kinase homolog [Source:Projected from Arabidopsis thaliana (AT1G02970) TAIR;Acc:AT1G02970] MLRTKTPRPRGGKSRRATAAAGKEREREGRSPSGELSLQLEHVSLFSFLADAPREGAAAARTPFTPFEELLEGSCDPDPDPDPTPPLPLPPLQPQATPMDADEVVEEKDSGILSQDFFCTPDYITPDAPQLGSGFDANKENIPCPNSPEKSVCRSKRYKRDCSPKGLGSNDIFDSQWIAPVQFEGLDDSEEEQLKESSSHKRGSYVSQSAVALRCRVMPPPCIRNPYLNTDHQIDDNVFGGRQCKSSGFSPSVDGDGLSRYRTDFHEIEQIGRGNFSVVFKVLKRIDGCLYAVKRSIRQLHNDRERRQAVKEVQALAALGCHENIVGYFTSWFENKQLFIQMELCDRCLSMDRNQPLKCGEALELLYQICKGLDFIHERGIAHLDVKPDNIYVRNGVYKLGDFGCATLIDRSLAIEDGDSRYMPPEMLNDKYEHLDKVDIFSLGAAIYELIRGTQLPDSGPQFTSLREGKIALLPGCPMQFQSLIKSMMDPDPVRRPSAKEVLRHPIFDKLHKAPAKK >ONIVA02G02710.1 pep chromosome:AWHD00000000:2:1613448:1620969:-1 gene:ONIVA02G02710 transcript:ONIVA02G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0S1] MAGGGVVDGVRRWLRRRRGRTASAYQPLRSSSLARHPGGDGGLEVVPGPFALPAAIRVPARNSPPPPDAQLRNERTSDMEFFTEYGEASQYQIQEVVGKGSYGVVAAAVDTHTGERVAIKKINDVFEHVSDAIRILREIKVLRLLRHPDIVVIKHIMLPPTRREFRDIYVVFELMESDLHQVIEANHDLSPEHHRFFLYQLLCALKYIHSANVFHRDLKPKNILANSDCKLKICDFGLARVAFNDSPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEILTGRPLFPGRNVVHQLDLITDLLGTPSSETLSRIRNENARGYLTGMQRKHPIPFSHKFHNADPLALRLLERISKLSREPSRLPVSKFEFEFERRKLTKDDVREMIYREILEYHPQMLQEYIRGGEQISFLYPSGVDRFKRQFAHLEENYSRGERSTPLRRQHASLPRERVCSSVDSNNQDSDNEERRAISSIARTMISPPRSQEKGKNRASAYPNGIINLNSNPKIYLKSASISASTCIIRGNKGPKENGISEDMEEVVYELSDNVTRMLS >ONIVA02G02700.1 pep chromosome:AWHD00000000:2:1612004:1612315:1 gene:ONIVA02G02700 transcript:ONIVA02G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCRRPYAVVVPAVRVAVLVVVVVVVLLVLLCGPCDGGARHMLQEESGGAWARRSPEPGAAGVLHRRTSDFLPPSGPSERHNARLDADVAERGQSSPPASP >ONIVA02G02690.1 pep chromosome:AWHD00000000:2:1610406:1610681:1 gene:ONIVA02G02690 transcript:ONIVA02G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVVVAVALLVAAVLAAFAVLAPACEGARVMREGAAVVGKMSSYQPPVRHKPPVPPSGPSHRHNKRATVPRKRKHFPPSGPSYHDPHS >ONIVA02G02680.1 pep chromosome:AWHD00000000:2:1607822:1608637:-1 gene:ONIVA02G02680 transcript:ONIVA02G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGVVAATAAACILLLILLTSGQLQLASAESEIGRCYDDCLPDCEQGSSRAGCKLFCFTCCVLKPIHNCTRGGESTAAAAAPEFAGDAGCRELCTTGNRC >ONIVA02G02670.1 pep chromosome:AWHD00000000:2:1594387:1596753:-1 gene:ONIVA02G02670 transcript:ONIVA02G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0R7] MALEAQPSPSPSPRRSPEAAAGGAGAAGDPPVTPMHSAAGDEWAAEEKRPPTPPQSSSASPPHSAAIVVSSQTHAAAAKYVPPSAAGHVGGGDGRSWYSWNGGRTAKPYRPPPPPRQKPQFQPPPQPPRAWDPSPPPPPPAPAAPVLVPPPAPAPRPAPAPAPRVPARAVEHDHRVVPDILLRKRRTAVLQRTALVARVAAALLCLAALAVLAADSRKGFALDSYSNYSQLRYSEAVNVIGFVYSVLQFFVLADLMRRNKHLNPRRKGDYFDFFMDQVLAYLLISSSSSATARVGDWIDNWGSDPFPKMANSSIAISFMAFLVFAISALISAYNLFRRDI >ONIVA02G02660.1 pep chromosome:AWHD00000000:2:1589169:1596339:1 gene:ONIVA02G02660 transcript:ONIVA02G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase [Source:Projected from Arabidopsis thaliana (AT5G14760) TAIR;Acc:AT5G14760] MWRLGVRGIFLAFPFLSKAKSTFWLLFFTHPSSSSSLLSPNQSPSRILLLLRKPSPDVVSDTQRLCLISARHLSSSSCCARACFFFPLSQRAVLGAGEAPRSPSSSSCDSAKSLEPGMAALMNGFGSLQCKAMVHVEKGHMQASGMAFFSPVNRCAQVHISSIPHFIGAKSVSASQLRMRHKVGSIRASAASCLQDETTKYFDFVVIGSGVAGLRYALEVSKYGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQSNGEIYCYGVDSLDAETQKAIRFISKVTLLASGGVGHIYPSTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALSDEGLPIKPATRRENAFLITEAVRGDGGILYNQSMERFMTSYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKVLAHFPNIAAECLRHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADVDPSFAKKWARPVLSVSLRDSILSDIIEKTKQTRMELQSIMWEYVGIVRSTNRLKHAEWKISDLESEWVEFLFMRGWKPTMVGVETCEMRNLFCCAKLVVKSALARHESRGLHFTEDFPYLEESKRKPTVIFPTHIQELTWSSKPLQKQLQCK >ONIVA02G02660.2 pep chromosome:AWHD00000000:2:1589521:1596339:1 gene:ONIVA02G02660 transcript:ONIVA02G02660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase [Source:Projected from Arabidopsis thaliana (AT5G14760) TAIR;Acc:AT5G14760] MAALMNGFGSLQCKAMVHVEKGHMQASGMAFFSPVNRCAQVHISSIPHFIGAKSVSASQLRMRHKVGSIRASAASCLQDETTKYFDFVVIGSGVAGLRYALEVSKYGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQSNGEIYCYGVDSLDAETQKAIRFISKVTLLASGGVGHIYPSTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALSDEGLPIKPATRRENAFLITEAVRGDGGILYNQSMERFMTSYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKVLAHFPNIAAECLRHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADVDPSFAKKWARPVLSVSLRDSILSDIIEKTKQTRMELQSIMWEYVGIVRSTNRLKHAEWKISDLESEWVEFLFMRGWKPTMVGVETCEMRNLFCCAKLVVKSALARHESRGLHFTEDFPYLEESKRKPTVIFPTHIQELTWSSKPLQKQLQCK >ONIVA02G02650.1 pep chromosome:AWHD00000000:2:1584221:1585493:-1 gene:ONIVA02G02650 transcript:ONIVA02G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0R4] MGKRKSKAKPPPKKRMDKLDTVFCCPFCNHGSSVECRIDLKNLIGEASCRICLETFSTSVNALTEPIDIYSEWIDECERVNNPEEDNANHYEDDNASRYRYDEEE >ONIVA02G02640.1 pep chromosome:AWHD00000000:2:1580333:1581070:-1 gene:ONIVA02G02640 transcript:ONIVA02G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPRSLHTLISFGRGADGVDDDEATPASVDVGDAEGAGLDLDFAFAPPVSAAELAPADDIFAHGRIVPAYPVFDRSLLDLSPGDASTVAPSADTYCAWTPRSAPGSPGRDRFPKSASTGGESSSSSRRWRLRDLVGAGGRSRSDGKDKFAFLHHHAAAPPSSKLKTPPPPQQPQQKKQSAVKTKPAAKKGVVTEMDMATAHRLFYSKASAGGDRRPQQASYLTYRPAFSGLFALGRSQHHTAY >ONIVA02G02630.1 pep chromosome:AWHD00000000:2:1574076:1578704:1 gene:ONIVA02G02630 transcript:ONIVA02G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) TAIR;Acc:AT2G18250] MVVLVEASPRGGAGDHPASCELDAGGDVGSGGRQYAAVVVGGTFDRLHQGHHLFLKAAAEFARERIYAYLIQPIEKRMENVKEYIKSIKPDLEVHVEPIVDPFGPSIVDEALEAIIVSKETLPGGLAVNRKRAERGLAQLEIEVVELVPEKSTGNKISSTAFRKKEAERELHKQQQEAPHEQAVELECRI >ONIVA02G02630.2 pep chromosome:AWHD00000000:2:1574076:1578734:1 gene:ONIVA02G02630 transcript:ONIVA02G02630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) TAIR;Acc:AT2G18250] MVVLVEASPRGGAGDHPASCELDAGGDVGSGGRQYAAVVVGGTFDRLHQGHHLFLKAAAEFARERIYAYLIQPIEKRMENVKEYIKSIKPDLEVHVEPIVDPFGPSIVDEALEAIIVSKETLPGGLAVNRKRAERGLAQLEIEVVELVPEKSTGNKISSTAFRKKEAERELHKQQQEAPHEQAVELECRI >ONIVA02G02620.1 pep chromosome:AWHD00000000:2:1569080:1572987:1 gene:ONIVA02G02620 transcript:ONIVA02G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G18245) TAIR;Acc:AT2G18245] MAASSARLLAAAAAAAARGRAATTFRHAPTVSSSLSFPPNPHHRPSLRGRAGISFSSTSISLPSGSPHGASAPFNLFPPDSDPFIQWDPPPPDAASPLGAGAAGREAPGDLTLVVLLGWLGARQKHLRRYADLYRDRGVGSVRFVVPVRELLGLDLGRRVERRVADLAAEIAAWCDADHRRTLLFHTFSNTGWLAYGAILENLQSRADIIERIRGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPSVESPDGYTSNGTLNNLSSDVTRPTWGENILLSTLQKFFEIVLHLPDVNKRLEKVHSVLSEKQPTCPQFYLYSSADRVIPAECVERFIDMQKSLGQSVFAHNFVSSPHVDHYRSFPHVYAAKIDEFLKICSIARV >ONIVA02G02620.2 pep chromosome:AWHD00000000:2:1569080:1573157:1 gene:ONIVA02G02620 transcript:ONIVA02G02620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G18245) TAIR;Acc:AT2G18245] MAASSARLLAAAAAAAARGRAATTFRHAPTVSSSLSFPPNPHHRPSLRGRAGISFSSTSISLPSGSPHGASAPFNLFPPDSDPFIQWDPPPPDAASPLGAGAAGREAPGDLTLVVLLGWLGARQKHLRRYADLYRDRGVGSVRFVVPVRELLGLDLGRRVERRVADLAAEIAAWCDADHRRTLLFHTFSNTGWLAYGAILENLQSRADIIERIRGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPSVESPDGYTSNGTLNNLSSDVTRPTWGENILLSTLQKFFEIVLHLPDVNKRLEKVHSVLSEKQPTCPQFYLYSSADRVIPAECVERFIDMQKSLGQSVFAHNFVSSPHVDHYRSFPHVYAAKIDEFLKICSIARV >ONIVA02G02610.1 pep chromosome:AWHD00000000:2:1564789:1568600:1 gene:ONIVA02G02610 transcript:ONIVA02G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0Q8] MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSACVGLRSRTHAVLAAANKAASELSSHQRKVFRVADHAGVALAGLTADGRVLSRFLRSECINHAFVYDAPLPVSRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFEGYNDYTPEQLIKDALSAIKETLQGEKLTSSNCTVAIVGRKDDGTVEPFEMIDVKRIQEIIDSMEAAEEAPAAEAESSSMQEEDKGTDAAPMDI >ONIVA02G02600.1 pep chromosome:AWHD00000000:2:1540264:1551336:-1 gene:ONIVA02G02600 transcript:ONIVA02G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes (SMC) family protein [Source:Projected from Arabidopsis thaliana (AT2G27170) TAIR;Acc:AT2G27170] MAGCLIYMVGHIDFQVIEKSNIFGCHIYSTAPLARARARPRSGAECVVVVVSLSPHFVEVVIEGFKSYREEISTEPFSPKVNVVAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGETSCEWTFIHALLTANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNEARNELASMDDNRRKISERMSHADNEVVDVREKVKTFDKEIKYSTKGINDTKAQKEGVEKKRTEALKVVAQIELDLRDIKDRILNEKRAKDEAAKDLQSVRMESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNLNSYIESRKSESSKLESALAKKHNDYNDLRKQRDELQEERKSFWKEEADVTAEIDRLKDDLVKAQKSLDHATPGDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVKVPDVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLENQMDAERDHAKSELEQFKVDIASAMKQMVSLDKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRQRDDLKALEANLEQTVQDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYDCNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGDQKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMRKKDGDADDDDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >ONIVA02G02600.2 pep chromosome:AWHD00000000:2:1540264:1551336:-1 gene:ONIVA02G02600 transcript:ONIVA02G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes (SMC) family protein [Source:Projected from Arabidopsis thaliana (AT2G27170) TAIR;Acc:AT2G27170] MAGCLIYMVGHIDFQVIEKSNIFGCHIYSTAPLARARARPRSGAECVVVVVSLSPHFVEVVIEGFKSYREEISTEPFSPKVNVVAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNEARNELASMDDNRRKISERMSHADNEVVDVREKVKTFDKEIKYSTKGINDTKAQKEGVEKKRTEALKVVAQIELDLRDIKDRILNEKRAKDEAAKDLQSVRMESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNLNSYIESRKSESSKLESALAKKHNDYNDLRKQRDELQEERKSFWKEEADVTAEIDRLKDDLVKAQKSLDHATPGDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVKVPDVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLENQMDAERDHAKSELEQFKVDIASAMKQMVSLDKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRQRDDLKALEANLEQTVQDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYDCNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGDQKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMRKKDGDADDDDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >ONIVA02G02600.3 pep chromosome:AWHD00000000:2:1540266:1562270:-1 gene:ONIVA02G02600 transcript:ONIVA02G02600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes (SMC) family protein [Source:Projected from Arabidopsis thaliana (AT2G27170) TAIR;Acc:AT2G27170] MFQNLRSEDRGALLHEGADISVLSAFVEIVFDNSDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNEARNELASMDDNRRKISERMSHADNEVVDVREKVKTFDKEIKYSTKGINDTKAQKEGVEKKRTEALKVVAQIELDLRDIKDRILNEKRAKDEAAKDLQSVRMESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNLNSYIESRKSESSKLESALAKKHNDYNDLRKQRDELQEERKSFWKEEADVTAEIDRLKDDLVKAQKSLDHATPGDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVKVPDVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLENKITDLVTKQQQMDAERDHAKSELEQFKVDIASAMKQMVSLDKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRQRDDLKALEANLEQTVQDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYDCNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGDQKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMRKKDGDADDDDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >ONIVA02G02600.4 pep chromosome:AWHD00000000:2:1551357:1562270:-1 gene:ONIVA02G02600 transcript:ONIVA02G02600.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes (SMC) family protein [Source:Projected from Arabidopsis thaliana (AT2G27170) TAIR;Acc:AT2G27170] MFQNLRSEDRGALLHEGADISVLSAFVEIVFDNSDNRIPVEKKVVRLRRTVASKKDEYYLDGKHISKTEVMNLLENAGFSRSNPYYVVQQGKIAALTLMKDSERLELLKEIGGTRGTSCKWTLIHDLLAANKRKQIDQVVHYLEERLRELDEEKEEMKKYQQLDKQRRSLEYTILDHELNDTRNELALMDDNRRKISERMSHADNEVVDVREKIRSFEKEIKFSTKGINENKAQKEDVEKKCTEVLKVVAQIELDLRDIKDRILNEKLAKDEAARDSQSVRMESERSKSELAETSKGRATQFANKAARDKWLQKEIDDLERVLLSNRKHGGLLQEEIQKLKDKINNLNSYFEFYESESNKLESALAKKHSDYNDLRKQRDKLQEERKSFWMEEANVTAEKDRLKENLVNAKEKLGNATPGDIIRGLNCVSRITMEHGITGVVGPILELIDCDEKLFTAVEVTAGNSLFHVVVENDDISTKIIQVLTREKGGRVTFIPLNRVKVPDVSYPRSDDFVPLLERLECNKANHRRAFEQVFGRTVICKDLETATKVARDNGLNCITLDDKKMTDLVTKQQQMDAESDHAKSELEHFKVGSASTMKQIGSLEKALGKKIETRKEELEANLSTNLMRRQKEFEAVISSADSKTLSLEAESKEQELNSSKSSLDDLTAMLKANVDAINNFTIKIEELKRQRDNLKTLEANLDQTVRDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGPLPTDAFETYRQKNKRQLQKMLYDCNEQLQQFRHVKKKPLDCVNFTEQREQLERRRAELDAGDQKIRELVSILDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDAGDEDIDNDEDGPREPDSEDRMEKYIGVKFLREVLEFQVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDTQYRTAVGNMIRRLSDMADTQFIATTFRPEIVKVADKIYGVTYKNRVSYINVVSKEQALDFIGHDQTQNAI >ONIVA02G02590.1 pep chromosome:AWHD00000000:2:1537514:1537810:-1 gene:ONIVA02G02590 transcript:ONIVA02G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMQIQSPAMAMPMPMHAATNSGMPSPSPSLLLPPPSPSPSWLHLPRPLVQSEEALGLGAANNLGN >ONIVA02G02580.1 pep chromosome:AWHD00000000:2:1533225:1537485:-1 gene:ONIVA02G02580 transcript:ONIVA02G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVMPTPSMNSSSAGAAAAVAAAEGGGGEDERRARALSSSSSSLRQRAMSASSKLLRTSLSRSSKGAAARQRSSKVMSVSIEDVRDAEEMKSVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIDKSKQMWSDMLQWRKEFGSDTILDDFQFEEMDQVLEHYPQGHHGVDRDGRPVYIEKLGAIDTAKLLQVTSMDRYVKYHVREFERAFAVKFPACSIAAKRHVDQSTTILDVSGVTLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDPSELPEFLGGTCTCEGGCMRSDKGPWKDPEIIKMVQCGMGRCGFNSSGHTEADEKMITEDDIVAIPKKQESIRRDSVDSPKIPREKIEHPQMSPLHEMSTSESKAPPGQEGSSSRYDDLFPMPDKNMDFNWNGEHGDAGDRQVVTGFMAFVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKNRKLMQQQQRQLEQLPGPDTVTVSTAQYEALIKRLGDLEEKVAALTSRPPEMPADKEDLLKAAVTRVEALETELESTKKLLETSSGQQEEVLAYIEKKKKKRGMNPFRW >ONIVA02G02570.1 pep chromosome:AWHD00000000:2:1528008:1534963:1 gene:ONIVA02G02570 transcript:ONIVA02G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHLDRLARPCFEGSSSNDDKRDHKSDVENSEDDKRTRMGSLKKKAIDASTKIRHSLKKKKRRSGSRVLSVSIEDVRDLEELQAVEAFRQALILDELLPARHDDYHMMLRFLKARRFDIEKAKQMWTDMLKWRKEYGTDTIVEDFDYNELDAVLQYYPHGYHGVDKDGRPVYIERLGKVDPNKLMHVTTMDRYVRYHVKEFERSFLIKFPACSLAAKRHIDSSTTILDVQGVGLKNFSKTARELIVRLQKIDNDNYPETLYQMFIVNAGPGFRLLWNTVKSFLDPKTTSKIHVLGNKYQSKLLEVIDASELPEFLGGACTCPEYGGCLKAEKGPWKDQNILNIVLSGEAQCARQIVTVSNGEEKIISYAKSKHHTIRGSDTSTAESGSEAEDVTSPKVLRSYISHPKLTPVREEVKMVRATSFSTRMPEYDVPVVDKAVDATWKREVTRKTAFSSKDSSLTSTESSSNGSLDRIVAVLLAVFMAIITLVRSVKDLAAKRLPDKNESEQKYSTLYPDSMPKEEFRPPSPTPGFVEAELFSSVLQRLGDLEEKFLMLQDKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAQKKKKAMFCY >ONIVA02G02560.1 pep chromosome:AWHD00000000:2:1524840:1527854:-1 gene:ONIVA02G02560 transcript:ONIVA02G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAAGSWYVTRDEVERGSPSRRDGVGAAKEAELRATYCSFIRDVGLRLQLPQVTIATATLLCHRFYLRQSHAKNEWQHTEMQWPIQDQNPNPAENTVATVCVFLASKIEDTPCPLQRVIIVAYETMYRKDCNAAHRIYQKEVLEKQKELILVGETLLLSTIRFDFNIQHPYEPLKLALKKLSIFQMEVKQVAVNLINDAIRTTLVVQFKPHYIAAGSLYLAAKFNNFRLPSDGKVWWHEFDVAPKHCYPTNDRAIYGKKPMLHGSCHQATANSKPLPTPTLMENQHITHSLGAVMRHTHSSIRSLSNNFDREASRSLPLNIPANRKSTVCPARNEGNQSLRMHMGHSNGSDARFEKQYSRGALKADHVYHVVSGQKDLHVTGIRDLVRQKRTFHEVGEHPAPIDKSDTKSWIRKQHGRNVIVVDTKSSSWKKQKL >ONIVA02G02560.2 pep chromosome:AWHD00000000:2:1524840:1527854:-1 gene:ONIVA02G02560 transcript:ONIVA02G02560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAAGSWYVTRDEVERGSPSRRDGVGAAKEAELRATYCSFIRDVGLRLQLPQVTIATATLLCHRFYLRQSHAKNEWQTVATVCVFLASKIEDTPCPLQRVIIVAYETMYRKDCNAAHRIYQKEVLEKQKELILVGETLLLSTIRFDFNIQHPYEPLKLALKKLSIFQMEVKQVAVNLINDAIRTTLVVQFKPHYIAAGSLYLAAKFNNFRLPSDGKVWWHEFDVAPKHCYPTNDRAIYGKKPMLHGSCHQATANSKPLPTPTLMENQHITHSLGAVMRHTHSSIRSLSNNFDREASRSLPLNIPANRKSTVCPARNEGNQSLRMHMGHSNGSDARFEKQYSRGALKADHVYHVVSGQKDLHVTGIRDLVRQKRTFHEVGEHPAPIDKSDTKSWIRKQHGRNVIVVDTKSSSWKKQKL >ONIVA02G02560.3 pep chromosome:AWHD00000000:2:1524840:1527854:-1 gene:ONIVA02G02560 transcript:ONIVA02G02560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAAGSWYVTRDEVERGSPSRRDGVGAAKEAELRATYCSFIRDVGLRLQLPQVTIATATLLCHRFYLRQSHAKNEWQHTEMQWPIQDQNPNPAENTVATVCVFLASKIEDTPCPLQRVIIVAYETMYRKDCNAAHRIYQKEVLEKQKELILVGETLLLSTIRFDFNIQHPYEPLKLALKKLSIFQMEVKQVAVNLINDAIRTTLVVQFKPHYIAAGSLYLAAKFNNFRLPSDGKVWWHEFDVAPKQLQAVIQQMTELFMGRNPCSMGPAIRPPPTPSLMERQQVIRPPPTPSLMERQPIIRPLPTPTLMENQHITHSLGAVMRHTHSSIRSLSNNFDREASRSLPLNIPANRKSTVCPARNEGNQSLRMHMGHSNGSDARFEKQYSRGALKADHVYHVVSGQKDLHVTGIRDLVRQKRTFHEVGEHPAPIDKSDTKSWIRKQHGRNVIVVDTKSSSWKKQKL >ONIVA02G02560.4 pep chromosome:AWHD00000000:2:1524840:1527854:-1 gene:ONIVA02G02560 transcript:ONIVA02G02560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAAGSWYVTRDEVERGSPSRRDGVGAAKEAELRATYCSFIRDVGLRLQLPQVTIATATLLCHRFYLRQSHAKNEWQTVATVCVFLASKIEDTPCPLQRVIIVAYETMYRKDCNAAHRIYQKEVLEKQKELILVGETLLLSTIRFDFNIQHPYEPLKLALKKLSIFQMEVKQVAVNLINDAIRTTLVVQFKPHYIAAGSLYLAAKFNNFRLPSDGKVWWHEFDVAPKQLQAVIQQMTELFMGRNPCSMGPAIRPPPTPSLMERQQVIRPPPTPSLMERQPIIRPLPTPTLMENQHITHSLGAVMRHTHSSIRSLSNNFDREASRSLPLNIPANRKSTVCPARNEGNQSLRMHMGHSNGSDARFEKQYSRGALKADHVYHVVSGQKDLHVTGIRDLVRQKRTFHEVGEHPAPIDKSDTKSWIRKQHGRNVIVVDTKSSSWKKQKL >ONIVA02G02550.1 pep chromosome:AWHD00000000:2:1521878:1522625:1 gene:ONIVA02G02550 transcript:ONIVA02G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISQQHAVLRSRAVCRTWRRITTAYSRRRPLQLLGNTDPSYYFTPFNTLTSLPATAVPRIDDGGDIAGCRRLLHRDRFCLGLEATCDGFLLFRRVQKGSMLICNPATRQLVNLPPVSPEPSSRPNDNELRALGSTSTGRPACCATAHS >ONIVA02G02540.1 pep chromosome:AWHD00000000:2:1514437:1518132:-1 gene:ONIVA02G02540 transcript:ONIVA02G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLLKSKAIPTSVIAFAGDVPLLAGGVVQDNGISPRTPMSIFRTSPGEKMLEMVASFPSPEGFCSIDWAKPSASNDFSCQAGLIAGGLENGMVAMWNPDLKLRKLPSYLPDEEILKPHPDGVQTWIRKDFEVIEDYLDQVQVDGNSRALHLQVSPTYQEMSGNLMVEYQDDIMCRISSSGVVALFAKHTGKVKGLSFNPNAPNLLASGAADGRIMLYDLAHPLAETIPVQLCDSPDEEISCLCWNSSKVHVIASTTTCGRICFHDTRAKTIIGCTTLDCKTKSGSTVIFCPDPDPDSEKLLVAREDSPSVKILNMGNTDHNPAGEIPFSNSEGIVAMTWCPHNPNLLLACTKNNKILVWNKKTNKVLPNPLSLGVPT >ONIVA02G02530.1 pep chromosome:AWHD00000000:2:1510826:1511980:-1 gene:ONIVA02G02530 transcript:ONIVA02G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDVVSEILLRLPAKAVLRSRALLGHTDPSDHFTSIYTLTSLPATAVPRIDDGGDIAGCRRLLQRGRFCLSLVTTCDGLLLFRRVQKGGMLICNPATRQLVNLPPVSPEPSSRPKDNELRTLGFYFHRPSGEYRVLCHRPLLDNTTYILSTGAAEPRRLGGGPDYHRCAMFVGETIGDTVYWCRPRYLQNGKPQISSFDTVSEAFRLLPPPPVSLAKDELVAMIDMRGTPAVWTMTELHLDVWALEEEERWVRRLRVGMPPPPAPLAYWSKGSRKNAVATFESGDGGVQMVVVTWAWKLLYDDTSKDRRVVGRTIVPRHSGHFWHTNCWFHAYRESLVRVESCTPDLPPDGEPYLQFC >ONIVA02G02520.1 pep chromosome:AWHD00000000:2:1500803:1508982:-1 gene:ONIVA02G02520 transcript:ONIVA02G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRVLETTKKAAAAATATAATGPQLATRKNPSSSRRKAVTPATAVSPAALLRLKQAASSKRTNLPSTLPDAQDVAAVEDENPSGGFTKEILSILNGPDDAEELRGAQAPPEESEDAEESVVNRILDTEWFAAPPPSNPLAAWRKEVAREKKKRYIFKNTESRRFTRLMQKCADKLGAEPALEFFGKLGRDTGAKEFNALIRICLGKAKECMDIDSAVEHIYRAYRLFELMRERGYQIVEDCYGPFLLYLVDVGMSEEFDMFSVFFKDANPQSSSRIAYYEMLFWIRAQDEEKIQELCRSVEDFNEEGDYDMAESYMLAFAESDRRLDFISLLESLDPTRILRSKYLLTIFQSLGRLELKNYAEKLLQQMRSKESGVGKFSSIIFEYASNIPNIAVEDVIVSFNRWHEQFEVAPSISASEKIISVCCKSSKISLALDVAECLCKSNPDMPVELFHPIIQACEQGSEFHMVHPIYDLMRRHKMKLKTETFRNMINLCVKMKDFEGAYRILTDAEESGDISTVSLYNAIMLGYFREKNHNGAQMVMAQMQIAGVKPDSETYCYLIFNCEFEEKISEYLDQLRQDGIHMSKHIYMSLVSAYARLGNFDMAKQVLQNKEIPPKYLNEIKSALIGALASNGKVLDGLIMYDEIKQSGCHLEPKSAIALIENTQTKGELDRLYQLLEELGDSNMWFDGCSRVLLYCVQHNHPNAAIGLLKQLKEKDEMSTYMVIDQILHAVKELGLNVSRTSLDFLLSACVKAKDSNRAQLIWTEYESAGLPHNVLTSLRMYQALLLSQKKKATKKLLRKIPKEDVHVRYIIDSCQMAYCSQNLKLPSASRSSSIKRAASKAGSTCKPAASGTEVFRNEAEEAHTGP >ONIVA02G02520.2 pep chromosome:AWHD00000000:2:1500803:1508982:-1 gene:ONIVA02G02520 transcript:ONIVA02G02520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRVLETTKKAAAAATATAATGPQLATRKNPSSSRRKAVTPATAVSPAALLRLKQAASSKRTNLPSTLPDAQDVAAVEDENPSGGFTKEILSILNGPDDAEELRGAQAPPEESEDAEESVVNRILDTEWFAAPPPSNPLAAWRKEVAREKKKRYIFKNTESRRFTRLMQKCADKLGAEPALEFFGKLGRDTGAKEFNALIRICLGKAKECMDIDSAVEHIYRAYRLFELMRERGYQIVEDCYGPFLLYLVDVGMSEEFDMFSVFFKDANPQSSSRIAYYEMLFWIRAQDEEKIQELCRSVEDFNEEGDYDMAESYMLAFAESDRRLDFISLLESLDPTRILRSKYLLTIFQSLGRLELKNYAEKLLQQMRSKESGVGKFSSIIFEYASNIPNIAVEDVIVSFNRWHEQFEVAPSISASEKIISVCCKSSKISLALDVAECLCKSNPDMPVELFHPIIQACEQGSEFHMVHPIYDLMRRHKMKLKTETFRNMINLCVKMKDFEGAYRILTDAEESGDISTVSLYNAIMLGYFREKNHNGAQMVMAQMQIAGVKPDSETYCYLIFNCEFEEKISEYLDQLRQDGIHMSKHIYMSLVSAYARLGNFDMAKQVLQNKEIPPKYLNEIKSALIGALASNGKVLDGLIMYDEIKQSGCHLEPKSAIALIENTQTKGELDRLYQLLEELGDSNMWFDGCSRVLLYCVQHNHPNAAIGLLKQLKEKDEMSTYMVIDQVFSQIWDMDPVNLDFGMKILHAVKELGLNVSRTSLDFLLSACVKAKDSNRAQLIWTEYESAGLPHNVLTSLRMYQALLLSQKKKATKKLLRKIPKEDVHVRYIIDSCQMAYCSQNLKLPSASRSSSIKRAASKAGSTCKPAASGTEVFRNEAEEAHTGP >ONIVA02G02520.3 pep chromosome:AWHD00000000:2:1500803:1508982:-1 gene:ONIVA02G02520 transcript:ONIVA02G02520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRVLETTKKAAAAATATAATGPQLATRKNPSSSRRKAVTPATAVSPAALLRLKQAASSKRTNLPSTLPDAQDVAAVEDENPSGGFTKEILSILNGPDDAEELRGAQAPPEESEDAEESVVNRILDTEWFAAPPPSNPLAAWRKEVAREKKKRYIFKNTESRRFTRLMQKCADKLGAEPALEFFGKLGRDTGAKEFNALIRICLGKAKECMDIDSAVEHIYRAYRLFELMRERGYQIVEDCYGPFLLYLVDVGMSEEFDMFSVFFKDANPQSSSRIAYYEMLFWIRAQDEEKIQELCRSVEDFNEEGDYDMAESYMLAFAESDRRLDFISLLESLDPTRILRSKYLLTIFQSLGRLELKNYAEKLLQQMRSKESGVGKFSSIIFEYASNIPNIAVEDVIVSFNRWHEQFEVAPSISASEKIISVCCKSSKISLALDVAECLCKSNPDMPVELFHPIIQACEQGSEFHMVHPIYDLMRRHKMKLKTETFRNMINLCVKMKDKNHNGAQMVMAQMQIAGVKPDSETYCYLIFNCEFEEKISEYLDQLRQDGIHMSKHIYMSLVSAYARLGNFDMAKQVLQNKEIPPKYLNEIKSALIGALASNGKVLDGLIMYDEIKQSGCHLEPKSAIALIENTQTKGELDRLYQLLEELGDSNMWFDGCSRVLLYCVQHNHPNAAIGLLKQLKEKDEMSTYMVIDQVFSQIWDMDPVNLDFGMKILHAVKELGLNVSRTSLDFLLSACVKAKDSNRAQLIWTEYESAGLPHNVLTSLRMYQALLLSQKKKATKKLLRKIPKEDVHVRYIIDSCQMAYCSQNLKLPSASRSSSIKRAASKAGSTCKPAASGTEVFRNEAEEAHTGP >ONIVA02G02510.1 pep chromosome:AWHD00000000:2:1488290:1488781:-1 gene:ONIVA02G02510 transcript:ONIVA02G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGGSAVSAATAAAGGSSSAAEEELRALMEQRRAKRMLSNRESARRSRMRKQRHLDDLTAQVAHLRRENAHVATALGLTTQGLLAVDAENAVLRTQAAELAARLASLNDILSCINTNGAAAAAAAALTVAAATDPLLAFDSAAFDDLFRSSPELFQLC >ONIVA02G02500.1 pep chromosome:AWHD00000000:2:1472466:1481676:1 gene:ONIVA02G02500 transcript:ONIVA02G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50440) TAIR;Acc:AT1G50440] MISVLFIMKLASHATQADEATELASSCDCAEVPASQQIVSESSTAGSSTEHLVSCEIKPLGVDEDIETIDANEETHLVIQDCPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKVVALLGMLVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGTDGVPDLDPNHVTELRILGLY >ONIVA02G02500.2 pep chromosome:AWHD00000000:2:1472466:1482065:1 gene:ONIVA02G02500 transcript:ONIVA02G02500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50440) TAIR;Acc:AT1G50440] MISVLFIMKLASHATQADEATELASSCDCAEVPASQQIVSESSTAGSSTEHLVSCEIKPLGVDEDIETIDANEETHLVIQDCPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKVVALLGMLVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGTDGVPDLDPNHVTELRILGLY >ONIVA02G02500.3 pep chromosome:AWHD00000000:2:1472870:1482065:1 gene:ONIVA02G02500 transcript:ONIVA02G02500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50440) TAIR;Acc:AT1G50440] MISVLFIMKLASHATQADEATELASSCDCAEVPASQQIVSESSTAGSSTEHLVSCEIKPLGVDEDIETIDANEETHLVIQDCPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKVVALLGMLVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGTDGVPDLDPNHVTELRILGLY >ONIVA02G02490.1 pep chromosome:AWHD00000000:2:1469137:1488264:-1 gene:ONIVA02G02490 transcript:ONIVA02G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKKKMKKITLLATGGVQSYCHPVEAQRDIKRICWASPTRQANYQVLLGQVGCPHVTGTVTRQLLLTHALERISLQWGERGCEIRRRCRWAGGGRGRRAAAAAAVAVAMFWKSGEAGRRDEEETGTGARPSSVSSSAESRENRGGVDRMVEWNGNRKLTRQSSNAIKQPIAWLVFLLSF >ONIVA02G02480.1 pep chromosome:AWHD00000000:2:1465274:1468653:-1 gene:ONIVA02G02480 transcript:ONIVA02G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGLRVASDPAGSGASSPPPPTTTTTKELGRAVAAEASCGSRAAVGLGDMRVGISDSSDRAKSCLHPCDKKDTPQVTAEVATRSEDGEPSLLETGSFLQPEAACLTLGQLNPFFPYKKLGQTKVSDPSECGSTTGAVGESESHRKWREMKQNGFLSSSQGTAVGPRPRGRPIKRKRDDESKRNTFAQKEQTNKFMKVAAPSGLLSGLNPGIINHVRNSKQVYSIIKAMVHSENLEKENQAVHASQTGERGREFSEKAQDQRYGGNMMNCHFLMKGSNMPFHQGLPTASQFLPEDGDDLKLQLSSAVTMASDRTCSTSADDFASNHDYMTVLSVKAANVASQWLELLQQDIRGRLAALKRSKKRVRNALQTELPYLISTEFSYNQENEPSVVHSSDGGSTGKTVPEAHVARWRSLFLQMDRTLQEEGMHLENRLKEVQEMQMNCEKGLRYMACEAPLVGPMAELWKLKNSETPESEWAVQAAAASIYSTCNLVMRTENPGNDLMLSKSAVVCAALLHVT >ONIVA02G02480.2 pep chromosome:AWHD00000000:2:1465274:1468653:-1 gene:ONIVA02G02480 transcript:ONIVA02G02480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGLRVASDPAGSGASSPPPPTTTTTKELGRAVAAEASCGSRAAVGLGDMRVGISDSSDRAKSCLHPCDKKDTPQVTAEVATRSEDGEPSLLETGSFLQPEAACLTLGRSSDAAEVNNLCDKENIRTDLQPKPDVRHVENRMNGAPLGLDLNIVDSSNDAELNPFFPYKKLGQTKVSDPSECGSTTGAVGESESHRKWREMKQNGFLSSSQGTAVGPRPRGRPIKRKRDDESKRNTFAQKEQTNKFMKVAAPSGLLSGLNPGIINHVRNSKQVYSIIKAMVHSENLEKENQAVHASQTGERGREFSEKAQDQRYGGNMMNCHFLMKGSNMPFHQGLPTASQFLPEDGDDLKLQLSSAVTMASDRTCSTSADDFASNHDYMTVLSVKAANVASQWLELLQQDIRGRLAALKRSKKRVRNALQTELPYLISTEFSYNQENEPSVVHSSDGGSTGKTVPEAHVARWRSLFLQMDRTLQEEGMHLENRLKEVQEMQMNCEKGLRYMACEAPLVGPMAELWKLKNSETPESEWAVQAAAASIYSTCNLVMRTENPGNDLMLSKSAVVCAALLHVT >ONIVA02G02470.1 pep chromosome:AWHD00000000:2:1461741:1464598:-1 gene:ONIVA02G02470 transcript:ONIVA02G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G17140) TAIR;Acc:AT2G17140] MSTSPTAANHLLALLRRNAASPAVALRLFLHLTSAASPPSPHSTSFLARILAAAAAHDAALLPSLLRHLLSLPDPAPHLLALLSSSSSPLRLPLGFSLSAFRSLRALPSAPPPPTPVYNRLLLAALQEARFDLVESLYKDLLLSGAAPDVFTRNILLQALCAAGRMELARRVFDAMPERNEFSFGILARGYCRAGRSMDALGVLDSMPTMNLVVCNTVVAGFCREGQVDEAERLVERMRDEGLAPNVVTFNARISALCKAGRVLDAYRIFNDMQEKWERGLPRPDQVTFDVMLSGFCEAGMVDEARVLVDIMRCGGFLRRVESYNRWLSGLVRNGMVGEAQELLREMAHEGVQPNSYTYNIIVDGLCKEGKAFDVRKVEDFVKSGVMTPDVVTYTSLLHAYCSEGNAAAANRILDEMAQKGCAPNSFTYNVLLQSLWKAGRITEAERLLERMSEKGYSLDTASCNIIIDGLCRNNKLDMAMDIVDGMWNEGSLALGRLGYSFLSLLTDSSSSKRCLPDRITYSTLVSALCKEGRFDEAKKKLLEMIGKDISPDSVLYDTFIHGYCKHGKTSLAVKVLRDMEKKGCKPSTRTYNLLIWGFWEKHNSDEILKLISEMKGKGICPNVMTYNSLIKSFCERGMVNKAMPLLDEMLQNEIVPNITSFELLIKAFCKTSDFSAAQRVFDASLSTCGQKEVLYSLMCTQLSTYGRWLEAMNILETVLELRISIHRFPYKQIIEGLCKVDEVDHGHRLLKLLMVKGYSFDPAAFMPVIDALSERGKKQHVDMLSQKMMEMAERDNGLAAPSGEFKPRSQKHGQDKHAESDWHALLHRDDSARTVMKITKRVKTGWGQRGNVYENKQQQNDDIYVLENTG >ONIVA02G02460.1 pep chromosome:AWHD00000000:2:1457830:1458198:1 gene:ONIVA02G02460 transcript:ONIVA02G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTLAVAAMPAEPYLDVWARDGGGGERWVRRLRVELPAGYYSKELKPRGLGRAILDDAGLLLVTLSCRWGLVYDTKEKRMLSCSHRSRSNPWNAAYRESLITVKPCTPPLPLNSEPWLNFY >ONIVA02G02450.1 pep chromosome:AWHD00000000:2:1456509:1457810:1 gene:ONIVA02G02450 transcript:ONIVA02G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGAALGRGRGVDEHPRDGDPVGDGFPGEREGEEEERVVEAEVGGGELWAEEVQHIATPTATQLGAAAMNDDVVAEILLRLPAKSVLRCRAVCRSWRRITTADYFVAAHSRRRPLQLLGYTGPNDESLRDDEFLVTSAPVNAETMLICNPATRQLVNLPPVSTGGVVVDRNDLRLHSSAFYFHRPSGEYRVLCYRKGTNSILSTGSGEARRLGPVPDQQRRTCSFSAVTVGKTVGESVYWGRREVDDRSRIMAFDTVSERFRAVAPPPVEHAD >ONIVA02G02440.1 pep chromosome:AWHD00000000:2:1450016:1454876:-1 gene:ONIVA02G02440 transcript:ONIVA02G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGEMREVGRETLHGGVVQSVSETDEYKEKTIDSEKDGQFRVQPRWRKFLAHVGPGALVAIGFLDPSNLETDMQAGADFKYELLWVILVGMVFALLIQTLAANLGVKTGRHLAELCRKEYPHYVNIFLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLEFIIAAFMFTMAACFFGELSYLRPSAGEVVKGMFVPSLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSDKSIRAACRYFLIECSLAFIVAFLINVSVVVVAGSICNANNLSPADANTCGDLTLQSTPLLLRNILGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIAPSLIVSIVSGPSGAGKLIILSSEFALLLQKQQYPEFLIWIQMILSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSFALIVVNTYFLVWTYVDWLVHNNLPKYANGLISVVVFALMAAYLVAVVYLTFRKDMVATYVPVPERAQAQAEAGGTPVVDASAADEDQPAPYRKDLADASM >ONIVA02G02430.1 pep chromosome:AWHD00000000:2:1440010:1442780:-1 gene:ONIVA02G02430 transcript:ONIVA02G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAATQVGTYFLRNYYNLLQQSPDVVHQFYNDASTMVRVDDLAGTNTTASTMMDIHSLIMSLNFTQIEIKTANFLNSWGDGVLVMVSGLVQTKEYSHQRKFIQMFFLAPQEKGYFVLNDYFHFVDEEQVQPAPVIAQDNFETNMASNSVVEPEYIHEEENQSAVPITSEESDAVENYTYSEPPQQVVSQSDNWGDEPLPEEPISSFTNGMAMAPEEPVQSPPVPPPHVEEPVGEPVKKTYASILRTAKAPLVFPVAQPAPTRPSHQATETNQAAQHSVMTSSVATEKPKTDVYGEFAVQDDEESKSVYVGNVPSSVSEADLENEFKKFGRLIPDGVAIRSRKETGGYYAFVEFEELSGVHNALKASPIEINGRQIYVEERKPNSGIRGGRRGGRGRFGGGGRGYARGGGDNEYAGNRGSGRPSNGYQRVPHHERGILGSHNARN >ONIVA02G02420.1 pep chromosome:AWHD00000000:2:1438469:1438726:1 gene:ONIVA02G02420 transcript:ONIVA02G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKRGGGGGEGEGILASWMAAHGREAASRAKKVVRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQALLGPAPLK >ONIVA02G02410.1 pep chromosome:AWHD00000000:2:1431938:1436472:-1 gene:ONIVA02G02410 transcript:ONIVA02G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRRGSSGGGAVLVVVVCVGCIAATAMAAAAGGGGGGGECPKYKDSKQPLNKRIDDLLRRMTLAEKIGQMSQIERENATFDVMRNYFIGSVLSGGGSVPAAQASPAAWVSMVNEMQRGAMATRLGIPMIYGIDAVHGHGNVYKATIFPHNVGLGCTRDPRWGRCYESFSEDPRVVQRMSSIISGFQGEIPPGGRRGVPFVSGGRPSVAACSKHYVGDGGTTRGMNENNTVATLRELMTVHMPPYYSAVAQGVSTVMVSFSSWNGGFVISDWQGLDRITTPAHADYMLSIKLGIMAGIDMVMIPFTYTEFIDDLAALVKNGTIPMSRIDDAVRRILRVKFTMGLFERPYADLSLAGELGKQEHRDLAREAVRKSLVLLKNGKPGDAPLLPLPKRARSILVAGAHADDLGSQCGGWTITWQGLAGNNLTAGGTTILDGIRRAVDAATEVVFAEAPDAGFMRRNAGRFDAAVVVVGEPPYAETLGDNLNLTIPAPGPSVIQNVCGGGVRCVVVVVSGRPLVIEPYMDAIDALVAAWLPGTEGQGVSDVLFGDYEFTGKLARTWFRSVEQLPMNVGDEHYDPLFPFGFGLETRKAN >ONIVA02G02400.1 pep chromosome:AWHD00000000:2:1425620:1429570:1 gene:ONIVA02G02400 transcript:ONIVA02G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:copper ion binding;cobalt ion binding;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G21870) TAIR;Acc:AT2G21870] MALAARLVSRSRQLYSAQAALANGGATQVRLYAKEADRTPVNGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPAAVSRYAQVMKTVRQKADLLSDSERIKYTIDTFTKGIPDARTYLNTLQEIRIKSGLIDDMGAEAMMMEALEKVEKEIKKPLLRSDKKNMGLLLAEFEKINKKLGIRKEDLPKIEEELELEIAKSELTELKKECVEAMEVQLKREEFKDEEMPDVKKLDIRNFL >ONIVA02G02390.1 pep chromosome:AWHD00000000:2:1420597:1424872:-1 gene:ONIVA02G02390 transcript:ONIVA02G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMLLRPLPSAGASGGRGSPGRGRVVGSAVSFGGRGRWRRGVAAGAGAEASWMEEAGVAVLEEGVRRNPAVSDSYRPPGMPRPNATVLEAQARVCTGPEQTRPLGEEQAMRVLDTILRSGMGELKDEPVSSAQLGAFFAGMTIRANSFPEATQWSEGERRAMSLFWPRLVNVLPPEVKFIADPEGTIMGANGLTGPRYVGQSTAEMRLVSALREVLAGGHLGYEEVQGVLKDVLPVELASANSTMVNEALLAAFLIGQRMNRETDRELKGYCLAFDDELGPTPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGEACLLHGVEWMPPKGGITEGQMLKFMGANTHLSTLQAKTLLEDENTGFAYLGLQEACPPLYSIIGLREHIKKRPPLATSEKVQQFVRARGRESMVAGFYHVGYEDPLLMLMRRRTVHAGLVVKGEEGALSMTTKERSAHASKGLPVNHCSGFRIPNSTDFSETDGISREGFSVIVNAQELGFESTETPRTDRSVLKNLELGLAALSGEKGPAYDRIVLNAAMVDHLLGCTGAEDIKSALDRAREAIDSGKALSRLMNYIKISHKVS >ONIVA02G02380.1 pep chromosome:AWHD00000000:2:1404756:1418768:1 gene:ONIVA02G02380 transcript:ONIVA02G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEAATDLQAQLDSKLSSIKEIKSEAQTMGSTEGILKIPEDEVLVEAPSETKIPSEHSLNGTASSLNGHVNKEENISNEQPHEINQESQEQVETSSDGTSTYPSNKSNEEEMTDSLSHGESASEDTTILKCENAEAKDHGQQDVEGHVTNDTMVQEEICKTDDAIEQTVDAQDLDSSKESKEAQPAIMTDIPADEVLAEAPAGIQSPLEPNVGDSDTVPGNKETDDPAKEDDTAYLDHKESFPEDKVIAEHADEEVKAEDQQSKQADDMDAEVLQEEIPESKESDLPETEQAEEASVDDQEALNQQPALETNDSLSVKAEETCHQSNVATCGEKTPEDDATTREPTVDTKEEQNQGSVEEMKDAEAVDTEETVQQSSVAFDEAIQDHAATTNPSSDIQSIEPEETKGPSDVKAEEVSSQSNVAFAEDAVQDKVIPSEPPEDIRPVKKLGQEETKEADEASNETNPAIFSDLNQEDSIVASKQLETELAEEAAAFSNLDQEGGIADSESQVADLEEAKEIEATETEEITHQPPAAVSTELPKEDNSTRSEPHNDDIQHSLEQDSIEVKDTEAAEIQEISQERTIATSKEDAVEDDGTAEGPTCVSQEVQDVESEEVKDTEPDNVVEASDVVTVDDEGQENNVLTSENIAELQLQGLESEEIKSPEPIETEAGFHTSHAAPSNDPVEVNTTACETQDTESAEEIKETEGTKTESIPQESNISVSEESNPEDSITASETISNTQELTIAGSIEASEDNIDTKTGEITDQSNEVFAGEAAQGDNIPESVSTADTQSMQELESEEMKKPELVDLSGTFHQKDDAISQKQNQEDNPTTCETNEIGSTEVSSVEASEDQAIAHQSNITQCEEQATEESITESEPQILEIESVQDMEDTEATEPELVSEQNIVSTSEESVPEENATTEEPAFHDREIQNDGAELTEQHDSVKAEELPNQSSGAIETAQEANLLASEPTDDVQVKELEPEEISNTVDGETEEASCQTHEVEMTESSEQMKDTEHPVPEPESSEEMRDTAPTVPEPTLQDSRVASVEEIETHNNATIEQNVGYQQLQEQESVEFKETEVLEPQGVIPSHNVSSSEEFNPQETVTKEEPGSDTQAEESPVVIEDTEDVNNSAALSEKIAPEEHVLATETTVDTPPVQEPELEERQNIESVEAEDNIAASGLPGEEIDTEAIEIESVPHESTTTSVKELNEDVKSNVALAEEAASEEHILETEVTVDKSSAQEPELEEIKNTEPAEQEHNITETGLPEEEMKDNEAMETETVPHDSNIESIKELREDDIITASALDVYTQQVPEQESVEDMKCTDTTEHPGETPESIVSTSDELTKTGEITTVKEATFDTQQAQSFTDQETDLSSSSNPEEAVQESDLVKSEQETDVQQEQELDSTEETKGTEDYQQNGVSTCEESVTEVEPNVDDQHVQENKSAVEVKENEDTETEEISKQINFTTSENGAQESSEQEADQPFYVQPVQQLELTTDSKDNQLVEAEETSSQSNIVTPEDPTAEDRVAYEIDPSVDIDQGHELELVEEVKDTDAIEAEETSHAGQAVSSAEKFSESNLSAVELTHGIQQVHYLETMEEMKGTEGTCDEEICYQQTATSEDPSPTDNGKSLQDYHVESNEENLGNGIGDVISVHEKIEDNIHESAELKDETSELGEKTQISANRIEENDDHISTEDTEETSNNTNLVKEGPNEHGSSQTSNVQDNKQLHDVGLQTQVRERSVDIGQQDEDMKNVNLDQQQKEDEEIEKQKEELQTDEQKHDDKRVDFIIDTQVESIDAFQAEQTDSVVTEMLNDEVTQHEPEDSIPRTTDAMVENITEIKEETEEENGPNSGGTLEVSAKNYNEDVHENTEKDAVVEKTSSSEHDEIAGEIRNEEVEPCLASSLERDLQVDSDLSNDQMLENNPIAVPQNDEYMYRAEEGYTNKVNVDMHAIQESDKVIEDAEEKQGMQNEDNVVHHDESLVTTQKEEASQVHTDEQYSADTKMDDTAISYAEMTHENTSTEPREVEDTKEKKGFNDFPEFVVETSKQDDVDQDFSIHHQVEDEKSAETENNSTESEAVQPKLDITIAETNNDNNLSTINPLPEHETENASDINQNRQYQEAANEDAIDNIETGRVEKMETSYTATTEVVTLNEDICDKASGADGVPPDGSLKTSEDNLDVSSVVTESEGENINKETEDHKLALPVHPTQDENTTEQGFGLEDTEKESMSPEKALPAEPEEQEENQVTKEQDEEDRHDAELGDAHEEDHKEAEQDYLPVSSFLMNLILGKDNDDPKKDSETEVEKEQEETTKDGSCLIASQQEENLVAFPIEKSVDEKLTFEQEKEKVEGSEETKEPVKEQSYDVEMDVQKSLETDEELKRNTCDLEAPVYQDNAQDEISSKLISAKAADPIKKMEARDFELDEESFDTVCQENVEAATEIEDGSLNRDQDDITSPKASQEDALEEVGTELPHESLHENRHGAKDEQTLSLIEPDTGNAEKLPNEADSVQSPPCTEQEESIESSYVEVRSTTEGQVESEVIETNEEDQHTTAGGHTEEQIENLHDDKSKGTCSEEISDEQAPEITEPVSHTDRNFAYEKEIPASSTCMDKKESMISNNEVSNFEKALETHSDSPNLHVNQDKKDETADNQTVVDHNTVLDKLEDSNRQEEQETAAQKLPKETEGNQEFMAITEPVIKEENVHETVEGNTQAVKIKSNEEKELFDSQVQERGLNVVSPKATSEADEKFVEITKPEFSTDEEHSPKADESNKPDENTCDEKTKAEEETNNITDEATVKIEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIGKPGHTKSESPKS >ONIVA02G02380.2 pep chromosome:AWHD00000000:2:1404756:1418768:1 gene:ONIVA02G02380 transcript:ONIVA02G02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEAATDLQAQLDSKLSSIKEIKSEAQTMGSTEGILKIPEDEVLVEAPSETKIPSEHSLNGTASSLNGHVNKEENISNEQPHEINQESQEQVETSSDGTSTYPSNKSNEEEMTDSLSHGESASEDTTILKCENAEAKDHGQQDVEGHVTNDTMVQEEICKTDDAIEQTVDAQDLDSSKESKEAQPAIMTDIPADEVLAEAPAGIQSPLEPNVGDSDTVPGNKETDDPAKEDDTAYLDHKESFPEDKVIAEHADEEVKAEDQQSKQADDMDAEVLQEEIPESKESDLPETEQAEEASVDDQEALNQQPALETNDSLSVKAEETCHQSNVATCGEKTPEDDATTREPTVDTKEEQNQGSVEEMKDAEAVDTEETVQQSSVAFDEAIQDHAATTNPSSDIQSIEPEETKGPSDVKAEEVSSQSNVAFAEDAVQDKVIPSEPPEDIRPVKKLGQEETKEADEASNETNPAIFSDLNQEDSIVASKQLETELAEEAAAFSNLDQEGGIADSESQVADLEEAKEIEATETEEITHQPPAAVSTELPKEDNSTRSEPHNDDIQHSLEQDSIEVKDTEAAEIQEISQERTIATSKEDAVEDDGTAEGPTCVSQEVQDVESEEVKDTEPDNVVEASDVVTVDDEGQENNVLTSENIAELQLQGLESEEIKSPEPIETEAGFHTSHAAPSNDPVEVNTTACETQDTESAEEIKETEGTKTESIPQESNISVSEESNPEDSITASETISNTQELTIAGSIEASEDNIDTKTGEITDQSNEVFAGEAAQGDNIPESVSTADTQSMQELESEEMKKPELVDLSGTFHQKDDAISQKQNQEDNPTTCETNEIGSTEVSSVEASEDQAIAHQSNITQCEEQATEESITESEPQILEIESVQDMEDTEATEPELVSEQNIVSTSEESVPEENATTEEPAFHDREIQNDGAELTEQHDSVKAEELPNQSSGAIVEETAQEADLVAGEPIDDVQEKDLEPEEISNTVDGETGEASHQTHAAVEDNWTGEVESSVEASEDQAIAHQSNIKCEEQATEESLTESEPQILEMESVQDIKDTEATESETIFQKNIVPTSEESVPEENATAKEPAFDDREIQNDGAELTKEHDGVKDEEIPDQSSGAIVEETAQEANLLASEPTDDVQVKELEPEEISNTVDGETEEASCQTHEVEMTESSEQMKDTEHPVPEPESSEEMRDTAPTVPEPTLQDSRVASVEEIETHNNATIEQNVGYQQLQEQESVEFKETEVLEPQGVIPSHNVSSSEEFNPQETVTKEEPGSDTQAEESPVVIEDTEDVNNSAALSEKIAPEEHVLATETTVDTPPVQEPELEERQNIESVEAEDNIAASGLPGEEIDTEAIEIESVPHESTTTSVKELNEDVKSNVALAEEAASEEHILETEVTVDKSSAQEPELEEIKNTEPAEQEHNITETGLPEEEMKDNEAMETETVPHDSNIESIKELREDDIITASALDVYTQQVPEQESVEDMKCTDTTEHPGETPESIVSTSDELTKTGEITTVKEATFDTQQAQSFTDQETDLSSSSNPEEAVQESDLVKSEQETDVQQEQELDSTEETKGTEDYQQNGVSTCEESVTEVEPNVDDQHVQENKSAVEVKENEDTETEEISKQINFTTSENGAQESSEQEADQPFYVQPVQQLELTTDSKDNQLVEAEETSSQSNIVTPEDPTAEDRVAYEIDPSVDIDQGHELELVEEVKDTDAIEAEETSHAGQAVSSAEKFSESNLSAVELTHGIQQVHYLETMEEMKGTEGTCDEEICYQQTATSEDPSPTDNGKSLQDYHVESNEENLGNGIGDVISVHEKIEDNIHESAELKDNSLVYFIQDETSELGEKTQISANRIEENDDHISTEDTEETSNNTNLVKEGPNEHGSSQTSNVQDNKQLHDVGLQTQVRERSVDIGQQDEDMKNVNLDQQQKEDEEIEKQKEELQTDEQKHDDKRVDFIIDTQVESIDAFQAEQTDSVVTEMLNDEVTQHEPEDSIPRTTDAMVENITEIKEETEEENGPNSGGTLEVSAKNYNEDVHENTEKDAVVEKTSSSEHDEIAGEIRNEEVEPCLASSLERDLQVDSDLSNDQMLENNPIAVPQNDEYMYRAEEGYTNKVNVDMHAIQESDKVIEDAEEKQGMQNEDNVVHHDESLVTTQKEEASQVHTDEQYSADTKMDDTAISYAEMTHENTSTEPREVEDTKEKKGFNDFPEFVVETSKQDDVDQDFSIHHQVEDEKSAETENNSTESEAVQPKLDITIAETNNDNNLSTINPLPEHETENASDINQNRQYQEAANEDAIDNIETGRVEKMETSYTATTEVVTLNEDICDKASGADGVPPDGSLKTSEDNLDVSSVVTESEGENINKETEDHKLALPVHPTQDENTTEQGFGLEDTEKESMSPEKALPAEPEEQEENQVTKEQDEEDRHDAELGDAHEEDHKEAEQDYLPVSSFLMNLILGKDNDDPKKDSETEVEKEQEETTKDGSCLIASQQEENLVAFPIEKSVDEKLTFEQEKEKVEGSEETKEPVKEQSYDVEMDVQKSLETDEELKRNTCDLEAPVYQDNAQDEISSKLISAKAADPIKKMEARDFELDEESFDTVCQENVEAATEIEDGSLNRDQDDITSPKASQEDALEEVGTELPHESLHENRHGAKDEQTLSLIEPDTGNAEKLPNEADSVQSPPCTEQEESIESSYVEVRSTTEGQVESEVIETNEEDQHTTAGGHTEEQIENLHDDKSKGTCSEEISDEQAPEITEPVSHTDRNFAYEKEIPASSTCMDKKESMISNNEVSNFEKALETHSDSPNLHVNQDKKDETADNQTVVDHNTVLDKLEDSNRQEEQETAAQKLPKETEGNQEFMAITEPVIKEENVHETVEGNTQAVKIKSNEEKELFDSQVQERGLNVVSPKATSEADEKFVEITKPEFSTDEEHSPKADESNKPDENTCDEKTKAEEETNNITDEATVKIEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIGKPGHTKSESPKS >ONIVA02G02380.3 pep chromosome:AWHD00000000:2:1404756:1418768:1 gene:ONIVA02G02380 transcript:ONIVA02G02380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEAATDLQAQLDSKLSSIKEIKSEAQTMGSTEGILKIPEDEVLVEAPSETKIPSEHSLNGTASSLNGHVNKEENISNEQPHEINQESQEQVETSSDGTSTYPSNKSNEEEMTDSLSHGESASEDTTILKCENAEAKDHGQQDVEGHVTNDTMVQEEICKTDDAIEQTVDAQDLDSSKESKEAQPAIMTDIPADEVLAEAPAGIQSPLEPNVGDSDTVPGNKETDDPAKEDDTAYLDHKESFPEDKVIAEHADEEVKAEDQQSKQADDMDAEVLQEEIPESKESDLPETEQAEEASVDDQEALNQQPALETNDSLSVKAEETCHQSNVATCGEKTPEDDATTREPTVDTKEEQNQGSVEEMKDAEAVDTEETVQQSSVAFDEAIQDHAATTNPSSDIQSIEPEETKGPSDVKAEEVSSQSNVAFAEDAVQDKVIPSEPPEDIRPVKKLGQEETKEADEASNETNPAIFSDLNQEDSIVASKQLETELAEEAAAFSNLDQEGGIADSESQVADLEEAKEIEATETEEITHQPPAAVSTELPKEDNSTRSEPHNDDIQHSLEQDSIEVKDTEAAEIQEISQERTIATSKEDAVEDDGTAEGPTCVSQEVQDVESEEVKDTEPDNVVEASDVVTVDDEGQENNVLTSENIAELQLQGLESEEIKSPEPIETEAGFHTSHAAPSNDPVEVNTTACETQDTESAEEIKETEGTKTESIPQESNISVSEESNPEDSITASETISNTQELTIAGSIEASEDNIDTKTGEITDQSNEVFAGEAAQGDNIPESVSTADTQSMQELESEEMKKPELVDLSGTFHQKDDAISQKQNQEDNPTTCETNEIGSTEVSSVEASEDQAIAHQSNITQCEEQATEESITESEPQILEIESVQDMEDTEATEPELVSEQNIVSTSEESVPEENATTEEPAFHDREIQNDGAELTEQHDSVKAEELPNQSSGAIVEETAQEADLVAGEPIDDVQEKDLEPEEISNTVDGETGEASHQTHAAVEDNWTGEVESSVEASEDQAIAHQSNIKCEEQATEESLTESEPQILEMESVQDIKDTEATESETIFQKNIVPTSEESVPEENATAKEPAFDDREIQNDGAELTKEHDGVKDEEIPDQSSGAIVEETAQEANLLASEPTDDVQVKELEPEEISNTVDGETEEASCQTHEVEMTESSEQMKDTEHPVPEPESSEEMRDTAPTVPEPTLQDSRVASVEEIETHNNATIEQNVGYQQLQEQESVEFKETEVLEPQGVIPSHNVSSSEEFNPQETVTKEEPGSDTQAEESPVVIEDTEDVNNSAALSEKIAPEEHVLATETTVDTPPVQEPELEERQNIESVEAEDNIAASGLPGEEIDTEAIEIESVPHESTTTSVKELNEDVKSNVALAEEAASEEHILETEVTVDKSSAQEPELEEIKNTEPAEQEHNITETGLPEEEMKDNEAMETETVPHDSNIESIKELREDDIITASALDVYTQQVPEQESVEDMKCTDTTEHPGETPESIVSTSDELTKTGEITTVKEATFDTQQAQSFTDQETDLSSSSNPEEAVQESDLVKSEQETDVQQEQELDSTEETKGTEDYQQNGVSTCEESVTEVEPNVDDQHVQENKSAVEVKENEDTETEEISKQINFTTSENGAQESSEQEADQPFYVQPVQQLELTTDSKDNQLVEAEETSSQSNIVTPEDPTAEDRVAYEIDPSVDIDQGHELELVEEVKDTDAIEAEETSHAGQAVSSAEKFSESNLSAVELTHGIQQVHYLETMEEMKGTEGTCDEEICYQQTATSEDPSPTDNGKSLQDYHVESNEENLGNGIGDVISVHEKIEDNIHESAELKDETSELGEKTQISANRIEENDDHISTEDTEETSNNTNLVKEGPNEHGSSQTSNVQDNKQLHDVGLQTQVRERSVDIGQQDEDMKNVNLDQQQKEDEEIEKQKEELQTDEQKHDDKRVDFIIDTQVESIDAFQAEQTDSVVTEMLNDEVTQHEPEDSIPRTTDAMVENITEIKEETEEENGPNSGGTLEVSAKNYNEDVHENTEKDAVVEKTSSSEHDEIAGEIRNEEVEPCLASSLERDLQVDSDLSNDQMLENNPIAVPQNDEYMYRAEEGYTNKVNVDMHAIQESDKVIEDAEEKQGMQNEDNVVHHDESLVTTQKEEASQVHTDEQYSADTKMDDTAISYAEMTHENTSTEPREVEDTKEKKGFNDFPEFVVETSKQDDVDQDFSIHHQVEDEKSAETENNSTESEAVQPKLDITIAETNNDNNLSTINPLPEHETENASDINQNRQYQEAANEDAIDNIETGRVEKMETSYTATTEVVTLNEDICDKASGADGVPPDGSLKTSEDNLDVSSVVTESEGENINKETEDHKLALPVHPTQDENTTEQGFGLEDTEKESMSPEKALPAEPEEQEENQVTKEQDEEDRHDAELGDAHEEDHKEAEQDYLPVSSFLMNLILGKDNDDPKKDSETEVEKEQEETTKDGSCLIASQQEENLVAFPIEKSVDEKLTFEQEKEKVEGSEETKEPVKEQSYDVEMDVQKSLETDEELKRNTCDLEAPVYQDNAQDEISSKLISAKAADPIKKMEARDFELDEESFDTVCQENVEAATEIEDGSLNRDQDDITSPKASQEDALEEVGTELPHESLHENRHGAKDEQTLSLIEPDTGNAEKLPNEADSVQSPPCTEQEESIESSYVEVRSTTEGQVESEVIETNEEDQHTTAGGHTEEQIENLHDDKSKGTCSEEISDEQAPEITEPVSHTDRNFAYEKEIPASSTCMDKKESMISNNEVSNFEKALETHSDSPNLHVNQDKKDETADNQTVVDHNTVLDKLEDSNRQEEQETAAQKLPKETEGNQEFMAITEPVIKEENVHETVEGNTQAVKIKSNEEKELFDSQVQERGLNVVSPKATSEADEKFVEITKPEFSTDEEHSPKADESNKPDENTCDEKTKAEEETNNITDEATVKIEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIGKPGHTKSESPKS >ONIVA02G02370.1 pep chromosome:AWHD00000000:2:1399349:1399765:-1 gene:ONIVA02G02370 transcript:ONIVA02G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQEVKKRLHLHVEAQPESCKKKEQAAVWVLAGGGGGGGGEITVSLKPVKVSRRQLGDEEEEEEEVTTPRGEECRLPAEAATCPPAPKKPRTAAVAIVAGGGRRCNCCDDDGGDSLEFFRVPADLEAVFANRVAKAN >ONIVA02G02360.1 pep chromosome:AWHD00000000:2:1391516:1399416:1 gene:ONIVA02G02360 transcript:ONIVA02G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-conjugating enzyme E2C-binding protein (InterPro:IPR019193); Has 26 Blast hits to 25 proteins in 9 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 0 (sourc /.../I BLink). [Source:Projected from Arabidopsis thaliana (AT3G26750) TAIR;Acc:AT3G26750] MAATAAAAAGVAVAGDRARRRQWRYTWESLAHLPLLRLYLFRPELSAAAAADHLRADLRLDDSLLLLSFSLAGEPVALRVPVPRVLVDPSAPPECRDAGDHVEVRLALVLPVDHPVVAAAFLPPPGEEPPAPLSLRDDIKNLSSGDVHLYCKACSARLTKQPLRNIEEMPSLNWEDVADNWFGGCCTSFGGASEKLVSQYINAYGCLEGTSLLNATSISIEKDYLEKDLASGLVSSVPSNDCDALQEDICDVHIGEDHTTGNMEFNSSEEKAYHEKQIGCNHVQCSVVPEESPCVSNSEKDGDTLWTDQSGIIKVNPEESKNDSCVDDIEKPTKETDLLSVDPCNCCCDGGNSRKSEDNPSNVPSGNLEMQAKLDTQRDYKLTKSISLGCSFIVKASNLVNDVEWLELLCAHCSSPIGSYPSQHSHAPADGRVRLFKCYASSDLHVGGPHDVFRGHTLERLFVNLLLEVAEDEISFRTLVRDLKTKRPILQIVLLSSKAWLFSGYCYENDMDGSHGAAHLQPSVKILYSNCSNALEEDLRTVEEWSSKYRAEELYMMRRQIDELILSLNSARDNFPLSCSSLQGMYLSSLER >ONIVA02G02360.2 pep chromosome:AWHD00000000:2:1391516:1398204:1 gene:ONIVA02G02360 transcript:ONIVA02G02360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-conjugating enzyme E2C-binding protein (InterPro:IPR019193); Has 26 Blast hits to 25 proteins in 9 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 0 (sourc /.../I BLink). [Source:Projected from Arabidopsis thaliana (AT3G26750) TAIR;Acc:AT3G26750] MAATAAAAAGVAVAGDRARRRQWRYTWESLAHLPLLRLYLFRPELSAAAAADHLRADLRLDDSLLLLSFSLAGEPVALRVPVPRVLVDPSAPPECRDAGDHVEVRLALVLPVDHPVVAAAFLPPPGEEPPAPLSLRDDIKNLSSGDVHLYCKACSARLTKQPLRNIEEMPSLNWEDVADNWFGGCCTSFGGASEKLVSQYINAYGCLEGTSLLNATSISIEKDYLEKDLASGLVSSVPSNDCDALQEDICDVHIGEDHTTGNMEFNSSEEKAYHEKQIGCNHVQCSVVPEESPCVSNSEKDGDTLWTDQSGIIKVNPEESKNDSCVDDIEKPTKETDLLSVDPCNCCCDGGNSRKSEDNPSNVPSGNLEMQAKLDTQRDYKLTKSISLGCSFIVKASNLVNDVEWLELLCAHCSSPIGSYPSQHSHAPADGRVRLFKCYASSDLHVGGPHDVFRGHTLERLFVNLLLEVAEDEISFRTLVRDLKTKRPILQIVLLSSKAWLFSGYCYENDMDGSHGAAHLQPSVKILYSNCSNALEEDLRTVEEWSSKYRAEELYMMRRQIDELILSLNSARDNFPLSCSSLQGMYLSSLER >ONIVA02G02360.3 pep chromosome:AWHD00000000:2:1391516:1398224:1 gene:ONIVA02G02360 transcript:ONIVA02G02360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-conjugating enzyme E2C-binding protein (InterPro:IPR019193); Has 26 Blast hits to 25 proteins in 9 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 0 (sourc /.../I BLink). [Source:Projected from Arabidopsis thaliana (AT3G26750) TAIR;Acc:AT3G26750] MAATAAAAAGVAVAGDRARRRQWRYTWESLAHLPLLRLYLFRPELSAAAAADHLRADLRLDDSLLLLSFSLAGEPVALRVPVPRVLVDPSAPPECRDAGDHVEVRLALVLPVDHPVVAAAFLPPPGEEPPAPLSLRDDIKNLSSGDVHLYCKACSARLTKQPLRNIEEMPSLNWEDVADNWFGGCCTSFGGASEKLVSQYINAYGCLEGTSLLNATSISIEKDYLEKDLASGLVSSVPSNDCDALQEDICDVHIGEDHTTGNMEFNSSEEKAYHEKQIGCNHVQCSVVPEESPCVSNSEKDGDTLWTDQSGIIKVNPEESKNDSCVDDIEKPTKETDLLSVDPCNCCCDGGNSRKSEDNPSNVPSGNLEMQAKLDTQRDYKLTKSISLGCSFIVKASNLVNDVEWLELLCAHCSSPIGSYPSQHSHAPADGRVRLFKCYASSDLHVGGPHDVFRGHTLERLFVNLLLEVAEDEISFRTLVRDLKTKRPILQIVLLSSKAWLFSGYCYENDMDGSHGAAHLQPSVKILYSNCSNALEEDLRTVEEWSSKYRAEELYMMRRQIDELILSLNSARDNFPLSCSSLQGMYLSSLER >ONIVA02G02360.4 pep chromosome:AWHD00000000:2:1391516:1395768:1 gene:ONIVA02G02360 transcript:ONIVA02G02360.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-conjugating enzyme E2C-binding protein (InterPro:IPR019193); Has 26 Blast hits to 25 proteins in 9 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 0 (sourc /.../I BLink). [Source:Projected from Arabidopsis thaliana (AT3G26750) TAIR;Acc:AT3G26750] MAATAAAAAGVAVAGDRARRRQWRYTWESLAHLPLLRLYLFRPELSAAAAADHLRADLRLDDSLLLLSFSLAGEPVALRVPVPRVLVDPSAPPECRDAGDHVEVRLALVLPVDHPVVAAAFLPPPGEEPPAPLSLRDDIKNLSSGDVHLYCKACSARLTKQPLRNIEEMPSLNWEDVADNWFGGCCTSFGGASEKLVSQYINAYGCLEGTSLLNATSISIEKDYLEKDLASGLVSSVPSNDCDALQEDICDVHIGEDHTTGNMEFNSSEEKAYHEKQIGCNHVQCSVVPEESPCVSNSEKDGDTLWTDQSGIIKVNPEESKNDSCVDDIEKPTKETDLLSVDPCNCCCDGGNSRKSEDNPSNVPSGNLEMQAKLDTQRDYKLTKSISLGCSFIVKASNLVNDVEWLELLCAHCSSPIGSYPSQHSHAPADGRVRLFKCYASSDLHVGGPHDVFRGHTLERLFVNLLLEVAEDEISFRTLVRDLKTKRPILQIVLLSSKAWLFSGYCYENDMDGSHGAAHLQPSVKILYSNCSNALEEDLRTVEEWSSKYRAEELYMMRRQIDELILSLNSARDNFPLSCSSLQGMYLSSLER >ONIVA02G02350.1 pep chromosome:AWHD00000000:2:1389991:1391280:-1 gene:ONIVA02G02350 transcript:ONIVA02G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDVGAEILLWLPAKAVLRCRAVCRSWRRITTTAYFVAAHSRRRPLQLLGYTGLAVDSSSSPYSYVFTVITSVIPAFCDGDDAGRRILLRRDMRVSLRGSCDGLLLGTRAAAATAACSSATRRRGSWSICRRWKRLRPSAFYFHRPSGEHRVLCYRNGDNYILSTGSGASEPRLLGPVPDHQRRVCSHFCVKVGVTVGHTVYWGRRQTDDRGQMSAFDTVSETFRRVAPPPPVSHADEGPMFDMHGALAVTAMSSTEPYMDVWIAAAAGGENWVRLLRVELPPGHYYSGEVKPHGYGKAVLDDAGVLLVAMNGCPSFLYDTKGKRMVTGTE >ONIVA02G02340.1 pep chromosome:AWHD00000000:2:1388604:1389890:1 gene:ONIVA02G02340 transcript:ONIVA02G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKVAMVAVCCMFILLFPGQQQQVAAMSRICRCYHECLPNCGLRNSRSFCKVFCGSCCVFNPVHNCTSTDAAAAAPAIAGDDCRMICLNSFCGEAATGYSGRNDADAAACLDGCSKG >ONIVA02G02330.1 pep chromosome:AWHD00000000:2:1382432:1387815:1 gene:ONIVA02G02330 transcript:ONIVA02G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGSPAAVAASAAVAEMRFTTGGSSTRSWEPTVTADTSDLHYWMQWRAAVCALSVLACMAVAACLVWRHEGPGAERRPGGASGGGRGSKERRRPGVLYDDEAWRPCLRDIHPAWLLGYRLISFFVLLSLLIVIVISDGGTIFYYYTQWTFILVTIYFGLGTALSIYGCSKLADENVVTERTDMELGSYVAHGAGTKPNLNGEDDTGEIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLVLRRRDHAITMLRGIQTGDQTETPPAHKVVSGFSRKRLIYTISQAVMHHLPPPLPTVYTERAMVKITRKE >ONIVA02G02320.1 pep chromosome:AWHD00000000:2:1365634:1383111:-1 gene:ONIVA02G02320 transcript:ONIVA02G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARREVEETVGLSKPKDANFASQARLQFVLNLTLSFYLTHQTNQQQKPRGECEEDASKQASNLRRKTRRDACTQQRREGERIKGNKKKKKEKNWGRGGGCLNGACRYNGGSESVLDVAFQAHEETVWLFKSVHTQIVVTGDLGIESIEQLGKTTSSTNTSINCKKRRERERDSNSTRDSVALEVAVLTTRIGGREGDHADASSSELAHASTGDHQVTYPSTARPNLRICS >ONIVA02G02310.1 pep chromosome:AWHD00000000:2:1351502:1364718:-1 gene:ONIVA02G02310 transcript:ONIVA02G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEMFGSGHWGGSFPYASIPKESQFVFDAKASPLQLQLFGSAAAMEHPMMVCAVPRGTTFGPTGMMVEQLVKCMKDVKQRQMVEFLASLERGVGKKLKEKELEVEAMNRKSKELNEQIRQVALEVQSWQSVALHNQSVANSMKSKLMQMVAHSSNLTREGSGDSEVDNTASSQNVNAVPGGFFQSGLLGINSMADGGLGACRLCRMKEAAVLVMPCRHLCLCADCEKNADVCPICRFPKSCSVEINIETMEAVGGRRRRPRTMLFLVLVALMVIMAMAKTASGGGGDDGGAGGDHDHDHKQFLKLWNGRGGADAKEDYLNWDDDDDDDEDEDEEEEEAEQVMAWAAKCRPPAGRNVVNVDSFGAAGDGCSDDTEAFLNAWKKACSLNNAVFLVPGGRRYKVGAARFIGPCKNRMIIQIQGTIVAPDEPSEWDPASPRLWLLFSGLAGARIQGGGLIDGSGSKWWANSCKIDRSKALTIDSCRGVSVRNLRLQNAQQMHLTVSRSRDVRLAGVRVDSPEDSPNTDGIHVADSTAVTIQSCRIATGDDCISISNGSFAVRMRDIDCGPGHGISIGSLGQGGAFAAVDGVSLDGARVARAQNGVRIKTWQGGAGYVRNVRFAGVRVDGVDHPIVIDQFYCDATRPCRNRTSNVRVSGVVFRNITGTARRAEAIRLACSDAVPCVGIVLSDIDLRREDGGGEVQTVCNCAMGFDDGRVSPAADCLRTSPCGGMSPDDYHPDDKDDDDEPISVEVEVEARACGGRRHGNVLLPSTSSHPPIQSQSHTSQREREKRRGAAAAAAAMAVVGVLALQGSFNEHLAALRRIGVRGVEVRKPEQLQGLDSLIIPGGESTTMAKLANYHNLFPALREFVGTGRPVWGTCAGLIFLANKAVGQKSGGQELIGGLDCTVHRNFFGSQLQSFETELSVPMLAEKEGGSDTCRGEEVYSKDRLAKL >ONIVA02G02300.1 pep chromosome:AWHD00000000:2:1349689:1351246:-1 gene:ONIVA02G02300 transcript:ONIVA02G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARVFRGCRFLMAAAGGKRPASASASASAAGAKVTKTEAALAKEKRGIMQPVPVSDALSRFAGGAPEMSRAGAVKLIWNHIKANGLQNPANKREINCDDKLKSLFAGKDKVGMMEIAKLLSPHFIKAN >ONIVA02G02290.1 pep chromosome:AWHD00000000:2:1346648:1348883:1 gene:ONIVA02G02290 transcript:ONIVA02G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFDASDISLLLTLGTPHLPPPKGTPGVIDQTRGLLTYVEKNCAPAVYTPELKYVCIAGRYIQGAPLTGNTIATTDDILAVDTPSDIAEAVMVSTNDKSTQSGPTLRARFIGQGYKQVLPTLTNCETFLLPLLSECEHENNTQILNQVCGRADVWGDGVVPEVSAHLEGALNISFDGVYHSPVGSDDEQRPWYGSPAILKQWVHHLLS >ONIVA02G02280.1 pep chromosome:AWHD00000000:2:1343897:1344205:-1 gene:ONIVA02G02280 transcript:ONIVA02G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMFVGIAAAGGKKGGAKDEAEELRRRNAELEREVAALRAEVAAARRRAETAEEAEERLCVQLGEAEVEAVELAREYQCRVHDLARELAAARLLVSSPSP >ONIVA02G02270.1 pep chromosome:AWHD00000000:2:1334740:1338192:-1 gene:ONIVA02G02270 transcript:ONIVA02G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIELLILLVFVFVDLDLVPNSRLLILSMIRVGVIKLEFPLIFGGYPEFGTRISIRMYKITHRSAGLEYWGGCRAPAHEKPELLLLLVLREGSCNFSGGIGNLLQDRLLAWRETRSCYRNQSFNLLTLK >ONIVA02G02260.1 pep chromosome:AWHD00000000:2:1324527:1333280:1 gene:ONIVA02G02260 transcript:ONIVA02G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MSLKHPHSPVLDGDPPPHRRPRGLVSTPPPPAVAADPSPSPSPAAPPPRRRGGGGGGGEREREREREKERTKLRERHRRAITSRMLSGLRQHGNFPLPARADMNDVLAALARAAGWTVHPDGTTFRASSQPLHPPTPQSPGIFHVNSVETPSFTSVLNSYAIGTPLDSQASMLQTDDSLSPSSLDSVVVADQSIKNEKYGNSDSVSSLNCLENHQLTRASAALAGDYTRTPYIPVYASLPMGIINSHCQLIDPEGIRAELMHLKSLNVDGVIVDCWWGIVEAWIPHKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGETGSGGVSLPKWVMEIAQENQDVFFTDREGRRNMECLSWGIDKERVLRGRTGIEAYFDFMRSFHMEFRNLTEEGLISAIEIGLGVSGELKYPSCPERMGWRYPGIVLRQNLRQAALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGILIDHVDQVLSLATLAFDGVETVVKIPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVFRMLKKHSVILKFVCYGPEFTIQENNEAFADPEGLTWQVMNAAWDHGLSISVESALPCLDGEMYSQILDTAKPRHDPDRHHVSFFAYRQLPSFLLQRDVCFSELGNFVKCMHDGSLIKIVVRVRIIIAHQSGSSALDVLMQRLTVLCIRGVSTYPIKIIRSRYLTTVIAL >ONIVA02G02260.2 pep chromosome:AWHD00000000:2:1324527:1333280:1 gene:ONIVA02G02260 transcript:ONIVA02G02260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MSLKHPHSPVLDGDPPPHRRPRGLVSTPPPPAVAADPSPSPSPAAPPPRRRGGGGGGGEREREREREKERTKLRERHRRAITSRMLSGLRQHGNFPLPARADMNDVLAALARAAGWTVHPDGTTFRASSQPLHPPTPQSPGIFHVNSVETPSFTSVLNSYAIGTPLDSQASMLQTDDSLSPSSLDSVVVADQSIKNEKYGNSDSVSSLNCLENHQLTRASAALAGDYTRTPYIPVYASLPMGIINSHCQLIDPEGIRAELMHLKSLNVDGVIVDCWWGIVEAWIPHKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGETGSGGVSLPKWVMEIAQENQDVFFTDREGRRNMECLSWGIDKERVLRGRTGIEAYFDFMRSFHMEFRNLTEEGLISAIEIGLGVSGELKYPSCPERMGWRYPGIGEFQCYDRYMQKNLRQAALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGILIDHVDQVLSLATLAFDGVETVVKIPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVFRMLKKHSVILKFVCYGPEFTIQENNEAFADPEGLTWQVMNAAWDHGLSISVESALPCLDGEMYSQILDTAKPRHDPDRHHVSFFAYRQLPSFLLQRDVCFSELGNFVKCMHDGSLIKIVVRVRIIIAHQSGSSALDVLMQRLTVLCIRGVSTYPIKIIRSRYLTTVIAL >ONIVA02G02250.1 pep chromosome:AWHD00000000:2:1322751:1325074:-1 gene:ONIVA02G02250 transcript:ONIVA02G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINKWREKQEIGEDARTDWGVGGWRGCDEARKVVPSGCTVHPAARARAARTSFMSARAGSGKLPCCRSPDSMRLVMARRCRSLSFVRSFSLSLSLSLSPPPPPPPRRRGGGAAGEGEGEGSAATAGGGGVETRPRGRRCGGGSPSSTGECGCFRLIARRRRGRRRADLRARGRREDPNNAQGQSNTNTSTSNTPLTIPRIPPYPSRINTMEIKQLIQTRLGHERSERYFRYLKMFLGSRMEKSMFDRVVIQTIGRENIRLHNHLLMSVLRNASLPAPHPVESL >ONIVA02G02240.1 pep chromosome:AWHD00000000:2:1317807:1320834:-1 gene:ONIVA02G02240 transcript:ONIVA02G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT5G48790) TAIR;Acc:AT5G48790] MATSYCSISNPPLSKTSFPNKQVPGWVLRAISKGKGNYTGGIYTTTKRNLRTGFHVCAVNGGQGTRNVSGAEFPSDYTELLAQAKEAAESAFKDGKQLLEIEFPTAGLQSVPGDSEGGIEMTGSMLLIREFCDRFVPAEKATRTRIFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMSDRVRPEDEIFLVAYPYFNVNEMLVVEELYKEAIVSTDRKLIIFNGELDRIRMLVTFLNKREAALMMFENNYPPFFYPKLAELSKTFLPKLETVYYIHNFKGLKGGTLFRCYPGPWKVLRNIGGSFFCLHEQEEMPSLKEVALDILPSA >ONIVA02G02230.1 pep chromosome:AWHD00000000:2:1309876:1311006:1 gene:ONIVA02G02230 transcript:ONIVA02G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDDDARPTKRPRAAAAPPAWSRSWSDLPVEIAGEILGRVPSFADRARFSSACRQWRLAAAELHGGALPPPLPWLVSCYKGAFDSLPYGDRHYLALDSPACLACDGGWLLFDRRAAAAVAGGGGGGYLLKKPISKAAMELPGSLSGPPAATAEMKICKLVVMSRDLVAAIVSTSGGGGGRAVALCRPGTSPSWSAHHPPGGADHQLGDLRDIAVHGGKLYALHGHGHGNLCSYDLIAGDGEPKVSSCVHHIAGDELPPNKLPEEHDAGHHLVPSATGGELLLVRHLYSRFLGRHFTVFVADVGGARWSEAAASSLRDQLIFVGTGCSRALTASHYGGVGAMRGNRVFYTNDVEAYSSTHSYLDHAVKWPGFSNI >ONIVA02G02220.1 pep chromosome:AWHD00000000:2:1296468:1296774:1 gene:ONIVA02G02220 transcript:ONIVA02G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRHALIAAGQLGRSKVIGNWEDKAQRVLLSLLLQCALSMVMKGQRDDEPSCSSPDCVQVCLQCIISSRYS >ONIVA02G02210.1 pep chromosome:AWHD00000000:2:1272988:1275177:-1 gene:ONIVA02G02210 transcript:ONIVA02G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0K1] MAPGSSIVTDIPESDCVSDGLSEALTGIRLDGDSTCKPWSTSLVTVELSSLTGLNDLLECPVCTNSMRPPILQCPNGHTICSNCKHRVENHCPTCRQELGSECLIAGDVPMLVSHLINDHKVDLHEGCTFNHRYVKSNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMAPVYMAFLRFMGEDSEARNFCYSLEVGGNGRKLTWQGIPRSIRDSHKKVRDSFDGLIIHRNMALFFSGGNRQELKLRVTGRIWKEQ >ONIVA02G02210.2 pep chromosome:AWHD00000000:2:1272988:1275177:-1 gene:ONIVA02G02210 transcript:ONIVA02G02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0K1] MAPGSSIVTDIPESDCVSDGLSEALTGIRLDGDSTCKPWSTSLVTVELSSLTGLNDLLECPVCTNSMRPPILQCPNGHTICSNCKHRVENHCPTCRQELGNIRCLALEKVAESLQLPCKYQSLGCAEIHPYQNKLKHEELCRFRPYSCPYAGSECLIAGDVPMLVSHLINDHKVDLHEGCTFNHRYVKSNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMAPVYMAFLRFMGEDSEARNFCYSLEVGGNGRKLTWQGIPRSIRDSHKKVRDSFDGLIIHRNMALFFSGGNRQELKLRVTGRIWKEQ >ONIVA02G02200.1 pep chromosome:AWHD00000000:2:1267469:1272211:1 gene:ONIVA02G02200 transcript:ONIVA02G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRLFDAFFSTREMRVVMLGLDAAGKTTILYRLHMGEVLSTVPTVGFNVEKVQYKNVVFTVWDVGGQEKLRPLWKMYLSNSDALIYVVDSLDRERIIDARQEFQTIIKDPLMANSIILVFANKQDLRGAMSPDEVSEGLGLHDLRNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQETGHATSRCQCLN >ONIVA02G02190.1 pep chromosome:AWHD00000000:2:1260723:1266063:-1 gene:ONIVA02G02190 transcript:ONIVA02G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTGGAEAEANDPEKRAIYDRYGEEGLKGMPPPGSQSRTSAAADSSGPSNFRYNPSDPDDFFAEFMASNKPYSFDQERTRFQPRSQWTAGNTRGEASSASHKESSTSTSQLEKPPAIEKTLLCTLEELYNGTKRKMKITRNVANTDGKVEIETEVLPVEVLPGWKKGTKITFPNKGDRLSGQLPQDLTFVIDLKPHDVYLLEGNNLVATQEVVRPGHEIVLANEGWPIRKEPGKKGNLKIKFDVTFPTRLSSSQRAAIRQIMGG >ONIVA02G02190.2 pep chromosome:AWHD00000000:2:1260723:1266063:-1 gene:ONIVA02G02190 transcript:ONIVA02G02190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTGGAEAEANDPEKRAIYDRYGEEGLKGMPPPGSQSRTSAAADSSGPSNFRYNPSDPDDFFAEFMASNKPYSFDQERTRFQPRSQWTAGNTRGEASSASHKESSTSTSQLEKPPAIEKTLLCTLEELYNGTKRKMKITRNVANTDGKVEIETEVLPVEVLPGWKKGTKITFPNKGDRLSGQLPQDLTFVIDLKPHDVYLLEGNNLVATQVIPLVDALAGTTIHLKTLDGRNLPIRVEEVVRPGHEIVLANEGWPIRKEPGKKGNLKIKFDVTFPTRLSSSQRAAIRQIMGG >ONIVA02G02180.1 pep chromosome:AWHD00000000:2:1253399:1259604:-1 gene:ONIVA02G02180 transcript:ONIVA02G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDDEWDDDKFLAELFRAQDEAVASRNPNPTPPPPPPPDPISYLPPPSTSSYPSSSAAAAALPLSYITPGPHVFSAAPVHFLPPRELSQHPQGFDVGLRDFSPPRELSQRPAAEVSSREIVAVSSGIAGADRFRGGGGGGARRERDAREAADRREVERLKRELNRVSKQMNDVKNECSELKKDRTRKDLEIKAKEAEIQSLRRANVGSANKYAGSMAMDIDQSVHAPANGALHTGDSCLASTRRAETLNGRNKELSSPQDGLCLNQRNQTYASEVLEESVRFESKGSKHKEIKTVGVQTDLPGNNEYLEHKKVLIDRISSNLCAVWGMPTNSLMGRSLISKILVSCSEEILTLVQSTGSLDKCEASSEASSSVRNAISQVYDIIIKMSSDTIPIQTLLEALLNLAAVGNDAVVSRALRMLHSVLQHLLNNRTMSNQRNNVSIEPCVNNTVHMERNSHKVWTSLFTAMLQIADRHSEENIRVDALSIMIIIARTSDPKVEREKFGFTSVMEKLHQLLQKENGLLVKKHSVDLLFLLLNCPTTLKLLCNGGKDSPEQIEAIRCENDRSQEAISSIFKDLSECLSCRATSSLGIKLCRVVVTLLAYIASSGKLGYEVLLGPVTVRGANFLELIMEVLASQMEYDTALSNGEHELLKERYLLMREVLILLNRLASHANFSKPTLEVLTSSKLCATLTIDVANQLPQRSKYPLRHLGEINIQMANDLAELAQKFRTRVHSFLEEQHSTVDHSNPSALHKS >ONIVA02G02180.2 pep chromosome:AWHD00000000:2:1253399:1259604:-1 gene:ONIVA02G02180 transcript:ONIVA02G02180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDDEWDDDKFLAELFRAQDEAVASRNPNPTPPPPPPPDPISYLPPPSTSSYPSSSAAAAALPLSYITPGPHVFSAAPVHFLPPRELSQHPQGFDVGLRDFSPPRELSQRPAAEVSSREIVAVSSGIAGADRFRGGGGGGARRERDAREAADRREVERLKRELNRVSKQMNDVKNECSELKKDRTRKDLEIKAKEAEIQSLRRANVGSANKYAGSMAMDIDQSVHAPANGALHTGDSCLASTRRAETLNGRNKELSSPQDGLCLNQRNQTYASEVLEESVRFESKGSKHKEIKTVGVQTDLPGNNEYLEHKKVLIDRISSNLCAVWGMPTNSLMGRSLISKILVSCSEEILTLVQSTGSLDKCEASSEASSSVRNAISQVYDIIIKMSSDTIPIQTLLEALLNLAAVGNDAVVSRALRMLHSVLQHLLNNRTMSNQRNNVSIEPCVNNTVHMERNSHKGSSTSLNTPDASSLSRSHDGLHTENTQLPFTVWTSLFTAMLQIADRHSEENIRVDALSIMIIIARTSDPKVEREKFGFTSVMEKLHQLLQKENGLLVKKHSVDLLFLLLNCPTTLKLLCNGGKDSPEQIEAIRCENDRSQEAISSIFKDLSECLSCRATSSLGIKLCRVVVTLLAYIASSGKLGYEVLLGPVTVRGANFLELIMEVLASQMEYDTALSNGEHELLKERYLLMREVLILLNRLASHANFSKPTLEVLTSSKLCATLTIDVANQLPQRSKYPLRHLGEINIQMANDLAELAQKFRTRVHSFLEEQHSTVDHSNPSALHKS >ONIVA02G02170.1 pep chromosome:AWHD00000000:2:1248618:1254411:1 gene:ONIVA02G02170 transcript:ONIVA02G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAHAPFVGPTRQSPTPTHAAHRGRGPRTRTRPPISSSSSSSSPRFLSLPIHLLLLAAARRRNPLAGGGLAGVGRCLRRGRCCICLPVARGKSERPCGLALLEGRVRVMGTNDPGTPSKATKASEPEQSPATTSGTTAPVYPEWPGFQAYSAIPPHGFFPPPVAASPQAHPYMWGAQPMVPPYGTPPPYMMYPPGTVYAHPSTPGVHPFNHYPMLANGNVETAGTAPGASEINGKNELGRTSGPSANGITSHSESGSESESEGSDANSQNDSHSKENDVKEDGSSQNGISHTALNQNMSMAPTQTGVVIGGVAPTTNLNIGMDYWGAAGSSPVPAVHGKASSGSVRGEQWDERELKKQKRKQSNRESARRSRLRKQAECEELSVRADNLRAENSSLRAELERIKKEYEALLSHNASLKEKLEGNSDSIPYMNEQNDTNGTHQKQQDSDAQPNDAP >ONIVA02G02170.2 pep chromosome:AWHD00000000:2:1248573:1254411:1 gene:ONIVA02G02170 transcript:ONIVA02G02170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAHAPFVGPTRQSPTPTHAAHLCEFGCREALLTNQMGTNDPGTPSKATKASEPEQSPATTSGTTAPVYPEWPGFQAYSAIPPHGFFPPPVAASPQAHPYMWGAQPMVPPYGTPPPYMMYPPGTVYAHPSTPGVHPFNHYPMLANGNVETAGTAPGASEINGKNELGRTSGPSANGITSHSESGSESESEGSDANSQNDSHSKENDVKEDGSSQNGISHTALNQNMSMAPTQTGVVIGGVAPTTNLNIGMDYWGAAGSSPVPAVHGKASSGSVRGEQWDERELKKQKRKQSNRESARRSRLRKQAECEELSVRADNLRAENSSLRAELERIKKEYEALLSHNASLKEKLEGNSDSIPYMNEQNDTNGTHQKQQDSDAQPNDAP >ONIVA02G02160.1 pep chromosome:AWHD00000000:2:1244640:1247932:1 gene:ONIVA02G02160 transcript:ONIVA02G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRRIAGMFGISRDDADHHPHHHHHDGAAGDSAAAAEVPQDKVVAAAAAAAAAGNVQRRGFSVQVPVPVERPGPGPVLVPCPQGDGGVQGFRWYTRKLRIDEDGDVADEFLDEVVPEISINNDSTPAGRYQVKYNTKSAALAMRKQISVIDGDICHSLEYQGRLRWV >ONIVA02G02150.1 pep chromosome:AWHD00000000:2:1241705:1242238:1 gene:ONIVA02G02150 transcript:ONIVA02G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMITSMLGRKQNAQQKGGGGGGRTGGGGGGEIEPVSVDIMEPFMDAISLTAFAAAPSAAAAAAGVPSTASMDWKETAAAHVFMADMPGVRREEVRVEVEEEKVLRISGQRARAAEEKGERWHRVERSSERFVRTVRLPPNANTDGVHAALDNGVLTITIPKDNDRKPHARIIPITN >ONIVA02G02140.1 pep chromosome:AWHD00000000:2:1238595:1241201:1 gene:ONIVA02G02140 transcript:ONIVA02G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWELTAITAYFLGLRRTYRLALRIQRRLIGPNHPRIRHFVYRRTRDVFNVAVSVHKNIQQRDLEVGRNLGNMILRWLDRMKPSAQIRPHPPGPPNGSSEQFRHLSSTSKSTGAQKPTSKTLPCDGGKVLFSPLNIRPKSFPVLPTMMQPTRISASSQCRRISYSSFPSATAKRNDLMQGVFRKDIAQLMV >ONIVA02G02130.1 pep chromosome:AWHD00000000:2:1235166:1237884:1 gene:ONIVA02G02130 transcript:ONIVA02G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0I9] MAGRRLLMATAVVAAAAAVVAAAALEAINVTTVAFEEGYTPLFGFDNILRSADDRTVSLLLDRSTGSGFMSSSMYQHGFFSASIKLPSDYTAGVVVAFYTSNGDVIEKRHDELDFEFLGNIRGKPWRVQTNVYGNGSVSRGREERYLLPFDPTTEFHRYSILWTRAAIVFFVDDVPIREVRRTPAMTGDFPSKPMSIYATVWDASTWATSGGRYRVNYRYGPFVASFTDLALLGCRVGDPIGQMLSSAACAAAEDALLASDLAVMTLEKQQAMRRFREQNMVYSYCYDTLRYPAPFPECDVVESERRRFKGSGHLRLAFRRRRRTRPGSRPARPTRAADM >ONIVA02G02120.1 pep chromosome:AWHD00000000:2:1227483:1232549:-1 gene:ONIVA02G02120 transcript:ONIVA02G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYSAAAAAAPSPLAARSRGLLRRPPRSAPVVVRCKKIDQLRAVNGIPPYAPVSNRSLLSPVTLPIIRDANIKNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEDKSFPQLTILSVANLLGETIWRVHDDCSFTGEVIGSQQTKA >ONIVA02G02120.2 pep chromosome:AWHD00000000:2:1228368:1232549:-1 gene:ONIVA02G02120 transcript:ONIVA02G02120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYSAAAAAAPSPLAARSRGLLRRPPRSAPVVVRCKKIDQLRAVNGIPPYAPVSNRSLLSPVTLPIIRDANIKNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEDKSFPQLTILSVANLLGETIWRVHDDCSVVAK >ONIVA02G02110.1 pep chromosome:AWHD00000000:2:1223426:1227458:1 gene:ONIVA02G02110 transcript:ONIVA02G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71210) TAIR;Acc:AT1G71210] MGHFGLMSKYAYQTVADAATALTPVASAIADSFRDWFLLSRRGAAGAAAPPAALDAIYSAVAAEEAAALDALPLSEQLVLAVLRHRPRHLPDDDALLLLRLRFFDWSGSRGRYSHTRAVYHSVFRLLSRSRRSAVVVDWLRLFSNANATPARSRFHDTLVVGYAVAGDPQRGLSILGRMRFRGLDLDAFSSHILLNSLVEASLHEYADSFARHLAASPVATCIRIKSLCRQARSRDAVALLDTLPFDQASSAPAAGSIITDLCRRGRFDDAAQIVDRFPSADVYGSWIHGLVEAGRLATTLQFLSEKKEAEGYIPDGPQYDKLTYRLLRSNRLGEVYDLLVEMMEEGIAPGRSTMNAALCFFCKAGLVEVAAHLYRSRMELGVNPNNDVYNNLIRALCRVGDTEEACLVLEQAMAEGYFPGRQTFTMFANVLCQEGKLDRVRVLLDRALKQEACPTDSVLAKYLVALCKSGDVEAACAVPQMAGSKSPKGLYRYESTYKSLIRALILIRRVDVLPRLLLEMQDMGHIPSRSLYQSVVCALCEVSRYAEVLELLNNQLQRTDLHPRVCYNYFIAGAGHAKKADMAREVYNQMEYSGLEPSGDSNVLLLMSYLRSKRIGDALNFFNFIRDKKTPGTKLYNVFISGLCEAQKPEQAMVFWREAREKGLVPSISCYEQLVLLLCSVKDYDNVVKVIDDFKETGRPVSAFLCNVLLLHTLRGSDLLKAWKRSEQATVKPEEIQGKGVGRFLIGELIMMFAGGIRNMNDLEDLEEDLEKHFPVDVYTYNMLLRGLSMVGRMDSACNLFERLCRKGYEPNRWTFDIMVHGFCKNSDRDEAERWMEAMHRNGFYPTWYTMRIYNNLALRSTDHKVISFV >ONIVA02G02100.1 pep chromosome:AWHD00000000:2:1221779:1222000:1 gene:ONIVA02G02100 transcript:ONIVA02G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQVSRTAVVALVLVAVVVAAAYVPAATAYGCFDDCYERCANGKTDDACNSMCKQACSGAGGGPAEAPDEA >ONIVA02G02090.1 pep chromosome:AWHD00000000:2:1218887:1219186:1 gene:ONIVA02G02090 transcript:ONIVA02G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKMNRMAVAALFLVAVVVAGGAGASAHVGVGAGAGADAGVGAAVGAGADAALGVGAGAAGAAGAGVGAGIGAAANVAGSLTGAAEGAAGVAPKVHV >ONIVA02G02080.1 pep chromosome:AWHD00000000:2:1215213:1215518:1 gene:ONIVA02G02080 transcript:ONIVA02G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKMNKIAVAALFLVAVVVAGGAGASAHVGVGVGAGAGADAGVGAAVGAGADAALGVGANAAGAAGAGVGAGIGAAANVAGSLTGAAEGAAGVAPKVHV >ONIVA02G02070.1 pep chromosome:AWHD00000000:2:1210419:1210718:1 gene:ONIVA02G02070 transcript:ONIVA02G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKVNKIAVAALFLVAVVVAGGAGASAHVGVGAGAGADAGVGAAVGAGADAALGVGANAAGAAGAGVGAGIGAAANVAGSLTGAAEGAAGVAPKVHV >ONIVA02G02060.1 pep chromosome:AWHD00000000:2:1206982:1207762:1 gene:ONIVA02G02060 transcript:ONIVA02G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSRTVVAALLLVAAVVAASPVASAATYGCFEDCYERCASGKKDEACTKMRLAAAPPRTAMPPPLALALALVLAPALAPPSGLALRLPSGLAPELALALAPASELALRPPSGLAPELALALVLAPASGLALRPPSGLALELALALALVLVPPSGLALRPPSGLAPELALALAPPSGLALRPPSGLAPELAPASGLALRPPSGLALALVLAPPSGLALEPPPKSPAPPRAPPARHPRCTREIDRSSGVHLHYSIHK >ONIVA02G02050.1 pep chromosome:AWHD00000000:2:1202337:1206269:1 gene:ONIVA02G02050 transcript:ONIVA02G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASAAAATAAEDGARLLRLEEQAGHGGGGAWEYLCLARRLRARRPEPVLRLGLALLNDSSARSRLASEQWTLYEQVAVAAMDCQRLDVAKDCIGVLSKQFPGSMRVGRLEALLFEAKGEWTDAERAYALILENNPFDQIVHKRKIAIAKAQGDMALAVEYLNKYLELYKQAAFCYEELILAQPTVPLYHLAYAEVLYTMGGLENLQTARKYYASTIQLTGGKNTRALFGVCLCSSAINQLTKGRNKEEESSELQSLAAEALLKDYKQRAPSKEALITSLLKNMKLS >ONIVA02G02040.1 pep chromosome:AWHD00000000:2:1195873:1199646:-1 gene:ONIVA02G02040 transcript:ONIVA02G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0H9] MAAAVATATTPAAAHLHHHHRHHRLPLLPSQPRPRPTLRLRLLIPTPPPLRRLLRRSPLLAAAAVSADGGGGGGGGGEEAERKREKSRQLQKRVLVGVAIGVGAGGVVVAGGWVFAAAVAAAVLAGAREYFGLVRGTAGGGGTPPPRFVSRVCSAICALMPILTLYYGHMDVTVTFSAFLIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRSGLAAPALNTKIAYSWPILLGGQAHWTVGLVATLISISSIIAADTSAFLCGRAFGRTPLTDISPKKTLEGALAGLTGCVLTTVLLSSVLHWPRSLLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGGMLDRVDSYVFTGALCYSFIKVALPLFGV >ONIVA02G02030.1 pep chromosome:AWHD00000000:2:1192738:1196022:1 gene:ONIVA02G02030 transcript:ONIVA02G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi snare 12 [Source:Projected from Arabidopsis thaliana (AT2G45200) TAIR;Acc:AT2G45200] MFHLSRPTPRSDGPYASAESHLRINREAGGIAEAGGSRSRIGPDLAGDDAVGVGRGGGGGGAGAAGVRLSSYARLAARSSSAADAASASSPSERSSWKSMEFEIQSLLDKLQDVNDAMSRCAASTAPTTSVSQKLARHRDILHEFAQEFRRTRGNLSSIREHADLLSSVRDDITESKATGGMSPRVHLLRERASIHGSINQIDEVIGQAQSTRVALSNQRALFGDVQGKVKQLGEKFPVIRGLLGAIKRKKSKDTIILSAVIAACTIFLIIYWLSK >ONIVA02G02030.2 pep chromosome:AWHD00000000:2:1192750:1196022:1 gene:ONIVA02G02030 transcript:ONIVA02G02030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi snare 12 [Source:Projected from Arabidopsis thaliana (AT2G45200) TAIR;Acc:AT2G45200] MPHVPSEPSNPTIGRSLRLRRISPPYQLVCPILLFSPLSSRISSSSFLLQIARRAESRKQGDPAAESGRISPAMMPSASDAAAAAAALELQESGWEELRREARKLEGDLDVKLSSYARLAARSSSAADAASASSPSERSSWKSMEFEIQSLLDKLQDVNDAMSRCAASTAPTTSVSQKLARHRDILHEFAQEFRRTRGNLSSIREHADLLSSVRDDITESKATGGMSPRVHLLRERASIHGSINQIDEVIGQAQSTRVALSNQRALFGDVQGKVKQLGEKFPVIRGLLGAIKRKKSKDTIILSAVIAACTIFLIIYWLSK >ONIVA02G02020.1 pep chromosome:AWHD00000000:2:1189889:1191512:-1 gene:ONIVA02G02020 transcript:ONIVA02G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDEAEEINIKKDTRKSLGRILLKGDNITLMMNTGK >ONIVA02G02010.1 pep chromosome:AWHD00000000:2:1185172:1191268:1 gene:ONIVA02G02010 transcript:ONIVA02G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPRPRLAPNPAAIIHAALLKSSPASLPPRLSFNSLLAAAAASPHPRLRSLVLPALALAHRCPAAAGPLDSYALCSALRHASAPEAEPLHAHAARSGWLGSVFVSCAIAAAYGGSGRFLDARRLFDESPVRNAVFGNAVLAGYVNAGKWAPLLEFARRFSELRLQVDGYTMTAVVRACGELADADLGGQAHGHAIRRVGAVESDVFLTSALVDMYAKCGLVSHAKRVFDLAQQVNAVGIDVVLWTALLNAYGRHGMCKEVIQIYDRMVASGVRPDELTILAVLSACQHAGEVVKGLHYFESIHEDYGLTPTPDHFSCVVNMLCRAGMVIKAWEIVTSKGCGGEFGISTWVALLSACCDCGNVELGRMAAQRAIELEPNNGTIYVELSNLYARFGLWGEIDQLRELMKDNGLEKDAGLTWVELSLPGWSSIPTGQVYDQYFKDKKTDSFEAFHVAYVEFCKDFNTVLPGQDFDTPSLEKIQKFYDDTWKLINEDQEKKNAFMKYIRDNVKEAAVDDSLFIMAGLAAPAGAIVLKRTGQSIPQLKRLDLLPNVLFVPLFTLAAIMGATAVQMKQRSRHT >ONIVA02G02010.2 pep chromosome:AWHD00000000:2:1187619:1191268:1 gene:ONIVA02G02010 transcript:ONIVA02G02010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAFSAFGLPGWSSIPTGQVYDQYFKDKKTDSFEAFHVAYVEFCKDFNTVLPGQDFDTPSLEKIQKFYDDTWKLINEDQEKKNAFMKYIRDNVKEAAVDDSLFIMAGLAAPAGAIVLKRTGQSIPQLKRLDLLPNVLFVPLFTLAAIMGATAVQMKQRSRHT >ONIVA02G02000.1 pep chromosome:AWHD00000000:2:1177964:1184560:1 gene:ONIVA02G02000 transcript:ONIVA02G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSSHTATAAADGGSGKRQQRKGDHKGKLPDGGGGEKEKEAARVEFGYERDFEGRYQVGRLLGHGQFGYTFAATDRASGDRVAVKRIDKAKMVRPVAVEDVKREVKILKELKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWNKTEDGIFREVLRNKPDFRKKPWPGISSGAKDFVKKLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLKEEELADLKDQFDAIDVDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFEEFVAATLHIHQMAELDSERWGLRCQAAFSKFDLDGDGYITPDELRMHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNLPSPRGPPNPQPL >ONIVA02G01990.1 pep chromosome:AWHD00000000:2:1172515:1180530:-1 gene:ONIVA02G01990 transcript:ONIVA02G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHRNIYCTDRWNCLFCDQEVETIDHILVQCPKSCQRRRQRGGDDDEVEEEEGGCLVGRKRKVERKVRERGEGRGREGEEALERVEATQTDACALLFLLTSLSPALFSAFANSPRTIPDRCCNRTIIAPLAMIRACSTAAFRRFLELSVDLDAFLISIAAAAVAAVAGGGGGD >ONIVA02G01980.1 pep chromosome:AWHD00000000:2:1169775:1172477:-1 gene:ONIVA02G01980 transcript:ONIVA02G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-9 [Source:Projected from Arabidopsis thaliana (AT5G62250) TAIR;Acc:AT5G62250] MVSLKLRAILFPFQMEPRREMLLQELGEMWDQIGEAEEDRREMLHALEEDCLNVYRVKVAQVKQYRAQLQREIADSVAEVAAIGATIGEPSTTVHIACSSLQSTGNLKEELGSITPELEEMRRRREERRRKFSEVTELINRIEQEMKPSKQLHLTMDNSDLTIRRLEELRAYLQDLQLEKDSRVRKMTELMGSLHSSSLVLGMDFRETNLHHDDEGDISDDAIARLVSEIGRLREIKRNRMQKLQDLLATMLDLWNLMDTPSEEQKRFQSVACNIAASEDEITERDALSMEFINNVEAEVVRLERLKECRMKDLVLKKYDELNEIRRRAHVPVENEDDAMMMFDAIDSDAKRSLILERLEVQISEAKDEEFSRKDVLEKMEKWQAALEEESWLEEYNRNENRYNVGKGTHLVLKRAEKARALVSKMPAMAEALITKVVAWEKERGAKFEYDGDGLLDMLEEYNNTRKEKEQERKRQRDQRRMLGQGTGESPVVRPPPKNIKNVTRTLSMGGSSTGGKKASASVSSRPSTPSFLKSPMSARRSDEGQMLLSRAVEEDDLL >ONIVA02G01970.1 pep chromosome:AWHD00000000:2:1165726:1166574:1 gene:ONIVA02G01970 transcript:ONIVA02G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRRLAAASLTPPRIPRLLRLRRPDRTPPAFSTWTPHLRPRPHAADPTPIYLPAIEKIEKMTVSSLTKDALKVLQDAGDKAKTHLSGSCTTSTLRSTY >ONIVA02G01960.1 pep chromosome:AWHD00000000:2:1161343:1164416:1 gene:ONIVA02G01960 transcript:ONIVA02G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLRLRPSAVNESLDMKNIDLALDKRASHGTIADLSEGGNASGFRPSRQRKSEHMTSASSGITTMMVAPRRIPEHDTMEEGGSQHGD >ONIVA02G01950.1 pep chromosome:AWHD00000000:2:1155830:1160396:1 gene:ONIVA02G01950 transcript:ONIVA02G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLLRSSGAVVRRALSAASSSGHQHGRPSFRRAISAAATASARRGLAPPPTLPCRNLTALQLMTVNSQPLLFVVKDDKTDLKLKKHLQELEQMLDQIVSEHVKLHRLVHSSDRGYFERISVVKCCEGTPYIVVCSRADVQAMDTSRYLQ >ONIVA02G01950.2 pep chromosome:AWHD00000000:2:1155830:1160396:1 gene:ONIVA02G01950 transcript:ONIVA02G01950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLLRSSGAVVRRALSAASSSGHQHGRPSFRRAISAAATASARRGLAPPPTLPCRNLTAYSSCATHGSNKKDDKTDLKLKKHLQELEQMLDQIVSEHVKLHRLVHSSDRGYFERISVVKCCEGTPYIVVCSRADVQAMDTSRYLQ >ONIVA02G01950.3 pep chromosome:AWHD00000000:2:1155844:1160394:1 gene:ONIVA02G01950 transcript:ONIVA02G01950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLKVSFLKRKKPLGLLGDSGGGEAPAAEVLRRRRVPVPGDGLSPIPMFSVDFRLFVLILPHSHGYLVLLGFRSKQNQEGHVVVVSAALVGRRRPSRSLRCLLLGTSTRAPFLPPCHLSGSHRVRPPRPCAAPNPAVPQPHSDDKTDLKLKKHLQELEQMLDQIVSEHVKLHRLVHSSDRGYFERISVVKCCEGTPYIVVCSRADVQAMDTSRYLQ >ONIVA02G01950.4 pep chromosome:AWHD00000000:2:1155844:1160394:1 gene:ONIVA02G01950 transcript:ONIVA02G01950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLKVSFLKRKKPLGLLGDSGGGEAPAAEVLRRRRVPVPGDGLSPIPMFSVDFRLFVLILPHSHGYLVLLGFRSKQNQEGHVVVVSAALVGRRRPSRSLRCLLLGTSTRAPFLPPCHLSGSHRVRPPRPCAAPNPAVPQPHSVFFLCDTWQLQLMTVNSQPLLFVVKDDKTDLKLKKHLQELEQMLDQIVSEHVKLHRLVHSSDRGYFERISVVKCCEGTPYIVVCSRADVQAMDTSRYLQ >ONIVA02G01940.1 pep chromosome:AWHD00000000:2:1151066:1151370:1 gene:ONIVA02G01940 transcript:ONIVA02G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLRRAAVLRRAVSFAAPSPAVRRAARLPIAPRRPFSQPSAASGDQPPKSALDKAFPLA >ONIVA02G01930.1 pep chromosome:AWHD00000000:2:1145465:1149463:-1 gene:ONIVA02G01930 transcript:ONIVA02G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basal transcription factor complex subunit-related [Source:Projected from Arabidopsis thaliana (AT1G18340) TAIR;Acc:AT1G18340] MASSKLYSDDVSLAVVVVDTNPLFWATAALPFADFFAHLIHYVNSLLLLNHLNHVVVIAAGLSSCTYIFDSSDASASGAADVAATFAKASRKMDEFIAEDARATSSNGSVADGNAASLLSGALGFFGLVLDIHNLGVLQMDLNSPNRFMHSRNPRLCFLATGGVYLKPQELNGLFQYLAAVFATDLHSRTFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKYHKKCSTCGSEFNRVMPDLNSVPDQRQLR >ONIVA02G01930.2 pep chromosome:AWHD00000000:2:1145465:1149463:-1 gene:ONIVA02G01930 transcript:ONIVA02G01930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:basal transcription factor complex subunit-related [Source:Projected from Arabidopsis thaliana (AT1G18340) TAIR;Acc:AT1G18340] MASSKLYSDDVSLAVVVVDTNPLFWATAALPFADFFAHLIHYVNSLLLLNHLNHVVVIAAGLSSCTYIFDSSDASASGAADVAATFAKASRKMDEFIAEDARATSSNGSVADGNAASLLSGALSLALCYIQRVFRSGTRHPQPRVPIDSCIVGTQDSAFLQQASYITGGVYLKPQELNGLFQYLAAVFATDLHSRTFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKYHKKCSTCGSEFNRVMPDLNSVPDQRQLR >ONIVA02G01920.1 pep chromosome:AWHD00000000:2:1142943:1147565:1 gene:ONIVA02G01920 transcript:ONIVA02G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSTFSPPASQLSLTRRRLHPGPDLLTLSSPRLRAGLRLARAAAGEAPVETVEAPPSKPEAEPSPAASNGAAVKAEKPAAAAAAPPLPKFRDSRWVNGTWDLRQFEKGGAVDWDAVIDAEARRRKWLEDCPEATSPDEAVVFDTSIIPWWAWMKRFHLPEAEKLNGRAAMIGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLVRKNEDIETVKKLIDETTFYDKQWQATWQDESPSQPKK >ONIVA02G01910.1 pep chromosome:AWHD00000000:2:1139539:1142703:1 gene:ONIVA02G01910 transcript:ONIVA02G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYADPPPPIKPPEIKIKELANKSTYESSMMEQKTRLHAIERHRSCEVSQAILSEVENRHQHQTLEPIKSPISGCSPSVESTTDTNTVHRHTVADAAWEALKKSIVHFRGQPIGTVAAIDKSQGALNYDQVFMRDFVPSALAFLMKGEPTIVKNFLLETARLQLREKMVDLFKLGQGVMPASFKVHHCNSKHKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTIWTRDNSLAESPECQRAMRLILKLCLSEGFDTSPALLCADGCSMIDRRMGIYGYPIDIQALFFMALRCAVTLLKEDHNDDFVYQISRRIKALSYHLHSYYWLDFQRLNEIYRYKTEEYSETALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLTTGEQAEAILDLVEERWEELIGEMPMKVCYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHIARRAVEVMEKRLVKDEFPEYYDGKAGRYVGKQARKFQTWSVAGYLVAKMLLDDPSNLRAVSLADDSHIRSAPVLKRSNSFP >ONIVA02G01900.1 pep chromosome:AWHD00000000:2:1132843:1137306:1 gene:ONIVA02G01900 transcript:ONIVA02G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPDEVAADADAEVEEEEPEEVEWLSSSDSEPEHPALKQWTPSSPDSEEAEAEQQPSAPQPASSVSAGKEDEGEVGLEEGEVGKPLRWPGWPGASVFRLVVATDKVGGLIGRRGDTIKRLCEDTRARVRVLEAAAAAAANRIVLISATEESQAELPPAMDAAIKIFMHINDIEKINCDDTLSGSAPEKCSAKLLVPSAQATHLIGKQGVRIKSIQETTGATVKIIDKVELLSYDVVDERIVDIHGAPLKVLHALKSVLGVLRKFLVDHGVLHLFERKNQAVGEAHDNSKENQVNQVASDYRLPVNKDILLPDHRTPLNPKITQTMQIPLPFAEEIIGARGQNISYIRSFSGAVVDLEESRDYPNEVLVMIKGSSSQEVLSGNKERPSGSSYINLDSGPKFLISGHINPVNRDHLASYRVHQPYIVRYGSSSLPRLRGYRL >ONIVA02G01890.1 pep chromosome:AWHD00000000:2:1129492:1131850:1 gene:ONIVA02G01890 transcript:ONIVA02G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTTRRTSSKGAAAAPAPKVVAVLAGLLERAAARGDADADARGGSAAAAAATAFRGRTRPEISVRRYAERIYRYAGCSPACFVVARVYLDRLAGRSPEEESSPSPSPPATAAAAVCVDSYSVHRLLITSVMVAAKFMDDIHYNNAYFARVGGVEVAEMNGLELELLFALRFRLNVTPATFATYCAALEGEMAADDGPLPSPSPSPEEEGNDRRQPPPRRKDGITNKVAAAVDRSALLTAAHHRVVVVEITQ >ONIVA02G01880.1 pep chromosome:AWHD00000000:2:1125340:1129138:1 gene:ONIVA02G01880 transcript:ONIVA02G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFYSTSSAYGAAASGWGYDSLKNFRQISPAVQSHLKLVYLTLCVALAASAVGAYLHVALNIGGMLTMLGCVGSIAWLFSVPVFEERKRFGILLAAALLEGASVGPLIKLAVDFDSSILVTAFVGTAIAFGCFTCAAIVAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSTGSFMFEVYFGLLIFLGYMVYDTQEIIERAHHGDMDYIKHALTLFTDFVAVLVRILVIMLKNASDKSEEKKRKKRS >ONIVA02G01870.1 pep chromosome:AWHD00000000:2:1113405:1118492:1 gene:ONIVA02G01870 transcript:ONIVA02G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATETTATSPAAAAAAQPEAEAEAESAATPVAVAAAAAATYQPPAPVVPVAVAGAAAGRGNGKRKRGRPRKYGPDGSLLRPLKATPISASVPDDSGGGQYTPAAAVGAVMKRGRGRPVGFVSRASPVSVAVTAATSTAAVVVSSPATHTQTPLGPLGELVACASGANFTPHIINVAAGEDVNMKVISFSQQGPRAICILSANGVISNVTLRQQDTLGGTVTYEGRFELLSLSGSFTPTDSGGTRSRSGGMSVSLAATDGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNATKKPVIEITTVPPPPPAIGFTISSGDPMEDSYSGSHGQHRSGAAAATTTTAKANSTSAFRVENWTPPAPPAAEAARTKTPSSEAKVPVPGA >ONIVA02G01860.1 pep chromosome:AWHD00000000:2:1104044:1109236:-1 gene:ONIVA02G01860 transcript:ONIVA02G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isopropyl malate isomerase large subunit 1 [Source:Projected from Arabidopsis thaliana (AT4G13430) TAIR;Acc:AT4G13430] MASISAASPVAGKAAAFAHKNELAAAAVAPSQQQLQRRVSGRRARSGRVRAVATPARAPRAPSSTGSVKSAMTMTEKILARASERASLEPGENVWVDVDVLMTHDVCGPGTIGIFKREFGEDAKVWDREKVVIIPDHYIFTSDERANRNVDILRDFCMEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVMGTGKALLKVPPTIRFVLDGEMPPYLLAKDLILQIIGEISVSGATYKSMEFVGSTVESLNMEERMTLCNMVIEAGGKNGVVPADQTTFNYLEGKTSVEYEPVYSDAQARFVSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFFAAAKVFLASGKKVKVPTFLVPATQKVWMDIYSIPVPGAGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPFTAAASALTGYVTDPRDFLMCSVGLSPWKKETDFLRVRTEITSGQFLLGQNGIALLGRNGNEA >ONIVA02G01850.1 pep chromosome:AWHD00000000:2:1101408:1104114:1 gene:ONIVA02G01850 transcript:ONIVA02G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSSAAFLAYPGSLGAGPRPLRLFRAFAAASSSGSGSKKKARKSKGAGNKGEASGGGGGKRKEKALEPPPAVIRRAPAGSASVFQQPEPGFTPGGGGGGKGPTEEERRQRQANENAFLLAWLGLGLIILAEGLALAASGFLPEEWDSFFVKFLYPSFTPTIWKGTYCLTVTYPNLFAAFDMSQQGRWARRRSKDAEDERGGAARRNSGDRPPRDRGRCALVTEATAGGSYSCVSPHGSGFVFLPKRAAPTPRSRSGPTTRYRSGPTRTGRR >ONIVA02G01840.1 pep chromosome:AWHD00000000:2:1097383:1104006:-1 gene:ONIVA02G01840 transcript:ONIVA02G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHTRVGPTCSGLRHKCASASIARRPIAGVPPRCTTSFVLRILRSPPCPPALLTHTSLDYLLRLQGTSNESTTLL >ONIVA02G01830.1 pep chromosome:AWHD00000000:2:1093756:1096680:-1 gene:ONIVA02G01830 transcript:ONIVA02G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLSAVARVLEQPTAWGAACEMAVLAGPLWAAALLGLLLGWAWRPRWAAGLVATADSHPAPLTFATLEFWRTQLPARIRAPLAQYVGAAGPAPPPQQEDDSSLLGSSEMANEQLAVGKDDLMNLWRLVESRDGGPAWIKMMEKALPAMTYQAWRRDPQTGPPQYQSSTIFENANPEEVRDFFGDDQFRMSNKWDDMLIYHKTLEECQTTGTMKVHWVRKFPFFCSDREYIIARRIWKLGGAYYCVTKGVPCSSIPRRNKPRRVDVYYSSWCIRPVESRRGNSGLTACEVLLFHHEDMGIPYEIAKIGIRQGMWGCVKRIEPGLRAYQKARAAGEPLSQSALMAHINTKVGDNFVRGLESNDDQSDIVEAEEKPAKNRVARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRNTL >ONIVA02G01820.1 pep chromosome:AWHD00000000:2:1081123:1092581:1 gene:ONIVA02G01820 transcript:ONIVA02G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G00800) TAIR;Acc:AT4G00800] MAPPSQPPPPPPSQELDLDAFLPSSPTSSSVSEPDGDGAADHRRAVDDLLLLLSSSDSDTDEPPARPSPIAQLKTLASIRAPAPSPKPSPSPSPSPRRSTSASPSATLSSLVSRTFSNNAASSSSSKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSASAPIEKLLDEGSGSEVSEEFPSAGNSEAGVAEKANAEGNADVSKEATSGSGDEELEADKHGEEVRFEEKTEPTESVEEVAADSAVAENINEHKQLGGESLAETDRPADQIGLDDEEHVDDRTADENLVEFGDVEDQVGAACEEDVDDEQESERSETSVEEHSESESIIDKAIEERLEISRKTEKIVEKRPKLSMKPLEQAEELEKRQASFGQHWEEGAAAQPMHLEGIGRGQPAIGYMQIEVDNPITRAMSSQSFGQDHGSPQVMTVHKSYIALGMSKGSVIVIPSKYSIHQADDTDAKMLFFWNQGEKTQSSVTAMCFNQQGDLLLVGYNDGHMTIWDVQKGTAAKVIYGEHTAPVVHACFIRQSKAITGDSKGLVLLHTFSIIPVINRLTIKGTQRLFDGNTGIVLSACPLLVDESFGSSNSSTQGNLTTSSGGGLSSMMGGVVGGVVGVDSGWKFFNEGSSPIEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTTSSSDSPSIVEEQVSWLALAWDRQVQVAKFVKSKMIKHKEWKIDSAAIGVAWLDDQMLVVLNLRGQLCLFSKDSNELRRTVFVLDGYIFDESILYHTHFSNRFGNPEKHFNNSVAVRGATVYILGPNFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYIHYVFEYISIALSSHTGKGGASDGLVDADRSLLTQREEQYARVGGVAVEFCVHIGRNDILFDTVFSKFVAAKSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSSKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLKDFRTPLEELLSVIQNASRKEGASTCYRMLVYLKYCFQGLAFPPGHGTIPQSRLHSVREELLQFLLEESKTSSTDVYKSFKSSSEKCPNICYLLWMDTEAALEVLKCAFAHERFEPRDNPSSTPDARVSEDGDNINIGSPDSENIMLQNVVDTIVDIVGLENEAIHSIVIGAAESEIWPSEKDFGYLIEFVSFFVSHKRAKASQRVVRHILRYLTSSNILSSDDKKTPTQKEKEVLQLFNAVPQTDWNSDYVLHICLDAHFHQACGLIYMTRKQNLPALESYMKDTLEPFHAFIFINKKLSELADYEASSFRSSVISHFPELVKLSRECAFVLVIDHFHDEIQKILSELHSDHHSLFLFLKTAIEVHLSGKLNFSELNARKNSTVELQYSSRELEFYIQRLSNLPKLLDRNPVIMTDEIVELYLELLCQYERRSVLKFLETFDSYRLERCLHLCLDYGVTDAAAFLQERVGDVGSALALILAGLDEKINLFISSVENAFSGIASKSISEIEQPDIVLKMSEAHPVLDALRAAIGLCQRNSQRLNPEESQSLWFQLLDSFSEPLKKLYGSKDVNGKGVRSNGSETSNRQPKDKGFSRKTRISAYQRCLNALRRVFSQFVGEIIEAMAGHIPLPAIMGKLLSDNRSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGLAPQTFVCCICNCSLSKESAVSAIRVFSCGHATHLQCESEQSKSSNRDSKDGCPICLSTSNTQAQNKSPISENGLGKHFGAESEVSHGTYHTHETDHVDRSRGLQQMSRYEILNHLQRPQSLHIETVPPLRLSPPAIYHEKIQKRTTTMGESSKHSVRTEKPQRIWQMKEPRSKRSGNRVLRIIKCDSVNIIWYEFDNSYNGKCWGDEYPDLKAKAGAEKGN >ONIVA02G01820.2 pep chromosome:AWHD00000000:2:1081123:1092722:1 gene:ONIVA02G01820 transcript:ONIVA02G01820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G00800) TAIR;Acc:AT4G00800] MAPPSQPPPPPPSQELDLDAFLPSSPTSSSVSEPDGDGAADHRRAVDDLLLLLSSSDSDTDEPPARPSPIAQLKTLASIRAPAPSPKPSPSPSPSPRRSTSASPSATLSSLVSRTFSNNAASSSSSKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSASAPIEKLLDEGSGSEVSEEFPSAGNSEAGVAEKANAEGNADVSKEATSGSGDEELEADKHGEEVRFEEKTEPTESVEEVAADSAVAENINEHKQLGGESLAETDRPADQIGLDDEEHVDDRTADENLVEFGDVEDQVGAACEEDVDDEQESERSETSVEEHSESESIIDKAIEERLEISRKTEKIVEKRPKLSMKPLEQAEELEKRQASFGQHWEEGAAAQPMHLEGIGRGQPAIGYMQIEVDNPITRAMSSQSFGQDHGSPQVMTVHKSYIALGMSKGSVIVIPSKYSIHQADDTDAKMLFFWNQGEKTQSSVTAMCFNQQGDLLLVGYNDGHMTIWDVQKGTAAKVIYGEHTAPVVHACFIRQSKAITGDSKGLVLLHTFSIIPVINRLTIKGTQRLFDGNTGIVLSACPLLVDESFGSSNSSTQGNLTTSSGGGLSSMMGGVVGGVVGVDSGWKFFNEGSSPIEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTTSSSDSPSIVEEQVSWLALAWDRQVQVAKFVKSKMIKHKEWKIDSAAIGVAWLDDQMLVVLNLRGQLCLFSKDSNELRRTVFVLDGYIFDESILYHTHFSNRFGNPEKHFNNSVAVRGATVYILGPNFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYIHYVFEYISIALSSHTGKGGASDGLVDADRSLLTQREEQYARVGGVAVEFCVHIGRNDILFDTVFSKFVAAKSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSSKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLKDFRTPLEELLSVIQNASRKEGASTCYRMLVYLKYCFQGLAFPPGHGTIPQSRLHSVREELLQFLLEESKTSSTDVYKSFKSSSEKCPNICYLLWMDTEAALEVLKCAFAHERFEPRDNPSSTPDARVSEDGDNINIGSPDSENIMLQNVVDTIVDIVGLENEAIHSIVIGAAESEIWPSEKDFGYLIEFVSFFVSHKRAKASQRVVRHILRYLTSSNILSSDDKKTPTQKEKEVLQLFNAVPQTDWNSDYVLHICLDAHFHQACGLIYMTRKQNLPALESYMKDTLEPFHAFIFINKKLSELADYEASSFRSSVISHFPELVKLSRECAFVLVIDHFHDEIQKILSELHSDHHSLFLFLKTAIEVHLSGKLNFSELNARKNSTVELQYSSRELEFYIQRLSNLPKLLDRNPVIMTDEIVELYLELLCQYERRSVLKFLETFDSYRLERCLHLCLDYGVTDAAAFLQERVGDVGSALALILAGLDEKINLFISSVENAFSGIASKSISEIEQPDIVLKMSEAHPVLDALRAAIGLCQRNSQRLNPEESQSLWFQLLDSFSEPLKKLYGSKDVNGKGVRSNGSETSNRQPKDKGFSRKTRISAYQRCLNALRRVFSQFVGEIIEAMAGHIPLPAIMGKLLSDNRSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGLAPQTFVCCICNCSLSKESAVSAIRVFSCGHATHLQCESEQSKSSNRDSKDGCPICLSTSNTQAQNKSPISENGLGKHFGAESEVSHGTYHTHETDHVDRSRGLQQMSRYEILNHLQRPQSLHIETVPPLRLSPPAIYHEKIQKRTTTMGESSKHSVRTEKPQRIWQMKEPRSKRSGNRVLRIIKCDSVNIIWYEFDNSYNAGEMNTQISRQRRERRRATRSACQLRYA >ONIVA02G01820.3 pep chromosome:AWHD00000000:2:1081123:1092650:1 gene:ONIVA02G01820 transcript:ONIVA02G01820.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G00800) TAIR;Acc:AT4G00800] MAPPSQPPPPPPSQELDLDAFLPSSPTSSSVSEPDGDGAADHRRAVDDLLLLLSSSDSDTDEPPARPSPIAQLKTLASIRAPAPSPKPSPSPSPSPRRSTSASPSATLSSLVSRTFSNNAASSSSSKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSASAPIEKLLDEGSGSEVSEEFPSAGNSEAGVAEKANAEGNADVSKEATSGSGDEELEADKHGEEVRFEEKTEPTESVEEVAADSAVAENINEHKQLGGESLAETDRPADQIGLDDEEHVDDRTADENLVEFGDVEDQVGAACEEDVDDEQESERSETSVEEHSESESIIDKAIEERLEISRKTEKIVEKRPKLSMKPLEQAEELEKRQASFGQHWEEGAAAQPMHLEGIGRGQPAIGYMQIEVDNPITRAMSSQSFGQDHGSPQVMTVHKSYIALGMSKGSVIVIPSKYSIHQADDTDAKMLFFWNQGEKTQSSVTAMCFNQQGDLLLVGYNDGHMTIWDVQKGTAAKVIYGEHTAPVVHACFIRQSKAITGDSKGLVLLHTFSIIPVINRLTIKGTQRLFDGNTGIVLSACPLLVDESFGSSNSSTQGNLTTSSGGGLSSMMGGVVGGVVGVDSGWKFFNEGSSPIEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTTSSSDSPSIVEEQVSWLALAWDRQVQVAKFVKSKMIKHKEWKIDSAAIGVAWLDDQMLVVLNLRGQLCLFSKDSNELRRTVFVLDGYIFDESILYHTHFSNRFGNPEKHFNNSVAVRGATVYILGPNFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYIHYVFEYISIALSSHTGKGGASDGLVDADRSLLTQREEQYARVGGVAVEFCVHIGRNDILFDTVFSKFVAAKSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSSKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLKDFRTPLEELLSVIQNASRKEGASTCYRMLVYLKYCFQGLAFPPGHGTIPQSRLHSVREELLQFLLEESKTSSTDVYKSFKSSSEKCPNICYLLWMDTEAALEVLKCAFAHERFEPRDNPSSTPDARVSEDGDNINIGSPDSENIMLQNVVDTIVDIVGLENEAIHSIVIGAAESEIWPSEKDFGYLIEFVSFFVSHKRAKASQRVVRHILRYLTSSNILSSDDKKTPTQKEKEVLQLFNAVPQTDWNSDYVLHICLDAHFHQACGLIYMTRKQNLPALESYMKDTLEPFHAFIFINKKLSELADYEASSFRSSVISHFPELVKLSRECAFVLVIDHFHDEIQKILSELHSDHHSLFLFLKTAIEVHLSGKLNFSELNARKNSTVELQYSSRELEFYIQRLSNLPKLLDRNPVIMTDEIVELYLELLCQYERRSVLKFLETFDSYRLERCLHLCLDYGVTDAAAFLQERVGDVGSALALILAGLDEKINLFISSVENAFSGIASKSISEIEQPDIVLKMSEAHPVLDALRAAIGLCQRNSQRLNPEESQSLWFQLLDSFSEPLKKLYGSKDVNGKGVRSNGSETSNRQPKDKGFSRKTRISAYQRCLNALRRVFSQFVGEIIEAMAGHIPLPAIMGKLLSDNRSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGLAPQTFVCCICNCSLSKESAVSAIRVFSCGHATHLQCESEQSKSSNRDSKDGCPICLSTSNTQAQNKSPISENGLGKHFGAESEVSHGTYHTHETDHVDRSRGLQQMSRYEILNHLQRPQSLHIETVPPLRLSPPAIYHEKIQKRTTTMGESSKHSVRTEKPQRIWQMKEPRSKRSGNRVLRIIKCDSVNIIWYEFDNSYNGKCWGDEYPDLKAKAGAEKGN >ONIVA02G01820.4 pep chromosome:AWHD00000000:2:1081123:1092650:1 gene:ONIVA02G01820 transcript:ONIVA02G01820.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G00800) TAIR;Acc:AT4G00800] MAPPSQPPPPPPSQELDLDAFLPSSPTSSSVSEPDGDGAADHRRAVDDLLLLLSSSDSDTDEPPARPSPIAQLKTLASIRAPAPSPKPSPSPSPSPRRSTSASPSATLSSLVSRTFSNNAASSSSSKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSASAPIEKLLDEGSGSEVSEEFPSAGNSEAGVAEKANAEGNADVSKEATSGSGDEELEADKHGEEVRFEEKTEPTESVEEVAADSAVAENINEHKQLGGESLAETDRPADQIGLDDEEHVDDRTADENLVEFGDVEDQVGAACEEDVDDEQESERSETSVEEHSESESIIDKAIEERLEISRKTEKIVEKRPKLSMKPLEQAEELEKRQASFGQHWEEGAAAQPMHLEGIGRGQPAIGYMQIEVDNPITRAMSSQSFGQDHGSPQVMTVHKSYIALGMSKGSVIVIPSKYSIHQADDTDAKMLFFWNQGEKTQSSVTAMCFNQQGDLLLVGYNDGHMTIWDVQKGTAAKVIYGEHTAPVVHACFIRQSKAITGDSKGLVLLHTFSIIPVINRLTIKGTQRLFDGNTGIVLSACPLLVDESFGSSNSSTQGNLTTSSGGGLSSMMGGVVGGVVGVDSGWKFFNEGSSPIEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTTSSSDSPSIVEEQVSWLALAWDRQVQVAKFVKSKMIKHKEWKIDSAAIGVAWLDDQMLVVLNLRGQLCLFSKDSNELRRTVFVLDGYIFDESILYHTHFSNRFGNPEKHFNNSVAVRGATVYILGPNFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYIHYVFEYISIALSSHTGKGGASDGLVDADRSLLTQREEQYARVGGVAVEFCVHIGRNDILFDTVFSKFVAAKSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSSKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLKDFRTPLEELLSVIQNASRKEGASTCYRMLVYLKYCFQGLAFPPGHGTIPQSRLHSVREELLQFLLEESKTSSTDVYKSFKSSSEKCPNICYLLWMDTEAALEVLKCAFAHERFEPRDNPSSTPDARVSEDGDNINIGSPDSENIMLQNVVDTIVDIVGLENEAIHSIVIGAAESEIWPSEKDFGYLIEFVSFFVSHKRAKASQRVVRHILRYLTSSNILSSDDKKTPTQKEKEVLQLFNAVPQTDWNSDYVLHICLDAHFHQACGLIYMTRKQNLPALESYMKDTLEPFHAFIFINKKLSELADYEASSFRSSVISHFPELVKLSRECAFVLVIDHFHDEIQKILSELHSDHHSLFLFLKTAIEVHLSGKLNFSELNARKNSTVELQYSSRELEFYIQRLSNLPKLLDRNPVIMTDEIVELYLELLCQYERRSVLKFLETFDSYRLERCLHLCLDYGVTDAAAFLQERVGDVGSALALILAGLDEKINLFISSVENAFSGIASKSISEIEQPDIVLKMSEAHPVLDALRAAIGLCQRNSQRLNPEESQSLWFQLLDSFSEPLKKLYGSKDVNGKGVRSNGSETSNRQPKDKGFSRKTRISAYQRCLNALRRVFSQFVGEIIEAMAGHIPLPAIMGKLLSDNRSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGLAPQTFVCCICNCSLSKESAVSAIRVFSCGHATHLQCESEQSKSSNRDSKDGCPICLSTSNTQAQNKSPISENGLGKHFGAESEVSHGTYHTHETDHVDRSRGLQQMSRYEILNHLQRPQSLHIETVPPLRLSPPAIYHEKIQKRTTTMGESSKHSVRTEKPQRIWQMKEPRSKRSGNRVLRIIKCDSVNIICWGDEYPDLKAKAGAEKGN >ONIVA02G01810.1 pep chromosome:AWHD00000000:2:1071121:1079869:-1 gene:ONIVA02G01810 transcript:ONIVA02G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSVVTAGRAVHGILVAVVVVQRLLAAGASPPADPVHCASGTARCTVTNAYAAFPDRSTCRAAAAAYPASEEELLRVVAAAAASGTKMKAATRYGHSVPKLSCPGAGDGRGLAISTSALNRVVAVDAAGMAITVESGVTLAELIDAAAGAGLALPHSPYWLGVTVGGLLSTGAHGSSVWGNGSAVHEYVTGMRIVTPAPAREGYAKVRVLAAGDTELDAAKVSLGVLGVISQVTLKLQPMFKRSVAFQHCGDGDLAERVVAFAGEHEFADILWYPGHGKAVYRIDDRVPSNTPGDGVYDFVGFRATPTLAIQAKRLVEDGLEATGNAAGKCLAASTTNSILAARNYGLTRNGQLLGAFPGTAVVGYQNRIQSSGSCLTGADDGLLTACPWDPRVEHGTFFFQSGISVPLSRAAAFIRDVQRLRDLNPDALCGVELYDGVLMRYVRASAAHLGKPEDSVDFDLTYYRSRDPATPLLHEDVVEEVEQMALRKYGGVPHWGKNQNAAFEGAAAKYGGARAAAFMRVKRAYDPEGLFSSEWSDKVLGVAGAGGVSVVRDGCALEGLCVCSEDAHCSPEKGYLCRPGRVYKEARVTNQTSNHALQAKKIKLTMQQLQSRRRRVAPGRRRRITHGAPLLAAVAVLLCASVRFAGASPPPGPVRCASGTANCTVTNAYGAFPDRSTCRAAAAAYPASEQELLRVVAAAAASGTKMKVATRYGHSVPKLACPGDGGGGGGALVISTDALNRVVAVDGGRMEITVESGVTLAELIGAAAGAGLALPHSPYWLGLTVGGLLSTGAHGSSVWGKGGAVHEYVVGMRIVTPAPASEGHAKVRVLAAGDPELDAAKVSLGVLGVISQVTLKLQPMFKRSVAFRRRDDDDLAERVTAFAGEHEFADILWLPSQGKAVYRIDDRVPNTTSGDGAVYDLVVFQSSPTVAIQANRLGEDVLEATANSAGKCLAGSATIARLAAGNYGVTRRGVLSPPPGAAVVGYQNRIQSSGSCLAGADDGLLTACTWDPRVRHNSFFFQSGISVPLSGAAAFIRDVQRLRDLNPDALCGLEVYYGVLLRYVRASTAHLGKPEDSVELDLTYYRSRDPAAPRLHEDAVEEIEQMALRKYGGVPHWGKNRNAAFDGAIAKYPKSGEFLKVKGSYDPEGLFSSEWSDKVLGVAGAGGVSVVRDGCALEGLCVCSEDAHCSPEKGYLCRPGRVYKEARVCRRVAGDDH >ONIVA02G01800.1 pep chromosome:AWHD00000000:2:1060460:1060723:1 gene:ONIVA02G01800 transcript:ONIVA02G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDTPAAGGDPQVAAAEQLRRLKSSWPELVGWPEFYAALKIIDERPDVTVYMFRDGDDLPPPEHDPNRIAIFVDRDIRVSRTPVVG >ONIVA02G01790.1 pep chromosome:AWHD00000000:2:1056814:1057056:1 gene:ONIVA02G01790 transcript:ONIVA02G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAAAAATAGDGESLKTSWPEVVGWVELNAAFQINRDKPDVHVAFYMVGTQLPTDHDDLRVIVVSDAGTVVVRTPVVG >ONIVA02G01780.1 pep chromosome:AWHD00000000:2:1054198:1054827:1 gene:ONIVA02G01780 transcript:ONIVA02G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVRWAEVLGELAPLAVTQISNDRPDVAVEVLLRDAAVVSPEFNPKRVREVSHLYQG >ONIVA02G01770.1 pep chromosome:AWHD00000000:2:1053227:1053442:-1 gene:ONIVA02G01770 transcript:ONIVA02G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKKASWPEVVGWPATAAVTQINGDRPDVSIEVIPDGATVPPGFDANRVRVFFNAGDAVGPVTRTPFVG >ONIVA02G01760.1 pep chromosome:AWHD00000000:2:1049948:1051862:1 gene:ONIVA02G01760 transcript:ONIVA02G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQYSFTLCLMLLLVAALLCTMNTISVEAGRSGYNSVNPDGRSGYNSVNPDGRSGYNSVNPDGRSGYNSVNPNGRGGYNSVNPNGRSGYNSVPRNGGGSP >ONIVA02G01750.1 pep chromosome:AWHD00000000:2:1041248:1044523:1 gene:ONIVA02G01750 transcript:ONIVA02G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0E1] MSRACLLLVVAAVCLAGREAAAFNYADALDKAILFFEAQRSGKLPPGQRVAWRADSGLSDGSADGVDLAGGYYDAGDNVKFGLPMAFTVTMLSWSVIEFGDMMPARRSSFLGGIFGGGGVAQLDNARAAVRWGADYLLKAATATPDTLYVQVADPYQDHRCWERPEDMDTPRSVYKVTPQSPGSDVAGETAAALAAASIVFRVSDPSYSAKLLDAAQLVFDFADKYRGSYSDSLSSVVCPFYCSHSYHDELLWAASWLHLASPEKKDVYLSYIGSNGHALGAEQDDFTFSWDDKRVATKGFLQSRADGLQLYKAHTDNYICSLVPGANGFQSQYTPGGLLFKEGDSNMQYVTSTAFLLLTYAKYLSSSAATVSCGSTAVSPSTLISLAKKQVDYILGANPAGMSYMVGFGARYPRHVHHRGASMPSVRDHPARIGCDEGFRYLHSPEPDRNLLAGAVVGGPDAGDAFADGRDNYAQAEPSTYTNAPLVGALAFFAGAHKIFTP >ONIVA02G01740.1 pep chromosome:AWHD00000000:2:1035629:1037916:-1 gene:ONIVA02G01740 transcript:ONIVA02G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0D8] MAQPSSKKTPSVYLYIPNIIGYFRIIINFIAFAVCYSNRVLFAILYFFSFFCDGLDGWFARKFNQASTFGAVLDMTWLSFLDVAWVGYYKPLVSNVQVHPLPEGKTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIVLFLFADEKSTSLLNVCGNLLKQSPLTVFVFISTLVGWALKQVINVIQMKSAADACVVFDLKRGK >ONIVA02G01740.2 pep chromosome:AWHD00000000:2:1035629:1037916:-1 gene:ONIVA02G01740 transcript:ONIVA02G01740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0D8] MAQPSSKKTPSVYLYIPNIIGYFRIIINFIAFAVCYSNRVLFAILYFFSFFCDGLDGWFARKFNQASTFGAVLDMTWLSFLDVAWVGYYKPLVSNVQTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIVLFLFADEKSTSLLNVCGNLLKQSPLTVFVFISTLVGWALKQVINVIQMKSAADACVVFDLKRGK >ONIVA02G01740.3 pep chromosome:AWHD00000000:2:1037923:1039657:-1 gene:ONIVA02G01740 transcript:ONIVA02G01740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0D8] MAIASTRSRAGRGSVPSPSKSNRSICTRKEASNRTTNRINPSAAAATRLSLPRIHPRAPLLSGDSPESRRGGAAALASATARNSYIGLQFKGEAFRLLASNNY >ONIVA02G01730.1 pep chromosome:AWHD00000000:2:1031840:1034316:-1 gene:ONIVA02G01730 transcript:ONIVA02G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQGSGVPAALALSSGHTMPSVGLGVWRMDSPAIRDLIHSALRIGYRHFDCAADYQNEAEVGDALAEAFQTGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLRLDYLDLYLIHFPVATRHTGVGTTASALGDDGVLDIDTTISLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDCLVKFCQKHGILVTAHTPLGGSTANTEWFGSVSCLDDPVIKVSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKEERLQENFAVFDFAISDEDMEKMRSIDRKYRTNQPAKFWGIDLFA >ONIVA02G01720.1 pep chromosome:AWHD00000000:2:1027697:1031094:-1 gene:ONIVA02G01720 transcript:ONIVA02G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPAAAVALADLLESGTFAPPSPPRPPAPTTILSAWSHLRNPTSPAAADALAALETLHLHRRSLRLSSAHVALLLPLLPLHPRLVSPLLATSPHLLPPSLPASLPVSPRLLLLGALASSRSAKSLSSHANSGSTAAENLGGGGGESGDGHDSDPVVTVARILDDMEKGSERCHDLDHLALAGIGCVLASADELQFRRIIGSLLTICGRIGSLSVGVRMLKLVEWLLLGFIESRKMRKVQVLFEMISPESCQSQGYVMSPVVMVACGALRALRVASARYRLDFDPRLKEAPERTIRFAAEKAVLEGKHVDDRRLLLQCVALGLTQCGQVTPHESVLRCVCMALLEELLPLPDLLKMSVQCPDGNSPEIVKNRVKQHLDSVLFKEAGPVAGILCNQYSFASDKAKTSVETCVWEYAQVLYCHLRAAVILHQGKQDDLITDIEKIAEAAFLMVVVFSAEVTKHRLNAKSSEGFQPDVAVKILVSFSCLEHLRRLRLPEYTEAVRRAVLVNQENAAVAALFIESIPSYAELTNLLTLDGTRYIWHGDVVQTSRILFYLRVIPTCISLIPAHMIQDKYIQHSNEKVTRASHSVVVSFLSSGNDTDPDDRMALKEQLAFYYIKRTLEAYPGVTPFEGLASGVAALARHLPAGSPATLFCIHNLVVKAKDLCHTSMVEDKSLWRSWEGSTEPCKKILDLLLRLIFLVDIQSFPYLLKELAEFVSLLPKEGQDVLLDDMHAHVAESDDVTRKPSLSYISSQATRHKSLDNVGSDELNLNRTMARETNILSTYTMTGILVIDHQR >ONIVA02G01710.1 pep chromosome:AWHD00000000:2:1018136:1028583:1 gene:ONIVA02G01710 transcript:ONIVA02G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit C [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0D5] MEAYKLWVRKNRDLVRSLESLANDITHLKYFYQGLTWILPERFANSEIAPEAVYAFLGIVSSVNQHIIETPTDGQTLASKEQSIPWSLVVSVLKDIEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFRESGYKMLLQGGEVANEEEINILDENFGAKSNGVPVIYPMNGHFQNGHGVASNGLDGKAGFVSKSLEGRAVAALNKFGQNAKMTSDPMWMKKALPPPDPPAMVVEKPTLASIWSAKGISGRLFLLGEVVHIFRPLLYVLLIKKFGIKSWTPWRHLQKAEKVLDPVPLIGFLTARSPLMAIRPYGFVFRRETGDSDSEEEEQEIESEAGSESEDEGGDAGGRSGNQNRYLRTTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQESFEKLNKQLEKVVRVNESSTVPNMYIKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLIQECREHPERFEDDDVEDKDDDDETEDEDSDAEEDPEKIAMSESDEGDDDEEDDQDGGDWVRHTNKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRVERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGKIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLEHVGNFKAEAKVALRRVELVYYKPQEVYDSMRKLAEQDEDSREDEDAEADEERQVSDNNRGPPPFVVIPEVVPRKPTFPESGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDKRKFMNRTFRRLLEISERQTFVGPPENVRDHVMAATRALSKGDHQKAFDVINSLEIWKLLRNKEHVLEMLKLKIKEEALRTYLFSYSSCYQSLSLDQLTTMFDLSEQQAHSIVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMADKLSVLVESNERAYEAKTGGTFEGAPPRRRGDAQDSSNLGKWQENFVSSQGRQGGGRSGYSGRGGGSGRGGGGYQRDRGSQGSRGGYGGGSRFQDGGRSRTGSMAKGGDGGARMVSLNRAGRG >ONIVA02G01700.1 pep chromosome:AWHD00000000:2:1011873:1015564:1 gene:ONIVA02G01700 transcript:ONIVA02G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKVEKIGEGTYGVVYKGKHRHTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHKEKCIYLVFEYLDLDLKKHMDSSPDFKNHRIVKSFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFSIMGTPNEETWPGVASLPDYISTFPKWPSVDLATVVPTLDSSGLDLLSKMLRLDPSKRINARAALEHEYFKDLEVA >ONIVA02G01700.2 pep chromosome:AWHD00000000:2:1011806:1015564:1 gene:ONIVA02G01700 transcript:ONIVA02G01700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERQCHKPNPIHPQVHHHTHTHTHAHHSSPHNASTPTPPPPPGSPRDGAGEHLSTSAMTMPFAVSDPSASVEEMVAAAAADDECVCVWLEEQYEKVEKIGEGTYGVVYKGKHRHTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHKEKCIYLVFEYLDLDLKKHMDSSPDFKNHRIVKSFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFSIMGTPNEETWPGVASLPDYISTFPKWPSVDLATVVPTLDSSGLDLLSKMLRLDPSKRINARAALEHEYFKDLEVA >ONIVA02G01690.1 pep chromosome:AWHD00000000:2:998852:1003265:-1 gene:ONIVA02G01690 transcript:ONIVA02G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine dimethylase family protein [Source:Projected from Arabidopsis thaliana (AT1G01860) TAIR;Acc:AT1G01860] MVALPSLSPPPPRPSPSPATPRRRPPPPPPPHATPSHRVRSRPATVVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLDAGATVFAVEKDKHMATLVNDRFGSTEQLKIIEEDITKFNVRSHFLPFLEEKSHHTRKYAKVVSNLPFNVSTEVVKLLLPMGDVFSVMVLLLQDETALRFADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNSGDYPPVNSAFNGKRKMLRKSLQHLCSSSEIEAALANIGLPVTCSPDFLQSLHGLQASVATIVVMGLPAVQW >ONIVA02G01690.2 pep chromosome:AWHD00000000:2:999624:1003265:-1 gene:ONIVA02G01690 transcript:ONIVA02G01690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine dimethylase family protein [Source:Projected from Arabidopsis thaliana (AT1G01860) TAIR;Acc:AT1G01860] MVALPSLSPPPPRPSPSPATPRRRPPPPPPPHATPSHRVRSRPATVVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLDAGATVFAVEKDKHMATLVNDRFGSTEQLKIIEEDITKFNVRSHFLPFLEEKSHHTRKYAKVVSNLPFNVSTEVVKLLLPMGDVFSVMVLLLQDETALRFADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNSGDYPPVNSAFNGKRKMLRKSLQHLCSSSEIEAALANIGLPVTVLLADTASARPIFSSRCTAFRLQLQQ >ONIVA02G01690.3 pep chromosome:AWHD00000000:2:998852:1003265:-1 gene:ONIVA02G01690 transcript:ONIVA02G01690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine dimethylase family protein [Source:Projected from Arabidopsis thaliana (AT1G01860) TAIR;Acc:AT1G01860] MVALPSLSPPPPRPSPSPATPRRRPPPPPPPHATPSHRVRSRPATVVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQVVPVVLPPVPDSPPKPRNPRFDRAPCRRWPLVSVQNYMLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLDAGATVFAVEKDKHMATLVNDRFGSTEQLKIIEEDITKFNVRSHFLPFLEEKSHHTRKYAKVVSNLPFNVSTEVVKLLLPMGDVFSVMVLLLQDETALRFADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNSGDYPPVNSAFNGKRKMLRKSLQHLCSSSEIEAALANIGLPVTCSPDFLQSLHGLQASVATIVVMGLPAVQW >ONIVA02G01690.4 pep chromosome:AWHD00000000:2:999624:1003265:-1 gene:ONIVA02G01690 transcript:ONIVA02G01690.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine dimethylase family protein [Source:Projected from Arabidopsis thaliana (AT1G01860) TAIR;Acc:AT1G01860] MVALPSLSPPPPRPSPSPATPRRRPPPPPPPHATPSHRVRSRPATVVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQVVPVVLPPVPDSPPKPRNPRFDRAPCRRWPLVSVQNYMLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLDAGATVFAVEKDKHMATLVNDRFGSTEQLKIIEEDITKFNVRSHFLPFLEEKSHHTRKYAKVVSNLPFNVSTEVVKLLLPMGDVFSVMVLLLQDETALRFADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNSGDYPPVNSAFNGKRKMLRKSLQHLCSSSEIEAALANIGLPVTVLLADTASARPIFSSRCTAFRLQLQQ >ONIVA02G01680.1 pep chromosome:AWHD00000000:2:994707:997523:-1 gene:ONIVA02G01680 transcript:ONIVA02G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMIVCIDAGFSLILVTLMFALVIPDLTNIDFISVSLLYLHKVTVRFTGIGLRRVACPKMRPVFCGNLDYDARQSEIERLFSKYGRVERVDMKSGFAFVYMEDERDADEAIHRLDRIEFGRKGRRLRVEWTKEDRSGGRRGNSKRSPNNTRPTKTLFVINFDPINTRTRDLERHFDQYGKISNVRIRRNFAFVQYELQEDATKALEGTNGSTLMDRVISVEYALRDDDEKRNGYSPERRGRDRSPDRRDYRGRSASPYGRGRERGSPDYGRGRERGSPDYGRGGDRGSPDYRRGASPQGGNKGDERGSPPNNYDRERREASPGYDRPRSRSPARYERE >ONIVA02G01670.1 pep chromosome:AWHD00000000:2:992044:994046:1 gene:ONIVA02G01670 transcript:ONIVA02G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARABIDOPSIS TRITHORAX-RELATED PROTEIN 6 [Source:Projected from Arabidopsis thaliana (AT5G24330) TAIR;Acc:AT5G24330] MGPATPLRRRTRARPAATRAEGGSGGDGDDDDVRCEACGSGESAAELLLCDGCDRGLHIFCLRPILPRVPAGDWFCPSCASPSPHSKKSHAAKKPKQFPLVQTKIVDFFKIQRGPAAAAESSEGKKRKRKAGGIRLVSKKKRKLLPFNPSDDPARRLRQMASLATALTATGAVFSNELTYVPGMAPRAANRAALESGGMQVLPKEDVETLNLCKRMMARGEWPPLLVVYDPVEGFTVEADRFIKDLTIITEYVGDVDYLTRREHDDGDSMMTLLSAATPSRSLVICPDKRSNIARFINGINNHTPDGRKKQNLKCVRFDVGGECRVLLVANRDISKGERLYYDYNGSEHEYPTHHFV >ONIVA02G01660.1 pep chromosome:AWHD00000000:2:988582:989025:-1 gene:ONIVA02G01660 transcript:ONIVA02G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHQQIRSTTAAEQQEASAGGGGGEEYEDLMPVMAGRLGAEGLLSELRAGFRLLADPARGAITAESLRRSAASVLGLGGGGGEMTVEEAAAMVREGDQDGDGALSEAEFCVLMVRLSPGIMGDAEGWLEEAIADELLRSPPPPPPA >ONIVA02G01650.1 pep chromosome:AWHD00000000:2:986101:987050:-1 gene:ONIVA02G01650 transcript:ONIVA02G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAPLAAVHAIITCSASNKNNPPSARQQQQTTTTTATATRGSPAALPSLLRTTAAAAATAALALAPPDALAAGGEFGILEGRSVALLHPLVMGGLFAYTLWAGYLGWQWRRVRTIQDEINELKKQLKPAAAAAATPAAVAAGDSSSSSSSPPPSAPKSPVEIKIDELTEERKKLIKGSFRDRHFNAGSILLGLGVTESVGGALNTWFRTGKLFPGPHLFAGAAITVLWAAAAALVPAMQKGNETARSLHIALNAINVLLFIWQIPTGLEIVGKVFEFTTWP >ONIVA02G01640.1 pep chromosome:AWHD00000000:2:980246:983816:-1 gene:ONIVA02G01640 transcript:ONIVA02G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42480) TAIR;Acc:AT5G42480] MEGFHNLLARPNSAPFAFSLPRPRPRPRRRPPPHPSAACRAASRWAERLFADFHLLPTAAPSDPPSPAPAPAAAPSASPFVPLFPDAAERSLPLQVDFYKVLGAEPHFLGDGIRRAFEARIAKPPQYGYSTDALVGRRQMLQIAHDTLMNQNSRTQYDRALSENREEALTMDIAWDKVPGVLCALQEAGEALAVLVTGEQLLLDRPPKRFKQDVVLAMALAYVDLSRDAMAASPPDVIGCCEVLERALKLLQEDGASNLAPDLLSQIDETLEEITPRCVLELLSLPIDTEHHKKRQEGLQGARNILWSVGRGGIATVGGGFSREAFMNEAFLRMTSIEQMDFFSKTPNSIPPEWFEIYNVALAHVAQAIISKRPQFIMMADDLFEQLQKFNIGSHYAYDNEMDLALERAFCSLLVGDVSKCRMWLGIDNESSPYRDPKILEFIVTNSSISEENDLLPGLCKLLETWLIFEVFPRSRDTRGMQFRLGDYYDDPEVLSYLERMEGGGASHLAAAAAIAKLGAQATAALGTVKSNAIQAFNKVFPLIEQLDRSAMENTKDGPGGSLENFDQENAPAHDSRNAALKIISAGALFALLAVIGAKYLPRKRPLSAIRSEHGSVAVANSVDSTDDPALDEDPVHIPRMDAKLAEDIVRKWQSIKSKALGPEHSVASLQEVLDGNMLKVWTDRAAEIERHGWFWEYTLSDVTIDSITISLDGRRATVEATIEEAGQLTDVTEPRNNDSYDTKYTTRYEMAFSKLGGWKITEGAVLKS >ONIVA02G01630.1 pep chromosome:AWHD00000000:2:976061:978821:-1 gene:ONIVA02G01630 transcript:ONIVA02G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit J [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0C3] MEDWVYFGRLMFSCCHIWNKSVTTDSEDFQPAVPSAKAEPLKSKWADEDVEEDDVKESWEEEEEEKPKPPPVEKTAPKPSGKGAAKKGKQQASTSSEVVQDEALDDPALEKLRQQRLVEEADFKSTTELFGKKDGSEKSLDTFIPKSESDFAEYAELIANKLRPYEKSFHYMGLLKNVMRLSMASLKGADAKDISSSIAAIANEKIKAEKEAAAGKKKQGAKKKQLHIENKDDDFIPGKEAPEHLKRLHGNNAHLFDVDFDSHRIGKSTCVLELDT >ONIVA02G01620.1 pep chromosome:AWHD00000000:2:968321:975484:-1 gene:ONIVA02G01620 transcript:ONIVA02G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0C2] MSAHLRLLSAAPLPALLPTRRLPAVPTPALAARAARLVLSRPLTEPQPPRLPRGAAARCRGVAVAGADGDEAAAAAAGTAGLWEQVRDIVVFAGPALGLWICGPLMSLIDTMVIGQTSSLQLAALGPGTVFCDYLCYIFMFLSIATSNMVATSLAKKDEELAQHQVSMLLFVALTCGLGMFLFTKLFGTQVLTAFTGSGNYDIISAANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGVGDLLLCSVCGYGIAGAAWATMVSQIVAAFMMMQNLNKRGFRAFSFTIPSSSELLQIFEIAAPVFVTTTSKVAFYALLTYSATSMGAITLAAHQVMVNVLCMCTVWGEPLSQTAQSFMPELIYGAKCNLMKARMLLKSLVMIGAITGTTVGAVGTLVPWLFPSLFTNDFMVVQQAGRDLRFLSQSMGACFGIGTFLLMIIRNKFGSLPGCWWILVLFQWGRFGSALQRLLSPTGMLYNENFNNHHDEYVKVKAT >ONIVA02G01610.1 pep chromosome:AWHD00000000:2:963790:967139:1 gene:ONIVA02G01610 transcript:ONIVA02G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGEPLRRRRHLADDGFFRFLLPSPKPATTTTTTPPPAALFVPPHRLIAPPVPLPQPPRPEERLFIVPPTRPSWLPPLSIPPPATATAPPPTRCPPRRMGNGGGGCFGGRSGVVGWRYGGFVGNGGHRGFERRRVGGGFIGAANAGEATGGERRAVVRKREKKVWVAVEKKGEDCGGGDEDQAAMGAGYAGGDERDEQVDVDDDEQDDGDGDDPFDVAADHDLLAVVADGAGSEKPMEQLGSPPDQPPPPPPRQRVGTRRWRVERRHDIDAFTPGLLSLYESLNPSEEHKAKQRQLIESLTNSVSKEWPNAQLHLYGSCANSFGNSHSDVDVCLQIDTAAEENIAELLLALAETLRKDDFDNVEAITSARVPIVKIADPGSGLSCDICVNNLFAVANTKLLKDYAQIDEKLLQLAFIVKHWAKLRGVNETYLGTLSSYAYVLMCISFLQQREPKILPCLQAMEPTYTLVVDGTECAYFDQVDQLKDFGAENKESIAELLWAFFHYWAFHHDYRNDVISVRMGNTISKQEKNWTTRVGNDRHLICIEDPFETSHDLGRVVDRQTIRVLREEFERAATILQYDDDPCVALFEPYDYES >ONIVA02G01600.1 pep chromosome:AWHD00000000:2:960864:962709:-1 gene:ONIVA02G01600 transcript:ONIVA02G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIN3 associated polypeptide P18 [Source:Projected from Arabidopsis thaliana (AT2G45640) TAIR;Acc:AT2G45640] MAGRGEMPMRPVRPGPPMQYRGPPPMARARVEPVDREKTCPLLLRVFTKVGGHHQNEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALAARKRNARLSFAFVYPDKHGRFVVKEVGSTFSYGHGRGDDAKTLAELGFQIGDYLSVAIY >ONIVA02G01590.1 pep chromosome:AWHD00000000:2:956336:958210:1 gene:ONIVA02G01590 transcript:ONIVA02G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02060) TAIR;Acc:AT1G02060] MNRAARSRSPEDASVAAARKLHLLLRSRDLRPALSYLRTLPSPLTLLPNHALNALLRALAAAGRVRAAAALFRRIPSPTPHSFNSLLAALLRRGRRRAASALFAALLRSPSASPDAATLNTLLHGLSTASPHPSTPALLRLFRFLPDTYAFAPDAISYNSLLSALCRAGDVLTARKLFDGMRVGGEEGRGAVFPNVITYTTMIKAYCAKRLVNEALAIFKLMVADGVAPNRITYNTMVQGFCDAGRMELVKEVLEMDSFRPDTCTFNTLVAVHCREGRIEDAMKVFNQMVELRVRRDSASYSMVIRVLCENGEFGQAEELVDELLEKEVLKKRGGCTPLIAAYNPVFVYLCEHGKTKKARMLFGQLLDRRSKVDVPAFKTLILGHCREGDFEEGYALLLSMLKRDLVPDDECYIAVIEGFSQRGRMKFAWEALHRMLNSGLRPSTSTFHSVLLGLLNKDGCAKEAADLIEIMLERKIRQNVYLSTNLVDTLFRNNLNDRAYKIVTSLYDHGYYIKMEKLIANLCEEKKFIEAADFTLFSLEKSQNFGVAIPSMVLDGLCMTGRASEAFRLFYELIENRSALASVAAPRSLVALHHALEESGKMKEADFIARQMRRASARIRERI >ONIVA02G01580.1 pep chromosome:AWHD00000000:2:953430:955708:1 gene:ONIVA02G01580 transcript:ONIVA02G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWSRAVLPSCQSQIHLSPSREFRRRPTWPAAGYPRHAPPPDQASLPQTRSSSSPALSSSTRSRNGEVSQQLPICSLQRCSICSPCSFHTTRNSSHLLPPYFQVPLVAQAHLSAASTASDAPQPLSKLGGTHLVP >ONIVA02G01580.2 pep chromosome:AWHD00000000:2:953920:955708:1 gene:ONIVA02G01580 transcript:ONIVA02G01580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLSVFISHNQEFLTFVATILSGALGSSGSSFSSIDSLRRSSTLEQAGGYTSRPLGAVRPKMLPSGCRPLHTSHPLSAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAAIISIPLATKFSLMFDV >ONIVA02G01570.1 pep chromosome:AWHD00000000:2:948567:953649:-1 gene:ONIVA02G01570 transcript:ONIVA02G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCYGWMRRAPARKSSWFVAGRLDPAVVRGGGSRPPARWVAAGIRVTARGHEKVHNPNHNISPFKLVELEVCKCELLHGSAPDRQGLEVAEMVPGLVLIQADMY >ONIVA02G01560.1 pep chromosome:AWHD00000000:2:947405:952799:1 gene:ONIVA02G01560 transcript:ONIVA02G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHVFSSFSSSLIRVLEAPLLLPAASASSSSSSPASRSGGRRRRTAHVRPSPAIYPGRQELASHSSMLPTDFDSQVLIERHEALTDDVQEMLQHQRRRHQKTASGGRERIATVDHLRRLCIDHYFQDEVDDAMDACLLEELAHGGDLLDATLAFRLMREAGHHVSADEVLGRFTDDNGEFRLDYRKDIRGLLSLQDISHMNIGQEASLCKAKEFSTRNLESAINYLEPNLARYVRQSLDHPYHVSLNQYKARHHLSYLQTLPIRCTAMEELALADFQLNKLLHQMEMQEIKRWWMDLGLAQEIPVARDQVQKWFVWMMTAIQGASLSRCRIELTKIVSFVYIVDDIFDLVGTREELSCFTQAIRMWDLAAADSLPSCMRSCFRALHTVTNDIADMVEREHGVNPINHLKKAWAMLFDGFMTETKWLSAGQVPDSEEYLRNGVVTSGVPLVFVHLLFMLGHDVSQNAAEFVDHIPPVISCPAKILRLWDDLGSAKDEAQEGLDGSYKELYLKENPGLAAGEAEEHVRRLIAGEWEELNRECFSASPSRSSPATTFPAGFTQAALNAARMVGVMYGYDGERRLPVLDDYVRMLLF >ONIVA02G01550.1 pep chromosome:AWHD00000000:2:935826:940790:1 gene:ONIVA02G01550 transcript:ONIVA02G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0B3] MVVSIITRALILILGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLAVLTVFERVGDNFVSWLPMYSEAKLAFIVYLWYPKTQHFQGTSYVYESFFKPYIGKHEAEIDRNLLELRTRAGDMAVHYFQKIADYSHTRFYEILQYIASQSEAQRSRPQAQQHQQRPPPPRTRQVNPAPPPVPSPSAPPLPPQPPPPRNQAQADKAPIPVAPPGAAVPPAQPQPQPPQAGAEAVTTESTEATQAANPPATTASNPHQAPVIPDEETLIQEAIRMTRSRLRRRMGGA >ONIVA02G01550.2 pep chromosome:AWHD00000000:2:936862:940790:1 gene:ONIVA02G01550 transcript:ONIVA02G01550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G0B3] MVVSIITRALILILGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLAVLTVFERVGDNFVSWLPMYSEAKLAFIVYLWYPKTQHFQGTSYVYESFFKPYIGKHEAEIDRNLLELRTRAGDMAVHYFQKIADYSHTRFYEILQYIASQSEAQRSRPQAQQHQQRPPPPRTRQVNPAPPPVPSPSAPPLPPQPPPPRNQAQADKAPIPVAPPGAAVPPAQPQPQPPQAGAEAVTTESTEATQAANPPATTASNPHQAPVIPDEETLIQEAIRMTRSRLRRRMGGA >ONIVA02G01540.1 pep chromosome:AWHD00000000:2:932551:947655:-1 gene:ONIVA02G01540 transcript:ONIVA02G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERQVLGGRDRSPAKGGRGLYGGGGHRSGSPEKKKKKKPKQLEGAAAPPAHELGRRRRTRRRIKDSDFRMCMQCSVHPASRAKRMTRNDPVAWELYQASKQRIDRRATGRLAAARTNAEAGDGAPVPEASRSFSTEATRVGGERIQNQGERKYKITDCGPRRKKDPPGSVTGGSCRDFADVDALATGALVKDGGKRDGGKEYVKAKGKGWDLRRRFRPPAALPDSEANERGHALRVTDAWAQLSGGLAVGCQAYGKCGGEERKGDGDFLRSFLGI >ONIVA02G01530.1 pep chromosome:AWHD00000000:2:927531:932533:-1 gene:ONIVA02G01530 transcript:ONIVA02G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKREKLVAGLTRRHMIRSGSSSSSSPTAAAAADSNKNVSEGGDQNLISRQKKGKAKVLKWRLSNTDMDMKGEEGGSDGDYDDTVLSSLTTASFSSLISRKRVKSLGKVAEDCDAIDPPVPRKLRSAINKRASQTVSTSPRHVKKRRHLSAISSQTFLMNRETRCNAIPLANHFSKEEEVVVDALLSLSQIPHLCELSSDRGMAEDNLDLNVTSVSYSAGATKVDEKISALPTAGTEVANQPALDEPVERTGNVSQINHVPCGGTCNNTNPTLSNDGQIHDISLGIVTNLPSPSKDYNNSRKQLKVQFDNSTIHPTKIEAPRCLENSKKPDILEHDRKNVKNNTAQEIVPPVQTSKPCASHRPSSNTLASCNNTAAETVKGTGEHENLSLVNKNGTPSKTWKRSITHVYMCHLIQMHLDKEKASQNRVKPEEVCHSHISRSPDGSTISKNGAQDEKFYALHFDVRLPVQPSCSVCDTTIARQKMVSGNFLNLPTSAALSGVQHVQYLHPPIAPRGAMPYPIQHLPYTRGNLTHTALLLQQMPQYMCNPNPAIMKIQQQLMPNQHQHQQQQQMWQFQFPQYHHPRPDAAAAAAAVSAAWQHSSRLHDVSSLRPVAVLPAPPPPPPPQMELFCSPYHGGSRQPPQLRLI >ONIVA02G01520.1 pep chromosome:AWHD00000000:2:925194:926616:-1 gene:ONIVA02G01520 transcript:ONIVA02G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGVVVEAFTEEEHEVASILRDLADLVRARHRRRRRRRVQREEIPSWGCRRPRTTPGEKKPAPPADVGRRHEAAASPDTPLAFLVPDESSGDDVAARAAPPRKAPASHAEWVEEQRAVVASLSQENSHLSKQIEEYRVRLQSSRSTNDGLKQMQRKLKRQREHEEEEEVNRKRRVEAAAAAADIVRPAPVLDLNEPARAPEEDDDDAVAVAAAKAAAAAAAAAAAEWYHLGQKRAAMACKAAMTAEARLRRQQIRRDKAAARRAG >ONIVA02G01510.1 pep chromosome:AWHD00000000:2:917398:917916:1 gene:ONIVA02G01510 transcript:ONIVA02G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G22920) TAIR;Acc:AT3G22920] MSNTRVFFDMTVGGAPAGRIVMELYAKDVPRTAENFRALCTGEKGVGKSGKPLHYKGSTFHRVIPEFMCQGGDFTRGNGTGGESIYGEKFADEVFKFKHDSPGILSMANAGPNTNGSQFFICTVPCSWLDGKHVVFGRVVEGMDVVKAIEKVGSRGGSTAKPVVIADCGQLS >ONIVA02G01500.1 pep chromosome:AWHD00000000:2:908098:913125:-1 gene:ONIVA02G01500 transcript:ONIVA02G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT2G21070) TAIR;Acc:AT2G21070] MGGGRKRRRRDGSEAPAIHPRNRYAAAAPDFASLASLYPSFAPFVSVSRGGRASIDFTDFAATRELTRVLLLHDHGVNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDLIPPISSSNKTVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAKKNVESNPQLAALVEIRNANKMSCSSESEAVDGEAARENTSKPVDGVLRSKPSILLGVVKDSESFDFCMCNPPFFESIEEAGLNPKTSCGGTAEEMVCPGGEQAFITRIIEDSVSLKNSFRWFTSMVGRKSNLKILVSKVREAGVSVVKTTEFVQGQTARWGLAWSFIAPRKMVIRSSTPGKANYSFMLQGLRREYGAFQVLKSAESFFHASNLSCKTDSSLFSIDVTLSDEQAQAAMLHDESGSVEGNSTKLHSGVTGTSFRISVFEQMPGTLLVRGSLLNKALSGIFSSTFSQLEDTLKMEFLSKAR >ONIVA02G01490.1 pep chromosome:AWHD00000000:2:904718:905440:-1 gene:ONIVA02G01490 transcript:ONIVA02G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARHRGTVKWFNDTKGFGFISPDDGSEDLFVHQSSIKADGFRSLAEGEQVEFAISESDDGRTKAVDVTGPDGSFVKGGAGGGGGGGGGFGSRGGGGSGGGGRSYGGSWGGGRRSGGGGPGGGCFKCGESGHMARDCFNGGGGGVGGGGGGGGGAGGGCFKCGEMGHMARDCFNSGGGGGGGGGGGGGACYNCGETGHLARDCYNGGGGGGGGRFGGGGDRSCYNCGEAGHIARDCHK >ONIVA02G01480.1 pep chromosome:AWHD00000000:2:898952:899366:-1 gene:ONIVA02G01480 transcript:ONIVA02G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDATKANTKDETRRDGAEQGQGRVQTKDQTTHTTQQKTGQGRRRPPMHRLIHPSIHPSCAIMHFLALVVLAGGLVEPCPHHFILL >ONIVA02G01470.1 pep chromosome:AWHD00000000:2:892999:897775:-1 gene:ONIVA02G01470 transcript:ONIVA02G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT5G64050) TAIR;Acc:AT5G64050] MAAAMGSPWLRIRLLPEVPPRLLRPHLRRTLSVRASASASASPDGAGGPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTKKSEEAVLSDLAWLGLDWDEGPDVGGEFGPYRQSERNSMYKQYAEKLMESGAVYRCFCSSEELEQMKETAKQMQLPPVYMGKWGTASDAEIQQELEKGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMRISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDAVKLKWMNGQHLRSFPPDVLIKSFEDRWKDTGILQESESGFAKEVAELLKDGIDLITDADAALSNLLSYPLHATLSSDEAKSVVQDKLSEVASGLISAYDSGELCQALAEGRDGWQKWVKFFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGGTVVLIHKAGTCGAVTQQSGFVNLDERFRILKEVEWESLVQEQESPAETAVPASP >ONIVA02G01460.1 pep chromosome:AWHD00000000:2:889083:891910:-1 gene:ONIVA02G01460 transcript:ONIVA02G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSDCSSSATSRLLPLRRALLAPPCRFRPATVAAPPRRPLAIAAPQLPLLPRARGMASASAAAAAAASSTDSDACAKIIDGKLVAKQIREEIAVEIAKMKDAIGVVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDSSEDEVLKHIATFNSDPSVHGILVQLPLPHHMNDENILNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSNTKKPEEITRQADIVIAAVGVANLVRGSWIKPGAAIIDVGINPVDDPESPRGYRLVGDVCYEEASKIAGLITPVPGGVGPMTIAMLLSNTLESAKRIHKFK >ONIVA02G01450.1 pep chromosome:AWHD00000000:2:883327:886235:-1 gene:ONIVA02G01450 transcript:ONIVA02G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKQIGAPYYIECSSKTQLNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >ONIVA02G01440.1 pep chromosome:AWHD00000000:2:880770:883599:1 gene:ONIVA02G01440 transcript:ONIVA02G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 29 [Source:Projected from Arabidopsis thaliana (AT2G16740) TAIR;Acc:AT2G16740] MALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIMGPNDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDRLRYESTARGWTQKYAMG >ONIVA02G01430.1 pep chromosome:AWHD00000000:2:876262:878661:-1 gene:ONIVA02G01430 transcript:ONIVA02G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16910) TAIR;Acc:AT2G16910] MGRGDHLLMKNSNAAAAAAAVNGGGTSLDAALRPLVGSDGWDYCIYWRLSPDQRFLEMTGFCCSSELEAQVSALLDLPSSIPLDSSSIGMHAQALLSNQPIWQSSSEEEEADGGGGAKTRLLVPVAGGLVELFASRYMAEEQQMAELVMAQCGGGGAGDDGGGQAWPPPETPSFQWDGGADAQRLMYGGSSLNLFDAAAADDDPFLGGGGDAVGDAAAAAGAWPYAGMAVSEPSVAVAQEQMQHAAGGGVAESGSEGRKLHGGDPEDDGDGEGRSGGAKRQQCKNLEAERKRRKKLNGHLYKLRSLVPNITKMDRASILGDAIDYIVGLQKQVKELQDELEDNHVHHKPPDVLIDHPPPASLVGLDNDDASPPNSHQQQPPLAVSGSSSRRSNKDPAMTDDKVGGGGGGGHRMEPQLEVRQVQGNELFVQVLWEHKPGGFVRLMDAMNALGLEVINVNVTTYKTLVLNVFRVMVRDSEVAVQADRVRDSLLEVTRETYPGVWPSPQEEDDAKFDGGDGGQAAAAAAAAGGEHYHDEVSGGYHQHLHYLAFD >ONIVA02G01430.2 pep chromosome:AWHD00000000:2:877086:878661:-1 gene:ONIVA02G01430 transcript:ONIVA02G01430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16910) TAIR;Acc:AT2G16910] MGRGDHLLMKNSNAAAAAAAVNGGGTSLDAALRPLVGSDGWDYCIYWRLSPDQRFLEMTGFCCSSELEAQVSALLDLPSSIPLDSSSIGMHAQALLSNQPIWQSSSEEEEADGGGGAKTRLLVPVAGGLVELFASRYMAEEQQMAELVMAQCGGGGAGDDGGGQAWPPPETPSFQWDGGADAQRLMYGGSSLNLFDAAAADDDPFLGGGGDAVGDAAAAAGAWPYAGMAVSEPSVAVAQEQMQHAAGGGVAESGSEGRKLHGGDPEDDGDGEGRSGGAKRQQCKNLEAERKRRKKLNGHLYKLRSLVPNITKVSYLLVDRHGHERIKSFFKSISV >ONIVA02G01430.3 pep chromosome:AWHD00000000:2:876262:877067:-1 gene:ONIVA02G01430 transcript:ONIVA02G01430.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16910) TAIR;Acc:AT2G16910] MDRASILGDAIDYIVGLQKQVKELQDELEDNHVHHKPPDVLIDHPPPASLVGLDNDDASPPNSHQQQPPLAVSGSSSRRSNKDPAMTDDKVGGGGGGGHRMEPQLEVRQVQGNELFVQVLWEHKPGGFVRLMDAMNALGLEVINVNVTTYKTLVLNVFRVMVVRDSEVAVQADRVRDSLLEVTRETYPGVWPSPQEEDDAKFDGGDGGQAAAAAAAAGGEHYHDEVSGGYHQHLHYLAFD >ONIVA02G01420.1 pep chromosome:AWHD00000000:2:872885:874469:-1 gene:ONIVA02G01420 transcript:ONIVA02G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single hybrid motif superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G75980) TAIR;Acc:AT1G75980] MAEESATLDAPPPLERSPQRESAVDEETRALVVPDAGDLPPFPPSAVEANFARYFVADFLNPGHDQYVYRHPNGLCVVGLASAHIALKEEGGITAVDFNVGKSDRSEMKVTGKRKRNAQHLQENSALCKVCTSSNSFVVRCCVKGSLLEINDRLIKQPDLLNTSVSILF >ONIVA02G01420.2 pep chromosome:AWHD00000000:2:872885:873785:-1 gene:ONIVA02G01420 transcript:ONIVA02G01420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single hybrid motif superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G75980) TAIR;Acc:AT1G75980] MKVTGKRKRNAQHLQENSALCKVCTSSNSFVVRCCVKGSLLEINDRLIKQPDLLNTSVSILF >ONIVA02G01410.1 pep chromosome:AWHD00000000:2:869507:871693:1 gene:ONIVA02G01410 transcript:ONIVA02G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G63855) TAIR;Acc:AT1G63855] METAASASTSTPEGDSGQHAAAAPPRMTTVSKHYFGGASSAHNHDLRVDIIENIEEDYGMFVWPCSVILAEYVWQQRSRFTASTVVELGAGTSLPGLVAAKVGADVTLTDIAHNTEVLNNIRQVCGLNNVNCTVLGLTWGEWDEPTFDLHPDVILGADVLYDSAKFDDLFATVSFLLENSPGAMFISTYHNRSGHHLIELLMVKWGLKCLKLLDGFSFLPSCKAASLQGNIQLVEIALDKGKLNCSSADENNL >ONIVA02G01400.1 pep chromosome:AWHD00000000:2:864390:868534:1 gene:ONIVA02G01400 transcript:ONIVA02G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0E0G095] MVSLASPRSGRAPVIMSWSGELSPPAAASGTRLLHGDLDLTIHEARGLPNMDFLSTLLRRLCLCLRPPARRPSPGQSRGSVPANEDGRRQPHGHHLLPTSDPYAAVVVAGNTLARTHVVRNSEDPEWSTHVLLHLAHHATGVAFHVKDADPFGSDLIGVAILPAADVLAAAAAPIVRRELPLYRPDGRGRPKPSSAIVITASFVPAGEHQSIYDAEHGGVPAAYFPARRGCEVKLYQDAHVAGGELDGVRRRGVFEPGRCWEDMCLAVLGAQHLVYVAGWSVNTKVRLVREAMSPEMAAKVEEVRTTATDDDDNPVAAEGMSLGALLKYKSQEGVRVCLLVWDDKTSHDTFFLKTVALPSVQIVGTMYTQHQKCLLVDTPASGSTRRITAFLGGLDLAAGRYDTPSHRLFADLGTVFSGDVYNPAIPPAGNKGGAGEEGPRQPWHDMHCRVDGPAAYDVLENFEQRWRKATKLFRRAKAHWKDDALLKLERISWILSPSDSGAGDGDDGDSHLYALPDGHPDCWNAQVFRSVDSGSVKGLPRCWETKKMEAKHLLCDKNVTVEQSIHTAYVRAIRSAKRFIYIENQYFIGSSFAWPSYKHQEGRHHLNLLNLSHHLSESIALKVASKIAAGERFAVYIVIPMWPEGVPTSGPIQEILFWQRQTMQAMYEVIAAAIRAAGMEGAAHPRDYLNFYCLGKREAAAAAAAAGGSPEQEHNPAASSARRHRRFMIYVHSKGMIVDDEYVIVGSANINQRSLAGSRDTEIAVGAYQPNLRAGAGAGDGQVFGFRMLLWEEHLGSSEWRELRSPESPECVKRVNEIAAENWRRYAADDDDVAMQGHLMRYPVDVGDDGKISELRGHEFFPDVGGRILGSTNNNYWDYLTM >ONIVA02G01390.1 pep chromosome:AWHD00000000:2:853693:859152:-1 gene:ONIVA02G01390 transcript:ONIVA02G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEESPPPPPSSPPPPPSSPQPAAEVGAGGRRADKSGRRLEVYSEVLARLRGLGAAAPVEISPAYEDALWAHFHRLPARYALDVHADRAEDVVTHHRLLEEARDPDRRPALSVRVVQVSRILDGDMDDCSELGMEPVHTNHLARQMVHPPPAFGSCSNLEALALEASEANLRSSNNDEDSSVHLISRPMHEITFATTDKPKVLSQLTCLLSELGLDIQEAHAFSTSDGYSLDVFVVTGWHLGGTEQLKEKLLEKFHDIETQAWPTSNSSSQSLEGPSGGESMPSTSVEIPTDGTDVWEIDLKLLKFGTKVASGSNGDLFRGSYCSQDVAIKVVRPERISADMYRDFAQEVYIMRKVRHRNVVQFIGACTRQPNLYIVTGGSLHDYLHKKNNSFKLSEILRVATDISKGMNYLHQNNIIHHFGVARVKDQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELITGKIPYEYLTPLQAAIGVVQKGLRPTIPKDTHPKLSELLQKCWHRDPAERPDFSQILEILQRLPKEVRADTEGRQKSKAGFLSALKRNH >ONIVA02G01380.1 pep chromosome:AWHD00000000:2:850661:852611:-1 gene:ONIVA02G01380 transcript:ONIVA02G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAASLSTPAPSPAAGGRGRRRVNVAVASLRRAAAGGGSSWRSERRLMSELERTVTPGAAERVIRSYVASKSERAALAALSRLLMDSDPFAIPFYEAVTQARWFKWSSIHAAAVAALLESNGSAEESRSLISDSISRLHSTSSSEEVSLFYCDLMAAFSSRGMRDRAMDFYSQLRASPPLSGKKTYTAMIKSLCLMGLAGEAEAALREMASRGHQSEAFQFGLVAKCYGKAGSMAEMERVISSMSDAGIRLGTGAANIVLSCYTSCRDHSRMLAWLRRMRKLRIAPTTKAFNFVLNSCPTVASMAQELGESLPLSTAELVKKLRSASQWPAEAELVQELLTSSSVLDKAMDWSESEVKLNLHGFSTIAAYVLILQWVDAMKARRALPLEVSVVCGIGKHSDVRGEPKVRELAQEVLSRMGSPLRLSMRNKGRLVAKCDRVKQWLATDWSSPVDEESTDQSPNGDNQQPFLLTLMRKLGQLLEGYGTDKPCRPVIEGKAYNLGGGLGRVEEIPEEISAAVSAAAGAGGTGFLGVELATTTTACTLHLYKP >ONIVA02G01370.1 pep chromosome:AWHD00000000:2:848791:850888:1 gene:ONIVA02G01370 transcript:ONIVA02G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRATELRLLLVAMAVAAVTARGAAGGGAAKVPAIFVFGDSTVDVGNNNYLAGISARADFPHNGVDFPGGEPTGRFSNGLIGVDFIAAAMGFTRSPPPYLSLIAMDANSSGEVMSNMMMAAASAMKGASFASGGSGVLDSTGTTISMTKQIEYFSDLRDQISTILSAEKASTLLSKSIFLISAGGNDAFEFFSQNKSPDSTAIQEFCEAFISTYDSHVKTLYNLGARKFAVINVPLLGCCPYLRSQNPTGECIEPLNQLAKRLNGEIRDLFRDLSSEMQGMKYSIASSYELISSLIENPQAAGFVEVKSACCGGGGKFNAEEACTPSSSCCADRSRYLFWDLLHPTQATSKIVGLAFYDGAARFVSPITFKQLADA >ONIVA02G01370.2 pep chromosome:AWHD00000000:2:848791:850888:1 gene:ONIVA02G01370 transcript:ONIVA02G01370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRATELRLLLVAMAVAAVTARGAAGGGAAKVPAIFVFGDSTVDVGNNNYLAGISARADFPHNGVDFPGGEPTGRFSNGLIGVDFIGEYSGEVMSNMMMAAASAMKGASFASGGSGVLDSTGTTISMTKQIEYFSDLRDQISTILSAEKASTLLSKSIFLISAGGNDAFEFFSQNKSPDSTAIQEFCEAFISTYDSHVKTLYNLGARKFAVINVPLLGCCPYLRSQNPTGECIEPLNQLAKRLNGEIRDLFRDLSSEMQGMKYSIASSYELISSLIENPQAAGFVEVKSACCGGGGKFNAEEACTPSSSCCADRSRYLFWDLLHPTQATSKIVGLAFYDGAARFVSPITFKQLADA >ONIVA02G01360.1 pep chromosome:AWHD00000000:2:843050:848200:1 gene:ONIVA02G01360 transcript:ONIVA02G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLTSAFLGFFYSPHLDTAPPRWVHLAHGMLLFLYQFEALAFGSTAMCGKATFWYWFIAAVPFYCATWEHFFTNTLILPIVNGPTEGLMLIYLCHFFTFFTGAEWWAQDFRKSIPLLNWVPLVPEVPVYGIALFLMIAFAVIPTIGSNIHNVYKVVEARKGSMLLALAMLFPFGLLLAGVLVWSYLSPSDIMRNQPHLLVIGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMSLAYFPFAIANALTARLDDGNPLVDEQLVLLMYCLFTVALYMHFATSVIHEITNALGIHCFSIT >ONIVA02G01360.2 pep chromosome:AWHD00000000:2:843050:848200:1 gene:ONIVA02G01360 transcript:ONIVA02G01360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLYQFEALAFGSTAMCGKATFWYWFIAAVPFYCATWEHFFTNTLILPIVNGPTEGLMLIYLCHFFTFFTGAEWWAQDFRKSIPLLNWVPLVPEVPVYGIALFLMIAFAVIPTIGSNIHNVYKVVEARKGSMLLALAMLFPFGLLLAGVLVWSYLSPSDIMRNQPHLLVIGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMSLAYFPFAIANALTARLDDGNPLVDEQLVLLMYCLFTVALYMHFATSVIHEITNALGIHCFSIT >ONIVA02G01350.1 pep chromosome:AWHD00000000:2:841082:842185:-1 gene:ONIVA02G01350 transcript:ONIVA02G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPHAMRAAKLPLAVLAKPTHASASQPPAPPRPSNPRHRKTPAKASKTPAPATAAAPPPVEKRPIKTASDLAAAIRAAADADVDAAVDLARSAARTIPLPPHSLSLLLRRLARHRSVAAARGLLAELHPSPASPPPRPALLALSDAVCRRGEPREIAQLLPVLADHGVKADAPVYNALMKAHCAASDPAGVLGVLRRMKDDGVEPDLVTYNTLVFGLARAGMVTKARTYLDAMAAAGHFPDVITYTSLMNGMCVKGDAMGALALLEEMEAKGCEPNERTYNTLLMGLCKNKKLDKAVDVYKSMVGAAMKLEAPAYATFVRALCRAGRVPDAYEVFDYGIESKSFAEVTLYTELENSLKWLHRMKS >ONIVA02G01340.1 pep chromosome:AWHD00000000:2:831842:837220:1 gene:ONIVA02G01340 transcript:ONIVA02G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGAASSVLPAARRGGRIAAVIAPLLLFLAAALSFPSTIGRIPSLVALGRRHAPSPPPPPPPPRVAVCLVGGARRFELTGPSIARHVLAPLVAHQQEKKEGEGGAPVVDVFLHSPLDADAYKLSLLARAAPPGSRLAAVRVFRPERIAETPERARVLTASNSPNGIQGLLQYFRLVEGCLDLIRERESRGNFTYDWVVRTRVDGFWTGPLAAADAFPAGGAYVVPKGSRFGGLNDRLGAGGRHASRVALSRLSLIPRLDVAGYQELNSEAAFQAQLKVAGVKARERRLPFCVLSDRRYSFPPAPYGVPVASLGSPGPLSGAKCRPCRPACRGGECASAARLVRGWSWTEWRNGTLEMCDASVPWEQGWEALFDEVAGEEAAAVRRRVAAMGADDCVAEVAALMTRAERWDAPAPAEICRAGRLRLATRSASANATVTAKPNQN >ONIVA02G01330.1 pep chromosome:AWHD00000000:2:824353:826792:-1 gene:ONIVA02G01330 transcript:ONIVA02G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWVREYSEASRLADDVTSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLEALLSRIPPKSITDKELHKRQDMLSNLKSRAKQMATSFNMSNFANREDLLGQSKKAADDMSRVAGLDNQGIVSLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLDDHVDVTNSRLQRVQKRLAILNKRVKVFQRCGCSHLISVS >ONIVA02G01330.2 pep chromosome:AWHD00000000:2:824432:826792:-1 gene:ONIVA02G01330 transcript:ONIVA02G01330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPWVREYSEASRLADDVTSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLEALLSRIPPKSITDKELHKRQDMLSNLKSRAKQMATSFNMSNFANREDLLGQSKKAADDMSRVAGLDNQGIVSLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLDDHVDVTNSRLQRVQKRLAILNKRVKGGCSCMALLISVVAIVFLAVIAWLLIKHL >ONIVA02G01320.1 pep chromosome:AWHD00000000:2:819427:824946:1 gene:ONIVA02G01320 transcript:ONIVA02G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42320) TAIR;Acc:AT5G42320] MAASSAPPPPPRRLLALTMALAAAVVSAASAARVPASVTPISRTLYHSSDSLLSDIKALVARHPDKLSMDTITASNKGYSTDLFIVTFNHAKESTSNSSKIHVLLSFGQHGRELITSEVALNLLYILTEKRKIAGVDLSSFEKILENLVIKVVPMENLNGRKRVEEGELCDRRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTAPFSEPEAQIMRELSKSFKPHMWVNVHSGMEALFMPYDHKNTTPNGASAHLMRTVLENLNHRHFQDSCLVGSGGGAVGYLAHGTTTDYMYDIVKVPMPFTFEIYGDEKASTSDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLREAQLVSQGAVDNWVPMGGDIVEISAARKSSPDKRKLEGLDLGMQELRTYFRLFMLSTVLLMFMFCSRISKNRNRETASQDPHLVLRIVATGKINELATMQ >ONIVA02G01320.2 pep chromosome:AWHD00000000:2:819427:824142:1 gene:ONIVA02G01320 transcript:ONIVA02G01320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42320) TAIR;Acc:AT5G42320] MAASSAPPPPPRRLLALTMALAAAVVSAASAARVPASVTPISRTLYHSSDSLLSDIKALVARHPDKLSMDTITASNKGYSTDLFIVTFNHAKESTSNSSKIHVLLSFGQHGRELITSEVALNLLYILTEKRKIAGVDLSSFEKILENLVIKVVPMENLNGRKRVEEGELCDRRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTAPFSEPEAQIMRELSKSFKPHMWVNVHSGMEALFMPYDHKNTTPNGASAHLMRTVLENLNHRHFQDSCLVGSGGGAVGYLAHGTTTDYMYDIVKVPMPFTFEIYGDEKASTSDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLREAQLVSQGAVDNWVPMGGDIVEISAARKSSPDKRKLEGLDLGMQELRTYFRLFMLSTVLLMFMFCSRISKNRNRETGNIFDS >ONIVA02G01310.1 pep chromosome:AWHD00000000:2:815947:819014:1 gene:ONIVA02G01310 transcript:ONIVA02G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRVEQTCGVLLPCRHKTTFSSLPSPFAFTSAQLVSLTLISPSRVRRTRTHAATTAAMEGAVLCAANHAPLTPITFLDRAALVYPDRPAIVASSSGLTRTWRETRDRCLRLTAALAALGVHRHHVVAVFAQNIPAMCELHFGIPMAGAVICTLNSRLDAAMASVLLRHSEAKLIFVDCALLDVAHDAIRRISQSGATPPVLVLISELLDDPSDAKLPSGRVDYEYEHLVGNAGSSPEFAVRWPADENEPIALNYTSGTTSRPKGVIYSHRGAYLNSLAAVLLNDMASTPVYLWTVPMFHCNGWCMAWGVAAQGGTNVCVRRVTAATIFDAVARHGVTHMGGAPTVLSMIVNATAEEQRPVARRVTVMTGGAPPPPKVLHRMEEQGFLVIHSYGLTETYGPATVCTWRPEWDALPAEERARIKSRQGVHHHGLEVDVKDPATMRSVPRDGKTMGEVMLRGNTVMSGYYKDGAATAEALAGGWFRSGDLAVRHEDGYVKVLDRSKDIIISGGENISTIEVEAALFSHPAVEEAAVVGRPDDYWGETPCAFVKLRPGAAAAAKAGVVEEELMAYCRARLPRYMAPRTVVVVEEGLPKTATGKVQKFELRARAKTMGTVPAAAAAKSKRSKL >ONIVA02G01300.1 pep chromosome:AWHD00000000:2:810805:813948:-1 gene:ONIVA02G01300 transcript:ONIVA02G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G50710) UniProtKB/Swiss-Prot;Acc:Q8GYM3] MSKAAAAASLPPPPPEVAHLVDQLQRHHLAPDASLLSSSAHSDLLQAREEVASERARYLEALAVYAEAIAMVEEYQHAISTGVANAGKKLNCSPQVYESLEHHLAVAEAAQRLRLPLLSQDGDVHEEEIEKLSALSRSSFDSTMTSAAPSSSSISTSYNNYSSTASAATVAAAPGTGGSEPVEPGVGGVPDRFLGITSDYLYQVQQEQPAMTVDMVDYQRTLAREIEARLEAKCDALADLFAMDERDSSSISQISSARLPERVKLIIEEIEKEEALLLDDLASMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQHQYDDLKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKETVPALHRIRKYLVEATKEASNSYTEAVSRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPHHDHAGV >ONIVA02G01290.1 pep chromosome:AWHD00000000:2:780334:795949:1 gene:ONIVA02G01290 transcript:ONIVA02G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGESSIMLLRSLHTLCKESKGLRLLWIYVTADDICTTHNLLNPYHLRYVEFIVVPTTNIFGSLDFVNTPIPQALTKFYHLQVLDASSRVNLVVPTDMNNLVNLRHLIAHEKVHSTIAGVGNLTSLQELIFKVQDASNFNIGQLRSMNELVILGISQLENVKTKEEAKSARLIDKEHLQELSLSWDDKNMNSGPTAEKTRDGVFEGLEPHHNLKHLQLTRYSGATSPTWLASNVKSLQVLHLENCREWQIINSLEMLPVLRKLKLIRMWNLMSVSIPSYLEELILVNTPKLEKCVGTYGSDLTSGLRVLVVKDCPCLNEFTLFHSDYFHTNQKLWFPSLNKLTIGHCHRIISWKILPLEEMRALKELELMDVPVVEELSVPSLEKLVLIQMPSLQRCSGITTSPLPVSTSQIHQKKLVSSLRKLTIHDCPSLIVSLPIPPSPLISDLSVKGISVFPTINLSHGTFSIESNELNELDNRILPFHNLKGLRSMYLQHCPNLSYVSSEVFSQLVALEHLSIEHCPNLFQPHSMSEPVHENSILNTDHLVLPSLRFLKISSCGIVGRWLTQMLPHLPSLEYFLLSDCPQIKLLSINQPTETEATSSLASVETASSRDEQILKIPCNLLRSLKWLRIWECADLEFSGVNRGFSGFTSLVMLQIRECPKLVSSLVTETNDTNVLLPQSLEHLNIGPLPANLQSYFPKGLPCLKKLSLNSGEYLKSVQLHSCSGLEYLQISRCPHLSVLEGLQHLSSLRRLCIQMNPELSAAWDLKLFPLSLVELGVRKVEGSFHSCSLSCLPSSTKLEIQDSPELVSLQLGYCTSLEKLEITNCKSLASIKGIQSIRNLRYLKVLFAPSLPPYLHGVSGIWSRLETLQISNAAVLSTPLCKQLTALRELMFLGKQGEGYDGETMVSLTEEQERALQLLTSLRVLAFSHLQNLKSLPTNLQSLDCLDELYITVCPSILRLPQMGLPPSLRYLSLYRCSEELCVQCRMAETANLRVGIYSASAIPRPGYASREKNGRGETCLGGSVGWTAWRAAPSGTWYRLLGGLAQSSTRDWTIARRMYRYWLVGRRPIPFTTHVTVSHGIAFLCLHKYISISSAHMVYPALPVLIWQPACQPSIVKLYDLESCGFCGANITHRMLERKQESEMRCAIWSSGGHWATMGRRSIMQVLARFFWASDRFHAELSRCKHVSKLSSLLQMQECISRIVKKHTPSFYDVGYYLVTVLAQSCREWPPRNETLVHAHRGCCDCESAIRRAAFSRDPPVVWWWGFRAGENLRQMDWFKVATIGWIMDWEVRIGCSLYPRPLCWQGHVIHTQCVFTSLTEFRPSSVIVSTCFHEIGTKPCQWQSSSSTAAEAAIGWVVQSILGSFFTGQMQVWTREVGLDKQVEELETEMRNMQMVLAEAEGTKIDNRPLSESLDEIKELIYDAEDVMDELDYYRLQRQIEGKGSSAAACTNPEESSASSSTPSYIQQISNRMNQNISWVMDGKKRKREEEEEPTHSVMLPPEVKHGISERINGIVNHLRIRGNPVQGVLQLEILRQIALPKQSQNGPRKSRLTISLMTEHKVYGRDAERDNIIELLTKGKSSDLDVVPLVGVGGVGKTTLARFVYNNNRIENHFDLRMWVCVSDNFNEKSLTCEMLDHVCKDRQEYGNISNFDALQKILLEKIRHKRFLLVLDDMWEDRDRKGWENLLAPLKCNEATGCMILVTTRRTSVARMTGTMSKIDVNGLDETEFWSLFKAWAFLGNENQERDPTLRSIGQHIAEALKGNPLAARSVGALLNWNVSFEHWRKIQYKWRSILEQDDDILAILKLSYEFLPVHLQYCFSYCSLFPKDHKFCGKKLVRAWISQNFVKCECHTKRLEEIGKQYLDKLVDWGFLEEVESHYVMHDLMHDLAEKVSSNEYATVDGLESKKISPGVRHLSIITTYDKEEHCNFPSEKAWKILPLEEMGALKELELIDVPVVEELSVPSLEKLVLIQMPSLQSCNGITASPPLQFSNSQVDQTEWVSSLCELKIHDCSNLVLPWPIPLVSYLSIKEVSAFPTLVIKQRKFTVKYSELSEMDGRIFPFHNLKSITSMRLENCPNLIYNWSEAFSQLIALKHLDISKCPSLLQRHVMSEFLHENTKPDTNYLVPSLKSLKIFSSGISGRWLTRTLPHLPSLEDFELRKCPQIKFLLISQSTGEATSSLASAETTSARDGQLLKMPCNLLRSLKRLCIYDCPDLEFCGGNGGFGGYTSLVELQIYGCPKLLSSPANEMDISLLPTSIQELWIGDLPSFFSPEGLSFLKRLWLSSSQDLKSLQLHSCTALEDLNIWGRQQLGVLEGLQGLSLLRRLNIEMNPELFAAWALKLQEQEQSGNQVGLFPPSLIELEISNLEGSIHPCFFSCLHSLTRLELGDSPELVTLQLGYCTALESLTIYDCKSLASIEGLQSIRNLRRLTTRDSPGVTPCLQLVSQQEGASGIWSRLEVLCTDGASVLTTSLCKHLTSLQSLVFWSRFNDDEPMVSLTEEQERALQLLTSLQQLEFSNHHYLESLPANL >ONIVA02G01290.2 pep chromosome:AWHD00000000:2:780334:795949:1 gene:ONIVA02G01290 transcript:ONIVA02G01290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGESSIMLLRSLHTLCKESKGLRLLWIYVTADDICTTHNLLNPYHLRYVEFIVVPTTNIFGSLDFVNTPIPQALTKFYHLQVLDASSRVNLVVPTDMNNLVNLRHLIAHEKVHSTIAGVGNLTSLQELIFKVQDASNFNIGQLRSMNELVILGISQLENVKTKEEAKSARLIDKEHLQELSLSWDDKNMNSGPTAEKTRDGVFEGLEPHHNLKHLQLTRYSGATSPTWLASNVKSLQVLHLENCREWQIINSLEMLPVLRKLKLIRMWNLMSVSIPSYLEELILVNTPKLEKCVGTYGSDLTSGLRVLVVKDCPCLNEFTLFHSDYFHTNQKLWFPSLNKLTIGHCHRIISWKILPLEEMRALKELELMDVPVVEELSVPSLEKLVLIQMPSLQRCSGITTSPLPVSTSQIHQKKLVSSLRKLTIHDCPSLIVSLPIPPSPLISDLSVKGISVFPTINLSHGTFSIESNELNELDNRILPFHNLKGLRSMYLQHCPNLSYVSSEVFSQLVALEHLSIEHCPNLFQPHSMSEPVHENSILNTDHLVLPSLRFLKISSCGIVGRWLTQMLPHLPSLEYFLLSDCPQIKLLSINQPTETEATSSLASVETASSRDEQILKIPCNLLRSLKWLRIWECADLEFSGVNRGFSGFTSLVMLQIRECPKLVSSLVTETNDTNVLLPQSLEHLNIGPLPANLQSYFPKGLPCLKKLSLNSGEYLKSVQLHSCSGLEYLQISRCPHLSVLEGLQHLSSLRRLCIQMNPELSAAWDLKLFPLSLVELGVRKVEGSFHSCSLSCLPSSTKLEIQDSPELVSLQLGYCTSLEKLEITNCKSLASIKGIQSIRNLRYLKVLFAPSLPPYLHGVSGIWSRLETLQISNAAVLSTPLCKQLTALRELMFLGKQGEGYDGETMVSLTEEQERALQLLTSLRVLAFSHLQNLKSLPTNLQSLDCLDELYITVCPSILRLPQMGLPPSLRYLSLYRCSEELCVQCRMAETANLRVGIYSASAIPRPGYASREKNGRGETCLGGSVGWTAWRAAPSGTWYRLLGGLAQSSTRDWTIARRMYRYWLVGRRPIPFTTHVTVSHGIAFLCLHKYISISSAHMVYPALPVLIWQPACQPSIVKLYDLESCGFCGANITHRMLERKQESEMRCAIWSSGGHWATMGRRSIMQVLARFFWASDRFHAELSRCKHVSKLSSLLQMQECISRIVKKHTPSFYDVGYYLVTVLAQSCREWPPRNETLVHAHRGCCDCESAIRRAAFSRDPPVVWWWGFRAGENLRQMDWFKVATIGWIMDWEVRIGCSLYPRPLCWQGHVIHTQCVFTSLTEFRPSSVIVSTCFHEIGTKPCQWQSSSSTGIGGGHVLEALAWKILPLEEMGALKELELIDVPVVEELSVPSLEKLVLIQMPSLQSCNGITASPPLQFSNSQVDQTEWVSSLCELKIHDCSNLVLPWPIPLVSYLSIKEVSAFPTLVIKQRKFTVKYSELSEMDGRIFPFHNLKSITSMRLENCPNLIYNWSEAFSQLIALKHLDISKCPSLLQRHVMSEFLHENTKPDTNYLVPSLKSLKIFSSGISGRWLTRTLPHLPSLEDFELRKCPQIKFLLISQSTGEATSSLASAETTSARDGQLLKMPCNLLRSLKRLCIYDCPDLEFCGGNGGFGGYTSLVELQIYGCPKLLSSPANEMDISLLPTSIQELWIGDLPSFFSPEGLSFLKRLWLSSSQDLKSLQLHSCTALEDLNIWGRQQLGVLEGLQGLSLLRRLNIEMNPELFAAWALKLQEQEQSGNQVGLFPPSLIELEISNLEGSIHPCFFSCLHSLTRLELGDSPELVTLQLGYCTALESLTIYDCKSLASIEGLQSIRNLRRLTTRDSPGVTPCLQLVSQQEGASGIWSRLEVLCTDGASVLTTSLCKHLTSLQSLVFWSRFNDDEPMVSLTEEQERALQLLTSLQQLEFSNHHYLESLPANL >ONIVA02G01290.3 pep chromosome:AWHD00000000:2:793803:808259:1 gene:ONIVA02G01290 transcript:ONIVA02G01290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPLLTCLNLIGCSKELCLQGKMAQTEKLMLSMIHLGLECHAVATSAAVEWVVQSILGSFFTGQLQVWTHEVGLIEHVQGLESEMRSMQMVLATTDRRKIDNRPLSESLNELQDVLFDAEDVMDELDYYQLQQQIEGKGSRASACIDPEGSCVSSYTPSLFQQVSSSMNQIIGWAMHGRKRKREEEPTHSIILPLEIKHDISDRIKGILNQLRIKGKPVLEILQLELSCQIAMSKQIQSGPRKPRQTTSLLIERKVYRRDAERDNIIELLTKGKSSDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKSLTREILEHVCQDRQGYENIIGLGALQDTLLENIRCKRFLLVLDDMWEDGDMSGWDNLLAPFKCNEATGCMILVTTRRTSVAKRIGTVNKVEVNDLDETEFWLLFKAWAFNGYENLELDPFFQSTGKDIARELKGNPLAARSVGALMNRSVSFEHWRKVQYKWKSLVGQDDDILSILMISYEYLPVHLQHCFSYCSLFPKDHKFNGKKLVHAWISQNFVKCECHTERLEETGMQYLDNLVDWGFFEEVESHYVMHDLMHDLAEKVSSNECAAIDGLESNKISPSVRHLSIITTAYDKDGPRSFPTKNFENKLQNIRSLQKLRTLMFFGRRNTILLRSLQTLCKELKRLRLLRIYVTVSDISSIHNFLKPHHLRYLEFIVVPATNMFGHMDIANTSIPQAFTNFFHLQVLDVSSNGNIAVPIGMNKLINLRHLIAHEKVHSAIDSVGKLTCLQKLIFKVQDADSFEIGQLRAMNDLVILGISQLENVKTKKEARSARLMDKEHLKELSLSWNDNMSSGPTEENTRYDVLEGLEPHGNLKHLQLTGYSGATSPTWFASKVLHLENCTEWRIVQYLEMLPLLRKLKLIRMWNLVEVSIPSYLEELVLVNMPKLENCVGTYGIELTSRIRVLMVKDCPQLNEFVLFHRDHFHAEQKSWFPSLNKLMIGHCYRIIMWKILPLEEMRALKELELMDVPIVEELPVPSLEKLVLIQMRSLQICSGITASPVQVSTSQVDQNEWISSLRELTIHDCSSLVVSLPIPPSPLMSYSSIKRLSAFPTMEINNRKFTIESDELSELDGRILSFHNLKGVTSIYLRRCPNLTRRWLTQMLHHVNSLEKLDLFDCPQIKFLLTNQPTEREVTSSLASAEITSAGDEQLLQIPCSLLHSLKWLSISECPDLEFCGGSGGFAGFTSLVQLQIKNCPKLVSALVSETNDNGLLPMSLQDLSLSPLSVSENLQSFSPEGLPCLRRLSLCRSQHLKSMQLHSCTSLEYLKISGCRSLVVLEGLSSLRRLDIQMNPELSAAWHLKLQEQEQGGNQAQVFPPSLVELHISNLEGSISSQFLCLPSVTKLAVRDSPALKSIQLKHCMTLEKLEIINCKLLASIEDFHSIRNLRSLKVLGTRSLSPYLQQEASGMWFRLESLMIDDAAVLSVHLCIQLTSLRILQFWSMGMASLTEEQERALQLLTSLRQLGFSRCQKLESLPANLRSLDFLEVLRIDECRSIRRLPEMGLPPSLSYLDLYGCCEELCMQGRMAETEKLKDANGLGMRAIPGEDYCRIVIQYPSDTDSKWKDPKTGEPEGLSFEFNLCEAVASWEQDEVLFGVQKGTHFTTWESILSNDGMSWRPGAGSEGPLSSCTMIKKLEKVKTAKRSVVHDAALNHLEYIRGSTRYPLERNAGGGYFCMINDR >ONIVA02G01290.4 pep chromosome:AWHD00000000:2:800010:808259:1 gene:ONIVA02G01290 transcript:ONIVA02G01290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVTAASAAVEWVVQSILGSFFTGQLQVWTHEVGLIEHVQGLESEMRSMQMVLATTDRRKIDNRPLSESLNELQDVLFDAEDVMDELDYYQLQQQIEGKGSRASACIDPEGSCVSSYTPSLFQQVSSSMNQIIGWAMHGRKRKREEEPTHSIILPLEIKHDISDRIKGILNQLRIKGKPVLEILQLELSCQIAMSKQIQSGPRKPRQTTSLLIERKVYRRDAERDNIIELLTKGKSSDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKSLTREILEHVCQDRQGYENIIGLGALQDTLLENIRCKRFLLVLDDMWEDGDMSGWDNLLAPFKCNEATGCMILVTTRRTSVAKRIGTVNKVEVNDLDETEFWLLFKAWAFNGYENLELDPFFQSTGKDIARELKGNPLAARSVGALMNRSVSFEHWRKVQYKWKSLVGQDDDILSILMISYEYLPVHLQHCFSYCSLFPKDHKFNGKKLVHAWISQNFVKCECHTERLEETGMQYLDNLVDWGFFEEVESHYVMHDLMHDLAEKVSSNECAAIDGLESNKISPSVRHLSIITTAYDKDGPRSFPTKNFENKLQNIRSLQKLRTLMFFGRRNTILLRSLQTLCKELKRLRLLRIYVTVSDISSIHNFLKPHHLRYLEFIVVPATNMFGHMDIANTSIPQAFTNFFHLQVLDVSSNGNIAVPIGMNKLINLRHLIAHEKVHSAIDSVGKLTCLQKLIFKVQDADSFEIGQLRAMNDLVILGISQLENVKTKKEARSARLMDKEHLKELSLSWNDNMSSGPTEENTRYDVLEGLEPHGNLKHLQLTGYSGATSPTWFASKVLHLENCTEWRIVQYLEMLPLLRKLKLIRMWNLVEVSIPSYLEELVLVNMPKLENCVGTYGIELTSRIRVLMVKDCPQLNEFVLFHRDHFHAEQKSWFPSLNKLMIGHCYRIIMWKILPLEEMRALKELELMDVPIVEELPVPSLEKLVLIQMRSLQICSGITASPVQVSTSQVDQNEWISSLRELTIHDCSSLVVSLPIPPSPLMSYSSIKRLSAFPTMEINNRKFTIESDELSELDGRILSFHNLKGVTSIYLRRCPNLTRRWLTQMLHHVNSLEKLDLFDCPQIKFLLTNQPTEREVTSSLASAEITSAGDEQLLQIPCSLLHSLKWLSISECPDLEFCGGSGGFAGFTSLVQLQIKNCPKLVSALVSETNDNGLLPMSLQDLSLSPLSVSENLQSFSPEGLPCLRRLSLCRSQHLKSMQLHSCTSLEYLKISGCRSLVVLEGLSSLRRLDIQMNPELSAAWHLKLQEQEQGGNQAQVFPPSLVELHISNLEGSISSQFLCLPSVTKLAVRDSPALKSIQLKHCMTLEKLEIINCKLLASIEDFHSIRNLRSLKVLGTRSLSPYLQQEASGMWFRLESLMIDDAAVLSVHLCIQLTSLRILQFWSMGMASLTEEQERALQLLTSLRQLGFSRCQKLESLPANLRSLDFLEVLRIDECRSIRRLPEMGLPPSLSYLDLYGCCEELCMQGRMAETEKLKDANGLGMRAIPGEDYCRIVIQYPSDTDSKWKDPKTGEPEGLSFEFNLCEAVASWEQDEVLFGVQKGTHFTTWESILSNDGMSWRPGAGSEGPLSSCTMIKKLEKVKTAKRSVVHDAALNHLEYIRGSTRYPLERNAGGGYFCMINDR >ONIVA02G01280.1 pep chromosome:AWHD00000000:2:775676:776130:-1 gene:ONIVA02G01280 transcript:ONIVA02G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRAAAVALCCMISLLSDATGSSTQSAGRTYLGSSACMDDCSPNKTKVVACDCATFCQLAICGTASNGAADVASCVDGCTKNRNLYTKFL >ONIVA02G01270.1 pep chromosome:AWHD00000000:2:769054:780255:1 gene:ONIVA02G01270 transcript:ONIVA02G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKKRKREEEEEQTHSTMLPLEIKHDISKRINEIVNCLRTRCKLVQGVLQLEILRQIAMPKHSQSEPRKPRQTTSLAIEHKVYGRDTERDNIIELLTKVKSCDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKNLTHEMLELVCKDRQGYKNIISFDVLQNTLWEEIRGKRFLLILDDMWEDGDRSGWDKLLAPLKCNEVSGCMVLATTRRASVARMIGTMSKVEVNGLDETVFWLLFKEWAFLGNEKLECNPTLQSIGKHIAKALKGNPLAARSVGALLNRSVSFDHWRKVQHKWKFLLKQDDDILAILKFSYEFLPVHLQHCFSYCSLFPKDHKFNGKKLVRAWISQNFVKCECRAERLEETGKQYLDNLVDWGFFEEVESHYVMHDLMHDLAEEVSSDECATVDGLESKKISPGLQHLSIITTAYDREEPCNFPREKFENILQSIGSLPKLRTLMFFGENSIMLLRSLNTICKESKRLRLLKIYVTAADISSTHNLFKPNHLRYLEFIVVPTNNMFGSRGIVNTSIPQALTKFYHLQVLDVSSKGNLAVPTGINNLINLRHLIAHEKVHSTIAGLGNLTSLQELIFKVQDADNFNIGQLRALNELVILGISQLENVKTKQEASSARMIDKEHLEELSLLWNDNSMNPEPTAEKSRDDVIEGLEPLQNLKHLQLIRYSGATSPTWLASRVTSLQVLHLENCRQWQIVQSLEMLPLLRKLKLIRMWNLMEVSIPSCLEELDLVNVPKLEKCVGTYGLDLTSGLRVLIVKDCPILNEFTFFHKDYFHAEQKSWFPSLNKLIVSRCHRIITIAEVSYTYFVKLCYRAWKILPLEEMQALKKLELMDVPVVEELSVPSLEKLVLIQIPRLQSCRGITTAPSPHFSTSQGDQRELVCGLRELTINDCPSLLVQFPIPPSSLISFLSIKGLSSFPTMVINRRVLTIESNELSELDGRILLFHNLKGITWVNLRRCPNLTRISIDGFSQPHVMSKPVHDNSIPITSYPVLPSVNSLSIQSCGIAGGWLTLMLPHLQSLENLELRDCPQIKLLSTSQCTKIEAPNSLASAETTSARDKYLLQIPYNLLRSLKRLIIWACRDLEFSGVNEGFGGFTSLVELQIRDCPRLVPSLVSETKDNWLLPTSLQYLTISPLPANMQLFAPEGLTCLRVLSVFCSQYLKSVQLRSCTALECLQLLECQQLSVLEGLQHLSSLVSLDIEMNHELSMAWDHKLQEQEQGSNQVGLFPLSLVHLGITNLEGSVHSRFLCLPSITKLDLWNSPDLKSLQLGYCTALVDLAIDSCKSLASIEGFQSIRNLRSLRVGDSPSVYPCLQLMSQQQGASDIFSQLETLTVDDASVLSVPLCKHLTSLRVLGLHRDGYSGKSMVSLTEEQERALQLLTSLRQLNFYSYQNLEFLPANLRSLDSLEELHIVRCPRILRLPEMGLPPSLKYLLLCGCSEELCMQCRMAETEKLKMVLAAAESSKIDDRPLSESLDELKELLYDAEDVMDELDYYRLQQHIEEDRDETCLPARGLVYEKKDEVAVLAAAYWGAMGLVGTVVDAAIGWMVQGILGSFFTGQMQVWSHEVGLAKDVEMLESEMKSVQMVLAAAEGRRIDNKPLSDSLDELKELFYDAEDVMDELDYYRLQQQIEGGASLFPSPSLFQRVSGKMNEIITWVIHGRKRKRDEDEPTHSIMLPLEIKHDISQRINGIVNCLRIRNKSVQGVLQLEISLPIVVPKQTQSVARGARLTTSIPIERKVYRRDAEKENIIKLLTSGKPSDLGVLPLVGVGGVGKTTLARFVYHDERIKEHFDLRMWVCVSDYFSEERLTREMLEVLCKDRRGYENITSFDALQESLLDKIRQKRFLLILDDIWEDKDRSRWDKLLAPLRFNEANGCMILATTQRTSVARMIGTMHKVEVNGLSDTEFWLLFKAWAFFGNENQEHDPTMQSIGQHIAKALKGNPLAARSVGALLNRNDDDILTILKFSYEFLPVHLQQCFSYCSLFPKDHKLRGEKLVRAWISQNFVECECHSKRLEETGKQYLDNFVDWGFLEEVESHYIMHDLMHDLAEKVSSNECAIIDGLGSKNIPPNVRHLSIITTAYDEK >ONIVA02G01270.2 pep chromosome:AWHD00000000:2:769054:780255:1 gene:ONIVA02G01270 transcript:ONIVA02G01270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKKRKREEEEEQTHSTMLPLEIKHDISKRINEIVNCLRTRCKLVQGVLQLEILRQIAMPKHSQSEPRKPRQTTSLAIEHKVYGRDTERDNIIELLTKVKSCDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKNLTHEMLELVCKDRQGYKNIISFDVLQNTLWEEIRGKRFLLILDDMWEDGDRSGWDKLLAPLKCNEVSGCMVLATTRRASVARMIGTMSKVEVNGLDETVFWLLFKEWAFLGNEKLECNPTLQSIGKHIAKALKGNPLAARSVGALLNRSVSFDHWRKVQHKWKFLLKQDDDILAILKFSYEFLPVHLQHCFSYCSLFPKDHKFNGKKLVRAWISQNFVKCECRAERLEETGKQYLDNLVDWGFFEEVESHYVMHDLMHDLAEEVSSDECATVDGLESKKISPGLQHLSIITTAYDREEPCNFPREKFENILQSIGSLPKLRTLMFFGENSIMLLRSLNTICKESKRLRLLKIYVTAADISSTHNLFKPNHLRYLEFIVVPTNNMFGSRGIVNTSIPQALTKFYHLQVLDVSSKGNLAVPTGINNLINLRHLIAHEKVHSTIAGLGNLTSLQELIFKVQDADNFNIGQLRALNELVILGISQLENVKTKQEASSARMIDKEHLEELSLLWNDNSMNPEPTAEKSRDDVIEGLEPLQNLKHLQLIRYSGATSPTWLASRVTSLQVLHLENCRQWQIVQSLEMLPLLRKLKLIRMWNLMEVSIPSCLEELDLVNVPKLEKCVGTYGLDLTSGLRVLIVKDCPILNEFTFFHKDYFHAEQKSWFPSLNKLIVSRCHRIIAWKILPLEEMQALKKLELMDVPVVEELSVPSLEKLVLIQIPRLQSCRGITTAPSPHFSTSQGDQRELVCGLRELTINDCPSLLVQFPIPPSSLISFLSIKGLSSFPTMVINRRVLTIESNELSELDGRILLFHNLKGITWVNLRRCPNLTRISIDGFSQPHVMSKPVHDNSIPITSYPVLPSVNSLSIQSCGIAGGWLTLMLPHLQSLENLELRDCPQIKLLSTSQCTKIEAPNSLASAETTSARDKYLLQIPYNLLRSLKRLIIWACRDLEFSGVNEGFGGFTSLVELQIRDCPRLVPSLVSETKDNWLLPTSLQYLTISPLPANMQLFAPEGLTCLRVLSVFCSQYLKSVQLRSCTALECLQLLECQQLSVLEGLQHLSSLVSLDIEMNHELSMAWDHKLQEQEQGSNQVGLFPLSLVHLGITNLEGSVHSRFLCLPSITKLDLWNSPDLKSLQLGYCTALVDLAIDSCKSLASIEGFQSIRNLRSLRVGDSPSVYPCLQLMSQQQGASDIFSQLETLTVDDASVLSVPLCKHLTSLRVLGLHRDGYSGKSMVSLTEEQERALQLLTSLRQLNFYSYQNLEFLPANLRSLDSLEELHIVRCPRILRLPEMGLPPSLKYLLLCGCSEELCMQCRMAETEKLKMVLAAAESSKIDDRPLSESLDELKELLYDAEDVMDELDYYRLQQHIEEDRDETCLPARGLVYEKKDEVAVLAAAYWGAMGLVGTVVDAAIGWMVQGILGSFFTGQMQVWSHEVGLAKDVEMLESEMKSVQMVLAAAEGRRIDNKPLSDSLDELKELFYDAEDVMDELDYYRLQQQIEGGASLFPSPSLFQRVSGKMNEIITWVIHGRKRKRDEDEPTHSIMLPLEIKHDISQRINGIVNCLRIRNKSVQGVLQLEISLPIVVPKQTQSVARGARLTTSIPIERKVYRRDAEKENIIKLLTSGKPSDLGVLPLVGVGGVGKTTLARFVYHDERIKEHFDLRMWVCVSDYFSEERLTREMLEVLCKDRRGYENITSFDALQESLLDKIRQKRFLLILDDIWEDKDRSRWDKLLAPLRFNEANGCMILATTQRTSVARMIGTMHKVEVNGLSDTEFWLLFKAWAFFGNENQEHDPTMQSIGQHIAKALKGNPLAARSVGALLNRNDDDILTILKFSYEFLPVHLQQCFSYCSLFPKDHKLRGEKLVRAWISQNFVECECHSKRLEETGKQYLDNFVDWGFLEEVESHYIMHDLMHDLAEKVSSNECAIIDGLGSKNIPPNVRHLSIITTAYDEK >ONIVA02G01270.3 pep chromosome:AWHD00000000:2:769054:776676:1 gene:ONIVA02G01270 transcript:ONIVA02G01270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKKRKREEEEEQTHSTMLPLEIKHDISKRINEIVNCLRTRCKLVQGVLQLEILRQIAMPKHSQSEPRKPRQTTSLAIEHKVYGRDTERDNIIELLTKVKSCDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKNLTHEMLELVCKDRQGYKNIISFDVLQNTLWEEIRGKRFLLILDDMWEDGDRSGWDKLLAPLKCNEVSGCMVLATTRRASVARMIGTMSKVEVNGLDETVFWLLFKEWAFLGNEKLECNPTLQSIGKHIAKALKGNPLAARSVGALLNRSVSFDHWRKVQHKWKFLLKQDDDILAILKFSYEFLPVHLQHCFSYCSLFPKDHKFNGKKLVRAWISQNFVKCECRAERLEETGKQYLDNLVDWGFFEEVESHYVMHDLMHDLAEEVSSDECATVDGLESKKISPGLQHLSIITTAYDREEPCNFPREKFENILQSIGSLPKLRTLMFFGENSIMLLRSLNTICKESKRLRLLKIYVTAADISSTHNLFKPNHLRYLEFIVVPTNNMFGSRGIVNTSIPQALTKFYHLQVLDVSSKGNLAVPTGINNLINLRHLIAHEKVHSTIAGLGNLTSLQELIFKVQDADNFNIGQLRALNELVILGISQLENVKTKQEASSARMIDKEHLEELSLLWNDNSMNPEPTAEKSRDDVIEGLEPLQNLKHLQLIRYSGATSPTWLASRVTSLQVLHLENCRQWQIVQSLEMLPLLRKLKLIRMWNLMEVSIPSCLEELDLVNVPKLEKCVGTYGLDLTSGLRVLIVKDCPILNEFTFFHKDYFHAEQKSWFPSLNKLIVSRCHRIITIAEVSYTYFVKLCYRAWKILPLEEMQALKKLELMDVPVVEELSVPSLEKLVLIQIPRLQSCRGITTAPSPHFSTSQGDQRELVCGLRELTINDCPSLLVQFPIPPSSLISFLSIKGLSSFPTMVINRRVLTIESNELSELDGRILLFHNLKGITWVNLRRCPNLTRISIDGFSQPHVMSKPVHDNSIPITSYPVLPSVNSLSIQSCGIAGGWLTLMLPHLQSLENLELRDCPQIKLLSTSQCTKIEAPNSLASAETTSARDKYLLQIPYNLLRSLKRLIIWACRDLEFSGVNEGFGGFTSLVELQIRDCPRLVPSLVSETKDNWLLPTSLQYLTISPLPANMQLFAPEGLTCLRVLSVFCSQYLKSVQLRSCTALECLQLLECQQLSVLEGLQHLSSLVSLDIEMNHELSMAWDHKLQEQEQGSNQVGLFPLSLVHLGITNLEGSVHSRFLCLPSITKLDLWNSPDLKSLQLGYCTALVDLAIDSCKSLASIEGFQSIRNLRSLRVGDSPSVYPCLQLMSQQQGASDIFSQLETLTVDDASVLSVPLCKHLTSLRVLGLHRDGYSGKSMVSLTEEQERALQLLTSLRQLNFYSYQNLEFLPANLRSLDSLEELHIVRCPRILRLPEMGLPPSLKYLLLCGCSEELCMQCRMAETEKLKMVLAAAESSKIDDRPLSESLDELKELLYDAEDVMDELDYYRLQQHIEDISVNQSIP >ONIVA02G01270.4 pep chromosome:AWHD00000000:2:769054:776676:1 gene:ONIVA02G01270 transcript:ONIVA02G01270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKKRKREEEEEQTHSTMLPLEIKHDISKRINEIVNCLRTRCKLVQGVLQLEILRQIAMPKHSQSEPRKPRQTTSLAIEHKVYGRDTERDNIIELLTKVKSCDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKNLTHEMLELVCKDRQGYKNIISFDVLQNTLWEEIRGKRFLLILDDMWEDGDRSGWDKLLAPLKCNEVSGCMVLATTRRASVARMIGTMSKVEVNGLDETVFWLLFKEWAFLGNEKLECNPTLQSIGKHIAKALKGNPLAARSVGALLNRSVSFDHWRKVQHKWKFLLKQDDDILAILKFSYEFLPVHLQHCFSYCSLFPKDHKFNGKKLVRAWISQNFVKCECRAERLEETGKQYLDNLVDWGFFEEVESHYVMHDLMHDLAEEVSSDECATVDGLESKKISPGLQHLSIITTAYDREEPCNFPREKFENILQSIGSLPKLRTLMFFGENSIMLLRSLNTICKESKRLRLLKIYVTAADISSTHNLFKPNHLRYLEFIVVPTNNMFGSRGIVNTSIPQALTKFYHLQVLDVSSKGNLAVPTGINNLINLRHLIAHEKVHSTIAGLGNLTSLQELIFKVQDADNFNIGQLRALNELVILGISQLENVKTKQEASSARMIDKEHLEELSLLWNDNSMNPEPTAEKSRDDVIEGLEPLQNLKHLQLIRYSGATSPTWLASRVTSLQVLHLENCRQWQIVQSLEMLPLLRKLKLIRMWNLMEVSIPSCLEELDLVNVPKLEKCVGTYGLDLTSGLRVLIVKDCPILNEFTFFHKDYFHAEQKSWFPSLNKLIVSRCHRIIAWKILPLEEMQALKKLELMDVPVVEELSVPSLEKLVLIQIPRLQSCRGITTAPSPHFSTSQGDQRELVCGLRELTINDCPSLLVQFPIPPSSLISFLSIKGLSSFPTMVINRRVLTIESNELSELDGRILLFHNLKGITWVNLRRCPNLTRISIDGFSQPHVMSKPVHDNSIPITSYPVLPSVNSLSIQSCGIAGGWLTLMLPHLQSLENLELRDCPQIKLLSTSQCTKIEAPNSLASAETTSARDKYLLQIPYNLLRSLKRLIIWACRDLEFSGVNEGFGGFTSLVELQIRDCPRLVPSLVSETKDNWLLPTSLQYLTISPLPANMQLFAPEGLTCLRVLSVFCSQYLKSVQLRSCTALECLQLLECQQLSVLEGLQHLSSLVSLDIEMNHELSMAWDHKLQEQEQGSNQVGLFPLSLVHLGITNLEGSVHSRFLCLPSITKLDLWNSPDLKSLQLGYCTALVDLAIDSCKSLASIEGFQSIRNLRSLRVGDSPSVYPCLQLMSQQQGASDIFSQLETLTVDDASVLSVPLCKHLTSLRVLGLHRDGYSGKSMVSLTEEQERALQLLTSLRQLNFYSYQNLEFLPANLRSLDSLEELHIVRCPRILRLPEMGLPPSLKYLLLCGCSEELCMQCRMAETEKLKMVLAAAESSKIDDRPLSESLDELKELLYDAEDVMDELDYYRLQQHIEDISVNQSIP >ONIVA02G01270.5 pep chromosome:AWHD00000000:2:776607:780255:1 gene:ONIVA02G01270 transcript:ONIVA02G01270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVLAAAYWGAMGLVGTVVDAAIGWMVQGILGSFFTGQMQVWSHEVGLAKDVEMLESEMKSVQMVLAAAEGRRIDNKPLSDSLDELKELFYDAEDVMDELDYYRLQQQIEGGASLFPSPSLFQRVSGKMNEIITWVIHGRKRKRDEDEPTHSIMLPLEIKHDISQRINGIVNCLRIRNKSVQGVLQLEISLPIVVPKQTQSVARGARLTTSIPIERKVYRRDAEKENIIKLLTSGKPSDLGVLPLVGVGGVGKTTLARFVYHDERIKEHFDLRMWVCVSDYFSEERLTREMLEVLCKDRRGYENITSFDALQESLLDKIRQKRFLLILDDIWEDKDRSRWDKLLAPLRFNEANGCMILATTQRTSVARMIGTMHKVEVNGLSDTEFWLLFKAWAFFGNENQEHDPTMQSIGQHIAKALKGNPLAARSVGALLNRNDDDILTILKFSYEFLPVHLQQCFSYCSLFPKDHKLRGEKLVRAWISQNFVECECHSKRLEETGKQYLDNFVDWGFLEEVESHYIMHDLMHDLAEKVSSNECAIIDGLGSKNIPPNVRHLSIITTAYDEK >ONIVA02G01260.1 pep chromosome:AWHD00000000:2:767705:769023:1 gene:ONIVA02G01260 transcript:ONIVA02G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVLASVALVAVWIMGLVATAIEAAIGWVVESILGNFFTGQMQVWTREVGLSEEVEELETEMRSMQMVLAAAESSKIDNRPLSESLDELKELLYDAEDVMDELDYYRLQQHIEGKGSTAASCTNP >ONIVA02G01250.1 pep chromosome:AWHD00000000:2:765955:766412:-1 gene:ONIVA02G01250 transcript:ONIVA02G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGAAAAVALFCILILLSGEQLHQVAAMSKFCRCYLQCYPDCRKSLPRFICVLKCIDDCSPNKKRMAVGDCNKFCLLAICGMALNGQADVASCVDDCTKNPNLHTKFL >ONIVA02G01240.1 pep chromosome:AWHD00000000:2:761514:765567:1 gene:ONIVA02G01240 transcript:ONIVA02G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 29 (sialyltransferase) family protein [Source:Projected from Arabidopsis thaliana (AT3G48820) TAIR;Acc:AT3G48820] MRVLPLALAAAIFSGVTAILVYLSGLSSYGGARVSDADLAALGALQSGFSKCVDANGLGLKAIPGEDYCRVVIQYPSDTDSKWVRNSTTILTKEYIDALPNGWEEYAWRRINKGIHLNKCQNRTLCMEKLSLVLPETPPYVPRQFGRCAVVGNSGDLLKTKFGDEIDSYDVVIRENGAPIQNYTEYVGTKSTFRLLNRGSAKALDKVVELDETKKEALIVKTTIHDIMNQMIREIPITNPVYLMLGTSFGSSAKGTGLKALEFALSMCDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRVVKWAPTKDTIEAARVASEKLLKRPGAGSEGPLSSCTMIKKREKGKTPKRSVVRHAALKHLEYMRGATRYPLERNAGGGYLCMINER >ONIVA02G01230.1 pep chromosome:AWHD00000000:2:756199:760710:1 gene:ONIVA02G01230 transcript:ONIVA02G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKETGDFFFFFLQLLLLLFLHELCSLLTYNPPLTCHSPPPTLLPPPFLGLSPPQPTLPSSLPPLGAFLIAAEREREREGEMGNICGGSGKAHVSGDCRPPSSGTMTPKTSSSVTTSNSTTGKLSSVGSSFMASSGSGGTSSGFDDGVYPEGQILEAPNLRTFTFIELRTATKNFRPDSVLGEGGFGRVYKGWVDEKTMNPVKGGTGMVVAVKKLNSESMQGYEEWQSEINFLGRLSHPNLVKLLGYCFEDKELLLVYEFMAKGSLENHLFKKGCPPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMMSGQRALDPNRPNGQLSLVDWAKPYLADRRKLARLMDPRFEGQYNSKQAVQAAQLTLNCLAGEPRSRPSMKEVLETLERIESMKSRARDARGSGSSRDHSHGRTTAHQRSSPRPDGRRGSRTNGHATKAR >ONIVA02G01220.1 pep chromosome:AWHD00000000:2:744762:746808:1 gene:ONIVA02G01220 transcript:ONIVA02G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNTHTIRGPWGELEIAWQRLDFTCALSPDGSLPPPRRTARASSLAGIGCRQLRSPWLVSTLPHAAAADSSAAFLRAALSSRVAGEAATRVRRRRPGLLDEMPSKNAEIQFKSGSKMQKISILGPRGKMSYHGPKNIVLDLGHLFGTRPT >ONIVA02G01220.2 pep chromosome:AWHD00000000:2:744762:746244:1 gene:ONIVA02G01220 transcript:ONIVA02G01220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSDSGGSAAISRAIPMRTSGFLHTWSIGQSCLVRQWQRERSLPHRVWRLWWLLAHRPVKECRDGIKLIFAPLYSLFLIIVLILRSRRILMQYA >ONIVA02G01210.1 pep chromosome:AWHD00000000:2:743831:745291:-1 gene:ONIVA02G01210 transcript:ONIVA02G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01860) TAIR;Acc:AT2G01860] MSLVNRPLPALYGICTASAKTRAWWLCRDGNLPSTSRISCTEPSNGGSAMELEVMDRNEQTYHENSSASEDEDDDEEEAVEWSKDELDAISALFDRPMRQKPPKPPNPVRQRPLPLPLPHKTRLPNAPAPKQHIRLAARAALSSRSSFSDQVCKNPEVLIGIAREIAALPPESDVSIVLDRWVWFLRKGSLSMTIRELGHMGLPERALQTLCWAQRQTVVPLFPDDRILASTIEVLARFDQLKMEDALEQCVPSASRAVLEAMVSGFIRAGKVGLARKLLEFATINKRTLSPSVHVKLMLEAVRTPEGYGLAAALLDELGERPELHLRQQDCTAVMKVCVKLRRYAAVESLFGWFRDTGGRPTVVMYTAVIHSRCRDGRHREALSLAWEMERHAGGLLDLPAYRVLVKLCVALRDHERGVRYLARMKDAGFVPTGDMYGGLIGGYAAEGRMGRCRRLIREAELAGVKLERRLLSRLSEMGVEHSQL >ONIVA02G01200.1 pep chromosome:AWHD00000000:2:740166:743008:-1 gene:ONIVA02G01200 transcript:ONIVA02G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT5G64470) TAIR;Acc:AT5G64470] MPRRRLPLLLLLPITLTLFLLFPSPPPPRPPPHQPQPQPLPCGAAPSDATAGRWVPTREPLPPPLYTSSCPFHRNAWNCPRNSRPPVAALSWAPARCGGGAVPRIDAAEFLAVARGRRIGLVGDSLSENLVVALLCALRSADDGASKWKRRGAWRGGYFPRDDVIVAYHRAVLLAKYTWQPVENSKELHKDGIKGTYRVDVDIPADEWVNVTRFYDVLIFNTGHWWGLDKFPKETPLVFYRGGKPIEPPLGIYDGLKVVLKSMASYIEREVPSKTLKLWRSQSPRHFYGGEWDHNGSCVSDRLLQEHELDLWFDPRFGGVNKEARLVNSAIQEALIGTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVWGQDCMHWCLPGVPDTWVDILAARILHHFKQANG >ONIVA02G01190.1 pep chromosome:AWHD00000000:2:735720:739472:-1 gene:ONIVA02G01190 transcript:ONIVA02G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy protein Apg5 family [Source:Projected from Arabidopsis thaliana (AT5G17290) TAIR;Acc:AT5G17290] MAAQRDDEAGWSAEAARRVWGGAVPLQVHLHDADVTTLPPPPPFLTLGPRIGYLPLLVPIIKAHFSSTLPPGIDTVWFEYKGLPLKWYIPIGVLYDLLCADPERPWNLTVHFRGYPSEILTPCDGEDSVKWSYMNSLKEAAFIITGNSKNVMNMSQADQGALWQSVMKGNLDRYMNISTRLKLGPFEEDCLVRTSSVEGQQGSDEPESPGSGKPCRVPVRLYVRSVQEDLYDLEDALPVGDWESISYINRPFEVRREEGRSYITLEHALKTLLPEFFSSKASRIPDDSETAPQAPDSAPNDDSDVTPRSCEKLESSASSSPQEANVANKGKIVKLVRVQGIEVDMDIPFLWVANNLKNPECYLHICVYVGTRKREPKDGR >ONIVA02G01180.1 pep chromosome:AWHD00000000:2:726834:735134:-1 gene:ONIVA02G01180 transcript:ONIVA02G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLREAVAGLSQISDNEKSGFISLVARYLSGEEEHVEWAKIHTPTDEVVVPYDTLEAPPEDLEETKKLLNKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNSQYPRVVADEFLPWPSKGKTCKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEDKVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNPARTNPSNPSIELGPEFKKVGCFLGRFKSIPSIVELDTLKVSGDVWFGSSITLKGKVTITAQPGVKLEIPDGAVIENKEKPSLEAFVQSAQAK >ONIVA02G01180.2 pep chromosome:AWHD00000000:2:731599:735134:-1 gene:ONIVA02G01180 transcript:ONIVA02G01180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLREAVAGLSQISDNEKSGFISLVARYLSGEEEHVEWAKIHTPTDEVVVPYDTLEAPPEDLEETKKLLNKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNSQYPRVVADEFLPWPSKGKTCKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEDKVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNPARTNPSNPSIELGPEFKKVGCFLGRFKSIPSIVELDTLKVSGDVWFGSSITLKGKVTITAQPGVKLEIPDGAVIENKDINGPEDL >ONIVA02G01180.3 pep chromosome:AWHD00000000:2:726834:730073:-1 gene:ONIVA02G01180 transcript:ONIVA02G01180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRAASEGGETAIKNPAAESSCAAARRRETAARRQQHAAAQAPLEGGGVHLKQSQEKPSLEAFVQSAQAK >ONIVA02G01170.1 pep chromosome:AWHD00000000:2:724047:731901:1 gene:ONIVA02G01170 transcript:ONIVA02G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G055] MKIGLLMLLVLFLVMSPDGIRRSLAARPSIVNIGAILRFNSTIGGVSMIAIQAALEDINSDSTILNGTTLKVDMRDTNCDDGFLGMVEALQFMETDVIAIIGPQCSTIAHIVSYVANELRVPLMSFASDATLSSIQFPFFVRTAPSDLYQMDAVAAIVDYYRWKIVTAIYIDDDYGRNGIATLDDALTQRRCKISYKIAFPANARKSDLINLLVSVSYMESRVIILHTGAGPGLKIFSLANQLSMMGNGYVWIATDWLSAYLDANSSVPAETMYGMQGVLTLRPHIPESKMKSNLISKWSRLSKKYSYSYLRTSSYAFYVYDSVWAVARALDAFFDDGGKISFSNDSRLRDETGGTLHLEAMSIFDMGNNLLEKIRKANFTGVSGQVQFDATGDLIHPAYDVINIIGNGMRTVGYWSNYSSLLSTVLPEVLYSEPPNNSLANQHLYDVIWPGQTAQTPRGWVFPSNAKELKIGVPNRFSFREFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYKFIPFGSGNENPHYDKLVQMVEDNEFDAAIGDIAITMSRTVTTDFTQPFIESGLVILAPVKKHIVNSWAFLQPFTLQMWCVTGLFFLVVGAVVWVLEHRINDEFRGSPREQIITIFWYGADLNIFYTASLTSILTVQQLDTSIRGIDDLKNSDDPIGFQVGSFAEEYMVRELNISRSRLRALGSPEEYAEALKHGPKRGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSRGWGFAFPRDSPLQIDLSTAILSLSENGELQRIHDKWLKTSECSADNTEFVDSDQLRLESFWGLFLICGIACVIALLIYFFTTVRKFLRHEPPEDPTPRPGGSTTLPDERTPPKNGQEKCNCRNFISFLDHKEPPKKKQPLSLTPTTPLSNFTALEIEGPVRTHLYGYDMRALSALLLRPLPPLDSSMASSSLPSRTFYRHSLPSSAPPPPSRGACAAACCCLRAAVSRRRAAAQLLSAAGFLIAVSPPSLAARRGRMVVSLEDYVTSPDGLKYYDLVEGKGPTAEKGSTVQVHFDCIYRGITAVSSREAKLLAGNRSIAQPYEFSVGSLPGKERKREFVDSANGLYSAQASPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKKGMNEIPPDAPFELDIELLEVVPPAEK >ONIVA02G01170.2 pep chromosome:AWHD00000000:2:724047:731178:1 gene:ONIVA02G01170 transcript:ONIVA02G01170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G055] MKIGLLMLLVLFLVMSPDGIRRSLAARPSIVNIGAILRFNSTIGGVSMIAIQAALEDINSDSTILNGTTLKVDMRDTNCDDGFLGMVEALQFMETDVIAIIGPQCSTIAHIVSYVANELRVPLMSFASDATLSSIQFPFFVRTAPSDLYQMDAVAAIVDYYRWKIVTAIYIDDDYGRNGIATLDDALTQRRCKISYKIAFPANARKSDLINLLVSVSYMESRVIILHTGAGPGLKIFSLANQLSMMGNGYVWIATDWLSAYLDANSSVPAETMYGMQGVLTLRPHIPESKMKSNLISKWSRLSKKYSYSYLRTSSYAFYVYDSVWAVARALDAFFDDGGKISFSNDSRLRDETGGTLHLEAMSIFDMGNNLLEKIRKANFTGVSGQVQFDATGDLIHPAYDVINIIGNGMRTVGYWSNYSSLLSTVLPEVLYSEPPNNSLANQHLYDVIWPGQTAQTPRGWVFPSNAKELKIGVPNRFSFREFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYKFIPFGSGNENPHYDKLVQMVEDNEFDAAIGDIAITMSRTVTTDFTQPFIESGLVILAPVKKHIVNSWAFLQPFTLQMWCVTGLFFLVVGAVVWVLEHRINDEFRGSPREQIITIFWYGADLNIFYTASLTSILTVQQLDTSIRGIDDLKNSDDPIGFQVGSFAEEYMVRELNISRSRLRALGSPEEYAEALKHGPKRGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSRGWGFAFPRDSPLQIDLSTAILSLSENGELQRIHDKWLKTSECSADNTEFVDSDQLRLESFWGLFLICGIACVIALLIYFFTTVRKFLRHEPPEDPTPRPGGSTTLPDERTPPKNGQEKCNCRNFISFLDHKEPPKKKQPLSLTPTTPLSNFTALEIEGPVRTHLYGYDMRALSALLLRPLPPLDSSMASSSLPSRTFYRHSLPSSAPPPPSRGACAAACCCLRAAVSRRRAAAQLLSAAGFLIAVSPPSLAARRGRMVVSLEDYVTSPDGLKYYDLVEGKGPTAEKGSTVQVHFDCIYRGITAVSSREAKLLAGNRSIAQPYEFSVGSLPGKERKREFVDSANGLYSAQASPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKKGMNEIPVTFQNIETVSHVV >ONIVA02G01170.3 pep chromosome:AWHD00000000:2:724047:729543:1 gene:ONIVA02G01170 transcript:ONIVA02G01170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G055] MKIGLLMLLVLFLVMSPDGIRRSLAARPSIVNIGAILRFNSTIGGVSMIAIQAALEDINSDSTILNGTTLKVDMRDTNCDDGFLGMVEALQFMETDVIAIIGPQCSTIAHIVSYVANELRVPLMSFASDATLSSIQFPFFVRTAPSDLYQMDAVAAIVDYYRWKIVTAIYIDDDYGRNGIATLDDALTQRRCKISYKIAFPANARKSDLINLLVSVSYMESRVIILHTGAGPGLKIFSLANQLSMMGNGYVWIATDWLSAYLDANSSVPAETMYGMQGVLTLRPHIPESKMKSNLISKWSRLSKKYSYSYLRTSSYAFYVYDSVWAVARALDAFFDDGGKISFSNDSRLRDETGGTLHLEAMSIFDMGNNLLEKIRKANFTGVSGQVQFDATGDLIHPAYDVINIIGNGMRTVGYWSNYSSLLSTVLPEVLYSEPPNNSLANQHLYDVIWPGQTAQTPRGWVFPSNAKELKIGVPNRFSFREFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYKFIPFGSGNENPHYDKLVQMVEDNEFDAAIGDIAITMSRTVTTDFTQPFIESGLVILAPVKKHIVNSWAFLQPFTLQMWCVTGLFFLVVGAVVWVLEHRINDEFRGSPREQIITIFWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGIDDLKNSDDPIGFQVGSFAEEYMVRELNISRSRLRALGSPEEYAEALKHGPKRGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSRGWGFAFPRDSPLQIDLSTAILSLSENGELQRIHDKWLKTSECSADNTEFVDSDQLRLESFWGLFLICGIACVIALLIYFFTTVRKFLRHEPPEDPTPRPGGSTTLPDERTPPKNGQEKCNCRNFISFLDHKEPPKKKQPLSLTPTTPLSNFTALEIEGPVRTVRNGSVVDI >ONIVA02G01170.4 pep chromosome:AWHD00000000:2:729709:731901:1 gene:ONIVA02G01170 transcript:ONIVA02G01170.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G055] MRALSALLLRPLPPLDSSMASSSLPSRTFYRHSLPSSAPPPPSRGACAAACCCLRAAVSRRRAAAQLLSAAGFLIAVSPPSLAARRGRMVVSLEDYVTSPDGLKYYDLVEGKGPTAEKGSTVQVHFDCIYRGITAVSSREAKLLAGNRSIAQPYEFSVGSLPGKERKREFVDSANGLYSAQASPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKKGMNEIPPDAPFELDIELLEVVPPAEK >ONIVA02G01160.1 pep chromosome:AWHD00000000:2:707796:716945:1 gene:ONIVA02G01160 transcript:ONIVA02G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRATYRSAALLLLAAILASAASASSIGDKCAACKAVAAELEIGISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRVVELLDGLCDKMQDYTLQKLESGEKGWVKVADWNSFKTEKKAAARAHSKNLSSFCGRLLEETEDELSEWIKTSSAESGNVSRALCEDISKHCQSTRSAWEKTTSPATRPDRSPRYRLPPRPSRVAAVRSSCCFRRIHPGLSLAPLVVKVEPNVNVILTACLAVYVGCYRSVKPTPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWCAPFFHSLSVEFTKSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLAGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEDAVEEDTDSKQNKKEE >ONIVA02G01150.1 pep chromosome:AWHD00000000:2:702682:707313:1 gene:ONIVA02G01150 transcript:ONIVA02G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low PSII Accumulation 3 [Source:Projected from Arabidopsis thaliana (AT1G73060) TAIR;Acc:AT1G73060] MATPAPLSGLRPRAPAPAPAARSRLCGQSPPVVAPRLGAFPRRRGGAARCSPRAEAGDVEALRAGVSVYKPRSYDVLVSDAARSLACAMDEGKTRLEIEFPPLPSNISSYKGSSDEFIDANIQLALAVARKLKELKGTRSCIVFPDLPEKRRASQLFGTALDSIETATISSLDEVSTGPVNTFFRSMRDTLDFDFADDVEDRWKSDEPPSLYIFINCSTRDLSTIEKYVEQFASSVPALLFNLELDTLRSDLGLLGFPPKDLHYRFLSQFTPVFYIRQRDYSKTIAVTPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESAARFTLGQAKEELLRVLGLQEEQGSSLEFLRRGYKNATWWEENVDQEKSSAWRT >ONIVA02G01140.1 pep chromosome:AWHD00000000:2:701884:702389:-1 gene:ONIVA02G01140 transcript:ONIVA02G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQIQHMSDGDPSSQVSQVFEKSLQYVKRFSRYKNPDAVRQVRETLSRYGLAEFELCTLGNLCPDTSDEASALVPSLRSGGRFVGDPGSEKIDKMLNDLSLIKKFE >ONIVA02G01130.1 pep chromosome:AWHD00000000:2:697136:702555:1 gene:ONIVA02G01130 transcript:ONIVA02G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKPFPTQGGGFSPKRLRAMLLGVEKRRKGQEEEEEGDAGEVDDEYGAVPKSSVRSDADSDARRGGSMCEEYKDVDVVSTISESSSSLETGSGHRSRDTHSMGSRVRVPEEDSCDSESVASNFEFHKERGASARSVTAAIVPPFSKPAPSKWDDAQKWIASPTTNRPGRAGGVPQRKMEKTSFGGGRLPATKVVLEATEEIDTKRVDPSQEKREIGWQKAVNWAPPDPYPEVETCAKSALAEEITVADSAVTFSRHDSSATLQSATTCIPPPPTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPDCSRPTTPRKTIGPNAIGAVIGHGECSNVELSEQELQMKTRREIMLLGTQLGKTNIAAWASKKEEEKDASLSLKGVPMDQSTQKVTEIRAAAWEEAEKAKYLARFKREEIKIQAWEDHQRAKIEAEMRKIEVDVERMRARAQDKLMSQLASARHTADEKRAAAELKRSRAAAKTAEQADHIRRTGRMPSSIGCWNWCS >ONIVA02G01120.1 pep chromosome:AWHD00000000:2:691382:694576:1 gene:ONIVA02G01120 transcript:ONIVA02G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGALPVAVLVLLLLLVLKNGAAQSRCSSGDLAALRGFSAGLDGGVDGWPAAVGNASSSSTSDGGDCCAWRGVACDEAGEVVGVVLPNATLRGVVAESLAGLAALRVLNLSSNALRGALPAGLLRLRALQVLDVSVNALEGAVAAAAVVDLPAMREFNVSYNAFNGSHPVLAGAGRLTSYDVSGNSFAGHVDAAALCGASPGLRTLRLSMNGFSGDFPVGFGQCRSLVELSLDGNAIAGALPDDVFGLTSLQVLSLHTNSLSGHLPPSLRNLSSLVRLDVSFNNFTGDLPDVFDAVPGLQELSAPSNLLTGVLPATLSRCSRLRILNLRNNSLAGDIGLDFRALQSLVYLDLGVNRFTGPIPASLPECRAMTALNLGRNNLTGEIPATFAAFTSLSFLSLTGNSFSNVSSALRTLQGLPNLTSLVLTKNFHGGEAMPTDIAGFAGIEVLVIANGELHGAIPAWLAGLSKLKVLDLSWNHLAGPIPPWLGELDRLFYLDVSNNSLHGEIPLKLARMPALMAGGDGSDEAHVQNFPFFIRPNSSARGRQYNQVSRFPPSLVLARNNLTGGVPAALGALTRVHVVDLSWNALSGPIPPELSGMSSVESLDVSHNALSGAIPPSLARLSFLSHFDVAYNNLSGEVPVGGQFSTFSRADFDGNPLLCGIHAARCAPQAVDGGGGRKDRSANAGVVAAIIVGTVLLLAVAAVATWRAWSRRQEDNARVAADDESGSLESAARSTLVLLFANDDDNGNGDDGERTMTLDDVLKATGNFDETRIVGCGGFGMVYRATLADGREVAVKRLSGDFWQMEREFRAEVETLSRVRHRNLVTLQGYCRVGKDRLLIYPYMENGSLDHWLHERADVEGGGALPWPARLSIARGAARGLAHLHATSEPRVLHRDIKSSNILLDARLEPRLADFGLARLVRAHDDTHVTTDLVGTLGYIPPEYGHSSVATYRGDVYSLGVVLLELVTGRRPVDMARPAGGGRDVTSWALRMRREARGDEVVDASVGERRHRDEACRVLDVACACVSDNPKSRPTAQQLVEWLDAIAAAAAAAAAAGD >ONIVA02G01110.1 pep chromosome:AWHD00000000:2:677242:689669:1 gene:ONIVA02G01110 transcript:ONIVA02G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPIRAQFTPQKETEADQAYPPSQGSVGTPSQKTPRSPSSPPPPMRNSSTGSPPPSISRGGCGRSGLGFGDSRSSSASERSGKNWGFGDETFADCTLDITGRKEDDESVMTGRALL >ONIVA02G01100.1 pep chromosome:AWHD00000000:2:675379:677417:-1 gene:ONIVA02G01100 transcript:ONIVA02G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDPVDEFLIGGGGEDGDLGVFCDGVPTLPCDGGLGIDDVSGDTCCLDQSVLGKRGRDESSSSGPKSKACREKIRRDRLNDRFLELSSVINPDKQAKLDKANILSDAARLLAELRGEAEKLKESNEKLRETIKDLKVEKNELRDEKVTLKAEKERLEQQVKALSVAPTGFVPHLPHPAAFHPAAFPPFIPPYQALGNKNAPTPAAFQGMAMWQWLPPTAVDTTQDPKLWPPNA >ONIVA02G01090.1 pep chromosome:AWHD00000000:2:670312:676946:1 gene:ONIVA02G01090 transcript:ONIVA02G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLRQRRAAAAADGEGDGKMGSGGEEAEVKGKGQGRKEALGWLEWGRGWMGIVGEFLFQRIAASNLSNPLELPPLDGVSFVVTGATSGIGLEIARQLALAGGHVVMAVRRTKLAQELIQKWQNDNSEIGKPLNAEVMELDLLSLDSVVKFADAWNARMAPLHVLINNAGIFSIGEPQRFSKDGYEEHMQVNHLAPALLAVLLLPSLLRGSPSRIVNVNSVMHTVGFVDAEDMNLTSGKRKFTSLMGYSNSKLAQIKFSSMLHRRIPAEAEINVICASPGIVHTNVARDLPKLVVAAYHLIPYFIFDAQEGSRSTLFAASDPQVPDYCEMLKSEDWPVCACISYDCNPMNASEESHNLETSQLVWEKTLEMIGLPPDAVDKFIEGESVQCRYGQQKAE >ONIVA02G01080.1 pep chromosome:AWHD00000000:2:666075:668725:-1 gene:ONIVA02G01080 transcript:ONIVA02G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEGEAEVTREVISVSTEKAFEGKALPAWSEQITVRSLVVSAVLGMFLSFIVMKLNLTSGIVPSLNVSAGLLAFFLMKTWTSALERCGVFPKPFTRQENTVVQTCVISCSSIAFSGGFGTYILGMSKKIAEGFDEAEAKTSINVEEPSLGRLIAFLFLVSFVGLFSIVPLRKIMIISYKLTYPSGSATAHLINSFHTPQGAIQAKHQVSILFKSFVGSFLWSLFQWFYAAGPGCGFSSFPTFGMVAYSRRFYFDFSATYVGVGMICPYIINFSLLVGSVVSWGIMWPYIESKKGSWYDAGLPKSSLHGLNGYQVFISIAMIVGDGLFNFFSIVLRTAYDLYLKRRGGASKQPQETPFAGATGTERQVLSFDDRRRTQVFLKDQIPTTIAAAAYVLLAAISVVAIPHIFRQLRPKHVVWAYVVAPLFAFCNAYGTGLTDWSLSSSYGKLAIFIFGANIGAKDGGVVAGLAACGLMMGIVSTASDLVQDFKTGYLTLTSPRSMFVSQVLGTGMGCIISPMVFWMFYKANNIGMEEGFPAPYAKIYRGIALLGVNGWDQLPRYCLRFCLAFFLLAIAICALKEVAKQRGWWIQDFIPSALGMAVPFFLGSFFTIDMCVGSLVLFLWSRSDPVRAHTFAPAVASGLICGDGIWSLPSSILSLANVNPPMCMRVFSTATNDKVQLFLRTLPTPP >ONIVA02G01070.1 pep chromosome:AWHD00000000:2:659787:664800:1 gene:ONIVA02G01070 transcript:ONIVA02G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGPHTRTRLLLVAFRRRCHSRRSSHDGEAAACAQAAMKGLLNTLNCCSLGVRILRKKRLSMACWDVQRCRHLRHEYGIHINDDCCALILSLVDHPGNVFKKLIFSLKLDKNDEFPYNTMEPVNDFQEGISTEHAFEAEPVPSLSETITPRSMVVSFILSVTLSIVAMKVTLSSGFIPSFSIPAGLLGFYVSRASIHILDYFAVAQLPFTRQENTIIQTCVVACTSITFTGGFGTYILAMGKKAAVGDVNTQNNVEEPSFARMITFLFLISFAGMFIIMPFRKVMIIRHRLTFPSGTATAHLINSFHTPQGVKQARKQVTLLFKSFGGTIAWSLFQWFFASGPGCGFKFFPTFGLEAYKHGFFFDFTMANVGIGMMCPYMIVFSVFIGTIISCGVIWPYIESKEGIWYPSNLGPNSLNGIRGYKVFIGLSMIMADCLFVFLCIMVRTTCAMIKRRRQAMQGGGGNAQPFQGIDIADQPVKSFDDRRRAQVFLRDEIPDSVTIGCYVLLSIISIAAIPHLYPQMRYSHVALIYLAAPVFAFCNAYGFGVTDMNLASTYCKIAMFAFGSWVGIKSGGVVAALVAGGITMSILGNAADVAQDLKTGYLTLTSPRAVFISEAIGTALGCVVNPTVFWVFYRVYKMGSGDMGDMPYAKLYRGFAMLSVGDGEQGLPRHSMLLFKVFFVLALALSVFREVASRKEWRIRRYIPSTIGMAITFFMPPRVPVGMCIGSLVAYLWEKMDAGRGRMLSPALASGLICGDGVGSILLSMLTLMGARAPICIKFLSRGDNVKLDAFLATLHDMR >ONIVA02G01070.2 pep chromosome:AWHD00000000:2:659815:664800:1 gene:ONIVA02G01070 transcript:ONIVA02G01070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPEFARRRGGGVRTGSGRRGSAWLAGMFNDAAISDMNTGFILMMTGSALPPPTAHCALILSLVDHPGNVFKKLIFSLKLDKNDEFPYNTMEPVNDFQEGISTEHAFEAEPVPSLSETITPRSMVVSFILSVTLSIVAMKVTLSSGFIPSFSIPAGLLGFYVSRASIHILDYFAVAQLPFTRQENTIIQTCVVACTSITFTGGFGTYILAMGKKAAVGDVNTQNNVEEPSFARMITFLFLISFAGMFIIMPFRKVMIIRHRLTFPSGTATAHLINSFHTPQGVKQARKQVTLLFKSFGGTIAWSLFQWFFASGPGCGFKFFPTFGLEAYKHGFFFDFTMANVGIGMMCPYMIVFSVFIGTIISCGVIWPYIESKEGIWYPSNLGPNSLNGIRGYKVFIGLSMIMADCLFVFLCIMVRTTCAMIKRRRQAMQGGGGNAQPFQGIDIADQPVKSFDDRRRAQVFLRDEIPDSVTIGCYVLLSIISIAAIPHLYPQMRYSHVALIYLAAPVFAFCNAYGFGVTDMNLASTYCKIAMFAFGSWVGIKSGGVVAALVAGGITMSILGNAADVAQDLKTGYLTLTSPRAVFISEAIGTALGCVVNPTVFWVFYRVYKMGSGDMGDMPYAKLYRGFAMLSVGDGEQGLPRHSMLLFKVFFVLALALSVFREVASRKEWRIRRYIPSTIGMAITFFMPPRVPVGMCIGSLVAYLWEKMDAGRGRMLSPALASGLICGDGVGSILLSMLTLMGARAPICIKFLSRGDNVKLDAFLATLHDMR >ONIVA02G01070.3 pep chromosome:AWHD00000000:2:659787:661900:1 gene:ONIVA02G01070 transcript:ONIVA02G01070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGPHTRTRLLLVAFRRRCHSRRSSHDGEAAACAQAAMKGLLNTLNCCSLGVRILRKKRLSMACWDVQRCRHLRHEYGIHINDDCCALILSLVDHPGNVFKKLIFSLKLDKNDEIKH >ONIVA02G01070.4 pep chromosome:AWHD00000000:2:662016:664800:1 gene:ONIVA02G01070 transcript:ONIVA02G01070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVNDFQEGISTEHAFEAEPVPSLSETITPRSMVVSFILSVTLSIVAMKVTLSSGFIPSFSIPAGLLGFYVSRASIHILDYFAVAQLPFTRQENTIIQTCVVACTSITFTGGFGTYILAMGKKAAVGDVNTQNNVEEPSFARMITFLFLISFAGMFIIMPFRKVMIIRHRLTFPSGTATAHLINSFHTPQGVKQARKQVTLLFKSFGGTIAWSLFQWFFASGPGCGFKFFPTFGLEAYKHGFFFDFTMANVGIGMMCPYMIVFSVFIGTIISCGVIWPYIESKEGIWYPSNLGPNSLNGIRGYKVFIGLSMIMADCLFVFLCIMVRTTCAMIKRRRQAMQGGGGNAQPFQGIDIADQPVKSFDDRRRAQVFLRDEIPDSVTIGCYVLLSIISIAAIPHLYPQMRYSHVALIYLAAPVFAFCNAYGFGVTDMNLASTYCKIAMFAFGSWVGIKSGGVVAALVAGGITMSILGNAADVAQDLKTGYLTLTSPRAVFISEAIGTALGCVVNPTVFWVFYRVYKMGSGDMGDMPYAKLYRGFAMLSVGDGEQGLPRHSMLLFKVFFVLALALSVFREVASRKEWRIRRYIPSTIGMAITFFMPPRVPVGMCIGSLVAYLWEKMDAGRGRMLSPALASGLICGDGVGSILLSMLTLMGARAPICIKFLSRGDNVKLDAFLATLHDMR >ONIVA02G01070.5 pep chromosome:AWHD00000000:2:659815:661900:1 gene:ONIVA02G01070 transcript:ONIVA02G01070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSPEFARRRGGGVRTGSGRRGSAWLAGMFNDAAISDMNTGFILMMTGSALPPPTAHCALILSLVDHPGNVFKKLIFSLKLDKNDEIKH >ONIVA02G01060.1 pep chromosome:AWHD00000000:2:653842:659645:-1 gene:ONIVA02G01060 transcript:ONIVA02G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARRNQEPQSSLPAAAAAAPSSSRRSAGNHTSSQPRSPPMCSGDDIDGDTRVEATQHRDIRRYKCEFCTVVRSKKCLIRAHMVAHHKEELDKSEIYKSNGEKVVHEGDHTCQECGASFQKPAHLKQHMQSHSDERSFICPLEDCPFSYIRKDHLNRHMLKHQGKLFTCSMDGCGRKFSIKANMQRHVKEIHEDETATKSNRQFVCKEEGCNKVFKYASKMKKHEESHVKLDYVEVVCCEPGCMKTFTNVECLRAHNQACHQYVQCDICGEKHLKKNIKRHLRAHEVPSTERIKCSFEGCECSFSNKSNLTKHIKASHDQVKPFACRFTGCEKVFPYKHVRDNHEKSSAHVYTQANFTEMDEHLLSCPRGGRKRKAVTVETLTRKRVTMHGDASSLDNGTEYLRWLLSGGDDDSSQTH >ONIVA02G01060.2 pep chromosome:AWHD00000000:2:653842:659158:-1 gene:ONIVA02G01060 transcript:ONIVA02G01060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSVCHHLLTQCKTIRELQRIHAQALTHGLHPNQQSISCKIFRSYAEFGRPADAGRLFDEIPHPDIISFTSLMSLHLKLDHHWKAISVFSHAIASGHRPDGFAAVGALSASGGLGDQRIGSVVHGLIFRCGLDSELVVCNALVDMYCRCGKFEPARTVFDRMLVKDEVTWGSMLYGYMKCVGVDSALSFFYQMPMKSTVSWTALITGHVQDKQPIQALELFGKMLLEGHRPNHITIVGVLSACADIGALDLGRAIHGYGSKSNATTNIIVTNALMDMYAKSGSIASAFSVFEEVQMKDAFTWTTMISSFTVQGNGRKAVELFWDMLRSGILPNSVTFVSVLSACSHAGLIQEGRELFDKMREVYHIDPRLEHYGCMVDLLGRGGLLEEAEALIDHMDVEPDIVIWRSLLSACLAHGNDRLAEIAGKEIIKREPGDDGVYVLLWNMYALSNRWKEALDMRKQMLSRKIYKKPGCSWIEVDGVVHEFLMCSGDDIDGDTRVEATQHRDIRRYKCEFCTVVRSKKCLIRAHMVAHHKEELDKSEIYKSNGEKVVHEGDHTCQECGASFQKPAHLKQHMQSHSDERSFICPLEDCPFSYIRKDHLNRHMLKHQGKLFTCSMDGCGRKFSIKANMQRHVKEIHEDETATKSNRQFVCKEEGCNKVFKYASKMKKHEESHVKLDYVEVVCCEPGCMKTFTNVECLRAHNQACHQYVQCDICGEKHLKKNIKRHLRAHEVPSTERIKCSFEGCECSFSNKSNLTKHIKASHDQVKPFACRFTGCEKVFPYKHVRDNHEKSSAHVYTQANFTEMDEHLLSCPRGGRKRKAVTVETLTRKRVTMHGDASSLDNGTEYLRWLLSGGDDDSSQTH >ONIVA02G01050.1 pep chromosome:AWHD00000000:2:633086:634348:-1 gene:ONIVA02G01050 transcript:ONIVA02G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSSPATSTAAGEQQLHDQDHRDEAALQQEHAAAGIIPDDEDKLSPPRCEWEFRLAATVPSPALAGASDSIGSLDFDPTGRHLATGGIARKIRIYRVAEPSSPAACICVPAKLSSVRWRPGGGEAVAASHVGCGDYDGVVTEYDVERGVPVWERDEHEGRRVWALDYARGGGAATMVASGSDDRTAHVWDPRVPAGAAGSWATARAGGAVLCVEFDPAGGPQLAVGSADRRAAVHDVRALGRGAVASMDGHGRAVTYVRWAAAARRVVTSAADGTHRLWALPAPAAPETAAREVRSYSGHVSGRSFVGMGVWRGAGLIASGSESGHVFVYDLRWSKPIWVHPFSHADAFVSAVAWRQLAGDDSDGQLVAGGSDGVLKLFTTHRRLTPDVAGVGATTTTTWLHDSEQRIANTSSSSSIN >ONIVA02G01030.1 pep chromosome:AWHD00000000:2:624050:628665:1 gene:ONIVA02G01030 transcript:ONIVA02G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nin one binding (NOB1) Zn-ribbon like (InterPro:IPR014881), D-site 20S pre-rRNA nuclease (InterPro:IPR017117); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Pla /.../385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G41190) TAIR;Acc:AT5G41190] MEEAWPPLAPAPEAAAPPGGGGGGGAWGAAAVAQRKEVAEESSAHAVSRLVASCANTSGVAVAVVDANAVISGGAALSSSAARLVTVPEVLEEVRDASARRRLALLMAPVETLDPAPEFVKKVVKFARETGDLQTLSDVDIKIIALAYMLEAEIHGTNHLREQPPPLRVVNVRNLKEAPLPGWGSNVQNLAEWEELDQMSEAGGDLKSRILPLKDLENHEIPNSETNSISDKQGDEEHQPAKKDVGIAWEDDENNEGWLPAVSRSTHRRYLRRKARRDALKESEQSFETSSAAPSIDDDKILSENGLNPVDGPSADTDVMEHQEVNEPEIVADHSQSDNKDNGVGNVGDVEETGGTDACIEELDNLDIKSDSEEGVDSSLADDGSSEQSWALRSLSESTVACITSDYAMQNVILQIGLRLLAPGGMQIRQLHRWVLRCHACYKVTQEIGKIFCPKCGNGGTLRKVSVTVGENGITMASRRPRVTLRGTKFSLPMPQGGRDAITKNPILREDQLPQKVLHPKSKKSNKQDDDFLGVEDIFSHSGEKKVPLKPPVRKALAMFSGKRNPNDNHFSRKKH >ONIVA02G01020.1 pep chromosome:AWHD00000000:2:619031:623143:-1 gene:ONIVA02G01020 transcript:ONIVA02G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 interacting partner 4 [Source:Projected from Arabidopsis thaliana (AT3G61350) TAIR;Acc:AT3G61350] MPFSFLSTTTPPTALKSTVSTPARLCSSSDPTLKHLSEHGGKLSCSGMDSDGPHTSLIHGLPDEIAILCLARVPRRYHNALRCVSKRWRALLSSEEWHSCRKRNNLDEPWVYVICRSTGIKCYVLAPDPTTRSLKIMQVIEPPCSSREGISIETLDKRLFLLGGCSWLKDANDEVFCYDASSNCWSSVAPMPTARCYFVSAALDKKLYITGGLGLTDKSPNSWDIYDPVTNSWCVHKNPMLTPDIVKFVALDGELVTVHKAAWNRMYFAGIYDPLCRTWRGTENEIALCWSGSTVVMDGTLYMLEQSLGTKLMMWQKETKEWIMLGRLSDKLTRPPCELVGIGRKIYIIGRGLSIVTIDLDTVRADGFLVSSSTGPLVEHDFPPERCRVISI >ONIVA02G01020.2 pep chromosome:AWHD00000000:2:619031:623590:-1 gene:ONIVA02G01020 transcript:ONIVA02G01020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 interacting partner 4 [Source:Projected from Arabidopsis thaliana (AT3G61350) TAIR;Acc:AT3G61350] MDRQLMTSEIKHGGKLSCSGMDSDGPHTSLIHGLPDEIAILCLARVPRRYHNALRCVSKRWRALLSSEEWHSCRKRNNLDEPWVYVICRSTGIKCYVLAPDPTTRSLKIMQVIEPPCSSREGISIETLDKRLFLLGGCSWLKDANDEVFCYDASSNCWSSVAPMPTARCYFVSAALDKKLYITGGLGLTDKSPNSWDIYDPVTNSWCVHKNPMLTPDIVKFVALDGELVTVHKAAWNRMYFAGIYDPLCRTWRGTENEIALCWSGSTVVMDGTLYMLEQSLGTKLMMWQKETKEWIMLGRLSDKLTRPPCELVGIGRKIYIIGRGLSIVTIDLDTVRADGFLVSSSTGPLVEHDFPPERCRVISI >ONIVA02G01010.1 pep chromosome:AWHD00000000:2:613711:616239:-1 gene:ONIVA02G01010 transcript:ONIVA02G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGRRRFFPPVTAYDAAAGARRTVAADLDGTLLVSSSAFPYYFLVALEAGSYLRALALLLAAPWLLALYVGVSEAAAIALLVFITFAGLRVRDVEAVARAVLPRHYAAGVRADTWAVFHGCAERRVVVTASPAVMVGEFVREFLGAEVAGTELETFASGKRFTGRIKAVLVGEKKREVVERLFAGGDMPDVGLGDRESDHDFMAICKEAYMVPKNKRAPRAAADELLSRAIFHDGRLVRRPEPASALFALAYLPVGFAVALLRVFLNLPVPARLVRHTYRLTGIRLAVRGAPPPPPRPGTPGSLLVCNHRTALDPIIVSIALGRPVTCVTYSVSRLSTAISPIRAAALTRDRAADAARIAALLEEGDVVVCPEGTTCREPYLLRFSALFAELTARIVPVAVEARQGTYYGSTARGWKFLDPYFFYMNPRPGYEVTFLPALRPEETCVAGGRSAVEVANHVQRVIAKELGFQCTTLTRKDKYMKLAGNDGRVAAAADKPKAN >ONIVA02G01000.1 pep chromosome:AWHD00000000:2:605459:610055:-1 gene:ONIVA02G01000 transcript:ONIVA02G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G033] MATARVSLILLVVVLAASACAEGLRLPRDAKFPAAQAERLIRSLNLLPKEAGPTGAGDVPSVAPGELLERRVTLPGLPQGVGDLGHHAGYYRLPNTHDARMFYFLFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTISNNMSLAWNKFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYSFLQVFFKKHPEFAKNDFFITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMNLIKKSDYDRINKFIPPCEFAIKLCGTNGKASCMAAYMVCNSIFSSIMKLVGTKNYYDVRKECEGKLCYDFSNLEKFFGDKAVKEAIGVGDLEFVSCSTTVYQAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSHESPFVVDGAEAGVLKSHGPLSFLKVHNAGHMVPMDQPKASLEMLRRFTQGKLKEEWLAELPEQPMYAAM >ONIVA02G00990.1 pep chromosome:AWHD00000000:2:601380:602994:-1 gene:ONIVA02G00990 transcript:ONIVA02G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0G032] MGDAAAGGRKAAASGGGARLHVAMLALQLGYAGFHVVSRLALDMGVSKLVFPVYRNLIALFLLAPFAYFLEKKERPAMTASLAVQFFFLALCGITANQGFYLLGLENTSPTFASAIQNSVPAITFAMAAALRIERVRLSSRDGLAKVAGTLLCVAGASVITLFKGPAIFNTHHNHQPQPQQLAVAVAAGNKSWTLGCVFLLGHCVSWSGWLVLQAPVLKRYPARLSVTSYTCFFGLIQFLAIAAFLERDAAAWAVRSGSELFTILYAGFVASGVAFAVQTWCIHRGGPVFVAVYQPVQTLLVAVMASLLLGEQFHLGGIIGAVLIVAGLYLVLWGKSQERAIAAAKEASAAAAIADHQQQPASAAAADSCLKQPLLPASTVASENV >ONIVA02G00980.1 pep chromosome:AWHD00000000:2:597703:599458:-1 gene:ONIVA02G00980 transcript:ONIVA02G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAANGERRRRRQEVGGELRRAGWHPAIDDGDRGREEAIARMVIILSPSNQIEFSVRFEVADPKP >ONIVA02G00970.1 pep chromosome:AWHD00000000:2:587110:597261:-1 gene:ONIVA02G00970 transcript:ONIVA02G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase BRM [Source:Projected from Arabidopsis thaliana (AT2G46020) UniProtKB/Swiss-Prot;Acc:Q6EVK6] MQPGEAPPSSGGSPATSPRPPPAVAQGQQQLGFRNQAMMHHHDQQQQQQQGYPSGAPHGMMGGGGSSSFPPSSGPMPPFQGQRNMPLPGGPQGLAGGQQHNPTAMQQAYLQYMMQQQQQKAHGMLLQQQQQAKMNMAGPSTRDQDVAANTAKMQELMSLQAQAQAQMFKRQQSEHLQQAEKQAEQGQPSNSEQRSGDMRPPSMPPQGVPGQQLSSAGMVRPMQPMQGQAGMSNAGANPMAMAQLQAIQAWAKEHNVDLSNPANVTLISQILPMLQSNRMAAMQKQNEVGMASQQQSVPSQMNNDAPGHSNFPSQGAPSKPRQPLPPSTSVSGGAEPKMMNMSNMQMQQQLAAHNRDSSNDRAARPAMSMGNGGQMMHMPQSSGHANKIPEQPNPKNANSEAMQMQYARQLQQANRATAPSANSGETGGSQAPNQAARPPMGFTKHQLHVLKAQILAFRRLKRGDKKLPPEVLDLIMSGPPPDSQAQQVSGPPVTNRERSATSSADEHGRPVESGGIAPERSSLLKAPCLPKVEVSAPEDKTIPASGPMQVMKASPKEPLRIGPVSMPEQTNTTLIKSEQDPERGIQRTPGRSDYNGERGKSLPAESGSADAEQAKRAASSSSVPTPNRDVSRKYHGPLFDFPSFTRKHDSMVSANYNSNLALGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEFQARMRDEVEQEQQEIMAMPDRVYRKFVRQCERQRVELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVNERVTRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKEVLAVKFNVLVTTYEFVMYDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDVPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKESIVLRCRMSGIQGAIYDWIKSTGTIRVDPEDEKARIQRNAMYQAKTYKNLNNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEEYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTRDVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQQVNRMIARTEEEVELFDQMDEEFDWTGDMMKHNQAPKWLRVSSTELDAVVASLSKKPLRNMAAGGISLDTNEKLEKRRGRPKGSGKYSIYREIDDDDFEESDDDSEERNTSSLPEEGEIGEFEDEEDNDDSVPDNKDQSEEEEPINDEGYDFSHGMGRRKAHRSEEAGSTGSSSGGRRLPPPAPSSSSKKLRSLSALDSRPGALSKRTADDLEEGEIALSGDSHLDLQQSGSWNHERDDGEDEQVVQPKIKRKRSIRIRPRPNAEKLDDRSGDGTVPQRGVHLAFQGDGDYDSQFKSEQAFADPASRQQDTVHRTVKQKRNMPSRKAPPATKAGKMTQLSGSGEGSAEHSKENWSNKVIESAGPNSSGTKMSDSMQRKCKNVINKLWRRIDKEGHQIIPNISSWWRRNENSSFKEAETLHNLFFNIMKIAFPDSDFREAKGAMSFSNPGGGASGSAAQSTKQSASGQKRRSSTSEAEQHGSSTSRHNQHAPVGEVSGRAHTSKSEKDSRHSGPGSREQFTDSAGLFRHPTDMFIVKKKRDRRPSLGSPSSSGRTGPLSPTNAGRMGPAPSPRGARTPFQRDPHPSQQSMHSAGWGAHSVQQSDRGGSSSPGIGDIQWAKPTKRSRTDSGKRRPSHM >ONIVA02G00970.2 pep chromosome:AWHD00000000:2:587110:597261:-1 gene:ONIVA02G00970 transcript:ONIVA02G00970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase BRM [Source:Projected from Arabidopsis thaliana (AT2G46020) UniProtKB/Swiss-Prot;Acc:Q6EVK6] MQPGEAPPSSGGSPATSPRPPPAVAQGQQQLGFRNQAMMHHHDQQQQQQQGYPSGAPHGMMGGGGSSSFPPSSGPMPPFQGQRNMPLPGGPQGLAGGQQHNPTAMQQAYLQYMMQQQQQKAHGMLLQQQQQAKMNMAGPSTRDQDVAANTAKMQELMSLQAQAQAQMFKRQQSEHLQQAEKQAEQGQPSNSEQRSGDMRPPSMPPQGVPGQQLSSAGMVRPMQPMQGQAGMSNAGANPMAMAQLQAIQAWAKEHNVDLSNPANVTLISQILPMLQSNRMAAMQKQNEVGMASQQQSVPSQMNNDAPGHSNFPSQGAPSKPRQPLPPSTSVSGGAEPKMMNMSNMQMQQQLAAHNRDSSNDRAARPAMSMGNGGQMMHMPQSSGHANKIPEQPNPKNANSEAMQMQYARQLQQANRATAPSANSGETGGSQAPNQAARPPMGFTKHQLHVLKAQILAFRRLKRGDKKLPPEVLDLIMSGPPPDSQAQQVSGPPVTNRERSATSSADEHGRPVESGGIAPERSSLLKAPCLPKVEVSAPEDKTIPASGPMQVMKASPKEPLRIGPVSMPEQTNTTLIKSEQDPERGIQRTPGRSDYNGERGKSLPAESGSADAEQAKRAASSSSVPTPNRDVSRKYHGPLFDFPSFTRKHDSMVSANYNSNLALGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEFQARMRDEVEQEQQEIMAMPDRVYRKFVRQCERQRVELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVNERVTRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKEVLAVKFNVLVTTYEFVMYDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDVPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKESIVLRCRMSGIQGAIYDWIKSTGTIRVDPEDEKARIQRNAMYQAKTYKNLNNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEEYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTRDVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQQVNRMIARTEEEVELFDQMDEEFDWTGDMMKHNQAPKWLRVSSTELDAVVASLSKKPLRNMAAGGISLDTNEKLEKRRGRPKGSGKYSIYREIDDDDFEESDDDSEERNTSSLPEEGEIGEFEDEEDNDDSVPDNKDQSEEEEPINDEGYDFSHGMGRRKAHRSEEAGSTGSSSGGRRLPPPAPSSSSKKLRSLSALDSRPGALSKRTADDLEEGEIALSGDSHLDLQQSGSWNHERDDGEDEQVVQPKIKRKRSIRIRPRPNAEKLDDRSGDGTVPQRGVHLAFQGDGDYDSQFKSEQAFADPASRQQDTVHRTVKQKRNMPSRKAPPATKAGKMTQLSGSGEGSAEHSKENWSNKVIESAGPNSSGTKMSDSMQRKCKNVINKLWRRIDKEGHQIIPNISSWWRRNENSSFKGLASSTLDLQKIEQRVDGFEYGGVNEFIADMQQMLKSVVQHFSYRHEVRVEAETLHNLFFNIMKIAFPDSDFREAKGAMSFSNPGGGASGSAAQSTKQSASGQKRRSSTSEAEQHGSSTSRHNQHAPVGEVSGRAHTSKSEKDSRHSGPGSREQFTDSAGLFRHPTDMFIVKKKRDRRPSLGSPSSSGRTGPLSPTNAGRMGPAPSPRGARTPFQRDPHPSQQSMHSAGWGAHSVQQSDRGGSSSPGIGDIQWAKPTKRSRTDSGKRRPSHM >ONIVA02G00970.3 pep chromosome:AWHD00000000:2:587110:597261:-1 gene:ONIVA02G00970 transcript:ONIVA02G00970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase BRM [Source:Projected from Arabidopsis thaliana (AT2G46020) UniProtKB/Swiss-Prot;Acc:Q6EVK6] MQPGEAPPSSGGSPATSPRPPPAVAQGQQQLGFRNQAMMHHHDQQQQQQQGYPSGAPHGMMGGGGSSSFPPSSGPMPPFQGQRNMPLPGGPQGLAGGQQHNPTAMQQAYLQYMMQQQQQKAHGMLLQQQQQAKMNMAGPSTRDQDVAANTAKMQELMSLQAQAQAQMFKRQQSEHLQQAEKQAEQGQPSNSEQRSGDMRPPSMPPQGVPGQQLSSAGMVRPMQPMQGQAGMSNAGANPMAMAQLQAIQAWAKEHNVDLSNPANVTLISQILPMLQSNRMAAMQKQNEVGMASQQQSVPSQMNNDAPGHSNFPSQGAPSKPRQPLPPSTSVSGGAEPKMMNMSNMQMQQQLAAHNRDSSNDRAARPAMSMGNGGQMMHMPQSSGHANKIPEQPNPKNANSEAMQMQYARQLQQANRATAPSANSGETGGSQAPNQAARPPMGFTKHQLHVLKAQILAFRRLKRGDKKLPPEVLDLIMSGPPPDSQAQQVSGPPVTNRERSATSSADEHGRPVESGGIAPERSSLLKAPCLPKVEVSAPEDKTIPASGPMQVMKASPKEPLRIGPVSMPEQTNTTLIKSEQDPERGIQRTPGRSDYNGERGKSLPAESGSADAEQAKRAASSSSVPTPNRDVSRKYHGPLFDFPSFTRKHDSMVSANYNSNLALGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEFQARMRDEVEQEQQEIMAMPDRVYRKFVRQCERQRVELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVNERVTRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKEVLAVKFNVLVTTYEFVMYDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDVPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKVDCSALVTLSDAICHSALFVCYIVNSSCHLQESIVLRCRMSGIQGAIYDWIKSTGTIRVDPEDEKARIQRNAMYQAKTYKNLNNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEEYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTRDVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQQVNRMIARTEEEVELFDQMDEEFDWTGDMMKHNQAPKWLRVSSTELDAVVASLSKKPLRNMAAGGISLDTNEKLEKRRGRPKGSGKYSIYREIDDDDFEESDDDSEERNTSSLPEEGEIGEFEDEEDNDDSVPDNKDQSEEEEPINDEGYDFSHGMGRRKAHRSEEAGSTGSSSGGRRLPPPAPSSSSKKLRSLSALDSRPGALSKRTADDLEEGEIALSGDSHLDLQQSGSWNHERDDGEDEQVVQPKIKRKRSIRIRPRPNAEKLDDRSGDGTVPQRGVHLAFQGDGDYDSQFKSEQAFADPASRQQDTVHRTVKQKRNMPSRKAPPATKAGKMTQLSGSGEGSAEHSKENWSNKVIESAGPNSSGTKMSDSMQRKCKNVINKLWRRIDKEGHQIIPNISSWWRRNENSSFKGLASSTLDLQKIEQRVDGFEYGGVNEFIADMQQMLKSVVQHFSYRHEVRVEAETLHNLFFNIMKIAFPDSDFREAKGAMSFSNPGGGASGSAAQSTKQSASGQKRRSSTSEAEQHGSSTSRHNQHAPVGEVSGRAHTSKSEKDSRHSGPGSREQFTDSAGLFRHPTDMFIVKKKRDRRPSLGSPSSSGRTGPLSPTNAGRMGPAPSPRGARTPFQRDPHPSQQSMHSAGWGAHSVQQSDRGGSSSPGIGDIQWAKPTKRSRTDSGKRRPSHM >ONIVA02G00970.4 pep chromosome:AWHD00000000:2:587110:597261:-1 gene:ONIVA02G00970 transcript:ONIVA02G00970.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase BRM [Source:Projected from Arabidopsis thaliana (AT2G46020) UniProtKB/Swiss-Prot;Acc:Q6EVK6] MQPGEAPPSSGGSPATSPRPPPAVAQGQQQLGFRNQAMMHHHDQQQQQQQGYPSGAPHGMMGGGGSSSFPPSSGPMPPFQGQRNMPLPGGPQGLAGGQQHNPTAMQQAYLQYMMQQQQQKAHGMLLQQQQQAKMNMAGPSTRDQDVAANTAKMQELMSLQAQAQAQMFKRQQSEHLQQAEKQAEQGQPSNSEQRSGDMRPPSMPPQGVPGQQLSSAGMVRPMQPMQGQAGMSNAGANPMAMAQLQAIQAWAKEHNVDLSNPANVTLISQILPMLQSNRMAAMQKQNEVGMASQQQSVPSQMNNDAPGHSNFPSQGAPSKPRQPLPPSTSVSGGAEPKMMNMSNMQMQQQLAAHNRDSSNDRAARPAMSMGNGGQMMHMPQSSGHANKIPEQPNPKNANSEAMQMQYARQLQQANRATAPSANSGETGGSQAPNQAARPPMGFTKHQLHVLKAQILAFRRLKRGDKKLPPEVLDLIMSGPPPDSQAQQVSGPPVTNRERSATSSADEHGRPVESGGIAPERSSLLKAPCLPKVEVSAPEDKTIPASGPMQVMKASPKEPLRIGPVSMPEQTNTTLIKSEQDPERGIQRTPGRSDYNGERGKSLPAESGSADAEQAKRAASSSSVPTPNRDVSRKYHGPLFDFPSFTRKHDSMVSANYNSNLALGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEFQARMRDEVEQEQQEIMAMPDRVYRKFVRQCERQRVELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVNERVTRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKEVLAVKFNVLVTTYEFVMYDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDVPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKVDCSALVTLSDAICHSALFVCYIVNSSCHLQESIVLRCRMSGIQGAIYDWIKSTGTIRVDPEDEKARIQRNAMYQAKTYKNLNNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEEYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTRDVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQQVNRMIARTEEEVELFDQMDEEFDWTGDMMKHNQAPKWLRVSSTELDAVVASLSKKPLRNMAAGGISLDTNEKLEKRRGRPKGSGKYSIYREIDDDDFEESDDDSEERNTSSLPEEGEIGEFEDEEDNDDSVPDNKDQSEEEEPINDEGYDFSHGMGRRKAHRSEEAGSTGSSSGGRRLPPPAPSSSSKKLRSLSALDSRPGALSKRTADDLEEGEIALSGDSHLDLQQSGSWNHERDDGEDEQVVQPKIKRKRSIRIRPRPNAEKLDDRSGDGTVPQRGVHLAFQGDGDYDSQFKSEQAFADPASRQQDTVHRTVKQKRNMPSRKAPPATKAGKMTQLSGSGEGSAEHSKENWSNKVIESAGPNSSGTKMSDSMQRKCKNVINKLWRRIDKEGHQIIPNISSWWRRNENSSFKEAETLHNLFFNIMKIAFPDSDFREAKGAMSFSNPGGGASGSAAQSTKQSASGQKRRSSTSEAEQHGSSTSRHNQHAPVGEVSGRAHTSKSEKDSRHSGPGSREQFTDSAGLFRHPTDMFIVKKKRDRRPSLGSPSSSGRTGPLSPTNAGRMGPAPSPRGARTPFQRDPHPSQQSMHSAGWGAHSVQQSDRGGSSSPGIGDIQWAKPTKRSRTDSGKRRPSHM >ONIVA02G00960.1 pep chromosome:AWHD00000000:2:581784:582578:-1 gene:ONIVA02G00960 transcript:ONIVA02G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPSAEGFAVASRWLGQWHVAEHQVLVKHVVTQQDPSPEIHPADGAAERDDLGGAVEDHLAGRVRDGEHPVPLDRLTDGHSCGFPAADVGVEAHNLELHGAPDGWHEAGDDVAGAAGAADDGDLLVAEGGDARVRQQPEGGGARAWAGRVDEVVVGFDSAVEPVVGWKTTWLAVSGMGSTLSLSTGSLMDTAVDFQRPTSESKRRILNSMGRLMVGMRQAMT >ONIVA02G00950.1 pep chromosome:AWHD00000000:2:581311:581759:-1 gene:ONIVA02G00950 transcript:ONIVA02G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLRKGETRGFGSSRSKEAPGPGRAPVVGVRDAEEGYIGVRAEDSGIDSEPVGALAVAGAGAVDAEVGEARRAGEAEGDMDAYAGGGGGEGGGGVGDGIGGDVSSVFEVHPAWAHAGGGVVVGRQRRRKQQG >ONIVA02G00940.1 pep chromosome:AWHD00000000:2:581260:586162:1 gene:ONIVA02G00940 transcript:ONIVA02G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDEPVPYVMDEGVLLPLLFPPPLPADDDATAGVSPSWVYLEDRAYVSPDAVSNSTTAFSTTTTGVSIHVSFCLARPPRLSYLCVHCPRPGDGEGAYRFTVDPRVLGTHTDVALLRVPHPNDGLHRGIKSYDYFVYTARPGPGASLLRLLPNPRVSPFRNEEVAIVRCSGGARYVIACLMPTIRRPMEFKIRRFDSDVGRWKSTAVSISEPVERDRVLPIPDTASQVVFHCTTXPPPRPAPQRRAPPRNQILRLLRPGASSLRLLPNPRVSPFRNEEVAIVRCSGGARYVIACLMPTIRRPMEFKVMRFDSDVGRWKSTAVSISEPVERDRVLPIPDTASQMVFHCTTKVITLGGTIGWVDLWRGILLCDDVLDQHLVLRDVPLPKPARSNRKSFCRGPPHHYRDITVVVQDSVPTCIKYVEMVTRPGDRPPPRQRQPPQHSDDSDSDEEEDVAYYWKANIWSMPIPVGSWEDWQMECTVDVTDIAVDNVRFSELLPKIGNDPEETLRRLVTGFPTLGMDGDVISFLSKINRLDDKGWVISVDLRSKTLQGVAELDERKNFLFKRYYNTSEISKYLIKATGEAGTLVKTGPIPLDDDLLLPLLFSPPLPADDDDGGGVSPTPSWVYLDASAYVSPDAVSNATTAVSTTTTGVRIHVSFCLARPPRLSYLCVHCPRPGAGHGEASRFSVDAVVIVRCSGGARYVIAGLMPTIRCPMEFKLQRFDSDVGRWTSTAVSVDEPAERDRVLPIPDTATEVLFHYTTKVITLAGGDHAMAVGWVDLWRGILLCDDVLDEHPVLRDLPLPKPARRNRKSFCRGYPHGYRDITVVVQDSAPSCIKYVEMVTRPGDPPPRRRRRLIEHNSDDSDSSDGSDEDVAYYWKANIWSRPIPAGSWKDWHRECTVDVTDIAVVDNVRYSELLPKICNDPEETLRRLLTGHPTLGMDGNVISFLSKIGYSDDKRWVISVDLRDKTLQGVTELDHRKNSSFMRYYITSEISKYLINATGTLVRTGAMESNKKRKKKKKSRRLPGGKR >ONIVA02G00930.1 pep chromosome:AWHD00000000:2:569418:580774:-1 gene:ONIVA02G00930 transcript:ONIVA02G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCSFYASTSLQLRRGGRNGRAPHVRLVPVEDGVLKTESTAVVKAEAAEVEERRAELVADAAGEGLGAFDDDAALGGVRDGEVVGEEGEDRAEVGADAGGKEPPGAPSGCLCRSGDEAAATGPTDREQTPQTRAVIQISKA >ONIVA02G00920.1 pep chromosome:AWHD00000000:2:563973:571343:1 gene:ONIVA02G00920 transcript:ONIVA02G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRGFLLYPLPSHLLPLLPSHPLVRIPSSTSRAHLPPLPRLAPPRIAERSIPARLLKMPHHGGRKKKNKGGKGKPRKKTDDRGEANVGSGDSSPAVRVEEEAEVEAEAEVGTAVEPQRSGTLASPTDVVEAAQHGQRQQQASQSQQTPSPRSYAAAVVNKREDETVGYNFLQFLRSFTVEHREGPFYAGELKSMVYQNSKTFCVYYGHFQGQYANLGTKISNSYARVVGFLRSAIGRFITEYELDDQWREISNDPPKIHIDALPPAGSIVSLQYFLGQAHNKLVETARIYDPNGSLVADSTKLGRRVFLGFLIYIINQHKDGRSWCGEFSIDDLLVRNESTFGITKAASSHASCKAMAKDLKQLAKILEKHFRTAQGQVPGYFIKLFSELKESAGELGQYNSEKTRKFHKYLSSHLALRSAMSRRHLFMDLFRAYQLLGKTAKKDLISLLGSMFPEDKWLHKVDKHQMFIKVSEYGIVEGDADKASNSQDQKKKRSYSGDLLDLLVFICHVTEHGADHTKDDNMEQKLKSLVEIDLIIAKYLSAAVVDLIKALVKSFLTRGMRFLILPNRWVSTLVGEERHHCISCIQSAVKDLRVPRSVIIVS >ONIVA02G00900.1 pep chromosome:AWHD00000000:2:552303:557349:1 gene:ONIVA02G00900 transcript:ONIVA02G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDEPVPYVMDEGVLLPLLFPPPLPADDDATGGVSPSWVYLDSLRLPRSRPGASSLRLLPNPRVSPFRNEEVAIVRCSGGARYVIACLMPTIRRPMEFKIRRFDSDVGRWKSTAVSISEPVERDRVLPIPDTASQVVFHCTTKVITLGGTIGWVDLWRGILLCDDVLDQHPPVPYVMDEGVLLPLLFPPPLPADDDATGGVSPSWVYLEDRAYVSPDAVSNSTTAFSTTTTGVSIHVSFCLARPPRLSYLCVHCPRPGDGEGAYRGPPHHYRDITVVVQDSVPTCIKYVEMETRPGDRPPPRQRQPPQHSDDSDSDEEEDVAYYWKANIWSMPIPVGSWEDWQMECTVDVTDIAVDNVRFSELLPKIGNDPEETLRRLVTGFPTLGMDGDVISFLSKINRLDDKGWVISVDLRSKTLQGVAEIDERKYFLFERYYNTSEISKYLIKATGEAGTLVKTGVNSRVSKKKM >ONIVA02G00890.1 pep chromosome:AWHD00000000:2:545806:549584:1 gene:ONIVA02G00890 transcript:ONIVA02G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTITSLVAACLSMAVLFVATKMIQQRPRTLYLYEKENKEEELLLPPVMSVVSVLTAYLPTLIAKGLPAVIHDLHSRLGSVFTVSVFGLKKVTLLVTAHFFQASESEIRQSNIYKVTVPVFGRGVLYDVDLATRSRQISFCTDSIKPINLRGHVDSMVHEVEGYFAQWGEDGVVDIKYEMGNLILLIANRCLLGKQFGESKLEQVSTLLHELFDNGFHLISLFFPYLPTPQHRRRDKARAMLGEMIHEAVRSRRNSGVAEDDVLQKFLDSKYINGRCMTENEIAGLLICMMFAAQHTSSSTSTWTGACLLSHGHRSYLAAAIQEQKRIIQQHGDRINWGILLQMTTLTHCIKEALRLHPPANLLIRHASKSFSVQTRQGHRYQIPKGHTLATCTTVGNRLPYIYKDPNVYDPSRFGPGREEDKVGGKFSYTPFSAGRHVCLGEDFAYMQIKVIWSHLLRNFDLELISPFPEEEWEKFIPGPKGKVMVTYKRRRLL >ONIVA02G00880.1 pep chromosome:AWHD00000000:2:530720:540627:-1 gene:ONIVA02G00880 transcript:ONIVA02G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTVGAPGSSLHGVTGREPAFAFSTEVGGDDAAAASKFDLPVDSEHKAKTIRLLSFANPHMRTFHLSWISFFSCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDMLGPRYGCAFLIMLAAPTVFCMSLIDSAAGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGLVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGTLHVVMGVLVLTLGQDLPDGNLRSLQKKGDVNRDSFSRVLWYAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYFYDRFDLDLRVAGIIAASFGMANILTPSFDSSTFIRASPREG >ONIVA02G00870.1 pep chromosome:AWHD00000000:2:526693:528390:1 gene:ONIVA02G00870 transcript:ONIVA02G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTVGAPGSSLHGVTGREPAFAFSTEVGGDDAAAASKFDLPVDSEHKAKTIRLLSFANPHMRTFHLSWISFFSCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDMLGPRYGCAFLIMLAAPTVFCMSLIDSAAGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGLVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGTLHVVMGVLVLTLGQDLPDGNLRSLQKKGDVNRDSFSRVLWYAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYFYDRFDLDLRVAGIIAASFGMANIVARPTGGLLSDLGARYFGMRARLWNIWILQTAGGAFCLLLGRASTLPTSVVCMVLFSFCAQAACGAIFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSRYSTGTGLEYMGIMIMACTLPVVLVHFPQWGSMFLPPNAGAEEEHYYGSEWSEQEKSKGLHGASLKFAENSRSERGRRNVINAAAAAATPPNNSPEHA >ONIVA02G00860.1 pep chromosome:AWHD00000000:2:521905:522720:-1 gene:ONIVA02G00860 transcript:ONIVA02G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSGGVEAACRVLPIIDEESESEMDEGSPERKVVDDRRKAIVSRMRELLRRAAAQSSAQSKLRSTVLVSAKKWKRVVVSLQNSRRKQEQQQQQLTTTSRQSDGGMSSSPVSSKSDSFSWDIATAESCSSSPAQSPLWPAALRQFSPSPATKHGMRLRRDSSGSAADDDRMSSMSCSGSPDDDDYGGSSRLCQWITTDSDFVVLEL >ONIVA02G00850.1 pep chromosome:AWHD00000000:2:517649:520453:1 gene:ONIVA02G00850 transcript:ONIVA02G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRVIRVRAHDGRSTTVTIAASATVSDLRTALRSSFAPALVSPDFHLFLKGTKLIADAKVGNLPVGPGESISFIPVNAKSTSLHPPAWKPTSSSSTPNPWRKRKFSWHDGGGEDIYAKKPTNPAPPRPLSCHGTQPLDPTQMVEHLRQGLGKAGQITHVEEIPGREATFAAELPGHLSSSMRDALRSIGVTKLYAHQAQAVQSAVSGEHVVVSTSTSSGKSLCYNIPVLESISQSSASCALYIFPTKALAQDQLKTLLEMKPAFRSDFDVSIYDGDTAMKDRTRIRNTARLLITNPDMLHMSILPCHAQFKRVLFNLKYIVIDEAHSYKGAFGCHAALILRRLKRICSYVYGSNPTFIFCTATLANPREHVMELANLDRVVLVDNDTSPCGSKNFLLWNPPLQLAKAEDRRPNPVLEVSYLFAEMVQHGLRVIAFCKTRKMCEQVLMQTRQILKETAAELVNSIRVYRGGYVASDRRKIEADLFGGILRGVAATNALELGIDVGHIDATLHLGFPGSMASFWQQAGRSGRRAKQSIAVYVAFEGALDQYFMRSPHKLFGKPIEHCQVDSQNRKVLEQHLACAASEYPLRQEHDESYFGFSMNSVLMTLKDKGCLMNNPSGGDSGVWKYIGPDKKPSHSVSIRAIEHHRYKVIDRRSNRVLEEIEESKAFFQVYDGAVYMHQGVSYLVDKLDLTSRIAYCKVFDLNYYTKVQDYTEISFIGGDVDEHPASECKPDIRRTTAQANDCRVTTKWVGFDRILKSNNQKSDSISLDHLPPYSFETQAVWVQIPVSVRTTMEQMEYQLCGGVHAASHALLSIIPLHMMCSGSDLGTQCAEPQENSETADRILLYDKHPGGIGLASQAKLLFGELLVAALELVSSCSCTNSDGCPNCIQSFACSDYNRDLDKEASIFLLKGVIQYEKLYFEAIDGCYQS >ONIVA02G00840.1 pep chromosome:AWHD00000000:2:508484:511606:-1 gene:ONIVA02G00840 transcript:ONIVA02G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVPVLLLVTVLSLILPSGIGAAAAGDERSALLALKAGFVDTVSALADWTDGGKASPHCKWTGVGCNAAGLVDRLELSGKNLSGKVADDVFRLPALAVLNISNNAFATTLPKSLPSLPSLKVFDVSQNSFEGGFPAGLGGCADLVAVNASGNNFAGPLPEDLANATSLETIDMRGSFFGGAIPAAYRRLTKLKFLGLSGNNITGKIPPEIGEMESLESLIIGYNELEGGIPPELGNLANLQYLDLAVGNLDGPIPPELGKLPALTSLYLYKNNLEGKIPPELGNISTLVFLDLSDNAFTGAIPDEVAQLSHLRLLNLMCNHLDGVVPAAIGEMPKLEVLELWNNSLTGSLPASLGRSSPLQWVDVSSNGFTGGIPAGICDGKALIKLIMFNNGFTGGIPAGLASCASLVRMRVHGNRLNGTIPVGFGKLPLLQRLELAGNDLSGEIPGDLASSASLSFIDVSRNHLQYSIPSSLFTIPTLQSFLASDNMISGELPDQFQDCPALAALDLSNNRLAGAIPSSLASCQRLVKLNLRRNKLAGEIPRSLANMPALAILDLSSNVLTGGIPENFGSSPALETLNLAYNNLTGPVPGNGVLRSINPDELAGNAGLCGGVLPPCSGSRSTAAGPRSRGSARLRHIAVGWLVGMVAVVAAFAALFGGHYAYRRWYVDGAGCCDDENLGGESGAWPWRLTAFQRLGFTCAEVLACVKEANVVGMGATGVVYKAELSRARAVIAVKKLWRPAAAAEAAAAAPELTAEVLKEVGLLGRLRHRNIVRLLGYMHNEADAMMLYEFMPNGSLWEALHGPPERRTLVDWVSRYDVAAGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANMEARIADFGLARALGRAGESVSVVAGSYGYIAPEYGYTMKVDQKSDTYSYGVVLMELITGRRAVEAAFGEGQDIVGWVRNKIRSNTVEDHLDGQLVGAGCPHVREEMLLVLRIAVLCTARLPRDRPSMRDVITMLGEAKPRRKSGSSTGSASAKAPTPAPPAVAAVVDKEKPVFTTTPDSDYA >ONIVA02G00830.1 pep chromosome:AWHD00000000:2:504913:507512:1 gene:ONIVA02G00830 transcript:ONIVA02G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:A0A0E0G014] MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEATPRKRAAAAN >ONIVA02G00820.1 pep chromosome:AWHD00000000:2:499294:508435:-1 gene:ONIVA02G00820 transcript:ONIVA02G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSSRPYPFGIDTGCFYKEGFDVSCEEMTGVVPWMILATTLLLATISFSAASRMAKPRCTCGNLTIPYPFGIGAGCFYTDGFDVSCEENRTYMHDSSSNVEIYSLNLIGGQAQVSTFIAYKCSNNTDGTSTDGWVSTSTAPFFTLSSRANKLTVVGCNTLAFLGGYNEEEQNVGAGCFSMCPDKQSVDSSGQCSGMGCCQTSIAPNLTSLNVSFDSRFNNSEVNSFNPCSYAFVAEQDWFRFEPDYLEGHKFTDKYKGVPTVLDWVAGRESCAQAPKNRTSYACVSTNSSCINSPNATGYLCACNNGFAGNPYLEGGCQDINECESPGQYCHGICDNTIGGYHCYCGPGTQSTDPKREPCNPITASERARLTKTFIGISVCAIILLSCTFALLIECQKRKLMKEKERFFQQNGGMLLYEQIRSKQVDTVRIFTKEELENATDNFDSSKELGRGGHGTVYKGILKDNRIVAIKRSKIMNMVQKDEFVQEMIILSQINHRNVVRLLGCCLEVEVPMLVYEFIPNGTLFEHIHGKYRTTSISLDARLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYITKVTDFGASRMLPKDEIQFMTMVQGTLGYLDPEYLQERQLTQKSDVYSFGVVLLELITGKTAIYSENTEEKKSLASSFLLALKENRLESILDRNILGVGTELFQDVAQLAKCCLSTKGEERPLMTEVAERLKAIRSTWREQLIEGANEETVCLLENSSQYDPSTTGRHGSLMALDIETGR >ONIVA02G00810.1 pep chromosome:AWHD00000000:2:493188:501379:1 gene:ONIVA02G00810 transcript:ONIVA02G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G010] MSHRRRSNATGPPPPEGVGDSSTAQASSTEKERPDPPSVLGLERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPLVFAALYKILALLHLDTPWFMVMAPRLLQAVFASFGDLYLYKLSKLIFNNHVAQWALVNWFMFFCITRTLSNSMETFLTITGLYYWFVAIESSKGTLVVPRQKPASKQSPPSRKMALLIAALACAIQPTSAITWLYVGLLDFIQTKSKSRFLFLEVIPLGIFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGIMKSREWRLAGLIVWVLAVYSILGHKEFRFVLPVLPLMFMFSGYNLAAMAQFKGKGRSEKGHLSRFQLSVILLILTNVPMALYMSLYHQRGTEDVMFYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSKNKGTLDESDRFLMNPSDFVGKVFGNLSSFSHIVLFESEERHLKLLLHNSFREARRFFHSHFKVDRDLQSSVVVYSRMNVIRLKPEPVLLGNEGITAWVEAVHLRIVEPAVE >ONIVA02G00810.2 pep chromosome:AWHD00000000:2:493188:501379:1 gene:ONIVA02G00810 transcript:ONIVA02G00810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G010] MSHRRRSNATGPPPPEGVGDSSTAQASSTEKERPDPPSVLGLERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPLVFAALYKILALLHLDTPWFMLVNWFMFFCITRTLSNSMETFLTITGLYYWFVAIESSKGTLVVPRQKPASKQSPPSRKMALLIAALACAIQPTSAITWLYVGLLDFIQTKSKSRFLFLEVIPLGIFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGIMKSREWRLAGLIVWVLAVYSILGHKEFRFVLPVLPLMFMFSGYNLAAMAQFKGKGRSEKGHLSRFQLSVILLILTNVPMALYMSLYHQRGTEDVMFYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSKNKGTLDESDRFLMNPSDFVGKVFGNLSSFSHIVLFESEERHLKLLLHNSFREARRFFHSHFKVDRDLQSSVVVYSRMNVIRLKPEPVLLGNEGITAWVEAVHLRIVEPAVE >ONIVA02G00810.3 pep chromosome:AWHD00000000:2:493188:501379:1 gene:ONIVA02G00810 transcript:ONIVA02G00810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G010] MSHRRRSNATGPPPPEGVGDSSTAQASSTEKERPDPPSVLGLERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPLVFAALYKILALLHLDTPWFMLVNWFMFFCITRTLSNSMETFLTITGLYYWFVAIESSKGTLVVPRQKPASKQSPPSRKMALLIAALACAIQPTSAITWLYVGLLDFIQTKSKSRFLFLEVIPLGIFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGIMKSREWRLAGLIVWVLAVYSILGHKEFRFVLPVLPLMFMFSGYNLAAMAQFKGKGRSEKGHLSRFQLSVILLILTNVPMALYMSLYHQRGTEDVMFYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSKNKGTLDESDRFLMNPSDFVGKVFGNLSSFSHIVLFESEERHLKLLLHNSFREVIRLKPEPVLLGNEGITAWVEAVHLRIVEPAVE >ONIVA02G00800.1 pep chromosome:AWHD00000000:2:487996:488415:1 gene:ONIVA02G00800 transcript:ONIVA02G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0G009] MELYVDLVPLTAENFRALYTKKPLYYKGLCLSQHYLRIHVEGRRLRQGPRHRRRVHLRRHLPNENFLLPHDRPWLPNGSAENNISQFFTRVPWFDGNYVVFGCIISGFHNLKAIEAEVEVKIANRGEVVIVPPPSLITN >ONIVA02G00790.1 pep chromosome:AWHD00000000:2:485781:486206:1 gene:ONIVA02G00790 transcript:ONIVA02G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATTAPPYPSKSELAAAEVASCAWWRSGGRIRHRFASCPQLPITAAPAVTRARSTPDSCAWGAEQRPHAPPPRLPPVVARRRSARSRAAPDPPTSCPPSRQISDGGRAAAPPACSRPSSPRPQPCCAESANVAPAAARL >ONIVA02G00780.1 pep chromosome:AWHD00000000:2:483152:485914:-1 gene:ONIVA02G00780 transcript:ONIVA02G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGQEAKRWRIRPPLRHHAHDATSAAASSDFDGYGGAVVAASMARRRRCYISGLYPQRRYIAKQNTAVTCMHSLSVRIRSAYQTKGGGSCWIAEETRAAVGCGACPMAP >ONIVA02G00770.1 pep chromosome:AWHD00000000:2:452518:476778:-1 gene:ONIVA02G00770 transcript:ONIVA02G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTQPGGTSRDGRGGGSTARANAGTGYPTRSRTSGNPQFSAPTNGANQRAMASLRSPLRGGPDMPTSRRCSPRLSGTQQDEVAEVACVGMLKRASKNASNSSTSRAPTRSSSTTTASSKDVAEEHSSGVGSTSSLSKKRKRMTAKSYRSLFKRSKKASSTVVVASDRAGKENTSNGNVAESNTGMLCEFGGLIGKDKKHSNDTKVSRSSISGLHETSQPRVGKSAGPLSEVHQHISIETCPQNKVAESRLEVEGRDRPTGHSRQNSISSLQSAPIPPIHYEEPESGHGDGEPLSIQKEVASSQFKVTASDEMEGNSNICVACGTPGDLKSCDGEGCKRSYHVSCLDHWLEYLSPGMWFCTVCTEKRLLFGIHSVADGIESLWNVKEGMQNGKQYLVKYKNLAHVHNRWVPEGVINDTPGGCDLLSLFNKRDHKEKTNWKKEWTEPHHLLRKRPLMPPKEADDFFCSSRANIEHCNVEWLVKWRDLGYEHATWELETACFLRTPQADELKRKYENRRKAAKQSSIPVETKVKHKTFQKLQRLPDEWPPGFDNDHLFSINQLLEFWCKSHGAVLVDDKEYVTKTILFTLTVLPDVCQPLLIVTTPASLSAWEIQFNHLAPFINVVVYDGQKDTLKLIQDLEFYDNRRCMMLQVLLSHPDAILEDIETIERIRWEAVIVDYYYENSAFKYFEQLKKLSTDFRMVLLGSPIKDNVPEYMNLLAFLNSEDKGYSDYVNADDALVMSKARFTHHIAYERKTDSSKFLEYWVPSCISQPQLEMYCSILLSKSSVLRSEMETDSVGALHDIYLSLKKCCDHPYIVNEFLRSSLSNNSNVTENIDTVVHASGKLLVLDKMLNEIKKKSLRVILLFQSDRAGGNKMGNILEDLMHHRFGPESYERVEYRAVLSRKQAAIDKFNNKTNGRFVFLIENRACLPSIKLSSIDAIIIYGSDNNPLNDLKALQKIKIESQFERVSIFRLYTPFTVEEKSLVLARQGIVIDNNIQDLRTSLKHSLLRWGAAFLFSRLDEVQQDDHASKSSEMERHFIDEVIVEFLTKLSTTVEDSTEVHRKSISKANMSGELYSRNITLMGEKEGISVLEDNPAEFWLNLLDGRSPHVSCISEPLQSRVTKSQTMDEVNAPAEEINEARKKRRKVGEIMGSSSKVVSDKSNDDALPDICTTSGPALQPVDVTQQKSGSESLMSTPKNLHAQMKQELSKLIKVLQLPDNVTLLVEQFFEYLLNNHVVVQEPKYIFHALNIALCWRVASIHNFKVDHKESLALAEKRLKYECNEELARLVYDSLKRKFPKKAGATGSNCQSTSVEKTKPSQQETSNILRNDHIFPKQRMDLHDNFMNGALQEGSFVAAQMVSEEQELIAVPGTHMECHFSTDELPDIVEKRINLIDNVFSLREYSIFDKQQSQISELEKYTQNKTARLKTVCNLVLEHICRSHADVETRNDTIKQTVQWFTMLMYAFLEHMRLQHSKLESLQSNTWAEERQLKEKLCLEAKSGQLDHTFDQQIALPDSNFVMQEFIHLKEQSSNSHVSGSAVSDCQQLCHDRLKMVNTLVRNVVPSEPISAQTVRNGSVEVVMVAGQPAPEVVDFPENNTCYSPDGIGLQKAKSPSIRPSNDDSINQESSASEYTSTENVERDNANPSTLPGVATSPAIGIYANLESTMVASTQNLTIFPASKEVATQSNLSTLPGSQTVETSQQPPAEAKLTENFGVTAWDVQPEMQTMTSTLDSPSARMCPDNNNQTVHQPDTSTSPLQEGSTSCHLTSVDATAGVTAKVDDTAAADPLDSETQSYTAAHKPAALLVSTEVETQTDQSSMLERQSISVPLVQSSLSSQNPPAEAEPASTLSRETARDVQPERQQSASVLETSLQRMHPDDDSQTKHQLETVLSQRGETCGHLGDAPEIVDANDSNTVCDVRAHLESPIFATPQSLVICQGLSEVGSQGNISNMSSQQSTDLSAQQNLAPSPLPPAEAERTGATTQQHLLDTGVVVDDIVAEEPSHSESPTYIIHETAALVVSTEVETQTCQSNIPIQQNTTHPAQQSPETSRHSIASPVGLEATQEFQPEMQPSTSGQDQSEELEQEGMSSSAIQDLQPEMQPPNSVQGQYPGAVLCIAAAEDLQPLMQSSTPVPNQLAEANQEGMLSAAAAQNLQCETQRLTSTQDAPFERTDLSGIPVPRSITTAHQSVVPSWDLQTGVEPTGALCMETTHERQSELPSGSMQERSAETRANLVQRSCTTETCDLQPQLDLSSTIQTVQLEGIRSEDMNQIGVQSNSALSSEQPTQPLPVAPLVFNYQRFSDEPLKNELERLKHTSNVLSKVHEQKRKQLLVEYNQEMEKLKQKYDSLLQKEDSFYAQKEAELDTIYRKVFINQSLAENFRRKFLPLSAAQGGSTRPTIGQLVQSSQEPSARIVAEQVTASPVTLSSAVRPQVLHSSGPYVQPSLVVQPSSQATQPESILPGNMYRAMSSSPFSSTPMPMPHGTYRASGAQPHAPSPHLQQLRMPSPYATSHGNQHQRPSILASLLPFVLPSSSNPSLTAPPSLNTVVHRTSGPLNAGAGSQHAGSQISGVNPSGSSASASLNTWLTARLALTSEARGTVSSTEVLMDVHKKLLLASLSCVLLIQVASSDGTAEGVGTTSWTCSTIALRLSRISLQQMMMVAQDHGTAHVLLTKPLKKNTLQYMIGAASLPVTAHPEGKKHVSNKTVIVTLLVCVILTTIAFLGTTAYYLRRKDALSPHSHAYSFDKYTSWSSRSNLVSHRSSPLPQPKPKPRISVLKEFLCSCNPICGNEGGPLPGVIVRFSYSELEQATGKFSDEHLIGVGGTSKVYRGQLSDAKVIAVKKLRPLGGADEDFEFLSEVELLSRLNHCHVVPLLGYCMESQGRQLERLLVFECMGNGNLRDCLDLKQGRKAMDWATRVGVALGAARGVEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELITGRQPIHHHRPPAAAGESLVLWAAPRLRDSRLVVAELPDPALQGRFPQEEMQIMAHLARECLQWEPESRPTMSEVVQILATIAPSSRKHAAAATLVTRIGRSSSAGDTMHSTRLAVKCSVGESWRSPEMEEETVVDLTEPRLEPAIFFN >ONIVA02G00770.2 pep chromosome:AWHD00000000:2:452518:476778:-1 gene:ONIVA02G00770 transcript:ONIVA02G00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTQPGGTSRDGRGGGSTARANAGTGYPTRSRTSGNPQFSAPTNGANQRAMASLRSPLRGGPDMPTSRRCSPRLSGTQQDEVAEVACVGMLKRASKNASNSSTSRAPTRSSSTTTASSKDVAEEHSSGVGSTSSLSKKRKRMTAKSYRSLFKRSKKASSTERARKILPMGMWQRATLVHQHISIETCPQNKVAESRLEVEGRDRPTGHSRQNSISSLQSAPIPPIHYEEPESGHGDGEPLSIQKEVASSQFKVTASDEMEGNSNICVACGTPGDLKSCDGEGCKRSYHVSCLDHWLEYLSPGMWFCTVCTEKRLLFGIHSVADGIESLWNVKEGMQNGKQYLVKYKNLAHVHNRWVPEGVINDTPGGCDLLSLFNKRDHKEKTNWKKEWTEPHHLLRKRPLMPPKEADDFFCSSRANIEHCNVEWLVKWRDLGYEHATWELETACFLRTPQADELKRKYENRRKAAKQSSIPVETKVKHKTFQKLQRLPDEWPPGFDNDHLFSINQLLEFWCKSHGAVLVDDKEYVTKTILFTLTVLPDVCQPLLIVTTPASLSAWEIQFNHLAPFINVVVYDGQKDTLKLIQDLEFYDNRRCMMLQVLLSHPDAILEDIETIERIRWEAVIVDYYYENSAFKYFEQLKKLSTDFRMVLLGSPIKDNVPEYMNLLAFLNSEDKGYSDYVNADDALVMSKARFTHHIAYERKTDSSKFLEYWVPSCISQPQLEMYCSILLSKSSVLRSEMETDSVGALHDIYLSLKKCCDHPYIVNEFLRSSLSNNSNVTENIDTVVHASGKLLVLDKMLNEIKKKSLRVILLFQSDRAGGNKMGNILEDLMHHRFGPESYERVEYRAVLSRKQAAIDKFNNKTNGRFVFLIENRACLPSIKLSSIDAIIIYGSDNNPLNDLKALQKIKIESQFERVSIFRLYTPFTVEEKSLVLARQGIVIDNNIQDLRTSLKHSLLRWGAAFLFSRLDEVQQDDHASKSSEMERHFIDEVIVEFLTKLSTTVEDSTEVHRKSISKANMSGELYSRNITLMGEKEGISVLEDNPAEFWLNLLDGRSPHVSCISEPLQSRVTKSQTMDEVNAPAEEINEARKKRRKVGEIMGSSSKVVSDKSNDDALPDICTTSGPALQPVDVTQQKSVQSEGSESLMSTPKNLHAQMKQELSKLIKVLQLPDNVTLLVEQFFEYLLNNHVVVQEPKYIFHALNIALCWRVASIHNFKVDHKESLALAEKRLKYECNEELARLVYDSLKRKFPKKAGATGSNCQSTSVEKTKPSQQETSNILRNDHIFPKQRMDLHDNFMNGALQEGSFVAAQMVSEEQELIAVPGTHMECHFSTDELPDIVEKRINLIDNVFSLREYSIFDKQQSQISELEKYTQNKTARLKTVCNLVLEHICRSHADVETRNDTIKQTVQWFTMLMYAFLEHMRLQHSKLESLQSNTWAEERQLKEKLCLEAKSGQLDHTFDQQIALPDSNFVMQEFIHLKEQSSNSHVSGSAVSDCQQLCHDRLKMVNTLVRNVVPSEPISAQTVRNGSVEVVMVAGQPAPEVVDFPENNTCYSPDGIGLQKAKSPSIRPSNDDSINQESSASEYTSTENVERDNANPSTLPGVATSPAIGIYANLESTMVASTQNLTIFPASKEVATQSNLSTLPGSQTVETSQQPPAEAKLTENFGVTAWDVQPEMQTMTSTLDSPSARMCPDNNNQTVHQPDTSTSPLQEGSTSCHLTSVDATAGVTAKVDDTAAADPLDSETQSYTAAHKPAALLVSTEVETQTDQSSMLERQSISVPLVQSSLSSQNPPAEAEPASTLSRETARDVQPERQQSASVLETSLQRMHPDDDSQTKHQLETVLSQRGETCGHLGDAPEIVDANDSNTVCDVRAHLESPIFATPQSLVICQGLSEVGSQGNISNMSSQQSTDLSAQQNLAPSPLPPAEAERTGLLTTQPAQNFQPELQPSTSLFDASLESNNISQTDCQSDRAVVFLQEGATTQQHLLDTGVVVDDIVAEEPSHSESPTYIIHETAALVVSTEVETQTCQSNIPIQQNTTHPAQQSPETSRHSIASPVGLEATQEFQPEMQPSTSGQDQSEELEQEGMSSSAIQDLQPEMQPPNSVQGQYPGAVLCIAAAEDLQPLMQSSTPVPNQLAEANQEGMLSAAAAQNLQCETQRLTSTQDAPFERTDLSGIPVPRSITTAHQSVVPSWDLQTGVEPTGALCMETTHERQSELPSGSMQERSAETRANLVQRSCTTETCDLQPQLDLSSTIQTVQLEGIRSEDMNQIGVQSNSALSSEQPTQPLPVAPLVFNYQRFSDEPLKNELERLKHTSNVLSKVHEQKRKQLLVEYNQEMEKLKQKYDSLLQKEDSFYAQKEAELDTIYRKVFINQSLAENFRRKFLPLSAAQGGSTRPTIGQLVQSSQEPSARIVAEQVTASPVTLSSAVRPQVLHSSGPYVQPSLVVQPSSQATQPESILPGNMYRAMSSSPFSSTPMPMPHGTYRASGAQPHAPSPHLQQLRMPSPYATSHGNQHQRPSILASLLPFVLPSSSNPSLTAPPSLNTVVHRTSGPLNAGAGSQHAGSQISGVNPSGSSASASLNTWLTARLALTSEARGTVSSTEVLMDVHKKLLLASLSCVLLIQVASSDGTAEGVGTTSWTCSTIALRLSRISLQQMMMVAQDHGTAHVLLTKPLKKNTLQYMIGAASLPVTAHPEGKKHVSNKTVIVTLLVCVILTTIAFLGTTAYYLRRKDALSPHSHAYSFDKYTSWSSRSNLVSHRSSPLPQPKPKPRISVLKEFLCSCNPICGNEGGPLPGVIVRFSYSELEQATGKFSDEHLIGVGGTSKVYRGQLSDAKVIAVKKLRPLGGADEDFEFLSEVELLSRLNHCHVVPLLGYCMESQGRQLERLLVFECMGNGNLRDCLDLKQGRKAMDWATRVGVALGAARGVEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELITGRQPIHHHRPPAAAGESLVLWAAPRLRDSRLVVAELPDPALQGRFPQEEMQIMAHLARECLQWEPESRPTMSEVVQILATIAPSSRKHAAAATLVTRIGRSSSAGDTMHSTRLAVKCSVGESWRSPEMEEETVVDLTEPRLEPAIFFN >ONIVA02G00770.3 pep chromosome:AWHD00000000:2:452518:476778:-1 gene:ONIVA02G00770 transcript:ONIVA02G00770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTQPGGTSRDGRGGGSTARANAGTGYPTRSRTSGNPQFSAPTNGANQRAMASLRSPLRGGPDMPTSRRCSPRLSGTQQDEVAEVACVGMLKRASKNASNSSTSRAPTRSSSTTTASSKDVAEEHSSGVGSTSSLSKKRKRMTAKSYRSLFKRSKKASSTERARKILPMGMWQRATLVHQHISIETCPQNKVAESRLEVEGRDRPTGHSRQNSISSLQSAPIPPIHYEEPESGHGDGEPLSIQKEVASSQFKVTASDEMEGNSNICVACGTPGDLKSCDGEGCKRSYHVSCLDHWLEYLSPGMWFCTVCTEKRLLFGIHSVADGIESLWNVKEGMQNGKQYLVKYKNLAHVHNRWVPEGVINDTPGGCDLLSLFNKRDHKEKTNWKKEWTEPHHLLRKRPLMPPKEADDFFCSSRANIEHCNVEWLVKWRDLGYEHATWELETACFLRTPQADELKRKYENRRKAAKQSSIPVETKVKHKTFQKLQRLPDEWPPGFDNDHLFSINQLLEFWCKSHGAVLVDDKEYVTKTILFTLTVLPDVCQPLLIVTTPASLSAWEIQFNHLAPFINVVVYDGQKDTLKLIQDLEFYDNRRCMMLQVLLSHPDAILEDIETIERIRWEAVIVDYYYENSAFKYFEQLKKLSTDFRMVLLGSPIKDNVPEYMNLLAFLNSEDKGYSDYVNADDALVMSKARFTHHIAYERKTDSSKFLEYWVPSCISQPQLEMYCSILLSKSSVLRSEMETDSVGALHDIYLSLKKCCDHPYIVNEFLRSSLSNNSNVTENIDTVVHASGKLLVLDKMLNEIKKKSLRVILLFQSDRAGGNKMGNILEDLMHHRFGPESYERVEYRAVLSRKQAAIDKFNNKTNGRFVFLIENRACLPSIKLSSIDAIIIYGSDNNPLNDLKALQKIKIESQFERVSIFRLYTPFTVEEKSLVLARQGIVIDNNIQDLRTSLKHSLLRWGAAFLFSRLDEVQQDDHASKSSEMERHFIDEVIVEFLTKLSTTVEDSTEVHRKSISKANMSGELYSRNITLMGEKEGISVLEDNPAEFWLNLLDGRSPHVSCISEPLQSRVTKSQTMDEVNAPAEEINEARKKRRKVGEIMGSSSKVVSDKSNDDALPDICTTSGPALQPVDVTQQKSGSESLMSTPKNLHAQMKQELSKLIKVLQLPDNVTLLVEQFFEYLLNNHVVVQEPKYIFHALNIALCWRVASIHNFKVDHKESLALAEKRLKYECNEELARLVYDSLKRKFPKKAGATGSNCQSTSVEKTKPSQQETSNILRNDHIFPKQRMDLHDNFMNGALQEGSFVAAQMVSEEQELIAVPGTHMECHFSTDELPDIVEKRINLIDNVFSLREYSIFDKQQSQISELEKYTQNKTARLKTVCNLVLEHICRSHADVETRNDTIKQTVQWFTMLMYAFLEHMRLQHSKLESLQSNTWAEERQLKEKLCLEAKSGQLDHTFDQQIALPDSNFVMQEFIHLKEQSSNSHVSGSAVSDCQQLCHDRLKMVNTLVRNVVPSEPISAQTVRNGSVEVVMVAGQPAPEVVDFPENNTCYSPDGIGLQKAKSPSIRPSNDDSINQESSASEYTSTENVERDNANPSTLPGVATSPAIGIYANLESTMVASTQNLTIFPASKEVATQSNLSTLPGSQTVETSQQPPAEAKLTENFGVTAWDVQPEMQTMTSTLDSPSARMCPDNNNQTVHQPDTSTSPLQEGSTSCHLTSVDATAGVTAKVDDTAAADPLDSETQSYTAAHKPAALLVSTEVETQTDQSSMLERQSISVPLVQSSLSSQNPPAEAEPASTLSRETARDVQPERQQSASVLETSLQRMHPDDDSQTKHQLETVLSQRGETCGHLGDAPEIVDANDSNTVCDVRAHLESPIFATPQSLVICQGLSEVGSQGNISNMSSQQSTDLSAQQNLAPSPLPPAEAERTGLLTTQPAQNFQPELQPSTSLFDASLESNNISQTDCQSDRAVVFLQEGATTQQHLLDTGVVVDDIVAEEPSHSESPTYIIHETAALVVSTEVETQTCQSNIPIQQNTTHPAQQSPETSRHSIASPVGLEATQEFQPEMQPSTSGQDQSEELEQEGMSSSAIQDLQPEMQPPNSVQGQYPGAVLCIAAAEDLQPLMQSSTPVPNQLAEANQEGMLSAAAAQNLQCETQRLTSTQDAPFERTDLSGIPVPRSITTAHQSVVPSWDLQTGVEPTGALCMETTHERQSELPSGSMQERSAETRANLVQRSCTTETCDLQPQLDLSSTIQTVQLEGIRSEDMNQIGVQSNSALSSEQPTQPLPVAPLVFNYQRFSDEPLKNELERLKHTSNVLSKVHEQKRKQLLVEYNQEMEKLKQKYDSLLQKEDSFYAQKEAELDTIYRKVFINQSLAENFRRKFLPLSAAQGGSTRPTIGQLVQSSQEPSARIVAEQVTASPVTLSSAVRPQVLHSSGPYVQPSLVVQPSSQATQPESILPGNMYRAMSSSPFSSTPMPMPHGTYRASGAQPHAPSPHLQQLRMPSPYATSHGNQHQRPSILASLLPFVLPSSSNPSLTAPPSLNTVVHRTSGPLNAGAGSQHAGSQISGVNPSGSSASASLNTWLTARLALTSEARGTVSSTEVLMDVHKKLLLASLSCVLLIQVASSDGTAEGVGTTSWTCSTIALRLSRISLQQMMMVAQDHGTAHVLLTKPLKKNTLQYMIGAASLPVTAHPEGKKHVSNKTVIVTLLVCVILTTIAFLGTTAYYLRRKDALSPHSHAYSFDKYTSWSSRSNLVSHRSSPLPQPKPKPRISVLKEFLCSCNPICGNEGGPLPGVIVRFSYSELEQATGKFSDEHLIGVGGTSKVYRGQLSDAKVIAVKKLRPLGGADEDFEFLSEVELLSRLNHCHVVPLLGYCMESQGRQLERLLVFECMGNGNLRDCLDLKQGRKAMDWATRVGVALGAARGVEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELITGRQPIHHHRPPAAAGESLVLWAAPRLRDSRLVVAELPDPALQGRFPQEEMQIMAHLARECLQWEPESRPTMSEVVQILATIAPSSRKHAAAATLVTRIGRSSSAGDTMHSTRLAVKCSVGESWRSPEMEEETVVDLTEPRLEPAIFFN >ONIVA02G00760.1 pep chromosome:AWHD00000000:2:451254:452229:-1 gene:ONIVA02G00760 transcript:ONIVA02G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLAARKIAGGGGRRASMNIGGGSPVVAAEAEGQRRRLLQGLIYGGGGGGSPVSSSSSSYGTTFRRLMSSDAAADHPPSTPKHSWRCLVEPHPNALEKAEVEALKLEVKQKKEELFYKLATLNWQYKKRSKEAQIDAELLCKFIGHVKPNPDDLLWCKYYYARKLNIFLLCVLSTFAAVELVAFYKYTVGRIDRTLET >ONIVA02G00750.1 pep chromosome:AWHD00000000:2:448486:450717:-1 gene:ONIVA02G00750 transcript:ONIVA02G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37230) TAIR;Acc:AT2G37230] MSRRHHLHLPLRLLSRNNPSAPLFRHAFSTLDTPEPPPPETEAEAEAVPEVTPAATPNPPRREEPLHETILYMIRRRPWTTRLENSIRLLSPTLAAPLVHGVISGAAAAGCTDLALQFFRFAYRRAGFSPEPATFSLLIPILASHSMLNHARCILLDTMPSFSIAPEEATVAALIAAYGKANIPQESVKLFRLMPDLGIARTALSYNAVLKAILCRGREAMARRIYNAMIADAVTPDLSTYNTLIWGFGLCKKMEAALRVFGDMKDHGVTPDVTTYNTLLNAWVRAGDLESARKVFDEMPGAGFAQNSVSYNVMIKGYVEAGKVEEAVGLFSEMGEKGLRLSEKTFAALMPGLCDDEGRVVEARKAMDDMAERRLTPKDKSVFLRLVTTLCRAGDLDGALDVHQKSGQFKHVLVDPRQYGVLMESLCAGGKCDGAVEVMDELLEKGTLLSPKSPVLEGPAYNPVIEYLCSNGNTSKAETFFRQLMKKGVDDKAAFNSLIRGHAKEGVPEAAQEILAIMTRRGVRTDPESHALLVDSFLKKNEPADAKTALDSMMEQGHVPSPSLFMSVMVALFNGGRVQTASRVMKSMIEKGVTENMDMAHKILEALFMRGHVEEAIGRVNLMVENGCLPDLDKLLIALCENDKVMEAHKLADFALDRDFDVSFSTYDRVLEALYTEEKTLPAYSMLCKIKNKGGVVDQKGCDALMDSLKAGGYSKQADILSRILAENASSTSKRGKRVAMGA >ONIVA02G00740.1 pep chromosome:AWHD00000000:2:445215:446295:1 gene:ONIVA02G00740 transcript:ONIVA02G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKAIELVLKRLDEGGEASEGWEEEEEVDKMQMRKRKKEEDASEKKKKKKKKKKKKKKKRQTEEAEAEKKIEARKAKQEAYERYLANFFDFEPFPRTPDHILNEMPEEERAGENQLAAFADSIMERRRLLYQRCIKDYMDKDKDDHE >ONIVA02G00730.1 pep chromosome:AWHD00000000:2:440925:442388:1 gene:ONIVA02G00730 transcript:ONIVA02G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroperoxide lyase 1 [Source:Projected from Arabidopsis thaliana (AT4G15440) TAIR;Acc:AT4G15440] MVPSFPQPASAAAATRPIPGSYGPPLLGPLRDRLDYFWFQGPDDFFRRRAADHKSTVFRANIPPTFPFFLGVDPRVVAVVDAAAFTALFDPALVDKRDVLIGPYVPSLAFTRGTRVGVYLDTQDPDHARTKAFSIDLLRRAARNWAAELRAAVDDMLAAVEEDLNRAPDPAAASASYLIPLQKCIFRFLCKALVGADPAADGLVDRFGVYILDVWLALQLVPTQKVGVIPQPLEELLLHSFPLPSFVVKPGYDLLYRFVEKHGAAAVSIAEKEHGISKEEAINNILFVLGFNAFGGFSVFLPFLVMEVGKPGRDDLRRRLREEVRRVLGGGDGGEAGFAAVREMALVRSTVYEVLRMQPPVPLQFGRARRDFVLRSHGGAAYEVGKGELLCGYQPLAMRDPAVFDRPEEFVPERFLGDDGEALLQYVYWSNGPETGEPSPGNKQCAAKEVVVATACMLVAELFRRYDDFECDGTSFTKLDKRELTPS >ONIVA02G00720.1 pep chromosome:AWHD00000000:2:438538:440840:-1 gene:ONIVA02G00720 transcript:ONIVA02G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLAGAFTSTRRRRLPQCGNTNIERQIEVAVRFGSTAAGDRRKNQRRKNYRMVKKTGVKRVHP >ONIVA02G00710.1 pep chromosome:AWHD00000000:2:435916:437473:-1 gene:ONIVA02G00710 transcript:ONIVA02G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIFSIDVPAPPPPPPAAATDEPWITDTLPFVPYDNSMSYTNYCYYPEMFEDANPDISKEMATIGGEDLLVDNANQQDYFQAWTNSFDSVALMEPGALQEPSYLDLDPSYFDLGSYLDPDHQQMASSSCSDIALLSDTSFLQPLNMSNAPYVQLPMMDANINNEIGAATSSSELAQLIPQSSDHSLLQPLNINDETAYDQLPVIDTNSSNNNTGSEFPCVNFQSSNTGSLLGGSSNMFDGQDQQTSHIVLPEKSCPDPEKRQRAVQRYKEKKSNRRFVKQIMYASRKATADTRRRVRGRFVKASLEQGTSSNDNKQPKHEGN >ONIVA02G00700.1 pep chromosome:AWHD00000000:2:432574:434549:-1 gene:ONIVA02G00700 transcript:ONIVA02G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45950) TAIR;Acc:AT5G45950] MRRLAVAAAALLLVAWHFSLITMAAAQLSPPQPPDDQYDDPPMPGLPVSPPSPGYSDSPEPPLPDSPPSQEPDTPEPAPPTPPQQQQQPWQSPLPPRREPAPPRTVVPPQEPGWSSVPPPPARVINYTTTGCTTMLVFGDSTVDPGNNNRLQTAMKANFLPYGADFLGGRPTGRFSNGRLITDILAEKLGIARSIPGFRDPRLRSGQLRRGVSFASAGSGYDEATARSSNALSFPNQIEDLWRYKRNLQRLVGRRRAEELVRRATFVVSAGTTDLLFHYLASNQSAAESGPQYENQLISRVANYTQVMAALGGRRFVFVGVPPIGCLPIARTLLGTGTTRCHENMNLLATSFNERLVEVVRLLKNQPNIRATFVDTYTTIGMATISPNNYGLTETSRGCCGTGVIEVGQTCRGRRACTHPSKYIYWDAAHHTERMNQIITEEVIMNSIGEIYA >ONIVA02G00690.1 pep chromosome:AWHD00000000:2:426649:434894:1 gene:ONIVA02G00690 transcript:ONIVA02G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHHHHHRLSPRFAPSRSPSPRTLSLHPAPRLRLRLRLRASARGGGGSTRDHDDGARSIPIARCYEAALARLDLSGTARREQAVAAAAAADGGAAAEAHLAAVADAMVMEAFLPGPDGARRSAMSTRLILQANEVTEKASKLKKDLGTEFFSENEPDAESVLAMAFKQVVMDQLTNFRLEVFSPGSEIDLQDLSKPRKVPADFSIRSSDEKLLAALAEAIFSCVIEDARNNYLGGTGGLFHKWKSNCSLDSSVCIDIISESEVVNSARRRLDSFDLVQSSHVAGKAKNGWWPAPKSERLVKIGGPDFMLWASEFVYTYKLQIDAKAFKNTKLGGHHVLANNKGEVLLSHAQMVELANILDMYFEDQFTLPGKTFYSNWNSEPSKIKKNNGYLNNLFALLAGSSIVFLVGAIAQLCWPQSLKDKRLATVSSCVSSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSFGCTGDLMVDANIGAWVGELPEFFKGINCDSHDDYVDIQSTGAISQGEQPSLVSSPIKMSSHLEQNDDTQETLQNIASFQVVMSERGKVVGFQPTNRLAVNHWATNPLTKLLYEGRKISPAFLEPRLRISRPAKVVPVELLMSVNPESFFALVRPVQDPC >ONIVA02G00690.2 pep chromosome:AWHD00000000:2:426649:435060:1 gene:ONIVA02G00690 transcript:ONIVA02G00690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHHHHHRLSPRFAPSRSPSPRTLSLHPAPRLRLRLRLRASARGGGGSTRDHDDGARSIPIARCYEAALARLDLSGTARREQAVAAAAAADGGAAAEAHLAAVADAMVMEAFLPGPDGARRSAMSTRLILQANEVTEKASKLKKDLGTEFFSENEPDAESVLAMAFKQVVMDQLTNFRLEVFSPGSEIDLQDLSKPRKVPADFSIRSSDEKLLAALAEAIFSCVIEDARNNYLGGTGGLFHKWKSNCSLDSSVCIDIISESEVVNSARRRLDSFDLVQSSHVAGKAKNGWWPAPKSERLVKIGGPDFMLWASEFVYTYKLQIDAKAFKNTKLGGHHVLANNKGEVLLSHAQMVELANILDMYFEDQFTLPGKTFYSNWNSEPSKIKKNNGYLNNLFALLAGSSIVFLVGAIAQLCWPQSLKDKRLATVSSCVSSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSFGCTGDLMVDANIGAWVGELPEFFKGINCDSHDDYVDIQSTGAISQGEQPSLVSSPIKMSSHLEQNDDTQETLQNIASFQVVMSERGKVVGFQPTNRLAVNHWATNPLTKLLYEGRKISPAFLEPRLRISRPAKVVPVELLMSVNPESFFALVRPVQDPC >ONIVA02G00690.3 pep chromosome:AWHD00000000:2:426649:431740:1 gene:ONIVA02G00690 transcript:ONIVA02G00690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHHHHHRLSPRFAPSRSPSPRTLSLHPAPRLRLRLRLRASARGGGGSTRDHDDGARSIPIARCYEAALARLDLSGTARREQAVAAAAAADGGAAAEAHLAAVADAMVMEAFLPGPDGARRSAMSTRLILQANEVTEKASKLKKDLGTEFFSENEPDAESVLAMAFKQVVMDQLTNFRLEVFSPGSEIDLQDLSKPRKVPADFSIRSSDEKLLAALAEAIFSCVIEDARNNYLGGTGGLFHKWKSNCSLDSSVCIDIISESEVVNSARRRLDSFDLVQSSHVAGKAKNGWWPAPKSERLVKIGGPDFMLWASEFVYTYKLQIDAKAFKNTKLGGHHVLANNKGEVLLSHAQMVELANILDMYFEDQFTLPGKTFYSNWNSEPSKIKKNNGYLNNLFALLAGSSIVFLVGAIAQLCWPQSLKDKRLATVSSCVSSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSFGCTGDLMVDANIGAWVGELPEFFKGINCDSHDDYVDIQSTGAISQGEQPSLVSSPIKMSSHLEQNDDTQETLQNIASFQVVMSERGKVVGFQPTNRLAVNHWATNPLTKLLYEGRKISPAFLEPRLRISRPAKVVPVELLMSVNPESFFALVRPVQDPC >ONIVA02G00680.1 pep chromosome:AWHD00000000:2:421461:424382:-1 gene:ONIVA02G00680 transcript:ONIVA02G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10840) TAIR;Acc:AT4G10840] MPGLAAADNSPPVAAPPPRRLSSPLPRSRAPPSPSPSTSSRAKPRKVAAQPETDEAALDNPDLGPFLLKQARDAMVSGEGGGAARALEFAERAARALERRGEGAELELAMSLHVAAAIHCGLGRHADAIPVLERAVAVVTPPPPPPPPEGESSAEEQPPEDQQKGEEWALAAFSGWMQLGDTHAMLGRMDESIACYGKGLEIQMAALGERDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDIEVATIDVAIGNTYLALARFDEAVFSYQKALTVLKSARGDDHPSVASVFVRLADLYHRTGRLRESKSYCENALRVYAKPAPGAAPDEVAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMVGRYADSRNSFENAVAKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKLRLAELLKEAGRSRNRKQKSLENLFVTNSQRVKKDAGRRWSNFGFRS >ONIVA02G00670.1 pep chromosome:AWHD00000000:2:412208:416634:-1 gene:ONIVA02G00670 transcript:ONIVA02G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPNDIKDLFGDSISSEYESSPNDDEFCDNEDSESSYVGISKDELLNSLKRKLSKKKNTDEGTSKVNYLDFLNFGMRLVLKHVPRIKVWKGSMIKKFSKFDKISKGVYGKRPVKDFSECCYKMIGSDDVKENVPVKPIERSFLDMLDSSVGADLTQDMKNKINELLIQHFGPDENCIDERAKNLLVDVFVLLSNSKPIVPENTNVNLNEDDKSKFNDDSSMVKDQNTCNDNAIGNEQTPMKISSSPKDKIAVVDGIMKKLSKPGLSNTSPKKAISSIVGFNERKPIYFDHEKPQFQIWDSDDDFPNEEKHFRTQIIPKDLSQDFDDDSQSQLNDSTNEDKLVMITLEDTDTEILTQHNEEENLNIEQLQKKDSPDVIFLGEKQCPDNCFDITSKTNVLYNKINTFVVKLDKKLKMSTGSPERILLCNVDKSVGQCSTSQKPKHDLRRILQPARYYTDPYSPERHSFPVTQYDRQVYNVVCKISKSNFQE >ONIVA02G00660.1 pep chromosome:AWHD00000000:2:408048:408739:1 gene:ONIVA02G00660 transcript:ONIVA02G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGERGTCDNRESTELCGRVGGGEHQRDETMGAKGIVEGIDTVELEAREYRQSTQLVECGGGGGEGPSRQEPPRCQQGQWSAAAAGKDFAGGNHHSVGEGRLQCSAAETSPSGTTTAPAATEEVGSGEGSGGLQRRPPLGTTAAPAWVGGVRGSSGRGRPRLRGRRLGKKSALEKAAEERDGGNSTCEEERGEGAPSHAWRG >ONIVA02G00650.1 pep chromosome:AWHD00000000:2:404873:405829:-1 gene:ONIVA02G00650 transcript:ONIVA02G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFVNLVVDTAGGGGDATAYKLYRVAASALFSCPARRQAAKVLDPDDVEDAAGGLPPPAITFPADDGRLYVIERVPLSGNPGCFEALTYGLLPDDDDSLSSRMGWYWRSLPPPPFAKAGYDITASAVVQLNESKELWVTAAHGAGTFSFDTNSKVGEWRELGEKGMPFMGRGEYVEEHGQWFGLSSTPRLGLYLCSCDLSYLCCSYDAQVMVRCWLDGLDRLPAAAPPKRSLLMETYAVHLGSGRFCIARFMEEEEQHNISLHPFFRVAGEKSKNDRFLLLTGVDVVGSDDAVVVHKSIRYAFQNGDFVRGYSRVF >ONIVA02G00640.1 pep chromosome:AWHD00000000:2:400370:400867:1 gene:ONIVA02G00640 transcript:ONIVA02G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGISEGIDTVELEAREYRQSTQLVECGGGGEGPSRQEPPRCQRGQWSVAAAGKDFAGRNHHGIGKGRLRCSAGEVGRPRCREPPRRQQRLEKKSAPARASVGFGGGDRWGRQRLLRGQVECVAAVEGDDLGSGDRD >ONIVA02G00630.1 pep chromosome:AWHD00000000:2:392535:394971:1 gene:ONIVA02G00630 transcript:ONIVA02G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLREIRKRAQDVEDEKKGIRIKKEDWGKLHVHIASYNNFPTAAGLASSVAGLVCFVFTLGNLMNVKEDYGELSSIARQGSGSACRSIYGGFVKWCMGKNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQQ >ONIVA02G00620.1 pep chromosome:AWHD00000000:2:389513:390328:-1 gene:ONIVA02G00620 transcript:ONIVA02G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSRKGSYTKLYSIRTQVMNMKHWQAKQYVYYSQLIRSIEHDAELQNEVFHTEKLHISKSVKDCINIQTYITSSGSSSCVGALLLLLQYALFWSRMEVIDVAEDLVQVDRGDGEKTARALFGGGVSGHDDVQGREDAERSEAGEVAADVTDEEELPARVAAVEGAVVKTEARKAGGVAADVTDENALAVRVAAAESESVTMETREEREVADGEAREVAEAREVAADVADEEALAVSVAAEEGEVLTKKTVEAGGVAAGVADEEAPVRIRA >ONIVA02G00610.1 pep chromosome:AWHD00000000:2:380624:388963:1 gene:ONIVA02G00610 transcript:ONIVA02G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQAKPPELEEKLTKSRKKKAAASDPDDLHRRDAKRRRRAAAAQREVSVLSLTDDVVYKPQTKETRAAYEALLSVIQQQFGGQPLDVLGGAADEVLAVLKNDKIKSPDKKKEIEKLLNPISNQMFDQIVSIGKLITDFHDASAGDSAAAPSGDGMDTALDDDIGVAVEFEENEDDEESDFDQVQDDLDEDEDDDLPESNAPGAMQMGGELDDDDMQNSNEGLTINVQDIDAYWLQRKVSQAYEDIDPQHSQKLAEEILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRFKIVWCTRLARAEDQEQRKKIEEDMMGNPTLTPILEQLHATRASAKERQKNLEKSIRDEAKRLTKSENTGIDGARDRRAVDRDMESGWLKGQRQLLDLDSLSFHQGGLLMANKKCELPPGSFRTPHKGYEEVHVPALKAKPYETGEKIVKISDMPEWAQPAFAKMTQLNRVQSKVYETALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGVFDNTKYKIVYVAPMKALVAEVVGNLSARLSAYGITVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVSRTVRQIETTKEHIRLVGLSATLPNYEDVAVFLRVRSDGLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMASAGKHQVLIFVHSRKETAKTARAIRDTALANDTLNRFLKDDSASQEILGSQAELVKSSDLKDLLPYGFAIHHAGLARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQYYLSLMNQQLPIESQFISRLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADIMETDKTLDERRADLVHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMIDKQMWNVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRFPKMGRQLHKCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGENILHHEYFMVKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNARYEGLYSAFKHFNPIQTQVFTVLYNTDDSVLVAAPTGSGKTICAEFAILRNHQKAVSGESNMRVVYIAPIEALAKERYRDWEQKFGEFARVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKQVQQVSLFIVDELHLIGSEKGHVLEVIVSRMRRIASHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKNGKPALVFVPTRKHARLTALDLCAYSSAEGGGTPFLLGSEDEMDAFTGGISDETLKYTLKCGVGYLHEGLSDLEQEVVTQLFLGGRIQVCVASSTVCWGRSLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESHLHHFLHDHMNAEVVVGVIENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELVETVLNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPSRPGEEDFIEKLVRHQRFSIEKPRYGDPHVKANALLQAHFSRHTILGNLAADQREILLSAHRLLQAMVDVISSNGWLTLALNAMELSQMVTQGMWDRDSVLLQLPHFTKELAQRCQENEGRPIESIFDLAEMSIDEMRDLLQQSNPQLQDIIEFFKRFPNVDMAYEVREGDDIRAGDNVTVQVTLERDMTNLPSEVGPVHAPRYPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFTAASEAGRKEYMIYLMSDSYLGCDQEYEFTVDVMDAGGD >ONIVA02G00600.1 pep chromosome:AWHD00000000:2:374595:376759:-1 gene:ONIVA02G00600 transcript:ONIVA02G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAMALAVLFFCLLLLSSAAITFLLLRHCLSGLRRRHRAAAAADVDAEAAEGGAGARQLMPPCSPPPQQELPLLRPAAKLVPSTKEEGEPWKLTWREVEALTGGFDEAAVVGRGGSSTVYLASSCRVAVVSPPVAVKVHRWCGGERWLRAFRQELDLLRRLRHPHIVSLLAYSDDHEEGGALVLEYLSGGTLADRLHGGASPPLPWRHRMRVVHDVAGALEHLHDGAPPVVHGDVSASNVLLDGRGLGARLCDLGSACEGFSAAVAPTRAAVGSPGYVDPFFLRTGIVSKKSDVYSFGVLLLEAITGSPAAGIPGPDGGAGGGNLTARLLPRVRTEGVDGLADRRLGDDYDAAEAGDVARIAVECLAAQPGLRPTMAQVRAAIAEKAATSISIAHHDLHDASDST >ONIVA02G00590.1 pep chromosome:AWHD00000000:2:368828:375306:1 gene:ONIVA02G00590 transcript:ONIVA02G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGDALESQAAAAAEAARELREAAASLVARRSADEDALRRRATALDADLRRLQASLSTLAPTTLDKVEEELERARVTISDSDVAAFLPSKRNGKFLKTFVGPVNVRVARKEDKLRVKDEYNNYRDRAAYMFLLFPSILLLLRWWIWDGCLPALAVQMYQAWLLFLYTSFALRENVLIVNGSDIRPWWIYHHYLAMLMALVSLTWEIKGQPDCSSKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFTLQVVVCGILLVVMAVGNFVNTMETLMLKLRFKAKMKRAKSRQDLSRQHQN >ONIVA02G00580.1 pep chromosome:AWHD00000000:2:363300:367492:1 gene:ONIVA02G00580 transcript:ONIVA02G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C78, ubiquitin fold modifier-specific peptidase 1/ 2 [Source:Projected from Arabidopsis thaliana (AT5G24680) TAIR;Acc:AT5G24680] MISSCPICNVQVLTAELQWHANAHFDDDQLQTDMELAHQIALTQSTPNPLLLDTHQECNNLFAGDPHAQGASSSIAGSSSLQGSVLDEQVSCLLQAQLRSNVQEIQGGVMNLLRACLESEAGSSTSMISGRVDHYQSLSSEDKGWGCGWRNIQMLSSHLLKQRPEAREALFGGSGFVPDIPSLQRWLEIAWDKKFDTLGSSHFHNKVYGAKKWIGTTECAALLRSFGLRARVVDFDSTESSGPHSKNGKHAPKRVQGPMDKFLIKNVSPTSTSSELCREDAENMRGQQVLVDWIWNYFASRHSDNSDSSRRVLVSDKTPLYFQHQGHSRTIVGIQKQNGQRGNQDRFTLLILDPGHRTSDLERALRSKTGWQRLVKRGVHTLRKPQYQLCYVDPGIASSEEMEQLKTIDSILVRF >ONIVA02G00580.2 pep chromosome:AWHD00000000:2:363300:365763:1 gene:ONIVA02G00580 transcript:ONIVA02G00580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C78, ubiquitin fold modifier-specific peptidase 1/ 2 [Source:Projected from Arabidopsis thaliana (AT5G24680) TAIR;Acc:AT5G24680] MISSCPICNVQVLTAELQWHANAHFDDDQLQTDMELAHQIALTQSTPNPLLLDTHQECNNLFAGDPHAQGASSSIAGSSSLQGSVLDEQVSCLLQAQLRSNVQEIQGGVMNLLRACLESEAGSSTSMISGRVDHYQSLSSEDKGWGCGWRNIQMLSSHLLKQRPEAREALFGGSGFVPDIPSLQRWLEIAWDKKFDTLGSSHFHNKVYGAKKWIGTTECAALLRSFGLRARVVDFDSTESSGPHSKNGKHAPKRVQGPMDKFLIKNVSPTSTSSELCREDAENMRGQQVLVDWIWNYFASRHSDNSDSSRRVLVSDKTPLYFQHQGHSRTIVGIQKQNGQRGNQDRFTLLILDPGHRTSDLERALRSKTGWQRLVKRGVHTLRKPQYQLCYVDPGIASSEEMEQLKTIDSILVRF >ONIVA02G00570.1 pep chromosome:AWHD00000000:2:357210:358336:-1 gene:ONIVA02G00570 transcript:ONIVA02G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPHDQHEHDPDHAHRSPSNGTAATSTIATNRWGPYSGAGDFASNMAVILAALLAALALALALNAAVRYLLRRHRRARQQPAAAAAAAEDPEKPPVQEADPPPPPPALVYSAAGTKLAGAAECAICLAEFVDGDTVRVMPCWCQEIPLESGLRFAQIKVYQTGPFNVEAANLLPTPGICTTCRFVYRGVVKHLHFLYGDWRDILACFVKGKKNTNS >ONIVA02G00560.1 pep chromosome:AWHD00000000:2:350752:355597:-1 gene:ONIVA02G00560 transcript:ONIVA02G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G68930) TAIR;Acc:AT1G68930] MTHAAHALCNRYAAILSSAAGDGGRTGVRVAGAVHCLILKTFLQAPPTFLLNHLLTAYAKSGRLARARRVFDEMPDPNLFTRNALLSALAHSRLVPDMERLFASMPERDAVSYNALITGFSSTGSPARSVQLYRALLREESVRPTRITLSAMIMVASALSDRALGHSVHCQVLRLGFGAYAFVGSPLVDMYAKMGLIRDARRVFQEMEAKTVVMYNTLITGLLRCKMIEDAKGLFQLMVDRDSITWTTMVTGLTQNGLQLEALDVFRRMRAEGVGIDQYTFGSILTACGALAALEEGKQIHAYITRTWYEDNVFVGSALVDMYSKCRSIRLAEAVFRRMTCRNIISWTAMIVGYGQNACSEEAVRAFSEMQMDGIKPDDFTLGSVISSCANLASLEEGAQFHCLALVSGLMRYITVSNALVTLYGKCGSIEDAHRLFDEMSFHDQVSWTALVTGYAQFGKAKETIDLFEKMLANGLKPDGVTFIGVLSACSRAGLVEKGCDYFDSMQKDHGIVPIDDHYTCMIDLYSRSGRFKEAEEFIKQMPHSPDAFGWATLLSSCRLRGNMEIGKWAAENLLETDPQNPASYVLLCSMHAAKGQWTEVAHLRRGMRDRQVKKEPGCSWIKYKNKVHIFSADDQSHPFSSRIYEKLEWLNSKMAEEGYKPDVSSVLHDVADADKVHMISHHSEKLAIAFGLIFVPQEMPIRIVKNLRVCVDCHNATKFISKITGRDILVRDAVRFHKFSDGTCSCGDFCSIQGNLVYLTLQRRLSREEFKEVLRIILYRSTNGINEKITFTKLRRLQIFKATQRSYYLFLPKLLLSHMHKSLPELHGIPAEVPNFLDLKFKSFLAFQICRDILVRDAVRFHKFNNGTCSCGDFW >ONIVA02G00560.2 pep chromosome:AWHD00000000:2:350752:352906:-1 gene:ONIVA02G00560 transcript:ONIVA02G00560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G68930) TAIR;Acc:AT1G68930] MSLGNLVYLTLQRRLSREEFKEVLRIILYRSTNGINEKITFTKLRRLQIFKATQRSYYLFLPKLLLSHMHKSLPELHGIPAEVPNFLDLKFKSFLAFQICRDILVRDAVRFHKFNNGTCSCGDFW >ONIVA02G00560.3 pep chromosome:AWHD00000000:2:350752:355597:-1 gene:ONIVA02G00560 transcript:ONIVA02G00560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G68930) TAIR;Acc:AT1G68930] MTHAAHALCNRYAAILSSAAGDGGRTGVRVAGAVHCLILKTFLQAPPTFLLNHLLTAYAKSGRLARARRVFDEMPDPNLFTRNALLSALAHSRLVPDMERLFASMPERDAVSYNALITGFSSTGSPARSVQLYRALLREESVRPTRITLSAMIMVASALSDRALGHSVHCQVLRLGFGAYAFVGSPLVDMYAKMGLIRDARRVFQEMEAKTVVMYNTLITGLLRCKMIEDAKGLFQLMVDRDSITWTTMVTGLTQNGLQLEALDVFRRMRAEGVGIDQYTFGSILTACGALAALEEGKQIHAYITRTWYEDNVFVGSALVDMYSKCRSIRLAEAVFRRMTCRNIISWTAMIVGYGQNACSEEAVRAFSEMQMDGIKPDDFTLGSVISSCANLASLEEGAQFHCLALVSGLMRYITVSNALVTLYGKCGSIEDAHRLFDEMSFHDQVSWTALVTGYAQFGKAKETIDLFEKMLANGLKPDGVTFIGVLSACSRAGLVEKGCDYFDSMQKDHGIVPIDDHYTCMIDLYSRSGRFKEAEEFIKQMPHSPDAFGWATLLSSCRLRGNMEIGKWAAENLLETDPQNPASYVLLCSMHAAKGQWTEVAHLRRGMRDRQVKKEPGCSWIKYKNKVHIFSADDQSHPFSSRIYEKLEWLNSKMAEEGYKPDVSSVLHDVADADKVHMISHHSEKLAIAFGLIFVPQEMPIRIVKNLRVCVDCHNATKFISKITGRDILVRDAVRFHKFSDGTCSCGDFCSIQGNLVYLTLQRRLSREEFKEYYLFLPKLLLSHMHKSLPELHGIPAEVPNFLDLKFKSFLAFQICRDILVRDAVRFHKFNNGTCSCGDFW >ONIVA02G00560.4 pep chromosome:AWHD00000000:2:350752:352906:-1 gene:ONIVA02G00560 transcript:ONIVA02G00560.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G68930) TAIR;Acc:AT1G68930] MSLGNLVYLTLQRRLSREEFKEYYLFLPKLLLSHMHKSLPELHGIPAEVPNFLDLKFKSFLAFQICRDILVRDAVRFHKFNNGTCSCGDFW >ONIVA02G00550.1 pep chromosome:AWHD00000000:2:344288:348543:1 gene:ONIVA02G00550 transcript:ONIVA02G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSNTSISNTRNRNSNWIGGTINTSSDPSPIPHTTQTQTQTNLLFSSPPFFFLVLILYPSRGDATIMETRDDVADASALPYSYSPLPAGDAASADLAAARRSRRRPLCVALFLASAAVILAVAVLSGVRLAGRPATTTMVVPGVVEMEMASRGPESGVSEKTSGAEEMVRLMGGAAGGEAFPWSNAMLQWQRTGFHFQPERNWMNDPNGPVYYKGWYHLFYQYNPDGAVWGNKIAWGHAVSRDLVHWRHLPLAMVPDQWYDVNGVWTGSATTLPDGRLAMLYTGSTNASVQVQCLAVPSDPDDPLLTNWTKYHANPVLYPPRTIGDRDFRDPTTAWRDPSDGDWRIVIGSKDEHHAGIAVVYRTADFVTYDLLPGLLHRVEATGMWECIDFYPVAGGEGVDMTEAMYARNKGVVHVMKASMDDDRHDYYALGRYDPARNAWTPLDAAADVGIGLRYDWGKFYASKTFYDPAKRRRVLWGWVGETDSERADVAKGWASLQSIPRTVELDTKTGSNLLQWPVEEVETLRTNSTDFGGITVDYASVFPLNLHRATQLDILAEFQLDPLAVDAVLEADVGYNCSTSGGAAGRGALGPFGLLVLADKRHRGDGEQTAVYFYVAKGSDGGVTTHFCQDESRSSHADDIVKRVVGNVVPVLDGETFSLRVLVDHSIVESFAQGGRSTATSRVYPTEAIYANAGVFLFNNATSARVTAKKLVVHEMDSSYNQAYMA >ONIVA02G00540.1 pep chromosome:AWHD00000000:2:329584:331654:-1 gene:ONIVA02G00540 transcript:ONIVA02G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZX5] MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSVKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPIIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >ONIVA02G00530.1 pep chromosome:AWHD00000000:2:323522:329210:-1 gene:ONIVA02G00530 transcript:ONIVA02G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTIALDGETSLGSETATQPPLLSSPTPTYSSPIHPAANAVHRCDAAHAAASSSKGSIGVGAGNYFELHWQICHGRELQFQLAGHTLCSSDDGRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSDELESLLLNSLVLEQLRLNVCNKISFLKIPCVLQHLSCLSVMACRRMQVIVCEAPNLSSISLSGGIKFSLGETLTMKVLSMIRPNVVCYARAQLPSIMPNLESMVLSSDSEAVNIPMLPTKFLCLKHLTIQIARGTFSPSYDYFFLVSFLHASPSLETLYLDVFQEDMRHESIVEDSSAHLRQLPELSHECLKSVEIIGFNSAKSLVELTCCIVKAAASLERLVLDTLRGGDRCSGESNGKICWPVSNAVLKESARAAIAVRRYIEDKVAPTTTLTLGSLLVALV >ONIVA02G00530.2 pep chromosome:AWHD00000000:2:324396:329210:-1 gene:ONIVA02G00530 transcript:ONIVA02G00530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTIALDGETSLGSETATQPPLLSSPTPTYSSPIHPAANAVHRCDAAHAAASSSKGSIGVGAGNYFELHWQICHGRELQFQLAGHTLCSSDDGRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSDELESLLLNSLVLEQLRLNVCNKISFLKIPCVLQHLSCLSVMACRRMQVIVCEAPNLSSISLSGGIKFSLGETLTMKVLSMIRPNVVCYARAQLPSIMPNLESMVLSSDSEAVNIPMLPTKFLCLKHLTIQIARGTFSPSYDYFFLVSFLHASPSLETLYLDVFQEDMRHESIVEDSSAHLRQLPELSHECLKSVEIIGFNSAKSLVELTCCIVKAAASLERLVLDTLRGGDRCSGESNGKICWPVSNAVLKESARAAIAVRRYIEDKVAPTTTLTLVGTCTRCHSLAFG >ONIVA02G00530.3 pep chromosome:AWHD00000000:2:324396:329210:-1 gene:ONIVA02G00530 transcript:ONIVA02G00530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTIALDGETSLGSETATQPPLLSSPTPTYSSPIHPAANAVHRCDAAHAAASSSKGSIGVGAGNYFELHWQICHGRELQFQLAGHTLCSSDDGRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSTYPYIDRWLEVAVTPGIEELTLTLHKKYIFACSLLSDGVRDSIRCLQLTFCAFHPMAELGPLRSLTKLHLCGVHITGDELESLLLNSLVLEQLRLNVCNKISFLKIPCVLQHLSCLSVMACRRMQVIVCEAPNLSSISLSGGIKFSLGETLTMKVLSMIRPNVVCYARAQLPSIMPNLESMVLSSDSEAVNIPMLPTKFLCLKHLTIQIARGTFSPSYDYFFLVSFLHASPSLETLYLDVFQEDMRHESIVEDSSAHLRQLPELSHECLKSVEIIGFNSAKSLVELTCCIVKAAASLERLVLDTLRGGDRCSGESNGKICWPVSNAVLKESARAAIAVRRYIEDKVAPTTTLTLVGTCTRCHSLAFG >ONIVA02G00520.1 pep chromosome:AWHD00000000:2:319815:323569:1 gene:ONIVA02G00520 transcript:ONIVA02G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLGVDASWEFVNRERLRRMGRTYNLLKMVEAVLPGLIDDPYHASDFLRDMEHKIRTRATFSGGSFRVLAVEFCNLLRDYNDRIIADPPVSTREELQDHINELIAQLDDLLHQTCTLRQDDPVGGGMNIKSDCDEPSPHCSCVGQTDISWVTYFWNSVEFELTYCLAVGGGMKIKADCDESSPYAAMLVSQDVALCCKYRTNGQILGDCFLEFCLIMLCAAGGNKTNTPGPDAQSALRALALARSGMNIGRIVGGYEQASLKDQRI >ONIVA02G00510.1 pep chromosome:AWHD00000000:2:308305:309148:1 gene:ONIVA02G00510 transcript:ONIVA02G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRSKREIAPPTPQVLPPGVPWWGGAVKPGVAFPPSGEAMAVPGWWTAPPLQSNSFVSPYGAWMGAVPTPPDGQGSQNTSNDPLER >ONIVA02G00500.1 pep chromosome:AWHD00000000:2:307565:315448:-1 gene:ONIVA02G00500 transcript:ONIVA02G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTPLTQRYKMLSRGRSNKSPKPSDNSHSLSKFATSASCECLTAPVAAALRVFGLVLAGQSVGRQGELRRAGRWVGCSTGAPAGYHACTGQFSWEGQGHAHGRGFGEPEEVAAISEPMRSGKLVEERACGRRHAPFLAWSRISAANLPHGRCLTPPKCFPLATAAAAAASLGVTGSDMSAKPKQQEDPSYDDESGESRGSGFTSANTPSGSHPHENASRIAPNTTMSSASSSRSRRRKQQAAAT >ONIVA02G00490.1 pep chromosome:AWHD00000000:2:305459:307549:-1 gene:ONIVA02G00490 transcript:ONIVA02G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZW8] MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPNTGENYRLLYDTKGRFCLQSVKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPIIKANDTIKIDLETDKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >ONIVA02G00480.1 pep chromosome:AWHD00000000:2:296956:304846:-1 gene:ONIVA02G00480 transcript:ONIVA02G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAVQREQRRQTLALAPVLPMSKPQLPLPSSPVSDKSNCTVSSTNNSPSEPVGHGDSEHGDSLIYSLPYLHEDIWRHIHSLMPMSAAARAACLSHSFLNSWRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSTYPYIDRWLEVAVTPGIEELTLTLHKKYIFACSLLSDGVRDSIRCLELNLCAFHPMAELGPLRSLTKLHLRCVRITGDELECLLSNSLVLEQLKLYGCNKISFLKIPCVLQHLSCLTVSSCFRLQVIVCEAPNLSSIFLCGEIKLSLGEALTMKALLMRRPNVVCYARAQLPSIMPNLETMELGSDSEAVNIPMLPTKFLYLKHLTIQIAGGTFSPSYDYFFLVSFVDASPSLETLYLDLTCCIVKAAVSLERLVLDTLRGGDDRCCGESNGKICWPVSNAVLKESARAAIAVRRYIEDKVSPTTTLTLVEPCTRCHSLAFG >ONIVA02G00480.2 pep chromosome:AWHD00000000:2:296956:304846:-1 gene:ONIVA02G00480 transcript:ONIVA02G00480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAVQREQRRQTLALAPVLPMNKSNCTVSSTNNSPSEPVGHGDSEHGDSLIYSLPYLHEDIWRHIHSLMPMSAAARAACLSHSFLNSWRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSTYPYIDRWLEVAVTPGIEELTLTLHKKYIFACSLLSDGVRDSIRCLELNLCAFHPMAELGPLRSLTKLHLRCVRITGDELECLLSNSLVLEQLKLYGCNKISFLKIPCVLQHLSCLTVSSCFRLQVIVCEAPNLSSIFLCGEIKLSLGEALTMKALLMRRPNVVCYARAQLPSIMPNLETMELGSDSEAVNIPMLPTKFLYLKHLTIQIAGGTFSPSYDYFFLVSFVDASPSLETLYLDLTCCIVKAAVSLERLVLDTLRGGDDRCCGESNGKICWPVSNAVLKESARAAIAVRRYIEDKVSPTTTLTLVEPCTRCHSLAFG >ONIVA02G00470.1 pep chromosome:AWHD00000000:2:292614:296078:1 gene:ONIVA02G00470 transcript:ONIVA02G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALPTPFHASASHDRSIDRWRCGDSCAPPHSQSTLPRLARTPSAMGGSHHLFRFLAADDHPFFPTFPTSSSSFLLDDTHPFFFPPSSSCPLGFTSPPSSSCPLGFTSSYDLDAAFHHHLDLDLDLDLDLDLFLQTPTPPVTTSCPALRDPFLLHHSLAHRVSALELAAPRSKYTYEAESAGRKIKWTTHDKPDGDRTFKWEAQIDTPNDDGFDRKWKWESKASAAGATKLKWAKEVKGKGFLHPWSHAYSVEEVFGDDDHHHKADKTAENKVKQHNKDTSAKEEKKKTNKSNVQIVEIDDNTAGCVAINKLRQGQEKAAVPAGCCIVDPMNYRAHLAHRSQVLRCLRHLAVAKAKLKDIRSLFYNISYRRRISHDSEERQRFADKIIALLATVDALEGPDYMVRNAKRSMLEELEGMLEIVGPQSLGKPRTLSRRKFENHI >ONIVA02G00460.1 pep chromosome:AWHD00000000:2:289270:292242:1 gene:ONIVA02G00460 transcript:ONIVA02G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-lactate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZW4] MSHKTTIDHFHFHFQERSTSPLAFHPVSEGGRSRSRSRDMKKASSLSELGFDAEGASSGFFRPVADGGSTPTSHRRRLTKISVIGAGNVGMAIAQTILTRDMADEIALVDAVPDKLRGEMLDLQHAAAFLPRVRLVSDTDLAVTRGSDLAIVTAGARQIPGESRLNLLQRNVALFRKIVPALAEHSPEALLLIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLQVNAQDVQAYMVGEHGDSSVAIWSSMSVAGMPVLKSLRESHQSFDEEALEGIRRAVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQHRIHPVSVLASGFHGIPQDHEVFLSLPARLGRAGVLGVAEMELTEEEARRLRRSAKTLWENCQLLDL >ONIVA02G00450.1 pep chromosome:AWHD00000000:2:281709:288451:1 gene:ONIVA02G00450 transcript:ONIVA02G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZW3] MSAAHLLRHSRKLRTLRDALDYDRSALVRYFSAASASFPTTGGAAAGVEKRIGGARFPQCKQPGKELETFKVSLGGLNGRYACRRAPNNCIPTTITGLNGSLSCGQVSSARSFSSSADLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEGDLERFKDYKPSTSAAPAAPSEPKAQPEPAEPKVKETEPSRIPEPKAPKTEEASQPGGRIFSSPLARKLAEDNNVPLSSVMGTGPDGRILKADIEDYLASVAKGGKREALAAPGLSYTDVPNTQIRKVTANRLLSSKQTIPHYYLTVDARVDKLIKLRGELNPLQESSGGKKISINDLVIKAAALALRKVPQCNSSWMSDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGTIAEEVKQVAQRARDNSLKPEDYEGGTFTISNLGGPFGIKQFCAIINPPQSAILAIGTAEKRVIPGSVDGQYEFGSFMSATMSCDHRVIDGAIGAEFLKAFKGYIENPNSMLL >ONIVA02G00440.1 pep chromosome:AWHD00000000:2:273474:280928:1 gene:ONIVA02G00440 transcript:ONIVA02G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFVADDQTDADFFDKLVDDDDDLSPAPAPAPVPAQQSAEAALLPALSDLSLADDDTDPSPAPPPVEAPPEGASPESGKGAVHTTVKQVQWASFGGAADDGADPFSDLSGGAADDGFLGTMAGNQSFQTSVIGSVGASAHGIFGGSQSLTAEVTDQDFFGGTSDQNTDTQQQQLEQSGSGAFDSTDPKYLESIYPGWKYDEATQQWYQVDNSDTHGNATQVDTSTENIQQQQLAASYMHNPTQSSLETIAEEGTTTGSVSTWGQGGTSEYPPNMVFYTEYPGWYFDTNTQQWQSLESYQQAVTASAVQDGANNGVVASSAETNYSVKQTEDLPVHNQVAQHNSFSNNYSYQSQWQTNSFSNSMQPESATASLPDSFQSLGQHAISESFNSSTNSQVSFNTAETATSHYGNVNLDSSSTQGGYTASGGQQTGYKGFEPFTGHQAGYKGFEPSTGHQTSHKLFDPSAGNQNSYKPFEPSTGHHQHKGFEPSTNHQGDYKAFEPSTHNQGGYKGFSPSTVQQPGYKGFEASTGLQTNFKGFEPYSGQQAGYTGSLPSTGHQSSYMGFETSSNQGYGDANNVANSQGFVPMESMYGSHNQAHTNPQVPLSNSYLSADNSKNFSQQQFLGPNASHLQFGQSPHEERSSAGRPPHALVAFGFGGKLILMKETNSMATNYDSGNQGNSSGTVSVLNLSEVVTDKADALSASNGSALSYFHALCRQPVPGPLVGGSAASKDVNKWLDDMIALYESSTSEFQRGDPRKLLISLLKILCHHYGKLRSPFASDPSHEDTDGPEMAVTKLFSSCKRSSFQMGDFGSHVRCMKNIPSENQMQAVAQEVQNLLVSGRRKEALQCAQEGQLWGPAIILALQLGDKFYVDTVKKMAHCHFLSGSPLRTLCLLIAGQPADVFNADNNISSNYGSQQPMEPSPNGMLDDWEENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLVAELNIDPYSESARLCLLGADHLKCPRTFASPEAIQRTEIYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGRVADSLRYCQASMKVLKASGRAPELEAWKQLFSTLEDRIRTHQQGGYGTNLAPAKLVGKIFTSLDKSISRMMGTPSAPLPPLPQGAVSDRESYTAPGATKFANSQSVMTMSSLMPSASVQSMSEMSDSGRKIAHNRSVSEPDFGRTPKQGAGSDSTQSTAPGSGSSRFGWLGSTLQKTMGFVSKSHRQAKLGQQNKFYYDEKLKRWVEEGAEIPAEEPPLPPPPSKPSFQNGVVDYKLNGPMSASHTPNGFMEGKSSTSSEHGSGMPPIPPSQNQFSARGRMGVRSRYVDTFNKGGGGGAVPSYNKPAVASVTPPSGAKFFVPTAAVVAAEQMPNQTAETHGETFRPDERSSSPPAETSFSSPPPATQFSAPLMVPTIQRYPSMDNITTPNNGSGLSSGSNSSSFSRSRAASWSGTYSEQINAVAGARSPDQQTMPSPLMPGKQSHSRSNSNSSVQFNSLTEDLHEVEL >ONIVA02G00430.1 pep chromosome:AWHD00000000:2:268720:271587:1 gene:ONIVA02G00430 transcript:ONIVA02G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G27790) TAIR;Acc:AT2G27790] MPREPAAVRVYTVCDESKYLIVRNVPSLGCGDDLANLFATYGPVDESVSSSSHLYHTTTCLCTPMDAEDCDPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVSYAPQFESLLDTKEKLEVRRKEVLGRMKSSSGRPEGLSHHSPGQGSSAANSHRQMSSNKREYTKTLHASQFEDPRFTHVSSNKDYFPSESMNATVNLVREKLDKIQSSSDNSSAIVAPKKPRTDNRRRI >ONIVA02G00430.2 pep chromosome:AWHD00000000:2:268720:271587:1 gene:ONIVA02G00430 transcript:ONIVA02G00430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G27790) TAIR;Acc:AT2G27790] MPREPAAVRVYTVCDESKYLIVRNVPSLGCGDDLANLFATYGPVDECTPMDAEDCDPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVSYAPQFESLLDTKEKLEVRRKEVLGRMKSSSGRPEGLSHHSPGQGSSAANSHRQMSSNKREYTKTLHASQFEDPRFTHVSSNKDYFPSESMNATVNLVREKLDKIQSSSDNSSAIVAPKKPRTDNRRRI >ONIVA02G00420.1 pep chromosome:AWHD00000000:2:255568:263370:1 gene:ONIVA02G00420 transcript:ONIVA02G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MAAPPLLSLSQRLLFLSLSLPKPQLAPNPSSFSPTRAASTAPPPPEGAGPAAPSRGDRFLGTQLAAEAAARVLAPEDAERRRRRREKRKALARKPSAAACYGCGAPLQTADEAAPGYVHPATYDLKKRHHQLRTVLCGRCKLLSHGHMITAVGGHGGYPGGKQFVSADQLRDKLSYLRHEKALIIKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVEAVVKKKLNVLSVHLTSSKSLVGVTGIISEIQQEKKGRDVYILGSANVGKSAFISAMLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLRARCFPANDTDVGLSGNSLFWGGLVRIDVVKALPRTRLTFYGPKKLKINMVPTTEADEFYEREVGVTLTPPAGKEKAEGWVGLQGVRELQIKYEESDRPACDIAISGLGWVAVEPLGVPSSNPDESAEEEDNESGELHLRVHVPKPVEIFVRPPLPVGKAASQWYRYQELTEEEEELRPKWHY >ONIVA02G00420.2 pep chromosome:AWHD00000000:2:255568:263370:1 gene:ONIVA02G00420 transcript:ONIVA02G00420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MAAPPLLSLSQRLLFLSLSLPKPQLAPNPSSFSPTRAASTAPPPPEGAGPAAPSRGDRFLGTQLAAEAAARVLAPEDAERRRRRREKRKALARKPSAAACYGCGAPLQTADEAAPGYVHPATYDLKKRHHQLRTVLCGRCKLLSHGHMITAVGGHGGYPGGKQFVSADQLRDKLSYLRHEKALIIKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVEAVVKKKLNVLSVHLTSSKSLVGVTGIISEIQQEKKGRDVYILGSANVGKSAFISAMLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLRARCFPVCICIQWHTCFFLKTCTLIFSSEYNVVFRLMIQMLD >ONIVA02G00420.3 pep chromosome:AWHD00000000:2:255568:260125:1 gene:ONIVA02G00420 transcript:ONIVA02G00420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MAAPPLLSLSQRLLFLSLSLPKPQLAPNPSSFSPTRAASTAPPPPEGAGPAAPSRGDRFLGTQLAAEAAARVLAPEDAERRRRRREKRKALARKPSAAACYGCGAPLQTADEAAPGYVHPATYDLKKRHHQLRTVLCGRCKLLSHGHMITAVGGHGGYPGGKQFVSADQLRDKLSYLRHEKALIIKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVEAVVKKKLNVLSVHLTSSKSLVGVTGIISEIQQEKKGRDVYILGSANVGKSAFISAMLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLRARCFPANDTDVGLSGNSLFWGGLVRIDVVKALPRTRLTFYGPKKLKINMVPTTEADEFYEREVGVTLTPPAGKEKAEGWVGLQGVRELQIKYEESDRPACDIAISGLGWVAVEPLGVPSSNPDESAEEEDNESGELHLRVHVPKPVEIFVRPPLPVGKAASQWYRYQELTEEEEELRPKWHY >ONIVA02G00410.1 pep chromosome:AWHD00000000:2:241814:246059:-1 gene:ONIVA02G00410 transcript:ONIVA02G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNTVSNSRLEKVELCVAARCHVDLTHRPTGSLSKLGGRKSQRGGEEHEGVLEEARRRKKPDSTIDSVELGHVVVACWAAFGGDGNGTKN >ONIVA02G00400.1 pep chromosome:AWHD00000000:2:241278:241600:-1 gene:ONIVA02G00400 transcript:ONIVA02G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISRVPFRKEAGDKAEHPTRDAKWKPNLTTFPVPVLPSPFFFLPPLFPPALCTALPHPLLPPPAPAPAQTVVRAN >ONIVA02G00390.1 pep chromosome:AWHD00000000:2:238450:241196:-1 gene:ONIVA02G00390 transcript:ONIVA02G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEFQQYIMDPGAFAMSAPPQPAQAAAAAAAAAAAAAGGQELGAPFRYQPLHHHALPQHHHHHHPPPQMPPHLAHFGGAGGIPFTQQLLHQAAAAGHHPHLQLFHEQHHHQKHQQQPPPPARWAPQHHHHHHPHHHLGLDVEAAVPESSGAGAGSAASGAAAPPGVPPFLAAAMSFKLGVDGGGGSGATGGTDDALNDGGGAGSGMMLHGGGGGGGGGDDEAATESRLRRWPGDEETSIKEPTWRPLDIDYIHSSSSSKRAPPKDKPATPDSPAPPPPANYFKNKPDDNAAAASAASAGAVNYKLFSELEAIYKPGSGGAQTGSGSGLTGDDNAMLAPPMADLPDAAAANPPHLNTSETSAGEDAHAVVQPQPQTQQPSGADAARRKRKRRRQEQLSASASFFERLVQRLMEHQESLHRQFLDTMERRERERAARDEAWRRQEADKFAREAAARAQDRASAAARESAIIAYLEKISGETITLPPPAANPAPGADEQDGVGKEVVAYDGEGSLQLSSSRWPKHEVEALIRVRTGLEDRFQEPGLKGPLWEEVSARMAAAGYRRNAKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELDRLYSRSGSGGGGGSSSAGGNGGEEAKGSSELLDAVVKYPDVRCAPPGFPFDGEQNEEGRTKDDGDEAHHDGDGDGDEEDVGVGVGRATDDHDDQVDESHDDH >ONIVA02G00380.1 pep chromosome:AWHD00000000:2:227551:228566:1 gene:ONIVA02G00380 transcript:ONIVA02G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAWLHASTLQLQYKLLQKIQIKKLAISIQNNHHHYAESLLVVAMRCKLPGPGQGRGCSSVKEDATHSSSSTLHTARCMTDKDASMDQSAAYAFA >ONIVA02G00370.1 pep chromosome:AWHD00000000:2:217862:226363:1 gene:ONIVA02G00370 transcript:ONIVA02G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGMGGGGGVQQQETTTTVVQVAAEASRKKVEVRSAGRRGRREMRRIEDATSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPRGRLYQFASASSDLQRTIDRYLNHTKNSSAHEGEESCVQKWRSEATTLGKKIEAIEGYKSKLLGEGLGSCSVQELQELEVQLEKSLCSIRQKKQKMLMDQILELREKEMNLLKENMVLRDQCKALSSPWSTSVGELKNKQADDDVHRHEDYSGGGVRDDDDRRMMEDVETDLAAANQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKREEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWDHSAGFDFAVDAQGADIYPPDGLIQCADHVYAPKGRCIDWGIGQPLPSWGEVKDLQLQAPCYQGMFHQSVACPGFIAAQAVSSLQIGGDSSDITSPSQGATVVNRMLGATNRLNLYREI >ONIVA02G00370.2 pep chromosome:AWHD00000000:2:217776:226363:1 gene:ONIVA02G00370 transcript:ONIVA02G00370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGMGGGGGVQQQETTTTVVQVAAEASRKKVEVRSAGRRGRREMRRIEDATSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPRGRLYQFASASSDLQRTIDRYLNHTKNSSAHEGEESCVQKWRSEATTLGKKIEAIEGYKSKLLGEGLGSCSVQELQELEVQLEKSLCSIRQKKQKMLMDQILELREKEMNLLKENMVLRDQCKALSSPWSTSVGELKNKQADDDVHRHEDYSGGGVRDDDDRRMMEDVETDLAAANQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKREEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWDHSAGFDFAVDAQGADIYPPDGLIQCADHVYAPKGRCIDWGIGQPLPSWGEVKDLQLQAPCYQGMFHQSVACPGFIAAQAVSSLQIGGDSSDITSPSQGATVVNRMLGATNRLNLYREI >ONIVA02G00360.1 pep chromosome:AWHD00000000:2:215436:216058:1 gene:ONIVA02G00360 transcript:ONIVA02G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGVATAAALAGAAVAAERIPAAWRRIRRRPPRGGRIGGLPPLGQRLDDAGGQPTTSSLATAFLCAHCATTRYPDICYDSLLPYASTSVAGGEAGSVAGDRGWWWRRRRRRASSKAAASAVAVLTAARLGARTRKSIFACGCVTRTKKSGFSQTHPSRRVEAPHAKIYSIRTEKSFM >ONIVA02G00350.1 pep chromosome:AWHD00000000:2:207424:211670:-1 gene:ONIVA02G00350 transcript:ONIVA02G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: RNA processing; LOCATED IN: intracellular; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: RNA-processing protein, HAT helix (InterPro:IPR00310 /.../ small nucleolar RNA-associated protein 6 (InterPro:IPR013949); Has 492 Blast hits to 480 proteins in 206 species: Archae - 0; Bacteria - 2; Metazoa - 128; Fungi - 191; Plants - 60; Viruses - 0; Other Eukaryotes - 111 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28200) TAIR;Acc:AT4G28200] MADAVQYRLERMSDELDDLERRGLFTRAELAEVVRRRRDFEFRLRRRSPLRSDFLDYIAYELRLDALRDLRKRAIIRATPDTTDHDADATDNDSSKKKKKKRNKGKAKKWKKSVSDIAGVLRVLDIYRMATVRYKGDLDLWFRYLEFCRDKRHAIRYHPKVPGLWIYAAAWEFDQNLNVAAARALMQTGLRSCPESEDMWIEYLRMELTYLNKLKARKVALGEDVKTLQKKNNDASTWKEENKELFMSLNEQDEGPKESGSQGVALEGKEDLFWQQGLLIIQTIYHGATKALPSSLTLRKKFLEILNSVDLAHSDELKVEVMDDLKKEFSDSEDYWDWLARLQLSDSTSSSNLNRMEALSNKLNKSIQVYDEAVRRLPTSRMYSLYAKFWMGVLFADTEDSISLFHGGEFDASGFTSCILKVYQNAESSGCLTEDLACQYVSLYLRLGGLEEAPGRLEEARNLAKKLCNGPLSHAADLWNLRASIEMKSLATSTGISSFSKENLSSLFDLFNVALPKLPIPEAEELWHTAMKLFSHEKVYFEKLVKCAMLSLSSAGGNDCGASVSSAIVGWILQRDGIKQARKMYKRFLALPRPSFKFFQYCIELETNLASIGNQDSLVNARKLYDAALDLYPQERELWRNYYNMELKLGTSETANAIYWRARKVLGDSSALDIPHS >ONIVA02G00340.1 pep chromosome:AWHD00000000:2:203531:204878:-1 gene:ONIVA02G00340 transcript:ONIVA02G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZU8] MAAVNTVSSLPCSKAGAAVAGGAPRPSTCSVFYPPRCWSKRSSGNGVRAQASTTETTAAPAAEVTTKVEKVSKKQVDGVVTNKYRPKEPYTGRCLLNTRITGDDAPGETWHMVFSTDGEIPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSAIGDFADSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSDVKITGPVGKEMLMPKDPNATIIMLGTGTGIAPFRSFLWKMFFEEHDDYRFNGLAWLFLGVPTSSTLLYREEFERMKEIAPERFRLDFAVSREQTNAAGEKMYIQTRMAEYKDELWELLKKDNTYVYMCGLKGMEKGIDDIMIDLAAKDGIDWLDYKKQLKKSEQWNVEVY >ONIVA02G00330.1 pep chromosome:AWHD00000000:2:192041:203064:-1 gene:ONIVA02G00330 transcript:ONIVA02G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSGVTVHVAAKVVTVEGPRGKLTRNFKHLNLDFQLLEVEGVRKLQVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGTITEDHCSVQTQRMNWVEFLKPVVAMLVFDTLFALMTALVKKALADGLNHVVFTTLRQFVAAVLLAPIAYFKERNTRPRFTTEIFAYMFMSALLGGLCAQYLFFLGLSYTTATLTATFSNMTPVFTFLIAIPLQLETVDVRSKAGLAKVIGTLMSVGGATLLGLYKGAALTHTTSSVQEHGAKGITSNSSSISKERWMLGSVLLVLNCISFSLWMLLQGNLTKKYPAVFSSTAFMTSFSSMQAGVVALTTQRRLSVWLIRGNIQIIAVVFAGVGVSGIGYVLMTWCIEKKGPVFTAGFMPLIQIMAALIDLFFLHEQIFLGSAIGAALVIGGLYLLLWDVRLGVEEQSTINPRTYQVIVQKGGDFIKSFAEECRTVMTMLVFDLISAVMTALVKKALEQGLNRLVLITLRQLVATLFLSPIAYFKERAGLSQYSFFYGLQYTTATYAITFANLSPVLTFLIAIALGVESLNMKSMAGGAKVLGTLTSMAGVLLLSLYKGVALTNHPSAAAAMDASAGGGHGGSVMVKNNKQWTLGTVMLLGNCLCFSLWLLLQGKLTKKYPAIYSCTAIMFFISTLQGGALTLATERLTASAWTLTNKVEIVTVIYSGVMASGVGYLIMTWCVGKRGPVFTAAFIPVIQIMVAFIDFFFLHEQLHLGSVLGSVLMILGLYLLLWGKKKDAAAASSVVVVVCCPEPKHLPVDDEEAPNTIKAQQQPPSPLKL >ONIVA02G00320.1 pep chromosome:AWHD00000000:2:188481:189089:-1 gene:ONIVA02G00320 transcript:ONIVA02G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSSSSSSRSVVMMCCVLMLMIAAAAHAGEQEAEECASPMSIEEACRGASETHHAVAYDHCVASLTADPRSSSSEARSLHGLAMLATRMAIDHATSTVCKMDDLAELEPEDSSSPSDARARFEHCLEQYGGAADLLRDALDNLKVRIYGTAMEQLSAALGAAESCEDAWKGDEGNIPIAAHDREYGRMAHIAIGFTHAAA >ONIVA02G00310.1 pep chromosome:AWHD00000000:2:185253:185798:-1 gene:ONIVA02G00310 transcript:ONIVA02G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCGSVCFLFVIMVIASSPAAVAAVGEDIVEETCGQCSRSNPNVNYTLCVSSLSGYPGADLHGLALFSTMPLRSALATIASEARVLRDRAPPGSPPRSCLDSCLEVFRDAAYNLGNAVAAIESWRYGDAKTAMSATTDAPVTCEDEFKGQGMATPPAIKARTKPLFQHGVISLAIISLL >ONIVA02G00300.1 pep chromosome:AWHD00000000:2:183499:183972:-1 gene:ONIVA02G00300 transcript:ONIVA02G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSYLTCNLVTRQRQRGGVEEDEYDGRRQPQTATCCTALQRGVTADGLLAQPRYAPVRTPQSALLLLDPPPPAPMTPTSSSSNSNHDDDDEKKKKKQPMLQQQKQVRKCKSTVEEASASQLMECKGGGPPPRLRRSGGVRRDWSFEDLRANNTAA >ONIVA02G00290.1 pep chromosome:AWHD00000000:2:178019:186603:1 gene:ONIVA02G00290 transcript:ONIVA02G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFGSTSACGIKITPTAFATKKHLCLVPPPVVSLPRKNRPQRKCNFRVNAAKELYFNKDGLAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKVVAAGANPVQITRGIEKTAKALVSELQKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMNKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKILICGLYAFDANVDSITQLLLVDKKINNARDLITILEDAIRGGYPILIVTEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEVGLSLDKADRDVLGTAAKVVVTKDSTTIVGDGTTQDEVNKRVTQIKNQIEVAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLANDNFRYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEKAAAAGNPMGGSGFGF >ONIVA02G00280.1 pep chromosome:AWHD00000000:2:166076:172156:1 gene:ONIVA02G00280 transcript:ONIVA02G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSSASRREAGGGGAASSTKSGELSLSKVASVAIRESSGSGGISKSSELLPRAGTMAAAREAAAAAVHHEGWMVRYGRRKIGRSFFHTRYFVLDSRLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKTHHGQMVYVLCVYNKKEKEHQITMGAYDIEDALAWKKNIELIIDQQQENMTSKNRKAFASMDFDTELGGQFIFSDHDSAAEDEEERPMLIRRTTIGNGPPESIHDWTKEHDIGPPNQIDPIQDYASLKNFWNSIILQEAVAELCELLVLWKPHAKPFLGWWDCSFRYGSLVEEVDGHTAILYHKLQLHWCPMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCGRQKGYVRAFIESGGFKISPLKCRNGRPRTQVQHLMQIDLRGWLLNYSPSFQYHSLLQIQNCVAGLREYFSQTDETHITPRIPVMENMVDTSAVQKDDKKSTEEVDSKTKTPDRGQADSKNMGIIDEETDEDEDYQVPEANIEEDPNKDAKRADEPPEKIDLSCFSGILRCDADEKSRNCWTVPDSKLFKVRSKNFPHDKSKIPAASYLMELAAIDWFKDSKRMDNVGRQKGCVAQVAAEKGMHTFVANIQIPGSTHYSLVMYFVTKSLKKGSLLQRFFDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYVRGAGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPAAAIVPQDLTPPLPALADDDNAAASSSEDDHLSKKTN >ONIVA02G00280.2 pep chromosome:AWHD00000000:2:166076:171954:1 gene:ONIVA02G00280 transcript:ONIVA02G00280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSSASRREAGGGGAASSTKSGELSLSKVASVAIRESSGSGGISKSSELLPRAGTMAAAREAAAAAVHHEGWMVRYGRRKIGRSFFHTRYFVLDSRLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKTHHGQMVYVLCVYNKKEKEHQITMGAYDIEDALAWKKNIELIIDQQQENMTSKNRKAFASMDFDTELGGQFIFSDHDSAAEDEEERPMLIRRTTIGNGPPESIHDWTKEHDIGPPNQIDPIQDYASLKNFWNSIILQEAVAELCELLVLWKPHAKPFLGWWDCSFRYGSLVEEVDGHTAILYHKLQLHWCPMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCGRQKGYVRAFIESGGFKISPLKCRNGRPRTQVQHLMQIDLRGWLLNYSPSFQYHSLLQIQNCVAGLREYFSQTDETHITPRIPVMENMVDTSAVQKDDKKSTEEVDSKTKTPDRGQADSKNMGIIDEETDEDEDYQVPEANIEEDPNKDAKRADEPPEKIDLSCFSGILRCDADEKSRNCWTVPDSKLFKVRSKNFPHDKSKIPAASYLMELAAIDWFKDSKRMDNVGRQKGCVAQVAAEKGMHTFVANIQIPGSTHYSLVMYFVTKSLKKGSLLQRFFDGDDEFRNSRLKLIPSVPKLFAKYSWFSST >ONIVA02G00270.1 pep chromosome:AWHD00000000:2:159464:163958:-1 gene:ONIVA02G00270 transcript:ONIVA02G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRRDDNVRITWLRSPLREATWSWFQPRSSPSPWRVRARLMLRELRNCTIRRQAIERRGGGG >ONIVA02G00260.1 pep chromosome:AWHD00000000:2:158939:163436:1 gene:ONIVA02G00260 transcript:ONIVA02G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFRSSLSMSRARTRHGDGDDRGWNQLHVASRKGDLNQVRRLLDDGMDVNAPAWGPKSPGATPLHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKRAVRFLIENGAFLPPDMNDHRFNPPLHYCSGLEWAYEMKRMQDESDSTGETSLSSES >ONIVA02G00250.1 pep chromosome:AWHD00000000:2:151671:156144:-1 gene:ONIVA02G00250 transcript:ONIVA02G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein [Source:Projected from Arabidopsis thaliana (AT1G64880) TAIR;Acc:AT1G64880] MASSAAARSRAAASAAWARLLSLRPSPPVSSTHHLTLRIASPRRHFAFSANASSGGSRLKQIQSERVIHDLFAELQRERQRDRQEEDEDEGEDEDYLGVKPLIEKLERRRAKEEAAPDDSFWEPTDSDSDEEDERYTPDAIKRRVDEFERKCNRHSELLRSFAEAETLDDAHKWMTKIDRFEERHLKLPLEYRVIGDMMNRLKDATGKDRFLLLQKLNRAVRIMECREAYDPSNPSNFGVIQHQQVGSPEDLVLNAGFDREKQMIQGAGDDDDDEEFNEDKEKDDLLIEKLNSIEKKIEEKLAELDHTFGKKGRVLEEEIKDLVEERNSLTEHKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKFTALLATGNYHGVVGFAKARGPTAKIAIQRAYEKCFQDLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKSKIIGSRNPLNVIKALFIALNAIETPKDVEQKFGRTVVESYLLFVN >ONIVA02G00250.2 pep chromosome:AWHD00000000:2:153604:156144:-1 gene:ONIVA02G00250 transcript:ONIVA02G00250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein [Source:Projected from Arabidopsis thaliana (AT1G64880) TAIR;Acc:AT1G64880] MASSAAARSRAAASAAWARLLSLRPSPPVSSTHHLTLRIASPRRHFAFSANASSGGSRLKQIQSERVIHDLFAELQRERQRDRQEEDEDEGEDEDYLGVKPLIEKLERRRAKEEAAPDDSFWEPTDSDSDEEDERYTPDAIKRRVDEFERKCNRHSELLRSFAEAETLDDAHKWMTKIDRFEERHLKLPLEYRVIGDMMNRLKDATGKDRFLLLQKLNRAVRIMECREAYDPSNPSNFGVIQHQQVGSPEDLVLNAGFDREKQMIQGAGDDDDDEEFNEDKEKDDLLIEKLNSIEKKIEEKLAELDHTFGKKGRVLEEEIKDLVEERNSLTEHKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKFTALLATGNYHGVVGFAKARGPTAKIAIQRAYEKCFQDLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKSKIIGSRNPLNVIKALFIALNAIETPKDVEQKFGRTVVESYLL >ONIVA02G00240.1 pep chromosome:AWHD00000000:2:150354:154786:1 gene:ONIVA02G00240 transcript:ONIVA02G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHETSAAGSESPVPVVTVDVAAASDLITSAGHRYVDVRTEEEMNKGHLHNSLNVPFMFVTPQGKEKNPLFVEQFSSLVSKEEHVVVGCQSGKRSELACVDLLEAGFKNVKNMGGGYAAWLDNGFPINTPPHTMY >ONIVA02G00230.1 pep chromosome:AWHD00000000:2:144660:147207:1 gene:ONIVA02G00230 transcript:ONIVA02G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSRTLPPRIHDGVVVVERDVRRYQQLPQQVEMEMTTTKRQQDHQVETMTTKKIDEEDEEVDDDGRAKRRGTVWTAASHIITAVIGSGVLSLAWAIAQLGWVVGPTVMLLFAAVIYFTSNLLADCYRTGDPATGRRNYTYMEAVKANLGGAKVKVCGCIQYLNLLGVAIGYTIAASISMMAIQRSNCFHARGEQDPCHASSNVYMIMFGIVQVFFSQIPDFDQVWWLSILAAVMSFTYSAVGLALGAAQVAQNRTFAGSAMGVAVGFVTKTGDVVTPAQKVWRNLQALGDIAFAYSYSIILIEIQDTLRSPPAEARTMRKATGISVVVTSVFYLLCGCMGYAAFGDDAPGNLLTGFGFYKPYWLLDVANMAIVVHLVGAYQVYCQPLFAFVERRAERRWPNGLPGGDYDLGWIKVSVFRLAWRTCFVAVTTVVAMLLPFFNDVVGILGALGFWPLTVYFPVEMYIAHRRIRRWTTTWVGLQALSLACLLVSLAAAVGSIAGVLLDLKSYRPFRSTY >ONIVA02G00220.1 pep chromosome:AWHD00000000:2:138885:139769:-1 gene:ONIVA02G00220 transcript:ONIVA02G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASAFVDDDDDDDRRRRIIGVSASHIVSLTSSTYGILDNILVSSAQSQSQSQSPTRIPPPPTPPPRPTTTTNPPCAAPPEKHLKKQGEAEVINSWELMAGLLDPATPQKPRRPTHHSPPPAPPAGVLLYTTTLRGVRATFEACNAVRAALHSHGVAFRERDISMDRGFREELRHRISLDHHDRAPLVPRLFVRGNHVGGAAEVARLEEEGKLAALLEGLPRARPGGGCCDGCGGMRFLPCFDCNGSRKLCFSLPTPVPAAAAARSNKTRAVVVVRCGECNENGLVLCPICS >ONIVA02G00210.1 pep chromosome:AWHD00000000:2:136854:138669:1 gene:ONIVA02G00210 transcript:ONIVA02G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRWYVQAVALLVVLKAAAGSAAPPTTTRSRSSSTVVAGMVFCDQCKDGARGLFDYPLYGARVAIECGGGESPVTVRECNTNWFGGFSVRMEGTPEMNRCTARVVQATGHCGAAIPTAPRDLTLAFRMLGLALYTVPPLLSQPLRPMDFCPSPSPPALALAPSPIPTPIIAPPPVSSPAPPLPPLWRRRPRRLPPIWRPTPPSLPVDTMPPPPPPPPPQPQGSACTFDKWADVGLHGCNWKVVTPNTTVAMAFGPAAAQRYGPDMTLREALDGRGDMYRTLLREATAALLNAYYNPSGSGFLYPTTASVIDHINAALLTPTLHKLLLEGARFRRANSDSNLPCHLTPCN >ONIVA02G00200.1 pep chromosome:AWHD00000000:2:124493:134024:-1 gene:ONIVA02G00200 transcript:ONIVA02G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSTKPPNPKVEMNLKEPPITGAGAGAAASPPAPSTLRRNPPRSARPPPTPLPNSKPSQISRLLEEAAERLKVFLRIRPLPLPERKGKAKSPTNPKQVCLVANSPNSVALTVPHSKLLDPKRGRTEVFDGFSSVFSPDSSQHDVFSQVMNPLVDDLLGGKSGLLVAMGPTGSGKTHTVFGSPRNPGLVPLTLRRIFSPTTHEPFSKLRSFCFSMFEILSEGKGERILDLLSDATDLVLQQSTIKGLKEVSVENFADAEALLLSGMLKRTTAATNANSNRSQCIITIRAVHKSSDAESENSLNNAVLTIADLAGAERERRTGNQGTRLLESNFINNTSMVFGLCLRSLLEHQKNKKKPLEKHFKNSMLTRYLRDYLEGRKKMTLILNVARKDDIDKDDGVTISEKDESQYKLLNSELRRVSRNEEIMTNFARALWTVLKQYKQKLLESENAVESTRELLRSKDIKIMELEKKLKVLSCSCKKFPAVEDTFVEQNNDVSSGQVAQSFVSLSSQTDLVSIDSALNKSLAVEEVSEESTGHGPERSSDYDDKTGTGGSDVCDTSIIKLIAEEELCSGDCKVLDKKLDRSESCSDGGGVTHSSSSLDHPSDQSFTDTCLQNESANLSPQFIGASKKSPIEQSEEEREEIHNITTEGIQQNVHTRGVKHHSTPSCSQEVNSGSLHVSSSQLQGMGALQQDPQSERCKPTVEITIVEYGCAQPPHVVDDHGGMYPCTLNGKSSPRKAPISPTKDNQAEKLTDKIEDLSASKPCNRKNTRRRLQPVSAMMLKEFTGPDIFVDTRKEEKVKSSRDAMGRSDKLIRLLTDHPTRARGRAQ >ONIVA02G00190.1 pep chromosome:AWHD00000000:2:113061:122832:-1 gene:ONIVA02G00190 transcript:ONIVA02G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat ;HECT-domain (ubiquitin-transferase) [Source:Projected from Arabidopsis thaliana (AT4G38600) TAIR;Acc:AT4G38600] METRSRKRAAAAAAAAAASSSSSASAPKRTRRSSAASSSSAAAPPPAPAPAPAMDPSPSSRRRARASASDKGKDPDPSSDPSPPSAPDDDDAVAPFPHSFTSASTALQGLLRRLGAGLDDLLPSSAAAASSSSTSAQLKRILSGLQSDGDESRQLQSLMQLCEMLSIGTEESLAAFPVDAFVPILVGLLGPGEDGAGGASPDVMLLAARALANLVDVLPSSCSAVVHYGAIPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICRKLPSDASEFVMEAVPLLTNLLNHHDSKVLEHASVCLTRVAEAFASYPEKLDDLCNHGLVAQAASLVSISNSAGQASLSTSTYTGLIRLLSTCASGSLLAAKTLLLLGISGTLKDILSGSGLVAGASVSPALSRPAEQMFEIVSLADDLLPHLPSGIISLPAYFHVLVKGSSSKKSASTKQDGTSSTENERSGHERLLREHPELLKQFGMDLLPIMTQVYGSSVNAPIRHKCLSIIGKLMYYSSAEMIQSLLGTTNISSFLAGILAWKDPQVLIPALQIAEIMMEKLPETFSKLFVREGVVHAVESLICPESSDMVLPHDKDNESVMPSRSRRQRRRGAAAPTENSSLDESNTSNPGIASSTPCSTEVQNTSLRFAVSDRAKSFKDKYFPSDHESRDLGVTDDLLKLRTLCAKLNSASENVVTKAKGKSKALSATHFDISHDVEAQFELIITQILTELSKTNGVSTFEFIRSGVVAALLDYLSCGTFGKERVSEANLPKLRQQALRRYKSFISVALSIDHERNETPMAFLVQKLQSALCSLERFPVVLSQSSRIGIGGSRLTSGLSALAQPFKLRLCRAQGEKSLRDYSSNIVLIDPFASLAAVEEFLWPRVQRSEAASKPTVPSGNNSESGIPGTAAGASSTAAPAPSGRRPTTRSKSSAASSGASKKDSQEESTNTAKGKGKAVAKPNSEEPKGPNTRNATRRKAASEKDLEMKRAHGDSSSEDEELDTSPIEIDDALMIDDDDMSEDEEDDHEVLQDGSLPICVQDGVHDVKLGDTDDSNIGSASDSQVQPSSGSSTRNIMSRGVDPNTFGSRGAMSFVAATMAGLASVGGRGVRGSRDRRGLSLGGSINEHNKLIFMAGGKQLSKHLTVYQALQRQLMFEEDDDEKFNGSDLSNDGNRFWGDVFTITYQKADSQAEKVSQGGSTSLNSKSDPSRSISELKGVSLLDSILQAELPCDLERTNSTYNILALLRVLEGLNQLSPRLRVHAASDDFAEGKITTLDELYRTGAKVPSEEFVNSKLTPKLARQMQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQYFYSTAFGLSRALNRLQQQQGDNQNAGGEREIRFGRLQRQKVRVSRNRILDSAAKVMEMFSSQRAVLEVEYFGEVGTGLGPTLEFYTLLSHELQSVRLGLWRSSSPSDTGMQIDRSASPDDDLAAKELSSDLPDNGSHLIQAPFGLFPRPWPLTVDASEGSRFSKVIEHFRLVGRVMAKVLQDGRLLDLPLSTALYKLILGQELDLFDIISFDAEFGKTLQELQILVERKRFLESTYGMNQLEVTDLRYPDYILKEGEENTIVNIYNLEEYVTLVVDATVKSGIMRQVEAFRSGFNQVFDISSLKIFSPEELDYLICGRREIWEPDSLVDNIKFDHGYTAKSPAIVNLLEIMAEFTPEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVRKHPSSAVNTSNIAGVTESADDDLPSVMTCANYLKLPPYSTKEVMRKKLLYAILEGLKSSSICGVGSLASTEKRSSILCRTCK >ONIVA02G00190.2 pep chromosome:AWHD00000000:2:113382:122832:-1 gene:ONIVA02G00190 transcript:ONIVA02G00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat ;HECT-domain (ubiquitin-transferase) [Source:Projected from Arabidopsis thaliana (AT4G38600) TAIR;Acc:AT4G38600] METRSRKRAAAAAAAAAASSSSSASAPKRTRRSSAASSSSAAAPPPAPAPAPAMDPSPSSRRRARASASDKGKDPDPSSDPSPPSAPDDDDAVAPFPHSFTSASTALQGLLRRLGAGLDDLLPSSAAAASSSSTSAQLKRILSGLQSDGDESRQLQSLMQLCEMLSIGTEESLAAFPVDAFVPILVGLLGPGEDGAGGASPDVMLLAARALANLVDVLPSSCSAVVHYGAIPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICRKLPSDASEFVMEAVPLLTNLLNHHDSKVLEHASVCLTRVAEAFASYPEKLDDLCNHGLVAQAASLVSISNSAGQASLSTSTYTGLIRLLSTCASGSLLAAKTLLLLGISGTLKDILSGSGLVAGASVSPALSRPAEQMFEIVSLADDLLPHLPSGIISLPAYFHVLVKGSSSKKSASTKQDGTSSTENERSGHERLLREHPELLKQFGMDLLPIMTQVYGSSVNAPIRHKCLSIIGKLMYYSSAEMIQSLLGTTNISSFLAGILAWKDPQVLIPALQIAEIMMEKLPETFSKLFVREGVVHAVESLICPESSDMVLPHDKDNESVMPSRSRRQRRRGAAAPTENSSLDESNTSNPGIASSTPCSTEVQNTSLRFAVSDRAKSFKDKYFPSDHESRDLGVTDDLLKLRTLCAKLNSASENVVTKAKGKSKALSATHFDISHDVEAQFELIITQILTELSKTNGVSTFEFIRSGVVAALLDYLSCGTFGKERVSEANLPKLRQQALRRYKSFISVALSIDHERNETPMAFLVQKLQSALCSLERFPVVLSQSSRIGIGGSRLTSGLSALAQPFKLRLCRAQGEKSLRDYSSNIVLIDPFASLAAVEEFLWPRVQRSEAASKPTVPSGNNSESGIPGTAAGASSTAAPAPSGRRPTTRSKSSAASSGASKKDSQEESTNTAKGKGKAVAKPNSEEPKGPNTRNATRRKAASEKDLEMKRAHGDSSSEDEELDTSPIEIDDALMIDDDDMSEDEEDDHEVLQDGSLPICVQDGVHDVKLGDTDDSNIGSASDSQVQPSSGSSTRNIMSRGVDPNTFGSRGAMSFVAATMAGLASVGGRGVRGSRDRRGLSLGGSINEHNKLIFMAGGKQLSKHLTVYQALQRQLMFEEDDDEKFNGSDLSNDGNRFWGDVFTITYQKADSQAEKVSQGGSTSLNSKSDPSRSISELKGVSLLDSILQAELPCDLERTNSTYNILALLRVLEGLNQLSPRLRVHAASDDFAEGKITTLDELYRTGAKVPSEEFVNSKLTPKLARQMQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQYFYSTAFGLSRALNRLQQQQGDNQNAGGEREIRFGRLQRQKVRVSRNRILDSAAKVMEMFSSQRAVLEVEYFGEVGTGLGPTLEFYTLLSHELQSVRLGLWRSSSPSDTGMQIDRSASPDDDLAAKELSSDLPDNGSHLIQAPFGLFPRPWPLTVDASEGSRFSKVIEHFRLVGRVMAKVLQDGRLLDLPLSTALYKLILGQELDLFDIISFDAEFGKTLQELQILVERKRFLESTYGMNQLEVTDLRYPDYILKEGEENTIVNIYNLEEYVTLVVDATVKSGIMRQVEAFRSGFNQVFDISSLKIFSPEELDYLICGRREIWEPDSLVDNIKFDHGYTAKSPAIVNLLEIMAEFTPEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVRKHPSSAVNTSNIAGVTESADDDLPSVMTCANYLKLPPYSTKEVMRKKLLYAILEGRGSFDLS >ONIVA02G00180.1 pep chromosome:AWHD00000000:2:109646:111831:-1 gene:ONIVA02G00180 transcript:ONIVA02G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEKKMITLKSSDGEEFEVEAVGMESQTIRHMIEDKCADNGIPLPNVNSKILSKVIEYCNKHVHASADDSTSSADLKNWTPTSSRSAMPPSSTSSCAEFVQIYLGCTLLPTLERKKEIDCPPLAGCKLSQHQGMGLLDLSCADHMIKGKTPEEIRKTFNIKKDLAPEEEEEIRRENQWAFE >ONIVA02G00180.2 pep chromosome:AWHD00000000:2:109646:111831:-1 gene:ONIVA02G00180 transcript:ONIVA02G00180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEKKMITLKSSDGEEFEVEAVGMESQTIRHMIEDKCADNGIPLPNVNSKILSKVIEYCNKHVHASADDSTSSADLKNWTPTSSRSAMPPSSTSSCAEFVQIYLGCTLLPTLDMIKGKTPEEIRKTFNIKKDLAPEEEEEIRRENQWAFE >ONIVA02G00170.1 pep chromosome:AWHD00000000:2:106018:109038:-1 gene:ONIVA02G00170 transcript:ONIVA02G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxypyruvate reductase [Source:Projected from Arabidopsis thaliana (AT1G68010) TAIR;Acc:AT1G68010] MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLADNDCRLEICTETKTILSVDDILALIGDRCDVQLTEEWGEVLFSALKRAGGTAFSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMFLKANGEQPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAVLVNASRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGNPNLVEPFLKEDATPPAACPSIVNAKQLGRYYYVPPAIVKALRTGARALAS >ONIVA02G00170.2 pep chromosome:AWHD00000000:2:106388:109038:-1 gene:ONIVA02G00170 transcript:ONIVA02G00170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxypyruvate reductase [Source:Projected from Arabidopsis thaliana (AT1G68010) TAIR;Acc:AT1G68010] MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLADNDCRLEICTETKTILSVDDILALIGDRCDVQLTEEWGEVLFSALKRAGGTAFSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMFLKANGEQPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAVLVNASRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGNPNLVEPFLKEDATPPAACPSIVNAKQLGLPSSKL >ONIVA02G00170.3 pep chromosome:AWHD00000000:2:106320:109038:-1 gene:ONIVA02G00170 transcript:ONIVA02G00170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxypyruvate reductase [Source:Projected from Arabidopsis thaliana (AT1G68010) TAIR;Acc:AT1G68010] MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLADNDCRLEICTETKTILSVDDILALIGDRCDVQLTEEWGEVLFSALKRAGGTAFSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMFLKANGEQPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAVLVNASRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGNPNLVEPFLKEDATPPAACPSIVNAKQLGRYYYVPPAIVKALRTGARSIILYLSVQDHTPLHEV >ONIVA02G00160.1 pep chromosome:AWHD00000000:2:104581:105871:1 gene:ONIVA02G00160 transcript:ONIVA02G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSSAAAALVVMVLWAAVPVVVVQAASSPALIVFGDSIVDPGNNNGINTIIKANFPPYGHDFHNHTPTGRFCNGRIPTDFIASRLGLKELLPPYLSPELSTEELLTGVSFASGGTGFDPLTPRLASVISMPDQLLLFQQYKERVRGAAGDARVADMMTRGIFAICAGSDDVANTYFTMRARPGYDHASYAALLVHHAAAFVDELVKAGARKVAIIGMPPIGCVPSQRTMSGGMERRCSEGHNQIAVAYNAGMKRRMEEMQAKKKSTKTKLVFMDIYGFLMDMMMRPRAYGFSDSTMGCCGTGLLEVSVLCNALTSSVCTPVSDYLFWDSYHPTEKAYSILTDFVYDNYVKKLLLD >ONIVA02G00150.1 pep chromosome:AWHD00000000:2:99450:99902:1 gene:ONIVA02G00150 transcript:ONIVA02G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRDAGAATRVRLLLLARRERKAEAEEAAEQEQMLHRRRSCPHWLATDPRALTALLLPLLLLLPLPLVPFLPWLSSEKAPAVELLAPGSGGGGAESSSSTGVAVQLAMVLLDEELRYIMVHQTVQLDPTGIFSLRRLSLGSMDDGSAGG >ONIVA02G00140.1 pep chromosome:AWHD00000000:2:96253:98453:1 gene:ONIVA02G00140 transcript:ONIVA02G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRQTRASTAVLLHLAARGRRRTVSPAWETARRRDKASPPPSPGRRRKREGRRWRRKKMDGNLTAVVYTLRLRHELETPSVPFHSMAMIDRQRHVRQQTSPMDLTPSVQL >ONIVA02G00130.1 pep chromosome:AWHD00000000:2:83262:89158:1 gene:ONIVA02G00130 transcript:ONIVA02G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMALRRAVGIGSAAALRSAAYLRRASPSPARPHPLVPPPPAARTFAAPPQVMKRSTKDDDDDGPRINNDITSPFVRLVTDQGHSVVPRHEALQLAARMDLDLVEVHRKSDPPVCKIMDFHKEKYKKDVKEKERLKTKSAIVLRGGENKEVRFKGKTELKDLKVKADGITRLMERGYRVKCMAMPSGNEEEDLGGPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKAGKKASKAIEDVGKGARKNASELSTVTADSGDETNDCGNGAISDQMDNAPAYVSNEFSMQKDAHDRGSRRELSWSKSNPGNYGENMQNVDAGAHRISSSQRAAQTSEETNRYASRRQQIRGDNQGLSQDRSPQGHRRNENEVRYPVNDYQRPLQQNNRQSPRFNDGRLPQEPRRNERGGHIPLNNKQGQFQQMNHPAESAGNGAGYPTPTAKSFGVFSTRKPATSELGKTNGASRTANSDVPKSYGIFSSPRRESGDKSS >ONIVA02G00120.1 pep chromosome:AWHD00000000:2:74794:79916:-1 gene:ONIVA02G00120 transcript:ONIVA02G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHEMNNSSSRYASEEERGSNSFLIESEEEEEDEEAHPHSSILLKDADSDSDSDSDSDDSSCATPRPSSYATHQWPQSYRQSIDIYSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEILSNLVKPLLRPSSSSDDHQQQQQHDDDTRKSSQYLLPSRKPSLQQIPEDQKPLVPAHEVPAYQQCSYTQAVMNGINVLCGVGILSTPYAIKQGGWLGLVILCLFAVLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRIAISACCIEYLILESDNLSKLFPNAHLTIGSMTLNSHVFFAILTTLIVMPTTWLRDLSCLSYLSAGGVIASILVVVCLCWVGVVDHVGFENKGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNHIGLSSILFAGAAVMGYKMFGESTESQFTLNLPENLVVSKVAVWTTKYANIIMLRSSLVVSTLLIALSVPFFGLVMALVGSLLTMLVTYILPCACFLAILKRKVTWHQIAACSFIIVVGVCCACVGTYSSLSKIIHNYT >ONIVA02G00120.2 pep chromosome:AWHD00000000:2:74792:79896:-1 gene:ONIVA02G00120 transcript:ONIVA02G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHEMNNSSSRYASEEERGSNSFLIESEEEEEDEEAHPHSSILLKDADSDSDSDSDSDDSSCATPRPSSYATHQWPQSYRQSIDIYSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEILSNLVKPLLRPSSSSDDHQQQQQHDDDTRKSSQYLLPSRKPSLQQIPEDQKPLVPAHEVPAYQQCSYTQAVMNGINVLCGVGILSTPYAIKQGGWLGLVILCLFAVLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRIAISACCIEYLILESDNLSKLFPNAHLTIGSMTLNSHVFFAILTTLIVMPTTWLRDLSCLSYLSAGGVIASILVVVCLCWVGVVDHVGFENKGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNHIGLSSILFAGAAVMGYKMFGESTESQFTLNLPENLVVSKVAVWTTKYANIIMLRSSLVVSTLLIALSVPFFGLVMALVGSLLTMLVTYILPCACFLAILKRKVTWHQIAACSFIIVVGVCCACVGTYSSLSKIIHNYT >ONIVA02G00120.3 pep chromosome:AWHD00000000:2:74794:79916:-1 gene:ONIVA02G00120 transcript:ONIVA02G00120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHEMNNSSSRYASEEERGSNSFLIESEEEEEDEEAHPHSSILLKDADSDSDSDSDSDDSSCATPRPSSYATHQWPQSYRQSIDIYSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEILSNLVKPLLRPSSSSDDHQQQQQHDDDTRKSSQYLLPSRKPSLQQIPEDQKPLVPAHEVPAYQQCSYTQAVMNGLVMALVGSLLTMLVTYILPCACFLAILKRKVTWHQIAACSFIIVVGVCCACVGTYSSLSKIIHNYT >ONIVA02G00110.1 pep chromosome:AWHD00000000:2:72714:73097:-1 gene:ONIVA02G00110 transcript:ONIVA02G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSGSSRREEAWRQQQLILQAKKARSYPCGFCRREFRSAQALGGHMNVHRRDRARLRLTHQPDAALIASPSTKSTPPLLPNLNYPPPPPHDGASSSSPPHLPRPPADADADADAGLDLELRLAFF >ONIVA02G00100.1 pep chromosome:AWHD00000000:2:66947:68011:-1 gene:ONIVA02G00100 transcript:ONIVA02G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKKKRGGVQVAVSCRSTQSKAEKTKRPTKAGNGSLGGVGWGRLPPPLPLVFPKSREVGQNPSQTSPQIPHPTYAYGLESMNHNGDVPSSRCPKHPSQPPFTGFCSACLLERLSTAPARCFPSPSPVAAAAEISTEIPQPRVRTTLLYLFQLDDDQEDQGQQVRVDQEDEQGRQLQRKRSLRQSCEWIVCCDATADSRQSWDGSADAPPPNSNPIIRARGFVTRPAQMLRRSLSESWRHARSRPAVPTKAASVSSAGMDSEISLGGDSSSIHAAAAAPRQSSLFKRLYRLGRSRSVHCSSPQIRSLDTGTLRFHLTPLTRNSSTSTRLSIANKIQGRRLNLFDYFANQRQQL >ONIVA02G00090.1 pep chromosome:AWHD00000000:2:66492:66861:-1 gene:ONIVA02G00090 transcript:ONIVA02G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTASLLMRATWICQSPCTKLLKAAGHVYIIVVVIVNCESLSLYISPLMTMFELPCLTTPATQFAFGSNKFLNFDIKITKIAAMTS >ONIVA02G00080.1 pep chromosome:AWHD00000000:2:58531:65687:1 gene:ONIVA02G00080 transcript:ONIVA02G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FG-GAP repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT3G51050) TAIR;Acc:AT3G51050] MRKRDLGILLLAAFAVFFSLQHDGDLSFREAWYHLSDADYPIKHDADRLPSPLVADLNGDGKPEVLIPTHDAKIQVLQPHPRPSPDDASFHDARLMADVSLLPSNVRLSSGRRPVAMAVGTVDRHYAHAPSPSKQLLVVVTSGWSVMCFDHNLKKLWEANLQDDFPHAAHHREVAISITNYTLKHGDAGLVIVGGRMEMQHHSAELFDEFMVSEHNREEHRRSASEKQASETGNTDLRHFALYAFAGRTGELRWSRKNENIPSQPSDASVLIPQHNYKLDAHALNSRHPGQDRREDTFLQLAHFRRHKRKALKKTPGKAVVNNVHKPSEHNPPGKDVSNRLANVIGKAADMANSNKIKKSQRTLYVPTITNYTQVWWVPNVVVAHEKEGIEAVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGANGIEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNNFNLFHHGDFSRSFGRTFDTTGLEVATPILLQRDDGHKHRRGSHGDIIFLTSRGEVTSYSPGLLGHDAIWRWQLSTGATWSNLPSPSGMMENIVVPTLKAFALRAYDPKQVIIAGGDLEAVVISPSGGLLASIELPAPPTHALVLEDFNGDGLTDIILVTSGGVYGFVQTRHPGALFFSTLVGCLIVVIGVIFVSLHLNSSNSGKPRASTDYR >ONIVA02G00080.2 pep chromosome:AWHD00000000:2:61528:65687:1 gene:ONIVA02G00080 transcript:ONIVA02G00080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FG-GAP repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT3G51050) TAIR;Acc:AT3G51050] MERMVAWGGERIGFEMRQISGGSGAGSYWAKMTLWSGRRKKRRWQGQSRWCKSQRTLYVPTITNYTQVWWVPNVVVAHEKEGIEAVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGANGIEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNNFNLFHHGDFSRSFGRTFDTTGLEVATPILLQRDDGHKHRRGSHGDIIFLTSRGEVTSYSPGLLGHDAIWRWQLSTGATWSNLPSPSGMMENIVVPTLKAFALRAYDPKQVIIAGGDLEAVVISPSGGLLASIELPAPPTHALVLEDFNGDGLTDIILVTSGGVYGFVQTRHPGALFFSTLVGCLIVVIGVIFVSLHLNSSNSGKPRASTDYR >ONIVA02G00080.3 pep chromosome:AWHD00000000:2:58531:61908:1 gene:ONIVA02G00080 transcript:ONIVA02G00080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FG-GAP repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT3G51050) TAIR;Acc:AT3G51050] MRKRDLGILLLAAFAVFFSLQHDGDLSFREAWYHLSDADYPIKHDADRLPSPLVADLNGDGKPEVLIPTHDAKIQVLQPHPRPSPDDASFHDARLMADVSLLPSNVRLSSGRRPVAMAVGTVDRHYAHAPSPSKQLLVVVTSGWSVMCFDHNLKKLWEANLQDDFPHAAHHREVAISITNYTLKHGDAGLVIVGGRMEMQHHSAELFDEFMVSEHNREEHRRSASEKQASETGNTDLRHFALYAFAGRTGELRWSRKNENIPSQPSDASVLIPQHNYKLDAHALNSRHPGQDRREDTFLQLAHFRRHKRKALKKTPGKAVVNNVHKPSEHNPPGKDVSNRLANVIGKAADMANSNKIKKV >ONIVA02G00070.1 pep chromosome:AWHD00000000:2:57378:58022:1 gene:ONIVA02G00070 transcript:ONIVA02G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITDESPRDCSSYKHQHHHRAIHGRRISRRALVVASSAVVSLASLSLILWLVLRPSPPRFSLLAATTTANDSASVVAINAAFAARNPNSHTAVLYDRLQASASYAGLPLTAPSPLIPPFLPQQGQGDAMLSASLTSPPAAAAVAGGRALLRLRVEGQLRWKVAAWVTGRHALTVDCIAVVELQPTPTPSPSAIVGVLQPQDQAGSRCSTTVA >ONIVA02G00060.1 pep chromosome:AWHD00000000:2:44994:45620:-1 gene:ONIVA02G00060 transcript:ONIVA02G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWAEITLKPWGQHGELGTGKTRVEVDVRRREQRGARVHPGTTEVESRRRWRWRGGRRRAGETRQRSRPGVARQHGTMGEFEVKTGHDDNGD >ONIVA02G00050.1 pep chromosome:AWHD00000000:2:39150:44978:-1 gene:ONIVA02G00050 transcript:ONIVA02G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACGNRRKSRSSQRTTSAEIEIKPGNGVRVRAEVEIKPPDIELEPETGRHDGNKSRASVMPCWRRLTPCWLDYELEMRGRTPPGIAPPGKPPGIPPGKPPPGIPPGKPPPGIPPGKSPPGIPPGKPPLGDAPPDERLDDCSFRLALFDNVSFKFKIGLDDVLVKLVRLRSGIPHVEIVVVVVVVFVVDVIHGGRLLQG >ONIVA02G00040.1 pep chromosome:AWHD00000000:2:38699:43789:1 gene:ONIVA02G00040 transcript:ONIVA02G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP70-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G22670) TAIR;Acc:AT4G22670] MDASRVGELRTFVEACKKDPSLLADPNLAFFRDYLESLGAHLPAAAFTKATPKPKQPSSMDDIDDEYDDDDDDDLNMRDATPEPDELDQDIVESDLELEGDIVESDHQDPPQKMGDPSIDVTEENRDASQEAKSKAMEAMSEGKLEEAIDHLTKAILLNPLSAIMYGTRASVFIKMKKPVAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAAHDLHTASNMDYDDEINAVLKKVEPNAHKIMEHRRKYERLRKEREEKRAERDRFHRRAEAQAAYDKAKRKEQSSSRSSGGASPRGGFPGGMPGGDFPGGMPGGGFPGGMPGGGFPGGMPGGFPGGAMPGGVPGNVDMSKILNDPDLMAAFGDPEVMAALQDVMNNPASFARHQANPKVGPIIAKMMAKFNGSQ >ONIVA02G00040.2 pep chromosome:AWHD00000000:2:38646:43789:1 gene:ONIVA02G00040 transcript:ONIVA02G00040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP70-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G22670) TAIR;Acc:AT4G22670] MDDIDDEYDDDDDDDLNMRDATPEPDELDQDIVESDLELEGDIVESDHQDPPQKMGDPSIDVTEENRDASQEAKSKAMEAMSEGKLEEAIDHLTKAILLNPLSAIMYGTRASVFIKMKKPVAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAAHDLHTASNMDYDDEINAVLKKVEPNAHKIMEHRRKYERLRKEREEKRAERDRFHRRAEAQAAYDKAKRKEQSSSRSSGGASPRGGFPGGMPGGDFPGGMPGGGFPGGMPGGGFPGGMPGGFPGGAMPGGVPGNVDMSKILNDPDLMAAFGDPEVMAALQDVMNNPASFARHQANPKVGPIIAKMMAKFNGSQ >ONIVA02G00030.1 pep chromosome:AWHD00000000:2:34316:38395:-1 gene:ONIVA02G00030 transcript:ONIVA02G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZQ6] MRKRTASDRIRVPSSNPAPSPSPPPPPPPEEPAVPMPHVGARRSTRVFVPKTPRPPQPSDPARVLRSGKRLAFSESPADAHWFQCKPNNCFHVHDHQRQLHDDPKPPPPPLPRTRSFGIVYSRKRRRRLPEPKEDTRFAIVFTRKRPKVAPFQHHAPNDLATIPCSSSREFASRTGFFDSHFLTLVDCIPTNKADAAMLIVLVDSSCSGSSQHFLRLLLSVLRWMRSCRRGKVRNLASFLSSDAVATALALRGLHFVQLQCRRDCALSQRALVQCGWCELRGAKDSEPLLSVNFLAVPSYFQILHLLIALESMYLPAVIRTRMHLVGGAEEIYPRTLLEEDSESLSTGDTDPAVDLCSNKLCSVAQDYVPLEEIAGVVVHGLRLKKHQRKRSSMRHPLSRQRLAARFPDKVVATNQTDVARQTEADAPPSVSPELPLEPVKPKAALEISLDLLENMDDSDVSTPIGSNGKQKRSSLKSPIERMNERLALAEVRQNIDSVHCRANLLIIQPDRCWREEGAEVMLEPSESNEWCIAVKIHGVNRISLKPSEQRFYVVNRFTHAYILAVDDGLKIEFSDKWDWLLFKELQIEGRERNSQGKMIPIPGVNEVSDDMGVIGTYPFSRPVPDYIRMADDEVGRALSRDSVYDLDSEDEQWLTQLNHSDSDRKSAHLNHISYEDFEKMITTFEKDAFNNPEGTSDLDQILSRYPTLEKDHNVLAVHEYWINKRYKKGVPLLRILQGATLRRGQLSQRSIKKKRSFKRQRSQAGRGKPDICLQDANGAEEEALRRVVEAERAATQAGETAVRLRSRAQRLMAKAELVAYKSVMALRIAEAARISDSSRDLVLTTLD >ONIVA02G00020.1 pep chromosome:AWHD00000000:2:23598:33005:-1 gene:ONIVA02G00020 transcript:ONIVA02G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZQ3] MRSRSLLLVALATLLLHASASASASDDDLDYLIDNADDIPANDPDGWLQEGSPDDDDDDDDLFHHGQAQDHPIDETHVFLLSAANFSDFLASHRHVMVEFYAPWCAHCQALAPDYAAAAADLSPLAHQVALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYNGARTKEAIVSWVNKKLAPGVQNITTVDEAEKILTGEDKAILAVLDSLSGAHSDEIAAASRLEDAINFYQTSNPDVAKLFHLDPAAKRPSLVLLKKQEEEKLTFYDGPFKASAIADFVSANKLPLVNTLTQETAPSIFDNPIKKQACLIDIASILLFVVANESSKFLPIFKEASKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDARKFFLDGEISVENIKRFAEDFLEEKLTPFYKSEPVPESNEGDVKIVVGKNLDQIVLDESKDALLEIYAPWCGHCQELEPTYNKLGKHLRGIDSLVIAKMDGTANEHPRAKPDGFPTILFYPAGKKSFEPHASIPFKLKRLDLSATKTEKDQSTASTNLRGERSSVKCSHLAAAAAAPGEWPQQPASAQRRREQPSHTAAHAVSSRPTRRRNPHL >ONIVA02G00020.2 pep chromosome:AWHD00000000:2:28610:33005:-1 gene:ONIVA02G00020 transcript:ONIVA02G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZQ3] MRSRSLLLVALATLLLHASASASASDDDLDYLIDNADDIPANDPDGWLQEGSPDDDDDDDDLFHHGQAQDHPIDETHVFLLSAANFSDFLASHRHVMVEFYAPWCAHCQALAPDYAAAAADLSPLAHQVALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYNGARTKEAIVSWVNKKLAPGVQNITTVDEAEKILTGEDKAILAVLDSLSGAHSDEIAAASRLEDAINFYQTSNPDVAKLFHLDPAAKRPSLVLLKKQEEEKLTFYDGPFKASAIADFVSANKLPLILLFVVANESSKFLPIFKEASKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDARKFFLDGEISVENIKRFAEDFLEEKLTPFYKSEPVPESNEGDVKIVVGKNLDQIVLDESKDALLEIYAPWCGHCQELEPTYNKLGKHLRGIDSLVIAKMDGTANEHPRAKPDGFPTILFYPAGKKSFEPHASIPFKLKRLDLSATKTEKDQSTASTNLRGERSSGTNFKDEL >ONIVA02G00020.3 pep chromosome:AWHD00000000:2:28610:33005:-1 gene:ONIVA02G00020 transcript:ONIVA02G00020.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZQ3] MRSRSLLLVALATLLLHASASASASDDDLDYLIDNADDIPANDPDGWLQEGSPDDDDDDDDLFHHGQAQDHPIDETHVFLLSAANFSDFLASHRHVMVEFYAPWCAHCQALAPDYAAAAADLSPLAHQVALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYNGARTKEAIVSWVNKKLAPGVQNITTVDEAEKILTGEDKAILAVLDSLSGAHSDEIAAASRLEDAINFYQTSNPDVAKLFHLDPAAKRPSLVLLKKQEEEKLTFYDGPFKASAIADFVSANKLPLVNTLTQETAPSIFDNPIKKQACLIDIASILLFVVANESSKFLPIFKEASKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDARKFFLDGEISVENIKRFAEDFLEEKLTPFYKSEPVPESNEGDVKIVVGKNLDQIVLDESKDALLEIYAPWCGHCQELEPTYNKLGKHLRGIDSLVIAKMDGTANEHPRAKPDGFPTILFYPAGKKSFEPHASIPFKLKRLDLSATKTEKDQSTASTNLRGERSSGTNFKDEL >ONIVA02G00010.1 pep chromosome:AWHD00000000:2:23289:27340:1 gene:ONIVA02G00010 transcript:ONIVA02G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRKPPASPPTHPPATPDGGGAQPLRAAQSHAARGDAQRPRPAPLGVPCPPVAPRVPALCPGAPTASSPPLVYSTALLMWGLRRRVGRLLTACAAVWDGCSRLLCAEAGCCGHSPGAAAAAARSALQQVET >ONIVA01G52210.1 pep chromosome:AWHD00000000:1:42830292:42830857:-1 gene:ONIVA01G52210 transcript:ONIVA01G52210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRAPAVLSSIFSNLPSPIFLLPGEASSRLTDGISCHGEVTASSTRRRVEERDKAASGIDLVPKFPTQPLSIDLKVNIVHGRSMTGAVATGNSALDLAPGPCCG >ONIVA01G52200.1 pep chromosome:AWHD00000000:1:42829456:42833193:1 gene:ONIVA01G52200 transcript:ONIVA01G52200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIHLTLLLVKAMVPNPGLAAGELVDERSWTDMEFCKARGKWYPVSKTLAERAAWEYAARWPGFELATILPSTCLGPLLQPTLNASSVVLQRLLQGSTDDQADYWLGAVHVRDVAAAHLLLLEAPTVSGRYLCTNGIYQFSDFARLAARICPAYAHAIHRFEEGTTQPWLVPRDARDAARRLLDLGLVLTPLEEAIKDAEKSLTDKCFLP >ONIVA01G52190.1 pep chromosome:AWHD00000000:1:42825867:42826046:-1 gene:ONIVA01G52190 transcript:ONIVA01G52190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADTAATAGDASAAKNRLPPPPQRTHRQEPAAARRIYAPTSSSSSSPPLQGLAPVLAL >ONIVA01G52180.1 pep chromosome:AWHD00000000:1:42818942:42824598:-1 gene:ONIVA01G52180 transcript:ONIVA01G52180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZP7] MASWSSPVAAAALQVHFGSSCFFSARSPRQTLLLPPLARNPTLTIQPRPHPFRNINSSSSSSWMCHAVAAEVEGLNIADDLIGKTPMVYLNNIVKGCVANVAAKLEIMEPCCSVKDRIGYSMISDAEEKGLITPGKSVLVEPTSGNTGIGLAFIAASRGYKLILTMPASMSMERRVLLKAFGAELVLTDAAKGMKGAVDKATEILNKTPDAYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDVFIGGIGTGGTISGAGRFLKEKNPGIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSEVLDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVAKRPENAGKLVVVVFPSFGERYLSSILFQSIREECEKLQPEP >ONIVA01G52180.2 pep chromosome:AWHD00000000:1:42818942:42824598:-1 gene:ONIVA01G52180 transcript:ONIVA01G52180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZP7] MASWSSPVAAAALQVHFGSSCFFSARSPRQTLLLPPLARNPTLTIQPRPHPFRNINSSSSSSWMCHAVAAEVEGLNIADDLIGKTPMVYLNNIVKGCVANVAAKLEIMEPCCSVKDRIGYSMISDAEEKGLITPGKLSPSDLPCMTYYFMLYAQSVLVEPTSGNTGIGLAFIAASRGYKLILTMPASMSMERRVLLKAFGAELVLTDAAKGMKGAVDKATEILNKTPDAYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDVFIGGIGTGGTISGAGRFLKEKNPGIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSEVLDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVAKRPENAGKLVVVVFPSFGERYLSSILFQSIREECEKLQPEP >ONIVA01G52180.3 pep chromosome:AWHD00000000:1:42818944:42824598:-1 gene:ONIVA01G52180 transcript:ONIVA01G52180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZP7] MASWSSPVAAAALQVHFGSSCFFSARSPRQTLLLPPLARNPTLTIQPRPHPFRNINSSSSSSWMCHAVAAEVEGLNIADDLIGKTPMVYLNNIVKGCVANVAAKLEIMEPCCSVKDRIGYSMISDAEEKGLITPGKLSPSDLPCMTYYFMLYAQSVLVEPTSGNTGIGLAFIAASRGYKLILTMPASMSMERRVLLKAFGAELVLTDAAKGMKGAVDKATEILNKTPDAYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDVFIGGIGTGGTISGAGRFLKEKNPGIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSEVLDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVAKRPENAGKLVVVVFPSFGERYLSSILFQSIREECEKLQPEP >ONIVA01G52170.1 pep chromosome:AWHD00000000:1:42812582:42817300:1 gene:ONIVA01G52170 transcript:ONIVA01G52170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAAVFFSSAFAACFAEVCTIPLDTAKVRLQLQKKAALATGGGGGTTGGMLGTIMCIAREEGVAALWNGIIPGLHRQCVYGGLRIALYEPVKAFFIRDGDTVAGGVSLFAKILAALMTGVIAIVVANPTDLVKVRLQADGKATTVKRHYSGALNAYATIIRQEGIGALWTGLGPNIARNAIINATELASYDQLKQMFLKLPGFTDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDATYKSTLDCFAKTLKNDGLPAFYKGFIANFCRIGSWNVIMFLTLEQVRRSIL >ONIVA01G52170.2 pep chromosome:AWHD00000000:1:42812582:42817300:1 gene:ONIVA01G52170 transcript:ONIVA01G52170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAAVFFSSAFAACFAEVCTIPLDTAKVRLQLQKKAALATGGGGGTTGGMLGTIMCIAREEGVAALWNGIIPGLHRQCVYGGLRIALYEPVKAFFIRDGDTVAGGVSLFAKILAALMTVRLQADGKATTVKRHYSGALNAYATIIRQEGIGALWTGLGPNIARNAIINATELASYDQLKQMFLKLPGFTDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDATYKSTLDCFAKTLKNDGLPAFYKGFIANFCRIGSWNVIMFLTLEQVRRSIL >ONIVA01G52160.1 pep chromosome:AWHD00000000:1:42807238:42808050:-1 gene:ONIVA01G52160 transcript:ONIVA01G52160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGTLGAVANGVALPFMTVLFGNLIDAVNRVSMVSLEFIYLAIASSVASFVRDMLDDPRRAAGGEDTEPVPQDHPEAGDRLLRQVHQHRRGRRQDVRRHHAHTGRHGGGDGGASSVVVSAAAAAARRLPVGVRKPPLHVVVTGERASSAATSSTSSRGDSVIIVDNLFTGRKENFAHHLADLRFELIRGHEPHPLPARPRKPRPSGPRIRLCLLCLAVVGGRLLIPHHRRVRFTRCRP >ONIVA01G52150.1 pep chromosome:AWHD00000000:1:42805202:42806020:1 gene:ONIVA01G52150 transcript:ONIVA01G52150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQELEDLYLGLGVPDESVDLTFKDLPSASAAGEKQNDLLAVRSSTNIFAYKLQQDEEEHRPSAEAPAGLRLSPTTTSSTVVADRRVGANYNYKRRRPGIPHSNICALCNSYIYLFRHRCLVCGRVYCRRCVGAGMGDMTEGRKCIDCLGRRYSHRYIHRAGTNLTPSAACCTCAFWGYYPDAQAVKLQELVWAEKGPAPRRRPRQSSSASISTSTSTSYTGTSSASINMTTMISLNNGFHSTRPVLASASTTPTSSSFLVSSSNPHAFPL >ONIVA01G52140.1 pep chromosome:AWHD00000000:1:42790879:42803307:-1 gene:ONIVA01G52140 transcript:ONIVA01G52140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT/U-box domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G58410) TAIR;Acc:AT5G58410] MGKHKGRAASSGLAASLLPHAQGAVPIVGFGGYHGASRVEPAAPSSSTDPDASILLPPDVDSEVLQHLRRLGRKDPTTKLKALSTLSMLFAQKPGQEVVQIVPQWAFEYKRLLLDYNREVRRATHDTMSSLVKTVKKGLAPHLKALMGPWWFSQFDPALEVAQAARHSFEAAFPQSDKRLDALMLCVKETFLHLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCVRDNSSSENTSLSKVLSGTLSSAESAFSMNKYFLDFLKSKSAIIRRFWHFLQNGCYGSKQASYPLLVQFLESIPSKAVTTEQFVFDFLHNLWAGRNQRQLSAADSLAFFTTFKQSFLWLLKVLPRHSGGGSSDDIPIKLITKVVWHDYLRIPSSKNQDISLSGLSDEAISGDCQLSHKESLLASSTRYPTYYLQDLGKCIIEILDEISAMENHLLNIACETLLKDCLDIIHQRESLPNFQYHVEQVVSFFISLDQLIVQKGKTWPLESLARPLIEQSVPAIKSMIQKDTPIVVKLLSVLVEIFRPVPLFLKNSQKESEESVQGYLDVFNGDFVPWCLDRKYSTCSSKIDLLLSLIIDECFFDQWCTIIKYTSAKQKHPVDNKNSHVDDQFELLALILQKVRERIAGGKLRNLQKNGSLPEHWRHDLLDSAAESVFCDLPATDSHVHYCLTSVLMTSTFEWARFAYVVLLPTEPKDSKVIGAQSFSSNIKMAHFAFKVLEGSLFALRRLEEDSLFPSVLAALFIIEWEYSMALTLDEEHDLKGYKEDIDVGSSACNSSDDHLDEGIHLKANLAESIHTFCQSLSPSFWSDLHPFTLNNLCALFQTLELPTESTSVLCSEWMVNMLKLISLDHTKLQSFFYLLLSEGEYWPLWVKPSLQNENAPVKIKFEPVITNETGLKHHQFVAFVDKLVLNLGFGEVILGVPGNTCYNTSQSIDTTSTVPSLSRAWVAAEILCTWKWKGGSVFSTFLPSMIQHLKMESCAEVSILSILLDTLLEGAFHECNQWVLFNAWHISDNEIEKIQDHFLRALVALLFSINSINECIWRESEALVFFEKLLSNLFIGSTVNRKCVKTLPFVMSTIIKPLSGKLKLNEASCYTDLVGQNILSWLDVAISCLSSSPREVLQQDIVDWMQVVLSCFPLNITCGTQKLEVKIEREISDTERSLLLTLFQKYKIFCAIEAPSLSTSGTTPSTMVELLGVKLIAVMVGYCWTELQENDLHFVFHTVQKWIESAVLLVEEMTDAINDAVINQKSNEDTLEKLKLVVSSIDELTLSFSEFALVTLCHLNHLVDIQETENFQSLQIIKSGDFADRNNNMMESMLRLFLASGVSEAIAKSSCEEASSIIASSRVAYMHFWELVASFVIYASPQTRRCALESMELWGLAKGSVSGLYSILFSSQPISHLQFAAYSLLLSEPLCQFSLIKECSLGSNRPLTQESCMGQSIELMPDSEKTLDLKEELSSLIEMPTSELLQTDLLAHDRVDAFIAWSLLLSHLQLLPPASITRERHIPLRTGAPCGKKKDAELMPEAEVAAQASKNAIITCSLLPCIESLWPVGTWQMASLAGGLYGMMIRLLPSYVRTWFTSLRDRSLSSSIESFTRAWCSPPLLLDEFSQVKDSLYADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPSCYPLRHVDVECTRSLGISEVKCRKWLLSLTAFVRNQNGAIAEAIHTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >ONIVA01G52140.2 pep chromosome:AWHD00000000:1:42790879:42803307:-1 gene:ONIVA01G52140 transcript:ONIVA01G52140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT/U-box domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G58410) TAIR;Acc:AT5G58410] MGKHKGRAASSGLAASLLPHAQGAVPIVGFGGYHGASRVEPAAPSSSTDPDASILLPPDVDSEVLQHLRRLGRKDPTTKLKALSTLSMLFAQKPGQEVVQIVPQWAFEYKRLLLDYNREVRRATHDTMSSLVKTVKKGLAPHLKALMGPWWFSQFDPALEVAQAARHSFEAAFPQSDKRLDALMLCVKETFLHLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCVRDNSSSENTSLSKVLSGTLSSAESAFSMNKYFLDFLKSKSAIIRSATYSLLASYIKHVSHVFNEESMKVLSPALLGAFNEKDPSCHSSMWDAFLAFSRRFPEAWSYCNIHKVVFSRFWHFLQNGCYGSKQASYPLLVQFLESIPSKAVTTEQFVFDFLHNLWAGRNQRQLSAADSLAFFTTFKQSFLWLLKVLPRHSGGGSSDDIPIKLITKVVWHDYLRIPSSKNQDISLSGLSDEAISGDCQLSHKESLLASSTRYPTYYLQDLGKCIIEILDEISAMENHLLNIACETLLKDCLDIIHQRESLPNFQYHVEQVVSFFISLDQLIVQKGKTWPLESLARPLIEQSVPAIKSMIQKDTPIVVKLLSVLVEIFRPVPLFLKNSQKESEESVQGYLDVFNGDFVPWCLDRKYSTCSSKIDLLLSLIIDECFFDQWCTIIKYTSAKQKHPVDNKNSHVDDQFELLALILQKVRERIAGGKLRNLQKNGSLPEHWRHDLLDSAAESVFCDLPATDSHVHYCLTSVLMTSTFEWARFAYVVLLPTEPKDSKVIGAQSFSSNIKMAHFAFKVLEGSLFALRRLEEDSLFPSVLAALFIIEWEYSMALTLDEEHDLKGYKEDIDVGSSACNSSDDHLDEGIHLKANLAESIHTFCQSLSPSFWSDLHPFTLNNLCALFQTLELPTESTSVLCSEWMVNMLKLISLDHTKLQSFFYLLLSEGEYWPLWVKPSLQNENAPVKIKFEPVITNETGLKHHQFVAFVDKLVLNLGFGEVILGVPGNTCYNTSQSIDTTSTVPSLSRAWVAAEILCTWKWKGGSVFSTFLPSMIQHLKMESCAEVSILSILLDTLLEGAFHECNQWVLFNAWHISDNEIEKIQDHFLRALVALLFSINSINECIWRESEALVFFEKLLSNLFIGSTVNRKCVKTLPFVMSTIIKPLSGKLKLNEASCYTDLVGQNILSWLDVAISCLSSSPREVLQQDIVDWMQVVLSCFPLNITCGTQKLEVKIEREISDTERSLLLTLFQKYKIFCAIEAPSLSTSGTTPSTMVELLGVKLIAVMVGYCWTELQENDLHFVFHTVQKWIESAVLLVEEMTDAINDAVINQKSNEDTLEKLKLVVSSIDELTLSFSEFALVTLCHLNHLVDIQETENFQSLQIIKSGDFADRNNNMMESMLRLFLASGVSEAIAKSSCEEASSIIASSRVAYMHFWELVASFVIYASPQTRRCALESMELWGLAKGSVSGLYSILFSSQPISHLQFAAYSLLLSEPLCQFSLIKECSLGSNRPLTQESCMGQSIELMPDSEKTLDLKEELSSLIEMPTSELLQTDLLAHDRVDAFIAWSLLLSHLQLLPPASITRERHIPLRTGAPCGKKKDAELMPEAEVAAQASKNAIITCSLLPCIESLWPVGTWQMASLAGGLYGMMIRLLPSYVRTWFTSLRDRSLSSSIESFTRAWCSPPLLLDEFSQVKDSLYADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPSCYPLRHVDVECTRSLGISEVKCRKWLLSLTAFVRNQNGAIAEAIHTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >ONIVA01G52140.3 pep chromosome:AWHD00000000:1:42790879:42803307:-1 gene:ONIVA01G52140 transcript:ONIVA01G52140.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT/U-box domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G58410) TAIR;Acc:AT5G58410] MGKHKGRAASSGLAASLLPHAQGAVPIVGFGGYHGASRVEPAAPSSSTDPDASILLPPDVDSEVLQHLRRLGRKDPTTKLKALSTLSMLFAQKPGQEVVQIVPQWAFEYKRLLLDYNREVRRATHDTMSSLVKTVKKGLAPHLKALMGPWWFSQFDPALEVAQAARHSFEAAFPQSDKRLDALMLCVKETFLHLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCVRDNSSSENTSLSKVLSGTLSSAESAFSMNKYFLDFLKSKSAIIRSATYSLLASYIKHVSHVFNEESMKVLSPALLGAFNEKDPSCHSSMWDAFLAFSRRFPEAWSYCNIHKVVFSRFWHFLQNGCYGSKQASYPLLVQFLESIPSKAVTTEQFVFDFLHNLWAGRNQRQLSAADSLAFFTTFKQSFLWLLKVLPRHSGGGSSDDIPIKLITKVVWHDYLRIPSSKNQDISLSGLSDEAISGDCQLSHKESLLASSTRYPTYYLQDLGKCIIEILDEISAMENHLLNIACETLLKDCLDIIHQRESLPNFQYHVEQVVSFFISLDQLIVQKGKTWPLESLARPLIEQSVPAIKSMDTPIVVKLLSVLVEIFRPVPLFLKNSQKESEESVQGYLDVFNGDFVPWCLDRKYSTCSSKIDLLLSLIIDECFFDQWCTIIKYTSAKQKHPVDNKNSHVDDQFELLALILQKVRERIAGGKLRNLQKNGSLPEHWRHDLLDSAAESVFCDLPATDSHVHYCLTSVLMTSTFEWARFAYVVLLPTEPKDSKVIGAQSFSSNIKMAHFAFKVLEGSLFALRRLEEDSLFPSVLAALFIIEWEYSMALTLDEEHDLKGYKEDIDVGSSACNSSDDHLDEGIHLKANLAESIHTFCQSLSPSFWSDLHPFTLNNLCALFQTLELPTESTSVLCSEWMVNMLKLISLDHTKLQSFFYLLLSEGEYWPLWVKPSLQNENAPVKIKFEPVITNETGLKHHQFVAFVDKLVLNLGFGEVILGVPGNTCYNTSQSIDTTSTVPSLSRAWVAAEILCTWKWKGGSVFSTFLPSMIQHLKMESCAEVSILSILLDTLLEGAFHECNQWVLFNAWHISDNEIEKIQDHFLRALVALLFSINSINECIWRESEALVFFEKLLSNLFIGSTVNRKCVKTLPFVMSTIIKPLSGKLKLNEASCYTDLVGQNILSWLDVAISCLSSSPREVLQQDIVDWMQVVLSCFPLNITCGTQKLEVKIEREISDTERSLLLTLFQKYKIFCAIEAPSLSTSGTTPSTMVELLGVKLIAVMVGYCWTELQENDLHFVFHTVQKWIESAVLLVEEMTDAINDAVINQKSNEDTLEKLKLVVSSIDELTLSFSEFALVTLCHLNHLVDIQETENFQSLQIIKSGDFADRNNNMMESMLRLFLASGVSEAIAKSSCEEASSIIASSRVAYMHFWELVASFVIYASPQTRRCALESMELWGLAKGSVSGLYSILFSSQPISHLQFAAYSLLLSEPLCQFSLIKECSLGSNRPLTQESCMGQSIELMPDSEKTLDLKEELSSLIEMPTSELLQTDLLAHDRVDAFIAWSLLLSHLQLLPPASITRERHIPLRTGAPCGKKKDAELMPEAEVAAQASKNAIITCSLLPCIESLWPVGTWQMASLAGGLYGMMIRLLPSYVRTWFTSLRDRSLSSSIESFTRAWCSPPLLLDEFSQVKDSLYADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPSCYPLRHVDVECTRSLGISEVKCRKWLLSLTAFVRNQNGAIAEAIHTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >ONIVA01G52140.4 pep chromosome:AWHD00000000:1:42790877:42803307:-1 gene:ONIVA01G52140 transcript:ONIVA01G52140.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT/U-box domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G58410) TAIR;Acc:AT5G58410] MGKHKGRAASSGLAASLLPHAQGAVPIVGFGGYHGASRVEPAAPSSSTDPDASILLPPDVDSEVLQHLRRLGRKDPTTKLKALSTLSMLFAQKPGQEVVQIVPQWAFEYKRLLLDYNREVRRATHDTMSSLVKTVKKGLAPHLKALMGPWWFSQFDPALEVAQAARHSFEAAFPQSDKRLDALMLCVKETFLHLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCVRDNSSSENTSLSKVLSGTLSSAESAFSMNKYFLDFLKSKSAIIRSATYSLLASYIKHVSHVFNEESMKVLSPALLGAFNEKDPSCHSSMWDAFLAFSRRFPEAWSYCNIHKVVFSRFWHFLQNGCYGSKQASYPLLVQFLESIPSKAVTTEQFVFDFLHNLWAGRNQRQLSAADSLAFFTTFKQSFLWLLKVLPRHSGGGSSDDIPIKLITKVVWHDYLRIPSSKNQDISLSGLSDEAISGDCQLSHKESLLASSTRYPTYYLQDLGKCIIEILDEISAMENHLLNIACETLLKDCLDIIHQRESLPNFQYHVEQVVSFFISLDQLIVQKGKTWPLESLARPLIEQSVPAIKSMDTPIVVKLLSVLVEIFRPVPLFLKNSQKESEESVQGYLDVFNGDFVPWCLDRKYSTCSSKIDLLLSLIIDECFFDQWCTIIKYTSAKQKHPVDNKNSHVDDQFELLALILQKVRERIAGGKLRNLQKNGSLPEHWRHDLLDSAAESVFCDLPATDSHVHYCLTSVLMTSTFEWARFAYVVLLPTEPKDSKVIGAQSFSSNIKMAHFAFKVLEGSLFALRRLEEDSLFPSVLAALFIIEWEYSMALTLDEEHDLKGYKEDIDVGSSACNSSDDHLDEGIHLKANLAESIHTFCQSLSPSFWSDLHPFTLNNLCALFQTLELPTESTSVLCSEWMVNMLKLISLDHTKLQSFFYLLLSEGEYWPLWVKPSLQNENAPVKIKFEPVITNETGLKHHQFVAFVDKLVLNLGFGEVILGVPGNTCYNTSQSIDTTSTVPSLSRAWVAAEILCTWKWKGGSVFSTFLPSMIQHLKMESCAEVSILSILLDTLLEGAFHECNQWVLFNAWHISDNEIEKIQDHFLRALVALLFSINSINECIWRESEALVFFEKLLSNLFIGSTVNRKCVKTLPFVMSTIIKPLSGKLKLNEASCYTDLVGQNILSWLDVAISCLSSSPREVLQQDIVDWMQVVLSCFPLNITCGTQKLEVKIEREISDTERSLLLTLFQKYKIFCAIEAPSLSTSGTTPSTMVELLGVKLIAVMVGYCWTELQENDLHFVFHTVQKWIESAVLLVEEMTDAINDAVINQKSNEDTLEKLKLVVSSIDELTLSFSEFALVTLCHLNHLVDIQETENFQSLQIIKSGDFADRNNNMMESMLRLFLASGVSEAIAKSSCEEASSIIASSRVAYMHFWELVASFVIYASPQTRRCALESMELWGLAKGSVSGLYSILFSSQPISHLQFAAYSLLLSEPLCQFSLIKECSLGSNRPLTQESCMGQSIELMPDSEKTLDLKEELSSLIEMPTSELLQTDLLAHDRVDAFIAWSLLLSHLQLLPPASITRERHIPLRTGAPCGKKKDAELMPEAEVAAQASKNAIITCSLLPCIESLWPVGTWQMASLAGGLYGMMIRLLPSYVRTWFTSLRDRSLSSSIESFTRAWCSPPLLLDEFSQVKDSLYADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPSCYPLRHVDVECTRSLGISEVKCRKWLLSLTAFVRNQNGAIAEAIHTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >ONIVA01G52130.1 pep chromosome:AWHD00000000:1:42784595:42787036:1 gene:ONIVA01G52130 transcript:ONIVA01G52130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT3G16610) TAIR;Acc:AT3G16610] MRHRSLAAAASASAPPPQMPPSFHFHWRHHAARRLSSTTACLHPPPLQPPRASASASASLLLQLQDHIASGHLSRAHHLFDQIPSPDVRTYNDLIRAYSSSSPTAAADGLHLYRRMLRHRVAPNNYTFPFALKACSALADHHCGRAIHRHAIHAGLQADLFVSTALLDMYVKCACLPDAAHIFATMPARDLVAWNAMLAGYAHHGMYHHAVAHLLSMQMQMHRLRPNASTLVALLPLLAQQGALAQGTSVHAYCIRACLHPNRNSKSKLTDGVLLGTALLDMYAKCGSLLYARRVFDAMPARNEVTWSALIGGFVLCSRMTQAFLLFKAMLAQGLCFLSPTSIASALRACASLDHLRMGEQLHALLAKSGVHADLTAGNSLLSMYAKAGLIDQAIALFDEMAVKDTVSYSALVSGYVQNGRAEEAFLVFKKMQACNVEPDAATMVSLIPACSHLAALQHGRCSHGSVIIRGLASETSICNALIDMYAKCGRIDLSRQVFNMMPSRDIVSWNTMIAGYGIHGLGKEATALFLEMNNLGFPPDGVTFICLLSACSHSGLVIEGKHWFHVMGHGYGLTPRMEHYICMVDLLSRGGFLDEAYEFIQSMPLRADVRVWVALLGACRVYKNIDLGKKVSRMIQELGPEGTGNFVLLSNIYSAAGRFDEAAEVRIIQKVQGFKKSPGCSWIEINGSLHAFVGGDQSHPQSPEIYRELDNILVGIKKLGYQPDTSFVLQDLEEEEKEKALICHSEKLAIAYGILSLSEDKTIFVTKNLRVCGDCHTVIKHISLLKRRAIIVRDANRFHHFKNGQCSCGDFW >ONIVA01G52120.1 pep chromosome:AWHD00000000:1:42782584:42783336:-1 gene:ONIVA01G52120 transcript:ONIVA01G52120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCSKTRKKGSWRAEEDALLTRLVAQHGPHRWSIISGAIPGRSGKSCRLRWCNQLSPAVQHRPFTPQEDALLAAAHARHGNKWATIARLLPGRTDNSVKNHWNSNLRRCLRRQAKFKSKDPDLLPDPINIPPDCIVVLNDDDEPADRPVTPPAIIQAQAQETLPSLTLSLSLSLPGAAAAAAEVEVAPPPPRALAAASEIQDGSSRSSSASRVMLQVMRQMVREEVQRYTAQLAYSLMALASCSRRPPN >ONIVA01G52110.1 pep chromosome:AWHD00000000:1:42777588:42781832:1 gene:ONIVA01G52110 transcript:ONIVA01G52110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWPWRWRVLLPLLLLHSSPVFAQEGQDNDPSTLFKRASEMMNLRKYDGSLGLLNAVLEVDPNHSEAYRQRASVLRHKCRYKEAEGDYSKYLELKPGSSSVEKELSQLLQAQNALESAYGQFESHDFSKVLEYINKIVLVFSPNCLKAKLLKAKALLALEDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNAAKGKLRVSAEDYKAALAMDPDHTSYNVHLYLGLCKVLVKLGRGKEAISSCTEALNIDGELVDALTQRGEAKLLTEDWEGAVQDLKEASQKSPQARIESKGHDMGIREALMRAEKQLKLSKRKDWYKILGISKTASAADIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRER >ONIVA01G52100.1 pep chromosome:AWHD00000000:1:42773804:42775157:1 gene:ONIVA01G52100 transcript:ONIVA01G52100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRAAAGGEQQHLSNRVVGLVNLVTLVASVPIIGAGLWLQAHGGSSPCGSALQAPLLAIGFVTLLVSLAGFLGACYHVPSALWLYLAAMLLLVLALLGITVFGLAVTAGGGGTQVAGRPYREFRLADYSSWLQRHVRAERYWRPALACVLAARACDTLAAWTPLDYLRNDLTPVQSGCCKPPTACTYYDDAQQQQQQPDCYRWSNAPGVLCYGCDSCKAGVLEQLRRHWHNVTILNVVLLLLLILFYSCACCAFRNTATATSSKTIFHLHPRWEYRWYLLYLCARESQGGGMDEDS >ONIVA01G52090.1 pep chromosome:AWHD00000000:1:42766998:42771491:-1 gene:ONIVA01G52090 transcript:ONIVA01G52090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCCCLLRARRLLFSFFFFAVVVVLFSSVSVAQIPVWSCGSVSEMVRNAWAGFQSIDCGGSGNYTDEVGLEWTGDEAYVGGGAGTTASISSMSGQGRRPYRTVRYFPADGRKYCYRVSVRARTRYLVRASFLYGNFDGSRVFPEFDLYVGASRWSTIVIYDESKVVTREMVALAQSGSSSLSVCLANATTGHPFISTLELRPLNASLYHTAFEAAFFLSLAARINFGAPTADPVRYPDDPYDRVWESDMARRPNFLVDAAPGTIRVATDNPVFVASGERPPQKVMQTAVVGTLGALTYRLDLNGFPGSGWACSYLAEIEDDAAATARRFKLYIPGLPEVSKPTVDIGENAPGKYRVYQPGYDNISLPFVLPFAFRKTDDSARGPILNAMEIYSYIPILPASPDAVAMDALAARYQQQHSWAREGGDPCVPAPWSWLTCTSSRVIAILLDNNMLTGPIPDLSACTNLTVIHLENNQLEGSVPSYLSGLPKLSELYLENNRLSGVIPRALLSRSIVFKYSGNKHLRVGKQEEEERNVVIGICALVGIGLLLAAALCYAYNVSVSGRKQQGASAGGNSKSKSIVVSAEQKKKATPVAAAGGGIDNMMAAMAARGPLEFKVRELEEATSKFARKIGSGGFGVVYYGRLGDGREIAVKVASSNESIQGKKQLANEVALLCRIHHRNLVAFLGYCWERHSSSYMLVYEYMHNGSLKEQLQMMSMSWLRRLQVAEDAAKGIEYLHCGCTPAIIHRDIKTSNILLDAHMRAKVSDLGLSKSNEATNSTANTITTHVRGTLGYLDPHYYVSQQLTHKSDLYSFGIILLELISGRPPILLTPGAGAMASLGPWAKSHYESGDIEAIVDPSLRGRYRDVHSVWKVAETAVRCIDADPQGRPSMPEVVKDIQEAIALEMPSSESERPAASFFSPGAGAAGARSSATVRSHDLVMDNLIATHSQRHTSMSSRRGGESGSGDSPRRWEEAKSTTPRLQPGSAGGRSAAPSAEMSSRGWGESGGGDSPQLQGDSSSCKKAKSQTPSLQPGSASEHVAASSATSVETGLEFGKVDGEAHAPRSPALGKATKALNRRAVAGGLDLDTKRSGVEIQKSKVGGLDLLVGNGFFLFYMKAVWMLVDTGDKIL >ONIVA01G52080.1 pep chromosome:AWHD00000000:1:42761248:42761767:-1 gene:ONIVA01G52080 transcript:ONIVA01G52080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSSSSPVDKVDPDECNGSKACADCHTTKTPLWRGGPGGPKSLCNACGIRYRKRRRAALGLDSAATATEGAEQQQKKTKAKKEKAEEEEVTMELHTVGFRSKDAAVLKQRRRMRRRKCLGEEERAAILLMALSSGVIYA >ONIVA01G52070.1 pep chromosome:AWHD00000000:1:42751883:42754602:-1 gene:ONIVA01G52070 transcript:ONIVA01G52070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLISSSFFSFLGPDTNPNPVSWCKCNMVGQMVMRIVRPCFKPDHQLAVGGGGTRDGLLWYKDTGRHACGDFSMALVQANNLLEDVSQVEAAPLLSHSSSTTFVGIYDGHGGPETAHFIAQHLFPNLKKFATEQQTVSVDVIRKSYAATEEGFLNLVRKQWLIKPQLASVGSCCLVGIINEGVLYVANTGDSRAVLGRLERGVIKAVQLSAEHNASIESVREELRQFHPDDPRIVVLKHNVWRVKGLIQVSRTLGDAYLKSTEFNREPLLARFRLSEPFHKPILSPEPSIEVHKLCTEDQFVIFASDGLWEHMTNQEAVDIVNCSPRNGIARRLIKAALRDAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSALVGKRFYGGPLLSLMGGDASPSASQVSVVLCAREGFGEFEAGVALCNESRTCLLSALDFEYMSISPRQKKDPFHFVHSVFSFCGST >ONIVA01G52070.2 pep chromosome:AWHD00000000:1:42751883:42754402:-1 gene:ONIVA01G52070 transcript:ONIVA01G52070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQMVMRIVRPCFKPDHQLAVGGGGTRDGLLWYKDTGRHACGDFSMALVQANNLLEDVSQVEAAPLLSHSSSTTFVGIYDGHGGPETAHFIAQHLFPNLKKFATEQQTVSVDVIRKSYAATEEGFLNLVRKQWLIKPQLASVGSCCLVGIINEGVLYVANTGDSRAVLGRLERGVIKAVQLSAEHNASIESVREELRQFHPDDPRIVVLKHNVWRVKGLIQVSRTLGDAYLKSTEFNREPLLARFRLSEPFHKPILSPEPSIEVHKLCTEDQFVIFASDGLWEHMTNQEAVDIVNCSPRNGIARRLIKAALRDAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSALVGKRFYGGPLLSLMGGDASPSASQVSVVLCAREGFGEFEAGVALCNESRTCLLSALDFEYMSISPRQKKDPFHFVHSVFSFCGST >ONIVA01G52070.3 pep chromosome:AWHD00000000:1:42752396:42754402:-1 gene:ONIVA01G52070 transcript:ONIVA01G52070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQMVMRIVRPCFKPDHQLAVGGGGTRDGLLWYKDTGRHACGDFSMALVQANNLLEDVSQVEAAPLLSHSSSTTFVGIYDGHGGPETAHFIAQHLFPNLKKFATEQQTVSVDVIRKSYAATEEGFLNLVRKQWLIKPQLASVGSCCLVGIINEGVLYVANTGDSRAVLGRLERGVIKAVQLSAEHNASIESVREELRQFHPDDPRIVVLKHNVWRVKGLIQVSRTLGDAYLKSTEFNREPLLARFRLSEPFHKPILSPEPSIEVHKLCTEDQFVIFASDGLWEHMTNQEAVDIVNCSPRNGIARRLIKAALRDAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSALVGKRFYGGPLLSLMGGDGASTLTQKC >ONIVA01G52060.1 pep chromosome:AWHD00000000:1:42746538:42749417:-1 gene:ONIVA01G52060 transcript:ONIVA01G52060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57300) TAIR;Acc:AT5G57300] MALRSAAGRLASSSRRRLLSPPTSIHTAFLHSHATSFGYKQVAEEEKSKLVGNVFSSVASSYDLMNDLMSVGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRALERINSVSHRAMQGTLTDIEEETQIYVCDINPNMLNVGKKRASERGYKEGHCLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPLFKEIYDVYSFSVIPAVGELVAGDRQSYQYLVESIRRFPNQEKFAQMIQEAGFERVEYENLVGGVVAIHSGLKL >ONIVA01G52050.1 pep chromosome:AWHD00000000:1:42735145:42744918:1 gene:ONIVA01G52050 transcript:ONIVA01G52050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWNSKGGGGGDCSAFLRWLRSKSGTHISSVLSLGTSSAFGRSLFASKPIQEGDCIMQVPYHVQLTLDKLPQKFNTLLDHAVGDTSKLAALLIMEQHLGNESGWAPYIKSLPTKDQMHNMVLWDLNELHAVQNSSIYDEAIEHKEQAKKEFLALKPALDHFPHLFGEVKLGDFMHASALDFLNHDGVFGSVLIYDEQKNVCEIIADRNYAVGEQVMIRYGKYSNATLALNFGFTLARNIYDQALIRIDMPVQDPLYKKKLDIWQKHRLPIFEDMCNLSPATSFVIKEVKSSQSKGIGIPLILRAFLRVFSAMSLEELEEMAMEAAESDGRLARCPLKNMEREIHAHRRLLLHFAEMIQGHSAAIEQLEIVDGPASRSMHPFRKEMAKDLLVGELRVLERETNALDFGEGEGGRDRGGRMLQRAASNAYSWWWASHIRTKQSKWLDSHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRGLADRYDIISGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGGEQPAGSKNKNTTSAPIDKDNAREEISRLQKEILVMQTEKEFIKSSYESGIAKYWDLEKQINEMQEQVCHFQDKFDESAVIEDDEARALMTATALKSCEDTIVKLQEQRKTSASQAMGESERVKVLREKLKAVMEGHGKSLPDCPDPCDKNVRKNHGFEMEEVQHIKLGEFETQTVLEKIKEHFERDGSISVAEITEHIDELVNKVVDLELMVSSQSSQIDRLCRENSELESCLQSLEEENASDPDEVNEKLKKLEEELVRVQALESCFHKDESTIRSNFSEAISRLSGISEMLQTSEHGVGGTLAVADGKEEEEEEEDNDAGGMDDVAEPQVQTEAASDDVDPAGKSTADVDPAGKSTATQEEEAQAVDVGQEKAGGCSRERGSLVRLRHISSDDLGGCDDEAPAAVDDADGMRKQKKGQEGEGVEEEKKVILVAEYRALLEENKDAKRRLAEVEKKNQECMHEIQSLRELLSSGSSEAGAAAGGGGGGGGDSSGGGRRGHRRTPSYSLGHHRKQSLSSISRMIRMGSTIHEGDESEKVKAEELRLPAVATSSSPLENKLRKDIDTLLEENLEFWMKFSSSLQRVQEFQRKHDELMQQLQPAATDGNSDTKQKQKQEQQLRALKTELQVWSEQNAMLRGELQCRFAALCDVQEEITAALEQGGGGGEFTSYQAAKFQGEVLNMQQENNRVSDELHAGQDHVKGLQAQIEKKLQHGGVTLPDADGPAAGAATPPPLPLTRVASKSKVPLQSFLFPAKAKKPSLLARVTPVLQKQQPDLRFLAKLQPR >ONIVA01G52040.1 pep chromosome:AWHD00000000:1:42732561:42733160:1 gene:ONIVA01G52040 transcript:ONIVA01G52040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVLKDLNKRLLGLSCSSAASTSIVLSGHSPPHLRDPARTSNSSKKKKAKANKSKQQQQLVSPASSSRFLLNSSRMQPSADELPPPFVIPVAVAAAAEENEIVAAAPVGGGGEEDLPQVEVVVLRVSLHCKGCAGKVKKHISKMEGVTSLDIDIATKKVTVVGHVTPLSVLTAVSKIKPAQFWPISSPMPPRASASF >ONIVA01G52030.1 pep chromosome:AWHD00000000:1:42726457:42727590:-1 gene:ONIVA01G52030 transcript:ONIVA01G52030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTSSDSAASAEAMVMDLSPKRPAKSYGGEGGSYFDWCPSELPMLRAASIGAAKLSLAAGGLALPFYSDSAKVAYVLQGKGTCAVLLPETPSEKILPIKEGDALALPFGVVTWWHNLHAATTELVVLFLGDTSKGHTAGRFTNMQLTGSTGIFTGFSTEFVARAWDLPQDAAASLVSTQPGAGIVKLKDGFRMPEGCDKDREGMVLNCLEAPLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGIDGTRVLETRAEGGCLFIVPRFFVVSKIADDTGMEWFSIITTPNPIFSHLAGRTSVWKAISPAVLQASFSTTPEMENLFRSKRLDSEIFFAPNSNSI >ONIVA01G52020.1 pep chromosome:AWHD00000000:1:42705720:42723625:-1 gene:ONIVA01G52020 transcript:ONIVA01G52020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSEASEPPESPSPYAADLAGDAAPPPEDDAAAALDDGDDEPDPPPAAVPFKRLFACADRLDWALMSAGALAAAAHGVALVVYLHLFGTAIHSLHGRHNHDLFHHINQVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASVAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGKANGGEVVVALFSIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSVVNQDGRTLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHAHTFISSLEKGYDTQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLAFRNSDANHNSHESPNIQSPPSEQMAETRLPTVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDMFDNFHAEESKKQQTKAPSFWRLVELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYRIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDEEENSADILSMRLANDATFVRAAFSNRLSIFIQDTAAIFVALLLGMLLEWRVALVALATLPILVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGSILWKSLVHGMGIGFAFGLSQFLLFACNALLLWYTAVAVKNGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRAPKIDPDDASGLKPPNVYGSIEFRNVDFCYPTRPETMVLSNFSLRVNGGQTVAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLVQKNGLYVKLMQPHFTKGFRQRALCSQREPTTGLVPSNPTDGGDPAQQDTIESNRVHSLQGRGASEQGRRRRGIGIASGRPPMDMDPPTNNPSPPGPPDSPPPEKRLASLSLRTSHLPPDFEIHDDYDDDDDEGYLTAVSRVGSISTSASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAAANDDPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLSNFILSSESFRTSESFSPICLPRYNSMAFLYAYENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDLHFQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYQKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKVCNRVCQWIRDLENEIFVYGESTLSWVYITIGNRVVTAVTALTAGKKKKKKKKMPVSRIAVSAPGELSHPDTAKAAVAEFISMLIFVFAGSGSGMAFSKLTDGGGTTPSGLIAASLAHALALFVAVAVGANISGGHVNPAVTFGAFVGGNISLVKAVVYWVAQLLGSVVACLLLKIATGGAAVGAFSLSAGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVTGVWDNHWVYWLGPFVGAAIAALIYDIIFIGQRPHDQLPTADY >ONIVA01G52020.2 pep chromosome:AWHD00000000:1:42705720:42723625:-1 gene:ONIVA01G52020 transcript:ONIVA01G52020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSEASEPPESPSPYAADLAGDAAPPPEDDAAAALDDGDDEPDPPPAAVPFKRLFACADRLDWALMSAGALAAAAHGVALVVYLHLFGTAIHSLHGRHNHDLFHHINQVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVRGISNIFLHRLAENIQDAYGEAASVAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGKANGGEVVVALFSIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSVVNQDGRTLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHAHTFISSLEKGYDTQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLAFRNSDANHNSHESPNIQSPPSEQMAETRLPTVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDMFDNFHAEESKKQQTKAPSFWRLVELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYRIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDEEENSADILSMRLANDATFVRAAFSNRLSIFIQDTAAIFVALLLGMLLEWRVALVALATLPILVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGSILWKSLVHGMGIGFAFGLSQFLLFACNALLLWYTAVAVKNGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRAPKIDPDDASGLKPPNVYGSIEFRNVDFCYPTRPETMVLSNFSLRVNGGQTVAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLVQKNGLYVKLMQPHFTKGFRQRALCSQREPTTGLVPSNPTDGGDPAQQDTIESNRVHSLQGRGASEQGRRRRGIGIASGRPPMDMDPPTNNPSPPGPPDSPPPEKRLASLSLRTSHLPPDFEIHDDYDDDDDEGYLTAVSRVGSISTSASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAAANDDPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLSNFILSSESFRTSESFSPICLPRYNSMAFLYAYENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDLHFQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYQKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKVCNRVCQWIRDLENEIFVYGESTLSWVYITIGNRVVTAVTALTAGKKKKKKKKMPVSRIAVSAPGELSHPDTAKAAVAEFISMLIFVFAGSGSGMAFSKLTDGGGTTPSGLIAASLAHALALFVAVAVGANISGGHVNPAVTFGAFVGGNISLVKAVVYWVAQLLGSVVACLLLKIATGGAAVGAFSLSAGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVTGVWDNHWVYWLGPFVGAAIAALIYDIIFIGQRPHDQLPTADY >ONIVA01G52020.3 pep chromosome:AWHD00000000:1:42705720:42723625:-1 gene:ONIVA01G52020 transcript:ONIVA01G52020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSEASEPPESPSPYAADLAGDAAPPPEDDAAAALDDGDDEPDPPPAAVPFKRLFACADRLDWALMSAGALAAAAHGVALVVYLHLFGTAIHSLHGRHNHDLFHHINQVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASVAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGKANGGEVVVALFSIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSVVNQDGRTLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHAHTFISSLEKGYDTQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLAFRNSDANHNSHESPNIQSPPSEQMAETRLPTVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDMFDNFHAEESKKQQTKAPSFWRLVELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYRIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDEEENSADILSMRLANDATFVRAAFSNRLSIFIQDTAAIFVALLLGMLLEWRVALVALATLPILVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGSILWKSLVHGMGIGFAFGLSQFLLFACNALLLWYTAVAVKNGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRAPKIDPDDASGLKPPNVYGSIEFRNVDFCYPTRPETMVLSNFSLRVNGGQTVAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLVQKNGLYVKLMQPHFTKGFRQRALCSQREPTTGLVPSNPTDGGDPAQQDTIESNRVHSLQGRGASEQGRRRRGIGIASGRPPMDMDPPTNNPSPPGPPDSPPPEKRLASLSLRTSHLPPDFEIHDDYDDDDDEGYLTAVSRVGSISTSASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAAANDDPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLSNFILSSESFRTSESFSPICLPRYNSMAFLYAYENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDLHFQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYQKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKKKKKKKKKMPVSRIAVSAPGELSHPDTAKAAVAEFISMLIFVFAGSGSGMAFSKLTDGGGTTPSGLIAASLAHALALFVAVAVGANISGGHVNPAVTFGAFVGGNISLVKAVVYWVAQLLGSVVACLLLKIATGGAAVGAFSLSAGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVTGVWDNHWVYWLGPFVGAAIAALIYDIIFIGQRPHDQLPTADY >ONIVA01G52020.4 pep chromosome:AWHD00000000:1:42706876:42723625:-1 gene:ONIVA01G52020 transcript:ONIVA01G52020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSEASEPPESPSPYAADLAGDAAPPPEDDAAAALDDGDDEPDPPPAAVPFKRLFACADRLDWALMSAGALAAAAHGVALVVYLHLFGTAIHSLHGRHNHDLFHHINQVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASVAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGKANGGEVVVALFSIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSVVNQDGRTLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHAHTFISSLEKGYDTQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLAFRNSDANHNSHESPNIQSPPSEQMAETRLPTVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDMFDNFHAEESKKQQTKAPSFWRLVELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYRIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDEEENSADILSMRLANDATFVRAAFSNRLSIFIQDTAAIFVALLLGMLLEWRVALVALATLPILVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGSILWKSLVHGMGIGFAFGLSQFLLFACNALLLWYTAVAVKNGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRAPKIDPDDASGLKPPNVYGSIEFRNVDFCYPTRPETMVLSNFSLRVNGGQTVAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLVQKNGLYVKLMQPHFTKGFRQRALCSQREPTTGLVPSNPTDGGDPAQQDTIESNRVHSLQGRGASEQGRRRRGIGIASGRPPMDMDPPTNNPSPPGPPDSPPPEKRLASLSLRTSHLPPDFEIHDDYDDDDDEGYLTAVSRVGSISTSASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAAANDDPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLSNFILSSESFRTSESFSPICLPRYNSMAFLYAYENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDLHFQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYQKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKVCNRVCQWIRDLENEIFVYGESTLSWVYITIGNRVVTAVTALTAGVRHLVSENL >ONIVA01G52020.5 pep chromosome:AWHD00000000:1:42706876:42723625:-1 gene:ONIVA01G52020 transcript:ONIVA01G52020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRGLFGWSPPHVQPLTPVSEASEPPESPSPYAADLAGDAAPPPEDDAAAALDDGDDEPDPPPAAVPFKRLFACADRLDWALMSAGALAAAAHGVALVVYLHLFGTAIHSLHGRHNHDLFHHINQVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASVAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGKANGGEVVVALFSIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSVVNQDGRTLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHAHTFISSLEKGYDTQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLAFRNSDANHNSHESPNIQSPPSEQMAETRLPTVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDMFDNFHAEESKKQQTKAPSFWRLVELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYRIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDEEENSADILSMRLANDATFVRAAFSNRLSIFIQDTAAIFVALLLGMLLEWRVALVALATLPILVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGSILWKSLVHGMGIGFAFGLSQFLLFACNALLLWYTAVAVKNGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRAPKIDPDDASGLKPPNVYGSIEFRNVDFCYPTRPETMVLSNFSLRVNGGQTVAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLVQKNGLYVKLMQPHFTKGFRQRALCSQREPTTGLVPSNPTDGGDPAQQDTIESNRVHSLQGRGASEQGRRRRGIGIASGRPPMDMDPPTNNPSPPGPPDSPPPEKRLASLSLRTSHLPPDFEIHDDYDDDDDEGYLTAVSRVGSISTSASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAAANDDPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLSNFILSSESFRTSESFSPICLPRYNSMAFLYAYENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDLHFQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYQKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKVCNRVCQWIRDLENEIFVYGESTLSWVYITIGNRVVTAVTALTAGVRHLVSENL >ONIVA01G52020.6 pep chromosome:AWHD00000000:1:42705720:42706559:-1 gene:ONIVA01G52020 transcript:ONIVA01G52020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRIAVSAPGELSHPDTAKAAVAEFISMLIFVFAGSGSGMAFSKLTDGGGTTPSGLIAASLAHALALFVAVAVGANISGGHVNPAVTFGAFVGGNISLVKAVVYWVAQLLGSVVACLLLKIATGGAAVGAFSLSAGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVTGVWDNHWVYWLGPFVGAAIAALIYDIIFIGQRPHDQLPTADY >ONIVA01G52010.1 pep chromosome:AWHD00000000:1:42702968:42732535:1 gene:ONIVA01G52010 transcript:ONIVA01G52010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIPGLIVKKRVVIDWGWKTTQAMPDPDPAKTKMSIEMNSATAALAVSGWDSSPGALTAIRLTGIFFFFFFFFCYRGQVTAGRPGGKHDYRAKLQGWAKLPGQPEQHAILATCQEEATQDNRKQGYYNFTTISFSMRYKEPPNPKLGMKEPYLVDVVEKVVVVAAMKRVDGGAEEVEVDDEGHPVGGGGKGAGGHERPVEAVGAGEEALEGDGGGGRIGLVVPIVEGGGGVILRRRRGVPGEVSGVGRGGFRRLGGLGDGSERLDVRRGPAEEAPRNHEQRLSRRRPKKKKNASWAGGGRGESEERLHVEHAHEMGEHVVRLGVIKDEQMNYPCIGYSWWAYAEVAAAGLGSLKEAAAISKQEEARARDARAKQNQQQTTVQ >ONIVA01G52000.1 pep chromosome:AWHD00000000:1:42702219:42702845:1 gene:ONIVA01G52000 transcript:ONIVA01G52000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVAAPSRRRPSLGRQKIEIRRIESEEARQVCFSKRRAGFFKKASELSILCSADVAAVVFSPAGKAYSFGHPSVECLLERFLPDSSSGAAARVRRGANNNGGGGMVGELNRQYGELRAMVEAHKARQERADEKIEMERAAGRWLPMDADVRRMSPEELMAFGTGLMAVQAAVSARADQMLRDALLIGRRPPTTTTAGFGFFHMPHY >ONIVA01G51980.1 pep chromosome:AWHD00000000:1:42676166:42677304:-1 gene:ONIVA01G51980 transcript:ONIVA01G51980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSRHSHSRSGDGNGWASPERTMVWRTELKKKQMKQVVVGVVYYLCRQDGQLDHPHFVHVHVPSDSDSDHPRPRLHLRDFIARLSDLRGAAMPAAYSWSAKTTYRRNAGYVWQDLTADDLIPAPSTNHEEYVLKGSPLLHHNSTTPPQHRRCMTSFDLADYHRTTDPVPVRAAAQQSLIGIDDISPPPSSSSPDDTTTQLVTLKQKQQEEDGCTPQQQAATTPAGRMRTSAMLMKLISCGASSIKELQGQAQSQRRRATAWHNNKPDIMDHRDYFSGSLLDNNTTTHPIDLTLRRSSSCNAHRGQSSRLGVVDQDGVPRRQQLHAKSTAARMDSPETDQIRS >ONIVA01G51970.1 pep chromosome:AWHD00000000:1:42674696:42675966:-1 gene:ONIVA01G51970 transcript:ONIVA01G51970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTRGTSMTKLHRRTDDDDGAQARGWAEKGQWAPAAASASASTYVAAPDNDTRARGRAEKGRWPAERRRAPAAASATEAFVRRATTVEDDAAAADKAERRGGDNGRLSPSAAGD >ONIVA01G51960.1 pep chromosome:AWHD00000000:1:42674275:42674541:1 gene:ONIVA01G51960 transcript:ONIVA01G51960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSEEAKQEQHRVLAHKLFLLSHPDLNDLAKVALRSDALDAVKSDGMALLFESLAVNGVLEPDDALLVEMRVRIDEEVPQAIVVRA >ONIVA01G51950.1 pep chromosome:AWHD00000000:1:42671878:42672836:-1 gene:ONIVA01G51950 transcript:ONIVA01G51950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFLPPSGRYGTVQRAVTFNTGPGLEQWILTSSSFGCRGGRHVDRACFIEQQQAPFKI >ONIVA01G51940.1 pep chromosome:AWHD00000000:1:42670051:42671860:-1 gene:ONIVA01G51940 transcript:ONIVA01G51940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLQAATTASSSTREGKAPALNERILSSMSKRSVAAHPWHDLEIGPEAPTIFNCVIEIPRGSKVKYELDKKTGLVKVDRVLYSSVVYPHNYGFIPRTLCDDSDPLDVLVIMQEPVIPGCFLRAKAIGVMPMIDQGEADDKIIAVCADDPEYKHYNDIKDLPPHRLAEIRRFFEDYKKNENKEVAVNDFMPATSAYETIRHSMDLYATYILEGLRR >ONIVA01G51930.1 pep chromosome:AWHD00000000:1:42668470:42671431:1 gene:ONIVA01G51930 transcript:ONIVA01G51930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWRPKLVPYAATPFGILSHPSSTARARASSSSCRSKLFIGGLSYDTNETALKDAFSQHGHIIQVKVICHPVTGKSKGYGFVKFASEDEAAAALHKMGGEVIDGRNIRVHYANSG >ONIVA01G51930.2 pep chromosome:AWHD00000000:1:42668563:42671431:1 gene:ONIVA01G51930 transcript:ONIVA01G51930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWRPKLVPYAATPFGILSHPSSTARARASSSSCRSKLFIGGANFLPTCFEFDIHYCANIVFG >ONIVA01G51920.1 pep chromosome:AWHD00000000:1:42664008:42667204:-1 gene:ONIVA01G51920 transcript:ONIVA01G51920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZK9] MPPLFSAPALHRRRLLRHAAAFALVLLAVALLFLLLVHPRSLGTPSPSPSYGHRLPTLVDLTLVHGAKEKGAVCLDGTPPGYHWLPGFGDGSNKWLLHLEGGSWCRNRTSCDHRKKTSLGSSAYMETRVEFVGILSDDKAQNPDFYNWNKVKIRYCDGASLSGNVQDEHQYGATFFFRGQRIWEAVMAELLPKGLARAKQAFLTGCSAGGLSTYIHCDDFRALLPKDSTVKCLADGGFFLDVEDISGRRYMRGFYNDVARQQDLRKRFPGCSSDMEPGQCFFPQEVAKGITTPMFILNPAYDVWQVEHVLTPDGSDPQNLWQDCRMDITKCNTKQLEILQGFRKSLLDAISEFKKKRGWGMFIDSCFIHCQSMKSLAWHSPSASRINNKTVAEAVGDWFFDRREVKEIDCEYPCNPTCYNVVLEQPYQEG >ONIVA01G51910.1 pep chromosome:AWHD00000000:1:42660771:42662111:1 gene:ONIVA01G51910 transcript:ONIVA01G51910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGLSCSRDTDEYDLFRAAQLGDIHALSALLAADPALARRATVYDRFTALHIAAANGRLQVLSMLLDRDGDVDVLSRKKQTPLMVAAMRGNTECVVRLLRGGANVLTFDSPRARTCLHHAAYYGHAECLQAILGAAAQAQGPVAASWGFARFVNVRDERGATPLHLAARHARASCVRLLLDKGAIVSAPTAVYGFPGSTALHLAARAGSMECIRELLAWGADRLQRDSAGRIAYAVAMRRGHRACAALLNPAAAEPIVWPSPLKFIGELEADAKALLEAALMEANREREKRILLGSDINIKGGDEEEESEDEEEACNICFEQACSMEVKECGHQMCAACTLAICCHSKPNPKTLLLHPPACPFCRTTISRLVVATTNSNKTNSRRRSRSSSSSFKGGLSSAMGSFSRIGRGSGRLVVDGSSVGELADKPDHDFSSVAAAAAICDT >ONIVA01G51900.1 pep chromosome:AWHD00000000:1:42646981:42655506:-1 gene:ONIVA01G51900 transcript:ONIVA01G51900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVQESKRVGRQLPPHRPPRSVSLAASISFSSARKPPEPLRRAVADCLSPPAPHTHTHAPPPAASSAPAEASRTLRDYIANPSTIDMAYNVLIDHALAERDRRYTPRVQTLRQIDLFCANTIAKCEPLGTQRSSSASPHSSVAAPPITNFASPSLVKSLNYVRSLVARHIPKLSFQPIGHSVAPTSTKQSLPSLSSFFNKSLVSQLTPEAITNMDLVESKESHAPSDLISSATEKADGGEPADDTKYISFDILNWRWHVYGERQASASTKESSNDFADLQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSFIQDFPDIDLLQPSTSTASTFASSQSHLKAITASKRMKSGPNQVWMNIPANTFQPRARPLFQYRHYSEQQPLKLNPAEISEVIAEVCSETTSNSNQFSAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDSEAAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVENAPLIEKSETVNHSYMNNEYGSSMDEQRATESEQEQRMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDGAPNGAIDTHFLPDQIDRVGGVDYICLEYSRANSREEKRDLFFVLFDYVLHQINETFLVGGLSTYTYDDAQPLASLLACADAPEAFYISVKHGVEGVGDMLRKAISSALSQSTEYEQLNVLLDKVIRKLDGTVSTFSRIDTEFAYMIQVTKSYKCFSSIRDGHEDADVALRARLCWATLHSLLNSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIQKLQDEIEVAGSQDLSSSEVSLPVCLLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDNDMQEHTVADHSKHRLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRLPSSNVMHMGGLQSLGQLFGCTTKNIESHLETLASHQNVGNKNFCRSETLQDISVNQTAQTTLLSETSMAALLLRGLAIAPMQLVARVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPDTYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ >ONIVA01G51890.1 pep chromosome:AWHD00000000:1:42644138:42645639:1 gene:ONIVA01G51890 transcript:ONIVA01G51890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSSCQCGNGCGGCKYSEVEPTTTTTFLADATNKGSGAASGGSEMGAENGSCDCNTCKCGTSCGCSCCNCN >ONIVA01G51880.1 pep chromosome:AWHD00000000:1:42636985:42643506:1 gene:ONIVA01G51880 transcript:ONIVA01G51880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKSFLPPPFIALSSNPRPTTLAPTPNPRPRRRNSLAICSASASGDPSPPPEAEGGGNPLLALWRRTLHPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRARFRKYQAVFEFTQACGICVGTPVRIRGVTVGNVVRVDSSLKSIDAYVEVEDDKIIVPRNSVVEVNQSGLLMETLIDITPKDPLPTPSVGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGREMEEIGVHKSYKLAEKVASIMEEAQPLLSRIEALAEEIQPLLSEVRDSDLVKDVEIIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDDATRRNIKLLIKSLSRLL >ONIVA01G51880.2 pep chromosome:AWHD00000000:1:42636985:42643745:1 gene:ONIVA01G51880 transcript:ONIVA01G51880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKSFLPPPFIALSSNPRPTTLAPTPNPRPRRRNSLAICSASASGDPSPPPEAEGGGNPLLALWRRTLHPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRARFRKYQAVFEFTQACGICVGTPVRIRGVTVGNVVRVDSSLKSIDAYVEVEDDKIIVPRNSVVEVNQSGLLMETLIDITPKDPLPTPSVGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGREMEEIGVHKSYKLAEKVASIMEEAQPLLSRIEALAEEIQPLLSEVRDSDLVKDVEIIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDDATRRNIKLLIKSLSRLL >ONIVA01G51880.3 pep chromosome:AWHD00000000:1:42642519:42643506:1 gene:ONIVA01G51880 transcript:ONIVA01G51880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGIERIRGHRDWVIYAYVCTIDLDVNLHFLGMCVYAIEQKNAMVGGAVTGALVSAASNSHRQNVVKNAITGGAIATAAEFLNYLT >ONIVA01G51870.1 pep chromosome:AWHD00000000:1:42626930:42629419:1 gene:ONIVA01G51870 transcript:ONIVA01G51870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF506) [Source:Projected from Arabidopsis thaliana (AT2G39650) TAIR;Acc:AT2G39650] MMLLAKELGLSPPAAVTPRRRPPPARVPASPSGGSPVAVGDLWLRTKGAGGGGDGFGSHSHESEMDLAMLVTDFLENGGTGGADSRASSDSDSALSDHLADNISIYKQGGDEKENELLSMVHSLLFSIHESDLLAFKRGQCSASCIRHLLVKLLRYSGYDAAVCVSKWQGFDKIPGGDHEYIDVIMNSDTEYRLIIDIDFRSHFEIARAVDSYDSLLNSLPVVYVGTLPRLKQFLHVMVDAAKWSLKQNSMPLPPWRSLPYLQAKWHSKYERIDLHIEQDFHSTASDHALCIGHLKRLKSSLQSELDTERLLMMPIKTDMKRRAKFERRRRRSLLSF >ONIVA01G51860.1 pep chromosome:AWHD00000000:1:42614809:42617931:-1 gene:ONIVA01G51860 transcript:ONIVA01G51860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTKISSDAISRNASGSRRRRSGSRRKGTGLSSRVSSSVAAVTPRSEGEILRCANVRSFAFNELKTATRNFRPDSVLGEGGFGSVFKGWVDENTFLPSRPGTGMVIAVKKLNQDGFQGHREWLAEVNYLGQLSHPNLVKLVGYCLQDEQRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAFLHSDKAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSAKSDVYSFGVVMVEMLSGRRALDKNRPAGEHNLVEWARPYLSSRRRIFRILDARLAGQYSLAGAHKAAALALQCLSADARNRPTMHQVVAALEQLQETTTTSHHHRSPQSRMLLGGRGFNASGRSSAGAGARPRRLSASPLPA >ONIVA01G51850.1 pep chromosome:AWHD00000000:1:42610845:42613184:-1 gene:ONIVA01G51850 transcript:ONIVA01G51850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39670) TAIR;Acc:AT2G39670] MRMAAPQQVHVRAAPLARALRTRVAAAAASASSPERALLGLSEPDLRQLAVDLGQVLSHASLPYPASSIVCPAPYCCTRFLQQSYRGKQLHDLLYKSRAKQIQEFSHVPKVFREALVGAGWKVGRSPVHHAVTASDGTTKILLKLEDNRLIETVGIPVDDDKGPSRLTACVSSQVGCPLRCSFCATGKGGFARNLHAHEIVEQVLAIEETFQHRVTNVVFMGMGEPMLNLKSVLEAHRCLNKELKIGQRMITISTVGVPNTIKKLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLEALMDDCKNYFLETGRRVSFEYTLLAGINDAKDHAEELAELLHTCGGGYHVNLIPYNPIQGSEYKRPYRKVVQAFVDALEARKITVSVRQTRGLDANAACGQLRNEFQKNPLLESSPSSEPNLVPA >ONIVA01G51840.1 pep chromosome:AWHD00000000:1:42603722:42612797:1 gene:ONIVA01G51840 transcript:ONIVA01G51840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein affected trafficking 2 [Source:Projected from Arabidopsis thaliana (AT3G55480) TAIR;Acc:AT3G55480] MFGLQASGAAASWVVGRMGTDAHLYDDPDDASIPTLLDSRFDADKLDALKRLLALIAQGVDVAHFFPQVVKNVASQSLEVKKLVYLYLLHYAEKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSAYVRKCAAYALCKLHDLLPDETTSLEDTVDVLFSDNSPGVVGATAVAFNSVCPNCLPLISKHFQRLCETLPDIEEWAQILLIDIILRYVIARHGLVKDSSIFASNLTLKSQGSGDSALIGNETCGTTSTITLFRHYIEEYSECLEGDIINCSSVTSSTNNDVALLLKCTSPLLWSRNSGVILAAASVHWIMAPVDQLNRVVGPILFTLRSSPDATYVMLGNILVFAKTAPQLFAPFYEDFFICTSDPYQTKALKLEILTTIATESSIPAIFEEFQDYIKEPDRRFVADTVAAIALCAQKLPSITTSCLGGLLALVFYESSISDSANFDGEAAVLVQAILSIKAIVRTDPASHEKVIVRLVRSLDKIKEPAARSLIIWIFGEYSSIGNIIPKITPAVLKYLAWSFAAEMLETKLQILNAAAKVIIHSPEEHLEEFKKIMAHVIKLATCDLSYDVRDRARFISRLLPYSTTYLNGNNSSCQSHNEDMFKELANHIFDGKMPSTFHPTNNYRIYLPGSLSQVVLHAAPGYAPLPKPQSMELIHKTMEPTRGVGNSSESINSDAESGSSTYDSGSVYDSESEVDGSSDRNAADSNTKDNQEDPLVHVYDASVDQGQTARDVEDNFASLITTDLTELMSKSALETWLDEAPAEPVQVSTQASSARVSFTNRSFERKPKLHMLLDPSNSNGLSVLYAFSSEVSPVSRLLVCVDLLFENVSTNQLADITIKSEEASGSEDGLDQTLQGSASVPTIVLDKEIQLLAPKQTEKMVLQVHFHHHLLPLKLSVLCNGKRHPAKLHPDIAYFVRPLPMDLNAFLCKENQLRGMFEYARRCTFKDHLQKLEHNDDSEEHTDKNLLIAQSLASKILSNANFHLVSMDMPVTFSIEDASGLCWRFSSEILSTSNPCLITILAEGHISEPLDLTAKVNSEDTAFGLNLLNRVVAIIE >ONIVA01G51830.1 pep chromosome:AWHD00000000:1:42600838:42603509:-1 gene:ONIVA01G51830 transcript:ONIVA01G51830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGGAIELRRRMAAQCLAFERQIADGRERTKAAASAFSAALLSARSLSNHTISQREKSNQLKDQLRKLEADFAQALSSHGRSETLQTSSAVQGSNKTKYDLTGQSITNAIATNDQLSCLVTDKRASRDEYANVISSQLEAIESLEAKTDAAGKKNLDEAFMWYKKFLGFQVVGGEGVKFVFSKIDIQNPDNEYSFCIKLNKDRYNLLQCTPFLKDSEELVKDLNCSNDLFKFVRIMRERFQAAAINGFLPASSLCPDMSSSITDSSPPALSIDTGRESTTTTSQSHSRSRAKNQDNPTKRGARPSNLLSSTRRSPRVAAADATNRY >ONIVA01G51820.1 pep chromosome:AWHD00000000:1:42591043:42598340:1 gene:ONIVA01G51820 transcript:ONIVA01G51820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAWLQLQVALLLLVALIFSTLPSEAEAAAATSTNLRRRQEVQSLLKRLNKPPLATIQSPDGDIIDCVHISKQPAFDHPLLKNHTIQMRPSIQPSGMYGEAARPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVATFGKKTHGSHHPRLAGVTDGHHYGVASATGDANYYGTKATINLWQPTIATSGDFSLAQLWISAGSYQNKDLNTIEAGWQVYPALYRDEKTRFFIYWTRDAYDQTGCYNLACSGFIQTNTQVVIGGSISPVSIYGGPQYEYDYLVWKDLAGGNWWLQVQGKYVGYWPSSIFTHLQTGVADTVEWGGEVNSPRSTTPMGSGHFSKEGFGKATYSKAIQVVDSSNNLKSPNGVSLIAPLPNCYNVMTGSSSTTSWGTYIYYGGSGCP >ONIVA01G51820.2 pep chromosome:AWHD00000000:1:42591043:42598673:1 gene:ONIVA01G51820 transcript:ONIVA01G51820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAWLQLQVALLLLVALIFSTLPSEAEAAAATSTNLRRRQEVQSLLKRLNKPPLATIQSPDGDIIDCVHISKQPAFDHPLLKNHTIQMRPSIQPSGMYGEAARPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVATFGKKTHGSHHPRLAGVTDGHHYGVASATGDANYYGTKATINLWQPTIATSGDFSLAQLWISAGSYQNKDLNTIEAGWQVYPALYRDEKTRFFIYWTRDAYDQTGCYNLACSGFIQTNTQVVIGGSISPVSIYGGPQYEYDYLVWKDLAGGNWWLQVQGKYVGYWPSSIFTHLQTGVADTVEWGGEVNSPRSTTPMGSGHFSKEGFGKATYSKAIQVVDSSNNLKSPNGVSLIAPLPNCYNVMTGSSSTTSWGTYIYYGGSGCP >ONIVA01G51820.3 pep chromosome:AWHD00000000:1:42591043:42595745:1 gene:ONIVA01G51820 transcript:ONIVA01G51820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAWLQLQVALLLLVALIFSTLPSEAEAAAATSTNLRRRQEVQSLLKRLNKPPLATIQSPDGDIIDCVHISKQPAFDHPLLKNHTIQMRPSIQPSGMYGEAARPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVATFGKKTHGSHHPRLAGVTDGHHYGVASATGDANYYGTKATINLWQPTIATSGDFSLAQLWISAGSYQNKDLNTIEAGWQVYPALYRDEKTRFFIYWTRDAYDQTGCYNLACSGFIQTNTQVVIGGSISPVSIYGGPQYEYDYLVWKANKIG >ONIVA01G51820.4 pep chromosome:AWHD00000000:1:42591043:42598673:1 gene:ONIVA01G51820 transcript:ONIVA01G51820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAWLQLQVALLLLVALIFSTLPSEAEAAAATSTNLRRRQEVQSLLKRLNKPPLATIQSPDGDIIDCVHISKQPAFDHPLLKNHTIQMRPSIQPSGMYGEAARPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVATFGKKTHGSHHPRLAGVTDGHHYGVASATGDANYYGTKATINLWQPTIATSGDFSLAQLWISAGSYQNKDLNTIEAGWQDLAGGNWWLQVQGKYVGYWPSSIFTHLQTGVADTVEWGGEVNSPRSTTPMGSGHFSKEGFGKATYSKAIQVVDSSNNLKSPNGVSLIAPLPNCYNVMTGSSSTTSWGTYIYYGGSGCP >ONIVA01G51820.5 pep chromosome:AWHD00000000:1:42595863:42598340:1 gene:ONIVA01G51820 transcript:ONIVA01G51820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCRDTVATRAGTAWERRDLAGGNWWLQVQGKYVGYWPSSIFTHLQTGVADTVEWGGEVNSPRSTTPMGSGHFSKEGFGKATYSKAIQVVDSSNNLKSPNGVSLIAPLPNCYNVMTGSSSTTSWGTYIYYGGSGCP >ONIVA01G51810.1 pep chromosome:AWHD00000000:1:42585097:42587754:1 gene:ONIVA01G51810 transcript:ONIVA01G51810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEREKKIYFFFHSTTAPFPSHSSRGGAAPTPHRLVHSISISIYIYIYGRFQLQSPACPAILPTISFPAFLIPFVSSLRLTARTNLLPSHNQFIKQIEMECESNYSKEQRLNGDSLVFDLDDTLYPVTSGIGADVVRNIQAYMIEKLGVEESISLELCVLLYKQYGTTMAGLRAVGYQFDYDDFHSYVHGRLAYEKIKPDPVLRNILLSLPIRKVVFTNGDRIHASRALKRLGIEDCFERVVCFETLNPTSSSLSAAGQVEIFDIMKHLAHPEPGVELPKSPILCKPNIDAMRQALKVASINPKTSILFDDSARNIQAAKQIGMYTVLVGTSERIKGADHALESLHNMKEALPELWDEAVKDEDVRKSSKVGIETSVIA >ONIVA01G51800.1 pep chromosome:AWHD00000000:1:42580488:42583797:1 gene:ONIVA01G51800 transcript:ONIVA01G51800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIZZY-related 3 [Source:Projected from Arabidopsis thaliana (AT5G13840) TAIR;Acc:AT5G13840] MATDASPKPAPPRLNVPPAMAGGLRLDPAVASPARLLLDVPKTPSPSKTTYSDRFIPCRSSSRLHNFALLDRDRASPSSTTDDAPYSRLLRAEIFGPDSPSPAPSSPNTNLFRFKTDHPSPKSPFAASAAATAGHYDCTAGSAESSTPRKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNTLAVGLGNCVYLWSASNCKVTKLCDLGPRDSVCAVHWTREGSYLAIGTSLGDVQIWDSSRCKRIRNMGGHQTRTGVLAWSSRILSSGSRDKNILQHDIRVPSDYISKFSGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPILRLTEHTAAVKAIAWSPHQQGLLASGGGTADRCIRFWNTVCNLAWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTLRVLYLAMSPDGQTIVTGAGDETLRFWNIFPSMKTQAPVRDIGLWSFSRSHIR >ONIVA01G51790.1 pep chromosome:AWHD00000000:1:42577780:42580127:1 gene:ONIVA01G51790 transcript:ONIVA01G51790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMQESREYWRDGGDVVGEELLREILDEIAAVHSNSNSNFNSNSNSNSKEAEEEDEREYFAAAAADEQLQVEAPCGRRRRESMVNKLISTVYSGPTISDIESALSFTAAGDHQLLADGHNFAASSCSPVVFSPEKTLSKTMENKYTLKMKSCGNNGGLADDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCNAKKQVERAVDEPDTLIVTYEGLHLHYTYSHFLHSTSSSSSSTTTQQQLQPQPQMMTNCKKKPKLHLHPLLHDDPPPPPPPPEMTTMMIMQSFSIQQQQHDDDQLLQPAADDHLMVQAPPDDCYNINGSSSSGLMMSLDDDEQAAGAGGLLEDVVPLLVRRPPPPICNNNNYYYSPATTCTSDNEYGSSASASPSSSVSVSSWTTPMSPCIDMAILSNIF >ONIVA01G51780.1 pep chromosome:AWHD00000000:1:42556964:42557338:-1 gene:ONIVA01G51780 transcript:ONIVA01G51780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERWKLSSSKGRSKSGRSCSSSSNYYYHSSDFNSSNATTLSRSYSASVTASRHATTAWSAAGAGGGGASSSSSSQHQHQQQQQQSNNSQRLSKKCVEAVKEHRARFYIVRRCVSMLVCWRDY >ONIVA01G51770.1 pep chromosome:AWHD00000000:1:42545026:42546650:-1 gene:ONIVA01G51770 transcript:ONIVA01G51770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZRT/IRT-like protein 2 [Source:Projected from Arabidopsis thaliana (AT5G59520) TAIR;Acc:AT5G59520] MARTMTMRVSSLLVAVVLLAALSFQACSGHGGINDGDGQVDAPATPASSSGVRSKGLIAVKVWCLVILLVFTFAGGVSPYFYRWNESFLLLGTQFAAGVFLGTALMHFLADSTSTFKGLTTNQYPFSFMLTCVGFLLTMLSDLVIAAVARRSAAAGVSDNQVSEQQQRQQAEGAVMSRKEEEAAAVAHPAMLVRTSSFEDAVLLIVALCFHSVFEGIAIGVSASKSEAWRNLWTIGLHKIFAAVAMGIALLRMIPKRPFLMTVVYSLAFAVSSPVGVGIGIAIDATSQGRAADWTYAISMGLATGVFIYVAINHLIAKGYRPHHPTAADKPLFKFLAVLLGVAVMAVVMIWD >ONIVA01G51760.1 pep chromosome:AWHD00000000:1:42543687:42543896:-1 gene:ONIVA01G51760 transcript:ONIVA01G51760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPTTTTTTPSAARRRIARFLLHPRPSAISLSLSRSAAAGCRGHLAGAASKSKGAGAAENKKGQEQE >ONIVA01G51750.1 pep chromosome:AWHD00000000:1:42539361:42540359:1 gene:ONIVA01G51750 transcript:ONIVA01G51750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASSYTYWCHSCDRFVHPHPHVDAAVLCPHCNAAGFLHDHEMPPAADHSPFNPPVIVLRRSASPDDATTFDLLYDDGAASALRPLFDRLLLRIPSASDNPNPPASKAAVDSMPTILIGACHLAADSHCAVCKEPFHLAAEAREMPCAHIYHHHCILPWLALHNSCPVCRHRMPTDDHDSTNAAAAQAAAGSSDEDATTVGTLTIWRLPGGGFAVGRFAAAGGTRAGERELPVLYTQMDDGGFNGGGGSGSPTMIGWSSRGSRSSQRQRSIIPRLFRNMFACFRHHDATADSGDYSSRAGRRSSSSVFTRSLRITSWRSEDGHPDAIATR >ONIVA01G51740.1 pep chromosome:AWHD00000000:1:42531418:42536888:-1 gene:ONIVA01G51740 transcript:ONIVA01G51740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEMAMRGEPWRRQEGEQAQAEADRERELDLFRSGSAPPTVEGSMGALHAAAAADVFLEDELRADPAYHSYYYSNGNINPRLPPPLLSKEDWRSAQRLRPGLGGIGDGRKPRGGGGGRGGGGAGGMGPGDGLIGMPGLEIGRQNSFSGIFQVDDSYQHDTDRQGANCNGTDLLSSSKVQYGLHRETGAISGLHSDSKAPCLPENQNESSHSYASIIGSSLSRSASPDPELVRRVPSPCLPPIGVKLGATDKKNNVGSSSFNCSSPNIIESDDLVSALSGMNLSSSRAMNGNTMDQSKLHQDVDDVRKFLFDQYMDQTNGNQRHSYMKRSEQGHVKVPQEYSGASMNPSVMRSQINAGGFTSFNNSSVGSGFASPRLGSRSPGGSLSSRQNLTGASNLPNYVGIGSPTAASAHQMPVDPLYVQFLRAAEIAALAANCEDPLMDRANLGGSYMDLFGPQKAYLSPLLQSQKQYSYYGNLGVGLGYAGNSLTSPILPSSPGGPGSPLRHGDRSMRYPSGMRNFGGSFGSWNSDLGGKMEANLVPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFAEIMPQALTLMTDVFGNYVVQKFFEHGSSAQIKELADQLIGRVLALSLQMYGCRVIQKAIEVVDLDQQTKMVAELDGQVMRCVRDQNGNHVIQKCIECIPQHAIQFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLATDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQVLIGEMLGSSNESEHLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPSTAA >ONIVA01G51730.1 pep chromosome:AWHD00000000:1:42528415:42529131:-1 gene:ONIVA01G51730 transcript:ONIVA01G51730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59570) TAIR;Acc:AT5G59570] MGEEAPEEYELGGGEDERVMEWEAGLPGADELTPLSQPLVPAGLAAAFRIPPEPGRTLLDVHRASAATVSRLRRASSSSSSSFPAFASKGAGAGADEAESGGGADGGNGNTNNNSSKRARLVWTPQLHKRFVEVVAHLGMKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQGLSNEGPSPSDHIFASTPVPHASLHDQVPSPYHPHPHHHSYNNAAYAATVSSYHHYHHANH >ONIVA01G51720.1 pep chromosome:AWHD00000000:1:42522013:42526426:-1 gene:ONIVA01G51720 transcript:ONIVA01G51720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSSSHGLLHPPLRLLAAASASSGSSYSIPHARLRLAVTTPSRLPSPISSSPDPPPDVAHDEDEQEGQHHKEERDERYGFEIQVRKLPKRNRRLVRARVRVDAPLDAVWATLTDYEGLAGFIPGLSECRLLDQSDCFARLYQVGEQDLALGFKFNARGTIDCYEGELQLLPAGARRREIAFNMIDGDFKVFEGNWSVQEEVDGGEISADQEFQTILSYVVELEPKLWVPVRLLEGRICNEIKTNLFSIREEAQRIQRLQDKASSQYYTSLILKNTLQKGSIAVLTAQWEGASRRFNRIGLHKSNPELNDEMRGFLRHAESRTPRAFVQLLAAQPPRPSAADQCHAAATKLGFSASNPFANTALLAFYCRSRRLREAQHLFDQMPLRTAVTWNTLIYGHAQSTAPDLAVAAFARMVRAGVSPTASSVSSVLVACVRLENAGAGAMLHSVGLRCGFFASVVVGTALVDMYAKCRRLGAAQQVFGEMEEKNVATFTALVTGFVLSRRPHDAVLLVREMERSGVAPNLMTYSSLLSSFASPEDIDHGKQVHCAVLKKGLEHDPFVLSALVTMYSKCGIWEDFVKVQMSVSCQDQVSFNSVISGLSCLGRGKEAFQHFLEMRRHGTDMDVFTFASILKAIGSSSSLLEGRQVHTLILKIGYDSVVDVQNSLISMYARHGAIGESNGVFISMEAPNLVSWNSLMSGCAQHGHGKEVVEMFEQMRRLHVQPDHITFLSVLTACSHVGLVDKGLEYFNLMKDKGYLVGARTKHYACMVDLLGRAGYLNEAEYLINGMPIKPGASVYRALLSACQIHGNLEIAIRVSKRLMELNPHDSSVHVQLSNAFAGDGRWGNAAEIRETMSGKGIVKEPSWSRIEDQMQHR >ONIVA01G51710.1 pep chromosome:AWHD00000000:1:42517131:42520097:-1 gene:ONIVA01G51710 transcript:ONIVA01G51710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent glycerol-3-phosphate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G40610) TAIR;Acc:AT5G40610] MENGHAKNLVAVIGSGNWGSVASRLIASNTAKLPSFHDEVRMWVFEEILPTGKKLSESINQANENCKYLPGIKLGANVIADPDLENAVKDANMLVFVTPHQFVEGICKKLVGKLRPGTEGISLIKGMEIAVEKFSEATIGYKKDKEVATRWAKLFTTPYFLVSVVEDIEGVELCGTLKNVVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLSPTVRDNTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDELEAEMLHGQKLQGVSTAKEVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSIVEYSEHTPNLSIINGSNAYY >ONIVA01G51700.1 pep chromosome:AWHD00000000:1:42512304:42514404:1 gene:ONIVA01G51700 transcript:ONIVA01G51700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNKVLTLEEVSKHNTKDDCWLIIGGKVYNVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYYVGDIDATTIPTKVKYTPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESA >ONIVA01G51690.1 pep chromosome:AWHD00000000:1:42509490:42511352:1 gene:ONIVA01G51690 transcript:ONIVA01G51690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMNSKLPLALVLLLLCGGACVAVAMPSELSIVGYSEEDLASHERLMELFEKFMAKYRKAYSSLEEKLRRFEVFKDNLNHIDEENKKITGYWLGLNEFADLTHDEFKAAYLGLTLTPARRNSNDQLFRYEEVEAASLPKEVDWRKKGAVTEVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTRLSEQELIDCDTDGNNGCSGGLMDYAFSYIAANGGLHTEESYPYLMEEGTCRRGSTEGDDDGEAAAAVTISGYEDVPRNNEQALLKALAHQPVSVAIEASGRNFQFYSGGVFDGPCGTRLDHGVTAVGYGTASKGHDYIIVKNSWGSHWGEKGYIRMRRGTGKHDGLCGINKMASYPTKNA >ONIVA01G51680.1 pep chromosome:AWHD00000000:1:42499725:42507004:-1 gene:ONIVA01G51680 transcript:ONIVA01G51680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTESVVGLYDDGPSRLSPGRGGGVETEAASRFRSGPPPEPRHAIVVFLLHLLLVVVVVFFFFSPCNAIPSHRPACLHSARQPQPLPLPKSPTAPAPCFFDLGTRTGAGLDSQILGFRPSRRFHHPSAPRKPNESMRLLVVTVIGVMLGFFIGISFPAVSITKLHFPSSIVSYIEDKNSALTAQAILNHAWTAARNAKGNDTESSSDTAMKIYVPTNPRGAESLAPGIVVPESDFHPRRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHVSVRRQAKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNAEKYIKLVKKYQLEISQPGLEPDRGLTWQMTKRRGDHQVHKETEERPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLIHGWGLDFALRRCVDPAHEKIGVVDSQWIVHQVVPSLGNQGQSEHGRAPWEGVRERCRKEWGIFQTRIAEAEKSYYEMMGVPPPNGGEEVVHKAGACLKEGSHSCRKPQPPWLNLNSTASLLC >ONIVA01G51680.2 pep chromosome:AWHD00000000:1:42499725:42507004:-1 gene:ONIVA01G51680 transcript:ONIVA01G51680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTESVVGLYDDGPSRLSPGRGGGVETEAASRFRSGPPPEPRHAIVVFLLHLLLVVVVVFFFFSPCNAIPSHRPACLHSARQPQPLPLPKSPTAPAPCFFDLGTRTGAGLDSQILGFRPSRRFHHPSAPRKPNESMRLLVVTVIGVMLGFFIGISFPAVSITKLHFPSSIVSYIEDKNSALTAQAILNHAWTAARNAKGNDTESSSDTAMKIYVPTNPRGAESLAPGIVVPESDFHPRRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHVSVRRQAKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNAEKYIKLVKKYQLEISQPGLEPDRGLTWQMTKRRGDHQVHKETEERPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQPAHEKIGVVDSQWIVHQVVPSLGNQGQSEHGRAPWEGVRERCRKEWGIFQTRIAEAEKSYYEMMGVPPPNGGEEVVHKAGACLKEGSHSCRKPQPPWLNLNSTASLLC >ONIVA01G51680.3 pep chromosome:AWHD00000000:1:42502393:42507004:-1 gene:ONIVA01G51680 transcript:ONIVA01G51680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTESVVGLYDDGPSRLSPGRGGGVETEAASRFRSGPPPEPRHAIVVFLLHLLLVVVVVFFFFSPCNAIPSHRPACLHSARQPQPLPLPKSPTAPAPCFFDLGTRTGAGLDSQILGFRPSRRFHHPSAPRKPNESMRLLVVTVIGVMLGFFIGISFPAVSITKLHFPSSIVSYIEDKNSALTAQAILNHAWTAARNAKGNDTESSSDTAMKIYVPTNPRGAESLAPGIVVPESDFHPRRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHVSVRRQAKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNAEKYIKLVKKYQLEISQPGLEPDRGLTWQMTKRRGDHQVHKETEERPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLIHGWGLDFALRRCVDPAHEKIGVVDSQWIVHQVVPSLGNQGQSEHGRAPWEGVRERCRKEWGIFQTRIAEAEKSYYEMMGVPPPNVTFVH >ONIVA01G51680.4 pep chromosome:AWHD00000000:1:42502393:42507004:-1 gene:ONIVA01G51680 transcript:ONIVA01G51680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTESVVGLYDDGPSRLSPGRGGGVETEAASRFRSGPPPEPRHAIVVFLLHLLLVVVVVFFFFSPCNAIPSHRPACLHSARQPQPLPLPKSPTAPAPCFFDLGTRTGAGLDSQILGFRPSRRFHHPSAPRKPNESMRLLVVTVIGVMLGFFIGISFPAVSITKLHFPSSIVSYIEDKNSALTAQAILNHAWTAARNAKGNDTESSSDTAMKIYVPTNPRGAESLAPGIVVPESDFHPRRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHVSVRRQAKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNAEKYIKLVKKYQLEISQPGLEPDRGLTWQMTKRRGDHQVHKETEERPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQPAHEKIGVVDSQWIVHQVVPSLGNQGQSEHGRAPWEGVRERCRKEWGIFQTRIAEAEKSYYEMMGVPPPNVTFVH >ONIVA01G51670.1 pep chromosome:AWHD00000000:1:42499093:42504405:1 gene:ONIVA01G51670 transcript:ONIVA01G51670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWETNARKRLRRATPLTLCFTCCLSVAKHSCSHTCLVARVVSREGKQWSSGSAMEVEASYSYGFLPSGRHQPYAPPPPHPAEEGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPLCADNIGRDMGAHFRVQHSHLLKRRKPSRPSSSWPTPSNNSDPYFEGPPQYMMNNRTYQDPAPDPLLSQFICSMAQTDTNSDNTNTEIAVSAVSHDQRLSQRVTLTDDASKLELKERLQRIEFVKEIIMSTIL >ONIVA01G51660.1 pep chromosome:AWHD00000000:1:42492753:42493152:1 gene:ONIVA01G51660 transcript:ONIVA01G51660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHNQVLYFQAQRKVRFQDNQANIMKEVPIGTNEKLVHDQRMKDDKNKDVDSVASDFIKLKHRAWALQKSASMYQPS >ONIVA01G51650.1 pep chromosome:AWHD00000000:1:42491687:42496165:-1 gene:ONIVA01G51650 transcript:ONIVA01G51650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48250) TAIR;Acc:AT3G48250] MLRTLASHPTSAHSHFWPFLRDMNDAGHSIDQGTYLAALASFKKASLTADYASLTAHYAKAQEDAKGGTPTSAAADAVRALEDGSDSDASAELDEKLEGVDLPLTETAVARVLREVRDHPIKALAFFRWAGRQIGYKHGSVSYNAMVRVLGREESMREFWDLIQEMKADGIHVDIDTYVKLSRQFQKRHMLTEAVELYELMMDGPYKPSKQDGPVLIRRIALGPSPDLELVYRVVRKFEAVWEFKTKDVFDGIHRALTSNGRFDEAAEIVKRMKGEGHQPDNITYSQLIFGLCKANRFDEARKALDEMEAEGCVPDLKTWTMLIQGHCAAGEVEKALQYFTEMVEKNLEADAALLDVMVKGLCSDDKIDASYAFFVEMVDKANLSPWQGTYKHIIGELLRVKKLEEALGLLRSMKARKFPPFADPFPTHIAKYGTFDDARQFLKALTVNNKYPSPTAYLHVFKSFFTEGRYSEAQDLLYKCPFHIRKHPDVTELFESIKVESEGECAFLEDKVARAMDFQQRQRAKTVAECDGSLDWMDRFPAGSVQALHA >ONIVA01G51650.2 pep chromosome:AWHD00000000:1:42491687:42496165:-1 gene:ONIVA01G51650 transcript:ONIVA01G51650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48250) TAIR;Acc:AT3G48250] MLRTLASHPTSAHSHFWPFLRDMNDAGHSIDQGTYLAALASFKKASLTADYASLTAHYAKAQEDAKGGTPTSAAADAVRALEDGSDSDASAELDEKLEGVDLPLTETAVARVLREVRDHPIKALAFFRWAGRQIGYKHGSVSYNAMVRVLGREESMREFWDLIQEMKADGIHVDIDTYVKLSRQFQKRHMLTEAVELYELMMDGPYKPSKQDGPVLIRRIALGPSPDLELVYRVVRKFEAVWEFKTKDVFDGIHRALTSNGRFDEAAEIVKRMKGEGHQPDNITYSQLIFGLCKANRFDEARKALDEMEAEGCVPDLKTWTMLIQGHCAAGEVEKALQYFTEMVEKNLEADAALLDVMVKGLCSDDKIDASYAFFVEMVDKANLSPWQGTYKHIIGELLRVKKLEEALGLLRSMKARKFPPFADPFPTHIAKYGTFDDARQFLKALTVNNKYPSPTAYLHVFKSFFTEGRYSEAQDLLYKCPFHIRKHPDVTELVARAMDFQQRQRAKTVAECDGSLDWMDRFPAGSVQALHA >ONIVA01G51640.1 pep chromosome:AWHD00000000:1:42487198:42489450:-1 gene:ONIVA01G51640 transcript:ONIVA01G51640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family M48 family protein [Source:Projected from Arabidopsis thaliana (AT3G27110) TAIR;Acc:AT3G27110] MAAAAAAASAPRLLLPPAASTFPHQHEHVRRNWHRPRRQVISARAGASVAARGLDADDFRHPLDKQNTLLLRAVPGLNDMGKALLGPVSEQVMVLQNIGSSVLVSENQLSDLHQILTEAAKLLNTDAPDLYIRQNPVPNAYTLAISGKKPFIVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANILTMGAYSVPGFGMVAGFLEEQLYRWLRAAELTCDRAALLVVQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKAASNPVGWYIRNAQTRELSHPLPVMRAREIDEWSRSREYKTVQQKVIQLQLNDHDAS >ONIVA01G51630.1 pep chromosome:AWHD00000000:1:42482263:42485665:-1 gene:ONIVA01G51630 transcript:ONIVA01G51630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19210) TAIR;Acc:AT5G19210] MAAFSGCASPLSITLRSGLAPFTLRHRLRLRRLRASAATLREVCAGRVPEHVLQRAEEVGYVVPTEVQEQSLPVLLSGQDCILHAQTGSGKTLAYLLSVFSAIDFGRSSVQALVVVPTRELGMQVTKVARILAAKACTVMALLDGGMLRRQKSWVKAEPPAIIVATVASLCQMIEKRAFSLQSMRVLVIDEVDFIFGSSKQTDVVHVHVNPVQPMPSHLQHKYAICSKKERLHVLLSLLEKDAPKSGIIFVAEQSEKSKKAGHPPSTTVVVEFLRTTYMGSLEEVKGKGFLLVSTDIASRGFDLPQTSHIYNFDLPKTAIDYLHRAGRTGREPFSKLACSVTTLITEDEHFVLQRFQNELKFHCEELPVESMFAFNL >ONIVA01G51620.1 pep chromosome:AWHD00000000:1:42479805:42485228:1 gene:ONIVA01G51620 transcript:ONIVA01G51620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA subunit 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZG9] MATFELYRRSTIGMCLTDTLDDMVSSGALSPELAIQVLVQFDKSMTSALEHQVKSKVTVKGHLHTYRFCDNVWTFILTDAIFKNEEITETINKVKIVACDSKLLETKEE >ONIVA01G51610.1 pep chromosome:AWHD00000000:1:42472767:42476478:1 gene:ONIVA01G51610 transcript:ONIVA01G51610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHETRPPSAGRPPSSGRGRADDADEREEGEIADDDSGHAPPQANPAAPHPLEHAWTFWFDNPQGKSKQATWGSSIRPIHTFSTVEDFWSLYNNIHHPSKLVVGADFHCFKNKIEPKWEDPICANGGKWTFSCGRGKSDTMWLHTLLAMIGEQFDYGDEICGAVVSVRGKQERIAIWTKNAANEAAQISIGKQWKEFLDYKDSIGFIVHDDAKKMDKGLKNRYTV >ONIVA01G51600.1 pep chromosome:AWHD00000000:1:42464959:42467235:-1 gene:ONIVA01G51600 transcript:ONIVA01G51600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEDNNNLATSEHDDGAINTMIMEKNVEEANLITQELNDLGLGEDISTDKFRGYLCQLPQERDSPVDISTQLNFDQLDAQNELHELYRVKYYKLLQQVPRTGSKLDHDTMIEQYPFDMSKQLEEVLMCFENDGTLDSVDDEVLWEVLKCFDYTFVWYFHPEYCKLAALVDYQRLVIKNYGCMYANWDRYHMYFNTYDVEKQYAKYYVELSKKLKWGKVSNRGLYQAVKIATGFPKITAKLAYLGFHELDGVYFEIWQRVTKQKMSFRDAMKEVYELNRFPVRQQKMKYVLEINDCSQWEAEFHTCTACITEEVAEDEVLGLIAEAVKKLVLYYMKFKDISGENELSVENS >ONIVA01G51580.1 pep chromosome:AWHD00000000:1:42456452:42458455:1 gene:ONIVA01G51580 transcript:ONIVA01G51580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEVYKSVFYAKDSTRGECFVIDQRKLHLDLDGNPTKLHEATYIMGPSTIKCLVKNGTAEKPLMLKDYAILKNIRHPNIVSIQNFYDEAGEARFVISWVDGTLLAWIKSKKGQQGIIESSHISSRPSTTFRQIIIEIRIEDVYVRVKGGITTVKLLVYEAQLATSRNTTASNHNAQRIKLWKDVKGVADKCVELAGLKKQIHPDCDRFLKYIGAGNVKWLEDYPDEWNDDKKACYLKALVASDSKYVRSKLQLIGFTWPDYSDFLNNLITDQVTRLDIKNLVKHWLSLPSNLREKNDDYAFFLRKMEKWTPRIWCNLYEAIGWPPNSNRF >ONIVA01G51570.1 pep chromosome:AWHD00000000:1:42447593:42451033:1 gene:ONIVA01G51570 transcript:ONIVA01G51570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVRVYSGGTVSANGKFDEMKVGVLVFASSPTWAQLCDRVRSKMEHIVPTNVMRMEGQYVASWLIACYCTCSHHSTCPEETLTQDPPLAEIAGPGNEDEDALACKAAAERRARQFLMDWIDNRGRRWRAVRTMLTLQKDLSSPSAPCSMAAHPLFLHCFSPHHPPPPPSPRSMVALLHIRPPRPPPTPAHGVDEKCGEYGACSE >ONIVA01G51560.1 pep chromosome:AWHD00000000:1:42439274:42443067:-1 gene:ONIVA01G51560 transcript:ONIVA01G51560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKHRGSSRRPPPPSGKNMPAIGASEKKTTPNLRRLAVSTINDIVDVSAGIDSTTPKGRRFAVLGELDDDDDDVMDSCVPGLSGLSGPSQEKAAPHNKQAKKKKTWVPPRRKKSFRFSKALNSPADQDDDTEQDYSVPVAIATECNDATMEKNVEEANLLTQELNELGLGEDISTDKFRGYLRQLPQEQDSPVDISTQLDFNQLDAQNELHELYRVKYYKSLQQVSGTRSKLDHDEMINQYPFDMSKQLEEVLICFENDGTLDSVDDEVLWEVLKCFDYIFVWYFHPEYCKLAALVDYQRLVIKNDGCMYANWDKYHMYFNTYDVEKQYVKYYGELSKKLKWGRVSNRGLYQAVKIATGFPKITAKLAYLGFHEFVSSMNYDVCFFKELDDVYFEIWQRVTKQKMSFRDAMKEVYELNRFPVRQQKMKYVLEINDCSQWEAEVAEDQVLGLIADAVKKLRDKPRFYDDYIKKKINIAQAIGLITTEEA >ONIVA01G51550.1 pep chromosome:AWHD00000000:1:42424927:42427711:-1 gene:ONIVA01G51550 transcript:ONIVA01G51550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPPAASAAARLDLDGNPIAPLTICMIGAGGFIGSHLCEKLMAETAHVVYAVDVYCDKIRHLVDPAPPHLHGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPTDHPLRKEPEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLIFAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVHLMIENPARANGQIFNVGNPNNEVTVRQLAEMMTEVYANVSGEPPLDEPMIDVSSKQFYGEGYDDSDKRIPDMTIINKQLGWNPKTPLKDLLETTLTYQHKTYKEAIKRQMSQASASS >ONIVA01G51540.1 pep chromosome:AWHD00000000:1:42421746:42423298:-1 gene:ONIVA01G51540 transcript:ONIVA01G51540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSSPEQAAGNNKEDAEARRQPPSTVRFYPSADQTKARQPPPIKLEEEDVPPPPVADEEMAPRNLWQVYALGAFIVLRWAWVKWKESKDRDDSPDGRSPDGSS >ONIVA01G51530.1 pep chromosome:AWHD00000000:1:42411945:42412604:1 gene:ONIVA01G51530 transcript:ONIVA01G51530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZG1] MDTEDTSSASSSSVSPPSSPGGGHHHRLPPKRRAGRKKFRETRHPVYRGVRARAGGSRWVCEVREPQAQARIWLGTYPTPEMAARAHDVAAIALRGERGAELNFPDSPSTLPRARTASPEDIRLAAAQAAELYRRPPPPLALPEDPQEGTSGGGATATSGRPAAVFVDEDAIFDMPGLIDDMARGMMLTPPAIGRSLDDWAAIDDDDDHYHMDYKLWMD >ONIVA01G51520.1 pep chromosome:AWHD00000000:1:42400025:42411410:1 gene:ONIVA01G51520 transcript:ONIVA01G51520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G36370) TAIR;Acc:AT2G36370] MLCCEELPPNSVDHQLYLLNKVRICLLPLEFAAGTKRNWAEFGSKVESRILNLLKDSLRTVLDAIADDNLESYRVRITEYSKKIVLSGCPQITTEILYISVLPPTNVGASLNKRLESSWAQVDYRNIILYNELEEAVKSSSFGNVHMVDLSKCPNAHFSTAIDWLKLAFPELRIFRASFCLTFQFEDLLYLLLTCPWINEIDLSIDTSIIAQMHSVISSRFEGRGAVKPKLTRYYAQDPLCDTTMNSYISNISKLILEGRNDITDVDLLKISILKNSLCYINIKNCTLLTDDGISKLLLKCTKIHSMVLSYTSFGNQSIQTLCNSNPLDSMDECRHVMAFRMQELHLDGCKGIGYAAMSQLMSNVNITNFLCLRETTLTDGALCNFVGSSLEFLDISETVVSMVSLAPVIRRNSNLRCLKAAGCRNLLFEHGEVEAMSGGNIYGDFLQEITSTCCLEDVEMGWAFCPIRVTTLIPSFSKVRKMTIGLGTTLPENILCALPDICPFLESLVLRFQMISDKVVRNLLESSTKLRVLCLYSCLGNLTSFSFQIKAPLLRILRLEWITPWMTNDDLAVLIQNYNLVELSLSGCKLLDSNSQELISSGWPNLTCLHLEECGQITLDGVSSILNCKALEDLLLRHTVSIEMP >ONIVA01G51520.2 pep chromosome:AWHD00000000:1:42400025:42411410:1 gene:ONIVA01G51520 transcript:ONIVA01G51520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G36370) TAIR;Acc:AT2G36370] MLCCEELPPNSVDHQLYLLNKVRICLLPLEFAAGTKRNWAEFGSKVESRILNLLKDSLRTVLDAIADDNLESYRVRITEYSKKIVLSGCPQITTEILYISVLPPTNVGASLNKRLESSWAQVDYRNIILYNELEEAVKSSSFGNVHMVDLSKCPNAHFSTAIDWLKLAFPELRIFRASFCLTFQFEDLLYLLLTCPWINEIDLSIDTSIIAQMHSVISSRFEGRGAVKPKLTRYYAQDPLCDTTMNSYISNISKLILEGRNDITDVDLLKISILKNSLCYINIKNCTLLTDDGISKLLLKCTKIHSMVLSYTSFGNQSIQTLCNSNPLDSMDECRHVMAFRMQELHLDGCKGIGYAAMSQLMSNVNITNFLCLRETTLTDGALCNFVGSSLEFLDISETVVSMVSLAPVIRRNSNLRCLKAAGCRNLLFEHGEVEAMSGGNIYGDFLQEITSTCCLEDVEMGWAFCPIRVTTLIPSFSKVRKMTIGLGTTLPENILCALPDICPFLESLVLRFQMISDKVVRNLLESSTKLRVLCLYSCLGNLTSFSFQIKAPLLRILRLEWITPWMTNDDLAVLIQNYNLVELSLSGCKLLDSNSQELISSGWPNLTCLHLEECGQITLDGVSSILNCKALEDLLLRHTVSIEMP >ONIVA01G51520.3 pep chromosome:AWHD00000000:1:42400025:42411410:1 gene:ONIVA01G51520 transcript:ONIVA01G51520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G36370) TAIR;Acc:AT2G36370] MLCCEELPPNSVDHQLYLLNKVRICLLPLEFAAGTKRNWAEFGSKVESRILNLLKDSLRTVLDAIADDNLESYRVRITEYSKKIVLSGCPQITTEILYISVLPPTNVGASLNKRLESSWAQVDYRNIILYNELEEAVKSSSFGNVHMVDLSKCPNAHFSTAIDWLKLAFPELRIFRASFCLTFQFEDLLYLLLTCPWINEIDLSIDTSIIAQMHSVISSRFEGRGAVKPKLTRYYAQDPLCDTTMNSYISNISKLILEGRNDITDVDLLKISILKNSLCYINIKNCTLLTDDGISKLLLKCTKIHSMVLSYTSFGNQSIQTLCNSNPLDSMDECRHVMAFRMQELHLDGCKGIGYAAMSQLMSNVNITNFLCLRETTLTDGALCNFVGSSLEFLDISETVVSMVSLAPVIRRNSNLRCLKAAGCRNLLFEHGEVEAMSGGNIYGDFLQEITSTCCLEDVEMGWAFCPIRVTTLIPSFSKVRKMTIGLGTTLPENILCALPDICPFLESLVLRFQMISDKVVRNLLESSTKLRVLCLYSCLGNLTSFSFQIKAPLLRILRLEWITPWMTNDDLAVLIQNYNLVELSLSGCKLLDSNSQELISSGWPNLTCLHLEECGQITLDGVSSILNCKALEDLLLRHTVSIEMP >ONIVA01G51520.4 pep chromosome:AWHD00000000:1:42400025:42411410:1 gene:ONIVA01G51520 transcript:ONIVA01G51520.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G36370) TAIR;Acc:AT2G36370] MLCCEELPPNSVDHQLYLLNKVRICLLPLEFAAGTKRNWAEFGSKVESRILNLLKDSLRTVLDAIADDNLESYRVRITEYSKKIVLSGCPQITTEILYISVLPPTNVGASLNKRLESSWAQVDYRNIILYNELEEAVKSSSFGNVHMVDLSKCPNAHFSTAIDWLKLAFPELRIFRASFCLTFQFEDLLYLLLTCPWINEIDLSIDTSIIAQMHSVISSRFEGRGAVKPKLTRYYAQDPLCDTTMNSYISNISKLILEGRNDITDVDLLKISILKNSLCYINIKNCTLLTDDGISKLLLKCTKIHSMVLSYTSFGNQSIQTLCNSNPLDSMDECRHVMAFRMQELHLDGCKGIGYAAMSQLMSNVNITNFLCLRETTLTDGALCNFVGSSLEFLDISETVVSMVSLAPVIRRNSNLRCLKAAGCRNLLFEHGEVEAMSGGNIYGDFLQEITSTCCLEDVEMGWAFCPIRVTTLIPSFSKVRKMTIGLGTTLPENILCALPDICPFLESLVLRFQMISDKVVRNLLESSTKLRVLCLYSCLGNLTSFSFQIKAPLLRILRLEWITPWMTNDDLAVLIQNYNLVELSLSGCKLLDSNSQELISSGWPNLTCLHLEECGQITLDGVSSILNCKALEDLLLRHTVSIEMP >ONIVA01G51520.5 pep chromosome:AWHD00000000:1:42400025:42411410:1 gene:ONIVA01G51520 transcript:ONIVA01G51520.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G36370) TAIR;Acc:AT2G36370] MLCCEELPPNSVDHQLYLLNKVRICLLPLEFAAGTKRNWAEFGSKVESRILNLLKDSLRTVLDAIADDNLESYRVRITEYSKKIVLSGCPQITTEILYISVLPPTNVGASLNKRLESSWAQVDYRNIILYNELEEAVKSSSFGNVHMVDLSKCPNAHFSTAIDWLKLAFPELRIFRASFCLTFQFEDLLYLLLTCPWINEIDLSIDTSIIAQMHSVISSRFEGRGAVKPKLTRYYAQDPLCDTTMNSYISNISKLILEGRNDITDVDLLKISILKNSLCYINIKNCTLLTDDGISKLLLKCTKIHSMVLSYTSFGNQSIQTLCNSNPLDSMDECRHVMAFRMQELHLDGCKGIGYAAMSQLMSNVNITNFLCLRETTLTDGALCNFVGSSLEFLDISETVVSMVSLAPVIRRNSNLRCLKAAGCRNLLFEHGEVEAMSGGNIYGDFLQEITSTCCLEDVEMGWAFCPIRVTTLIPSFSKVRKMTIGLGTTLPENILCALPDICPFLESLVLRFQMISDKVVRNLLESSTKLRVLCLYSCLGNLTSFSFQIKAPLLRILRLEWITPWMTNDDLAVLIQNYNLVELSLSGCKLLDSNSQELISSGWPNLTCLHLEECGQITLDGVSSILNCKALEDLLLRHTVSIEMP >ONIVA01G51520.6 pep chromosome:AWHD00000000:1:42400025:42411410:1 gene:ONIVA01G51520 transcript:ONIVA01G51520.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G36370) TAIR;Acc:AT2G36370] MLCCEELPPNSVDHQLYLLNKVRICLLPLEFAAGTKRNWAEFGSKVESRILNLLKDSLRTVLDAIADDNLESYRVRITEYSKKIVLSGCPQITTEILYISVLPPTNVGASLNKRLESSWAQVDYRNIILYNELEEAVKSSSFGNVHMVDLSKCPNAHFSTAIDWLKLAFPELRIFRASFCLTFQFEDLLYLLLTCPWINEIDLSIDTSIIAQMHSVISSRFEGRGAVKPKLTRYYAQDPLCDTTMNSYISNISKLILEGRNDITDVDLLKISILKNSLCYINIKNCTLLTDDGISKLLLKCTKIHSMVLSYTSFGNQSIQTLCNSNPLDSMDECRHVMAFRMQELHLDGCKGIGYAAMSQLMSNVNITNFLCLRETTLTDGALCNFVGSSLEFLDISETVVSMVSLAPVIRRNSNLRCLKAAGCRNLLFEHGEVEAMSGGNIYGDFLQEITSTCCLEDVEMGWAFCPIRVTTLIPSFSKVRKMTIGLGTTLPENILCALPDICPFLESLVLRFQMISDKVVRNLLESSTKLRVLCLYSCLGNLTSFSFQIKAPLLRILRLEWITPWMTNDDLAVLIQNYNLVELSLSGCKLLDSNSQELISSGWPNLTCLHLEECGQITLDGVSSILNCKALEDLLLRHTVSIEMP >ONIVA01G51520.7 pep chromosome:AWHD00000000:1:42400060:42411410:1 gene:ONIVA01G51520 transcript:ONIVA01G51520.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G36370) TAIR;Acc:AT2G36370] MHGGASFAAAGEDAGDAVLLEITDASFIAGEADPSPPPPVSVDDLAGLDPLPLIESSSYFRALLGGSFSESGRAHVQISCNLEAAVQVLIYLFEPSGSLTITHHTFLPLMEGGLFLAAENLLTECERWFRTMSSQSSSLLAPLNFLIDTWYFAQEHGINYVQDICPGYLAQNFVQVISRRSFVKLPYDLLYSTIECPFLTVDSEKQLCEAILCWITENMLCCEELPPNSVDHQLYLLNKVRICLLPLEFAAGTKRNWAEFGSKVESRILNLLKDSLRTVLDAIADDNLESYRVRITEYSKIMHFVALLTDEDFVLQKIVLSGCPQITTEILYISVLPPTNVGASLNKRLESSWAQVDYRNIILYNELEEAVKSSSFGNVHMVDLSKCPNAHFSTAIDWLKLAFPELRIFRASFCLTFQFEDLLYLLLTCPWINEIDLSIDTSIIAQMHSVISSRFEGRGAVKPKLTRYYAQDPLCDTTMNSYISNISKLILEGRNDITDVDLLKISILKNSLCYINIKNCTLLTDDGISKLLLKCTKIHSMVLSYTSFGNQSIQTLCNSNPLDSMDECRHVMAFRMQELHLDGCKGIGYAAMSQLMSNVNITNFLCLRETTLTDGALCNFVGSSLEFLDISETVVSMVSLAPVIRRNSNLRCLKAAGCRNLLFEHGEVEAMSGGNIYGDFLQEITSTCCLEDVEMGWAFCPIRVTTLIPSFSKVRKMTIGLGTTLPENILCALPDICPFLESLVLRFQMISDKVVRNLLESSTKLRVLCLYSCLGNLTSFSFQIKAPLLRILRLEWITPWMTNDDLAVLIQNYNLVELSLSGCKLLDSNSQELISSGWPNLTCLHLEECGQITLDGVSSILNCKALEDLLLRHTGKGIGRTIITDAITELPLLRKLALDLCDASEEGYDSPNNPEGKMMRTITMSRCKSVRSCFELHREGSSNSKPVHKETIVLEWSSRQLRTTIVKERL >ONIVA01G51520.8 pep chromosome:AWHD00000000:1:42401629:42411410:1 gene:ONIVA01G51520 transcript:ONIVA01G51520.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G36370) TAIR;Acc:AT2G36370] MYNYHWVNPIQVQVISRRSFVKLPYDLLYSTIECPFLTVDSEKQLCEAILCWITENMLCCEELPPNSVDHQLYLLNKVRICLLPLEFAAGTKRNWAEFGSKVESRILNLLKDSLRTVLDAIADDNLESYRVRITEYSKKIVLSGCPQITTEILYISVLPPTNVGASLNKRLESSWAQVDYRNIILYNELEEAVKSSSFGNVHMVDLSKCPNAHFSTAIDWLKLAFPELRIFRASFCLTFQFEDLLYLLLTCPWINEIDLSIDTSIIAQMHSVISSRFEGRGAVKPKLTRYYAQDPLCDTTMNSYISNISKLILEGRNDITDVDLLKISILKNSLCYINIKNCTLLTDDGISKLLLKCTKIHSMVLSYTSFGNQSIQTLCNSNPLDSMDECRHVMAFRMQELHLDGCKGIGYAAMSQLMSNVNITNFLCLRETTLTDGALCNFVGSSLEFLDISETVVSMVSLAPVIRRNSNLRCLKAAGCRNLLFEHGEVEAMSGGNIYGDFLQEITSTCCLEDVEMGWAFCPIRVTTLIPSFSKVRKMTIGLGTTLPENILCALPDICPFLESLVLRFQMISDKVVRNLLESSTKLRVLCLYSCLGNLTSFSFQIKAPLLRILRLEWITPWMTNDDLAVLIQNYNLVELSLSGCKLLDSNSQELISSGWPNLTCLHLEECGQITLDGVSSILNCKALEDLLLRHTVSIEMP >ONIVA01G51510.1 pep chromosome:AWHD00000000:1:42397041:42399098:-1 gene:ONIVA01G51510 transcript:ONIVA01G51510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGAVTARGRRKSYSEGEEEKSWTRCASRREGRRSVGRGPAPLPAARSARWAAAASSSSPAARRLRPVRHRWREPILWRRGIGGIGGGEAGVRDQRRTGRESGAAARLLRGVRGARGRAVRLRRRDGILLYARSCVAVPEPFILQSVSRFRRCRESNWIGYVAVATDEGKAALGRRNIFVAWRGTVHSSMAPPKDLLRDKASDAMVHRGWLSMYTSSDSESSHNKDNARDQVMAGVARHGVERGGEGGEHVPGRGAEHQVTGHSLGAALATLNAFDIVANGYNRAPRAAVAALAATGCPVTAFVFANPRVGGHDFKSRFDGARGLGPRLLRVHNTRDVVPRYPTAPLYHGNELVWHNLKWYLRDVAGAWGGEAGRSKLAAYGALSDEHAVHARNRLAAASGAERRSAEAACKRAAASGAERRWASVWRRAEAACERAAVGECVVEGGAKRRRRAAGEGAAAGGAELRRLPPGGGDGRGRQPPSHGILWAPYLRAPRRRPRPPRLLPFHAVELAGLPAPPPCLARSLARWPPP >ONIVA01G51500.1 pep chromosome:AWHD00000000:1:42391821:42396273:1 gene:ONIVA01G51500 transcript:ONIVA01G51500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAYMAVAVAVFLVVVCAAVSEAAKPPASHPRLPPNYHMINPGSSGLGKRDQELSCADTKGKKKGCMAKCDKRCPNQCIVMCPSCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDHDFCIVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFADHRLYMGALKTAKWNSDVDRLELAFDGAPIDVPAQLGARWESAAVPGLTVTRTAATNAVRLQLAGVFDIMANVVPITEHDSRIHNYGVTEEDSLAHLDLGFKFYDLSDDVHGVLGQTYRSDYVNKLSVSASMPVMGGAPSYVASDIFSTDCSVARFGHRAGITMAVAVALALWCCGAAVVASAAASSPPLVSPKAKPGVRSKLPPKTKLTTITFSPHHKRDYQVTCTNTGRRPCVVSCPSNCPNKCLVACAYCLTFCMCDLFPGTSCGDPRFTGADGNTFYFHGKKEQDFCIVSDADLHINAHFIGNHNPAMKRDFTWIQSLGISFGDHRLYIGARRAAEWDDDEDHVQITFDGEPVNVDAAKGAHWVSAALPSLSVSRTDTVNAVAVELDGVFAITANAVPITDDDSRIHHYGKTAKDTLVHLDLGYKFHALSGDVDGVLGQTYRPTYANRLNITAKMPIMGGADKYRSSGLFSPDCAVSRFHRRRTAGDHVALGFAS >ONIVA01G51490.1 pep chromosome:AWHD00000000:1:42384619:42386536:1 gene:ONIVA01G51490 transcript:ONIVA01G51490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQFIGSGAERGRDGLGLDLKPGARGRRGATARWAVAATGMGMRTAREGEGSESRAVRETSSAARQWRGEVGEEDVGGPTPATTQHALQMPGGPSRAAVADDDDELSRLLSLAEADLDAGHL >ONIVA01G51480.1 pep chromosome:AWHD00000000:1:42383470:42390733:-1 gene:ONIVA01G51480 transcript:ONIVA01G51480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQLVGVLAVLVAVCAVAAVQAQPPSPKLPPNYHMINPGHMGGKRDQQLSCDDTQGKKKGCMAKCDKRCPNQCIVMCPSCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDHDFCIVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFADHRLYIGALKTAKWNSDDDRLELAFDGAPVDVPAELGARWESAAVPGLTVTRTAATNAVRVQLAGVLDIMANVVPITEQDSRIHNYGVTEEDSLAHLDLGFKFYDLSDDVHGVLGQTYRSDYVNNLSVSASMPVMGGAPSYVVSDIFSTDCAVARFGRRAGISMVTGRAN >ONIVA01G51470.1 pep chromosome:AWHD00000000:1:42379077:42382252:-1 gene:ONIVA01G51470 transcript:ONIVA01G51470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGASSPARRRPRRGSKGPNSDLSRTLTDCTRRGDAATAMAAFDSALSGPDAPRLLAHQYNQLFHLLATADADSLPNAAAAARRVFSHMLGSGASPSEATITSLARVTASDASNPAAADEAFDLVATMRDKYGVAPRLRSYSPVLAAFRRAGEAGKAYAVDAHMEASAVAPEEPEIAALLDVSAKAGDADKVYEYMHKLSRTVDCVGEETAEVLEGWFRSGKAAMAGKAEWDACKVKDAIVANGGGCHRLGWLGTGPWTVQRVRVGGDGQCEGCGCRLACVDIDVEETQRFADSVAGLALQRETKINFSQFQEWLEEHGAYEAIVDGANIALYQQNFAEGGFSLTQLDAVVTELRDRYNGKWPLVVLHNKRIAKLMENASNRHLIETWRANGALYTSPIGSNDDWYWLYAAIRLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHQVKYTFSKGKAVLMMPPPYSSEIQESEMGSWHVPMEEKSGDDRARIWLCIDRTGHCKHPHEAPAANGVVQDVSPTEASHGCEQRRAEHNGGSLTAAVHPKIPIYMGQETP >ONIVA01G51470.2 pep chromosome:AWHD00000000:1:42379214:42382252:-1 gene:ONIVA01G51470 transcript:ONIVA01G51470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGASSPARRRPRRGSKGPNSDLSRTLTDCTRRGDAATAMAAFDSALSGPDAPRLLAHQYNQLFHLLATADADSLPNAAAAARRVFSHMLGSGASPSEATITSLARVTASDASNPAAADEAFDLVATMRDKYGVAPRLRSYSPVLAAFRRAGEAGKAYAVDAHMEASAVAPEEPEIAALLDVSAKAGDADKVYEYMHKLSRTVDCVGEETAEVLEGWFRSGKAAMAGKAEWDACKVKDAIVANGGGCHRLGWLGTGPWTVQRVRVGGDGQCEGCGCRLACVDIDVEETQRFADSVAGLALQRETKINFSQFQEWLEEHGAYEAIVDGANIALYQQNFAEGGFSLTQLDAVVTELRDRYNGKWPLVVLHNKRIAKLMENASNRHLIETWRANGALYTSPIGSNDDWYWLYAAIRLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHQVKYTFSKGKAVLMMPPPYSSEIQESEMGSWHVPMEEKSGDDRARIWLCIDRTGHCKHPHEAPAANGVVQDVSPTEASHGCEQRRAEHNGGSLTGKRKDRN >ONIVA01G51460.1 pep chromosome:AWHD00000000:1:42373194:42379933:1 gene:ONIVA01G51460 transcript:ONIVA01G51460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04710) TAIR;Acc:AT5G04710] MALLRAHHLLLMRPRAAFSSRPPPLSSSPCRRALPTTAIASRLLCSHHASSPPDDASASASPSIVADLLDYLNESWTQFHATAEAKRQLLDAGFTLLSENDDWDLQPGGRYFFTRNMSCLIAFAVGEKYKLGNGFNIIAAHTDSPCLKLKPRSASFKSGHQMVNVQTYGGGLWHTWFDRDLTLAGRVILKDADGSFKHELVKVSRPLIRVPTLAIHLDRTVNSDGFKPNLENHLVPLLATKHEETTANSSEKNSSSSTKVVHHPLLLQVLSDEIGCKSDEIIGIELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKMPEELSNEKAIRMIALFDNEEVGSNSMQGAGAPTMFHAMRRIVDSLMHQSMGEGALERAINSSFLVSADMAHALHPNYPDKHEEHHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARLHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVRKIVREMCGKEDVDTTYKHFKAFFEMFSDIDRKLNVD >ONIVA01G51460.2 pep chromosome:AWHD00000000:1:42373194:42378486:1 gene:ONIVA01G51460 transcript:ONIVA01G51460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04710) TAIR;Acc:AT5G04710] MALLRAHHLLLMRPRAAFSSRPPPLSSSPCRRALPTTAIASRLLCSHHASSPPDDASASASPSIVADLLDYLNESWTQFHATAEAKRQLLDAGFTLLSENDDWDLQPGGRYFFTRNMSCLIAFAVGEKYKLGNGFNIIAAHTDSPCLKLKPRSASFKSGHQMVNVQTYGGGLWHTWFDRDLTLAGRVILKDADGSFKHELVKVSRPLIRVPTLAIHLDRTVNSDGFKPNLENHLVPLLATKHEETTANSSEKNSSSSTKVVHHPLLLQVLSDEIGCKSDEIIGIELNVSLMDSSKMPEELSNEKAIRMIALFDNEEVGSNSMQGAGAPTMFHAMRRIVDSLMHQSMGEGALERAINSSFLVSADMAHALHPNYPDKHEEHHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARLHNLPVQEFVVRNDMGCGSTIGPILASEMCGKEDVDTTYKHFKAFFEMFSDIDRKLNVD >ONIVA01G51460.3 pep chromosome:AWHD00000000:1:42373194:42378486:1 gene:ONIVA01G51460 transcript:ONIVA01G51460.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04710) TAIR;Acc:AT5G04710] MALLRAHHLLLMRPRAAFSSRPPPLSSSPCRRALPTTAIASRLLCSHHASSPPDDASASASPSIVADLLDYLNESWTQFHATAEAKRQLLDAGFTLLSENDDWDLQPGGRYFFTRNMSCLIAFAVGEKYKLGNGFNIIAAHTDSPCLKLKPRSASFKSGHQMVNVQTYGGGLWHTWFDRDLTLAGRVILKDADGSFKHELVKVSRPLIRVPTLAIHLDRTVNSDGFKPNLENHLVPLLATKHEETTANSSEKNSSSSTKVVHHPLLLQVLSDEIGCKSDEIIGIELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKMPEELSNEKAIRMIALFDNEEVGSNSMQGAGAPTMFHAMRRIVDSLMHQSMGEGALERAINSSFLVSADMAHALHPNYPDKHEEHHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARLHNLPVQEFVVRNDMGCGSTIGPILASEMCGKEDVDTTYKHFKAFFEMFSDIDRKLNVD >ONIVA01G51450.1 pep chromosome:AWHD00000000:1:42368285:42372845:1 gene:ONIVA01G51450 transcript:ONIVA01G51450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEKLQKHRPEEIALRTGSSGQTTQKLREKAATENHRPKEIGPSGEKPPHKLLRAKGKAGTSSIGVTLQKHRAEKADSSRGIPHKLQAPAGTSSIGVMPQKYQAKQQMGTSRLGRHPPCIQVQGGVSNREAQAATTNRQKHTKSNNSLSTRATTKLRSATNTPCQKPTTAPSSNGNTAPPKDRKEPATNAENNAVPVAENNDLQEADEAIKRLNELGLGENISSEEFLTYIDQLNEQPKIDTSIELDDAQVTTLYFQHARYRVRYYKHLSQQPNTELVEDSYHMKLVGEDELSDEFIREMEFFMRFEEDGTFDWYFYPDYCWLAALNDYQRLVPINCVGEEYAYWDDYRGYFNSYHTELQYLDFCKALSKELKWGRICSRGAYQAIKIATRFSKITAALAYNAYYDCLQHMRFYVAYCKDMDSLYFEIWQRVNMQKKSFRDSLEEVYNLNKFPSRQDKMKDALENNCSHMETVFHVCTASVTSEIAEDKALELIAKAVESRMNKAKFYEQYIEKKIDIAQAIGLISTDGTEAT >ONIVA01G51440.1 pep chromosome:AWHD00000000:1:42348070:42351713:-1 gene:ONIVA01G51440 transcript:ONIVA01G51440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPSPSSPRRRPRLPIRRVAPLQAAPPGPHRAAPPPSSPSPSARRHSAVLAGRILSPLRSSSCFVLLFTIFCLIIWGVKGELVTVYANNWSKITCGQEEDGEADPKDHPGQEGKEGRRGTPSMSSSETAAMSSPTNSSLTP >ONIVA01G51440.2 pep chromosome:AWHD00000000:1:42348070:42351713:-1 gene:ONIVA01G51440 transcript:ONIVA01G51440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPSPSSPRRRPRLPIRRVAPLQAAPPGPHRAAPPPSSPSPSARRHSAVLAGRILSPLRSSSVSRFSGTLRDGARKSGERTRDRTRTALATAASPAAARSSSDSSASVLRPPVHHLLPHHLGRQGQEEDGEADPKDHPGQEGKEGRRGTPSMSSSETAAMSSPTNSSLTP >ONIVA01G51430.1 pep chromosome:AWHD00000000:1:42347879:42351392:1 gene:ONIVA01G51430 transcript:ONIVA01G51430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIMVSEMSLSVSSLLPSPMRTSMASRGGPPCPLVLDDPLDQPRHLLLGLTTTTTHTHPRFRFQRLTCNISNSVSIRPYIKKVNTKKMRGIGESGGAAEAGEWGRDGEGGASIRRNYKKALIPTNTCMNSMRTFKVNFWRRLSQMKADHEPSASNKRLPVINHNYKSKRYATLTPQMMRQKMVNRRTKH >ONIVA01G51420.1 pep chromosome:AWHD00000000:1:42342668:42348026:-1 gene:ONIVA01G51420 transcript:ONIVA01G51420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIKFLSECPLALHQLEPVNCITTPSPNWKEIMAILSRSSCRTLARSPKYLFLPPCNANFLQMPDSKLVSLPDDRADMEISFKPLQVKLVHVVGEEPVDIRATIPRARLAGQGAPTARQLGSAHLLAVPPNLQAARPIL >ONIVA01G51420.2 pep chromosome:AWHD00000000:1:42342668:42347193:-1 gene:ONIVA01G51420 transcript:ONIVA01G51420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYTSSIPVNCITTPSPNWKEIMAILSRSSCRTLARSPKYLFLPPCNANFLQMPDSKLVSLPDDRADMEISFKPLQVKLVHVVGEEPVDIRATIPRARLAGQGAPTARQLGSAHLLAVPPNLQAARPIL >ONIVA01G51420.3 pep chromosome:AWHD00000000:1:42342668:42348026:-1 gene:ONIVA01G51420 transcript:ONIVA01G51420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIKFLSECPLALHQLEPDSKLVSLPDDRADMEISFKPLQVKLVHVVGEEPVDIRATIPRARLAGQGAPTARQLGSAHLLAVPPNLQAARPIL >ONIVA01G51420.4 pep chromosome:AWHD00000000:1:42342668:42347193:-1 gene:ONIVA01G51420 transcript:ONIVA01G51420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYTSSIPDSKLVSLPDDRADMEISFKPLQVKLVHVVGEEPVDIRATIPRARLAGQGAPTARQLGSAHLLAVPPNLQAARPIL >ONIVA01G51410.1 pep chromosome:AWHD00000000:1:42339165:42342373:1 gene:ONIVA01G51410 transcript:ONIVA01G51410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRTVREGFKGYFYLFALMMNSDIQTRKEFFRKATSYGECIVLDHKRKLKDFDGSLLDLSEAEYAIGPLHSKCLVKYFDKVDRKKANAYSLLKNIRHHNIVFLKNFFDGSGQPRFVFNWVDGSMSAWVKTEGAKVLLKRTGTGTCRNSTIRQLVSGLECLFEHGVYPIQITAKDIYVKKFGKNALAQLLIKEAEALPKSDARKRQIQANLWHEMRDAVKKIFADHVDSPKDMPLVRFLDYIAEGNVKTLQRYPLDWDETEKGKYLLKVLCMNPHKVEQEVNNLIQWPPVTYLGNLPSPLQEMISYDQTRSHPSGYDDKKPYHYLKLCKNIIKHWWLLPESVKVECKTWQRLIQKMERWDPKIWKANEADDGIGI >ONIVA01G51400.1 pep chromosome:AWHD00000000:1:42338657:42339593:-1 gene:ONIVA01G51400 transcript:ONIVA01G51400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHKLFFGPLTDDETLMLMKALHKLFFGPSLIDDDDDDDEKLRRGDDEVTMKASSDWELVMMKANSDRELIKS >ONIVA01G51390.1 pep chromosome:AWHD00000000:1:42310948:42314525:1 gene:ONIVA01G51390 transcript:ONIVA01G51390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELLPGMSSTGVMPQKLRAEKGSSGGTPQKLQAKGNEGTSSIGGVMLQKHQAKQEMAPSRLLHHPPRIQVQGVSNRGAQVATTSRQKHAKINKSSTTRASEKLRSATNSPSQKTDTASSSNGNIAPHGCKEPARSDHPNNTVHAMDDKDSQEADDAIKRLNELGLGENISSEEFAKYIDQLVQDPKVDISTKLDRAQLASLHALHARHRIKYYKESPEYMLNTECKGDSYHTKLLGEDEISDEFITEMGFFMRLEKDGTFDWCFFPDYCLLAALDDYQRLVPLNGVDWQYAYWDDYHSYLNSYKTEQQYLKYCKALSMKLKVCKIVLTNNISYIALYFSGIKNAFISRQWMEDYVLNELPSLKDCLQHMRFYVAYCKDMDGLYFEIWQRVNKQNKSFRDSLEEVYNLNMFPSRQDKMKYALENDCSYMENEITEGKALELIAEAVENRTNKPKFYEQYIQRKIDIAQAIGLVSTDGTEAA >ONIVA01G51390.2 pep chromosome:AWHD00000000:1:42310948:42314526:1 gene:ONIVA01G51390 transcript:ONIVA01G51390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESELLPGMSSTGVMPQKLRAEKGSSGGTPQKLQAKGNEGTSSIGGVMLQKHQAKQEMAPSRLLHHPPRIQVQGVSNRGAQVATTSRQKHAKINKSSTTRASEKLRSATNSPSQKTDTASSSNGNIAPHGCKEPARSDHPNNTVHAMDDKDSQEADDAIKRLNELGLGENISSEEFAKYIDQLVQDPKVDISTKLDRAQLASLHALHARHRIKYYKESPEYMLNTECKGDSYHTKLLGEDEISDEFITEMGFFMRLEKDGTFDWCFFPDYCLLAALDDYQRLVPLNGVDWQYAYWDDYHSYLNSYKTEQQYLKYCKALSMKLKVCKIVLTNNISYIALYFSGIKNAFISRQWMEDYVLNELPSLKDCLQHMRFYVAYCKDMDGLYFEIWQRVNKQNKSFRDSLEEVYNLNMFPSRQDKMKYALENDCSYMENEITEGKALELIAEAVENRTNKPKFYEQYIQRKIDIAQAIGLVSTDGTEAA >ONIVA01G51380.1 pep chromosome:AWHD00000000:1:42298901:42302385:1 gene:ONIVA01G51380 transcript:ONIVA01G51380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIATSKKLFLNAKKNGCHNVIDFKEKLQDFNCTLTGLHRGKYRMDTMESDCAIKKFDVDRTKASTFSLIKNVRHTNIVTVRNFYDEVGQPRFVLSWVDGSLTAWVKSEGAKMLFKKSWTGRSPTPTFRQIVIDLCAGLEHLFEEGIYPIRIGVEDMFVRKAGRKPSVQLLITKAKSFVESDKVGSKNIQNGLWKQMRDAIKDIFKDHLDSQNSNDSVLSRFFEHIAEGGAKKLQNYPLDWSEKDKAKYLLKIIAIDKSEVQQKLSKVNIVWPPETVSGKLPSPLREMKVHEMTRAHPASYDVKIPYDYLKICKNMIKHWWVLPEDVKEDIIQAPDGDKKFGIICVTLHDKEESTQLTAS >ONIVA01G51370.1 pep chromosome:AWHD00000000:1:42294211:42295690:1 gene:ONIVA01G51370 transcript:ONIVA01G51370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGGGEEVEEVEAVDGGEQQPPMEIGWPTDVRHVAHVTFDRFHGFQGLPVELQPEVAGNAPSASKTVFGVSTESMQCSYDARGNSVPSILLLMQRRLYEQGGLKAEGIFRIAADDAQEQAVREQLNSGVLPESGVDVHCLAGLIKAWFRELPGGMLDSLPAAEVTRCQSADDCARLCARLPAAKAALLDWAVQLMADVAREERSNKMGSRNVAMVFAPNMTHAMDPFTALKHAVHVMNFLTMLIDRALNDVQTCNN >ONIVA01G51360.1 pep chromosome:AWHD00000000:1:42285949:42294116:1 gene:ONIVA01G51360 transcript:ONIVA01G51360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRPDLGGGGSFREGPQLSGAGTPRALAEPPPLAQYLPLESFPVGDHKQSRATELRRVLGVTVEAEQSFGLVQTKPLPSIASEELKRIRGGVVESSAKAKEKTKSLQDSIQKLDKYRNVVTRRRQRSEGGATERSSGSGSGSLRMGAQNSMDNPGQRLEERAKSATTSKRVRSSLAADARLEGRGNVPTRQGPLADSEKSSSLEKEKNSLRNVNAASGFSEDKLRGLAPGGEGWEKKLKRKRSVGTMLNRGNDVDRDVKPLVQHRPNNEARMRSSDGLPIRHGASAGALGGSKMDGGSQQSNAGSRYLLKADMDSTSLPNERRERHLGIDKERVLVKGNKANTSEDMQPGTLNPLTKGKACRAPRTSSLVVMNSSSTLQRSSGGIDEWEETPSTNKSSPLGGTANRKRPMTASGSSPPVAWVGQRPQKMSRTRRANVVSPVSNFDEGLSEGSPLDAAVRPAVESPGLLLPRGVASNNSQVTPRMDNISSPAGLSESEDSAATENKNKDKISNSGDFENEGANSAHNSADLIISSKKSRILLKEELEDGSIRRQGRSGRNTMHVKGCASMPREKLDSPETRKLLKSGRPVSEKNESKLGRPPTKKGSDRKASSRHSEILNCGLTDISGESEDDREELLAAANAARSAIVNAYAGPFWKKIEPMLTFISSEDLSFLKHQITFLEELEMGMSNSSDEHNLNTSTNYSGPLSMGQNSSLLQSNSCVSLEQSEANGPRTRESIDILSPNDENTASQKTHAEELFGGMASLTHKLFSAFIVEDGDNSSECNGGDILLEFSNDFLPYAANMNLENDFEASAVKSNFGSSPDFKHSNHSSVHNSMSNGFTASSNLRASYSPNSICSENASDAIKFAVYPENGGFHEFVPHISQQYQNCAKSTPLPPYEYQYDQLPVHDRALIELHSIDLCPEMPKLDDGEDEDIDKVITELQKRLFEQVNQKKCQLHKLDKAIRDTKNMEERSLEQHAMNKLVEMAYKKLMGGRGSSSHKGGLNKAANKAAKQVALAFAKRTLARCQKFEETEKSCFREPFLWNVLSAPLPKNDAIDGGLPGSADRPKLLKLDRSPLSQGTTKWKKSDRERDQNRDASLKNSNSKSGRNSSGNARSERKTKIKPKQKLAQLSTSGNVLGRVTEPSNFAAPGQRESHDWTSTSSTRPTQPVRNSAATVAQDTLDAPLANLPAIDPMDILDVPEGNDISSWFTDGLDDSLQDFDFSGGLEIPDDDLTQLGFM >ONIVA01G51350.1 pep chromosome:AWHD00000000:1:42270920:42271972:-1 gene:ONIVA01G51350 transcript:ONIVA01G51350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAHGTLPSPSVTAVELVPLPAPSPSLPVTDFEEFSPVNLFVHPASLFAPRCILSILFIRCMPYLVWGSCAHICMFFAADLLQCMCSAFVHRVCRFFKWNVVLHVSDLDHPHELEGKNSDIGMDHCKFAVQSRNHCIQGGRSMGCLDRS >ONIVA01G51340.1 pep chromosome:AWHD00000000:1:42268277:42269578:1 gene:ONIVA01G51340 transcript:ONIVA01G51340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELPQQKAGGGLARRLVRLLRRKRSTSGSVAGGGEYDESSMDSSINSLSKLKLSAAKLDVLFRSAAQPAASPAVDAAAAHALVASLFAGVSAVKAAYAQLQQAQHPYDAEAIQSADAAMVAELTKLSDHKRRFARDPAAAAKSAAAGPAALAAHADEQRHLLRTYEITAGKLGRELRARDAEAERARAALADDLRAARALEERAHPGRTLAALDGLHLSGLNATHFLTALRHAARSVRSFAKSMLVEMRRAGWDPVAAAAAAHPGVPLRHPGDAKFALESFVALKMFDGFHRRDFGLSALHDRSSYDRRRLFDEFAELKAAPAAEFLDARSSRWGALGEFLRDRYLSVVHERMEAAFFGSTSQRGAAASAGAALPGTPWFAEFAEMARRVWLLHCLFLAFDDGDDGGAGTAVAAAAAWGSPWCRGSKLGGR >ONIVA01G51330.1 pep chromosome:AWHD00000000:1:42260734:42261242:-1 gene:ONIVA01G51330 transcript:ONIVA01G51330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGQEGWGEAVEVGDETRDAHRCLHASSGSTAFPKRRRDGGGLVAAFVRAIALSPLQPPPRKPSLATISIAEKKHGIRKEDAINILEVTPAHHPHHRGLFRAPSP >ONIVA01G51320.1 pep chromosome:AWHD00000000:1:42249757:42254073:-1 gene:ONIVA01G51320 transcript:ONIVA01G51320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAHPEWQAAVREEVVEAAGRSGLLDAAALGKLTKMGCVLNEVLRLYQPSPNVQRQALQDVVVVIPRAPNDSICAALWRRRGWRQRGRRSPAHPPWLRMGRGGGARCSRRRGRSRRPPPLPLSSFFPARRSARCAGSRARSAVGRTPASACLGVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVYKDRFISKMFLRGDSVIIVLRNPK >ONIVA01G51310.1 pep chromosome:AWHD00000000:1:42246989:42248759:-1 gene:ONIVA01G51310 transcript:ONIVA01G51310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQKNTPDMAASSESEHAPPRKKANVRFAFACAILASMTSILLGYDIGVMSGASLFIKEDMKITDVEVEILLGILNLYSLVGSFAAGRTSDWIGRRLTIILAAVIFFVGAIMMGLSVNYPMLMAGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINFGILLGYVSNYAFSRLRLQLGWRLMLGVGAAPSVVLALMVLGMPESPRWLVMKGRLADAKAVLGETSDTAEEAATRLAEIKEAAAIPADLDGDVVAVPRRTGGERRVWKELILSPTPAVRRVLLSALGIHFFQQSSGIDAVVLYSPRVFQSAGITDKNKLLGTTCAVGVTKTIFILVATFTLDRFGRRPLLLASAGGMVVTLVTLGLGLTVIGKGATGGGWAIAVSIASILAFVAFFSIGLGPITWVYSSEIFPLHLRALGCALGVGLNRVTSGVISMTFLSLSKAITIGGSFFLYAGIASLAWLFFFTYLPETRGRTLEQMGELFGIHNMAGDDDSAVTRPPSPEEEKITNYVEMAAPSSSSSSTVTSSHHAK >ONIVA01G51300.1 pep chromosome:AWHD00000000:1:42236443:42240896:1 gene:ONIVA01G51300 transcript:ONIVA01G51300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPQPWHQWPFLILFFLVLFSCESNLPCRNGVEATQRVFLYPQSPKVSSIVSKGYRTGYHFQPPKNWINERRGERFSLENIYRGNVVFVAVIIFVGPMYYNGIYHEFYQYNPNGSVWGNIVWGHSVSTDLINWIRLEPAIEGNTPSDINGCWTGSATILTGDQPVIIYTGADTEKRQVQNIVLPKNRSDPYLREWTKPKNNPLIEPVGPGLNSNQFRDPTTGWIGPDGLWRIAVGAELNGYSAALLYKSKDFMQWTRVDHPLYSSNASIMWECPDFFAVLPGKNNGLDLSAAIPNGAKHVLKMSLDSCDKYMIGVYDLKRDMFVPDTVLDDRRLWLRIDYGNYYASKSFFDSKKGRRIIWGWTNETDNGDGKRLLQWPIEEVESLRRNEVSHQGLELKKGDLFEIKGTDTLQASFILPQQKYYVLADVEIDFELTSIDAADPFDPSWLLDTEKHCREADASVHGGLGPFGLVVLASDNMDEHTTVHFRVYKSDQKYMVLLCSDLRRSSLRPGLYTPAYGGFFEYDLEKEKKISLRTLIDRSAVESFGGGGRACIMARVYPAAVVDGGTHMYAFNNGSSTVKVSQLKAWSMTRAQVNVKKG >ONIVA01G51290.1 pep chromosome:AWHD00000000:1:42231396:42234181:1 gene:ONIVA01G51290 transcript:ONIVA01G51290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVEVSGWRGIGRLGFRFEFGVTIGWLAGLAFMFSTSILLQILACALYNNWWPMLAALMYVLVPMPCLFFGGGSTQFLTSRDGGGWFNAAKFLTGASAMGSIAIPAILRHAGLIETGAMFIEFTSFFILLHWMKIGKRLEAHGHKKVNLFHGLLLSTYKRNSLVDANMDMCTKKQIFELA >ONIVA01G51290.2 pep chromosome:AWHD00000000:1:42231396:42234556:1 gene:ONIVA01G51290 transcript:ONIVA01G51290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVEVSGWRGIGRLGFRFEFGVTIGWLAGLAFMFSTSILLQILACALYNNWWPMLAALMYVLVPMPCLFFGGGSTQFLTSRDGGGWFNAAKFLTGASAMGSIAIPAILRHAGLIETGAMFIEFTSFFILVCTVMCFHRATLDEDW >ONIVA01G51280.1 pep chromosome:AWHD00000000:1:42228462:42231260:-1 gene:ONIVA01G51280 transcript:ONIVA01G51280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G22320) TAIR;Acc:AT5G22320] MARLTVEQAKREAGSAGTLATSLDLSHRALSDVGAVSCLSSFVNLERLDLGYNCLVTLEGLSNCANLKWLSVIENKLVSLKGVEGLSKLQVLNAGKNKLKTMDEVKSLTSLGALILNDNNISSICKLDQLHQLNTLVLSKNPIFTIGDALVKAKAMKKLSLSHCQIEKIGSSLTACVELKELRLAHNKITTIPSDLAKNVKILNLDLGNNLIERRSDLEVLSELHYLRNLNLQGNPIAEKDGLAKKVKKLVPNLRIFNSKPMEASSKSKNSREENLPINDADTPDDGPTDIYTKKKGKGKHSKQQIKSPEEPAGQSTRPDVTIAAPAKSELLDGKEMKKEKTAVEHVKNKKSKRKDDNSSVDHTDKKVSKGAKRTKSANKEEKNADGIDDTEMPFADLVFSGEGNNPEPELKGKNQEIAPDGKFGGLVIDHTKKKKKAKGTVFGSSALEQLSSVPEVGSGALSGWD >ONIVA01G51270.1 pep chromosome:AWHD00000000:1:42224447:42229351:1 gene:ONIVA01G51270 transcript:ONIVA01G51270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLRSSARLLRELREASRSSRRRREWLSGGAVASAARTTSLLHPLPGLDVPQCLPDQLGVQPTRVTTLPNGVRVASEDLPGPSACVGVFVDSGSVYETAETAGVSHLLERLSFKDTAHRSHLQIVQDVEATGGNIGASASREQTVYSYETLKAYLPQAIEVLIDCVRNPLFLQDEVERQVAFAREEVQELQKNPERFLQESLNLVGYTGALANPLVAPEESLTRINGSIIQKFYHENFTADRLVVAASGVDHQYLLDVAEPLLSDWHKGSPVERPESKYIGGDFRHRADSEMTHVALAFEVPGGWLEERDATIMTVVQTLMGGGGSFSSGGPGKGMHSRLYLRVLTKYHTVESFSAFSNAFDRSGLFGIYLTTPSDFVAKAVDIATKELIAIATPGQVTDIELARAKNSTISAVLMNLESRVIVAEDIGRQILTYGCRKPVDHFLQCMDEMTLDDITAFAKKMLSSPPTMASWGDVDKVPPYEFVCKRF >ONIVA01G51260.1 pep chromosome:AWHD00000000:1:42223551:42224233:-1 gene:ONIVA01G51260 transcript:ONIVA01G51260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor assembly, complex C (B6F) [Source:Projected from Arabidopsis thaliana (AT5G36120) TAIR;Acc:AT5G36120] MAMEASMSRTVSMLFSTRRCIVASAAKDIEIAQGGRRRRSNDVKQQRGPHGQVAVAAATTAAAMTGQCHAAAAAPERQWQEVAEGVCRAVAVVGELDPATAKAAIGVAGPALSAFGFLFIARIVMSWYPRLPVREFPYVVAYAPTEPLLAVTRKVIPPLGGVDVTPVVWFGLVSFASEILVGPQGLLVLLSQQKP >ONIVA01G51250.1 pep chromosome:AWHD00000000:1:42208721:42222327:1 gene:ONIVA01G51250 transcript:ONIVA01G51250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLLAQSSPGTASYLDEAQPPTRPALRGGGGETWMWRFESGTMEIANSSERIQRTAPSPTECIPIFERAAEMVILTATLNWLLAVREYFSSVSQWNSGLHNNAISSVIATDRARTQPFVFEKEVDHLVLISCSLFFTNIHRRTLAVVSGALLAGGTLAYAHSARRQKRQEEYSHSDASTQTTSNQSICQNGVDGKLVKTRKKKNGLKSLQFLAAILLKKIGPNGTNHLLGLMITAVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTRLIIENLLLCFLQSTIYQTSKYLTGSLGLHFKKILTDLVHADYFENMVYYKLSHVDHRISNPEQRIASDIPKFCSELSGLVQDDLTAVADGLIYIWRLCSYASPKYVLWILAYVLGAGGAIRKFSPAFGKLKSMEQQLEGEYRQVHSRLRTHAESVAFYGGENREASHIMQRFQALVKHLNVVLHENWWFGMIQDFLLKYLGATVGVILIVEPFFAGNLKPESSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNLLSGYADRIRELLDVSRELSGVRDRSMNKKSSVDNYISEANYIEFSGVKVVTPSGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLSYGGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCKRVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWTVQENRNGSFISAEPEFDALSSSETERKSDALAVQRAFIANTKGNALMGPKDLSYSTQLIATSPNMEIEHTERSNLVPQLQCSPRPLPLRAAAMSKILVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGWLLSSCTGDRSDGTSVKYVLEQDKAAFLRLIGISVLQSAANSIVSPSLRNLTSKIALGWRIRMTNHLLQYYLKRNAFYKVFNMSGIDIDADQRITHDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKILSGRRGVAILYAYMLLGLGFLRAVSPDFGDLANQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFTTMLNHSRTLLRKRWLYGIFDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRVFELEELLQTSQSNAAMPSNPIIAASEEIISFHDVDIVTPSQKLLATQLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLTMPSDGIFHVPQRPYTSLGTLRDQIIYPLSHEEAELKVLSLYKSGDKAITSGSLDDHLKTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHRPKFGILDECTNATSVDVEEHLYKIATSMGITVITSSQRPALIPFHSLELKLIDGEGKWELCTINQ >ONIVA01G51240.1 pep chromosome:AWHD00000000:1:42200502:42205930:-1 gene:ONIVA01G51240 transcript:ONIVA01G51240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxoprolinase 1 [Source:Projected from Arabidopsis thaliana (AT5G37830) TAIR;Acc:AT5G37830] MGSSEKFRFCIDRGGTFTDIYAEVPGRREGYVMKLLSVDPSNYDDAPIEGIRRILEEFSGERIPRSSKIPTGKIDWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVSKPSNLYEEVVEVDERVELVGGGDGERDDGLSVEGISGELVRVAKPVDVEALKPLLKGLLDKGIRCLAVVLMHSYTYPQHELLIEKLSLEMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSRFEGGGEQVNVLFMQSDGGLAPERRFSGHKAVLSGPAGGVVGYSQTLFQLETSKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPDSVGAHPGPVCYRKGGELAITDANLILGTVIPEYFPSIFGPNEDLPLDYDATKKAFESLAVEINSHRKSQDPSAKDMTVEEIALGFVNVANEAMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAMARSLGMSELLIHRYCGILSAYGMGLADVIEDLQEPYSAIYNVDSAAEASRRVDLLVKQVKEKLIEQGFGEDSIRTHSYLNLRYEGTDTAIMVKQPERESGSDYADEFVKLFQQEYGFKLLNRKILICDVRVQGVGATNILQPHELTPVSTKPVPESSCRIYFSYGWQETPLYKLQNLGYGHVLKGPAVIMNGNSTVIVEKDCKAIITKYGNIKIEISAAPSSVEVSETVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLKYWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFNEGKVIFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVERGVFQEEGIIHLLQSPSSDELTNHKIPGTRKIQDNLSDLHAQVAANQRGITLIKELINQYGLITVQSYMNHVQHNAEEAVREMLKVVASRVEKENGSCVIEDEDYMDDGSVLHLKLTLDSSKGEATFDFEGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKILIPKGSFLSPSDKAAVVGGNVLTSQRVTDVVLMAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGASWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHRFSIRENSGGSGFHRGGDGLVREIEFRRPVVVSILSERRVHAPRGLKGGRNGARGANYLVKKDGRRVYLGGKNTVMFYMMDFRRKCIPSVKWIPTFFGKAAHLVDSTEVVGHFQKVLTSRGNFCICSIAIGVIVEVIIMFPIQHRSYRDGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQVSGGRRRVPGAQVRDREVHSTRRPPVLTGNGVNDALALKKADFGIAVSDATDTARGAANIARASAEEGRHLHRRL >ONIVA01G51230.1 pep chromosome:AWHD00000000:1:42198042:42199525:-1 gene:ONIVA01G51230 transcript:ONIVA01G51230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT5G04830) TAIR;Acc:AT5G04830] MLNLRRLTAAAAVDGLWFSFFSTTTTAGGAMEEEKPASPVSRHIMPHLLNIYGSCATARDFEIYAAHATFEDPLMRAHGVKQIKSAFYTLPKVFGESKIVEYTITENETAPGKVEILIDNKQHYKFLGRAIDLASLITLDVEDGKVVKHQDWWDKKPLKSRDTVSFPAAVLHLNRICRVGECVGTDDCVHEIRVKGTCFYFACAVN >ONIVA01G51220.1 pep chromosome:AWHD00000000:1:42196406:42196957:-1 gene:ONIVA01G51220 transcript:ONIVA01G51220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQAVVELVVVAAALAALAAGILAASLLLLWRCRRRSAAANRQQPAVVVASDAELTVQSPKKPAPARRRGGGSALRRALLRLLFCSRRRLTRVEPADSAAAATQGEEGEQAAGPDEEEVNTWRDRWFGPATAAASRALYTIDEESGAGSEGEEEPEPETPFYTPPASPPRLGGGGHSPEATV >ONIVA01G51210.1 pep chromosome:AWHD00000000:1:42190338:42195051:1 gene:ONIVA01G51210 transcript:ONIVA01G51210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAPARKENGTVAAPAASSAAASNTFHVLNSGSAAAAVAAGRAYVQSPRATALRSPQVRAITNYGMFPTTGASAARTAMAGRTINGGASMLTTTTTTTTPPHLIQQLMVLAGWTTRSPWLQNHASMSPRGSPSSFSSGRFSDPRGMPSTFAYRTPGASSGRVIDNGAVAGRGKQMAGTSRSLEIIAVDTGAGSSKNASPAGGHANAGVVVKRLAPVLAMPSAGAAGKGKEEAAAAAPSPNGRGRKRAPPKVSNDPAAGSDKKPRKRAKKAPAAGSKVTVPADVIIVDDDVNQPSSNTDAQSNADDDLKKAAVASPAATRSKTRSKRKTSSNSAAASPSARSSAIAARKKNNATATGTTATPPPPAAKKHTVLTWLIDTGFLKDKAKVFYVPGDAGAAEKVISGMVTKTGIRCRCCNTVVPVAVFETHARCERPGQPWEKLLLMSGKPLSKCMQEAWAQERVTAMRAREKAMASLEQEKEKSSQAKRKLAKTKKMQLLDGVVVVSTSSPRHQVKKNGGGKDCSDDACGVCADGGQLLCCDTCPSTFHPDCLAIQFMIKSWLLFDRQQLTTIYGQQPWLQTAPGAAISADHQYCRPLQSPGFEIGAYCSETCKKMSSHLSDMIGVMNHTEDGFSWALLKIQKDELVTSEDMPVILESNVKLAVALGVLNECFNPVQDRRTKIDMLHQAVYSLGSEFKRVNYEGFYTMVLEKDGEIISVALLRFHGRKLAEMPFAGTLPAYQKQGMMRRLVKAVEKVLASLQVENLVIPAVADLVETWKRSFSFRPMQAEVRDEAKKLSLVAITGTTLLQKPISAHQQQPVPSRKGSSSSRSKRASAPATVTGSSKEEEEQMTTTAARLTDDELAFLEMAPLCSFTDLLAGGVYPTGLQLLRGMK >ONIVA01G51200.1 pep chromosome:AWHD00000000:1:42186205:42188843:-1 gene:ONIVA01G51200 transcript:ONIVA01G51200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFDDDWRPFCAVDPSIRPRRSPSPATASSVAGVRLPPPHTRLGRLLDLHSPEVSSLAPPRRFPSIPPARWVPPSCRFRLRSGGAMGSKDSQVEATLQDGNKEAEEDDWEAIADRGENDETLTLARSLEQQAKVSPSSSSEKISTPSSGPKRRGRGSFLYDKSVLYSDQCGLENDMDEQESNDQSGSKGRVDEQKHKSNAAAKQYGTRHVLVLYDFPPSTLAADLEKIFDKFGDHGVAIRWVNDTVALAVFRTPSAANEAQACIPPRYKVRPLKEDDDLLTKNDGIDLEPPTPRPKTSARTAQRLIAHGMGLRQFTNFGSDELKKQEEARRSRIAARQALRDDAWGSD >ONIVA01G51190.1 pep chromosome:AWHD00000000:1:42178981:42184146:1 gene:ONIVA01G51190 transcript:ONIVA01G51190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARABIDOPSIS TRITHORAX-RELATED PROTEIN 5 [Source:Projected from Arabidopsis thaliana (AT5G09790) TAIR;Acc:AT5G09790] MGRRALPPSSSSSSTTTTTSPELRRKRTAAPPPPPSPRRYRSISDVMRRSLPVDAAPPVARAYESTRCDVCGSGERDEELLLCDGCDRGRHTFCLRPIAARVPTGPWFCPPCAPRSKPVKRFPMTQTKIVDFFRIQKGAEDAEAEKCGLFQDVKKRRKRSLVMHKKRRRILPYVPTEDKVQRLKQMASLATAMTSSKMKFSNELTYMPGMAGRSCNQATLEEGGMQILPKEDKETIELCRTMQKRGECPPLLVVFDSREGFTVQADADIKDMTFIAEYTGDVDFLENRANDDGDSIMTLLLTEDPSKRLVICPDKRGNISRFINGINNHTLDGKKKKNIKCVRYDIDGESHVLLVACRDIACGEKLYYDYNGYEHEYPTHHFV >ONIVA01G51180.1 pep chromosome:AWHD00000000:1:42173860:42178253:1 gene:ONIVA01G51180 transcript:ONIVA01G51180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G18680) TAIR;Acc:AT3G18680] MAAAAAAAVACGMSTSFLIRLSPSPPASSHVPLPRSPASSARPRRASSVSLSTAPRPRARAAGSDSPSNFGLPSKSEVNSVTIGQTSLMPPFSLMLDEGSRSKKPYRWQRVLLKVSGEALAGDHTENIDPKITMAIAREVASVTRLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPKRNPNARLLEAVSYHEVQTRDLSVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIVGEKVGTFIGCTKDQDQIVGNALDQERRLVNEL >ONIVA01G51180.2 pep chromosome:AWHD00000000:1:42173860:42178253:1 gene:ONIVA01G51180 transcript:ONIVA01G51180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G18680) TAIR;Acc:AT3G18680] MAAAAAAAVACGMSTSFLIRLSPSPPASSHVPLPRSPASSARPRRASSVSLSTAPRPRARAAGSDSPSNFGGQTSLMPPFSLMLDEGSRSKKPYRWQRVLLKVSGEALAGDHTENIDPKITMAIAREVASVTRLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPKRNPNARLLEAVSYHEVQTRDLSVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIVGEKVGTFIGCTKDQDQIVGNALDQERRLVNEL >ONIVA01G51170.1 pep chromosome:AWHD00000000:1:42170941:42171700:1 gene:ONIVA01G51170 transcript:ONIVA01G51170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPRRRRHPPRPRRIPPRRGGRRPPSPPPHRGRAPLLPPSHLCPGRHARLQHFQLLLAIVVDVSRRMASRPSISTPTRASRRGRPGGDVHGGAGLPDTQRHHREVS >ONIVA01G51160.1 pep chromosome:AWHD00000000:1:42166964:42169606:1 gene:ONIVA01G51160 transcript:ONIVA01G51160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVITPRDEKLELLLMNNGWLSQHEQEYLFWEQRTTMRGTPRATAKLIKEAPESLFQFFLDRCSQLQATGTIGLLDFCNAQRWELLE >ONIVA01G51160.2 pep chromosome:AWHD00000000:1:42166964:42169606:1 gene:ONIVA01G51160 transcript:ONIVA01G51160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVITPRDEKLELLLMNNGWLSQHEQEYLFWEQRTTMRGTPRATAKLIKEAPESLFQFFLDRCSQLQATGTIGLLDFCNAQRWELLE >ONIVA01G51150.1 pep chromosome:AWHD00000000:1:42161926:42168940:-1 gene:ONIVA01G51150 transcript:ONIVA01G51150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZA5] MEGHPDPTSAATAMIPEASIRRINLSITSNEEILKAQPVNELEKPIPITHQSQLLNNPYLGLPLQVGSCQSCGSNAIEECEGHFGFIELPMPIFHPSHVTELSQILNLICLRCLKIKNRKELPPLCVAEVKKSNGARGLELRAPIKKELEEGFWSFLDQFGSCTRGTSHCRPLLPEEVQNIIKKIPEETRRWLSVRGYIPQDGFILSYLCVPPNCLRVSNVLDGNTFSCSGTSTNLLRKALRKIQQIRGSRIGSSNIQVDQVADDLQVDVANYINLGGTTKGHGDDTFTSQPTAMQWKQKMKTLFISKSSSFSSRGVITGDPYIGLNVVGVPEEVAKRMSVEEKVTDHNIAQLQDMMNKGLCLTYTDANSITYSLDAGKDNPNKKHTILKVGEIVNRRVFDGDIVFLNRPPSTDKHSVEAFYVQVHNDHTIKINPLICDPLGADFDGDCVQIFYPRSLSARAEAKELYTVDKQLVSSHNGKLNFQFKNDFSLALKIMCGREYSEREANQITNAMFSSGMYPQKPLIGGPYWTFPQILETTKSNAITLADHLDRESVGDLATGTTISSILSTKGPREATEFLNLLQPLLMESLLIDCFSINLGDFTVPSPILEAIQNNPLELNKYREPIMDFITHSSAIGLLVDPKSDSNMNKVVEQLGFLGPQLQHNGRLYSSRLVEDCLSKSLHRCCGSTNCCNPLEEYGTVRSSIYHGLNPYEALLHSICEREKIMRASKGLVEPGSLFKNMMSRLRDVTACYDGSIRTSSGNLVLQFGSRDASNCVTPGDPVGILAATAVANAAYKAVLAPNQNNIISWDSMKEVLLTRASTKADANHRKVILYLNQCSCENECMERALTIRACLRRIKLEDCTTEISIKYQQQATQAAHHLVGHIHLDKKQLNQIETIMDSVLHKCQETFRNNIKKKGSMREILKTVTFISSTSLCDQHTDDDKKFQFFLPGSITKNISESTERVIDFMTNAIFPIILDTVIKGDPRVEEANLVRIEPESTFWVQSSGAEQKGEVALEITVEEAAAAESGNAWGVAMNACIPVMDLIDTTRSMPYDIQQVRQVFGISSAFEKVTQVQLFPFLLLAEIQYLSKSVGMITKSVLQEHLTTVASSMTCTGDLHGFNNSGYKATCQSLKVQAPFMEATLSRSIQCFEKAAAKAYSDQLGNVVSACSWGNNAEIGTGSAFEILWNDENMSSSKSILGGYGLYDFLEAVETTGATKDKAIVPHNYCLYDVDCIPEDKVCLEENNQITWTDKPKAEFLMESEGRRAGMHSTGQKHPRKPNWHEGNTKSSPNSTAVEFTGQVFQRRQLKTKSNWNSDATQQDDKPSWYSSNSAGTQNFTIAGSSRPGEWNRKNNNRGQGGGREVWKSEGPHRGGSSSNRNQGGGRAVWKSEASHQGSGNNRNRGGGRAVWKSEASRRGGSMRQVASCAFTPVEQQIFEQIEPITKNVKRIIRESRDGIKLPPDDEKFIVTNVLMYHPERKKKIAGNGNYITVDRHQVFHGSRCLYVMSSDGSRKDFSYKKCLENYIRAQYPDAADSFCRKYFK >ONIVA01G51140.1 pep chromosome:AWHD00000000:1:42159786:42160868:1 gene:ONIVA01G51140 transcript:ONIVA01G51140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G42130) TAIR;Acc:AT5G42130] MAARSQSQPHLDFPSLFSDLTSLLLQHPPPPSSSSSPGPVFSSSSLSIPAPAPASAAVAATAPPTPLARAVIGACAGAAAGAFTYAALLPIDAVKTRIQAGAAAGGSWQVFLDILRTDGPLGLYRGLSAVILGSASSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAAKGRSWQVLLQILQTDGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKQRNKESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGTEGSRTVVGTMREVVAEEGLMGLSRGIGPRVLHSACFAALGYCAFETARLAILKWYIEDCERKAAAEMKAGVGAA >ONIVA01G51130.1 pep chromosome:AWHD00000000:1:42153321:42159409:1 gene:ONIVA01G51130 transcript:ONIVA01G51130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKGASSDVIRVSTSSAPSTSSHGSAQDDCDSSGDVYVWGEVICDNSVRTGSDTVVRSTVRTDVLRPKPLESNLVLDAYHVDCGVKHSALVTKNGEVFTWGEESGGRLGHGSREDSIHPRLIESLAVCNVDIVACGEFHTCAVTTAGELYTWGDGTHNVGLLGHGKDVSHWIPKRIAGALEGLAVAYVSCGTWHTALITTMGQLFTFGDGTFGVLGHGNRESISCPKEVESLSGLKTISVACGVWHTAAIVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRLKPTCVASLIDYDFHRIACGHSFTVGLTTSGKVLSMGNTVYGQLGNPRSDGKIPCLVEEIVGENVVQVACGSYHVAVLTIKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALRDRSVRHIACGANFTAAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSRKAVRAALAPNPAKPYRVCDSCFLKLNNAVDSSAISKKKENVLRESNSDGRLTKAIIPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMNSLLQLRDIALSGGLDLNRPVPRAVRTTAVRSVNTSRAVSPFSRKPSPPRSTTPVPTTHGLSIGKGAADNLAKTNEMLNQEVERLRAQVDNLRHRCEVQELELQKSAKKVQEAMTLVAEESSKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGNESKQMHFPNGTELHAAIYSSTNGIHQLQNESISALNTPSLNTGRSLHANGISSQHKSPGSISEHSEVSTHSHRVSSPHDTELSNRRARISSDELFSASGKSDDSNNRDARSLQNGEDGYKPRGTVSLSSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVRSSERVSSAASTRSAR >ONIVA01G51120.1 pep chromosome:AWHD00000000:1:42149094:42149355:1 gene:ONIVA01G51120 transcript:ONIVA01G51120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKYMDHLILSTCDPKIRISYKRVKLLVLLFNNQMMILLAQDSNQNVNFKYQLFA >ONIVA01G51110.1 pep chromosome:AWHD00000000:1:42137876:42145353:-1 gene:ONIVA01G51110 transcript:ONIVA01G51110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLDLPLLLIPVMVVTLISVSFAQTNVTSGASVQAVAGAGWSSPSGHFVFGFYATDGGLAVGVWLATAPSITVTWTASRNDTPATGGALRLTYDGRLLWTGANGQDRTVAAPPQPAVAAAMRDDGNFVLYAANATVAWSTFAAPTDTLLAGQDLAPGAQLFSSVSATSRATGKYRLTNQLNDGNLVMYPAGTMNVAAAAYWDTGTFQIGFPLTLRLDASGVLYLVGNNGSYTKNLTKASAAQAVEQAHYHRVTLDPDGVLRSYRHGLLSSGGWKTDVEWIGPSDRCHVKGACGFNSYCVLDRDAQPSCLCPPGFDLIDAGDAAGGCTASSGAGECTAGQRADPGSSMATMQNVSWADTPCGVLAAGTSAADCQAACMSDCFCVAALLDTNDGTCTKQQLPLRYGRAGGGYTMFVKTGGAASPALGGGGGGNHHHHRLRRASTVALVCVGLLTFVALCALLASARLLWLNQRMVRRRVALADAEALDEEAPLRSYSYEELEHATYSFRHPLGRGALGTVFKGTLRRGGERTVAVKRLEKLVEDGEREFQREVRAIGRTSHRNLVRLLGFCHVGANRLLVYDYMSNGSLADFLSRPKFLSKIPNAYIWVMHCDVKPQNILMDAAGTTKITSVRGTRGYLASEWYRGAGPVMVKADVYSYGVVLLETVACRRSMEMEETAGEEERTLADWAYEWLLVKSEAKSAMSSDETVEAAEVERVVRVAMWCVQAEPQSRPSMDGVILMLQGRLEVPFPPPPPASS >ONIVA01G51100.1 pep chromosome:AWHD00000000:1:42124127:42125720:-1 gene:ONIVA01G51100 transcript:ONIVA01G51100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSIEKSYELPDGQVITIGSERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNVVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >ONIVA01G51090.1 pep chromosome:AWHD00000000:1:42119677:42122910:-1 gene:ONIVA01G51090 transcript:ONIVA01G51090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKSPGDGDSSSGGGGGEQEQEQQAAVVVANATDVSHFGYFQRNPAREFILFVARTVAARTPAGRRQSVHCYNQNGLCAIAFTDDHYPVRSAFSLLNTVLEEYHKTFGERWRTAKTDNTQPWQYLDDALTKYQDPAEADKLLKIQRDLDETKIILHKTIDSVLSRGERLDSLVEKSSDLSAASQMFYKQAKKTNSCCTIL >ONIVA01G51080.1 pep chromosome:AWHD00000000:1:42111357:42112058:-1 gene:ONIVA01G51080 transcript:ONIVA01G51080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSSAAPCDITQFQLLIFGIRDNMAPDNLIIALTPKNDRWKIKVKVTRLWDAVNPTMADDFYGIQMIVLDAEGNSIRVKVK >ONIVA01G51070.1 pep chromosome:AWHD00000000:1:42106909:42109130:1 gene:ONIVA01G51070 transcript:ONIVA01G51070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRNHGGGLTLLFHFHLAVLVVLPSLLPRARAAAAADSSWHPNHPPTRRGHHVGGGNASPSAAAGHGLPPLSAPAPAPIAGADDLPAFGRAPKQAPPHFGFPLQPTFGVAAPPVSPTAAGEGYPFIGSNPTVPLPTGMTDTSTVVGRAAAAPVRAQIAMIGLVATISILFLSGRS >ONIVA01G51060.1 pep chromosome:AWHD00000000:1:42103614:42105112:1 gene:ONIVA01G51060 transcript:ONIVA01G51060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYETGRHGHGGNDGEDHGSSSKKTSQQGGAGDQEYSHKQQERMAAIIQKGLSLVYEGHKAKEQYAPAPGCRSRSTASYYYYGNLFD >ONIVA01G51050.1 pep chromosome:AWHD00000000:1:42097169:42098935:1 gene:ONIVA01G51050 transcript:ONIVA01G51050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYSSTVDECYETTGRQHGHGHGHGHGHGHGHGGMRVESHTDDYYSEGGEIDRGRRNNSMHSQEYLMRQQSGHGGYGYGGGQQQEYYKREEREHKQRERYEGHQAKKDPANAQRHRIEQGVAAVAAVGAGGYAYHEHREQKQASYGAKEQQYGYARMPQQQGYYCN >ONIVA01G51040.1 pep chromosome:AWHD00000000:1:42093166:42093946:1 gene:ONIVA01G51040 transcript:ONIVA01G51040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSIEAIHVRTDYDDCRRKGHGHGAMRMQDELARTKTRWPTPAQLEMIERMKEEEEDDEIVASANRTPSVVETKVQVPEIVEQNVVERDERLRTSDDNDDYEDEPIVRRDGHGGGGGGRRAYGDIGGYHGSKGRWPREPEVEKLEREKEMLKYGIMSKPTTTRKVKIVHRMIRPPNQYGAAGSAPPPTAGGGHQPATSSYLRPIYYHY >ONIVA01G51030.1 pep chromosome:AWHD00000000:1:42087904:42091926:1 gene:ONIVA01G51030 transcript:ONIVA01G51030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTSTTTAAAASPTPCRPAALVARSSAAPLRSAAPVVVAAGLRRAAAPSRRGATLRVQAKKQTFSSFDELLEKSEKPVLVDFYATWCGPCQYMVPILQEVSEKLGDKIQVVKIDTEKYTSIANRYQIEALPTFIIFKNGKPCHRFEGALPANQLIQQIESALEVAK >ONIVA01G51030.2 pep chromosome:AWHD00000000:1:42087904:42091803:1 gene:ONIVA01G51030 transcript:ONIVA01G51030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTSTTTAAAASPTPCRPAALVARSSAAPLRSAAPVVVAAGLRRAAAPSRRGATLRVQAKKQTFSSFDELLEKSEKPVLVDFYATWCGPCQYMVPILQEVSEKLGDKIQVVKIDTEKYTSIANRYQIEALPTFIIFKNGKPCHRFEGALPANQLIQQIESALEVAK >ONIVA01G51030.3 pep chromosome:AWHD00000000:1:42087904:42090943:1 gene:ONIVA01G51030 transcript:ONIVA01G51030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTSTTTAAAASPTPCRPAALVARSSAAPLRSAAPVVVAAGLRRAAAPSRRGATLRVQAKKQTFSSFDELLEKSEKPVLVDFYATWCGPCQYMVPILQEVSEKLGDKIQVVKIDTEKYTSIANRYQIEALPTFIIFKNGKPCHRFEGALPANQLIQQIESALEVAK >ONIVA01G51020.1 pep chromosome:AWHD00000000:1:42082400:42087720:-1 gene:ONIVA01G51020 transcript:ONIVA01G51020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVREEIQDSIDKLQTTFHRWEKTPSNTGEHVHLTKELHTSCESIEWQVDELEKTILVASRDPAYYGLDEVELSRRRNWIGSARNQVAAVRRSVEKGKSNSTFSAHQDMGTSRSNHYTAQDNDDFIASESDRQLLLMRQQDEELDELSESVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLILFLVVLFIILFVLDAL >ONIVA01G51010.1 pep chromosome:AWHD00000000:1:42080535:42080851:-1 gene:ONIVA01G51010 transcript:ONIVA01G51010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRRRRRHHRHRRGESGYGAAVFFACLPGALIAIGVLMVLYYRSHHGHGALAVFLLVLSVFVFLSVCFCCIGLVQLAIHGSLPLEEDRVAAAVDHPLDAV >ONIVA01G51000.1 pep chromosome:AWHD00000000:1:42078626:42084375:1 gene:ONIVA01G51000 transcript:ONIVA01G51000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZ88] MASRTSATAGMLLLAAAAALVCSSAAARMPPLAKGLSLGYYDASCPQAEAVVFEFLQDAIAKDVGLAAALIRLHFHDCFVQGCDASILLDSTPTEKSEKLAPPNKTLRKSAFDAIDDLRDLLDRECGDTVVSCSDIVTLAARDSVLLAGGPWYDVPLGRHDGSSFASEDAVLSALPSPDSNVTTLLEALGKLKLDAHDLVALSGAHTVGIAHCTSFDKRLFPQVDPTMDKWFAGHLKVTCPVLNTNDTTVNDIRTPNTFDNKYYVDLQNRQGLFTSDQGLFFNATTKPIVTKFAVDQSAFFDQYVYSVVKMGMIEVLTGSQGQIRKRCSVSNAAAAGDRAWSVVETVAEAAESLEMASATTLVMLVAAVACLCAPAAAGDGNADATRQPPVVSGLSFDFYRKSCPKAESVVRKFVRDAVRKDIGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGERQAPPNLTLRPSAFKAVNDIRDRLEKACGATVVSCSDILALAARDSVVVSGGPEYKVPLGRRDSAEFASQQDVLSGLPPPTAAVPALLDALAKIKLDATDLVALSGGHTVGLAHCSSFEGRLFPRRDPAMNTTFAGRLRRTCPAAGTDRRTPNDVRTPNVFDNMYYVNLVNREGLFTSDQDLFADAATKPIVEKFAADEKAFFDQFAVSMVKMGQISVLTGSQGQVRRNCSARNPGTVAAGDLPWSVLEVADSFVF >ONIVA01G50990.1 pep chromosome:AWHD00000000:1:42073774:42074454:1 gene:ONIVA01G50990 transcript:ONIVA01G50990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZ87] MAVAQLRALLDDACGGAVVSCADILTLAARDSVRLVGGPEYRVPLGRRDGATIAARERVVAAFPPPSSNVTALLAAVAKIGLDAADLVALSGAHTLGVSRCISFDDRLFPQVDATMDARFAAHLRLSCPAKNTTNTTAIDVRTPNAFDNKYYVDLLSRQGLLTSDQVLFSDGRTRGLVGRFAVDQPEFFRRFAFSMVKMSQIQVMTGVQGEIRTNCSVRNAAGGGT >ONIVA01G50980.1 pep chromosome:AWHD00000000:1:42073223:42073486:1 gene:ONIVA01G50980 transcript:ONIVA01G50980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMSSTLQVLVVSCCSLLLLCAPAASAGDYPPTAKGLSYGFYQRSCPKAETIVRSFLKKAIRNDVGLAPGLIRLHFHDCFVQAIN >ONIVA01G50970.1 pep chromosome:AWHD00000000:1:42070504:42070848:-1 gene:ONIVA01G50970 transcript:ONIVA01G50970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLENPNYDGYGGDGDGDGDDDYYFTAMAERDVGIDIDYGLAAFLACLAVTTIGVGILMVKYYRSRHGALAVFLLALAIFLFLMASGCGTKAVLYTLHSNPPAPDERTTTSRR >ONIVA01G50950.1 pep chromosome:AWHD00000000:1:42062138:42063773:-1 gene:ONIVA01G50950 transcript:ONIVA01G50950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISKKNRREICKYLFHEGVLYAKKDYNLAKHPKVDVPNLEVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEHLRSYLNLPSEVVPNTLKKSAKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRVEYPS >ONIVA01G50940.1 pep chromosome:AWHD00000000:1:42058501:42061192:-1 gene:ONIVA01G50940 transcript:ONIVA01G50940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQGGKPPAPDAGGGGGGGESKKGSPCEECGEQPWKYRCPGCSRLTCSLPCVQSHKRRTACTGKRPRTDPVPLANFDDHQLLSGNYSFLEETKQVAESAHRLIGAFGRNYGGFGGAQLPKWLFYLRKAAQRRGIWLQFLPRGMARREQNRSRHNHRKDCIYWTLEWKFNSTDVVLTDHNIDEHTSLLSSLEKHLSPGPWKNQLTPYRNTDLRDLKLFIQKSAKESTSPYRQLNIEEPLGPQLRSIKIVEYPTINVFLPSDSCDFEVEKFVNKLPASEKPPGSSTDSPDLEGTEFHEEEIEEGELAPETQVIDLKECGASHASNLASAKDTSGSKVDTKRDSSVLSYIRSLGLDGQQKALTERNKMAPNTTSGASKTKNCMKVYPMDMEESGDAGVISERQGIECKNQAASHPGNLTPVEGTTVSKIDSNTDSLVPSSISILASDGFSCPQVEHNQQSRLTPNSTPEALKRKSCMKVYPLDTEKNLGLFSEVPNLGFEQEIGNAYSDLFGDINPDDFLNFDLEMMDEDELAGITSPLKLWDDLEEGEIPTA >ONIVA01G50930.1 pep chromosome:AWHD00000000:1:42056064:42057753:-1 gene:ONIVA01G50930 transcript:ONIVA01G50930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-like, Ufm1 (InterPro:IPR005375); Has 244 Blast hits to 244 proteins in 106 species: Archae - 0; Bacteria - 0; Metazoa - 149; Fungi - 0; Plants - 47; Viruses - 0; Other Eukaryotes - 48 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G77710) TAIR;Acc:AT1G77710] MAAAGGGGAGAAGGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGALAAPF >ONIVA01G50920.1 pep chromosome:AWHD00000000:1:42054435:42055311:1 gene:ONIVA01G50920 transcript:ONIVA01G50920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZ81] MSSTFNGDEFAPFLGFIGAALALIFSCMGAAYGTARSGVGVAHMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIITTGINPTAMPYYHFDGSVHLAAGLATGLCALAAGLAIGVVGDAGVRANAQQPKLFVGMILILIFAEALGLYGLIVGIILSSRAGQSRAH >ONIVA01G50910.1 pep chromosome:AWHD00000000:1:42050288:42053094:-1 gene:ONIVA01G50910 transcript:ONIVA01G50910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:senescence associated gene 18 [Source:Projected from Arabidopsis thaliana (AT1G71190) TAIR;Acc:AT1G71190] MDVSRRKKWVAWVAAVTIFVVLMLVTPAIPQNEEYHDFADQRRLFLGIPNTLNVISNIPFFFVGVVGLVLCHYKNYFRLNSQGELWSWTLFFAGVTAVAFGSSYYHLKPNDATLVWDRLPMTIAFTSVMAIFIIERVDDRAGTKSLAPLVIAGALSIMFFDDLRPYAVIQFVPCIAIPVMAIVIPPMYTHSSYWLWAAGFYLLAKVEEAADKPIYKWTHQIVSGHTLKHLAAAMVPVFLALMLAKRTVEPERVSLFQRWKVKLVAVRETRFNKDRNTVDYNYIAVSTTPEP >ONIVA01G50900.1 pep chromosome:AWHD00000000:1:42048560:42049703:1 gene:ONIVA01G50900 transcript:ONIVA01G50900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVGCLIAILARSCWHLAVAAVKLPALLCCDAMLSTVAFLTFPLRLLAAVDRERKAKLALLDRLVGEMQRQMERLVWENRELEEKLGMALKESRAMEEILDEMEEEHDDAFARITLLETQLKALKLENMRLIEHRGKSMWDKKPPATAVHGGESLPASTSRPSNTRKRKDREDEAEEAAAAQEEEGGGGVATEEDSEMSVQMRRGKAVARRRSLVSVGMAAAVGAVVWAADAPCLPLLAGLLATVGVSMCSVARFFLLREEAAAALRGGSGRPASSSSDAVVLLSLNWFLLGVLTSPMLPGAAHAVFPRAARLVAPAVAWFSATAPLSS >ONIVA01G50890.1 pep chromosome:AWHD00000000:1:42041510:42044980:1 gene:ONIVA01G50890 transcript:ONIVA01G50890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTATSSSSTSISSMSTGGGINGGWTRGDENDNTNGGNNTDKASFSTRAFSGPHAFCISIIIDHVRPCSATSLPSSQALIIQISFRFFWGDVYLYDTFGSAMSRVTRWFTKTGRGSIQTSD >ONIVA01G50880.1 pep chromosome:AWHD00000000:1:42035474:42041461:1 gene:ONIVA01G50880 transcript:ONIVA01G50880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAPPPGAGASEAAASVGEGGGGAATAGEQRLRTRAEQRSGQAGGRGHVRTGREADGERARRRRRRKQKGEEMDRGKGGNRFSSPTVSRASFHRLCASPVSALPFIVAAPHRTWPNPDQIHSSRKEGCHDHPTWRRRSIGIIEKGAPASRQWRSMAVCAIF >ONIVA01G50870.1 pep chromosome:AWHD00000000:1:42034447:42035459:1 gene:ONIVA01G50870 transcript:ONIVA01G50870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVHIPTGAPAGLGGWRQEARLPSAGGGRSGDGQAWQRVAGGAAMGKAVGAEAGSRIKREEEATLRRRSTSAVGKHGGGQRWARGGYRRVERRQVGARHERRSGDAAVATTQEKGRAAMTVAQGREKRTGEHGGSNVATEWLAEGRPWSK >ONIVA01G50860.1 pep chromosome:AWHD00000000:1:42033864:42034305:-1 gene:ONIVA01G50860 transcript:ONIVA01G50860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVRPAASGASWWAHPLPPPPSAPIPIHPASEQGRCCSSCCFRRILITDLKIAADGRDAAI >ONIVA01G50850.1 pep chromosome:AWHD00000000:1:42029290:42033826:-1 gene:ONIVA01G50850 transcript:ONIVA01G50850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGQKDKLALDPCVSGDTNENAHACTSLTAVHQVLEESTNNTVHAMDMGVVTRQDDPLKASEEDINQQKDEQELWGPNVQTSQDDYFDYVKQLSPNSRRIDKWSLLSHDEMIEVKERHARYRIRFCKMLNKEFEDTMKDPAEYSRGELLKENYFLRYERDETLGWYFHPVHTWLAGLNDYQKLVLVNHDDSTEFLARDDYHSCFNTYEVDEDYVKYCEELPKKIKWIGEYVDLDRSSQEWSKMDNTTFFQALKIATNFCHMTVGLAQFAYMEYVWDLREISRLKEWPYFLHEIWKLVAKQKMNFNDALKVVYEMDMFHSFKFAGEAELNGDKLFGLEYRFSMCAKDISGDVEEGKALDLITKAVYREFHHTQTMCGYAAKKMDMAKKIGLV >ONIVA01G50850.2 pep chromosome:AWHD00000000:1:42029288:42033826:-1 gene:ONIVA01G50850 transcript:ONIVA01G50850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGQKDKLALDPCVSGDTNENAHACTSLTAVHQVLEESTNNTVHAMDMGVVTRQDDPLKASEEDINQQKDEQELWGPNVQTSQDDYFDYVKQLSPNSRRIDKWSLLSHDEMIEVKERHARYRIRFCKMLNKEFEDTMKDPAEYSRGELLKENYFLRYERDETLGWYFHPVHTWLAGLNDYQKLVLVNHDDSTEFLARDDYHSCFNTYEVDEDYVKYCEELPKKIKWIGEYVDLDRSSQEWSKMDNTTFFQALKIATNFCHMTVGLAQFAYMEYVWDLREISRLKEWPYFLHEIWKLVAKQKFSMCAKDISGDVEEGKALDLITKAVYREFHHTQTMCGYAAKKMDMAKKIGLV >ONIVA01G50840.1 pep chromosome:AWHD00000000:1:42023841:42024190:1 gene:ONIVA01G50840 transcript:ONIVA01G50840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPLVHKEDEASDEQRQGRCVRRRRGWMTEGRHPVVRGGQRHVARRQPVLLAVGRRGGAAACRAMTRGSWRSRSCLGRWCMRQALLGFDHVYAGCSDAAAISSR >ONIVA01G50830.1 pep chromosome:AWHD00000000:1:42018913:42023336:-1 gene:ONIVA01G50830 transcript:ONIVA01G50830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEAANKILQVQIMPGDSEDRLYWKHSRIGDCNTKSAYKEFMKRENPITHQIWGNVDLVPTFLHVAVLSIRINHPDTGLSAHLQEVWNTQTAIQFRLRSRNLGKKNVELHSPTAPPLNARNRRRTRKTSPPNRPAKLRRRTLRNRRRACKISPEAARFSIKNWKSLLYHGETRSRTLTGGDRQADEEY >ONIVA01G50820.1 pep chromosome:AWHD00000000:1:42018542:42021529:1 gene:ONIVA01G50820 transcript:ONIVA01G50820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAAQLEDINAIGRMLKSISALAKIGVPHQAERYMLVDHLAMNLEFLANTQQIGTIKDVILDHVFFWFKERRKRFFIYDIPKALKDAAFCNNVRRGQTCVLEWDKKPHHGLLGSMNRYRKTNLNLPAYDGNDPIQNVKFVSGAYTHEEEVQDDLTFNGMSSTVDEAVQSEQPMLCLNLYKCLSPEGSLANQS >ONIVA01G50820.2 pep chromosome:AWHD00000000:1:42018542:42021529:1 gene:ONIVA01G50820 transcript:ONIVA01G50820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAAQLEDINAIGRMLKSISALAKIGVPHQAERYMLVDHLAMNLEFLANTQQIGTIKDVILDHVFFWFKERRKRFFIYDIPKALKDAAFCNNVRRGQTCVLEWDKKPHHGLLGSMNRYRKTNLNLPAYDGNDPIQNVKFVSGAYTHEEEVQDDLTFNGMSSTVDEAVQSEQPMLCLNLYKCLSPEGSLANQS >ONIVA01G50820.3 pep chromosome:AWHD00000000:1:42018542:42021529:1 gene:ONIVA01G50820 transcript:ONIVA01G50820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAAQLEDINAIGRMLKSISALAKIGVPHQAERYMLVDHLAMNLEFLANTQQIGTIKDVILDHVFFWFKERRKRFFIYDIPKALKDAAFCNNVRRGQTCVLEWDKKPHHGLLGSMNRYRKTNLNLPAYDGNDPIQNVKFVSGAYTHEEEVQDDLTFNGMSSTVDEAVQSEQPMLCLNLYKCLSPEGSLANQS >ONIVA01G50820.4 pep chromosome:AWHD00000000:1:42018952:42021529:1 gene:ONIVA01G50820 transcript:ONIVA01G50820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAAQLEDINAIGRMLKSISALAKIGVPHQAERYMLVDHLAMNLEFLANTQQIGTIKDVILDHVFFWFKERRKRFFIYDIPKALKDAAFCNNVRRGQTCVLEWDKKPHHGLLGSMNRYRKTNLNLPAYDGNDPIQNVKFVSGAYTHEEEVQDDLTFNGMSSTVDEAVQSEQPMLCLNLYKCLSPEGSLANQS >ONIVA01G50820.5 pep chromosome:AWHD00000000:1:42018952:42021529:1 gene:ONIVA01G50820 transcript:ONIVA01G50820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAAQLEDINAIGRMLKSISALAKIGVPHQAERYMLVDHLAMNLEFLANTQQIGTIKDVILDHVFFWFKERRKRFFIYDIPKALKDAAFCNNVRRGQTCVLEWDKKPHHGLLGSMNRYRKTNLNLPAYDGNDPIQNVKFVSGAYTHEEEVQDDLTFNGMSSTVDEAVQSEQPMLCLNLYKCLSPEGSLANQS >ONIVA01G50810.1 pep chromosome:AWHD00000000:1:42010346:42014886:1 gene:ONIVA01G50810 transcript:ONIVA01G50810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASQPRSHQPTSLRRAPPPSSAAAKPEPNGKASNSKPASPVQAPSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSPAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRLVAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKYLHIPVADKSKYRILTTGKSFFDVEGASEANSMANTMMQKFWDSALALQPAEESDARSLRFIFNSLMRSEESRMATSDNAEGKHIPPHVGNSFSFKLQDRKGLSESLDELVSAVSYRLGMEKEKINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAVAVASMGVIFYLKRSEL >ONIVA01G50810.2 pep chromosome:AWHD00000000:1:42010346:42014770:1 gene:ONIVA01G50810 transcript:ONIVA01G50810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASQPRSHQPTSLRRAPPPSSAAAKPEPNGKASNSKPASPVQAPSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSPAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRLVAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKYLHIPVEGASEANSMANTMMQKFWDSALALQPAEESDARSAVKNLEWQHQIMLKGNIYPLMLAIHSPLNYKIEKGVCTDSVVVVSESLDELVSAVSYRLGMEKEKINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAVAVASMGPSYPFCNLV >ONIVA01G50810.3 pep chromosome:AWHD00000000:1:42010346:42014770:1 gene:ONIVA01G50810 transcript:ONIVA01G50810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASQPRSHQPTSLRRAPPPSSAAAKPEPNGKASNSKPASPVQAPSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSPAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRLVAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKYLHIPVEGASEANSMANTMMQKFWDSALALQPAEESDARSEESRMATSDNAEGKHIPPHVGNSFSFKLQDRKGLSESLDELVSAVSYRLGMEKEKINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAVAVASMGPSYPFCNLV >ONIVA01G50810.4 pep chromosome:AWHD00000000:1:42010346:42014886:1 gene:ONIVA01G50810 transcript:ONIVA01G50810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASQPRSHQPTSLRRAPPPSSAAAKPEPNGKASNSKPASPVQAPSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSPAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRLVAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKYLHIPVADKSKYRILTTGKSFFDVEGASEANSMANTMMQKFWDSALALQPAEESDARSAVKNLEWQHQIMLKGNIYPLMLAIHSPLNYKIEKGVCTDSVVVVSESLDELVSAVSYRLGMEKEKINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAVAVASMGVIFYLKRSEL >ONIVA01G50810.5 pep chromosome:AWHD00000000:1:42010346:42014886:1 gene:ONIVA01G50810 transcript:ONIVA01G50810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASQPRSHQPTSLRRAPPPSSAAAKPEPNGKASNSKPASPVQAPSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSPAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRLVAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKYLHIPVEGASEANSMANTMMQKFWDSALALQPAEESDALKNLEWQHQIMLKGNIYPLMLAIHSPLNYKIEKGVCTDSVVVVSESLDELVSAVSYRLGMEKEKINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAVAVASMGVIFYLKRSEL >ONIVA01G50810.6 pep chromosome:AWHD00000000:1:42010346:42014770:1 gene:ONIVA01G50810 transcript:ONIVA01G50810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASQPRSHQPTSLRRAPPPSSAAAKPEPNGKASNSKPASPVQAPSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSPAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSSISFRSCNSSCQKNERIPSQLSGCHDREHVARNSYVMTTNPDCATLDTSILEALHSMQDGKYLHIPVADKSKYRILTTGKSFFDVEGASEANSMANTMMQKFWDSALALQPAEESDARSEESRMATSDNAEGKHIPPHVGNSFSFKLQDRKGLSESLDELVSAVSYRLGMEKEKINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAVAVASMGPSYPFCNLV >ONIVA01G50800.1 pep chromosome:AWHD00000000:1:41996121:42001336:-1 gene:ONIVA01G50800 transcript:ONIVA01G50800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSATSSNLIVYLVHKYNVKAIHAAQISNVVRGCMQLAPVLAAALSDAFFGPYPIASFVLFTLTAALPSLLPPPCQRGAGGGGATATSCEPPNAAQSAVLYAALCLLAAGNGGTRYNMAALGADQFAGEGQPRRRRQGGGFFSCYFAFLYASYATGDTVLVYVQDGVSWALGFGVCVATTGLALAALLLGSRHYRRPVPKGSPFTAMARVAVAAARKATVDLGLRVQYYHGCNRDDAVPRADQAPSDRFRFLNRAAMVVAGETREEDGSVAKPWRLCTVQQVEDVKSVVRVLPLWSSGILVSVTVNAQVSLTVLQALTMDRAVGPSFAVPAASITVTVLAAFVLAAALFDRVAAPLCAAAGKLAITPLRRVGLGHALNVASMAVAALVERRRIGAARGRAAAAAAVVPMSVLWLVPQLALTGAEEALHLPGNTALFYGELPASLRGTATAMPPLFIAAGSYLSAEAVDAVRRGTTWLPDDLNASRLDCMYWTLAVLAAVNLGYFLLCATTYKYNNYGGDDGNVKAQTQTDDGSIAAAAAASEGRGGYSEMAARLLANLLVIGGTVLGRAAVQAYRQAIVNANKTGAAQEAINGIRRASKAMTEQEARQILGISEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEAVYQKPDVPS >ONIVA01G50800.2 pep chromosome:AWHD00000000:1:41995666:42000092:-1 gene:ONIVA01G50800 transcript:ONIVA01G50800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSFVLFTLTAALPSLLPPPCQRGAGGGGATATSCEPPNAAQSAVLYAALCLLAAGNGGTRYNMAALGADQFAGEGQPRRRRQGGGFFSCYFAFLYASYATGDTVLVYVQDGVSWALGFGVCVATTGLALAALLLGSRHYRRPVPKGSPFTAMARVAVAAARKATVDLGLRVQYYHGCNRDDAVPRADQAPSDRFRFLNRAAMVVAGETREEDGSVAKPWRLCTVQQVEDVKSVVRVLPLWSSGILVSVTVNAQVSLTVLQALTMDRAVGPSFAVPAASITVTVLAAFVLAAALFDRVAAPLCAAAGKLAITPLRRVGLGHALNVASMAVAALVERRRIGAARGRAAAAAAVVPMSVLWLVPQLALTGAEEALHLPGNTALFYGELPASLRGTATAMPPLFIAAGSYLSAEAVDAVRRGTTWLPDDLNASRLDCMYWTLAVLAAVNLGYFLLCATTYKYNNYGGDDGNVKAQTQTDDGSIAAAAAASEGRGGYSEMAARLLANLLVIGGTVLGRAAVQAYRQAIVNANKTGAAQEAINGIRRASKAMTEQEARQILGISEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEAVYQKPDQRLIAAIVSSLTSRLASTNT >ONIVA01G50800.3 pep chromosome:AWHD00000000:1:41996123:42000092:-1 gene:ONIVA01G50800 transcript:ONIVA01G50800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQSFVLFTLTAALPSLLPPPCQRGAGGGGATATSCEPPNAAQSAVLYAALCLLAAGNGGTRYNMAALGADQFAGEGQPRRRRQGGGFFSCYFAFLYASYATGDTVLVYVQDGVSWALGFGVCVATTGLALAALLLGSRHYRRPVPKGSPFTAMARVAVAAARKATVDLGLRVQYYHGCNRDDAVPRADQAPSDRFRFLNRAAMVVAGETREEDGSVAKPWRLCTVQQVEDVKSVVRVLPLWSSGILVSVTVNAQVSLTVLQALTMDRAVGPSFAVPAASITVTVLAAFVLAAALFDRVAAPLCAAAGKLAITPLRRVGLGHALNVASMAVAALVERRRIGAARGRAAAAAAVVPMSVLWLVPQLALTGAEEALHLPGNTALFYGELPASLRGTATAMPPLFIAAGSYLSAEAVDAVRRGTTWLPDDLNASRLDCMYWTLAVLAAVNLGYFLLCATTYKYNNYGGDDGNVKAQTQTDDGSIAAAAAASEGRGGYSEMAARLLANLLVIGGTVLGRAAVQAYRQAIVNANKTGAAQEAINGIRRASKAMTEQEARQILGISEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEAVYQKPDVPS >ONIVA01G50800.4 pep chromosome:AWHD00000000:1:42000479:42001336:-1 gene:ONIVA01G50800 transcript:ONIVA01G50800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSATSSNLIVYLVHKYNVKAIHAAQISNVVRGCMQLAPVLAAALSDAFFGPYPIACHP >ONIVA01G50790.1 pep chromosome:AWHD00000000:1:41992573:41994445:-1 gene:ONIVA01G50790 transcript:ONIVA01G50790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKIDELDIAKLKEKYSPEMLYNKGYFQYYEDSLEWYFDPERCMLTSLDDYQRLVLCDNGLYMDWDQYHSNYSTYESDLAYVKFCEELANETKWFEDYLVLIAVKDKVTIGQWDKVKNIVYLQALKIALRIRVVSLMQIMAGFQEYIWSMRFDCCNYKDFDGVYFEVWKRVAKQKMEFTDALSELYREDMFPLRNVDIKDELDNIRYDIYVACIDETVPENEARQLIKEAVIKMVHRTRAKILEVTAQNRGDNSEAKLAMDN >ONIVA01G50780.1 pep chromosome:AWHD00000000:1:41985815:41990604:-1 gene:ONIVA01G50780 transcript:ONIVA01G50780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine (Calcium-dependent phospholipid-binding protein) family [Source:Projected from Arabidopsis thaliana (AT5G63970) TAIR;Acc:AT5G63970] MWGDRTHHKHWNQAHVPSGTSKDKFQAKGQPKFIPDNYSSVDEVTAALRDAGLESSNLILGIDFTKSNEWSGRYSFGRKSLHAISATPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDQSVFSFYQDSRSCCGFEEVLERYRQIVPHLNLSGPTSFAPLIYAAISVVENSNLQYHVLVIIADGQVTTSNTKDGKLSPQEQATIQAIVDASYYPLSIVMVGVGDGPWDAMQHFDDCIPDRAFDNFQFVNFTEIMSTSKDMPKKEAAFALAALMEIPSQYKATQGLRPLEKHAGHVASHLRILPPPNKVLENDNAAASRPPTASSQSTGFGKNTTDEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRVPITMRIKFPVTAIDLF >ONIVA01G50780.2 pep chromosome:AWHD00000000:1:41985815:41990604:-1 gene:ONIVA01G50780 transcript:ONIVA01G50780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine (Calcium-dependent phospholipid-binding protein) family [Source:Projected from Arabidopsis thaliana (AT5G63970) TAIR;Acc:AT5G63970] MWGDRTHHKHWNQAHVPSGTSKDKFQAKGQPKFIPDNYSSVDEVTAALRDAGLESSNLILGIDFTKSNEWSGRYSFGRKSLHAISATPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDQSVFSFYQDSRSCCGFEEVLERYRQIVPHLNLSGPTSFAPLIYAAISVVENSNLQYHVLVIIADGQFVNFTEIMSTSKDMPKKEAAFALAALMEIPSQYKATQGLRPLEKHAGHVASHLRILPPPNKVLENDNAAASRPPTASSQSTGFGKNTTDEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRVPITMRIKFPVTAIDLF >ONIVA01G50770.1 pep chromosome:AWHD00000000:1:41964455:41984006:-1 gene:ONIVA01G50770 transcript:ONIVA01G50770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGYRKRMASLATERLDPFFFFLLRLPQFLLSIMRQSRLFYLCSIIFMFYLVQRTEAQITAPWEVDALKAIKGNLIDPQGRLNNWNRGDPCMGNWSYVHCYNETASDGYLHVLELQLLKLNLSGSLAAELGRLSHMQIMDFMWNNISGSIPKEVGNITSLKLLLLNGNQLTGSLPEEIGFLPNLDRIQIDQNYISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYLPPELSKLPKLLIIQLDNNNFSGTSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLRGSIPSGRPASNITTIDLSHNLLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSDVWRNIDFSGNRSLILDFQNNSLTNLSNPLSPPANVTILLSGNPICTSPNQLNITQYCQSVPVIVPDGSASNATVCPPCSTDLPFENILMSPIRCICAIPLYVDYRLKSPGFWDFVPYEGQFQQYLSSGLSLSSYQLEVSQFMWEEGPRVKMNLKLFPNNTAYFNKSEVLRLRGMFTGWLIPDSDIFGPYELLNFNPGWYNNYRAKSSLSTGAIVGIVMAAFAAAAFLSSLITLIILRRRSRYSSSKRRSAKRIPMKIDGVKDFSFQELSHGTNDFSDSALIGQGGYGKVYRGILSDGTIVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNGTLRDHLSARSKEPLNFPTRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVAKVADFGLSRLAPEPESEGIAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVVAANQSGMILSVVDSRMGSYPAECVEKFAALALRCCRDETDARPSMVEVMRELEKIWQMTPDTGSMSSLSLEPSNTATPSSGSRMMVSSSSGVGNDDHHHYNMSSSDVSGSNLLSGVPHPEPQRAAKNSAAAGSSTSSAAMLLRLRPPPQPAASLASFLPFSPFSRFLHSPSWRPPPPPPPRRRRLLSTAVASSSSSSKGSTSLEERYDEAMPDVRPRLRRLVLPCNPAVGGPAKSQLVHEVDALGGEMGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAVEMKKVVESTQNLFIREAMATEVMIGQNDNVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPPRIDRRTVNFSGLEPQHGDEEVGWFSFDPEFHIERDQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQGFSTGLPERLQLSLVRTLPGLENCVMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGRDIGLIDDRRWELYQSKQARIKEEKERLKSTKIPGGEFAAAVTSVSNQPVKESSTLEAILKKPHVQYKLLDKHGYGNEHLSRIEKECVEIDIKYEGFIARQQSQLQQIVNQEHKKLPEDLDYHSMTNLSLEAREKLSKVRPQTIGQASRIGGVSPADMTVLLIWMEGNRRMANYKRQQSTLRSAATKADDSSDEVVHASATNA >ONIVA01G50770.2 pep chromosome:AWHD00000000:1:41964455:41982639:-1 gene:ONIVA01G50770 transcript:ONIVA01G50770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSRLFYLCSIIFMFYLVQRTEAQITAPWEVDALKAIKGNLIDPQGRLNNWNRGDPCMGNWSYVHCYNETASDGYLHVLELQLLKLNLSGSLAAELGRLSHMQIMDFMWNNISGSIPKEVGNITSLKLLLLNGNQLTGSLPEEIGFLPNLDRIQIDQNYISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYLPPELSKLPKLLIIQLDNNNFSGTSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLRGSIPSGRPASNITTIDLSHNLLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSDVWRNIDFSGNRSLILDFQNNSLTNLSNPLSPPANVTILLSGNPICTSPNQLNITQYCQSVPVIVPDGSASNATVCPPCSTDLPFENILMSPIRCICAIPLYVDYRLKSPGFWDFVPYEGQFQQYLSSGLSLSSYQLEVSQFMWEEGPRVKMNLKLFPNNTAYFNKSEVLRLRGMFTGWLIPDSDIFGPYELLNFNPGWYNNYRAKSSLSTGAIVGIVMAAFAAAAFLSSLITLIILRRRSRYSSSKRRSAKRIPMKIDGVKDFSFQELSHGTNDFSDSALIGQGGYGKVYRGILSDGTIVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNGTLRDHLSARSKEPLNFPTRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVAKVADFGLSRLAPEPESEGIAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVVAANQSGMILSVVDSRMGSYPAECVEKFAALALRCCRDETDARPSMVEVMRELEKIWQMTPDTGSMSSLSLEPSNTATPSSGSRMMVSSSSGVGNDDHHHYNMSSSDVSGSNLLSGVPHPEPQRAAKNSAAAGSSTSSAAMLLRLRPPPQPAASLASFLPFSPFSRFLHSPSWRPPPPPPPRRRRLLSTAVASSSSSSKGSTSLEERYDEAMPDVRPRLRRLVLPCNPAVGGPAKSQLVHEVDALGGEMGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAVEMKKVVESTQNLFIREAMATEVMIGQNDNVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPPRIDRRTVNFSGLEPQHGDEEVGWFSFDPEFHIERDQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQGFSTGLPERLQLSLVRTLPGLENCVMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGRDIGLIDDRRWELYQSKQARIKEEKERLKSTKIPGGEFAAAVTSVSNQPVKESSTLEAILKKPHVQYKLLDKHGYGNEHLSRIEKECVEIDIKYEGFIARQQSQLQQIVNQEHKKLPEDLDYHSMTNLSLEAREKLSKVRPQTIGQASRIGGVSPADMTVLLIWMEGNRRMANYKRQQSTLRSAATKADDSSDEVVHASATNA >ONIVA01G50770.3 pep chromosome:AWHD00000000:1:41971135:41984006:-1 gene:ONIVA01G50770 transcript:ONIVA01G50770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGYRKRMASLATERLDPFFFFLLRLPQFLLSIMRQSRLFYLCSIIFMFYLVQRTEAQITAPWEVDALKAIKGNLIDPQGRLNNWNRGDPCMGNWSYVHCYNETASDGYLHVLELQLLKLNLSGSLAAELGRLSHMQIMDFMWNNISGSIPKEVGNITSLKLLLLNGNQLTGSLPEEIGFLPNLDRIQIDQNYISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYLPPELSKLPKLLIIQLDNNNFSGTSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLRGSIPSGRPASNITTIDLSHNLLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSDVWRNIDFSGNRSLILDFQNNSLTNLSNPLSPPANVTILLSGNPICTSPNQLNITQYCQSVPVIVPDGSASNATVCPPCSTDLPFENILMSPIRCICAIPLYVDYRLKSPGFWDFVPYEGQFQQYLSSGLSLSSYQLEVSQFMWEEGPRVKMNLKLFPNNTAYFNKSEVLRLRGMFTGWLIPDSDIFGPYELLNFNPGWYNNYRAKSSLSTGAIVGIVMAAFAAAAFLSSLITLIILRRRSRYSSSKRRSAKRIPMKIDGVKDFSFQELSHGTNDFSDSALIGQGGYGKVYRGILSDGTIVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNGTLRDHLSARSKEPLNFPTRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVAKVADFGLSRLAPEPESEGIAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVVAANQSGMILSVVDSRMGSYPAECVEKFAALALRCCRDETDARPSMVEVMRELEKIWQMTPDTGSMSSLSLEPSNTATPSSGSRMMVSSSSGVGNDDHHHYNMSSSDVSGSNLLSGVVPSINPR >ONIVA01G50770.4 pep chromosome:AWHD00000000:1:41971135:41984006:-1 gene:ONIVA01G50770 transcript:ONIVA01G50770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGYRKRMASLATERLDPFFFFLLRLPQFLLSIMRQSRLFYLCSIIFMFYLVQRTEAQITAPWEVDALKAIKGNLIDPQGRLNNWNRGDPCMGNWSYVHCYNETASDGYLHVLELQLLKLNLSGSLAAELGRLSHMQIMDFMWNNISGSIPKEVGNITSLKLLLLNGNQLTGSLPEEIGFLPNLDRIQIDQNYISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYLPPELSKLPKLLIIQLDNNNFSGTSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSHNLLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSDVWRNIDFSGNRSLILDFQNNSLTNLSNPLSPPANVTILLSGNPICTSPNQLNITQYCQSVPVIVPDGSASNATVCPPCSTDLPFENILMSPIRCICAIPLYVDYRLKSPGFWDFVPYEGQFQQYLSSGLSLSSYQLEVSQFMWEEGPRVKMNLKLFPNNTAYFNKSEVLRLRGMFTGWLIPDSDIFGPYELLNFNPGWYNNYRAKSSLSTGAIVGIVMAAFAAAAFLSSLITLIILRRRSRYSSSKRRSAKRIPMKIDGVKDFSFQELSHGTNDFSDSALIGQGGYGKVYRGILSDGTIVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNGTLRDHLSARSKEPLNFPTRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVAKVADFGLSRLAPEPESEGIAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVVAANQSGMILSVVDSRMGSYPAECVEKFAALALRCCRDETDARPSMVEVMRELEKIWQMTPDTGSMSSLSLEPSNTATPSSGSRMMVSSSSGVGNDDHHHYNMSSSDVSGSNLLSGVVPSINPR >ONIVA01G50770.5 pep chromosome:AWHD00000000:1:41971135:41982639:-1 gene:ONIVA01G50770 transcript:ONIVA01G50770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSRLFYLCSIIFMFYLVQRTEAQITAPWEVDALKAIKGNLIDPQGRLNNWNRGDPCMGNWSYVHCYNETASDGYLHVLELQLLKLNLSGSLAAELGRLSHMQIMDFMWNNISGSIPKEVGNITSLKLLLLNGNQLTGSLPEEIGFLPNLDRIQIDQNYISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYLPPELSKLPKLLIIQLDNNNFSGTSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSWNQLRGSIPSGRPASNITTIDLSHNLLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSDVWRNIDFSGNRSLILDFQNNSLTNLSNPLSPPANVTILLSGNPICTSPNQLNITQYCQSVPVIVPDGSASNATVCPPCSTDLPFENILMSPIRCICAIPLYVDYRLKSPGFWDFVPYEGQFQQYLSSGLSLSSYQLEVSQFMWEEGPRVKMNLKLFPNNTAYFNKSEVLRLRGMFTGWLIPDSDIFGPYELLNFNPGWYNNYRAKSSLSTGAIVGIVMAAFAAAAFLSSLITLIILRRRSRYSSSKRRSAKRIPMKIDGVKDFSFQELSHGTNDFSDSALIGQGGYGKVYRGILSDGTIVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNGTLRDHLSARSKEPLNFPTRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVAKVADFGLSRLAPEPESEGIAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVVAANQSGMILSVVDSRMGSYPAECVEKFAALALRCCRDETDARPSMVEVMRELEKIWQMTPDTGSMSSLSLEPSNTATPSSGSRMMVSSSSGVGNDDHHHYNMSSSDVSGSNLLSGVVPSINPR >ONIVA01G50760.1 pep chromosome:AWHD00000000:1:41960525:41963839:1 gene:ONIVA01G50760 transcript:ONIVA01G50760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSHDAFAAARARARASSSSLERPRRVQADPAALCRERAALIRAAADRRYALASAHAAYFRSLAAVGGALRRFAAAALAPGTPPSGSSPVLTLPPSPAKPIDASAAAARSSLPPSPSSSSTVSPLSHTLSDEDLDAHGAAKHATAAAASSTRYHYHYMRNSPTVYEDPNGEASYGGYGGYGYTYSYGPYGEVVAEERPETATPPPTAEVAAWDFFDPFTSYDQFMEDYKGHDGGSLPSNSPNYSELRRMEGIPELEDEAELEAAEAKAKASKPSTSVVADQGGKGKRPISSDVSSKGEASDGKLLQRKGSGGNGEPENASLKGSGSGDNNGSSTRKKKGIAFDGIEQPIAAAQGEGGSGKSVQSTAVSSESFSPLHQGNRSVMEAMDEIKERFDEALNCGEEVSKLLEVGKVPPQSSTPRVLRYLSSRVMDPLSLTVPSSSCLPKPRRKSRTLSGKASTSSNPSVAGRRNSAGSLSSTLEKLCAWEKKLYQEIKDEEKLRILYEKKYRRLKSLDERGLDSTTIDATRLSVRNLQSRITINIRTANAFSSKIQNIRDEELYPQLVDLIIGLRRMWKAVLLCHEKQLSAIQDSKMHLIKAVTISQSNAAAVATVELERELAKWYRCFNKWISSQRSYAEALNGWLRKWLTEPEVQEENTPDGAPPFSPGKLGAPPVFVISNDWLQVIEMVSKNEVLKTIDQFSKLVHEYKKTQEKEHRQKRKADHASRDYNKRREVLQRELGLSTSLDMVAVMENTHHSHDNRVIELEKISRKKDEEKTKHVEIVKHAHLAASATLPVGLVPVLHQIVSFSQENVQKYTSIRTRGARVH >ONIVA01G50750.1 pep chromosome:AWHD00000000:1:41949916:41952563:1 gene:ONIVA01G50750 transcript:ONIVA01G50750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Gamma-secretase aspartyl protease complex, presenilin enhancer-2 subunit (InterPro:IPR019379); Has 168 Blast hits to 168 proteins in 71 species: Archae - 0; Bacteria - 0; Metazoa - 126; Fungi - 0; Plants - 36; Viruses - 0 /.../r Eukaryotes - 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G09310) TAIR;Acc:AT5G09310] MEARVAGVPEDEESGLLPRPSAAGRRPSAAAARRAPPPPVWATVDGPLGLPLEEAEGHARRFFLWGFACLPFLWAINCCYFWPVLRSPATSPSSAAFSRIRPYVVRSAIGFTIFSVVLLTWATTFIIGGERLFGPGWNDLVMYNVADKLGISGFMG >ONIVA01G50740.1 pep chromosome:AWHD00000000:1:41946851:41948388:1 gene:ONIVA01G50740 transcript:ONIVA01G50740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H:plastoquinone dehydrogenase complex subunit O [Source:Projected from Arabidopsis thaliana (AT1G74880) TAIR;Acc:AT1G74880] MEALLSSPRALFSVTPTACWPASARRRRRVASPVKAAAAAAEPAGEEKKPATGGAAAAAGDGQAAAPAPKKILKKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPFFKGLDYIYEDRGEVLDIRIFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >ONIVA01G50730.1 pep chromosome:AWHD00000000:1:41938472:41945880:-1 gene:ONIVA01G50730 transcript:ONIVA01G50730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZ41] MGHSPSRHNACGGGGGDGESPPSPLPSRFERFRRRLRLRHRDRAGRPGGDAHASESGTGRAIAVDEFAGIARIRIVKADMQFKDKFIACLSLGERTYRTEKSDNTSTPVWNSEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDLDEHSEVLSLLDPSSSATIVGSISISCYIEDPVETEQSFARRVLAIVDYNEDGELSLSEFSDLMKAFGNKLAVAKIEELFRQADKNGDGIVDMDELAALLANQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKACDLVFIYLLIIFEIGPLTLRLPMTFNEFFVRQLKPGARPIACYEQDTIATCAADSRLMTFSSVDESTRLWIKGRKFSIEGLLGKDVHSDALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKEEGDYVHKGDEFGYFAFGGSTVICVFEKDAIEFDADLLANSARSLETLVSVGMRLGVSTRNRDLQPQELEKCSLE >ONIVA01G50730.2 pep chromosome:AWHD00000000:1:41938472:41945880:-1 gene:ONIVA01G50730 transcript:ONIVA01G50730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZ41] MGHSPSRHNACGGGGGDGESPPSPLPSRFERFRRRLRLRHRDRAGRPGGDAHASESGTGRAIAVDEFAGIARIRIVKADMQFKDKFIACLSLGERTYRTEKSDNTSTPVWNSEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDLDEHSEVLSLLDPSSSATIVGSISISCYIEDPVETEQSFARRVLAIVDYNEDGELSLSEFSDLMKAFGNKLAVAKIEELFRQADKNGDGIVDMDELAALLANQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKTFNEFFVRQLKPGARPIACYEQDTIATCAADSRLMTFSSVDESTRLWIKGRKFSIEGLLGKDVHSDALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKEEGDYVHKGDEFGYFAFGGSTVICVFEKDAIEFDADLLANSARSLETLVSVGMRLGVSTRNRDLQPQELEKCSLE >ONIVA01G50730.3 pep chromosome:AWHD00000000:1:41938472:41945880:-1 gene:ONIVA01G50730 transcript:ONIVA01G50730.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZ41] MGHSPSRHNACGGGGGDGESPPSPLPSRFERFRRRLRLRHRDRAGRPGGDAHASESGTGRAIAVDEFAGIARIRIVKEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDPVETEQSFARRVLAIVDYNEDGELSLSEFSDLMKAFGNKLAVAKIEELFRQADKNGDGIVDMDELAALLANQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKACDLVFIYLLIIFEIGPLTLRLPMTFNEFFVRQLKPGARPIACYEQDTIATCAADSRLMTFSSVDESTRLWIKGRKFSIEGLLGKDVHSDALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKEEGDYVHKGDEFGYFAFGGSTVICVFEKDAIEFDADLLANSARSLETLVSVGMRLGVSTRNRDLQPQELEKCSLE >ONIVA01G50730.4 pep chromosome:AWHD00000000:1:41938472:41945880:-1 gene:ONIVA01G50730 transcript:ONIVA01G50730.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZ41] MGHSPSRHNACGGGGGDGESPPSPLPSRFERFRRRLRLRHRDRAGRPGGDAHASESGTGRAIAVDEFAGIARIRIVKEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDPVETEQSFARRVLAIVDYNEDGELSLSEFSDLMKAFGNKLAVAKIEELFRQADKNGDGIVDMDELAALLANQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKTFNEFFVRQLKPGARPIACYEQDTIATCAADSRLMTFSSVDESTRLWIKGRKFSIEGLLGKDVHSDALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKEEGDYVHKGDEFGYFAFGGSTVICVFEKDAIEFDADLLANSARSLETLVSVGMRLGVSTRNRDLQPQELEKCSLE >ONIVA01G50720.1 pep chromosome:AWHD00000000:1:41932575:41935606:1 gene:ONIVA01G50720 transcript:ONIVA01G50720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPFPSLDAFYLHLLRACTSLRHAAAVHAHIARAHPAASLFLRNTLLAAYCRLGGPLPARRLLDEMPRRNAVSFNLLIDAYSREGLAPLSLETLARARRAGVGVDRFSYAAALAACSRAGHLRAGRAVHALAVLDGLSSGVFVSNSLVSMYSKCGEMGEARRVFDVAEERDDVSWNSLVSGYVRAGAREEMVRVFAMMRRGGMGLNSFALGSVIKCCSGRGDGTMDIAEAVHGCVIKAGLDSDVFLVSAMIDMYAKKGALVEAAALFRSVQEPNVVMFNTMIAGFCRTETVIGKEVASEALTLYSEVQSRGMQPTEFTFSSVLRACNLAGYLEFGKQIHGQVIKYTFQEDDFIGSVLIDLYFNSGCMEDGFRCFRSSPKHDIVTWTAMVSGCVQNELHEKALSLFHESLGAGLKPDLFTISSVMNACASLAVARAGEQIQCFATKSGFDRFTVMGNSCVHMYARSGDVDAATRRFQEMESHDVVSWSAVISCHAQHGCARDALHFFDEMVDAKVVPNEITFLGVLTACSHGGLVDEGLRYYETMNKDYGLSPTIKHCTCVVDLLGRAGRLADAEAFISNSIFHADPVIWRSLLASCRIHRDLERGQLVANRIMELEPTSSASYVILYNMYLDAGELSLASKTRDLMKQRGVKKEPGLSWIELKCGVHSFVAGDKSHPESSAIYTKLEEMLSRIEKLATTDTEISKREQNLMNCHSEKLAVALGMIHLPQSAPIRVMKNLRVCRDCHSTMKLISKSENREIILRDPIRFHHFRDGSCSCADYW >ONIVA01G50710.1 pep chromosome:AWHD00000000:1:41928963:41931965:1 gene:ONIVA01G50710 transcript:ONIVA01G50710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGSAALSSLTSPKEHVLPLPPPLRQRGGRRWLLAPAPLPSAHCHAPCHPPRGHAASRCPPTATTNHQPPAAPSAPAAAVPPGLGRLGLLGYRRRRRWGGGGEGKGRREPGSMT >ONIVA01G50700.1 pep chromosome:AWHD00000000:1:41925254:41926232:1 gene:ONIVA01G50700 transcript:ONIVA01G50700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHHKNEEKMAAAGAAPMDAGDYRKEEKHHKHMEQIAKLGAAAAGAYAMHEKKQAKKDPEHARSHKMKEGIAAAVAVGSAGFALHEHHEKKEAKKHRRHAHHHHH >ONIVA01G50690.1 pep chromosome:AWHD00000000:1:41923920:41924341:-1 gene:ONIVA01G50690 transcript:ONIVA01G50690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHKNDDKAAAAAGGDHRKEEKHHKHMEQLAKLGAVAAGTYAMHEKHKAKKEPENARSHRVKEEIAATIAAGSVGLAIHEHHKKKEAKKHGHHH >ONIVA01G50680.1 pep chromosome:AWHD00000000:1:41918023:41923109:1 gene:ONIVA01G50680 transcript:ONIVA01G50680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRGRSVSRSSSGSSSRSPSRSASSGSGSSRSRSRSRSFSSSSSPSRSRSPPAAKASSPGARRGRSPSSPAKRGSPSKKGRSPSPPPPKKASPPRKASPAPESVVLHIDHLSRNVNEAHLKEIFGNFGEVVNVELSMDRMVNLPRGYGYIEFKKRTDAEKALLYMDGGQIDGNVVKLRFTLAPRQRASSPMKAPPPPPKRDVPHNEKGAPSAEKDVQQRREPSPRRKPASPPRKRTPPNRRIESPRRQPDPSPRRRPDSPPIRRRADASPVRRGDTPPRRRPGSPVRRRSPSPPPRRLLHLGGFVEAHHHADAPLGPLDGGHHHHPQGGQEALLEEGYHLHVVIAVLPRLVVPLIHVPDQFLLEGTKKGIKEPHPPKRQPEQQLTFPEAQGGQWWALF >ONIVA01G50670.1 pep chromosome:AWHD00000000:1:41911289:41917644:1 gene:ONIVA01G50670 transcript:ONIVA01G50670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL-homologue 1 [Source:Projected from Arabidopsis thaliana (AT4G09140) TAIR;Acc:AT4G09140] MDEPSPRGGGCAGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELIENSLDAGASSVSVAVKDGGLKLIQVSDDGHGIRFEDLAILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYRDGVMENEPKPCAAVKGTQVMVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIEIKVSYEDAADSIFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSIHLPSEHVDVNIHPTKKEVSLLNQERIIETIRNAIEEKLMNSNTTRIFQTQALNLSGTAQANPQKDKVSEASMGSGTKSQKIPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKFDLVSVRSRRNQKDAGDLSSRHELLVEIDSSFHPGLLDIVKNCTYVGLADEAFALIQHNTRLYLVNVVNISKELMYQQALCRFGNFNAIQLSEPAPLQELLVMALKDDELMSDEKDDEKLEIAEVNTEILKENAEMINEYFSIHIDQDGKLTRLPVVLDQYTPDMDRLPEFVLALGNDVTWDDEKECFRTVAAAVGNFYALHPPILPNPSGNGIHLYKKNRDSTADEHAENDLISDENDVDQELLAEAEAAWAQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVASLEKLYKIFERC >ONIVA01G50660.1 pep chromosome:AWHD00000000:1:41907659:41911070:-1 gene:ONIVA01G50660 transcript:ONIVA01G50660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11620) TAIR;Acc:AT3G11620] MSNYLHLVRWFFHPTAGVRSELPTTGVASLSERRRRRRALFFPATAAAETSATRRFPPIAPPASAPSGRARTTDAPLISAGRRSACGASSGAVYMEKARLRAFQREQATAKTCMVSSFATDFLEIRAKEPSVHVLVIPGNPGIVAFYKDFVEELYENLAGQASITERGRLFSLHEQIDHKVDFIEQEFQHSEQSLVLVGHSIGAYICLEIFKRLQKKVKLCVGLYPFLTLNKKSMKQSAIGYIARSSLLSKGVSSFVSFIGSLQASVTRGIVTRLLGPSWSVTAVEATCGHLLWYHTMRNVLFMAMTEFTKLSEEPDWNFISAKQDQIAFLFGVDDHWGPLAHLEEISKRAPGVALSVETEGHTHGYCCTEAGSFWAADYVANLIKTKF >ONIVA01G50660.2 pep chromosome:AWHD00000000:1:41907659:41910820:-1 gene:ONIVA01G50660 transcript:ONIVA01G50660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11620) TAIR;Acc:AT3G11620] MANQALIPSQPPGSMMRALPLRDLQARTTDAPLISAGRRSACGASSGAVYMEKARLRAFQREQATAKTCMVSSFATDFLEIRAKEPSVHVLVIPGNPGIVAFYKDFVEELYENLAGQASITERGRLFSLHEQIDHKVDFIEQEFQHSEQSLVLVGHSIGAYICLEIFKRLQKKVKLCVGLYPFLTLNKKSMKQSAIGYIARSSLLSKGVSSFVSFIGSLQASVTRGIVTRLLGPSWSVTAVEATCGHLLWYHTMRNVLFMAMTEFTKLSEEPDWNFISAKQDQIAFLFGVDDHWGPLAHLEEISKRAPGVALSVETEGHTHGYCCTEAGSFWAADYVANLIKTKF >ONIVA01G50660.3 pep chromosome:AWHD00000000:1:41907659:41911070:-1 gene:ONIVA01G50660 transcript:ONIVA01G50660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11620) TAIR;Acc:AT3G11620] MSNYLHLVRWFFHPTAGVRSELPTTGVASLSERRRRRRALFFPATAAAETSATRRFPPIAPPASAPSGRIGLRRELGSCIHGESEVESFPEGASHGQDIFATDFLEIRAKEPSVHVLVIPGNPGIVAFYKDFVEELYENLAGQASITERGRLFSLHEQIDHKVDFIEQEFQHSEQSLVLVGHSIGAYICLEIFKRLQKKVKLCVGLYPFLTLNKKSMKQSAIGYIARSSLLSKGVSSFVSFIGSLQASVTRGIVTRLLGPSWSVTAVEATCGHLLWYHTMRNVLFMAMTEFTKLSEEPDWNFISAKQDQIAFLFGVDDHWGPLAHLEEISKRAPGVALSVETEGHTHGYCCTEAGSFWAADYVANLIKTKF >ONIVA01G50660.4 pep chromosome:AWHD00000000:1:41907659:41910566:-1 gene:ONIVA01G50660 transcript:ONIVA01G50660.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11620) TAIR;Acc:AT3G11620] MEKARLRAFQREQATAKTCMVSSFATDFLEIRAKEPSVHVLVIPGNPGIVAFYKDFVEELYENLAGQASITERGRLFSLHEQIDHKVDFIEQEFQHSEQSLVLVGHSIGAYICLEIFKRLQKKVKLCVGLYPFLTLNKKSMKQSAIGYIARSSLLSKGVSSFVSFIGSLQASVTRGIVTRLLGPSWSVTAVEATCGHLLWYHTMRNVLFMAMTEFTKLSEEPDWNFISAKQDQIAFLFGVDDHWGPLAHLEEISKRAPGVALSVETEGHTHGYCCTEAGSFWAADYVANLIKTKF >ONIVA01G50650.1 pep chromosome:AWHD00000000:1:41902724:41908418:1 gene:ONIVA01G50650 transcript:ONIVA01G50650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKAKLQSVAESAIRSIGLGYDIANDIRLKNCKQRGSPDPLLIELDHDKVQDIVLPGNLTVTGVSKSIKCDKGERMRFRSDVLSFQQMSEQFNRELSLSGKIPSGFFNAMFEFTGCWQKDASITKSLAFDGWCITLYTVALSKAHIILKDHVKQAVPSTWEPAALARFIKKFGTHIVVGVKMGGKDVIYLKQQHSSSLQAVDVQKRLKEMSDQRFLDANGHSDISLADSYAKDNKVEAREQRLRFVESNPLNSYSSNEELVMMPKRRGGRDKDIISHSEWLNTVQAEPDVISMSFIPITSLLNGVPGCGFLNHAINLYLRYKPRVEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSSASLPVNLIGPKLYVCTNMVDVGKRPVTGLRLFLEGQKSNKLAIHLQHLCSLPQIIQLEDDTYNPQTPEAEIRKYYEPIGSWKRFSHVCTAPVDSDDSSIVTGAHLEVVSHGFKKILFLRLHFSKVCNATSVKNPEWDGSPNLGQKSGLISTLISTHFSTAALKPAPRPAEVNINSAVYPGGPPVPVQTPKLLRFVDTTEMLRGPQDLPGYWVVSGAKLHLERGKISLRVKYSLLTVNSPDDEFSPDEEF >ONIVA01G50640.1 pep chromosome:AWHD00000000:1:41898042:41899691:-1 gene:ONIVA01G50640 transcript:ONIVA01G50640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAVVVGMLILVSSAAANGGAVGVRRLAANRLCVCCRVRDSAWASRCSTWRATWTRSGHRWKVAWKQRLHQQLPPHITVRFRLQLYSAEDYSDLLINHYSRQTLALYSVGLRKFLLDGVGPLGCLPSLRASGLGPQDQCVDQVNQMVGFFNQGLRSLVDKLNADHPDAMFIYGNTYDAVYDMINNPHKYGFRVMDSGCCVLGEDGTCEPYAEPCEICSS >ONIVA01G50630.1 pep chromosome:AWHD00000000:1:41889909:41900084:1 gene:ONIVA01G50630 transcript:ONIVA01G50630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAGGGGGAAGQFGDTTLTKVFVGGLAWETQKEGMRGYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAAMKACFDPYPVIDGRRANCNLAYLGVQRSKAAAASLQPYAGHMRAMKSIIQTGGGGASLSMADHGIQQGIPTYNVYGYSPYFSDYGYPLSYYQAYGGLGAQYQMFAGGAAAGAAGLTMANPTGGGLYSPYFQYGPAVAANAAAAGYAGMQYPQMYQYAAAAAAAAVGAPTAATTASQLTAVAGLQQYAGTVALAPNSTGQAAGMTMSLTAPTLPAPSPQYQYRLISSHVAAAPEKPLA >ONIVA01G50630.2 pep chromosome:AWHD00000000:1:41889909:41900084:1 gene:ONIVA01G50630 transcript:ONIVA01G50630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAGGGGGAAGQFGDTTLTKVFVGGLAWETQKEGMRGYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAAMKACFDPYPVIDGRRANCNLAYLGVQRSKAAAASLQPYAGHMRAMKSIIQTGGGGASLSMADHGIQQGIPTYNVYGYSPYFSDYGYPLSYYQAYGGLGAQYQMFAGGAAAGAAGLTMANPTGGGLYSPYFQYGPAVAANAAAAGYAGMQYPQMYQYAAAAAAAAVGAPTAATTASQLTAVAGLQQHDNVSDSSNSAGTITTVSVQAHFFSCRCSSRETIGLENHGCLKSASI >ONIVA01G50620.1 pep chromosome:AWHD00000000:1:41880970:41888135:1 gene:ONIVA01G50620 transcript:ONIVA01G50620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAALLVVGFALCLLRAQAQPYDYPTAKPSTTWANTDAALRHHVAYTDGSVARAALLRLNPARLGPSFAFGFFCTNHRAGAPCADFLLGVAVVYCNSGAGITAVTTGIPQVVWSANRAAPVGDGATAELTADGDLVLRSPGGKVLWSAGAAGRGVSGMSINSDGNLVLFDGSNRTVWQSFDHPTDTLVVGQSLKQGARLTANASFDNSSEGRIYLAVADDGLAAYVDAKPPQRYYVLGYSKNAGAYAAYTNGSLAVLDRPGGQQLATVQLPAVAAGTVQYMRLEHDGHLRLYEWRSNGMRWEAAGDVLHPYPGDCAYPTVCGAYGVCTDMQCSCPDAANFRAVDFRRPNRGCVPTSPPAPPATCRSRRARHRLVSLRDTAYFNSHDTSMRTLERVGEAACKAACLADCACMAAQFVYGFDPNDGFCYLQSEVLSLETMQPEVFHYNSSMHIKIAQGRNIIPSKIFTEMVPAVQVEGGKFFFILSVTCRPQSTSVNIFAGHSSVPYYELGWNGIPTNVLGLLTLGPWAVASHPVIAWWHSPSPPRLREAPCVSPPAPLLPSPAPSPAFLGCRSNPAPRRDLRS >ONIVA01G50620.2 pep chromosome:AWHD00000000:1:41880970:41888135:1 gene:ONIVA01G50620 transcript:ONIVA01G50620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAALLVVGFALCLLRAQAQPYDYPTAKPSTTWANTDAALRHHVAYTDGSVARAALLRLNPARLGPSFAFGFFCTNHRAGAPCADFLLGVAVVYCNSGAGITAVTTGIPQVVWSANRAAPVGDGATAELTADGDLVLRSPGGKVLWSAGAAGRGVSGMSINSDGNLVLFDGSNRTVWQSFDHPTDTLVVGQSLKQGARLTANASFDNSSEGRIYLAVADDGLAAYVDAKPPQRYYVLGYSKNAGAYAAYTNGSLAVLDRPGGQQLATVQLPAVAAGTVQYMRLEHDGHLRLYEWRSNGMRWEAAGDVLHPYPGDCAYPTVCGAYGVCTDMQCSCPDAANFRAVDFRRPNRGCVPTSPPAPPATCRSRRARHRLVSLRDTAYFNSHDTSMRTLERVGEAACKAACLADCACMAAQFVYGFDPNDGFCYLQSEVLSLETMQPEVFHYNSSMHIKIAQGRNIIPSKIFTEMVPAVQVEGGKFFFILSVTCRPQSTSVNIFAGHSSVPYYELGWNGIPTNVLGLLTLGPWAVASHPVIAWWHSPSPPRLREAPCVSPPAPLLPSPAPSPAFLGCRSNPAPRRDLRS >ONIVA01G50620.3 pep chromosome:AWHD00000000:1:41880970:41888135:1 gene:ONIVA01G50620 transcript:ONIVA01G50620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAALLVVGFALCLLRAQAQPYDYPTAKPSTTWANTDAALRHHVAYTDGSVARAALLRLNPARLGPSFAFGFFCTNHRAGAPCADFLLGVAVVYCNSGAGITAVTTGIPQVVWSANRAAPVGDGATAELTADGDLVLRSPGGKVLWSAGAAGRGVSGMSINSDGNLVLFDGSNRTVWQSFDHPTDTLVVGQSLKQGARLTANASFDNSSEGRIYLAVADDGLAAYVDAKPPQRYYVLGYSKNAGAYAAYTNGSLAVLDRPGGQQLATVQLPAVAAGTVQYMRLEHDGHLRLYEWRSNGMRWEAAGDVLHPYPGDCAYPTVCGAYGVCTDMQCSCPDAANFRAVDFRRPNRGCVPTSPPAPPATCRSRRARHRLVSLRDTAYFNSHDTSMRTLERVGEAACKAACLADCACMAAQFVYGFDPNDGFCYLQSEVLSLETMQPEVFHYNSSMHIKIAQGRNIIPSKIFTEMVPAVQVEGGKFFFILSVTCRPQSTSVNIFAGHSSVPYYELGWNGIPTNVLGLLTLGPWAVASHPVIAWWHSPSPPRLREAPCVSPPAPLLPSPAPSPAFLGCRSNPAPRRDLRS >ONIVA01G50620.4 pep chromosome:AWHD00000000:1:41882945:41888135:1 gene:ONIVA01G50620 transcript:ONIVA01G50620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLSQNLRKLASFSLLNLSQRAPISPSPEPLRPAIASFGKCLNPFYQFSPPWMVRWASHSSVNLVLSDDGKPKFEIEEVEPSKKRGYLTKKRLKLQRKREKKKRKEANKNDPRRIRPKGKKIKQKFPTPEARLKYKIEKAKLKEAMLVEKLKKYEIAKAQGPMAKQDDLDGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYASEIARLSGGIPINTIGNDTIVFYRGKNYVQPDVMSPVDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHIALYGNPQSQNVDPVYCDDRRAASLKMEEPSQGKDLSHMDNDGFSDITDASESDEEANPSEYDDNDDETGDNIGGTVLYDHGGFKRNWLGVPNRAHFFITVNMAASLWSPYRLAHPHGQKAKFGACKTVVKRIKYAIPATDQRYDMMDVLVKKA >ONIVA01G50620.5 pep chromosome:AWHD00000000:1:41882945:41888135:1 gene:ONIVA01G50620 transcript:ONIVA01G50620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVLSQNLRKLASFSLLNLSQRAPISPSPEPLRPAIASFGKCLNPFYQFSPPWMVRWASHSSVNLVLSDDGKPKFEIEEVEPSKKRGYLTKKRLKLQRKREKKKRKEANKNDPRRIRPKGKKIKQKFPTPEARLKYKIEKAKLKEAMLVEKLKKYEIAKAQGPMAKQDDLDGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYASEIARLSGGIPINTIGNDTIVFYRGKNYVQPDVIRAASLKMEEPSQGKDLSHMDNDGFSDITDASESDEEANPSEYDDNDDETGDNIGGTVLYDHGGFKVLTDWPTPMVKKQSLVPARQLSKG >ONIVA01G50620.6 pep chromosome:AWHD00000000:1:41880970:41884290:1 gene:ONIVA01G50620 transcript:ONIVA01G50620.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAALLVVGFALCLLRAQAQPYDYPTAKPSTTWANTDAALRHHVAYTDGSVARAALLRLNPARLGPSFAFGFFCTNHRAGAPCADFLLGVAVVYCNSGAGITAVTTGIPQVVWSANRAAPVGDGATAELTADGDLVLRSPGGKVLWSAGAAGRGVSGMSINSDGNLVLFDGSNRTVWQSFDHPTDTLVVGQSLKQGARLTANASFDNSSEGRIYLAVADDGLAAYVDAKPPQRYYVLGYSKNAGAYAAYTNGSLAVLDRPGGQQLATVQLPAVAAGTVQYMRLEHDGHLRLYEWRSNGMRWEAAGDVLHPYPGDCAYPTVCGAYGVCTDMQCSCPDAANFRAVDFRRPNRGCVPTSPPAPPATCRSRRARHRLVSLRDTAYFNSHDTSMRTLERVGEAACKAACLADCACMAAQFVYGFDPNDGFCYLQSEVLSLETMQPEVFHYNSSMHIKIAQGRNIIPSKIFTEMVPAVQVEGGKFFFILSVTCRPQSTSVNIFAGHSSVPYYELGWNGIPTNVLGLLTLGPWAVASHPVIAWWHSPSPPRLREAPCVSPPAPLLPSPAPSPAFLGCRSNPAPRRDLRS >ONIVA01G50610.1 pep chromosome:AWHD00000000:1:41876888:41879506:-1 gene:ONIVA01G50610 transcript:ONIVA01G50610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal recognition particle receptor protein, chloroplast (FTSY) [Source:Projected from Arabidopsis thaliana (AT2G45770) TAIR;Acc:AT2G45770] MAAHSHVIPFLSPAATSARCSPYGHRRRGRAGLLRCAAAAGQAGFFTRLGRLIQEKAKSDVEKLFSGFSKTRESLSVVDELLTYWNLADTDRVLDELEEARPLLHCSACCSLLLHCSALLLSSFFLNVSCLVSCTQALLVSDFGPKISFRIVDTLREEIRDGKLKSGAEIKEALKRCILELLTSKGGNPELQLGFRKPAVIMIVGVNGGGKTTSLGKLAYRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAKPASVLSQAVKRGKREGFDLVLCDTSGRLHTNYGLMEELVSCKKVIAKALPGAPNEILLVLDGTTGLNMLQQAREFNDVSVVDELGIPVKFIGVGEGMEDLQPFDAEAFVEAIFP >ONIVA01G50600.1 pep chromosome:AWHD00000000:1:41871606:41874758:1 gene:ONIVA01G50600 transcript:ONIVA01G50600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPSWGSRSVDCFEKLEQIGEGTYGQVYMAKETETNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPVEGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYGPAVDMWSVGCIFAELLNGKPILTGKNEPEQLSKIFELCGTPDELIWPGVTKMPWYNNFKPQRPMKRRVKESFKHFDRHALDLLEKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKKRQQQRQAEEAAKRQKLQHPPPHSRLPPIQNPGQPHQIRPGQPMHNAPPVAAGPSHHYAKPRGPGGPNRYPQGGNQGGYNPNRGGQGGGYGSGPYPQQGRGPPPYPGGGMGGAGGPRGGGGSGYGVGGPNYQQGGPYGASGPGRGPNYNQGGSRNQQQYGNWQ >ONIVA01G50590.1 pep chromosome:AWHD00000000:1:41859047:41870354:1 gene:ONIVA01G50590 transcript:ONIVA01G50590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G23540) TAIR;Acc:AT4G23540] MKRKQKPAAADAAAEVDADEPSQAPLPLEAFSGDVCAALTARYGRSTAPQHRHLLASAAAIRSILVDDGLPVTPASYLPAAISALQAAGSADPAAASALASLLVILLPNIPSSPSSLPPASASESASALAVFLSSPDASQLPTGTVRSVVKSLGHLAFHVDAAADWGSVASPLEALLAASVDQRAKVRRCAQESVEKLFAHLKQCGCGRKVSNAAIGMFDKHIASVKNHVNLNSDASEGKEMEAANMLSAMVVVVPYLSKKAMKTVFSEVYQLLTPCFSPLTRHVLKLMETLLDHLKAENVESDLVNLIPLLLAYLHYDEKKPDDTIVAALKLMKNCLAKLVGRPNLWMEVLPSAFEAVSGYLILDRKCSEDIAGLLQDCIDSHIDRNIILTGSQLCDRDYERLSDAAAVKSICSSINNMLCACASPPNRESSYAFMKNIMLTLSQIAAKTDKESERKNVEECIGAAVIALGPDKILSLIPIGFDEDRLTCSNTWLLPILDKYIYGASPQQFLERIVPLAESVQQASNMAKSARKCEDLKSCSNQLWNLLPAFCRYPVDICQNFGSLSKLLLEMLKCDKYLYKSAVKALQQLVDGTRRLSSSEQNREIYVELSTLFSSKIINLSCPSFERCSKKDARKNMKVLASHSVDLLSTVTDYFLDSSPEKRSHLKDALRCLAQLSGSTNICNLFLSLVKRFGLEDTQSEQENIECQTNEVDKKDEEGTDVDEEKNKKRSLVMELISTFAEAADEDLLDLLFGFVKSSLLNNKQPCEGKALFALSIILKEHNEYSLARLDEIMMLLHGIKADLDNEVLEGQLLCYQYLLVHMIKANEENTSKKAFLILNELIVALKSKKESRRLAYDVLLAISNSLRSSESNSEDSDLQRLFTMVMGYLSSPAPHIVSGAIAALSLLIYNDANFCLEVPNLIPSVLVLLKHKAIEVIKASLGFVKVLVTSLHSEKLLELQADIMSGILPWSSVTKHHFKGKVVLIMEILIRKCGFDAIDLVTPEKYKAFVRSVEEGRKGNHNPADGAESEAQQPTQHAAKRRKRSDSDVGTGQEGTHTRAPSRSLPAGKKEFFVKGARNARSPGVKSQRSKPSGRNGDRTNFKSKSEPRPGNGQNTKGDKPQGFNKRNRTGKFDKTQNRGGKASDRSSRFKKPRTAATT >ONIVA01G50580.1 pep chromosome:AWHD00000000:1:41844925:41856168:1 gene:ONIVA01G50580 transcript:ONIVA01G50580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDHLKAMEERREEVADGVVVDGDGDGAGERDEDLLGILLRFQRDGGLGITLTNGNHQRDSGILAGGSDTTTTTVMWAMSELLRCPRAMQGRNKVDEAYILEGPFNITCNWSSKKRMSDAGKMRRIFDLRGLNMTIPIIMFLPGGFGRRMFPDFIFAQFNIEIALANLLYHFDWELPCSENRMELDMTESAGSSSQTIKMIKMAGIVDTAAFCTLLCLLLTLVVFKLKTATSSRHNAGVNLPPGPWALPVIGSIHCLLGSLPHHAMRELSRRYGPVMLLRLGHVQTLVLSSPEAAREVMKTHDAAFATRAVTPTASILTYGARDIVFAPFSKHLRELRKLCTLELLSPRRVRSFRHVRDEEAARLARSVAAAAPAVVNVSELVKIMANNIIMTAIIGDTCPQRDEYLEALDKTMDLMNGFNLIDLFPGSRLARVLGARSLRATKRVHQKLHQITDTIIQGHEIIKDGSVGDDTIQETVGTHNMHGHGHKCEDILDDLFAAGSETTSTTIIWAMSELVRTPHVMERAQSEIRQVLQGKTVVSEADIEGQLHYLQLVIRETLRLHPPVPFLIPRLCSEANSKIMRYNIPQGAMVLVNISAIGRDEKIWKNANEFRPERFKDDMVDFSGTDFRFIPGGAGRRMCPGLTFGLSNIEIALASLLYHFDWKLPNDASSCKLDMRETHGVTARRRTELLLKATPLHMVLQLQLLNGAASNRLSSRSNQQIMAGIMDSTTASYYTTLLCGALLLAAVVFKLKTAAAFSRHNAGVNLPPGPWALPVIGSIHCLLGSLPHHAMRELSRRYGPVMLLRLGHVRTLVLSSPEAAREVMKTHDVAFANRAVTPTASVVSYGGRDIVFAPFGKHLRELRKLCTLELLSPRRVRSFRHVREEEAARLARSVAAAASASSAVNVSELVKIMTNDVTMRAIIGDRCPQREEYLEALDKTMDLLAGFNLVDLFPGSPLARVLGGRSLRTTKRVHEKLHQITEAIIQGHGIKDTVGDEHHECEDILDVLLRFQRDGGLGITLTKEIVSAVLFDLFAGGSETTSTTILWAMSELMRSPHVMEQAKYEIRQVLQGKAMVSEADIEGRLHYLQLVIKETLRLHPPVPIVIPRLCSKPNSKIMGYDIPQGTSVLVNVSAIGRDEKIWKDVNEFRPERFKDDIVDFSGTDFRFIPGGSGRRMCPGLTFGVSNIEIALVTLLYHFDWKLPSETDTHELDMRETYGLTTRRRSELLLKATPSYA >ONIVA01G50580.2 pep chromosome:AWHD00000000:1:41844925:41856168:1 gene:ONIVA01G50580 transcript:ONIVA01G50580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDHLKAMEERREEVADGVVVDGDGDGAGERDEDLLGILLRFQRDGGLGITLTNGNHQRDSGILAGGSDTTTTTVMWAMSELLRCPRAMQGRNKVDEAYILEGPFNITCNWSSKKRMSDAGKMRRIFDLRGLNMTIPIIMFLPGGFGRRMFPDFIFAQFNIEIALANLLYHFDWELPCSENRMELDMTESAGSSSQTIKMIKMAGIVDTAAFCTLLCLLLTLVVFKLKTATSSRHNAGVNLPPGPWALPVIGSIHCLLGSLPHHAMRELSRRYGPVMLLRLGHVQTLVLSSPEAAREVMKTHDAAFATRAVTPTASILTYGARDIVFAPFSKHLRELRKLCTLELLSPRRVRSFRHVRDEEAARLARSVAAAAPAVVNVSELVKIMANNIIMTAIIGDTCPQRDEYLEALDKTMDLMNGFNLIDLFPGSRLARVLGARSLRATKRVHQKLHQITDTIIQGHEIIKDGSVGDDTIQETDLFAAGSETTSTTIIWAMSELVRTPHVMERAQSEIRQVLQGKTVVSEADIEGQLHYLQLVIRETLRLHPPVPFLIPRLCSEANSKIMRYNIPQGAMVLVNISAIGRDEKIWKNANEFRPERFKDDMVDFSGTDFRFIPGGAGRRMCPGLTFGLSNIEIALASLLYHFDWKLPNDASSCKLDMRETHGVTARRRTELLLKATPLHMVLQLQLLNGAASNRLSSRSNQQIMAGIMDSTTASYYTTLLCGALLLAAVVFKLKTAAAFSRHNAGVNLPPGPWALPVIGSIHCLLGSLPHHAMRELSRRYGPVMLLRLGHVRTLVLSSPEAAREVMKTHDVAFANRAVTPTASVVSYGGRDIVFAPFGKHLRELRKLCTLELLSPRRVRSFRHVREEEAARLARSVAAAASASSAVNVSELVKIMTNDVTMRAIIGDRCPQREEYLEALDKTMDLLAGFNLVDLFPGSPLARVLGGRSLRTTKRVHEKLHQITEAIIQGHGIKDTVGDEHHECEDILDVLLRFQRDGGLGITLTKEIVSAVLFDLFAGGSETTSTTILWAMSELMRSPHVMEQAKYEIRQVLQGKAMVSEADIEGRLHYLQLVIKETLRLHPPVPIVIPRLCSKPNSKIMGYDIPQGTSVLVNVSAIGRDEKIWKDVNEFRPERFKDDIVDFSGTDFRFIPGGSGRRMCPGLTFGVSNIEIALVTLLYHFDWKLPSETDTHELDMRETYGLTTRRRSELLLKATPSYA >ONIVA01G50580.3 pep chromosome:AWHD00000000:1:41844925:41856168:1 gene:ONIVA01G50580 transcript:ONIVA01G50580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDHLKAMEERREEVADGVVVDGDGDGAGERDEDLLGILLRFQRDGGLGITLTNGNHQRDSGILAGGSDTTTTTVMWAMSELLRCPRAMQGRNKVDEAYILEGPFNITCNWSSKKRMSDAGKMRRIFDLRGLNMTIPIIMFLPGGFGRRMFPDFIFAQFNIEIALANLLYHFDWELPCSENRMELDMTESAGSSSQTIKMIKMAGIVDTAAFCTLLCLLLTLVVFKLKTATSSRHNAGVNLPPGPWALPVIGSIHCLLGSLPHHAMRELSRRYGPVMLLRLGHVQTLVLSSPEAAREVMKTHDAAFATRAVTPTASILTYGARDIVFAPFSKHLRELRKLCTLELLSPRRVRSFRHVRDEEAARLARSVAAAAPAVVNVSELVKIMANNIIMTAIIGDTCPQRDEYLEALDKTMDLMNGFNLIDLFPGSRLARVLGARSLRATKRVHQKLHQITDTIIQGHEIIKDGSVGDDTIQETVGTHNMHGHGHKCEDILDDLFAGGSETTSTTILWAMSELMRSPHVMEQAKYEIRQVLQGKAMVSEADIEGRLHYLQLVIKETLRLHPPVPIVIPRLCSKPNSKIMGYDIPQGTSVLVNVSAIGRDEKIWKDVNEFRPERFKDDIVDFSGTDFRFIPGGSGRRMCPGLTFGVSNIEIALVTLLYHFDWKLPSETDTHELDMRETYGLTTRRRSELLLKATPSYA >ONIVA01G50580.4 pep chromosome:AWHD00000000:1:41855776:41858175:1 gene:ONIVA01G50580 transcript:ONIVA01G50580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYSVKCLSKGGVAAREKEYLLVVLLRLQRWEHRDNPYYGGYGLNLVKSWVMTYLKNTAIFVNTWALGLKYSRMGIVDFNGLDFRFLPCGAGRRICPGLMFELSDIELTLASLLYHSSWRLPTRSYSNKLDMTEANGITTHRRIDIWLEATPFVPRG >ONIVA01G50580.5 pep chromosome:AWHD00000000:1:41855776:41857733:1 gene:ONIVA01G50580 transcript:ONIVA01G50580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYSVKTTSWTCRARAGWRRERKSTFSLSCCVFRDGSIETTHTTEQLYGLYESLSKIHMQWRKRNQIYEKSLEAKALSKRQTSKGNFITFKW >ONIVA01G50570.1 pep chromosome:AWHD00000000:1:41843984:41844419:1 gene:ONIVA01G50570 transcript:ONIVA01G50570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGHRQHALTARLAAAPRPACAVELLSPRRARSFRRVREAEPARLVRAVAASPAWPLVNVVGGEHVAAMMTAVGARPEEYLEELGKVAKLAAGFNLVDLFPESRLVRAAQAAHEKDPQHHGRLSAQTLTRTHTSLFLT >ONIVA01G50560.1 pep chromosome:AWHD00000000:1:41840627:41841865:1 gene:ONIVA01G50560 transcript:ONIVA01G50560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAPDWSILPSDPIVRIGDCLLAADDFDCYDNLRLVCRMWRSGTDDPRTADFEDARFLPKKLAMLELDVNPGDHRAAAVATFVNLDTGRFLRKRVPGLRGCFLVAVTSAALVVLSDAAPPHGTRGEGRPFQGAHPCGGGGEGGGRDDVAAHGVRLVVPREVYVTNRGSIVSTVLLTDDDEEEEEEEAVKLYAYFHHLVESAAELLLVDTVNKVFVPVRSLGNRSLFVSQARSFSVDADKFPTVEAGCVYVVEPGPATYERFHLADGRLEEAIPMVNRRRAAEGESCVLPLTLEQVMVNYCVDTENYSELEIALDTDDDEEFFLPEAEGHGTN >ONIVA01G50550.1 pep chromosome:AWHD00000000:1:41827098:41831138:1 gene:ONIVA01G50550 transcript:ONIVA01G50550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal export protein NMD3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZ10] MAFHYAAMPSSSSSSLSGVSSQPPLHLPRLRSPHQASRRLSALPFSRALPLPLRLRLRIPRPQLPPLPLAFSHGGGGDNDGDDNNNNGGGDGEGDGGAPDNRREALFVLAQLGRKLESLPYDLAAAVEGGRVTGEIVRRFAEMEGSALLRWLLQFQGFRERLLADDLFLAKLAMECGVGVIAKTAAEYEKRRENFVKEIDIVIADVVMAIVADFMLVYLPAPTVSLQPPLATNAGHIANFFHNCPDNAFQIALAGRSYSILQRLGAILRNGAKLFTVGTSASLIGTGVTNALIKARKAVDKELDDEVEDIPVLSTSVAYGVYMAVSSNLRYQILAGVIEQRMLEPLLHNHKLLLSALCFAVRTGNTFLGSLLWVDYARWVGVQKVQEEA >ONIVA01G50550.2 pep chromosome:AWHD00000000:1:41831010:41833339:1 gene:ONIVA01G50550 transcript:ONIVA01G50550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal export protein NMD3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FZ10] MTASRSPRISPNPCRHDPDVHRSRRWCSSQLRCRRGRRRRRCNSACSSSLPGRSRPPPRARPSAAPAASPWRPTRPTRALCIRSRVDIAAGVPRHADVVHCPSCSSYLHPPRLWLRAAPESPELMSLLLRRVDRHIARLGVALAAAEFVFTEPHSRRLMLRLRLRGEVLHGSGGGVTLEQGHVVEFAVHDRLCDACAMVRARAAEPPDQCGWSAVVQVRQRASHRRTLLHLEQQVVAAGDALRVGAAAVAGSTSSSRRAPTPPASSTSSPRCRPPASSRTTREASRDLGGLGPIVLCVMVTNALALLDTSTLRVVHLGIKEYDKCRLEPALTSRQLVEYVVLDVDHEPEPAAGVAYAQVARASDLGKNDTIFTVRTHLGHVLNAGHRALGDDLYGANVNNHDVESHGLPDAVLVMKINKKGSTRRQLQDGDGCRRRKRDGDEMEEIAMGIGCIDLNPPDEKELDELLRGPHNLRASAVTSPCLCQAHMSPHVSDNGTMKSTTEDLNPI >ONIVA01G50540.1 pep chromosome:AWHD00000000:1:41821027:41824669:1 gene:ONIVA01G50540 transcript:ONIVA01G50540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G28040) TAIR;Acc:AT3G28040] MATPIALLLFVLVVAAAADSTMPMPVNEEVLGLVVFKSALSDPSGALATWTESDATPCGWAHVECDPATSRVLRLALDGLGLSGRMPRGLDRLAALQSLSVARNNLSGELPPGLSLLASLRSIDLSYNAFSGPLPGDVPLLASLRYLDLTGNAFSGPLPATFPATVRFLMLSGNQFSGPLPQGLSKSSFLLHLNLSGNQLSGSPDFAGALWPLSRLRALDLSRNQFSGTVTTGIANLHNLKTIDLSGNRFFGAVPSDIGLCPHLSTVDISSNAFDGQLPDSIAHLGSLVYFAASGNRFSGDVPAWVGDLAALQHLDFSDNALTGRLPDSLGKLKDLRYLSMSENQLSGAIPDAMSGCTKLAELHLRANNLSGSIPDALFDVGLETLDMSSNALSGVLPSGSTKLAETLQWLDLSVNQLTGGIPAEMALFMNLRYLNLSRNDLRTQLPPELGLLRNLTVLDLRSSGLYGTMPSDLCEAGSLAVLQLDGNSLAGPIPDNIGNCSSLYLLSLGHNSLTGPIPVGMSELKKLEILRLEYNNLSGEIPQQLGGIESLLAVNVSHNRLVGRLPASGVFQSLDASALEGNLGICSPLVTQPCRMNVAKPLVLDPNEYPHGGDGDNNLETSGRGPASPRKRRFLSVSAMVAICAAVFIILGVIVITLLNMSARRRAGDGGTTTPEKELESIVSSSTKSSKLATGKMVTFGPGNSLRSEDFVGGADALLSKATEIGRGVFGTVYRASVGEGRVVAIKKLATASIVESRDDFDREVRILGKARHPNLLPLKGYYWTPQLQLLITDYAPHGSLEARLHGNGNGDGAFPPLTWAERFRIVAGTARGLAHLHQSFRPPMIHYNVKPSNILLDEQCNPMVGDFGLARLLPKLDKHVMSSRFQGGMGYVAPELACQSLRINEKCDIYGFGVLILELVTGRRAVEYGDDDVVILIDQVRVLLDHGGGSNVLECVDPTIGDFPEEEVLPVLKLGMVCTSQIPSNRPSMAEVVQILQVIKAPVAASSARIEAF >ONIVA01G50530.1 pep chromosome:AWHD00000000:1:41814582:41820729:1 gene:ONIVA01G50530 transcript:ONIVA01G50530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21070) TAIR;Acc:AT3G21070] MSLDELPHKKLASSEGIQFARSDSRFRSLSPAPIPIPTAPSFRSLVDPVSDERVNHDTVTSHESEIGSGSISTVSSTVSSVESEKAAYEFLAQTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAEEWRRKYELEMAHKQQRKIKGYGSCANNELEKLASQLTLETPSSDQAGCCGNHGICSHEVLQDESPGPNPRSSHKLVSRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESSPQTVLFITKPNSNSVHVLCAEMVRWLKEHKKINVVVEPRVSKELLTEDSYYNFIQTWDDDEEKKMLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPHNSRGQAWASFDGKDRKLLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >ONIVA01G50530.2 pep chromosome:AWHD00000000:1:41814582:41820729:1 gene:ONIVA01G50530 transcript:ONIVA01G50530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21070) TAIR;Acc:AT3G21070] MSLDELPHKKLASSEGIQFARSDSRFRSLSPAPIPIPTAPSFRSLVDPVSDERVNHDTVTSHESEIGSGSISTVSSTVSSVESEKAAYEFLAQTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAEEWRRKYELEMAHKQQRKIKGYGSCANNELEKLASQLTLETPSSDQAGCCGNHGICSHEVLQDESPGPNPRSSHKLVSRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESSPQTVLFITKPNSNSVHVLCAEMVRWLKEHKKINVVVEPRVSKELLTEDSYYNFIQTWDDDEEKKMLHTKVDLIVTLGGDGTVLWFGFGLKETCLLDDKSHMKLPALLLTFVLSMLSSLTCFSASEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPHNSRGQAWASFDGKDRKLLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >ONIVA01G50530.3 pep chromosome:AWHD00000000:1:41814582:41820729:1 gene:ONIVA01G50530 transcript:ONIVA01G50530.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21070) TAIR;Acc:AT3G21070] MSLDELPHKVSDERVNHDTVTSHESEIGSGSISTVSSTVSSVESEKAAYEFLAQTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAEEWRRKYELEMAHKQQRKIKGYGSCANNELEKLASQLTLETPSSDQAGCCGNHGICSHEVLQDESPGPNPRSSHKLVSRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESSPQTVLFITKPNSNSVHVLCAEMVRWLKEHKKINVVVEPRVSKELLTEDSYYNFIQTWDDDEEKKMLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPHNSRGQAWASFDGKDRKLLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >ONIVA01G50520.1 pep chromosome:AWHD00000000:1:41806830:41809622:1 gene:ONIVA01G50520 transcript:ONIVA01G50520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENIGKLDNTIKNLEVRKNEIQIRLSISEGKQETCNPEVTEWLQKVAAMETEVNEIKNVQRKRKQLFSYWSKYEIGMQAAKKLKEAEMLHEKGAFKEVSFEVPPYFVQEVPTIPSTEETECNLKEVLQYLKDDNVGILGIWGMGGVGKTTLLRKINNHFLGVTKENYGFDLVVYVVASTASGIGQLQADIAERIGLFLKPGCSINIRASFLLSFLRRKKFLLLIDDLWGYLDLAEAGIPYPNGLNKQKVVLATRSESVCGHMGAHKTIFMECLDQEKAWRLFKEKATEEVISSDVRIESLAKEVAEECGGLPLALATLGRAMSTKRTRHEWALALSYLKKSRIHEIPNMGNTSHIYTRLKLSYDYLQDKQIKYCFLCCSLWPEGYSIWKVALIDCWMGMGLIEYDTIEEAYDKGHSIIEYLKNACLLEAGYLEDREVRIHDIIRDMALSISSGCVDQSMNWIVQAGVGIHKIDSRDIEKWRSARKISLMCNYISELPHAISCYNLQYLSLQQNFWLNVIPPSLFKCLSSVTYLDLSWIPIKELPEEIGALVELQCLKLNQTLIKSLPVAIGQLTKLKYLNLSYMDFLEKIPYGVIPNLSKLQVLDLYGSRYAGCEEGFHSRSHMDYDEFRIEELSCLTRELKALGITIKKVSTLKKLLDIHGSHMRLLGLYKLSGETSLALTIPDSVLVLNITDCSELKEFSVTNKPQCYGDHLPRLEFLTFWDLPRLEKISMGHIQNLRVLYVGKAHQLMDMSCILKLPHLEQLDVSFCNKMKQLVHIKNKINTEVQDEMPIQGFRRLRILQLNSLPSLENFCNFSLDLPSLEYFDVFACPKLRRLPFGHAIVKLKSVMGEKTWWDNLKWDDENSPLLLFPFFKASETRIASLRPELDTSVASSPKAFFTKRQPYLSSSIRYTSFLKSMFEAEEFSSL >ONIVA01G50510.1 pep chromosome:AWHD00000000:1:41798480:41804842:-1 gene:ONIVA01G50510 transcript:ONIVA01G50510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIERTTPHYNMDESTGSHTIVGSKRKAKDFNGKNLNLFNGTYKMVETTVDVMIRKGTNMRLESYEFLSDFKHGNAVLGPFKQDWSHVQGLMTWCKEQSGLKMDFLTKSFYDFIGSTDCTVIKLRNYPDDWDEKKKGDYLLTLAEVNHGVLKDKLKSRDDFHWPFVKLPKLEQNLPPMLQQILSGERQMKRKFDVTFFCDYINLLRNSYKKFNELPENVKALCINQDGFIKLIDGWSPEFWTKIYEKIGTLLDLNDGDAGARADILRTYGAVLQVRSLDGSC >ONIVA01G50500.1 pep chromosome:AWHD00000000:1:41785063:41792283:1 gene:ONIVA01G50500 transcript:ONIVA01G50500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDQVEIPFKVLQERVPGKKKYSLRVIKPSSSKKICRSVNSYPLVCLKREMADNDHVHRIMLTLNHRNILSMKALSRDKVESNGPSSLAAFVEPYTGLLSSLCFKHDCWGDRINHIPSPLLQSLLRQVIEGLDFLRKNKLYHGNLNWDSILYLQPSTVKLANFRKQEHHFPKIFRTALPAIKEIVLGHPLFWDLMTRVNFFAKDISLRLNDDTFMSRVRASKIRKLPWNEGTTQDFKGLLFEMETYRKDEGIPAYDFRSLKDYHADSKKPVEEIKLISDVVRDSPHKIFIAGIPRVISSKMLRDIVSSFGQLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGCVITAVGVLTDHPGQAGNEACPFHGIPANPKPLLAVPTQVLQLKNVFDQEEFSLLSKYEVDAVLEDVRVKCARYGAVKSINVVEYPAGSDNTKAPAVDARDNALASNNTALEAGCILVEFLCKEASFMAAHSLHGRPFGSRIVSAGYAPYDLLSLPT >ONIVA01G50500.2 pep chromosome:AWHD00000000:1:41785067:41792283:1 gene:ONIVA01G50500 transcript:ONIVA01G50500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDQVEIPFKVLQERVPGKKKYSLRVIKPSSSKKICRSVNSYPLVCLKREMADNDHVHRIMLTLNHRNILSMKALSRDKVESNGPSSLAAFVEPYTGLLSSLCFKHDCWGDRINHIPSPLLQSLLRQVIEGLDFLRKNKLYHGNLNWDSILYLQPSTVKLANFRKQEHHFPKIFRTALPAIKEIVLGHPLFWDLMTRVNFFAKDISLRLNDDTFMSRVRASKIRKLPWNEGTTQDFKGLLFEMETYRKDEGIPAYDFRSLKDYHADSKKPVEEIKLISDVVRDSPHKIFIAGIPRVISSKMLRDIVSSFGQLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGCVITAVGVLTDHPGQAGNEACPFHGIPANPKPLLAVPTQVLQLKNVFDQEEFSLLSKYEVDAVLEDVRVKCARYGAVKSINVVEYPAGSDNTKAPAVDARDNALASNNTALEAGCILVEFLCKEASFMAAHSLHGRPFGSRIVSAGYAPYDLLSLPT >ONIVA01G50500.3 pep chromosome:AWHD00000000:1:41786234:41792283:1 gene:ONIVA01G50500 transcript:ONIVA01G50500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDQVEIPFKVLQERVPGKKKYSLRVIKPSSSKKICRSVNSYPLVCLKREMADNDHVHRIMLTLNHRNILSMKALSRDKVESNGPSSLAAFVEPYTGLLSSLCFKHDCWGDRINHIPSPLLQSLLRQVIEGLDFLRKNKLYHGNLNWDSILYLQPSTVKLANFRKQEHHFPKIFRTALPAIKEIVLGHPLFWDLMTRVNFFAKDISLRLNDDTFMSRVRASKIRKLPWNEGTTQDFKGLLFEMETYRKDEGIPAYDFRSLKDYHADSKKPVEEIKLISDVVRDSPHKIFIAGIPRVISSKMLRDIVSSFGQLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGCVITAVGVLTDHPGQAGNEACPFHGIPANPKPLLAVPTQVLQLKNVFDQEEFSLLSKYEVDAVLEDVRVKCARYGAVKSINVVEYPAGSDNTKAPAVDARDNALASNNTALEAGCILVEFLCKEASFMAAHSLHGRPFGSRIVSAGYAPYDLLSLPT >ONIVA01G50490.1 pep chromosome:AWHD00000000:1:41782295:41782533:1 gene:ONIVA01G50490 transcript:ONIVA01G50490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEPARSEAGAELQEGEGGEEQAVAKLAQTQAAPSTIQAMASIIPSTTQAVAESEAPAAQRAEMEAMR >ONIVA01G50480.1 pep chromosome:AWHD00000000:1:41774258:41779922:1 gene:ONIVA01G50480 transcript:ONIVA01G50480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTPPQLDPEKRWQIYCQEPDPACGASGSGQDAPADQQESDGKRGQHRSPGDEARPDRQLKRRRKKKKKNYNAMKMLQYSLIQLRLLRGQLIGRVEDGGVIARLKLMDKICMELRYVCEWNEYRWKFLLWLLKRTRLDLHPELVMDLSHTKELETKPTYDASQSREEASAAASASDGQGDDKERGDLQAVEAYGSPPGKEKVDLQAAKDSSPTAEKGKGKHIGYEAQQKKNSIEDVKSSSQQEISKPKKKKKQKKRQRRKMDESTENLSCHSGSPSSSHDGTSTSTIEGEPKPSSGDLAKISREHKQEQESMEDLEDLLGMDEDTISNKVSYYFHQLYVQDHLDDDDDDDWLECDGPQQLTELHEQLAFYRIIGYELSNGRKLDELDIAKLKEKYPPSILYEKGYFQYYEDSLEWYFDPERFQPAALDNYQRLVLCDNGLYMDWDQCHSNYSTYESDLAYVKFCEELAHKTKWFQDYLVLIAVEDKITMGQWDKVKNTVYLQAMKIALRIRVVSLMQVMTAFQEYIWSMRFDCCNYKDFDGVYFEVWKRVAKQKMEFTDALSELYREDMFPLRNVDIKDELRSTRGRFRSMKENYDLYVACIDETVPEKEARQLIKDAIIEMAEDQSQEPRRSLHNTAQGRQ >ONIVA01G50470.1 pep chromosome:AWHD00000000:1:41770949:41772603:-1 gene:ONIVA01G50470 transcript:ONIVA01G50470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHYEQYDAEGHESSLSRKYGLRDVVVSDPEAAKRDKGWGFVARVYLGGQNVTLDLSRFRHTLTRLHARALRVAVLAQSVCILSANTNGAETTNISTISVGADIGNVSSHQRNKMKCQGSLVLISGISALKLVALTSLPHRHEESLGRHGGTMSAPSTLALSCTTSAPRRLIFG >ONIVA01G50460.1 pep chromosome:AWHD00000000:1:41762502:41766795:-1 gene:ONIVA01G50460 transcript:ONIVA01G50460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPDLGGGGVEDGGGKKWPGFVQFFFVLSVVLCVLLYAPRFIVLTPTYGLDFFPQPPPNVTTSPARVVGDHNAGEVVVLDNQLRSPCSSLAGDTICCDRSDFNTDVCFMAGDVRTDPSSLSLLLFPKQPPAANATVEERIRPYTRKWEALIMSRVEEVRLRMAPPEEEPGHRCDVRHDAPLLVMTAGGYTGNLFHAFSDGFVPAWLTVQHLRRRVVLGVLSYNPWWAGTYGEIISGLSDYHPPPNDTTSTARIVGYHNAGDVVVLDNQLRLPCSSLAGDTICCDRSDFNTDVCFMAGDVRTDPSSLSLLLFPKQPPAANATVEERIRPYTRKWEALIMSRVEEVRLRMAPPEEEPGHRCDVRHDAPLLVMTAGGYTGNLFHAFSDGFVPAWLTVQHLRRRVVLGVLSYNPWWAGTYGEIISGLSDYHVVDLLHDKRKHCFPGAIVGTRFHGILSVDPARLLTTRPSSTSTIFSPTRHRRHRRSPAGAAAATARDRVAQGGVGDREPGGDGAAREDGGVRRGHPGDGGRAAAPASYASVSACDVLVGVHGTDLTKLLFLRPGAALVQITPLGVAPIARGCYAEASARMGLHYEQYDAEGHESSLSRKYGLRDVVVSDPEAAKRDKGWGFVARVYLGGQNVTLDLSRFRHTLTRLHARALRVRSLHPAP >ONIVA01G50450.1 pep chromosome:AWHD00000000:1:41756485:41757474:-1 gene:ONIVA01G50450 transcript:ONIVA01G50450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08315) TAIR;Acc:AT1G08315] MEVKLHAARSLVGRLRGAAAVHDGAATAVAIAEIRHATKDDPDIRAPLADAGAVPFLAAQLTAPSAASEDAAAALLNISISARGQLMSAPGLLDALTAALRADEYCAAHHAAATVYSLLCVEANRPVVGARRPLLAALVSLLRAAPNTRATKDALKALFAVALHPPNRATLVGLGAVQALFALIMTDGRSGIMEDATAVVAQVAGCAESLDAFTRMSGLRILVDLVEQGGASTPRTRENAAAALLNLVVAGGERAVAEVIAVGGAEDAVRELAEDATASARGKAKAESLLRALECGGARRREHRLADFLNGLVQSDPYISSPASASTHG >ONIVA01G50440.1 pep chromosome:AWHD00000000:1:41747127:41747333:-1 gene:ONIVA01G50440 transcript:ONIVA01G50440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLLLAAAAVLLLLLPLLLPPLPPPPSLLLLVPVVLLLALLSLAFLPNRDDVVYGQQPAADQFFFRQ >ONIVA01G50430.1 pep chromosome:AWHD00000000:1:41718820:41728005:1 gene:ONIVA01G50430 transcript:ONIVA01G50430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPLHPRGAATRPEQSEQQKAKQNNTTTSPRIFLPPLHHQRGHAAHCSDFSPTTINKQQQFGRRHAPIQRRIDFGPSMASSTTTAPPVSCRPSTARGRLLRLLPANGPAAAMELLPTVRRREAVRAAHVRRIEAAAWLGARRATRREDAAARCAAAGEVVGSAAGVGRSAGMEVAIATAAVVAMGTGNRVLYKLALVPLRDYPFFLAQLATFGYVVVYFSILYLRHQAGIVTDEMLSLPQKPFLAVGLLEALSAASGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRINEITGCFLVTVGVIITVASGSSAGASLKGTGILWPLLMIISFFLQAADTVLKEIIFLNAAKKLKGGSVDLFVVNSYGSAYQALFMCLLLPFLSKLWGVPFHQLPTYIRDGTACFLNMGSLSSGCEGAPLLPLLFVLVNMGFNISLLHLLKISSAVVSSLASTFSVPLSIYAFTLPLPYIGVASTLPPGFVAGAVFLDGTRTREKRRSIITTGMKSKNGGGGGSGGNTNGSHRRITAAAAINIIRTLLSILASPAAVDWTASSGRRLTGCSTLRCSCVFCDEEVLYGCFQ >ONIVA01G50420.1 pep chromosome:AWHD00000000:1:41713743:41717996:1 gene:ONIVA01G50420 transcript:ONIVA01G50420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLSSNTRNNGTWLSATYISQTGQKIKEIMAGPKHGCDAKKLNEMLREERGQLRQRRQTRVVPRRRREGPASVQGQVKVKGWEIVIRGEITSDDMAAAMGLVVGSPFCALAAKREKLLQQDVHEDESRLNYENSVRRGNAIVIVLHKEHGYAPHINVQSLQLSPSVRTEGPITICAFCPQPREWKEIILRRGKSPHQAVVKSEKDQSQAAMKNSFEDFYHAIVELIEKFCEERGQVQYKIPSKKALKEAYEKHHTEQGQLKREEFIKIGKEVIRRDSFTLGKATMDFIMYLFGAPLCALAAKRILPGLRWISDDVAIPLATSASVAYLIRTKQL >ONIVA01G50420.2 pep chromosome:AWHD00000000:1:41713743:41717996:1 gene:ONIVA01G50420 transcript:ONIVA01G50420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLSSNTRNNGTWLSATYISQTGQKIKEIMAGPKHGCDAKKLNEMLREERGQLRQRRQTRVVPRRRREGPASVQGQVKVKGWEIVIRGEITSDDMAAAMGLVVGSPFCALAAKREKLLQQDVHEDESRLNYENSVRRGNAIVIVLHKEHGYAPHINVQSLQLSPSVRTEGPITICAFCPQPREWKEIILRRGKSPHQAVVKSEKDQSQAAMKSEKADTEKLIQFMEANYEKYVANVDSFEDFYHAIVELIEKFCEERGQVQYKIPSKKALKEAYEKHHTEQGQLKREEFIKIGKEVIRRDSFTLGKATMDFIMYLFGAPLCALAAKRILPGLRWISDDVAIPLATSASVAYLIRTKQL >ONIVA01G50410.1 pep chromosome:AWHD00000000:1:41712796:41713236:1 gene:ONIVA01G50410 transcript:ONIVA01G50410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATAEFRRVFSAFDRDADGKISAAELRLCMKAALGEDMSAEEAEALVSSADTDGDGLLDEEEFTKLAVQLEMGDEEERCRGLMEAFRMYEMEGEGRITPASLKRMLSKLGSHQGIEECQTMICRFDLDGDGVISFEEFKIMMDA >ONIVA01G50400.1 pep chromosome:AWHD00000000:1:41707624:41711817:-1 gene:ONIVA01G50400 transcript:ONIVA01G50400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASDEFRRVFGSFDQDGDGKISATELRLCVKASLGEDMPDEEVQALMALADTDGDGLLDEEEFVRLVTEMEADGDEEEDDDDETCREAFAMYEMEGRGCITPLSLKLMLSKLGTHLDVAECQAMICRFDVNGDGVLTFDDKEGKQNSSA >ONIVA01G50390.1 pep chromosome:AWHD00000000:1:41707127:41707582:-1 gene:ONIVA01G50390 transcript:ONIVA01G50390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASAASPCESSALFAAFDHDGDGRISAAELRLCMKATLGEEVSDEEAGQLVASVDADGDGLLCEAEFVRLVQAAEVEEEDERRGTGLREAFGMYEMEGEGCITPTSLRRMLRRLGSDQDIDDCRAMICRFDLNGDGVLSFDEFKIMMNA >ONIVA01G50380.1 pep chromosome:AWHD00000000:1:41696734:41700950:-1 gene:ONIVA01G50380 transcript:ONIVA01G50380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C2 [Source:Projected from Arabidopsis thaliana (AT2G26870) UniProtKB/Swiss-Prot;Acc:O81020] MAVRRRRPGPVAAAVLLLLAVATQAAASPIKTVVVVVMENRSFDHMLGWMKRLNPEIDGVTGGEWNPTNASDPSSGRVYFGEGAEYVDPDPGHSFQEIRQQIFGSDDASGPARMDGFVQQARSLGDNMTAAVMNGFSPDSVAVYRELVGEFAVFDRWFASVPSSTQPNRLFVHSATSGGATSNNPELLAKGYPQRTIFDNVHDAGLSFGVYYQDVPAVLFYRNLRKLKYLTKFHPFHGAFRDHAARGSLPNYAVVEQHYMDSKSHPANDDHPSHDVFQGQMLVKEVYETLRASPQWNQTLMVVTYDEHGGFYDHVPTPVTGVPSPDGIVGPPPYNFAFDRLGVRVPAIVISPWINKGTVVHGPNGSPTATSEYEHSSIPATVKKLFDLPQDFLTKRDAWAGTFESVVQGRTEPRTDCPEQLPMPMRIRLTEANEEAKLSEFQQELVQLASVLNGDHQLSSLQDTIRDRMNVREGIAYMRGAVKRFFEAGMSAKRMGVDDEQIVKMRPSLTTRTSPAIEQP >ONIVA01G50370.1 pep chromosome:AWHD00000000:1:41692451:41693788:-1 gene:ONIVA01G50370 transcript:ONIVA01G50370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLALPLLAFLSIFLTPTTAVSSSTLQLARSHSVTPNAGAPLSAWAASVAAQSAADTARIVSMLTSGAGPLTTRAKPKPKNRANPPVPIAPGRQILSIPNYIARAGLGTPAQTLLVAIDPSNDAAWVPCSACAGCAASSPSFSPTQSSTYRTVPCGSPQCAQVPSPSCPAGVGSSCGFNLTYAASTFQAVLGQDSLALENNVVVSYTFGCLRVVSGNSVPPQGLIGFGRGPLSFLSQTKDTYGSVFSYCLPNYRSSNFSGTLKLGPIGQPKRIKTTPLLYNPHRPSLYYVNMIGIRVGSKVVQVPQSALAFNPVTGSGTIIDAGTMFTRLAAPVYAAVRDAFRDRVRTPVAPPLGGFDTCYNVTVSVPTVTFMFAGAVAVTLPEENVMIHSSSGGVACLAMAAGPSDGVNAALNVLASMQQQNQRVLFDVANGRVGFSRELCTA >ONIVA01G50360.1 pep chromosome:AWHD00000000:1:41670903:41672245:-1 gene:ONIVA01G50360 transcript:ONIVA01G50360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGMVVVTPAASFHHTHHHHHHHEAAAAAAAAAAAAADPIFPLLSAGPCVLDPDKSAASGSAIQFWQPPPQPPSSAAGGNPNPSSSAFPYLKKPLPMLDTGGGSSGSGGSATCQDCGNQAKKDCGHQRCRTCCKSRGFDCSTHVKSTWVPAARRRERQQLTGSASSSPATASAAAASKKPRLLTSQTTTSHTSTSNATTPRSFDTTSSHQDASFRESLPRQVRAPAVFRCVRVTSIDDGEDEYAYQATVTINGHVFKGFLYDQGVDDGRGLAATSNDDSTAGGVPNISELHLGGASISGNAMREGGSSMVHSDLYGGGGGSGGGPHILGGSSYGNTMN >ONIVA01G50350.1 pep chromosome:AWHD00000000:1:41658390:41660726:1 gene:ONIVA01G50350 transcript:ONIVA01G50350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASASSSSSSSSSAESVPLPTTTLPIDKAAAVGGGGDRAVDCGVCAICLDKIALQETALVKGCDHAYCVTCILRWASYKQTPQCPQCKHPFDFLSVHRSLDGCIHDYMFEESVCLLLRATWFEPLIVEAHEEALDEEELYHIYQYDDGVEDDLDEEAYYMSRSPSIRIGNRRWGDNGYVRGGRKEARPVSRQSLNDTDAGPSRTPKKKDVSASGSGSVSKEVAGRRAKRALKREAADKAAAEKHLKHLQRLGLRKAPEATAEATPEVEPQLNE >ONIVA01G50340.1 pep chromosome:AWHD00000000:1:41652599:41652859:-1 gene:ONIVA01G50340 transcript:ONIVA01G50340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQPSRSLPLDFNVDMDDEPAAAAKGTAAHVDGGGGQPGRRNTTDDAKSQEAGNNGKKLPPSSATTGGGRPVASSEETGKKK >ONIVA01G50330.1 pep chromosome:AWHD00000000:1:41650418:41650812:1 gene:ONIVA01G50330 transcript:ONIVA01G50330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLKNALDWGSRPPNCWADRAAAIVSASGGSGGSRSMYHIRQVGVFLDIHFINKPEVFIKAHQPPKKFDSDGNLIDPEIKEELKDMLLSLQAFALRLQGKPANSKHAA >ONIVA01G50320.1 pep chromosome:AWHD00000000:1:41648345:41648605:-1 gene:ONIVA01G50320 transcript:ONIVA01G50320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQPSRSLPLDFNVDMDDEPAAAAKGTAAHVDGGGGQPGRRNTTDDAKSQEAGNNGKKLPPSSATTGGGRPVASSEETGKKK >ONIVA01G50310.1 pep chromosome:AWHD00000000:1:41645109:41647326:1 gene:ONIVA01G50310 transcript:ONIVA01G50310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSPKALRVAAISGSLRRGSANTGLIRAAKEICEESIPGMVIDHVDIPDLPLLNTDMEVDGGFPPAVEAFRASVRAADCFLFASPEYNYSISGPLKNALDWGSRPPNCWADRAAAIVSASGGSGGSRSMYHIRQVGVFLDIHFINKPEVFIKAHQPPKKFDSDGNLIDPEIKEELKDMLLSLQAFALRLQGKPANSKHAA >ONIVA01G50300.1 pep chromosome:AWHD00000000:1:41629606:41630271:-1 gene:ONIVA01G50300 transcript:ONIVA01G50300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHSHCWYYGGGMAVTAAAGAGAASSTSSESQPSGYDYETAGSAEVSAPGGGAGRERAARKEEGGAGAAAAAAGFIGVRKRPWGRFAAEIRDSTRNGVRVWLGTFETAEAAAMAYDQAALSARGAAAALNFPVERVRESLRALALGAAGGSPVLALKGRHSKRKRRKRSELAGATATANKTTTTTSATTGGEHKQIVLELEDLGADYLEELLWMSEQTSH >ONIVA01G50290.1 pep chromosome:AWHD00000000:1:41614809:41622186:-1 gene:ONIVA01G50290 transcript:ONIVA01G50290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0FYX8] MSAAHLLRHFRKLRSLHNALDCERSGLVRYFSTSSGSFPTKGNGAEKRIGGARFPQRKQPGKELETSKLSLGLNGSYTCRRSPNNFIPSTITGLNGSLSCGQIASARSFSSSADLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGSKEIKVGEIIAVTVEEEGDIKKFKDYKPSTLAAPVAPSELKAQSEPTEPKVEEREPSKASEPKAPRTEEPSRSGDRIFSSPLARKLAEDNNVPLSNVKGTGPDGRILKADIEDYLAKGCRKEALAAPGLSYTDVPNAQIRKVTANRLLSSKQTIPHYYLTVDTRVDNLIKLRGELNPLQESSGGKKISINDLVIKAAALALRKVPQCNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGMIAEEVKQLAQRARDNSLKPDDYEGGTFTISNLGGPFGIKQFCAIINPPQSAILAIGSAERRVIPGSANGQYEFGSFMSATMSCDHRVIDGAIGAEFLKAFKGYIENPTSIYTGN >ONIVA01G50280.1 pep chromosome:AWHD00000000:1:41598029:41606186:-1 gene:ONIVA01G50280 transcript:ONIVA01G50280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSSSARRDAGTPGGGNGAGNKDNAGRKGIVACGKRTDFGYDKDFEARYALGKLLGHGQFGYTFAAVDRRSSERVAVKRIDKNKMVLPVAVEDMIIMCILLWSKSWKNSQMFMKSVEVYLSITIKCLSELFMALAKLKEGTVQANEDLTLLHVFDRLCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSSLKATDFGLSDFIRPGKHFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPNITPCAKDFVQKLLVKDPRARLTAAQALSHEWVREGGQASDIPLDISVLHNMRQFVKYSRFKQFALRALASTLNAEELSDLRDQFNAIDVDKNGTISLEELKQALAKDVPWRLKGPRVLEIVEAIDSNTDGLVDFEEFVAATLHVHQLVEHDTEKWKSLSQAAFDKFDVDGDGYITSDELRMQTGLKGSIDPLLEEADIDRDGKISLDEFRRLLKTASMSSRNVQTPRSVHRS >ONIVA01G50270.1 pep chromosome:AWHD00000000:1:41585728:41591070:-1 gene:ONIVA01G50270 transcript:ONIVA01G50270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFSEKLSGVKEDLKVRTKQTAETIYKSVDDVLTEAEATSKKVTANVKEKMSAATEEVKESFRLGKEDTSSCKDGSPETSKHEYSETSSHSDDKSQAGTSGYTLFNKLRKKRNHARHASAGTVEKSTRTELVIVPTKKSVLGEKWEAFKNKMRGHPAYKRVNEYTKPVVNIGQEVAEDVRERWETSDNPVVQKIQDLNESIFEETATAVSFREIRQRDPSFSLPDFAGDVQEMIKPVLTAYSKGDVKTLKKYCTKEVIERCKGERDAYASQGIFFDHKILHISDADVRETKMMGSTPIIIVGFQTQQIYCVRDREGQVTEGGQDTIQTVFYAWAMQLMDSDEVPEEESYYPVWRLREIQQVVS >ONIVA01G50270.2 pep chromosome:AWHD00000000:1:41587667:41591070:-1 gene:ONIVA01G50270 transcript:ONIVA01G50270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFSEKLSGVKEDLKVRTKQTAETIYKSVDDVLTEAEATSKKVTANVKEKMSAATEEVKESFRLGKEDTSSCKDGSPETSKHEYSETSSHSDDKSQAGTSGYTLFNKLRSTLSSASPVLSGAFAKLRDTRVSTYAKQGYEIFKDELSSSSSRKKRNHARHASAGTVEKSTRTELVIVPTKKSVLGEKWEAFKNKMRGHPAYKRVNEYTKPVVNIGQEVAEDVRERWETSDNPVVQKIQDLNESIFEETATAVSFREIRQRDPSFSLPDFAGDVQEMIKPVLTAYSKGDVKTLKKYCTKEVIERCKGERDAYASQGIFFDHKILHISDADVRETKMMGSTPIIIVGFQTQQIYCVRDREGQVTEGGQDTIQTVFYAWAMQLMDSDEVPEEESYYPVWRLREIQQVGIKALI >ONIVA01G50260.1 pep chromosome:AWHD00000000:1:41583675:41584388:1 gene:ONIVA01G50260 transcript:ONIVA01G50260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQKGEGETVKEAEEGETVAAEDKGKEKVTAGDNSGEEKAGAKMISVECSDGKTFDMPLAAAMLSTVIRNSCKIVDDESDSDKPSGDGEITMVKLPHQVPSTIFPEVKKYCMKHAKVDEKGNSTATVFTNTGAAAASSSSTSVPDDDPTATEEEVLKNWDKEFVNVDQWPLYNLLLAAHFLDIQGLFDIASQKVADMLKGKNSQEMRDTLNIANDFTADEQQAIRALNPWAFPNP >ONIVA01G50250.1 pep chromosome:AWHD00000000:1:41582798:41583124:1 gene:ONIVA01G50250 transcript:ONIVA01G50250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEKASPSSPPLWRQKDSLFRWVAITKDPLGPVLEQCLDIPTTLPNLRHNASLNTPLLDSHGRFVFVLALPYWDTVAMEVVTVGHLPQTSSPTTSSFPASKLEERR >ONIVA01G50240.1 pep chromosome:AWHD00000000:1:41577501:41578073:1 gene:ONIVA01G50240 transcript:ONIVA01G50240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKSGEGEKAGGKTISFRCSDGQAFHMPVAAAMLSTAIRKMFDKYPSIDHGGVIELPHQISSGIFPKVKEYCTKHAKVDDKGNPTVSTNTGAAAAASSSSTDDEEEDLKNWDKEFVNMEVKPLHDLLLVAHLLDIKGLFDITCRKVADMLKGKTSEEMRQILNIRNDFTEEEDKAIKEQNPWVFPDPE >ONIVA01G50230.1 pep chromosome:AWHD00000000:1:41573305:41575032:-1 gene:ONIVA01G50230 transcript:ONIVA01G50230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT3G60400) TAIR;Acc:AT3G60400] MPLFRAAALRRLLSTAAASSAPPKLWNLPYRLRRAAVPAARAAVSEYLHATRCLPSSHADTIAARSPRSLHAFLAGLPAVPSSLRTSAFPSLLRRHLAFHPLNELPFFLESIGLPPTTRSDLMFLADHPSLLPAVAALAHFGFPWSRLGLLFPTVLLRLPPDLITSRLASLEACLGPLPRAAIIAACLAFPSLLENDLSSSDRLVDDLGKVFGRLGPGLGTSNDIDAFLGVCRRTWMFYDAGSEVGGIGDLVGCNNQRVFLELEEERIGKMLKFFKGLGMAGEEVGRFLLTNPMVFYLEFGDVVISVPEYLRRVGLAVDEVNAAVEKHPYVVGKNLLQNLPGVLRAMELDHWFLEKISDGGESLRYLFPDFVLEDVSYDVEIERAFLGGMIKMKADKRAQHIDGKLEFLKSIGYGENEIATKIIAVLHSNRDTLQERFDCLLERGLEYKMLCQIVSVFPKILNQGKKMLNDKLNYMTKELGYSVEYLELFPAFLCFDLEKRVKPRYTMLRWLRENGLLRRTLAPATVLANSEKRFISNLYNVHPAAPKLWLECFSSRKHMEYYLRNIYHQHADTK >ONIVA01G50220.1 pep chromosome:AWHD00000000:1:41563601:41567642:1 gene:ONIVA01G50220 transcript:ONIVA01G50220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylulose kinase-1 [Source:Projected from Arabidopsis thaliana (AT2G21370) TAIR;Acc:AT2G21370] MSIARSSRFTTKGTSRVRVMMLRRKNPGGQKKSFDGTGEPLYLGIDFGTSVWLKNVGRVTNWASSWREALFHLLGDIPAIYRPSISSISIDGTSATTFIIDRNNGGILAGPYLYNESFADALPAVESIAPANHTVCSGSSTLCKLVSWWNSSSAGLSSRDSAILMHQSDWLLWLLHGEYGVSDYNNTLKHPELQLAQSRRMYAHRFPKNCVVCTGTTDSIAAFLAARTTEPGKAVTSLGSTLAIKLLSNARVDDARFGVYSHRLDDMWLVGGASNTGGAVLRQLFTDDQLVALSHEIDPSVPSLLDYYPLPKRGERFPVSDPNMMPRLQPRPESDTAYLHGILESIARIEAKGYNLLKELGASMVEEVLTAGGGARNDKWTAIRGRVLGVPVRKAEQTEAAYGAALLALKGANTMH >ONIVA01G50220.2 pep chromosome:AWHD00000000:1:41563601:41567642:1 gene:ONIVA01G50220 transcript:ONIVA01G50220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylulose kinase-1 [Source:Projected from Arabidopsis thaliana (AT2G21370) TAIR;Acc:AT2G21370] MSIARSSRFTTKGTSRVRVMMLRRKNPGGQKKSFDGTGEPLYLGIDFGTSGARYALVDKQGAIHSEGKRTYPPVGRVTNWASSWREALFHLLGDIPAIYRPSISSISIDGTSATTFIIDRNNGGILAGPYLYNESFADALPAVESIAPANHTVCSGSSTLCKLVSWWNSSSAGLSSRDSAILMHQSDWLLWLLHGEYGVSDYNNTLKHPELQLAQSRRMYAHRFPKNCVVCTGTTDSIAAFLAARTTEPGKAVTSLGSTLAIKLLSNARVDDARFGVYSHRLDDMWLVGGASNTGGAVLRQLFTDDQLVALSHEIDPSVPSLLDYYPLPKRGERFPVSDPNMMPRLQPRPESDTAYLHGILESIARIEAKGYNLLKELGASMVEEVLTAGGGARNDKWTAIRGRVLGVPVRKAEQTEAAYGAALLALKGANTMH >ONIVA01G50210.1 pep chromosome:AWHD00000000:1:41548178:41561673:1 gene:ONIVA01G50210 transcript:ONIVA01G50210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGMVVGVALMAGWSRVMQRRSRKRIAKAADIKVLGSLGRDDLKKLCGDNFPEWISFPQYEQVKWLNKHLSKLWPFVDQILVLLGATKLLVPFQAATAVVKESVEPLLDDYRPPGIKSLKFSKFSLGTVSPKIEGIRIQNIQPGQIIMDIDLRWGGDPSIILAVDAVVASLPIQLKDLQVYTIVRVVFQLSEEIPCISAVVVALLAEPEPKIQYTLKAIGGSLTAVPGLSDMIDDTVNSIVSDMLKWPHRLVVPLGVNVDTSELELKPQGRLTVTVVKATSLKNKELIGKSDPYVILYVRPMFKVKTKVIDDNLNPEWNETFPLIVEDKETQSVIFEVYDEDRLQQDKKLGVAKLAVNSLQPEATSEITLKLQQSLDSLKIKDTKDRGTLHLQVTYHPFSKEEQMEALESEKRAIEERKRLKEAGVIGSTMDALGGAASLVGSGVGLVGTGIVGGVGLVGSGIGAGVGLVGSGIGAVGSGLGKAGKFMGKTVAGPFSMSRKNGSSSTAPQAEQPSA >ONIVA01G50210.2 pep chromosome:AWHD00000000:1:41548178:41561673:1 gene:ONIVA01G50210 transcript:ONIVA01G50210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGMVVGVALMAGWSRVMQRRSRKRIAKAADIKVLGSLGRDDLKKLCGDNFPEWISFPQYEQVKWLNKHLSKLWPFVDQAATAVVKESVEPLLDDYRPPGIKSLKFSKFSLGTVSPKIEGIRIQNIQPGQIIMDIDLRWGGDPSIILAVDAVVASLPIQLKDLQVYTIVRVVFQLSEEIPCISAVVVALLAEPEPKIQYTLKAIGGSLTAVPGLSDMIDDTVNSIVSDMLKWPHRLVVPLGVNVDTSELELKPQGRLTVTVVKATSLKNKELIGKSDPYVILYVRPMFKVKTKVIDDNLNPEWNETFPLIVEDKETQSVIFEVYDEDRLQQDKKLGVAKLAVNSLQPEATSEITLKLQQSLDSLKIKDTKDRGTLHLQVTYHPFSKEEQMEALESEKRAIEERKRLKEAGVIGSTMDALGGAASLVGSGVGLVGTGIVGGVGLVGSGIGAGVGLVGSGIGAVGSGLGKAGKFMGKTVAGPFSMSRKNGSSSTAPQAEQPSA >ONIVA01G50200.1 pep chromosome:AWHD00000000:1:41541551:41542189:1 gene:ONIVA01G50200 transcript:ONIVA01G50200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FYW6] MRPVVLELGAGCEVAAAVAAFARRRRVGVSVLCGRGTVAAVTLRLPTSPPAAVKLHGRFEVLSLSGTVLPSAAGEGAAPPPPFSVSLAGAGGQVIGGTLAGEMTTADGLVVVAATFGSAEVHRLPADEDDEATGSRGGEERRHPQQQPPQTVAATSAVDVGLLGYGGGVGVAGGASGGQVGRHQQQQQQAEMVLWAQSPGSVGQAHPATSRY >ONIVA01G50190.1 pep chromosome:AWHD00000000:1:41538713:41539033:-1 gene:ONIVA01G50190 transcript:ONIVA01G50190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQLAAKQKGSFHAWSQIQRNSINSQKAVNGLKERVVLRIARAKMCADGEEWRRSEQMSRTEKAEELAFLVAFTLKKLKKY >ONIVA01G50180.1 pep chromosome:AWHD00000000:1:41533212:41537230:-1 gene:ONIVA01G50180 transcript:ONIVA01G50180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARPVIRVAAICGSLRKASYNGGLLRAAAGVCEESIPGLRVDHVDISGLPLLNTDLETADGGFPPAVEAFRDKVRQADCFLFGSTEYNYSIATPLKNALDWASRGQNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELAVKAFEQPPKFDSDGNLIDAQIRERIKQKHAVVWTSVAGTYYRLPANFAA >ONIVA01G50180.2 pep chromosome:AWHD00000000:1:41534659:41537230:-1 gene:ONIVA01G50180 transcript:ONIVA01G50180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARPVIRVAAICGSLRKASYNGGLLRAAAGVCEESIPGLRVDHVDISGLPLLNTDLETADGGFPPAVEAFRDKVRQADCFLFGSTEYNYSIATPLKNALDWASRGQNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELAVKAFEQPPKFDSDGNLIDAQIRERIKQVLLSLQAFTLRLQKKD >ONIVA01G50170.1 pep chromosome:AWHD00000000:1:41528798:41529988:-1 gene:ONIVA01G50170 transcript:ONIVA01G50170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT5G12300) TAIR;Acc:AT5G12300] MESARFVYELDHGGEGEEEEEEDACFLDIYVHGARGIHNICIYAAQDVYARLALTSSPDDAPALDTRVAAGGGANPRFDERLPPLRVRRARLGTDVLKCEIWMRSCARRLLDDQLLGFALVPLAAVAAADGARLAQDFSLSSTDLFHSPAGTIRLSLALHSGPPGDACPPPERAAAEPSITSEVVILEPAPPVDYARIEFPDLNAVKENDDMAVQYLPFLHLADAQAMDDDDCEMATSPREEKPASSDDGSKNASTSTTTAVSDDNRAVTRKARPDADDAATAPMSCRSPDTPTSNGGKPSSSDKEKGAAEDVFDSPPLRDIDMEAEQSAMQRQIMEMYMKSMQQFTESLSKMKLPMELDGDNGVVVQKEEKPEAEVKLQPKKDGARVFYGSRAFF >ONIVA01G50160.1 pep chromosome:AWHD00000000:1:41525390:41527500:1 gene:ONIVA01G50160 transcript:ONIVA01G50160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWSGAASMPLRSAAGVFSAADNRLKEIDTLVGEIQMDFRSLHTPNCVFNGEEIEDDDDVVDPEYLEIMTLAGQIRSGVRELQTALDRKRIYDSSYGDEDRSMEETQGQACWFPSASQLELVIHSLDAQLRHCLLCLAMFPADELIKKKMLIHYWIGEGIVQSVTAGKDCFTDLLSRGLIQPACQRQHCSKVHYCKIPPIVSNFLVKTAHRMGFYQFDGEGKPMEDFDSERSRRACLWERQIDGRGDDVNELYGPSSCKDLLTLYNFNRQYINMDKTWLSKQAEMSTLQLGQWKPSWRHHIEIVSPETLEAATMCESLKYLSIRGISLIEYIPDSIGNLQNLMVMDLRACHNLEKLSDSIGSLRKLQFLDVSECYLLDEMPEGIGSLEELQVLKGFLVGGATSKSNPCRLADLASLPKLRKLSISTGRRALVVQEDELNKLQGCTALESLTITWGAAAAAQGPTRQLISGVEGSIKGTSMGSELLLPPRLEKLDLRRTPMENLMHLLHPGNAENLKRLYIRGGKLKSFGDVEGWNVETLRVRFLKVLECDWERLRASFGKLHFLEMRECPNLTSWECDGEGVWREDDDNGTTHSSCSSLNGGSGGGWSGCGVLMLCYAAGKCRGNFHAKQLSQRGELLTVVWLLVAHFGMGNQYRVEAGHARAKLITEN >ONIVA01G50150.1 pep chromosome:AWHD00000000:1:41519257:41525322:1 gene:ONIVA01G50150 transcript:ONIVA01G50150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGEAEFADVRGPPGGVAGRRMSRASNAAAEATGWRRCRRSSQPSWLPWPWPREAEFLVVVPIALTLGADRSPVAVSGSNVFRRGAASRLYWEHNKPCRRGANDIEGRLAARRAVDFVLW >ONIVA01G50140.1 pep chromosome:AWHD00000000:1:41506576:41509713:-1 gene:ONIVA01G50140 transcript:ONIVA01G50140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGWISAMVSSVGWKAGDPVLRRYGHATIQAYRRTLHVRLLWLVDNGPWLHLSNLTTTDYGLASFWLYELKKALTDADALLENWRCEILQAESIGSRGTAAKVQRTFSGVLDPLKVIPRHSKEFDVALQEELADVFVSIVGMGGIGKTTLARMVYDDDIVQQHFPVRLWVTVSEDFDTTTILQGIVGPSIQGTSLLQLHVREVLCGKRFLLVLDGVSRFNAEKWDRLKISLECCGLGSAVLMTTRSENKSSTSRAYYLGKLADEYVWSIAKQIAFVKEEEGQDLVHIKEAVVTISDGIPLSAAILGGLLRSRLYCELDDWLVSWADACEERSVWRTELHGEWCQSDAQREDIVFLAIELSYKHLPACIKGCFAFCSLFPRTHKIDKDMLIQLWMANDMIPSDDAMDLEAKGSWIFDELVSRCFFQVTKRAQPSQSNRTKWRMHDLVHDTAVLISNVEFTTVLSSVMFGSPHVQSLHHMSIVSCRNKVTCIPLLPAPNLPNLRTLLSLEEQYPLYEWNVDFSKCKSLRVLDLHGFHSSQVMLPSRFLEHLRYLDLSNSWITSIPDDVVYLYNLQTLRLSECCYLKQLPKDLRKMKSLRNLYLDGCFRLENVPLNLGQLKDLHILTTFIVGTDDGCGIGQLKGLNLEGQLEIYNLKNVKRIEDVKCVNLHTKENLRHLTLCWGKFRDGSMLAENANEVLEALQPPKRLQSLKIWRYTGLVFPRWIAKTSSLQNLVKLFLVNCDQCQKLPTIWCLKTLELLCLDQMKCIEYICNYDTVDAEECYDISQAFPKLREMTLLNMQSLKGWQEVGRSEIITLPQLEEMTVINCPMFKMMPATPVLKHFMTSMTTKKDNTGGRVDR >ONIVA01G50130.1 pep chromosome:AWHD00000000:1:41502986:41505271:1 gene:ONIVA01G50130 transcript:ONIVA01G50130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDDEPTTCQRVNGDLPPLESSSASASDSGQSRAAAAAADDERRGGQMAGLRRLWGEWEIRVLLLSSLSLQVFLLFTGGLRKRNVAAWLHFMLWLAYLLADSIAIYALGNLSQNQKLCSNGPHGGGDMHLLVFWAPFLILHLGGQDTITAFAIEDNELWLRHLLSLVSQIALALYVYWKSRPSAAGLLVPAILMFVSGVVKYGERTWALKSASMSSLRSSMLTRPDPGPNYAKFMEEYHSSKEAGLHAEIVIVPERPPDDNIHVQEEHMEYGELVVKAHRFFHTFRRLFVDLILSFQDRTDSLAFFRRLQRDQAYKVVEIELLLMYESLHSKSSVIHGPTGRYLRIFTLAAPVLSLIVFSGTDKAPYKPVDVAVSYVLLGGAIFLEIYAILLMAISPWSFADLRKKDKCLPVASGVFRAVSYFLPEARPRWSNQMAQYNLIHYCLKDKPTWLTGALEKLEWDYNVRVKTIWDSIWYTHHIGVSMVLKQLVFKQLKEKANSTADPMSYRRFGDHRGQWFLHRMGCYQELGASVEVEFDESIILWHIATDLCFYDDDDDDGRDAGERKLKRWSSCCFCSCSDHAPTADDSHLNDVSHLPAASREISNYMLFLLVMRPFMLTASIGQIRFGDTCAETKNFFLRGDELGAARKAAEALTKVKTEINPREVKGDRSKSVLFDACRLAEQLRRLERRKRWRLVAGVWVEMLCYAAGKCRGNFHAKQLSQGGELLTVVWLLMAHFGMGDQYRVEAGHARAKLIIEN >ONIVA01G50120.1 pep chromosome:AWHD00000000:1:41496640:41498817:-1 gene:ONIVA01G50120 transcript:ONIVA01G50120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFVDDPAFASSMSSLEADIFSGAGQLPSSPWLDLDLDDDVQDLSMAPTTANAVSSGYGSGGSGSHRKLSHNAYERDRRKQLNELYSSLRALLPDADHTKKLSIPTTVSRVLKYIPELQKQVENLERKKKELTTTSTTNCKPGVLGSQLMSEGMAPIVSATCINDMEIMVQVSLLSNVAGSVLPLSKCIKVLENEGLHFISSSTSSGFGNRTFYSIHLQRSEGTINEECPAFCERLEKVVRNKAKL >ONIVA01G50110.1 pep chromosome:AWHD00000000:1:41494413:41501511:1 gene:ONIVA01G50110 transcript:ONIVA01G50110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKGPVSTEAVAAYNGLFSQPLPPDHAMTFSSLFSDSLPPAQARTDGQTLGCGFPVPVLCSPSCPCKMSSFYWLLLF >ONIVA01G50100.1 pep chromosome:AWHD00000000:1:41493022:41493678:1 gene:ONIVA01G50100 transcript:ONIVA01G50100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCMNLPATAAAGVKLVYWGGQTRMLDDDGGVCAVAGDVTAELPGDHVVCAADSFYVGLPIPVVSAGEELMAGRTYFVLPAERFSCFKVLTAAALASLSPAPSKKVSVAGPGQCPFEYVKGEGGATLIRVLPEFIEKVISCDENGGGARRRKPSTTATATATELCSTPELKRHYALLMGRRNQPWSPRLETISECNKTRKLLLRTPARLLSSR >ONIVA01G50090.1 pep chromosome:AWHD00000000:1:41484965:41491279:1 gene:ONIVA01G50090 transcript:ONIVA01G50090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase family [Source:Projected from Arabidopsis thaliana (AT3G55850) TAIR;Acc:AT3G55850] MATQNRGCLTRRGIADSPDEAAAAAELRRTHTAASSTAAAPTPSPLLLPQLRRQSRNSTPLRASAAAPPPVIASACSLVEIEERGEREVRTEQVTWSAMSTRSAFLAAGVAIAAAIFLLRPASDLSRDLGEAVADMILANATIYTADPAMPFAEAMAVRAGRVLRVGGYYSVKELKGRHTMELNLSGNVVLPGFIDSHVHFIDGGLQLARVPLRGVTSKDDFINRVKEAVKDKHPGQWIFGGGWNNDFWGGDYPTAAWLDDISPDNPVWLSRMDGHMGIANSLAMRMAGINKNTNNPVGGTIMRTTEGEPTGLLVDAAMKLVFDVISEVSIHERRDALLRASRHALMRGVTTVVDVGSYFPGKSEKQVWQDFTDIYEWAHSVETMIMRVCLFFPMPTWSRVYDLIHEKGRMLSQWIHLGGVKAFLDGSLGSSSALFYEHYKDDPRSYGLQLVDMDYLLNTTLELDKSGLQIAIHAIGDKANDMLLDMYEKVVDLNGMKDHRFRIEHAQHLAPGAAKRFGKHGIIASVQPDHILDDANSAGKKIGIERAERSSYSFRSLLDGGAHLAFGSDWPVSDINPLQAIRTAVFRKPVGWEVPWIPAERLSLDDSLKAHTISAAYACFLDHVLGSLSEGKYADFVILPSTSWNEFGSDITDHVLATYTAVTFQLHGSRKKAW >ONIVA01G50090.2 pep chromosome:AWHD00000000:1:41484965:41491282:1 gene:ONIVA01G50090 transcript:ONIVA01G50090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase family [Source:Projected from Arabidopsis thaliana (AT3G55850) TAIR;Acc:AT3G55850] MATQNRGCLTRRGIADSPDEAAAAAELRRTHTAASSTAAAPTPSPLLLPQLRRQSRNSTPLRASAAAPPPVIASACSLVEIEERGEREVRTEQVTWSAMSTRSAFLAAGVAIAAAIFLLRPASDLSRDLGEAVADMILANATIYTADPAMPFAEAMAVRAGRVLRVGGYYSVKELKGRHTMELNLSGNVVLPGFIDSHVHFIDGGLQLARVPLRGVTSKDDFINRVKEAVKDKHPGQWIFGGGWNNDFWGGDYPTAAWLDDISPDNPVWLSRMDGHMGIANSLAMRMAGINKNTNNPVGGTIMRTTEGEPTGLLVDAAMKLVFDVISEVSIHERRDALLRASRHALMRGVTTVVDVGSYFPGKSEKQVWQDFTDIYEWAHSVETMIMRVCLFFPMPTWSRVYDLIHEKGRMLSQWIHLGGVKAFLDGSLGSSSALFYEHYKDDPRSYGLQLVDMDYLLNTTLELDKSGLQIAIHAIGDKANDMLLDMYEKVVDLNGMKDHRFRIEHAQHLAPGAAKRFGKHGIIASVQPDHILDDANSAGKKIGIERAERSSYSFRSLLDGGAHLAFGSDWPVSDINPLQAIRTAVFRKPVGWEVPWIPAERLSLDDSLKAHTISAAYACFLDHVLGSLSEGKYADFVILPSTSWNEFGSDITDHVLATYVNGKQAYP >ONIVA01G50090.3 pep chromosome:AWHD00000000:1:41484965:41491282:1 gene:ONIVA01G50090 transcript:ONIVA01G50090.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase family [Source:Projected from Arabidopsis thaliana (AT3G55850) TAIR;Acc:AT3G55850] MATQNRGCLTRRGIADSPDEAAAAAELRRTHTAASSTAAAPTPSPLLLPQLRRQSRNSTPLRASAAAPPPEERGEREVRTEQVTWSAMSTRSAFLAAGVAIAAAIFLLRPASDLSRDLGEAVADMILANATIYTADPAMPFAEAMAVRAGRVLRVGGYYSVKELKGRHTMELNLSGNVVLPGFIDSHVHFIDGGLQLARVPLRGVTSKDDFINRVKEAVKDKHPGQWIFGGGWNNDFWGGDYPTAAWLDDISPDNPVWLSRMDGHMGIANSLAMRMAGINKNTNNPVGGTIMRTTEGEPTGLLVDAAMKLVFDVISEVSIHERRDALLRASRHALMRGVTTVVDVGSYFPGKSEKQVWQDFTDIYEWAHSVETMIMRVCLFFPMPTWSRVYDLIHEKGRMLSQWIHLGGVKAFLDGSLGSSSALFYEHYKDDPRSYGLQLVDMDYLLNTTLELDKSGLQIAIHAIGDKANDMLLDMYEKVVDLNGMKDHRFRIEHAQHLAPGAAKRFGKHGIIASVQPDHILDDANSAGKKIGIERAERSSYSFRSLLDGGAHLAFGSDWPVSDINPLQAIRTAVFRKPVGWEVPWIPAERLSLDDSLKAHTISAAYACFLDHVLGSLSEGKYADFVILPSTSWNEFGSDITDHVLATYVNGKQAYP >ONIVA01G50080.1 pep chromosome:AWHD00000000:1:41472354:41480619:1 gene:ONIVA01G50080 transcript:ONIVA01G50080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycoside hydrolase family 2 protein [Source:Projected from Arabidopsis thaliana (AT3G54440) TAIR;Acc:AT3G54440] MAVASASALFSAKNLPHKPWEDPSFFRWRKREAHVPLRSHDTPEGALKYWHERRNVNYLNSDSAVWNDDAVRGALESAAFWSKGLPYVQTLSGYWKFLLASSPESVPEKFYDAYFNDSDWEALPVPSNWQMHGFDRPIYTNVTYPFNMNPPFVPNDNPTGCYRTVFRIPKEWKGRRILLHFEAVDSAFFAWVNGVPVGYSQDSRLPAEFEITDFCHPCDSEKENVLAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLVSKPQIFITDYFFKATLDEGFRVADIEVEVEIDSQKQDREHVSTLSIEATLYDNYGPADVLTSDMSAASVANLKLKPASRPKHCYGFHGYVLGGKVENPKLWSSEHPNLYTLVVVLKDSNGKLIECESCQVGIRNVVLAHKQMLVNGCPVVIRGVNRHEHHPRVGKTNLEACMIKDLVLMRQNNINAVRNSHYPQHPRWYELCDIFGLYVIDEANIETHGFDESSHFKHPTLEPFWASAMLDRVVGMVERDKNHACIIVWSLGNESSYGPNHSAMSGWIHGKDPTRPIHYEGGGSRTSSTDIVCPMYMRVWDILKIAQDPSENRPLILCEYSHAMGNSNGNIDAYWMAIDNTVGLQGGFIWDWVDQGLLKEDADGSKNWAYGGDFGDTPNDLNFCLNGIVWPDRTIHPAVHEVKYLYQPIKITMMDNMLKIENVHFFETTEALDFSWLLQGDGCALGSGSLNVPSIAPQSTHLINMESSPWFTIWSTCVVKEIFLSINVKLRYQTQWAKDGHILASAQICLPPKKGFVPHAIALSRSSLVSERVGDHVLISKSNAWQIKVNSISGTIDSWKVNNIELMSKGIHPCFWRTPTDNDKGGFYTKPYASRWREASLDNISFYSSQFSLKELPDQTVEISTIYYGLPGNQPKPDETSLSDESESVLFRVQMRGRIYDSGDVILEYEVSPKNDLPPLPRVGVVFNADKSLSRAKWYGRGPFECYPDRKAAAHVGVYESGVDELHVPYIVPGECGGRADVRWVALQDADGFGLFASAYGESPPMQVSASYYGAAELDRATHNHKLVKGDDIEVHLDHKHMGLGGDDSWSPCVHEQYLLPPARYAFSVRLCPLLPSSSCHDIYHSQLPC >ONIVA01G50080.2 pep chromosome:AWHD00000000:1:41472505:41480619:1 gene:ONIVA01G50080 transcript:ONIVA01G50080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycoside hydrolase family 2 protein [Source:Projected from Arabidopsis thaliana (AT3G54440) TAIR;Acc:AT3G54440] MAVASASALFSAKNLPHKPWEDPSFFRWRKREAHVPLRSHDTPEGALKYWHERRNVNYLNSDSAVWNDDAVRGALESAAFWSKGLPYVQTLIPSNWQMHGFDRPIYTNVTYPFNMNPPFVPNDNPTGCYRTVFRIPKEWKGRRILLHFEAVDSAFFAWVNGVPVGYSQDSRLPAEFEITDFCHPCDSEKENVLAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLVSKPQIFITDYFFKATLDEGFRVADIEVEVEIDSQKQDREHVSTLSIEATLYDNYGPADVLTSDMSAASVANLKLKPASRPKHCYGFHGYVLGGKVENPKLWSSEHPNLYTLVVVLKDSNGKLIECESCQVGIRNVVLAHKQMLVNGCPVVIRGVNRHEHHPRVGKTNLEACMIKDLVLMRQNNINAVRNSHYPQHPRWYELCDIFGLYVIDEANIETHGFDESSHFKHPTLEPFWASAMLDRVVGMVERDKNHACIIVWSLGNESSYGPNHSAMSGWIHGKDPTRPIHYEGGGSRTSSTDIVCPMYMRVWDILKIAQDPSENRPLILCEYSHAMGNSNGNIDAYWMAIDNTVGLQGGFIWDWVDQGLLKEDADGSKNWAYGGDFGDTPNDLNFCLNGIVWPDRTIHPAVHEVKYLYQPIKITMMDNMLKIENVHFFETTEALDFSWLLQGDGCALGSGSLNVPSIAPQSTHLINMESSPWFTIWSTCVVKEIFLSINVKLRYQTQWAKDGHILASAQICLPPKKGFVPHAIALSRSSLVSERVGDHVLISKSNAWQIKVNSISGTIDSWKVNNIELMSKGIHPCFWRTPTDNDKGGFYTKPYASRWREASLDNISFYSSQFSLKELPDQTVEISTIYYGLPGNQPKPDETSLSDESESVLFRVQMRGRIYDSGDVILEYEVSPKNDLPPLPRVGVVFNADKSLSRAKWYGRGPFECYPDRKAAAHVGVYESGVDELHVPYIVPGECGGRADVRWVALQDADGFGLFASAYGESPPMQVSASYYGAAELDRATHNHKLVKGDDIEVHLDHKHMGLGGDDSWSPCVHEQYLLPPARYAFSVRLCPLLPSSSCHDIYHSQLPC >ONIVA01G50070.1 pep chromosome:AWHD00000000:1:41466562:41470568:1 gene:ONIVA01G50070 transcript:ONIVA01G50070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAESRFHVLAVDDSLIDRKLIEMLLKNSSYQVTTVDSGSKALELLGLRDEGDDSSSSPSSSSPDHQEIDVNLIITDYCMPGMTGYDLLKRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKLADMKKLKSHLLKRKQQLPMAAAAPDKPPHKPDEATASAAAIAEAATAQTDGIISDCSCSGSSKRKAAAMEKEVISSPDQRTKPRLSSTSSGLAVET >ONIVA01G50060.1 pep chromosome:AWHD00000000:1:41443675:41450293:-1 gene:ONIVA01G50060 transcript:ONIVA01G50060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLAGGRAGPPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSQVSRIVPGQRTRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDIISSGATSPRTYTRRSSPLSSPFSSNDSVHKDGSDHYRLRSPFGSPPKNALDKAFSDVVLYAVPPKGFFPSDSNAGSVHSMSSGHSDNTNGHPRGIPMDAFRVSYSSAISSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGSSRVGSSSSAKMDYLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDCDVAQPKLIDSLAHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKRVDGPLEGIHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRASLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKDSRFVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNPQADGLLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDKNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGSDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNPNKPYRVCDSCYSKLTKGLETDTNSSTKRGTVVQGFSETNEEELETRSNTQLSRLSSMESFKNMDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPVSRRTSPPRSTTPTPTLGGLTSPRVIANDAKPTNDSLSHEVLNLRSQVENLTRKSHLLEVELERTTKQLKEAIVIAGEETAKCKAAKEVIKSLTAQLKGMAERLPGGVTKNSKLPPLSGFPMPSELSSMATESLGSPSSVGEQISNGPNGLLASNGPSSVRIKAGHPEVGKNGSRLPEAESCHEAEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRTRVYQHYNVRMVEKSASSIDNEIASR >ONIVA01G50050.1 pep chromosome:AWHD00000000:1:41437391:41447196:1 gene:ONIVA01G50050 transcript:ONIVA01G50050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / F-box family protein [Source:Projected from Arabidopsis thaliana (AT3G54460) TAIR;Acc:AT3G54460] MGGDEEEVAGDGRRKLGGYLRAVVSVSSDVGVEALAPLSPCSLFACGGVSLAPIPDGGGATPRSKGRACGGGGVVRQLRALVSSRCVEVEGRVLRAVARRGREGGGGDGEVEARAVVLFDVYLPVSVWSGWQFPRSRAAAAAAVFKHVSCNWDARNALLAFNWTSPDNPHCDDQYIWTCTDCHVLGCEVHQIPSVLNNEKSFDLHEIFKTLPSVMVEKRMQITRITPDEAASGVGIWSVPDDVLYKVLVRLKPRDLIRVAAACHHLRNLSASIMPCMKLKLFPHQEAAVEWMLKREQNLQVLEHPLYKGLCTMDGFPYYINVTSGEISTGSAPTVHDFCGGMFCDEPGLGKTVTALSLILKTHGTLAVPPPGMNVMWCMHKPDKKYGYYELSASNSSNGNIFLSGSKKLRKDVIREDTCSSESLNNGGSVVSTRSSRKRGRLVNPDLNMIAAHPSGKSPMSAPTGAHSTPATHVLKITKNLKHVRKNLMEAYSDGSVGNKRKRDATSELSETWVQCDACRKWRRLLDGTALDSSTAWFCSMNPDSARQKCSIPEESWDLKRKITYLPGFHKKGTPPGNEQNASFFTNILKEHAALIDSETMKALLWLAKLSPKKHIEMEAVGLTRPVLDARANIGKGARPYYKIFQAFGLVRKVEKGITRWYYPSMLDDLAFDSAALGIALEKPLDLVRLYLSRATLIVVPANLIDHWTTQIQRHVSSDTLNVYVWGDHKKPSAHNLAWDYDIVITTFSRLSAEWGPKKRSVLKQIHWFRVILDEGHTLGSSLALTNKLQMAVSLVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHEEVYGQNYQSWDTGIHRPFEAQMEDGRSRLLQLLQRTMISARKQDLKNIPPCIKKITFLDFSEGHAKSYNELAVTIRRNILMADWNDPSHVESLLNPKQWKFRTTTIKNVRLSCCVAGHIKVAEAGQDIQETMDTLMQLGLDPSSGEYQSIRYALLNGASCFRCRDWCRLPVVTPCRHLLCLDCVALDSEKCTLPGCGNHYEMQTPETRARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTTSSKVAYLVNKLRSLKAENIKHGYSRNMANGACLSSQSSCQDHNNVEGRLPHTMPDKVIIFSQFLEHIHVIEQQLTIGGITYAGMYSPMPLGSKRSSLTKFKDDPACMALVMDGTAALGLDLSFVSYVFLMEPIWDRSMEEQVISRAHRMGATRPIFVETLAMRGTIEEQMLKLLQDSNACRQMVNKGTSSTDNEGARPHRSLHDFAESSYLAQLSFVKGSDAAEKL >ONIVA01G50040.1 pep chromosome:AWHD00000000:1:41435631:41436621:1 gene:ONIVA01G50040 transcript:ONIVA01G50040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLPTVVLLASFAAVILSLAAPLLAGDPDMLQDICPEANVTAEDFFFPGLGKPADVYSGNPMGSAVTAATVERIPGLNTLGVSMARVDYAPWGGANPPHSHPRATEILFVADGLLEVGFVVATAAPASSRLITRVVPKGGVFVFPRGLLHYERSVGEKPAVAISAFDSQLPGTQAAADALFGSSSPAVPTDVLARAFQVDGGVVENIKSKFQHK >ONIVA01G50030.1 pep chromosome:AWHD00000000:1:41432481:41433729:1 gene:ONIVA01G50030 transcript:ONIVA01G50030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLILATFAVVFMALAATSLAGDPDMLQDVCVADYKSLKGPLRLNGFPCKRIENVTANDFFFDGLMKAGNTGNAVGSVVTAASVESLPGLNTMGVSMARIDYAPWGLNPPHTHPRATEIIFVVEGSLDVGFVTTANKLFTRTVCKGEVFVFPRGLVHFQKNNGNTPAFAIAALNSQLPGTQSIAAALFGAAPPLPSDTLARAFQVDGGMVEFIKSKFVPPKY >ONIVA01G50020.1 pep chromosome:AWHD00000000:1:41422280:41425181:-1 gene:ONIVA01G50020 transcript:ONIVA01G50020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FYU4] MEAKIGRLVGAMGAFFSGGDNVPWCGRDIIAGVEREVAEAATEEHKNVSIMRLSWALVHSRNTDDVNRGIAMLQASLGGSKSPLEAREKLYLLAVGHYRNGDYPRSRQLLEHCLEIQPGWGQALSLKKTVEDKIAKDGVIGIGIATTAVGLLVGIAAAVARKN >ONIVA01G50010.1 pep chromosome:AWHD00000000:1:41406044:41411056:-1 gene:ONIVA01G50010 transcript:ONIVA01G50010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYLHFVLPAAAAAVVVAVVLLLSLWRRCQTTSNHRPQANPILGNLVAFLANGHRFLDWSTGLLAAAPASTMQVHGPLGLGYCGVATASPDAVEHMLRASFHNYVDKGDRVRDAFADLLGDGLFLANGRLWRLQRKLAASSFSPRLLRLFAGRVVLDQLRRRLLLFFDAAADARRVFDLQDVLKRFAFDNICSVAFGVDRDDSSPSSSSPSRLEAGGDGRDDAFFAAFDDAVDISFGRILHPTTLAWKAMKLLDVGSERRLRQAIGVVDEYVTAIMESKQRCSDSEEESDLLSRFTAAMMEEDGGNELGAMFDSPEAKRRFLRDTVKTFVLAGKDTTSSALTWLFWFLAANPECERRVYEEVTALRGDTAGDERDDGYEELKRMHYLHAAITETMRLYPPVPLASRVAAADDVLPDGTVVRAGWFADYSSYAMGRMPQLWERDCGEFRPERWLDVCGGGGRFVAVDAARYPVFHAGPRSCLGKEMAGPVVPDERNHGIPTPYMVMDGTLAPLLLLLLLFLPALLLYLRRRPAAASRINNNHCPHPNPVLGNALPFLRNRHRFLDWATDLLAAAPTSTIEVRGALGLGSGVATANPAVVDHFLRASFPNYVKGARFAVPFEDLLGRGLFAADGRLWALQRKLASYSFSSRSLRRFSARVLRAHLHRRLVPLLDAAAGSGEAVDLQDVLGRFGFDNICNVAFGVESSTLLEGGDRRHEAFFAAFDAAVEISVARVFHPTTLVWRAMRLANVGSERRMRDAIRVIDEYVMAIVASEERLRLRRGEDEREHEQHLLSRFAASMEEEGGELAAMFGSPGAKRRFLRDVVVSFVMAGKDSTSSALTWLFWLLAANPRCERRVHEEVSSSRHADPRRADAGEDGDGDGYDELRRMHYLHAAISEAMRLYPPVPIDSRVAVAADALPDGTAVRAGWFADYSAYAMGRMPQLWGEDCREFRPERWLSDGGEFVAVDAARYPVFHAGPRACLGREMAYVQMKAVAAAVIRRFAVEPVQAPASMETPPACEVTTTLKMKGGLLVRIRKREDDAAQQKLT >ONIVA01G50000.1 pep chromosome:AWHD00000000:1:41396301:41407902:1 gene:ONIVA01G50000 transcript:ONIVA01G50000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQESLILELHAIEAIKFGTFVLKSGITSPIYLDLRALVSHPGLLSSIATLLHTLPATRPYDLLCGVPYTALPIASVLSVHRSVPMVMRRKEAKAHGTAKSIEGAFRAGEAVLIIEDLVTSGASVLETAAPLRDQGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVTEEKAREVRQFLDANRKVTVPGAAGAVKPKAVRKGFAERAGLAKNPMGKRLFEVMEAKQSNLCVAADVGTAKELLELAEKVGPEICMLKTHVDILSDFTPDFGAKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAHGEYTAAAVKIAEQHSDFVIGFISVNPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYNTPHSLLSSRLKALAMDAAAMESLILELHAIEAVKFGAFVLKSGITSPIYLDLRMLVAHPRLLSTVASLLGSLPATRPYDLLCGVPYTALPIASVLSAAASVPMLLRRYHVTPHAAAECLDGSFRAGDAVLIVEDLVTTGSSVLETVAPLREVGLVVADAVVVIDREQGGRENLAANGVALHSLMTLTEVLAVLVKRGNLGEEKAQEVKRFLDANRKMAVPGLPVKPKVVRKAFSERAGLATNPMGRKLFKLMEAKQSNLCVAADVGTATELLDLADKDDILLLQYYSLSKIGPEICMLKTHVDILSDFTPDFGHKLRSIAERHSFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIVSGPGIVEGLKLKGLPKGRGLLLLSEMSSAGNLAHGDYTAAAVKIAEQHSDIVIGFISVNPASWSVTPSSPAFIHATPGVQLVAGGDSLGQQYNTPYSVINDRGSDIIIVGRGIIRANNPAETAREYRIQGWHAYQSSLS >ONIVA01G50000.2 pep chromosome:AWHD00000000:1:41396258:41407902:1 gene:ONIVA01G50000 transcript:ONIVA01G50000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQESLILELHAIEAIKFGTFVLKSGITSPIYLDLRALVSHPGLLSSIATLLHTLPATRPYDLLCGVPYTALPIASVLSVHRSVPMVMRRKEAKAHGTAKSIEGAFRAGEAVLIIEDLVTSGASVLETAAPLRDQGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVTEEKAREVRQFLDANRKVTVPGAAGAVKPKAVRKGFAERAGLAKNPMGKRLFEVMEAKQSNLCVAADVGTAKELLELAEKVGPEICMLKTHVDILSDFTPDFGAKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAHGEYTAAAVKIAEQHSDFVIGFISVNPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYNTPHSLLSSRLKALAMDAAAMESLILELHAIEAVKFGAFVLKSGITSPIYLDLRMLVAHPRLLSTVASLLGSLPATRPYDLLCGVPYTALPIASVLSAAASVPMLLRRYHVTPHAAAECLDGSFRAGDAVLIVEDLVTTGSSVLETVAPLREVGLVVADAVVVIDREQGGRENLAANGVALHSLMTLTEVLAVLVKRGNLGEEKAQEVKRFLDANRKMAVPGLPVKPKVVRKAFSERAGLATNPMGRKLFKLMEAKQSNLCVAADVGTATELLDLADKDDILLLQYYSLSKIGPEICMLKTHVDILSDFTPDFGHKLRSIAERHSFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIVSGPGIVEGLKLKGLPKGRGLLLLSEMSSAGNLAHGDYTAAAVKIAEQHSDIVIGFISVNPASWSVTPSSPAFIHATPGVQLVAGGDSLGQQYNTPYSVINDRGSDIIIVGRGIIRANNPAETAREYRIQGWHAYQSSLS >ONIVA01G49990.1 pep chromosome:AWHD00000000:1:41391698:41395641:1 gene:ONIVA01G49990 transcript:ONIVA01G49990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKPVHQFVDSPKPQRSARRICGMATRIDANDHGNQQGGNPQGPVGTAERLEHLLNQPANKICADCGAPDPKWVSLTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWTDEQVDILADSGGNAAVNMIYEAFIPENYMKPRQDCSSEGRNDFVRRKYELQQFLSNTQLTCYSQKSGKNHNRVQHSSSNRHGLGHAFRNSWRRKEHESKSVKKTVEIGMVEFVGLIKVNVIRGTNLAVRDMMSSDPYVILNLGHQSMKTKVIKSSLNPVWNERILLSIPDPIPMLKLQVYDKDTFTTDDRMGEAEINIQPLVAAAKAYETSVVADTAQLNRWLAKEGIWIQKDSAISIIDGKVKQEVTVRLQNVERGHLEMELECVPLTQ >ONIVA01G49980.1 pep chromosome:AWHD00000000:1:41383355:41389532:-1 gene:ONIVA01G49980 transcript:ONIVA01G49980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MMQQQQQPPPPPPPPQHPPPPQAGGGGGGEFYRGPPPQPPMRQLSAASSTNIPGDYAAHQGHPPPQQNQPPYDAYGDNFGAKRMRKPVQRRTVDYTSTVVRYVQARMWQRDARDRPTVQPTPAAVLDMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMIWSNNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGGKDYLVKLWDAKSGRELRSFHGHKNIVQCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHNKDVTALAWHPFHEEYFVSGSYDGAIFHWLVGHETPQIEINNAHDNSVWDLAWHPVGYLLCSGGNDHATRFWCRNRPGDLTRDKYNSGQMQGYGDQHPAFAGRAMGGFQMPEPSTTPGPFNTGLSRNEGTIPGIGVAMPLDGSDHGEQRPSIPGLPPGQPPLPPGPHPSLLAGGQQQQYQQMQQQHPQFPRPPPPNMPQLQPPAHMLPHAQGSRAPLPQLPSMPGPPPVNPPLPPMPHPMAMQVQGSSNQMMPQMPQHLIGMNQTHQGPPSNMPPMGGFPNGMGNIQGGSSASGMQNFPMGGMYNRPQGQMPPQAQAQMTSIPGLSSYQPGMGNVGLPPPPPQHPPPRGSAPQ >ONIVA01G49970.1 pep chromosome:AWHD00000000:1:41378965:41383821:1 gene:ONIVA01G49970 transcript:ONIVA01G49970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4) [Source:Projected from Arabidopsis thaliana (AT3G54510) TAIR;Acc:AT3G54510] MDAEGLLASAAINLGLALVALSLFSLLKKQPGNAPVYLARRMAAGGGGGGLPLGHGRLTPSFRWIRAALRLSEDDVLRRHGLDALVVVRLFKFGLWVHFACLCFISFYVVYLLHKEYKEMSSRRIAHLKYHRKRPDQFTILVRGIPLCPDHGTYGCYADHFFSKHYRTYQSYHIVHDIGNIEALQKLASSLEDKIKRKRETRRCNFWKWIWFKLTLEAIDTRKLEEKLKNVHHSIRLLQCENMLKRKELPVAFVSFKSQLDAAQAAEMQQHVNPLSLVTTYAPEPPDALWTNLAIPFCRIAIYKLGVFIAAFLLIVFFTIPVTAVQGIVQFEKIKIWFPPARAVELIPGLNSVVTGYLPSMILNGFIYLIPFAMLGMASFEGCIAKSQKEIKACNMVFYFLLGNVFFLSILSGSLLHQIGESFTHPKDIPSRLARAVSAQSDFFITYILTDGMSGFSLEVLQFGLLTWHFFKAHSIGHSEQPYLYGFPYYRVVPIVSLAVLIGLVYAVVAPLLLPILVIYFLLGYAVYINQMEDVYEIAYDTCGQYWPNIHRYIFLSVTLMQITMLGLFGLKSKPGASFATVPLLVSTILFNEYCKVRFLPTFLRRPVQVAKENDDLNEAEGMRGDLDHAISAYKPPWMRPTNFSPDCSSVQPLIRSV >ONIVA01G49960.1 pep chromosome:AWHD00000000:1:41376511:41378655:-1 gene:ONIVA01G49960 transcript:ONIVA01G49960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted pyridoxal phosphate-dependent enzyme, YBL036C type [Source:Projected from Arabidopsis thaliana (AT4G26860) TAIR;Acc:AT4G26860] MAAAAAEGAAATALRAVLGRAAKAAERSGRAAEAVRVVAVGKTKPVSMVRELYDAGHRCFGENYVQEFVTKAPQLPEDIRWHFIGHLQSNKVKSLLAAVPNLHMVEGVDNVKIANHLDRAVSSLGRDPLKVLVQVNTSGEESKSGIDPSRCVELAKHVKLACPHLIFSGLMTIGMKDYSSTPENFKALVNCKLEVCKAIDMPAEQFELSMGMSGDFEQAIEMGSTSVRIGSTIFGPREYPNKKN >ONIVA01G49950.1 pep chromosome:AWHD00000000:1:41374114:41375560:-1 gene:ONIVA01G49950 transcript:ONIVA01G49950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTTSSPLVSASLKFPTHASHRTSPRRRRRRAALPFSVSVPVSGHPPYPSAVLLPAIAPLGRQPAKRCPSHAASRLANFSPGDRAAAGCFFNGRFGGFELFDSFPWRVTRNAFDDDAIADDDVWDDVSDSPGHGSTLDREWAHRQNQFHKMGYRDGLAEGQKDIAQEGFNVGFGQSVHVGYKWGLVRGITSALASLPDNLKEKMFPNVQCRGQLQDLNNSVQEISAEDALQMFHESILRSSHSSEEPDATLKRATDSSRLQSLSKDLVILLHECPDVKVSEELTGDS >ONIVA01G49950.2 pep chromosome:AWHD00000000:1:41374114:41375560:-1 gene:ONIVA01G49950 transcript:ONIVA01G49950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTTSSPLVSASLKFPTHASHRTSPRRRRRRAALPFSVSVPVSGHPPYPSAVLLPAIAPLGRQPAKRCPSHAASRLANFSPGDRAAAGCFFNGRFGGFELFDSFPWRVTRNAFDDDAIADDDVWDDVSDSPGHGSTLDREWAHRQNQFHKMGYRDGLAEGQKDIAQEGFNVGFGQSVHVGYKWGLVRGITSALASLPDNLKEKMFPNVQCRGQLQDLNNSVQEISAEDALQMFHESILRSSHSSEEPDATLKRATDSSRLQSLSKDLVILLHECPDVKVSEELTGDS >ONIVA01G49940.1 pep chromosome:AWHD00000000:1:41370569:41372757:1 gene:ONIVA01G49940 transcript:ONIVA01G49940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKQARKKPKDSQLGSNDGLPADHARGGAIVRTALPNYMRATSSSDARGGGREAAAATGAPPRRERGAARGKAMVLADGGAPFVSRATCSSAMRGLGRGLGLRGGAAHACPYSYCSFKCHAADGDVVPLRTLVASRRRLIKTQQSMKLKGASPFRKPSNGGGAADGFFVEIYSGAAAAAAPTVSSGASCSDLSTEDNDAAVREGEYAVFDHRSRGDDEDKARDSDGSVDGSCGSSDVISGGSVDLFVTKSRGGKQEIDGGKGIYLDQEAEDFDACKSDISEELDAKYEDGGVDASNGSSIDDISSAFGGMNFKDACPDPTGAASSQRKRWNIAKRTTSEQGEKMRLINPRAPNFLPVEPDPEAEKVDLRHQMMGDRKNAEEWMVDYALRRAVNKLARAQKRKVEMLVQAFETVQQDDDKKSITLTKSSQPCR >ONIVA01G49930.1 pep chromosome:AWHD00000000:1:41364118:41364507:1 gene:ONIVA01G49930 transcript:ONIVA01G49930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAGGHAVEVGGAGAEEEEEEEVVALSSSLSSAPGKKVGAAVEEARGLPRLVRYEVLVLVLQFLYSGQVSVAAPKSRPLPGCRARGCWHTRCGAAVDLLAAARSFGVEQRLGGCRGWCGWKAEHCFC >ONIVA01G49920.1 pep chromosome:AWHD00000000:1:41363007:41363723:1 gene:ONIVA01G49920 transcript:ONIVA01G49920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPVKVIGTFDSPYSHRAEAALRLKGVPYELILEDLRNKSDLLLTHNPIHKKVPVLLHGDDDHRAAVCESLVIVEYVDEAFPAPLLLLPADPGLRAAARFWARFIDDKCTKPFWLALWSTDDGEVRQGFAAEIKENLKLLEAQLKGRRFFGGDAIGYLDLAASGYAYWLEVLEEVAGVSLVTGDEFPDLCRWAKEYAADDRIKACLPDRAKLLEHFTAMKEMFMATARSMAAK >ONIVA01G49910.1 pep chromosome:AWHD00000000:1:41350939:41362997:1 gene:ONIVA01G49910 transcript:ONIVA01G49910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVLQFIFIVVEINMGAVKLIGAFGSPFVHRAEVALRLKGVAYEFIHEDLDNKSDLLLAKNPIHKKVPVLLHGDRAICESLVIVEYADEEGLLKETKENLALLEAQLHGKRFFAGDSVGYLDIVASGLAHWISVVEEVTGVSLMGGADEDDEYPALRRWAKEYTSDETVMQCLPSREHLAAFFAAKKDKLKMVAKAMLHQNNSQKHSEANMADPVKLIGAFGSPFVHRVEAALQLKGVAYELIHEDLENKSNLLLASNPVHKKVPVLLDGGRAICESLVIVEYVDDAFDGPPILPADPYDRATARFWAQFIDHKANLFMLLHHCVHCTLPLLLALWLDGEEQKGFLKETKENLSLLEAQLEGKRFFAGDAVGYLDVAAGGMAHWIGVLEEVTGVSVIGSEDDDEYPSLQRWIKEYANIDAVKLSLPDREELVAFYTRNKDKYKMMFRAMLISAFGSPFGHRAEAALRLKGVQYELLLEDLRSKSDLLLAHNPVHKLVPVLLHSDGRSVAESLVVVQYVDDAFHGPPLLPADPYARAQARFWAQFIDDKFSRPFWLSFWMEDGEKKEAFVREAKENLRPLEAQLDGGNKRFFGGDAIGLVDIAASGLAHWVGVFEEVTGVSLVSEREFPALCRWSQRYVNDGAVRQCLPSRDELVALFTANKEAYTLLAKANKPSSTS >ONIVA01G49910.2 pep chromosome:AWHD00000000:1:41348195:41351285:1 gene:ONIVA01G49910 transcript:ONIVA01G49910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSETVKLIGAFGSPFVHRVEVALRLKGVPYELILEDMGNKSELLLAHNPVHKMVPVLLHGDRSAICESLVIVEYVDEAFDGPPLLPADPLRRAMARFWAHFLDENCLKPLRPALFGEGEEQKKSMEETREGLTVAEAELRGKRFFGGSSIGLADIAGGGVLAHWVGVLQEVAGVSVLSDGDGEYPALRRWAKDYVANESMGERVKLIGAFASAYGHRAEVALRLKGVRYELILEDLRSKSDLLLNHNPVHKLVPVLLHGDRSLSESLVILEYIDESFHGPPILPADPYDRAVARFWAQFIDQKFGRFNFWIPFVQMEGNMQDCFVREAKENLALLEGQLKGRRFFGGDAIGFLDIAACLIAHWLGAFEEVCGVTLATDEEFPALCEWRRRYVNDEAVKPCLPNRDELVAYYRERKEMIKAAGRQHK >ONIVA01G49900.1 pep chromosome:AWHD00000000:1:41337387:41337944:-1 gene:ONIVA01G49900 transcript:ONIVA01G49900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKMPALFRRRSGSKSPPLPQADPASGGGSPAPTPEEEMERVFRKFDANGDGRISRSELGALFESLGHAATDDELARMMAEADADGDGFISLDEFAALNATASGDAAAVEEDLRHAFRVFDADGNGTISAAELARVLHGLGEKATVQQCRRMIEGVDQNGDGLISFEEFKVMMAGGGSFAKIA >ONIVA01G49890.1 pep chromosome:AWHD00000000:1:41333173:41336305:-1 gene:ONIVA01G49890 transcript:ONIVA01G49890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochromobilin:ferredoxin oxidoreductase, chloroplast / phytochromobilin synthase (HY2) [Source:Projected from Arabidopsis thaliana (AT3G09150) TAIR;Acc:AT3G09150] MASWGESMSIGGGSLGAGLPYHKFVSFALEETRLRTTLTPHPSQEKFKSIKPNDDNTVFNALSFSAPKIRLLRSLKIEKKNSYQVLDFAAFSEPEYDLPIFCANVFTTHAQSIVVLDLNPLYDTTVHKDYKDKYYRSIMPLVHKYNELLPWGGKITSESLKFFSPIVIWTIFESTEHNHHVLHSAFVWLELMDQAIKENNKATIARNQEEQHKYLTWRAEKDPGYPLLKKLIGESRAEDLVMEFLFEGVNTLGTKSFLDYFPEYARDDGSVNKKRSMIGKSFETRPWDANGEFIGDAEAQ >ONIVA01G49880.1 pep chromosome:AWHD00000000:1:41329068:41329676:1 gene:ONIVA01G49880 transcript:ONIVA01G49880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIQSFARKLSLPSPKRTWSSGGGSSKRDGGMSKNGSGVKRAISRSEASSFASASSESESSSDDALMARSTPRSVLPAEISRRELEAVLRRLGHGEPDDEELDAVAAIAAEAEAGGGEDELMEAFKVFDADGDGRITAEELRGVMVAILGGDGDGCSLDDCRRMIGGVDADGDGFVGFQDFARMMMAATATATADGPRSW >ONIVA01G49870.1 pep chromosome:AWHD00000000:1:41313698:41321178:-1 gene:ONIVA01G49870 transcript:ONIVA01G49870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLARLLNNRILLPASSSPAAAFSKRTYARRTKPAPPTADAAAPAAVEGEGEEERGPGWQREKLPAEIPRPSTIAFQPRVANAVRLVGTVGAPVQLQRLPDGRFSAVSVLVQDRHADYPKFWIPIIFQDDLAQVAASHLQEKDHIYVSGQLTGDIPPTKLMDGQANIQVLAQMLSFVGGKAVQADSMVDEEEGFMQIVEAEKKVETKKFIPKYPPRTVSGYRNKDKLNKLWNDVVANPQDWTDNRPQKKNGSINAKYPDFKNNVSKEALWLDTAPKAVLEKLDDLVFSSDFSAAKKYRPFGGDKGNGTNWAKKSQDSSSISKQKQGELWQDLVDNPGKWWDNRSDKLETINDPSLNCQLRLQFHVHN >ONIVA01G49870.2 pep chromosome:AWHD00000000:1:41316525:41321178:-1 gene:ONIVA01G49870 transcript:ONIVA01G49870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLARLLNNRILLPASSSPAAAFSKRTYARRTKPAPPTADAAAPAAVEGEGEEERGPGWQREKLPAEIPRPSTIAFQPRVANAVRLVGTVGAPVQLQRLPDGRFSAVSVLVQDRHADYPKFWIPIIFQDDLAQVAASHLQEKDHIYVSGQLTGDIPPTKLMDGQANIQVLAQMLSFVGGKAVQADSMVDEEEGFMQIVEAEKKVETKKFIPKYPPRTVSGYRNKDKLNKLWNDVVANPQDWTDNRPQKKNGSINAKYPDFKNNVSKEALWLDTAPKAVLEKLDDLVFSSDFSAAKKYRPFGGDKGNGTNWAKKSQDSSSISKQKQGELWQDLVDNPGKWWDNRSDKPSIKYPDFKHKENGTPLWIGSQTPKWAIDALPPAKPSKAPFKQETFLS >ONIVA01G49860.1 pep chromosome:AWHD00000000:1:41278154:41280897:-1 gene:ONIVA01G49860 transcript:ONIVA01G49860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKSLSMDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCCAAADQAAAPPGALLLDHLSPRSPSGGASASSPRGAGGSAAAAAAATPGAVIPVSSVSYEVFLLLLQFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAAARSFGVEELALLTQKQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIDELRLKSMSRRSPFLSHHHHHPHAAAAGIEASSAAELDDHHKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAAMVMSREDAQTAAVNAAPIYGESPGGGGGGGVYNASGTSSSMVNLSLDNRMVYLNLGMDAQFGKMNDGGDGDDGGSRGPSSLFSPHGFP >ONIVA01G49850.1 pep chromosome:AWHD00000000:1:41265017:41265738:-1 gene:ONIVA01G49850 transcript:ONIVA01G49850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSNNQPPPGYPTAAGAAEQGGKKSRRGSTKSRGDKGFIEGCIAALCCCWICEMCCD >ONIVA01G49850.2 pep chromosome:AWHD00000000:1:41265019:41265515:-1 gene:ONIVA01G49850 transcript:ONIVA01G49850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSNNQPPPGYPTAAGAAEQGGKKSRRGSTKSRGDKGFIEGCIAALCCCWICEMCCD >ONIVA01G49840.1 pep chromosome:AWHD00000000:1:41258952:41262231:-1 gene:ONIVA01G49840 transcript:ONIVA01G49840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAAGVDVEDLLVRVKNGADAELAEVAREVAALAEQGRLGEDDDEDGVLVPALLARLAAAGGAEARVRVMAALRRLARCVGCESKERLASIEALSSIVRSLSRDVDETREAIALLLDLSDIPQVRQRIGRIKGSIVMLVTLRNAHEPGTHDDAEKLLHMLSSNPQNVLLMAEAGYFRPLIHYLKEGSDMNKILMATAISKMFLSEPMKSSLGEDGAVEPLVEMFKSGNLEAKHSALGALLNLSSSLQNAEILINSGITGPLLQLLFSVTSVLMTLREPASAILAAIAQSERILLHKDVAPQMLSLLNLSSPVIQLHLLRALNSISGHTNAKRARAKIRQNGGVQLLLPFLTEKNIDIKIAALNFISNLSKDASQELAEQIRDTHLNIFVKIISSPTSGNEKAAAIGILSNLPATDKKITELLTEANLLPLLISLLETNITAPLTPLRTSLLEGIAGVLIRFTVPWDKKLQSLAVGHGVVPCLVKLLSEGSIKAKSKAATSLAQLSQNSLALRKTKLPRWLCVAPSAETYCLVHNSQCTVKSTFCLVKAGAVSPLIQILEDDNREADGAVLEALATLMQDEIWENGSKVIEKASGVHALLRIAEAGNSTSQEKAIWMLERIFRLEAHRERYGEIAQALLIDLAQKGDPILKPMIGKILAHLELLQTQSSYF >ONIVA01G49830.1 pep chromosome:AWHD00000000:1:41255236:41257429:-1 gene:ONIVA01G49830 transcript:ONIVA01G49830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrroline-5- carboxylate (P5C) reductase [Source:Projected from Arabidopsis thaliana (AT5G14800) TAIR;Acc:AT5G14800] MAAPPQPVPAPAAASPEVFRLGFIGPGNLAESIARGVAASGVLPATAIRTAPHRRPERAEAFSSIGAHILETNAQVVDDSDVIVISVKPQIVRQVLVELKPLLSEEKLLVSIAAGIKMEDLQGWSGHRRFIRVMPNTPSAVGQAASAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVNKTGKHPGQLKDMVTSPAGTTITGIQELEKGAFRGTLINAVVAATKRCRELSQS >ONIVA01G49820.1 pep chromosome:AWHD00000000:1:41252284:41256074:1 gene:ONIVA01G49820 transcript:ONIVA01G49820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G10210) TAIR;Acc:AT3G10210] MALAQGTGDFSVVVLGSDFAVDAGAALLNPADREEWHDCLPDLSAPADGEDFSDLEELQVVRVQGTDRAGRRIVRVVGRFFPAPVIGGDRLKKYVLHKLRTELPEGPFCLLYMHSTVQSDDNNPGMSILRGVYEDLPPEYKERLQILYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYVSRLEYLWGDIRKGEVEIPDFVIDHDKILEHRPLTDYGIEPDPLHLADMPAVGYSLGRYEDKWSPEDRWYSRNYM >ONIVA01G49810.1 pep chromosome:AWHD00000000:1:41248035:41250182:1 gene:ONIVA01G49810 transcript:ONIVA01G49810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARAGAALARLMVQDEGSSSSVTSSPLHNFSNMPLHPAAAASPTPPWMVRELRSDERGLCLIHLLLNCAAAAAAGRLDAANAALEHIASLAAPDGDAMQRVAAAFAEALARRALRAWPGLCRALLLPRASPTPAEVAAARRHFLDLCPFLRLAGAAANQSILEAMESEKIVHVIDLGGADATQWLELLHLLAARPEGPPHLRLTSVHEHKELLTQTAMALTKEAERLDVPFQFNPVVSRLDALDVESLRVKTGEALAICSSLQLHCLLASDDDATAGAGGDKERRSPESGLSPSTSRADAFLGALWGLSPKVMVVAEQEASHNAAGLTERFVEALNYYAALFDCLEVGAARGSVERARVERWLLGEEIKNIVACDGGERRERHERLERWARRLEGAGFGRVPLSYYALLQARRVAQGLGCDGFKVREEKGNFFLCWQDRALFSVSAWRGRRFD >ONIVA01G49800.1 pep chromosome:AWHD00000000:1:41227575:41235816:1 gene:ONIVA01G49800 transcript:ONIVA01G49800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLKVHLRENEAVAQCVLEKWRSMEEKPGGLKENLAHTLYKSYRNVCAAKEPIRSLKDLYQIKGVGKWVIRQLKGSFPESSPDLSPPESNAAGEKGKKAGGSKRYVPQKNSAAYAILITLHRETINGKSHMKKQELIDATEASGLSQSAIGYSFHSILAMLLIPAAIFSALLSSPDKSKAKPGAFASSQKDWYTGWSCMKTLTSKGLVAKSGNPAKYMITEEGKSTALECLSRSGLDDHAAPLVINSAPDTSNASHKLNNICMTSFVETSSGPSRAIGRPKTSIANPATKTSPEVTYLTSQESLNYNSDVRTADNCAEEIILSDSDSEELYTENYPLIGSEEFTERVAPPMLNASNSGKTTTNYRFSDCSASISPRSSEGIFEMQSSSTMGTAEFNMLDNDTVCMDNSILAMPPRRSSKNFLEDYEVVLILDDRENFGGRSRKTVDNIHSQFRVPVEIKHLPVGDGIWIARDRKLHTEYVLDFIVERKNVADLCSSITDNRYKDQKLRLKKCGLRKLIYLVEGDPNPLDTSERIKTACFTTEILEGFDVQRTPGYAETKCDDLKKITVSDVFALQLMQVPQVTEEAALAVIGLYPTLFSLAKAYSMLDGDTHAQEKMLKNKSTLINAGASRNIFKLVWAEG >ONIVA01G49800.2 pep chromosome:AWHD00000000:1:41227575:41235816:1 gene:ONIVA01G49800 transcript:ONIVA01G49800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLKVHLRENEAVAQCVLEKWRSMEEKPGGLKENLAHTLYKSYRNVCAAKEPIRSLKDLYQIKGVGKWVIRQLKGSFPESSPDLSPPESNAAGEKGKKAGGSKRYVPQKNSAAYAILITLHRETINGKSHMKKQELIDATEASGLSQSAIGPDKSKAKPGAFASSQKDWYTGWSCMKTLTSKGLVAKSGNPAKYMITEEGKSTALECLSRSGLDDHAAPLVINSAPDTSNASHKLNNICMTSFVETSSGPSRAIGRPKTSIANPATKTSPEVTYLTSQESLNYNSDVRTADNCAEEIILSDSDSEELYTENYPLIGSEEFTERVAPPMLNASNSGKTTTNYRFSDCSASISPRSSEGIFEMQSSSTMGTAEFNMLDNDTVCMDNSILAMPPRRSSKNFLEDYEVVLILDDRENFGGRSRKTVDNIHSQFRVPVEIKHLPVGDGIWIARDRKLHTEYVLDFIVERKNVADLCSSITDNRYKDQKLRLKKCGLRKLIYLVEGDPNPLDTSERIKTACFTTEILEGFDVQRTPGYAETKCDDLKKITVSDVFALQLMQVPQVTEEAALAVIGLYPTLFSLAKAYSMLDGDTHAQEKMLKNKSTLINAGASRNIFKLVWAEG >ONIVA01G49800.3 pep chromosome:AWHD00000000:1:41227575:41235816:1 gene:ONIVA01G49800 transcript:ONIVA01G49800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLKVHLRENEAVAQCVLEKWRSMEEKPGGLKENLAHTLYKSYRNVCAAKEPIRSLKDLYQIKGVGKWVIRQLKGSFPESSPDLSPPESNAAGEKGKKAGGSKRYVPQKNSAAYAILITLHRETINGKSHMKKQELIDATEASGLSQSAIGYSFHSILAMLLIPAAIFSALLSSPDKSKAKPGAFASSQKDWYTGWSCMKTLTSKGLVAKSGNPAKYMITEEGKSTALECLSRSGLDDHAAPLVINSAPDTSNASHKLNNICMTSFVETSSGPSRAIGRPKTSIANPATKTSPEVTYLTSQESLNYNSDVRTADNCAEEIILSDSDSEELYTENYPLIGSEEFTERVAPPMLNASNSGKTTTNYRFSDCSASISPRSSEGIFEMQSSSTMGTAEFNMLDNDTVCMDNSILAMPPRRSSKNFLEDYEVVLILDDRENFGGRSRKTVDNIHSQFRVPVEIKHLPVGDGIWIARDRKLHTEYVLDFIVERKNVADLCSSITDNRYKDQKLRLKKCGLRKLIYLVEGDPNPLDTSERIKTACFTTEILEGFDVQRTPGYAETVRTYGNLTRSITEYYSTHFSTGANTSQVCPTYDEFTKKCDDLKKITVSDVFALQLMQVPQVTEEAALAVIGLYPTLFSLAKAYSMLDGDTHAQEKMLKNKSTLINAGASRNIFKLVWAEG >ONIVA01G49800.4 pep chromosome:AWHD00000000:1:41227575:41235816:1 gene:ONIVA01G49800 transcript:ONIVA01G49800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLKVHLRENEAVAQCVLEKWRSMEEKPGGLKENLAHTLYKSYRNVCAAKEPIRSLKDLYQIKGVGKWVIRQLKGSFPESSPDLSPPESNAAGEKGKKAGGSKRYVPQKNSAAYAILITLHRETINGKSHMKKQELIDATEASGLSQSAIGPDKSKAKPGAFASSQKDWYTGWSCMKTLTSKGLVAKSGNPAKYMITEEGKSTALECLSRSGLDDHAAPLVINSAPDTSNASHKLNNICMTSFVETSSGPSRAIGRPKTSIANPATKTSPEVTYLTSQESLNYNSDVRTADNCAEEIILSDSDSEELYTENYPLIGSEEFTERVAPPMLNASNSGKTTTNYRFSDCSASISPRSSEGIFEMQSSSTMGTAEFNMLDNDTVCMDNSILAMPPRRSSKNFLEDYEVVLILDDRENFGGRSRKTVDNIHSQFRVPVEIKHLPVGDGIWIARDRKLHTEYVLDFIVERKNVADLCSSITDNRYKDQKLRLKKCGLRKLIYLVEGDPNPLDTSERIKTACFTTEILEGFDVQRTPGYAETVRTYGNLTRSITEYYSTHFSTGANTSQVCPTYDEFTKKCDDLKKITVSDVFALQLMQVPQVTEEAALAVIGLYPTLFSLAKAYSMLDGDTHAQEKMLKNKSTLINAGASRNIFKLVWAEG >ONIVA01G49800.5 pep chromosome:AWHD00000000:1:41227575:41235289:1 gene:ONIVA01G49800 transcript:ONIVA01G49800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLKVHLRENEAVAQCVLEKWRSMEEKPGGLKENLAHTLYKSYRNVCAAKEPIRSLKDLYQIKGVGKWVIRQLKGSFPESSPDLSPPESNAAGEKGKKAGGSKRYVPQKNSAAYAILITLHRETINGKSHMKKQELIDATEASGLSQSAIGYSFHSILAMLLIPAAIFSALLSSPDKSKAKPGAFASSQKDWYTGWSCMKTLTSKGLVAKSGNPAKYMITEEGKSTALECLSRSGLDDHAAPLVINSAPDTSNASHKLNNICMTSFVETSSGPSRAIGRPKTSIANPATKTSPEVTYLTSQESLNYNSDVRTADNCAEEIILSDSDSEELYTENYPLIGSEEFTERVAPPMLNASNSGKTTTNYRFSDCSASISPRSSEGIFEMQSSSTMGTAEFNMLDNDTVCMDNSILAMPPRRSSKNFLEDYEVVLILDDRENFGGRSRKTVDNIHSQFRVPVEIKHLPVGDGIWIARDRKLHTEYVLDFIVERKNVADLCSSITDNRYKDQKLRLKKCGLRKLIYLVEGDPNPLDTSERIKTACFTTEILEGFDVQRTPGYAETVRTYGNLTRSITEYYSTHFSTGANTSQVCPTYDEFTKKCDDLKKITVSDVFALQLMQVPQVTEEAALAVIGLYPTLFSLAKAYSMLDGDTHAQEKMLKNKSTLINAGASRNIFKLVWAEG >ONIVA01G49800.6 pep chromosome:AWHD00000000:1:41227575:41235289:1 gene:ONIVA01G49800 transcript:ONIVA01G49800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLKVHLRENEAVAQCVLEKWRSMEEKPGGLKENLAHTLYKSYRNVCAAKEPIRSLKDLYQIKGVGKWVIRQLKGSFPESSPDLSPPESNAAGEKGKKAGGSKRYVPQKNSAAYAILITLHRETINGKSHMKKQELIDATEASGLSQSAIGPDKSKAKPGAFASSQKDWYTGWSCMKTLTSKGLVAKSGNPAKYMITEEGKSTALECLSRSGLDDHAAPLVINSAPDTSNASHKLNNICMTSFVETSSGPSRAIGRPKTSIANPATKTSPEVTYLTSQESLNYNSDVRTADNCAEEIILSDSDSEELYTENYPLIGSEEFTERVAPPMLNASNSGKTTTNYRFSDCSASISPRSSEGIFEMQSSSTMGTAEFNMLDNDTVCMDNSILAMPPRRSSKNFLEDYEVVLILDDRENFGGRSRKTVDNIHSQFRVPVEIKHLPVGDGIWIARDRKLHTEYVLDFIVERKNVADLCSSITDNRYKDQKLRLKKCGLRKLIYLVEGDPNPLDTSERIKTACFTTEILEGFDVQRTPGYAETVRTYGNLTRSITEYYSTHFSTGANTSQVCPTYDEFTKKCDDLKKITVSDVFALQLMQVPQVTEEAALAVIGLYPTLFSLAKAYSMLDGDTHAQEKMLKNKSTLINAGASRNIFKLVWAEG >ONIVA01G49790.1 pep chromosome:AWHD00000000:1:41224491:41227025:1 gene:ONIVA01G49790 transcript:ONIVA01G49790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNGVCYGVLGDNLPSRSEVVQLLKSQGIGAMRIYYPDKEALDALRGSGIAVIVDVGDSGAVANLANNPSAAADWVRDNVEAYWPSVIIRYITVGNELPAGDMGLILPAMQNVHKALVSAGLSSSIKVSTAIKMDVVANTFPPSHGVFSSDVQQFMVPIARFLANTVSPLLVNVYPYVSYRENPRDISLNYATFQPGTTVRDDGSGLTYTNLFNAMVDAVYAALEKAGTPNVRVAVSETGWPSAGGFAATAENAMNHNQGVIDNVKNGTPKRPGPLETYVFAMFNENQQTGDETRRHFGLFNPDKTPAYPITPYPRPAVQSIGVCYGMVGNDLPSRSEVVQMYVSMGINRMRIYNPDREALDALRNSGIDLILDAGGFDTVSYLAASASNAASWVHDNISPYYPAVNIKYIAVGNEVVGGTTESILPAMRNVNSALAAAGIGGIKVSTAVKSDVIANSYPPSAGVFAYPYMNGVAQYLASTGAPLLANVYPYFAYAGNPREINLNYATFQPGTTVRDDGNGLTYTNLFDAMVDCIYAALEKAGAGNVRVVVSESGWPSAEGFGASMDNARAYNQGLIDHVGRGTPKRPGQMETYIFAMFNENQKTGAATERHFGLFYPNRSPVYQIAFPN >ONIVA01G49780.1 pep chromosome:AWHD00000000:1:41217761:41219170:-1 gene:ONIVA01G49780 transcript:ONIVA01G49780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPTPTPPQQQQQQRLLPLVVVFLFVVGALPAATHGATSSPHFHPVVLVPGNTCGQLDARLTDEYEPPTPACRGGVRYGSRASAGGGWFRLWENFTALQEDPALSPCYADQLRLVYDPVAGDYRNVPGVDTRVVSFGSTRGFRSDDPARKDVCMERLVEALEEEGYAEGENLFGAPYDFRYAPAAPGLPSGVFSDFTSRLRRLVERASERNGGKPVILVTHSLGGLFAMVFLDRTPLPWRRRYIKHFVMLCLGVGGSPLNMWPLAASSIPSSSSLVGSVLTYGNRSFASMFSLLPSPAVYGDTPLVITRAKNYSADDMPEFLSAAGFSDDEVALYRARALPVILDLRAPLVPLTSINGVGVPTVDKLVFWDGNFSAKPQVVNGDGDGQINLDTVLALERLIGDDPDQGYFKSILIPNTTHKGVISDELALKRVVSEILGANSIN >ONIVA01G49770.1 pep chromosome:AWHD00000000:1:41214606:41216774:1 gene:ONIVA01G49770 transcript:ONIVA01G49770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHGVCYGVVGNNLPSRSEVVQLYKSKGISAMRIYYPDQEALAALRGSGIAVIVDVGDKGAVANLANNPSAAADWVRNNVQAYWPSVFIRYIAVGNELGPGDMGTILPAMQNVYNALVSAGLSNSIKVSTAVKMDVITNSFPPSHGVFRPDLQRFMVPIAQFLANTMSPLLVNVYPYFAYRDNPRDIPLNYATFQPGTTVRDNDSGLTYTNLFNAMVDAVYAALEKAGAPGVRIVVSESGWPSAGGFAANVENARNHNQGVIDNVKNGTPKRPGQLETYVFAMFNENQKPGDETERHFGLFNPDKTPVYPITFPPN >ONIVA01G49760.1 pep chromosome:AWHD00000000:1:41209133:41210280:-1 gene:ONIVA01G49760 transcript:ONIVA01G49760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVHGVCYGMNGDNLPSQSEVVQLYKSNGIGAMRIYSPDQKALDALRGSGIAVIIDVGGSDAVANLANNPSAAADWVRDNVQAYWPNVIIRYIAVGNELGPGDMGTILPAMQNVYDALVSAGLSNSIKVSTAVRMDVITESFPPSHGVFRPDLQQFMVPIAQFLANTMSPLLVNVYPYFAYRDNPRQIPLNYATFQPGTTVRDNDSGLTYTNLFSAMVDAVYAALEKAGAPGVRVVVSESGWPSAGGFAANVENARNHNQGVIDNVKNGTPKRPGQLETYVFAMFNENQKPGDETERHFGLFYPDKTPVYPITFPPN >ONIVA01G49750.1 pep chromosome:AWHD00000000:1:41208514:41208723:-1 gene:ONIVA01G49750 transcript:ONIVA01G49750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYEITDPRCYRRTPTTARRTTSGSSALGRQDLPAKAARRRKPAAADGRPGCVIRTTVEATRVRFRRT >ONIVA01G49740.1 pep chromosome:AWHD00000000:1:41206196:41206804:1 gene:ONIVA01G49740 transcript:ONIVA01G49740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMNLIREDEYGGGGVGFEPTEDELMLHFLRPQLRGFAPRVAGAVVEADPCGAAPWELLARHGRREEGFFFSARARRKPSVRRTVAGGGGGGGGGGAWMHSSTKNGQSVTDLGVVVRWCRINYCFYVRGEMGQQRSTGWMMAEYEITDPRCYRRADDGEEDDFWVLCHVRKSSRPSAAKISPAKPARRRKPTAAVDVRAA >ONIVA01G49730.1 pep chromosome:AWHD00000000:1:41202844:41204139:1 gene:ONIVA01G49730 transcript:ONIVA01G49730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51930) TAIR;Acc:AT3G51930] MAMPLRDPTDRAAMSAPLLPRSAFVSSASFSSFSSVASFSSTSSSFSDPAVPSDVVEALPMSRDSFKALAVLRDHQGSVSSLSLCGEFLLSASTGADIVAWQQPDLRRFARFGHGEGSVKALAAAGGRVFSAHQDGRVRVWRVSRRSENAFKLVAALPTTRDYLGKIFRHASYVQTRRNHRRLWIEHADSISCLAVHDGVVYSGSWDKTLKVWRISDLKCLESIHAHDDAINAVAADSGTIYSASADGHVKAWGKGKAAHFLQGILISRDGVSWNALVASADAGARRVYAAGSDGHVVGWDRVGGRGSRWSLSCDVKAHDMAVLCLCVAGDLVFTGSADKTIGLWRWQTSGDGDGGAAAALARVGVIRGHEGPVKCIQASWCRVSNGCMVYSGGLDKSIRVWWVPNGWKANEQKQQQENDTKDHKNCVFLR >ONIVA01G49720.1 pep chromosome:AWHD00000000:1:41185468:41195212:-1 gene:ONIVA01G49720 transcript:ONIVA01G49720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAVFFPLPVAKEAFYNTPVGRWPYVGFLRLRQVVSRDRQRCLAPSEWQWTPPAPMQTKPGCTSWATGRSSSPGFQLPFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVAKFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQWACTTSVDFSLAQLLQVIILLATGGANGGGYMASKYVVLAIYSAILILHGLINSLPIRWLSWFGQLGAFWNVAGAFSLTILIPAVAKERVSPEFIFTHFNAENGAGIHDKAYILAAGLLMSQYSLIGYDTSAHIIEETKNADWSGPIGIITSVGLSTMFGWIYLIALTSIMTDIPYLLNPSNDAGGYAIAQALYTSFHRRYGTGAGALACLGVIAVAIFLCESACITSNSRMGYAFSRDGAMPLSRVTAARCPSTSSGSPSPWPSSWLSRQVAFQAMVSIATLGLLIACALPVFFRVTTARRSFVRGPFHLGKYGVVVGWVGVVWVATVTVLFSLPVAYPVAKETFNYTPVAVGGVLLLSLVAWVLHARFWFQGPVTNVDTYNRNRGDIIEKSSEQTPTAMSRSGVVAMDAAGADADQARLHQLGYRQELKRGLSLVSNFAFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVSAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQWACTTSVDFSLAQLLQVIILLATGGANGGGYMASKYVVLAIYSVILILHGLINSLPIHWLSWFGQLGAFWNVAGVFALTILIPSVAKERASPEFIFTHFNTENGMGIHQKAYILAVGLLMSQYSVIGYDTSAHMIEETKNADWSGPMGIITSVGLSTMFGWIYLVALTSIVVDIPYLLSPDNDASGYAIAQALYTSFHRRYGTGAGALACLGVIAIAIFLCGSACITSNSRMGYAFSRDGAMPLSRVWHRVDSREVPLNVVWLSVAVAFVMALTSLGSQVAFQAMVSVTTLGLYIAYALPVFFRVTTARKSFVPGPFHLGRYGLLVGWMAVVWVATVTVLFSLPVAYPVAKETFNYTPVAVGGVLLLSLVAWVFHARFWFQGPVTNVDA >ONIVA01G49720.2 pep chromosome:AWHD00000000:1:41178207:41194661:-1 gene:ONIVA01G49720 transcript:ONIVA01G49720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVGVAMDAAGADADQARLHQLGYRQELKRGLSYPGFQLPFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVAKFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQWACTTSVDFSLAQLLQVIILLATGGANGGGYMASKYVVLAIYSAILILHGLINSLPIRWLSWFGQLGAFWNVAGAFSLTILIPAVAKERVSPEFIFTHFNAENGAGIHDKAYILAAGLLMSQYSLIGYDTSAHIIEETKNADWSGPIGIITSVGLSTMFGWIYLIALTSIMTDIPYLLNPSNDAGGYAIAQALYTSFHRRYGTGAGALACLGVIAVAIFLCESACITSNSRMGYAFSRDGAMPLSRVTAARCPSTSSGSPSPWPSSWLSRQVAFQAMVSIATLGLLIACALPVFFRVTTARRSFVRGPFHLGKYGVVVGWVGVVWVATVTVLFSLPVAYPVAKETFNYTPVAVGGVLLLSLVAWVLHARFWFQGPVTNVDTYNRNRGDIIEKSSEQTPTAMSRSGVVAMDAAGADADQARLHQLGYRQELKRGLSLVSNFAFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVSAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNITGQWAATSSVDFALAQLVQVIVLLSTGGANGGGYMASNYVVLAIYGAMLVIHGAINSLPIQCLSWFGQLGAFWNAAGIFVLVALIPAVATERASVEFIFTHFNTENGMGIRDKAYILLIGLLMSQYAMAGYDTSAHMTEETKNADWSGPIGIVTSVALSTVFGWIYIVSLTSAVTDIPYLLSPDNDAGGNAVAQAFYTTFHRRYGSGLGGILCLGVVAVAVFLCGLACITSNSRMAYAFSRDGAMPFSKVWHRVNKQEVPINAVWLSVVVAFIMALTSLGSQVAFQAMVSIATIGLCISYALPIFFRVTTARGSFVPGPFHLGKYGIVVGWAAVLWVAAVTVLFSLPVAYPVAEETFNYTPVAVGGVLLLTVGAWALRARFWFQGPITNTNDGPL >ONIVA01G49720.3 pep chromosome:AWHD00000000:1:41185468:41194661:-1 gene:ONIVA01G49720 transcript:ONIVA01G49720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVGVAMDAAGADADQARLHQLGYRQELKRGLSYPGFQLPFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVAKFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQWACTTSVDFSLAQLLQVIILLATGGANGGGYMASKYVVLAIYSAILILHGLINSLPIRWLSWFGQLGAFWNVAGAFSLTILIPAVAKERVSPEFIFTHFNAENGAGIHDKAYILAAGLLMSQYSLIGYDTSAHIIEETKNADWSGPIGIITSVGLSTMFGWIYLIALTSIMTDIPYLLNPSNDAGGYAIAQALYTSFHRRYGTGAGALACLGVIAVAIFLCESACITSNSRMGYAFSRDGAMPLSRVTAARCPSTSSGSPSPWPSSWLSRQVAFQAMVSIATLGLLIACALPVFFRVTTARRSFVRGPFHLGKYGVVVGWVGVVWVATVTVLFSLPVAYPVAKETFNYTPVAVGGVLLLSLVAWVLHARFWFQGPVTNVDTYNRNRGDIIEKSSEQTPTAMSRSGVVAMDAAGADADQARLHQLGYRQELKRGLSLVSNFAFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVSAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQWACTTSVDFSLAQLLQVIILLATGGANGGGYMASKYVVLAIYSVILILHGLINSLPIHWLSWFGQLGAFWNVAGVFALTILIPSVAKERASPEFIFTHFNTENGMGIHQKAYILAVGLLMSQYSVIGYDTSAHMIEETKNADWSGPMGIITSVGLSTMFGWIYLVALTSIVVDIPYLLSPDNDASGYAIAQALYTSFHRRYGTGAGALACLGVIAIAIFLCGSACITSNSRMGYAFSRDGAMPLSRVWHRVDSREVPLNVVWLSVAVAFVMALTSLGSQVAFQAMVSVTTLGLYIAYALPVFFRVTTARKSFVPGPFHLGRYGLLVGWMAVVWVATVTVLFSLPVAYPVAKETFNYTPVAVGGVLLLSLVAWVFHARFWFQGPVTNVDA >ONIVA01G49710.1 pep chromosome:AWHD00000000:1:41172141:41177587:-1 gene:ONIVA01G49710 transcript:ONIVA01G49710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVQLAMAAGDQAADDPDSLRLLQLGYKQELKRGLSTLSNFAFSFANISVLTGVTATYNTGLRYGGPVSMTLGWLVVALFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWASLASWVTGWFNIVGQWAAIASVDFSLAQLLQVIILLSTGGANGGGYMASKYVVLVICAVILILHGVINSLPIQWLSLFGQIGAIWNAAGVFVLVILIPAVAKDRPSVEFVFTHLNTDNGMGIHSKAYILAVGLLMSQYSVLGYDTSAHMVEETKNADRSGPIGIITSVVCATVFGWIYLLALTTAVTDIPYLLSPGNDAGGYAIAQALYTAFHRRFGSGAGGIACLGAVAVAIFLCGIACVTSNSRMAYAFSRDGAMPLSRLWYRVNKHEVPLNVVWLAVAVAFFMALTSLGSQVAFQALGSIATLGMYIAYALPVFFRVTTARRSFVPGPFNLGKYGVLVGWVGVVWVVTVTVLFSLPVAYPVANKETFNYTPAMSRSVQLAVAAGDQVDPDSLRLHQLGYKQELKRGLSALSNFAFSFANISVMMGVTTTYNTGLRYGGPVSMTLGWLVVAVFNCCVALSMAEICSAYPTSGGLYYWSAKLAGKQWASLASWVTGWFNVVGQWAAIASVDFSLAQLLQVIILLSTGGGNGGGYMASKYTVLAIYAFILILHGIINSLPIEWLSLFGHVGAIWNAAGIFVLTILIPAVAKDRPNIEFVFTHLNTENGMGIHDKAYILAVGLLMSQYSVIGYDTSAHMVEETKNADRSGPIGIITSVLFATVFGWIYLLALTSVVTDIPYLLSPSNDAGGYAIAQALYTAFHRRYGSGVGGIVCLGAVAVAVFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNKHEVPLNVVWLGVAVAFVMALTSLGSQVAFQAMGSIATLGMYIAYALPVFFRVTTARRSFVPGPFHLGRYGVVVGWAGVVWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWVLRARFWFQGPITNVDTHC >ONIVA01G49710.2 pep chromosome:AWHD00000000:1:41172139:41177587:-1 gene:ONIVA01G49710 transcript:ONIVA01G49710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVQLAMAAGDQAADDPDSLRLLQLGYKQELKRGLSTLSNFAFSFANISVLTGVTATYNTGLRYGGPVSMTLGWLVVALFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWASLASWVTGWFNIVGQWAAIASVDFSLAQLLQVIILLSTGGANGGGYMASKYVVLVICAVILILHGVINSLPIQWLSLFGQIGAIWNAAGVFVLVILIPAVAKDRPSVEFVFTHLNTDNGMGIHSKAYILAVGLLMSQYSVLGYDTSAHMVEETKNADRSGPIGIITSVVCATVFGWIYLLALTTAVTDIPYLLSPGNDAGGYAIAQALYTAFHRRFGSGAGGIACLGAVAVAIFLCGIACVTSNSRMAYAFSRDGAMPLSRLWYRVNKHEVPLNVVWLAVAVAFFMALTSLGSQVAFQALGSIATLGMYIAYALPVFFRVTTARRSFVPGPFNLGKYGVLVGWVGVVWVVTVTVLFSLPVAYPVANKETFNYTPAMSRSVQLAVAAGDQVDPDSLRLHQLGYKQELKRGLSALSNFAFSFANISVMMGVTTTYNTGLRYGGPVSMTLGWLVVAVFNCCVALSMAEICSAYPTSGGLYYWSAKLAGKQWASLASWVTGWFNVVGQWAAIASVDFSLAQLLQVIILLSTGGGNGGGYMASKYTVLAIYAFILILHGIINSLPIEWLSLFGHVGAIWNAAGIFVLTILIPAVAKDRPNIEFVFTHLNTENGMGIHDKAYILAVGLLMSQYSVIGYDTSAHMVEETKNADRSGPIGIITSVLFATVFGWIYLLALTSVVTDIPYLLSPSNDAGGYAIAQALYTAFHRRYGSGVGGIVCLGAVAVAVFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNKHEVPLNVVWLGVAVAFVMALTSLGSQVAFQAMGSIATLGMYIAYALPVFFRVTTARRSFVPGPFHLGRYGVVVGWAGVVWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWVLRARFWFQGPITNVDTHC >ONIVA01G49700.1 pep chromosome:AWHD00000000:1:41162979:41168963:-1 gene:ONIVA01G49700 transcript:ONIVA01G49700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVASSALLRRSAARANLSGAGFCNGAPPVSSPLRRFPLQNWINDRFCWFLSKSCSMSTSVDVQLDYETDPPLDGVKVLEKESTLSVAVSQLASDFDRESNLCLERFSRTRRTPVISTGSLKLDIALGIGGLPKGRMVELFGKEASGKTTLALHVVKEAQKKGGCCAYIDAENAFNPSVAEAIGVNVEKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPRCELEGEIYMNSEDVQSRLMTRALRKIQHTLSRSETLIIFVNQVRTKLSSNQTPGIFKEVACGGNALGFYAAVRMRTSRRELRYNEAQAIGIGISVQIIKNKLAPATLKEAGIDIRFGKGICYESEILELASSLGVIMKDDSGYWINGEFLPNKAEAEKFLRENAAVADEICGTMRRQFFESLRLEIPSSVTIKASLQRCIFLHPDRVQVQQIKLVAAEDVYARRCSCACSGFGHCSLRLLSFSMRSIGVCYGMNGDGLPSRSNVVQLYKSNGIGAMRIYSADREALDALRGSGIDLALDVGERNDVGQLAANADSWVQDNVKAYYPDVKIKYIVVGNELTGTGDAASILPAMQNVQAALASAGLADSIKVTTAIKMDTLAASSPPSAGVFTNPSVMEPIVRFLTGNGAPLLANVYPYFAYRDSQDIDLSYALFQPSSTTVSDPNGGGLSYTNLFDAMVDAVRAAVEKVSGGGSSVVDVVVSESGWPSDGGKGATVENARAYNQNLIDHVAQGTPKKPGQMEVYVFALFNENRKEGDATEKKFGLFNPDKTPVYPITF >ONIVA01G49690.1 pep chromosome:AWHD00000000:1:41160040:41161373:-1 gene:ONIVA01G49690 transcript:ONIVA01G49690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMRGFSLVFAAALLLGVFISIPVGVQCVGVCYGMIGNDLPSKSDVVQLYKSNGITDMRIYLPDVEAMNALRGTGIGLIVGVANDILIDLAANPASAASWVDANVKPFVPAVNIKYIAVGNEISGEPTQNILPAMQNINAALAAASITGVKASTAVKLDVVTNTFPPSAGVFAAPYMTAVAKLLASTGAPLLANIYPYFAYIGNKKDISLNYATFQAGTTVPDPNTGLVYTNLFDAMVDSVYAALDKAGAAGVSIVVSESGWPSAGGDSATIDIARTYVQNLIEHAKKGTPKRPGAIETYVFAMFNENQKPGEATEQNFGAFYPNKTAVYPINFQ >ONIVA01G49680.1 pep chromosome:AWHD00000000:1:41156674:41158678:-1 gene:ONIVA01G49680 transcript:ONIVA01G49680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQGVASMLTIALIIGAFASAPTTVQSIGVCYGVLGNNLPSRSEVVQLYKSKGINGMRIYYPDKEALNALRNSGIALILDVGDQLSNLAASSSNAAAWVRDNVRPYYPAVNIKYIAVGNEVEGGATSSILPAIRNVNSALASSGLGAIKASTAVKFDVISNSYPPSAGVFRDAYMKDIARYLASTGAPLLANVYPYFAYRGNPRDISLNYATFRPGTTVRDPNNGLTYTNLFDAMMDAVYAALEKAGAGNVRVVVSESGWPSAGGFGASVDNARAYNQGLIDHVGRGTPKRPGALEAYIFAMFNENQKNGDPTERNFGLFYPNKSPVYPIRF >ONIVA01G49670.1 pep chromosome:AWHD00000000:1:41149117:41166267:1 gene:ONIVA01G49670 transcript:ONIVA01G49670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIAKYLASTGAPLMANVYPYFAYVGNLRAQIDDINYALFTSPGTVVPDGSKAYQNQFDAIVDTFYSALESAGAGSVPIVVSESGWPSAGGTAASASNAQTSGRRRRPEKRKEREEFTSGNKCCNLSEVQ >ONIVA01G49660.1 pep chromosome:AWHD00000000:1:41136208:41148213:1 gene:ONIVA01G49660 transcript:ONIVA01G49660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGILTKPKFRREEDICLRKKRTGSPFLQQNRVMMLPFDVTYLSLYARFNKMKGPVGKASLICGGKETHDAKILQIGDGGDSVHCVQKRRLISPCKCVRRKPMIGITTRIDEHYTMTFLHSSDAESCSDSFKGECDASFCEQTGKAKLAFVIFKEGKLVWAEVFHDVPCKSVMEAEALAVIALLFKLIDLNLLRGTVWTDNKVCYNVLNGEYEIKVDDPNRSLFLFLRSLRGRFESLTPVWKPRELLLIPDRLVSMVDDPLISNKRDGGKQISNTTNEIKESDESEDSICWVKADDEEAEIASFLEIMKTFSAHRLLIVVDNFEDKSPHYQKLIKDLSQKFYTCWMSKEGSVTIMKCDSSNTEEEETKRLVVMFGGTMALDEYIHQKNLCTVVLVKTSEIKLLRDAGIEEISAKTLLSFRNIKAKPVVPKKPREDEDLEKGGGGQVVKRMRRGQV >ONIVA01G49660.2 pep chromosome:AWHD00000000:1:41136163:41148213:1 gene:ONIVA01G49660 transcript:ONIVA01G49660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGILTKPKFRREEDICLRKKRTGSPFLQQNRVMMLPFDVTYLSLYARFNKMKGPVGKASLICGGKETHDAKILQIGDGGDSVHCVQKRRLISPCKCVRRKPMIGITTRIDEHYTMTFLHSSDAESCSDSFKGECDASFCEQTGKAKLAFVIFKEGKLVWAEVFHDVPCKSVMEAEALAVIALLFKLIDLNLLRGTVWTDNKVCYNVLNGEYEIKVDDPNRSLFLFLRSLRGRFESLTPVWKPRELLLIPDRLVSMVDDPLISNKRDGGKQISNTTNEIKESDESEDSICWVKADDEEAEIASFLEIMKTFSAHRLLIVVDNFEDKSPHYQKLIKDLSQKFYTCWMSKEGSVTIMKCDSSNTEEEETKRLVVMFGGTMALDEYIHQKNLCTVVLVKTSEIKLLRDAGIEEISAKTLLSFRNIKAKPVVPKKPREDEDLEKGGGGQVVKRMRRGQV >ONIVA01G49660.3 pep chromosome:AWHD00000000:1:41136208:41148213:1 gene:ONIVA01G49660 transcript:ONIVA01G49660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGILTKPKFRREEDICLRKKRTGSPFLQQNRVMMLPFDVTYLSLYARFNKMKGPVGKASLICGGKETHDAKILQIGDGGDSVHCVQKRRLISPCKCVRRKPMIGITTHKRDGGKQISNTTNEIKESDESEDSICWVKADDEEAEIASFLEIMKTFSAHRLLIVVDNFEDKSPHYQKLIKDLSQKFYTCWMSKEGSVTIMKCDSSNTEEEETKRLVVMFGGTMALDEYIHQKNLCTVVLVKTSEIKLLRDAGIEEISAKTLLSFRNIKAKPVVPKKPREDEDLEKGGGGQVVKRMRRGQV >ONIVA01G49660.4 pep chromosome:AWHD00000000:1:41136163:41148213:1 gene:ONIVA01G49660 transcript:ONIVA01G49660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGILTKPKFRREEDICLRKKRTGSPFLQQNRVMMLPFDVTYLSLYARFNKMKGPVGKASLICGGKETHDAKILQIGDGGDSVHCVQKRRLISPCKCVRRKPMIGITTHKRDGGKQISNTTNEIKESDESEDSICWVKADDEEAEIASFLEIMKTFSAHRLLIVVDNFEDKSPHYQKLIKDLSQKFYTCWMSKEGSVTIMKCDSSNTEEEETKRLVVMFGGTMALDEYIHQKNLCTVVLVKTSEIKLLRDAGIEEISAKTLLSFRNIKAKPVVPKKPREDEDLEKGGGGQVVKRMRRGQV >ONIVA01G49660.5 pep chromosome:AWHD00000000:1:41142214:41148213:1 gene:ONIVA01G49660 transcript:ONIVA01G49660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFRFGRRRWTLCRALTAGGSKQAEDNSGIRDASKTFAIPALKQVFEDSIRPLLVSKCLLIHQPLDKSMKTFNQRTNNLLKKSPRYLSALDVTTEKEILLEDEWTLFLEHIFKHRVIVVDDWTKCYGTVQTFLHSSDAESCSDSFKGECDASFCEQTGKAKLAFVIFKEGKLVWAEVFHDVPCKSVMEAEALAVIALLFKLIDLNLLRGTVWTDNKVCYNVLNGEYEIKVDDPNRSLFLFLRSLRGRFESLTPVWKPRELLLIPDRLVSMVDDPLISSNRLVLSILEKTVPILSQPQFRISWSSYLRKIFDKRDGGKQISNTTNEIKESDESEDSICWVKADDEEAEIASFLEIMKTFSAHRLLIVVDNFEDKSPHYQKLIKDLSQKFYTCWMSKEGSVTIMKCDSSNTEEEETKRLVVMFGGTMALDEYIHQKNLCTVVLVKTSEIKLLRDAGIEEISAKTLLSFRNIKAKPVVPKKPREDEDLEKGGGGQVVKRMRRGQV >ONIVA01G49660.6 pep chromosome:AWHD00000000:1:41136208:41139469:1 gene:ONIVA01G49660 transcript:ONIVA01G49660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGILTKPKFRREEDICLRKKRTGSPFLQQNRVMMLPFDVTYLSLYARFNKMKGPVGKASLICGGKETHDAKILQIGDGGDSVHCVQKRRLISPCKCVRRKPMIGITTRIDEHYTMVQRQ >ONIVA01G49660.7 pep chromosome:AWHD00000000:1:41136163:41139469:1 gene:ONIVA01G49660 transcript:ONIVA01G49660.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGILTKPKFRREEDICLRKKRTGSPFLQQNRVMMLPFDVTYLSLYARFNKMKGPVGKASLICGGKETHDAKILQIGDGGDSVHCVQKRRLISPCKCVRRKPMIGITTRIDEHYTMVQRQ >ONIVA01G49650.1 pep chromosome:AWHD00000000:1:41121862:41125582:1 gene:ONIVA01G49650 transcript:ONIVA01G49650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLRRIHFAHLPFLFPLNQATTTPLFTTGDKLFYSPFRPHPSSSLPSTTLPGCASLGNTNLRRRRSLPATGAPPPHSASPLSSLGSLRERKEEEEKEKERLTATKKPPVETLRLPWGRSRFTIPDVEPLVVKPGKTQTQGRSAAPDTPRLDAYNGRSSLFPRPLQHAAQLVLELCVILTTPPPLTIVGSSLSPEPATTCSAESPRGVVATSRSSAAAEGDGGGG >ONIVA01G49650.2 pep chromosome:AWHD00000000:1:41121862:41130790:1 gene:ONIVA01G49650 transcript:ONIVA01G49650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLRRIHFAHLPFLFPLNQATTTPLFTTGDKLFYSPFRPHPSSSLPSTTLPGCASLGNTNLRRRRSLPATGAPPPHSASPLSSLGSLLAWQGISPTKFRKEEGLHNQIDDATTGTLSSLNLSANTV >ONIVA01G49650.3 pep chromosome:AWHD00000000:1:41121862:41125582:1 gene:ONIVA01G49650 transcript:ONIVA01G49650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLRRIHFAHLPFLFPLNQATTTPLFTTGDKLFYSPFRPHPSSSLPSTTLPGCASLGNTNLRRRRSLPATGAPPPHSASPLSSLGSLAESPRGVVATSRSSAAAEGDGGGG >ONIVA01G49640.1 pep chromosome:AWHD00000000:1:41113437:41120028:-1 gene:ONIVA01G49640 transcript:ONIVA01G49640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSPLVPGAEKALGCWGGSERKVQLGGAEEGDAVTDRWRWPWIQATVAHIASRGDVGARVERDGRGIAEVDAMDFGHGTATTTFPDVYVADKGIAACGFSSLFMDCFKIMENVLEKQENLLAFEFEVRQWGCDKRYDIRGEGACLKRYTDSSFNTGFACSRMLEPITQRLRTYSFSLHLKIAYYYFPGVVLNFLNITHILDALVTTNNVITEQEVHAARPNL >ONIVA01G49630.1 pep chromosome:AWHD00000000:1:41111403:41112840:-1 gene:ONIVA01G49630 transcript:ONIVA01G49630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMAKNKKKKKEGICPIFYPTWRLSQRGTHVDPHAEAVAHAVQNDRLPVRSVLQMLFSEHGKLNRLAELSGGASFGAPSLVFDLPGARCPPSARCSPSTMSSAASARTSPASRYGFITAISAATVQCSALQAQVDRLSMERRWHGGGGGFFKWSTFWFGGMSSDVARVEDSESGIERQTPASGKKGRGGALD >ONIVA01G49630.2 pep chromosome:AWHD00000000:1:41111403:41112779:-1 gene:ONIVA01G49630 transcript:ONIVA01G49630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPQVDIRSNLVFYPTWRLSQRGTHVDPHAEAVAHAVQNDRLPVRSVLQMLFSEHGKLNRLAELSGGASFGAPSLVFDLPGARCPPSARCSPSTMSSAASARTSPASRYGFITAISAATVQCSALQAQVDRLSMERRWHGGGGGFFKWSTFWFGGMSSDVARVEDSESGIERQTPASGKKGRGGALD >ONIVA01G49620.1 pep chromosome:AWHD00000000:1:41107710:41108457:-1 gene:ONIVA01G49620 transcript:ONIVA01G49620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRINTATLMCKQPSSPYLAIVCALLPSSPYFAIAHAFRRRGFGAQAAALALPILAPRGGERHGERVRKTVESSVVLPKRATTGSTWKVEGIGLGTASGIEDRVREREANVEMR >ONIVA01G49610.1 pep chromosome:AWHD00000000:1:41107335:41109641:1 gene:ONIVA01G49610 transcript:ONIVA01G49610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEEAGLPDPATAASSLVDCRLPGREGCEPLHSSSPLSSHLHVDPVVALFGSTTLLSTVFLTRSPCRSPPLGARMGRARAAAWAPKPRRRKAWAMAKYGDDGSKAQTMARKERKKGVKARLFSVQMGNEQRCQKEIEDTKHGLESGSITYDPAKLKFSDDIKDSR >ONIVA01G49610.2 pep chromosome:AWHD00000000:1:41107335:41109638:1 gene:ONIVA01G49610 transcript:ONIVA01G49610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEEAGLPDPATAASSLVDCRLPGREGCEPLHSSSPLSSHLHVDPVVALFGSTTLLSTVFLTRSPCRSPPLGARMGRARAAAWAPKPRRRKAWAMAKYGDDGSKAQTMARYGVQMGNEQRCQKEIEDTKHGLESGSITYDPAKLKFSDDIKDSR >ONIVA01G49600.1 pep chromosome:AWHD00000000:1:41096208:41104595:1 gene:ONIVA01G49600 transcript:ONIVA01G49600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESALDVTKAVAQMSTSLPAAEKKAARKKALKGWDPTFIKRNFFPYWRSVLGAQLSVSNGAADEKSMLHRPQFRNSVVSNESSSLNHNISFLGRIQQLSGTHESRRYSTAAFRMLTKVPSGMLLLITIGLQSIMLRTSFADTLRRLRIYM >ONIVA01G49600.2 pep chromosome:AWHD00000000:1:41096208:41104595:1 gene:ONIVA01G49600 transcript:ONIVA01G49600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSESALDVTKAVAQMSTSLPAAEKKAARKKALKGWDPTFIKRNFFPYWRSVLGAQLSVSNGAADEKSMLHRPQFRNSVVPSGMLLLITIGLQSIMLRTSFADTLRRLRIYM >ONIVA01G49580.1 pep chromosome:AWHD00000000:1:41079830:41083252:-1 gene:ONIVA01G49580 transcript:ONIVA01G49580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASMLDMALLLAVFASILAGTAAVGVCWGMSGDNLPPASKVTEMLRENGFTVVRLYAPDSAALAALGGTGIRVVGGAPNYDFPALAHGGTAAAAAWIRENIQAYPTVLFRFVVVGNEVAGADTQLLVPAMENVHAALAVAGLGHIKVTTSISQATIGVHIPPSAGEFTDEAKPFMSYVIPFLERTHAPLLANLYPYFIYSYNPGGMDISFALFTASGAVVQDGEYGYQNQFDATVDALYTAVAKLGGENVRVVVSETGWPTAGGVGASVENAMTFNQNLVRHVRNGTPRHPGKKTETYVFAMFNENLKEAGVEQNWGLFYPSTDRVYPISFHARI >ONIVA01G49570.1 pep chromosome:AWHD00000000:1:41074934:41076256:-1 gene:ONIVA01G49570 transcript:ONIVA01G49570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAADGIAALVDWSDLLPVILEDISQRVHGDDRAVFAAVCKSWRRAASAAGPRLSRHSLHLVALCSGANAVDFSSRHGDVVKTAYLGSGGARPHRIIGCSHGWLVVVDEACRASLLEPFTDGAQVPLPPVTSFDCEYFVTAVGGDGDGVPEYFAVDNHAYHHHLQGHRKIEWKPPKLVPVQSMRDEFFQKAAIAPGSHRKESYAAVMVSHSGGSGLAFARSGDDRWTSLPTQALTRYADVIWHNGAFYTLTRGDGAVEAWEPDGRALKPRLVTGPVMRWEFKRLVEFHSDTFHQPAFYEGARYLAKKADGGGGLLVVSTVAILDDSNALRARRFKVFDVDEDKGEWRSRDDVGDAAVLVGINHGECVSTREYPCLKPNCVYYVVKSFAADFEEEEKGCSRYESGVCDVKTGVASRMSVFRRAAGGHPVWFVPSAVSRR >ONIVA01G49560.1 pep chromosome:AWHD00000000:1:41073591:41074424:-1 gene:ONIVA01G49560 transcript:ONIVA01G49560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVCFSDLPPEALDDIARRAGALNNVVCSAVCRPWRRALKTTRLGLLKQPNRPYSVNLELWCGSIELHPIRRCINGERTVRIANHDGAAPVTRIVGSSHGWLVTVDEDGGLSLLEAVTGRLYPLPPITSSGSKKVAKDLDQMGESMFQKAELVPGHRLGTFAVMLIHGGGFGMSFLRPGAKCWTAVRVPKWMKQKFVDVVFHQGAFYTVSRDAEVSAWTPDASRSRGRSARGSPSWCRSAAATCSWCLGCTSARGRGSPWRTSGRRPSWWGAAAA >ONIVA01G49550.1 pep chromosome:AWHD00000000:1:41071072:41072226:-1 gene:ONIVA01G49550 transcript:ONIVA01G49550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSFSDLPPEALDDIARRAGALNNVVCSAVCRPWRRALKTTRLRLLKRPSRPYSVRLDKWRNGTISLCLAVRLGCSSESTIYVPIAMVDGGDKLPTRIIGSSHGWLVTVDKECGLSLLEAFTGRVFPLPPITSSGSKKVAKELDQSMFYKATLAPGRRLGAFAVMLIHGGGFGLSFLRPDAKSWTAVRVPKRMQHKYTDVVFHRGAFYTASRDGEVAAWAPDASSSGLHAGRVSEPTQECTWAALVESVGGDDLLMVSSFVVEEGFAAHGQWYRLPRRRYAVSRYDGEREGTSSWLPVEDLGEAAILVGSSCSLCVSTRGFHDDLRNRLFFAWPSYESGKYYCFHPDEYRLPTATPGCTYLIVPHYGGSWFAPYVAPEFHWY >ONIVA01G49540.1 pep chromosome:AWHD00000000:1:41069058:41070197:-1 gene:ONIVA01G49540 transcript:ONIVA01G49540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVCFSDLPPEALDDIARRAGPLDNVVCSAVCRPWRRALKTTRLRTLEQPSRPYSVRLDQWSNGIELCPLRLTRERIVRIPSDGGAAPVTRIIGSSHGWLVTVDEECGLSLLEAVTGRAFPLPPITSSGSKKVARDLDQMGESMFQKAELVPGRRVGTFAVMLIHGGGNGLSFLRPGAKSWTALWFPKWMQHKYVDVVYHKGAFYTASREAAVTAWAPDASSSGLHATRVTEPRPEKCTWAALVESLGGGDLLMVSSVGAMDDEDHARHGYLRGSRRYEVSRYEEREEGRWLPVEDLGEVAILVGIGGRSLCVSTRGGRDALRNHLYFARPFVSFEYYDGHPREYRLPTATPGCGFVYVPGCSSSWFLPYVAPESHCN >ONIVA01G49530.1 pep chromosome:AWHD00000000:1:41064707:41066327:-1 gene:ONIVA01G49530 transcript:ONIVA01G49530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 16 [Source:Projected from Arabidopsis thaliana (AT3G10150) TAIR;Acc:AT3G10150] MRCWRRLAAAGALLAALCAALALAVAEHRRPDTTASSSSRRPLRFASGGGFKVALFADLHYGENAWTDWGPRQDAGSDRVMAAVLDAEKPDFVVYLGDLVTANNLGIPNASLYWDRAISPTRGRGIPWATVFGNHDDMPFEWPPEWFSPAGVPPLHCPPPSMSDSDCSFRGTPRLELMTSEVIRNGLSYSSNGPKDLWPAVSNNVLQVLSQKRDDPALLMYFLDSGGGSYPEVISSAQVQWFHSQSQFLNPNGRIPEIIFWHIPSTAYAKVAPKAKSEIRKPCVGSINREEVAPQEAEWGMMDALVKRASVKAIFVGHNHGLDWCCPHEKLWLCFARHTGYGGYGNWPRGARVIEISEQPFSIQSWIRMEDGTTHSDISLSS >ONIVA01G49520.1 pep chromosome:AWHD00000000:1:41055325:41056449:1 gene:ONIVA01G49520 transcript:ONIVA01G49520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGVACALAVALFIGSLVSIPTAVRSIGVCNGILGNNLPSPADVVKLYQSNGIAAMRIYSPHAATLRALAGTDIAVIVDEPAIDQFLTLSAASDWVQSNIKPYQGVNIRYIAVGNEVSGDATRSILPAMENLTKALSAAGFGKIKVSTAVKMDVLGTSSPPSGGEFSDAAVMAPIAKFLASNGSPLLANVYPYFAYKGGDVDLNFALFQPTTATVADDGRTYSNMFAAMVDAMYSALEKAGAPGVAVVVSESGWPSAGGSGASADNARRYNQGLIDHVGMGTPKRAGAMEAYIFAMFNENQKDGDETERHYGLFNPDKSPAYPIKFRIS >ONIVA01G49510.1 pep chromosome:AWHD00000000:1:41050948:41052039:1 gene:ONIVA01G49510 transcript:ONIVA01G49510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGVAFILTLALVLGVLAVTPKVVQSIGVCYGVNGNNLPSPSDVVKLYQSKGIDSMRIYFPRSDILQALTGSNIALTMGVANENLSAFASDPSAVANWVKQNVQAYPGVNFCYIAVGNEYLASTGAPLMANVYPYFAYVGNLRAQIDDINYALFTSPGTVVPDGSKAYQNQFDAIVDTFYSALESAGAGSVPIVVSESGWPSAGGTAASASNAQTYNQNLVKHVGQGTPKRPGRIETYIFAMFNENDKRGDETERHFGLFNPDQSPAYTINF >ONIVA01G49500.1 pep chromosome:AWHD00000000:1:41041204:41042581:1 gene:ONIVA01G49500 transcript:ONIVA01G49500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGVTSVLAVVLVIGAFVSIPTVQSIGVCYGVKGNNLPPRSEVVQLYKSKGINGMRIYYPDKEALNALRNSGIALILDVGDQLSNLAASSSNAAAWVRDNVRPYYPAVNIRYIAVGNEVEGGATNSILPAIRNVNSALASSGLGAIKASTAVKFDVISNSYPPSAGVFRDAYMKDIARYLASTGAPLLANVYPYFAYRGNPRDISLNYATFRPGTTVRDPNNGLTYTNLFDAMVDAVYAALEKAGAGNVEVVVSESGWPSAGGFGASVDNARAYNQGLIDHVGRGTPKRPGPLEAYIFAMFNENQKNGDPTEKNFGLSYPNKSPVYPIRF >ONIVA01G49490.1 pep chromosome:AWHD00000000:1:41030556:41032273:1 gene:ONIVA01G49490 transcript:ONIVA01G49490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQGFAPVLAVALLLAAFPAAVQSIGVCYGVIGNNLPAASDVVKLYKSKGIDSMRIYFPRSDILQALTGSNIALTMDVANENLAAFAADATAAAAWVKQNVQAYPGVSFRYIAVGNEVTGDDTGNILPAMKNLNAALAAAGLGGVGVSTSVSQGVIANSYPPSNGVFNDDYMFDIVEYLASTGAPLLVNVYPYFAYVGDTKDISLNYATFQPGTTVTDDGSGLIYTSLFDAMVDSVYAALEDAGAPDVGVVVSETGWPSAGGFGASVSNAQTYNQKLISHVQGGTPKRPGVALETYVFAMFNENQKTGAETERHFGLFNPNKSPSYKIRFH >ONIVA01G49480.1 pep chromosome:AWHD00000000:1:41027463:41029198:1 gene:ONIVA01G49480 transcript:ONIVA01G49480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGVASVLTLALVLGVAAIPTVVQSIGVCYGVIGNNLPSPSDVVQLYKSNGIDSMRIYFPRSDILQALSGSSIALTMDVGNDQLGSLASDPSAAAAFVQNNIQAFPGVNFRYITVGNEVSGGDTQNILPAMQNMNSALSAAGLGNIKVSTSVSQGVTAGFPPSAGTFSASHMGPIAQYLASTGAPLLANVYPYFAYVGNQAQIDINYALFTSPGTVVQDGGNAYQNLFDAIVDTFYSALESAGAGSVPIVVSESGWPSAGGTAASAGNAQTYNQNLINHVGQGTPKRPGSIETYIFAMFNENQKGGDETERHFGLFNPDQSPAYSINF >ONIVA01G49470.1 pep chromosome:AWHD00000000:1:41024297:41024829:-1 gene:ONIVA01G49470 transcript:ONIVA01G49470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSSRAPVVVRPPSRFPLGPSGIPLICCPSCGKGVEEYKSKKQGGRIFFKCPDNEQYDPNSCSFFKWIDSYLKMIEGMDLYTPEDGVVGDDFAAPIAHVVPAHLDADGDKEVTGKMATGGKMDVMQMLVLINLGQLVVMFVALCVMFLK >ONIVA01G49460.1 pep chromosome:AWHD00000000:1:41021630:41023065:1 gene:ONIVA01G49460 transcript:ONIVA01G49460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescence 153 [Source:Projected from Arabidopsis thaliana (AT4G31560) TAIR;Acc:AT4G31560] MAARGLAALSSPARVGASATPCSGRPVRVSATPAAGGWRRRRRSMVVRAGGPPSTNALILAFVLPLSLFVGTLITAARVADDLDERFLREMESNKAIMEENEDFEQDGGGEEEEEDAEQPAPVEKEGVLVAAAPRTRNRPKREVQ >ONIVA01G49450.1 pep chromosome:AWHD00000000:1:40984074:40992460:-1 gene:ONIVA01G49450 transcript:ONIVA01G49450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FYL4] MGRVGLGVAVGCAAVTCAIAAALVARRASARARWRRAVALLREFEEGCATPPARLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDALPSGSEEGVYYSIDLGGTNFRVLRVQVGAGSVIVNQKVEQQPIPEELTKGTTEGLFNFVALALKNFLEGEDDQDGKMALGFTFSFPVRQISVSSGSLIRWTKGFSIRDTVGRDVAQCLNEALANCGLNVRVTALVNDTVGTLALGHYYDEDTVAAVIIGSGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDILLDDETHNRNDQGFEKMISGMYLGEIARLVFHRMAQESDVFGDAADSLSNPFILSTPFLAAIREDDSPDLSEVRRILQEHLKIPDAPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGAASSGRGRGQPRRTVVAIEGGLYQGYPVFREYLDEALVEILGEEVARNVTLRVTEDGSGVGAALLAAVHSSNRQQQGGPI >ONIVA01G49440.1 pep chromosome:AWHD00000000:1:40978685:40980309:1 gene:ONIVA01G49440 transcript:ONIVA01G49440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSIVHCLKLLMLLALGGVTMHVPDEDDVVASLGALRLDGHFSFDDAHAAARDFGNRCSLLPAAVLHPGSVSDVAATVRRVFQLGRSSPLTVAARGHGHSLLGQSQAAGGIVVKMESLAAAAARAVRVHGGASPHVDAPGGELWINVLHETLKHGLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGEVVTCSHEVNSDLFYAALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFETFTEDQEKLIASEKTFDYIEGFVIINRTGILNNWRTSFKPQDPVQASQFQSDGRVLYCLELTMNFNHDEADIMEQEVGALLSRLRYISSTLFYTDVTYLEFLDRVHTSELKLRAQGLWEVPHPWLNLLIPRSTVHKFAKEVFGKILKDSNNGPILLYPVNRTK >ONIVA01G49430.1 pep chromosome:AWHD00000000:1:40931478:40950944:1 gene:ONIVA01G49430 transcript:ONIVA01G49430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-formylglutathione hydrolase [Source:Projected from Arabidopsis thaliana (AT2G41530) TAIR;Acc:AT2G41530] MAAAAPSPPPVAALEQMSRTKMFGGHNLRFRHHSATLGCPMTFSVFLPPSPASDLPVLYWLSGLTCNDENFVTKAGAQRAAAAHGIALVAPDTSPRGLNIEGEADSWDFGVGAGFYLNATNEKWKNWRMYDYVVKELPKVLSDNFEQLNTSRASIFGHSMGGHGALTIYLKNTDKYKSVSAFSPVVNPINCPWGQKAFSNYLGPAKSDWEEYDATCLIKKCNKISTPILIDQGEDDKFLAKQLLPRNFEEACKAVGAPLTLRMQPGYDHSYFFIATFIDDHIAHHSQFLKSA >ONIVA01G49430.2 pep chromosome:AWHD00000000:1:40933341:40950944:1 gene:ONIVA01G49430 transcript:ONIVA01G49430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-formylglutathione hydrolase [Source:Projected from Arabidopsis thaliana (AT2G41530) TAIR;Acc:AT2G41530] MYDYVVKELPKVLSDNFEQLNTSRASIFGHSMGGHGALTIYLKNTDKYKSVSAFSPVVNPINCPWGQKAFSNYLGPAKSDWEEYDATCLIKKCNKISTPILIDQGEDDKFLAKQLLPRNFEEACKAVGAPLTLRMQPGYDHSYFFIATFIDDHIAHHSQFLKSA >ONIVA01G49430.3 pep chromosome:AWHD00000000:1:40948497:40950944:1 gene:ONIVA01G49430 transcript:ONIVA01G49430.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-formylglutathione hydrolase [Source:Projected from Arabidopsis thaliana (AT2G41530) TAIR;Acc:AT2G41530] MYDYVVKELPKVLSDNFEQLNTSRASIFGHSMGGHGALTIYLKNTDKYKSVSAFSPVVNPINCPWGQKAFSNYLGPAKSDWEEYDATCLIKKCNKISTPILIDQGEDDKFLAKQLLPRNFEEACKAVGAPLTLRMQPGYDHSYFFIATFIDDHIAHHSQFLKSA >ONIVA01G49430.4 pep chromosome:AWHD00000000:1:40931478:40933868:1 gene:ONIVA01G49430 transcript:ONIVA01G49430.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-formylglutathione hydrolase [Source:Projected from Arabidopsis thaliana (AT2G41530) TAIR;Acc:AT2G41530] MAAAAPSPPPVAALEQMSRTKMFGGHNLRFRHHSATLGCPMTFSVFLPPSPASDLPVLYWLSGLTCNDENFVTKAGAQRAAAAHGIALVAPDTSPRGLNIEGEADSWDFGVVVCSAENLYT >ONIVA01G49420.1 pep chromosome:AWHD00000000:1:40925696:40930423:1 gene:ONIVA01G49420 transcript:ONIVA01G49420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:A0A0E0FYK8] MVGSVHVNGSVNGGNGTEERLDELRRLLGKSDGDLLKIVGIGAGAWGSVFAALLQDAYGRFREKVQIRIWRRAGRSVDRTTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERISVPVIISLAKGIEASLDPIPRIITPTQMISSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAVGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELSTRDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >ONIVA01G49410.1 pep chromosome:AWHD00000000:1:40921255:40924596:1 gene:ONIVA01G49410 transcript:ONIVA01G49410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHETDKNIEIWKVKKLIKGLDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDEGKEKKVTVDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLFGTLSGNSREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLIIAGSADFKTELSQSDMFDQRLQAKILKVVDVSYGGENGFNQAIEISAEELSNVKFIQEKKLIGKYFEEISQDTGKYVFSVDDTMSALEMGAVETLIVWENLDINRYVLKNSVTGETTVKHLNKAQETDQSNFRDKATSAELEVIEKTLLLEWFAENYRQFGCSLEFVTNKSQEGSQFVRGFGGIGGILRYQVEINAYEDLSDEEGEEKT >ONIVA01G49400.1 pep chromosome:AWHD00000000:1:40917455:40919464:-1 gene:ONIVA01G49400 transcript:ONIVA01G49400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYDASSDEEDAGGEPPAAAAASPAPVPSSFGPRPRPPSPSTSVGAAPQPPPHSQNISSTSSSNISLPTPSLDLPDVADLFSSPSLPSRGSTSMMDSTSRKRESNGSAFQDPRSKFPRVQSGQSRGARIAAGNTLVPPQLSGRSNVVTEDMTKLFVARRKE >ONIVA01G49390.1 pep chromosome:AWHD00000000:1:40911859:40916321:-1 gene:ONIVA01G49390 transcript:ONIVA01G49390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEPGDESQINAIDIVDRLLVEDDIETYQQISIDQTTRAKSASTLGSDIAQCLAKRARCSSPLKKAGNFDWVDTPTVDDCRTSIISMENTVDRANNQVKHDGCGSSTRAWPILECIDEDLGTNCLKKPEPFCGTDDLYQEYDIGPNTQMAAEAMEALFNASTVSYDVKENERPEDSVVKNMTKGTKVDKTCAVHSPIQKRKVNFLRHRSGVATEYKQIKVDDTVRENGESSVSHTNTSQTRKYTKQMAGKAKRNISSGITQRDIDHEVSEVITRSGTNDSNIPLSLDTDALIHPKRRRTYIFTSGSSKIEFIEAIKPTALRAKTTEVKQLSTANTVSVSDQDTTSGLRMSQHSSFADHEASAGSSYFNPLAETFTVGLEKQSIPEKKGHDSSLMPSVPLRELNGAGPQARTRTSETLKRVLKSPGSRELANLFRNEVSPVLQSSRRRRKHMSTVRVLLSQSMGNETLNDQTKILIHFGLSVATTISEATHFVAEKFARTRNMLEAIAMGIPVVTPAWLECCREARSFIDEKRYILRDIKKEKELGFSMPVSLSRACKKPLLEGRRVLITPNAKPSKELLKSLVVTAHGKVLERNAMSKMKNRSLMGAFVISCEQDYKICVPFIKNGFEVFESELVLNGIVTQKLEFERYALSRQIVALSFPME >ONIVA01G49380.1 pep chromosome:AWHD00000000:1:40908891:40910494:-1 gene:ONIVA01G49380 transcript:ONIVA01G49380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRTTRCPKRPAPQLAVAPCAATAKRRRLVPPESPWASLNPDLLRLVAERALASDLLDYVRLRAVCAAWRSATACPRGRGITDPRFHPRRWMMFPEGHGLHPGHAKLRGFVRFFNLSTRAKLPLFQDHMVLDSVDGLLLLQRDHDTAIRLLHPFTGDIADLPPLETLRPQMGNTTNSVLWNYNEEKHRIGFLRDVCASVSVNDTGSITVMLAFHLFNRVAFAASGDLQWTLSKCDFGRPCWRTLSYQGKLFMVKAKHDITGNSDILQIDPPNDQDAEGSPLPEKELAPKLVATIPKDKLFGPCFLAECDSEILIIGHDSRPTSLDSQTMLLPFAYNDIGNYTHTSVYRISDLTSGRFSPVASIGDHALFIGPRTICVSSKALPTIFCGDTGGYIFHTPPTELFFTQYHLSSRTWSPLIDGSIGNSPPPRPYSLIHHILTCCYRKYWNKGLIFYRETTPSWRVKRNCRVGG >ONIVA01G49370.1 pep chromosome:AWHD00000000:1:40900595:40907720:1 gene:ONIVA01G49370 transcript:ONIVA01G49370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FYK1] MSFIRKKSMEFLKSFEVPAKNPSEEAQRRWRDAVGTLVKNRRRRFRMVPDLDKRSQAETQRRKIQEKLRVALFVQKAALQFIDAVRKTEHPLPELARQCGFSVSAEELASIVRGHDTKSLRFHNGVDGIARKVAVSLADGVKSDDAGLRAEVYGANQYTEKPPRTFWMFLWDASQDMTLLLLAFCAAVSVAIGLATEGWPSGMYDGVGIMLTILLVVMITAASDYKQSLQFRDLDKEKKKIDVQVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLFIDGYSFVVDESSLSGESEPVHVSTANRFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLLGKAGAPGGLLRWRMVDALAVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKIWASGAAQTMSNAKGFDQLTSSMSETFAKVLLEGVFHCSGSEVVRGKDGRHTIMGTPTETAILEFGLAVEKRARIEHTGAGKLKVEPFNSVKKTMAVVIASPSAGGRPRAFLKGASEVVLSRCSLVLDGTGNVEKLTDAKAKRVASAIDAFACEALRTLCLAYQDVDGGGGDIPGEGYTLIAVFGIKDPLRPGVREAVATCHAAGINVRMVTGDNINTAKAIARECGILTDDGIAIEGPESLPLDKHTLVTNLRGMFNEVVAVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFISASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDAMMKRPPVGRGDNFITKVMWRNIVGQSIYQLVVLGVLLLRGKSLLQINGPQADSLLNTFVFNTFVFCQVNSREMEKINVFSGIFSSWIFSAVVGVTAGFQVIMVELLGTFANTVHLSGKLWLTSLLIGSVGLVIGAILKCIPVESGSDASDRHDGYRPIPTGPSAV >ONIVA01G49370.2 pep chromosome:AWHD00000000:1:40900595:40910803:1 gene:ONIVA01G49370 transcript:ONIVA01G49370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FYK1] MAMLRSSSAVRKTEHPLPELARQCGFSVSAEELASIVRGHDTKSLRFHNGVDGIARKVAVSLADGVKSDDAGLRAEVYGANQYTEKPPRTFWMFLWDASQDMTLLLLAFCAAVSVAIGLATEGWPSGMYDGVGIMLTILLVVMITAASDYKQSLQFRDLDKEKKKIDVQVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLFIDGYSFVVDESSLSGESEPVHVSTANRFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLLGKAGAPGGLLRWRMVDALAVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKIWASGAAQTMSNAKGFDQLTSSMSETFAKVLLEGVFHCSGSEVVRGKDGRHTIMGTPTETAILEFGLAVEKRARIEHTGAGKLKVEPFNSVKKTMAVVIASPSAGGRPRAFLKGASEVVLSRCSLVLDGTGNVEKLTDAKAKRVASAIDAFACEALRTLCLAYQDVDGGGGDIPGEGYTLIAVFGIKDPLRPGVREAVATCHAAGINVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFRNKDPDQMREIIPKIQVMARSLPLDKHTLVTNLRGMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFISASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDAMMKRPPVGRGDNFITKVMWRNIVGQSIYQLVVLGVLLLRGKSLLQINGPQADSLLNTFVFNTFVFCQVFNEVNSREMEKINVFSGIFSSWIFSAVVGVTAGFQVIMVELLGTFANTVHLSGKLWLTSLLIGSVGLVIGAILKCIPVESGSDASDRHDGYRPIPTGPSAV >ONIVA01G49370.3 pep chromosome:AWHD00000000:1:40903661:40907720:1 gene:ONIVA01G49370 transcript:ONIVA01G49370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FYK1] MAMLRSSSAVRKTEHPLPELARQCGFSVSAEELASIVRGHDTKSLRFHNGVDGIARKVAVSLADGVKSDDAGLRAEVYGANQYTEKPPRTFWMFLWDASQDMTLLLLAFCAAVSVAIGLATEGWPSGMYDGVGIMLTILLVVMITAASDYKQSLQFRDLDKEKKKIDVQVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLFIDGYSFVVDESSLSGESEPVHVSTANRFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLLGKAGAPGGLLRWRMVDALAVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKIWASGAAQTMSNAKGFDQLTSSMSETFAKVLLEGVFHCSGSEVVRGKDGRHTIMGTPTETAILEFGLAVEKRARIEHTGAGKLKVEPFNSVKKTMAVVIASPSAGGRPRAFLKGASEVVLSRCSLVLDGTGNVEKLTDAKAKRVASAIDAFACEALRTLCLAYQDVDGGGGDIPGEGYTLIAVFGIKDPLRPGVREAVATCHAAGINVRMVTGDNINTAKAIARECGILTDDGIAIEGPESLPLDKHTLVTNLRGMFNEVVAVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFISASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDAMMKRPPVGRGDNFITKVMWRNIVGQSIYQLVVLGVLLLRGKSLLQINGPQADSLLNTFVFNTFVFCQVNSREMEKINVFSGIFSSWIFSAVVGVTAGFQVIMVELLGTFANTVHLSGKLWLTSLLIGSVGLVIGAILKCIPVESGSDASDRHDGYRPIPTGPSAV >ONIVA01G49360.1 pep chromosome:AWHD00000000:1:40894998:40900522:1 gene:ONIVA01G49360 transcript:ONIVA01G49360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAETQEELLRKHLEEQKIEGDEPILEDDDDDEDDDDDEDDKDDDVEGAGGDASGRSKQSRSEKKSRKAMQKLGMKTITGVSRVTIKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSNVISKAEPSAAAQDDEEVDESGVEPKDIELVMTQATVSRSRAVKALKAANGDIVTAIMELTNYWALGLVRRLGTGGGGGGAPHAIRPRQGGGVPVHRARTTRDKLARRGERHNDCTRRLTVDLKMHRDQLIGSAFIAFGIILFVGFFYAAVLTKMLPPYENRFLSAIQNDRYYCLLIPLTLPVIIVAVYLHWLSMKMFKHA >ONIVA01G49350.1 pep chromosome:AWHD00000000:1:40885024:40889171:-1 gene:ONIVA01G49350 transcript:ONIVA01G49350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAVGEGQHQQQRPPDGAGGGGGGRGGRGGGGGRGGSGRLGWWWWWCCGVGGVVRLKCVAALVLGVAVLLSAVFWLPPFARRGRGSEGPDPGAGFDADIVASFRLHKMVPELNGNASKLELDIYEEIGIPNSTVVVNSLQLVGSNWTNVIFSIVPYPKNLTLSSTGLSILRSYFMSFVVRQSTLQLTESLFGNSSSFEVLKFPGGITIIPPQTAFLPQKPHATFNFTLNFPIYKVQDRIDELKDQMKTGLLLNSYENLYIKLANLNGSTVDPPTIVETSIFLEVGNHQPSVPRMKQLAQTITNSSSGNLGLNHTVFGRVKQISLSSYLRHSLHSGGGSEAPSPAPMHHHGHHHHHHHHHGHEDSRHSAPAQAPVHYPVHEPRYGAPPPSRCPYGTDKPKNKAHVMPAPEPTANGHHFASPVALPPHSLSPRNPNVHSRSPIPSPPVLPEPPLPTVSFAHAHPPSEHTSRRDPAGLSALAPAPHSSNATRSLWRSIHWANIVPLVCILMSLL >ONIVA01G49340.1 pep chromosome:AWHD00000000:1:40882390:40882899:-1 gene:ONIVA01G49340 transcript:ONIVA01G49340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYLMSRRFSYRRLKKLPTAAVAAPPDVPQQLQEQYYAAITAAAAAAAQHGGGGGIGRRRRRRMRPRLRISRLARVLRRKAAAVGGAVRASVAKVVRRLREGSPYVGDLFAGNYMFMQVTPSPTMAAAAGLAKNGVVPYYHHGIIGGKNSKLGTTCSPSVMYKVKFN >ONIVA01G49330.1 pep chromosome:AWHD00000000:1:40873891:40879883:1 gene:ONIVA01G49330 transcript:ONIVA01G49330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGDKATAAAEAGGGAAATAAAGGGGGGGGGGAEEESVKLFVGQVPKHMTEAELLAMFQEVAIVDEVTVIKDKATKASRGCCFLICPSREEADKAVNAYHNKHTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDTELTDLFSKYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAVAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLQSSNMPSASPMQQSSLFGALQMGYMPQYNGFGYQPPGTYGLMQYPLSPMQNQATFPNMVQPVNQGNSIRGVNPELSPNSVPRSFNAMQLSSPYPPVPGVQYAGSYPGGLMNNRPFGNSFSSIKVPIVNANSPASSSPSSNPGGQIEGPPGANLFIYHIPQDYGDQDLSNAFQRFGRVLSAKVFVDKATGSSKCFGFVSYDSPASAQAAIGVMNGFQLGSKKLKVQLKRDNSKHSKPF >ONIVA01G49320.1 pep chromosome:AWHD00000000:1:40865339:40869988:1 gene:ONIVA01G49320 transcript:ONIVA01G49320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g19220, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G19220) UniProtKB/Swiss-Prot;Acc:O49680] MVDVLGVFDSTSMVIMLSGASRARSLEHGIAFHGMALKRRLDTDLSLWNTLMDMYAKCGDFYSSEVVFQRMPYRDTTSWNSMVSGSLFNGLAEISAYYFKEMVRSSFQADEVSLSCVLSACSHLKDLFSFGESVHSSVIKLGYEDTTSSVENSLITFYYELGFPEAAEEVFLSTSNKNLVTWNAMIKGLVENDRVNEAMCMFQEMRSKNQPDVATLVTIISACGDRGLLPEGKEVHGYIIKKGHIYEECSVGNSLLDLYMKCNDPSTARILFRTMPMRDLISWNTMISGYSRNDSLGEEAKAMFKGLLSEGLSCTLSTVVAVIPSCFCPQDLNFGKSVHSFILKYGFLTGVSAANSLIHMYICCGDSLAAFSLLESITPMSDIISWNTAIVGCVQNGLYGDALEAFQFMHSTLTLNPDSITLVSVLSVCGNLKLQSLGKSIHCMALKRLIEFNLRVKNALLTMYFRFGDTESAELIFSSLVGRNLCSWNCMISGFAQNNEGLRALQFYKKMEYFEPNEISIVGIICACTQLGDLRQGKNIHGHVVRFGLQTNVFISASLVDMYSKCGRLDISIRVFESSAEKSIACWNSMISAFGFHGLGLKSNEIFWKMNNSGVKATRSTFIALLSACSHSGLTDEGLKYYHLMIEHFGIIPTPEHHVCVVDMLGRAGRLQEAHKFVESLPSKQAHGVWGALLSACSKKSELKMCESVAKHLLCLEPENSGYYVTMSNLYAYQDMWSGAVQVRDILQDKGLMKPRGRSIIG >ONIVA01G49310.1 pep chromosome:AWHD00000000:1:40860660:40861136:1 gene:ONIVA01G49310 transcript:ONIVA01G49310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARARGGRAFFLLVLVLVVLAAPAAALRTSAISAAPEYPRLPTGPGHGGGRHAAPAPAAVLPPAPALSPDIMPLLPSPGPDSDGSAEAPSDVMPTIPSSPSPPNPDALLPDSALAPFGSAPAVAAQSRAPPPSTTTAAAAAWALPVAVGLVAMWLV >ONIVA01G49300.1 pep chromosome:AWHD00000000:1:40853700:40854065:-1 gene:ONIVA01G49300 transcript:ONIVA01G49300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGCGATAPMPAPSTHYPVRDRELLAGSAVAGAGEEEPSADAKTDEEVEREGAALAASLAWSTTSTYLASSSRRRWKRPPVTSSDVAWTLEDAAVDDELRRGTWMTKDTTVGNELRRGM >ONIVA01G49290.1 pep chromosome:AWHD00000000:1:40853468:40853662:-1 gene:ONIVA01G49290 transcript:ONIVA01G49290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVEDAAVGDELRRGAWMTEDAAAGDELRRGADGGGCGRRRRALARDVDGRTIGDDALVPGS >ONIVA01G49280.1 pep chromosome:AWHD00000000:1:40846608:40858786:1 gene:ONIVA01G49280 transcript:ONIVA01G49280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRATHRIMPRAPEPVHVLLLAAIAVQVFVRCTAQAASDQKPLVSRLAKDYNTSLYTISVKNGAPPLVVDLAGTLVWSTCPSTHATVPCQSAACDTVNRQQPRRCRYVDGGWFWSGREAGSRCACTAHPFNPVTGECSTGDLTTFAMSANTTNGTDLLYPESFTAVGACAPERLLASPSLPQAAAGVAGFSGTTPLSLPSQLAAQRRFGSTFALCLPVFAAFGDTPVYLPNYNPYGPFDYTKMLRRTPFLTNPRRNGGYYLPVKRISVSWRGPGDVPVSLPAGALDLNARAGRGGVVLSTTTPYAIMRPDVFRAFAKAFDTVVARDRYSSVARVAGEKPFELCYGGTGGFALMKRAGYDAPAITLELGAGATGNWTILNGNYLVRGTCVGIVEMGPEGMPVDGEPAVVLGGMQLENILMVFDLDKRTLGFSRLLEWDLTNCYSASFLLSEILIHNMANPPIKCLLPPAIVSLVLLISCMVATGEQQAPYKPLVVPLVRDSDTSFYTIPIKNGAPLVVDLAGTLVWSTCPSTHTTVSCLSGTCGAANQQQPRRCRYVDGGWFWSGREAGSRCACTAHPFNPVTGECSTGDLTTFAMSANSTVNGTRTLHPEEFAAVGSCAPQRLLASLPAGATGVAGFSRRPLSLPSQLAAQRNFGNKFALCMSQFATFGDAPVYLGMEGRGFVDYREILPYTPLLTNPRIPGYYLPVKGISVSWSVPETPASLPAGALDLDARTGRGGVVLSTTTPYTVMRPDVFRAFAEAFDTAIIRRSKYTYSNVTRHPPVGPFKLCYNGAFPMLKRPASMDIPTIHLELDGATGTWWWFNDNYLVFAPGAALCVGVLEMGPGGMPVDGEPAMVVGVKQLDWNLLVFDLDKMLMWFSGDLAFRLAGCSYSSQIGYQTIPTRYQDPGTKASSPMVLPSTSRARARRRRPHPPPSAPRRSSSPAAASSVIHAPRRSSSPTAASSTVDAMSELVTGGRVLRHSHPTTELVADGRVLRHPCPTPELVVDSRILQRPRHVGACHGRPLPPPPRRASQVRARCAPRQRRGEGSTFALYFLICLCVRAGLLLPCAGDGAAGKELAVAHGVVRRRCGHGRRSAAAHVSHACVLERHRALYRLLLLLGTCMVRYPIVSLVLLLSCLVATGDQHATPAAYKLPLIVPLIRDTNTSLYTIAIKKDDAPLVVDLAGALVWSTCRSSTHATVSCLSGACGAANQQQPRRCRYVDGGWFWSGREAGSRCACTAHPFNPVTGECSTGDLTSFAMSANTTSSGTKLLCPEAFATVGACAPERLLASLPAGATGVAGFSRRPLSLPSQLAAQRSFGNKFALCLPGFAAFGDTPVYIGTESLGIVNYTESLPYTPLLTNPRNPGYYLPVKGITVSWYGRDVPASLPAGALDMDARTGRGGVVLSTTTPYAVMRPDVFRAFAEAFDAAIRGTDYAKVVRVPAVEPFKLCYDGAFPFRKRPPTWDVPTIDLELAGATGIWRLFTENYMVQTPRGMCVGILEMEAGGGMPVDGEPAMVLGLKQLDTNLLVFDLDKMLLWFSGELSFRLTGCVSPF >ONIVA01G49270.1 pep chromosome:AWHD00000000:1:40844600:40845561:-1 gene:ONIVA01G49270 transcript:ONIVA01G49270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEHHARLCPASRVASAQAEVQRRRLSWCCARKAATHHPPSVPSHGLNNTSFFKGMMLANHDIGSTELSTLHCTSGVDAKRLQAKKQRDANCATKNLFGGQTGKASDILVEHANRKEKLSWTCSCGLW >ONIVA01G49270.2 pep chromosome:AWHD00000000:1:40844600:40845561:-1 gene:ONIVA01G49270 transcript:ONIVA01G49270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEHHARLCPASRVASAQAEVQRRRLSWCCARKAATHHPPSVPSHGLNNTSFFKGMMLANRVDAKRLQAKKQRDANCATKNLFGGQTGKASDILVEHANRKEKLSWTCSCGLW >ONIVA01G49260.1 pep chromosome:AWHD00000000:1:40842774:40844465:-1 gene:ONIVA01G49260 transcript:ONIVA01G49260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGEMIASSVARRVASKLGDLAVEEATLLWRFKDDVDDMKEKMRDLVAVMQDADDKVRQVGKYGAVAWRWLAKVKSIAYDVEDVLDEFDAAQLIRNHQSKVQYKRRLLLQSKPW >ONIVA01G49250.1 pep chromosome:AWHD00000000:1:40842056:40842791:1 gene:ONIVA01G49250 transcript:ONIVA01G49250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTGWGRESLRRRCRQGCLSWSRLRWAKLGLGLTPSQTYAAMRPKIIVLPKEEHSHPAYAVFVGHKYSFCYSVLYNETLSGAGGGAAGCRRGLSWLPWIAVLVRP >ONIVA01G49240.1 pep chromosome:AWHD00000000:1:40833099:40839764:-1 gene:ONIVA01G49240 transcript:ONIVA01G49240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIGGMIASSVANRVASRLSELVVEEATLLWRFKDDVDDMEEKMRDLEAVIQDVDGKARQGGKDGEAERRWLNKLKSVAYDVEDVLDELDAAQLIKNHQPKLKLFLSRNNPLLRKMTIARNMKNSREKIVSIKKDSIKLHHVHRELVAEGSRDNETFADDGDVDIGMLGRDAETKKIINLLLNTEAKEDISIIPIVGLGGLGKTTLAQAVFADKRVNVFDLRIWVYVSKEFDLLKIGKAIIRGANRSINLDNCNLQFVNNNLKKELANRRYLIVLDDLWEEYGENLEKLKQMLQHGGKGSKIIATTRSGSVVQVLYTGYLANERKVCPVPEPDHINLGVLSPYDCWSVMKRRVFGPDDDQNGLEEIGRQIAEKCGGLPLVANALGQVMSEHRTVESWTDIRDRKIVLDFKADHQQDTIERLMLSYYYMKREFKMCFTYLAAFSKGFVMDTDRLIQQWIALGYIEARDNGQRCVNYLLRMSFLQISKSSKVSPMHTKAPRKLTMHDLVHDLATIIARDEFLVMDATMPNTWSKANNKRYCRHAQLVNYQKQPKFFKDLPSKVRTIHFRECIGSQLPRKAFSGSKYIRILDLSGCSSEGQSIPVSMALPSSIRQLMLLAYLDVSGLPIAALPKYLHMFQNMQTLILSNCSLETLPANIGNLHKLCYLDLSGNSDLSKLPTSFGNLLKLSLLSLSGCTKLEELPESIHNLKCLEQLDMSGCCALQKLPDEFGSLSKLSFVNLTSCSKLTKLPGNFNLESLEHLILSDCHELENLPEDFGILYRLEVLDLSDCYKIPVLPESFCQLKHLKDLNLSDCHGLKQLPECFGDLSELQFLNLTSCSKLQSLPQSLCNIFKLKHLNLSYCIRIEHLPSLFGDLQLQVLNLTCCYSLRDMPDSISDMASLTLLDVISGTKGVLDKAWSIKECLNLPGREEHDVHEIENGECCSIVELGKLSCRALGIQHLENVERLDNAREAKLRDMTDLRELTLSWGLGGTRNVDKDEEVLENLLPPRTLESFMLDGYMCKDFPNWVSGISSYLPCLIYLCLSNLATCDSLPAFGRLPNLRFFCMKNMPTIRKIGREFYDGEGNCKKLRIIWLERMDNFEEWWTTRSGEEDREFLIPNLHFLKAVDCPKLSFLPYPPRSMHWSLDNSDKVLPERGFGSLASSTLPFRVVINNCKYPPDMWVRFQHLATIEIFQVAGCSGLRTFPDILQSFVSLRELYLCSWENLEILPEWLGQLICLEVIEFINCPVLTTLPTSLQNLTSLRELLLRGCKGLETLPEGMGRLISLEKFIIMDCPKLTFLPESMKNLTALIELHLDGCKGLETLPEGLGLLISLKKFVISNCPKLTYLPESMKKLTALIELRLDGCKRLETLPKWLGLLISLKKIVINNYPMLTFLPESMKNLTAMKVLYLYGCKELEILPEGLGMLISLEKFVLIDCPKLTFLPESMKNLTALIELGLDGCKGLEILPEGLGLLISLEKFIINNCPKLTFLPESMKNLTALIELWLDGCKGLEILPEGLGLLICLEKLIIMDCPKLTFLPESMNNLTALIRLRLDGCKGLEILPEWLGMLVSLEEFIIIDCPKLTFLPSSMKNLTAITELRLDGCKGLEILPEGLGLHIPLKRFVINDCPMLTFLPELLGHLTALKCLDIQSSPNLTYLPESMKNLTALEELWLEGFNSLPEWIGQFIYLKEISIFDSPNLTSLPESIWNITTLELLYIYFCPRLAEWCQREDANKISRIPKIMLDGKIFIPGQAIDG >ONIVA01G49230.1 pep chromosome:AWHD00000000:1:40828901:40829521:-1 gene:ONIVA01G49230 transcript:ONIVA01G49230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGEMIASSVARRVASKLGDLAVEEATLLWRFKDDVNDMKEKMRDLVAVMQDADDKVRQEEKMEQWHGGGSPKLSPLPTTLRTCWMNSMLLSSSGTINPSSSYTFPGTIRSSRK >ONIVA01G49220.1 pep chromosome:AWHD00000000:1:40793011:40824013:-1 gene:ONIVA01G49220 transcript:ONIVA01G49220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSYRDKQPKDQRTRQSVGILLRTENINKRGKTKKSSTCMSIPVISEYAAILSEFEQAFALGRFENQQILVLNGLAIFPFDYLQASPSPAIQALVAPITKDTKTGLHTLSISNKNYLLDLSGQLLWSPCSPSHPTVPCSSGECAAASGAHKSCNNGGRACTARPTNPVTGERAVGDLTLADIVANATDGKTLTSEVTVRGVVSSCAPGSLLRSLPAMAAGDAGLGRGGVSLPTQLYSKLSLKRQFAVCLPSTAAAPGVAFFGGGPYNLMPPTLFDASTVLSYTDLARSPTNPSAYSIKLRGIAMNQEAVHLPPGALSRGGGVTLDTAAPYTVLRRDVYRPFVAAFAKATARIPRMPSVAPFELCFNSSALGFTRVGYAVAPIDLVTSGGRNWTVFGSNSLAQVASDTACLAFVDGGRAARSAVTVGAFQMENNFLLFDEAASRLGYKHYDCAMPPRHGNLAAVAVAATLLLLLASPPPSCAAAAPRRRDPVVVPVTRDPATSLYTIPVRYYDNLVVDLAGPLVWSTCAADHLPASLSCQDPTCVLANAYRSPTCNVTGGGGDCSKNVCTAYPYNPVTGQCAAGNLAHTRFIANTTNGKNPLIQVSVKAVAACAPKKLLARLPRGATGVAGLAASGLALPAQVASSQGVAGKFLLCLPRLGYGQGVAIFGGGPIYLGEGLPDFTTTLEYTPLVAKRDNPGYYVTANAIALDEARLPLPRGALAAGGVALHTTVPFGQLRQDVFRPFVREFEKGLNRSDAKVAAVAPFPLCYNASMLWNTRIGYFVPAVRLMLAGGKNYTMTGTNSMVDVKGGKACLAFVEMKSGDAGSPAVILGGFQMENMLLQFDSEKKRLGFARLPFYTSCTMSLHLLLAVSLCVALASSLPWAAASANGNGNGKPLVAAITKDAATSLYTVPIKDGRPLVLDLAGALVWTSCAAAHPTLECHHHFCMHAHSYHPPGCPHNGYGRADVEDPFRCKCTAHPYNPFSGESATADLTRTRLSANATDGKNPLYPVSFAAVTSCAPDSLLAKLPAGAVGVAGLARTRLALQAQVARSQKVANKFALCLPSGGGGDGVAIFGGGPLFLLPPGRPDVAATLAGETPLHRNKDLPGYFISATKIAVNQEQVQLYTQEPLVVELCTRIPYTALRPDVYRAVVDAFARATAGRKRVTPPAAAAPPFELCYDSRELGSTRLGYAVPQIDLVLEGGKNWTVFGGNSMAQVSDNTACLAVVKVKGEKGSPPPPAAIIGGFQMENNLVAKSKGKPLLLVVISFLAVLPWHTLASGGGGKPLVTAVTKDGATKLYTIAVKDGHPLALDLSGELVWSTCDASHSTVLPYERECVEANRYTPPSCWMQYGGAGGDYRYGNKCTAHPYNGVTGRCAPGDLTRTALAADATNGSNPLYPVTFPAVASCAPGSLLASLPAGAVGVAGLGRSDLALHAQVAATQNVAKKFALCLPSVAVFGGGPFVLIFPYSRPDIMQKLSYTALRRSPELAGGNGGGYYITAKSIEVNHHQVPLPNHGAPLVVQLSSMVPYTELRPDVYGPFVKAWDEILQWPKKVAPPVAPFELCYESRTIGSNRLGYAVPDININLEDGAAWYIFGGNSLVQVDDATACFAFVEMRPEKVGYGPAVVIGGHQMEHNLVVFDEEKQQLGFSGLLFGLQTTCSNFNFTDTSTSLYTMSIKTGSRLVLDLGGPLLWSTCLAAHSTVPCRSDVCAAAAVQDNPWNCSSSTDGRGSDGGGGRGLCACSAYPYNPLNGQCARGDVTTTPMLANVTDGVNPLYPVAFPVHAACAPGALLGSLPSGAVGVAGLSGAPLSLPSQVAASLKVERKFALCLPGGGGTGAAIFGGGPFHLLVVPEEFGMVSNGLSYISYLRNPKNGGFYLDVVGIAVNHRGADVPPDSLALDAGTGHGGVMLSTVAPYTALRPDIYRAVIEAIDAELRLIARAPPSWPFERCYQRSAMWWTRVGPPLATVDLMLRSGGNWTFFGSNMIVQVNEETLCFAIVEMGPTPAMDESPAVIIGGQPAGVRSGEGEAGIDWPALLDPDYVQQLQLQLGDSPIVARLGKDAATSLYTVSVGGAPLVVDLAGPLLWSTCPPAHRTVPCSSSVCKVANWYRSPASCPYSDGGRPGSGDRGCACAAYPYNPVSGQCGRGDVAAVPLAANATDGKNPLFPVSFSAFASCAPSGLLASLPSGVAGVAGMSRLPLSLPSQVASSLKVERQFALCLPASGGGGDGAAIFGGGPFQLLAAPPMEITEGLRRNPVPLLKNPKNGAYYLRVTGIAVNLEAVPIPPRALDLDVRRGTGGVTLSTVASYTTLRPDVYRALLGAFDAATSGIPRAAAVRPFETCYRASALGTTRLGFAVANIDLVLGGSRNWTLPGGSSLVQVDEQTVCFAFLEMMGTSPAAADSPAIVIGGFQMENNLLLFDLEKGTLGISSLLSGLRTGYKIALVLSLLAAALLCALAAGDGQHRPPSKPILTRLAKDPSTSLYTASVKNGGGQLVLDLAGPLVWSTCPGKHRTIPCGAGVCAVANRNHRPNCPYTAAGSNGGDGGRCACSATAYNPASGQCGYGDLTTVQLSANATDGKNPLFQVWLSAVASCAPQPLLDSLPAGAAGIAGLSRAPLSLPLQVAGQLKVEKKFALCLPTAGDVGAAIFGGGPFWLQAAPPQQVSDRLRYTPLLKNPKNTAYYIGVTGVAVNSVQVPLPPGALSLSARQGTGGVAVSTATPYTALRSDIYRPVRDAFAAATAGLARAPAAGPFDLCYQKSALPPTRIGPYTASVDLMLAGGQNWTIVGASAVVEVSQEAACFAFVDMGAAAAPAVDHSPAVIIGGHQMEDNLVVFDLEKWQFGFSGLLLGTMTRCGNFDFSIGSQ >ONIVA01G49220.2 pep chromosome:AWHD00000000:1:40793011:40824013:-1 gene:ONIVA01G49220 transcript:ONIVA01G49220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSYRDKQPKDQRTRQSVGILLRTENINKRGKTKKSSTCMSIPVISEYAAILSEFEQAFALGRFENQQILVLNGLAIFPFDYLQASPSPAIQALVAPITKDTKTGLHTLSISNKNYLLDLSGQLLWSPCSPSHPTVPCSSGECAAASGAHKSCNNGGRACTARPTNPVTGERAVGDLTLADIVANATDGKTLTSEVTVRGVVSSCAPGSLLRSLPAMAAGDAGLGRGGVSLPTQLYSKLSLKRQFAVCLPSTAAAPGVAFFGGGPYNLMPPTLFDASTVLSYTDLARSPTNPSAYSIKLRGIAMNQEAVHLPPGALSRGGGVTLDTAAPYTVLRRDVYRPFVAAFAKATARIPRMPSVAPFELCFNSSALGFTRVGYAVAPIDLVTSGGRNWTVFGSNSLAQVASDTACLAFVDGGRAARSAVTVGAFQMENNFLLFDEAASRLGACIGETLMPPRHGNLAAVAVAATLLLLLASPPPSCAAAAPRRRDPVVVPVTRDPATSLYTIPVRYYDNLVVDLAGPLVWSTCAADHLPASLSCQDPTCVLANAYRSPTCNVTGGGGDCSKNVCTAYPYNPVTGQCAAGNLAHTRFIANTTNGKNPLIQVSVKAVAACAPKKLLARLPRGATGVAGLAASGLALPAQVASSQGVAGKFLLCLPRLGYGQGVAIFGGGPIYLGEGLPDFTTTLEYTPLVAKRDNPGYYVTANAIALDEARLPLPRGALAAGGVALHTTVPFGQLRQDVFRPFVREFEKGLNRSDAKVAAVAPFPLCYNASMLWNTRIGYFVPAVRLMLAGGKNYTMTGTNSMVDVKGGKACLAFVEMKSGDAGSPAVILGGFQMENMLLQFDSEKKRLGFARLPFYTSCTMSLHLLLAVSLCVALASSLPWAAASANGNGNGKPLVAAITKDAATSLYTVPIKDGRPLVLDLAGALVWTSCAAAHPTLECHHHFCMHAHSYHPPGCPHNGYGRADVEDPFRCKCTAHPYNPFSGESATADLTRTRLSANATDGKNPLYPVSFAAVTSCAPDSLLAKLPAGAVGVAGLARTRLALQAQVARSQKVANKFALCLPSGGGGDGVAIFGGGPLFLLPPGRPDVAATLAGETPLHRNKDLPGYFISATKIAVNQEQVQLYTQEPLVVELCTRIPYTALRPDVYRAVVDAFARATAGRKRVTPPAAAAPPFELCYDSRELGSTRLGYAVPQIDLVLEGGKNWTVFGGNSMAQVSDNTACLAVVKVKGEKGSPPPPAAIIGGFQMENNLVAKSKGKPLLLVVISFLAVLPWHTLASGGGGKPLVTAVTKDGATKLYTIAVKDGHPLALDLSGELVWSTCDASHSTVLPYERECVEANRYTPPSCWMQYGGAGGDYRYGNKCTAHPYNGVTGRCAPGDLTRTALAADATNGSNPLYPVTFPAVASCAPGSLLASLPAGAVGVAGLGRSDLALHAQVAATQNVAKKFALCLPSVAVFGGGPFVLIFPYSRPDIMQKLSYTALRRSPELAGGNGGGYYITAKSIEVNHHQVPLPNHGAPLVVQLSSMVPYTELRPDVYGPFVKAWDEILQWPKKVAPPVAPFELCYESRTIGSNRLGYAVPDININLEDGAAWYIFGGNSLVQVDDATACFAFVEMRPEKVGYGPAVVIGGHQMEHNLVVFDEEKQQLGFSGLLFGLQTTCSNFNFTDTSTSLYTMSIKTGSRLVLDLGGPLLWSTCLAAHSTVPCRSDVCAAAAVQDNPWNCSSSTDGRGSDGGGGRGLCACSAYPYNPLNGQCARGDVTTTPMLANVTDGVNPLYPVAFPVHAACAPGALLGSLPSGAVGVAGLSGAPLSLPSQVAASLKVERKFALCLPGGGGTGAAIFGGGPFHLLVVPEEFGMVSNGLSYISYLRNPKNGGFYLDVVGIAVNHRGADVPPDSLALDAGTGHGGVMLSTVAPYTALRPDIYRAVIEAIDAELRLIARAPPSWPFERCYQRSAMWWTRVGPPLATVDLMLRSGGNWTFFGSNMIVQVNEETLCFAIVEMGPTPAMDESPAVIIGGQPAGVRSGEGEAGIDWPALLDPDYVQQLQLQLGDSPIVARLGKDAATSLYTVSVGGAPLVVDLAGPLLWSTCPPAHRTVPCSSSVCKVANWYRSPASCPYSDGGRPGSGDRGCACAAYPYNPVSGQCGRGDVAAVPLAANATDGKNPLFPVSFSAFASCAPSGLLASLPSGVAGVAGMSRLPLSLPSQVASSLKVERQFALCLPASGGGGDGAAIFGGGPFQLLAAPPMEITEGLRRNPVPLLKNPKNGAYYLRVTGIAVNLEAVPIPPRALDLDVRRGTGGVTLSTVASYTTLRPDVYRALLGAFDAATSGIPRAAAVRPFETCYRASALGTTRLGFAVANIDLVLGGSRNWTLPGGSSLVQVDEQTVCFAFLEMMGTSPAAADSPAIVIGGFQMENNLLLFDLEKGTLGISSLLSGLRTGYKIALVLSLLAAALLCALAAGDGQHRPPSKPILTRLAKDPSTSLYTASVKNGGGQLVLDLAGPLVWSTCPGKHRTIPCGAGVCAVANRNHRPNCPYTAAGSNGGDGGRCACSATAYNPASGQCGYGDLTTVQLSANATDGKNPLFQVWLSAVASCAPQPLLDSLPAGAAGIAGLSRAPLSLPLQVAGQLKVEKKFALCLPTAGDVGAAIFGGGPFWLQAAPPQQVSDRLRYTPLLKNPKNTAYYIGVTGVAVNSVQVPLPPGALSLSARQGTGGVAVSTATPYTALRSDIYRPVRDAFAAATAGLARAPAAGPFDLCYQKSALPPTRIGPYTASVDLMLAGGQNWTIVGASAVVEVSQEAACFAFVDMGAAAAPAVDHSPAVIIGGHQMEDNLVVFDLEKWQFGFSGLLLGTMTRCGNFDFSIGSQ >ONIVA01G49210.1 pep chromosome:AWHD00000000:1:40782381:40788439:-1 gene:ONIVA01G49210 transcript:ONIVA01G49210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLAEIHPRELQFTFEVKKQSSCTVHLVNKSNEYVAFKVKTTSPKRYCVRPNTGVILPRSTCDFTVTMQAQRTAPPDMQLKDKFLVQTTVVPYGTSDEDLVPSYFSKESGRYIEESKLRVVLVSASHPFGEQPINGIPNTEAAVEVPSLKDTLNIKNEIPVAEKEVHSPLEEDPVVIPAPPYPVKEAPILREVPVHISPVRETSFLREVPAPVSPVKETPILREVPTPLKETPVILTESPPPPTDTSSITVESLHPFEQNLASLKESPLEETLPKAAVVLSEQGAVNVQSRQLCHVTEDVQNLKSKLNGLEVKLEEAEKMIIKLREESRTTTQERDKLQQEMAPSNQGQGLGHPESQVQQEVVGPILQGHLQEDPIPQGHLQEGPNRQGLLPVLQAQIFRGKIQTAPDLLQLASSHGMINQDVNRRWTQNELLGIKLNWWITTQGIGYNSIQACQKKRKVSTGRTS >ONIVA01G49200.1 pep chromosome:AWHD00000000:1:40778680:40781211:-1 gene:ONIVA01G49200 transcript:ONIVA01G49200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEAVATGNTVGSTGGRSSRRLFRRKSSVVAAANAAPSHAPPPPSDESSAAAVVKESSVNEEVKGKGKATPKEKAIDEEKKDVEESTTAAAADEVVVKDTGVVIVEKTTTAAAAKATPAAVKKIVEEKKKEEAKNKQEAVTDDKKKEKVIPTKVAIDEKKEDVVVPMKEEFVKEKEKITDAKNDVASTENVAAVDEKIKAEEDKNKNKNDDEVVATATMEAAVEEEDDDDDEQPNDDESITFAVAPVAEDDEGSVTFPGARARPVVANADEVHQEDDVTSVTSPTTAPATLENDTSSSAAAAFPAAVPAPVAGEEVAQLEQPSSSKSNNGEMEAVTGVDQAEDAKKETSNIEVINVEQEEIKVEEEEEKIIVSQETEEEEEKSAVISRDEITKVEVEREEIKVEHEEIIVSQETEEDQKSTAISRDEMKVEHEEIVVSQETEEEKSIAVSKDDDGQSDGKQTIELKEAMTTTKTDEKGEEQVATEKKDDEQEQQATAAPIESPKQEQQATADPIEAPLN >ONIVA01G49190.1 pep chromosome:AWHD00000000:1:40776972:40783439:1 gene:ONIVA01G49190 transcript:ONIVA01G49190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRFSSIPCSSERIGAPPPPPPLVAGVHHAAPAPRRRAQHQQQQREDDGNEQPQQVELRIVVRWISDKSNNQFRTNHGHHYQHIRIEFLIIADALKIKLDPPFDDTEMPSGERPVIFSFSLTNSSFIGTTTSSFFSSMATLVGITFSFFLSSHFLSVKWIHLGLEDREEALAVGALLEVALGDGVLLEVALEDGPHYLLLDLAFWVAQALALVTGGLDLEVSWGPGLLWAIAGASRPGWPIATLLIPP >ONIVA01G49190.2 pep chromosome:AWHD00000000:1:40776972:40783439:1 gene:ONIVA01G49190 transcript:ONIVA01G49190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRFSSIPCSSERIGAPPPPPPLVAGVHHAAPAPRRRAQHQQQQREDDGNEQPQQVELRIVVRWISDKSNNQFRTNHGHHYQHIRIEFLIIADALKIKLDPPFDDTEMPSGERPAVLQLDRRWLVVLVWATQLERRWLVVLHFLSVKWIHLGLEDREEALAVGALLEVALGDGVLLEVALEDGPHYLLLDLAFWVAQALALVTGGLDLEVSWGPGLLWAIAGASRPGWPIATLLIPP >ONIVA01G49190.3 pep chromosome:AWHD00000000:1:40775810:40783439:1 gene:ONIVA01G49190 transcript:ONIVA01G49190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPALQSLSPNSLINSRVPPTPPPHDHERGRAMPCRAVRNGCICMLSHLDWINPTVTLTSDHRLLFSAAVLQLDRRWLVVLVWATQLERRWLVVLHFLSVKWIHLGLEDREEALAVGALLEVALGDGVLLEVALEDGPHYLLLDLAFWVAQALALVTGGLDLEVSWGPGLLWAIAGASRPGWPIATLLIPP >ONIVA01G49190.4 pep chromosome:AWHD00000000:1:40775810:40783439:1 gene:ONIVA01G49190 transcript:ONIVA01G49190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPALQSLSPNSLINSRVPPTPPPHDHERGRAMPCRAVRNGCICMLSHLDWINPTVTLTSDHRLLFSAGLLWAIAGASRPGWPIATLLIPP >ONIVA01G49190.5 pep chromosome:AWHD00000000:1:40775810:40776349:1 gene:ONIVA01G49190 transcript:ONIVA01G49190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPALQSLSPNSLINSRVPPTPPPHDHERGRAMPCRAVRNGCICMLSHLDWINPTVTLTSDHRLLFSAVDM >ONIVA01G49180.1 pep chromosome:AWHD00000000:1:40771544:40775676:1 gene:ONIVA01G49180 transcript:ONIVA01G49180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSGFHTMGMCILPPRRLPYGRHGRRKPLVSPTPPPSSLCRLAARPQGRRWRGFTLVFVSLSRHGGGPRVGRAKTAQIQATTAGSGGEAAGGGWRGGGGSWWRLAPGKATTVAGETATTTGMACVLLLLLGASAVGLEMAAGMEVAARLATEAGSGGEAGIGDQIQALEMVLPRWRLRRQRRARRRRWGGCVGGAGGLRRRLLASMAGDSGISDGGRLAAAVTEDTGNQ >ONIVA01G49170.1 pep chromosome:AWHD00000000:1:40766961:40770981:-1 gene:ONIVA01G49170 transcript:ONIVA01G49170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGLLKAALLVCLIVLCSGREIQVIQRHPSTTIYNPKLAKTLVEYASAFNIEDVLQIYTADLTQLFTWTCARCGDLIEGFEMMDIIVDVENCLQAYVGFASDINAIVVVFRGTQENSIQNWIEDLLWKQLDLDYPGMPEAMVHRGFYSAYHNTTMRDGVVSGIQKTRKLFGDVPIMVTGHSMGGAMASFCALDLVVNYGLDGVKLMTFGQPRIGNAAFASFFKKYLPHAIRVTHGHDIVPHLPPYFSFFPQKTYHHFPREVWVHNVGLGSLVYSVEQICDDSGEDPSCCRSVSGSSVQDHIYYLGVSMHAEAWSSCRIVMDYSKLRYRMDINGNIVLSKHLGLSGDLEHSDQ >ONIVA01G49160.1 pep chromosome:AWHD00000000:1:40762190:40769444:1 gene:ONIVA01G49160 transcript:ONIVA01G49160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLCFGSAQEGEAKKPGADSKDARKDGSADRGVSRVGSDKSRSHGGLDSKKDVVIQRDGNNQNIAAQTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLETGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQATTRPHIGDVVTALSYLASQTYDPNAPVQHSRSNSSTPRARNLAGWNEDRRSVRSPNHHSPDLRREAARSSRAEVSRTSSTGDSGRRSGLDDLDMTGSQMGSPAQTGRKRETPRTADRQRAIAEAKTWGENSRERKHPNGHGSFDSTNE >ONIVA01G49150.1 pep chromosome:AWHD00000000:1:40756776:40757090:1 gene:ONIVA01G49150 transcript:ONIVA01G49150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVARLSSQRAVVIFGASNCFMCHVVKTLFSELGVSWAVHEVDKDPNGKDVERALAGMVGRTPPVPAVFIGGKLVGPTDQVMSLHLAGKLVPLLREAGALWL >ONIVA01G49140.1 pep chromosome:AWHD00000000:1:40752696:40754561:-1 gene:ONIVA01G49140 transcript:ONIVA01G49140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLARSKALALALSRADAAAPGPAAGVQWLRTLSSLPRDPAAAASPAPAPRQPAVGSPLGLSKIPGYEQTSRLSGTQVLPRWFSTGTSNGSSAQQEGATRKVMAFSPLEASIAKPRKGPLTSESWKVKQTELLTRSTYYMIPTLLLVSKNSISTSLLVASVFHQVYMFYKEILLDYVHHDITRKWVFIYFKILLIIMAKETVVYFDLF >ONIVA01G49130.1 pep chromosome:AWHD00000000:1:40746088:40748200:-1 gene:ONIVA01G49130 transcript:ONIVA01G49130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTAVVAGIAAAVATLLVAAAVGTAWWWRVARRSRRNSDTGSSETPPTLVEWGRCGRTLSAPEYQGARQFSLEELAHATKNFSDANLVGAGSFGPVYKGLLLDGTVVAVKRRVASPRQDFVDEVKRLSEIWHRNVVTLIGYCQEGGLQMLVFEYLPNGSVCGHLYADTGKESMTRLEFKQRLSIAIGAAKGLNHLHSLVPPLIHKGFKTSNVLVDENFIAKVADAGIDRLLRGFDGAAPSHHPSSSCSSIYQDPEVHSLAQLSESSDVYSFGVFLLELITGKEAASLISSEPREPLVHWMESHFSSNNEVTDPRLGGSFTSEGMKELVGLTLQCVSTSARRRPKMRLIAAELDRILEKEMSLTTVMGDGTAIVTLGSQLFTS >ONIVA01G49120.1 pep chromosome:AWHD00000000:1:40740811:40746313:1 gene:ONIVA01G49120 transcript:ONIVA01G49120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGISQLLKKAFRPSSSSPLSSSWINHEESSGFTGLRALAILGVGASGLLSFATIASADEAEHGLEAPHYPWPHAGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFSNGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVYKSRKLVLDVVN >ONIVA01G49110.1 pep chromosome:AWHD00000000:1:40731004:40739759:-1 gene:ONIVA01G49110 transcript:ONIVA01G49110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics [Source:Projected from Arabidopsis thaliana (AT4G03200) TAIR;Acc:AT4G03200] MEVESFENDEIAKILNDGFVSIKVDREERPDVDKVYMTYVSALYGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTILRKVKEAWETKRDALEKTGNVVIKQLRDALSAKASSQDIPNDLAVVSVDNCVEKLAGSYDPKFGGYGSAPKFPRPVENCVMLYKFRKHLESGQVSESQNIMKMITHTLQCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIANVYLDTFLITGDEYYSSVARDILDYLRRDMIGEEGEIYSAEDADSAEYDGAPRKREGAFYVWTNKEIEDTLGENSELFKNHYYVKSSGNCDLSRMSDPHDEFKGKNVLIERKQASLMASKCGKSVDEYAQILGDCRHKLFDVRSKRPRPHLDDKVIVSWNGLAISAFARASQILKSEPTGTRFCFPITGCNPEEYLGVAEKAARFIKEKLYDSSSNRLNHSYRNGPAKAPGFLDDYAFLINGLLDLYEYGGKIEWLMWAAHLQVIQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSIFDAAKSDGYKCNVEHLLAVFQTRLRELGIALPLMCCAADMLSVPSRKQVVLVGNKESTEFRDMVAAAFSTYDPNRTVIQIDPRNTEEMGFWESNNAIIAQMARSSPPEKPAVAHVCQDFKCSPPVTSADALRVLLNKTVAAATSSAAA >ONIVA01G49100.1 pep chromosome:AWHD00000000:1:40727515:40736009:1 gene:ONIVA01G49100 transcript:ONIVA01G49100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0FYG8] MDAEAGVGGADQLPWRQHYRNLLLLAYQSFGVVYGDLSTSPLYVYKSTFSGRLRRYQDEQTVFGVLALIFWTFTLIPLLKYVTIVLSADDNGEGGPFALYSLLCRHAKLSFLPNQQSADEELSTYYRNGFTSRHGSLPWLRRFMEKHKNARTVLLLIVLCGASMMIGDGILTPAISVLSSMSGLKVRATGLHDRSVVLLSCIVLVGLFALQHRGTQKVAFMFAPIVVIWLFCIGGIGLYNIIHWNPRIYQALSPYYIVKFFRTTGCEAMFADLGHFTSASVRLAFITIIYPCLILQYMGQAAFLSKNILDMPTGFYDSIPGPIFWPVFVVATLAAVVGSQAVISATFSIVKQCHSLGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCVAVTVAFRDITLIGNAYGVACMTVMFVTTFLMALIMIFVWQKNIIFALSFFLLFGSVEVVYLSSSLMKVTQGGWVPLVLALIFMSVMYIWHYGTRKKYQYDLQNKVSMRYILSLGPSLDVVRVPGIGLIYTELVTGVPNIFTHFTTNLPAFHEVLVFLCVKSVPVPYVSPDERYLVGRIGPRAYRMYRCIVRYGYKDVQRDDDNFENMLVMNIGKFIMMEAEDASSSASYDTANEGRMAVITTSDDYDSPLAVRDSNDLADSMTTRSTKSESLRSLQSSYEQESPNVSRRRRVRFELPEEDDMDQQVKDELLALVEAKHTGVTYVMGHVYIKARKNSSFFKRFAIDVGYSFLRKNCRGPSVTLHIPHISLIEVGMAYQV >ONIVA01G49090.1 pep chromosome:AWHD00000000:1:40723741:40725309:-1 gene:ONIVA01G49090 transcript:ONIVA01G49090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G21420) TAIR;Acc:AT3G21420] MDSGAAAVCAEKASEIIKIGQVDDVQELQRRLCSATTVPERYIRDGDDRPDHAVVDDERAQERIPVIDVGELQRGSEDELDNLRLACEQWGFFQVVNHGVEEETMEEMEKAAREFFMLPLEEKEKYPMEPGGIQGYGHAFVFSDDQKLDWCNMLALGVEPAFIRRPNLWPTTPANFSETLEKYSVEIRELCVRLLEHIAAALGLAPARLNGMFGEAVQAVRMNFYPPCPRPELVLGLSPHSDGSAVTVLQQDAAFAGLQVLRGGGGWVPVHPVPGALVVNVGDTLEVLTNGRYKSVEHRAVASGEHDRMSVVTFYAPAYDVELGPLPELVADGEPRRYRTYNHGEYSRHYVTSRLQGKKTLEFAKI >ONIVA01G49080.1 pep chromosome:AWHD00000000:1:40718648:40723353:1 gene:ONIVA01G49080 transcript:ONIVA01G49080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHLRFEDGWKILEQGIVKCSKLLEDCPGGRPTVNEYMNYYDCAYRMAVQKDQYCQEMYNSYKATHESCVCAMVLPHLMHKQGDLFWRELVKMWSNYCAMIRFTTGFLAYLDRCFVTHKKLPSLEDAAATSFFSPVFSYFNNEISDVLLTLIRQERDGCNVDMDLLMGIMRGICRSEVKTKLKSAVIQDTYLYYSRKSYEWIVQYPLQDYLAKVQETVQKETKRLIHYLCISEEEGSGLCLKAVSAPLMQAYENYTKEKHIGGQVLLQTYKTVEDDLLDRCSRLTIDNGLDNNSFSHME >ONIVA01G49080.2 pep chromosome:AWHD00000000:1:40718736:40723353:1 gene:ONIVA01G49080 transcript:ONIVA01G49080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHLRFEDGWKILEQGIVKCSKLLEDCPGGRPTVNEYMNYYDCAYRMAVQKDQYCQEMYNSYKATHESCVCAMVLPHLMHKQGDLFWRELVKMWSNYCAMIRFTTGFLAYLDRCFVTHKKLPSLEDAAATSFFSPVFSYFNNEISDVLLTLIRQERDGCNVDMDLLMGIMRGICRSEVKTKLKSAVIQDTYLYYSRKSYEWIVQYPLQDYLAKVQETVQKETKRLIHYLCISEEEGSGLCLKAVSAPLMQAYENYTKEKHIGGQVLLQTYKTVEDDLLDRCSRLTIDNGLDNNSFSHME >ONIVA01G49070.1 pep chromosome:AWHD00000000:1:40693234:40701704:-1 gene:ONIVA01G49070 transcript:ONIVA01G49070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHGGHHADGHRRQQQLQGEAPDQAAAEIIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWAFGALGFDDYVDPMRRYLNKYRELEGDRAAAAATSRSGAGAAAGPDHPSSSSSAAAATAGHFMFNAMDRSTDSSRHRDLNFSGFNQLGRLQPMQGLPRASSSSTSASRDRDGGDGDGGGGGVTMTNGQDNLLPIANVGRIMKDGLPPQAKISKRAKETIQECATEFISFVTGEASERCRRERRKTVNGDDVCHAMRSLGLDHYADAMHRYLQRYREGEELAASLNSSSSAAAAAAAAGGSRGGGAIQIDVRAELSIFRSGNNQGRPNN >ONIVA01G49060.1 pep chromosome:AWHD00000000:1:40683206:40686558:-1 gene:ONIVA01G49060 transcript:ONIVA01G49060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFELQQQPYYSKLLLGSPLEIANVDDSHLQLVAGVPSDPPPAPPTAVKKKKKRSLPGTPDPSAEVVALSPRTLLATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRGGAGGGGGGEPPRKRVYVCPEASCVHHSPSRALGDLTGIKKHFCRKHGEKKWKCDRCGKRYAVHSDWKAHSKVCGTREYKCDCGTVFSRRDSFVTHRAFCDALAQENNKLAQPMNMAAVTSALQGQQQAHHPVADDDDAAGVKSPHLKMFPDVDKIVAAATAGNPLLPPPLSMAGCMLSSLAAPLSSPFLPGCKLGVDAARDAAMVFPPPPPPAGSAAAIMSATALLQKAAELGATTSTGCYGGVAFPAMGISGGLDRLPAIGHHLAPYDDVVVPAALQGQTATQLVGFDLGGLLPGQLYGGGGGAMTRAIGSLMHGGDQHAGVVDRRRGEGVRVVDYMGVDDDDDHGCFDGVGPFGPHIGPWA >ONIVA01G49050.1 pep chromosome:AWHD00000000:1:40679144:40680919:-1 gene:ONIVA01G49050 transcript:ONIVA01G49050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIRQSVTLKKNQKLHTDIDMHSLDHRACSKIPRFRMEDGGKHFVFVHGLGHGAWCWYRVVAALRAAGHRATALDMAAAGAHPARADEVGSLEEYSRPLLDAVAAAAPGERLVLVGHSLGGLSLALAMERFPDKVAAAVFLAACMPAAGKHMGITLEEVRQRDRLLHARLAQLHHFSELDQTSFMRRIKPDFFMDSKTIVLNTNQEPRTAVLLGPKLLAEKLYNRSPPEDLTLATMLVRPGTNYIDDPIMKDETLLTEGNYGSVKRVFLVAMDDASSDEEMQRWTIDLSPGVEVEELAGADHMAMCSKPRELCDLLLRIAAKYD >ONIVA01G49040.1 pep chromosome:AWHD00000000:1:40674731:40677828:-1 gene:ONIVA01G49040 transcript:ONIVA01G49040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGTGRLGTTNPSRHSTKNFEAAELKMEISSSSKKHFILVHGLCHGAWCWYRVVAALRAAGHRATALDMAASGAHPARVDEVGTFEEYSRPLLDAVAAAAAPGERLVLVGHSHGGLSVALAMERFPDKVAAAVFVAAAMPCVGKHMGFMRRTAPEGLLMDCEMVAINNSQGSGVAINLGPTFLVQKYYQQSPAEDLALAKMLVRPGNQFMDDPVMKDESLLTNGNYGSVKKVYVIAKADSSSTEEMQRWMVAMSPGTDVEEIAGADHAVMNSKPRELCDILIKIANKYERANHKHLQEMEGSSSSSKHFILVHGLCHGAWCWYKVVTMLRSEWHRVTALDLAASGVHPARIDEVHSFEEYSQPLLDAVAEAPAGERLILVGHSFGGLSIALAMERFPEKIAVAVFVAAAVPCVGKHIGIIPELIREKAPKDMLLDSKMIPINNKQGPGTAILLGPNFLAEKGYPLSPAEAMN >ONIVA01G49030.1 pep chromosome:AWHD00000000:1:40674341:40674562:-1 gene:ONIVA01G49030 transcript:ONIVA01G49030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDRLLTSANYGSVKRVCLMAMEDDLKEVHRYMITLSPGVEVEEIAGADHAVMCSRLRELSDLLAKIGSKYD >ONIVA01G49020.1 pep chromosome:AWHD00000000:1:40671509:40672566:-1 gene:ONIVA01G49020 transcript:ONIVA01G49020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGGSSKHFIPVHGLCHGAWCWYKVVTMLRSEGHRVTALDLAASGVHPARIDESRPLLDAVAVAPAGERLILVGHSFGGLSIALAMERFPDKIAMAVFAASSMPCVGKHMGIVREDLTLAKLLMTPGSQFQDDPMMKDDKLLTSANYGSVKRVCLIGMGDDIKELHRYLITLSPGTEVEEIAGADHNIMCSKPRELCDLLAKISSKYD >ONIVA01G49010.1 pep chromosome:AWHD00000000:1:40670977:40676970:1 gene:ONIVA01G49010 transcript:ONIVA01G49010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPHLPPRLRQARRSHSHCVEERSRLVLERVHLVDACRVHARRSEVECRNTVPLRAEHGDHLVPAPCSMAKAMDRDEMLATATAAAFHFLKKIRELPQPGAHDGVVGPGNLFDFHARAQRNHVTVHLLQVILHGHQAHPLYRTVVGTVITSGMMPMCLPTHGTAAATNTATAIFSGNLSMARAMLRPPKLCPTRISLSPAGASATASRSGCEYSSKECTSSIRAGWTPDAARSSAVTRCHSERSMNVAEFPGLGVHDGVVSSGNLLDVRARAHRHHPPLHLLGAGAVSLGDHVHLLHRSIVAIVPDPEMCGSSIFLMER >ONIVA01G49010.2 pep chromosome:AWHD00000000:1:40670977:40676970:1 gene:ONIVA01G49010 transcript:ONIVA01G49010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPHLPPRLRQARSKKITELPWFGAHDVVVGSSNLLDFRARAQRDQITMQLLDVIPHAYQAHPLHRSIVGTVILATYLSKKIRELPQPGAHDGVVGPGNLFDFHARAQRNHVTVHLLQVILHGHQAHPLYRTVVGTVITSGMMPMCLPTHGTAAATNTATAIFSGNLSMARAMLRPPKLCPTRISLSPAGASATASRSGCEYSSKECTSSIRAGWTPDAARSSAVTRCHSERSMNVAEFPGLGVHDGVVSSGNLLDVRARAHRHHPPLHLLGAGAVSLGDHVHLLHRSIVAIVPDPEMCGSSIFLMER >ONIVA01G49010.3 pep chromosome:AWHD00000000:1:40670977:40677409:1 gene:ONIVA01G49010 transcript:ONIVA01G49010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPHLPPRLRQARRSHSHCVEERSRLVLERVHLVDACRVHARRSEVECRNTVPLRAEHGDHLVPAPCSMAKAMDRDEMLATATAAAFHFLLVEAWSLVGPGTLMVAGLDAWGGQSSLGLEFMTAWSAPAISSTSVPGLIATIHRCISSVLELSALAITYTFFTDP >ONIVA01G49010.4 pep chromosome:AWHD00000000:1:40670977:40673419:1 gene:ONIVA01G49010 transcript:ONIVA01G49010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPHLPPRLRQARRSHSHCVEERSRLVLERVHLVDACRVHARRSEVECRNTVPLRAEHGDHLVPAPCSMAKAMDRDEMLATATAAAFHFLLVEAWSLVGPGTLMVAGLDAWGGQVT >ONIVA01G49010.5 pep chromosome:AWHD00000000:1:40670977:40674094:1 gene:ONIVA01G49010 transcript:ONIVA01G49010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPHLPPRLRQARRSHSHCVEERSRLVLERVHLVDACRVHARRSEVECRNTVPLRAEHGDHLVPAPCSMAKAMDRDEMLATATAAAFHFLGLVWCWVHIFIVLFSLIFLMGDFFTNSGLNEHTIYLVMQAPVD >ONIVA01G49000.1 pep chromosome:AWHD00000000:1:40665884:40669102:1 gene:ONIVA01G49000 transcript:ONIVA01G49000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT3G05410) TAIR;Acc:AT3G05410] MAMAAAARHQRHPLSRCGEGRRWRRGEVRCSSPAQEFAALAAVFRRRLVVGATTAAAAAVGANFGGVTSFLLGLSPQLGRSLRLDVLYPVGGFTRCLDSDNGFEFIYPASWVGDQTLLYRGAKRAELQRSLDPPPLANGRSPSRPRNISEPVAAFGPPGSSGELNVSVIVSPVPQDFSIEGFGGPKDVGEVVLRRIAKTKRGADINATLLDAALREDPANNVKYYKLEFRVESPSFRRRNVAVCCAKDGKLYTLNAQAPESAWKAVRKEFLAMADSFSLVADV >ONIVA01G48990.1 pep chromosome:AWHD00000000:1:40656024:40664672:1 gene:ONIVA01G48990 transcript:ONIVA01G48990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02560) TAIR;Acc:AT4G02560] MELVPFKPEAGALVESGGGAHGDSIPAMVAAQQELLHAQVDQLQLLVVAQCRLTGVNPLAQEMAAGALSIKIGKKPRDLLNPKAVKSMQSLFAMKDTIGKKETREISASFGVTVTQVREFFASQRTRVRKLVRLSREKALRIESSKAPDNVCSISTEQTPVDIEAHAQVIEPLRTLEPLEAQQISLPHLVVPQISLQLPVVPQSCAIPVAPIGVMQPTEAKTNPDPIQKETKQEEVAGVESEDKKFLESIFVLMRKENTFSGQVKLMESILQINNVTVLSWFLTMGGLAIVSTWLGQAVTEEQTTVILVVFKVLLHLPLHKALPAHMSTVLQTINRLRFYRTQDISSKARNLLSRLSKVLVRSQALKKSQKDLICKQRISEILRDESWKSEVDITEDILALTDDASESRMPEPRKTPLLLTASADESNKKSSLQTKSKEKRKVLLVEHPNRKAAGKNVNPVRSTSTNNSRPLSADDIQKAKMRAMFMQEKYGKVDTSKVTEKPHMMEIQKPSGLVDSNVPLVPRTPLTSIIKQPVDPSPSTSKQSTLSPPDKPEIAVSLKLNVTAKENFIEKLDSKRVIWQIPPEVWIDPAWSLGAGENSKEFEVQTQRNRREKETFYASLKDIPLNPKGPWDVEMDFDDSLTPEIPIEQPPDADAMETDSVSTAPPNIVVPVVDKQIGSTSSVSPAVAAGANGAASEPDLELLAVLLKNPQLVFALTSNQGGTLPSEQTVALLDMLKQTGLGLSELVNSLANNSGVQKEPESGPEAIPASLPSPTPPKDLIARDGWSSEFPSQVRTQNLQHAHLPNRANAPPVASSVQQSFSNVVSSLPSQPYASASALPAQTRTNMTSLPQSVISVNPSTQHVAPMNNLLSRATVHQHTQSYALTSDPAAVAVHHQPAVNKLAHEVQSISHPAVSHSSVAESHASYTSYTWQSSVATIAATGRNATPDRWAAPARTTNSFNAAPSNSNHVTYPNQNAYSNHSTQATTYNSYGSAPVSSHSLHPGQGLDRNGYTHAAEYQTTTARDALRQNSRSPELGAGAVYGSSSQGYVPEPSRQWNYGQQSYNPEPSSRQWSSGQQSYNTVTAEPSRQWSSGQQSYSNPAEPSRQWSSSAHAQPSYNPEPSRPWNSGHQSQNPEASRQWSHHHGKQERYNPTDGRNSYDQHWRR >ONIVA01G48980.1 pep chromosome:AWHD00000000:1:40650000:40655402:1 gene:ONIVA01G48980 transcript:ONIVA01G48980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKKKEVFASSQGKQIRFLHYKAETPPQRQEPNRLLLPKRPKPPTSSRPFSPPPPPPPPTRRRPMGSEEEPSQMRRALVDALAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGVLRRDVYGPSKYTGLLQASKDILREEGILERKCSSPINVYAIYSYTIHCSTQAENICFWFIQNSPYLICVICPEDHLHLSPYLSYVSGAIAGCAATVGSYPFDLLRTILASQGEPKVYPDMRSAFLDIMKTRGFRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMTWNRYRYSHLNSGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVAKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWIGSKAGVE >ONIVA01G48970.1 pep chromosome:AWHD00000000:1:40645265:40646329:-1 gene:ONIVA01G48970 transcript:ONIVA01G48970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTLELTLISAKDLKDVNLLSKMEVYAVVSLSGDRRSRQRIATDRAGGRNPAWNAAPLRFTVPASGAGSLHVLLRAERALGDRDVGEVHIPLSELLSGAPDGAVPAKFVSYQVRKISSGKPQGVLNFSYKIGEVTQSGSYPGASPPVAYGQAPPAPAYPPSAAYPPQSTYPPPTAYPTAAKADGSAAAAYPPQSAYPPPGKGNEPSTAYPPPAGYPPATGSSKPAKAGEPVTAYPAAAGPSTAAPYGTAPPPQYGYGYPAQPPPPQAGYGYPPPPPQAGYGGGYGYPPQAGYGGYQQQAVKPAKKNNFGMGLGAGLLGGALGGLLIGDAISDASAYDAGYDAGFDDGGGFDF >ONIVA01G48960.1 pep chromosome:AWHD00000000:1:40638451:40642792:-1 gene:ONIVA01G48960 transcript:ONIVA01G48960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62770) TAIR;Acc:AT3G62770] MATPPHDASPAPPNPNPVSDDPPPPPPVTETKPEPEPPLPTTSIDPTPSGDEESGDDSSSSVSSASSTSPTAAAAAAAAGGGGGGERAAPHPAAKDLLHISFNQDYGCFAAGTKSGFRIYNCDPFREIFRRDLGAAGDNGVGGGGGGIGVVEMLFRCNILALVGGGDAPHYPPNKVMIWDDHQSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIYNAAEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGLTTNDKPLPAPDPDVPHISPSLSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMLQLECYNFLKPSSDQPHS >ONIVA01G48960.2 pep chromosome:AWHD00000000:1:40638717:40642792:-1 gene:ONIVA01G48960 transcript:ONIVA01G48960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62770) TAIR;Acc:AT3G62770] MATPPHDASPAPPNPNPVSDDPPPPPPVTETKPEPEPPLPTTSIDPTPSGDEESGDDSSSSVSSASSTSPTAAAAAAAAGGGGGGERAAPHPAAKDLLHISFNQDYGCFAAGTKSGFRIYNCDPFREIFRRDLGAAGDNGVGGGGGGIGVVEMLFRCNILALVGGGDAPHYPPNKVMIWDDHQSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIYNAAEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGLTTNDKPLPAPDPDVPHISPSLSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMLQLECYNFLKPSSDQPQ >ONIVA01G48950.1 pep chromosome:AWHD00000000:1:40634645:40635864:-1 gene:ONIVA01G48950 transcript:ONIVA01G48950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGRKLRVYGMALSANVVRVETVLNEKGLDFDLVPVDLRTAAHKQPHFLALNPFGQIPVLQDGDEVLYESRAINRYIATKYKAEGADLLPAEASPAKLEVWLEVESHHFYPAISGLVFQLLIKPLLGGATDTAAVDEHAAALAQVLDVYDAHLAGSRYLAGNRFSLADANHMSYLLFLSKTPMAELVASRPHVKAWWDDISSRPAWKKTAAAIPFPPAA >ONIVA01G48930.1 pep chromosome:AWHD00000000:1:40611527:40613473:1 gene:ONIVA01G48930 transcript:ONIVA01G48930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIQSNGQHATSSLDALSELYGNTFSVIKSMALKAALDLGIADAIHHHGGAATMAQIATRVTLHPSKIPCLRRLMRVLTLSGIFAVQKPAPGDAAAEADEAPMYTLTPVSRLLIGAGNQRHMMSMLLHPCFIAPFFRISDWLQLELPEPCMFKHTHGQSFWEMTNEDAAFNTVVNDGMASDSAFMMDILVREHGEVFQGISSLVDVAGGNGAAARAIAKAFPEVKCSVMDLAHVVADAPRGTGVEFIAGDMFDSIPAAHAVFLKWIMHDWSDNDCVKILRNCKKAIPSRDAGGKVVIMDIVVGVGPSDQKHRDVQILFDALIMFVNGVERDEQEWKKLFVEAGFSSYKIMPVMGFRSIIEVYP >ONIVA01G48920.1 pep chromosome:AWHD00000000:1:40572570:40573148:1 gene:ONIVA01G48920 transcript:ONIVA01G48920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIEEPSGKLFPHFMIPETTAGITSSCIGRESVRVIACLLCDDGVLEKQEILAQSNFNILRSLASGENDDDDEEDATLLSLLHQQLVIRELFLNARGLGHVGICCNLK >ONIVA01G48910.1 pep chromosome:AWHD00000000:1:40561815:40565823:1 gene:ONIVA01G48910 transcript:ONIVA01G48910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQSNERGACLLDAQLELYVNTLAVIKSVALKAAMDLGIADAIHHHGGAATLPQIVTRVTSLHPSKIPCLRRLMRVLTLTGVFAVETTEPVDGAAADELPAAVYALTPASRLLLVGSGNHHQQASLMSMLLHPSFIASFLRISDWLQRELPGPCIFEHTHGRSMWEMADDDLAFNKVVNNGMVSDSRLVMDVVVREHGEVFRGISSLVDVAGGHGTAAWAIAEAFPEVRCTVLDLPHVVAGAPVGAGPGVEYVAGDMFESVPPANAVFLKWIMHDWGDDECVKILKNCKKAIPSRDAGGKVIVVDMLVGVGPPDQKHLETQTLFDAYIMLINGVERDEQEWKKVFVEAGFSDYKIMPVRGSRSIIKVYP >ONIVA01G48900.1 pep chromosome:AWHD00000000:1:40514251:40515137:-1 gene:ONIVA01G48900 transcript:ONIVA01G48900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPAASPSAEWHHGDGGGGGVQGKQAVYTVWMKSLVFNGHGCTVYGSDGRVAFRVDNYGCRGSRDVFFMDTAGTTLIGIQTKSFGMMKRWEASRHHGGEKETTTATATTPWFRVQRGRGPGGAMATVTLHGGVGMAYRIDGCPSKSEYRISRGGGCGGDGEVVAEVARKQTASGVVLGEDVLTLTVGPGADHLLVLGLVVVCGLISRAM >ONIVA01G48890.1 pep chromosome:AWHD00000000:1:40507813:40510492:-1 gene:ONIVA01G48890 transcript:ONIVA01G48890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTMTHSSSFLRLPATSSPHPPPADDASAAYAVVVLNQRLPRFAPLLWDRAARLRVCADGGANRVFDGMPELLPAEDPDQVRMRYKPDVIKGDMDSIRPEVKEYYSNLGAEIVDESHDQDTTDLHKCVSFITRNPPGSEESNLYILVLGALGGRFDHEMGNINVLYRFSNIRIVLLSDDCSIFLLPKTHSHEIHIERSIEGPHCGLIPMGSPSASTTTTGLRWNLDNTSMSYGGLISTSNIVEEETVSITSDSDLIWTISLRN >ONIVA01G48880.1 pep chromosome:AWHD00000000:1:40504087:40507136:-1 gene:ONIVA01G48880 transcript:ONIVA01G48880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G05510) TAIR;Acc:AT3G05510] MAPMAGGGGVPWGDRARVVGAQIRSRFRVGVVPVDRRWLWRRGDGRVASEAVRQWTERVRSLWQREKSTDQISSSPGTSQAAAAAKPSSSALRFYRKKVGKEVDGIEDSVIFRSLQALAVPLIGNACHIFMHGLNSVQIYGAEKLQQALQERPKGKPLLTVSNHVAAMDDPFVIASILPPSVMLEAQKLRWTLCASDRCFTNPILSTFFRSVKVLPVSRGDGIYQKGMDMALSKLNNGGWVHIFPEGSRSKDGGKTVAPAKRGVGRLVMDADSLPVVIPFVHTGMQDIMPVGKRIPRAGKRVIVVVGDPINFNDLIIDNSDETQHISRGILYDKATERIGQRLQELKAEVDRLAAEQKSELQHHHARDTVNYGTRLWQQVDWEAFGMESSMLSPEPSDVQEPLKKAKPVLHLESEHAKPELHLEPEQAKHELHTEQCVPAAPSAEICSDFGAPLFFRQHTDPSELMGFAARGLLKNGRFMEEEGYRELQRQGAMNMFWGSQANNTVL >ONIVA01G48870.1 pep chromosome:AWHD00000000:1:40502072:40503215:-1 gene:ONIVA01G48870 transcript:ONIVA01G48870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G15830) TAIR;Acc:AT4G15830] MALRALDNTLPMASAERPKKLPKLSAAAAAVVPAASPEGKRKKNDENSAPKATTEQAVEYVRSEDLQPVPHPKAKAAGLVAELDSKDWIRACDALNDARRLAIHHPSLLNPILGKVMLAIVKTMKSPRSAVCKTSIMACTDVFDSFGNLLSSASDDAFDKLLLQLLLKASQDKRFVAEEAEKAMRAMAASMPPLPLLRKLKAYVHHANLRVRAKAAVAMSQCASRMDVATMKEFGMSAMLQVAAELLSDRLPEAREAARGMVNSMHAAFSKEAAAAREEEEGAAAAAAASWESLCALSLPPISAQSVAKIVSQSQSQ >ONIVA01G48860.1 pep chromosome:AWHD00000000:1:40497174:40500584:-1 gene:ONIVA01G48860 transcript:ONIVA01G48860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1624) [Source:Projected from Arabidopsis thaliana (AT5G27730) TAIR;Acc:AT5G27730] MDGGGQGVARRHGAVAEEDPDRRHGDRSGKEGDDDGVDVDEKAPPPRRTSRRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGRAVRRVVLRTLKLLFWGILLQGGYSHAPDDLSYGVDMKHVRWCGILQRIALAYLVVAVLEIVTKNAKVQDQSSSGFSIFRMYFSQWIVACCILVIYLSLVYGIYVPDWDFRVSDVKNPNFGKILTVTCGTRGKLSPPCNAVGYIDRKVLGINHMYHRPAWRRHKDCTDDSPHEGPFKTDSPAWCYAPFEPEGLLSSLSAVLSTIIGVHYGHVLVHMKSHTDRLKQWSIMGITLLILGLTLHFSHAIPLNKQLYTFSYICVTAGAAGIVFCMFYFLVDILNLHYPFAPLKWTGMNAMLVYVMAAAGIFEGFLNGWYYEGTNNTLVYWVRKHVFVKVWHSTRVGILLYVLFAQILFWALVAGLLHPCGRDGMWQ >ONIVA01G48860.2 pep chromosome:AWHD00000000:1:40497174:40500584:-1 gene:ONIVA01G48860 transcript:ONIVA01G48860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1624) [Source:Projected from Arabidopsis thaliana (AT5G27730) TAIR;Acc:AT5G27730] MDGGGQGVARRHGAVAEEDPDRRHGDRSGKEGDDDGVDVDEKAPPPRRTSRRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIPLSLKVLRSLVFHLSILMFLGLNLLPEANMQRIPDRGRAVRRVVLRTLKLLFWGILLQGGYSHAPDDLSYGVDMKHVRWCGILQRIALAYLVVAVLEIVTKNAKVQDQSSSGFSIFRMYFSQWIVACCILVIYLSLVYGIYVPDWDFRVSDVKNPNFGKILTVTCGTRGKLSPPCNAVGYIDRKVLGINHMYHRPAWRRHKDCTDDSPHEGPFKTDSPAWCYAPFEPEGLLSSLSAVLSTIIGVHYGHVLVHMKSHTDRLKQWSIMGITLLILGLTLHFSHAIPLNKQLYTFSYICVTAGAAGIVFCMFYFLVDILNLHYPFAPLKWTGMNAMLVYVMAAAGIFEGFLNGWYYEGTNNTLVYWVRKHVFVKVWHSTRVGILLYVLFAQILFWALVAGLLHPCGRDGMWQ >ONIVA01G48860.3 pep chromosome:AWHD00000000:1:40497174:40500584:-1 gene:ONIVA01G48860 transcript:ONIVA01G48860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1624) [Source:Projected from Arabidopsis thaliana (AT5G27730) TAIR;Acc:AT5G27730] MDGGGQGVARRHGAVAEEDPDRRHGDRSGKEGDDDGVDVDEKAPPPRRTSRRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGRAVRRVVLRTLKLLFWGILLQGGYSHAPDDLSYGVDMKHVRWCGILQRIALAYLVVAVLEIVTKNAKVQDQSSSGFSIFRMYFSQWIVACCILVIYLSLVYGIYVPDWDFRVSDVKNPNFGKILTVTCGTRGKLSPPCNAVGYIDRKVLGINHMYHRPAWRRHKDCTDDSPHEGPFKTDSPAWCYAPFEPEGLLSSLSAVLSTIIGVHYGHVLVHMKSHTDRLKQWSIMGITLLILGLTLHFSHAIPLNKQLYTFSYICVTAGAAGIVFCMFYFLVDILNLHYPFAPLKWTGMNAMLVYVMAAAGIFEGFLNGWYYEGTNNTLIKLILLLLLLLLLLMMILQVYWVRKHVFVKVWHSTRVGILLYVLFAQILFWALVAGLLHPCGRDGMWQ >ONIVA01G48850.1 pep chromosome:AWHD00000000:1:40495364:40500779:1 gene:ONIVA01G48850 transcript:ONIVA01G48850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRFSDCTSESVLSRGRLEECRGRRRKGSSVSYLEKEACLGWALCVQEEDRIYFGALELEHKSRMAAASRARRWSLAGKTALVTGGTKGIGRAIVEELAGFGVRVHTCSRHDADLQDCLRRWNAAGEGGGAAALVTGSVCDVSVRGDREALVAAARAALGGRLDMLVNNAGQVVVGPAAETAPEEYARLMATNLESCFHLAQLAHPLLRDAAAGGGAAASVVNISSTAAFYAAPHLAVYSATKGGMNQLTRCLAAEWARDGVRVNAVAPGATRTDICDTSGVALGEETRRRLADAGAMDRVPIRRIGEPEEVAAAVVFLCMPAASYITGQVICVDGGRTLL >ONIVA01G48840.1 pep chromosome:AWHD00000000:1:40493262:40494713:1 gene:ONIVA01G48840 transcript:ONIVA01G48840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTPAASRERRWSLAGKTALVTGGTKGIGRAIVEELAGFGVRVHTCSRHDADLQDCLRRWNAADGGGLGGGAAAPVTASVCDVSVRGDREALVAAARAALGGRLDILVNNVGQTLFGAAAACAAEDYARIMATNLESCFHLAQLAHPLLLGAGGAAASVVNISSVAGFIAYPALSVYSATKGAMNQLTRSLAAEWARDGIRVNCVAPGGVRTDIAGSSGVALEPGAARAMEEREAARVAMGRIGEPEEVASLVAFLCMPAASYITGQVICVDGGRTITA >ONIVA01G48830.1 pep chromosome:AWHD00000000:1:40486051:40492468:1 gene:ONIVA01G48830 transcript:ONIVA01G48830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSQSKMILYIRLDVKVEKKNTYLRETKKISLLIHWPTFGHGQVFVYAWMKLEIKPPQPHVLTRMAAAIAVVYLSLLLLLLHGAAPAVLGYTRGDFPEDFVFGSATSSYQYEGGFDEDGRSPSNWDIFTHQGKMPGRSTADVAADGYHKYKDDLKLMVDTNLEAYRLSISWSRIIPNGRGDVNPKGLQYYNDIIDGLVKNGIQVHIMLYQLDLPQVLEDEYDGWLSPRILEDFKAYADVCFKEFGDRVAHWITIDEPNVASIGSYDSGQLAPGRCSDPFGIRKCTVGNSSVEPYIAVHNMLLAHASVTKLYREKYQVAGKGIIGISVYTFWAYPLTNSTVDLEATKRCQDFIVHWVLRPLVFGDYPQVMKNIVGSRLPSFTKAQSEDVKGSLDFIGMNHYYSLYVNDRPLGKGTRDFVADMSIYYRGYGSSNDTVHDNDRVDYLKSYIGSILTALRNGANVKGYFVWSFVDVFEYLTGYGQSYGLYRVDFADESRPRQARLSARWYSRFLKNREMDVDQSELAMAAAESRAQQ >ONIVA01G48830.2 pep chromosome:AWHD00000000:1:40486051:40492468:1 gene:ONIVA01G48830 transcript:ONIVA01G48830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSQSKMILYIRLDVKVEKKNTYLRETKKISLLIHWPTFGHGQVFVYAWMKLEIKPPQPHVLTRMAAAIAVVYLSLLLLLLHGAAPAVLGYTRGDFPEDFVFGSATSSYQYEGGFDEDGRSPSNWDIFTHQGKMPGRSTADVAADGYHKYKDDLKLMVDTNLEAYRLSISWSRIIPNGRGDVNPKGLQYYNDIIDGLVKNGIQVHIMLYQLDLPQVLEDEYDGWLSPRILEDFKAYADVCFKEFGDRVAHWITIDEPNVASIGSYDSGQLAPGRCSDPFGIRKCTVGNSSVEPYIAVHNMLLAHASVTKLYREKYQVAGKGIIGISVYTFWAYPLTNSTVDLEATKRCQDFIVHWYVMLTLYVCI >ONIVA01G48830.3 pep chromosome:AWHD00000000:1:40486051:40492468:1 gene:ONIVA01G48830 transcript:ONIVA01G48830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSQSKMILYIRLDVKVEKKNTYLRETKKISLLIHWPTFGHGQVFVYAWMKLEIKPPQPHVLTRMAAAIAVVYLSLLLLLLHGAAPAVLGYTRGDFPEDFVFGSATSSYQYEGGFDEDGRSPSNWDIFTHQDGRGDVNPKGLQYYNDIIDGLVKNGIQVHIMLYQLDLPQVLEDEEDFKAYADVCFKEFGDRVAHWITIDEPNVASIGSYDSGQLAPGRCSDPFGIRKCTVGNSSVEPYIAVHNMLLAHASVTKLYREKYQVAGKGIIGISVYTFWAYPLTNSTVDLEATKRCQDFIVHWYVMLTLYVCI >ONIVA01G48830.4 pep chromosome:AWHD00000000:1:40486051:40492468:1 gene:ONIVA01G48830 transcript:ONIVA01G48830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSQSKMILYIRLDVKVEKKNTYLRETKKISLLIHWPTFGHGQVFVYAWMKLEIKPPQPHVLTRMAAAIAVVYLSLLLLLLHGAAPAVLGYTRGDFPEDFVFGSATSSYQYEGGFDEDGRSPSNWDIFTHQDGRGDVNPKGLQYYNDIIDGLVKNGIQVHIMLYQLDLPQVLEDEEDFKAYADVCFKEFGDRVAHWITIDEPNVASIGSYDSGQLAPGRCSDPFGIRKCTVGNSSVEPYIAVHNMLLAHASVTKLYREKYQVAGKGIIGISVYTFWAYPLTNSTVDLEATKRCQDFIVHWYVMLTLYVCI >ONIVA01G48830.5 pep chromosome:AWHD00000000:1:40486051:40492468:1 gene:ONIVA01G48830 transcript:ONIVA01G48830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSQSKMILYIRLDVKVEKKNTYLRETKKISLLIHWPTFGHGQVFVYAWMKLEIKPPQPHVLTRMAAAIAVVYLSLLLLLLHGAAPAVLGYTRGDFPEDFVFGSATSSYQYEGGFDEDGRSPSNWDIFTHQDGRGDVNPKGLQYYNDIIDGLVKNGIQVHIMLYQLDLPQVLEDEEDFKAYADVCFKEFGDRVAHWITIDEPNVASIGSYDSGQLAPGRCSDPFGIRKCTVGNSSVEPYIAVHNMLLAHASVTKLYREKYQVAGKGIIGISVYTFWAYPLTNSTVDLEATKRCQDFIVHWVLRPLVFGDYPQVMKNIVGSRLPSFTKAQSEDVKGSLDFIGMNHYYSLYVNDRPLGKGTRDFVADMSIYYRGYGSSNDTVHDNDRVDYLKSYIGSILTALRNGANVKGYFVWSFVDVFEYLTGYGQSYGLYRVDFADESRPRQARLSARWYSRFLKNREMDVDQSELAMAAAESRAQQ >ONIVA01G48830.6 pep chromosome:AWHD00000000:1:40486051:40490858:1 gene:ONIVA01G48830 transcript:ONIVA01G48830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSQSKMILYIRLDVKVEKKNTYLRETKKISLLIHWPTFGHGQVFVYAWMKLEIKPPQPHVLTRMAAAIAVVYLSLLLLLLHGAAPAVLGYTRGDFPEDFVFGSATSSYQYEGGFDEDGRSPSNWDIFTHQDGRGDVNPKGLQYYNDIIDGLVKNGIQVHIMLYQLDLPQVLEDEEDFKAYADVCFKEFGDRVAHWITIDEPNVASIGSYDSGQLAPGRCSDPFGIRKCTVGNSSVEPYIAVHNMLLAHASVTKLYREKYQVAGKGIIGISVYTFWAYPLTNSTVDLEATKRCQDFIVHWYVMLTLYVCI >ONIVA01G48820.1 pep chromosome:AWHD00000000:1:40477983:40478681:-1 gene:ONIVA01G48820 transcript:ONIVA01G48820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAARRMWGYLRAVFFMVRKGVISKRRLLLGMQLAMRLKRRNRAVARSVASLLSHHHGGGGGGALRRRREYEFSCSNSPDVVHGGAASRLRLAYFPCLGAEDDVVDAGASATAAAALQRIEYYGYAAAAASSPAPSSPGFMVRDELLAPGDECSTPGLSPLLGTTGGYSVRVSNYSSEEEEDGDYGHADGGGGGEAVDDEAEEFIRRFYEQLRRQNHVALLPYMTESAA >ONIVA01G48810.1 pep chromosome:AWHD00000000:1:40469755:40474354:1 gene:ONIVA01G48810 transcript:ONIVA01G48810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0FYC6] MTEPLHTSSNGGAERGPNAAFESEKTLQTTTRLQRFDSLHMEAGKIPGGQSHTAKVGWATTLHLAFQSIGVVYGDMGTSPLYVFSSTFTNGIKDTNDILGVMSLIIYTVVLLPLIKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSHYKLESPSNRVKRAHWIKEKMENSPNFKIILFLVTILATSMVIGDGVLTPCISVLSAVGGIKESAKSLTQGQIAGIAIAILIVLFLVQRFGTDKVGYSFGPIILTWFIFIAGTGVYNLFKHDTGVLKAFNPKYIVDYFERNGKQGWISLGGVILCITGTEAMFADLGHFNVRAIQIGFSVVLLPSVLLAYIGQAAYLRIYPEHVADTFYKSIPDPLYWPTFVVAVAAAIIASQAMISGAFAIIAQSQVLGCFPRVRVIHTSTKFHGQVYIPEINYVLMVLCVAVTAIFQTTDKIGNAYGIAVVFVMFITTLLVTLVMVMIWKTSLLWIALFPVIFGGAELIYLSSAFYKFTQGGYLPLVFSAILMFIMATWHYVHVHRYKYELRNKVSNNYVAELAVKQNLARLPGIGFLYSELVQGIPPILPHLVEKVPSIHSVLVIISIKYLPISKIETKERFLFRYVEPKEYRVFRCVVRYGYNDKVEDPAEFESLVIENLKQFIHEESLYSQSSHSLEGESIKEIGGVTDPTSEVQDAMSSRNNSDQHTTEPRNGCMDEIQSIHKEMGNGVVHLLGETNVVAEPNADFLKKIIVDYVYNFIRKNFRQPEKITCVPHNRLLRVGMTYEI >ONIVA01G48810.2 pep chromosome:AWHD00000000:1:40469755:40474354:1 gene:ONIVA01G48810 transcript:ONIVA01G48810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0FYC6] MTEPLHTSSNGGAERGPNAAFESEKTLQTTTRLQRFDSLHMEAGKIPGGQSHTAKVGWATTLHLAFQSIGVVYGDMGTSPLYVFSSTFTNGIKDTNDILGVMSLIIYTVVLLPLIKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSHYKLESPSNRVKRAHWIKEKMENSPNFKIILFLVTILATSMVIGDGVLTPCISVLSAVGGIKESAKSLTQGQIAGIAIAILIVLFLVQRFGTDKVGYSFGPIILTWFIFIAGTGVYNLFKHDTGVLKAFNPKYIVDYFERNGKQGWISLGGVILCITGTEAMFADLGHFNVRAIQIGFSVVLLPSVLLAYIGQAAYLRIYPEHVADTFYKSIPVAAAIIASQAMISGAFAIIAQSQVLGCFPRVRVIHTSTKFHGQVYIPEINYVLMVLCVAVTAIFQTTDKIGNAYGIAVVFVMFITTLLVTLVMVMIWKTSLLWIALFPVIFGGAELIYLSSAFYKFTQGGYLPLVFSAILMFIMATWHYVHVHRYKYELRNKVSNNYVAELAVKQNLARLPGIGFLYSELVQGIPPILPHLVEKVPSIHSVLVIISIKYLPISKIETKERFLFRYVEPKEYRVFRCVVRYGYNDKVEDPAEFESLVIENLKQFIHEESLYSQSSHSLEGESIKEIGGVTDPTSEVQDAMSSRNNSDQHTTEPRNGCMDEIQSIHKEMGNGVVHLLGETNVVAEPNADFLKKIIVDYVYNFIRKNFRQPEKITCVPHNRLLRVGMTYEI >ONIVA01G48800.1 pep chromosome:AWHD00000000:1:40466746:40475032:-1 gene:ONIVA01G48800 transcript:ONIVA01G48800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPNSASVSPFVLAAEASNGGARGGGGLPRRRRPLRFPGGSWTARPSPRIGGMETRIGGLASRSGDGMLEHVDWGSWAECIVPQWGYSRSNNEQSMNA >ONIVA01G48790.1 pep chromosome:AWHD00000000:1:40457580:40459680:1 gene:ONIVA01G48790 transcript:ONIVA01G48790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAMKRLFVESSSSAAASNSGREAAVLCAPRPRRVQVHPCSADLILGPPPFLLSSNNTNKQREGKSKEEEEEGRWEMFGGSPPARADNPLVHDPHFLLNQRPHAAAAAAAPELSIFDHRSTHHGHHPAYSSSSSFAPSFAPAVRIQGFDVAACRSSHGSGGGGRVLSARA >ONIVA01G48780.1 pep chromosome:AWHD00000000:1:40450360:40454233:1 gene:ONIVA01G48780 transcript:ONIVA01G48780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumenal P17.1 protein [Source:Projected from Arabidopsis thaliana (AT3G44020) TAIR;Acc:AT3G44020] MASLLCPAAAASYSSLLSSSFPSRRRPQPSAPLASPAPSSPPRPRSVAAAAAYGYGGDVLMRPFDTQTLLISAAVVSAVSLSLVLGLKGDPVPCERCAGNGGTKCVFCNDGKMKVENGVVECRVCKGAGLILCKKCSGSGYSRRL >ONIVA01G48770.1 pep chromosome:AWHD00000000:1:40446390:40447875:1 gene:ONIVA01G48770 transcript:ONIVA01G48770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYAHHCGSLLHSRRLRFSVAPVAAAKPEAVGTTGEAAAAPVKELAKSLQGVELFDLRGKAVPVVDLWKDRNFLSCFGRLIVFETIDDGCLHRADLLAAKQDSLVADSSVQILRNKVYTSNAQSDAFFSTLFFFNLPGSIYKNINVFIFNLRSIAALAAALRRRQRS >ONIVA01G48760.1 pep chromosome:AWHD00000000:1:40445564:40446188:1 gene:ONIVA01G48760 transcript:ONIVA01G48760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYYIHPNFLLQPQRTELILGVRRCHANGATVSDRYRHKAHIAHIWIWQKCRCSRGTRFRSRRTGRRAATTWPRTGTPPRPRTPASRRRTCSRTGPPRRRRRRHNPVAARRRRQHGRRNHQQRRSYANLACGH >ONIVA01G48750.1 pep chromosome:AWHD00000000:1:40441524:40441802:-1 gene:ONIVA01G48750 transcript:ONIVA01G48750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVAAAAAVLVLAAAAAAAMAAEPPTDDGAVRVAAGLTKCVSGCGSKVTSCLLGCYGGGGAAAAAATAMPFCVIGCTSDVLSCATGCSTSL >ONIVA01G48740.1 pep chromosome:AWHD00000000:1:40438718:40440306:-1 gene:ONIVA01G48740 transcript:ONIVA01G48740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKEAARERREQRRREVTLLRAVPYEPHQRWWDGLAPPPPPPGRAVAVVTGANRGIGYEAARQLATHGLHVVLTSRDAARGRDAAEQIRAAAGKPGVSVEWRQLDVTDAASVEGFATWVERTHGGVHVLVNNAGVNFNRGADNSVEFAEQVIETNYFGTKRMIEAMMPLMITSPHGGRIVNVSSRLGRVNGRRNRVGDPSLRERLLNDDHLSEELINEMVMKFLEQTKQDNWSSSNEWPQMYTDYSISKLAVNAYTRLLARRLLDRPEGQKIYINCFCPGWVKTAMTGWEGNISAEEGADTGVWLALVPQEQATIGKFFAERREISF >ONIVA01G48730.1 pep chromosome:AWHD00000000:1:40430342:40433522:-1 gene:ONIVA01G48730 transcript:ONIVA01G48730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26700) TAIR;Acc:AT3G26700] MTPKYPSNLQYFSSGTAEELQTLRKVVHPVQLNQGARCMTLEELSSATRNFSNVNLIGHGMFGEVYKGLLQDGTIVAIKKRHSPPSHEFIHEVNYLSSIRHRNLVNLLGYCQENGMQMLVYEYVPNGSVSTHLHGSSHAPGVKLEFKQRLSIAHGAAKGLNHLHSLTPPTVHMNFKTANVLVDEDLIPKVADAGIRALLDRLGGVGPSSRTSYDPFLDPSFGVFLVELLSGKRALSDQNIIRWVQNFQQSSDISAIADNRMASAYTSEGMREFLRLTSWCVNPTSEHRPSMNLVEAEINRIREQEMRMTTIMPESTPTVTLGSQLFTTSG >ONIVA01G48720.1 pep chromosome:AWHD00000000:1:40423607:40430968:1 gene:ONIVA01G48720 transcript:ONIVA01G48720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAGRKEKKEVVRLERESVIPIMKPKLIMKLAYLIEQQSDREEFLKLCKRIEYTIRAWYHLQFDDMMELFALFDPVHGAQKLQQQNFSTEEVDTLEQNFLTYFFQVMQKSNFNILSDDEVELAHSGQYLLNLPIKVDEAKLDNKLLSKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIARAWRWFLEKTRLQKLFSRKKSVRPKTDSKKNDDLVGEEEDKELYVERIRLETMNLSLRNLIGKVTIQEPTFEEVIVLYRRKSPKGQNDRSIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLVSSLEMPKADFWVVVAVLSALAGYCAKIYLSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCLSLKRANEIIGATTEELYKCSSSYQLSKKRAAELSVWSEMMSSSSSLVSAISASPSATAKARLRHDDAATATGAAAAAAVAARQLKTEHGGCCCCRSRGRAVVARAGPGPLTEIEPDLNEDPIDKWRTNGVSPDDFEYGVYDGHHTYNETQDKKGFWEDVSEWYQEAEPPQGFQALISWLFPPAIILGMAFNVPGEYLYIGAAVFVVVFCVIEMDKPDKPHNFEPEIYMMERSARDKLIADYNTMDIWDFNEKYGELWDFTVDRRDEIVKSS >ONIVA01G48720.2 pep chromosome:AWHD00000000:1:40423607:40431188:1 gene:ONIVA01G48720 transcript:ONIVA01G48720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAGRKEKKEVVRLERESVIPIMKPKLIMKLAYLIEQQSDREEFLKLCKRIEYTIRAWYHLQFDDMMELFALFDPVHGAQKLQQQNFSTEEVDTLEQNFLTYFFQVMQKSNFNILSDDEVELAHSGQYLLNLPIKVDEAKLDNKLLSKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIARAWRWFLEKTRLQKLFSRKKSVRPKTDSKKNDDLVGEEEDKELYVERIRLETMNLSLRNLIGKVTIQEPTFEEVIVLYRRKSPKGQNDRSIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLVSSLEMPKADFWVVVAVLSALAGYCAKIYLSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCLSLKRANEIIGATTEELYKCSSSYQLSKKRAAELSVWSEMMSSSSSLVSAISASPSATAKARLRHDDAATATGAAAAAAVAARQLKTEHGGCCCCRSRGRAVVARAGPGPLTEIEPDLNEDPIDKWRTNGVSPDDFEYGVYDGHHTYNETQDKKGFWEDVSEWYQEAEPPQGFQALISWLFPPAIILGMAFNVPGEYLYIGAAVFVVVFCVIEMDKPDKPHNFEPEIYMMERSARDKLIADYNTMDIWDFNEKYGELWDFTVDRRDEIGTDAGICNFGYEILIHKDVGRFEVHMDRGRCQRMQVI >ONIVA01G48720.3 pep chromosome:AWHD00000000:1:40423749:40430968:1 gene:ONIVA01G48720 transcript:ONIVA01G48720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAGRKEKKEVVRLERESVIPIMKPKLIMKLAYLIEQQSDREEFLKLCKRIEYTIRAWYHLQFDDMMELFALFDPVHGAQKLQQQNFSTEEVDTLEQNFLTYFFQVMQKSNFNILSDDEVELAHSGQYLLNLPIKVDEAKLDNKLLSKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIARAWRWFLEKTRLQKLFSRKKSVRPKTDSKKNDDLVGEEEDKELYVERIRLETMNLSLRNLIGKVTIQEPTFEEVIVLYRRKSPKGQNDRSIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLVSSLEMPKADFWVVVAVLSALAGYCAKIYLSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCLSLKRANEIIGATTEELYKCSSSYQLSKKRAAELSVWSEMMSSSSSLVSAISASPSATAKARLRHDDAATATGAAAAAAVAARQLKTEHGGCCCCRSRGRAVVARAGPGPLTEIEPDLNEDPIDKWRTNGVSPDDFEYGVYDGHHTYNETQDKKGFWEDVSEWYQEAEPPQGFQALISWLFPPAIILGMAFNVPGEYLYIGAAVFVVVFCVIEMDKPDKPHNFEPEIYMMERSARDKLIADYNTMDIWDFNEKYGELWDFTVDRRDEIVKSS >ONIVA01G48720.4 pep chromosome:AWHD00000000:1:40423749:40431203:1 gene:ONIVA01G48720 transcript:ONIVA01G48720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAGRKEKKEVVRLERESVIPIMKPKLIMKLAYLIEQQSDREEFLKLCKRIEYTIRAWYHLQFDDMMELFALFDPVHGAQKLQQQNFSTEEVDTLEQNFLTYFFQVMQKSNFNILSDDEVELAHSGQYLLNLPIKVDEAKLDNKLLSKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIARAWRWFLEKTRLQKLFSRKKSVRPKTDSKKNDDLVGEEEDKELYVERIRLETMNLSLRNLIGKVTIQEPTFEEVIVLYRRKSPKGQNDRSIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLVSSLEMPKADFWVVVAVLSALAGYCAKIYLSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCLSLKRANEIIGATTEELYKCSSSYQLSKKRAAELSVWSEMMSSSSSLVSAISASPSATAKARLRHDDAATATGAAAAAAVAARQLKTEHGGCCCCRSRGRAVVARAGPGPLTEIEPDLNEDPIDKWRTNGVSPDDFEYGVYDGHHTYNETQDKKGFWEDVSEWYQEAEPPQGFQALISWLFPPAIILGMAFNVPGEYLYIGAAVFVVVFCVIEMDKPDKPHNFEPEIYMMERSARDKLIADYNTMDIWDFNEKYGELWDFTVDRRDEIVKSS >ONIVA01G48710.1 pep chromosome:AWHD00000000:1:40410319:40421901:-1 gene:ONIVA01G48710 transcript:ONIVA01G48710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDGDGVIRAPVTGDGDSSSAAAAAAAIIVVVAAVPRSFVAGGTLLYPSIILLINRRHLVAIDDLFLFFLLLLFHSPTSPRRSPWRRLQIPLHLLPSPPPSPPILPPPPPASTTMVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDAGKSSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLHRTTKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVIAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQHVAVVTVAFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPPAGEGSAAAAADRSTAMVRVPFVTAVTTVFSYGVIFGFGHLRDWVTRQFAEDRRISITDDSFDCFWRPIASKPDAWFDVVERYSNDSNKTLHRTTKTSRCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALIGKGGLIISDSLNHNSIVNGARGSGASVQVFQHNNPAHLEEVLREQIAGGQPRTQRRWKKIIVIVEGIYSMEGELCKLPEIVAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIEVILGEDGSDRGAKKLAQIRENSNFFRSELEKMGFEVLGDNDSPVMPIMLYNPAKMPAFSRECLRQKVAIVTVSFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYLPVEHEKTTSAEKLKKIHIS >ONIVA01G48710.2 pep chromosome:AWHD00000000:1:40410545:40421901:-1 gene:ONIVA01G48710 transcript:ONIVA01G48710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDGDGVIRAPVTGDGDSSSAAAAAAAIIVVVAAVPRSFVAGGTLLYPSIILLINRRHLVAIDDLFLFFLLLLFHSPTSPRRSPWRRLQIPLHLLPSPPPSPPILPPPPPASTTMVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDAGKSSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLHRTTKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVIAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQHVAVVTVAFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPPAGEGSAAAAADRSTAMVRVPFVTAVTTVFSYGVIFGFGHLRDWVTRQFAEDRRISITDDSFDCFWRPIASKPDAWFDVVERYSNDSNKTLHRTTKTSRCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALIGKGGLIISDSLNHNSIVNGARGSGASVQVFQHNNPAHLEEVLREQIAGGQPRTQRRWKKIIVIVEGIYSMEGELCKLPEIVAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIEVILGEDGSDRGAKKLAQIRENSNFFRSELEKMGFEVLGDNDSPVMPIMLYNPAKMPAFSRECLRQKVAIVTVSFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYLPVEHEKTTSAEKLKKIQ >ONIVA01G48710.3 pep chromosome:AWHD00000000:1:40407931:40421901:-1 gene:ONIVA01G48710 transcript:ONIVA01G48710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDGDGVIRAPVTGDGDSSSAAAAAAAIIVVVAAVPRSFVAGGTLLYPSIILLINRRHLVAIDDLFLFFLLLLFHSPTSPRRSPWRRLQIPLHLLPSPPPSPPILPPPPPASTTMVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDAGKSSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLHRTTKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVIAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIEVILGEDGSDRGAKKLAQIRENSNFFRSELEKMGFEVLGDNDSPVMPIMLYNPAKMPAFSRECLRQKVAIVTVSFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYLPVEHEKTTSAEKLKKIHTECLQILLCYECNNSKNEEHKKIVVMC >ONIVA01G48700.1 pep chromosome:AWHD00000000:1:40403013:40407166:-1 gene:ONIVA01G48700 transcript:ONIVA01G48700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVPYGYAPICVGKEDFYIRRFFRRVQDCFGRPIASKPDAWFDVVERYSTDSNKTLHRTTKTSKCLNLASFNYLGFAAADEYCTPRVIESLKKYSASTCSSRVDGGNTQLHIELEELVARFVRKPSAILLAMGYATNSAIIPALIGKGGLIISDSLNHNSIVSGARASGATIRVFEHNNPAHLEKLLREQISGGQPRTHRAWKKILVIVEGIYSMEGELCKLPEIISVCKKYKVYTYMDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTLSKSFGSSGGYIAASKEIIQHLKLTCPSHIYGTSMSPPAVQQVISAMKVILGEDGTDRGAKKIAQIRDNSNFFRSELQKMGFEVLGDNDSPVMPFMVYNPAKMPAFSRECLKQNVAVVPVGFPATPLLLGRIRICISASHSREDLIKGLEVISNVGDLVGIKYLPVEQEETTSVEKPKKL >ONIVA01G48690.1 pep chromosome:AWHD00000000:1:40399133:40400267:-1 gene:ONIVA01G48690 transcript:ONIVA01G48690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAPKYSSAQCHHEVGGLLVYTFLQGDDPHKRKYENDIHTTLLSGYRVLIAEESALLDYEILILDDINVSTYYRRIGGQ >ONIVA01G48680.1 pep chromosome:AWHD00000000:1:40387404:40393933:-1 gene:ONIVA01G48680 transcript:ONIVA01G48680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVELVVDRGQQQQQQVVVVVVVAAAAAAAMVPARSRLFHHDSSSSSKRGGGNSSSSCTPQYCIATQLDAAAAAAPARRRHHHHHQQQPAAARYQLAMAPPEDDAAAGTSSRGGGAGDDDDGDKDWLQLGLAAAAPTATAPAAAAAPSSSTPTAPELDVFAAKQYNGRPPLFPLPILRSYHHHHHHQYGHGGGRCPPPSFFPFARPLRSSGDLPGVMRVISPPRRAAAADAAGLWLTLQASPDQFREPILPQIPKSYLRIKDSNMKVEVVMKYLAGKLGLTQSHLQLLPPFLLVKNVRDSIWCSSLRPSCREDDDGDGEDDLVELHRRSPAAAATTDHVMALVYSTSSSCH >ONIVA01G48680.2 pep chromosome:AWHD00000000:1:40387404:40393933:-1 gene:ONIVA01G48680 transcript:ONIVA01G48680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVELVVDRGQQQQQQVVVVVVVAAAAAAAMVPARSRLFHHDSSSSSKRGGGNSSSSCTPQYCIATQLDAAAAAAPARRRHHHHHQQQPAAARYQLAMAPPEDDAAAGTSSRGGGAGDDDDGDKDWLQLGLAAAAPTATAPAAAAAPSSSTPTAPELDVFAAKQYNGRPPLFPLPILRSYHHHHHHQYGHGGGRCPPPSFFPFARPLRSSGDLPGVMRVISPPRRAAAADAAGLWLTLQASPDQFREPILPQIPKSYLRIKDSNMKVEVVMKYLAGKLGLTQSHLQVELTCRGQLLPPFLLVKNVRDSIWCSSLRPSCREDDDGDGEDDLVELHRRSPAAAATTDHVMALVYSTSSSCH >ONIVA01G48670.1 pep chromosome:AWHD00000000:1:40382335:40386992:1 gene:ONIVA01G48670 transcript:ONIVA01G48670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin chaperone subunit family protein [Source:Projected from Arabidopsis thaliana (AT1G03760) TAIR;Acc:AT1G03760] MAAAARKGTATPLGVVFSPEETRRAVARVAEAVADRRAELGRLQGFSADNAALVSLVQRLPDEVSHDIMVPFGGAAFFPGRLIHTNELLVLLGEGYYVERSAKQTTEILHRRGMELEAQVEAMKATISDLEAEAKFFESTAAEASEGLIEIREEYDEDTEINSSTLDASSSASGMPDKDREYTQIMARLDELEMEENDAGSTSEEDGEDDEDDEDDEEDAGPSEDDKEDEEEENILIDDNDHHNASLGASFSGSGGNDQSHESAQLKSALKKPGGREILKSASFAPSASTPHSVFPGQTSTINPGIQLPLKKAVSFQDDNRHTVGPSKSLPLPQGPKHSSPILQVSSDNPTSHDRKIMSSGQKAFTGSIIEHDDNISTLQPSKSAALQNPASSSSRPVSRFKMQKGGR >ONIVA01G48660.1 pep chromosome:AWHD00000000:1:40367370:40373998:-1 gene:ONIVA01G48660 transcript:ONIVA01G48660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRAGSPVYGRQRSGSSTGSSSPGGVSPSHHRSSSTSSAASAAAGLGGGVSNVRRTQNVAARAAAARLAQVMASQSAAAAAGRDDDDDDDDYANDHPPAPPPARFGSARPAAAHGSNGVSLLGRTARSPSPALGRNIVEPPPTVRSTSAGRPAVASRPTTTVVPPIKTSTTLRTPSPIPPVAVEPPVDRSRQKRFDTGHLNSRESTPKREASALQDELDILQEENESVLEKLRLAEERCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARESKDGKDGEVTTLKHELDCAKEEVVTAMEQLKEAETETKALRSMTQRMILTQEEMEEVVLKRCWLSRYWGLAVQYGEDDAQRRNKLVRDMSDVMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKFLEAFDLSHEEAEDVSFKQAWLIYFWRRAKTHGIEEDIAEERLQFWIGRNAVAPTSHDAIDVERGLTELRKLGIEQQLWEGSRADIDEDSSAIENH >ONIVA01G48650.1 pep chromosome:AWHD00000000:1:40357847:40360674:-1 gene:ONIVA01G48650 transcript:ONIVA01G48650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLGLLFSSLLFSERCEARRRARSGSEVAMDEAEAAAAAAKMDELAGGGGGGGGDWSYLAADALAAASFTAFPFHHHHHHHHRDVLSASTPSSLLLNMDAATAAAMFDFQAAFPSSSVPPPPPTTTAALPPFHDFASSNPFDDAPPPFLAPPGQKLGFLGPPGGAFGGGMGWDDDDEIEQSVDASSMGVSASLENAAPVAAGGGGGGGGGGGRGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESAPSSSLTGPSSASFHPSTPTLQTFPGRVKEELCPTSFPSPSGQQATVEVRMREGHAVNIHMFCARRPGILMSTLRALDSLGLGIEQAVISCFNGFAMDVFRAEQCRDGPGLGPEEIKTVLLHSAGLQNAMRTDEMRLAI >ONIVA01G48640.1 pep chromosome:AWHD00000000:1:40352177:40356392:-1 gene:ONIVA01G48640 transcript:ONIVA01G48640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRFAAAPLRLRLVSPAPPAIGGAGDGGRRGGGGAARIGVLGRARCRRRRGGGGRLEVAAAAADDSARCRAKGVGAAAAAAETLGGIGVGGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLIKDLHIKTVEELALPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEDAKRLEHASQVPRLNVLSLWI >ONIVA01G48640.2 pep chromosome:AWHD00000000:1:40352414:40356392:-1 gene:ONIVA01G48640 transcript:ONIVA01G48640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRFAAAPLRLRLVSPAPPAIGGAGDGGRRGGGGAARIGVLGRARCRRRRGGGGRLEVAAAAADDSARCRAKGVGAAAAAAETLGGIGVGGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLIKDLHIKTVEELALPRSLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDCVATSEVSISVSLDPSKIWSRELIQQASAPIYMQNQELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEVEEEALHMS >ONIVA01G48640.3 pep chromosome:AWHD00000000:1:40352414:40356392:-1 gene:ONIVA01G48640 transcript:ONIVA01G48640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRFAAAPLRLRLVSPAPPAIGGAGDGGRRGGGGAARIGVLGRARCRRRRGGGGRLEVAAAAADDSARCRAKGVGAAAAAAETLGGIGVGGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLIKDLHIKTVEELALPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDCVATSEVSISVSLDPSKIWSRELIQQASAPIYMQNQELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEVEEEALHMS >ONIVA01G48640.4 pep chromosome:AWHD00000000:1:40352414:40356392:-1 gene:ONIVA01G48640 transcript:ONIVA01G48640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRFAAAPLRLRLVSPAPPAIGGAGDGGRRGGGGAARIGVLGRARCRRRRGGGGRLEVAAAAADDSARCRAKGVGAAAAAAETLGGIGVGGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLIKDLHIKTVEELALPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEVEEEALHMS >ONIVA01G48640.5 pep chromosome:AWHD00000000:1:40352414:40356392:-1 gene:ONIVA01G48640 transcript:ONIVA01G48640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRFAAAPLRLRLVSPAPPAIGGAGDGGRRGGGGAARIGVLGRARCRRRRGGGGRLEVAAAAADDSARCRAKGVGAAAAAAETLGGIGVGGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLIKDLHIKTVEELALPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEVEEEALHMS >ONIVA01G48630.1 pep chromosome:AWHD00000000:1:40346671:40351608:1 gene:ONIVA01G48630 transcript:ONIVA01G48630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIQLPSPMFNFQASSVAHHWEISCFPLRGREVLCIDQDECCLIFDRDRRNIVTMPDLCHPKGCPISLFIDSTNVDDDDGDGTLFIMERVVKLESPRSSPSHSDQFEAVFYRTPSSVCSVSSTCKPLPPPPFVRDPKFSNTRTTINSYAVVSGGSEICILVEGAGTYCMDTWALPFYGKVEYVPELKLWFGLSDKTNHLAAADLSAMDDCFHRPELVRAWMELSPPKNWWDSCNSHLVSLGSGRFCIARFFYTRHFAGYYCDQIIDDPFVVLTGVDVVPDDASGDANGSMGEVQLRMIKYESKLASGAIERESNASILRCLYHRHASCMATASHVRHPTNICSGGGGGACVGGGSRIKILCSFASHIMPRPSDDALKCIGGETRVLAVPHSIPFSPRADLKKKVEEMFRTEVAAIKYQLVAEDLDVLVSITCDEDLTHMLDEYDRSEEKRSPSRRRGSASTSSPRGSVSTSSPPRGSACTMTTAPVPSPRRMWGASATTSSLLRSPLRVVEKDTSSAFLNLIMDNRFPVSKSLRCIDLTHHCNLFNATPPNFFNGSKSTIIRVENRIQLPSPIFNFAAGFGEDWRMDCLPFLDRRVICADQSGRCFLFEADRSRVVMLPRLHGPKQVPISILIPCPEEESGEFDGGNFYIMDKMSRAGVSGTNQFEAFVYCHCRGSRIMKSWTCQLLPPPPYVYDRTYLGRWLEIRSYVVVDYGSKICISVKGVGTYCMDRRSLTWSHLGKWMLSFIGKVEYVPEVKLWVGICSSTHELAAADLSSMDSQPQLVGTCKEFEPPEEWKQCRDPQLVNLGSGKFLRHKAPKGDSDDEFIGRNITVLTGVEVMQSACHGNGNGSSGEMELQMIPHRSRWYGGDTIGTVC >ONIVA01G48630.2 pep chromosome:AWHD00000000:1:40345486:40346662:1 gene:ONIVA01G48630 transcript:ONIVA01G48630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSITLSLLLHFRMRIRCNLHKLIALLESVSSKSTAGTATQNEKSGQQAQQSSNQKMLKAKSQLHSDKSTMAFSRRFLNLIVDNRFPRSKSRSRISSTDQIPKLSRLMPPQP >ONIVA01G48620.1 pep chromosome:AWHD00000000:1:40337432:40342466:1 gene:ONIVA01G48620 transcript:ONIVA01G48620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FY95] MPPAAMAPPPPPQGSSTGDPLYDELWHACAGPLVTVPRVGDLVFYFPQGHIEQVEASMNQVADSQMRLYDLPSKLLCRVLNVELKAEQDTDEVYAQVMLMPEPEQNEMAVEKTTPTSGPVQARPPVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHSMDWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNVPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESVKNNYSVGMRFRMRFEGEEAPEQRFTGTIIGSENLDPVWPESSWRSLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSRVKRPRPNAPPASPESPILTKEAATKVDTDPAQAQRSQNSTVLQGQEQMTLRSNLTESNDSDVTAHKPMMWSPSPNAAKAHPLTFQQRPPMDNWMQLGRRETDFKDVRSGTQSFGDSPGFFMQNFDEAPNRLTSFKNQFQDQGSARHFSDPYYYVSPQPSLTVESSTQMHTDSKELHFWNGQSTVYGNSRDQPQNFRFEQNSSSWLNQSFARPEQPRVIRPHASIAPVELEKTEGSGFKIFGFKVDTTNAPNNHLSSPMAATHEPMLQTPSSLNQLQPVQTDCIPEVSVSTAGTATENEKSGQQAQQSSKDVQSKTQVASTRSCTKVHKQGVALGRSVDLSKFSNYDELKAELDKMFEFDGELVSSNKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSNAPRKDDSSENEKGSVKRDDTRERFRAKIYHYCYCPSQLLPTL >ONIVA01G48620.2 pep chromosome:AWHD00000000:1:40337432:40343217:1 gene:ONIVA01G48620 transcript:ONIVA01G48620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FY95] MPPAAMAPPPPPQGSSTGDPLYDELWHACAGPLVTVPRVGDLVFYFPQGHIEQVEASMNQVADSQMRLYDLPSKLLCRVLNVELKAEQDTDEVYAQVMLMPEPEQNEMAVEKTTPTSGPVQARPPVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHSMDWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNVPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESVKNNYSVGMRFRMRFEGEEAPEQRFTGTIIGSENLDPVWPESSWRSLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSRVKRPRPNAPPASPESPILTKEAATKVDTDPAQAQRSQNSTVLQGQEQMTLRSNLTESNDSDVTAHKPMMWSPSPNAAKAHPLTFQQRPPMDNWMQLGRRETDFKDVRSGTQSFGDSPGFFMQNFDEAPNRLTSFKNQFQDQGSARHFSDPYYYVSPQPSLTVESSTQMHTDSKELHFWNGQSTVYGNSRDQPQNFRFEQNSSSWLNQSFARPEQPRVIRPHASIAPVELEKTEGSGFKIFGFKVDTTNAPNNHLSSPMAATHEPMLQTPSSLNQLQPVQTDCIPEVSVSTAGTATENEKSGQQAQQSSKDVQSKTQVASTRSCTKVHKQGVALGRSVDLSKFSNYDELKAELDKMFEFDGELVSSNKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSNAPRKDDSSENEKGHLPMPNKSDN >ONIVA01G48610.1 pep chromosome:AWHD00000000:1:40321325:40325840:1 gene:ONIVA01G48610 transcript:ONIVA01G48610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13290) TAIR;Acc:AT5G13290] MEMMASKNPTKTLLLLLLLVFFLSLACSQPLHSEPMSTTTTATQPAPPPPPPQSKIPHAEAGGAARLRRIVLGVLFGSLTGFLLSLAFLYAIRVAILHAKYSPAIVRGPVSFTPQISPKNLHSALPSAQPLAHGPNGKYYKLVLDNDVTVAVKRLEASRPEASPSSMPNVSKSDMRRVQRQLELLARVRHQNVMGLKAYVREADRLSLAYDFVPGGSLEDVMKRVRSLQVNLNWDARNRIAIGVAKGLRYLHFECTPRILHCSLKPSNVMLDEGFEPRLADCGVSRLIASGSADPELASSLYSAPECYQSSRYTDKSDVYSFGMILGVLLTGRDPTDHFFSGETGRGGLARWLRHMQQSGDAKDALDSSVLGEEGEEDEMVMAVRVAIICLSDLPADRPSSDELVPMLTQLHSL >ONIVA01G48610.2 pep chromosome:AWHD00000000:1:40321403:40325840:1 gene:ONIVA01G48610 transcript:ONIVA01G48610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13290) TAIR;Acc:AT5G13290] MEMMASKNPTKTLLLLLLLVFFLSLACSQPLHSEPMSTTTTATQPAPPPPPPQSKIPHAEAGGAARLRRIVLGVLFGSLTGFLLSLAFLYAIRVAILHAKYSPAIVRGPVSFTPQISPKNLHSALPSAQPLAHGPNGKYYKLVLDNDVTVAVKRLEASRPEASPSSMPNVSKSDMRRVQRQLELLARVRHQNVMGLKAYVREADRLSLAYDFVPGGSLEDVMKRVRSLQVNLNWDARNRIAIGVAKGLRYLHFECTPRILHCSLKPSNVMLDEGFEPRLADCGVSRLIASGSADPELASSLYSAPECYQSSRYTDKSDVYSFGMILGVLLTGRDPTDHFFSGETGRGGLARWLRHMQQSGDAKDALDSSVLGEEGEEDEMVMAVRVAIICLSDLPADRPSSDELVPMLTQLHSL >ONIVA01G48600.1 pep chromosome:AWHD00000000:1:40316999:40319281:-1 gene:ONIVA01G48600 transcript:ONIVA01G48600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDAEAAAGREAIAAKMRGEDYAGARTLLLETLQTNPRLDDAFEMLSVLEVLCAAAETRARRPGLGRGRGVDWYRILQVLPRDDAAKIDAQYRSIVRQVEPVRDDLPGAEAALRLVNDAYAVLSDPAKKVRYDSTVANVELWCEDILQTKGICAADRSTHDYPNAELGRLSSLEADCNAVAGISNNVPSYAQQTDRSCLDVGDCSNVASSSKTKRTDSCFLADDGFQLPDENHVDKKQKSVCEKDVHCVSLPHVDLDDRFTDPLDNREDELCSITQYDVHNFENDREIVNFAAGQIWAAYDWEKFPRRYARINKIVADKEHLYVSWFKPSPQSHDENRWFSASLPFVCGIFIADECKISVTCPTMLCHQISSDNWNHHLKVYPQEGEVWAIYSDWDIGWCNDPGMRKKSTFYVVEILNSYLKGSGCTVAQLVKVDGYRSVFQRHVRSGREQLLQVHIHNLLMFSHRIPSFRFTCDVGTVFELEHSAVPENLQYENTSASVAPLYPLQGLHDDSNGFHEAAATHLSNPSTSKMDLGNPQQGMMNYNNKLSPEHFVEGQIWAVYDAPDRMPRSYVRVIRVVSHTAVSVLKLEPHPMLNEEIHWVEDGLPVACGVFRAGSETACKEISEFSHPVECDWSAKRSFYRIFPKKGEIWAMYKNWKIAFSNADIDKCEPRMVEILSDYSDEIGVNVCRLIRVKGCLTFFQRVIVEDFHLTKLISRSEMLSFSHRVPAYVVIEIKDRDIPKGSWHLEPNALPLRNID >ONIVA01G48590.1 pep chromosome:AWHD00000000:1:40310671:40313695:-1 gene:ONIVA01G48590 transcript:ONIVA01G48590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGEEDDRASRCKRITRESDATKNGAATKLQALRLVEDLSLPSVQVVVMSANMGCSHCRQRVTKVVSKMNGLLDYMVDFGKKEVTVRGTMVHTKKKRKQHKKQHEENKKGIAANWEKKSSSQSNDSARTLAWLLRCYSS >ONIVA01G48580.1 pep chromosome:AWHD00000000:1:40295848:40307187:1 gene:ONIVA01G48580 transcript:ONIVA01G48580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9 homolog 4 [Source:Projected from Arabidopsis thaliana (AT5G13960) TAIR;Acc:AT5G13960] MRTSGGSVVINLSSQKARRRSAAISRAILLCSLARSSPHLLLLLPHCCAAAAAAAAFRIVAVDFAELQWMEVMDSVAVMEVSPVPKPPLEAALALRRSVRCSNRTRRPTYVEQEEPKESAGRRRGGKRKREEEKKEPVAQHAMKPVRMGDAASERKPSSEGKPMPAIAAEPVSCAGFARPAAEDDVLGNGKSAKLRVKETLRAFTSHYLHLVQEEQKRAQAVLQEGQKRPSKRPDLKAITKMQESNAVLYPEKIIGELPGVDVGDQFYSRAEMVVLGIHSHWLNGIDYMGMKYQGKEEYANLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQQLQRGNLALKNSKDNGNPIRVIRGHISKNSYTGKVYTYDGLYKMTGCRMEYKGTLVCDDISGGQENLPIPATNLVDDPPVPPTGFVYSKSLKIPKGIKIPSYCNGCDCEGDCANNKNCSCAQRNGSDLPYVSHKNIGRLVEPKAIVFECGANCSCNNNCVNRTSQKGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYTGVLRRTEEVDGLLQNNYIFDIDCLQTMKGLDGREKRAGSDMHLPSLHAENDSDPPAPEYCIDAGSIGNFARFINHSCEPNLFVQCVLSSHNDVKLAKVTLFAADTILPLQELSYDYGYVLDSVVGPDGNIVKLPCFCGAPYCRKRLY >ONIVA01G48580.2 pep chromosome:AWHD00000000:1:40306847:40308490:1 gene:ONIVA01G48580 transcript:ONIVA01G48580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9 homolog 4 [Source:Projected from Arabidopsis thaliana (AT5G13960) TAIR;Acc:AT5G13960] MSGAANATSPAAGAGTPRSRLPRWTRHETLVLLQARRAMEHRGRRSPQPVRLKWAAVSAYCRRHGVERGPMQCRKRWGNLSWDLKKIVAWEKNLAAVVSGAGDNAVAAGEGEGEAPPPPRLESFWDMRGEQRRARQLPSSFDREVYDALVGGHGAAPPSDFGEDLADGDGVDADELPPPPLMVMPISATVSAKRGGAASDKNSTSQHDGGGGGGLKDSEATYDAGVGGEEGTTTATATATTTSIGRQVIEALERGNRMLGDQLEAQRAAWDAEREQRVALLAAVDKLAGAVCRIADKL >ONIVA01G48570.1 pep chromosome:AWHD00000000:1:40286390:40290565:1 gene:ONIVA01G48570 transcript:ONIVA01G48570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRGGDAGEGEWLKVAELRATVEAQDPHAKEVDNLTLRRFLRARDHNVEKASAMLLKALRWRREAVPGGSVPEEKVQSDLDDDKVYMGGADRTGRPILLAFPAKHFSAKRDMPKFKSYCVYLLDSICARIPRGQEKFVCIVDLKGWGYSNCDIRAYIAAIEIMQNYYPERLGKALMIHVPYMFMKAWKMIYPFIDNVTRDKFVFVDDKSLQEVLHQEIDDSQIPDTLGGKLAPVSLKNNARINLD >ONIVA01G48560.1 pep chromosome:AWHD00000000:1:40268899:40278238:-1 gene:ONIVA01G48560 transcript:ONIVA01G48560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWRKKEGSLGKPPLTFSTHQTLLGRTGRGLERRGDTGAGKRPVGIKKMRRWVLAIAILAAAVCFFLGAQAQEVRQGHQTERISGSAGDVLEDDPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGENVFLKPGERALNWTAGPLALADSSSFGSDTPQPSFQPPATAIIILASSPPSSPLPVFLSFLLLLLDWPAASSILLGGFVEVGGWKGGEVGGAMAMRLSSAAVALALLLAATALEDVARGQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMDVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLGRKLPHPKSVYLDPGQKVLNWTQGPVGDLKPW >ONIVA01G48560.2 pep chromosome:AWHD00000000:1:40268899:40278010:-1 gene:ONIVA01G48560 transcript:ONIVA01G48560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVLAIAILAAAVCFFLGAQAQEVRQGHQTERISGSAGDVLEDDPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGENVFLKPGERALNWTAGPLALADSSSFGSDTPQPSFQPPATAIIILASSPPSSPLPVFLSFLLLLLDWPAASSILLGGFVEVGGWKGGEVGGAMAMRLSSAAVALALLLAATALEDVARGQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMDVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLGRKLPHPKSVYLDPGQKVLNWTQGPVGDLKPW >ONIVA01G48550.1 pep chromosome:AWHD00000000:1:40257857:40261177:-1 gene:ONIVA01G48550 transcript:ONIVA01G48550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRPCAGVASAVAAAVAVLLLAVSCFAAAATTTQKHGRMSGKGGDVLEDDPTGKLKVFVYEMPRKYNLNLLAKDSRCLQHMFAAEIFMHQFLLSSPVRTLDPEEADWFYTPAYTTCDLTPQGFPLPFRAPRIMRSAVRYVAATWPYWNRTDGADHFFLAPHDFGACFHYQEERAIERGILPVLRRATLVQTFGQRHHPCLQPGSITVPPYADPRKMEAHRISPATPRSIFVYFRGLFYDMGNDPEGGYYARGARASVWENFKDNPLFDISTEHPATYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWGEISVFVAEEDVPRLDTILASVPLDEVIRKQRLLASPAMKQAVLFHQPARPGDAFHQILNGLARKLPHPKGVFLEPGEKGIDWDQGLENDLKPW >ONIVA01G48540.1 pep chromosome:AWHD00000000:1:40252782:40255277:-1 gene:ONIVA01G48540 transcript:ONIVA01G48540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT5G13420) TAIR;Acc:AT5G13420] MTGAVSKLAAPRPAAAAPLPPASVRSAFLASAPSPRRFSVSIAAGRARSPIIAMASAKEGNGAPTKRTTLHDLYDLQGQSPWYDNLCRPVTDLLPLIGSGVRGVTSNPSIFQKAISTSNAYDDQFKQLILAGKDAESAYWELVIKDIQDACKLFEPIYDQTDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVDRPNVYIKIPATAECVPSIKEVIANGISVNVTLIFSIARYEAVIDAYIDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVDPLIGPDTVSTMPDQALLAFIDHGTVSRTIDANVSDAEGVYSALEKLGIDWDEVGKQLELEGVDSFKKAFDSLLGSLEEKGNSLKKTVSL >ONIVA01G48540.2 pep chromosome:AWHD00000000:1:40252782:40254392:-1 gene:ONIVA01G48540 transcript:ONIVA01G48540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT5G13420) TAIR;Acc:AT5G13420] MASAKEGNGAPTKRTTLHDLYDLQGQSPWYDNLCRPVTDLLPLIGSGVRGVTSNPSIFQKAISTSNAYDDQFKQLILAGKDAESAYWELVIKDIQDACKLFEPIYDQTDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVDRPNVYIKIPATAECVPSIKEVIANGISVNVTLIFSIARYEAVIDAYIDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVDPLIGPDTVSTMPDQALLAFIDHGTVSRTIDANVSDAEGVYSALEKLGIDWDEVGKQLELEGVDSFKKAFDSLLGSLEEKGNSLKKTVSL >ONIVA01G48530.1 pep chromosome:AWHD00000000:1:40249415:40255201:1 gene:ONIVA01G48530 transcript:ONIVA01G48530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASEPWEDFAVASALTISRSTLIQITLSIGKYTATFQRLESRPSNPAQGAAPLASTNPSTNITDNSLSETYHLVSRPPPYDTDPRYARVQREGLVSRREKSINLTQEESLALRRNGSSSGIEHLAAQKKWSSTEPEGEYKVHRSESTKSLSAKSYNSSFAVVTSEDEDVCPTCLEEYTPDNPKIIAQCSHHYHLSCIYEWMERSDTCPICGKEMEFCETP >ONIVA01G48530.2 pep chromosome:AWHD00000000:1:40250575:40255201:1 gene:ONIVA01G48530 transcript:ONIVA01G48530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISAICSEPWEDFAVASALTISRSTLIQITLSIGKYTATFQRLESRPSNPAQGAAPLASTNPSTNITDNSLSETYHLVSRPPPYDTDPRYARVQREGLVSRREKSINLTQEESLALRRNGSSSGIEHLAAQKKWSSTEPEGEYKVHRSESTKSLSAKSYNSSFAVVTSEDEDVCPTCLEEYTPDNPKIIAQCSHHYHLSCIYEWMERSDTCPICGKEMEFCETP >ONIVA01G48520.1 pep chromosome:AWHD00000000:1:40243197:40245119:1 gene:ONIVA01G48520 transcript:ONIVA01G48520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDGSPAAAAQPDQPAVADAAAVPSPPPTTTAEVKPLTIFYTGSVATFHLTQDKAEDIMNMAAGEEEEDGGGGGRRQLTAAAAISASSSGDQLLEKLKRELPIANKRSLVRFFQKRKERLYRP >ONIVA01G48510.1 pep chromosome:AWHD00000000:1:40238541:40241268:-1 gene:ONIVA01G48510 transcript:ONIVA01G48510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 27 [Source:Projected from Arabidopsis thaliana (AT5G50870) TAIR;Acc:AT5G50870] MVDVSRVQKELTECNRDREVSGVSIALHDGSTISHLTGTIAGPQGTPYEGGTFVIDIRLPGGYPFEPPKMQFITKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSAPAPDDPQDAVVAQQYLRDYSTFSATARYWTEAFAKSSSTGMEEKVQKLVEMGFPEDMVRSVLKSVNGDENMALEKLCSG >ONIVA01G48500.1 pep chromosome:AWHD00000000:1:40228057:40233510:-1 gene:ONIVA01G48500 transcript:ONIVA01G48500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50860) TAIR;Acc:AT5G50860] MGCILGKLAAAPGSSLFFPAAAAASGAGGGGGAGEVQLAAPQPEKIAAVQKDESGWPLWLSSAAGDALAGWAPRSADAFHKLEKRKDSNVQIGSGTYSNVYKAKEVESGRVVALKKVRVDGVGEAESARFMAREIALLRRLGDHPNIVRLCGLVTSRLATAPSLYLVFDYMDHDLTGLAAAALAADQRFSLPQHAYGNVKCYMKQLLTGIEHCHNKGVLHRDIKSSNLLVSNDGILKIADFGLATSFDPDNKEQPMTSQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPGRTEVPTCLILLKHQVLHKYFRELHDLEMKLLVIQVEQLHKIFKLCGSPSDDYWEKMKFPHASFRTYERCIAEKFKDVAPSALSLLETLLSIDPDMRGTATDALNSEFFRTEPYACEPSSLPRYPPCKEIDVKLKYEKHKRKLRANGSVERQTTARKPMSQNPGRRVFTPDVNNKPQAKPNIPRLVTSTSTTKLERFPPPHLDASIGFSLDSSADVSTEQFFTSSVVELKKMPSLIFGHMKSYLNSPKKNTHKAKPGLSMPPSAVLIGAFKPYSLGHPMEVRRKNRDQFRTKGRNSVGAVK >ONIVA01G48490.1 pep chromosome:AWHD00000000:1:40226176:40229768:1 gene:ONIVA01G48490 transcript:ONIVA01G48490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASATDSAERRRPGRSPGHDGEQQQQQDGRRRGCKVAPEPKEEDGAAAAAFLASMPGSPSFRYYCQKSATVDAIVADADDDGDSYGDECVRITETPQPIKNNGHGSSELSKSAPEASRWVRFRGLALAAWCSLFSRHSRRSAASAPSHPPPPPPPPPPAKSHQRFDAAAPAERSVGTSVLPGNIGSPRSNSASMQPTLQRSTPTPYHGLLLVIRIKRSGEPKISYLEDSIVTDKEVGTLDVSVQNTLVVAVFNPGQ >ONIVA01G48480.1 pep chromosome:AWHD00000000:1:40216317:40218176:1 gene:ONIVA01G48480 transcript:ONIVA01G48480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAASGGGGGCRMLPQAGLPIGFRFRPTDEELLLHYLRRKVMSRPLPADVIPVADLARLHPWDLPGEGDGERYFFHLPATSCWRRGGGGSRAGGGGGAWRASGKEKLVVAPRCGKRPVGAKRTLVFFRRGGARTDWAMHEYRLLPADDHPPEANDVWVVCRVFKKTTTLAHRRSPPSIRGAPRRRAAAADDDDMPSSPSSCVTDGGDAGEEGEESSSCSVVASNCP >ONIVA01G48470.1 pep chromosome:AWHD00000000:1:40210831:40213294:-1 gene:ONIVA01G48470 transcript:ONIVA01G48470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FY75] MNGASSGGGGGGAGGDGPLVYQRWKGNNVFVLQGRFIFGPDARSLYVTMFLIIAPAAIFCVFVAKELMNNFSYGLGLPVMIAAVVFTAYDLSLLLLTSGRDPGIIPRNAHPPEPEGLDGNAEVGSNQTPPMRLPRVKDVVVNGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRNSEQVTIWKAMAKTPASIALLVYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKEIFFSAIPASKNNFRARVPVPQEQGLRPRPTNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAAEIGDLGAGLGNLLEDKDSRFRNASPDLSRDALAIGGLDDQGSSVMNPGRTSWGVEAGR >ONIVA01G48460.1 pep chromosome:AWHD00000000:1:40207678:40210395:1 gene:ONIVA01G48460 transcript:ONIVA01G48460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta(3,5),delta(2,4)-dienoyl-CoA isomerase 1 [Source:Projected from Arabidopsis thaliana (AT5G43280) TAIR;Acc:AT5G43280] MAGGGDGDGDRGSPEAELRRGFKTLAVTRPDPSAAVYEVRLNRPARLNALSPDAFAEIPRAMALLDRAPAARAVVLSAAGPHFCAGIELGSPGSPATAPSGDPAPAAEGLRRAILKMQAALTAIERCRKPVVAAVHGACVGGGVEVVAACDIRCCSKDATFVLREVDMAIVADLGALQRLPRIVGYGNAADLALTGRKITAMEAKEMGLVTRVFNSKQELDAGVAKIAKEISEKSAWAVMGTKAVLLRSRDITVEQGLEHVATWNAAMLRSYDLEEAIKAFLEKRKPVFSKLNALQHHKVPTASCK >ONIVA01G48460.2 pep chromosome:AWHD00000000:1:40207678:40210263:1 gene:ONIVA01G48460 transcript:ONIVA01G48460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta(3,5),delta(2,4)-dienoyl-CoA isomerase 1 [Source:Projected from Arabidopsis thaliana (AT5G43280) TAIR;Acc:AT5G43280] MAGGGDGDGDRGSPEAELRRGFKTLAVTRPDPSAAVYEVRLNRPARLNALSPDAFAEIPRAMALLDRAPAARAVVLSAAGPHFCAGIELGSPGSPATAPSGDPAPAAEGLRRAILKMQAALTAIERCRKPVVAAVHGACVGGGVEVVAACDIRCCSKDATFVLREVDMAIVADLGALQRLPRIVGYGNAADLALTGRKITAMEAKEMGLVTRVFNSKQELDAGVAKIAKEISEKSAWAVMGTKAVLLRSRDITVEQGLEHVATWNAAMLRSYDLEEAIKAFLEKRKPVFSKL >ONIVA01G48450.1 pep chromosome:AWHD00000000:1:40200432:40205734:-1 gene:ONIVA01G48450 transcript:ONIVA01G48450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITTVLAKVAELVAWEAAVLLEVGDDVRLLRDKLEWLHTFIRDADRRRRRRDDEFVAVWVRQTRDVAFEAEDALDDFLHRAARRHRQATPLAAVLPSCAAAAWPPRCAGQVALRHGLSGRIRQIRKRLDEISANRAAYNIEHTPSPAWATHPSAAAATALAAWDDLEEYTVGFDKYSNMLKEQLIDDAVTGRAIVSIVGESSIGKTTLGRKVYQSPEVRNHFEIRTWTVLPPKCRPADVLRDIYRQASSQLRRSPSSQQSVDDGCGGDAAVTACRPSGKDVGNMLFRNLTGRRYLVVVDGSIAASDWNSLRASLPDEGNGSRVVLITDMAGLEVVAYAAGPMHSRIELERLSPENTYEVFRRRVFGHGGDCPRQHRSRYYQKIFQITRGLPLSIVVLAGVLRFKELPAEWDEVMSQLVTAREPSSKNGNSRRIMSLAFDDLPHHLKSCFLYFAAMRESATVDAQRLVRLWVAEGFVRPRRGSTMEEVGQGYLKELISRCMVQLVDKDEFGVVQTVVVHDRLHAFAQDEAQEASFIESHDSTDVLAPATVRRLAVQNSSERYVHLSNALPKLRSVVCDLVDGRNGGGGGKCIQCTDLGFLHASKFLRVIDIHGLELKKLPNEIGSMIHIRYLGLQCGQLEKLPPSVSNLVNLQSLILKGRNAGHVLDVTAAFWRIATLRHVVAPFALPKVLGDLHSLQTLHGVQHLCWDTRRGGGGGNPLGTSTNLRSLELSGLHAKHAAALTAALESLDMLVHLMLDGESLPSTVFTIPSLRRLQSLKLRGSMDSPEGPGGGHDDDEATADDGVVRYIRPNLTRLSMWSTMVGQKFVDMLGELPSLAELTLMVAAFDGERLEFRDGRFRSLQKLKLGLPELEEWTVRAGAMASLARLTLFGCLKMRMLPEALAGIPELEEVVLYRMPIMVERIKKHGGEDHHKVKHVPVIQTIW >ONIVA01G48440.1 pep chromosome:AWHD00000000:1:40185204:40199764:-1 gene:ONIVA01G48440 transcript:ONIVA01G48440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETEPFLTSGIWGILNAQARLHNMNAGFSPQILAQKLLKLNNSRQTPRERRVSLLYLANDIVQNSKKDSGRYVNEFWRVIPAALNDVFVNGDDFGRNVVQRLVDIWEERNIFGSHGQSLKEDYSRRFKEFKSKSRNSSGELLEKVISCYKHMLNAHVDDDTLMRKCQNALSFVDNLSNEYENNSILDCSNGSGFVEELQEQHNVLRDSIEQFKTSELLRGNLISCLKEALHEQEFKMERARSQIKEVQSRYKKADDLCQKLGIHENGGEHEIPNGVFSSRATKDNIEQKLEEHSTNKRQKLQNDVYVSRPQSPPPPLPSDAFEQPPPPPEHPPPPESTSPPPPPTSDPPPVPPPPPTTGSFMPIPSAPFAGLPVPAGPMTAVPYNSYPVFPPMNYPMVNIPPPFPSAPNTPPGFQGLAVATN >ONIVA01G48440.2 pep chromosome:AWHD00000000:1:40185204:40199764:-1 gene:ONIVA01G48440 transcript:ONIVA01G48440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLETEPFLTSGIWGILNAQARLHNMNAGFSPQILAQKLLKLNNSRQTPRERRVSLLYLANDIVQNSKKDSGRYVNEFWRVIPAALNDVFVNGDDFGRNVVQRLVDIWEERNIFGSHGQSLKEDYSRRFKEFKSKSRNSSGELLEKVISCYKHMLNAHVDDDTLMRKCQNALSFVDNLSNEYENNSILDCSNGSGFVEELQEQHNVLRDSIEQFKTSELLRGNLISCLKEALHEQEFKMERARSQIKEVQSRYKKADDLCQKLGIHVERQEQPNHGPKNSGSEMHGNFGPGPDSANASSFEKGQSSAVMYSQENGGEHEIPNGVFSSRATKDNIEQKLEEHSTNKRQKLQNDVYVSRPQSPPPPLPSDAFEQPPPPPEHPPPPESTSPPPPPTSDPPPVPPPPPTTGSFMPIPSAPFAGLPVPAGPMTAVPYNSYPVFPPMNYPMVNIPPPFPSAPNTPPGFQGLAVATN >ONIVA01G48430.1 pep chromosome:AWHD00000000:1:40174552:40175082:-1 gene:ONIVA01G48430 transcript:ONIVA01G48430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAARSSTKGVRRTKSLISRTLERCKSGLSSGGGGRSAAAPVAGCFSVYVGPERERFVVRTEYANHPLFRRLLDDAEREYGYAAQGPLALPCAVDAFLDVLWQMERDGCGGGGDDDDDLSIGGGGAASPICGLPSCGSKGRAAAAGYRMLSPARMTSILSRSSPMATPSRKR >ONIVA01G48420.1 pep chromosome:AWHD00000000:1:40104548:40113989:1 gene:ONIVA01G48420 transcript:ONIVA01G48420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAKPDSPPAGVDPPPPKEEAKAEKEGEGEEPQSGGRKRGRRKKGEAEKEKEKPPPATPTIERPSRERKTVERYSELAPRVTPAKKSPAILQGSGSKLKDIPNIQFKLSKRKADENLQSLHVLMYGRKSNVHFLKRNISQFSGFVWTDNQEKQRTRIKEKLDKFNKEKLLDFCEILDIHVSRAATKKEEVSAKLLEFLESPCITRDVVLTDDKKGKKRGRRSKGNGQATAEGASDGKDLCNYSLLWKTVGHGGFGKEYVGVGGDNESGLRTVVCVKRRKSRKQSTEAAKENDDEDDEGPAGSEDASMGEEDDEDSEAKDNAGSDEEPDEPPAKKKATDDKQTKKAKEKDATAKKASTRPAKGVSKPSQDTEEDDEPEVELESKKVVKKVSKSSKESDVTVDKTTKKASKSKKDEGKDGQNNNSGALNNKARKKDAAKTTNKNKGKGKGSTEAGAAPTTEELHAVVSDILKEVDFNTATLADILRQLGTHFKMDLMDRKSEVKHIIEEVINSMSDDEEGEEDNAEDDKDKNAKEENSKEDADGDENEFGIFGSCVNSHLPTLPGCSGGFVEEMISVISGTNMGSGAKGHLYRGAVLQFMGQEAAAAAAVELGVKRGVPTLVAPAAETKGGLYYLSNLDQNIAVIVQTVYCFAAAAGGGGGAAAGDALRESLSRVLVHYYPLAGRLALTDDGKLIVDCTGEGAVFVDAVADAAMADLGDITRPDPAVLGELVYSVPGAKNVTKFKCGGFVLGLAINHCMFDGVGAMQFVNSWGETARGVPLSVPPALDRAVLRARDPPRVAFPHQSIARVKALAAGDGGGVGGVGGRAPTTFEALAGFVWSARTAALGMGRARRSKLLFAVDGRPRFTAPPLPAGYFGNAIVLTSAACAAGELSPARAVRLVRGAAEAVTDAYMRSAVDYFEATRARPSLASTLLITAWSRLPFRAADFGWGPPAAYGPAALPEREVALFLSCAGEGGGVRVLLGLPAAAMAEFERLVDEVIF >ONIVA01G48410.1 pep chromosome:AWHD00000000:1:40099741:40101677:-1 gene:ONIVA01G48410 transcript:ONIVA01G48410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPASSSTPSQAEAYAVTSRPTDPAPKRGAKAPVPARKKAAAAAVNPLFEKRPKQFGIGGALPPRKDLHRFVRWPKAVRIQRQRRVLKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLDHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKSNFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >ONIVA01G48400.1 pep chromosome:AWHD00000000:1:40097134:40099111:1 gene:ONIVA01G48400 transcript:ONIVA01G48400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKPPTAGVRVFGGLRYAPPDGMVVDNPLLAALIRAVYTLYMATTVLLYIFGMVTALKEYELLVPLSIIVVMQPFFILMWIAAPFLRTVAIVKYAMGLPDGNNVNRIRTGRMSALA >ONIVA01G48390.1 pep chromosome:AWHD00000000:1:40093532:40096064:1 gene:ONIVA01G48390 transcript:ONIVA01G48390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGAVRCVGSGEGEEKDDEIEGSREGREGGRGGCEGIKSPDYQAWELGKLSQKRRFGSQGNEEKYTQRSILVFPRCHRRRQRQRRRRRPNGLRLALARAIFRCA >ONIVA01G48380.1 pep chromosome:AWHD00000000:1:40087227:40093230:-1 gene:ONIVA01G48380 transcript:ONIVA01G48380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKMDRFAALGKDVLSLGIDDDRAAAAAIGFVDESKDQQHLDNSIPLSPQWLYAKPTDAKILGHGSLLDPSEKEVRMPEGAADKKERRRNVFDADSSLRWLEEERETSLPGRRERKKEVDRDMESRKNDRRSDNVSVRDGGDSRAPPSERWNDGSTRGSGNEGRRDGKWSSRWGPDDKEKDSRSEKKLDAEKDESHAEKQTFTGRLLPETDSRDKWRPRHRQESHSAGTATYRAAPGFGLEKGRAKESNVGFSAGRGRANPNSIPSFTRPSSAGPIGAPATHGKCASSAVTFRYPRGKLLDIYRQQKAMPSFDDVHCKLEEVPSVVLSSPVKPLAFVAPDTDEEAVREDIMKGKVISSEVANTTGMQRDRKKELEGLASGIDGKKDTSSVAFSGLGQEESSTSISEKDAFYDGGVISAGITFPSKDLTTEQNEFGLSGIREDAKINEVNSSADLDLGVKLPNDSSSLFLESPFEHIQQPPVLYQNNDMDTKASGQASYPEDLTLFYLDPQGGMQGPFLGADIISWYEDGYFGLELPVRLANSPDDSPFRPLFEVMPHLGQKPQPLPPVSHGETSESPDSVHNSFDDKVPASGSFGKNDQTSKRDSESYVLDLKRGEQEAAVQSQHMSWLPSHETEKTTSNVDIRQQHIPESVSLDAEEILYTARPNSSTGQSLRDLENDRSDFQLASRDHRAAMGEANLPQHDVPRESELSPLGLLWSELEGMHPKQPLSSNVLGVNERRNPKPAAPKDIPPVSMRHGQLGRMNEAPVVRDEWPANFGRLDNVTDTNISGRLPQLEPDLNHLNMEEQMLLQQIRREQRQQEQLMARNNLEFPGPFPGQVFDSLHQHRQSMNQPVPEVEHLLRVQFELEQQQRRQQLQQEQHQRQLQQRQAQQLLQQQQQQQQQQQLILEQMLQQQLQASNFGPTNMVDQVLLREHVLNDLHHQPHHLQRQHDAAIEQLIQAKFGQGIHREHHNDLMDVLSRSNQRQMLPLEQQILLGLHHDQLQSQQLANALRQHAGREEERHLSGGWPMDESGHFIRSGTSPNQGHASRLGHFDLLQSLQRSSSVEQHEHLERSLSLHERLHRGGQGIHSLERSGSLPGGAPLPNLDVVNSLARHHGIGQLETHGDMYSLGQMPMVPSGVHPQQHRLQEQLSGNLARLDRHWSDANGQLQNTLMEPSRISQMQMEAQKQRRNVEMNLAVDNSHAWASLRNNERSTEQDLSDLFHQKLVQSQQSRGYPDVPVPASFGRKDPSSLFAQPAADNPLRSPADRLSFDDPLAERSLFAKAGQAGQEGAANLESLTSSIENSGKLGLRSSSGSMLDMQRGDFSGIMGGGASGNQLVGLANEVPRGKRQGSSASLVGDDTNFAEEAGSNWPEATMPKGGTHSLLKRPPSQHGSATQAVSTDISSTIRLKKAGHASSDDHKLESGGVTSAAQPVDIPVSTNKEAGSFISPSGTSVDGPSFREMVKSTKKPALQQYDASESADGGPGGKGAKKKTKKGKQIDPSLLGFKVHSNRIMMGEIHRLDD >ONIVA01G48370.1 pep chromosome:AWHD00000000:1:40081093:40082058:1 gene:ONIVA01G48370 transcript:ONIVA01G48370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFPPPPPLNKTEPTTTTTNQQHHHDEQQQQHRLQIQVHPQQQEQQDGGGGGGKDQQQQQQMQVVVAAAAGERRMQGLGPKRSSNKDRHTKVDGRGRRIRMPALCAAWIFQLTRELGHKSDGETVQWLLQQAEPAIVAATGTGTIPASALASVAPSLPSPNSALSRSHHHHHHMWAAAPPTASAGFAGAGFSGADSGVIGGIMQRMGIPAGIELQGGGAGGLGGGGGGGGGHIGFAPMFAGHAAAAAAMPGLELGLSQDGHIGVLAAQSLSQFYHQVGAAGQLQHQHQHQHHHQQQQQQQQEDGEDDRDDGESDEESGQ >ONIVA01G48360.1 pep chromosome:AWHD00000000:1:40066951:40071265:-1 gene:ONIVA01G48360 transcript:ONIVA01G48360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAISWVPRGAAKLVPVEAEPPTQEEIDEAIKAIAQHTEGGSDADEDADDGEENGNMEVDAAADEEEEEVDEVAQAKAAAKALAKGAVDDVADELKELNMDNYDEEEEGLEIFSSGQGDLYYASNDLDPYLKNNDEDDDDEEIEDMTIKPTDLMVNLLEETEDGDLNMFVHHEVPLADFPLCTAWMDFNLKGGDKGNFVAVGTMDPAIEIWDLDIVDEVQPHMVLGGHSKKKKKVKGKKAKKYKKGSHRSSVLGLAWNKEVRNVLASASADKTVKIWDVSVGKCAVTLEHHDDKVQSVAWSRQSPEVLLSGSFDKSVAMNDMKDGGQSCNKWSVEADVESLAWDPHNEHSFMVSLENGMVQAFDKRTASSNSNSGRPTFTLHAHEKAVSSISFSPSTPNFLATGSTDKMVKLWDLSNNQPSCIASLNPKLGAIFSVSFSNDSPFLLASGGSKGKLKVWNTLTEPAVANKFGK >ONIVA01G48350.1 pep chromosome:AWHD00000000:1:40063823:40064389:1 gene:ONIVA01G48350 transcript:ONIVA01G48350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISIEKIRAMSKCSNCKRQHPMILPALAISMVATFVLLLLVTSPVWFPRLCSLMAFFFLTTLPDLAMAFLLSPKCLFVVGNLIVAFLVGESRLAPRGEPASSSVNEIHDEHVKRNAATSAKAVAAVVAIDQNAHVGELGEEEEEEEEEEEEEEGEEEELHQRVEDFIARVKKQRRMEDKSMFDTDR >ONIVA01G48340.1 pep chromosome:AWHD00000000:1:40056458:40060837:1 gene:ONIVA01G48340 transcript:ONIVA01G48340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein large subunit 27 [Source:Projected from Arabidopsis thaliana (AT5G40950) TAIR;Acc:AT5G40950] MGDPDPKKKSPRLPLHSHVITSPLCSHTLSSLSLLLATPPLLESAMASMAFTLVGAFKGMSLSSPCHSSSSASFLRADRVSLSVGGGVGMGVPMTMPVRRLTIQMAHKKGAGSTKNGRDSPGQRLGVKIYGDQVAKPGAIIIRQRGTRVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKQPENPNSYRARKREYFRMQRERKKARAEGIVEVQLVLAAADESPEVNADC >ONIVA01G48340.2 pep chromosome:AWHD00000000:1:40056458:40063123:1 gene:ONIVA01G48340 transcript:ONIVA01G48340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein large subunit 27 [Source:Projected from Arabidopsis thaliana (AT5G40950) TAIR;Acc:AT5G40950] MGDPDPKKKSPRLPLHSHVITSPLCSHTLSSLSLLLATPPLLESAMASMAFTLVGAFKGMSLSSPCHSSSSASFLRADRVSLSVGGGVGMGVPMTMPVRRLTIQMAHKKGAGSTKNGRDSPGQRLGVKIYGDQVAKPGAIIIRQRGTRVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKQPENPNSYRARKREYFRMQRERKKARAEGIVEVQLVLAAADESPETSYKPSTT >ONIVA01G48330.1 pep chromosome:AWHD00000000:1:40047097:40049982:-1 gene:ONIVA01G48330 transcript:ONIVA01G48330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G27340) TAIR;Acc:AT1G27340] MGEVAALRQLVGEGRKDQFEETVAWYLLDFEHGSIKDDHCRARTGYNSELLKIMEANQSPPRKRSRRDKNREKAPNSNSTEEMQQEIWSEFPGDLFETVVARLPVAAIFRFRTVCRNWYSMLGSESFSQQYSEVPQRLPWFYTITHENASNNVAMYDPSLKKWHHPSVPLAPAKIVIPVASAGGLVCLLDLSHRNFYICNPLTQSLKEIPRRSVQAWSRVAVGMVMNGGTSNEGYKVMWLGNDGNYEVYDSMKNMWSCPGTFPPSIKLPLALNFRSQPVAVGSMLYFMCAEPEGVLSYDVSTGIWRQFVIPLPLHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLILSLKAKRMNRLVTYNLLNKEWQKVPDCMLPCSRKKQWIACGTAFGPCPSALA >ONIVA01G48320.1 pep chromosome:AWHD00000000:1:40041964:40046160:1 gene:ONIVA01G48320 transcript:ONIVA01G48320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDDAIRSKEIAERKFNENDIAGAKRFALKAKTLFDSLEGIDNMISALDIHIRAQTKIEGENDLYGILDISASDDDEKIKKQYRKLALQTHPDKNKFSGAESAFKLIQDAWDVLSDKDKKRSYDQKRFGGSSRVYQNGFAENANATPGSTMSSMNGFFWQNSGRHPSYATDTFWTYCDSCQMSFQYSREYANRNLACSFCQTEFVAVETPPPTAPVYYNVTNLMDTSSNMDDPQGTGVPYSSNKIFDPVLQPVFGSVGGAHASRYPVQQTCKPARKEEVAEVNVARREEATKRKHEQASSSLGSSSSAAKVIHRRKAVTKEMEAEKRRCINNKSKVSGQKNNTNKVVGKSTSSAADGDSGQQMHPAKRKSASSIGTSGTKRRKMPSDHNSGNARTSFGKVFLQLETEIPGLKMEKMKLQIRDKLEEFKSRRANVENKGNVHVSLEKKKTWKWKKPATLFVYTRRNRKEHRKEPGVDAIGAGSSHKHLDGKYSCLDQVPSSDEGSCVMPVPEADFYTFGDHPETSFQNGQIWAAYDEEDGMPRYYALIQKVLSRHPFKVRLAFLKAKDCSEFVTSNWISYGYSKTCGDFIVGTPKNTDQLNTFSHVVTWEKGPGGIIRIFPRKGDIWALYQNWSPEWNTCTPDDTIYKYDLVQVLDSYNPSAGISVMPIVKVPGFVSVFTPLLDPTKSRTIPKEEMLRFSHQVPFHVLTGEEAKNSPKGCYELDPGSTPKELLQVVPQSDGV >ONIVA01G48310.1 pep chromosome:AWHD00000000:1:40008542:40013586:-1 gene:ONIVA01G48310 transcript:ONIVA01G48310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G27320) TAIR;Acc:AT1G27320] MDEMSCGGGGGGARWKRARVAGMGEGKAGGGGGAAFLGLERVGMVVRMLPVPEKVSARARVVRGSLVAHFRGWRVVRETWWWVLLLWILAGSLGSFYLFLFMNAQSLDKRRDSLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKTPSAIDQMTFARYAERTAFERPLTSGVAYAVRIREPAEEYAPVIFAQDAYKHVISFDMLSGNEDRDNILRARKSGKGVLTAPFKLLNNRLGVILTYTVYKYELPAYARPHERIQAAIGYLGGIFDIQALVEKLLKQLASQESIMVNVYDTTNESPISMYGDDTGSGMCHVSVLNFGDPSRKHEMHCRFEKKPPWPWLAITSSFGTLVIALLTGHIFQATVHRIAKVEDDFHKMSELKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELETVPFDLRTVCDDILSLFCGKAQEKGLELAVYVSDQVPQILIGDPGRIRQIITNLVGNSIKFTERGHIYLTVHVVEEVMSCLEVETGIQNTNTLSGYPVANRRRSWESIRLFNRELHSSEKSFAPIASDSISLVISVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPHVGSTFTFTAVLMRAHCKGNDIKSSEFKGINALVVDHRPVRAKVTKYHLQRLGVKTELTAELNQFISKLNSGSLTAKLVLIDKETWLKESHCTPLLVNKLRNNDKPDSPKLFLLGSSASSPKGGSDTSREHNLNVIMKPLRASMLQVSLRRALGGVDKVHCRNGVVGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKAITLLKPPHNFDACFMDIQMPEMDGFEATRRIRVMERDLNERIERGEAPPECASIQRWRTPILAMTADVIQATHEECLKSEMDGYVSKPFEGEQLYSEVARNEAQKVSRWNRPCSDL >ONIVA01G48310.2 pep chromosome:AWHD00000000:1:40008542:40013586:-1 gene:ONIVA01G48310 transcript:ONIVA01G48310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G27320) TAIR;Acc:AT1G27320] MDEMSCGGGGGGARWKRARVAGMGEGKAGGGGGAAFLGLERVGMVVRMLPVPEKVSARARVVRGSLVAHFRGWRVVRETWWWVLLLWILAGSLGSFYLFLFMNAQSLDKRRDSLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKTPSAIDQMTFARYAERTAFERPLTSGVAYAVRVTHGEREQFERQQGWAIKKMYSSSNKKQSSPGPGPGDAAVAEIREPAEEYAPVIFAQDAYKHVISFDMLSGNEDRDNILRARKSGKGVLTAPFKLLNNRLGVILTYTVYKYELPAYARPHERIQAAIGYLGGIFDIQALVEKLLKQLASQESIMVNVYDTTNESPISMYGDDTGSGMCHVSVLNFGDPSRKHEMHCRFEKKPPWPWLAITSSFGTLVIALLTGHIFQATVHRIAKVEDDFHKMSELKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELETVPFDLRTVCDDILSLFCGKAQEKGLELAVYVSDQVPQILIGDPGRIRQIITNLVGNSIKFTERGHIYLTVHVVEEVMSCLEVETGIQNTNTLSGYPVANRRRSWESIRLFNRELHSSEKSFAPIASDSISLVISVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPHVGSTFTFTAVLMRAHCKGNDIKSSEFKGINALVVDHRPVRAKVTKYHLQRLGVKTELTAELNQFISKLNSGSLTAKLVLIDKETWLKESHCTPLLVNKLRNNDKPDSPKLFLLGSSASSPKGGSDTSREHNLNVIMKPLRASMLQVSLRRALGGVDKVHCRNGVVGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKAITLLKPPHNFDACFMDIQMPEMDGFEATRRIRVMERDLNERIERGEAPPECASIQRWRTPILAMTADVIQATHEECLKSEMDGYVSKPFEGEQLYSEVARNEAQKVSRWNRPCSDL >ONIVA01G48300.1 pep chromosome:AWHD00000000:1:40001609:40007773:1 gene:ONIVA01G48300 transcript:ONIVA01G48300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQGFDTHRLHQEVKSRWLKPKEVLQILQNHDRFIITHKTPHKPPSGAWFLFNRRVLRYFRNDGYEWRKKKNGKTIAEAHERLKVDNVDALNCYYAHADKNSTFQRRIYWMLDPAYDHIVFVHYRDVQEGSISVSALNDSSTSNQNGSGSRAEAQSSPGLTSELFAPCLNSCSPGSAEEVSSQIMAINNETNSVSQPDWVQHCNQAALRKLKVQLSLEDREDHDVDAKDIPSNSEPITVYGIQNEEPGTCRNLADVFSGLEFSKENHPEETGLPFSSTIDVLKNSDTWLEEDQIEAILHSASMIVTENQWFNIREVSPEWSYCSESTKVIIAGDFLRDPSHGSWAIVFGDVKVHAEIVQQGVIRCHTPCLDARKVTMYLIDENEKACSEARQFEFHNKPTKSVVCENRKPCREVHESELHQRPTESNNELLLLFNYAQLLFDGHVSEQFLKFGLPFPNLECGLQVSPSEIMKGTSERLNRDTTVNCVMEVLLNNKFEEWLFSKYEQNSEGNHFLPRQYHGVIHTIAALGYNWALKLLLNSGVLVNYRDANGWTALHWAARFGREETVVLLLDAGAAAGALSDPTAQDPAAKTPASVASAYGFKGLSAYLSEAELIAHLHSLESKENGSSGDQISRVVGRISDTSAHAQSGSDDQLALKESLGAMRYAVQAAGRIQTAFRRNNKQVFRIEKNFRCWKKRKEFLKIRKNVIKIQARVRAHQQHNKYKELLRSVGILEKVMLRWYRKGVGLRGFHPGAIAMPIDEEDEDDIAKVFRKQRVETALNEAVSRVSSIIDSPVARQQYRRMLEMHKQNKDDDEK >ONIVA01G48300.2 pep chromosome:AWHD00000000:1:40001609:40007773:1 gene:ONIVA01G48300 transcript:ONIVA01G48300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQGFDTHRLHQEVKSRWLKPKEVLQILQNHDRFIITHKTPHKPPSGAWFLFNRRVLRYFRNDGYEWRKKKNGKTIAEAHERLKVDNVDALNCYYAHADKNSTFQRRIYWMLDPAYDHIVFVHYRDVQEGSISVSALNDSSTSNQNGSGSRAEAQSSPGLTSELFAPCLNSCSPGSAEEVSSQIMAINNETNSVSQPDWVQHCNQAALRKLKVQLSLEDREDHDVDAKDIPSNSEPITVYGIQNEEPGTCRNLADVFSGLEFSKENHPEETGLPFSSTIDVLKNSDTWLEEDQIEAILHSASMIVTENQWFNIREVSPEWSYCSESTKVIIAGDFLRDPSHGSWAIVFGDVKVHAEIVQQGVIRCHTPCLDARKVTMYLIDENEKACSEARQFEFHNKPTKSVVCENRKPCREVHESELHQRPTESNNELLLLFNYAQLLFDGHVSEQFLKFGLPFPNLECGLQVSPSEIMKGTSERLNRDTTVNCVMEVLLNNKFEEWLFSKYEQNSEGNHFLPRQYHGVIHTIAALGYNWALKLLLNSGVLVNYRDANGWTALHWAARFGREETVVLLLDAGAAAGALSDPTAQDPAAKTPASVASAYGFKGLSAYLSEAELIAHLHSLESKENGSSGDQISRVVGRISDTSAHAQSGSDDQLALKESLGAMRYAVQAAGRIQTAFRIFSFRKKQQAGLQNRGNHIISIREVGAASHGMLEKAALSIQKNFRCWKKRKEFLKIRKNVIKIQARVRAHQQHNKYKELLRSVGILEKVMLRWYRKGVGLRGFHPGAIAMPIDEEDEDDIAKVFRKQRVETALNEAVSRVSSIIDSPVARQQYRRMLEMHKQNKDDDEK >ONIVA01G48290.1 pep chromosome:AWHD00000000:1:39989229:39993514:1 gene:ONIVA01G48290 transcript:ONIVA01G48290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVGGAHSSRRSVSSSTGSRRRAGPTENGHHDAAAAAAGRRSSASISRASQQSMTGERTVKRLRLSKALTIPDHTTVYEACRRMAARRVDAVLLTDSNALLCGILTDKDITTRVIARELKLEETPVSKVMTRNPLFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGASVSGPNTFIETLRERMFRPSLSTIISENSKVVTVAPTDTVLTASKKMLEVKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQTPECATVDTPILDALHTMHDGKFLHLPVLDKDGNVVTVVDVLHITHAAIATVGNSAGSGSEATSAMMQRFWDSAMSIGPLDDDDDSRSEGSTKVASEATDVGRSAFYPASGLSNTFGFKIQDKQGRMHRFNCETSSLTELITSILQRLGDDIDRKNLPQILYEDEDHDKVILSSDSDLVAAVDHARQIGWKSLKLHLDYAGVGRRKRGGGGTSDFEYAGKDAWASAYSAVAAGAALVAGLGVMAYLKRAG >ONIVA01G48280.1 pep chromosome:AWHD00000000:1:39984698:39984925:1 gene:ONIVA01G48280 transcript:ONIVA01G48280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAASTDWGPIIVAVILFVVLSPGLLFQLPARARVVEFGNMATSAIAILVHAVIFFCLLTIFVVAIGVHVYAA >ONIVA01G48270.1 pep chromosome:AWHD00000000:1:39977509:39977904:1 gene:ONIVA01G48270 transcript:ONIVA01G48270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTMYKEAAATCGDGEHYSRLIRELCALLAAIISPSSSSSTAAAARSPGMSPAAAATMLLGASVALMLCGSVTFAIGLLLMPWVAGVALLFGLSAAVSTLSSGVFGKAAAAASSPASHASSDNKPVLVVA >ONIVA01G48260.1 pep chromosome:AWHD00000000:1:39951586:39951876:-1 gene:ONIVA01G48260 transcript:ONIVA01G48260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEKLGENDKLLTRRRPYTAVALRVMRVLLRASASTKAGVSSCETRVKAHQGRKNNAAHAVGETKRGTVQGVKASYTRYKLLAAATVQAKRTRSY >ONIVA01G48250.1 pep chromosome:AWHD00000000:1:39950902:39970020:1 gene:ONIVA01G48250 transcript:ONIVA01G48250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPHARPSIPSSSSPTSPHHHTTTHTHARLRFPPPTRGGEGGELGFFGAGMARRGRVQLRRIEDKASRQVRFSKRRAGLFKKAFELALLCDAEVALLVFSPAGKLYEYSSSRFYESEGNELNCFSFTLLYFSVPFRMRFESRSGLTRFTSFQQLTRSTLVIEGTYDRYQQFAGARRDLNEGSTSINSDENASIHSRLRDISAWSLQNNADESDANQLEKLEKLLTNTLRDTKSKKGTTGRSIIDAEIGVLHSKALRENSAVKPAHLSVLPCWQNKMVKGVGAEQTPVALGGRRKEVHEQEAQVKVIVGPGPAAW >ONIVA01G48250.2 pep chromosome:AWHD00000000:1:39950902:39970020:1 gene:ONIVA01G48250 transcript:ONIVA01G48250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPHARPSIPSSSSPTSPHHHTTTHTHARLRFPPPTRGGEGGELGFFGAGMARRGRVQLRRIEDKASRQVRFSKRRAGLFKKAFELALLCDAEVALLVFSPAGKLYEYSSSRFYESEGNELNCFSFTLLYFSVPFRMRFESRSGLTRFTSFQQLTRSTLVIEGTYDRYQQFAGARRDLNEGSTSINSDENASIHSRLRDISAWSLQNNADESDANQLEKLEKLLTNTLRDTKSKKMLAKQNGEGSRSRANSSGSRGQEEGRTVVKVIVGPGPAAW >ONIVA01G48250.3 pep chromosome:AWHD00000000:1:39950902:39970427:1 gene:ONIVA01G48250 transcript:ONIVA01G48250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPHARPSIPSSSSPTSPHHHTTTHTHARLRFPPPTRGGEGGELGFFGAGMARRGRVQLRRIEDKASRQVRFSKRRAGLFKKAFELALLCDAEVALLVFSPAGKLYEYSSSSIEGTYDRYQQFAGARRDLNEGSTSINSDENASIHSRLRDISAWSLQNNADESDANQLEKLEKLLTNTLRDTKSKKMLAKQNGEGSRSRANSSGSRGQEEGSA >ONIVA01G48240.1 pep chromosome:AWHD00000000:1:39943677:39943931:-1 gene:ONIVA01G48240 transcript:ONIVA01G48240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLKNSARGGAKGKVVTVYSKYVKPQSSPSVSVTQAYSYQPNYSSSIDVAAAANGSYGGGNVDERATAYILAVRERFKKEWM >ONIVA01G48230.1 pep chromosome:AWHD00000000:1:39938641:39942942:1 gene:ONIVA01G48230 transcript:ONIVA01G48230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDAKMPSWDLGTVVGPSGGGGGGGGGGGALDLKLGAPTSWKTTTTVSAASAAPAAVAPPPPPPASSSSSAAAAGKRARAGQGQQAAVPACSVEGCAADLSKCVRDYHRRHKVCEAHSKTAVVTVAGQQQRFCQQCSRFHLLGEFDEEKRSCRKRLDGHNKRRRKPQPDPLNPGNLFANHHGAARFTSYPQIFSTAASMSPQETKWPANVVKTEAADVFQESYYHALHLNGAGAAAAASIFHHGGNKARKHHFPFLTADHGGGAAAASPMFGCQPFTITPSSESRSSSSSRHSNGKMFAHDGGLDNCALSLLSDNPTPTAQITIPQPLVAGGGQYGGGGGGDVSLTGLSYVRMAGKDTSILAKSATTTATTATTPTTTSAQLQYHGYYHHHVSADQGSSDAAIQALPFSSW >ONIVA01G48220.1 pep chromosome:AWHD00000000:1:39894194:39938588:1 gene:ONIVA01G48220 transcript:ONIVA01G48220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVIIMKYKYFEPEPLDGRRVGGSMSARARALAGCARSDDARERSTDHLLEARKPEAVRPFDVNKLMITTKNMSICWEKRGEERRGAELQLLLYERKSSAQEQIEASAAARSRG >ONIVA01G48210.1 pep chromosome:AWHD00000000:1:39880770:39881225:-1 gene:ONIVA01G48210 transcript:ONIVA01G48210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGRRLPTTSQVERPAAPVNLAQAQGAAHGGRFTVPDDGASGAAGGSCRSGADPADGGWAPQIVAARRRSGGGERWWREGTGEESDDVLSAEIGNGAEETDEPGDGDLAASAEQGVAARRWYGDGERWRRGGFREGEGGAGLGFRQWNE >ONIVA01G48200.1 pep chromosome:AWHD00000000:1:39874231:39884802:1 gene:ONIVA01G48200 transcript:ONIVA01G48200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCLFVCLPDAAAAAAICTSLSLASATAGCAASLAPRLDQREELMLMASEAWLSPASRLFHPPRSSTAAFFSASGLPRLPRRSSCALATSTRGRRLRLGATDQQQQQGKQDGDEVVDSNVLQYCSIDGKGKKAEKRSLGEMEQEFLQALQAFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYAAGNPIMSDAEFDQLKLRLKKDGSDIVTEGPRCSLRSRKVYSDLTVDYLKMFLLNVPATTLALGLFFFIDELTGFEINIFQLPEPFGFIFTWFAALPLILFIAQSITNAIVNDFLILKGPCPNCGAENLSFFGTILSVSSGGETNKVKCADCSTEMVYDSKSRLITLPEPSQ >ONIVA01G48200.2 pep chromosome:AWHD00000000:1:39874231:39884802:1 gene:ONIVA01G48200 transcript:ONIVA01G48200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCLFVCLPDAAAAAAICTSLSLASATAGCAASLAPRLDQREELMLMASEAWLSPASRLFHPPRSSTAAFFSASGLPRLPRRSSCALATSTRGRRLRLGATDQQQQQGKQDGDEVVDSNVLQYCSIDGKGKKAEKRSLGEMEQEFLQALQAFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYAAGNPIMSDAEFDQLKLRLKKDGSDIVTEGPRCSLRSRKVYSDLTVDYLKMFLLNVPATTLALGLFFFIDELTGFEINIFQLPEPFGFIFTWFAALPLILFIAQSITNAIVNDFLILKGPCPNCGAENLSFFGTILSVSSGGETNKVKCADCSTEMVYDSKSRLITLPEPSQ >ONIVA01G48200.3 pep chromosome:AWHD00000000:1:39874231:39876845:1 gene:ONIVA01G48200 transcript:ONIVA01G48200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLLLLLLLPAAAAAVSLPPSNKVVFILGGQSNMAGRGGVVGSHWDGMVPPECAPNPSILRLSPQLRWEEAHEPLHNGIDSNRTCGVGPGMSFANALLRSGQFPVIGLVPCAVGGTRMADWAKGTDLYSDLVRRSRVALETGGRIGAVLWYQGESDTVRWADANEYARRMAMLVRNLRADLAMPHLLLIQVGLASGLGQYTEVVREAQKGIKLRNVRFVDAKGLPLEDGHLHLSTQAQVQLGHMLAQAYLNYDR >ONIVA01G48190.1 pep chromosome:AWHD00000000:1:39873327:39873598:-1 gene:ONIVA01G48190 transcript:ONIVA01G48190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDVVWGRGKRMWDVIVLGMMAIGPPAKGGGIDWSEGVGRSKVDDGENESERKKEEKQIWRIRNKSGVY >ONIVA01G48180.1 pep chromosome:AWHD00000000:1:39870751:39871545:-1 gene:ONIVA01G48180 transcript:ONIVA01G48180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQLQLQVLALLLLLATTATATPTPTLIFLLGGQSNMGGRGGATNGPWDGVVPPECAPSPRILRLSPELRWEEAREPLHAGIDVHNVLGVGPGMSFAHALFRAIPPSTVIGLVPCAQGGTPIANWTRGTELYERMVARGRAAMATAGAGAGARMGALLWYQGEADTIRREDAEVYARKMEGMVRDVRRDLALPELLVIQVGIATGQGKFVEPVREAQKAVRLPFLKYVDAKGLPIANDYTHLTTPAQVKLGKLLAKAYLSTL >ONIVA01G48170.1 pep chromosome:AWHD00000000:1:39867182:39867460:1 gene:ONIVA01G48170 transcript:ONIVA01G48170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTGGKEEEKEKLCRRCKATYAPSGNTRLSCRFHPSYFVCRRHDDQKRYYQLRDGDPPYAAKFYDCCGAEDPDAAGCTTDFHLSYDDADA >ONIVA01G48160.1 pep chromosome:AWHD00000000:1:39860034:39860768:-1 gene:ONIVA01G48160 transcript:ONIVA01G48160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FY35] MENSRELQPESSPANNNSKKKKKKKTASRFRRVCVFCGSSPGKKASYQVAAVQLGQQLVERGIDLVYGGGSVGLMGLVSRAVHGGGGHVVGVVPNGVLPRELIGETLGEVRAVGSMHQRKAEMARESDAFIALPGGYGTLEELLEVITWAQLRIHHKPVGLLNVDGYYDSLLAFIDKAVHEGFVSPPARRIIVAAPTASDLLCKLEEYVPPPHDATALKLTWEMSTVSEQHAGSIYSPKPDMAR >ONIVA01G48150.1 pep chromosome:AWHD00000000:1:39846451:39857209:1 gene:ONIVA01G48150 transcript:ONIVA01G48150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRDLSQPIDVPLLDATVAAFYGTGSKEERNAADQILRDLQNNPDMWLQVVHILQNSHNLNTKFFALQVLESVIKYRWNALPTEQRDGIKNYISDVIVQLSSNEASFRQERLYVNKLNIILVQVLKHEWPARWSSFVPDLVAAAKSSEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPMAAYRNLTLQCLTEVAALQFGDFYNVQYVKMYTIFMMQLQAILPPGTIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEASPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAASVNMMGLQAQMLPGVDGTITAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSSLATTIGDLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPILADYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFQNQFYKTYFLNIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDASSVPYQYTDNAMFVRDYTIKLLGSSFPNMTPTEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDEMVDS >ONIVA01G48140.1 pep chromosome:AWHD00000000:1:39844766:39845191:1 gene:ONIVA01G48140 transcript:ONIVA01G48140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLQHRRRSWTARLLSSASLPPARLLVFFAIVIFFLSVSSYVDYKAIERRAEIGLRVFAAPLAAVTIFLLFLVLQHRRRYWTLRRQVHHHHAYADQAEAAGSGSPWVVALLLLLLLLMLSFQSSVHSIWFRPLWDSADY >ONIVA01G48130.1 pep chromosome:AWHD00000000:1:39837116:39840928:-1 gene:ONIVA01G48130 transcript:ONIVA01G48130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00090) TAIR;Acc:AT4G00090] MAAATAAAAASLVSLPLLSAIFGAAIALLFLSGYLRRKRAAIAHLPPSATAAAPDQPKQVRPSNQNQPKKGHQRSHHAVDKDAAKKHHHLDVNTLRGHTDSVTALHFSDDACNLATVCADGAVRVFRVDDVSSKSFKILRINLPAGAHPTAIAFSQGASSVVVAAQALLGSSLYMYADVGAPPAGGNKQQGKLSPPEIKWEHRKIHGKESVLNLAAARATYGTGDGSTILISCSEATDIKIWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDSSVKEVNKVMQLKGHKSAVTCLCFALNSEQIITASKDGTIRVWNINVRYHLDEDPKTLRVLPIPLHDSKGSVCQYDHMSVSPDEKVLATTSGSTLQWLCVETGAVLDTAEKAHEGDITGIAWAPRTIPNGGTPAFVLATAGVDKKVKLWLAPKAIST >ONIVA01G48120.1 pep chromosome:AWHD00000000:1:39830271:39831683:1 gene:ONIVA01G48120 transcript:ONIVA01G48120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT5G51670) TAIR;Acc:AT5G51670] MAIESWLTKVRSAIISSKPSPSSSSWRSKTVGILALEVATLMSKLVHLWRSLADAALARLRHHLINLDGVRKLVSHHDAALLALACAELTDALRVAAHSVAALATRCADPFLRDFADAFADFADTGRDPHRWVSTWKDMDTRAHKMDKQVAATSALRTAMEDLADAEHGLRKLLQTSSSRRLSATNISLAAEQQQLIFAKKQEVKHLKQTSLWSSTFDAVVSSLARAAFTILARIKLVFGAAHDHRPTTAPLHRSLTLSSAVHPSSVDVQVQPPVSRKSMSMDMGMGEALYLERQRQSGLLERSAAALVPPPGTLGAAALAPRYAWVIISIERMARSPRLVGAEERDELYGMLTASVRAQLRARLRGTVAAAEPGLAGQWRAAVGGILEWLAPMAHATVRWQAERSLEQQRKTTREMETQTLVVQTLQMAERGKVEAAVAELLVGLNYLCRFHKEITTCRTRTCHHDDAP >ONIVA01G48110.1 pep chromosome:AWHD00000000:1:39823713:39828629:1 gene:ONIVA01G48110 transcript:ONIVA01G48110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT4G22790) TAIR;Acc:AT4G22790] MTPPPPSPPHERKTWAESVASEFRAQRGIAFPLIAMNLTWFAKLAVTTAFLGHLGDLQLASGTLGFSFANVTGFAVLTGLCAAMDPICGQAHGASNGKLLRKTLVMATILLLGASIPIAFLWLHVDAVLLRFGQQADMSSNARSYVVCLLPDLAVTSFVNPLKSYLSAQGVTLPTLFASALALALHVPLTMWMARTRGIQGVATAVWVSDLAVAVMLAGYVLVSERRRKAGGGGGWVEQTRGEWVRLLRLAVPSCLNTCLEWWCYEILVLLTGRLPDARRTVAVMAVTLNFDYLLFAGMLSLSVSASVRVSNELGAGEAWAARRAGMVSIVGGAVGGVGGGVAMVAARRAWGSIYSSDAGVREGVGRAMEVMAVLEVVNFPLNVCGGIVRGTARPAVGMYAVVAGFYVLALPLGVALAFKARLGIQGLLLGFLVGAAASLAVLLTFIARMDWPAEAQKARTRTTATVAQFHQHDEEGRRVIGWGWAVLIT >ONIVA01G48110.2 pep chromosome:AWHD00000000:1:39823713:39827864:1 gene:ONIVA01G48110 transcript:ONIVA01G48110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT4G22790) TAIR;Acc:AT4G22790] MTPPPPSPPHERKTWAESVASEFRAQRGIAFPLIAMNLTWFAKLAVTTAFLGHLGDLQLASGTLGFSFANVTGFAVLTGLCAAMDPICGQAHGASNGKLLRKTLVMATILLLGASIPIAFLWLHVDAVLLRFGQQADMSSNARSYVVCLLPDLAVTSFVNPLKSYLSAQGVTLPTLFASALALALHVPLTMWMARTRGIQGVATAVWVSDLAVAVMLAGYVLVSERRRKAGGGGGWVEQTRGEWVRLLRLAVPSCLNTCLEWWCYEILVLLTGRLPDARRTVAVMAVTLNFDYLLFAGMLSLSVSASVRVSNELGAGEAWAARRAGMVSIVGGAVGGVGGGVAMVAARRAWGSIYSSDAGVREGVGRAMEVMAVLEVVNFPLNVCGGIVRGTARPAVGMYAVVAGFYVLALPLGVALAFKARLGIQGLLLGFLVGAAASLAVLLTFIARMDWPAEAQKARTRTTATVAQFHQHDEEGRRVIGWGWAVLIT >ONIVA01G48100.1 pep chromosome:AWHD00000000:1:39816777:39820548:1 gene:ONIVA01G48100 transcript:ONIVA01G48100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMKQQMMNKLGLLVVAVAVAMAPAGSFASSSGRGAPMVPAVIVFGDSIVDPGNNNNLKTQIKANHAPYGMDFANSEPTGRYSNGLIPTDFIVQGLNVKQLMPPYLGVELSPEDLKTGVSFASGATGYDPLTPVIVSVITLDQQIEYFHEYRKRLVGVVGEEETARIIDGALFVVCAGTDDIANTYFTTPFRSVEYDIPSYVDLLVSGAAKLLDQVAALGARRIGFVGLPPIGCVPSQRTLGGGPHRRCEEKRNYAAKLFNSRMEEVIAAKTNPATTRMVYVDIYTILQELVENGDKYGFTETTRGCCGTGTIEVTGLCDARFVDICDNVSNHVFFDSYHPTQRAYKIIVDYIFDNYLQFLLA >ONIVA01G48090.1 pep chromosome:AWHD00000000:1:39804804:39811404:1 gene:ONIVA01G48090 transcript:ONIVA01G48090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSASASADPPRRSPWRHPSNGGNPNPNGDAVIDTTSWPALSEAARNPPKPPPCIDSPSEGQGKQSSRHKPARRGGAGADHSPSPRDDRATSWDHGRHHHHNNSGGRRGSFGGRRRGGGGGFDALYRAPIGPYVRGATAPPPPPPPPMAVAPPPFLPPPLRPFAAPLLFHHDMASPVSPVSPIYYVGPPPPPEALRPLPPFPPTMLAPPAYPYYHPQPQPDPEPEPDADPQQHRANLLKQIEFYFSKDNLCTDVFLRRNMDDQGWVNIALIAGFNKVQESTDDLQYIKDTIQSSSILEMQDDKIRRQNDWNKWVIPRESNTDVLPSPNINNLTAHLGSVGLQESAASSSSMVDENHHEILTNGPTSGNNQAPVVEDGAGKL >ONIVA01G48080.1 pep chromosome:AWHD00000000:1:39797866:39803105:1 gene:ONIVA01G48080 transcript:ONIVA01G48080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT2G28070) TAIR;Acc:AT2G28070] MDPYRSSSSSASSPAAALAMGRRHYYLPARPARPISFEDSPDWADDDVDSIHLATASASASLPTTAYPSPSPTPSSSSAACRGGERKVAGATLVWKELSVSLTRSRSGSGSADRRVVKSSTGYALPGTLTVIMGPARSGKSTLLRAIAGRLRPAERMYGQVLLNATNTRLPYGSYLPGLFSSKTSIVEDAIAAMSLADYADNLIGGHCFINSLPAGERRRLSIARELVMRPHVLFIDEPLYHLDSVSALLLMVTLKKLASTGCTVIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLSCLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKSSADSVAVESLVAKLMEKEGPHLKSKGRASNTTRIGVLTWRSLVIMSRNRKYFWSRFALYMLLALSVGTIFNNAGHSLSSVMVRVSAIFVYVSFVILLSVSGVPAHIDEIKIYSHEEANQHSSTMVFLLGHFLSSIPFLFLVTISSSLVFYFLIGLRNEFNLFMYFVVTMFMCLLANEALMMIVAYIWLDTYKCTLTLICLYVIMMLVGGYFRIRGGLPCTVWKYPLSYVSFHVYAVEGLLENEYVGTSFAVGAIRTIPGVQAVGGSYDISSSANAKWVNLLVLLVMAVGYRVVLYVLLRLNVRKHMRLLGCWCCWSWTPQSDYYSSN >ONIVA01G48070.1 pep chromosome:AWHD00000000:1:39791466:39795387:1 gene:ONIVA01G48070 transcript:ONIVA01G48070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPSTCASSSSLFLLLRKDRRSSRSASLPGPARRLGVVRASAKEIAFDQGSRSSLQAGVEKLAAAVAVTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVHSLVEELEKKSRPVKGSGDIKAVAAISAGNDDFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSLVEFENARILVTDQKISSIKEIIPLLEQTTQLRAPLLIIAEDVAGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGLLVESTTVEQLGIARKVTISQSSTTIIADVATKDEIQARIAQLKRELSQTDSAYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSKFVPAIKEKLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVEKIKESEWEVGYNAMADRHENLVQAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKKKASAASGAPEGSLAM >ONIVA01G48060.1 pep chromosome:AWHD00000000:1:39786140:39790428:1 gene:ONIVA01G48060 transcript:ONIVA01G48060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQEFFFCSGGLGFTNVALVLFTTWFYSYEWCGGFSINEHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQHVSYTQFRALSDQFYRTTEHHRFVRQQIVKQLESYPEIYAGYVPMDYREYLKKMIKHFHFVATKSTRNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERELPVMENKRKRWWHF >ONIVA01G48050.1 pep chromosome:AWHD00000000:1:39781833:39784705:-1 gene:ONIVA01G48050 transcript:ONIVA01G48050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAVEYVTAGSTTPPPHLHQLLSGTGAAPPPSSQDDAHPQSRRTVPARRLRQRLEQEVSELKKQLRNEEAVHDILNRALEHSNTTKSSSSLSSPSVLHNIPAFIPHKAKELLAELVLVEEEIARLETQIRSMKKAAATTTTQNAINLTHDDTVNANVNSGGSAAGDHANIKSMFFISQAINGLDTSRHHHPLMTIVSNKPPTPSPKLNSLDDTSSSSNKKKKMVQQPNKLSERIVKCLICIFIRLLRSSRVADLQLQQQDNNNNNTSSRSSSSSPSLTRQHQGGGGGGSFRIDTSLVMNKQQQQQDCRSGQQDHYGIFAIPDSIVRDIGPYKNLVSFTSSAFDLRGFSTSPLLTKLRGMLEALQHVDLRFLTHHQKLAFWLNIYNTCIMHGILHNGLPSNPEKLLALKNKATLNVSGQKLNALVIENFILRQPSSVKEEFWKCEVDVEEQQVRSRYGLNSSEPNILFALCCGNRSSPALRIYKADRVMMDLEKAKLEYLQASLVVTSTRRRLMIPSLIHSNMHDFAKDLDSLVRWICDQLPTSWSLRKSMVDCLRWSGHRLNIHHLVDVIPYDYDFQYLLPM >ONIVA01G48050.2 pep chromosome:AWHD00000000:1:39781833:39784259:-1 gene:ONIVA01G48050 transcript:ONIVA01G48050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYVTAGSTTPPPHLHQLLSGTGAAPPPSSQDDAHPQSRRTVPARRLRQRLEQEVSELKKQLRNEEAVHDILNRALEHSNTTKSSSSLSSPSVLHNIPAFIPHKAKELLAELVLVEEEIARLETQIRSMKKAAATTTTQNAINLTHDDTVNANVNSGGSAAGDHANIKSMFFISQAINGLDTSRHHHPLMTIVSNKPPTPSPKLNSLDDTSSSSNKKKKMVQQPNKLSERIVKCLICIFIRLLRSSRVADLQLQQQDNNNNNTSSRSSSSSPSLTRQHQGGGGGGSFRIDTSLVMNKQQQQQDCRSGQQDHYGIFAIPDSIVRDIGPYKNLVSFTSSAFDLRGFSTSPLLTKLRGMLEALQHVDLRFLTHHQKLAFWLNIYNTCIMHGILHNGLPSNPEKLLALKNKATLNVSGQKLNALVIENFILRQPSSVKEEFWKCEVDVEEQQVRSRYGLNSSEPNILFALCCGNRSSPALRIYKADRVMMDLEKAKLEYLQASLVVTSTRRRLMIPSLIHSNMHDFAKDLDSLVRWICDQLPTSWSLRKSMVDCLRWSGHRLNIHHLVDVIPYDYDFQYLLPM >ONIVA01G48040.1 pep chromosome:AWHD00000000:1:39777783:39781172:-1 gene:ONIVA01G48040 transcript:ONIVA01G48040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding ASCH domain protein [Source:Projected from Arabidopsis thaliana (AT2G20410) TAIR;Acc:AT2G20410] MHGGGGGRLRNPCLTMHQPWASLLVHGIKRVEGRSWPSPLTGRLWIHAASKVPEAGTIKAMEEFYREIYALDGITNITFPHHYPVSRLLGCVEVVGCVTSQELASWEHVRLEALTDFCWLCENPQKLVVPFDMRGYQGVYNLERRIYEGAVRGLSPVQGPLPVNFPLPDPTNPLSLNPGSLQLHSSRSAALDKSPSVTAAIAGARAAATQYSRNNTAITSTPTEETRQRFSRENHADNTSGPSIVHDRSPVLQNQNLPSLALTNPPYLKNQTMPSFVQNNLPNLQNHNLSYLPHQNLSADVSNRRVSLLQNQSPSSLLHSGQSYLQNQNAEPRRSPRLQNEPPMALRGLKRMNVSEGGEQSAPKRWPENFLPFSEVPLIKEER >ONIVA01G48040.2 pep chromosome:AWHD00000000:1:39778422:39781172:-1 gene:ONIVA01G48040 transcript:ONIVA01G48040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding ASCH domain protein [Source:Projected from Arabidopsis thaliana (AT2G20410) TAIR;Acc:AT2G20410] MHGGGGGRLRNPCLTMHQPWASLLVHGIKRVEGRSWPSPLTGRLWIHAASKVPEAGTIKAMEEFYREIYALDGITNITFPHHYPVSRLLGCVEVVGCVTSQELASWEHVPQSVSTQPYPITTTCTFPIPIIFFTTCRLEALTDFCWLCENPQKLVVPFDMRGYQGVYNLERRIYEGAVRGLSPVQGPLPVNFPLPDPTNPLSLNPGSLQLHSSRSAALDKSPSVTAAIAGARAAATQYSRNNTAITSTPTEETRQRFSRENHADNTSGPSIVHDRSPVLQNQNLPSLALTNPPYLKNQTMPSFVQNNLPNLQNHNLSYLPHQNLSADVSNRRVSLLQNQSPSSLLHSGQSYLQNQNAEPRRSPRLQNEPPSRARLSNWWSSGGSDNLATRLDVELRVGHARW >ONIVA01G48030.1 pep chromosome:AWHD00000000:1:39774416:39774916:1 gene:ONIVA01G48030 transcript:ONIVA01G48030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHGQEEEEEPMQVQQQQAFRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFDSAEEAALAYDQAAFAMRGSAAVLNFPMEQVRRSMDMSLLQEGASPVVALKRRHSMRAAAAGRRRKSAAPAPADQEGGGGVMELEDLGPDYLEELLAASQPIDITCCTSPSHHSI >ONIVA01G48020.1 pep chromosome:AWHD00000000:1:39757458:39758543:-1 gene:ONIVA01G48020 transcript:ONIVA01G48020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGSDRLRDLQAFDDTKAGVKGLVDAGVTTIPAIFHHHPLLLDDAEEDADDIPVIDLQADVDRGHLVGQVRAAAQCVGFFQVVNHGIPGELLEEMLAAVRRFNEQPAEGKKAWYSRDSGRRVKFNSNFDLFQSPAANWRDTLLLELTPRPGPAAEEIPPACRGVVGEYVEAVQRLGGALLELLSEALGLPPEYLGGLGGGLATMAAHYYPPCPEPHLTLGTTRHSDPSFLTVLLQESKGLQVLMRQRQRWVDVPPVAGALVVNIGDLLQLVSNDLFRSVEHRVLATTAAAEPRLSVACFFRPDYACTRVYAPVTTTPPPPLYRSTTTPEFLSHYRAKGLDGRSALHHFRIPPPSSPPH >ONIVA01G48010.1 pep chromosome:AWHD00000000:1:39741297:39757034:1 gene:ONIVA01G48010 transcript:ONIVA01G48010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVEEINENDLVVNCTSGKKVTINVGSAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYALNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELGPHPFAIADRSYRLMINNRISQAILVSGESGAGKTESTKMLMQYLAFMGGKAQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDDNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPSEDCKKYKLGEAKTFHYLNQSNCIELDGLDDSKEYTDTRRAMSIVGISSDEQDAIFRVVAAILHLGNVEFAEGSEADSSMPKDEKSQFHLRTAAELFMCDEKGLEESLCKRVMATRGESITKNLDPRAAALSRDALSRIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQILLNASKCSFVSSLFPPCEESTKSTKFSSIGSSFKQQLQSLLETLSAIEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFFEFINRFGILQPKVLGRSHDEVAATKMLLGKANLTGYQIGKTKVFLRAGQMAELDALRTEILGLSAKKIQTKVRSHVARKKYVMLQHSATQLQASHCRCYLVLSNYKRMMKAIITTQCAWRGRVARRELRELKVAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRADVEEAKAQENKKLQLQLQDLQMQLNDTKELLKREKESTKAEMEKTLVPEICVDTTQVNELTAENNRLKALVVSLETNIEEMKQKFGETDNVRDEWCKKATDAESQINELKSMMQSLQEKLNSTEAENHVLRQQAMRTRPDNMPLLNMHRKSNLANGSLPGDEQTPHGTSMEYGRTSYIERQQESVEALINCVVENVGFSEGKPVAAVTIYKCLLHWRTFEAEKTNVFDRLIQIFGSAMQKQESNADLAYWLSNSSSLLIILQKSLKPVGSSVTTPLKRTQTQTSFLGRMVFRASNITVDMDLVRQVEAKYPAFLFKQQLTAFVEGLYGMIRDNVKRDISSVLTLIIQVPSIFARKIFTQIFSFINAQLFNSNGEYVKQGLQELEAWCTQAKPEVIFKKFRISYDEIINDLCTALSVQQLYKICTQYWDDKYNTESVSEEVLNEMKTLMNGKDASDGTLKSLMNEKDASDGDSMDAKEFQNVVPPQQLLDNPAFQFLKS >ONIVA01G48000.1 pep chromosome:AWHD00000000:1:39730621:39736428:-1 gene:ONIVA01G48000 transcript:ONIVA01G48000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNWEADKMLDVYIYDYLVKRNMHNTAKAFMTEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPPQPQPQPPPPIPIDIKSREQQMRLQLLQQQQNAHQQRRDPPLNAAMDALNSDVSAVLASKMMQDRMRNPNPTDSDASHQLLDANRIALLKPATNQTGQLVQGASVNMSALQQIHSRNQQPDMKGDAAMSQRSMPTDPSTLYGSGMMQPKSGLVSTGLNQGVGSVPLKGWPLTVPGIDQLRSNLGVQKQLMASPNQFQLLSPQQQLIAQAQSQNDLARMGSPAPSGSPKVRPDESDYMMKLKMAQMQQPSGHRLMELQQQLQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGLPVASNMNIVQKSSLICGADGTSGLASSSNQMDNLDSFVDFDENVDSFLSNDDGDGRDIFASLKKGSSEQDSLKGLSLSEFGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNLNMDTKIEEHTNFITDIRFKPNSTQLATSSSDGTVRLWNAIERTGAVQTFHGHSSHIFTRNLQRFYALVTTMEKFVSGKLVKVKFHMKQGGTGRVRFQPQIGQLLAVATGSIVNIVDVEKEASLHSLPKVHTNEVNCICWDEKGERVASVSQDTVKVWSVASGACIHELRSHGNQYQSCIFHPRYPNVLIVGGYQTMELWSLSDNHRNTVQAHEGLIAALAHSQFTGMIASASHDRSVKLWK >ONIVA01G48000.2 pep chromosome:AWHD00000000:1:39730621:39736428:-1 gene:ONIVA01G48000 transcript:ONIVA01G48000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNWEADKMLDVYIYDYLVKRNMHNTAKAFMTEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPPQPQPQPPPPIPIDIKSREQQMRLQLLQQQQNAHQQRRDPPLNAAMDALNSDVSAVLASKMMQDRMRNPNPTDSDASHQLLDANRIALLKPATNQTGQLVQGASVNMSALQQIHSRNQQPDMKGDAAMSQRSMPTDPSTLYGSGMMQPKSGLVSTGLNQGVGSVPLKGWPLTVPGIDQLRSNLGVQKQLMASPNQFQLLSPQQQLIAQAQSQNDLARMGSPAPSGSPKVRPDESDYMMKLKMAQMQQPSGHRLMELQQQLQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGLPVASNMNIVQKSSLICGADGTSGLASSSNQMDNLDSFVDFDENVDSFLSNDDGDGRDIFASLKKGSSEQDSLKGLSLSEFGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNLNMDTKIEEHTNFITDIRFKPNSTQLATSSSDGTVRLWNAIEIFTRNLQRFYALVTTMEKFVSGKLVKVKFHMKQGGTGRVRFQPQIGQLLAVATGSIVNIVDVEKEASLHSLPKVHTNEVNCICWDEKGERVASVSQDTVKVWSVASGACIHELRSHGNQYQSCIFHPRYPNVLIVGGYQTMELWSLSDNHRNTVQAHEGLIAALAHSQFTGMIASASHDRSVKLWK >ONIVA01G48000.3 pep chromosome:AWHD00000000:1:39730621:39736428:-1 gene:ONIVA01G48000 transcript:ONIVA01G48000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNWEADKMLDVYIYDYLVKRNMHNTAKAFMTEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPPQPQPQPPPPIPIDIKSREQQMRLQLLQQQQNAHQQRRDPPLNAAMDALNSDVSAVLASKMMQDRMRNPNPTDSDASHQLLDANRIALLKPATNQTGQLVQGASVNMSALQQIHSRNQQPVIPFHLLKLHWFMYRLSLLTLCPTIFKDMKGDAAMSQRSMPTDPSTLYGSGMMQPKSGLVSTGLNQGVGSVPLKGWPLTVPGIDQLRSNLGVQKQLMASPNQFQLLSPQQQLIAQAQSQNDLARMGSPAPSGSPKVRPDESDYMMKLKMAQMQQPSGHRLMELQQQLQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGLPVASNMNIVQKSSLICGADGTSGLASSSNQMDNLDSFVDFDENVDSFLSNDDGDGRDIFASLKKGSSEQDSLKGLSLSEFGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNLNMDTKIEEHTNFITDIRFKPNSTQLATSSSDGTVRLWNAIERTGAVQTFHGHSSHIFTRNLQRFYALVTTMEKFVSGKLVKVKFHMKQGGTGRVRFQPQIGQLLAVATGSIVNIVDVEKEASLHSLPKVHTNEVNCICWDEKGERVASVSQDTVKVWSVASGACIHELRSHGNQYQSCIFHPRYPNVLIVGGYQTMELWSLSDNHRNTVQAHEGLIAALAHSQFTGMIASASHDRSVKLWK >ONIVA01G48000.4 pep chromosome:AWHD00000000:1:39730621:39736428:-1 gene:ONIVA01G48000 transcript:ONIVA01G48000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNWEADKMLDVYIYDYLVKRNMHNTAKAFMTEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPPQPQPQPPPPIPIDIKSREQQMRLQLLQQQQNAHQQRRDPPLNAAMDALNSDVSAVLASKMMQDRMRNPNPTDSDASHQLLDANRIALLKPATNQTGQLVQGASVNMSALQQIHSRNQQPVIPFHLLKLHWFMYRLSLLTLCPTIFKDMKGDAAMSQRSMPTDPSTLYGSGMMQPKSGLVSTGLNQGVGSVPLKGWPLTVPGIDQLRSNLGVQKQLMASPNQFQLLSPQQQLIAQAQSQNDLARMGSPAPSGSPKVRPDESDYMMKLKMAQMQQPSGHRLMELQQQLQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGLPVASNMNIVQKSSLICGADGTSGLASSSNQMDNLDSFVDFDENVDSFLSNDDGDGRDIFASLKKGSSEQDSLKGLSLSEFGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNLNMDTKIEEHTNFITDIRFKPNSTQLATSSSDGTVRLWNAIEIFTRNLQRFYALVTTMEKFVSGKLVKVKFHMKQGGTGRVRFQPQIGQLLAVATGSIVNIVDVEKEASLHSLPKVHTNEVNCICWDEKGERVASVSQDTVKVWSVASGACIHELRSHGNQYQSCIFHPRYPNVLIVGGYQTMELWSLSDNHRNTVQAHEGLIAALAHSQFTGMIASASHDRSVKLWK >ONIVA01G47990.1 pep chromosome:AWHD00000000:1:39729922:39730233:1 gene:ONIVA01G47990 transcript:ONIVA01G47990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMVKQRQQKQQVMVVVMVCWALLATAARGNCRDECLAGCQGWAIICHLSCNSACLGEVGISAMSTATPQSITDQDQQHPSQQQQQAQQSVSVLKGLDPDKI >ONIVA01G47980.1 pep chromosome:AWHD00000000:1:39718052:39728683:-1 gene:ONIVA01G47980 transcript:ONIVA01G47980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETRLDSAVFQLTPTRTRCDLVVIANGRKEKIASGLLNPFVAHLKVAQQQIAKGGYSITLEVDPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEYAITGQGGDNLGLRSVEDYNEKLAECIGGSKTNYDLDGDKSLILYKPGIQPPPPVQNDNATQEENSKVQLLRVLETRKIVLRKEQAMAFARAVAAGFNIDNLGFLITFADRFGASRLMKACTQFTELWRRKHETGQWIEVEPEAMSARSEFPPFNASGIMFMGDNMKQNLETLSISNGDANGEDAAKADQRTAQHSGAPSEYLHGPYQSAYPPWAIHPPYPMQGMPYYPGVNPYYPPPYPPMDDPRYHHSERRVSRKHSSDSKDSETLDDESGQSGSEIESSHGHKLHKKGKRSGKKKPSVVVIRNINVTSKKHGSSESESQTSSDVASEDSDDSHTEYSKRKNKRSSSKKKESRKIILEPGDEYSRDEVAHRQDGDQGNWNVFQSFLLRTEEKTKDNDADLFATERGPPPARRKESRTTDDPLLLVERDSTDFNEGKTIGFNSAHGRIRSRKMLSGDELVISAEGRSFVDGDIKEIEAGGGGYRRGASEDFIVYGQEKPMDSGSYLDPLAEGQYKSPTLMEKNMHSVADESFMIPVRSNSQDNLGPESCTAIDIDVELPGTVKKTTDAKAGDQLFYEPDELMPEREYEDVTYGYDPAMDYDSQMQIQPAIMVEDANADDVSLGVEGEVKKLEKDKKLRLQECLDKKKDALARRLPSSKTRLTDAQKRAQNLRAYKADLQKAKKEQEEEQIKRLERLKQERQKRIAARSSTSNSISTPQQVKVKPSPKTSPSTYKSSKFSDAEPGSFSPLRKLPARTTAESDHQKTGKASKLSDSSTNAVSKSTSSLAAMKKEKNGRNELSSERLKKLAEPKSNALTDRPSNSKSASMDHSRRKSMPEDTQTKKISAIMQLDQRKSATLPELKVKSPRAPSISVKNKTIAREIRDGDPGGKSPPTLEVTDGKKADVEVSRISNSDDNVVVEKTVVILENEVVSTPPLILPPGRTSENETSSNDRTQKPSMELEYTAIRAPPSPAVLPEAENPTIHRHNGQGNYEVMTEHLKDETEELTLSAVEKPYQAPFARVTSLENDSATIHAYPHALPVESETPVHAESIRARVLDPVSTVSVEETPEANEKPRNKESKGFRKLLKFGRKSHTSGTMDSDASSVDGALAGDVHDESETYC >ONIVA01G47980.2 pep chromosome:AWHD00000000:1:39720841:39728683:-1 gene:ONIVA01G47980 transcript:ONIVA01G47980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETRLDSAVFQLTPTRTRCDLVVIANGRKEKIASGLLNPFVAHLKVAQQQIAKGGYSITLEVDPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEYAITGQGGDNLGLRSVEDYNEKLAECIGGSKTNYDLDGDKSLILYKPGIQPPPPVQNDNATQEENSKVQLLRVLETRKIVLRKEQAMAFARAVAAGFNIDNLGFLITFADRFGASRLMKACTQFTELWRRKHETGQWIEVEPEAMSARSEFPPFNASGIMFMGDNMKQNLETLSISNGDANGEDAAKADQRTAQHSGAPSEYLHGPYQSAYPPWAIHPPYPMQGMPYYPGVNPYYPPPYPPMDDPRYHHSERRVSRKHSSDSKDSETLDDESGQSGSEIESSHGHKLHKKGKRSGKKKPSVVVIRNINVTSKKHGSSESESQTSSDVASEDSDDSHTEYSKRKNKRSSSKKKESRKIILEPGDEYSRDEVAHRQDGDQGNWNVFQSFLLRTEEKTKDNDADLFATERGPPPARRKESRTTDDPLLLVERDSTDFNEGKTIGFNSAHGRIRSRKMLSGDELVISAEGRSFVDGDIKEIEAGGGGYRRGASEDFIVYGQEKPMDSGSYLDPLAEGQYKSPTLMEKNMHSVADESFMIPVRSNSQDNLGPESCTAIDIDVELPGTVKKTTDAKAGDQLFYEPDELMPEREYEDVTYGYDPAMDYDSQMQIQPAIMVEDANADDVSLGVEGEVKKLEKDKKLRLQECLDKKKDALARRLPSSKTRLTDAQKRAQNLRAYKADLQKAKKEQEEEQIKRLERLKQERQKRIAARSSTSNSISTPQQVKVKPSPKTSPSTYKSSKFSDAEPGSFSPLRKLPARTTAESDHQKTGKASKLSDSSTNAVSKSTSSLAAMKKEKNGRNELSSERLKKLAEPKSNALTDRPSNSKSASMDHSRRKSMPEDTQTKKISAIMQLDQRKSATLPELKVKSPRAPSISVKNKTIAREIRDGDPGGKSPPTLEVTDGKKADVEVSRISNSDDNVVVEKTVVILENEVVSTPPLILPPGRTSENETSSNDRTQKPSMELEYTAIRAPPSPAVLPEAENPTIHRHNGQGNYEVMTEHLKDETEELTLSAVEKPYQAPFARVTSLENDSATIHAYPHALPVESETPVHAESIRARVLDPVSTVSVEETPEANEKPRNKESKGFRKLLKFGRKSHTSGTMDSDASSVDGALAGDGMILAP >ONIVA01G47970.1 pep chromosome:AWHD00000000:1:39711166:39712008:-1 gene:ONIVA01G47970 transcript:ONIVA01G47970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGSGSNPKDYQDPPPAPLVDTGELGKWSLYRAAIAEFTATLLLVCISVSTVIGEKRQSGEGGAGVLGIAWAFGGLIFVLVYCTAGISGGHMNPAVTFAMVLARRVSLPRAALYTMAQCVGAVCGAGLARAMHGGGQYARHGGGANELAAGYSAGAGVVAEMVGTFVLVYTVFSATDPKRKARDSHVPVLAPLPIGLAVLVVHLATIPITGTGINPARSLGPALVLGLGTTKAWSHLWIFWVGPFAGAAAAMIYHHYILRGAAAKAFASSSYRSPHF >ONIVA01G47960.1 pep chromosome:AWHD00000000:1:39704789:39706821:1 gene:ONIVA01G47960 transcript:ONIVA01G47960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24580) TAIR;Acc:AT5G24580] MGEQVKLNDDKEEGGKEKNSKQVEEVAPAAEKEEAAAAADAGSKEEEQVPPPPPPVILGVELHCTGCARRMRRCILRSKGVQGVEVDMGGNQLTVTGIVDPQALCARLRHKTLRNATVISPPPPPTSTEDQDQHQPSPRPPLVHSQVSDVTTVELLVNMHCEACAQQLHKRILKMRGVQTADTNLSTGKLTVTGTVSGDKLAEYIHRRTGKLATVVVPPPPKPKEEEEEKTNDDKPPPPPAAEADKKDEENKPAAEDGSSKLQNEAEGNANKEAAAAAEEEAPEEEKAAAIANNKQQQEDGSVVVEGFPPEEMMKRMHLYWPPYGHGYTSYYHHHHHHHQGGQAHPCANLHSWVPPPPPSPPPPPPVYYSSYVMLDRPPPPPPPPPPQLFSDENPNACVIS >ONIVA01G47950.1 pep chromosome:AWHD00000000:1:39687387:39692889:1 gene:ONIVA01G47950 transcript:ONIVA01G47950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G17850) TAIR;Acc:AT1G17850] MAMAAAVHRFLGVFPAPSTPTPPPPPPPPPRQLSPRPHHIPPSLVRCCSSSPVCAATVAVAQPQEFVVVTFYKFVSIDDPRAEVSRHLHFLQGRDIHGRIYMNEQGINAQYSGPHKDAVAYADWLRKDHRFRDLLVQTSPSLCGHAFPRLKLRYKPSLVQLEGGCSHLPLVDPSMRATPLTPSEWRERLEARKCLDVSSSEAAGDSSGRRLLLLDVRNDYEWDIGHFQGAQRPNVDCFRSTSFGLSESEQEMDSSDPLNGIDKENTDILMYCTGGIRCDVYSTILRKKGFRNLYTLKGGVSNYLKEEGSAGWVGNLFVFDGRLSLPPATYKPGAGDDDDDEEEEGRNRSSSELGRCYACGSEVVELRHRNCANIDCNRLYLCCGRCMEELRGCCGEGCTAAPRLRPLLPSHQRYHKWHLYRHLDLGAPSSPS >ONIVA01G47950.2 pep chromosome:AWHD00000000:1:39687387:39692889:1 gene:ONIVA01G47950 transcript:ONIVA01G47950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G17850) TAIR;Acc:AT1G17850] MAMAAAVHRFLGVFPAPSTPTPPPPPPPPPRQLSPRPHHIPPSLVRCCSSSPVCAATVAVAQPQEFVVVTFYKFVSIDDPRAEVSRHLHFLQGRDIHGRIYMNEQGINAQYSGPHKDAVAYADWLRKDHRFRDLLVQTSPSLCGHAFPRLKLRMRATPLTPSEWRERLEARKCLDVSSSEAAGDSSGRRLLLLDVRNDYEWDIGHFQGAQRPNVDCFRSTSFGLSESEQEMDSSDPLNGIDKENTDILMYCTGGIRCDVYSTILRKKGFRNLYTLKGGVSNYLKEEGSAGWVGNLFVFDGRLSLPPATYKPGAGDDDDDEEEEGRNRSSSELGRCYACGSEVVELRHRNCANIDCNRLYLCCGRCMEELRGCCGEGCTAAPRLRPLLPSHQRYHKWHLYRHLDLGAPSSPS >ONIVA01G47940.1 pep chromosome:AWHD00000000:1:39684711:39687166:-1 gene:ONIVA01G47940 transcript:ONIVA01G47940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGPEEEKEEEEARFDFLEWIGPDTSAAVFTFLDHPADLARASAVSRSWRRFIVRNGFSKIQCLRLCPEASNFTRIITKQAIASASESDAEHQHRAYMHLSYALLLDDPQDCIIRCIGASTTDNFPEETIQNTLVPTDWVAMMRPSYWSSAGHFDPAVPECLFYRLRSDLCLVQQINIQPFRAFFQYGDPIYSAKYVRFQMGYPKTPLPSQLLVSHDNEGQLAADDNYIWTYTSPQFPMLQESVLQSFKLPRAVLCIGGVVKIEFLGRVQKQEMDDLYYICISHVQIVGIPLPRELGVDPYKNGVVLKYYPDTRRSGVCHGESSGDDGRNSPSKWRNFTTRILHSSSARRLGWNQAILNRLFGAHDASEEEEEET >ONIVA01G47940.2 pep chromosome:AWHD00000000:1:39684711:39687166:-1 gene:ONIVA01G47940 transcript:ONIVA01G47940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGPEEEKEEEEARFDFLEWIGPDTSAAVFTFLDHPADLARASAVSRSWRRFIVRNGFSKIQCLRLCPEASNFTRIITKQAIASASESDAEHQHRAYMHLSYALLLDDPQDCIIRCIGASTTDNFPEETIQNTLVPTDWVAMMRPSYWSSAGHFDPAVPECLFYRLRSDLCLVQQINIQPFRGQPTHPPSFFLLLLLNTSITCLLHPAFFQYGDPIYSAKYVRFQMGYPKTPLPSQLLVSHDNEGQLAADDNYIWTYTSPQFPMLQESVLQSFKLPRAVLCIGGVVKIEFLGRVQKQEMDDLYYICISHVQIVGIPLPRELGVDPYKNGVVLKYYPDTRRSGVCHGWNQAILNRLFGAHDASEEEEEET >ONIVA01G47940.3 pep chromosome:AWHD00000000:1:39684711:39687166:-1 gene:ONIVA01G47940 transcript:ONIVA01G47940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGPEEEKEEEEARFDFLEWIGPDTSAAVFTFLDHPADLARASAVSRSWRRFIVRNGFSKIQCLRLCPEASNFTRIITKQAIASASESDAEHQHRAYMHLSYALLLDDPQDCIIRCIGASTTDNFPEETIQNTLVPTDWVAMMRPSYWSSAGHFDPAVPECLFYRLRSDLCLVQQINIQPFRGQPTHPPSFFLLLLLNTSITCLLHPAFFQYGDPIYSAKYVRFQMGYPKTPLPSQLLVSHDNEGQLAADDNYIWTYTSPQFPMLQESVLQSFKLPRAVLCIGGVVKIEFLGRVQKQEMDDLYYICISHVQIVGIPLPRELGVDPYKNGVVLKYYPDTRRSGVCHGESSGDDGRNSPSKWRNFTTRILHSSSARRLGWNQAILNRLFGAHDASEEEEEET >ONIVA01G47940.4 pep chromosome:AWHD00000000:1:39684711:39687166:-1 gene:ONIVA01G47940 transcript:ONIVA01G47940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGPEEEKEEEEARFDFLEWIGPDTSAAVFTFLDHPADLARASAVSRSWRRFILCIGGVVKIEFLGRVQKQEMDDLYYICISHVQIVGIPLPRELGVDPYKNGVVLKYYPDTRRSGVCHGESSGDDGRNSPSKWRNFTTRILHSSSARRLGWNQAILNRLFGAHDASEEEEEET >ONIVA01G47930.1 pep chromosome:AWHD00000000:1:39682047:39683969:-1 gene:ONIVA01G47930 transcript:ONIVA01G47930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRLRRSASSWAAAVADHARSGRHDAALTVFRRVLAVHPATAAADELACSALLRCCDARLAYQIHAQACRRGLVASNPVLACSLLAFYAAAASSPTAAIPPACNLFDEMAHKDAVSYTAMISALVRAGAAHWRQALALYPCMLQAGAPPTQHTFAQLLSACASGRLHHQGTQLHAQLLRWGAGLNLVLKTALLHMYCNCGNMGYAHTVLHSTPQTDVVLWTAIITGYARSGDLQSALQMFRSMTRAAVLPNAFTYAALISACSSFRALQPGRQIHARLFKFGLEHDTSVCNALVDLYSKSSSRLLDLLHTFHAVDKPNVVSWTALIAGLACHGRDEEAFLAFSQMRLSGVLPNSFTVSTLLKGSSSSLAFLHARKIHGYILKTSFESLDAAVGNSLVDVYVRFARMDDAWAVATTMAFIRDRFTYTSLARGLNQMGLQQRTLEMFVRMFHEDVDIDGFSIASFLSSAASLASIETGKQLHSCSVKLGLSSDISVSNSLIDMYSKCKCMGDARSVFQSISEPKVVSWNALMSALVSNEYYNEALSAFEDMTLVGAKPDGITFSLMLFACNRSGLVDIGIKYFNSMGNLFGVLPQRSHYTLFLDMLGRSGRLTEAASTIDVIPIQPDLVNVQGSIGILKSL >ONIVA01G47920.1 pep chromosome:AWHD00000000:1:39677335:39681054:1 gene:ONIVA01G47920 transcript:ONIVA01G47920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVNFGDSSSIPPVDAVVPMAMAPRLLCFLFLLLQLLLFPRVAAIVLAATPVLLLAAFLLALVLVYSEPNNNNEPDHLIPIRIRSRNPHAHDHASSCTASGSSDDDDDAQDSDSESVSEPADDEKAAVWTAEDEKSIQNIGSLELERNAAVEKLMSSRSMHRYYAADRDLIDLDLDGDGDGHQLPPGSAPSMHRNPFFFHDDQQAAASTAKLFSRHESFRPYFVADKTQQPVVLESSGGGGSSSSSSSSSSASGDRAGQHMKQEAVADFSSSPKAMVVTVNAELPNPKSMVTVDVELISDSSDDDDDDIMSLPGQQITKVASSMSDDDDGESSFEVESITRQVNETLHAHAAAAAAAAAAAREGREEKEEKNKLASIEEDERRERDVFPSPSPFLRQTLPPLMATAVVLLLLPPPPPLPKQS >ONIVA01G47920.2 pep chromosome:AWHD00000000:1:39677335:39681188:1 gene:ONIVA01G47920 transcript:ONIVA01G47920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVNFGDSSSIPPVDAVVPMAMAPRLLCFLFLLLQLLLFPRVAAIVLAATPVLLLAAFLLALVLVYSEPNNNNEPDHLIPIRIRSRNPHAHDHASSCTASGSSDDDDDAQDSDSESVSEPADDEKAAVWTAEDEKSIQNIGSLELERNAAVEKLMSSRSMHRYYAADRDLIDLDLDGDGDGHQLPPGSAPSMHRNPFFFHDDQQAAASTAKLFSRHESFRPYFVADKTQQPVVLESSGGGGSSSSSSSSSSASGDRAGQHMKQEAVADFSSSPKAMVVTVNAELPNPKSMVTVDVELISDSSDDDDDDIMSLPGQQITKVASSMSDDDDGESSFEVESITRQVNETLHAHAAAAAAAAAAAREGREEKEEKNKLASIEEDERRERDVFPSPSPFLRQTLPPLMATAVVLLLLPPPPPLPKQS >ONIVA01G47910.1 pep chromosome:AWHD00000000:1:39667582:39674054:-1 gene:ONIVA01G47910 transcript:ONIVA01G47910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASLVSSPPLACCLQLHRLLRPAALLPSPPRLRLRLRPLRADSSPSPDAFAGWSDGDEQDEQDKSPGPFRGLLGPGLAGLFFLAGLTFAAISFRSNAANGPKAQIDTSSTNSQEAPYSTDNTCKEDGLKDAQVSLPSDSKGETSLDDEAHSSTDPLPVQVNATAEGSTEHETQHPLQNSELVPPDKYVPSEDAGQAHNLVASDGAESLVSPLSNSTEPSIAAYDSPDKLYGVGPSEGTPYVEDTLNCEITLPENQHLGETLTSDTMLGSGDASLIQEISDTAASSDAKDKDTEQNPELHRKNGISPSRMPDYTEYGHADQLLSFGSNDVSTEANKPGNGVETLASNQNEGADELENQNSLYESTTPDKSFASSGIPAPTLLSAALQVRTGQIMVPAAVDPAQASALAALQVLKVIEPDAQAGDLCTRREYARWLVVASNCLSRNTSSKVYPAMYIENVTELAFDDITPEDFDFPFIQGLAEAGLISSKLSRSDMNVPLDVDNLHNLFSPECPVSRQDLVSWKMALDKRQLPEVDKTVRNEKILILLSWYKRPAGCSDVKTFCGQSMYKASGYMDVDKINAAAWPALVADLDAGDQSITALAFGFTRLFQPDKPVTKGQVALALSTGDSADVVMEELARIEAEKIAEDAVNAHGELVAQVEKDLNATFERELTKEREKIETLEKLAEEARVELDKLRAERVEENNALIRGRASVESEMEVLSKLRSEVEEQLQSVLSKKVEISFEKNRIEKLQTEIENDRQAVVQLQYELEVERKALSMARAWAEDEAKKAREHARALEEARNQWERHGIKVVVEGGLEDDASAGVTWANAGKEHQVDEAINRAGSLLEKLKSMSAEIKVRSCHSLERVIQHVRSFISILKQGAEEATQRFTDLGAAAALKAKKLSSEAQDNVYVFGSTIGDKSKRVVEDCKEGLEKFVHRFKTD >ONIVA01G47900.1 pep chromosome:AWHD00000000:1:39661723:39665999:1 gene:ONIVA01G47900 transcript:ONIVA01G47900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLKHHANSVKMQLDLEKELKGNIRVFCRVRPLLPNESGVVAYPKSGENLGRGIELTHNGQMYFFTFDKVFEQSTSQEDVFIEISHLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPELHDQKGLIPRSLEQIFQTSQALISQGWKYKMQASMLEIYNEAICDLLATNHTTIQDGGASKYSIKHDANGNTHVSDLIIVDVLSINEVSSLLKRAAQSRSIALHYR >ONIVA01G47860.1 pep chromosome:AWHD00000000:1:39614416:39615278:-1 gene:ONIVA01G47860 transcript:ONIVA01G47860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDVGGGTCSRATRTRGGWWLEKRKGELAGTAATRAHLRLAKVGERRGKWTKWIRRSEERLVVQGFEKWSCRGGDRPGLSGGRSWWREKRELVELG >ONIVA01G47850.1 pep chromosome:AWHD00000000:1:39607133:39607832:-1 gene:ONIVA01G47850 transcript:ONIVA01G47850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKKQQAALLAVVAVAALAQVAAAAVHPVGGNGAWDTTGNYNAWSVSQKFSQGDSILFTYPSSHDVVEVPKASYDACSPANALASYTDGSTTVKLDAPGKHYFICGVPGHCAAGMKLEVTVAAATATKPRHKKGAAPAAAPAMPPAVSSPTEEMPAVTSPTGSPAPSSASAASTIAINVAATLAAGMALAFLAM >ONIVA01G47840.1 pep chromosome:AWHD00000000:1:39597690:39599295:-1 gene:ONIVA01G47840 transcript:ONIVA01G47840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMDDVPLCILQCIMMFLLPDVGDVVRASAVSRRLREAWMGMEAYELDASTIPDHHLLDLDSTFAAIVDRVVFNHSGPGIKSMSLAHTRYDTDGDRRVTAWLDRLASREHHRLERLDVNIGAALHTPASLFRCETLVELRLVVHAAARGLRLDVDGAVHLPQLRRLCLEHAGFRSSTQFQNLIDGCPLLELLHLRFTAVARREDTVGIEIRSPSVRRVVLEGCGGYGMVPFEVSAPNVEELVLSGRNMVAVEKGGVRRLSARKVSLLMDDKLWWYNVFAPFHHFMAFLNVGTNMSRIMAGFHGVLELAISGWCIEYLSKIVDSMNLPDWGIEVLRVEGMWPNQGQAGVVLHFLRSSPCLRNLFITNELEHPREISIDENREQYPATPEFLFDAVPGRLTHLRRFFMFNFSGNRNEISIIKFVLGSSSISINPDQFGVTDYLGNDWSSTQLILASL >ONIVA01G47830.1 pep chromosome:AWHD00000000:1:39595582:39596067:-1 gene:ONIVA01G47830 transcript:ONIVA01G47830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRRHQEAVGLGASGAGGGADGNAGGGVTSAGAAGGGGGGTRASGGGGEANAGGGCAIAGGGGCRGGGGCGMGMGMAASGGGKEGYWCGGGGVVAAVDGMEGCGTVSGRMGWHSSAAAQAEEEELARDLARWRRARKARTTPMRARRARTVKKILVALPT >ONIVA01G47820.1 pep chromosome:AWHD00000000:1:39595565:39596672:1 gene:ONIVA01G47820 transcript:ONIVA01G47820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWCYVGKATKIFFTVLALLALIGVVLAFRALLHRAKSRASSSSSACAAADECQPILPDTVPQPSMPSTAATTPPPPHQYPSFPPPDAAMPMPMPQPPPPLQPPPPAIAQPPPAFASPPPPDALVPPPPPPAAPALVTPPPALPSSARTGGAKPNGLLMPPILHLRFRAATLLSEEHDSDGSHLFVCDCAN >ONIVA01G47810.1 pep chromosome:AWHD00000000:1:39592789:39593531:-1 gene:ONIVA01G47810 transcript:ONIVA01G47810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like 1 [Source:Projected from Arabidopsis thaliana (AT3G01440) TAIR;Acc:AT3G01440] MATYLQSAGLTAATASTSRLLRPTPRRLLLLVAACSTGGRRSACLSVGLAAAAATIFQHHPACAATDDEPANNGWWLTEFPLPVPKIVNKELNNGETGSRTFVRNGIYIADIGPSYAAHAYRLRSTAFDLLALEDLLGNNADRANYVTKYLRLKSTFMYFDFDKLISAASDDQRPPLLDLATRLFDSFERLQKACGTKDDTQIGSSYADTKIILQEVMTKMA >ONIVA01G47800.1 pep chromosome:AWHD00000000:1:39587204:39593783:1 gene:ONIVA01G47800 transcript:ONIVA01G47800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FXZ0] MSTRATRPGMLHQKENAADAQAGKRQRTAAGSAARAPLSANAAPPAPDPAIEFAGRDDVDALLNEKMKGKNKMDYKGKSEQMMEYIKKLRACIKWLLEREDTNLAEIGKLNGLLEAAEKHHSEIVAQLKSAIEESKAINEELQRQYASLEENLKRVEAEKLDALRSYGDEKEARIAVEASRNEHLEDLRRIKLEEKRLNDQIKMLQDTNKRLQEYNTSLQQYNSNLQADATKNGETIAKLQKEKNTMVETMNGLKDHANSVKMQLDLAKSSQNEALKQKTDLLKEVDNLRGELQQVRDDRDHKLAEIHSLLADVSTYKEMTGKSVAELDNAMTRSTALEETCSSQAERIKTLELQLASANEKLKRSDLTTMETMTEYEKQKRMLEDLQLRLEEAEQQILDGENLRKRLHNTILVLSLKLFLVFCVSSVVAKTLALQELKGNIRVFCRVRPLLPNESGVVAYPKSGENLGRGIELTHNAQMYSFTFDKVFEQSASQEDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPELHDQKGLIPRSLEQIFQTSQALISQGWKYKMQASMLEIYNEAIRDLLATNRTTVQDGGASKYSIKHDANGNTHVSDLIIVDVLSINEVSSLLKRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEVSSTGESICSLRFAARVNSCEIGIPRRQTQVRSLAQG >ONIVA01G47790.1 pep chromosome:AWHD00000000:1:39581869:39584355:-1 gene:ONIVA01G47790 transcript:ONIVA01G47790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQNDSDWEIRVAVLLSLTLQILLIFVGPMRKRSSHPVPRFAVWSCYLLADWVADLALGLLLNNLGNISGGNGSSSSSSSSSISHLSAGVGGFKRGPGGGSTNNTSSGGGSPPIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSAFVVFSCSVKSNPMVPATALIFLVGIIKYGERTYSLYSGSVSGFRDKILGEPNPGPNYAKLMTEFDSKKKAGLLVEITIADGEASKAKEALEEGEEVRLVKESNKSLEAMAYDFFTMFRLLFVNLILSYKERRISQAYFLDRHDMTAGKAFEVVEVELNFIYDMVYTKAPVSHSFRGCVLRCVGIACLVIAILLFALLDKTAILPVDRGITYALLLGGLALDVAAILMLLCSNRMIVFLEAKHMAWLSRVARAVRPRTKRWSERTSQLNLICYCLGKPKEQEGRRHCCRRKTIPPSVMRFLIWVADKVSVRETLDDFFFIQRKPVSCSHIDNNNKKMNHLCCWHKEEKPHVDVLTYVFDRLKKEAQKFKGSTDYDLMKKLCGYRGEGTLKDDEELVRDIQMELTKATREELSLRLTEEESSSSTTKKKEAEEITHDVLQLADKKKKEIDDLVKEKLDGVLRNSIEREFDESLLLWHIATDLCCHRERKGPTQMHDTNGLMSISETLSEYMLYLLVRQPEMLSATAGIGLLRYRDTCAEARRFFKSAEAWDPNHDDARRMLLSVNTSKKPADVKGDRSKSVLFDACILAKVLWELDYDTMWRVVAGVWREMLTYAAGKCHGSTHVRQLSRGGELITLVWFLMAHMGMGDMYRINEGDAKAKLIVHDQ >ONIVA01G47780.1 pep chromosome:AWHD00000000:1:39577318:39578736:1 gene:ONIVA01G47780 transcript:ONIVA01G47780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQGQGEQTSSMAGAGKGKGEVEGMEQKLEKLMSAFHDHQEGNKAVRHQKELEELFSFLREHEAAFSQLPGDKKDDLDALLRNIEGVLELCKNQEEKKKKTTNKMGDCIPFKSSSRPPADHTSSTSVVAPLLMQARDILGDSSSSAPAAAGPNKKEVLYEWTTSYVDEKRLYGWDDEATEVADALAGPEEDDDELFRAAGIFGIHGSGKTALAQKVFVHDRIKDTFLLRLWVCVGPTPPDDDKKQQYEVKFSLLYRMLDNLGLDTSKVEEVVNGSEAVKKHSGDSDAAKESKIGVLLFILHVALAKTSYLIVLDDIRAYDPWYTNLALPPPPHGEWSDRLAYGLPKLNKSAVLVTCRKEEHARAMVRTGRVFHPPLLAVADAWKLFEREYQQEAKKKQVGYNVKDDVLYNDLKVVQEEMVGKCLGLPVAILEAAKGFAQYCTYVDDDDAKTTQPTTAKGADAGDPAHAAA >ONIVA01G47770.1 pep chromosome:AWHD00000000:1:39563175:39565845:-1 gene:ONIVA01G47770 transcript:ONIVA01G47770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQDQTVKSNAGSASPNQLTDVNDHSNVSRQYDTSPSSHQECWRSEDLNRYACSDDSEESGHLKKSLSLGNMLHKDHDHHFSEGAECDIIDCDHKGHCSSLKSNSAVGDSAKLNTKGNENAFDALSDLVSPSGDHVVDSDSHYLCYDQTKFPRSQSAIFQNNSNCGTEGSADSEILGPRCRSYEDLCSAVSEKVDYLNSDLCSAVSEKVDYLNSVEPHRSKSNLDVHCAGPSSPDVYERMNFEDNGSIGCSDAADGGQRSTASAEESFVRDGMLSHEYWDNKYVSGHQSVDPVAPYYSGTGDGSHHSNNDGGINEALDQERKDNLWNRDSTPYHKSLVIDASDLKLCDSKDISEELKHNRTGINDNQYFDVDPDELSPRTFSIKRIEDWINQIDIDDGTLVEEQGESSNSVLTKYNEPVAGVPAVRPDAKSPLGMEIAYTYISKLTPTSSSAQLGNLGLVAIPRLSAFLGLRLLNLSGNSIVRITAGALPRGLHMLSLSKNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLGGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYSSLEAVNLDGNPAQKNVGDEHLKKYLVGLLPNLGFYNKHPIRASGSKEVSDRHTRKISSSHRSDRSGRSDRKSSRLVASTSSYKAQSSRHARSGHASSSFLKNPRGRSMAVAASGPRLMEYGGAGDVQIERKAQ >ONIVA01G47760.1 pep chromosome:AWHD00000000:1:39568256:39577109:1 gene:ONIVA01G47760 transcript:ONIVA01G47760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKASSLSLPRRRRPCAAGRGGPLAGGADCARPYLPTAFPRLPCLYDTTLLAQSGSPLLGTLKMKSVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSMYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFIIAFHIVFIGLWYIGLVARMAGTRPGIWTIFQNCTVISIACCVFYSHCGNLAVHKSKSFSRNSDPNLLAFLENEKGTTWISNFLRMNELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTAAMKRTTDETQNDDLLYDYFNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGNLLLIGGDLAYPNPSSFTYEMRFFSPYEYALQPPPWYRAEHIALDKPEVPLGISKMKDYDGPQCFIIPGNHDWFDGLHTFMRYVCHKSWLGGWFLPQKKSYFALRLPQGWWVFGLDLALHGDIDVYQFKFFAELCRNKIGENDSVIVMTHEPNWLLDWYWKETTGKNVSHLIQDYLNGRCKLRLAGDLHHFMRHSANQIDNPTSVQHLLVNGCGGAFLHPTHVFKNFEQFSGATYECKAAYPSFDDSSGIALGNILKFRKKNWQFDTIGGFIYFILVFSMFPQCNLGHILNEETWSGRLGSFSNTIWSALLYIFEHSYVSSVGSLTLLLASYSFVPSKLSRRKRAIIGGLHVLAHLTAALLLMLLLELGIEICIRNHLLATSGYHTLYDWYRSMESEHFPDPTGLRARLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLMMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGNLEIFTLAVDKVPKDWKLDPKWEAEERRPHQLSHHRKHPSKWRSSSSPDPVTSVRVVDHFTISRTRTSDPNTSC >ONIVA01G47760.2 pep chromosome:AWHD00000000:1:39558325:39568509:1 gene:ONIVA01G47760 transcript:ONIVA01G47760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVSTGAGKDYPGKLTLFVFFTCVVAATGGLIFGYDIGISGGVTSMDPFLKKFFPEVYRKKQMADKNNQYCKYDNQLLQTFTSSLYLAALVSSFFAATVTRVLGRKWSMFAGGLTFLIGAALNGAAENVAMLIVGRILLGVGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAAELINYGTAKIKAGWGWRVSLALAAVPAAIITLGSLFLPDTPNSLIDRGHPEAAERMLRRIRGSDVDVSEEYADLVAASEESKLVQHPWRNIIRRKYRAQLTMAICIPFFQQLTGINVIMFYAPVLFDTLGFKSDASLMSAVITGLVNVFATLVSIFTVDRLGRRKLFLQGGAQMVVCQVVVGTLIAVKFGTSGIGDIPKGYAAVVVLFICMYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMLFTFVIAQAFLTMLCHMKFGLFYFFAGWVVIMTVFIALFLPETKNVPIEEMVLVWKSHWFWRRFIGDHDVHVGANHVSSNKLQP >ONIVA01G47750.1 pep chromosome:AWHD00000000:1:39545877:39550872:1 gene:ONIVA01G47750 transcript:ONIVA01G47750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHISRMALTRHQRYRFVRILLIKVLESRSNMVDHRANLVQVSQNMFQALSYHIFHMLILSSFFWHKCLYHITSRHLLAH >ONIVA01G47750.2 pep chromosome:AWHD00000000:1:39545877:39550872:1 gene:ONIVA01G47750 transcript:ONIVA01G47750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHISRMALTRHQRYRFVRILLIKVLESRSNMVDHRANLVQVSQNMFQALSYHIFHMLILSSFFWHKCLYHITSRHLLAH >ONIVA01G47750.3 pep chromosome:AWHD00000000:1:39545877:39546330:1 gene:ONIVA01G47750 transcript:ONIVA01G47750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNFLEGPRPSQPPQRRAGEIVSLLQNRLNMNITFKELQDGPAAARPPLGPHALTGHHQQGHPASSAGEGDQPVLQAWTAVGDCGRHGGAVLSL >ONIVA01G47740.1 pep chromosome:AWHD00000000:1:39541727:39542716:1 gene:ONIVA01G47740 transcript:ONIVA01G47740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGSLLGRPPLTTHFRRLLHDAPADQKLANKMKKKLQDEQQQDWDAIVRMIVRSKLHTYNVVPDGEDPPWARRAFHVLVMIPTSFICGCNLGERIYHQLGLRTNRRP >ONIVA01G47730.1 pep chromosome:AWHD00000000:1:39541224:39541787:-1 gene:ONIVA01G47730 transcript:ONIVA01G47730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVRSIGEKLLRRRSSARRFSLDEAQAALTKLEGPKREEVVRMMRHWEATLDDHILANIRNIHAQAGFFNCVLNRLGVTKGDSRDKWLWRSNLTAMFFTSFVLGYRSTQHIINKHKQIKMHA >ONIVA01G47720.1 pep chromosome:AWHD00000000:1:39535494:39536742:1 gene:ONIVA01G47720 transcript:ONIVA01G47720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPFSPELAVDPDGDRRRRQLPGLIATMDELYEYIRTVREPCEAVVGGRHRRWLSSRWRWGRAAPAPRNLAAAAAMDGWMMERRKGDEDGVREVDILLDRLKETGTKSMDMATLDQTTYFTLGCDGP >ONIVA01G47720.2 pep chromosome:AWHD00000000:1:39534268:39536742:1 gene:ONIVA01G47720 transcript:ONIVA01G47720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASSATMGRAMMMRAASSSLPVMRFAHRHRLLHGTPSHRTTTTPSKEEVDILLDRLKETGTKSMDMATLDQTTYFTLGCDGP >ONIVA01G47710.1 pep chromosome:AWHD00000000:1:39529897:39530624:1 gene:ONIVA01G47710 transcript:ONIVA01G47710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFARIGRYSLAELRWEGGRQRCGSGGQRQLGLRLAGGGQEGGGERQGISNGVPPDDGMGSETQRWPSGGGGPRGSRGPGGSRQRWRPLGRRQFPLAPAALGAAAEDGGATAPVGASGPRGCGGIGWRRLPLAPAALGATAGRRRLSSAPTAQDSSSLSTVNPMKWKPIPSVRIWLASSG >ONIVA01G47700.1 pep chromosome:AWHD00000000:1:39529259:39529834:-1 gene:ONIVA01G47700 transcript:ONIVA01G47700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FXX6] MARSAKLLLLLAAVIYILAASFAVPASAARRRAVRLQLYMHDIAGVTAVQVVKGTGPLHPSMPPGNRHFGDTTVMDDLLTEGPSLESSPVGRAQGSYVLAGLVDPVVVVTATFKLTHGPYNGSTLVIAGRDEVLAEVRELAVVGGTGKLRRASGHVLWRTVEVLEAGAHYVLELDVYASLPATAASALATN >ONIVA01G47690.1 pep chromosome:AWHD00000000:1:39525154:39525786:-1 gene:ONIVA01G47690 transcript:ONIVA01G47690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FXX5] MAIISIAGHLLALVLAVAVPASASASAGGLPVRLRLYMHDITGGPGQTAVQVVNGTGPLHPAMPPGSHFGNTMVVDDLLTGVDSSMAVGRAQGSYTLACLRAPVFVVSITLVLTDGPYKGSTILIAGRDDISEEVRELAVVGGTGKLRRATGHVLWTTARRESPVHMVLELDVYAWVPASSSPARRWSWSSLLRGTNVVTADVDDADSYF >ONIVA01G47680.1 pep chromosome:AWHD00000000:1:39523215:39523835:-1 gene:ONIVA01G47680 transcript:ONIVA01G47680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FXX4] MGSSSVPEHRRQLVAAVALILAVAAAVAPAAARRQAVRLRVYMHDIVGGAGQTSVVVVKGPGPANPSMSPGNNFGDTVIIDDVVTEGPSLASREVGRAQGTYMLASMARPVFIVDITLVLTDGPYNGSTIVVAGRDDTSEEVRELAVVGGSGMLRRASGHVLWRTAKVESKLHAVLELDVHASVPAAAVAPSGSHGHPLLVTSASE >ONIVA01G47670.1 pep chromosome:AWHD00000000:1:39523229:39525503:1 gene:ONIVA01G47670 transcript:ONIVA01G47670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTGSNSSSRHRGVHVELQHGVQLGLHLGRPPQHVPGRSPEHPAAADHRQLPDLLGGVVAAGDDDGAPVVWPVGEHQRDVDDEHRPRHGRQHVRPLRPPNLPGRQAWALRHHVVDDHRVAEVVPRRHGRVRRPRPLHHHHRRLPRPAHDVVHVHPQPHRLPTRRGRRHGGRDGEDQSHGGD >ONIVA01G47670.2 pep chromosome:AWHD00000000:1:39522872:39525758:1 gene:ONIVA01G47670 transcript:ONIVA01G47670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTGSNSSSRHRGVHVELQHGVQLGLHLGRPPQHVPGRSPEHPAAADHRQLPDLLGGPVGEDEGDGDHKHGGAQARQRVGALRAADGHGGVHAGEEVVHHHGVAEVAARRHGRVQRPGAVHHLHGRLPRPAGDVVHVQPQPHREPTGRGRGRGRHRHREDES >ONIVA01G47660.1 pep chromosome:AWHD00000000:1:39514209:39522360:1 gene:ONIVA01G47660 transcript:ONIVA01G47660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FXX1] MAAWMKQVQSSGGRQLLSVVYLVAAVVIILPATSSRWRQWLWREDRGVAHLHFYMHDVLTGPDATAVDVVNGTGRAFDVAGGLRFGQVVVMDDVLTEGPSRSSPRVGRTQGFYVFSDMNVPALLFCMNVVLTAGPYDGSTVTILGRDHITQPLRELSVVGGTGAFRMATGYVLWRTASWEFRADAVLELDVFLHTRPEYLHSPPPPHHHPPPPTVVSASRTMNRISGCGDTLLLWSQWVVEVAGLLPDLPRHGGGGGGGPNGGCMPPLPQPSSSSQQDKLICSHSNASMLKVGCRNHVGFVIRVELGPHAHSQLTGLMLNLLKFNDKLRGNPLLSPVMPTPKSMSQYNKPMFCAVSAEAAYGINGLSNGTS >ONIVA01G47650.1 pep chromosome:AWHD00000000:1:39504195:39504861:1 gene:ONIVA01G47650 transcript:ONIVA01G47650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGCLLLLLLMMMIVSASSSATMIVISPSNSSSAAAGRGRRMEEYGSGGCSVKSKTWNERKLCTKRGTCNVPCRAEGFDYGSCYPNRPRPSFIGRFFHVCYCSMNYCKNNIIPSS >ONIVA01G47640.1 pep chromosome:AWHD00000000:1:39495551:39498658:-1 gene:ONIVA01G47640 transcript:ONIVA01G47640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytosylfokine-alpha receptor 2 [Source:Projected from Arabidopsis thaliana (AT5G53890) TAIR;Acc:AT5G53890] MVVPPPPPSLLPLSLHPASAREAKSMARRAPLRCLFLSLFALFALLPFPPAAAAPCHPEDLLALRAFAGNLSAGGGGAGLRAAWSGDACCAWDGVACDAAARVTALRLPGRGLEGPIPPSLAALARLQDLDLSHNALTGGISALLAAVSLRTANLSSNLLNDTLLDLAALPHLSAFNASNNSLSGALAPDLCAGAPALRVLDLSANLLAGTLSPSPSPPPCAATLQELYLASNSFHGALPPTLFGLAALQKLSLASNGLTGQVSSRLRGLTNLTSLDLSVNRFTGHLPDVFADLTSLQHLTAHSNGFSGLLPRSLSSLSSLRDLNLRNNSFSGPIARVNFSSMPFLVSIDLATNHLNGSLPLSLADCGDLKSLSIAKNSLTGQLPEEYGRLGSLSVLSLSNNTMRNISGALTVLHACKNLTTLILTKNFVGEDLPDDGIAGFDNLEVLALGDCALRGRVPEWLHQCKRLEVLDLSWNQLVGTIPEWIGQLDNLTYLDLSNNSLVGEIPKSLTQLKSLVTARRSPGMAFTNMPLYVKHNKSTSGRQYNQLSNFPPSLFLNDNGLNGTIWPEFGNLKELHVLDLSNNAISGSIPDVLSRMENLEVLDLSSNNLSGSIPSSLTDLTFLSKFSVAHNHLVGPIPNGGQFFTFSNSSFEGNPGLCRSSSCDQNQPGETPTDNDIQRSGRNRKNKILGVAICIGLVLVVLLAVILVNISKREVSIIDDEEINGSCHDSYDYWKPVLFFQDSAKELTVSDLIKSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFRAEVEALSQAQHKNLVSLRGYCRYGNDRLLIYSYMENNSLDYWLHERSDGGYMLKWESRLKIAQGSARGLAYLHKDCEPNIIHRDVKSSNILLNENFEAHLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQSVIATPKGDVYSFGVVLLELLTGRRPMDVSKAKGSRDLVSYVLQMKSEKKEEQIFDTLIWSKTHEKQLFSVLEAACRCISTDPRQRPSIEQVVAWLDSV >ONIVA01G47630.1 pep chromosome:AWHD00000000:1:39492405:39494382:-1 gene:ONIVA01G47630 transcript:ONIVA01G47630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKSSRKAADEDEGKSKKLRSSRGKQRPKQRRRGRCSSTSSRSESPPRKRSKKLKVSDKKSTKNKGRRRHHSLSPSPSPSSSSVSYSTRSSSGGGGGASERSVSPPRRSRSRDVRKKKKERGRDSKRVRRSRRSTSYSTSGESNSSSRSRSRSNNSKSRNRKSGGNKDHASRNKIVQDYDNGHAHRAENVKSVEIADRDEKAMADTSKGSSIEISHSIIDHEKNESVEKMESAPTKDADETQDILPAGSGSPDAQDLELILRQKALENFRKFRGAALMAGKPQTNSTGKEVVTDSPKSSDTKIAEASSVDKPFQRQRSGLSVNCSVGSPRLEDFGNHITPRKQESSAGKSVGVESPGTFEAGSTSGRTEQKGSSLEPTRSNSQIRLQDGRSSSSIMHRLGSPPRSSASVIRRLGSSAGVNYVNGNPRVRSVVSIPTKEGLDSGTSITTPSACDNSPPVENISEVRHPPIDTNKIEGTKGDERNSGEASAPNVSTLSTGEVKDQPGTEVKDGSQFEKKTFSRMHEGETVQVSYKVYIPKKSPALARRKLQR >ONIVA01G47620.1 pep chromosome:AWHD00000000:1:39486494:39491595:-1 gene:ONIVA01G47620 transcript:ONIVA01G47620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17370) TAIR;Acc:AT5G17370] MAPPPPKELPGFYYDPDKNRYFPIRGPIPGAATRRPAPPPPAQPPPPQAAAAGCRKTARQPELVHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYVTIQTPIGLKESKVLVTGSMNGIVRLYGLGTAIANIEDEMEFLPEPAWTPLIKQNAPVNSALASIWSSETAFSKFLSRSGESGGSIHIMDLSDTIDVAMGSMNAYGGNIIPVASFNRTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNHPTELASPGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHINSHTHLPLVVDPSETLLMSGGEDRMVRIWSIKTGEQIFAQSVDGSLFTALCWPESGCDLHNSSLFGVNHSWGAWMGSRDGLFYVHGT >ONIVA01G47620.2 pep chromosome:AWHD00000000:1:39486494:39491595:-1 gene:ONIVA01G47620 transcript:ONIVA01G47620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17370) TAIR;Acc:AT5G17370] MAPPPPKVSSDIEAIAELPGFYYDPDKNRYFPIRGPIPGAATRRPAPPPPAQPPPPQAAAAGCRKTARQPELVHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYVTIQTPIGLKESKVLVTGSMNGIVRLYGLGTAIANIEDEMEFLPEPAWTPLIKQNAPVNSALASIWSSETAFSKFLSRSGESGGSIHIMDLSDTIDVAMGSMNAYGGNIIPVASFNRTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNHPTELASPGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHINSHTHLPLVVDPSETLLMSGGEDRMVRIWSIKTGEQIFAQSVDGSLFTALCWPESGCDLHNSSLFGVNHSWGAWMGSRDGLFYVHGT >ONIVA01G47620.3 pep chromosome:AWHD00000000:1:39486494:39491595:-1 gene:ONIVA01G47620 transcript:ONIVA01G47620.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17370) TAIR;Acc:AT5G17370] MAPPPPKVSSDIEAIAELPGFYYDPDKNRYFPIRGPIPGAATRRPAPPPPAQPPPPQAAAAGCRKTARQPELVHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYVTIQTPIGLKESKVLVTGSMNGIVRMTVGSFNSKRQDTYLPSFDVILRLHYFNDTLVLIGSGESGGSIHIMDLSDTIDVAMGSMNAYGGNIIPVASFNRTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNHPTELASPGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHINSHTHLPLVVDPSETLLMSGGEDRMVRIWSIKTGEQIFAQSVDGSLFTALCWPESGCDLHNSSLFGVNHSWGAWMGSRDGLFYVHGT >ONIVA01G47620.4 pep chromosome:AWHD00000000:1:39486494:39491595:-1 gene:ONIVA01G47620 transcript:ONIVA01G47620.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17370) TAIR;Acc:AT5G17370] MAPPPPKELPGFYYDPDKNRYFPIRGPIPGAATRRPAPPPPAQPPPPQAAAAGCRKTARQPELVHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYVTIQTPIGLKESKVLVTGSMNGIVRMTVGSFNSKRQDTYLPSFDVILRLHYFNDTLVLIGSGESGGSIHIMDLSDTIDVAMGSMNAYGGNIIPVASFNRTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNHPTELASPGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHINSHTHLPLVVDPSETLLMSGGEDRMVRIWSIKTGEQIFAQSVDGSLFTALCWPESGCDLHNSSLFGVNHSWGAWMGSRDGLFYVHGT >ONIVA01G47620.5 pep chromosome:AWHD00000000:1:39486494:39489761:-1 gene:ONIVA01G47620 transcript:ONIVA01G47620.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17370) TAIR;Acc:AT5G17370] MVATLGSGESGGSIHIMDLSDTIDVAMGSMNAYGGNIIPVASFNRTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNHPTELASPGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHINSHTHLPLVVDPSETLLMSGGEDRMVRIWSIKTGEQIFAQSVDGSLFTALCWPESGCDLHNSSLFGVNHSWGAWMGSRDGLFYVHGT >ONIVA01G47620.6 pep chromosome:AWHD00000000:1:39489894:39491595:-1 gene:ONIVA01G47620 transcript:ONIVA01G47620.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17370) TAIR;Acc:AT5G17370] MAPPPPKELPGFYYDPDKNRYFPIRGPIPGAATRRPAPPPPAQPPPPQAAAAGCRKTARQPELVHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYVTIQTPIGLKESKVLVTGSMNGIVRLYGLGTAIANIEDEMEFLPEPAWTPLIKQNAPVNSALASIWSSETAFSKFLSSVTCIKKLQHCFPGADNTNSSSQRALYP >ONIVA01G47620.7 pep chromosome:AWHD00000000:1:39489894:39491595:-1 gene:ONIVA01G47620 transcript:ONIVA01G47620.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17370) TAIR;Acc:AT5G17370] MAPPPPKVSSDIEAIAELPGFYYDPDKNRYFPIRGPIPGAATRRPAPPPPAQPPPPQAAAAGCRKTARQPELVHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYVTIQTPIGLKESKVLVTGSMNGIVRLYGLGTAIANIEDEMEFLPEPAWTPLIKQNAPVNSALASIWSSETAFSKFLSSVTCIKKLQHCFPGADNTNSSSQRALYP >ONIVA01G47610.1 pep chromosome:AWHD00000000:1:39482953:39485419:-1 gene:ONIVA01G47610 transcript:ONIVA01G47610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAAASHLLHGLAHHVGRSNTTRLQGSSLRPRNNTMAAVSIGPALLGYQRYATNIAEEPQPLPKLMKTSDDDERMNLTEEEGETPRFNREYKDEAEKAYRFEIFKSTVRFAEKFNAEQVKEHGYCKCILGTTQFADLTLEEFGHGRTDTFGPPKKEYLGLKAKLKSKEDRKW >ONIVA01G47610.2 pep chromosome:AWHD00000000:1:39482953:39485419:-1 gene:ONIVA01G47610 transcript:ONIVA01G47610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAAASHLLHGLAHHVGRSNTTRLQGSSLRVLSGGLELKLLLRPRNNTMAAVSIGPALLGYQRYATNIAEEPQPLPKLMKTSDDDERMNLTEEEGETPRFNREYKDEAEKAYRFEIFKSTVRFAEKFNAEQVKEHGYCKCILGTTQFADLTLEEFGHGRTDTFGPPKKEYLGLKAKLKSKEDRKW >ONIVA01G47610.3 pep chromosome:AWHD00000000:1:39482635:39485419:-1 gene:ONIVA01G47610 transcript:ONIVA01G47610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAAASHLLHGLAHHVGRSNTTRLQGSSLRVLSGGLELKLLLRPRNNTMAAVSIGPALLGYQRYATNIAEEPQPLPKLMKTSDDDERMNLTEEEGETPRFNREYKDEAEKAYRFEIFKSTVRFAEKFNAEQVKEHGYCKCILGTTQFADLTLEEFGHGRTDTFGPPKPCPEIMTKA >ONIVA01G47600.1 pep chromosome:AWHD00000000:1:39478659:39484856:1 gene:ONIVA01G47600 transcript:ONIVA01G47600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0FXV7] MQDQRAGPAKSKEKKRVSRHKQVHCALNYKRVETKKSELRVERRRVKMGGDERAVAAPLLQQQQDGGGGDGERRRRRWWWRWWDGEEAAGQLAFAAPMVATSMAYYAIPLVSVMYAGRLGELELAGATLGNSWGTVTGIALMTLCGQGYGAKMYHMMGVYLQASIITSAFFSVLVSLLWFYSEPVLIFLRQDPEVARTATLFLRYSIPAQFAYGFIQCTLRFLQTQSVVTPLVVFALLPLVLHFGITHAFVHYLGFGYAGAGMSTSVSLWLSFLMLAAYVCLSERFKHTWEGFSTEAFRHVLPGLKLAIPSAVMVCFEYWAFEVLVLVAGLMPNSHMSTSIIAMCENTEAISYMITYGFAAAISTRVSNELGAGNVVKAKKALAVTLVLSLLLGVAFLLLLGLGHDLWAGLFSKSDAVISEFASMTPLLIGSVVLDSTQGVLSGVSRGCGWQHLAAWTNLVAFYIVGLPLSILFGFKLGLQTKGLWLGQICGLLLQNAVLLFITLRTKWERLELTMNGKEDGFVC >ONIVA01G47590.1 pep chromosome:AWHD00000000:1:39469917:39477911:1 gene:ONIVA01G47590 transcript:ONIVA01G47590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGGGGRKPLDYEELNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLAMAPGGLGAYSDSRGIPGIRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQMLNTIIRNERDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDFVNLRQTVASARSKGITVRAMVIINPGNPTGQCLSEGNIKELLKFCFHENLVLLADEVYQQNIYQDERPFISARKVLFDMGPPMSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNVPGQIFMGLMVNPPKPGDISYLKFSAESKSILESLRRRARLMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPKAIDAAKRAGKAADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMTSFKKFNDTFMDQYDGYSRM >ONIVA01G47590.2 pep chromosome:AWHD00000000:1:39469917:39477911:1 gene:ONIVA01G47590 transcript:ONIVA01G47590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGGGGRKPLDYEELNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLAMAPGGLGAYSDSRGIPGIRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQMLNTIIRNERDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDFVNLRQTVASARSKGITVRAMVIINPGNPTGQCLSEGNIKELLKFCFHENLVLLADEVYQQNIYQDERPFISARKVLFDMGPPMSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPKVMPL >ONIVA01G47590.3 pep chromosome:AWHD00000000:1:39469765:39477911:1 gene:ONIVA01G47590 transcript:ONIVA01G47590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGGGGRKPLDYEELNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLAMAPGGLGAYSDSRGIPGIRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQMLNTIIRNERDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDFVNLRQTVASARSKGITVRAMVIINPGNPTGQCLSEGNIKELLKFCFHENLVLLADEVYQQNIYQDERPFISARKVLFDMGPPMSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNVPGQIFMGLMVNPPKPGDISYLKFSAESKSILESLRRRARLMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPKAIDAAKRAGKAADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMTSFKKFNDTFMDQYDGYSRM >ONIVA01G47590.4 pep chromosome:AWHD00000000:1:39469765:39477911:1 gene:ONIVA01G47590 transcript:ONIVA01G47590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGGGGRKPLDYEELNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLAMAPGGLGAYSDSRGIPGIRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQMLNTIIRNERDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDFVNLRQTVASARSKGITVRAMVIINPGNPTGQCLSEGNIKELLKFCFHENLVLLADEVYQQNIYQDERPFISARKVLFDMGPPMSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNVPGQIFMGLMVNPPKPGDISYLKFSAESKSILESLRRRARLMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPKAIDAAKRAGKAADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMTSFKKFNDTFMDQYDGYSRM >ONIVA01G47580.1 pep chromosome:AWHD00000000:1:39463470:39466232:1 gene:ONIVA01G47580 transcript:ONIVA01G47580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPGFLSVRVLRGVNLVSRDAGGSDPYVVLHLDNQKLKTGVVKKTTNPVWNEELTLAVRNPETPIQLEVFDKDTFSKDDQMGDAEFDIEALMQIVRMDLQDIRSGTVVRTVRPGRQCCLADESHIVWENGQIVQDMLLKLRNVETGVVHLQLKWVNIPELKMNTYVLSVGVA >ONIVA01G47570.1 pep chromosome:AWHD00000000:1:39458398:39459447:-1 gene:ONIVA01G47570 transcript:ONIVA01G47570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDQQPLLHDGGDQKPPPEGAARRFRRCRTAPSSEPPPTDKDNSSAADAPPKTLFTGGGRPSFRLVGLLLAAYLLLGTIAFYLAMDHMSGTRTTRALDALYFCVVTMTTVGYGDLVPASDAAKLLACAFVFAGVAVVGTFLSKAADYLVEKQEALLFRALHSHTMVRAMEMNKVRYKLYTAGLLLVAAVASGTVVLWKVEGMRAVDAFYCVCATVTTLGYGDRSFSSEGGRAFAVAWITVSTVVVALFFLYAAELYTERRQRELARWVLRRRTTNMDLEAADLDGDRRVGAADFVLYKLKELGKISQEDISEFLDEFDNLDADHSGTLSPADLAAAQPTPDPPPSLR >ONIVA01G47560.1 pep chromosome:AWHD00000000:1:39449217:39455722:1 gene:ONIVA01G47560 transcript:ONIVA01G47560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVAAIVFSPKGKLYEYATDSRMDKILERYERYSYAEKALISAESESEITLPQLTTCTASRSTHGICFQYCLMSKTLGNWCHEYRKLKAKIETIQKCHKHLMGEDLESLNLKELQQLEQQLESSLKHIRSRKSHLMLESISELQKKERSLQEENKALQKELVERQKNVRGQQQVGQWDQTQVQAQAQAQPQAQTSSSSSSMLRDQQALLPPQNICSYPPVMMGERNDAAAAAAVAAQGQVQLRIGGLPPWMLSHLNA >ONIVA01G47550.1 pep chromosome:AWHD00000000:1:39428023:39430621:1 gene:ONIVA01G47550 transcript:ONIVA01G47550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQKDSEWEIRVAVLLSLLLQVILIFVGPMRKRTSHSVRRFAIWACYLLADWVADLALGLLLNNLGNIGSSSHLSAGAGGGPPIFAFWTPFLLLHLGGPDTMTAYSVDDNELWRRHLIGLLFELFSALVVFSCSIRSNPMIPATALIFLVGVIKYGERTYSLYSSSVDGVIAKILRAPDPGPNYAKLMTVFGGKRNGGLLVEITIANGEASKAKEVLQQGNEVRLVETTKSLEAIAYEFFTMFRLLYVDINLSYKERRISQAYFLDRHDMTADKAFEVMEGNVRSKRLSENIELIKTIGAIYA >ONIVA01G47540.1 pep chromosome:AWHD00000000:1:39404509:39412173:1 gene:ONIVA01G47540 transcript:ONIVA01G47540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGLGQAATVAQLVGADVGSLISVIMQAAMTARQNKKECEQLARRVFMIAELLPHLQDPEVMRRPEVRRPLAGLDDALREAHELVASCQGRAAAYRLVMAWRQAERFREVQSKIDSYLLVFPFISHIDITRRLDRIYRVLLPNDTTLPSASAGIPNHEMEGERFTMAELAAATNNFATDRQIGTNPVARVYKGRLADGREVAVKQLVGNSNLSLAVEEEFQAELSLLSIRHCHIVRLLGRCAAEEKHLVVYEYMKNGTLDDHLHGAPSSSPSPVTTSWRTRVEILLGVSRAVEHLQSSSSDGERRRPVIHRDIKPSNILLDDAWAPRLTDFGLSLTWDERECSSELPVVGTHGYAAPEYVATGRIRPASDVYGVGVVMLEVLTGRKALSQRAVVLKDGCTGFAPESLVDLALPVIRSGKARKLLDKRLTPTPKRRQLRAADMVARTAARCLLHDWVKRPAISEVVVDLKAALELVRFDAAENSGEAPAATAALEDSARLGFFSLFLALCSALGGKAPRRRGEARRPAAGAAATSGGASLATAALGGQDRQLEMAARREEREKERGERDGEGWQCGMGQAATVAQLVGADVGRLISP >ONIVA01G47540.2 pep chromosome:AWHD00000000:1:39412176:39413704:1 gene:ONIVA01G47540 transcript:ONIVA01G47540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALTAQRNKKECEQLARRVFMIAELLPYLQDPEVMRRPEVRRSLAGLGDTLREAHELAMSCQGMTMVYRLVTAGRQADKFRAGSTRTSSSSPSIISHIYITRRLDRIYNILLPNDMSTMPSPSSGSHSHEVELQGKVVLHGDEGEKFTFAELAAATNNFAADREIGKGGFGTVYIGYLLRDGREVAIKRMHKDERYGTMAKEFMAEVTKNEYTKNGSVHDHLHGTPPPSPSSSSSPVRASWKMRIETLLGVLRAIEYLQFYNERPVIHCDINSSNILFDATWAPRLADFGASVRCDPSLDTSTWSTTAIAKPTIDVYSFGVVMLEVLTGMRALFYKEDDVHEVFDCWDEDPNSIPAVLAEVASPFIEVGEVWKAADTGANGEAAGVGGSASGADGSAPACGCGGRTGRPFPKSWPTLRQRLSSPGAMGSTAGATDANQLLPLSLNVWRR >ONIVA01G47530.1 pep chromosome:AWHD00000000:1:39400912:39402839:-1 gene:ONIVA01G47530 transcript:ONIVA01G47530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGGLGQAATVAQLVGADIGGLITMIMQAAMTAQQNKKECEQLARRVFTIAELLQHLQDPDVLRRPEIQRPLTGLEDTLREAHELVLSCQDKSAVYRLVMTGRQAEKFRDVQSRIDSYLLLFPVISHMDITRRLERIYKILVPKDTLGPSMPAFSVPPNPSPPSQVATQFVWRETHGVKEFTFMELATATNNFSLDRKIGEGAFAKVYKGRLPDGREVAIKRTLETSGIGIIDIMRELSILRSISHQHIVRVFGSCVKEKRQLLPPFRKKQEETLLVLEYMENGSLHRHLHGPRSSSPVMTSWKTRIEILLGVSRAIEYMQSYGERPGFGVVMLEVLTGKKPYFSKEEREEMEKTEECVEEEEREEREQEGKNTEEDKEESEREEEKKTTEECHPYWQKWDSFGRQHLASIALPLIEAGKLWKVLDRRPAAEPTPRQLEAAELVAQTAAHCLQLRGEERPPISVVVANLEKALELARCDG >ONIVA01G47520.1 pep chromosome:AWHD00000000:1:39394111:39402825:1 gene:ONIVA01G47520 transcript:ONIVA01G47520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAKTAGSDAAEVFGEMSHWHSAETRTRTRSSVSFSAKRNKPSSTVLFIQPLEVQAMSLPRHHNWEENDDGAEGLRRSPPGKRPRDRQMEMLEEMRRMLKGQNEKIESMYRENQELREKVSFLTTDITRLGGYLQQSPAPRMLSDQNSSMQLRLQFVNSCSNSKYSTRKIEADDETPLKVAIYDHNNEIMTCEPFSSMRVHIVAIHGDFDDDHKGHWTEEHFRSKIVTGRPGKEHLLSGKLYFRLQGGVGYLNSAKFQDNSSFVPSKRLKLGVMAADERISQRIQEGITESFAVKDVRGYSTKKNLNPSPCDPVYKLNKIAMNGDRHKLLEKNGIKIVGDFLSFYDRSPEDLRKIGKQSLVTLRNALQDQEFTLVAYKRGMGLTNIRHFLKAMAVFTLRGHAQSNQALRCEQTSSVCNGLQSGASLGNLPSKSKLQQSTSNQSVTPRELESFQVANEEVLSIRNEASSVPSMDNNTLGGSSTQQQCFLEHNTTSESDGNALLPGNPSTDDAVRDHLAELEKALLEDESWGDFDFNEAWANPCSAVEHSTGLSSVNGAHNNNINHGGLSAASEAGSVSYGGLSPPVSEVGSRRYMGYSPSPASKPWNCRFRGL >ONIVA01G47520.2 pep chromosome:AWHD00000000:1:39394111:39402825:1 gene:ONIVA01G47520 transcript:ONIVA01G47520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAKTAGSDAAEVFGEMSHWHSAETRTRTRSQCLYLGITIGRRMMMGPKDCADHLRESDPGAPADLNLIFLIILIPTNDSDRQMEMLEEMRRMLKGQNEKIESMYRENQELREKVSFLTTDITRLGGYLQQSPAPRMLSDQNSSMQLRLQFVNSCSNSKYSTRKIEADDETPLKVAIYDHNNEIMTCEPFSSMRVHIVAIHGDFDDDHKGHWTEEHFRSKIVTGRPGKEHLLSGKLYFRLQGGVGYLNSAKFQDNSSFVPSKRLKLGVMAADERISQRIQEGITESFAVKDVRGYSTKKNLNPSPCDPVYKLNKIAMNGDRHKLLEKNGIKIVGDFLSFYDRSPEDLRKIGKQSLVTLRNALQDQEFTLVAYKRGMGLTNIRHFLKAMAVFTLRGHAQSNQALRCEQTSSVCNGLQSGASLGNLPSKSKLQQSTSNQSVTPRELESFQVANEEVLSIRNEASSVPSMDNNTLGGSSTQQQCFLEHNTTSESDGNALLPGNPSTDDAVRDHLAELEKALLEDESWGDFDFNEAWANPCSAVEHSTGLSSVNGAHNNNINHGGLSAASEAGSVSYGGLSPPVSEVGSRRYMGYSPSPASKPWNCRFRGL >ONIVA01G47520.3 pep chromosome:AWHD00000000:1:39394111:39402825:1 gene:ONIVA01G47520 transcript:ONIVA01G47520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAKTAGSDAAEVFGEMSHWHSAETRTRTRSSAMSLPRHHNWEENDDGAEGLRRSPPGKRPRDRQMEMLEEMRRMLKGQNEKIESMYRENQELREKVSFLTTDITRLGGYLQQSPAPRMLSDQNSSMQLRLQFVNSCSNSKYSTRKIEADDETPLKVAIYDHNNEIMTCEPFSSMRVHIVAIHGDFDDDHKGHWTEEHFRSKIVTGRPGKEHLLSGKLYFRLQGGVGYLNSAKFQDNSSFVPSKRLKLGVMAADERISQRIQEGITESFAVKDVRGYSTKKNLNPSPCDPVYKLNKIAMNGDRHKLLEKNGIKIVGDFLSFYDRSPEDLRKIGKQSLVTLRNALQDQEFTLVAYKRGMGLTNIRHFLKAMAVFTLRGHAQSNQALRCEQTSSVCNGLQSGASLGNLPSKSKLQQSTSNQSVTPRELESFQVANEEVLSIRNEASSVPSMDNNTLGGSSTQQQCFLEHNTTSESDGNALLPGNPSTDDAVRDHLAELEKALLEDESWGDFDFNEAWANPCSAVEHSTGLSSVNGAHNNNINHGGLSAASEAGSVSYGGLSPPVSEVGSRRYMGYSPSPASKPWNCRFRGL >ONIVA01G47520.4 pep chromosome:AWHD00000000:1:39394111:39402825:1 gene:ONIVA01G47520 transcript:ONIVA01G47520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAKTAGSDAAEVFGEMSHWHSAETRTRTRSSVSFSAKRNKPSSTVLFIQPLEVQAMSLPRHHNWEENDDGAEGLRRSPPGKRPRDRQMEMLEEMRRMLKGQNEKIESMYRENQELREKVSFLTTDITRLGGYLQQSPAPRMLSDQNSSMQLRLQFVNSCSNSKYSTRKIEADDETPLKVAIYDHNNEIMTCEPFSSMRVHIVAIHGDFDDDHKGHWTEEHFRSKIVTGRPGKEHLLSGKLYFRLQGGVGYLNSAKFQDNSSFVPSKRLKLGVMAADERISQRIQEGITESFAVKDVRGYSTKKNLNPSPCDPVYKLNKIAMNGDRHKLLEKNGIKIVGDFLSFYDRSPEDLRKVWYCFFICSLICSCCDNLSNITLCRFWERFLTKIGKQSLVTLRNALQDQEFTLVAYKRGMGLTNIRHFLKAMAVFTLRGHAQSNQALRCEQTSSVCNGLQSGASLGNLPSKSKLQQSTSNQSVTPRELESFQVANEEVLSIRNEASSVPSMDNNTLGGSSTQQQCFLEHNTTSESDGNALLPGNPSTDDAVRDHLAELEKALLEDESWGDFDFNEAWANPCSAVEHSTGLSSVNGAHNNNINHGGLSAASEAGSVSYGGLSPPVSEVGSRRYMGYSPSPASKPWNCRFRGL >ONIVA01G47520.5 pep chromosome:AWHD00000000:1:39394111:39402825:1 gene:ONIVA01G47520 transcript:ONIVA01G47520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAKTAGSDAAEVFGEMSHWHSAETRTRTRSQCLYLGITIGRRMMMGPKDCADHLRESDPGAPADLNLIFLIILIPTNDSDRQMEMLEEMRRMLKGQNEKIESMYRENQELREKVSFLTTDITRLGGYLQQSPAPRMLSDQNSSMQLRLQFVNSCSNSKYSTRKIEADDETPLKVAIYDHNNEIMTCEPFSSMRVHIVAIHGDFDDDHKGHWTEEHFRSKIVTGRPGKEHLLSGKLYFRLQGGVGYLNSAKFQDNSSFVPSKRLKLGVMAADERISQRIQEGITESFAVKDVRGYSTKKNLNPSPCDPVYKLNKIAMNGDRHKLLEKNGIKIVGDFLSFYDRSPEDLRKVWYCFFICSLICSCCDNLSNITLCRFWERFLTKIGKQSLVTLRNALQDQEFTLVAYKRGMGLTNIRHFLKAMAVFTLRGHAQSNQALRCEQTSSVCNGLQSGASLGNLPSKSKLQQSTSNQSVTPRELESFQVANEEVLSIRNEASSVPSMDNNTLGGSSTQQQCFLEHNTTSESDGNALLPGNPSTDDAVRDHLAELEKALLEDESWGDFDFNEAWANPCSAVEHSTGLSSVNGAHNNNINHGGLSAASEAGSVSYGGLSPPVSEVGSRRYMGYSPSPASKPWNCRFRGL >ONIVA01G47520.6 pep chromosome:AWHD00000000:1:39394111:39402825:1 gene:ONIVA01G47520 transcript:ONIVA01G47520.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAKTAGSDAAEVFGEMSHWHSAETRTRTRSSVYDRQMEMLEEMRRMLKGQNEKIESMYRENQELREKVSFLTTDITRLGGYLQQSPAPRMLSDQNSSMQLRLQFVNSCSNSKYSTRKIEADDETPLKVAIYDHNNEIMTCEPFSSMRVHIVAIHGDFDDDHKGHWTEEHFRSKIVTGRPGKEHLLSGKLYFRLQGGVGYLNSAKFQDNSSFVPSKRLKLGVMAADERISQRIQEGITESFAVKDVRGYSTKKNLNPSPCDPVYKLNKIAMNGDRHKLLEKNGIKIVGDFLSFYDRSPEDLRKIGKQSLVTLRNALQDQEFTLVAYKRGMGLTNIRHFLKAMAVFTLRGHAQSNQALRCEQTSSVCNGLQSGASLGNLPSKSKLQQSTSNQSVTPRELESFQVANEEVLSIRNEASSVPSMDNNTLGGSSTQQQCFLEHNTTSESDGNALLPGNPSTDDAVRDHLAELEKALLEDESWGDFDFNEAWANPCSAVEHSTGLSSVNGAHNNNINHGGLSAASEAGSVSYGGLSPPVSEVGSRRYMGYSPSPASKPWNCRFRGL >ONIVA01G47510.1 pep chromosome:AWHD00000000:1:39390503:39391338:-1 gene:ONIVA01G47510 transcript:ONIVA01G47510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGAIVKVVQAISKAVSTARRNAASCKELAERAQQVAKILPDSNSKAVARVDATAANILRRLRGALDDALQLVESCQSGGGCLSWPLMLVTGDGLVAKFADVNARISNCLVDLQAANGVRIEEKIDRQAVNGSRIEKKLDKLAVAAGSRDQPNQRRANNSSQREIINTGKNGNNHGGWNKGGGQQQNGGKGGKRRRGKKAAGPPPPQFRPRAGAGVPLCHVHPHHHHSMEEDPTSCSVM >ONIVA01G47500.1 pep chromosome:AWHD00000000:1:39387183:39387899:-1 gene:ONIVA01G47500 transcript:ONIVA01G47500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGNIILGAMWKVVSATIKAVKTARRNKARCRELALRAKEVKGVLREYRKAASGGDAATTTRGILCRLKAAIDDALKLAESCGRRSRSNNGGLLSRLQLHRLVASDGLAAKLDDVNGRITSCLVDLQAAIAVRSMMDNHRRANNPVRENNGGKGGRWRRGNNKAAGQPRRRHRAVDDVAGGVPICHVHHHFMIEEEGSTSCSVM >ONIVA01G47490.1 pep chromosome:AWHD00000000:1:39384102:39384491:1 gene:ONIVA01G47490 transcript:ONIVA01G47490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIETLLGVLWAIEYLQFYNERPVIHCDINSSSILFDATWAPRLADFGASVRCDPSSRSVRPDAIYGKFGYIDLEHDSDREADDRRVQLRRRDAGAVDGDEGTLLQRGRRARGVRLLGGGSERYPRRLG >ONIVA01G47480.1 pep chromosome:AWHD00000000:1:39375898:39380759:1 gene:ONIVA01G47480 transcript:ONIVA01G47480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKIFLVFTSAYKHSFKLGRQQWEGMLTGMKICLGCLAAELDTTHIYKDEAGECLMIPTVSTLYIIIPMGCAWKISEECQVVNVSLKTDSWRTEDHHLKMPKQDLKTIMCRGKMSLAPTKTQLLSFSQGSSG >ONIVA01G47470.1 pep chromosome:AWHD00000000:1:39373738:39375390:1 gene:ONIVA01G47470 transcript:ONIVA01G47470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMVCRRLPPGPSVSIWTKGDSKSAKCWATKSSRRLYLSTIFIHNLKLICRDVNPEKVSRIALVKPDEARGVEHVLLSAAQSGGISEKVSKERLISLLEQINTRTSKQTKVTV >ONIVA01G47460.1 pep chromosome:AWHD00000000:1:39358594:39361324:1 gene:ONIVA01G47460 transcript:ONIVA01G47460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPRPIFFVEWPVDGFQSAGAAEGQRVAVLLSLLLQVILIFVGPMRKRTSHPVPRFAIWACYLLADWVADLALGLLLNNLGNIGSSSSHLSAGAGGGPPIFAFWTPFLLLHLGGPDTMTAYSVDDNELWRRHLIGLLFELFSALRKPVSCSHIDNNNKKMNHLCCWHKEEKPHVDVLTYVFDRLKKEAQKFKGSTDYDLMKKLCGYRGEGTLKDDEELVRDIQMELTKATREELSLRLTEEESSSSTTKKKEAEEITHDVLQLADKKKKEIDDLVKEKLDGVLRNSIEREFDESLLLWHIATDLCCHRERKGPTQMHDTNGLMSISETLSEYMLYLLVRQPEMLSATAGIGLLRYRDTCAEARRFFKSAEVWDPNHDDARRMLLSVNTSKKPADVKGDRSKSVLFDACILAKVLRELDYDTMWRVVAGVWREMLTYAAGKCQGSTHVRQLSRGGELITMAWFLMAHTGMGDVYRIAELAGDPKAKLIIHDQ >ONIVA01G47450.1 pep chromosome:AWHD00000000:1:39346540:39352032:-1 gene:ONIVA01G47450 transcript:ONIVA01G47450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPDAPAAPAPVEGKPKGKKSKSNKGNKHKQQDSLSAVSDASAVVTHTDLPDESGNGCTSGEGATTSTAPALEAEKVDKREAPAASASVEGTQKGKKSKDKKRKKHKQQGSPSGVSDASAVVTDTDLANESGNGCTHGEGVLRDADVASSRSGNDLTPDVDRTLGKSKASKRQCDATTSTAAAPEAEEMDEREALAASASVEGTQKGTRKGTKSKDKKRKKHKQQESPSAVSDASAVVTDTDLSNEPGNGCTSGEGALRADDVVASSGHDPTPEMDRTPGKSKTLKQRRGGAISMLAVPEGDKEVDEQEAPGASASVEGAAPKGKKSKSKKQKKQSPSAVSDASAVVMDTDLANESGGGCRSGEGALQDADVVAIPRDGQEPKCPEVNSAEDLVAGKKGNKDNNSQLCSSLHESSIERKRRKNRDRRRRKKENANRRSNVQNPSLLPGAGEVVSVATADMNNTPGSKCKNPSQPVADEVGLVMTADGNISLGSECKKSNKKMKRNQTSVPEAPSVQRMDLGETASVGVMDGECEVQAVLSDCQSARSDRSNVAQAHKENFRHIYSPRGSLIRFRRKKLLILDINGLLADINQDHHNAHLSHAKVRGKLVFTRPYCDDFLRFCFENFELGIWSSRLKANVDSVVNIIMKKDMKQSLLFCWDMSKCTGTGFKTLENKNKPLVLKELKKLWNKEDPDLPWEQEEFSPSNTLLVDDSPYKALGNPPHTAIFPHPYSYLNKKDDSLGPGGDLRVYLENLATADDVQRYVQEHPFGQPSITKSDRHWNFYLFGLGWMEQEPIIEDRKVCKYTCRLLVFVLVMNECSRRRLMSLYA >ONIVA01G47450.2 pep chromosome:AWHD00000000:1:39346540:39352032:-1 gene:ONIVA01G47450 transcript:ONIVA01G47450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPDAPAAPAPVEGKPKGKKSKSNKGNKHKQQDSLSAVSDASAVVTHTDLPDESGNGCTSGEGATTSTAPALEAEKVDKREAPAASASVEGTQKGKKSKDKKRKKHKQQGSPSDLANESGGGCRSGEGALQDADVVAIPRDGQEPKCPEVNSAEDLVAGKKGNKDNNSQLCSSLHESSIERKRRKNRDRRRRKKENANRRSNVQNPSLLPGAGEVVSVATADMNNTPGSKCKNPSQPVADEVGLVMTADGNISLGSECKKSNKKMKRNQTSVPEAPSVQRMDLGETASVGVMDGECEVQAVLSDCQSARSDRSNVAQAHKENFRHIYSPRGSLIRFRRKKLLILDINGLLADINQDHHNAHLSHAKVRGKLVFTRPYCDDFLRFCFENFELGIWSSRLKANVDSVVNIIMKKDMKQSLLFCWDMSKCTGTGFKTLENKNKPLVLKELKKLWNKEDPDLPWEQEEFSPSNTLLVDDSPYKALGNPPHTAIFPHPYSYLNKKDDSLGPGGDLRVYLENLATADDVQRYVQEHPFGQPSITKSDRHWNFYLFGLGWMEQEPIIEDRKVCKYTCRLLVFVLVMNECSRRRLMSLYA >ONIVA01G47440.1 pep chromosome:AWHD00000000:1:39336628:39342382:-1 gene:ONIVA01G47440 transcript:ONIVA01G47440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEERRPELHRQQAGCVTGILQAFDRRYPLAAHHSHNRLLPPAHALSSSPSVGEERTRYSSQIVLDKNMSKSWIDNQRAPLTVELSQGSYSSSSCSSSSSLDGNRSGQQDLSSTDRMLFPEKPFKSSPKLKSSSDSDCGVDYYLDDALAKLSAQPSYPTLGIRNLVKDSIYRDTRDFSIRTFTKEAEKDHLFNCGDPPRILNEPPNSAIQEKNKGTMDIDESLRVLAKLRNPSESVQQPRLSYDAPRFSCEGRESASKLREVPRLSLDIKESPLRNREIDVRPKPSMTDEDRRSSISKDYSPPLETQQEHNACKRLPSVVAKLMGLEDLPEHKDNTAISSQVSKSVTERSEEPTMLRPLSLSSQNEATPRQQRNLDATIKNVPNSKFPVETAPWKQQEKIVLPRKLPKGSKGAHGKEQHAASVYSEIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLQNKKREEPSMPKIYDGDHDNGDVTDVNLRLNSTSNTKQAPEGTPSFTTEEESTTERSFKSPIVIMKPAKSADLLSDVTEDSAVGPLGGLSELPQLRTANSADKRKSSKKVTREAVEQHTKSSSRAPAPQPLASFDKRANGRNEEISRKQKSTSQLMTENSARRQQMQRENNGSLLKHKNSTSPRVQQKKPDSERRARPPIPSPDSSKNQRQSVERSHLDSVSPRSKFRRKPAQAQGEDFHQNGVSRRTRSLNQEGNDMSARSDGSISVASELDVEVTSIDRSAEVNILRSQHGTQTPSGRNPQKVKTSYDANKDLPSMDPAATITERPSPVSVLDSSFDQEEFFHTSKTTNSSNVDDEHHPSPSEESCKPSEKKSTELPTQPKNSKLANIASLLEKLQQLSVNKDEEAPPVDHIAFLCETPSPDHRYVSEILLASGLLMKDLGSGLSQMQLHTSGYPINPDLFFVLEQRKSGWTSKPEGIHQSRSTTKPDDPKRAHRKLMFEAVNELLLDKFEKETTLITGVAARDPVMSSGQQLVKMICSGIECLKTERSRMCQEDSSVIPDAEILNRLEGWSPSFIRRELPGMVLEIERSIFKELVDEVVRGESADGQPAKAGRRRRRLFA >ONIVA01G47440.2 pep chromosome:AWHD00000000:1:39336630:39342076:-1 gene:ONIVA01G47440 transcript:ONIVA01G47440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLQMLGEERRPELHRQQAGCVTGILQAFDRRYPLAAHHSHNRLLPPAHALSSSPSVGEERTRYSSQIVLDKNMSKSWIDNQRAPLTVELSQGSYSSSSCSSSSSLDGNRSGQQDLSSTDRMLFPEKPFKSSPKLKSSSDSDCGVDYYLDDALAKLSAQPSYPTLGIRNLVKDSIYRDTRDFSIRTFTKEAEKDHLFNCGDPPRILNEPPNSAIQEKNKGTMDIDESLRVLAKLRNPSESVQQPRLSYDAPRFSCEGRESASKLREVPRLSLDIKESPLRNREIDVRPKPSMTDEDRRSSISKDYSPPLETQQEHNACKRLPSVVAKLMGLEDLPEHKDNTAISSQVSKSVTERSEEPTMLRPLSLSSQNEATPRQQRNLDATIKNVPNSKFPVETAPWKQQEKIVLPRKLPKGSKGAHGKEQHAASVYSEIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLQNKKREEPSMPKIYDGDHDNGDVTDVNLRLNSTSNTKQAPEGTPSFTTEEESTTERSFKSPIVIMKPAKSADLLSDVTEDSAVGPLGGLSELPQLRTANSADKRKSSKKVTREAVEQHTKSSSRAPAPQPLASFDKRANGRNEEISRKQKSTSQLMTENSARRQQMQRENNGSLLKHKNSTSPRVQQKKPDSERRARPPIPSPDSSKNQRQSVERSHLDSVSPRSKFRRKPAQAQGEDFHQNGVSRRTRSLNQEGNDMSARSDGSISVASELDVEVTSIDRSAEVNILRSQHGTQTPSGRNPQKVKTSYDANKDLPSMDPAATITERPSPVSVLDSSFDQEEFFHTSKTTNSSNVDDEHHPSPSEESCKPSEKKSTELPTQPKNSKLANIASLLEKLQQLSVNKDEEAPPVDHIAFLCETPSPDHRYVSEILLASGLLMKDLGSGLSQMQLHTSGYPINPDLFFVLEQRKSGWTSKPEGIHQSRSTTKPDDPKRAHRKLMFEAVNELLLDKFEKETTLITGVAARDPVMSSGQQLVKMICSGIECLKTERSRMCQEDSSVIPDAEILNRLEGWSPSFIRRELPGMVLEIERSIFKELVDEVVRGESADGQPAKAGRRRRRLFA >ONIVA01G47430.1 pep chromosome:AWHD00000000:1:39332323:39334924:1 gene:ONIVA01G47430 transcript:ONIVA01G47430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLCTRRVVVDARHHMLGRLASLVAKELLNGQRVVVVRCEEMCISGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSRIFWRTVRGMIPHKTPRGEAALANLKAFDGVPPPYDRTKRMVVPDALKVLRLQPGHKYCLLGQLSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLTRLRVKAEKAAEEKLGSQIDILAPIKY >ONIVA01G47420.1 pep chromosome:AWHD00000000:1:39322842:39331225:1 gene:ONIVA01G47420 transcript:ONIVA01G47420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDDEEDDDEAVEVVDEDDHPHPQQQQLLRHQVVDDDDDEDDVRSEGHARSGYHSEEVEGEADNGGEGEAEGEGESEGQVGMEEESEAEAHQADLDQGESDGEKVQSSPEREFSDRVMQNDAAGMDSEDGGYQQWPVASGRRGVVASESEGSEDDYYAGRGHEDEEPHQTRKTPSSPVEEERDHEVVRDVFGESDEDGPAPYRDQHEIDEDSHRSPMEDEGHYEKDLQPEDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPDRMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPEKVKQEKERALGQNIRAHSILQRKKEKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFEDELEAEALAERRIVSAKKSSMGRNIPRKPSFPARPPRRQANEYSESEREESEYETEGEDIEHSPTQGREDELDEEDEYEEDVEEEAAMSDEEIEEPKRRRESGGGSASQRRKEIDSDDDSPPRKQQAVHRRKAVVFDSDDE >ONIVA01G47420.2 pep chromosome:AWHD00000000:1:39322842:39331507:1 gene:ONIVA01G47420 transcript:ONIVA01G47420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDDEEDDDEAVEVVDEDDHPHPQQQQLLRHQVVDDDDDEDDVRSEGHARSGYHSEEVEGEADNGGEGEAEGEGESEGQVGMEEESEAEAHQADLDQGESDGEKVQSSPEREFSDRVMQNDAAGMDSEDGGYQQWPVASGRRGVVASESEGSEDDYYAGRGHEDEEPHQTRKTPSSPVEEERDHEVVRDVFGESDEDGPAPYRDQHEIDEDSHRSPMEDEGHYEKDLQPEDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPDRMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPEKVKQEKERALGQNIRAHSILQRKKEKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFEDELEAEALAERRIVSAKKSSMGRNIPRKPSFPARPPRRQANEYSESEREESEYETEGEDIEHSPTQGREDELDEEDEYEEDVEEEAAMSDEEIEEPKRRRESGGGSASQRRKEIDSDDDSPPRKQQAVHRRKAVVFDSDDE >ONIVA01G47420.3 pep chromosome:AWHD00000000:1:39322842:39331225:1 gene:ONIVA01G47420 transcript:ONIVA01G47420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDVEEETRNQMMQNLFGDQSEDEEDDDEAVEVVDEDDHPHPQQQQLLRHQVVDDDDDEDDVRSEGHARSGYHSEEVEGEADNGGEGEAEGEGESEGQVGMEEESEAEAHQADLDQGESDGEKVQSSPEREFSDRVMQNDAAGMDSEDGGYQQWPVASGRRGVVASESEGSEDDYYAGRGHEDEEPHQTRKTPSSPVEEERDHEVVRDVFGESDEDGPAPYRDQHEIDEDSHRSPMEDEGHYEKDLQPEDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPDRMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPEKVKQEKERALGQNIRAHSILQRKKEKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFEDELEAEALAERRIVSAKKSSMGRNIPRKPSFPARPPRRQANEYSESEREESEYETEGEDIEHSPTQGREDELDEEDEYEEDVEEEAAMSDEEIEEPKRRRESGGGSASQRRKEIDSDDDSPPRKQQAVHRRKAVVFDSDDE >ONIVA01G47420.4 pep chromosome:AWHD00000000:1:39322842:39331507:1 gene:ONIVA01G47420 transcript:ONIVA01G47420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDVEEETRNQMMQNLFGDQSEDEEDDDEAVEVVDEDDHPHPQQQQLLRHQVVDDDDDEDDVRSEGHARSGYHSEEVEGEADNGGEGEAEGEGESEGQVGMEEESEAEAHQADLDQGESDGEKVQSSPEREFSDRVMQNDAAGMDSEDGGYQQWPVASGRRGVVASESEGSEDDYYAGRGHEDEEPHQTRKTPSSPVEEERDHEVVRDVFGESDEDGPAPYRDQHEIDEDSHRSPMEDEGHYEKDLQPEDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPDRMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPEKVKQEKERALGQNIRAHSILQRKKEKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFEDELEAEALAERRIVSAKKSSMGRNIPRKPSFPARPPRRQANEYSESEREESEYETEGEDIEHSPTQGREDELDEEDEYEEDVEEEAAMSDEEIEEPKRRRESGGGSASQRRKEIDSDDDSPPRKQQAVHRRKAVVFDSDDE >ONIVA01G47410.1 pep chromosome:AWHD00000000:1:39312073:39313621:-1 gene:ONIVA01G47410 transcript:ONIVA01G47410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCRAVVMQSRGLLFRTRRRTFSTTGATDQEQVRRTNIVREIDELKAKVKREMIEDVEKVKRVENEDRNVLSRLLTSCGMPRGVFRDKDVM >ONIVA01G47400.1 pep chromosome:AWHD00000000:1:39301300:39303662:-1 gene:ONIVA01G47400 transcript:ONIVA01G47400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMIRRYGVPLREKLTRSARHGSQMAGGYGVEWFQVVYGKAEQASAVLSQHDLVRESGESLILERRKKIGTHNIRHLWMIAAFAMSGYLFGAGVATLIKGNNRRTPEAAGTKEDTTDEH >ONIVA01G47390.1 pep chromosome:AWHD00000000:1:39297186:39299090:1 gene:ONIVA01G47390 transcript:ONIVA01G47390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWTLRPAAAAAAAGRASTTIDALLEEVKNTPVNMITDDLMIRTVRHSFLARQEILYQNVLRSWVVVAAVLTGYSWGYNKFAESSTVGSEPPKEHEGK >ONIVA01G47380.1 pep chromosome:AWHD00000000:1:39293654:39295727:1 gene:ONIVA01G47380 transcript:ONIVA01G47380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar GTP-binding protein 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FXS1] MVQYNFKRITVVPPGKDFIDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVKYTQSNFYEKLSTVIDDFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKIAKDYLRLLKYGDSLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTLLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLENLSEEDMKLVMEMKAEAMKTIGHGGEANEEGVLLTMSTLTENGVMAVKNAACERLLDQRVEIKMKSKKINDCLNRFHVAMPKPRDNKERPPCIPQAVLDARASADAAKEKKKLERKLEKDLENENGGAGVYSASLKKHYLLADDEWKEDILPEILDGHNVADFLDPDILQRCEELEREEGLRLEEEAAQEAFQIDGHELTEEQREILGQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRTFTTDRMGRQLSSMGFDPSAAMDRARSRSRGRKRERSLSRAASDGDDMDIDGQQSSKKLRALSRSRSRSKSRPPEEVVPGEGFKDSAQKKKAIKKAKDSVRNRNKEARRGEADRVIPTLKPKHLQDEQAISDSAQLLVITGFR >ONIVA01G47370.1 pep chromosome:AWHD00000000:1:39289696:39292274:-1 gene:ONIVA01G47370 transcript:ONIVA01G47370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSNLCTNGPQGPQNHGPRVASRLHGSASATAATPDFSFSSSPAVVEGRRAAAPATGHRPPEVKSAAAMLSRISQLGARLLRENRAVGNLASSTTSYYRGQLSRRFVPTKNILFSTATTSSDRDDGSQSKEKISVTFVNKDGTEQTISVPVGMSILEAAHENDIELEGACEGSLACSTCHVIVMDVNYYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGMRLALPAATRNFAVDGFVPKPH >ONIVA01G47360.1 pep chromosome:AWHD00000000:1:39273534:39287577:-1 gene:ONIVA01G47360 transcript:ONIVA01G47360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:Projected from Arabidopsis thaliana (AT5G15540) TAIR;Acc:AT5G15540] MDPGRGGRRRAGFERACRLPNTVHSEIASALPLPTLPLNLGAGLLDDDDPLADPDRPDMIMQAANIARILADTDVSHLGFTEADNVETDPSQCSWLWREVLKHNPDAFTIKPRPLPPSQDPLEGQENQNQEHEKHFAHVAPNFNSMRKDHGFPRDDPIPHSEHLNNDLTQDPVASKKPKVRKKEIHNSASSSDPSIPNSQEVIANFCEMVEDFCGRVEIPDDADGDEWLSIPLNDAKVLVNEITFVRSKKILHEIPMDTLTRLLHVIDRQIRCSQGLSIDVKENADAADAEPLVFSALESIHAALAIMTHHDMPKQLYREELIERILDFSRHQIIDCMAASNPTFRALYKPAEKVTNDGDEDEEDMGNGPANKRRRTANLSMRKSSTNKVSASIHSAVQKLCLILGFLTELLTTVRLSDSCILQLAKTCFTTFLVDNMQLLQLKAIGVICTVFSSHTQHRTYLVDETLVLLRKLQFSKNAIRTYHLADEEHKQIQMITALLVHLVQFSANVPDGLKGTVNWSTIVDASIDASYPIKCYEAATEACCLFWTNVLQRFTAAKSQDMSEAKGIIDNLVQDLLTILNLPEYPAAAPVLEVLCVLLLQNAGLKSKDTSARCFAIDLLGGIASRLKRDSVICSKEKLWILQELTDTESDGSKILKNKCCICLGGRGINMQCDVCGRCFHSDCVGAVSQENLQCDYACPLCFCKRQLSVLQSYYELQNKENGKRNAASHRKKSTVPDEVTAVDIVQQILLTYIQEGGPQDDGNLFTRWFYLCMWYKDDPHSQEKIIYYLARLKTKDILRDSGNGLVLSRDWAKKICLALGQKNSFSRGFDKILSLLLVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTRAFVEIISRVNDEESSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAVKTEQIVDMLRKMPNHLPLITIVKRNLALDFLPQSAKATGINSSFMASLRKRCELICKRLLERILQVEEGAASETEVHALPYVLALQAFCIVDPTLCTPATQPFQFVETLQPYLKKQVDNKSTAQLLESIIFVIDAVLPLIWKPPQSVVIELEQDLKQMIVRHSFLTVVHACIKCLCALSKAADRGPRLLEYLVNIFYKHLSGSNSSNSDSQLLGRSLFCLGLLLRYGSQLMAASENQLDFPKIISLLKKEYLLKDDFSLKVRGLQALGYILIAKPDFMLRKDISTLIESSLSSVVDYRLKIQGLQNLFEYLRDAESQLNAESTGKPTPNATNGGSEVPVAAGAGDTNICGGIIQLYWNSILERCLDINDQVRQTALKIVEIVLRQGLVHPITCVPHLIALETDPLEGNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFRFFESTISNHDMVATNMKSNPIAFVKPGISRIYRLIRANRNSRNKFVHSIVRKFEGDNRSYPTISFLMYCAEVLASLPFTSPDEPLYLIYDINRVIQLRAGAVEANLKNWTSMYQQQEMVGMPRDTGDVMHEPGGCSDQNLVDVSQMMLGNTCSTPVVNMAKLQEDCHGAIALQLLLKLKRHLKTVYSLTDARCQAFSLKDPPKSGETISKQNIPLNISNTNTSLPSCPQDAARVYQDFKTVLREDTVDYGMYTVSAQKKRPTPRSSSRVRRPAAVTRSRGGGGGGDGDEDTDDEDWTGGGARVLDFSAQGGRVTRQRVQV >ONIVA01G47350.1 pep chromosome:AWHD00000000:1:39268890:39271843:-1 gene:ONIVA01G47350 transcript:ONIVA01G47350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAAAGRAVRYGVLSKALPTRLHINSGRGLDISEAEGPLDRYIQVLMNERNLGKVRSIEKILSKRDMVRESSESLILERMKKIGTHNTKHAWMVAGVTISGYLFGAAFVALLTESQLPKREEEKEA >ONIVA01G47340.1 pep chromosome:AWHD00000000:1:39265740:39268392:1 gene:ONIVA01G47340 transcript:ONIVA01G47340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSRAAGAAATMAKAARRAVAQGFSPPFLDQASQISSPPPPRSLLGVEDPRVPWGGARGHLCAGLLPYSSLWVSRGAARSYSAYPASSILSATGGTRAFPTITSSYSGYALDNACRKTNNFWSILARRYSNGKGKNTDSKGETQKLLTDLREISKYPRIPLSDKPISNLEKRIFARAMQTWAEHKITLSKGNVEMLTKLTKSMSTIIKSLEKRKGIAGVLDNIGYRLPEDKKGKFTMLVIILIPTVGYFFLRLVAAYEKVFGKIDVLERVRGSQSQGWAPQQGKRE >ONIVA01G47330.1 pep chromosome:AWHD00000000:1:39245503:39245706:-1 gene:ONIVA01G47330 transcript:ONIVA01G47330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSLRPGIKKRISISAISGGITQTKISERICIPFSFIKYASTHFLRLPFLSPLLSIPMASMASSC >ONIVA01G47320.1 pep chromosome:AWHD00000000:1:39244165:39245421:-1 gene:ONIVA01G47320 transcript:ONIVA01G47320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFDEAAVVVAAPPPPQPECGAAAAVVGGEPGWSSPSPAASPEFEFWMVGKNPSSFQSPALLTADELFSDGIVLPLRTLQQVPSGEGDGEGEEGEGEGDAAAVESSDLPEAAAQRVAESGGPAPTPDLPAVTFKWKDIFKATGGGESKDRKKVERRVSSVGGNGELININIWPFSRSRSAGHSAAGAGTAAAGAALSRNKSNPNANVNANASNNAAAAAAAAATAPAAATAPGPAPARKVSSAPCSRSNSRGETSAAAPPPSIATAACAAAAAAATAPAPAPATSMLRRLVPGHGRTGALTVTGIRLGRASPVWQLRRNKLQQQGAAAEQKQSSDTPTPTTAANKKKATATTTTAATPTTQDVDGEDKAAASATTPAAAAATAGCRNNASCSEAGGEESNPPQGLFGLRTFFSKKVY >ONIVA01G47310.1 pep chromosome:AWHD00000000:1:39235074:39235719:-1 gene:ONIVA01G47310 transcript:ONIVA01G47310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRKYGAAAALGEGVGLSVARTPPVATTIWRYPGRQQSTRLQSWLLRAQMQLVKIYLFIFQVLSDNVHK >ONIVA01G47300.1 pep chromosome:AWHD00000000:1:39233238:39234190:-1 gene:ONIVA01G47300 transcript:ONIVA01G47300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYMAILSFCSVSSYLATLNSSGRHCDTRKKSGLSTLSSLSTTKSLSGDNCSLVISSAKARSRSLYDHTSACCLPALLWISFTIASISLCAMCHCDAAAMWCWDQFLQSRHCWSQRQMSMTPRHCIVQS >ONIVA01G47290.1 pep chromosome:AWHD00000000:1:39222981:39231604:-1 gene:ONIVA01G47290 transcript:ONIVA01G47290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAAASIRDGELPPGDRPRRRVDPREPYSSRHPRRSRFRPLASLGGDVTALAVPVFAALRRRLTVRCACIHIRS >ONIVA01G47280.1 pep chromosome:AWHD00000000:1:39214808:39216314:-1 gene:ONIVA01G47280 transcript:ONIVA01G47280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSGSLYRSGGGGGETAMVGQKRKRSSLPPQYATAGDCCGGGGGRRKRLAGGGPDYLDELPDDLVLAVLSKLAASASSPSDLLSVHLTCKRLNGLGRHDMVFAKASPASLAVKAASWSEPVQRFLKLCADAGNLEACYILGMIRFYCLGNRSGGAALLARAAVGGHAAALYSLAVIQFNGSGGAKSDRDLRAGAALCARAAALGHVDALRELGHCLQDGYGVRRDPAEGRRFLVAANARELTLALAAAASHRPFAALPLAGGAGAGAIGCPLLSDFGWSLPEAEPHPANLFMADWWASRGVQATAKKPGLEAPAAATGDSDGGGELRLCSHVRCGRRETRRHEFRRCSVCGAANYCSRACQALDWKRAHKAQCVPMDRWLLAAGEAQ >ONIVA01G47270.1 pep chromosome:AWHD00000000:1:39210374:39213220:-1 gene:ONIVA01G47270 transcript:ONIVA01G47270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPFLLSCSVFQLARQVQLHVHGPMLNSEGFYEGIFREMERICVCVFAMVGPYSSYGWQKLASAACAWLTVFVLINDAKARESNADGGVDVLIIHDDGKRFETVGILRFKKGGGVVCRMAEAFNFGNDGFFERARNHQNSGDDYDDNQA >ONIVA01G47260.1 pep chromosome:AWHD00000000:1:39198627:39202623:1 gene:ONIVA01G47260 transcript:ONIVA01G47260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGAMSDPERMFFFDLACQNAKVTYEQNPHDADNLTRWGGALLELSQMRNGPESLKCLEDAESKLEEALKIDPMKADALWCLGNAQTSHGFFTSDTVKANEFFEKATQCFQKAVDVAPELHMEIHRQMASQASQAASSTSNTRKIWHNFHMVDFKIYVDNDPTFSIPAKNPYPSQARDSEILWQSRKKKKDSDFWYDVFGWVVLGVGMVVWVGLAKSNAPPQAPR >ONIVA01G47250.1 pep chromosome:AWHD00000000:1:39196657:39198259:-1 gene:ONIVA01G47250 transcript:ONIVA01G47250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARREVRTKEEQWRGEERVVESPLGSARWSPEAEIGMRVEDIWDSLDQPQLSDRDRLNSCFDAIPVASFPHTFDGAQVVEIPSDATLAEAVDILSRHRIITAPVRNVDAPDDASWIDRYIGVVEFAGIAVWLLHQSEAAAARADDLGADELAAKLGTVALEGAAAARAPDQQQSAEGAVAEAFGALPSSDLFNKTKVKDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNVITQAAVVHMLAECAGLHWFEDWGAKSLTELGLPMIRPSRLVKVRHDEPALKAFRLMRKRGVGGIPVVDHAGKPTGSIMIKDVKHLLASSDANRDYRTLTAQEFIANARQSSGEKQMNIVTCKKEESIKEIIFKLDAEKRQRIYVVDEQGNLDGLITLRDIIAKLVYEPPGYFGDFFNGVFPLPQNSRV >ONIVA01G47240.1 pep chromosome:AWHD00000000:1:39193056:39198357:1 gene:ONIVA01G47240 transcript:ONIVA01G47240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPGGQEKVIAAAQHIVKSLANSKNAADDMIRILSGFDDRLTFMSDLFPPPPPAAAAVRERSVVEEVEEEEAGPDDAREDEVEEAAELVERWDSPEEGDRLVFDSAKDAGDYLDAAAVLVGARGARAEAALQAAMARLEDEFRHLLARGMSPLAGEDLHASLLRRLSLTVPSFASSASDLDCPSFASHTGDGDESGGAGGRASVSDEEISPYLISPDTVGALRGIADVMLRAGYGPELCQVYGEMRRDTLMECLAVLGVDKMSLEEVQRVEWGVLDGKMKKWIQALKVVVRGLLAEERRICNQIFAADAEAEEDCFTEAAKGCILQLLNFGDAIAIGKRSSEKLFRILGMYEALDEVLPELEGLFSGDARDFIKEEAVGILMRLGDAVRGTVAEFANAIQGETSRRALPGGEIHPLTRYVMNYVRLLADYSRSLNQLLEDWDTELENGGDNVNMTPLGQCVLILITHLQAKIEEKSKLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRQRRGQIRRYSTGYLRSSWTRVLACLRDDGLPQTMGSSSALKASLKERFKNFNLAFEELYKTQTTWKVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRNSARYIKYNPEDLENQRENAIEEVPKVARRLVHQLGDYVSESDEPIQVTMFICFSPELCLAFAMNSCAVSVLQICNSIDSVNIFFPKKTEGDHPNVLR >ONIVA01G47230.1 pep chromosome:AWHD00000000:1:39187325:39191164:1 gene:ONIVA01G47230 transcript:ONIVA01G47230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G67410) TAIR;Acc:AT1G67410] MASPSSRAVAVGGALLLLLVFAVPTTFLYLTSAPAASSPSLLLNLKPFGARCAPAAAAAPPLRVFMYDLPRRFHVGMMDASASGFPAWPPSAGGIRRQHSVEYWMMASLQGGGGGGNGSSSEEGREAVRVTDPDAAEAFFVPFFSSLSFNVHGRNMTDPETEADRLLQVELMEILWKSKYWQRSAGRDHVIPMHHPNAFRFLRDMVNASILIVADFGRYTKELASLRKDVVAPYVHVVDSFLNDDPPDPFDARPTLLFFRGRTVRKDEGKIRAKLAKILKGKDGVRFEDSLATGEGIKTSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSSRIELPFEDEIDYSEFSLFFSVEEALRPDYLLNQLRQIQKTKWVEIWSKLKNVSHHYEFQNPPRKGDAVNMIWRQVKHKVPAVNLAIHRNRRLKIPDWWG >ONIVA01G47220.1 pep chromosome:AWHD00000000:1:39178644:39187047:1 gene:ONIVA01G47220 transcript:ONIVA01G47220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSSSVRRPVAAARSRSGPEPDARRAAAAAAAAAAAARRRGRGDHGPLRLMEVSPRNLLLVGTASVALLAVAFVVYTGVWQGKADGEVETPLRRVVRSVTPLDAPRMMDLPQENNGIDHCLFGFYKGCFMFEPHSGYDWFVIVDCLQFQGDHKESLYWGTYRPNVYLGIRARTPLSLIAGIMWIGAKNGQYFLRHVCQDSDELSTYGWTDHNGRDYGHQVLVDHGLLLTTSFLKEKGEGSGYGGDWAVRLNAKTDGPSLSEDQESTTHLFFYIADEAGNSITMDSHIPSSRGHVLCASGSREEIGDWKVYLRSEENLEIHRAGFKSISMHNLSDLVQQALATNAMQSGKLNLPDMAEDSSNVIVYQVSMKRSAEVDIVFLSGAASENPMIEERINRLTGPVLSTRLESKQKDFEKRYDQIFNANNKINPKELSVGVAALSNLLGGIGYFYGQSKIALPKGFTQKNGDKYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQLVIWRWDVHISMDIIGHWLDLINADGWIPREQILGAEALSKVPEEFVLQYPSNGNPPTLFLALRDLASGIHANQFSDEESEKISTFLKRAYVRLNSWFQWFNSTQTGKYEGTFYWHGRDSMATRELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCMCSIAEFLKTDSSLEKDYYKMSNQLSDFGILNKMHLDDKTGAYFDYGNHTEKVRLRWYEVRENDVMRRELLRETLQPPQLQLVPHVGYVSMFPFMMGAIPPESWVLEKQLDLISNSSILWTNYGLRSLSRTSSIYMKRNTEHDPPYWRGAIWINMNYMILSGLHHYAHEDGPYKDRAKELYDELRSNLIRNIVKNYHETGFFWENYDQKNKGKGKGARSFTGWTSLVVLIMGESYPTLHR >ONIVA01G47210.1 pep chromosome:AWHD00000000:1:39153954:39164603:1 gene:ONIVA01G47210 transcript:ONIVA01G47210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGGQQSALNQLVSFLLGVSAAAVLIFFFSSAGGGWSTTTDLSSWANGTVAATAKETNLTSTAAHVKEKANLTNSQAAAAEAAKEEEEKELEKLLAAVADEHKNIIMTSVNEAWAAPGSLLDLFLEGFRAGEGIARFVDHLLIVALDDGAFRRCRDVHPHCYRLAVAGRNFTDEKVFMSEDYLDLVWSKVKLQQRILELGYNFLFTDVDILWFRDPFEQMSMAAHMVTSSDFFVGGAYNPANFPNTGFLYVRSSRRAVGVMEAWRAARASYPGRHEQQVLNEIKRELVERRGVRIQFLDTAHVAGFCSNTRDFATLYTMHANCCVGLGAKLHDLRNLLEEWRAYRRMPDEQRRQGPVRWKIKGNLRRFFVFLFELWLAATLVLALLCVLANTGGSPEMPAAAEVCNCSQIGIASSRISEEVTGTSDLAELLPKVATDDRTVIITSVNEAFARPNSLLVLFRESFAAGEKIAHLLDHVLVVAVDPAAFHHCRAVHPHCYHLKVDTMNLSSANNFMSEAYVELVWTKLSLQQRVLELGYNFLFTGPVSAHRGVRRHDDVVRRVQRRWRRPEQLAQHRSTNRTVEMLRRWRAARARYPPNHEQNIFNYIKHELAAGLGVRVRFLDTAVFGGFCQLFRNDMARAGHYYHHLTSFLLGALLPTVLLFFLASDRVSERLPTISSLGNGALVIGGHATAREGGDLTGVDGSAPAPAEKEKFPGLAELLPEVAMEDKTVIITSVNDAWAAPGSLLDLFRDSFHNGDGIAHLLDHVLVVAVDAGGFRRCKAVHPHCYLLDVFVYRPDAGRVCTVHANCCVGLENKVLDLKNVLADWKNYTAGLTSPEKKGANKFRWTFPVKCKASLKRH >ONIVA01G47210.2 pep chromosome:AWHD00000000:1:39164606:39178369:1 gene:ONIVA01G47210 transcript:ONIVA01G47210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGNGQRLIILYIASHIWLEFQREIEIYMGLRLGGSIMTINRSHVVSFLAGAALPALLLFFLASDRVGEQLAIVSRWGPFTSTLESSRESEMGLGLGGGGGMAMINRNHVVSFLAGAALPTLLLFFLASDRVSEQLAIVSSWGSGGSSSAAAADHDLRGAGGDAAPPPAQQEKFPGLPELLPKVAMEDRTVIITSVNEAWAAPGSLLDLYRDSFKNGEGIAHLLDHVLVVAVDPAGFRRCKAVHPHCYLLHVKSINLTSATRFMSREYLELVWTKLSLQQRDCDMVLFRDPFRHIAVYADMSTSSDDYSAARAPLDNPLNTGLYYVKATSQSVEMLRYWQAARPRFPGAHDQAVFGHIKHELVAKLRARIEPLDTLYFGGFCEYHDDLARAVTMHADCCVGLDTKVHDLTDIAADWKNYTGMSPEERKKGGFKWTYPTRCRNSIGWRMASSKNGLSPVVVFLLGAASATALIVFVFTSTASPAWPTPEATPATRQEKKAAAVACAPRAKGIDSETRRAARTNQTGGGDDDDEFARMVRRAAMEDRTVIMTSVNEAWAAPGSLMDSFLESFRVGENISHFVEHIVVVAMDEGALRRCRAIHPHCYLLLPEVAGLDLSGAKSYMTKDYLDLVWSKLKLQQRASMIVGETRGVDDEEHDARWHWQDVDLAWFRNPMVHITAAADITTSSDFYFGDPDDLGNYPNTGFIYFKATPRNARAMAYWHAARRRFPGEHDQFVFNEIKRELAAGAGEGGGVGVRIRFIDTAAVSGFCQLGRDLNRIATVHMTCCIGLENKLHDLRNVIRDWRRYVARPRWERQMGKIGWTFEGGNEGLA >ONIVA01G47210.3 pep chromosome:AWHD00000000:1:39153954:39174169:1 gene:ONIVA01G47210 transcript:ONIVA01G47210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGGQQSALNQLVSFLLGVSAAAVLIFFFSSAGGGWSTTTDLSSWANGTVAATAKETNLTSTAAHVKEKANLTNSQAAAAEAAKEEEEKELEKLLAAVADEHKNIIMTSVNEAWAAPGSLLDLFLEGFRAGEGIARFVDHLLIVALDDGAFRRCRDVHPHCYRLAVAGRNFTDEKVFMSEDYLDLVWSKVKLQQRILELGYNFLFTDCDMVLFRDPFRHIAVYADMSTSSDDYSAARAPLDNPLNTGLYYVKATSQSVEMLRYWQAARPRFPGAHDQAVFGHIKHELVAKLRARIEPLDTLYFGGFCEYHDDLARAVTMHADCCVGLDTKVHDLTDIAADWKNYTGMSPEERKKGGFKWTYPTRCRNSIGWRMASSKNGLSPVVVFLLGAASATALIVFVFTSTASPAWPTPEATPATRQEKKAAAVACAPRAKGIDSETRRAARTNQTGGGDDDDEFARMVRRAAMEDRTVIMTSVNEAWAAPGSLMDSFLESFRVGENISHFVEHIVVVAMDEGALRRCRAIHPHCYLLLPEVAGLDLSGAKSYMTKDYLDLVWSKLKLQQRASMIVGETRGVDDEEHDARWHWQDVDLAWFRNPMVHITAAADITTSSDFYFGDPDDLGNYPNTGFIYFKATPRNARAMAYWHAARRRFPGEHDQFVFNEIKRELAAGAGEGGGVGVRIRFIDTAAVSGFCQLGRDLNRIATVHMTCCIGLENKLHDLRNVIRDWRRYVARPRWERQMGKIGWTFEGGKCIH >ONIVA01G47200.1 pep chromosome:AWHD00000000:1:39141035:39151925:-1 gene:ONIVA01G47200 transcript:ONIVA01G47200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIYSSSAPPSTAAATAAAAAAAVGSSVIPIVNKLQDIFSQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLPGRRFYDFREIRREIQAETDREAGGNKGVSDKQIRLKIYSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMILSYIKHKTCIILAVSPANADLSNSDALQIARNADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLRLGYVGVVNRSQQDIKSDLSIKEALAREESFFRNHPAYNGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTTTAKELSFYGDPVESKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELCGGARIHYIFQSIYVKSLEDVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAGFIYDELVKMSHRCLAVELQQFPLLRRSMDEVIGRFLRDGLKPAQDMIAHIIEMEADYINTSHPNFIGGSKAVEQAQQQVRSSRLAAVARREGVDADKSQASDKTQKPRALLGRTGVNGVVTDHLQGLRPAAEAERPGSSGSGSTSFWGSISIFSSTSDDRTHSSAKDNSSNKSYTASTSHLEHSLSTIQLREPPVVLKPSESQSEQEALEIAITKLLLKSYYNIVRKNVEDFVPKAIMHFLVNHTKRELHNYLITKLYRDDLFADMLREPDEITIKRRQIRDTLKVLQQAYKTLDEIPLEADTVERGYSLDADATGLPRAHGLSSSFQDGSSPYSTPKQPRSRKSSHSGEQLPFNPDASDCQHFDAASTWK >ONIVA01G47200.2 pep chromosome:AWHD00000000:1:39144438:39151925:-1 gene:ONIVA01G47200 transcript:ONIVA01G47200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIYSSSAPPSTAAATAAAAAAAVGSSVIPIVNKLQDIFSQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLPGRRFYDFREIRREIQAETDREAGGNKGVSDKQIRLKIYSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMILSYIKHKTCIILAVSPANADLSNSDALQIARNADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLRLGYVGVVNRSQQDIKSDLSIKEALAREESFFRNHPAYNGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTTTAKELSFYGDPVESKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELCGGARIHYIFQSIYVKSLEDVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAGFIYDELVKMSHRCLAVELQQFPLLRRSMDEVIGRFLRDGLKPAQDMIAHIIEMEADYINTSHPNFIGGSKAVEQAQQQVRSSRLAAVARREGVDADKSQASDKTQKPRALLGRTGVNGVVTDHLQGLRPAAEAERPGSSGSGSTSFWGSISIFSSTSDDRTHSSAKDNSSNKSYTASTSHLEHSLSTIQLREPPVVLKPSESQSEQEALEIAITKLLLKSYYNIVRKNVEDFVPKAIMHFLVNHTKRELHNYLITKLYRDDLFADMLREPDEITIKRRQIRDTLKVLQQAYKTLDEIPLEADTVERGYSLDADATGLPRAHGLSSSFQDGSSPYSTPKQPRSRKSSHSGEQLPFNPDASGNGF >ONIVA01G47190.1 pep chromosome:AWHD00000000:1:39136624:39144850:1 gene:ONIVA01G47190 transcript:ONIVA01G47190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27180) TAIR;Acc:AT3G27180] MKMKMKIKIKCSGCTHEVDLDKPPVLQEVENFFKGHGVGDFTFSRGRLREWRCRAKLAIRGTPENPLIGLYQEGTHAVADIPECRAISELNIEPFDEDASTGELRYVQMAVTTYNTSIPVAKRYEQGRVQVSLVWNSRDERSKNAEKLSLLSEIIFGHKWRHLIGENDLWERYGGVDISLDPYSFGQANTLSFNALLHTLHKYVPRGSTVVDLYSGAGVIGLSLAASKKCRSVKCVEINKLSKMSFEKSASRLPPNLGCTITWHNTDASVEPVHWLEGSSVVIVDPPRKGLHPSVICALQKVALSERKAYKAKSTLTKVKDEKRPWILRAREAAVQVDSTPLEGSSETWPETLIYISCGWDSFKKIWKAGSDKIWYTFTCVINVGPEEFNTLQPGINLIPGRFRGHMLGGAQDEHSLCVNKGHSSEALNMHTPSSVAIPLD >ONIVA01G47180.1 pep chromosome:AWHD00000000:1:39132467:39134577:-1 gene:ONIVA01G47180 transcript:ONIVA01G47180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast envelope; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Transcription factor, enhancer of /.../w 2 (InterPro:IPR018783); Has 288 Blast hits to 288 proteins in 94 species: Archae - 0; Bacteria - 0; Metazoa - 197; Fungi - 20; Plants - 51; Viruses - 0; Other Eukaryotes - 20 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G27100) TAIR;Acc:AT3G27100] MEKEREPRNEAGSKQAGRGGESNPIMRASINRPPTPNREEDPQKELSLREIINVKAVDSPATGDVQSPKKRLVESGEKEKLMELLRERLVECGWRDEMKALCRAYARKKGRNNVTVDDLIHVITPKGRASVPDSVKAELLQRIQSFLMSSSSLR >ONIVA01G47180.2 pep chromosome:AWHD00000000:1:39132467:39134577:-1 gene:ONIVA01G47180 transcript:ONIVA01G47180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast envelope; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Transcription factor, enhancer of /.../w 2 (InterPro:IPR018783); Has 288 Blast hits to 288 proteins in 94 species: Archae - 0; Bacteria - 0; Metazoa - 197; Fungi - 20; Plants - 51; Viruses - 0; Other Eukaryotes - 20 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G27100) TAIR;Acc:AT3G27100] MEKEREPRNEAGSKQAGRGGESNPIMRASINRPPTPNREEDPQKELSLREIINVKFLPLGAEKAVDSPATGDVQSPKKRLVESGEKEKLMELLRERLVECGWRDEMKALCRAYARKKGRNNVTVDDLIHVITPKGRASVPDSVKAELLQRIQSFLMSSSSLR >ONIVA01G47180.3 pep chromosome:AWHD00000000:1:39132467:39134577:-1 gene:ONIVA01G47180 transcript:ONIVA01G47180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast envelope; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Transcription factor, enhancer of /.../w 2 (InterPro:IPR018783); Has 288 Blast hits to 288 proteins in 94 species: Archae - 0; Bacteria - 0; Metazoa - 197; Fungi - 20; Plants - 51; Viruses - 0; Other Eukaryotes - 20 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G27100) TAIR;Acc:AT3G27100] MEKEREPRNEAGSKQAGRGGESNPIMRASINRPPTPNREEDPQKELSLREIINVKLVESGEKEKLMELLRERLVECGWRDEMKALCRAYARKKGRNNVTVDDLIHVITPKGRASVPDSVKAELLQRIQSFLMSSSSLR >ONIVA01G47170.1 pep chromosome:AWHD00000000:1:39128870:39131920:1 gene:ONIVA01G47170 transcript:ONIVA01G47170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARAHALLPVKKHTLPLSPLLCPSSSSSPKNSLLSLSTLLFSLLLLLPFLVLARGRGEKDVCRCGLKKVGCERREKVEWRGEREREREMGSCASVHKDLGFPKKLFLASSPTKEKKAANGKGGGGGVSVDLKRKEQQQAAAAGVGVRSPGSGSKDEMFFDSRAWLDSDCEDDFYSVNGDFTPSRGSTPNYQPRTQTVMSSVFVPDNVQNSKSPEPSPTGRRKLAELLQEAMQNGSEDSTDASVPDISKNEKQQFQPVSAAVKPVSESSSTCSTELTPIREAKNRKEKAWYTGRCCLPAFVHTLDLDERRQKMTPGPCAV >ONIVA01G47160.1 pep chromosome:AWHD00000000:1:39114702:39118385:-1 gene:ONIVA01G47160 transcript:ONIVA01G47160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase (CBS) family protein [Source:Projected from Arabidopsis thaliana (AT1G65320) TAIR;Acc:AT1G65320] MAAVFFHHVVGDLTVGKPEVVELHDTDTLDAAARAIAASPEGAVPVWRPRAAPDEPPSGARFLGMISALDIAAFVAASGVGDRAMAAVVGEVVQPNPGLLREVDPGTRLIDALDLMKQGVKRFLVRKNGAWRGISKRFSVLYNGKWLKNMEATSPTSASSSRELSSSTSSTYKFCCLSREDILRFLIGCLGALAPIPLSPISSLGAINPHYCHVDASVPAMEAIQKVPPDPSAVAVVETTPDGTRKILGDISAYKLWKCDYVAAAWALINLSAGQFVIGADDNESTPISAIPVPPISSSLVEEIGPGRSPRAKKFSSRSIGFLNSQAHQMAFGRMRSMYRGRSAPLMCKSTSSLAAVMAQMLSHRATHVWVTDAESEEDGVLVGVVGYTDIFNAVTKSVYPAAS >ONIVA01G47150.1 pep chromosome:AWHD00000000:1:39108415:39111983:-1 gene:ONIVA01G47150 transcript:ONIVA01G47150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMALRPNDVTLRLTPPLAAAARRNRRAAAGGVRVYAVASGAVSTKVENKKPFAPPREVHVQVTHSMPPQKIEIFKSLDDWARDNILSHLKPVEKCWQPQDFLPDPASDGFHDEVKELRERAKEIPDDYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDFGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLAFADMMKKKISMPAHLMFDGEDDKLFEHFSMVAQRLGVYTAKDYADILEFLVSRWKISDLTGLSSEGNKAQDYLCTLAARIRRLDERAQSRAKKAGTLPFSWVYGREVQL >ONIVA01G47140.1 pep chromosome:AWHD00000000:1:39100959:39103932:-1 gene:ONIVA01G47140 transcript:ONIVA01G47140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVAVIGAWARFMSKGGCAVSWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVDGAEAAAAAGKDVEAAGAAAAAGTVVVAAAAGKPSLWALVKVVAHKLARNPNTYASFVGITWACLANRLHIALPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKIIACGTSFAALGLVLKFALGPAAMAIGSIAVGLRGDVLRVAIIQASYLYRLDPCTSLSLPFLFLNSTRMHAYLTCFIILNTYTRRFR >ONIVA01G47130.1 pep chromosome:AWHD00000000:1:39094435:39104020:1 gene:ONIVA01G47130 transcript:ONIVA01G47130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G12830) TAIR;Acc:AT4G12830] MAPPLAAPRFHAAALPLRLRLRTRPQKLPCWRRAARPNDEDYYLIDAPESIGDGFSFSGGKYTDGPSKSDEWFAQGRMVKAHPVYGNKGKAKDPIFGLTMGAESQSSNDVFRWFCVEAGSSSNPPVLFIHGFPSQAYSYRNVLPVVSDNYHAIAFDWLGFGFSDKPQPDYGFDYTLDEYTSSLESLINAVAPDKLSIVVQGYFAPIVVKYANEHQDKLNHLILVNPPITDKHAKLPSTLACFSNFLLGEIFSQDPLRASDKALTSSGPYMMKEEDAMVYRRPYLVSGSSGFALNAISRAMKKDLKVYIESMRNILSSDSWKTKTTVCWGLRDRWLNYDGVEDFCGSANYKILELPMAGHHVQEDRGEELGKLVKCILRGQNVPVQ >ONIVA01G47120.1 pep chromosome:AWHD00000000:1:39088588:39094116:1 gene:ONIVA01G47120 transcript:ONIVA01G47120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSQVASVSDGLPQAQGPAAPNDSPRKRFSGVAPPAALIFFVLVFAGGAIVTLDHKENLSILQLRPREMVVSETETRAPTSEVQETETRAPTSEVQEAGTGAAAGGVQRAELAGSSICENQCRPSGSEPLPKGIVQDKSNFEMEPLGGNPERRAAVARPAKSLLAIPVGIKQKAVVDKLVSKFPGDRFTVMLFHYDGEMDGWRELGWSDRAIHVAAKDQTKWWFGKRFLHPDMVAEYEYIFLWDEDIEVDGFDPIRYLSVVRRERLEISQPALDHRSQIHHRLTLRARKGQVHRRFYKTRGGGRCDDNSTGPPCTGWVEMMVPVFSRAAWRCAWHMIQNDLIYAWGLDFKLGYCAGGDRRLAVGIVDSEYVLHRGIPTLGDGGGKPAAKRSSTATKAATDRLAVRQRSYTELQIFNRRWKAAAEGDVCWTDPYP >ONIVA01G47120.2 pep chromosome:AWHD00000000:1:39090157:39094116:1 gene:ONIVA01G47120 transcript:ONIVA01G47120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSQVASVSDGLPQAQGPAAPNDSPRKRFSGVAPPAALIFFVLVFAGGAIVTLDHKENLSILQLRPREMVVSETETRAPTSEVQETETRAPTSEVQEAGTGAAAGGVQRAELAGSSICENQCRPSGSEPLPKGIVQDKSNFEMEPLGGNPERRAAVARPAKSLLAIPVGIKQKAVVDKLVSKFPGDRFTVMLFHYDGEMDGWRELGWSDRAIHVAAKDQTKWWFGKRFLHPDMVAEYEYIFLWDEDIEVDGFDPIRYLSVVRRERLEISQPALDHRSQIHHRLTLRARKGQVHRRFYKTRGGGRCDDNSTGPPCTGWVEMMVPVFSRAAWRCAWHMIQNDLIYAWGLDFKLGYCAGGDRRLAVGIVDSEYVLHRGIPTLGDGGGKPAAKRSSTATKAATDRLAVRQRSYTELQIFNRRWKAAAEGDVCWTDPYP >ONIVA01G47110.1 pep chromosome:AWHD00000000:1:39076998:39082211:1 gene:ONIVA01G47110 transcript:ONIVA01G47110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDFARRVPKRRRTNKLLSQLLPDLNSLPAEGADDGGSPSSSVLVSHAQTSAVAVAGTSQHLVPAVVAGPHIGMSSCPIIVDDIDDDVVIYSASSFPQVRQQAPRTEPVVTIEDDSETTPGQAGDAVDEHVDILLSLTLGRYPRHGHQRSSNISTSPVIHIIDTPSNVLKLEFLQYTYQALPEPEKAVPKEPTFNCPVCMNELVEPSSTICGHIFCKQCIKASIQAQKKCPTCRRKLTMNNFHRVYLPSAE >ONIVA01G47110.2 pep chromosome:AWHD00000000:1:39079048:39082211:1 gene:ONIVA01G47110 transcript:ONIVA01G47110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDFARRVPKRRRTNKLLSQLLPDLNSLPAEGADDGGSPSSSVLVSHAQTSAVAVAGTSQHLVPAVVAGPHIGMSSCPIIVDDIDDDVVIYSASSFPQVRQQAPRTEPVVTIEDDSETTPGQAGDAVDEHVDILLSLTLGRYPRHGHQRSSNISTSPVIHIIDTPSNVLKLEFLQYTYQALPEPEKAVPKEPTFNCPVCMNELVEPSSTICGHIFCKQCIKASIQAQKKCPTCRRKLTMNNFHRVYLPSAE >ONIVA01G47100.1 pep chromosome:AWHD00000000:1:39061939:39067619:-1 gene:ONIVA01G47100 transcript:ONIVA01G47100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sucrose phosphate synthase 3F [Source:Projected from Arabidopsis thaliana (AT1G04920) TAIR;Acc:AT1G04920] MAGNEWINGYLEAILDSGGAAGGGGGGGGGGGGGGGGGGGGGGGGVDPRSPAAGAASPRGPHMNFNPTHYFVEEVVKGVDESDLHRTWIKVVATRNARERSTRLENMCWRIWHLARKKKQACIDLSLSFSFFLVFMLELEGILRISARRKEQEQVRRETSEDLAEDLFEGEKADTVGELAQQDTPMKKKFQRNFSELTVSWSDENKEKKLYIVLISLHGLVRGDNMELGRDSDTGGQVKYVVELARALAMMPGVYRVDLFTRQVSSPEVDWSYGEPTEMLTSGSTDGEGSGESAGAYIVRIPCGPRDKYLRKEALWPYLQEFVDGALAHILNMSKALGEQVSNGKLVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQIMKQGRMSKEEIDSTYKIMRRIEGEELALDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVSCHGRFMPRMVVIPPGMDFSSVVVPEDTSDGDDGKDFEIASPRSLPPIWAEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLILIMGNRDDIDEMSAGNASVLTTVLKLIDKYDLYGSVAFPKHHKQSDVPEIYRLTGKMKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPALNNGLLVDPHDQHAIADALLKLVADKNLWQECRKNGLRNIQLYSWPEHCRTYLTRIAGCRIRNPRWLMDTPADAAAEEEEALEDSLMDVQDLSLRLSIDGERGSSMNDAPSSDPQDSVQRIMNKIKRSSPADTDGAKIPAEAAATATSGAMNKYPLLRRRRRLFVIAVDCYGDDGSASKRMLQVIQEVFRAVRSDSQMSRISGFALSTAMPLPETLKLLQLGKIPPTDFDALICGSGSEVYYPGTAQCVDAGGRLRPDQDYLLHINHRWSHDGAKQTIAKLAHDGSGTNVEPDVESCNPHCVSFFIKDPNKVRTIDEMRERMRMRGLRCHLMYCRNATRLQVVPLLASRSQALRYLFVRWGLSVGNMYLIVGEHGDTDHEEMLSGLHKTVIIRGVTEKGSEQLVRSSGSYQREDVVPSESPLIAFTKGDLKADEIMRALKEVTKAASGMIGLLGTFNVN >ONIVA01G47090.1 pep chromosome:AWHD00000000:1:39054284:39057948:1 gene:ONIVA01G47090 transcript:ONIVA01G47090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0FXN4] MARSSSAPESMEADHQLTATVTAAASGDMPVTEQQQQQQQQMVAVAAPPATENADAAGGGGGGGGGDNGDHLPPTATSLLGGARRTGLHLFVLNARSALRLDELGAEVLRIAVPASLALTADPLASLIDTAFIGRIGSVEIAAVGVAIAVFNQVMKVCIYPLVSVTTSFVAEEDAILSKGAAGDDDDDDDDGHDAKGHGASAAAVADLEKQQVVGVDSAETNGAEVSTAAVRTTDDKKAAAAGVGVGKCRRRFVPSVTSALIVGAFLGLLQAVFLVAAGKPLLRIMGVKPGSPMMIPALRYLVMRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVTGDLANIALDPILIFTCRFGVVGAAIAHVISQYLITLIMLCKLVRKVDVIPSSLKSLKFRRFLGCGFLLLARVVAVTFCVTLAASLAARHGATAMAAFQICAQVWLASSLLADGLAVAGQALLASAFAKKDHYKVAVTTARVLQLAVVLGVGLTAFLAAGMWFGAGVFTSDAAVISTIHRGVPFVAGTQTINTLAFVFDGVGVAAVTIPCLVLLSSHGGFVGIWIALAIYMSVRAFASTWRMGAARGPWKFLRK >ONIVA01G47090.2 pep chromosome:AWHD00000000:1:39054284:39057948:1 gene:ONIVA01G47090 transcript:ONIVA01G47090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0FXN4] MARSSSAPESMEADHQLTATVTAAASGDMPVTEQQQQQQQQMVAVAAPPATENADAAGGGGGGGGGDNGDHLPPTATSLLGGARRTGLHLFVLNARSALRLDELGAEVLRIAVPASLALTADPLASLIDTAFIGRIGSVEIAAVGVAIAVFNQVMKVCIYPLVSVTTSFVAEEDAILSKGAAGDDDDDDDDGHDAKGHGASAAAVADLEKQQVVGVDSAETNGAEVSTAAVRTTDDKKAAAAGVGVGKCRRRFVPSVTSALIVGAFLGLLQAVFLVAAGKPLLRIMGVKPGSPMMIPALRYLVMRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVTGDLANIALDPILIFTCRFGVVGAAIAHVISQYLITLIMLCKLVRKVDVIPSSLKSLKFRRFLGCGFLLLARVVAVTFCVTLAASLAARHGATAMAAFQICAQVWLASSLLADGLAVAGQALLASAFAKKDHYKVAVTTARVLQLAVVLGVGLTAFLAAGMWFGAGVFTSDAAVISTIHRGVPVGVAAVTIPCLVLLSSHGGFVGIWIALAIYMSVRAFASTWRMGAARGPWKFLRK >ONIVA01G47080.1 pep chromosome:AWHD00000000:1:39039468:39040281:1 gene:ONIVA01G47080 transcript:ONIVA01G47080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYCSDRSSGSSHPSSRAPIPARVGPYDYQLAVMCRCGAKAVRWISWSVDNPGRRYYRCRNRGAGCDFFDWYEPATSSFLRELLNDLREAVLSLRREKYELQRCVEELRPKVEEQCLELGVAMNEVAQLRLVAAENEANMAAMRASNTDFAVVG >ONIVA01G47070.1 pep chromosome:AWHD00000000:1:39036289:39038924:-1 gene:ONIVA01G47070 transcript:ONIVA01G47070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAASSSSSSSATMAAAAASAAEASSSGSPASSRNARHRQRKGVRLRMLRRRGRQPVEAERAPGDGGGGAVQEDLALPLGMSFAAVLAQVINTKNISGQRLHPDFLSKIYGDSSNSFIKNFEKSFSSTFRTLHLVNEIPVNERSHIPECSFKHDDSVAVDSLSSSDLQNQTNRIEHDLVNTVGSQLVLFASDNQQLMHLRRSRSSPEADNRILNAIDRSNELKEFEIGLTMRKLQLKQSQLALSSHSHMLEKIKLSFGFQKASFKGEKFKTHMQETRDAEILRTLIDFLVSAVIVMSACFGYGTYIYSYQRITDVTSACSATSKGFKSWWMPNSVSNFSSGCHVIAVTRMCFGILMILAIAWLAFQRSSTTGSNMPITFNLILLGIICGFAGRFCTNTLGGDGNTWLMYWEVLCSIHLLGNLFPSLLYHVLHGPISVSHREQVVWLPYWVRRCLFYGAVGLILPALTGLLPFASLSDWKDHFVEEIKSIVIGDKIEA >ONIVA01G47060.1 pep chromosome:AWHD00000000:1:39033018:39035365:1 gene:ONIVA01G47060 transcript:ONIVA01G47060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRRAVNLRWSSLETEVEAAIAVEGGCGVDLAMVGRALGLDPATVRLNGYFVSRGRGHVSSAVTWRALLDFFAARGLPTGDAPAAPVAVHGKPAPPPPPPPVSDCTINVCPKRKFGLVSDCTTEICPTRKFELVSDFTTEVCPKRKFGLASDCTTEVCPKRKFGLVSDCTTEVCPKRKFGLYAGKSLKKSKNSEDGVLSRTGADILSDEITLGLKRRLKLDDANPAKKMKQIECNTETQQPVKFSCSFINGHGKRSRDEEMITSFSCKRVR >ONIVA01G47050.1 pep chromosome:AWHD00000000:1:39029836:39031465:-1 gene:ONIVA01G47050 transcript:ONIVA01G47050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier [Source:UniProtKB/TrEMBL;Acc:A0A0E0FXN0] MSAAGEEDKKPAGGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGCLPA >ONIVA01G47040.1 pep chromosome:AWHD00000000:1:39027379:39028713:-1 gene:ONIVA01G47040 transcript:ONIVA01G47040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier [Source:UniProtKB/TrEMBL;Acc:A0A0E0FXM9] MSSPAGEDEKKPAGGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDIKSIAFLFDGRRLNAEQTPDQLEMEDGDEIDAMLHQTGGSLPA >ONIVA01G47030.1 pep chromosome:AWHD00000000:1:39021036:39024549:-1 gene:ONIVA01G47030 transcript:ONIVA01G47030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGAIDDQSEMWGWQSQEYDLQKDLLAEEAADPSSCLWSEANQNAGDAWTMFDEQTPIKHCTDIDFQFCDIGDIIVKDFDEGKETLQAKRRRMLQFCSDNVEMDCAMAADGLSENLQLNLGFSGDQCLLNCDGTEELPEEWLVDCSPQESEPQCPPEDMSVHLSSPAVAMEEANLSVHKNSSSREQGNTVQKNTVQARPTPLKAGKNIIRARKVKTSVVYPFELIKPCGFHGDVTLHDINQRIHAPPPYKIKHKIDEEQLSYQTSAISGKPVVHKTKIHTEGGKGSITITRTREWFSALEIQVAIMNSNNVQRLFIEVVDAEIPVVFPEILHEVDVVPFQVEHLLPRAS >ONIVA01G47030.2 pep chromosome:AWHD00000000:1:39021036:39024549:-1 gene:ONIVA01G47030 transcript:ONIVA01G47030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGAIDDQSEMWGWQSQEYDLQKDLLAEEAADPSSCLWSEANQNAGDAWTMFDEQTPIKHCTDIDFQFCDIGDIIVKDFDEGKETLQAKRRRMLQFCSDNVEMDCAMAADGLSENLQLNLGFSEMTPGDQCLLNCDGTEELPEEWLVDCSPQESEPQCPPEDMSVHLSSPAVAMEEANLSVHKNSSSREQGNTVQKNTVQARPTPLKAGKNIIRARKVKTSVVYPFELIKPCGFHGDVTLHDINQRIHAPPPYKIKHKIDEEQLSYQTSAISGKPVVHKTKIHTEGGKGSITITRTREWFSALEIQVAIMNSNNVQRLFIEVVDAEIPVVFPEILHEVDVVPFQVEHLLPRAS >ONIVA01G47020.1 pep chromosome:AWHD00000000:1:39016018:39023753:1 gene:ONIVA01G47020 transcript:ONIVA01G47020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIRRSGNWLGSGQCKKNMPIKVPKPVKDPAILSRVLNLKRNHVYFMKNFRENDGDLCIYDLDEQTLDIVRVHDRDLDLKSTKPFWIRTFNVN >ONIVA01G47010.1 pep chromosome:AWHD00000000:1:39007197:39008141:-1 gene:ONIVA01G47010 transcript:ONIVA01G47010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPELRRTMTLSEQLSAPDQAIRDFLKIPHDGLGGGDGEGGRIPAAAGASGGGGGVGGMINWKPLRDRLRLRRAASAWMSASPKPAASNSGGGGGGGATLSSSNSGSNHSNKYNYSPGEAAAAFSRTFSRTRSLRPTPTFSRATSTRLGATAFSSGSRRAAVDGGGHANEDDDEDHTDDDDDDDEEEQGKDDAPAAQMSLMALLEQSDSQWDSEDEDDQGHGGGGGGGGNGGGGGGGGGARKNGDGDDDEDDGEGREEEMVHVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGFIQEILDIF >ONIVA01G47000.1 pep chromosome:AWHD00000000:1:39001058:39001730:-1 gene:ONIVA01G47000 transcript:ONIVA01G47000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGKEQRRMDRFIVIPFSSTCRNGSSVDVVDGGKSGKKPQGGGGGGGGEGGGGAAENKPKGESLVARLLRGFKNLSQIFAVYEDDGEEEEEEREMVIGLPTDVKHVAHIGWDGSTNTTTSLRSWNRAAPPSSSASAASTSSALPAPAPPPPPSQQQPPLPAFSMRQFELAMAAQAAATGTTTAS >ONIVA01G46990.1 pep chromosome:AWHD00000000:1:38987187:38994255:1 gene:ONIVA01G46990 transcript:ONIVA01G46990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSFWLFILLVSFIPISAWAESRDISTLFTLRDSITEGKGFLRNWFDSETPPCSWSGITCIGHNVVAIDLSSVPLYAPFPLCIGAFQSLVRLNFSGCGFSGELPEALGNLQNLQYLDLSNNELTGPIPISLYNLKMLKEMVLDYNSLSGQLSPAIAQLQHLTKLSISMNSISGSLPPDLGSLKNLELLDIKMNTFNGSIPATFGNLSCLLHFDASQNNLTGSIFPGITSLTNLLTLDLSSNSFEGTIPREIGQLENLELLILGKNDLTGRIPQEIGSLKQLKLLHLEECQFTGKIPWSISGLSSLTELDISDNNFDAELPSSMGELGNLTQLIAKNAGLSGNMPKELGNCKKLTVINLSFNALIGPIPEEFADLEAIVSFFVEGNKLSGRVPDWIQKWKNARSIRLGQNKFSGPLPVLPLQHLLSFAAESNLLSGSIPSHICQANSLHSLLLHHNNLTGTIDEAFKGCTNLTELNLLDNHIHGEVPGYLAELPLVTLELSQNKFAGMLPAELWESKTLLEISLSNNEITGPIPESIGKLSVLQRLHIDNNLLEGPIPQSVGDLRNLTNLSLRGNRLSGIIPLALFNCRKLATLDLSYNNLTGNIPSAISHLTLLDSLILSSNQLSGSIPAEICVGFENESHPDSEFLQHHGLLDLSYNQLTGQIPTSIKNCAMVMVLNLQGNLLNGTIPVELGELTNLTSINLSFNEFVGPMLPWSGPLVQLQGLILSNNHLDGSIPAKIGQILPKIAVLDLSSNALTGTLPQSLLCNNYLNHLDVSNNHLSGHIQFSCPDGKEYSSTLLFFNSSSNHFSGSLDESISNFTQLSTLDIHNNSLTGRLPSALSDLSSLNYLDLSSNNLYGAIPCGICNIFGLSFANFSGNYIDMYSLADCAAGGICSTNGTDHKALHPYHRVRRAITICAFTFVIIIVLVLLAVYLRRKLVRSRPLAFESASKAKATVEPTSTDELLGKKSREPLSINLATFEHALLRVTADDILKATENFSKVHIIGDGGFGTVYKAALPEGRRVAIKRLHGGHQFQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGSLEMWLRNRADALEALGWPDRLKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGLTMKSTTKGDVYSFGVVMLELLTGRPPTGQEEVQGGGNLVGWVRWMIARGKQNELFDPCLPVSSVWREQMVRVLAIARDCTADEPFKRPTMLEVVKGLKMTHGMECGPLVVTVSRDM >ONIVA01G46980.1 pep chromosome:AWHD00000000:1:38977410:38981461:-1 gene:ONIVA01G46980 transcript:ONIVA01G46980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGRASAPAVVTVTASAAAPTPPPPPPPPPPPPSQLPATAAATDEPSHDPAALYGEGMWQQMTMSGSGAMQPGPYPERSGEPDCTYYLRTGLCRFGMSCRFNHPQDRNLAIASARMKGEYPERMGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGRVQLNTLGYPLRPSEKECAYYLKTGQCKYGNTCKFHHPELFNAMASSRGSPIYPSVHSSATAGPPSYTGTMASWAFPRGSFIPSPRWQNPSNYAPMIVPQGLVQVPSWNSYTGQMMPVSSSESRLQSPGAQQTYGTSQQVDASAGNQGMLSPYRSSSYPVPQYALQRENVFPERPDQPECQYYMKTGDCKFGAVCKFHHPRVRSMPTPDCVLSPVGLPLRPGEELCKFYSRYGICKFGANCKFDHPTMAPPMGVYAYGSASTNVPMVRRLLQSPSASAYTS >ONIVA01G46970.1 pep chromosome:AWHD00000000:1:38971068:38971759:-1 gene:ONIVA01G46970 transcript:ONIVA01G46970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRERMARTSWESLTLTAAGVAATGEDLGDAATVLLPGANDIPDRRRDVDIVRGSEAAKEERQRVASAKTQLMTRSLRMEMGALSVEAETEGSLLRETAALRAQAEGVVEEGRRGWGEEGGDETWRQLASSAGERWMTTLCTGPHVGCIKKKGVEA >ONIVA01G46960.1 pep chromosome:AWHD00000000:1:38961672:38969573:-1 gene:ONIVA01G46960 transcript:ONIVA01G46960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTAPPSTFLFLLLFLLAASNCSATSQVGGAGEEVEVARMVPVVEGGGGFSAMVLNETRRRLGSFQLCAPCTCCGGGGGGSRGGGCILSPCCYAINCNIPNRPFGFCSFTPKDAVDCGLDRS >ONIVA01G46960.2 pep chromosome:AWHD00000000:1:38969112:38969573:-1 gene:ONIVA01G46960 transcript:ONIVA01G46960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTAPPSTFLFLLLFLLAASNCSATSQVGGAGEEVEVARMVPVVEGGGGFSAMVLNETRRRLGSFQLCAPCTCCGGGGGGSRGGGCILSPCCYAINCNIPNRPFGFCSFTPKSCDCLGCNL >ONIVA01G46950.1 pep chromosome:AWHD00000000:1:38961598:38965936:1 gene:ONIVA01G46950 transcript:ONIVA01G46950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRSLCIHLNLPLRRKFFITSSILDLTIVGLDPAENETTLQAQQPHYLKTCCKPSLDHGSVVYLLGHTGKKELVIGEGKVVIGTDNLLKLSTDGVTWCPGSAGFDAQGNLAFMICDPMKLASSPTTRSSSTSSSSSHSSKKDHPMQFGVPISVVCDWLYQHWQGNLDEVSKPKLPLVRLMSSRSDHSSTSFTRRHVFKPADDENDDTSVSSQVTSKPKHQQASGSSANARISHDANPLVDLRANNEQGVSTPEIYESPRQSSCQGQKDAGSVQLLDINFPPSAPKTIFLPLPLKQMLSDENNADTSKPRNQSRDNGFPSGIIWHRNCEALSRDPPVAPLQDDCSSEGQSSSSPVELLEYRNEDHFSSEEETMYSAETMESRNIPSPREKHVGRSQSCVTYRRWSSPRMSTIQNGTLRKQHTLVPVRKSHSQNTSLPQRSHDYLSPTVSSAMKKRNSMEQQLPTKPRRSIAQTSPKWMF >ONIVA01G46940.1 pep chromosome:AWHD00000000:1:38955973:38957259:1 gene:ONIVA01G46940 transcript:ONIVA01G46940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAGSSSSARRGRRVAAAEPPRRALRMRASSPMYYTSDDEDLPEIVLTPPAPAPPRTGTRSLRMHASPPDYGRRRTNRPRRVARTRSPPVVQEAEREPPAPQQPAAVVVERVFYMVDSPPDVITTTGEGPGGRTVAGFRCRRLTSTRTLVDGEDAAARAAAISGARALVMCSCHGAPFTHAEFLLHAGGTDLGRNVTGYPWLGDETELTPPGAGGPHL >ONIVA01G46930.1 pep chromosome:AWHD00000000:1:38934606:38949754:-1 gene:ONIVA01G46930 transcript:ONIVA01G46930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO2 [Source:Projected from Arabidopsis thaliana (AT1G24706) TAIR;Acc:AT1G24706] MSPPLQAPDYKHVTEECLREWKGQPAAAAAFRVPDPVPMARFLYELCWAVVRGDLPPQKCRVALDSVEFVEEAQRGEVGSVLADIIAHLGQDVTISGEYRSRLVKMTKSFVESSLIAPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTMSIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFCQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLLRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFVTRKIDEASNIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICDSLFRLIERSISSVYDIIFQTHYKMPRNPVYMMDTSPSSSQSFSVDPPKEFFQMLSACGPYLHRNTQLFQKVCRVLKAYHLSAKESIRTAGVMSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDTGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRLQASSLFGSTRNSKVLTKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPTTYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTCWPLDDNEEGESVSCDDLILHPDTSHKSIMWSDLLSTVRNTFPAKTWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESHKHQQHIASVLQRLGREKVKWLNSSPDALKINMEFFQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYRWKSDESLYERECGNKPGFAVYIRYPNSQRVSYSQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLDLKQGPAKIVPGNQSADPLTAKDQSVRAKSIEGRHERSEAAMKPDAQQKKNALAANGSDNQIPSSSAQGKVSGIIRGSDEPPKLLSDEGVKVSKPTAESETRVPQKRVAHNAGKVSKHDMVKEDTKSGKSTNRGVTQQGSSVPVDKEVLSQAADGVQDTTSNSLAASNGNLHTAPRKQKRFVPTEEQDRGTKRRKGESEGRDGDFTEHTDKDKNLDSRLVDKFRPMDHDKSASEEQILSRPEKSKEKADDKYDRDPREKADRTERRRGEDIERPTDKSLERRERSIERMQERGTDRAPDKGREDRNKEERNKVKHAEPSIDRAHPSDERFRGQSLPPPPPLPASFVPQSVGNRRDEDTDRRGGSTRHNQRSSPRRDDKEKWHLEDNAASLQDDGKHRREEDIRDRKREDRDVSSSKVDDRDRDKSSIVKDESDPNSAFKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQVFETRERERKGAISQHRISHADDLPRIHGKDSSKTSRREADQVHEREWEEEKRSRTETKRKHRK >ONIVA01G46930.2 pep chromosome:AWHD00000000:1:38934606:38949754:-1 gene:ONIVA01G46930 transcript:ONIVA01G46930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO2 [Source:Projected from Arabidopsis thaliana (AT1G24706) TAIR;Acc:AT1G24706] MSPPLQAPDYKHVTEECLREWKGQPAAAAAFRVPDPVPMARFLYELCWAVVRGDLPPQKCRVALDSVEFVEEAQRGEVGSVLADIIAHLGQDVTISGEYRSRLVKMTKSFVESSLIAPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTMSIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFCQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLLRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFVTRKIDEVNIHFVLLKFMFLEIFAISRHCERELYASNIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICDSLFRLIERSISSVYDIIFQTHYKMPRNPVYMMDTSPSSSQSFSVDPPKEFFQMLSACGPYLHRNTQLFQKVCRVLKAYHLSAKESIRTAGVMSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDTGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRLQASSLFGSTRNSKVLTKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPTTYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTCWPLDDNEEGESVSCDDLILHPDTSHKSIMWSDLLSTVRNTFPAKTWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESHKHQQHIASVLQRLGREKVKWLNSSPDALKINMEFFQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYRWKSDESLYERECGNKPGFAVYIRYPNSQRVSYSQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLDLKQGPAKIVPGNQSADPLTAKDQSVRAKSIEGRHERSEAAMKPDAQQKKNALAANGSDNQIPSSSAQGKVSGIIRGSDEPPKLLSDEGVKVSKPTAESETRVPQKRVAHNAGKVSKHDMVKEDTKSGKSTNRGVTQQGSSVPVDKEVLSQAADGVQDTTSNSLAASNGNLHTAPRKQKRFVPTEEQDRGTKRRKGESEGRDGDFTEHTDKDKNLDSRLVDKFRPMDHDKSASEEQILSRPEKSKEKADDKYDRDPREKADRTERRRGEDIERPTDKSLERRERSIERMQERGTDRAPDKGREDRNKEERNKVKHAEPSIDRAHPSDERFRGQSLPPPPPLPASFVPQSVGNRRDEDTDRRGGSTRHNQRSSPRRDDKEKWHLEDNAASLQDDGKHRREEDIRDRKREDRDVSSSKVDDRDRDKSSIVKDESDPNSAFKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQVFETRERERKGAISQHRISHADDLPRIHGKDSSKTSRREADQVHEREWEEEKRSRTETKRKHRK >ONIVA01G46930.3 pep chromosome:AWHD00000000:1:38934606:38949754:-1 gene:ONIVA01G46930 transcript:ONIVA01G46930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO2 [Source:Projected from Arabidopsis thaliana (AT1G24706) TAIR;Acc:AT1G24706] MSPPLQAPDYKHVTEECLREWKGQPAAAAAFRVPDPVPMARFLYELCWAVVRGDLPPQKCRVALDSVEFVEEAQRGEVGSVLADIIAHLGQDVTISGEYRSRLVKMTKSFVESSLIAPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTMSIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFCQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLLRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFVTRKIDEVNIHFVLLKFMFLEIFAISRHCERELYASNIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICDSLFRLIERSISSVYDIIFQTHYKMPRNPVYMMDTSPSSSQSFSVDPPKEFFQMLSACGPYLHRNTQLFQKVCRVLKAYHLSAKESIRTAGVMSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDTGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRLQASSLFGSTRNSKVLTKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSNLIGAMEYYFITPTTYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTCWPLDDNEEGESVSCDDLILHPDTSHKSIMWSDLLSTVRNTFPAKTWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESHKHQQHIASVLQRLGREKVKWLNSSPDALKINMEFFQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYRWKSDESLYERECGNKPGFAVYIRYPNSQRVSYSQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLDLKQGPAKIVPGNQSADPLTAKDQSVRAKSIEGRHERSEAAMKPDAQQKKNALAANGSDNQIPSSSAQGKVSGIIRGSDEPPKLLSDEGVKVSKPTAESETRVPQKRVAHNAGKVSKHDMVKEDTKSGKSTNRGVTQQGSSVPVDKEVLSQAADGVQDTTSNSLAASNGNLHTAPRKVSASSQKSTLLATHTGGAANSSGESTDLIDSNMKQQKRFVPTEEQDRGTKRRKGESEGRDGDFTEHTDKDKNLDSRLVDKFRPMDHDKSASEEQILSRPEKSKEKADDKYDRDPREKADRTERRRGEDIERPTDKSLERRERSIERMQERGTDRAPDKGREDRNKEERNKVKHAEPSIDRAHPSDERFRGQSLPPPPPLPASFVPQSVGNRRDEDTDRRGGSTRHNQRSSPRRDDKEKWHLEDNAASLQDDGKHRREEDIRDRKREDRDVSSSKVDDRDRDKSSIVKDESDPNSAFKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQVFETRERERKGAISQHRISHADDLPRIHGKDSSKTSRREADQVHEREWEEEKRSRTETKRKHRK >ONIVA01G46930.4 pep chromosome:AWHD00000000:1:38934606:38949754:-1 gene:ONIVA01G46930 transcript:ONIVA01G46930.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO2 [Source:Projected from Arabidopsis thaliana (AT1G24706) TAIR;Acc:AT1G24706] MSPPLQAPDYKHVTEECLREWKGQPAAAAAFRVPDPVPMARFLYELCWAVVRGDLPPQKCRVALDSVEFVEEAQRGEVGSVLADIIAHLGQDVTISGEYRSRLVKMTKSFVESSLIAPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTMSIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFCQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLLRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFVTRKIDEASNIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICDSLFRLIERSISSVYDIIFQTHYKMPRNPVYMMDTSPSSSQSFSVDPPKEFFQMLSACGPYLHRNTQLFQKVCRVLKAYHLSAKESIRTAGVMSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDTGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRLQASSLFGSTRNSKVLTKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPTTYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTCWPLDDNEEGESVSCDDLILHPDTSHKSIMWSDLLSTVRNTFPAKTWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESHKHQQHIASVLQRLGREKVKWLNSSPDALKINMEFFQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYRWKSDESLYERECGNKPGFAVYIRYPNSQRVSYSQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLDLKQGPAKIVPGNQSADPLTAKDQSVRAKSIEGRHERSEAAMKPDAQQKKNALAANGSDNQIPSSSAQGKVSGIIRGSDEPPKLLSDEGVKVSKPTAESETRVPQKRVAHNAGKVSKHDMVKEDTKSGKSTNRGVTQQGSSVPVDKEVLSQAADGVQDTTSNSLAASNGNLHTAPRKVSASSQKSTLLATHTGGAANSSGESTDLIDSNMKQQKRFVPTEEQDRGTKRRKGESEGRDGDFTEHTDKDKNLDSRLVDKFRPMDHDKSASEEQILSRPEKSKEKADDKYDRDPREKADRTERRRGEDIERPTDKSLERRERSIERMQERGTDRAPDKGREDRNKEERNKVKHAEPSIDRAHPSDERFRGQSLPPPPPLPASFVPQSVGNRRDEDTDRRGGSTRHNQRSSPRRDDKEKWHLEDNAASLQDDGKHRREEDIRDRKREDRDVSSSKVDDRDRDKSSIVKDESDPNSAFKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQVFETRERERKGAISQHRISHADDLPRIHGKDSSKTSRREADQVHEREWEEEKRSRTETKRKHRK >ONIVA01G46930.5 pep chromosome:AWHD00000000:1:38934606:38949754:-1 gene:ONIVA01G46930 transcript:ONIVA01G46930.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO2 [Source:Projected from Arabidopsis thaliana (AT1G24706) TAIR;Acc:AT1G24706] MSPPLQAPDYKHVTEECLREWKGQPAAAAAFRVPDPVPMARFLYELCWAVVRGDLPPQKCRVALDSVEFVEEAQRGEVGSVLADIIAHLGQDVTISGEYRSRLVKMTKSFVESSLIAPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTMSIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFCQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLLRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFVTRKIDEVNIHFVLLKFMFLEIFAISRHCERELYASNIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICDSLFRLIERSISSVYDIIFQTHYKMPRNPVYMMDTSPSSSQSFSVDPPKEFFQMLSACGPYLHRNTQLFQKVCRVLKAYHLSAKESIRTAGVMSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDTEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDTGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRLQASSLFGSTRNSKVLTKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPTTYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTCWPLDDNEEGESVSCDDLILHPDTSHKSIMWSDLLSTVRNTFPAKTWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESHKHQQHIASVLQRLGREKVKWLNSSPDALKINMEFFQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYRWKSDESLYERECGNKPGFAVYIRYPNSQRVSYSQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLDLKQGPAKIVPGNQSADPLTAKDQSVRAKSIEGRHERSEAAMKPDAQQKKNALAANGSDNQIPSSSAQGKVSGIIRGSDEPPKLLSDEGVKVSKPTAESETRVPQKRVAHNAGKVSKHDMVKEDTKSGKSTNRGVTQQGSSVPVDKEVLSQAADGVQDTTSNSLAASNGNLHTAPRKVSASSQKSTLLATHTGGAANSSGESTDLIDSNMKQQKRFVPTEEQDRGTKRRKGESEGRDGDFTEHTDKDKNLDSRLVDKFRPMDHDKSASEEQILSRPEKSKEKADDKYDRDPREKADRTERRRGEDIERPTDKSLERRERSIERMQERGTDRAPDKGREDRNKEERNKVKHAEPSIDRAHPSDERFRGQSLPPPPPLPASFVPQSVGNRRDEDTDRRGGSTRHNQRSSPRRDDKEKWHLEDNAASLQDDGKHRREEDIRDRKREDRDVSSSKVDDRDRDKSSIVKDESDPNSAFKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQVFETRERERKGAISQHRISHADDLPRIHGKDSSKTSRREADQVHEREWEEEKRSRTETKRKHRK >ONIVA01G46920.1 pep chromosome:AWHD00000000:1:38932158:38933619:1 gene:ONIVA01G46920 transcript:ONIVA01G46920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSHHHHHHHHRLHLHLDPRHHHHVHIRFCPHHHGHITPHPLAPAPAPAPAAHRTTPAAPWPLHAGAGPNDGPQGEATNEAAALHLEQAEEVILVGEEEEEEEEPVFVLTDEWAEFFAKSDAKRRLAKQQQKKNKGRKK >ONIVA01G46910.1 pep chromosome:AWHD00000000:1:38928299:38930455:1 gene:ONIVA01G46910 transcript:ONIVA01G46910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGSFLRHSGLTSSASAGSSPAMFNAARLMSTKLFVGGLSWNTNDDSLKEAFTSFGDVTEARVINDRESGRSRGFGFVSFANGDDAKSAMDAMDGKELEGRSIRVNFANERPPGNRGGGGYGGGGGGYGNQGGYGDGNRGYGGQY >ONIVA01G46910.2 pep chromosome:AWHD00000000:1:38928299:38930455:1 gene:ONIVA01G46910 transcript:ONIVA01G46910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGSFLRHSGLTSSASAGSSPAMFNAARLMSTKLFVGGLSWNTNDDSLKEAFTSFGDVTEARVINDRESGRSRGFGFVSFANGDDAKSAMDAMDGKELEGRSIRVNFANERPPGNRGGGGYGGGGGGYGNQGGYGDGNRGYGGQY >ONIVA01G46900.1 pep chromosome:AWHD00000000:1:38927538:38927969:1 gene:ONIVA01G46900 transcript:ONIVA01G46900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLITGLSTAITCSSAVAFKGAAVAVVLLLRLVQLPGQLGCLLLCAIREAVERAVAAAFAAAGDAVAAAADAAAGAWRDAAASNGTAAVALVQAAMGRPEALLAAAGEVAAFAWEVAKQTASNSTATFLDAALFVARHARA >ONIVA01G46890.1 pep chromosome:AWHD00000000:1:38921848:38925564:1 gene:ONIVA01G46890 transcript:ONIVA01G46890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGAACCGGATGPGYATPLEAMEKGPREKLLYVTCVYNGTGINKPDYLGTVDVDPNSPTYSQVIHRLPVTHVGDELHHSGWNACSSCHGDPSASRRFLILPSLLSGRVYVVDTLKDPRAPALHKVVEAEDIAEKTGLGFPHTSHCLASGEIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDYWYQPRHKTMISSSWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGSTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTANGSWSHEVAISIKPLKVRNWILPEMPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWAGGLLQKGSEVVYVTEDDKEEQYNVPQVKGHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGQDLVKKGSHMLQIDVDTEKGGLSINPNFFVDFGAEPEGPSLAHEMRYPGGDCTSDIWI >ONIVA01G46880.1 pep chromosome:AWHD00000000:1:38914904:38921055:-1 gene:ONIVA01G46880 transcript:ONIVA01G46880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVPDIMYEADDEDLMASDSMDEASEEELVVSDMHVVADDVVAGEKVHVVADSMDDEVGEEVLLVDDQINWQAGKLTCEKDDRWSSKFSEHRRRGCGRRRWFGSFARLGSATAPEARVLRGGRRTRRRRQSTELPEQESSDGARSCGSSCFPSNLATPPGQIRGRPEAANGDPPAGYRQTR >ONIVA01G46870.1 pep chromosome:AWHD00000000:1:38909544:38914228:-1 gene:ONIVA01G46870 transcript:ONIVA01G46870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G41020) TAIR;Acc:AT2G41020] MAAITMQRATLLLHNPPCLSLTWMLGVHNQIRQLMKFPTIMIVQQTLNLLSKRLFFVNRQAKATIEPTQYGEDLLSNRRNPNALKEHLLKMTAEHRAEMANKRGKPLHADNDKPKDIADKAKGADDLPEFLRQRLRARGILKDEATNNSFTIKQNVDSPVGQIKSAQELPPGWVEAKDPTSGASYFYNQSTGMTQWDRPGAPLNTMQHQAPPSSSLPENWEEALDQSTGQKYYYNTNTQATQWEPPTAVNPGVAPHAPTNAAVEMAAQNTDIWNSQMQRCLGCGGWGVGLVQPWGYCNHCTRVQNLPFQQYPSYPNNTTHSSSNKNPGNVAAKDRSSAKPPFGKANRKDHRKRNRPEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEVASHGKKRGMAPITKRGDGSDGLGEAD >ONIVA01G46860.1 pep chromosome:AWHD00000000:1:38902072:38908244:-1 gene:ONIVA01G46860 transcript:ONIVA01G46860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin family protein [Source:Projected from Arabidopsis thaliana (AT1G31730) TAIR;Acc:AT1G31730] MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIISRELDHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVSLFLDERHDLVILVVNTIQKDLRSDNYLVVCAALTAACRLIGEEAIPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEDPNSYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGNMYMVLGDIFRKGDTASNIGNAILYECICCISSIFPNAKMLDAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIEYMINITDHHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYLRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLYDVAEAHPTDDTVRAYAISAILKIFAFEIALGRKIDMLPECQSLIDELSASHSTDLQQRAYELQALLGLDKQAVENVMPADASCEDIEIDRNLSFLNGYVQQAFENGAAPYIPESERSGVVSVGNYKAQDQQETSAHALRFKAYELPPAASQASISPTTDLVPVPEPSYYKEDHQMSRSQPSGDSLSGEFGLKLRLDGVQKKWGRPAYSSSSTPSSSTSSQQATNGGVSSEVGGSTSSQARESTYGSKRQQATEVSAEKQRLAASLFGKADRKAQAGRKTAKESSSTEKVATANATPQPAKEQVIPSAPPPDLLDLGEPVSSSPPLADPFTQLEGLLGPASASPVVSETPASSTSKTPDLISIFSDDVQTGVTSGSTEPSLGVNVVAAKKGPSLQDALQKDAAARQVGVTPTGNNPILFKDLLG >ONIVA01G46850.1 pep chromosome:AWHD00000000:1:38896434:38897090:-1 gene:ONIVA01G46850 transcript:ONIVA01G46850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSSGRSFSGSSYSNSSTDTYGSGGRQQQQQQYEAAAAGDKTSAKRQPPPPSRLKKTSGKNDDAATAAVVGYAASGGGYGGSTKANAGANYGGGGGYGYNGGYNAGSVTLYGAGVGTPYYGGGGGGGYTGGGSVPYGGGGGVPAGYWTPQNGARSPLYINTREVHVYGGPHGPYDGGDGYGGGGGSGERRRSGGFFRPAFEAVGHFFDRRFGFHSSD >ONIVA01G46840.1 pep chromosome:AWHD00000000:1:38893254:38893838:1 gene:ONIVA01G46840 transcript:ONIVA01G46840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRGAAAAASGYDGAAVTIGRAAVAAAVAVGRAAVAAAVVVGVVAAGDAAAVALVVAVAAVVAVAPVSLAAVVVLLLLFFLLLFFPLRLFRSPWSSSSSLPLPLRLSSSLVYLGYSSDVVHGERPLPLPARPYRSSPPPPANDELSEPA >ONIVA01G46830.1 pep chromosome:AWHD00000000:1:38893100:38893891:-1 gene:ONIVA01G46830 transcript:ONIVA01G46830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWKKSSGKSSSSSSQYYAGSDSSSFAGGGGDDRYGRAGSGNGRSPWTTSEEYPRYTSDDDNRSGSGSDDDDDHGDRNRRRGKKSNKKKSSSSYKYDDDGGERDRSYSNYGGYGNNEGYSSSVPSGYNPYNNGGGYGGPSYGNGGGYGGSTYGNGGGYGGSSYGNGGAIVAGGGGGSAPASYGYGSNSGWGAPAPPRQEGGSGSAPTYLNVIYMTQPGSSSSQNENSGERRDNGGGGGGRNGLFGPTFQAVGGYMDRRFGFD >ONIVA01G46820.1 pep chromosome:AWHD00000000:1:38889791:38890645:-1 gene:ONIVA01G46820 transcript:ONIVA01G46820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWNSPYYDTSSYGAGSGGGGGGGGRRSSSPPRGAGDKKETKTKDYQSYTSNNNNNGSDDDKDKNKHKITSSHKHKDDEKDRNNHSKDSHGGGNSSNYNKDSYGGNSGNPNNYYGSSTGVVAGSGSYYGGGGGGGYGGGNTSYGGSLSYGKDGGYGGSNSPYGGGSSIIISGAAPIPHNNFGGGGTGWPVPPPPQDGGSGTAPVFIRAEEVKVIYHHTPPGHGSSSSSYSPSPKYESEGDRRRSGGAGSGSGSNSGGGGGGFFGPAFHAVGGYIDRKFGLDKD >ONIVA01G46810.1 pep chromosome:AWHD00000000:1:38884773:38886814:-1 gene:ONIVA01G46810 transcript:ONIVA01G46810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FXJ9] MGKRQQQRRLVRLAVAAAVVVAAALILTASAKKSGDVTELQIGVKYKPESCTLQAHKGDKIKVHYRGSLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTTGGASNSEL >ONIVA01G46800.1 pep chromosome:AWHD00000000:1:38863263:38870372:-1 gene:ONIVA01G46800 transcript:ONIVA01G46800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEILSPTKTILLADCSSKKAHAFNPRKGGGCKDLQQQQQQLVLLFHSFTVPPLFLALSRTRKAPAFTLSPLAFPHPRRSGIWWLLASRVSFAGLGRSWELGNSGGLVGFRGELRQIFEMNCGPPDQLPPATAPSCFLNLNWDQSMDAAAGGHLDPALSSMVSSPASNSTGALHGISPQPHYGGGTPLSSPPKLNLSMMGQFHHYAAPPQVGGGGGGGGGLPILENLMPMGHLDQFLADPGFAERAARLSGFDARGGGGGGGYGGAGPAQFGLPDAGAAGASKEMELGNTRDESSVSDPAPGGAEIPPKGASDGNARKRKASGKGKGKDSPMSTSAAKEDSSGKRCKSTEESNAAAEENSGKGKAAQSNSENGGGKKQGKDSSSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLAKDMHQSCSPLQSSHFPLETSGAPLPYINQPQQGNPLGCGLTNGMDNQGSMHPLDPAFCRPMGSHHPFLNGVSDAASQVGAFWQDDLQSVVQMDMGQSQEIATSSNSYNVLEGSENKLNFRICLSQKRVHMKIQDYLTASPADFFSTISMGIDNVMRYKKETALQQMRRSHGKKRGAKGETGTVHIHSHKQSIIKPCLRLLADNFYSRRDIQS >ONIVA01G46790.1 pep chromosome:AWHD00000000:1:38849719:38860199:1 gene:ONIVA01G46790 transcript:ONIVA01G46790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVLKFETVETNNFREENDNLQKQLSLQENQVSRADAAEPRDIIKSSVTAIPAESSKNAHNKGKKPEGEKAEEQGMSATRKGMTHINNIAGPVIILNRQ >ONIVA01G46780.1 pep chromosome:AWHD00000000:1:38849226:38849672:1 gene:ONIVA01G46780 transcript:ONIVA01G46780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FXJ6] MASKLYYAVAPLVLVLLLLAPLSSARLAAAAAADDDGQWPAGGGRGRKVGGRTDVEDVEGNREVQELGLFCVVEHNRRGGSATRGRGLVFSRVVAAQTQVVSGIKYYLRIAAQEADDELVFDAVVVVKAWVPSREMVSFVPAAELPGY >ONIVA01G46770.1 pep chromosome:AWHD00000000:1:38849243:38860898:-1 gene:ONIVA01G46770 transcript:ONIVA01G46770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRCGGGGGGAAAVEEHGAVRHHRPLTPRQQQQLRTVVESLRLDPLEVDEGARLEIARQSYRAGDYKAALEHCNAVYRANPRLLENLLLLGAVYYQLREFDMCIAKNEEAVAIQPNCPECFNSIANAWREKGDVDNAIQFYVHAVQLRPTFADAWTNLANAYTRKGNLSQAAECCHQALALNPHLADAYCNLGDVLKAQGLYREAYSHYLDALNIKPTFANAWNNIAGLLMQWGDFNKAAVYYKEAIKCNPAFYDAHLNLGNLYKVTGMRQDAIVCFQNAARAKPENAVAYGNLGNAYHEQGQLDLAILSYRQAIHCNSSYVEAYNNLGNALKDAGRNEEAISCYQTCLALQPSHPQALTNLGNVYMESFTVHGNTDSYNWIICTLQQLGNDLQTAVLRIDPMAADCLVNRGNTFKEAGRITEAIQDYFHAVTIRPTMAEAHANLAAAYKDTGLLEASIISYKQALQLRQDFPEATCNLLHTLQCVCDWDDRAEKFVEMSSLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHSYPVPISNDGRTSRLRIGDFGNHPLSHLMGSIFGMHNQDTIERIRSEAEHFIDVSSMSSDMIAKVINEDKIKILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLKFSHIYSEKLVHLPHCYFVNDYKQKNRDVLGPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRASLADAISKGVRADQIIFTDVAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEEMVVNSLEEYEERAVSLAENPLKLEALTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCSGRHPQHFKVVVLFSEIVGLDSFKLQYPPCPLRRRHEGDHLAGRHPGLDDDDGVEHQLVVGLLGSDAEYLIPETTCVCAATTLEKTSPRPRVADPPRRLCSTTQKSPSSCTSLFPSTSSTSVLPPTFLPRPPPAGHCPSSSAAAAAARRADESGARRRSTSTSGATA >ONIVA01G46770.2 pep chromosome:AWHD00000000:1:38849147:38860898:-1 gene:ONIVA01G46770 transcript:ONIVA01G46770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRCGGGGGGAAAVEEHGAVRHHRPLTPRQQQQLRTVVESLRLDPLEVDEGARLEIARQSYRAGDYKAALEHCNAVYRANPRLLENLLLLGAVYYQLREFDMCIAKNEEAVAIQPNCPECFNSIANAWREKGDVDNAIQFYVHAVQLRPTFADAWTNLANAYTRKGNLSQAAECCHQALALNPHLADAYCNLGDVLKAQGLYREAYSHYLDALNIKPTFANAWNNIAGLLMQWGDFNKAAVYYKEAIKCNPAFYDAHLNLGNLYKVTGMRQDAIVCFQNAARAKPENAVAYGNLGNAYHEQGQLDLAILSYRQAIHCNSSYVEAYNNLGNALKDAGRNEEAISCYQTCLALQPSHPQALTNLGNVYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQYSALIQWLLTVLSIEATHLKKQAGSLKPFKTIFMLLQLDQLWLKLMQIWRLLTKTQACWRHQLSVTSRPYNYVKIFLKLLAISCTLYRSAEGTGRPNIIKYTNLRLQRQKAVEPVLRQSLSSNKQRTTTAPRLCVCDWDDRAEKFVEMSSLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHSYPVPISNDGRTSRLRIGDFGNHPLSHLMGSIFGMHNQDTIEVFCYALSQDDGTEWRQRIRSEAEHFIDVSSMSSDMIAKVINEDKIKILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLKFSHIYSEKLVHLPHCYFVNDYKQKNRDVLGPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRASLADAISKGVRADQIIFTDVAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEEMVVNSLEEYEERAVSLAENPLKLEALTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCSGRHPQHFKVVVLFSEIVGLDSFKLQYPPCPMYRGER >ONIVA01G46770.3 pep chromosome:AWHD00000000:1:38849912:38860898:-1 gene:ONIVA01G46770 transcript:ONIVA01G46770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRCGGGGGGAAAVEEHGAVRHHRPLTPRQQQQLRTVVESLRLDPLEVDEGARLEIARQSYRAGDYKAALEHCNAVYRANPRLLENLLLLGAVYYQLREFDMCIAKNEEAVAIQPNCPECFNSIANAWREKGDVDNAIQFYVHAVQLRPTFADAWTNLANAYTRKGNLSQAAECCHQALALNPHLADAYCNLGDVLKAQGLYREAYSHYLDALNIKPTFANAWNNIAGLLMQWGDFNKAAVYYKEAIKCNPAFYDAHLNLGNLYKVTGMRQDAIVCFQNAARAKPENAVAYGNLGNAYHEQGQLDLAILSYRQAIHCNSSYVEAYNNLGNALKDAGRNEEAISCYQTCLALQPSHPQALTNLGNVYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQYSALIQWLLTVLSIEATHLKKQAGSLKPFKTIFMLLQLDQLWLKLMQIWRLLTKTQACWRHQLSVTSRPYNYVKIFLKLLAISCTLYRSAEGTGRPNIIKYTNLRLQRQKAVEPVLRQSLSSNKQRTTTAPRLCVCDWDDRAEKFVEMSSLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHSYPVPISNDGRTSRLRIGDFGNHPLSHLMGSIFGMHNQDTIEVFCYALSQDDGTEWRQRIRSEAEHFIDVSSMSSDMIAKVINEDKIKILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLKFSHIYSEKLVHLPHCYFVNDYKQKNRDVLGPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRASLADAISKGVRADQIIFTDVAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEEMVVNSLEEYEERAVSLAENPLKLEALTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCSGRHPQHFKVVVLFSEIVGLDSFKLQYPPCPSYRCH >ONIVA01G46770.4 pep chromosome:AWHD00000000:1:38849912:38860898:-1 gene:ONIVA01G46770 transcript:ONIVA01G46770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRCGGGGGGAAAVEEHGAVRHHRPLTPRQQQQLRTVVESLRLDPLEVDEGARLEIARQSYRAGDYKAALEHCNAVYRANPRLLENLLLLGAVYYQLREFDMCIAKNEEAVAIQPNCPECFNSIANAWREKGDVDNAIQFYVHAVQLRPTFADAWTNLANAYTRKGNLSQAAECCHQALALNPHLADAYCNLGDVLKAQGLYREAYSHYLDALNIKPTFANAWNNIAGLLMQWGDFNKAAVYYKEAIKCNPAFYDAHLNLGNLYKVTGMRQDAIVCFQNAARAKPENAVAYGNLGNAYHEQGQLDLAILSYRQAIHCNSSYVEAYNNLGNALKDAGRNEEAISCYQTCLALQPSHPQALTNLGNVYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQGNCNHAITCFNEVLRIDPMAADCLVNRGNTFKEAGRITEAIQDYFHAVTIRPTMAEAHANLAAAYKDTGLLEASIISYKQALQLRQDFPEATCNLLHTLQCVCDWDDRAEKFVEMSSLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHSYPVPISNDGRTSRLRIGDFGNHPLSHLMGSIFGMHNQDTIEVFCYALSQDDGTEWRQRIRSEAEHFIDVSSMSSDMIAKVINEDKIKILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLKFSHIYSEKLVHLPHCYFVNDYKQKNRDVLGPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAISKGVRADQIIFTDVAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEEMVVNSLEEYEERAVSLAENPLKLEALTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCSGRHPQHFKVVVLFSEIVGLDSFKLQYPPCPSYRCH >ONIVA01G46770.5 pep chromosome:AWHD00000000:1:38850907:38860898:-1 gene:ONIVA01G46770 transcript:ONIVA01G46770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRCGGGGGGAAAVEEHGAVRHHRPLTPRQQQQLRTVVESLRLDPLEVDEGARLEIARQSYRAGDYKAALEHCNAVYRANPRLLENLLLLGAVYYQLREFDMCIAKNEEAVAIQPNCPECFNSIANAWREKGDVDNAIQFYVHAVQLRPTFADAWTNLANAYTRKGNLSQAAECCHQALALNPHLADAYCNLGDVLKAQGLYREAYSHYLDALNIKPTFANAWNNIAGLLMQWGDFNKAAVYYKEAIKCNPAFYDAHLNLGNLYKVTGMRQDAIVCFQNAARAKPENAVAYGNLGNAYHEQGQLDLAILSYRQAIHCNSSYVEAYNNLGNALKDAGRNEEAISCYQTCLALQPSHPQALTNLGNVYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQYSALIQWLLTVLSIEATHLKKQAGSLKPFKTIFMLLQLDQLWLKLMQIWRLLTKTQACWRHQLSVTSRPYNYVKIFLKLLAISCTLYRSAEGTGRPNIIKYTNLRLQRQKAVEPVLRQSLSSNKQRTTTAPRLCVCDWDDRAEKFVEMSSLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHSYPVPISNDGRTSRLRIGDFGNHPLSHLMGSIFGMHNQDTIEVFCYALSQDDGTEWRQRIRSEAEHFIDVSSMSSDMIAKVINEDKIKILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLKFSHIYSEKLVHLPHCYFVNDYKQKNRDVLGPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRASLADAISKGVRADQIIFTDVAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEEMVVNSLEEYEERAVSLAENPLKLEALTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCSGRHPQHFKVVVLFSEIVGLDSFKLQYPPCPVFIIHG >ONIVA01G46770.6 pep chromosome:AWHD00000000:1:38850907:38860898:-1 gene:ONIVA01G46770 transcript:ONIVA01G46770.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRCGGGGGGAAAVEEHGAVRHHRPLTPRQQQQLRTVVESLRLDPLEVDEGARLEIARQSYRAGDYKAALEHCNAVYRANPRLLENLLLLGAVYYQLREFDMCIAKNEEAVAIQPNCPECFNSIANAWREKGDVDNAIQFYVHAVQLRPTFADAWTNLANAYTRKGNLSQAAECCHQALALNPHLADAYCNLGDVLKAQGLYREAYSHYLDALNIKPTFANAWNNIAGLLMQWGDFNKAAVYYKEAIKCNPAFYDAHLNLGNLYKVTGMRQDAIVCFQNAARAKPENAVAYGNLGNAYHEQGQLDLAILSYRQAIHCNSSYVEAYNNLGNALKDAGRNEEAISCYQTCLALQPSHPQALTNLGNVYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQGNCNHAITCFNEVLRIDPMAADCLVNRGNTFKEAGRITEAIQDYFHAVTIRPTMAEAHANLAAAYKDTGLLEASIISYKQALQLRQDFPEATCNLLHTLQCVCDWDDRAEKFVEMSSLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHSYPVPISNDGRTSRLRIGDFGNHPLSHLMGSIFGMHNQDTIEVFCYALSQDDGTEWRQRIRSEAEHFIDVSSMSSDMIAKVINEDKIKILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLKFSHIYSEKLVHLPHCYFVNDYKQKNRDVLGPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAISKGVRADQIIFTDVAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEEMVVNSLEEYEERAVSLAENPLKLEALTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCSGRHPQHFKVVVLFSEIVGLDSFKLQYPPCPVFIIHG >ONIVA01G46760.1 pep chromosome:AWHD00000000:1:38846790:38847266:1 gene:ONIVA01G46760 transcript:ONIVA01G46760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FXI9] MAARCPVGVASVLLLIVLVTVASAASGARSGGGGGGGIRELLGGGAGRRVGGRTEVRDVEGDREVQELGRFSVEEHNRRRRSRDCGDVRLEFGRVVAAQRQVVSGLKYYLRVAAAEEGAAGQNGGEPRVFDAVVVVKPWLESRTLLTFAPAADSPNES >ONIVA01G46750.1 pep chromosome:AWHD00000000:1:38836711:38837592:-1 gene:ONIVA01G46750 transcript:ONIVA01G46750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIRLDDAVRARLRGDAGSSASSGSEHEASACLSGLVQAFLETEGAAAGENGAGPASKGGEGYDSDDGDGPERAAAAAESVRELLDPPVEEDPFRVRLAAAVAAAMEAEPALRRYGAAFRRAVARRLRAAGYDAGVCKSRWEASGGITAGTYEYVDVVAPAARGQKSRYIVDADFRAGLEVARATAEYAVVVAAVPASVVVAREEAVGRAVRVAADAARRSLRSHGLHVPPWRKTRYMLAKWLGPYKRSTATSPSAAGAMPMPAAAAGMDVKCRAVGFFTPPPAAPAARIK >ONIVA01G46740.1 pep chromosome:AWHD00000000:1:38832347:38834634:1 gene:ONIVA01G46740 transcript:ONIVA01G46740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQSLAQLPPCLAVPLARVREAIVGGGAPCWDCEETAAAAATFARLDGEPALRLLQIGSSCCNSCIHHEATIPPTPFY >ONIVA01G46730.1 pep chromosome:AWHD00000000:1:38827957:38831776:1 gene:ONIVA01G46730 transcript:ONIVA01G46730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGRKAPAGAGGIRRWLSTVVVSVVALVLTLVVISLSVGSSLTGASLHEYLFVRPSDSSKLTDGNMNGTAVGVPLQEEVLQGGKEVPVEHGVQSGGVNSSETGEIDTKVQDPAVTDDTASVPDEGNLPVSSDSSDNLQKTNEGSCDLYHGHWVFDSSGPLYTNNSCPIITQMQNCQGNGRPDKDYENYRWKPEQCILPRFDGPKFLELMRGKTIAFVGDSVARNQMESLLCILWQVEAPVNRGSRRMSKWIFRSTSTIIVRIWSSWLVHRSTEAVGFAPKGIDKVFLDIPDETFMEFIPRFDVLVLSSGHWFAKRSAYILNGNVVGGQLWWPRKAGNMQINNVDAFGISVETCLTALATNPNFTGIAIVRTYSPDHYEGGAWNTGGSCTGKTKPLDVVVRNGFTDTMYGKQVSGFTKAVQNSGKHGSRLKLMDITEPFALRPDGHPGPYRSTDPNKKTQRGPDGRPPPQDCLHWCMPGPVDTWNEMLLETIRREFEGVRS >ONIVA01G46720.1 pep chromosome:AWHD00000000:1:38822696:38826195:1 gene:ONIVA01G46720 transcript:ONIVA01G46720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPAPSSSSPRRRGRGLAYLLVSVLLLASRVPGAAGTDSEFEDGVSPKFPGCDNPFQKVKVTYWVDGDERSSLTGITARFGEVLPATGSDGDKRKAVVPAPKTGCAKSSAPLASSIAVAERGECTFLEKAKTAESGGAAALLLINDEDGQVLRVDLQKMVCTQNDTVPNIGIPVVMVSQSAGRKILSGMDGGAKVDILMYAPEKPSFDGAIPFLWLMAVGSVACASVWSFVVVGDEDKNAPTLGGEEAADSEIVELQTKTALVFIVTASLVLLFLFFFKSTWSAWLLVVLFCLSGLQGLHYVASTLIVRACDRCREAKVALPVLGNVTVVTLVILPLALIFVVVWAVHQNSPFAWVGQDLMGICMMILVLQVVHLPNIKVATALLVSAFMYDIFWVFISPFIFKKSVMITVARGSDEGPSLPMVLKMPKEFDTWNGYDMIGFGDILFPGLLVAFSFRYDRANGKDLTDGYFLCLMIGYAFGLSCTYVGLYLMKSGQPALLYLVPSTLGTIVTLGAKRGELSQLWNAKV >ONIVA01G46710.1 pep chromosome:AWHD00000000:1:38818984:38821526:-1 gene:ONIVA01G46710 transcript:ONIVA01G46710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPPPHALATLLSRLRACSSASHALQCHALLLTSGHLAASPARLSNLLLLALASASASPSAADHADSVFAHLAEEASRHAFPWNTLVRLHAAASPRRSLLYFSRMRRAAVAPDAYTFPAVLKACGCAPGCRVGLVVHGEAVRTGLDADLFTRNALISFYCRIGDCRSGRKVFDHGVRDLVSWNSMVAGYVGCGEVDLAQDLFDEMRQRDAFSWATMIDGYGEMAGGVDRARELFDQMPDRDLVCWNSMIDGYARHGRMDEARVLFEEMPERNVISWSIVIDGYVRFGEPNEALEFFQRMLRCGIKPDRVAAVGAVAACAQLGALEQGRWLHSYLEKKKVLFDVVVQTALIDMYVKCGRLDLAKLIFESMPKKSVVTWNVMIVGLGTHGYGLDAIKLFNQMETERAPMDDLSVLAVLTSCTHAGLVSEGLGIFYRMEKDLGLEPKVEHYGALIDLLGRAGRVDQARNTIETMPMEPTPELWGSLLASCRSHRCVELAELSVEHLASLGADDSGVYVLLSNIYADEGMWDDVFRIRKLMSAEGMKKNIGRSVIEVDGQIHEFVNGVSSPDYYPASLVQEHEVLFWRFGTLAFFAKELGDCDKVENRTRLNHNFNPD >ONIVA01G46700.1 pep chromosome:AWHD00000000:1:38815501:38816737:1 gene:ONIVA01G46700 transcript:ONIVA01G46700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANACPTSTTSSLPLFFLFCFLLFSHARCNQGHHGSISDYGEQYPHQILPEEHIHLQENIKVLNKERLPKYARRMLIGSTAPICTYNECRGCRFKCTAEQVPVDANDPMNSAYHYKCVCHR >ONIVA01G46690.1 pep chromosome:AWHD00000000:1:38815068:38815487:-1 gene:ONIVA01G46690 transcript:ONIVA01G46690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETINRRLVQWLLCVCLPTPVALLMPGICISLCHTMQTVLYSEKGSSGDCGDHQMAHLPQLGHVERGDNYL >ONIVA01G46680.1 pep chromosome:AWHD00000000:1:38807131:38810249:1 gene:ONIVA01G46680 transcript:ONIVA01G46680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKKAAVAVLLVVMVVVSLSAVAPAAAAGAPFMVCGVDADRMAADCGSYCRAGSRERAPRRECCDAVRGADFKCLCKYRDELRVMGNIDAARAMQIPSKCRIKGAPKSAVVLVALVVVAAAAGGAAGLSMCGVDRSAVALCRSYCTVGSAEKAPTKECCKAVANADFQCLCDRRDMLRNLENIDADRATQIPSKCGVPGASSTCK >ONIVA01G46670.1 pep chromosome:AWHD00000000:1:38800682:38802023:-1 gene:ONIVA01G46670 transcript:ONIVA01G46670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDDCKWRQIPAFGDWNIWDDMPVTQYFESGTFFFTAQAEKDEDLFKVPQFPANPYNYKKCVVRVKGEKENANANANAVRVRKGGRKQQYLNEQQKWKPKTAVDEDLYKISPKLICRVKKAKEVAEEFARRVPWRELHRLKNN >ONIVA01G46660.1 pep chromosome:AWHD00000000:1:38798157:38799596:-1 gene:ONIVA01G46660 transcript:ONIVA01G46660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKTSIALIANPQTRATTYKKRKAGLIKKAGELATLCDIPVAVVCAGPDGGAPTVWVSPEGGDAIERYRALPAEKRARHTHVAYLQEELDKERAKLARLRQKGRPGELDPPDAVLDGMSQDELQQLLASIDATLLATAKRREALGLLPGADDDADGGGRRRDADVAGTNSVGVHGYQHQEVHAPATCDPFHPYNAGVTLMQPGYNNAQYMGGHGAVDMSGYQLQMQMPGNGSNNHSRLAWGGFQPCNATFVQPVYGNLQCWYNNVVDGNGEPCDAVVPSAGDPYMDIAGNDVYGNQMQPAPAANGGWHDPGTWGYDGGEPCKAIVPSSGDPYMGIGVYGNQMQPAPAPAANGCWHNPAGTWGNDGEPCNAIVPSAGHPYIDIECDIDGNYIDTTVFDYQTTSTSDNFMDAPVQFIATGSDESIVTNVTGCDETEFSIDDLLQCSDASQHSSGLEELHYLSDLADGFDFGCNFDVLLD >ONIVA01G46650.1 pep chromosome:AWHD00000000:1:38795817:38797301:1 gene:ONIVA01G46650 transcript:ONIVA01G46650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05600) TAIR;Acc:AT1G05600] MVATPVRWPRVLTPAHLAGAIRRQKSPLDAVHLYADAPRRYPRSSYRHNDAVHSSLLAAASASSSDLLPSLLRRILPSSPSADSLLAASIPHLPPAAAVSVFRSSLPSSLAPSWSRSFSALLRRLLSDGLLPEAARLFADFAGRPEVSLASEDLTSLITGLCRARRPELALQVLDEMSNQCLAPEKDAYRAIVPALCDAGMLDEATHVLYSMLWRVSQKGCDEDVVVYQALLVALCAAGRGEQAEIVLDKVIRKGLRSSGSRRSLRVPMLAGLSIEDAQEIIDQALVVRGGRTVASFEVMVIDLYDEGRLNEADNLFKEMGKKGFKPTIYMYEAKITSLCREGRLDEAVKVLEEELPKNDLVPTVTTYNLLMRGLCNSMQSMRALRYLGRMDKQLGCVTRKETFSILIRGLCSESRFIDAAQIMERMVKGHHRPEAGEFNNVIEGLCSAGRTYDALLWLEEMIDHGETPDVHVWSSLVSAALGLGEGLTTAATH >ONIVA01G46640.1 pep chromosome:AWHD00000000:1:38793282:38794580:-1 gene:ONIVA01G46640 transcript:ONIVA01G46640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDKEKEHEQTASGRNPDVNDVEEEEEDEEGNKRAVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPDRPDLVLPIPFVPDEKGYAFALKDGSTYSFRFSFIVSNNIVSGLKYTNTVWKTGVRVENQKVMLGTFSPQLEPYTYEGEEETTPAGMFARGSYSAKLKVFKLIKFSSDVLIEFVDDDGKCYLEMSYYFEIRKEWPTIQ >ONIVA01G46630.1 pep chromosome:AWHD00000000:1:38782812:38790398:-1 gene:ONIVA01G46630 transcript:ONIVA01G46630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLSRLRFAPLSHHHHRHLGRALSAAATADPPPDESPPPPPHPPPPPTPPSNSKLFVAGLSWSADERSLTDAFSSFGTVTEVRIMYDKNSGRSRGFGFVHFSNEYEAKCAKDAMDGKVMLGRPLRISFALDKVRGAPVVVPRLSTTSEMKAPSNGAKVYPVQGSPG >ONIVA01G46630.2 pep chromosome:AWHD00000000:1:38782812:38787732:-1 gene:ONIVA01G46630 transcript:ONIVA01G46630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVRIMYDKNSGRSRGFGFVHFSNEYEAKCAKDAMDGKVMLGRPLRISFALDKVRGAPVVVPRLSTTSEMKAPSNGAKVYPVQGSPG >ONIVA01G46630.3 pep chromosome:AWHD00000000:1:38788031:38790398:-1 gene:ONIVA01G46630 transcript:ONIVA01G46630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLSRLRFAPLSHHHHRHLGRALSAAATADPPPDESPPPPPHPPPPPTPPSNSKLFVAGLSWSADERSLTDAFSSFGTVTEDGVRGRCSMKRLGGGSGCSARARPRQMFDEMPVRDLVACSAAIYRHAKSGLFGEAVRLFVGMMRVGVSPNSFTLVGALIAAAGMGNLVLAECIHGWAMKSLLESNPFVRTALLDSYAKCGRPTKAWALFGEMRDPGIVTWNALISGLVHNDLFEEALLVFKRLLFSFGPVHNVVTMISIAQASAGCGDLGLCESAHAYSVKIGLDSDVSVTNSILGMYLSFGSLAIGREIFKKIAVNDVVSWTMMMGFLLEEAQAIEVIHMFVQMRLSGIVPDRVALVTVAQACAHLGDGRIGKLVHNEIVIRGFSGELPAVNSLITMYSKCEDLSSARLLFDGTMEKSLVSWTAMVSAYIENGYALEGMYLFAKMRHEGSFMIDSVTLVTLLLACYEVAKFELCIQLHAYCYKSGLCLYKPVLNTLIAVYGKCGYATLAHKAFDEMISRNAVSWNTMILSYGVNGQGEKAVSLFNEMEKSSEDQDSVTYLNTLLACSHSGLVDDGMLVFRRMVNDKGIIPCPEHVGCIVDMLARAGRLEEAAGVASLTHNKLGANAWKALMGGGHLHGDMKFTKVAAEKVLTTESFDYGHVVLLSNAYASSGKYRAAESVRSCYAKRITRKTLGLSSIEIVPYSRR >ONIVA01G46620.1 pep chromosome:AWHD00000000:1:38781258:38786092:1 gene:ONIVA01G46620 transcript:ONIVA01G46620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHFGTHFEIPAGSVVSISLIALTLFIPIYDRILVPIARRFTGVESGITLLQRQGIGLAISPISMVVAGLVERKRRNSALSNGGISPMSVLWLAPQLVLMGIAEAFNAVGQIEFYNKQFPENMQTLAGSLFFCTIAGANYLSTALANIMRKVTTRDGHSSWLTDNINLGKLDYYFYFIALMGVLNLIYFLICSHFYQYKVMSLHSEESIKIPTKEEEATEIDIDTDAPSK >ONIVA01G46610.1 pep chromosome:AWHD00000000:1:38772566:38773170:-1 gene:ONIVA01G46610 transcript:ONIVA01G46610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSWWVVMLLMVVAAAGWGGVAAATAAEAAHEVLRAHGLPRGLLPAGIADFRHDEGSGRFEAALGESCTAQFEVGLRYNATVAGVISYGRIASLSGVSAQDLFLWFPVRGIRVDVPSSGVIYFDVGVVFKHFPLAVFEAPPPCTPDPLLLLTQVCEDGSVAGGGAASQ >ONIVA01G46600.1 pep chromosome:AWHD00000000:1:38767623:38769224:-1 gene:ONIVA01G46600 transcript:ONIVA01G46600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHGGDPRLDRLSRALASDHPPPAAAAVHAHLVRAHAGTPPPVIRSLLNRAIRRLSKPHPRAALRLLLLMPRLPVSPDHFSLPFALNAAASLRLLPLGASLHALALRLALLPGRLPVANALVDLYAKCDDLPAAHTALADIAAPDAVSFNSLLCAHARLASVPDAESLFAAMPSRTQVSWNAMVVVYVNAGDVSSARRVFDQMPTRDSTSWSVLIVGYCKCGSMRSAREVFDRMPAKNLVAWTAMINGYAQSGVPKESLALFREMEAAGIEPDAATMVGVISAASQIGSTELAGWVGSYVDKKRIERNDKVLTALVDMHAKCGNVDEALSAFREIAQPDAYPYTALISGLAAHGHAKLALQVFERMQAQSVWPDPITFVGVLTACSHAGLVDKGLDYWEAMVKYYGMERRADHYACVVDMLGRAGRLEEAFEMVQTMPMGPHPGALGALLSACKTHGNVEIAEIVANKLFELEPHNTGNYIMLSNIYAEKEQWEEAERIRSVMRTRLPFKQPGSSWVEDRQRERGRFPLRS >ONIVA01G46590.1 pep chromosome:AWHD00000000:1:38764676:38767356:1 gene:ONIVA01G46590 transcript:ONIVA01G46590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSVSSSHGPASSPAISTCRPAACGRFPALLGGGVASQRRSLTVVSGPETRAVIPVRSSGSDTATVGAEAEAVAVTGQVTEVNKDTFWPIVKSAGPKVVVLDMYTQWCGPCKVMAPKFQEMSEKDQDVVFLKLDCNQDNKSLAKELGIKVVPTFKILKDGKVVKEVTGAKLDELIQAIETVKSS >ONIVA01G46580.1 pep chromosome:AWHD00000000:1:38760326:38762146:1 gene:ONIVA01G46580 transcript:ONIVA01G46580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHSKLRAPEHHDHELTLTAGKESFRCDGCKEHGYHMRYVCKLGGCRAGFHLHEACAQHRFGDSYQDPFKRYSLVFHKSLPSTVQDDVNGYAYVRDIGRLRTLLKRGRVLHPCCAALPKVIEAEGSVTKLRLTRKLRSPCCKCRHVKLGDRRHTWGYVSDGGGGAGVVQIHVACANDLFREEYEGARLQQQQRTRVERLKARLVNMLRGAATGGGGGVMILPQLPAGVPEESSPSPWTMDSPVVKALLWTICTVGAVITGNPVGISNFLLTL >ONIVA01G46570.1 pep chromosome:AWHD00000000:1:38751570:38754153:-1 gene:ONIVA01G46570 transcript:ONIVA01G46570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYTEILDTNHHEHKVCLVRKDEPFICSGCKELGFELRYACHTAGCNHQYHRSCTLQPLNTRAPAPFYKHDFFFFKSVRGYVYYCPDKKVSLHPCCADLPRVITTETVQLKLERKITKKCGMCHERNQGSFSNPWAYASSEKMIQLHVACVRKALVSQFESRLYGVQKPKMLLPPPPAAGASAASSTSSSTAIVECNSFPVLEVDKYRRKSAGFLDTFRRIVRAVMAMVSAVITGNHLEIYMAFIEFFKPN >ONIVA01G46560.1 pep chromosome:AWHD00000000:1:38748411:38751239:1 gene:ONIVA01G46560 transcript:ONIVA01G46560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2358) [Source:Projected from Arabidopsis thaliana (AT1G65230) TAIR;Acc:AT1G65230] MERRPHVATRGRGAPRLILPPFHVPPRRPRHGPPMATATATAAALFSSRTLSPSSSPRRRRRRGIPAAVTGFLSRRHAPALQRRLAPLHVVDDSKEVETAAGDGAEERSQTDKLVDGMDFGELCNDFECISSPYVEATARQLARDILDLRDDNRAFTCYAVSVKYKDPVRTFVGREKYKRPLWITKALENPTVTVQEMSMQSTSNLTIKWTFRGKPKNPIFATIGGDMIVSVTSQFVLNQISGQVLEQVDSWDLSASSPPAQAYFWLSRRAFSTVEAGKDTIEAAKGTASRLSSKKDENLEVYPDPSGDPTKFFQRPDDGLNQDVYQIALFLAVLYFIVQFLRTTL >ONIVA01G46560.2 pep chromosome:AWHD00000000:1:38748546:38751239:1 gene:ONIVA01G46560 transcript:ONIVA01G46560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2358) [Source:Projected from Arabidopsis thaliana (AT1G65230) TAIR;Acc:AT1G65230] MATATATAAALFSSRTLSPSSSPRRRRRRGIPAAVTGFLSRRHAPALQRRLAPLHGEDLISPMRSRRAVQLALVDDSKEVETAAGDGAEERSQTDKLVDGMDFGELCNDFECISSPYVEATARQLARDILDLRDDNRAFTCYAVSVKYKDPVRTFVGREKYKRPLWITKALENPTVTVQEMSMQSTSNLTIKWTFRGKPKNPIFATIGGDMIVSVTSQFVLNQISGQVLEQVDSWDLSASSPPAQAYFWLSRRAFSTVEAGKDTIEAAKGTASRLSSKKDENLEVYPDPSGDPTKFFQRPDDGLNQDVYQIALFLAVLYFIVQFLRTTL >ONIVA01G46550.1 pep chromosome:AWHD00000000:1:38743854:38748015:1 gene:ONIVA01G46550 transcript:ONIVA01G46550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGEEAESSAAGEQRRMPFARGGPVFVPFMVGPVSTVPEFMSSALHELQSLKDELGDPGDEFDEELCVDELRVLSEEEMVERALREAMEEGWDSTALSQLEDQRIDGGMSASSTFGNGAITSSSSGERETSRSPTEDTASIPHVHGNNGKTRGVKGETRGRKRKNVTPTLNSSVETEIPGPSVDAAVVPYVPQQGIEGKTGGMKGKSRGRMKKGADGDTKCGKGKKRGRHFDREVRAHILQGSYLTKAVKMAEIKAKQEEDKHAASLHSFSGDSVLAKVSKPSAEKVDVAKSLRYISTTWKNKTFKPGEHRPVVYPEVLLCVEVYEKRYGSVKSQEFLVLGSQLLTDLRDNIYCFKDKLMNVAKQHVHSGYFLIEDTFYNDTRRSTVDYSKPILDWIKNSRNEAEEKWDAITSGVLKKRQKDLLMGLNVSNVPDFKSAKMEKTRFSDLNFRLGAGYLYCHQGNCKHMIVIRDMRLIHPEDTQNQAEYPLMTFQMQRRLQKCSVCQIFHATKMTVDDKWTLNNPCYFCDKCYYLLHYKEDNSLLYHHTVYDYLQE >ONIVA01G46550.2 pep chromosome:AWHD00000000:1:38743854:38748015:1 gene:ONIVA01G46550 transcript:ONIVA01G46550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGEEAESSAAGEQRRMPFARGGPVFVPFMVGPVSTVPEFMSSALHELQSLKDELGDPGDEFDEELCVDELRVLSEEEMVERALREAMEEGWDSTALSQLEDQRIDGGMSASSTFGNGAITSSSSGERETSRSPTEDTASIPHVHGNNGKTRGVKGETRGRKRKNVTPTLNSSVETEIPGPSVDAAVVPYVPQQGIEGKTGGMKGKSRGRMKKGGNTTSNSLGENLEVVSHESVPPVEDLEVVSHDAAGADGDTKCGKGKKRGRHFDREVRAHILQGSYLTKAVKMAEIKAKQEEDKHAASLHSFSGDSVLAKVSKPSAEKVDVAKSLRYISTTWKNKTFKPGEHRPVVYPEVLLCVEVYEKRYGSVKSQEFLVLGSQLLTDLRDNIYCFKDKLMNVAKQHVHSGYFLIEDTFYNDTRRSTVDYSKPILDWIKNSRNEAEEKWDAITSGVLKKRQKDLLMGLNVSNVPDFKSAKMEKTRFSDLNFRLGAGYLYCHQGNCKHMIVIRDMRLIHPEDTQNQAEYPLMTFQMQRRLQKCSVCQIFHATKMTVDDKWTLNNPCYFCDKCYYLLHYKEDNSLLYHHTVYDYLQE >ONIVA01G46540.1 pep chromosome:AWHD00000000:1:38728925:38737012:1 gene:ONIVA01G46540 transcript:ONIVA01G46540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGGGGGEAPTPVTGVAGDPRHEQRMRLVRKASELATRCAVPVALSGPAIGGVCEPLRWPSMDKARDINNRYKALPENGRRKISVGDAADIANQAATQQPQGVPAGGESASAAGVAAAFGAMTEEELRELLRSIDCSLAAASHAIQKAADEAEQKLSLQRAGTLMAVDSSSQDAVPPHAAPMDMGDEVQGAQPPPDRWFEEEREPVICSKKKPEPCSAPPPPPPPANRNGVAYEAEQRRACALMVDSQEDAAPPPPPPASGNGVADDGEYINLGGYMIERNRFEAIWREHAIPPPQSLLPESLPDDDGEPLRLWSFDAGERSSRKQHKKKKTAARGRSKLTGHLGLRTLILLMVWEIWKKRNQRIFQHKEATSSFLFAKIKEEARTWTMAGAKPPMPRGGGGGGEARVTPAVASEQRRAALEMRKERLVRKASSLATRCDVPVAVICPGVGAGGEPTWWPSKEEVWAIATRYKSLPEKDRRKHSVDNASYRENQAAAKQGPGGGGGELAMAAAQVDGIAAMPDVELLELLRSIDVSLAAASDTIQKAADEAEQSVSLERAHAHAHAGALMVDSQEDAAPPAAASGNGVAYDGEHINLGGYMIEHNRFEAIWREHAIPPPQSLLPDDDGGEPLRLWSFDDGETVVLPDDDGGEPLRLWSFDDGETRWKKQLGGDDQSSLDFCVDEILDKLMDFRSIL >ONIVA01G46530.1 pep chromosome:AWHD00000000:1:38724349:38728633:-1 gene:ONIVA01G46530 transcript:ONIVA01G46530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT1G04900) TAIR;Acc:AT1G04900] MAPPHMASVPAALRRAATLARWYPAAMFSSGIVPENKPILVRDFVRSALYDPNHGYFSKRSGPVGVLDSSIRFNQLDGRSAYMQYLDKLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSVPLKIYEIGGGSGTCAKCILDYMMLNAPPKVYNTMKYISVEISSSLAEKQLETVGEVRSHLSKFMVECRDATDRAGWGRKDPRPCWVLMLEVLDNLPHDLVYSPDQVSPWMEVWIEKVNGSSQGSEVYKPLQDPLVSRCVEITGINEEKVSVRDKLSLAAKGVVSKVFPKPRRAWLPTGCLKILDTLHQALPSMSLIASDFSYLPDVSIPGDRAPLVSSKKDGRTLDHRNYLEAQGDADIFFPTDFWLLEKIDHDCSGFSKQQKNPGAFKPVKTRRTIILDSAAFMEEFGLPLKTRTKDGYNPLLDDFRNTKFYLSVPTHNKK >ONIVA01G46520.1 pep chromosome:AWHD00000000:1:38716977:38723947:1 gene:ONIVA01G46520 transcript:ONIVA01G46520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BLISTER [Source:Projected from Arabidopsis thaliana (AT3G23980) TAIR;Acc:AT3G23980] MASAQVSSSSAAAGAASSSSSRKKDLHAGKKLLAEYRKKKNKAAKKAAETADQATAPVPDTLENPPPNATSTNSGDKLVSDVDVNTTSTSSVPPVYENGPTSYSRGDEPLSNGPAPVNVPVSVSNPAPSHDVSSVGGSKIYGNLSFSDLVNGHNEDWRGDAARKREEHSPDKDVTLKSKLSAFGNSDEVSHSSDEVLLSQGRNPVLREVSDTEQSSSYSSGSLFGKLEGTQSQGYSANNDIFGRFRATSKDSSQADGLAYASSREYGSAFNNSWNTSNSTPVCFDKQDPFMSSGYPTTYNRSRPSFLDSIGVQRAPTTEVPYVEPAKASKPFGNSNSESSFFQPPNQQSAGSNDVDGSLKSGRQEYNNEKGSYGNSIRTDSFPSKDERNLHHSTQMFQNFTIPGKDDDFAALEQLIEDLTKEKFALQRTLEKSQELAQTLATDNSALTDKFNQQAQVISNLTSDMERLQNEIQAQLLALESVRTEYANAQLECNAADERAKVLAAEVILLEDKALRLRSSELKLEKEIEGLSSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNTSVTEKVNIIEKPSADKRDASTATEDLDTGESSSSETLTSAIDTVEDGETSVSRSNNVADFTYLEEVSSSIPHDQLRMIDNINSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLEIQTHRLELLSSQRMANENVLPKPIDTRSINDATMYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >ONIVA01G46510.1 pep chromosome:AWHD00000000:1:38710455:38713863:-1 gene:ONIVA01G46510 transcript:ONIVA01G46510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein [Source:Projected from Arabidopsis thaliana (AT3G24650) TAIR;Acc:AT3G24650] MDASAGSSAPHSHGNPGKQGGGGGGGGRGKAPAAEIRGEAARDDVFFADDTFPLLPDFPCLSSPSSSTFSSSSSSNSSSAFTTAAGAGCGGEPSEPASAADGFGELADIDQLLDLASLSVPWEAEQPLFPDDVGMMIEDAMSGQPHQADDCTGDGDTKAVMEAAGGGDDAGDACMEGSDAPDDLPAFFMEWLTSNREYISADDLRSIRLRRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQKKRPRTATDDGAASSDPQLPSPGANPGYEFPSGGQEMGSAAATSWMPYQAFTPPAAYGGDAMYPGAAGPFPFQQSCSKSSVVVSSQPFSPPTAAAAGDMHASGGGNMAWPQQFAPFPVSSTSSYTMPSVVPPPFTAGFPGQYSGGHAMCSPRLAGVEPSSTKEARKKRMARQRRLSCLQQQRSQQLNLSQIHISGHPQEPSPRAAHSAPVTPSSAGCRSWGIWPPAAQIIQNPLSNKPNPPPATSKQPKPSPEKPKPKPQAAATAGAESLQRSTASEKRQAKTDKNLRFLLQKVLKQSDVGSLGRIVLPKKEAEVHLPELKTRDGVSIPMEDIGTSQVWNMRYRFWPNNKSRMYLLENTGDFVRSNELQEGDFIVIYSDIKSGKYLIRGVKVRRAAQEQGNSSGAVGKHKHGSPEKPGVSSNTKAAGAEDGTGGDDSAEAAAAAAAGKADGGGCKGKSPHGVRRPRQEAAAAASMSQMAVSI >ONIVA01G46500.1 pep chromosome:AWHD00000000:1:38702194:38707260:1 gene:ONIVA01G46500 transcript:ONIVA01G46500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMRRCGVVVDWTRRRIERTASCVVVALEHEVGDTLTRDVREQVVVPWCVTSGSKGAP >ONIVA01G46490.1 pep chromosome:AWHD00000000:1:38697290:38701862:-1 gene:ONIVA01G46490 transcript:ONIVA01G46490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transporter associated with antigen processing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G70610) TAIR;Acc:AT1G70610] MPTPAALLLTAATGSAALSVGVAAVGPRAPSLLLRSASATRRAPGRARPTRIRAAAAIGGEFGGLAQRRALAGEFIERLRNVLPGGSWWRLEDGEEAGGGRAEASGATAASALRRMWALVASDRWVVFVGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLISGVFSGVRSCCFGVANMILVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQKRAAKFAQEFTASANNVAQEVISLVRTVRVIAVLIGGISIMAGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSRQLSHRLQKLEGRIQYADVSFSYPSRPTVPILGGLNLTLHPNEVVAIVGLSGSGKSTVVNLLLRLYEPTDGQILVDGVPLSELDIRWFRERIGFVGQEPRLFRMDISSNIRYGCPREVSNEEVEWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKNVITKFSTDPKARRTVIIIAHRLSTIQAADRIIVMENGNIVEDGKHSELINKHDGLYSRLARRQNDALD >ONIVA01G46490.2 pep chromosome:AWHD00000000:1:38697290:38701862:-1 gene:ONIVA01G46490 transcript:ONIVA01G46490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transporter associated with antigen processing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G70610) TAIR;Acc:AT1G70610] MPTPAALLLTAATGSAALSVGVAAVGPRAPSLLLRSASATRRAPGRARPTRIRAAAAIGGEFGGLAQRRALAGEFIERLRNVLPGGSWWRLEDGEEAGGGRAEASGATAASALRRMWALVASDRWVVFVGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQKRAAKFAQEFTASANNVIAVLIGGISIMAGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSRQLSHRLQKLEGRIQYADVSFSYPSRPTVPILGGLNLTLHPNEVVAIVGLSGSGKSTVVNLLLRLYEPTDGQILVDGVPLSELDIRWFRERIGFVGQEPRLFRMDISSNIRYGCPREVSNEEVEWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKNVITKFSTDPKARRTVIIIAHRLSTIQAADRIIVMENGNIVEDGKHSELINKHDGLYSRLARRQNDALD >ONIVA01G46480.1 pep chromosome:AWHD00000000:1:38689156:38698939:1 gene:ONIVA01G46480 transcript:ONIVA01G46480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribophorin II (RPN2) family protein [Source:Projected from Arabidopsis thaliana (AT4G21150) TAIR;Acc:AT4G21150] MAAAGGLPASATLLLLVIAAVAVAPLASAVRPVSDAHRSAAAELFAASPDGSFGDLETTYEAVRTFQILGVEKDKGLIGKACKFAAEKLASSSSSPAKDLFHAARISGVLKCSVDSGVYDDVATRLKAVIKDTNSLLELYYSVGGLLSIKEQGHNVVLPDADNTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALSAVISLADSEVDSSMIAVVKNDIVKLFDTIKSYDDGTFYFDEKHVDAAEYKGPITTSASVVRGVTSFAAVASGKLNIPGEKILGLAKFFLGIGLPGSAKDCFNQIEVFVPLVLSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITTETKELQFDLDNNVHYLDLAPLKIDVGKYSLVFEISLQEQEHETIYATGGTNTEAIFVTGLIKVDKAEIGISDNDAGTVESVQKIDLQKDTSVSLSANHLQKLRLSFQLSTPLGKTFKPHQVFLKLKHDESKVEHLFVVPGSASQFKIVLVEYPIPQLDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRALGHIELDLPEAPEKAPKPPAQAVDPFSKFGPKKEISHIFRSPEKRPPKELSFAFTGLTLLPIVGFLIGLMRLGVNLKNFPSLPAPAAFASLFHAGIGAVLLLYVLFWIKLDLFTTLKYLSFLGVFLVFVGHRALSYLSSTSAKQKTA >ONIVA01G46480.2 pep chromosome:AWHD00000000:1:38689156:38698939:1 gene:ONIVA01G46480 transcript:ONIVA01G46480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribophorin II (RPN2) family protein [Source:Projected from Arabidopsis thaliana (AT4G21150) TAIR;Acc:AT4G21150] MAAAGGLPASATLLLLVIAAVAVAPLASAVRPVSDAHRSAAAELFAASPDGSFGDLETTYEAVRTFQILGVEKDKGLIGKACKFAAEKLASSSSSPAKDLFHAARISGVLKCSVDSGVYDDVATRLKAVIKDTNSLLELYYSVGGLLSIKEQGHNVVLPDADNTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALSAVISLADSEVDSSMIAVVKNDIVKLFDTIKSYDDGTFYFDEKHVDAAEYKGPITTSASVVRGVTSFAAVASGKLNIPGEKILGLAKFFLGIGLPGSAKDCFNQIEVFVPLVLSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITTETKELQFDLDNNVHYLDLAPLKIDVGKYSLVFEISLQEQEHETIYATGGTNTEAIFVTGLIKVDKAEIGISDNDAGTVESVQKIDLQKDTSVSLSANHLQKLRLSFQLSTPLGKTFKPHQVFLKLKHDESKVEHLFVVPGSASQFKIDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRALGHIELDLPEAPEKAPKPPAQAVDPFSKFGPKKEISHIFRSPEKRPPKELSFAFTGLTLLPIVGFLIGLMRLGVNLKNFPSLPAPAAFASLFHAGIGAVLLLYVLFWIKLDLFTTLKYLSFLGVFLVFVGHRALSYLSSTSAKQKTA >ONIVA01G46470.1 pep chromosome:AWHD00000000:1:38686737:38687720:-1 gene:ONIVA01G46470 transcript:ONIVA01G46470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSERNKFTSGDPFFSLCFFLDFSPAAWCARGNQRNRDCERAQARKPNAKDPQDGLTSEQRRERDKKALEEKAAKKAAQAAGGGGGTSTDNRSKAGGAKK >ONIVA01G46470.2 pep chromosome:AWHD00000000:1:38686737:38687424:-1 gene:ONIVA01G46470 transcript:ONIVA01G46470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPSFLLPPPLPSLSPLRPGRRAARASGGGRQGRSYGGGRGQVVIGHLRRPRRRRRLGEATRIEHQRSSRVVSLSRSRLLVSFALPLEFVLFPCRDLVFVLASGDPFFSLCFFLDFSPAAWCARGNQRNRDCERAQARKPNAKDPQDGLTSEQRRERDKKALEEKAAKKAAQAAGGGGGTSTDNRSKAGGAKK >ONIVA01G46460.1 pep chromosome:AWHD00000000:1:38681143:38685987:-1 gene:ONIVA01G46460 transcript:ONIVA01G46460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63120) TAIR;Acc:AT5G63120] MTPTDLARPHTLRILTHQNQTTGLLDPPHAAFLPDPNLPRRLPFPSSSSTPTAAAPPDSGEPSRARARTETYRTGDMNPYDLRYADPSSYRDRRSDLAGAPVLAASAPAAANPYAAAYAPAPAAPVAPAGGDFSRFGGRGRGGGAGGGGWGRGGGGGGGAGGYRGGGGRGGGRDALDSLSLPKPDFRSLIPFEKNFYVECHAVQAMSDMDVSQYRRQRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQQESGKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEGGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIIRPDRQTLYWSATWPREVESLARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLGMFQVLKDDKSEGYVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGGGNFRSRGRGGISETVLEWTD >ONIVA01G46460.2 pep chromosome:AWHD00000000:1:38681143:38685987:-1 gene:ONIVA01G46460 transcript:ONIVA01G46460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63120) TAIR;Acc:AT5G63120] MTPTDLARPHTLRILTHQNQTTGLLDPPHAAFLPDPNLPRRLPFPSSSSTPTAAAPPDSGEPSRARARTETYRTGDMNPYDLRYADPSSYRDRRSDLAGAPVLAASAPAAANPYAAAYAPAPAAPVAPAGGDFSRFGGRGRGGGAGGGGWGRGGGGGGGAGGYRGGGGRGGGRDALDSLSLPKPDFRSLIPFEKNFYVECHAVQAMSDMDVSQYRRQRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQQESGKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEGGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQAWLIRPDRQTLYWSATWPREVESLARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLGMFQVLKDDKSEGYVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGGGNFRSRGRGGISETVLEWTD >ONIVA01G46460.3 pep chromosome:AWHD00000000:1:38682023:38685987:-1 gene:ONIVA01G46460 transcript:ONIVA01G46460.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63120) TAIR;Acc:AT5G63120] MTPTDLARPHTLRILTHQNQTTGLLDPPHAAFLPDPNLPRRLPFPSSSSTPTAAAPPDSGEPSRARARTETYRTGDMNPYDLRYADPSSYRDRRSDLAGAPVLAASAPAAANPYAAAYAPAPAAPVAPAGGDFSRFGGRGRGGGAGGGGWGRGGGGGGGAGGYRGGGGRGGGRDALDSLSLPKPDFRSLIPFEKNFYVECHAVQAMSDMDVSQYRRQRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQQESGKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEGGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIIRPDRQTLYWSATWPREVESLARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLGMFQVLKDDKSEGYVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGGGNFRSRGRGGFGNRSGSNSIPIRGRRPY >ONIVA01G46460.4 pep chromosome:AWHD00000000:1:38681143:38685987:-1 gene:ONIVA01G46460 transcript:ONIVA01G46460.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63120) TAIR;Acc:AT5G63120] MTPTDLARPHTLRILTHQNQTTGLLDPPHAAFLPDPNLPRRLPFPSSSSTPTAAAPPDSGEPSRARARTETYRTGDMNPYDLRYADPSSYRDRRSDLAGAPVLAASAPAAANPYAAAYAPAPAAPVAPAGGDFSRFGGRGRGGGAGGGGWGRGGGGGGGAGGYRGGGGRGGGRDALDSLSLPKPDFRSLIPFEKNFYVECHAVQAMSDMDVSQYRRQRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQQESGKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEGGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQAWLIRPDRQTLYWSATWPREVESLARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGGGNFRSRGRGGISETVLEWTD >ONIVA01G46460.5 pep chromosome:AWHD00000000:1:38682023:38685987:-1 gene:ONIVA01G46460 transcript:ONIVA01G46460.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63120) TAIR;Acc:AT5G63120] MTPTDLARPHTLRILTHQNQTTGLLDPPHAAFLPDPNLPRRLPFPSSSSTPTAAAPPDSGEPSRARARTETYRTGDMNPYDLRYADPSSYRDRRSDLAGAPVLAASAPAAANPYAAAYAPAPAAPVAPAGGDFSRFGGRGRGGGAGGGGWGRGGGGGGGAGGYRGGGGRGGGRDALDSLSLPKPDFRSLIPFEKNFYVECHAVQAMSDMDVSQYRRQRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQQESGKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEGGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQAWLIRPDRQTLYWSATWPREVESLARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLGMFQVLKDDKSEGYVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGGGNFRSRGRGGFGNRSGSNSIPIRGRRPY >ONIVA01G46450.1 pep chromosome:AWHD00000000:1:38678354:38680439:-1 gene:ONIVA01G46450 transcript:ONIVA01G46450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D family [Source:Projected from Arabidopsis thaliana (AT1G07840) TAIR;Acc:AT1G07840] MDSGGTASPAGVAGDGAGGRGSVFRGDDAPKLLAALKEMKEGLDLVTGKVKALTRKVKKNQLPTADGIGYLEAKHHLLLSYCQDIVYYLLRKAKGLSVEGHPVVRSLVEIRLFLEKIRPIDKKMEYQIQKLTNAADSGAAQEKVLNAEAKSKDQPKDDEDLLKYRPNPDMMDTKIDPAGQDNDGIYRPPKFIAATMDDEDKRHKQASRKDKALVRMATESSYFKEIIDDAADRPEELKETAGDESREFTRYMRQRELQEKQEEELFTRAPLTKRDKQTEKRMRKELHGLRGLTDGFDLGINMFVDGDKDNDVGSTEPHFKSGGRRKHHKGKRKRH >ONIVA01G46440.1 pep chromosome:AWHD00000000:1:38676539:38676829:-1 gene:ONIVA01G46440 transcript:ONIVA01G46440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQEVDYCSEEVRSVTPTGGFLGRGGVQQHVVKETFQEIDRSGSGRHHHDHNHNHNHNHGNDYLTVRETKVEEDFNTCTGEFRERKQSFLLKSD >ONIVA01G46430.1 pep chromosome:AWHD00000000:1:38670299:38670604:-1 gene:ONIVA01G46430 transcript:ONIVA01G46430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYYSEVDHCAEEMNWPPHAGGEHYAVRRESYEEVDEMARAGRGHHHGGGGGGHLGYSGSRHGDAHLGGHREEHLVHGDEHRHGHGGGRQYDSCTGQYYG >ONIVA01G46420.1 pep chromosome:AWHD00000000:1:38667189:38669547:-1 gene:ONIVA01G46420 transcript:ONIVA01G46420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQKRFLLHISLHSNRSSILHHLYHILLYFNILIVSFNYHQLILFFLFSSLSSLSLPSLSLQRARETSERRSGGAAPPAARERRGSAGGSPPAGAKGRRGRLAAGRSGGSVRAKGRRRRLAAGRSGGAARAARCWPERRSGAGGSPPAGAEGPKVVDGGSGRRRRKTPSFYSSTVLLWYYIVHENIWTSVSMQNSQLLSKINSN >ONIVA01G46410.1 pep chromosome:AWHD00000000:1:38660740:38662029:-1 gene:ONIVA01G46410 transcript:ONIVA01G46410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRGNGGYQYGAYSYGYGYSKPQVNYHSQSSESVTTVVTKMDEMSVHENAVQKMSSSFREEKVYEQQGGGAGDVQVCRNGGAAAGAVQKHTYAEKEEEVYEESNHGGGGCGGYARQHGAGGGQKHAAYQHEVLKGYESASGGSYAAARHNGAGGGQRHDAYQHEVLKEYESAGGGYAAARHNGAGVKKQYSYREEEEYDAGGYARHNGGGGVQKQYSSYHEKEDQYDAAGGYGRHHGGGGGAVKQHATYKQHQAIEGVNGCGAGGYNYNRHHAVAVAGGGQHHYGGATATAAAYGRTASNKQHFTAGGGHTQYRHQSYGCEEEDSDDDDCDDDDESDDDDDHCPPSRQGSVHSYHQAAYQHEEKQHAGGGRNHYHAYERHEEHGGGAQRYQKYESSTQVGYAGGGGGGGNGGCVRANAKPCFRIMA >ONIVA01G46400.1 pep chromosome:AWHD00000000:1:38656105:38662056:1 gene:ONIVA01G46400 transcript:ONIVA01G46400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLGRSSGLPRSNEGMRLLFSAVIGVMLGYLFGISFPTVNVTKLHFPSSIISYIEDKDSGITTQTLLNHAWTSANSKKRNNSESNSDEIPKIYVPTNPKGAEGLAPGIVVPETDLYLRRLWGEPSEDLTSQPRYLITFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTNDWDEFEWSKRAIHVSVRRQTKWWYAKRFLHPDIVAPYDYIFIWDEDLSVQHFNAEAYIKLVRKHGLEISQPGLEPDKGLTWQMTKRLGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGNQGTAENGRTPWEGVRARCRKEWGMFQKRLADAEKAYYLGKGITPPN >ONIVA01G46400.2 pep chromosome:AWHD00000000:1:38656049:38662056:1 gene:ONIVA01G46400 transcript:ONIVA01G46400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLGRSSGLPRSNEGMRLLFSAVIGVMLGYLFGISFPTVNVTKLHFPSSIISYIEDKDSGITTQTLLNHAWTSANSKKRNNSESNSDEIPKIYVPTNPKGAEGLAPGIVVPETDLYLRRLWGEPSEDLTSQPRYLITFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTNDWDEFEWSKRAIHVSVRRQTKWWYAKRFLHPDIVAPYDYIFIWDEDLSVQHFNAEAYIKLVRKHGLEISQPGLEPDKGLTWQMTKRLGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGNQGTAENGRTPWEGVRARCRKEWGMFQKRLADAEKAYYLGKGITPPN >ONIVA01G46400.3 pep chromosome:AWHD00000000:1:38656049:38662226:1 gene:ONIVA01G46400 transcript:ONIVA01G46400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAAAAGVLLLLVLVRRLVVAVDAALAAWWAVVVVVVALVVVVAVIVVRIFLLATVGLVAVLGMAAAGSEVLLVGGSPPVRGGSGGGATVVVLPAASHGDRVVAVVVVPAGAAAVDTLDRLVLLVGGVLLHGAAAAAVVAAVPSGGVVLILFLVVGGVLLLHAAAAVVASVPTGVVLLFLAVGVLLLHAGAVVASGGVPTAGALVLLQHLVLVGVVPLPTAGAVVASGGVRPTAGALVPFQHLVLVRGVLLPTAGAVLASVPAAAAAAMVALLVHLLLLLRVGVLLHGACSSATIAAHLHVAGAAALLLVHLLLPERRRHLLHGVLVDAHLVHLRHDGGDALGALAVVVHLRLAVAVAVAVGAVLVAAIAAPSHRHFFFWQISLACCWAVVVAIAACVVVLRCVARVGFYRRGDGRARGTGAFVLDRFFYVAHMGGASNR >ONIVA01G46400.4 pep chromosome:AWHD00000000:1:38656121:38662226:1 gene:ONIVA01G46400 transcript:ONIVA01G46400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAAAAGVLLLLVLVRRLVVAVDAALAAWWAVVVVVVALVVVVAVIVVRIFLLATVGLVAVLGMAAAGSEVLLVGGSPPVRGGSGGGATVVVLPAASHGDRVVAVVVVPAGAAAVDTLDRLVLLVGGVLLHGAAAAAVVAAVPSGGVVLILFLVVGGVLLLHAAAAVVASVPTGVVLLFLAVGVLLLHAGAVVASGGVPTAGALVLLQHLVLVGVVPLPTAGAVVASGGVRPTAGALVPFQHLVLVRGVLLPTAGAVLASVPAAAAAAMVALLVHLLLLLRVGVLLHGACSSATIAAHLHVAGAAALLLVHLLLPERRRHLLHGVLVDAHLVHLRHDGGDALGALAVVVHLRLAVAVAVAVGAVLVAAIAAPSHRHFFFWQISLACCWAVVVAIAACVVVLRCVARVGFYRRGDGRARGTGAFVLDRFFYVAHMGGASNR >ONIVA01G46390.1 pep chromosome:AWHD00000000:1:38653162:38655643:1 gene:ONIVA01G46390 transcript:ONIVA01G46390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWELLFWDLLGREKKKMKKRRELLKIRGNLTAEANHGRYMCMAVAPLKLKENSIAPWIIHRTDFLRKMHSHVICDLQEEGQRLDFYVEEKELISEVSVPGSESTLRISTEVCRAVLFKIIKRFQSMHSAGFSLGGFEHKILFVTSDFEVKIGGISRVKDFTKTRGSKDYKSIGKIAREVIFSSVTNLPVDIEQLLDLLTDNPMEQTALLGMHYSLLDPLTQVSEFLWWHKRLIHLKDINPEKFQRIMENIPTGNNWMSRAVENKYIRKVSLGIPKRRKGLVHTTEEVKPETTAASQGTGIEGVKPKTAVGQDTNIEDPKPETATPKCKYSEDSWGQSLLCRNSIEHLDRWAALRRIKKKKSGGKIRTVKVQFEEMGRCYIIIQAFSKFFGELQKALAEENELPQKKQ >ONIVA01G46380.1 pep chromosome:AWHD00000000:1:38647991:38650683:1 gene:ONIVA01G46380 transcript:ONIVA01G46380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAGGGEDAAAAGARLRERPARGLWLGADCAERGREKGGRSGHPARHRHRRDLEEAIPAILVIFSLYPSKPIEWRGLGGWEGINLITPMGEFSLRNSYQITEQSLREERKRREKPFSPTALVLDRLCRPTAPVLSRVCRGKHSDEY >ONIVA01G46370.1 pep chromosome:AWHD00000000:1:38644880:38647974:-1 gene:ONIVA01G46370 transcript:ONIVA01G46370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRRRHRPLLLSLFSPLDAGSCTGAARSGRRWWSEQWGVGMAEVGEKNEGEGARRHNHGWVFLFAPSSLAQFESPLRSLLSTWFQNTHVNGSKVQKTPSLSSLGHLNLPTWFMHDPLSVAALVAIVEAWSRRVRGCNFRRDGLLSHYITSLSPLSHSSSPIRAPAQRCGRNKPFNFFS >ONIVA01G46360.1 pep chromosome:AWHD00000000:1:38641463:38642617:-1 gene:ONIVA01G46360 transcript:ONIVA01G46360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGGGGGGGGGGGGGGKRRLYFVLDDSKHGYGFRRIDMDEYYFEADDSGDDSALERMTEYLPSRRCLRVKAPRHQAMLFKALGTKIIATHPSPTRAAIPAYDAQAQAVSAGPAWPPGEGDSTLPSCSTVFVPVGDRLYALDGGGDSGRAVSFQVLFPSGGDGLWSWSSVASAAAPPFDPSRITSYAAHPNGRAFFVSVARKDVPFFTLSRGWPWLHAGSTFCFDTESLEWTDYGCWMLPFQGQGHYDAELDAWVGICRHPDKPGRLCSSDVPAPRRDSRWRVPSCKIGKDVLFCKDKERHRGVALHYMGSDSNFCLLECVEQPAATASRLMYVRMFRLKYGKDGGLRTATRGRWGRCFLLPPEASSFDVMDQKITAFFMCIT >ONIVA01G46350.1 pep chromosome:AWHD00000000:1:38629289:38635363:1 gene:ONIVA01G46350 transcript:ONIVA01G46350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTGESSKIKEHIGHIIPCKVYSHHKTLALMRKHTKKRDTIRPGVTRFALAFLTLQSLIEKKRELRAMALSVEWDCGNNAPALKKAKGKIATSTLMSRTFWNDVSQCLKVFEPLVKVLHMVNSDGLS >ONIVA01G46340.1 pep chromosome:AWHD00000000:1:38626957:38627718:1 gene:ONIVA01G46340 transcript:ONIVA01G46340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLLLLLLAAACQFTPLSLSRHPSARPPASAPRWRDAAAGHISFAGSAQPGAEAGVGVFGEREGNSGSTSEEGRKEWWEGPRDVEQAASDHHVSSGNRRQGAASSPRALHGKAVWGQEGGPVARWPRWGPCGRWADGLDPGERAGEGDRVGKVDGEVVGEWEREEVDGVGGAGAERHRGEASVQRPDAALAVELGPSSSRPTTTSFQSTASPRRSRTPRWLSFGSCRRRLGGQGRWNGRENPVKTSNHPKV >ONIVA01G46330.1 pep chromosome:AWHD00000000:1:38605578:38622916:-1 gene:ONIVA01G46330 transcript:ONIVA01G46330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEAQKSKLPAKSATVASAMQKAFVKEIEHYEKSKADDDNNERYNDISVVSESTGNEDSSDDADYEGKSSDEEETTAKEGESTDEKETPAKEGESSDEEETPAKNTKEENEPVVTPLKTFPHEMAKIEAPITDNKTGTNTSKRGSHLQVTNPHPAKQAKRTPIKNDTPKRSASYVCNSCKK >ONIVA01G46330.2 pep chromosome:AWHD00000000:1:38605578:38621670:-1 gene:ONIVA01G46330 transcript:ONIVA01G46330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQPNKQRVVIEPCNKKEIFCPEDTLYHLTSVALDEAYGLKDSNVKVFVEVNDEKFAIGTLSSKRHPHIKVDFCFKKNFQLFHTSLISKVAFCGYQVKNLGKFTDSEGDESDEEVPPDNMIKEAQKSKLPAKSATVASAMQKAFVKEIEHYEKSKADDDNNERYNDISVVSESTGNEDSSDDADYEGKSSDEEETTAKEGESTDEKETPAKEGESSDEEETPAKNTKEENEPVVTPLKTFPHEMAKIEAPITDNKTGTNTSKRGSHLQVTNPHPAKQAKRTPIKNDTPKRSASYVCNSCKK >ONIVA01G46330.3 pep chromosome:AWHD00000000:1:38605578:38621670:-1 gene:ONIVA01G46330 transcript:ONIVA01G46330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQPNKQRVVIEPCNKKEIFCPEDTLYHLTSVALDEAYGLKDSNVKVFVEVNDEKFAIGTLSSKRHPHIKVDFCFKKNFQLFHTSLISKVAFCGYQVKNLGKFTDSEGDESDEEVPPGIRLYPKLDDNMIKEAQKSKLPAKSATVASAMQKAFVKEIEHYEKSKADDDNNERYNDISVVSESTGNEDSSDDADYEGKSSDEEETTAKEGESTDEKETPAKEGESSDEEETPAKNTKEENEPVVTPLKTFPHEMAKIEAPITDNKTGTNTSKRGSHLQVTNPHPAKQAKRTPIKNDTPKRSASYVCNSCKK >ONIVA01G46320.1 pep chromosome:AWHD00000000:1:38602103:38603077:-1 gene:ONIVA01G46320 transcript:ONIVA01G46320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFSVPSCFSSGEKLPDIPSSGTAAAAAAARQSAVTLVYRAEISGHRRLVTVTWCRNLLTHGLSVSIEGSAGNGKDKIGREYGEAAVAATAADGGGGGGGGKSCSACKVEMQPWHFWRKYGAKQFQVDGNAIDVVWDLRSARFSDEPEPLSDYYVAVVAGEEVVLLLGNLKKDAFRRTGSRPSLQDAVLVCKKEHVFSKKRFVTKARFSDRGKLHDISIECSSSNLTGGTDVDMAIKIDGCVSVLVRHLQWKFRGNECISINKLKVQVYWDAHDWLFGTGMRHALFIFKPEPPSPSPPGASSEFSTDEYSDFCLFLYAWKVE >ONIVA01G46310.1 pep chromosome:AWHD00000000:1:38597329:38601122:1 gene:ONIVA01G46310 transcript:ONIVA01G46310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGAPDLADFMNDWFFGTVGTRRSGGGAYDLTGDQSGGKRPSAPAEKKQQQQQGRSGGGGGGSASKQTQEWLEEARRMVGAGSPGRKGVGSPSRQVPRFAAAAAAAAGTEPSPSLDRRDPMSRSARRHRQPGGIGDEILQRASISSSPPRADPFASAPASPAHSLPPNPPSSRRKSRFHYPSAPDAFSSSSAYRRAASSASTSPTSAAKPHHRRHASASSSSSPAAVDVFDDGVARLNSFLRRQRAAVAELGSGGRPSSRSTKIVLSDASKSVSSIAAAICYAWMLASKEDAEAAVPVVNMRRGRMERCRQAAWLLHHVGVDASALLFADEVDMEGLMMDKRVSLVVVGQDVLKPNDKMGSVCTILTNNYCEDAYSLLQSLDIKKLLLAGILLDTKNLSNMCSNRDSEAVQLLLFGTSEHMRHELFQQLLLDHNDHSFVEYLKNNYREPSTKGEGNSPLDQKHSVSASGSSQDAKKPNSNNQRPVHGNGGKTSDETPRGKNKFFLAKWFGFGSK >ONIVA01G46300.1 pep chromosome:AWHD00000000:1:38591232:38597243:1 gene:ONIVA01G46300 transcript:ONIVA01G46300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFEKYAYENEGFEVGNNDLRTQQHLETTTRRYYDTSSRPPPAAAGRMADPTVSPCTLSSLSLSLFHSSPHQKGKTTF >ONIVA01G46290.1 pep chromosome:AWHD00000000:1:38581084:38591315:-1 gene:ONIVA01G46290 transcript:ONIVA01G46290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSLEPSSQEHEAGKQKLQKRECQDFTPRRYQLDVYEVAMRRNTIAMLDTGAGKTMIAVMLIKEFGKINRTKNAGKVIIFLAPTVQLVAQQCEVIEIHTDFEVEQYYGAKGVMVMTPQVFLQALRNAFLILDMVSLMIFDECHHATGNHPYTRIMKEFYHKSEHKPSISSHLDCEGQFCELENLLDAKIYTVSDREEIEFCVPSAKEMCRYYDSKPVCFEDLSEELGVLCSKYDALITELQNKRSDMYKDADDITKESKRRLSKSIAKICYCLDDVGLICASEATKICIERGQEKGWLKEVVDATDQQTDANGSRLFAENSALHMKFFEEALHLIDKRLQQGIDMLLNSESGCVEAAKTGYISPKLYELIQIFHSFSNSRHARCLIFVDRKITARVIDRMIKKIGHLAHFTVSFLTGGRSSVDALTPKMQKDTLDSFRSGKVNLLFTTDVAEEGIHVPECSCVIRFDLPRTTRSYVQSRGRARQEDSQYILMIERGNVKQNDLISAIVRSETSMVKIASSRESGNLSPGFVPNEEINEYHVGTTGAKVTADSSISIVYRYCEKLPQDKCYSPKPTFEFTHHDDGYVCTLALPPSAVLQILVGPKARNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDPVPEIVSKNKGTGIGTTKRKELHGTTRIHAWSGNWVSKKTALKLQSYKMNFVCDQAGQIYSEFVLLIDATLPDEVATLEIDLYLHDKMVKTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKVPNAPREFILNKEDTFVWNTASVYLLLPTNPSFDSNVCINWSVIDAAATAVKLMRRIYSENKRELLGIFDSDQNVGDLIHLANKSCKANSLKDMVVLAVHTGKIYTALDITELSGDSAFDGASDKKECKFRTFAEYFKKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKFRDEGNVVENMSNGTPVVNKTSNRVHMPPELLIPLDLPVEILRSFYLFPALMYRIESLTLASQLRSEIGYSDSNISSFLILEAITTLRCSEDFSMERLELLGDSVLKYAVSCHLFLKFPNKDEGQLSSIRCHMICNATLYKLGIERNVQGYVRDAAFDPRRWLAPGQLSIRPSPCECPVKSEVVTDDIHIIDDKAIVLGKACDKGHRWMCSKTIADCFEAIIGAYYAGGGLRAAMAVLKWLGIGAEIEEDLIVQAILSASVQTYLPKDNVFEMLEAKLGYSFSVKGLLVEALTHPSQQELGAKYCYERLEFLGDAVLDILLTRYLFNSHKDTNEGELTDLRSASVNNENFAQVAVKHNFHHFLQHSSGLLLDQITEYVNRLEGSSMDKVELLSDGLPKGPKVLGDIVESIAGINCRDQGDTVVATLDVQLKEVLLVRQGFSKKRKDAKAHASSLLLKDLEEKGLIIPKNASKTEQFEKHCGSTNPFNNLHVDAMDTQTPKPSKEKNAADSRNISDPLLVHVIVKTSKGGPRIALYELCKKLQWPMPTMESEKVQPSFSSVCSSPGGSSQKATPQAFAFASTITLHIPNADVISLTGDGRADKKSSQDSAALFLLYELQRRGTLQLQEV >ONIVA01G46290.2 pep chromosome:AWHD00000000:1:38581084:38591315:-1 gene:ONIVA01G46290 transcript:ONIVA01G46290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSLEPSSQEHEAGKQKLQKRECQDFTPRRYQLDVYEVAMRRNTIAMLDTGAGKTMIAVMLIKEFGKINRTKNAGKVIIFLAPTVQLVAQQCEVIEIHTDFEVEQYYGAKGVMVMTPQVFLQALRNAFLILDMVSLMIFDECHHATGNHPYTRIMKEFYHKSEHKPSISSHLDCEGQFCELENLLDAKIYTVSDREEIEFCVPSAKEMCRYYDSKPVCFEDLSEELGVLCSKYDALITELQNKRSDMYKDADDITKESKRRLSKSIAKICYCLDDVGLICASEATKICIERGQEKGWLKEVVDATDQQTDANGSRLFAENSALHMKFFEEALHLIDKRLQQGIDMLLNSESGCVEAAKTGYISPKLYELIQIFHSFSNSRHARCLIFVDRKITARVIDRMIKKIGHLAHFTVSFLTGGRSSVDALTPKMQKDTLDSFRSGKVNLLFTTDVAEEGIHVPECSCVIRFDLPRTTRSYVQSRGRARQEDSQYILMIERGNVKQNDLISAIVRSETSMVKIASSRESGNLSPGFVPNEEINEYHVGTTGAKVTADSSISIVYRYCEKLPQDKCYSPKPTFEFTHHDDGYVCTLALPPSAVLQILVGPKARNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDPVPEIVSKNKGTGIGTTKRKELHGTTRIHAWSGNWVSKKTALKLQSYKMNFVCDQAGQIYSEFVLLIDATLPDEVATLEIDLYLHDKMVKTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKVPNAPREFILNKEDTFVWNTASVYLLLPTNPSFDSNVCINWSVIDAAATAVKLMRRIYSENKRELLGIFDSDQNVGDLIHLANKSCKANSLKDMVVLAVHTGKIYTALDITELSGDSAFDGASDKKECKFRTFAEYFKKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKFRDEGNVVENMSNGTPVVNKTSNRVHMPPELLIPLDLPVEILRSFYLFPALMYRIESLTLASQLRSEIGYSDSNISSFLILEAITTLRCSEDFSMERLELLGDSVLKYAVSCHLFLKFPNKDEGQLSSIRCHMICNATLYKLGIERNVQGYVRDAAFDPRRWLAPGQLSIRPSPCECPVKSEVVTDDIHIIDDKAIVLGKACDKGHRWMCSKTIADCFEAIIGAYYAGGGLRAAMAVLKWLGIGAEIEEDLIVQAILSASVQTYLPKDNVFEMLEAKLGYSFSVKGLLVEALTHPSQQELGAKYCYERLEFLGDAVLDILLTRYLFNSHKDTNEGELTDLRSASVNNENFAQVAVKHNFHHFLQHSSGLLLDQITEYVNRLEGSSMDKVELLSDGLPKGPKVLGDIVESIAGAILLDTKLDLDVVWGIFEPLLSPIVTPENLELPPYRELIEWCGKHGYFVGINCRDQGDTVVATLDVQLKEVLLVRQGFSKKRKDAKAHASSLLLKDLEEKGLIIPKNASKTEQFEKHCGSTNPFNNLHVDAMDTQTPKPSKEKNAADSRNISDPLLVHVIVKTSKGGPRIALYELCKKLQWPMPTMESEKVQPSFSSVCSSPGGSSQKATPQAFAFASTITLHIPNADVISLTGDGRADKKSSQDSAALFLLYELQRRGTLQLQEV >ONIVA01G46290.3 pep chromosome:AWHD00000000:1:38581084:38591315:-1 gene:ONIVA01G46290 transcript:ONIVA01G46290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSLEPSSQEHEAGKQKLQKRECQDFTPRRYQLDVYEVAMRRNTIAMLDTGAGKTMIAVMLIKEFGKINRTKNAGKVIIFLAPTVQLVAQQCEVIEIHTDFEVEQYYGAKGVMVMTPQVFLQALRNAFLILDMVSLMIFDECHHATGNHPYTRIMKEFYHKSEHKPSISSHLDCEGQFCELENLLDAKIYTVSDREEIEFCVPSAKEMCRYYDSKPVCFEDLSEELGVLCSKYDALITELQNKRSDMYKDADDITKESKRRLSKSIAKICYCLDDVGLICASEATKICIERGQEKGWLKEVVDATDQQTDANGSRLFAENSALHMKFFEEALHLIDKRLQQGIDMLLNSESGCVEAAKTGYISPKLYELIQIFHSFSNSRHARCLIFVDRKITARVIDRMIKKIGHLAHFTVSFLTGGRSSVDALTPKMQKDTLDSFRSGKVNLLFTTDVAEEGIHVPECSCVIRFDLPRTTRSYVQSRGRARQEDSQYILMIERGNVKQNDLISAIVRSETSMVKIASSRESGNLSPGFVPNEEINEYHVGTTGAKVTADSSISIVYRYCEKLPQDKCYSPKPTFEFTHHDDGYVCTLALPPSAVLQILVGPKARNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDPVPEIVSKNKGTGIGTTKRKELHGTTRIHAWSGNWVSKKTALKLQSYKMNFVCDQAGQIYSEFVLLIDATLPDEVATLEIDLYLHDKMVKTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKVPNAPREFILNKEDTFVWNTASVYLLLPTNPSFDSNVCINWSVIDAAATAVKLMRRIYSENKRELLGIFDSDQNVGDLIHLANKSCKANSLKDMVVLAVHTGKIYTALDITELSGDSAFDGASDKKECKFRTFAEYFKKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKFRDEGNVVENMSNGTPVVNKTSNRVHMPPELLIPLDLPVEILRSFYLFPALMYRIESLTLASQLRSEIGYSDSNISSFLILEAITTLRCSEDFSMERLELLGDSVLKYAVSCHLFLKFPNKDEGQLSSIRCHMICNATLYKLGIERNVQGYVRDAAFDPRRWLAPGQLSIRPSPCECPVKSEVVTDDIHIIDDKAIVLGKACDKGHRWMCSKTIADCFEAIIGAYYAGGGLRAAMAVLKWLGIGAEIEEDLIVQAILSASVQTYLPKDNVFEMLEAKLGYSFSVKGLLVEALTHPSQQELGAKYCYERLEFLGDAVLDILLTRYLFNSHKDTNEGELTDLRSASVNNENFAQVAVKHNFHHFLQHSSGLLLDQITEYVNRLEGSSMDKVELLSDGLPKGPKVLGDIVESIAGAILLDTKLDLDVVWGIFEPLLSPIVTPENLELPPYRELIEWCGKHGYFVGINCRDQGDTVVATLDVQLKEVLLVRQGFSKKRKDAKAHASSLLLKDLEEKGLIIPKNASKTEQFEKHCGSTNPFNNLHVDAMDTQTPKPSKEKNAADSRNISDPLLVHVIVKTSKGGPRIALYELCKKLQWPMPTMESEKVQPSFSSVCSSPGGSSQKATPQAFAFASTITLHIPNADVISLTGDGRADKKSSQDSAALFLLYELQRRGTLQLQEV >ONIVA01G46290.4 pep chromosome:AWHD00000000:1:38581084:38591315:-1 gene:ONIVA01G46290 transcript:ONIVA01G46290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSLEPSSQEHEAGKQKLQKRECQDFTPRRYQLDVYEVAMRRNTIAMLDTGAGKTMIAVMLIKEFGKINRTKNAGKVIIFLAPTVQLVAQQCEVIEIHTDFEVEQYYGAKGVMVMTPQVFLQALRNAFLILDMVSLMIFDECHHATGNHPYTRIMKVSLLIWIVKFCELENLLDAKIYTVSDREEIEFCVPSAKEMCRYYDSKPVCFEDLSEELGVLCSKYDALITELQNKRSDMYKDADDITKESKRRLSKSIAKICYCLDDVGLICASEATKICIERGQEKGWLKEVVDATDQQTDANGSRLFAENSALHMKFFEEALHLIDKRLQQGIDMLLNSESGCVEAAKTGYISPKLYELIQIFHSFSNSRHARCLIFVDRKITARVIDRMIKKIGHLAHFTVSFLTGGRSSVDALTPKMQKDTLDSFRSGKVNLLFTTDVAEEGIHVPECSCVIRFDLPRTTRSYVQSRGRARQEDSQYILMIERGNVKQNDLISAIVRSETSMVKIASSRESGNLSPGFVPNEEINEYHVGTTGAKVTADSSISIVYRYCEKLPQDKCYSPKPTFEFTHHDDGYVCTLALPPSAVLQILVGPKARNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDPVPEIVSKNKGTGIGTTKRKELHGTTRIHAWSGNWVSKKTALKLQSYKMNFVCDQAGQIYSEFVLLIDATLPDEVATLEIDLYLHDKMVKTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKVPNAPREFILNKEDTFVWNTASVYLLLPTNPSFDSNVCINWSVIDAAATAVKLMRRIYSENKRELLGIFDSDQNVGDLIHLANKSCKANSLKDMVVLAVHTGKIYTALDITELSGDSAFDGASDKKECKFRTFAEYFKKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKFRDEGNVVENMSNGTPVVNKTSNRVHMPPELLIPLDLPVEILRSFYLFPALMYRIESLTLASQLRSEIGYSDSNISSFLILEAITTLRCSEDFSMERLELLGDSVLKYAVSCHLFLKFPNKDEGQLSSIRCHMICNATLYKLGIERNVQGYVRDAAFDPRRWLAPGQLSIRPSPCECPVKSEVVTDDIHIIDDKAIVLGKACDKGHRWMCSKTIADCFEAIIGAYYAGGGLRAAMAVLKWLGIGAEIEEDLIVQAILSASVQTYLPKDNVFEMLEAKLGYSFSVKGLLVEALTHPSQQELGAKYCYERLEFLGDAVLDILLTRYLFNSHKDTNEGELTDLRSASVNNENFAQVAVKHNFHHFLQHSSGLLLDQITEYVNRLEGSSMDKVELLSDGLPKGPKVLGDIVESIAGAILLDTKLDLDVVWGIFEPLLSPIVTPENLELPPYRELIEWCGKHGYFVGINCRDQGDTVVATLDVQLKEVLLVRQGFSKKRKDAKAHASSLLLKDLEEKGLIIPKNASKTEQFEKHCGSTNPFNNLHVDAMDTQTPKPSKEKNAADSRNISDPLLVHVIVKTSKGGPRIALYELCKKLQWPMPTMESEKVQPSFSSVCSSPGGSSQKATPQAFAFASTITLHIPNADVISLTGDGRADKKSSQDSAALFLLYELQRRGTLQLQEV >ONIVA01G46290.5 pep chromosome:AWHD00000000:1:38581084:38591315:-1 gene:ONIVA01G46290 transcript:ONIVA01G46290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSLEPSSQEHEAGKQKLQKRECQDFTPRRYQLDVYEVAMRRNTIAMLDTGAGKTMIAVMLIKEFGKINRTKNAGKVIIFLAPTVQLVAQQCEVIEIHTDFEVEQYYGAKGVMVMTPQVFLQALRNAFLILDMVSLMIFDECHHATGNHPYTRIMKVSLLIWIVKFCELENLLDAKIYTVSDREEIEFCVPSAKEMCRYYDSKPVCFEDLSEELGVLCSKYDALITELQNKRSDMYKDADDITKESKRRLSKSIAKICYCLDDVGLICASEATKICIERGQEKGWLKEVVDATDQQTDANGSRLFAENSALHMKFFEEALHLIDKRLQQGIDMLLNSESGCVEAAKTGYISPKLYELIQIFHSFSNSRHARCLIFVDRKITARVIDRMIKKIGHLAHFTVSFLTGGRSSVDALTPKMQKDTLDSFRSGKVNLLFTTDVAEEGIHVPECSCVIRFDLPRTTRSYVQSRGRARQEDSQYILMIERGNVKQNDLISAIVRSETSMVKIASSRESGNLSPGFVPNEEINEYHVGTTGAKVTADSSISIVYRYCEKLPQDKCYSPKPTFEFTHHDDGYVCTLALPPSAVLQILVGPKARNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDPVPEIVSKNKGTGIGTTKRKELHGTTRIHAWSGNWVSKKTALKLQSYKMNFVCDQAGQIYSEFVLLIDATLPDEVATLEIDLYLHDKMVKTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKVPNAPREFILNKEDTFVWNTASVYLLLPTNPSFDSNVCINWSVIDAAATAVKLMRRIYSENKRELLGIFDSDQNVGDLIHLANKSCKANSLKDMVVLAVHTGKIYTALDITELSGDSAFDGASDKKECKFRTFAEYFKKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKFRDEGNVVENMSNGTPVVNKTSNRVHMPPELLIPLDLPVEILRSFYLFPALMYRIESLTLASQLRSEIGYSDSNISSFLILEAITTLRCSEDFSMERLELLGDSVLKYAVSCHLFLKFPNKDEGQLSSIRCHMICNATLYKLGIERNVQGYVRDAAFDPRRWLAPGQLSIRPSPCECPVKSEVVTDDIHIIDDKAIVLGKACDKGHRWMCSKTIADCFEAIIGAYYAGGGLRAAMAVLKWLGIGAEIEEDLIVQAILSASVQTYLPKDNVFEMLEAKLGYSFSVKGLLVEALTHPSQQELGAKYCYERLEFLGDAVLDILLTRYLFNSHKDTNEGELTDLRSASVNNENFAQVAVKHNFHHFLQHSSGLLLDQITEYVNRLEGSSMDKVELLSDGLPKGPKVLGDIVESIAGAILLDTKLDLDVVWGIFEPLLSPIVTPENLELPPYRELIEWCGKHGYFVGINCRDQGDTVVATLDVQLKEVLLVRQGFSKKRKDAKAHASSLLLKDLEEKGLIIPKNASKTEQFEKHCGSTNPFNNLHVDAMDTQTPKPSKEKNAADSRNISDPLLVHVIVKTSKGGPRIALYELCKKLQWPMPTMESEKVQPSFSSVCSSPGGSSQKATPQAFAFASTITLHIPNADVISLTGDGRADKKSSQDSAALFLLYELQRRGTLQLQEV >ONIVA01G46290.6 pep chromosome:AWHD00000000:1:38581084:38591315:-1 gene:ONIVA01G46290 transcript:ONIVA01G46290.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSLEPSSQEHEAGKQKLQKRECQDFTPRRYQLDVYEVAMRRNTIAMLDTGAGKTMIAVMLIKEFGKINRTKNAGKVIIFLAPTVQLVAQQCEVIEIHTDFEVEQYYGAKGVDQWTGHGHDTTGVPTSFTQCFLNLGHGISSHLDCEGQFCELENLLDAKIYTVSDREEIEFCVPSAKEMCRYYDSKPVCFEDLSEELGVLCSKYDALITELQNKRSDMYKDADDITKESKRRLSKSIAKICYCLDDVGLICASEATKICIERGQEKGWLKEVVDATDQQTDANGSRLFAENSALHMKFFEEALHLIDKRLQQGIDMLLNSESGCVEAAKTGYISPKLYELIQIFHSFSNSRHARCLIFVDRKITARVIDRMIKKIGHLAHFTVSFLTGGRSSVDALTPKMQKDTLDSFRSGKVNLLFTTDVAEEGIHVPECSCVIRFDLPRTTRSYVQSRGRARQEDSQYILMIERGNVKQNDLISAIVRSETSMVKIASSRESGNLSPGFVPNEEINEYHVGTTGAKVTADSSISIVYRYCEKLPQDKCYSPKPTFEFTHHDDGYVCTLALPPSAVLQILVGPKARNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDPVPEIVSKNKGTGIGTTKRKELHGTTRIHAWSGNWVSKKTALKLQSYKMNFVCDQAGQIYSEFVLLIDATLPDEVATLEIDLYLHDKMVKTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKVPNAPREFILNKEDTFVWNTASVYLLLPTNPSFDSNVCINWSVIDAAATAVKLMRRIYSENKRELLGIFDSDQNVGDLIHLANKSCKANSLKDMVVLAVHTGKIYTALDITELSGDSAFDGASDKKECKFRTFAEYFKKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKFRDEGNVVENMSNGTPVVNKTSNRVHMPPELLIPLDLPVEILRSFYLFPALMYRIESLTLASQLRSEIGYSDSNISSFLILEAITTLRCSEDFSMERLELLGDSVLKYAVSCHLFLKFPNKDEGQLSSIRCHMICNATLYKLGIERNVQGYVRDAAFDPRRWLAPGQLSIRPSPCECPVKSEVVTDDIHIIDDKAIVLGKACDKGHRWMCSKTIADCFEAIIGAYYAGGGLRAAMAVLKWLGIGAEIEEDLIVQAILSASVQTYLPKDNVFEMLEAKLGYSFSVKGLLVEALTHPSQQELGAKYCYERLEFLGDAVLDILLTRYLFNSHKDTNEGELTDLRSASVNNENFAQVAVKHNFHHFLQHSSGLLLDQITEYVNRLEGSSMDKVELLSDGLPKGPKVLGDIVESIAGAILLDTKLDLDVVWGIFEPLLSPIVTPENLELPPYRELIEWCGKHGYFVGINCRDQGDTVVATLDVQLKEVLLVRQGFSKKRKDAKAHASSLLLKDLEEKGLIIPKNASKTEQFEKHCGSTNPFNNLHVDAMDTQTPKPSKEKNAADSRNISDPLLVHVIVKTSKGGPRIALYELCKKLQWPMPTMESEKVQPSFSSVCSSPGGSSQKATPQAFAFASTITLHIPNADVISLTGDGRADKKSSQDSAALFLLYELQRRGTLQLQEV >ONIVA01G46290.7 pep chromosome:AWHD00000000:1:38581084:38591315:-1 gene:ONIVA01G46290 transcript:ONIVA01G46290.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKRSLEPSSQEHEAGKQKLQKRECQDFTPRRYQLDVYEVAMRRNTIAMLDTGAGKTMIAVMLIKEFGKINRTKNAGKVIIFLAPTVQLVAQQCEVIEIHTDFEVEQYYGAKGVDQWTGHGHDTTGVPTSFTQCFLNLGHGISSHLDCEGQFCELENLLDAKIYTVSDREEIEFCVPSAKEMCRYYDSKPVCFEDLSEELGVLCSKYDALITELQNKRSDMYKDADDITKESKRRLSKSIAKICYCLDDVGLICASEATKICIERGQEKGWLKEVVDATDQQTDANGSRLFAENSALHMKFFEEALHLIDKRLQQGIDMLLNSESGCVEAAKTGYISPKLYELIQIFHSFSNSRHARCLIFVDRKITARVIDRMIKKIGHLAHFTVSFLTGGRSSVDALTPKMQKDTLDSFRSGKVNLLFTTDVAEEGIHVPECSCVIRFDLPRTTRSYVQSRGRARQEDSQYILMIERGNVKQNDLISAIVRSETSMVKIASSRESGNLSPGFVPNEEINEYHVGTTGAKVTADSSISIVYRYCEKLPQDKCYSPKPTFEFTHHDDGYVCTLALPPSAVLQILVGPKARNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDPVPEIVSKNKGTGIGTTKRKELHGTTRIHAWSGNWVSKKTALKLQSYKMNFVCDQAGQIYSEFVLLIDATLPDEVATLEIDLYLHDKMVKTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKVPNAPREFILNKEDTFVWNTASVYLLLPTNPSFDSNVCINWSVIDAAATAVKLMRRIYSENKRELLGIFDSDQNVGDLIHLANKSCKANSLKDMVVLAVHTGKIYTALDITELSGDSAFDGASDKKELLRHPSQPLLVLKPSHNPHNLLSSKFRDEGNVVENMSNGTPVVNKTSNRVHMPPELLIPLDLPVEILRSFYLFPALMYRIESLTLASQLRSEIGYSDSNISSFLILEAITTLRCSEDFSMERLELLGDSVLKYAVSCHLFLKFPNKDEGQLSSIRCHMICNATLYKLGIERNVQGYVRDAAFDPRRWLAPGQLSIRPSPCECPVKSEVVTDDIHIIDDKAIVLGKACDKGHRWMCSKTIADCFEAIIGAYYAGGGLRAAMAVLKWLGIGAEIEEDLIVQAILSASVQTYLPKDNVFEMLEAKLGYSFSVKGLLVEALTHPSQQELGAKYCYERLEFLGDAVLDILLTRYLFNSHKDTNEGELTDLRSASVNNENFAQVAVKHNFHHFLQHSSGLLLDQITEYVNRLEGSSMDKVELLSDGLPKGPKVLGDIVESIAGAILLDTKLDLDVVWGIFEPLLSPIVTPENLELPPYRELIEWCGKHGYFVGINCRDQGDTVVATLDVQLKEVLLVRQGFSKKRKDAKAHASSLLLKDLEEKGLIIPKNASKTEQFEKHCGSTNPFNNLHVDAMDTQTPKPSKEKNAADSRNISDPLLVHVIVKTSKGGPRIALYELCKKLQWPMPTMESEKVQPSFSSVCSSPGGSSQKATPQAFAFASTITLHIPNADVISLTGDGRADKKSSQDSAALFLLYELQRRGTLQLQEV >ONIVA01G46280.1 pep chromosome:AWHD00000000:1:38577665:38580103:-1 gene:ONIVA01G46280 transcript:ONIVA01G46280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGIEVKPGKAVSFNVDDECVIHISQVALGETKKAGSENVVVSVKVDGKKTVIGNLSAKNHPQFMCDLFIGNDFELSHSSKTTSVFLCDEDENMSDICDIMGKHLGRPVAEEGKKDNSDDGMDLSSSDDDDDSLDSSSSDDDDDESGDEDDGSDELPAEMESSEEEDGSDGQDESSSSIVSTDEEDESDEEDEIPEKPESSKLTAAGSTLSSGKKSKTATHFGQKTGDKNTHPAKEDGKTPAISKPNKETPESSGTHACKYCSKAFSSDKSLRSHQKARHPAK >ONIVA01G46270.1 pep chromosome:AWHD00000000:1:38573117:38576617:1 gene:ONIVA01G46270 transcript:ONIVA01G46270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTMGFWGVAVRPGETVMCDPPGEFYYHISQIALEPGELNENVQVFGEVDGKRILLGTLSVEHRPQLSIDLEFFSLTFCLAVCTSDSPTEEGDESDEEVPLAIPLFPNSDDDKIKEVQNSPSKFATLKSAAAASPTPEAIVEERKNYGKSEADDDDSDEESDASGEDEYDDEEDMIDKQDSSDDDGDSSDEEETPSKNTKGTNRHVETPLNTPPQKRARLATPIMDSKAGTGKRSGYVHVATPYPAKQAKKTPVNNDMAKQSSGYVHVATPYPAKQAKKRTANNDMSEHSAGYACKPCNKTFNTSMGLEAHSKAKHTATK >ONIVA01G46270.2 pep chromosome:AWHD00000000:1:38573117:38576617:1 gene:ONIVA01G46270 transcript:ONIVA01G46270.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTMGFWGVAVRPGETVMCDPPGEFYYHISQIALEPGELNENVQVFGEVDGKRILLGTLSVEHRPQLSIDLEFFSLTFCLAVCTSDSPTEEGDESDEEVPLAIPLFPNSDDDKIKEVQNSPSKFATLKSAAAASPTPEAIVEERKNYGKSEADDDDSDEESDASGEDEYDDEEDMIDKQDSSDDDGDSSDEEETPSKNTKGTNRHVETPLNTPPQKRARLATPIMDSKAGTGKRSGYVHVATPYPAKQAKKTPDFQHLYGSGSSFQGETHCN >ONIVA01G46270.3 pep chromosome:AWHD00000000:1:38573117:38576617:1 gene:ONIVA01G46270 transcript:ONIVA01G46270.3 gene_biotype:protein_coding transcript_biotype:protein_coding METTMGFWGVAVRPGETVMCDPPGEFYYHISQIALEPGELNENVQVFGEVDGKRILLGTLSVEHRDESDEEVPLAIPLFPNSDDDKIKEVQNSPSKFATLKSAAAASPTPEAIVEERKNYGKSEADDDDSDEESDASGEDEYDDEEDMIDKQDSSDDDGDSSDEEETPSKNTKGTNRHVETPLNTPPQKRARLATPIMDSKAGTGKRSGYVHVATPYPAKQAKKTPVNNDMAKQSSGYVHVATPYPAKQAKKRTANNDMSEHSAGYACKPCNKTFNTSMGLEAHSKAKHTATK >ONIVA01G46260.1 pep chromosome:AWHD00000000:1:38566789:38568165:-1 gene:ONIVA01G46260 transcript:ONIVA01G46260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPAGGSEPRSRARASGTAAPTTRGPAPQTHAPPQERRQEEIVHGVRHAVAPGDGGAGTSARPDRRTRFVTQVPEVRQSPVHGDAVAGEEAALARRPGKKPSYLPAWLRRAEEGSHGRTPWSAPAGHPAPPAAVPEEPQEPRLGPPGLKPAKPAKILDTHKSIERTSTIRDEDDGVTYPAEPAPPGRTFPAARPPQDEHGFATAARHPRTSPGTPALPGRSSPSPWRPHNEPGSYASPSPSSEAPARTPAKSPMLRPRNDKKRRPVAFCFTACCILFWLLVIAVGAAVLVIFLIYHPQSPRMRVTSATLNAGYVDELGIVGGARALNADLTVLAAIYNPNTKLHVVLRYMQLDLYFQGSMIGTQAVWPAPLHEGPRGSVLRSVHLVVSEVTMTQEDVYEWQNATSKGGPVVLHLAGRFRARLIFGRWFKFNYWVSPQCTLWLDPPPSGALRRARCS >ONIVA01G46250.1 pep chromosome:AWHD00000000:1:38564929:38565839:-1 gene:ONIVA01G46250 transcript:ONIVA01G46250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPATKPWQKHAPPRRPSYVPLWQRRVEEEEEKKKQRHAAAPPRAAAPEEQQQAEKTPRRTSTKRGDSSRRAISANLTVLAAISNPNTKIGIVLRYVRFDLYFEDSVVAAQAVWPAPVQVAPGGSVPRRVHLVVSSVSVTRQDAALWRNATAKGGRPVALRLAGRFRTQLNFDRWFFRYRYWVKPQCTLWLDPPPSGALRRSRC >ONIVA01G46240.1 pep chromosome:AWHD00000000:1:38556601:38564868:1 gene:ONIVA01G46240 transcript:ONIVA01G46240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEDDGNRDDSGMLLCDAQEGRKRREEGKGGKKTGERRKQRSAAGGRRMAASRRLARKLPSLISKHQRLISPEIDVEQAAESPASSSSIPLDPSLPILPLAVSHLSPPSPLPALPSAHASTPAALLRILRRARHHPRLAALDLHLLLAAASDSPAFRPDHRLTSLLAARLAESRRLPSLRRLLELVLFRPCPCTDDSIFACPELLPTFRKAILAFAASGDIPAASDALASLRRAADSPLPAEFYNIILHALARLRRHEDTVRFYGEMTSTHRVHPDVYTFNILINSSCRAEGVDAAMRWFQEMRRWSCSPTGVSFNTLMRGFFREGRCKEGIKVAREMLQLGFGLSVASMEIMINGLCRGGEPLKAAEALCEAGRTVDANRLRLQAKEKGFQADGFTYSIIVQGFGRQGIRKEGEAELEIRDQSAPICHSNHPSLSCAWPLPNELQLH >ONIVA01G46230.1 pep chromosome:AWHD00000000:1:38534388:38538253:-1 gene:ONIVA01G46230 transcript:ONIVA01G46230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVRASELPLSIVLVGVGDGPWDMMKEFDDNIPSRAFDNFQFVNFSEIMNKNMPQSRKEAAFALSALMEIPQQYKATVELGILGRRSFKSPERVPLPPPGGSHDAYSYGSKSFSKPSTYPQSSTSSSSYPHYETAQSSSPAAPSSTYDNQVCPICLVNPKDMAFGCGHQTCCDCGQSLESCPICRSPISTRIKLY >ONIVA01G46230.2 pep chromosome:AWHD00000000:1:38534388:38538253:-1 gene:ONIVA01G46230 transcript:ONIVA01G46230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGQSRSPRDGSGHGRYGHSPSFQQQWGGGGGGGGGYPYGQDAHGGGYYGAPAPQGGYAAPYPAYQQPPPPMPSPAATQPPARAGGASKPRLDRRYSRIADDYHSVDQVTDALAQAGLESSNLIVGIDFTKSNEWLGKFSFHGRSLHHISNAPNPYEQAISIIGQTLSKFDEDNLIPCFGFGDATTHDQDVFCFYPDLRPCNGFSEALSRYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVRASELPLSIVLVGVGDGPWDMMKEFDDNIPSRAFDNFQFVNFSEIMNKNMPQSRKEAAFALSALMEIPQQYKATVELGILGRRSFKSPERVPLPPPGGSHDAYSYGSKSFSKPSTYPQSSTSSSSYPHYETAQSSSPAAPSSTYDNQVCPICLVNPKDMAFGCGHQTCCDCGQSLESCPICRSPISTRIKLY >ONIVA01G46220.1 pep chromosome:AWHD00000000:1:38532531:38533268:-1 gene:ONIVA01G46220 transcript:ONIVA01G46220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNMKVRGKRTKEPKRLTKSPMKGKSAVTKALAPNTSPLASSRLPRLSSHAINGEEEKKVVYDICTCCETMLWRKAVALMRTVAQLGPLSWSGRYSDTAAPYAQ >ONIVA01G46210.1 pep chromosome:AWHD00000000:1:38530593:38534515:1 gene:ONIVA01G46210 transcript:ONIVA01G46210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCACVRECVCISLIAYEMAASSLDAEAAAAGQQDKAGSGSYTTAATAHQIDTDSWQQVGVLLVVGFNCAYVLSFSNLMMAPLGWGWGIACLLFIGAASWYANWLLAGLHVIDGQRFIRYRDLMGFVFGRKMYYITWFLQFITLILGNMGFILLGGRALKAIHAEFSSSHSPARLQWFIAATGFVYFAFAYFVPTISAMRNWLATSAALTVAYDVALIVILIKDGKSNKQKDYNVHGTQAEKVFGAFGAIAAILVCNTSGLLPEIQSTLRKPVVSNMRRALLLQYTAGAAVYYGISVAGYWAYGAAVSEYLPDQLSGPSWATVLINATAFLQSIVSQHLFTVPIHEALDTQMQRLDEGMFSRYNLGRRLLARGLVFGANAFVTALFPFMGDFVNLFGSFVLFPLTFMFPSMVVLKIKGKDEAGRWNRIWHWGIIVASSVLSVVTTAAAVRLIVHNASVYHFFADM >ONIVA01G46210.2 pep chromosome:AWHD00000000:1:38530593:38534515:1 gene:ONIVA01G46210 transcript:ONIVA01G46210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCACVRECVCISLIAYEMAASSLDAEAAAAGQQDKAGSGSYTTAATAHQIDTDSWQQVGVLLVVGFNCAYVLSFSNLMMAPLGWGWGIACLLFIGAASWYANWLLAGLHVIDGQRFIRYRDLMGFVFGRKMYYITWFLQFITLILGNMGFILLGGRALKAIHAEFSSSHSPARLQWFIAATGFVYFAFAYFVPTISAMRNWLATSAALTVAYDVALIVILIKDGKSNKQKDYNVHGTQAEKVFGAFGAIAAILVCNTSGLLPEIQSTLRKPVVSNMRRALLLQYTAGAAVYYGISVAGYWAYGAAVSEYLPDQLSGPSWATVLINATAFLQSIVSQHVHISYTTFFSSSPLMA >ONIVA01G46200.1 pep chromosome:AWHD00000000:1:38519989:38523245:-1 gene:ONIVA01G46200 transcript:ONIVA01G46200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSAAAGGGGGGGGRRKAAAAAAAASREWLVVPASGQARVEEAGKHAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKAVITAAEVLLPNSKDPAFASFVCDLQARVLASSSDQAAEFTDMEGESSAVTSPFPALTSTTPNELEMTNKNSNVVGGMTHSNSMPTLTAAKDGNTKVLPFEFRALEVCLESACRSLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTRQEISETSSRVEVDDPSQLEVDRDEDYRSEADVSNGTFIGYKPHIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYADPTNEEEKRASNMKFWETTLGTIAGCTVMYIVAMGWGKRSGLLQ >ONIVA01G46190.1 pep chromosome:AWHD00000000:1:38517445:38518828:-1 gene:ONIVA01G46190 transcript:ONIVA01G46190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVATYFAMTFGAFLFWQSMDRVHVWIALHQDEKKERMEREQEIKRMQAELMAQAKESES >ONIVA01G46180.1 pep chromosome:AWHD00000000:1:38512356:38516970:1 gene:ONIVA01G46180 transcript:ONIVA01G46180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGVRAAGDAAAAADVDVITSSGRRRIPAHSTVLASASPVLESILQRRLKKERDAAAGGGKVRRAVVRIRGVTDDAAAAFVRLLYAGSSGDEEEIDEKSAAQMLVLAHAYRVPWLKRRCEGAIGSRLTAESVVDTMQLAALCDAPQLHLRCTRLLAKEFKAVEKTEAWRFLQENDPWLELDILQRLHDADLRRRKWRRKRAEQGVYVELSEAMDCLSHICTEGCTEVGPVGRAPAAAPCPAYATACRGLQLLIRHFSRCHRTSCPRCQRMWQLLRLHAALCDLPDGHCNTPLCMQFRRKEEEKAAAKAKAKAGDDDDKWGLLVKKVRVARAMSSLGKRRQMSCSQC >ONIVA01G46170.1 pep chromosome:AWHD00000000:1:38506493:38509229:-1 gene:ONIVA01G46170 transcript:ONIVA01G46170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPRSPPGAPPPPEFEISRQSRLLAALSKKVIDLDELRMLAAQGVPDGAAVRPTVWKLLLGYLPSDRALWEQELAKKRSQYAAFKEEFLSNPMEIARQQELEGQGSENAGSINNGLLHRSEVTQEEHPLSLGKTTAWNQFFEYSEIIEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNILIIFAKLNAGIRYVQGMNEILAPLFFVFRNDPDDKNANFAEADSFFCFMELLSGFRDNFCQKLDNSAVGIQGTLSKLSQLVAKYDGELQRHLEITTEINPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLH >ONIVA01G46160.1 pep chromosome:AWHD00000000:1:38491864:38495407:1 gene:ONIVA01G46160 transcript:ONIVA01G46160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGSGVGGMQGAASNLLDAGAQAFYPAVGAPFPFQQLPHQLYCPQPPPPPYQVMPVSPPPPPVGLPVPPLPATMAPQPGYCVPAAATVVDGPASRAVVLSLVPPHAPEDEIARAMAPFGAVRAVDASAVASEGVATVYFFDLRSAEHAVTGVREQHIRQQCRLGQLYAAAAAAAASSPTWPPPAWDWPHDDNRGLVLGQAVWAHFAAASTVPDDGASRGSLVVLNSLPAMSVFELREIFQAYGDVKDVRESALRPSNKFVEFFDTRDADRALHELNGKELFGRRLVVEYTRPSLPGPRRRGHVSHQPLAPTPPRLQAAWRPAPAPSQSAQPSSSGSGKAREGVVLLRRSSGKGSSGSQSKGGGNAGHERKSKGGKSAAAACSTAASASSSTATAPSKQSQKGGGGRGGSWRGQKSGWEARFLFKEPEAAAAAAGDAAASETHEPASCKDTRTTVMIRNIPNKYSQKLLLNMLDNHCILSNQQIEASCEDEAQPFSSYDFLYLPIDFNNKCNVGYGFVNLTSPEAAVRLYKAFHKQPWEVFNSRKICQVTYARVQGLDALKEHFKNSKFPCDSDEYLPVVFSPPRDGKLLTEPVPLVGRSPAPSSASGASSPPKSCAASVDPLAQQLMTAPSSSGDGASSASSSNAHADEDDVHGETGGDRGDDAGLDLELQRLGYTD >ONIVA01G46150.1 pep chromosome:AWHD00000000:1:38477199:38478314:1 gene:ONIVA01G46150 transcript:ONIVA01G46150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVISSWRVLAVVAALMAMAAVELCAAIPFDERDLESDEALWDLYERWQEHHHVPRHHGEKHRRFGAFKDNVRYIHEHNKRGGRGYRLRLNRFGDMGREEFRATFAGSHANDLRRDGLAAPPLPGFMYEGVRDLPRAVDWRRKGAVTGVKDQGKCGSCWAFSTVVSVEGINAIRTGRLVSLSEQELIDCDTADNSGCQGGLMENAFEYIKHSGGITTESAYPYRAANGTCDAVRARRAPLVVIDGHQNVPANSEAALAKAVANQPVSVAIDAGDQSFQFYSDGVFAGDCGTDLDHGVAVVGYGETNDGTEYWIVKNSWGTAWGEGGYIRMQRDSGYDGGLCGIAMEASYPVKFSPNRVTPRRALGAKETQ >ONIVA01G46140.1 pep chromosome:AWHD00000000:1:38468899:38475975:1 gene:ONIVA01G46140 transcript:ONIVA01G46140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPPAAPAAEPVPPWLRSLPVAPEFRPTAAEFADPVSYILKIEPAAAPYGICKVVPPLPPPPKKATFSNLSRSFAALHPDDRSPSFPTRHQQVGLCPRRTRPGLKPVWRSSHRYTLPQFESKAGATRKSLLAGLNFPASRQLTPLDHEVLFWRASADRPIVVEYGSDMSGSGFSPCAAQPQPPPQQQPTARAAAHLGETAWNMRGVARSPGSLLRFMPEDVPGVTTPMLYVGMMFSWFAWHVEDHDLHSLNYMHLGAAKTWYGVPRDAALAFEDVVREHGYGGEVNPLETFATLGQKTTVMSPEVLVESGIPCCRLVQNAGEFVVTFPGSYHCGFSHGFNCGEASNIATPEWLRIAKEAAIRRASINRPPMVSHYQLLYDLALSMRFREPSNGEMETRSSRIKEKKKCEGEQLVKKMFIQNVIEDNELLSHLLNDGSSCIILPANAHDGPGLSTLRSTDQSNMNSRISHNLCSREEAPEASGCLSPNRNGDTRNCISSDTHNMEGDKGDIMSATGLLDQGLLSCVTCGILSFSCVAVLKPRDSTARYLMSADSNSINNQFSISGGSILADAPTNERNDVISRPYSEHCCNEIMADDAEIDKNSALDLLAFAHGGQSDPEEDPLEKILKIAHGINKSQPNSSNNVGCVGTKLSSSSTERQERPSSQNAHCNGSSVISNGLKGVRTRNKYQLKMVLSEGFQAKDIYSAKEKKVQSEPSSSKGDVKETIDVSGTENDVGCKSTTISVSEHRGSTKNMYSVKEKKVQSKPSSLKGTVKETVDVSGTENDARCKSITISVSEHRGSTPMTNSLAASIVKPDKDSSRMHVFCLEHAIEVEKQLHAIGGSNIMLICRPEYPKIEAEARLLGEEMGLVYDWKGIHFKEANMEDRQKIQEVLRDEEAIPTSSDWAVKLGINLYYSANLAKSPLYNKQMPYNRVIYRAFGCDSPNDSPVMFNTCERKQSHQKKIVVAGRWCGKVWMSKQVHPYLAHRVESQEAEEADRICSYHFDEKHKAEPVGNSSRVEASKRKSSSLTDVTESSNRRGEIPGEETNTKRPKHSQENNLRALETAAEVVVPSPAGTGLRVSSRIANRANKLKSKMEKEDVPSSRPKSNIKEKSSHASGQKSNVQEANANSASHLRAMPPKQKAEAEAKKQIRTPKPPKQAVEYSCDIEGCSMSFRTKRDLSLHKSDICPVKGCGKKFFSHKYLLQHRKVHTDDRPLTCPWKGCNMAFKWPWARTEHLRVHTGDRPYVCHEPGCAQTFRFVSDFSRHKRKTGHSVKKKKKAKS >ONIVA01G46130.1 pep chromosome:AWHD00000000:1:38462670:38468087:1 gene:ONIVA01G46130 transcript:ONIVA01G46130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component PIG-S-related [Source:Projected from Arabidopsis thaliana (AT3G07180) TAIR;Acc:AT3G07180] MAVLAEIAGDESPPPLPPSEGGEASGAPSTSSPSDADGGGKPSPRTSKPGRKRLVLTASVLLSFLIGLPLLLKSTEIHRSPLPSDAIAALSRRLHSNPPSFPCGLHAVFLRSGRDPSEASVANRIEREISTQLVDLPDASTAGKISVSVTVESAGGCSSSSKVASPWRCGAVTTADLGRGDEVFDELLDSALGDGGGDGMRVYTVVFVDSDDLKRIVIGKHRHAWVVGKVDEAEVVSIIGKVFVKYFMNGGVEEGEASTVKREFMPVGSDGNIVLSFSLLNADPSDWVYDWEFENIGQRMLTPVIEALRPIANINIESQVLYHTPKSSYSYSDDKLGGNVLSVGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGELSKTNAFISPMWGGVVIWNPPGCSFGSKPHGALDKMSSEELMETIEIFIGQLRQLFGLKSSYHAQSMDGVTKFITSPKGLQYAIICINQGGLLSKLEDPNYMSTAEILNVPDKILDAICLISICLIVQSLPRMIVMDEIGRQVELSLEAASLAQRNASLGIISATRARALAEDAFFHPSVMSISYASIEHYFAIYMPFFAPVSLHVLLAVMKELKRYMVERRKYSAFLASQATSS >ONIVA01G46130.2 pep chromosome:AWHD00000000:1:38462670:38467993:1 gene:ONIVA01G46130 transcript:ONIVA01G46130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component PIG-S-related [Source:Projected from Arabidopsis thaliana (AT3G07180) TAIR;Acc:AT3G07180] MAVLAEIAGDESPPPLPPSEGGEASGAPSTSSPSDADGGGKPSPRTSKPGRKRLVLTASVLLSFLIGLPLLLKSTEIHRSPLPSDAIAALSRRLHSNPPSFPCGLHAVFLRSGRDPSEASVANRIEREISTQLVDLPDASTAGKISVSVTVESAGGCSSSSKVASPWRCGAVTTADLGRGDEVFDELLDSALGDGGGDGMRVYTVVFVDSDDLKRIVIGKHRHAWVVGKVDEAEVVSIIGKVFVKYFMNGGVEEGEASTVKREFMPVGSDGNIVLSFSLLNADPSDWVYDWEFENIGQRMLTPVIEALRPIANINIESQVLYHTPKSSYSYSDDKLGGNVLSVGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGELSKTNAFISPMWGGVVIWNPPGCSFGSKPHGALDKMSSEELMETIEIFIGQLRQLFGLKSSYHAQSMDGVTKFITSPKGFAQWELDLLYRHHACSNLLSCLTTLESLSSLVQSLPRMIVMDEIGRQVELSLEAASLAQRNASLGIISATRARALAEDAFFHPSVMSISYASIEHYFAIYMPFFAPVSLHVLLAVMKELKRYMVERRKYSAFLASQATSS >ONIVA01G46130.3 pep chromosome:AWHD00000000:1:38462670:38467747:1 gene:ONIVA01G46130 transcript:ONIVA01G46130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component PIG-S-related [Source:Projected from Arabidopsis thaliana (AT3G07180) TAIR;Acc:AT3G07180] MAVLAEIAGDESPPPLPPSEGGEASGAPSTSSPSDADGGGKPSPRTSKPGRKRLVLTASVLLSFLIGLPLLLKSTEIHRSPLPSDAIAALSRRLHSNPPSFPCGLHAVFLRSGRDPSEASVANRIEREISTQLVDLPDASTAGKISVSVTVESAGGCSSSSKVASPWRCGAVTTADLGRGDEVFDELLDSALGDGGGDGMRVYTVVFVDSDDLKRIVIGKHRHAWVVGKVDEAEVVSIIGKVFVKYFMNGGVEEGEASTVKREFMPVGSDGNIVLSFSLLNADPSDWVYDWEFENIGQRMLTPVIEALRPIANINIESQVLYHTPKSSYSYSDDKLGGNVLSVGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGELSKTNAFISPMWGGVVIWNPPGCSFGSKPHGALDKMSSEELMETIEIFIGQLRQLFGLKSSYHAQSMDGVTKFITSPKGLQYAIICINQGGLLSKLEDPNYMSTAEILNVPDKILDAICLISICLIVQSLPRMIVMDEIGRQVELSLEAASLAQRNASLGIISATRARALAEDAFFHPSVMSISYASIEHYFAIYMTAI >ONIVA01G46130.4 pep chromosome:AWHD00000000:1:38462670:38467747:1 gene:ONIVA01G46130 transcript:ONIVA01G46130.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component PIG-S-related [Source:Projected from Arabidopsis thaliana (AT3G07180) TAIR;Acc:AT3G07180] MAVLAEIAGDESPPPLPPSEGGEASGAPSTSSPSDADGGGKPSPRTSKPGRKRLVLTASVLLSFLIGLPLLLKSTEIHRSPLPSDAIAALSRRLHSNPPSFPCGLHAVFLRSGRDPSEASVANRIEREISTQLVDLPDASTAGKISVSVTVESAGGCSSSSKVASPWRCGAVTTADLGRGDEVFDELLDSALGDGGGDGMRVYTVVFVDSDDLKRIVIGKHRHAWVVGKVDEAEVVSIIGKVFVKYFMNGGVEEGEASTVKREFMPVGSDGNIVLSFSLLNADPSDWVYDWEFENIGQRMLTPVIEALRPIANINIESQVLYHTPKSSYSYSDDKLGGNVLSVGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGELSKTNAFISPMWGGVVIWNPPGCSFGSKPHGALDKMSSEELMETIEIFIGQLRQLFGLKSSYHAQSMDGVTKFITSPKGFAQWELDLLYRHHACSNLLSCLTTLESLSSLVQSLPRMIVMDEIGRQVELSLEAASLAQRNASLGIISATRARALAEDAFFHPSVMSISYASIEHYFAIYMTAI >ONIVA01G46120.1 pep chromosome:AWHD00000000:1:38459543:38460591:1 gene:ONIVA01G46120 transcript:ONIVA01G46120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSKSIGGAGGGVGADICAMVAEHEKIEWEVRPGGMLVQKRRAPEEQDDGSSSMSAHSGADAIVVRVSTGWQWHDVSIDSTATFGDLKVMLSLVTGLWPRDQRLLYKGKERDDGDHLHMVGVQDKDKVLLLEDPAVKERKLRSTTLAQLMGVPCHSFIEV >ONIVA01G46110.1 pep chromosome:AWHD00000000:1:38452198:38452383:-1 gene:ONIVA01G46110 transcript:ONIVA01G46110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMLACSRAAARDADAASSRTAVGTAPAGVTGSAPTCSRAAAGAEASPGAGLLREAAPDA >ONIVA01G46100.1 pep chromosome:AWHD00000000:1:38445018:38450496:-1 gene:ONIVA01G46100 transcript:ONIVA01G46100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT5G63200) TAIR;Acc:AT5G63200] MLADLNVDPPESDGEDQPPTPNPNVNPATAAVTAATVVAIDSSTRSSNDEGSLAKSVITTKEPDTVECEDADQHCQGASVAREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLGQPQKAIAAYEKSSEILLQDEEEVRRPDLLSSVRIHHAQCILQTSMGDTFDEELESGELDEILVKMKSSVESDPRQAAVWNILGLVLLRSGQLQSAISVLSSLTVVAPDYLDSLANLGVAYIQSGNLELATKCFQELVIKDQNHPAALVNYAALLLCKYGSFAAGSGGNVSAGSCLHQKEGLAVAKECLLAAVKADPKAASVWVNLANAYYMAGEHRNSKRCLEQAAKHEPSHMPARYAIAVHRIRDAVRSQCSDDQLLWASNEMATVLKEGDPSAVDAPIAWAGLAMAHRAQHEIAAAYDTEQINLSDVEERALYTLKQAIQEDPDDAVQWHQLGLYNICTTQFSRSVNFLKAAVARSPDCSYVWSNLGIALQLSDDSSCETVYKRALILSSSQQSYAILSNLGILYRQHGRYELARRMLLRSLELCPGHAPANNNLGLVSIAEGRYEEAISCFEKSLQSDPLLDAAKSNLAKVLALSKKQ >ONIVA01G46090.1 pep chromosome:AWHD00000000:1:38439790:38442027:-1 gene:ONIVA01G46090 transcript:ONIVA01G46090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGERVFAARSPAAIGTISAAPRGRNLSLRRRPSSSLLRASPSELVAGKAGRRAGMAAAGPSSSAPPRPGAGVATMAAGRRGRGDGDGAALGAAVGRSVSALCGSSDGRWRRQIWSPRLAGVNGDGLGRRRRRSADLVGGVASGGDGGGCGGDERIAGESLSEPFGQLTTATPFGVVPLLGGVYTSFLSLPYSPGENLPSVPNERWRRSTSHPPWGHRFGETSSCKDIVIGLCIGFELQS >ONIVA01G46080.1 pep chromosome:AWHD00000000:1:38437817:38439268:-1 gene:ONIVA01G46080 transcript:ONIVA01G46080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPTIIPLRPNYSPPGDGAAFRREPATYSRFPPAAARNECDLTFGQERKREGMKGKPPISRKIIYNHRDFVSMGERFVALCFIFTMSPCIDATLFVRVVLDFVRREETRRDEMPRTKLVLKLIENEKKRKATFKNRRDGLKQKVSQFATLCGVEALLICVAPAVAGGEVTTWPPDRAAVLDLIARLRATPPEKIRQLHNTQSLLRDDLDKQQRLLLKVQKCGADDVLTPWHCSLYDLSLDGLNALHDTLSETLDRAHRRIAALGGGHGHVHDDAASSSEFSVPAPAPHAVALPDNAFDFPFAPSNTGPVVGAHYFYPLHDTLPLPLPLPQQVPGQHPPCIAYQMPPPPCLAYQMPPPPPPSLAAAPFDQCMSATGFMDSNPYATHIMHGGSTAAGLLDDHGQIFSAGAGYDDDDILGHGFGFAAGTGYDLDPRMATADVWPMNTLNNIPNDGGIGFQLQNDLKWMLPGGSNGSNLQGGFQI >ONIVA01G46070.1 pep chromosome:AWHD00000000:1:38428148:38436585:-1 gene:ONIVA01G46070 transcript:ONIVA01G46070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSESMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIKKILKSHVFSDFTSLGTGKETEDATLLQQLSDACLVVDALEPSVREELVKNFCSKELTSYKQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRAQLIDILNNLKEKPDVATLLLALQRTLEFEEELAEKFSGGVTTTRNKESASDDENEDTGRNKIVSDIRKKYEKKLAVPNDEIGHDKDKQKDLSIPGAGFNFHGIISSCFEPYMSVYIELEEKSLVDQLDKLIQEEKWETEEGSQTYILSSSMQVFLIIRRSLNRCSALTKNETLFNLFQVFQRVLKAYASKLYARLPKGGTGIVAAATGTDGQIRTSDRDEKMICYIVNTAEYCHQTSGELAENVAKMINPHFADKVDISEVQDEFSAVIMKALMTLVHGLETKFDAEMVAMTRVPWSTLESVGDQSEYVNGISSILSSSIPVLGNLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPSLGKQSTAAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQTILEDFNKHSPAIKHPAVAPTVAPPVATSSAHHIAPVTTPTVSTTPSMATLTGALANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >ONIVA01G46060.1 pep chromosome:AWHD00000000:1:38421235:38437702:1 gene:ONIVA01G46060 transcript:ONIVA01G46060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAPQLFRNGMNENGGWVSPVASSAFSAPDEHVSMTTEQVAENIKEVIAVWRGLKSYGLRTKNGAGLVHGSPRSPLPRESPPARPPPEDLEGGARAAAEPIRWDSYGGEEVAAGCPSRARREVEEEEGIVGEVVVGCVTCRFGVHRYPVVPSNHPCKSKLLKS >ONIVA01G46050.1 pep chromosome:AWHD00000000:1:38416416:38420921:-1 gene:ONIVA01G46050 transcript:ONIVA01G46050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G19880) TAIR;Acc:AT2G19880] MAAMEAAADAVLAAASRAFCGPAAVFIQIQGCLICLTLGLGWAVAALVRKKEIRRMRCRIVDGNSFAFLCDDVNELEHSVQEKLPRVSVIMPLKGFGEHNLQNWRTQITSLYGGPLEFLFVVESKDDPAYHAVSRLIAEYKDKLEAKVVVAGLSTTCSQKIHNQLIGVEKMHKDSKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSIGFATGGKTFFLWGGCMMMHADDFRQDLYGVVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLSSDLSFSRYWNYLRKQTFVLESYVSKVNWMMNRALFASHCYLSWGFVWPYIMALVHVMVALRAPYSEIVKDASNSSCELVSMWNLTKVEIQLCNMLSPEGPKVSLGSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYHLKDGKISKIERENKLKYTDLGGKHLYGKRTYPSKTSLLGYLSRTVAQWHQPKKYDV >ONIVA01G46040.1 pep chromosome:AWHD00000000:1:38407563:38409445:-1 gene:ONIVA01G46040 transcript:ONIVA01G46040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FX88] MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEENRRSLRELLFTTPGALQHLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTVEVAGTNKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLSIDLNAQGLARYAIICQENGLVPIVEPEILVDGSHDIERCAYVTEKVLAACYKALNEHHVLLEGSLLKPNMVTPGSESKKVSPQLIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATVNLNAMNKLSTKKPWALSFSFGRALQQSTLKAWGGKTENVVKAQKAFITRCKANSEATLGTYQGDAVLGEGASESLHVKDYKY >ONIVA01G46030.1 pep chromosome:AWHD00000000:1:38402595:38409483:1 gene:ONIVA01G46030 transcript:ONIVA01G46030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGGRPLSLRGSLKALEADIHHANTLAHAIHRAYGGACVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTNIERRASLKEFYAVIYPFLQQLEGNLMEKDCNEKGWCKEAASGGGGRKLYADDKDDEREDECGICLETCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVRSRDLWVLTGDDDVIDTVTLEKENVRHFHSFIDSLPLIVPDNLLLVYYDYLV >ONIVA01G46020.1 pep chromosome:AWHD00000000:1:38389874:38393189:-1 gene:ONIVA01G46020 transcript:ONIVA01G46020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELIKVPKIEQEEGNADSHGKEKADVVHEEKTEKVKRRRKRVSDPQRKKACVDCTKRCIRIHGMASSSSEKARPTPTLPSFFKIMVGYFSENMDIPLPFARTITDMTGSNVYLEDAYGLRWRVRLYLHDDVLSFGHGWKNFVLDHDISVGEFLVFRQIARSVFTVQIFAISACERIHLCERNKRQSRKRKPGRKTGYPADNQMVKVSSKDVVKRRKKQRTDEQIYDLDPRQHDMPVRVCIDSGSELRCSESSVKELDAAPDKSHAVVQVPATECNADPSYNAAGMKTIKNLEAIGESSSTKDVTWDANKSEDYPSFSYPESSNVMTADKESERSHQDRPMQLYCELGLEDGNAETENCENSNVLENAELRTPLAMMDLNEVGIDDIFLSADIYEFDSDFCSPEAFSVDVNTEGLVANGRTPGDCFGVPETSRCLENKQMTDVPRTSTDDGSIAVHGIDINALPSNTYPDIGQGNTYPDIDAAPDDCKKDKDVLHSECNKVAQKAHYSVKQDITKDGPRQIAAEIMSSDPKTCELTYVRKNSVQPGTSSVSQWNNSKGQESGGTKSCVVLAVAANSKKFCITIPPPDQTWLELPRRLPVLPRTKKQARKILILKDPSMRFWPVLYQCTPKFNGFIAGWADISRENNLREGDTCEFELCSNSELSFQVLVPNLQ >ONIVA01G46010.1 pep chromosome:AWHD00000000:1:38386246:38388294:1 gene:ONIVA01G46010 transcript:ONIVA01G46010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAEYRLAVAEQVILGWHCARSSGADLAGVWDSDATCTNESLLSAVDEVLLLAEIHAFPMASAARRSMQDALDVAVSCLMEEFLRLKVWDASQMEVRLGVRFAVGKFSMPAADSGVSLSLAFPTTGSISTASTIDELYASGGSQSSRVGAVTMLLDGEFFDELDLISPASLSVLHQIALRVIRAGYTKELLHAFTNAPCDVLDRFLTILQMECAFETDHVSFEDAEWWTAEDMIKRWILATKLVAKALAVMQRQLQAQSCGAFDRFKNDYFMAIAKNSIFVLLRFANGFTTTEAPDKLVYVLEMYEALSNATPGLLLLFTEQRVELVSRQVEVVLAKLARALRAMIGGLIARIRTADCPQTTGSAARGVGVHPLTRYAMTCVELLSPHRAALDLILANGAGESVTSLGSLVAVLVTSLERHLEEINPKLSNDDDDAVAAAAASRHLFLATNASYVARRAVDAGVEPLLGDGWAARRGSLIARYVASYVEACWAPVAACLETAGRKPVKVAAKFSSAFDEAYESQVHREIPDPALRDALRKAASEMVVPAYSAYLQNHPKLQKNVRHTAGELDRLLWELFEGEAAERNKLLL >ONIVA01G46000.1 pep chromosome:AWHD00000000:1:38383376:38385519:1 gene:ONIVA01G46000 transcript:ONIVA01G46000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVGKAAPAKARLDIAENTIFQRNRGIWDAAAACTNERLLDAVDEILDLAEAQPFPAASAAAARLDSALRAAMSLMMDEFMCLRVWNASSHDLRLAVDRLAVGASANALWQHTFPSTGDRSSSASTVGRASAGSPSSAPGDLVGFLDGEFLDELQLLCPASLLVVHEIAHRVIRAGYTKELVQKFTNSPCDVLDRFLSIFQGECSRRTTVDLIKRWSLATHLVGKALVVMQRQLYTHNSPGAFDALKDEYFLAITKNRILNLLKFADDFTSITSHEKLIYILGMYQALSEAAPGLLLMFTGPHKELVAERSEEILAKLAMSIRSMVASLIAKVRDGVSNTKNIVGVGVGVHPLTKYAVLCIERLAPHRDTLDLILASGGDDVASLSDLASRVVGSLEEKPVLPCDDDATAAATGSRHHLFHANNANFVLQSCKPLLGDEWAAARESVVERHVAGYAEACWAPVVACLEPAGRKPAAKVVAKFSAAFDRAYESQARCEVRDPALRDALRRAVSDKVVTAYGVYLKTHPKLEKKLRYTAGELGERLSELFEGEAAEHNK >ONIVA01G45990.1 pep chromosome:AWHD00000000:1:38377042:38377855:1 gene:ONIVA01G45990 transcript:ONIVA01G45990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTSYLPASAAPWIELSTTGLGYNTATGEHKVVRLFKKRNGGEYSCEVYTQGAGGWRRCVGRVPPCAANFLPALPPVFVHGYLYWLLRPAGPGEEPIHRILSFSMGAEQFGSLCAVYDNRLFGRVYGALHVERPVVVAVAVVVGATLPEQVSEELAGERVIVPLCSAGGAGSSKIMLATGGHKVFVYDVERNAVERVFRMQDMVAVPRGYLQAPLLLSVGLHDERIADVVHRRAGAGDGERRLKVKLGRRRDSTLVKASGGVL >ONIVA01G45980.1 pep chromosome:AWHD00000000:1:38363343:38366879:-1 gene:ONIVA01G45980 transcript:ONIVA01G45980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:response regulator 11 [Source:Projected from Arabidopsis thaliana (AT1G67710) TAIR;Acc:AT1G67710] MDATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLARVALDILRERKNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQILRNSFEGLDEKSLFMRSDSDTMRKRKDVDKDHADQESSDGNTVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGAARQDFSHKGTSENLNLRSSFQEQPSNIANGYPHASQNIQTQANMLDSQLEDTKSTVPLPVPDKKRTLASDAADSQNVTSASSLGGVLSFKSMPVNQDRKPSETMILECQAWTGGIPSKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPVGPSNLYAPPPLISMSCGMEGDARDFSDVKPAIMDCIKSLSPALTCTVDSVSVQLSDTVVTSIDGDLKSSGVDGLPSIKDCCLDQTNSQGSLRPSQEPSIIGSTELASLPEDLPSYPLHGVSLENIGLSSIDLLNYSDAMILSGLQSNWYDDLEFSSEMMDYPSIDECLFASS >ONIVA01G45970.1 pep chromosome:AWHD00000000:1:38354961:38359203:-1 gene:ONIVA01G45970 transcript:ONIVA01G45970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAVVAALLLLWPSPVSSEAAAGEGRRLHTLFSVECGDYFDWQAVGLLHSLRKAGQPGGVTRLLSCAADQLPSYRGLRIGHTLQVPSYSRHPRTGDWYPAINKPAGVVHWLKHSVEANNVDWVVILDADQIVRGPIIPWELGAEKGKPVAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGIDPLILHYGLPFKVGNWSFSKLEHHEDGIAYDCNRLFPPPPFPREVEMMESDPNVKRGLYLSIECINTLNEGLVLHHASVGCPKPQWSKYLSFLKSRRFSELTKPKHWNGQTIENRNIVQHVASLKEDHKLPKIHTLFSTECTPYFDWQTVGLMHSLRVSRQPGNITRLLSCSDEDLKNYKGHDLAPTHYVPSMNRHPLTGDWYPAINKPAAVLHWISHVETDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFAMLWLHKTEEVRADKAHYATNITGDIYSSGWISEMYGYSFAAAELGLHHIIRRDILIYPGYVPVPEVHYKVFHYGLRFGVGNWSFDKADWRNVDVVNTCWAKFPEPPDPTTVMKEDQAARERDLLSIACGRALNKALYWHHKSRDCPRPSPVDGASKKFQKVTASNDLGGGNTEQSFIHNIKQKSHIDVAKLKPIERVAATISSVHRARRLARSSRIWIIAVWAASVAVFLLVISMFFTDRRRSVSRSRISRSQKAHV >ONIVA01G45960.1 pep chromosome:AWHD00000000:1:38346188:38353814:-1 gene:ONIVA01G45960 transcript:ONIVA01G45960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FX80] MHIKEICLEGFKSYAGRTVVSGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSIVFDNSDRSRSPLGYEDSPEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKEAALKTLEKKQNKVDEINKLLDEEILPALEKLRKERCQYMKWANGNADLDRLKRFCIAYEFVQAERVRDGALNDVKQIRAKIVELDESTEKLKSEIQEMDKNISNLAAEKEAKLGGEMKTLSEKVDKLSHALIKETSVMNNQEETIKSEEKGAEKILKNIEDIKRSIIERDTAVKNAEDGAADMKKRADDLTKELDESEKEYQGVLAGKSNANEKKCLEDQLRDAKAAVGEAESGLKQLTTKISHSEKELKDKKAQLVSKRDEATAAENELKAREKDLETVKASMQSVNYEEGQMEALQKDRSIELDAVQKLKDKIRALSGELANVHFNYRDPVKNFDRSKVKGVVARLIKIKDSSTATALEVAAGGRLYNVVVDTETTGKQLLQNGDLKRRVTIIPLNKIQTGTIPERVRQAARRLVGAENVTLALELVGYVEEVKNAMTYVFGSTFVCRNMESAKEVAFNREVGSTSVTLEGDIFQPSGLLTGGGGDLLRQLHELAKAEADLANHEKRLSVIEQKIAVLLPLQKKFTELKSQFELKSYDLSLFQNRVEQNEHHKLGELVKKLEQELQESKQELKAKQAQYEKSVSTVSELEKTIKTYGSEREGRLKALERKIKSLKSELQSMSKQLKAHESERERLIMEKDAVANELAMLEEQLTTSKAQIAAMTETLNRHQTKVASIKQDYDQAESELNIGRSKLKECDSQINSMAKEQQKLQQQLSDSNVERKKMENEVKRMEIEQKDCSSKVDKLVEKYSWIATEKQLFGKSGTDYDFVSCEPHKAREELENLQAQQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKIKTVIEELDEKKKETLKVTWLKVNKDFGSIFSTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQR >ONIVA01G45950.1 pep chromosome:AWHD00000000:1:38342488:38346036:1 gene:ONIVA01G45950 transcript:ONIVA01G45950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAVVRRMHAAAAAAAAAQPPRLTKLALHPPRSVEVEFTDGSSFHLSAEFLRVHSPAADSKIISVSGEKVIFGRRYVGIMSAESVGNYGIRILFDDLHRTGIFTWDYLHHLGSKKFSLTRNYIRTLRKHGLSRDPQRRK >ONIVA01G45940.1 pep chromosome:AWHD00000000:1:38337391:38342307:-1 gene:ONIVA01G45940 transcript:ONIVA01G45940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALAQLQDIGDRITDRLRPWSRSAEFWVRAADIYTSYKVCQLRAGFVKDEEEREAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDKAPATPIDVVRDVVEKQFGKSFDDIFECFDVEPVGSASIAQVHRARLKLSKTDVAVKVQHPGAEKLMMVDIRNMQAFALFLQKYDINFDLYSATKEMEKQICYEFDFVREAKAMERIREFLRVTNKKKPPVIVPRVIPEMVSREVLVMEFIEGTPIMNLGNEMAKRGIDPGGKIATMAKQKILTDLTLAYGQMILKDALLDYGQVKAMPEDLRLAYANLVIAMADDDFLRTKESFREIGIETWSIADNELEELFQLSLRMFDTRLPPGVTAMSPFAEDSSLNKVGVQSFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAEEALLNCKIKKAAEKFCQETVFE >ONIVA01G45940.2 pep chromosome:AWHD00000000:1:38337391:38342307:-1 gene:ONIVA01G45940 transcript:ONIVA01G45940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALAQLQDIGDRITDRLRPWSRSAEFWVRAADIYTSYKVCQLRAGFVKDEEEREAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDKAPATPIDVKYDINFDLYSATKEMEKQICYEFDFVREAKAMERIREFLRVTNKKKPPVIVPRVIPEMVSREVLVMEFIEGTPIMNLGNEMAKRGIDPGGKIATMAKQKILTDLTLAYGQMILKDALLDYGQVKAMPEDLRLAYANLVIAMADDDFLRTKESFREIGIETWSIADNELEELFQLSLRMFDTRLPPGVTAMSPFAEDSSLNKVGVQSFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAEEALLNCKIKKAAEKFCQETVFE >ONIVA01G45930.1 pep chromosome:AWHD00000000:1:38325410:38329346:1 gene:ONIVA01G45930 transcript:ONIVA01G45930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATGTDMTAALQALLDPTALSLGLPTPAINKEEYLAICLAALAGTRAKALVGVGRRAAGADLQAVVPEVASGGAHGAAVDSWPPSATSSAALSPSPPPPARAALPTPTRAPSSPASSPRTPSPVVPGAGAAPLHWSQSCKAEPTTQPPPTTKAACSESREEREEEEERRRKERLACLSGLLRCGVYASRAKAGKMSNGEIDDHEQQQEASVF >ONIVA01G45920.1 pep chromosome:AWHD00000000:1:38317190:38317839:1 gene:ONIVA01G45920 transcript:ONIVA01G45920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQMNKVARRTPSWVPKRRTQTTTMATHATAISRRPRSRMARTNRGKTSQWSSIDALACAKKPTKKAAARAIPRARFLGKRKKPSPAHPTAAKMTANGGSGGSTSTGSTTSTCFLTLTSFFVLCICGDGSAAMREERGDV >ONIVA01G45910.1 pep chromosome:AWHD00000000:1:38314015:38315107:1 gene:ONIVA01G45910 transcript:ONIVA01G45910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDKFSSSYQPSWPPAQATVDVVGSVETPARRAFQRVASFFVQELLDRRASAGAGPCEHGVLHPAVVVREAYAEGLGMYLEFRGINRGLESLHIDDLGVDADEAVAINSVLELHSVVKESRGALNSVLQTIRKLSPRAFVLVEQDAGHNGPFFLGRFMEALHYYAALFDALDAALPRYDARRERVEQFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSVPIKMAAKAREWLDENAGGDGYTVADEKVCLVLGWKGKPVIAASCWKC >ONIVA01G45900.1 pep chromosome:AWHD00000000:1:38309933:38310439:-1 gene:ONIVA01G45900 transcript:ONIVA01G45900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQMNKVARRTPSWVPKRRTQTTTMATHATAISRRPRSRMARTNRGKTSQWSSIDALACAKKPTKKAAARAIPRARFLGKRKKPSPAHPTAAKMTANGGSGGSTSTGSTTSTCFLTLTSFFVLCICGDGSAAMREERGDV >ONIVA01G45890.1 pep chromosome:AWHD00000000:1:38306536:38313978:1 gene:ONIVA01G45890 transcript:ONIVA01G45890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSPEPCKSISDCSQQQSHHLTLTQQQDSTIICTNQELDYYYRFYDVDEAAFDGNEVELVSRFSKVTRMDHMISSPYQPTWSPAQAAVDVVGSSETSRVRKKRFWDVLESCKQKVEAMEAMDTPATATFRVGAGDGGSGGGGGAGGGGGGADGMRLVQLLVACAEAVACRDRAQAAALLRELQAGAPVHGTAFQRVASCFVQGLADRLPLAHPPALGPASMAFCIPPSSCAGRDGARGEALALAYELCPYLRFAHFVANACMLEAFEGESNVHVVDLGMTLGLDRGHQWRGLLDGLAARASGKPARVRVTGVGARMDTMRAIGRELEAYAEGLGMYLEFRGINRGLESLHIDDLGVDADEAVAINSVLELHSVVKESRGALNSVLQTIRKLSPRAFVLVEQDAGHNGPFFLGRFMEALHYYAALFDALDAALPRYDARRARVEQFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSVPIKMAAKAREQFGYTSPRSSLMAADPSPQMHKTKKLVRVRKQVEVVLPVEVLPPLPPLAVICKHKEHVWITQDDYTFRLLDINLVLTLAAVGCAGLGFFLFPRNRALGMALAAAFFVGFFAHAKASMLLHWDVFPRFVLAILDRGRRLMAVACVAIVVVCVLRFGTQDGVRRATLFIWTVAMALAALTGWVLRVERRVSAAAEFVELDGDTSLWIIRKDGRLAAVADIEGDTTKKSYLFYYDVDDAGFGGNEVEA >ONIVA01G45880.1 pep chromosome:AWHD00000000:1:38294251:38300307:1 gene:ONIVA01G45880 transcript:ONIVA01G45880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIWAAILTYQGIWREAGVNAKIEQLAFTALSIAPNGSVQRFFETAETMEGVESCNGRHADADDRRTSKKDRRTTWASAFILVNNFMQYTAYFGVSTNLVNYLKYRLHEGSKSAANDVTNWQGTGSITPLVAAYLADAFLGRYWTILLFMAISVLGYGVLAASAAAPALLHGGAAAFYAGLYLVALGSGLLVVMAPFGAGQFDEADEGERRRQSSFFNWFYLSLNFGSLVGGTVLVWVQTSVGWGIGYGVPAIFSALSVAVFLAGTAAYRRCQPPGGSPLTRIAQVVVAAARKHDVEVPADASLLHECCDAVDGMSAIQGSRRLVHTGQFRFLDKAAVETAGDKAEPSPWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMTTTFVLQGNTLDPRIGGFKVPAAVLSVFDTLSVMLWVPLYDRAIVPLARRVTGHDRGFTPLARMGVGLVILTVAMLVAGTLEVARRRVIARHGLYGDDGDGGYLPLSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSSTSFALGNYASSAIVVVVARATARGGRLGWIPDNINRGHLDDFFWLLAVLCVANFAAYLLIARWYTYKKTAYP >ONIVA01G45880.2 pep chromosome:AWHD00000000:1:38299408:38302805:1 gene:ONIVA01G45880 transcript:ONIVA01G45880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAESNDRHGGAAADRRKSNRRNRWACTFILANNFFQNMAYFGVSTNLVNYLKYRLHEGSKSAANNVTNWEGTGSIAPLVAGYLADAFLGRYWTIVLSMVISAVGYGVLAASASVIRLESAALYAGMYLVALGGVLEPIMAPFGADQFDDGEDDQRGRRQSSFFNWFYLSLNCGSLVGGTVLVWVQTSVGWGVGYGVPAIFSALSVAVFLAGTATYRRDQPPGGSPLTRIAQVVVAAVRKFDVEIPSDSSMLYESDAVDGMPAIHGRRRLLHTGQFRATVKTAGEKAAQSPWRLCTVTQVEELKCVLRLLPVWATGIIYAAAYTQVTTTFILQGDTLDRSLGRFKVPAAALSIFHTLSVILWVALYDRAIVPLARRVTRHDGGFTQLARMGVGLVILTVAMAAAGALEAARRRLIARPSVFWQVPQYAVVGASEVFTLIGQMEFFYDQAPDAMRSLCSALSSTSFALGDYASSALVVVAARRGGAPGWIPDDINRGHLDYFFWLLTALCVANFAAYLLIARWYTYKKTVD >ONIVA01G45870.1 pep chromosome:AWHD00000000:1:38291385:38292424:-1 gene:ONIVA01G45870 transcript:ONIVA01G45870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTEFQVWDEMGRTKYTSSAGWGRGTALSTRLEDAGPTGGGGSAASTRVTGTVPRRDNAAPLNPRLGRVAPTRYRWERTGVTAECVRLGHGPAEWLSGSAKCKRSNT >ONIVA01G45860.1 pep chromosome:AWHD00000000:1:38286513:38288778:-1 gene:ONIVA01G45860 transcript:ONIVA01G45860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVGVKIYSVFFKLLLRHKLQSLAAAAAAAAAGGEDDAAAFGVSCRADEATAPSNPAFSAADGVASKDLHIDPNSSLSVRIFLPTPPPRPHSRRASEPPPATANGGSAPYRGYLPHAVSSPRAAASARRRLPIVVQFHGGGFVSGSSSSAANDAFCRRVAKMCDAIVVAVGYRLAPESRYPAAFDDGVRVLRWIAKQANLAMMSKVGGGVDTFGASTVEPWIAAHGDPARCVLLGVSCGANIANFVTRKAVEDGKLFDPIKVVAQVLMYPFFIGSVPTHSEIRLANSYFYDKSTCILAWRLFLSEKEFSLDHPAANPLAPDRGGPPLKCMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDAPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >ONIVA01G45850.1 pep chromosome:AWHD00000000:1:38278557:38288008:1 gene:ONIVA01G45850 transcript:ONIVA01G45850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zincin-like metalloproteases family protein [Source:Projected from Arabidopsis thaliana (AT1G67690) TAIR;Acc:AT1G67690] MFLRRRERRVIAAAGAAALVAVGLNLAFSAVAAHRRRKRRELPGFTAQVNLSAADIKRLADHVVSKSKETYDAVAAVPLDKVSFSNVIAPLAELDAQQFPLVQACVLPRMVSPSDDVRRASAEAEKRLDSHFQQCRQREDVYRVIKAFTQKGERIGLEATRFVQCMVREFERNGAKLTQSKKTEMEKLKSHIDDLSLKYIQSLNDSTKFLLLNEEDLAGMPLEFLKELENTNGKWKVLLTSYHVTPILEHCKVGSTRKLIAVAYGQKGGKENIAILEKLVQLRHRLARLLGYPNYADYAIEPRMPRTSRKVLEFLEEMSEQLNGLANRELSVLKDLKVKEEGDAQFSVEDLLYYMKRAEELKVDLDIGEIKQFFPVDLVISGILKMFQDLFALRFEEMKDAETWHDTVRLFSVWDASSSDLLGYFFLDIFSREGKYAHTCVVALQNGCLCSNGTRKVPVAVLLSQCPKEFDGNSALLRFPEVVRIFHEFSHVVHHISNRATFSRFSGLQLEGDFAEIPSLLLENWCYENISLKMMSGFHQDITKSITSEACQSLKRRRDIFAGLKLKQEILLCLVDQIIHTGENVNIDDLIKDLHPKVMLGIPLLEGNSPASCFPRIAIGYDAVCYSYIWSEVFAADLFASKFKDDLLNQHAGLRFRNKVLAPGGSKNPLDIISDYLGREPSLQAFIQSRTRNSLDKC >ONIVA01G45850.2 pep chromosome:AWHD00000000:1:38278557:38289218:1 gene:ONIVA01G45850 transcript:ONIVA01G45850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zincin-like metalloproteases family protein [Source:Projected from Arabidopsis thaliana (AT1G67690) TAIR;Acc:AT1G67690] MFLRRRERRVIAAAGAAALVAVGLNLAFSAVAAHRRRKRRELPGFTAQVNLSAADIKRLADHVVSKSKETYDAVAAVPLDKVSFSNVIAPLAELDAQQFPLVQACVLPRMVSPSDDVRRASAEAEKRLDSHFQQCRQREDVYRVIKAFTQKGERIGLEATRFVQCMVREFERNGAKLTQSKKTEMEKLKSHIDDLSLKYIQSLNDSTKFLLLNEEDLAGMPLEFLKELENTNGKWKVLLTSYHVTPILEHCKVGSTRKLIAVAYGQKGGKENIAILEKLVQLRHRLARLLGYPNYADYAIEPRMPRTSRKVLEFLEEMSEQLNGLANRELSVLKDLKVKEEGDAQFSVEDLLYYMKRAEELKVDLDIGEIKQFFPVDLVISGILKMFQDLFALRFEEMKDAETWHDTVRLFSVWDASSSDLLGYFFLDIFSREGKYAHTCVVALQNGCLCSNGTRKVPVAVLLSQCPKEFDGNSALLRFPEVVRIFHEFSHVVHHISNRATFSRFSGLQLEGDFAEIPSLLLENWCYENISLKMMSGFHQDITKSITSEACQSLKRRRDIFAGLKLKQEILLCLVDQIIHTGENVNIDDLIKDLHPKVMLGIPLLEGNSPASCFPRIAIGYDAVCYSYIWSEVFAADLFASKFKDDLLNQHAGLRFRNKVLAPGGSKNPLDIISDYLGREPSLQAFIQSRTRNSL >ONIVA01G45840.1 pep chromosome:AWHD00000000:1:38262156:38268449:-1 gene:ONIVA01G45840 transcript:ONIVA01G45840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSKANQQDQNQPLLVREDSDDSSTDRFSNSGWWSCLTFQWLNPIFQKGHKVRLELDHIPSVPQSDTANQSYALLQETLHKQKPEPMPMRRAIICAVWTPLIANGVFAGLNTIASYMGPFLITYLVELLSDKNPDKGHGHGYMLACLFFASKTVESLSQRQWYFGARRIGFRVRAALMVSIYQKSLLMKNSSTASGKIVNFLDVDVEKVSEFFWYVHGIWLLPLQISLALAILYRSLGAMASLSAVLATVLVMVSNTPLAKSQENLNMKIMEAKDSRIKAMAEAMKSMRILKLHAWETAYFDKLLKLRDVERGWLRKYLYTCSAIAFLFWASPTLVSVVTFGVCILVEMPLSAGTVLSAVATFRILQDPIYNLPELVSMVTQTKVSLDRIEEFIKEEHQGKPSRSDNNTRTKDLSMTGAMEIEPGVYGWEIDNSLKKTKFMLKIDRKLSISKGQKVAVCGPVGSGKSSLLYSIMGEIPRINGAETTVFGSRAYVAQSAWIQTGTIQDNVLFGKDMDRSFYEEVLHGCALDRDLELWANGDMTMVGERGMNLSGGQKQRIQLARALYSDSDVYLLDDPFSAVDAHTGAHLFKECLLRLMSSKTVIYVTHQLEFLRDADLVLVMKDGRIVQSGKYDDLVADRNGELSMQMAAHNQSLSQVTPAKAHVLTKNKSHKRRQTELTEIELDHNVIGRECEEERESGRVKWDIYRKFVNSAYGGALVPVILACQVLFQGLQICSNYWIAWAAERQEQVSREKMIGIFVLLSAGSSVFILGRAIVLSTIAIETAHQFFLGMTRSIFRAPINFFDSTPSSRILNRASTDQSTVDTDIPYRLAGLIFALIQLLSIIFIMSQIAWPIFILFIIIIAISTWYQSYYICSARELARMVGIRKAPVLHHFSETVSGAATIRCFNQGEKFFRKSLALIDDYSRITFHNSATIEWLCVRINFLFNLVFFVMLVILVSMPRNTIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSNITSEAPLVIEDCRPRESWPWCGTIQIDSLQVRYNPDMPMVLKGISCTIPGERKIGVVGRTGSGKSTLIHALFRIVEPSEGRILIDDVDISLLGVHDLRSRLSIIPQEPTLFQGTVRTNLDPLQQHLDTEIWEVLHKCRLEEIVREDSRLLDAPVVEDGGNWSVGQRQLVCLARVLLMKKKILVLDEATASVDTATDNIIQKTIRQETNNCTVITIAHRIPTVIDSDLVLVLGEGKILEFDSPENLLRDESSAFSKLVMEFVGRSS >ONIVA01G45830.1 pep chromosome:AWHD00000000:1:38261372:38266480:1 gene:ONIVA01G45830 transcript:ONIVA01G45830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWGVFKCSPTVRPFASQGIGSWRILNVATADKTVPADNGISTRIHTPNVTTDTKVGEAQNRKAIAEHV >ONIVA01G45820.1 pep chromosome:AWHD00000000:1:38256493:38260911:-1 gene:ONIVA01G45820 transcript:ONIVA01G45820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G48500) TAIR;Acc:AT3G48500] MAATPATATFPHLGLRPKPLLRRRLRRIAVSVSPSGPDETPADDPPVIPSILVKNTEPEDVARRRSWVEHGWAPWEEIMTPEVAFARHSLNEGEEVPLQTPESQEAFRMLTPAYREKVESEPGYEERLFATRDTPEPLETSWAGELPLRLVPPRDWPPPGWEVDPGELEFIREAHREFTERLDMAAVAAKGVTHVDKVENAPDDLGLDRYKMFLKQYKEWVEANRDRLEEESYKFDQDYYPGRRKRGEDYKEDMLELPFFYPGQICRGRVISVHLYQGAFVDIGCVHDGWVPIKGNDWYWIRHHIRPGMEVHVEILAKRDPYRFRFPLEMRFVYPNIDHLIFNRFDYPPIFHRKEDTNPEQLWREGGRPPIPRKKPLKDMEKEPLVSDHPFVETLWLWHNAEQMILDHEEENPDKFKDTTYESTVDSSIVHEENRVQHTEGYFKDTLLKKEVVNIDIKELDLDAARAERQLIKKLRKEAEERGEEYKVGKLRRNKEMDEYDLMQWRRSFEEREALIRDICCRKAFGLPVDEPGRYDVDETEVYGKDYYDPSKPMYRYDYWGEPKNTEKTKLEREVELHNQQVIGDAKKWCEMSYDDYVRQKVRMEAAEARERQRKASEPQEEDEKYEDEMDLDLKKMMDPQAPHNRMQNSVGIGK >ONIVA01G45810.1 pep chromosome:AWHD00000000:1:38253015:38255522:-1 gene:ONIVA01G45810 transcript:ONIVA01G45810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRYSERESRRAMGDDGGGDGGASSAGFSYFAVFHNYPLVAALLGFAVAQSIKFFVTRYKENRWDPKQLIGSGGMPSSHSATVTALAVAIGFQDGFGCALFATAAIFASVVLNQIVCELPSEHPLSETRPLRELLGHTPTQVVAGALLGSMLATAGQMFLVVSGSV >ONIVA01G45810.2 pep chromosome:AWHD00000000:1:38253015:38255201:-1 gene:ONIVA01G45810 transcript:ONIVA01G45810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGGGDGGASSAGFSYFAVFHNYPLVAALLGFAVAQSIKFFVTRYKENRWDPKQLIGSGGMPSSHSATVTALAVAIGFQDGFGCALFATAAIFASVVLNQIVCELPSEHPLSETRPLRELLGHTPTQVVAGALLGSMLATAGQMFLVVSGSV >ONIVA01G45800.1 pep chromosome:AWHD00000000:1:38248831:38252582:1 gene:ONIVA01G45800 transcript:ONIVA01G45800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) TAIR;Acc:AT1G24340] MPSIAGAGGGRLFSVNGAAAYWGSSRRRLLLLQRPLFSSLAGGGTGDDVTPHLPVVIVGAGPVGLVLSFLLTKFGIKCAVLEKNVEFTRHPRAHFINNRTMEIFRKLDGLAGDIERSQPPVDLWRKFVYCTSLSGSILGSVDHMKQEGSPISVAHFSQHKLVDLLLKKLDGIGFQTCLPGDIGSSTQDLVLENKILMGHECSSVQLTDKGILIGASFNEAGRMQERKIHCGLLLGADGARSTVRELAGIHMKGQRDLQKLVSVHFRSKDLGKYLSRERPGMLFFIFNPGAIGVLVAHDLEHGEFVLQIPFYPPQQMFEDFSTKICEEIIVKLVGWEPSDVQVLDIKPWVMHAEVAEKYIGCDNRIILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLLQNGVASPSILQTYESERRPVAIFNTELSVENFKAAMSIPAALGLDPTVANSVHQVINSSLGSVIPRNLQKSVLEGLFSIGRAQVSDYILNEKNPLGSLRLARLRSILDEGKSLQLQFPAEDLGFRYELGALVAEDCAETTYEAEKQKHSKRSREYIPSAKAGSRLPHMLMRALSTSNEGVFSTLDLVNGDKPEFALIIAPLKVSYELARAMLKIADELKLPTKICVIWPQGSVDAKVKGSRSELAPWTNYVDVEEVPRAPVNSWWEMCQITSKSVILVRPDEHIAWRTESDRVTDADSEVRRVFLKFYV >ONIVA01G45800.2 pep chromosome:AWHD00000000:1:38248831:38252582:1 gene:ONIVA01G45800 transcript:ONIVA01G45800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) TAIR;Acc:AT1G24340] MPSIAGAGGGRLFSVNGAAAYWGSSRRRLLLLQRPLFSSLAGGGTGDDVTPHLPVVIVGAGPVGLVLSFLLTKFGIKCAVLEKNVEFTRHPRAHFINNRTMEIFRKLDGLAGDIERSQPPVDLWRKFVYCTSLSGSILDFDKIISPISVAHFSQHKLVDLLLKKLDGIGFQTCLPGDIGSSTQDLVLENKILMGHECSSVQLTDKGILIGASFNEAGRMQERKIHCGLLLGADGARSTVRELAGIHMKGQRDLQKLVSVHFRSKDLGKYLSRERPGMLFFIFNPGAIGVLVAHDLEHGEFVLQIPFYPPQQMFEDFSTKICEEIIVKLVGWEPSDVQVLDIKPWVMHAEVAEKYIGCDNRIILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLLQNGVASPSILQTYESERRPVAIFNTELSVENFKAAMSIPAALGLDPTVANSVHQVINSSLGSVIPRNLQKSVLEGLFSIGRAQVSDYILNEKNPLGSLRLARLRSILDEGKSLQLQFPAEDLGFRYELGALVAEDCAETTYEAEKQKHSKRSREYIPSAKAGSRLPHMLMRALSTSNEGVFSTLDLVNGDKPEFALIIAPLKVSYELARAMLKIADELKLPTKICVIWPQGSVDAKVKGSRSELAPWTNYVDVEEVPRAPVNSWWEMCQITSKSVILVRPDEHIAWRTESDRVTDADSEVRRVFLKFYV >ONIVA01G45790.1 pep chromosome:AWHD00000000:1:38238776:38247595:1 gene:ONIVA01G45790 transcript:ONIVA01G45790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAPPPPPPPAGIDSRSGFCAATRIFHSTRAPGDLPPESLPMTAAAYAFSLLSSSTLPGRPALVDAATGIAISYPSFLAAVRSLAGGLWCSLGLRPGDVALVVAPSRLEVPVLDFALMSIGAVVSPANPVSTPEEYAHQVALSRPVVAFAAPEVAAKLPGHVRCVVIGSDEYGRLAASDGRRAAAPAAVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALMSLHADNREKVAREAAEAGEEPPPPAVTLLPIPLFHVFGFMMVLRSVSMGETSVLMERFDFIAALRAIERYRVTLLPAAPPVLVAMVKYEEARRRDLSSLLVIGIGGAPLGREVAEQFASVFPNVELVQGYGLTESSGAVAATVGPEESKAYGSVGKLGSHLQAKIVDPSTGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQLHSWFKTTFTPATWVPPAELEHILQSHPGIADAAVIPYPDEEAGELPMAFIVRQPGSNITKEQVMDYVAKQVAPYKKVRRVAFVTAIPKSPAGKILRRELVQQALSMGCRVQTLSLSHSLALAITVAGGRRTPRLYLRSVRPRHGHMSKRSPPPQRAHIPMAEQRWRPPYAYASSAAGGGGVDRRSRSGFCAATRTFHSLRSVGPLPPEELPLTVAAYAFSLLSSAPPLVVAGRGPALVDAATGIAVSYPAFVARVRFLAGGLWCSLGLRPGDVALVVSPSCLDVAVLYFALMSIGVVVSPANPASTADEYAHQVRLSRPAVAFVAPEVAARLPRHVSRVVIGSEVFDRLASASAAGGWAAPPAVAMKQPSTAALLYSSGTTGRVKAVAITHRNLIAQISAYNAIRETVAREAATDAGKGKPPPPSPSPPAAVTLFPLPLFHVMGFGLLTRTISSGETAVVMRRFDLAAAARAVERYRVTKLSAAPPVVVALTKSDEARRRDLSSLVAIVVGGAPLGREVSQRFATVFPSLRFDGVDRAGGHHGWAGGVGGVRIGGEACAARAGEDRRHGHRRGAGAWAARRALDPRPSRYVGDPEATAATITPDGWLRTGDLCYFNEDGYLYVVDRLKELIKYKGYQVPPAELEHILQSRPEIADAAVVPYPDEEAGQLPMAFVVRQPGAYLTEQQVMNCVAKHVAPYKKVRRVAFVNAIPKSPAGKILRRELVLQAMASTSRL >ONIVA01G45790.2 pep chromosome:AWHD00000000:1:38238776:38247595:1 gene:ONIVA01G45790 transcript:ONIVA01G45790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAPPPPPPPAGIDSRSGFCAATRIFHSTRAPGDLPPESLPMTAAAYAFSLLSSSTLPGRPALVDAATGIAISYPSFLAAVRSLAGGLWCSLGLRPGDVALVVAPSRLEVPVLDFALMSIGAVVSPANPVSTPEEYAHQVALSRPVVAFAAPEVAAKLPGHVRCVVIGSDEYGRLAASDGRRAAAPAAVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALMSLHADNREKVAREAAEAGEEPPPPAVTLLPIPLFHVFGFMMVLRSVSMGETSVLMERFDFIAALRAIERYRVTLLPAAPPVLVAMVKYEEARRRDLSSLLVIGIGGAPLGREVAEQFASVFPNVELVQGYGLTESSGAVAATVGPEESKAYGSVGKLGSHLQAKIVDPSTGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQSHPGIADAAVIPYPDEEAGELPMAFIVRQPGSNITKEQVMDYVAKQVAPYKKVRRVAFVTAIPKSPAGKILRRELVQQALSMGCRVQTLSLSHSLALAITVAGGRRTPRLYLRSVRPRHGHMSKRSPPPQRAHIPMAEQRWRPPYAYASSAAGGGGVDRRSRSGFCAATRTFHSLRSVGPLPPEELPLTVAAYAFSLLSSAPPLVVAGRGPALVDAATGIAVSYPAFVARVRFLAGGLWCSLGLRPGDVALVVSPSCLDVAVLYFALMSIGVVVSPANPASTADEYAHQVRLSRPAVAFVAPEVAARLPRHVSRVVIGSEVFDRLASASAAGGWAAPPAVAMKQPSTAALLYSSGTTGRVKAVAITHRNLIAQISAYNAIRETVAREAATDAGKGKPPPPSPSPPAAVTLFPLPLFHVMGFGLLTRTISSGETAVVMRRFDLAAAARAVERYRVTKLSAAPPVVVALTKSDEARRRDLSSLVAIVVGGAPLGREVSQRFATVFPSLRFDGVDRAGGHHGWAGGVGGVRIGGEACAARAGEDRRHGHRRGAGAWAARRALDPRPSRYVGDPEATAATITPDGWLRTGDLCYFNEDGYLYVVDRLKELIKYKGYQVPPAELEHILQSRPEIADAAVVPYPDEEAGQLPMAFVVRQPGAYLTEQQVMNCVAKHVAPYKKVRRVAFVNAIPKSPAGKILRRELVLQAMASTSRL >ONIVA01G45790.3 pep chromosome:AWHD00000000:1:38240863:38247595:1 gene:ONIVA01G45790 transcript:ONIVA01G45790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQSHPGIADAAVIPYPDEEAGELPMAFIVRQPGSNITKEQVMDYVAKQVAPYKKVRRVAFVTAIPKSPAGKILRRELVQQALSMGCRVQTLSLSHSLALAITVAGGRRTPRLYLRSVRPRHGHMSKRSPPPQRAHIPMAEQRWRPPYAYASSAAGGGGVDRRSRSGFCAATRTFHSLRSVGPLPPEELPLTVAAYAFSLLSSAPPLVVAGRGPALVDAATGIAVSYPAFVARVRFLAGGLWCSLGLRPGDVALVVSPSCLDVAVLYFALMSIGVVVSPANPASTADEYAHQVRLSRPAVAFVAPEVAARLPRHVSRVVIGSEVFDRLASASAAGGWAAPPAVAMKQPSTAALLYSSGTTGRVKAVAITHRNLIAQISAYNAIRETVAREAATDAGKGKPPPPSPSPPAAVTLFPLPLFHVMGFGLLTRTISSGETAVVMRRFDLAAAARAVERYRVTKLSAAPPVVVALTKSDEARRRDLSSLVAIVVGGAPLGREVSQRFATVFPSLRFDGVDRAGGHHGWAGGVGGVRIGGEACAARAGEDRRHGHRRGAGAWAARRALDPRPSRYVGDPEATAATITPDGWLRTGDLCYFNEDGYLYVVDRLKELIKYKGYQVPPAELEHILQSRPEIADAAVVPYPDEEAGQLPMAFVVRQPGAYLTEQQVMNCVAKHVAPYKKVRRVAFVNAIPKSPAGKILRRELVLQAMASTSRL >ONIVA01G45790.4 pep chromosome:AWHD00000000:1:38238776:38240857:1 gene:ONIVA01G45790 transcript:ONIVA01G45790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAPPPPPPPAGIDSRSGFCAATRIFHSTRAPGDLPPESLPMTAAAYAFSLLSSSTLPGRPALVDAATGIAISYPSFLAAVRSLAGGLWCSLGLRPGDVALVVAPSRLEVPVLDFALMSIGAVVSPANPVSTPEEYAHQVALSRPVVAFAAPEVAAKLPGHVRCVVIGSDEYGRLAASDGRRAAAPAAVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALMSLHADNREKVAREAAEAGEEPPPPAVTLLPIPLFHVFGFMMVLRSVSMGETSVLMERFDFIAALRAIERYRVTLLPAAPPVLVAMVKYEEARRRDLSSLLVIGIGGAPLGREVAEQFASVFPNVELVQGYGLTESSGAVAATVGPEESKAYGSVGKLGSHLQAKIVDPSTGEALGPGQRGELWLLDG >ONIVA01G45780.1 pep chromosome:AWHD00000000:1:38229327:38232921:1 gene:ONIVA01G45780 transcript:ONIVA01G45780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNDQGFLTAIIKVESYFTTSLLGQRTYVKGNNVKISIERDRYSMLALVDDVGENFNWGPNQYIGFWKLGDVSTQSKVEITTDSQLLDWLDKGNQHGVVNIHAIVNDFGGPLQVEPSPTKRRCHPSVRYSIPCTPPLFTDLLVDATPLTLPESYNHLENSIQPVPSTQNESTTHPESTSHPDDEATSPIKKSAKKVVKKCAKRRSQADDDDEENCCCSGNANDVSTRPPRARGKGKEKKGSVAASI >ONIVA01G45770.1 pep chromosome:AWHD00000000:1:38225460:38228701:1 gene:ONIVA01G45770 transcript:ONIVA01G45770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSFDLSCSLRKEEENGTNLSPFVRKLFKEVLPGEHNFVATLIEGRDQKKRPTQFGMNQVLQPFDSVKFNFTKVSPEEVIFTFKESQNDSVKYFDNVPHAVAASPTAILINVSPIGYCHVLLIPRIQDCLPQRVDKESFLLAMYVASEAKDPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPTEKLTTLGNGVSIIQLVQYPVSGFVFEGGACLEDLSDVVSKVCIFLQENNKPFNALISESGKRVFLLPQCYAEKQALGRASQEFLDMRINPAVWELSGHLVLKRRKDYDEASEATICRFLVEASLSESEFQELKSCILEFLSSAAPEE >ONIVA01G45770.2 pep chromosome:AWHD00000000:1:38225657:38228701:1 gene:ONIVA01G45770 transcript:ONIVA01G45770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSFDLSCSLRKEEENGTNLSPFVRKLFKEVLPGEHNFVATLIEGRDQKKRPTQFGMNQVLQPFDSVKFNFTKVSPEEVIFTFKESQNDSVKYFDNVPHAVAASPTAILINVSPIGYCHVLLIPRIQDCLPQRVDKESFLLAMYVASEAKDPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPTEKLTTLGNGVSIIQLVQYPVSGFVFEGGACLEDLSDVVSKVCIFLQENNKPFNALISESGKRVFLLPQCYAEKQALGRASQEFLDMRINPAVWELSGHLVLKRRKDYDEASEATICRFLVEASLSESEFQELKSCILEFLSSAAPEE >ONIVA01G45760.1 pep chromosome:AWHD00000000:1:38220251:38224876:-1 gene:ONIVA01G45760 transcript:ONIVA01G45760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLRRASLRRAVAAVAAAAAPCPESYKQGICGSTFHCRYFSSKAKKKTKSSGTDSGEENLSKKDLALHQAIDQITSAFGKGAIMWLGRSEGRREVPVVSTGSFSLDLALGTGGLPKGRVIEVYGPEASGKTTLALHVIAEAQKHGGYCAFVDAEHALDPALAESIGVNTSNLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQIRSKVTTFGGFGGPMEVTSGGNALKFYASVRMNIKRIGLVKKGEETIGSQVLVKIVKNKHAPPFKTAQFELEFGKGICRSSELIELGLKHKLVKKLGGAFYSFNEESYRGKDALKSYLNENESIAKELETNLRKLMETQAPKKQEDEGDFLSDLPEESLATETSSEEELAAVIEA >ONIVA01G45750.1 pep chromosome:AWHD00000000:1:38202941:38206437:-1 gene:ONIVA01G45750 transcript:ONIVA01G45750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FX49] MDAMEAEEGPFLANDAKLHAGMYRAFHPAVSKLVAIFPFIEASRPRSKSGIQALCSLHVALDKAKGLLQHCADCSRLYLAITAETVLLKFEKARTQLQESLRRVEGIVTEEIGCKIVEIIAELEEVVFTLDQSEKEAGDEVINLLQRNRKMNSSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSDEDKRKEYVVSYLYNLMRKYSKFFRSEAGDDTDSQGSSPCSPTVLGMEDMYGPYGNGRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRRSGPRSENMSIPPEELRCPISLQLMYDPVIISSGQTYERVCIEKWFNDGHSTCPKTQQQLSHLSLTPNYCVKALIASWCEQNDFPVPDGPPGSFDVNWRLALSDSEATGCVSLESFDSINVKSVKVVPLDNVRKEEPANNESGTLDDSSCYDFDMNEGYRNLLLMLHEKTNLHIQCRLVEQIRYLLKDDEEARIQMGSNGFAEALVQFLRNAVQDGNEKAQEIGAMALFNLAVNNNRNKGLLLSAGVIDQLEQMISNPRLSAPATALYLNLSCLPEAKNIIGSSQAVSFLVDRLFSHEARDTKGSSCKHDALYTLYNLSNHQASVPSLLSAGIVEALHCLLSESPASEGLGWTEKALAVLISLAATQAGRKDIMSTPGLISTLAMLLDTGEPTEQEQSVSCLLVMCSADDKCIAPVLQEGVVPSLVSISATGTGKGKEKSQKLLKLFREQRQRDGAQPVPQQAQQQQQQQQQQAGETGNGTVVCRRDSKQLCKSKSRKLGRTLSSLWKNRSFSLFTHADTCLQEAQLLKKFNIRQLKKDMEAKPVKTMT >ONIVA01G45750.2 pep chromosome:AWHD00000000:1:38206444:38207528:-1 gene:ONIVA01G45750 transcript:ONIVA01G45750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FX49] RRRRRPPEPRVARRLTPAPPTPPIALHFPPLSLAAAPARRRCPDPAGRRRPRRRAASSSPSLAARNDVGALVV >ONIVA01G45740.1 pep chromosome:AWHD00000000:1:38197821:38201798:-1 gene:ONIVA01G45740 transcript:ONIVA01G45740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGELDSHAPLTRRAHEQDSKVDVPRPTAHGSPSLTPAIYLWREIEHPRRQRLHPLSGSATVAGRRELEKKKKRKEEEKKKRRQSEQGQKRSAWGIQFHPNPLSPHPPSTPNLFFPPPHLLLSCAHEPGPLSASFQSPFVSGDDRRRRRKEEDRAAADVGSGAPPAAIDPSVAYGACLRRGKQSPSDSIQKELADELRSKVADEFVRRRGDTEWFLEGDFESYVRQIRKPHVWGGEPELLMCSHVLRMPITVYMYTKGSDSPRIIAEYGQEYGKDNPICVLYDGYGHYDALQPSLERNTDNRRLRYLRLFSRTVSRSSAT >ONIVA01G45730.1 pep chromosome:AWHD00000000:1:38192117:38199950:1 gene:ONIVA01G45730 transcript:ONIVA01G45730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMTAPHGGMPPPPMPAAGGLARYGSAPGSLLASIADSVIRGRGVGVVDQLHHHQHQHQLPPPPPPQQQQMVGRYFSAESSGLTSCESSCRTTTTTSTAAAADVGRHPLERAYGGSGEIHVDASSAAVPLFRHSSSPAGLLSRLMADPHGNGMAATRGMGGYSGGGGDAGAMAHRRLSSQWSFSRQDLPQISEMGGLIPDIGESIVTGGGGNSSSDGAGHGAQSSSFLSSRNFSMSSWDDTNSIMFSPPSSSKKARVAAAAAGDHGDDMVSSFSNIDSQFGLSKQSSLEMAGMDDFLQLQPDSVACRARAKRGCATHPRSIAERERRTRISKRLKKLQDLVPNMDKQTNTSDMLDIAVTYIKELQGQVEKLKHDQANCTCSGKHDC >ONIVA01G45720.1 pep chromosome:AWHD00000000:1:38176049:38177332:1 gene:ONIVA01G45720 transcript:ONIVA01G45720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAAAATRHRHARPAGHLRCAADAVSTAPAERTAARVIATSSRTASASAASGSVARVWRKVQGSGDWDGMLSPLHPVLRGEVARYGELVGACYAALEEDPSSPRYMNCKYGKLRMLEDAGVAGAGYEVTRYIYSSPDAAVPGMEASTSGRASWVGYVAVSTDETTRRLGRRDVLVSFRGTVTPAEWMANLRSSLVPASLAARGGGGGDVKVESGFLNVYTSADETRRFGCADSCRDQLLREVSRLFAASRSGGEDVSVTLAGHSMGGALALLLAYDLAELGVAGGAPVTVFSYGGPRVGNAAFKARCDELGVKVLRVANARDPVTKLPGVFLNEATTRSGPLAAMRGACYVHVGEELALDFVNLGDLASVHDLGSYVASLREGVVTDAEAATGGVLAMAMELVGRQWQSKDAARGMVQSTGLI >ONIVA01G45710.1 pep chromosome:AWHD00000000:1:38157617:38158924:1 gene:ONIVA01G45710 transcript:ONIVA01G45710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPRQCAAACRTGGGGGGVVRCRAVAAAGGAVAVRDAVVAPVARRGAARKTAETVAGMWREVQGCGDWEGMLEPAPHPVLRGEVARYGELVGACYKAFDLDPASRRYLNCKYGRERMLEEVGMGGAGYEVTRYIYAAADVSVPTMEPSTSGRGRWIGYVAVSTDEMSRRLGRRDVLVSFRGTVTPAEWMANLMSSLEAARLDPCDPRPDVKVESGFLSLYTSADKTCRFGGAGSCREQLLREVSRLVAAYSGGGEDVSVTLAGHSMGSALALLSAYDLAELGLNRAAPVTVFSFGGPRVGNAAFKARCDELGVKALRVTNVHDPITKLPGVFLNEATAGVLRPWRHSCYTHVGVELPLDFFKVGDLASVHDLATYISLLRGADKKQPAAAAADAGGVLAKVMDFVGRRRGGGALPWHDAAMIQMGGLVQTLGLI >ONIVA01G45700.1 pep chromosome:AWHD00000000:1:38138336:38145948:1 gene:ONIVA01G45700 transcript:ONIVA01G45700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLATVRWATACAAVLNAAAASTGGAVAALALRGGGGCEGALGPVAAAASAASAARLVASAVAGFAQGAAAAAIAAGAIGAHVDSDRDLRHLSRLRYKRWLWWTRFGVVITVLQFVLALCLLCIIVKDFSAGRSSKQCLSGHDQDNSNWKHTLLISFIVIMWVATIVQCSTGSDVLRWRSFYASHDIAWRAHYREVFDHGIREVLCCLGRVKYSSVLEEDDICVVAKLLGDLMAYRASGTGHLELIAGFSLLQKFKSSAVVSKEQAEAPQDLIKEAVLFHPFAEAAYTGPLLDFGRNPFMFPCVWLNRQGVMTPWTRARRPVLEGDNWWRGHAAAFLKYVSVPPEVLIKGRVSQARREAAYFVVVLHDLKTLVIAIRGTETPEDVITDGLCRECSLTVDDLDGLINSDQLPLQVKDAVISSFPHHGHAGMVESARELYAKLEGLPIHQDKPDAVPAGFLSSLLGAGCECHGYNIEIVGHSLGGSVAALLGIRLYGRFPKLHVYAYGAAPCVDYVIAEACSQFVTSIVHNDEFSARLSMNSIIRLRAAAVRALSKDALPNSAKVGKLVAGIVRTKGYNRNVADHRQSTGALQIVNEAKLRNDQIHGNNLMHTIRGGVFLLGKAISCLVNTPKYRVSSTAAINYELGSRSRMNILGDRGNCMAASCSVLDVPHFGEPSNACGNGKSSEDDLNECSREYRLPHSNDGTKLSIDPNDLRTISLPEGQSPEVYLPGLVIHLVPVKNHTSPFQKTPVTRHKSRKNKSYKAFIANRQDFMDLVVTPRMFLDHLPWRCHYAMQRVIETRKRAC >ONIVA01G45700.2 pep chromosome:AWHD00000000:1:38138336:38145948:1 gene:ONIVA01G45700 transcript:ONIVA01G45700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLATVRWATACAAVLNAAAASTGGAVAALALRGGGGCEGALGPVAAAASAASAARLVASAVAGFAQGAAAAAIAAGAIGAHVDSDRDLRHLSRLRYKRWLWWTRFGVVITVLQFVLALCLLCIIVKDFSAGRSSKQCLSGHDQDNSNWKHTLLISFIVIMWVATIVQCSTGSDVLRWRSVLEEDDICVVAKLLGDLMAYRASGTGHLELIAGFSLLQKFKSSAVVSKEQAEAPQDLIKEAVLFHPFAEAAYTGPLLDFGRNPFMFPCVWLNRQGVMTPWTRARRPVLEGDNWWRGHAAAFLKYVSVPPEVLIKGRVSQARREAAYFVVVLHDLKTLVIAIRGTETPEDVITDGLCRECSLTVDDLDGLINSDQLPLQVKDAVISSFPHHGHAGMVESARELYAKLEGLPIHQDKPDAVPAGFLSSLLGAGCECHGYNIEIVGHSLGGSVAALLGIRLYGRFPKLHVYAYGAAPCVDYVIAEACSQFVTSIVHNDEFSARLSMNSIIRLRAAAVRALSKDALPNSAKVGKLVAGIVRTKGYNRNVADHRQSTGALQIVNEAKLRNDQIHGNNLMHTIRGGVFLLGKAISCLVNTPKYRVSSTAAINYELGSRSRMNILGDRGNCMAASCSVLDVPHFGEPSNACGNGKSSEDDLNECSREYRLPHSNDGTKLSIDPNDLRTISLPEGQSPEVYLPGLVIHLVPVKNHTSPFQKTPVTRHKSRKNKSYKAFIANRQDFMDLVVTPRMFLDHLPWRCHYAMQRVIETRKRAC >ONIVA01G45690.1 pep chromosome:AWHD00000000:1:38122016:38125690:-1 gene:ONIVA01G45690 transcript:ONIVA01G45690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNWLAFSLSPQDQLPPSQANSTLISAAATTTTAGDSSAGDVCFNIPQDWSMRGSELSALVAEPKLEDFLGGISFSEQHHHGGKGGVIPSSAAACYASSGSSVGYLYPPPSSSSLQFADSVMVATSSPVVAHDGVSGGGMVSAAAAAAASGNGGIGLSMIKNWLRSQPAPQPAQALSLSMNMAGTTTAQGGGAMALLAGAGERGRTTPASESLSTSAHGATTATMAGGRKEINEEGSGSAGAVVAVGSESGGSGAVVEAGAAAAAARKSVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVNNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSAALPVGTAAKRLKDAEAAAAYDVGRIASHLGGDGAYAAHYGHHHHSAAAAWPTIAFQAAAAPPPHAAGLYHPYAQPLRGWCKQEQDHAVIAAAHSLQDLHHLNLGAAAAAHDFFSQAMQQQHGLGSIDNASLEHSTGSNSVVYNGDNGGGGGGYIMAPMSAVSATATAVASSHDHGGDGGRQVQMGYDSYLVGADAYGGGGAGRMPSWAMTPASAPAATSSSDMTGVCHGAQLFSVWNDT >ONIVA01G45680.1 pep chromosome:AWHD00000000:1:38120893:38121211:-1 gene:ONIVA01G45680 transcript:ONIVA01G45680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACKAFDQRSPLALRFVSCYVVVRKKFEQLKPCMIIDKIKVTFPAGLYDSAAMHKYNEIHLVRKQNNDE >ONIVA01G45670.1 pep chromosome:AWHD00000000:1:38118083:38119773:-1 gene:ONIVA01G45670 transcript:ONIVA01G45670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSMRGQPKGIPWLVLAMLIATFTMLTEGATSLSPPSLSLTPTYAPVIKVIGKVYCYRCFNEAHPEESHGKEHLKGAMVKVTCQANDQALVGFGYTQDNGKYSVSITGLPLSSTYGADSCKVELHSAPGGSDCNVPIELNLSGLSVYSKSNEEVVLQANQVMAFASQKTFGFCSKPHIQPPIFPYNSPPPSPYQYPSPPFNYKSPPLPNQFSPPPFNKFPPPSHQYPSPPQSSYHSPPPYQYTPPNSYQAPPTSYNHPPPPYGYNSPIPPTNKYLPPPYYFNSPPPQYQHSPPANSYVSPPLAHQYPPPPYKSPPIPPYYFNSPPANHYSPPPYNFGSSPPTYQYSPPLLPKTPKYLPPKVPLEMSPPAHATSPQPLVHYSPPPPLQHAGISSTTPSVNSYQSPPPVNQLS >ONIVA01G45660.1 pep chromosome:AWHD00000000:1:38116980:38134850:1 gene:ONIVA01G45660 transcript:ONIVA01G45660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPDKGLSGPPKNYPDLLQRRDGRSRRRRRRGLWRCFNRHLRENAASMATGCFFVVVFVFSGAYVGVREREGGDKLNHTIQLGKSPGIKYQVGSSKS >ONIVA01G45650.1 pep chromosome:AWHD00000000:1:38110913:38115455:-1 gene:ONIVA01G45650 transcript:ONIVA01G45650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59300) TAIR;Acc:AT3G59300] MPKYFWINPTFLADNRPGTIFFQNYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMDGPIIGETSVVTSDFSDYMDVENFIDMPDENDSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDKHREKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECNGDGYSSDWRDECKREPAPASGTNGLIDDDKSRFDFTNVGSSTDSTIYKLEIMTVELFSIYGKQLMIDPQDFQDAEPDILANSASEIINRIKENDDQCAMALRSLCHRKKGLTVEEASLISIDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRRKNVKPSTEDEC >ONIVA01G45650.2 pep chromosome:AWHD00000000:1:38110913:38115455:-1 gene:ONIVA01G45650 transcript:ONIVA01G45650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59300) TAIR;Acc:AT3G59300] MPKYFWINPTFLADNRPGTIFFQNYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMDGPIIGETSVVTSDFSDYMDVENFIDMPDENDSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDKHREKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECNGDGYSSDWRDDDKSRFDFTNVGSSTDSTIYKLEIMTVELFSIYGKQLMIDPQDFQDAEPDILANSASEIINRIKENDDQCAMALRSLCHRKKGLTVEEASLISIDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRRKNVKPSTEDEC >ONIVA01G45650.3 pep chromosome:AWHD00000000:1:38110913:38115455:-1 gene:ONIVA01G45650 transcript:ONIVA01G45650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59300) TAIR;Acc:AT3G59300] MPKYFWINPTFLADNRPGTIFFQNYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMDGPIIGETSVVTSDFSDYMDVENFIDMPDENDSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDKHREKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHDDDKSRFDFTNVGSSTDSTIYKLEIMTVELFSIYGKQLMIDPQDFQDAEPDILANSASEIINRIKENDDQCAMALRSLCHRKKGLTVEEASLISIDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRRKNVKPSTEDEC >ONIVA01G45640.1 pep chromosome:AWHD00000000:1:38080796:38087024:-1 gene:ONIVA01G45640 transcript:ONIVA01G45640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43200) TAIR;Acc:AT2G43200] MAWPARRDRAWYANVELPPLAPAKLAGPPDPVRARGDWLVFPKGVGTYVEQLAGMVPLRGGEVRTALDVGCGVASFGDYLLNYGILTMSIDRRNRHKAQVQLALERGLPAMIGALGVRRLPYPTRSFDMVHCAGCLVPGNSHDELYMLEIDRLLRPGGYWVLAMPPISWKTQYDDLNRTAKGMPGEQLALEEIVKKLCWSKVSENGTIAVWRKPINHIQCEQDAKLLRYVNTSMCLTRLPRDIAGGAVEKWPERLTAIPPRIASGETKGMPIQTYKLDSLDWKKRVDFYRTYLNLSDGSYRNVMDMNAGFGGFAAAMSEYPVWVMNVVPANLTDNTLGIIYERGLIGTYMDWCESFSTYPRTYDVLHANGVFSLYMDTCGIPYIMLEMDRILRPGGAAIIRDAPDVVHKVKDAADRLHWHSEIVDTENGGLDPEKLLISQQEG >ONIVA01G45630.1 pep chromosome:AWHD00000000:1:38080262:38083361:1 gene:ONIVA01G45630 transcript:ONIVA01G45630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRRAASLLLHGRAYNAGRTTRLQGSFLRVPSGEHELKLLRPGNTMEVSMATTNTAGEPEAFKYLKTSDSDERMEGSKKVSEDEEQALKVRFQEWMNKFNRNYKDEAEKAYRFEVFKSTVQYVEKFNAEQVKKYGCCKCTLGTNKFADLTVEEVSNKFCGKRSRQC >ONIVA01G45620.1 pep chromosome:AWHD00000000:1:38073792:38080104:-1 gene:ONIVA01G45620 transcript:ONIVA01G45620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYYAASKRLEIGSRKKKEKRKPNSAIKPRLRRDEADQVKQRRRRRRRRRNRRRQRGAETTRGKVVRRDGKVAPLDLLQLSRVTKGLKDSATEKAQPQNSALTINIPVLSLDELVEKTDDFGSSALIGEGSYGRVYYAVLDSGTKIAVKKLDSNENEPTSEFLTQVALVSRLKHENFVDMLGYCTEGNLRLVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVRIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKVADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVKQCIDPRLNGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLTSKPTPPAPPPALDG >ONIVA01G45620.2 pep chromosome:AWHD00000000:1:38073792:38075958:-1 gene:ONIVA01G45620 transcript:ONIVA01G45620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWRHWICCNCQVNESDQLENGHAKVLTSNADGVTKGLKDSATEKAQPQNSALTINIPVLSLDELVEKTDDFGSSALIGEGSYGRVYYAVLDSGTKIAVKKLDSNENEPTSEFLTQVALVSRLKHENFVDMLGYCTEGNLRLVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVRIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKVADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVKQCIDPRLNGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLTSKPTPPAPPPALDG >ONIVA01G45620.3 pep chromosome:AWHD00000000:1:38076169:38080104:-1 gene:ONIVA01G45620 transcript:ONIVA01G45620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYYAASKRLEIGSRKKKEKRKPNSAIKPRLRRDEADQVKQRRRRRRRRRNRRRQRGAEHQHHRRPLWQYIS >ONIVA01G45610.1 pep chromosome:AWHD00000000:1:38066718:38072766:1 gene:ONIVA01G45610 transcript:ONIVA01G45610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVECRVCHAKVQVPMAAAAVSKAYDIHRSSVSSRQRALNVLLVSGDCVLAGLQPILVYMCKVDGKFKFSPVSVNFLTEITKIIFAIIMLCIQARRLKVGEKPFLTVSTFMQAARNNVLLAVPALFYAINNYMKFVMQLYFNPATVKMLGNLKVLVIAVLLKVIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSTLGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLAISIVIISMHQYLSNQIKDEVPSSKIEMGDAHEHRSKESVVVNVSDSIATEICYRND >ONIVA01G45610.2 pep chromosome:AWHD00000000:1:38067025:38072766:1 gene:ONIVA01G45610 transcript:ONIVA01G45610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVECRVCHAKVQVPMAAAAVSKAYDIHRSSVSSRQRALNVLLVSGDCVLAGLQPILVYMCKVDGKFKFSPVSVNFLTEITKIIFAIIMLCIQARRLKVGEKPFLTVSTFMQAARNNVLLAVPALFYAINNYMKFVMQLYFNPATVKMLGNLKVLVIAVLLKVIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSTLGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLAISIVIISMHQYLSNQIKDEVPSSKIEMGDAHEHRSKESVVVNVSDSIATEICYRND >ONIVA01G45600.1 pep chromosome:AWHD00000000:1:38061108:38063761:1 gene:ONIVA01G45600 transcript:ONIVA01G45600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTTTAAYFSSQPQLPATAAAKSGGRQQQRRRSSFVMLEAAAASGSGGGGGGAVGRTRSLTEEDLEELKGCLDLGFGFAYHEIPELCGTLPALELCYSMTRRFLDEQRAPGQEPESPATPPLPNWRISGPGDDPKEVKARLKYWAQAVACTVSKYTDEVLMPRVIEATRSISYFAKLQRGGDWSPHGTARKLKVIRGPSFSEGIRSLSFLMEVSAWCRVCNCETC >ONIVA01G45590.1 pep chromosome:AWHD00000000:1:38049635:38054788:1 gene:ONIVA01G45590 transcript:ONIVA01G45590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0FX26] MEKNCACPPPSKGNMITILSIDGGGVKGIIPAVILSFLESKLQELDGKDARIANYFDAIAGTSTGGLIAGMLAAPSLGNANQPCYAKDIVPFYLKHSPHIFPHRTGFFGWFFNILGIIKMAIGPKYDGKYLHRLINDLLGETRMKETLTNVVIPTFDVKCVKPIIFSTFKARRKPLKNACLADVCIGTSAAPTFLPAHYFETVDHTGASQSFNIIDGGMAANNPTLVTMGEITKQIKRKSEEFPKAEPLDYRKYLVISLGTGLPEHDIMFDARNVAKWGIFRWLVDKDTMPLLNMFFHASSDMADTLVADLFQAIGCSHQLLRIQDHNIPIGAISNDLSTKDHLQLLVKIGENLLKKPLSKEECEKNSIEPEPNLHGDHVTTYADMLTRFAKLLSDERKLRLHNMKLDAKP >ONIVA01G45590.2 pep chromosome:AWHD00000000:1:38049537:38054788:1 gene:ONIVA01G45590 transcript:ONIVA01G45590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0FX26] MEKNCACPPPSKGNMITILSIDGGGVKGIIPAVILSFLESKLQELDGKDARIANYFDAIAGTSTGGLIAGMLAAPSLGNANQPCYAKDIVPFYLKHSPHIFPHRTGFFGWFFNILGIIKMAIGPKYDGKYLHRLINDLLGETRMKETLTNVVIPTFDVKCVKPIIFSTFKARRKPLKNACLADVCIGTSAAPTFLPAHYFETVDHTGASQSFNIIDGGMAANNPTLVTMGEITKQIKRKSEEFPKAEPLDYRKYLVISLGTGLPEHDIMFDARNVAKWGIFRWLVDKDTMPLLNMFFHASSDMADTLVADLFQAIGCSHQLLRIQDHNIPIGAISNDLSTKDHLQLLVKIGENLLKKPLSKEECEKNSIEPEPNLHGDHVTTYADMLTRFAKLLSDERKLRLHNMKLDAKP >ONIVA01G45580.1 pep chromosome:AWHD00000000:1:38038612:38044377:-1 gene:ONIVA01G45580 transcript:ONIVA01G45580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05960) TAIR;Acc:AT1G05960] MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIIAEIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEYLEERCYKDLRHENFTLAKVVPCIYRKLLCSCKDHTPLLATSTLSIIRTLLDQRMNDDLRVLGCLMLVDFLNGQVDSTHMFNLEGLIPKLCQISQELREDDKGFRLRCAALQALASMVQYMGDHSHISMELDEVVSVIVSCYEVNQTLSIKEVVRLQDDDDLVINGSLTGLPVSGQNSAKVASDTMSASENPAHWARVCLRNMASIAKEATTVRRVLDPLFRLFDSHNYWSPENGIAFSILQEMQALMDKSGQNGHLLLSFTIKHIDHKSVAKKPAKQTSILKVASLLAKHAKLKASVTIASATSDLIKHLRKCMHCAVESPNAQNDVDKWNSALYVALEECLVQLTEKVGDVGPVLDMVGVMLENLSCTATIARTTISSVFRTAFPEALFHQLLLAMMHPDKKTRVGSHRVLSTIIAPSLLCPWSGISFPIPVKGNDSQSITLLALSAFSSEAVMDEVRIKSRTHEQLQNNVKPETVVGSENGYTHTEPNSRKSPGLGIPLKDENLKFMKLNSSQLVLLLSSIWSQAPLEDNSPANFEAMCHTYNIALLCSMTKSSSHAALVRCFQLAFSLRRMSLNQENGLQPSRRRCLYTMASAMLIFSAKVADIPQTIPLVKAAVPEKMVDPHLCLIDDCRLVISSPQSSNSGIVYGSEEDESDARNFLSCVNKNDTQLKEIVISHFKEKFENLSEKFNGIEEQLLQEFSLDDSFPLSAPLFMETPHSCSMYAEKDDHCFDEEVIPCEMDDDDDIVFEHSGSQSDRKTSGSMASSDVLNVNQLIESVHETARQVANAPVSANLVPYDQMKSQCEALVMEKQQKMSSSARSEPETQSTRKERMRRSDSASSESDRSFRLPPASPYDKFMRAAGR >ONIVA01G45580.2 pep chromosome:AWHD00000000:1:38038612:38044377:-1 gene:ONIVA01G45580 transcript:ONIVA01G45580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05960) TAIR;Acc:AT1G05960] MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIIAEIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEYLEERCYKDLRHENFTLAKVVPCIYRKLLCSCKDHTPLLATSTLSIIRTLLDQRMNDDLRVLGCLMLVDFLNGQVDSTHMFNLEGLIPKLCQISQELREDDKGFRLRCAALQALASMVQYMGDHSHISMELDEVVSVIVSCYEVNQTLSIKEVVRLQDDDDLVINGSLTGLPVSGQNSAKVASDTMSASENPAHWARVCLRNMASIAKEATTVRRVLDPLFRLFDSHNYWSPENGIAFSILQEMQALMDKSGQNGHLLLSFTIKHIDHKSVAKKPAKQTSILKVASLLAKHAKLKASVTIASATSDLIKHLRKCMHCAVESPNAQNDVDKWNSALYVALEECLVQLTEKVGDVGPVLDMVGVMLENLSCTATIARTTISSVFRTAFPEALFHQLLLAMMHPDKKTRVGSHRVLSTIIAPSLLCPWSGISFPIPVKGNDSQSITLLALSAFSSEAVMDEVRIKSRTHEQLQNNVKPETVVGSENGYTHTEPNSRKSPGLGIPLKDELTHFLPLKQNLKFMKLNSSQLVLLLSSIWSQAPLEDNSPANFEAMCHTYNIALLCSMTKSSSHAALVRCFQLAFSLRRMSLNQENGLQPSRRRCLYTMASAMLIFSAKVADIPQTIPLVKAAVPEKMVDPHLCLIDDCRLVISSPQSSNSGIVYGSEEDESDARNFLSCVNKNDTQLKEIVISHFKEKFENLSEKFNGIEEQLLQEFSLDDSFPLSAPLFMETPHSCSMYAEKDDHCFDEEVIPCEMDDDDDIVFEHSGSQSDRKTSGSMASSDVLNVNQLIESVHETARQVANAPVSANLVPYDQMKSQCEALVMEKQQKMSSSARSEPETQSTRKERMRRSDSASSESDRSFRLPPASPYDKFMRAAGR >ONIVA01G45570.1 pep chromosome:AWHD00000000:1:38035947:38037639:-1 gene:ONIVA01G45570 transcript:ONIVA01G45570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLLRSAALLARAARLARAAATSTGRAVTAEHLAEVVASAAGDRGFPSGALRQAALALARSSAPEARPRAAAEVVHAAAMVFRAAQEAGSPGVAEVAGDLAHAAHDCVRALVESGPAAERPRCLLRLWRRKNRHNKNAAGEADLEAPLLHPHERPSSSSSPIGASLSEIIELSQSERDFINYGMFGALAIFPYLTRTGGLKSAYSPLSPSTFHIIFCTWWICVGLDVLCGNRGRAMMKNILAFILAFYARASARLAILGVSLLVILYSHLELAPNEIYTLYILLGAATCMHLLVWAMDYMSRSPGDAAD >ONIVA01G45560.1 pep chromosome:AWHD00000000:1:38033111:38034040:1 gene:ONIVA01G45560 transcript:ONIVA01G45560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLMLPRQYDAAAAPRRTTVTIDDSEAALLERLLRRMEEGDDAAAAGGDQTRANDRRCFSVKAGCRFVRGFGAMASLILVSFSLMGDSSYSLALRIIISLSPAAFAFFLTQENPFFAREPFVRFFLQ >ONIVA01G45550.1 pep chromosome:AWHD00000000:1:38027081:38028367:-1 gene:ONIVA01G45550 transcript:ONIVA01G45550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFPVPVTSWSSAALLGRAISSARDAAEASSPITAAEMVRVAKEVANAADACGVSDKKLLEAAEALSRSDTDAEPRRRAAERIFDAASMVAKEADASGASGLSDAAQNLTCATYAFSVAASGWGSLPESSTSGRDAGDLLTEPLLGSCQDKNEKMTGEGKDFSEMRNSAADSDPLQQSEIKESSLFGKCKELLNYGFLGGPALLPYLGSGLRKTVSVCHPAARLSSTTSSRRGGFALASDHMNKET >ONIVA01G45540.1 pep chromosome:AWHD00000000:1:38011580:38020490:-1 gene:ONIVA01G45540 transcript:ONIVA01G45540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sister chromatid cohesion 1 protein 4 [Source:Projected from Arabidopsis thaliana (AT5G16270) TAIR;Acc:AT5G16270] MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSIIFPEVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGDIDHHVSTKEQITLQDNPEKTGYSTSQFGLDERFGDGNSSHIGLDLEEELMLNKDQSIQLEADDGIIIQGRSAVHPTDMEVDDNQNKDESAEGYNMEDGPSSHNKLNPLSADGLVGNSLPNWHTYNVQTPDLNDMLLNNDGNAGPSASYYQPSPFPCDEPASPEFVSAQAPATPGLMEETVPSRVHESPVLSPQRKASPSSNDETAKTAENANDLVGAETTELALTKPVQIESSGAVQEIDSLSQQCATEELPPQAETSNLEATMDKSMINTDGVAASGEATAAKETTEVSLVENSLELCADGPTEPVIENQTQFNDGSVDVQGGGQHAPHAILATADSQVNVEASTQEMASNDIPSDLPTLEFPEREKMLSAPDVELYQANDLGQVTAEKGTTESDGSNKVGSLTSRKRHLEDSLPALESATTEKLSSRPRGKRTIDGIPDDDDLLASILVGRRTPGLRLDSTPIPPKTSSLKRPRLTSKTTTPKRKVQMDDAMVIHADTIRQQLISTEDIRRIRKKAPCTRSEIWMIEKGMCKELNDLQYRTYEIVAHPTIHNMEIHVRLDMSQTMADGSNDVGTSGAKDSGNHQDHVVLPDGAESDAMHPEATDAADARTDFDSHMPSDKQVNNVEGVTEQLTDNEKETAVVEKATTNMGDSAQVDSLDKEYLQDVPADLQRSTNTNTPLFVLDDMPGPDVVLDSSDPVSAQAVDDMKGELSDIVHDNVNAFDNKDMPTSEITVLEFTQNASGFPQPTEDENVLSAMGENSGLQENHVGSVMDLDNMGHDFSLKECSDFGSAIQGVDTDFLMYDDEVDCDEANDDEPNPEEFQSLDNSGWSSRTRGVARYLKTLFDEESGLGRKSVAIDHLLSGKTRKEASRMFFETLVLTTKDFISVDQPNSFDFVSVKPGPKLLKSDF >ONIVA01G45530.1 pep chromosome:AWHD00000000:1:38000089:38003741:-1 gene:ONIVA01G45530 transcript:ONIVA01G45530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FX19] MPSLRRFLELVVVGIVVCGVNGGSDGLEVAVARRQLHQPFFPDQSSSPPTPAPPGPAPPFFPALPVPPPPPATAGQEQPTYPALVLPNTGAGGAAATAAPDGGGGGGGGARKSKSSASKLVPAIVLPLLTVAVLGLSIAFFFTHRRGNAARGGGGGGGCVGGGDAKFLHPERASLFARDEFGGSGGAAAPPAAAMDYRYVGNAGIGRMDEKSSETTSSGDEASRSTGGSPELRPLPPLLARQCGPMGARSPGSGVGGFASPSSGDEEFYSPQGSSKMSTSHRTLAAAVEAAVAARDRSKSPSPGSIVSTPSYPSSPGATMSPAPASPPLFSSPGQSGRRSVKSRSDSVRTFGQPPAPPPPPPFAPTLPPPPPPRRKPPSPSPPSSPLIENTSALRSTTTTDTTIPRNPFVQPPPPPTHTHGPPPPPPPPPPPPVGYWESRVRKPGTGTSKETRSPALSPPPQAASFKSGLPTDAFPGRLADNADHAAAAAAGGGGDKSEETTPRPKLKPLHWDKVRASSDRVMVWDQLKSSSFQVNEEMIETLFICNPANSAPPKEPATRRPVLPTPKTDNKVLDPKKSQNIAILLRALNVSKEQVCDALCEGNTENFGAELLETLLKMAPTKEEEIKLREFKEETSPIKLGPAEKFLKAVLDIPFAFKRVDAMLYIANFESEVNYLKKSFETLETACDELRNSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRTEGSHFSASNQSTPRTQANPLRDELECKKLGLQVVAGLGNELSNVKKAAAMDSDVLSSYVSKLAGGIEKITEVLRLNEEVKSREDAWRFHDSMQKFLKRADDDIIRVQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLSVLDQVCKEVGRINDRTIASSVRHFPVPVNPMMPQLFPRIHALRAGISDDESSATSASSP >ONIVA01G45520.1 pep chromosome:AWHD00000000:1:37990585:37996730:1 gene:ONIVA01G45520 transcript:ONIVA01G45520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 42 [Source:Projected from Arabidopsis thaliana (AT5G36890) TAIR;Acc:AT5G36890] MGSTGRDAEVTRGDFPDGFVFGVATSAYQIEGARREGGKGDNIWDVFTENKERILDGSSGEVAVDHYHRYKEDIELMASLGFRAYRFSISWPRIFPDGLGKNVNEQGVAFYNDLINFMIEKGIEPYATLYHWDLPHNLQQTVGGWLSDKIVEYFALYAEACFANFGDRVKHWITINEPLQTAVNGYGIGHFAPGGCEGETARCYLAAHYQILAHAAAVDVYRRKFKAVQGGEVGLVVDCEWAEPFSEKTEDQVAAERRLDFQLGWYLDPIYFGDYPESMRQRLGDDLPTFSEKDKEFIRNKIDFVGINHYTSRFIAHHQDPEDIYFYRVQQVERIEKWNTGEKIGERAASEWLFIVPWGLRKLLNYAAKRYGNPVIYVTENGMDEEDDQSATLDQVLNDTTRVGYFKGYLASVAQAIKDGADVRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASARWFSRFLKGDDAENKADMN >ONIVA01G45510.1 pep chromosome:AWHD00000000:1:37986671:37989486:1 gene:ONIVA01G45510 transcript:ONIVA01G45510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02650) TAIR;Acc:AT3G02650] MWRRSGARALLLLRSSIHRPLPPPPSPLRALTRAPPPPRPLSRFLSSSPEPLPDAGGGAASASSDPTDAGEDGLASDPTEAGEDNLASLWEEDAGDADDVFAADASPDPADAVVDEVLAARVGAVVESTPEDQIPSALADMVVDFNEPLLAAILVSAAESCSGKKLLLLFKSAGKNNPAAKSLANLEIVVDKIADSDEIDKMDVYLLWDLVKEMGAVPGSVNTQVLNKLLAMFWKLEKSKAALEAFDMFSELGCAPDGDSYYLAIQAAGRKSMVDAAWRVCDGMISSGCFPDGEKVGDIVMFFCKKKKVKEAHSVYITAKEKKVQAPTSALTFLVSALARNDETINTALELLEEYQGESLKDAGQSYAAVIHGLCNTNNVEDARKVLMRMVNLGPAPGSAVFNFVITALSKNGEMEDAKGLMRVMENQGICPDIYTYSVIMSGYAKGGMMDEAHALLRDAKRIHPRLSRVTYHTLIRGYCKMEEFEKALECLKEMKEDGMEPNMDEYNKLIQSLCLKALDWRTAENLLKEMEDGGLCLKGTTRSLIAAVKELEMDELSKASQEAYCEKLETACRN >ONIVA01G45510.2 pep chromosome:AWHD00000000:1:37986671:37990426:1 gene:ONIVA01G45510 transcript:ONIVA01G45510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02650) TAIR;Acc:AT3G02650] MWRRSGARALLLLRSSIHRPLPPPPSPLRALTRAPPPPRPLSRFLSSSPEPLPDAGGGAASASSDPTDAGEDGLASDPTEAGEDNLASLWEEDAGDADDVFAADASPDPADAVVDEVLAARVGAVVESTPEDQIPSALADMVVDFNEPLLAAILVSAAESCSGKKLLLLFKSAGKNNPAAKSLANLEIVVDKIADSDEIDKMDVYLLWDLVKEMGAVPGSVNTQVLNKLLAMFWKLEKSKAALEAFDMFSELGCAPDGDSYYLAIQAAGRKSMVDAAWRVCDGMISSGCFPDGEKVGDIVMFFCKKKKVKEAHSVYITAKEKKVQAPTSALTFLVSALARNDETINTALELLEEYQGESLKDAGQSYAAVIHGLCNTNNVEDARKVLMRMVNLGPAPGSAVFNFVITALSKNGEMEDAKGLMRVMENQGICPDIYTYSVIMSGYAKGGMMDEAHALLRDAKRIHPRLSRVTYHTLIRGYCKMEEFEKALECLKEMKEDGMEPNMDEYNKLIQSLCLKALDWRTAENLLKEMEDGGLCLKGTTRSLIAAVKELEMDELQEGIMH >ONIVA01G45500.1 pep chromosome:AWHD00000000:1:37982206:37985905:1 gene:ONIVA01G45500 transcript:ONIVA01G45500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTRWKRKEPNLKKAKLGPKLSPYNEVGLWGPLSTKETKEARSHDSIVFSFPPPLLPLPLSSPATSPPREINMVVALPAFTDNLKDRDRKLRIFSGNEHPFHDRPLEPFVMPPRQVREMRPRARRVLIRAQKKEQDRAAAASTKDEENVKNAKSEITA >ONIVA01G45490.1 pep chromosome:AWHD00000000:1:37978901:37981556:-1 gene:ONIVA01G45490 transcript:ONIVA01G45490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRQRLVISLSALCLAVAVMSGALLPPRASAAGGVGRKRRWAGFDYYVLALQWPGTVCRQTSHCCSSNGCCRSHPLKFFTIHGLWPQYSYGGWPSCCRPTTFDGNKISRLKTILEEYWPSLYCGSFSTCFGGKRPFWVHEVDIETHGTCGYPEIQDEYDYFSTALYLYSKYNVTKALKKAHIYPRGGRKYLVGHIVSAIEFSFGAMPSIVCKNGSVQELRLCFHKDYQPRDCLVEGENSVRRNHCPRYVTLPSYKPHAFGNSTEGISNQVNVEHQSYQ >ONIVA01G45490.2 pep chromosome:AWHD00000000:1:37978901:37981556:-1 gene:ONIVA01G45490 transcript:ONIVA01G45490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRQRLVISLSALCLAVAVMSGALLPPRASAAGGVGRKRRWAGFDYYVLALQWPGTVCRQTSHCCSSNGCCRWAVAAVQLWGMAILLQANHIRRQQDLEVEDDTRGVLAVLVLRLFLNLLWWEKAILETHGTCGYPEIQDEYDYFSTALYLYSKYNVTKALKKAHIYPRGGRKYLVGHIVSAIEFSFGAMPSIVCKNGSVQELRLCFHKDYQPRDCLVEGENSVRRNHCPRYVTLPSYKPHAFGNSTEGISNQVNVEHQSYQ >ONIVA01G45480.1 pep chromosome:AWHD00000000:1:37975431:37977876:-1 gene:ONIVA01G45480 transcript:ONIVA01G45480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARKAPRMVAIWAVVVVVVAADLFGAAVARSASARHAVGKKQREFDYFALALQWPGTICASTRHCCAINGCCRSEPLQTFTIHGLWPDYDDGTWPACCRHTSFDMDKILPLKPTLEKYWPSLYCSSSSTCFSGKGPFWAHEKHGTCSSPVVKDELEYFTTALDLYFKYNVTEMLASGGIHVSNGKQYALTDVIDAIKCAFGASPQIVCKKGSVEELRLCFDKDLKPLDCLTTTATNENVSKKKYCPRYITLPTYDPIVHANSTREIITVESEVYGYLYTS >ONIVA01G45470.1 pep chromosome:AWHD00000000:1:37970775:37978995:1 gene:ONIVA01G45470 transcript:ONIVA01G45470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLCSTVSSIHLLDPYGQRDLFLNLLGMKNSKRDVAARLLRMIFDKKPKKAGSIIAKKCQMLQDFFQGNPKQIMQWFGHFAVTGELTHKKGARALSQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFRDLDVLQTVENFLEYVPDFWSSEELADSVKDGEILQIDTEYFVDQFVYLMYEENSRDAWQLVDEFLMDEQFSSLSQHLLIHLDEQRLLNFLRALGKLIKLNSQCKEMVFPCCWLEVLLSAHSDHISLDELVLLNCVISKGRQLWRLMNDEEQEEEQGQMQELLKSTNQLTDADHFALMKGFVDTELPDALKWIGIQSWLVFCELSKGCKSADSCEHLFTCNRIEFRKADDYPLVQNDGNSIALDSDDEDLIRGSHKRRKRDRKRKRRRYDSDEDDLDQLLELGTSNRRGIESHHGCWYLSTDGFSASWDIADIPDHLSSHYLKTWLKFMHFWTC >ONIVA01G45470.2 pep chromosome:AWHD00000000:1:37970695:37978995:1 gene:ONIVA01G45470 transcript:ONIVA01G45470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFFQGTTDGGSVDTDAVKARRSLLGKVEPIIRSVIKSGGGYEARMWLCSTVSSIHLLDPYGQRDLFLNLLGMKNSKRDVAARLLRMIFDKKPKKAGSIIAKKCQMLQDFFQGNPKQIMQWFGHFAVTGELTHKKGARALSQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFRDLDVLQTVENFLEYVPDFWSSEELADSVKDGEILQIDTEYFVDQFVYLMYEENSRDAWQLVDEFLMDEQFSSLSQHLLIHLDEQRLLNFLRALGKLIKLNSQCKEMVFPCCWLEVLLSAHSDHISLDELVLLNCVISKGRQLWRLMNDEEQEEEQGQMQELLKSTNQLTDADHFALMKGFVDTELPDALKWIGIQSWLVFCELSKGCKSADSCEHLFTCNRIEFRKADDYPLVQNDGNSIALDSDDEDLIRGSHKRRKRDRKRKRRRYDSDEDDLDQLLELGTSNRRGIESHHGCWYLSTDGFSASWDIADIPDHLSSHYLKTWLKFMHFWTC >ONIVA01G45460.1 pep chromosome:AWHD00000000:1:37965826:37968364:-1 gene:ONIVA01G45460 transcript:ONIVA01G45460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAQDRATGQLVALKKTRLEMDEEGIPPTALREISILRLLSQSLYVVRLLSVEQATKNGKPVLYLVFEFLDTDLKKFVDAYRKGPNPRPLPTNVIKSFLYQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGSTHYSTGVDIWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPTEEQWPGVTDLRDWHEFPQWKPQILERQVPSLEPEGVDLLSKMLQYNPANRISAKAAMEHPYFDSLDKSQF >ONIVA01G45450.1 pep chromosome:AWHD00000000:1:37961911:37964512:-1 gene:ONIVA01G45450 transcript:ONIVA01G45450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGSGSGHAATPVRYVALPPPRGVRDGGGWNVLVPESMASEWTVAHVRGVVRVASRGGGAPEVSVDMAALNGRRRGDDDPDLHLRPERGGGRDALTPVRYVALLLPRGVRDGGWNILVPEAMASEWTVVHVPAAAGVVRVASRGGGAPEVSVNMAALQAALNGPRRGDDPDHLHLRSGHRGVGGGVAERGGGGGAGGPCYVPVVFVLNTSKEAEKKEHQARMISLLMLSTFAVYLLYAMQHISKDTFLTVELLQFVGHIVMWAVASRVARSTNRSEPRLVPRSFITVTKKNA >ONIVA01G45440.1 pep chromosome:AWHD00000000:1:37952318:37961208:1 gene:ONIVA01G45440 transcript:ONIVA01G45440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMLACKFIWAGNEETFPFPAPQTEAHQAAESNPSRRSEPSDPSPAPHPSARCAPATRGSWAAAAINPNHSFSHLLPSPRSSPSAAAAAEQQQQASDMF >ONIVA01G45430.1 pep chromosome:AWHD00000000:1:37945949:37950258:-1 gene:ONIVA01G45430 transcript:ONIVA01G45430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVCSAAPTCSPLCPVTSSVAHVVGAPALRGRVVEVSALRSYADPLVARPVPDRPLLADSSILSPYSASPDDIVRGLAAAEVPSADATAAGDALCCGGVEPATLTAVSDLAATTADAAVTDAAERALLDAPVPTTFPADASDAEAAFARLIDSLGKKIFQAEDALTEGYDKLRLSAYQSLSAWRKSVDGAVGGLTASVDATKKQAAGGVTDASGALQDKVAGAGTVAVDVLRKAIVAAEDSLGSAATSIGYYYGSTKSSLPPNVKDLLNSSEEKASLVLKPIGGALQQVYVVVEGIEKNVGLDPSDPIVQLAVLLGGSTTIGLTYWLFAYGGYSGDLSPESTLELLRNDDKADLRVKDGIPDLRRAARSKYATAASPEIKGSVKRLLKGGRDVDDALLAVIIRNLKLVKGDSKVIIMDANGSRSKAIARLLKKLGVQRPYLVKGGFQSWAKNLRVKELKPETALTVINEDAEEILEGIKPTPTLVLGSLLGLSAAAYALLEWETTLQYIGVLSLGLTIYLRFSTYEGSEDLEQDLKLLLSPLRVGAEAFSWAAKKLEPSKIGLATSPSTTAVQDRVLQAAAKHESQPSDAEADSLVSEA >ONIVA01G45420.1 pep chromosome:AWHD00000000:1:37943879:37944379:-1 gene:ONIVA01G45420 transcript:ONIVA01G45420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKGSHTHRAFLLCNYALLGAASSCIFLTLSLRLLPSPCGLLLLFLHALTAVFSAAGCSGSFTAPATPAQWHNAHTAGAALTAIFQGAVALLAFTRTSDFLAELQSYVRDDDAAVILKMVGGLGTAIFVLEWAALALAFSLRLDDDDGAGDYDNRNWAAASYHV >ONIVA01G45410.1 pep chromosome:AWHD00000000:1:37937923:37944429:1 gene:ONIVA01G45410 transcript:ONIVA01G45410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin assembly factor-1 (FASCIATA1) (FAS1) [Source:Projected from Arabidopsis thaliana (AT1G65470) TAIR;Acc:AT1G65470] MEGGKLLGVAHPEPANNIDAELRYDLGQSRMQVDGPVVLNRSAELEPSDSMAIDDVPVEASSQPAPAKQSPALMDTIVEVQKQLKRKRASSGPALAAADKDALVAGCCQELEGLLEYYREVSGHRMQFEVVNLSTNAAIGCLLEESSLGLSKLVDEIYEKLKGMEGVSATSVRSSVLLIGQRMMYGQSSPDADVLEDESETALWCWEVRDLKVIPLRMRGPLSTRRTARKKIHERITAIYSTLSVLEAPGAEAQVNDMRKASLKLSKALNLEAKEPMQEMVKSNNDTGIIENVDDSQLQKNTSTNEKDTQKAQKQVEKELKQKEKEEARMRKQQKKQQEEALREQKRREKEEAEMKKQQRKQEEEAQKEQKRREKEEAETRKQQKKQQEEAEKEQKRREKEAVQLKKQLAIQKQASMMERFFKNKKDSEKLEKSGGKDSGVQTTDPCTTNKEVVPLVTSIIDSSFSQKENWALEDLRRLQISGWQKLSSYNRSSRWGIRNKPKKEAFKELKLQKTSDNMLEEILSPNEDTCHNLSQENEPDKSANDVDMLPAVELQFHGTNHANPLPTRSIKRKLLQFDKSNRPAYYGTWRKKSAVVGPRCPLKMDPDLDYEVDSDDEWEEEDPGESLSDCEKDNDEVMEEDSKITDEESEDSFFVPDGYLSDNEGIQIESLLDDKDEACSSPPDQCAEVEEFRALLRQQKVLNTLTEQALRKSQPLVISNLTHEKAELLTAGDLKGTSKIEQLCLQVLSMRICPGGATIDLPVIDSSSANAEETNQLNVKSSPAAASAIPDTDLAEIVKVIGSCRDGINKLVESLHQKFPNVSKSQLKNKVREISEFVDNRWQVKKEVLSKLGLSSSPASSKKPKSIATYFSKRCLPPEAILASPELRLKSKTTQNVNGDTDIPRINLLPSSQ >ONIVA01G45400.1 pep chromosome:AWHD00000000:1:37928233:37934157:1 gene:ONIVA01G45400 transcript:ONIVA01G45400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWITALKKAFTSGPKDKPTNGQLVAQYSHQHRSGGGGAARDKKRWGFGRSRQHAEPSPAGALINIPLYREPSSIEKILVDAEMEQQHRQYRAQYQITPAKPATVTAPAAAASLPAPPPPVSARERQRRRDVDDDKPAIVLPLPPPSPPPLIRRFDHDREQQQKLQQMQQQTRAETEWRRPQAQRRRAARPRGPAPPDRARAAAVAIQSAFRGYMARRNYRSLRGLIRLQGVVRGPSVRRQTAHAMRCMQMLVRVQSQVRASRVEAMERRNRHHHAAMLRDAARWRAASQDGGIWEDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRNPWWWTPIERRHEAEAAAAVLSRQRVEHVGNGGGGGRHVAVTATPARSVVSSYSTATATTAARPRAMRPAKVASSYGGGGSIRDDESLTSCPAFGGALPNYMTPTMSASAKARARAQMLRQQQEKQAQAAQEKPRFSFGLGQSIGSWAKSPFWKGGAAAAPPSISSRVATPAASVAGRHRPTRSSVSELSVDSAVSMPAGIGRRTFK >ONIVA01G45400.2 pep chromosome:AWHD00000000:1:37928233:37934157:1 gene:ONIVA01G45400 transcript:ONIVA01G45400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWITALKKAFTSGPKDKPTNGQLVAQYSHQHRSGGGGAARDKKRWGFGRSRQHAEPSPAGALINIPLYREPSSIEKILVDAEMEQQHRQYRAQYQITPAKPATVTAPAAAASLPAPPPPVSARERQRRRDVDDDKPAIVLPLPPPSPPPLIRRFDHDREQQQKLQQMQQQTRAETEWRRPQAQRRRAARPRGPAPPDRARAAAVAIQSAFRGYMARRNYRSLRGLIRLQGVVRGPSVRRQTAHAMRCMQMLVRVQSQVRASRVEAMERRNRHHHAAMLRDAARWRAASQDGGIWEDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRNPWWWTPIERRHEAEAAAAVLSRQRVEHVGNGGGGGRHVAVTATPARSVVSSYSTATATTAARPRAMRPAKVASSYGGGGSIRDDESLTSCPAFGGALPNYMTPTMSASAKARARAQMLRQQQEKQAQAAQEKPRFSFGLGQSIGSWAKSPFWKGGAAAAPPSISSRVATPAASVAGRHRPTRSSVSELSVDSAVSMPAGIGRRTFK >ONIVA01G45400.3 pep chromosome:AWHD00000000:1:37929148:37934157:1 gene:ONIVA01G45400 transcript:ONIVA01G45400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWITALKKAFTSGPKDKPTNGQLVAQYSHQHRSGGGGAARDKKRWGFGRSRQHAEPSPAGALINIPLYREPSSIEKILVDAEMEQQHRQYRAQYQITPAKPATVTAPAAAASLPAPPPPVSARERQRRRDVDDDKPAIVLPLPPPSPPPLIRRFDHDREQQQKLQQMQQQTRAETEWRRPQAQRRRAARPRGPAPPDRARAAAVAIQSAFRGYMARRNYRSLRGLIRLQGVVRGPSVRRQTAHAMRCMQMLVRVQSQVRASRVEAMERRNRHHHAAMLRDAARWRAASQDGGIWEDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRNPWWWTPIERRHEAEAAAAVLSRQRVEHVGNGGGGGRHVAVTATPARSVVSSYSTATATTAARPRAMRPAKVASSYGGGGSIRDDESLTSCPAFGGALPNYMTPTMSASAKARARAQMLRQQQEKQAQAAQEKPRFSFGLGQSIGSWAKSPFWKGGAAAAPPSISSRVATPAASVAGRHRPTRSSVSELSVDSAVSMPAGIGRRTFK >ONIVA01G45400.4 pep chromosome:AWHD00000000:1:37930200:37934157:1 gene:ONIVA01G45400 transcript:ONIVA01G45400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWITALKKAFTSGPKDKPTNGQLVAQYSHQHRSGGGGAARDKKRWGFGRSRQHAEPSPAGALINIPLYREPSSIEKILVDAEMEQQHRQYRAQYQITPAKPATVTAPAAAASLPAPPPPVSARERQRRRDVDDDKPAIVLPLPPPSPPPLIRRFDHDREQQQKLQQMQQQTRAETEWRRPQAQRRRAARPRGPAPPDRARAAAVAIQSAFRGYMARRNYRSLRGLIRLQGVVRGPSVRRQTAHAMRCMQMLVRVQSQVRASRVEAMERRNRHHHAAMLRDAARWRAASQDGGIWEDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRNPWWWTPIERRHEAEAAAAVLSRQRVEHVGNGGGGGRHVAVTATPARSVVSSYSTATATTAARPRAMRPAKVASSYGGGGSIRDDESLTSCPAFGGALPNYMTPTMSASAKARARAQMLRQQQEKQAQAAQEKPRFSFGLGQSIGSWAKSPFWKGGAAAAPPSISSRVATPAASVAGRHRPTRSSVSELSVDSAVSMPAGIGRRTFK >ONIVA01G45390.1 pep chromosome:AWHD00000000:1:37914997:37918948:-1 gene:ONIVA01G45390 transcript:ONIVA01G45390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALPSHRSSLVFSGEPPAMGSRSGGRHRLFLRFIALSSLLLIAAGEVIFEERFEDGWDLIGKGAKGKLERSNTRQEDILEILMTKTTLDARHFAISAKISEFSNKGRTLVLQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLQCETDKLTHVYTFILRPDASYSILVDNRERESGSMYTDWDILPPRKIKDVHAKKPKDWDDREYIEDPDAVKPEGYDSIPKEIPDPKDKKPDTWDDDDDGIWKPRMIPNPAYKGPWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARKAAEETWGANREAEKEAFEEAEKERKAREDKEAERAREEGERRRRERGDRHRGRDYKDRYKRRHRDHWDDDYHDEL >ONIVA01G45390.2 pep chromosome:AWHD00000000:1:37914997:37918948:-1 gene:ONIVA01G45390 transcript:ONIVA01G45390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALPSHRSSLVFSGEPPAMGSRSGGRHRLFLRFIALSSLLLIAAGEVIFEERFEDGWESRWVKSDWKRSEGKAGTFKHTAGRYSGDPDDKGIQTTLDARHFAISAKISEFSNKGRTLVLQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLQCETDKLTHVYTFILRPDASYSILVDNRERESGSMYTDWDILPPRKIKDVHAKKPKDWDDREYIEDPDAVKPEGYDSIPKEIPDPKDKKPDTWDDDDDGIWKPRMIPNPAYKGPWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARKAAEETWGANREAEKEAFEEAEKERKAREDKEAERAREEGERRRRERGDRHRGRDYKDRYKRRHRDHWDDDYHDEL >ONIVA01G45380.1 pep chromosome:AWHD00000000:1:37912661:37913996:1 gene:ONIVA01G45380 transcript:ONIVA01G45380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) TAIR;Acc:AT3G59520] MGKPLIYEILEKPASSSVIGICSLIWFFIQKRNIGYGDVGLSYEAAMEGGQYWRIITSAFSHISVVHLVFNMSALWSLGVVEQLGQIGLGVEYYLHYTLVLVVLSGLLVLGFYHVMIQKFKVEYFRRVTAVGYSCVVFGWMTILATKQPSSKLNIFGVLSLPISFAPFESLIFTSIMVPQASFIGHLSGIIVGYSIAWGLVHGMNNYWAITMFGWIVLVFVLSLKRTGSMDLRFIEIEPVTDPSLPSVGVVASRNGRTLQMDVLPRRGVADIV >ONIVA01G45370.1 pep chromosome:AWHD00000000:1:37908961:37910644:1 gene:ONIVA01G45370 transcript:ONIVA01G45370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSRCSSVINGAPLLLVVVVCCGLSPVARSQSSDSCSTPASLAAGVSKLIPFDTSNLTCFDAWSSENFIVRYTSSGSTWSFVLSAPDKGGYVAVGFSQDGAMVGSSAVAGWSSGNGVGGVAKQYKLGGTSSRSCPPDQGSLSLVAKNTLVVAQSSRIYVAFQFTAPQPTPYLIYAVGPSNTNPSGNGDYLAQHRVYTSAAVNYAAGTTSSAGGGAADTKKWHGAMAGLGWGVLMPVGIALARYFKKHDPFWFYAHISVQGVGFVLGVAGVVAGFKLNDDVPGGDTHQAIGITVLVLGCLQVLAFLARPDKSSKVRRYWNWYHHNVGRAAVACAAANIFIGLNIAHEGNAARAGYGIFLVVLALVAVFLEVKLWRSRRSG >ONIVA01G45360.1 pep chromosome:AWHD00000000:1:37900232:37902062:1 gene:ONIVA01G45360 transcript:ONIVA01G45360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIMRQWRSLLLLLLCVVGSSSLLLVSSQTSSSSSDSCTAALAVGDLIPFNTTGLSCFQAWSSQDFILRFGQDASAGSNVWNFVLSAPDAGGYISVGFSPNGGMVGSSAVAGWVASGGVGTARQYYLGGTSSRSCPPGQGKLSLSTGAAAPTIVSQGSRLYLAFQFSGQPRTDLVYAVGPAGSLPGTNGFLAQHQYMTSGTIILPTGTSGGGGGGSTSTGGGGGGGDSDDGNEGGGGEGKGKHKHSGGDGDGDEGKGGRRTSPSSSSSATASGAAGGLSSKRRHGVLAVVSWGAMIPAGVAMARFMKRFEPLWFYAHAGVQGLGFVVGAVAIVAGFRLDGEDDVGAHKAVGVAVLVCACLQVMAVLARPIKEAKARKYWNWYHHYVGRAAVVLGVGNVFYGMSLAKEGDEWSYVYGIFVGVCAVAYLVLEEWRRRH >ONIVA01G45350.1 pep chromosome:AWHD00000000:1:37895448:37899500:-1 gene:ONIVA01G45350 transcript:ONIVA01G45350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 4 [Source:Projected from Arabidopsis thaliana (AT1G65260) TAIR;Acc:AT1G65260] MEIRAPPTSLRLAPPPPASASFRRTALRTSFLNGSVSLRLIQVCQSNVNRFKCNGIRSNLLDRFSRVVKSYANAVLSSFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKSAEQASDDWYRRAQLALQKGDEDLAREALKRRKSYADNASSLKAQLDQQKGVVENLVSNTRVLESKIAEAKQKKDTLKARAQSAKTSTKVSEMLGNVNTSGALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETSSVDDDLAQMKKEISGSSSKGELPPGRTAVSNSGAARPFRDIEIENELNELRKKANEY >ONIVA01G45350.2 pep chromosome:AWHD00000000:1:37895448:37899500:-1 gene:ONIVA01G45350 transcript:ONIVA01G45350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 4 [Source:Projected from Arabidopsis thaliana (AT1G65260) TAIR;Acc:AT1G65260] MEIRAPPTSLRLAPPPPASASFRRTALRTSFLNGSVLSSFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKSAEQASDDWYRRAQLALQKGDEDLAREALKRRKSYADNASSLKAQLDQQKGVVENLVSNTRVLESKIAEAKQKKDTLKARAQSAKTSTKVSEMLGNVNTSGALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETSSVDDDLAQMKKEISGSSSKGELPPGRTAVSNSGAARPFRDIEIENELNELRKKANEY >ONIVA01G45340.1 pep chromosome:AWHD00000000:1:37893520:37893741:-1 gene:ONIVA01G45340 transcript:ONIVA01G45340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHLHRDLLLAVVLAAIAAASLAGRADAARPLPPSPALPSPSSSSALEAAKAAVSTLMEMLPSGPSAGGAGH >ONIVA01G45330.1 pep chromosome:AWHD00000000:1:37890563:37890985:-1 gene:ONIVA01G45330 transcript:ONIVA01G45330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGDAHATARATWREKAQGGWRCEELDRHGPTAAHCCTWRRFDRTQGGLRQERRMVADRKKLKESLDPGLPCGRRCQGRGGGAGQHRGGMKRERKWKGSTITRGIRRGRLSASSFYSPATTYLSRRLPPFSPLATAHCY >ONIVA01G45320.1 pep chromosome:AWHD00000000:1:37884928:37886853:-1 gene:ONIVA01G45320 transcript:ONIVA01G45320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATLPKLRFRPKCHGHFQAIYPVHVHIKNCNACKCQIPTHGLLVSSARSRPVLPVSAVGSGGGSSVAEDERKSGLSLQNAKTSVVSRDDETINVRVDLPGKATQKVFDEALTSLARDAPPVPGFRKSKGGRTSNIPSSILLQMLGKSRVTKFVLQEILSITVGDFVKKENLKVNPEIKTTQSEEELESSFTPGSSFSFSVVLQLEKPESDETSENSESDEASEPSS >ONIVA01G45310.1 pep chromosome:AWHD00000000:1:37878096:37881605:-1 gene:ONIVA01G45310 transcript:ONIVA01G45310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTEKVAGDGCSGGGGGGGEGQVEVEVGVGMGMDGKGMIECRICQEEGDEGAMDSPCACTGTLKFAHRKCIQRWCDKKGNITCEICNQVYSPNYVLPPTKCCSAEMDMDLRQSWVGRIDPHDSHFLAIAIAEQQLLQAEFDDCVSSNSSGATCCRTVVLILMLLLLVRHVVVFVRDVSMLQDATVLFSPVLVMLFNTGGEDRFRGHLNLAGSIYSKQLNTITERREEKLVKK >ONIVA01G45300.1 pep chromosome:AWHD00000000:1:37875079:37882002:1 gene:ONIVA01G45300 transcript:ONIVA01G45300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:selenoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G05720) TAIR;Acc:AT1G05720] MGRSVYVAAAVALVLTSCSVLCLGAERFGARECEELGFTGLALCSDCNALAEFVKEQELVEDCRKCCTEDSDDSISKLTFSGAIIEVCMRKLVFYPEIVGFLEEDKDDFPYVEARYVYGSPPKLIMLDDKGDQKETIRIDNWKREHIRQFLKEKVKPVKSDS >ONIVA01G45290.1 pep chromosome:AWHD00000000:1:37867670:37869831:-1 gene:ONIVA01G45290 transcript:ONIVA01G45290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSELVVSFGEMLIDFREFMFYRNPSADMLLTHAELNVELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTKILSIWDHADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDQGCKYYARDFRGAVPSYKVQQVDTTGAGDAFVGALLRRIVQDPSSLQDQKKLEEAIKFANACGAITATKKGAIPSLPTEVEVLKLMESA >ONIVA01G45290.2 pep chromosome:AWHD00000000:1:37867188:37869831:-1 gene:ONIVA01G45290 transcript:ONIVA01G45290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSELVVSFGEMLIDFVPTVAGVSLAEAPAFVKAPGGAPANVAIAVARLGGGAAFVGKLGDDEFGRMLAAILRDNGVDDGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTHAELNVELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTKILSIWDHADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDQGCKYYARDFRGAVPSYKVQQVDTTGAGDAFVGALLRRIVQDPSSLQDQKKLEEAIKFANACGAITATKKGAIPSLPTEVEVLKLMEMLEAWKYCDAVMLLVRDIQCSLIAISIVLVAEI >ONIVA01G45290.3 pep chromosome:AWHD00000000:1:37867670:37869831:-1 gene:ONIVA01G45290 transcript:ONIVA01G45290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSELVVSFGEMLIDFVPTVAGVSLAEAPAFVKAPGGAPANVAIAVARLGGGAAFVGKLGDDEFGRMLAAILRDNGVDDGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTHAELNVELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTKILSIWDHADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDQGCKYYARDFRGAVPSYKVQQVDTTGAGDAFVGALLRRIVQDPSSLQDQKKLEEAIKFANACGAITATKKGAIPSLPTEVEVLKLMESA >ONIVA01G45280.1 pep chromosome:AWHD00000000:1:37857896:37861798:1 gene:ONIVA01G45280 transcript:ONIVA01G45280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYRNLLLLPLLLALVAAAASAAGDERAVLEVSGSPEGVVWVVQLSDLHFSVHHPDRAYDFRRYVGPALAMVNPALVLITGDLTDGKSKDLLTMKQNEMEWIEYRSKLKDVIESSKLPRSIFYDLRGNHDSFGVPSPGGDHDFYQKYSINAILRRHGRVQSITLENSGWKHLFVGFDSTMEIGLRGPTNLFGHPTDKQLIELDQSLSQWDTDFNKAQVTKVAFGHFPMSFSTLTESGQSIKDVFLKHSLAAYLCGHLHTRFGKNLKRYYHRSTEESPLSEHYYQFNMHQGYEIHSDKENCSKEAVHAEEEFWEWEMGDWRKGRSMRILAIDDGYVSYTDIDFRLGSKSIIILPTFPLDSRFMQRATASRDFKCQVMGASTFDTVRALVFSRHEIVSVSVKIYDSRSGHLDVVFDSEMKRVNANETRGDMYLVPWNWRAFADPSPNRYWLQIEVMDITGDASVSQLRPFSVNGFASKVSWTWKEFFVMGIQWALVYHPALWCVLALIFTLLLVPRASVLLFKDQFTYKYLRPNGSQRVLLKYLIGGFIWLFVEFSRVTIVWSLLLVYMIYLLVFPWLFGHPITEDSNLASMTFRGWILGKSNSANEVFHAGTPDVMVIVLPHLCFVVLPTIVILAAMAAERTAYREQYLSQSGKKKDDHYQKSRRQKEHDNFWNSRWTRKFLFLLCLAVLWKHWKHCRALVKAYAMNPVIHSLVHFFFIPGLIAFAIYKTSSL >ONIVA01G45270.1 pep chromosome:AWHD00000000:1:37856908:37857399:1 gene:ONIVA01G45270 transcript:ONIVA01G45270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSWHREGGAGASAATSCSAGSWPRQPKREGRLVLGTGKAASAPRRRLLGFRSRNRRRRAADSRLGRRRRRRRRRLAAASFSQLPPAGAAGGGSGRFLAPAAQNAAAAAASWPPRQEAAAEKAIEEGGDYSWRQIALRIFGGNSGIRRRRPEREGRLGEREI >ONIVA01G45260.1 pep chromosome:AWHD00000000:1:37855548:37856626:-1 gene:ONIVA01G45260 transcript:ONIVA01G45260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSQRHANDDLENGIRVPLLEVPKIEESHCCPCFWLPISCLTNVPKAVKNWLVARIGDMLPESLIQKRITLFGFILFGIASLCPGWVNGDYTGRFLIEKYSEMIQHMHDYTIPRMPYMNRLS >ONIVA01G45250.1 pep chromosome:AWHD00000000:1:37852220:37852724:-1 gene:ONIVA01G45250 transcript:ONIVA01G45250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTAVGDGYEYDGVVVGHPVIQFGDGALPAVVGYPPPPAATLQRGRPEQRCSSRCGPCEIFTVTFMVVVAVESLTYFLLAMILHKFPSPITAMLLSPVTLLALVGSFACCLAVSGCCDDRLDDGQNSGNRQVQDSIV >ONIVA01G45240.1 pep chromosome:AWHD00000000:1:37845796:37847098:-1 gene:ONIVA01G45240 transcript:ONIVA01G45240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAMRQWRHLLLVLCFVGSSSLLLVSSQTSSDSCTAALSLGNLIPFNTTGLNCFQAWSSQGFILRFGKDASSAGSNTVWNFVLSAPDSGGYIAVGFSPNGKMVGGSAVAGWATPGAAGTARQYYLGGTTSSLCPPDQGKLSLSRGAAAPTIVSKGSRLYLAFQLSGQPLTNVIYAVGPAGTLPGPSGLLAQHKDMAAGTISLSGGTSGGGAGGTPATGGGGDGDEGHEGHEGGGEGKGKSDQSGGAGGESGSDGNGGRSTTTTASASSSGSASARIFRTKCSLVVQMLVYFVLFSGAVFL >ONIVA01G45230.1 pep chromosome:AWHD00000000:1:37844749:37845371:1 gene:ONIVA01G45230 transcript:ONIVA01G45230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHYILNKIRCPYRCEYPAGRERGGASSSPSRRLLRQRVTGGGRRRPAAALDRRMAADDHPAVDVGHGFLAAAVVYYSIRTARGFGESVRRVDARARRLRKRDRGLFLDGKNAEMSGRNALGWASAYVVKRDATHDLFFAALADRIKMILPRFICKSL >ONIVA01G45220.1 pep chromosome:AWHD00000000:1:37840859:37844610:1 gene:ONIVA01G45220 transcript:ONIVA01G45220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB and TAZ domain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) TAIR;Acc:AT1G05690] MACLELDSSQFLLNGDGNVIGSPFDIQLECNSFTGSKAVQDHSRYNLPSQCTNAPDPPPLPGTSYGAHRTSRNAKACRCVPEEIQDFWDKMFFEAYQYDLRVLTEDGNEVMSHSCVVGIKSPVLRAMLEEAKVQGGIRHILIPGVPSEAVHVFIRFLYSSRFEQYQMKRYVLHLLVLSHVFSVPSLKRVCINQLETSLLSPENVVDILQLARLCDAPRLSLICTRMIIQDFKTITQTEGWRVMRQANPSLEQELLESLVEEDTKRQERARKLEENKVYLQLHEAMEAIVHICRDGCRTIGPRDQTLKSGQAVCRFPACKGIELLLRHFSACKMRVPGGCANCKRIWQLLELHSRMCSAPETCHVPLCRHFKEKMQHLSRKEEAKWNLLVSKVLESKATTSSISERRKFPSLKT >ONIVA01G45220.2 pep chromosome:AWHD00000000:1:37840860:37844610:1 gene:ONIVA01G45220 transcript:ONIVA01G45220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB and TAZ domain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) TAIR;Acc:AT1G05690] MACLELDSSQFLLNGDGNVIGSPFDIQLECNSFTGSKAVQDHSRYNLPSQCTNAPDPPPLPGTSYGAHRTSRNAKACRCVPEEIQDFWDKMFFEAYQYDLRVLTEDGNEVMSHSCVVGIKSPVLRAMLEEAKVQGGIRHILIPGVPSEAVHVFIRFLYSSRFEQYQMKRYVLHLLVLSHVFSVPSLKRVCINQLETSLLSPENVVDILQLARLCDAPRLSLICTRMIIQDFKTITQTEGWRVMRQANPSLEQELLESLVEEDTKRQERARKLEENKVYLQLHEAMEAIVHICRDGCRTIGPRDQTLKSGQAVCRFPACKGIELLLRHFSACKMRVPGGCANCKRIWQLLELHSRMCSAPETCHVPLCRHFKEKMQHLSRKEEAKWNLLVSKVLESKATTSSISERRKFPSLKT >ONIVA01G45210.1 pep chromosome:AWHD00000000:1:37822583:37827163:1 gene:ONIVA01G45210 transcript:ONIVA01G45210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGMRVALHRQVSSGSLKESGELRRQSSLESPRTGRAATRFLFGRQSSMDPNRRRGRSQSPVGLAEDLTVPDNLDATMQLLFLACHGDAAGVEALLRGGVDVNSINLDGRTALHIASCEGHPDVVRAVADAKCYGHTEVYNLLKARGAKIPRNRRTPMMVSNPGDVPEYELNPSELQFKKGDEVVKGVYQVAKWNGTKVHVKILDRECYCDQEVINSFRHELTVLEKVRHPNVVQFVGAVTQNIPMMIISEYLPNGDLSSCIPRKGKLHGQKVLKYGLEIARGMTYLHQCKPDPIIHCDLKPKNIFLDSGGQLKIAGFGLTRLSKISPGRVKLADHESMVDSFSHYTAPELYRNEIFDASVDAFSFGFILYEMVEGTHTVHGKSSEESGHTIRYDGMRPSLKNKLRGYPPDFKALIEECWDTQGNDTCGLSCMNAFLCTYHCEANASLSVKKKPELALTRLEEDDTTYRQICAGAAAVRAMEEGRGGDPPSSSSSSAAAAGVAIGYPFLEPQQGARLRRRRTISPFKKYFSRGFAIGMEIAFIVFGTIVHFPVWARIFLIISLSILPFSAFSITFQEY >ONIVA01G45200.1 pep chromosome:AWHD00000000:1:37803049:37818700:-1 gene:ONIVA01G45200 transcript:ONIVA01G45200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKLRPPFRLLLLLLLCLDGSPPGYHLQRGFGSGEHSWFIHLQGGAWCNTIEDCSKRKMSAYGSSKFMRAVEFNGILSNDQQLNSDFYNWNRVFIRYCDGASFSGDGEAQDQDGSTLHFRGLRIWEAVINELMGKGLATAKQAILSGCSAGGLAALLHCNDFYARFSKEVSAKCLPDAGFFLDIEDLSGERHMWSVFNGTVHLQNVTQVLSKDCLAKKDPTECFFPAELVKSITAPTLILNSAYDSWQIQNALAPDGSFPGQSWSSCKTDIRNCSSTQIQVFNGFRNKFVDDIEVVKDKKDWGLFIDSCFTHCQTPFDISWNSQASPVLGNKTVAEAIGDWYFERSYEVKEIDCDPPPTSYVFCPHTRCGYGRDADPPASSICGALAAPAPGSRCPLNGSGTGLCLDGSPPGYHLQRGFGSGEHSWLIYLEGGAWCDTIESCSNRKTTELGSSKLMEAQEFEGILSNNQTVNSDFYNWNKVVIRYCDGASFSGNAEAQDQDGSTLHFRGLRIWQAVLDELMEKGLASAKQALLSGCSAGGLATLLHCNDFHARFPKEVSAKCLPDAGIFLDILCSSEDLSGKRLMWSVFNGTVQLQNVSEVLPKDCLAKKDRTECFLATELVKSITAPTLIVNSAYDSWQIRDTLAPVGSYPGQSWLNCTNDIGNCNSTQMEVLNGFRKKFVDGVKVVKDKKDWGLFIDSCFMHCQTKYSISWSSQFSPVLGNMTIAKAVGDWYFERSKTVKEIDCEYPCNPTSLVSIETTHPPHTGCA >ONIVA01G45200.2 pep chromosome:AWHD00000000:1:37803049:37818700:-1 gene:ONIVA01G45200 transcript:ONIVA01G45200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKLRPPFRLLLLLLLCLDGSPPGYHLQRGFGSGEHSWFIHLQGGAWCNTIEDCSKRKMSAYGSSKFMRAVEFNGILSNDQQLNSDFYNWNRVFIRYCDGASFSGDGEAQDQDGSTLHFRGLRIWEAVINELMGKGLATAKQAILSGCSAGGLAALLHCNDFYARFSKEVSAKCLPDAGFFLDIEDLSGERHMWSVFNGTVHLQNVTQVLSKDCLAKKDPTECFFPAELVKSITAPTLILNSAYDSWQIQNALAPDGSFPGQSWSSCKTDIRNCSSTQIQVFNGFRNKFVDDIEVVKDKKDWGLFIDSCFTHCQTPFDISWNSQASPVLGNKTVAEAIGDWYFERSYEVKEIDCDPPPTSYVFCPHTRCGYGRDADPPASSICGALAAPAPGSRCPLNGSGTGLCLDGSPPGYHLQRGFGSGEHSWLIYLEGGAWCDTIESCSNRKTTELGSSKLMEAQEFEGILSNNQTVNSDFYNWNKVVIRYCDGASFSGNAEAQDQDGSTLHFRGLRIWQAVLDELMEKGLASAKQALLSGCSAGGLATLLHCNDFHARFPKEVSAKCLPDAGIFLDIEDLSGKRLMWSVFNGTVQLQNVSEVLPKDCLAKKDRTECFLATELVKSITAPTLIVNSAYDSWQIRDTLAPVGSYPGQSWLNCTNDIGNCNSTQMEVLNGFRKKFVDGVKVVKDKKDWGLFIDSCFMHCQTKYSISWSSQFSPVLGNMTIAKAVGDWYFERSKTVKEIDCEYPCNPTSLVSIETTHPPHTGCA >ONIVA01G45200.3 pep chromosome:AWHD00000000:1:37800082:37803047:-1 gene:ONIVA01G45200 transcript:ONIVA01G45200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKLRSPVLPRRRLAEPFLLLLLLLLLAAVARPTAAADVVELTLLAGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLVFLEGGAWCNSIESCSRRKMGVYGSSKFMKAAEFNGILSNDQQLNSDFYNWNKVAIRYCDGASFSGDAEAQDKDGSTLHFRGLRIWEAVVDELMGKGLATAKQAILSGCSAGGLAALLHCNDFHARFPKEVSAKCLPDAGFFLDVEDLSGERHMWSVFNGTVHLQNVREVLSKDCLTKKDPTECFFPAELVKSITAPTLILNSAYDSWQMDPFLDSHGPVAKLTFGIAVPHKSKNKFVDDVEIVKDKKDWGLFIDSCFTHCQTPFNISWSSQASPVLGSKTVAEAVGDWYFERSYEVKEIDCEYPCNPTCSSQLPT >ONIVA01G45190.1 pep chromosome:AWHD00000000:1:37794952:37795788:1 gene:ONIVA01G45190 transcript:ONIVA01G45190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAKLLLWLLLLSSSPWCFCSELDVQCLETLYRSVIDSNGILQSSWTFVDNGTDGYICKFTGVECWHPDENRVLSLRLGNLGLQGPFPQGLQSCTSMIGLDLSSNIFSGPIPADIATQVPYLTSLDLSYNSFSGPIPASISNMTYLNTLNLQHNQFSSEIPWQFDSLGRLSSFNVADNLLSGFIPPSLHKFPASSFAGNQGLCGAPLDNCPTRRWRLRLHRINDESSIGAAVGFVVGFVVALYFPHWFVFSKRLHPYLFQIWSIPSGNLSANLRRT >ONIVA01G45180.1 pep chromosome:AWHD00000000:1:37786469:37787597:-1 gene:ONIVA01G45180 transcript:ONIVA01G45180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRGGEVAAALQSSLPSSQISPPMAKVVAAVEVSRPAAAALPSGSGGRRRKAISRRRRPAVTAIHLSLRVAPPESSTRCKL >ONIVA01G45170.1 pep chromosome:AWHD00000000:1:37772799:37774827:1 gene:ONIVA01G45170 transcript:ONIVA01G45170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKKPLSSSPSTSAVAKLLLRWRGRSKAAKDESIEFFSELRSSQPDRRGAASDHAGGGGAPDGRGKAKSAAPAAAGGDAGGGGKLLSTGTEKHDYDWLLTPPASPLWSPATSAAAGHHVSAAPPPSRLERASSAPYAKGNSRLPLTRRENGPPASRLSRSSSATSQLSTVAHAPGTVFSGRRTLSSASVSSINTASSTSVGSTPRGSSASTSPRTPATARGAPAGAAWPRHRDRTQALHVFGAAAAAGQPSASSLVSRSRPSLTAPSSGALQRATPGAAGTSSPRSTAPACQQPAATRRGANSVARSGSTPRAASPSPRARDVSIAAGASRVAPPPMSSSKPRQAPASGKQSNGNGMAAASTAAQRWRSAGRNARREEAVTHESSRNSDSRRKIDVANTSAAARRTADLSSPRGASGGSPTSGGGGRNKSTDTDAKRSLWQGAAARHLMAAARRDATPTTRRSGGLSSVASRSRLGITPAASSGDISATPTGRRSTPAKGRPAADAAAAASSPRVAAGDAFPSSRYDAMLLREDPRNLTWLHGCDDGEEIDGGDLVEASLESFDVPAGLSSTGLHGGKTLNFGANL >ONIVA01G45160.1 pep chromosome:AWHD00000000:1:37766679:37772057:1 gene:ONIVA01G45160 transcript:ONIVA01G45160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chaperone protein dnaJ-related [Source:Projected from Arabidopsis thaliana (AT2G38000) TAIR;Acc:AT2G38000] MTMEAERDGEEQRRPLLSSSSPPPPPPAAEHQHQHQQQYQYLGRSSSSALRGGGGGGGGGAGWGVGPEVSAAEVRSAASISSASYYPPPPALHHDVYPPDIHSPSPSPAAPTAPHPHGGLAIVPQGPYPYGGEYQPSHDGRRDVLDEVEIRQLLIDHVGHRCCWGSRPARTWKITSIEDCNVYVGTLETFIEERDTVTNKEPYDGGKIDGRDKGPVLAVWELDLRSEFPLLFVPEKEVMVKIPHSEVIEKCLDCESRGEIPCPICNAGQEHGFYKANQMTRCSACHGRGLLAHQDGSDTVCGMCSGKGMLPCIACGSRGLVTCKTCSGYGSLLAKSTAHVRCNPANVTLSARKVSATRGAASVPDEVFHRAQGIQLCNIQAYQCTPAFFADSYQLNQFSSEVVASRLPVPPSARVISERHIISVVPVIRVTMAHRKQCFSFYVVGYNRDVFIRDYPSKFCWGLCCCFEWLRN >ONIVA01G45160.2 pep chromosome:AWHD00000000:1:37766679:37772057:1 gene:ONIVA01G45160 transcript:ONIVA01G45160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:chaperone protein dnaJ-related [Source:Projected from Arabidopsis thaliana (AT2G38000) TAIR;Acc:AT2G38000] MTMEAERDGEEQRRPLLSSSSPPPPPPAAEHQHQHQQQYQYLGRSSSSALRGGGGGGGGGAGWGVGPEVSAAEVRSAASISSASYYPPPPALHHDVYPPDIHSPSPSPAAPTAPHPHGGLAIVPQGPYPYGGEYQPSHDGRRDVLDEVEIRQLLIDHVGHRCCWGSRPARTWKITSIEDCNVYVGTLETFIEERDTVTNKEPYDGGKIDGRDKGPVLAVWELDLRSEFPLLFVPEKEVMVKIPHSEVIEKCLDCESRGEIPCPICNAGQEHGFYKANQMTRCSACHGRGLLAHQDGSDTVCGMCSGKGMLPCIACGSRGLVTCKTCSGYGSLLAKSTAHTLSARKVSATRGAASVPDEVFHRAQGIQLCNIQAYQCTPAFFADSYQLNQFSSEVVASRLPVPPSARVISERHIISVVPVIRVTMAHRKQCFSFYVVGYNRDVFIRDYPSKFCWGLCCCFEWLRN >ONIVA01G45150.1 pep chromosome:AWHD00000000:1:37755004:37755412:1 gene:ONIVA01G45150 transcript:ONIVA01G45150.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASKRKIIVAIPIKGLFGWAVLGRDRSPIPVENPGVERIPAHASIGVRLSPGEILSRPTPAGKYSASLVARKQILSTQRRNERGRCSGDSCTAQRDSDATATARSLLRQLTPPVATFSPVSSFTSYLP >ONIVA01G45140.1 pep chromosome:AWHD00000000:1:37753622:37760302:-1 gene:ONIVA01G45140 transcript:ONIVA01G45140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family [Source:Projected from Arabidopsis thaliana (AT3G08650) TAIR;Acc:AT3G08650] MDSRVGVVLFFLLFVLVRDVSAVAETEVGVVRVVQEAPDRKLEGAGGQDGFKSGKVPVSTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGLAAGVMLAASFDLVQEGQMYGSGSWVVFGILSGGFFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMLLSSRGVSPQKAMIWSIITSLPQLSPLQRPLSLRRCVERICFLATREAEYFPAYDEGKTPGWAGIKSRLGLTERLYLRGPPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEAAPSQVASAGTLAVAFMETLGTVLQGFTDGHNSEDTAGFLVSLVFGLGPLFGGIVLVAFSLTFSMPHPLLTGVASGIAFRLAAWRPLQLLMSSKMGLFTTLFLLIGGSLIYHVATSNILQLVNRKKSSVNVITSSSGLSLSVLTLQSLLACGSVFLHAYAEGLQLGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCIYGATDSWRAALAAAALTGFAGPSAAISAILAKIDYSGLDYWMVIACGALIPSFGRVFKRSLRLDMRKSICGLLIGFAFASVCLMSTRFICLHTPYCNSAPEAVT >ONIVA01G45140.2 pep chromosome:AWHD00000000:1:37753622:37760302:-1 gene:ONIVA01G45140 transcript:ONIVA01G45140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family [Source:Projected from Arabidopsis thaliana (AT3G08650) TAIR;Acc:AT3G08650] MDSRVGVVLFFLLFVLVRDVSAVAETEVGVVRVVQEAPDRKLEGAGGQDGFKSGKVPVSTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGLAAGVMLAASFDLVQEGQMYGSGSWVVFGILSGGFFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMLLSSRGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEAAPSQVASAGTLAVAFMETLGTVLQGFTDGHNSEDTAGFLVSLVFGLGPLFGGIVLVAFSLTFSMPHPLLTGVASGIAFRLAAWRPLQLLMSSKMGLFTTLFLLIGGSLIYHVATSNILQLVNRKKSSVNVITSSSGLSLSVLTLQSLLACGSVFLHAYAEGLQLGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCIYGATDSWRAALAAAALTGFAGPSAAISAILAKIDYSGLDYWMVIACGALIPSFGRVFKRSLRLDMRKSICGLLIGFAFASVCLMSTRFICLHTPYCNSAPEAVT >ONIVA01G45130.1 pep chromosome:AWHD00000000:1:37743906:37749041:-1 gene:ONIVA01G45130 transcript:ONIVA01G45130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01960) TAIR;Acc:AT5G01960] MEPPAGGSSDHRRGAGTGAGAGGAAAPSGLRRYGFRFSASSLLQAPLAALLEYSGVVPSGPGAPQAGPPSALSSSPSSSSSSSEADGLLSAPAAGDGEVSIRIQGGPGEADAAGVAGAASSGEDSIEATAAGDVDPASAAAGRGGGADAEASGGGADGASGNGGGDRAYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKIAVLVGITVIFMIHVFGVYWWYRNDDLLRPLFMLPPKEIPPFWHAIFIIMVNDTMVRQAAMAIKCMLLMYYKNSRGRNYRKQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLAAVKALSRKDVHYGSYATAEQVIAAGDMCAICQEKMHVPVLLRCKHIFCEDCVSEWFERERTCPLCRALVKPADIRSFGDGSTSLFFQLF >ONIVA01G45120.1 pep chromosome:AWHD00000000:1:37735911:37737104:1 gene:ONIVA01G45120 transcript:ONIVA01G45120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRRLPFPNPTKASPRSILETKTATKRRRDESAENRKAVYLVAELSTNEPSHSVFMVDAAAAAAVAGGGGEVRRAHPLSGSGLTGAKHGMSFVAVRSEHGSWILGAGGRGGCTVIYDPITMEEFRGPHLHWPKQEPVLVSVGGVVYAISRRPRVRLASRLDYLPWVESLSFNMGVPRLDRMDSPYWKSLPPPPLFPCLLRPSEYRNPPDYCVSSFAVVGSHILLSMEQLPGEEQRGTYGFHVVDKAWEKVHDCNLPFVGQAVSIGGSLFAAAMPNNGGGGASVFHMSIIKVSSSSSPASWQLLVQEFPVASLGRMIPQPRIFSPLGEGSFCSVGWLASSGRSHGCQIKEYQIEGAESKKDLQATVQVKHQDQTYQFKGQSRFLDTHMPIVAALSM >ONIVA01G45110.1 pep chromosome:AWHD00000000:1:37734087:37735271:-1 gene:ONIVA01G45110 transcript:ONIVA01G45110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRHHRRDGTDLLEAEPLLDDSHLMTVRDPLPAAYIAVCRRDWYSHSYYRLYKVEDDSSSSSSPSSPVTKQVLHRFAHLPTDVACKTVVSVRSRWIVSVGGNPGGTVLFDTETRRVITGPKLLSAKLSPVAAAVGDRIYVLSSRPQYFEPWFEVLDLSRATVVATADGRHTLDGCSWEALPDPICFPCVLSPAGYLAPPLILVTSYVLFLPYLLVSVNNMGKMTTYAFDTGTHRWHKLYDYGLPFFGSATPLVGIRLVSSAPHLKLSVTEFPVKTEAREEVGVGEALCLASMEDEGSFSCITFRLDDREHHMSYDKDIHEFYPRKMYLNLTTYKIVEGEKTDMDIVVRCKRDKALKIFSSHGFSPLLYQYKQDPPTLLFHILVLHNLCKCI >ONIVA01G45100.1 pep chromosome:AWHD00000000:1:37725881:37732905:1 gene:ONIVA01G45100 transcript:ONIVA01G45100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLSGGDYVSLGCHEKEDLKCVVSYLRTTKQVSCIGLWGRSMGAVTSLLYGAEDSSIAGMVLDSAFTNLYGLMMELVDVYKIRVPKFTVKMAVQYMRKIIQKRAKFDIMDLNVLQFAPKTFIPALFGHASNDMFIQPHHCDRIHQAYGGDKSIIKFEGDHNSPRPQSYYDSVSMFFYNTLHPPQLPVKCSNNLGAFKVGTVTNESFIFEIISGLRGAGTNSCSSSIDASKFPNATTPVVELLSESVNQLSIKNDSDLDFLLDENRTLSEIDGDSAGSRLQDKSSGHNEESCSCTSSNRESWGRCSSLGGASDDSFPGDISDKQENMTVKALATPLRQKDSKSTTPKTKEKKKSLWKKLNRERAGVGDSLSQRLKMCLSHSSRHKRVKSSGIV >ONIVA01G45090.1 pep chromosome:AWHD00000000:1:37722677:37724277:-1 gene:ONIVA01G45090 transcript:ONIVA01G45090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGAAVVRRLHAAQAQAQPPRLTKLALRAPKSVEVEFEDGSSFHLPAEFLRVYSPAADSKIRSVAGEKVIFGRRHVGIMSAESVGNYGVRILFDDLHKTGIFTWDYLHHLGSNKFGLMRSYITTLKKHGLTRDPQRRK >ONIVA01G45080.1 pep chromosome:AWHD00000000:1:37719817:37720930:-1 gene:ONIVA01G45080 transcript:ONIVA01G45080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQMSNLRLANFEFFTIIQPGSSRTKLKLPDEFARELEGEERELRDAKLLVAGVGRRRRGRMWDVKVVADDGGAYLGRGWLRFARAHGLRDGDLLVFRYDGAAAFTVTVFDDGTMCRRAYHDAAGSGSSSDDDGNDGRGEAAATSQFVVTLRQGNLGKKQAQYLLTNQPTGRRSPAAMAAAAAEQNVPVEFQEAHGYAAREKVVLRMRGRSWTVRLKHTKGRRPRRERAVLRYGWHRFCADNGLAVGDTCFFRALRSAGSGAGDVGDGDGDHVLSVTVHKADGGDPLE >ONIVA01G45070.1 pep chromosome:AWHD00000000:1:37718394:37718654:-1 gene:ONIVA01G45070 transcript:ONIVA01G45070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNISVKEWGLAGERELRVDHVGRRSSLELHMQGGEPCRCHKLLPSAAQDYVRKPHPLLVPLTLKGGDNPSLLAIGNLRTSGQSDL >ONIVA01G45060.1 pep chromosome:AWHD00000000:1:37713510:37717894:-1 gene:ONIVA01G45060 transcript:ONIVA01G45060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLILGLLWCLVHLVISFFGSLSHLKNDLECYLISFKLLPKYRNLHLERLAYLGVVVDSREAKNALKVKQLLRWFSTIGINYLILYDIEGVLKELIQPGIETSTDGNPINSLDVVADTKASCYRHGGMFMECLSSSDGKEAIAKAANLLYSTCCNSDNKSEIEFTEADMTHALKAVGTGGPEPDLLLVYGPGRFHLGFPAWRLRYTEIMYVGPLESMKYGTIVKALYQFSRKYQNYGGISLLID >ONIVA01G45060.2 pep chromosome:AWHD00000000:1:37713510:37717894:-1 gene:ONIVA01G45060 transcript:ONIVA01G45060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLILGLLWCLVHLVISFFGSLSHLKNDLECYLISFKLLPKYRNLHLERLAYLGVVVDSREAKNALKVKQLLRWFSTIGINYLILYDIEGVLKELIQPGIETSTDGNPINSLDVVADTKASCYRHGGMFMECLSSSDGKEAIAKAANLLYSTCCNSDNKSEIEFTEADMTHALKAVGTGGPEPDLLLVYGPGRFHLGFPAWRLRYTEIMYVGPLESMKYGTIID >ONIVA01G45060.3 pep chromosome:AWHD00000000:1:37713294:37717894:-1 gene:ONIVA01G45060 transcript:ONIVA01G45060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLILGLLWCLVHLVISFFGSLSHLKNDLECYLISFKLLPKYRNLHLERLAYLGVVVDSREAKNALKVKQLLRWFSTIGINYLILYDIEGVLKELIQPGIETSTDGNPINSLDVVADTKASCYRHGGMFMECLSSSDGKEAIAKAANLLYSTCCNSDNKSEIEFTEADMTHALKAVGTGGPEPDLLLVYGPGRFHLGFPAWRLRYTEIMYVGPLESMKYGTIVKALYQFSRKYQNYGNANLSCMLCWQLVSH >ONIVA01G45050.1 pep chromosome:AWHD00000000:1:37712728:37713018:-1 gene:ONIVA01G45050 transcript:ONIVA01G45050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWV4] MAAQALLSGRQLLGRPLQSSVSRSSSSRKAPFMVRAEATPPAKQGADRQLWFASKQSLSYLDGT >ONIVA01G45040.1 pep chromosome:AWHD00000000:1:37708185:37712068:-1 gene:ONIVA01G45040 transcript:ONIVA01G45040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G48330) TAIR;Acc:AT5G48330] MMGVVGMVAPELLGKLGLVPAETAIPWFQTGVIPPAGTYTYWADPYTLFVFELALVGFAEHRRFQDWYTPGSMGKQYFLGLEKYLAGSGEPAYPGGPLFNPLGFGTKSEAEMKELKLKEIKNGRLAMLAFLGFSVQALFTGVGPVQNLLDHLADPVHNNILTSLKNTWSKPEKVRGLENVQVRAVSACGVVSAAIGCDGSLWVWGRSRRGQLGLGKDIVEAAVPSRVEALANYDIVKVSFGWGHAMALTKDGGLLGWGYSENGRLGEIGQITQVSSAKELLGKTVDKYSSSMLEAVEKMVEEKIRSEDNMPIIWEPSLVHEVCHVEVSDVSCGLDHSLVLCSDGIVLSGGDNTYGQLGRKSAWSKFLPVDISHSPFSVSASVGHSLATCIISTEGDDHAETGVLSWGWNCSSQLGRPGKEDVPALVDGLNGERPVSASAGRVHSVALTSKGEVWAWGSGRNGRLGLGSSMDEPEPFLIDTLEGAEVSQVAAGMDHTLLLVAE >ONIVA01G45030.1 pep chromosome:AWHD00000000:1:37706573:37707186:-1 gene:ONIVA01G45030 transcript:ONIVA01G45030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAIIGPFVVSSWAQPNKPSIPNRFPGGGSHLSVTGLGGCGPHGERSGGAARAINRRRQRRRRRRRDGARGARRGGGEVVAARPLALRHRQRREPVLRGGGEAGAEPRAGGGRGAQGPRRRRRRRGRVTSLCAGEVIPACVETASLFELETSYIFAYLVSCFMKFSQ >ONIVA01G45020.1 pep chromosome:AWHD00000000:1:37694348:37695628:-1 gene:ONIVA01G45020 transcript:ONIVA01G45020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSPARDRGGGDDAEASEQPQPQPQPPLSPRAGGGEARGLVLACADLVHRGDLDGARRVAEAVLAVADPRGEAGDRLAHHFARALLALRGGGKGGHGGGGGGVVPSSAAYLAYIKIAPFLRFAHLTANQAILEAAAVAAADAGGAHRRVLHIVDLDAAHGVQWPPLLQAIADRADPAVGPPPEVRLTGAGTDRDVLLRTGDRLRAFSSSLNLPFRFHPLILPCTAELAADPTAALELHPDETLAVNCVLFLHKLGGDGELAAFLRWVKSMNPAVVTIAEREGVLGGDVDDDNVPDELPRRVAAAMDYYSSVFDALEATVPPASADRLAVEQEILSREIDAAVAAPGAGGGGRARDFDAWASAARAAGLAPRPLSAFAASQARLLLRLHYPSEGYKADDDGGRGACFLRWQQRPLMSVSSWQPQP >ONIVA01G45010.1 pep chromosome:AWHD00000000:1:37684588:37690280:1 gene:ONIVA01G45010 transcript:ONIVA01G45010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWV0] MGAGEGGEEQSLALTPTWVVAGVCFIIVAISLAAERLLHRLGKVLKFNGQEALFSALQRVKEELMLLGFISFLLSVFQKFINHICIPESAAHLMLPCITRETSETTEDASKLCKRKGEVPMLSEEALHQLHIFIFVLGIVHVVFCVTTLLLGGAKMKKWEKWEKEIQQGRTKERPKRPGWMKFIVVRCAISFLKQFYDSVGKPDYQVLRSAFVQRHYPNRPDFDFHKYMVRALEHDFKEVVGISWYLWLFVIVFLLLNINGGYPLNFSFASNAGWHTYFWLSFLPLILLLIVGTKLELISTRLAQEAADCPDEATGNPWTKPCKEHFWFSKPRIVLHLIHFILFQNSFEMGFFFWVLATYGFDSCIMENKIYALPRLAIGIIVQVLCSYSTLPLYAIVTHMGGDIKLQAFGETVHVSVHSWATDVRKKKAAPPPHSHLRIPFLMKRRHSTRGADDAADDAGGDVDHHHHHHGHHHHGHHHHEGSSAAAAAPDLEEIVATTSGGEDGHPPAPPPPPQGPRP >ONIVA01G45000.1 pep chromosome:AWHD00000000:1:37668531:37669713:1 gene:ONIVA01G45000 transcript:ONIVA01G45000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDIKFVLSLLLLSSLMLFVASDDTECLRTLQKSLVDPRGELESTWNFSGNGFNGFICSFTGVNCWNPSDSTVRSLHLVSLGLQGQFPEGLQGCSMIEDLNLSGPIPSNISLLVPQLSSLDLSYNSFSGPIPYLDIKRYQIYNRKNGISWYLLKDCKIARAKILVFP >ONIVA01G44990.1 pep chromosome:AWHD00000000:1:37657120:37657428:-1 gene:ONIVA01G44990 transcript:ONIVA01G44990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEKQWFHACTCAAGAPELPLKTIGSRIYEKTKGSGEEEQKGKRRRGRLDLEEWKDDDSGDHAWPVAPILMRPSCESGEASIGDEEEGWIWKSGREATR >ONIVA01G44980.1 pep chromosome:AWHD00000000:1:37653381:37656554:1 gene:ONIVA01G44980 transcript:ONIVA01G44980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGTAFFRFSAKRLTLSRLMRSGRPAASPRLQSTSMQSSHPWQYATESRGAGTVRCPNESLVCWYFLEGIDIYFENVGGPMLDVVLLNMRTHGRIAVCGMVSQNALTDPVKHYRDGKIVYVEDMSIGLENAPAAFVGLFSGKNVGKQAFPSVSLASMETAPTEDLDTAEVSAFFDRSDGTTNSICNFMGVICWNPDENRILGLSLGSLGLQGQFPRGLEHCTSLVRLDLSNNSLSGPIPSGISWQLPDLSSLNLSYNRFSGEIPVNISEMTYLYSIGLQHNKLTGSIPGKFALLSRLESFNVSDNLLSGPIPVALSKFSTSCFSGNQGLCGVPFDSCSTSYGDYSIGIIGAAVGFVVGFVGALYISHCLFFLRDAPALRLSHT >ONIVA01G44970.1 pep chromosome:AWHD00000000:1:37649540:37652183:-1 gene:ONIVA01G44970 transcript:ONIVA01G44970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKPPPSALVDNHVVPGDVVLDLTEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPNKYWVESSQKRYIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFEIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPSPSNVIVVSNAIIKSESLSGIGQRSMVESLLERLS >ONIVA01G44960.1 pep chromosome:AWHD00000000:1:37646231:37648630:1 gene:ONIVA01G44960 transcript:ONIVA01G44960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G65560) TAIR;Acc:AT1G65560] MEQQQAAPMARNRKVVLREYIAGRPPREDDMVLVDGGTVALRVPEAAAPAPAVLVKNLYLSCDPYMRGRMRDFHGSYIPPFKPGSVIEGLGVARVVDSTHPGFSAGDIVSGMTGWEEYSLIDRPEQLSKIQQSDIPLSYHLGLLGMPGFTAYAGFYEICSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKGKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRTHGRIAVCGMVSQNALTDPVGIHNIFCLVPKRIRMQGFIQSDHLHMFPQFVSDMAKHYRDGKIVYVEDMSIGLENAPAALVGLFSGKNVGKKVVCVSQELSQCTF >ONIVA01G44950.1 pep chromosome:AWHD00000000:1:37642651:37644765:1 gene:ONIVA01G44950 transcript:ONIVA01G44950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMLSLLVHLHAALLFVPEPAGAGAVYSVVRYGARGDGASDSTRPFLRAWADACRSPRPATVYVPPGRYLLGRATFVGPCSSRAVAFSIAGTVVAPAGYAWDGATAGQWITFESVVGLTVSGGTLDGRGDALWACKKQQPRGHCPTGASSLTISNARNVVVEGVRSVSSELFHVVVLQSRGVTVRRVTVEAPADSPNTDGIHIHKSTNVAVYDAAIRTGDDCVSVGPGNSNLWIERVACGPGHGISIGSLGKQQGMAVEAVQNVTVKTTWFTGTTNGLRIKTWGNSKRGFVRGVTFSDSTMAGVGNPIIIDQHYCPDGGCGGAARGSSSGIKISEVEYADVRGSSATPVAVSFDCSRSNPCSGIRLRDVRLTYQGKSGRLQAAGAVSSCRNAQGTASGLVVPPSCL >ONIVA01G44940.1 pep chromosome:AWHD00000000:1:37633657:37639411:-1 gene:ONIVA01G44940 transcript:ONIVA01G44940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWU3] MKRRISYHLANMAQALSLGLLLAFLAIQSCIAIELTDHIDLWPMPTSVSHGTQRLYVSKDITMSMEGSTYPDGKGILKDAFQRVVDLMKLNHVVDGANPSSFVLTGVNVVVHSPEDELKFGVDESYNLSVPTAGYPLRVQIEAQTVFGALHALQTFSQLCYFDFTSKLIELISAPWRISDTPRFPYRGLLIDTSRHYLPVTNVLHWHIVDAQSFPIEIPSYPKLWNGSYSFSERYTTSDAVDIVRYAENRGVNVMAEIDVPGHALSWGVGYPSLWPSDSCKEPLDVSNNFTFGVIDGILSDFSKVFKFKFVHLGGDEVNTSCWTATPHIKKWLDDNQMNVSDAYRYFVLRSQKLAISHGYDVINWEETFNNFGDKLDRRTVVHNWLGEDVAPKVVAAGLRCIVSNQDKWYLDHLDATWEGFYTNEPLKGIDDPEQQSLVIGGEVCMWGEQIDASDIEQTIWPRAAAAAERLWTPIEKIAEDPRLVTSRLARFRCLLNQRGVAAAPVAGYGRTAPYEPGPCNHKN >ONIVA01G44930.1 pep chromosome:AWHD00000000:1:37630176:37633507:1 gene:ONIVA01G44930 transcript:ONIVA01G44930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48390) TAIR;Acc:AT5G48390] MKISELSPEYRQPPPHAGLIADLSKAVSDVESFAASATAPEKLASDLRRILTSLASAASSSSFTESLSVQIWRLGTRLWNAVVDRANSAALAGGPAALAVEAEIRQAAPELLLLAGIPNGVPSAAAKVASFFHRSGLAWLDLGRVDLASACFEKATPLVSAAATEDRGVLLELNLARARAASDAGDQALAVALLSRSKPLAAASPEGAKSLAQGYLSIGEATLAAKHSNPAVEASTLFTEALDLCEKAASPSSSSPRTPPYGGATPKTPNLEGLKRRCLRFLALERLQAQDYEGVLRCIRVSRASMGLEEEHPSIGVMAMRAWIGSGNMAEADKELERLMANALATENLCVSAAEAYLAAAGPEAARKVLIALAARCRAGGAAAAVRVVKQVIDGGGGGIGRARAIAELVSDERVVALFDGPGNTHERGTMHALLWNCGTEHFRAKNYDTSADLIERSMLYVSRDEESRSRRADCFRVLSICHIALQHLDRALEFVNEAYKVEPNIKCAFLKVKINLQKGEEDEAFKQMKTMVGCVDFNPEFLTLTAHEAMSCKSFGVAVASLSYLLGLYSAERPMPMPEVAVLRNLIELLSREPGTEAEILKYSIRAKQRMADLGVESFFGSGIVGGRELNWFADLSWNMGLRASKEKKYNFGAEFFELAAEFFSSRNAECDENRSKVCKALIMAVTIMLNAEELNNSPLSDSDIKKGVEMLSRAGKLLPLISPSVPVASDQLEANNFLYLHTFNSYQLMGRMGTPAHPQQLQLIKNFASSKACTPANLLTLGVTASKGALPNMLAAEFSLKACITTALASQSPNYRVISCALRKLACLAGLQDLNGSKSDAAYDVFQQAYQIVVGLKEGEYPVEEGQWLVATAWNMSCLPLRLHQAKVARKWMKMGLDLARHLEGMKERIASMQTTFENLERVSGDEPDECSQEEAPKASISGSMSQPVLV >ONIVA01G44920.1 pep chromosome:AWHD00000000:1:37622082:37628397:1 gene:ONIVA01G44920 transcript:ONIVA01G44920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWU1] MVVPCMPLTLTVSRPLTGDQKLVSERGKFALGFFQPKAGGSTGKWYVGIWYNKISVQTVVWVANREKPVSDPSSSSLSILDDGNIILSHSNSTVWSTNSTNTGSSPMVAVLLDTGNLVIRQESNASSVLWQSFDDITDTWLPGNKLSLNKVTGVPERMISWKNLGDPAPGMFSVEIDPDGSNQYIISWNKSVVYWGTGNWTGSSFPNMPELSPANTYPNTPYTYKFVNNDKETYFTYNVTDDRVLSRHAIGVSGQTQSLVWVESAQAWVLYFSQPKANCGVCLKGFSIRDPNSWNLGDQTAGCRRNVMLQCGSKSSAGGQQDRFYTIGSVKLPDKSQSIEATSIHSCKLACLSNCSCTAYSYNGTCSLWHSELMNLQDSTDGTMDSIYIRLAASELPNSRTKKWWIIGIIAGGFATLGLVVIVFYSLHGRRRISSMNHTDGSLITFKYSDLQILTKNFSERLGVGSFGSVFKGALPDTTAMAVKKLEGVRQGEKQFRAEVSTIGTIHHINLIQLLGFCSEGAKRLLVYEYMPNGSLDHHLFGSSGVSLSWSTRYQIAAGIAKGLAYLHEKCRDCIIHCDIKPQNIFLDSSFTPKVADFGMAKLLGRDFSRVLTSMRGTIGYLAPEWISGEAITTKADVFSYGMMLFEIISGKRNGMHGGSFFPVLVARELVEGELHKLFGSESSDDMNLGELDRACKVACWCVQDSESSRSTMGEVVQILEGLVDVEMPPVPRYLQVLGQGVKSYEISSEMNQASN >ONIVA01G44910.1 pep chromosome:AWHD00000000:1:37617873:37618691:-1 gene:ONIVA01G44910 transcript:ONIVA01G44910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPNNITGRPYFVDVLQSIGHPIPATAVPDITLPVPPGERRTTRPESETVYLNFTPSPEMLYFSRRFAYAYITRTTAPADADDAASTIRDAIHAVLPGLQLDLLPPSYGAHKTVRFLTPDDREAAMEKQPFALGGGGEVKLVREGETSNVERVSLECVVHAALLDYPKEQRNEGDIGRNCGSFGLLMEVDPACYAAADMSPVRIVVNNKSPSEIPREIRIRYANDRIPPYIVSRHVVPVQILGIWVWDGSQSQYIDANGEKYVAMYNHAP >ONIVA01G44900.1 pep chromosome:AWHD00000000:1:37613282:37613725:1 gene:ONIVA01G44900 transcript:ONIVA01G44900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPFALVGDAAASAAAGGGASVKLVREGETSNCFRVRLDSVAHVALRHYPKDQRNHGDIERRCASFGHLLEVDPACYAAPDLSTVRVVVKNGSPREIPREIRIRYASDFRFWHVVPVQILKVWDKSLSFDANGEYVPIYTPAGAA >ONIVA01G44890.1 pep chromosome:AWHD00000000:1:37599213:37602391:1 gene:ONIVA01G44890 transcript:ONIVA01G44890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWT8] MAPRLSACLVFLLILVLSLQESPLHAADTLTAEQPLSADQKLISQDGKFALGFFQPAAGGSSSRWYIGIWYNKIPVQTVVWVANRDKPITDPTSSNLTILNDGNIVLLVNHSESPVWSTNIVNNTIASSPVAVLLDSGNLVVRHESNTSEVLWQSFDDFTDTWLPGNKLSRNKKTGVIKRMISWKDRADPAPGMFSIQLDPSGATQYILLWNSSSVYWASGNWTGNTYTGVPELSPTNSDPNSAYTFQFVDNDQETYFNYTVKNDAQLTRGVIDVSGHFQAWVWADAAQAWQLFFAQPKAKCSVYGMCGAYSKCSENAELSCSCLKGFSESYPNSWRLGDQTAGCRRNLPLQCGNNGSVKAKQDRFFMISSVKLPDMAHTRDVTNVHNCELTCLKNCSCSAYSYNGTCLVWYNGLINLQDNMGELSNSIFIRLSASELPQSGKMKWWIVGIIIGGLVLSSGVSILYFLGRRRTIGINRDDGKLITFKYNELQFLTRNFSERLGVGSFGSVYKGILPDATTLAVKKLEGLRQGEKQFRAEVSTIGNIQHINLIRLLGFCSEGAKRLLVYEYMPNGSLDHHLFQNNSAISSWKRRYQIAIGIAKGLAYLHDGCRDCIIHCDIKPQNILLDMSFTPKVADFGMAKLLGRDFSRVLTSIRGTIGYLAPEWISGESITTKADVFSYGMMLFEIISRKRNLTQTETRTEIFFPVLVARKLVQGEVLTLLDSELVDDVNLEELERACKVACWCIQDDESSRPTMAEVLQMLEGLVDIEVPPAPRYLQVLAEGAASKT >ONIVA01G44880.1 pep chromosome:AWHD00000000:1:37593324:37597260:-1 gene:ONIVA01G44880 transcript:ONIVA01G44880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKASSPNTPSACFALDAPAGKVRCSLGFVEPNRIRRFAMDEGRGREEEAAFGGMQHLFGEGPRESKLRGWKNPRANLPS >ONIVA01G44870.1 pep chromosome:AWHD00000000:1:37593123:37596970:1 gene:ONIVA01G44870 transcript:ONIVA01G44870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCQFSSCVLSMIVLILSIHENPLHAVDTLTANQPLSGDQKLISQDGKFALGFFQPAGMFSLQMDPSGANQYTLLWNNSIEYWASGNWTGDSFTGVPEMSPASAYPNSAYTFQFIDNDQEVSFMYNVTDDALLTRNVIDMSGQTQAWVWVDAAQAWVLYFSQPKLMCGVYGICGAYSKCSSNAVLSCTCLKGFSESPINGNPGNQTAGCRRNVPLQCGHGDSAKVKNQEGFYMIGGAHLPDKAQGTDAANVHSCWLAQNFLTQEGRNIGIGIIIVGLTVGLLVPCSKIIPDTATVAVKRLEGLCQGEKEFRAEVSTIGKIHHKNLIRLLGFCCGGSKKLLVYEYMPNGSLDQHLFGKSNLTLSWSTRYQIAPQNILINESLAPKVADFGLSKLIGHDFSRVLTSMRGTLGYLAPEWLSGQAITSKADVLSYGMMLFEIISGKRNIEHGASTSSSMLIAEEIPKGGEVHRLFDPELVGDANPEELARVFKVACWCIQNHPDCRPSMREIIQILEGLKPFETPPVPRYLKLLADGQDTLKKKPQN >ONIVA01G44860.1 pep chromosome:AWHD00000000:1:37583116:37587114:-1 gene:ONIVA01G44860 transcript:ONIVA01G44860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWT5] MAAARGGRRRRPWSSSSSCGSPFLMMLISYLLWLHREAAPSLAADTVTVGRPLSGGQVLVSRGGKFALGFFQPDNSSQRWYMGIWYNKIPDHTKVWVANRRAPLSDPDTSRLAISADGNMVLLDRARSPVWSTNVTTGVAANSTVGVILDTGNLVLADASNTSVVLWQSFDHFGDTWLPGGRLGRNKLTGEVTRLVGWKGYDDPTPGMFSLELDPGGASQYVMSWNGSSRLYWSSGNWTGGMFSSVPEMMASNADPLSLYTFNYVDGENESYFFYDVKGEVVLTRFVVDVTGQIKFMTWVDSAAQWVLFWSEPKAQCDVYSICGAFGVCAEDALPACSCLRGFHARQPRRWLQGDHTAGCARSTALQCGGGGGAQPAAQKTKSDRFFVMPNVNLPTDGVTAASASARDCELACLGNCSCTAYSYNGSCSLWHGDLISLRDTTGAGNGGGRSISIRLAASEFSGNGNTKKLIIGLVVAGVAAAVILAVVVTVLVRRSRRLKALRRVEGSLTAFTYRDLQVATKSFSEKLGGGAFGSVFKGSLPADGTPVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCTERTRRLLVYEHMPNGSLDRHLFGHGGGVLSWEARYQIALGVARGLDYLHEKCRDCIIHCDIKPENILLDDAFAAKVADFGLAKLMGRDFSRVLTTMRGTVGYLAPEWITGTAITTKADVFSYGMMLFEIISGRRNVEQGQDGAVDFFPATAARLLFDGDLKGAVDGRLAGNADMGEVERACKVACWCVQDSEATRPSMGMVVQVLEGLVDVNAPPMPRSFKVLGDPSNYVKFFSALPSIS >ONIVA01G44850.1 pep chromosome:AWHD00000000:1:37579453:37582690:-1 gene:ONIVA01G44850 transcript:ONIVA01G44850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25480) TAIR;Acc:AT3G25480] MPLAGMALLQLREHCSLLPNPLRPARNPRKNRLLPPNSAKVPGFSRISIHGAPPAPEAAILEERVEVDVDVEAAVVPWRGAFLFPVAAAVVASWPLPSLAAEAGGKVSLESIVVAIDDFNNRNPFFVAGAVFVWLVAIPLVQEYFKKYKAVSAIDAFRKLRDEPGAQLLDIRRGKSVRFMASPNLRLVEKSAVQVEFDEEDEEGFVKEVLARFPDPANTVVCVLDNFDGNSMKVAELLFNNGFKEAYAIKGGLRGPEGWQAIQEKYLPPSVHVVPRKKSKESEDSDVSADGTDDQLEVNGKLLATPNSSVVNTGNGAEDSTEKPNGSTSAIKRASRRPLSPYPNYPDLKPPSSPTPSKPER >ONIVA01G44840.1 pep chromosome:AWHD00000000:1:37570445:37574006:1 gene:ONIVA01G44840 transcript:ONIVA01G44840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSVPAPSGSVITIASASASAAANTAACGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVAIISILQRNLRQLQQDLARAKFELSKYQQAAAAAAAASASTGTNNGPHSMAEFIGNAVPNGAQSFINVGHSAALASVGGAAACFGQEQQFSAVHMLSRSYEGEPIARLGGNGGYEFGYSTSMAGGGHMSGLGALGGAPFLKSGIAGSDERQGAGQ >ONIVA01G44840.2 pep chromosome:AWHD00000000:1:37570975:37574006:1 gene:ONIVA01G44840 transcript:ONIVA01G44840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSVPAPSGSVITIASASASAAANTAACGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVAIISILQRNLRQLQQDLARAKFELSKYQQAAAAAAAASASTGTNNGPHSMAEFIGNAVPNGAQSFINVGHSAALASVGGAAACFGQEQQFSAVHMLSRSYEGEPIARLGGNGGYEFGYSTSMAGGGHMSGLGALGGAPFLKSGIAGSDERQGAGQ >ONIVA01G44830.1 pep chromosome:AWHD00000000:1:37553980:37555657:-1 gene:ONIVA01G44830 transcript:ONIVA01G44830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGSSKKGPPGRRTRKKLKFKPKVPPQKPRKSVPEKPNLEQSKPIDEELMKRLKEGTTKANWKKKPFHITRAVPLDAIPGNFLKASLAPLLWIIAWYIPQESHKNEQGDTRRMLLFQLPKSLPLPRISAAVERNGKARDKEVKEGFNLKELPQGYLGKMVVYKSGKIKMKLGDVMFDVNPGEECRMAQHVAAINTKEKHCCLLGEIESRHVVVTPDVDSLLLNDNRG >ONIVA01G44820.1 pep chromosome:AWHD00000000:1:37549539:37550762:-1 gene:ONIVA01G44820 transcript:ONIVA01G44820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEIHPGGGGGGGYYDSGSETEDDDDRYVFQSRRDEEEEGVNPASKRRRLEDILAETRGALPLPSPTPSSSGSEGTISDDHGDGIAGAAGAADAPVARVAFPCHVCSKEFGSRKAVHGHMRVHQADKDKEKEPSLHLALGWTTTGKRGANGNARAVTVAFAPMEQAVGDDDGDARAIVLAPAAQPQPQPMVVAEAANPPNQVADDNHRLPVPAAAPYVGAAAAPARRRARPKRNAGQGGPYRCSYPGCKGEYRTHQGLGGHVAGHINREKQAAAAAQGGSGGGLGGGARPEGNHPCKTCGKEFSTGVALGGHMRKHYDPKKKKKHAGLVLTLSVAPPTPAPAPSIAGAALPPAEVKADVDEHEAEQVPMAPVSPPAEARGNIVRIFGVDIEKPADEEEQEGGSDV >ONIVA01G44810.1 pep chromosome:AWHD00000000:1:37544827:37549319:1 gene:ONIVA01G44810 transcript:ONIVA01G44810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle subunit SRP72 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWS9] MPPKSKAAAAAAAAAAAQPVAVEDLFTTLHRHIEAGEFPQAVKVADQVLAAAPGDEDAVRCKVVAHIKSDAIEKALAAIRAAERLPIDLSYCKAYCYYRQNKLQEALDLLKGQEETSAILQLESQIYYRLGRMNDCMNSYEKLQKFKVESMDLKINIIAALVAAGRASEVQATMKAQKVDLSSRALRDTRSFELAYNSACSLIENKKYSEAKEQLDLAKRIGKEELMVDEYGDDDIEYELAPVSAQLAYVHQLQGQTQEAMETYNNITSRNLADPSSLAVATTNLISLKGTRDAADSLRKLSRLIEKSTAPNQLQLIESLESKLSPRQKEALYSAHVLLLLHANKIDQAHEFVSGLLGMFQDSTFPVLLQAAVHVREKKVQKAEEVLSQYAEKHPENSKGVLLSLAQIAASANHFQIAADSLSKIVDIQHMPATVATLVALKERLGDSNGASSVLDSAIQWWKNSMTEDNKLEVFMREAATFKLNHGRDEEACQLYEDLVKSFGSAEALAGLVATSARTNLEKAEQYEKKLKPLPGLKGINVESLEKTSGARPFEAPKPMEQDVTDEVKKQKAKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAQVRGAQGAVSRDGTSSASASSSSKANQATKAPAAAPEPPKGSNKSRKKKSRS >ONIVA01G44800.1 pep chromosome:AWHD00000000:1:37539708:37540037:-1 gene:ONIVA01G44800 transcript:ONIVA01G44800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYKAIKERRSRTYSLCSSDMSAARRFGRVGEEEEDVVAWEEQKQWAVDGGKFAGGEREMTAHRRHRSLEELAGEVGASPQWRQQGGLARGRSARIFSCISGM >ONIVA01G44790.1 pep chromosome:AWHD00000000:1:37536761:37539523:-1 gene:ONIVA01G44790 transcript:ONIVA01G44790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVDRLKLVKLKISQRDEEQCSRKKAYAMSAFAPPPPPTQLMVPVVGYGGGGGGGAGEGTTTAVRGSYGPVIAMLAVLAVLAAAAVAVGRLCFGRRVHLGQAAAGHDLEAWVERTCGPCVGARIFSTAGGAKEEGGEASAAPAEPPPPPPPAAAAEGTERGEDSGIVSGGS >ONIVA01G44780.1 pep chromosome:AWHD00000000:1:37531860:37532303:1 gene:ONIVA01G44780 transcript:ONIVA01G44780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAIRSSEPEFWFLIPSEKAAVAVAAHRLVVMDQRRSGSAHRPKRTHMAAAEDEHRRPGTSSRRRVAPTPTTQTQTQTAPGYFTVELVMAFVCVTASLVLLPLVLPPLPPPPSLLLVVPVCLLAVLVAMAFVPLDAQSNVVGSSCL >ONIVA01G44770.1 pep chromosome:AWHD00000000:1:37526412:37529707:-1 gene:ONIVA01G44770 transcript:ONIVA01G44770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;ATP binding;catalytics [Source:Projected from Arabidopsis thaliana (AT3G51270) TAIR;Acc:AT3G51270] MKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLAHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVKHRNAQMFFDRDIECIYKFFRKRFHLSSEKCEEQDGSDIDDDENSRPSFLSIQKAAGSLDKELAASGFTRKEQVEMDKYIDQNAEEESSDDDSTSQQDNEDGDDVAVKIGSLKIAEQDSAEVPDCTLASKDSSEPETFAKENETSTSCSGENNSINPSPSSNGDAKEPTESQDNDDSDDDSSDDPDGEDDDALAKQLNKQRKRTIAAAHGRRRPISSRNAYKYKGKGTMNSKIERQACKW >ONIVA01G44760.1 pep chromosome:AWHD00000000:1:37521991:37527800:1 gene:ONIVA01G44760 transcript:ONIVA01G44760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWS4] MARAEAAAALEFTPTWIVAAICSLIVLISLAAERCLHYLGKTLKRKNQKALFEALLKVKEELMLLGFISLLLTVSQGILQKTCVPPKWTNYLLPCRKMEDQSKQRGPSEAHFVAAGVLGHLGRRLLADGGTGADHCQNKGKVPLLSLEALHQLHIFIFVLAITHVIFSALTMLLGGAKIHQWKHWENDIQKDVAQNAPKKVTHVHQFEFIRERFKGIGKDSIILSWLHSFVKQFSGSVTKSDYITMRLGFIQTHCRANPKFDFHRYMVRALEADFKKVVGISWYLWIFVMIFLLLNVNGWHTYFWISFVPLLLLLAVGTKLEHVITQLAHEVAEKHSAIEGDLVVNPSDEHFWFGRPKVILYLIHFILFQNAFEIAFFFWILTTYGFNSCIMDHVPFILTRLIIGAIVQILCSYSTLPIYAIVTQMGSFFKKEIFDEHVQQGLVGWAQKAKKRKGLKESNGAMAGAGSTNGSSQPIFHSTNGEASRR >ONIVA01G44750.1 pep chromosome:AWHD00000000:1:37512215:37519299:-1 gene:ONIVA01G44750 transcript:ONIVA01G44750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWKRRDQREPSIERRPVILADTHARPSRAATATTAAAAIPPSHLLPDRRRSPPRRRRPPPRAAYRPPTASSSGKRNVFIAARSSGLGRSRIAQQCFQQHHICYPGVRRASVPNVRMLPAPGALVSRGVDSSLVPKTGNASEAGVIQLYRIPYLQESETIELLRQVQAKVSSNIVGIKTEQCFNIQLDNALTPEKLATLQWLLAETYEPDKLQAQSFLEEEISRSPYSVIVEVGPRMTFSTAFSTNAVSICKSLSLMEVTRLERSRRYLLCLDPGNGPLDESQLNDFAALVHDRMTECVYPNKLTSFHSDVVPEPVRIVPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPKTLFQLVKSPLKANPDNNSVIGFKDNSSAIKGYPANQLRPTIPGSTSPLSVMMRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRMEGSYAPWEDPSFSYPSNLASPLQILVDASDGASDYGNKFGEPLIQGFTRNFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVIGTIDGCGKIVLIDSAAMEHAKINGLPPPTPVEDLELEKVLGDMPQKTFEFKRVSLVSEPLDIAPGVTIMDALKRVLSLPSICSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPAKGLLNPKAMARLAVGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGNDGVLLHIDLGKGKRRLGGSALAQAFDQIGNDCPDIDDVPYLKKAFEAVQELLSQRLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSEDSGLLQALFAEELGLLLEVHLKDLSVVKQKLEAGGISANVIGQVTASPDIELVVDGRLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFTPKFTDEKLLTASSKPKVAIIREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEEYCGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGSSLGSGGDMSQPRFIHNESGRFECRFTSVSIGTSPAIMFKGMEGSTLGIWSAHGEGRAFFPDENVLASVVNSNLAPVRYCDDANNITEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKEWQLEKCGPSPWLRMFQNAREWVQDAGSSLTFWGRIAQTELRRDRVAMSLHEDPWMAFRPCIIRALAVSE >ONIVA01G44750.2 pep chromosome:AWHD00000000:1:37517769:37519299:-1 gene:ONIVA01G44750 transcript:ONIVA01G44750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWKRRDQREPSIERRPVILADTHARPSRAATATTAAAAIPPSHLLPDRRRSPPRRRRPPPRAAYRPPTASSSVSSGSRCPLLPLPNSKQSLRARERLAAPSSLLHLYWICRRRYGPGLIGSLHREKEMFSLLQGVLDWEGRELLNSAFSNIIFVIQSFSS >ONIVA01G44750.3 pep chromosome:AWHD00000000:1:37512215:37517761:-1 gene:ONIVA01G44750 transcript:ONIVA01G44750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAPGALVSRGVDSSLVPKTGNASEAGVIQLYRIPYLQESETIELLRQVQAKVSSNIVGIKTEQCFNIQLDNALTPEKLATLQWLLAETYEPDKLQAQSFLEEEISRSPYSVIVEVGPRMTFSTAFSTNAVSICKSLSLMEVTRLERSRRYLLCLDPGNGPLDESQLNDFAALVHDRMTECVYPNKLTSFHSDVVPEPVRIVPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPKTLFQLVKSPLKANPDNNSVIGFKDNSSAIKGYPANQLRPTIPGSTSPLSVMMRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRMEGSYAPWEDPSFSYPSNLASPLQILVDASDGASDYGNKFGEPLIQGFTRNFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVIGTIDGCGKIVLIDSAAMEHAKINGLPPPTPVEDLELEKVLGDMPQKTFEFKRVSLVSEPLDIAPGVTIMDALKRVLSLPSICSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPAKGLLNPKAMARLAVGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGNDGVLLHIDLGKGKRRLGGSALAQAFDQIGNDCPDIDDVPYLKKAFEAVQELLSQRLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSEDSGLLQALFAEELGLLLEVHLKDLSVVKQKLEAGGISANVIGQVTASPDIELVVDGRLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFTPKFTDEKLLTASSKPKVAIIREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEEYCGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGSSLGSGGDMSQPRFIHNESGRFECRFTSVSIGTSPAIMFKGMEGSTLGIWSAHGEGRAFFPDENVLASVVNSNLAPVRYCDDANNITEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKEWQLEKCGPSPWLRMFQNAREWVQDAGSSLTFWGRIAQTELRRDRVAMSLHEDPWMAFRPCIIRALAVSE >ONIVA01G44750.4 pep chromosome:AWHD00000000:1:37513697:37519299:-1 gene:ONIVA01G44750 transcript:ONIVA01G44750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWKRRDQREPSIERRPVILADTHARPSRAATATTAAAAIPPSHLLPDRRRSPPRRRRPPPRAAYRPPTASSSVSSGSRCPLLPLPNSKQSLRARERLAAPSSLLHLYWICRRRYGPGLIGSLHREKEMFSLLQGVLDWEGRELLNSAFSNIIFVIQVFEELQFLMSECCQLLVLWFPGGLTVHWFPKLLYRIPYLQESETIELLRQVQAKVSSNIVGIKTEQCFNIQLDNALTPEKLATLQWLLAETYEPDKLQAQSFLEEEISRSPYSVIVEVGPRMTFSTAFSTNAVSICKSLSLMEVTRLERSRRYLLCLDPGNGPLDESQLNDFAALVHDRMTECVYPNKLTSFHSDVVPEPVRIVPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPKTLFQLVKSPLKANPDNNSVIGFKDNSSAIKGYPANQLRPTIPGSTSPLSVMMRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRMEGSYAPWEDPSFSYPSNLASPLQILVDASDGASDYGNKFGEPLIQGFTRNFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVIGTIDGCGKIVLIDSAAMEHAKINGLPPPTPVEDLELEKVLGDMPQKTFEFKRVSLVSEPLDIAPGVTIMDALKRVLSLPSICSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPAKGLLNPKAMARLAVGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGNDGVLLHIDLGKGKRRLGGSALAQAFDQIGNDCPDIDDVPYLKKAFEAVQELLSQRLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSEDSGLLQALFAEELGLLLEVHLKDLSVVKQKLEAGGISANVIGQVTASPDIELVVDGRLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFTPKFTDEKLLTASSKPKVAIIREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEEYCGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGSSLGSGGDMSQPRFIHNESGRFECRFTSVSIGTSPAIMFKGMEGSTLGIWSAHGEGRAFFPDENVLASVVNSNLAPVRYCDDANNITEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKEWQLEKCGPSPWLRMFQNAREWCS >ONIVA01G44740.1 pep chromosome:AWHD00000000:1:37505899:37508780:1 gene:ONIVA01G44740 transcript:ONIVA01G44740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRRGRAAGGEGEAAAVVVMSRYDNNGHAAAAAAAAVAGGGGGGGNKAAGEVDGHEDDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPGIENLINKLDGGAAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPADTDRYHKTEISLCRVYKRTGIDDGHGHVSTARSSAHSRGGGAAPVQDNKQGSSSTSTPTPPPTPSKLHLLSSECTSPPAIVTDHAAMAAHKAPSPRHHQQQQQLHAAKPCGGYLQNSSMASAAGGDQQQQFQQDFAAALYQQYSKNTSGAFASTYSLLNLVNAASMGSSAAAIDELSSLVGHGTPSYINPAAGSHNYSQFLHLPTTPSSHQPTPAPLGTTTAAAAATLPMSLAAFSDRIWDWNNPIPEAGGRDYSTSTGFK >ONIVA01G44730.1 pep chromosome:AWHD00000000:1:37468581:37474829:1 gene:ONIVA01G44730 transcript:ONIVA01G44730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIRRHGGGRILRRSREEKRRLSEGGGGASQQPQGPAEDDYCPVIGSKINMDGISDQEVANAYSFVFSFDEALRSIKLEEGRLLIHIADLYEMKRSLDDKITSLKAAESPRSWRISIDEVAVVADSDGDADVNAEQLLHLLEHVGAIGENTLLFVGTASYLGWYRIMIERWKAARSELKHADDAPPLNTDGDHWHYRRRSREDEAAPFPPSDGFGTHGGRRQLRPCQKYKSGRIASRRRRGAEREQLPLENTSKDTHMLAAPAAAPDGTRPPTDMTQNSKSSAVSFVTKPLAAASARGSGTTPAMT >ONIVA01G44720.1 pep chromosome:AWHD00000000:1:37465817:37466059:-1 gene:ONIVA01G44720 transcript:ONIVA01G44720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADLLPLPASSSMSGLASGHWMCLHVPFTVGASVPFAPLIKPTCVEIATGAFAPERQTICNESSESSGRLHCSLVLSI >ONIVA01G44710.1 pep chromosome:AWHD00000000:1:37460351:37465115:-1 gene:ONIVA01G44710 transcript:ONIVA01G44710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGGGGGGGGGGGGGGGGAPYATRTAEEVFRDLRGRRAGMIKALTTDVEKFYKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDREARRRLFNMINNLPTIFEVVTGAAKKQAKEKTPNSSSKSNKPSSKVQSKAESRSKSKLSAPKDEEGSGDDEGEEEEDDHDNTLCGTCGTNDGKDEFWICCDNCEKWYHGKCVKITPARAEHIKQYKCPDCTNKRARA >ONIVA01G44700.1 pep chromosome:AWHD00000000:1:37454375:37455500:-1 gene:ONIVA01G44700 transcript:ONIVA01G44700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLKRGKITTPTPITFIYYEISKEGKDNNTNTNVTYSDIMQQEQFAVAEISRISDTKTLMEQNLCNLKKAIKSPSSDNLRRIVALTRRIGELEEDRTLHCS >ONIVA01G44690.1 pep chromosome:AWHD00000000:1:37445596:37452143:-1 gene:ONIVA01G44690 transcript:ONIVA01G44690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I peptide chain release factor [Source:Projected from Arabidopsis thaliana (AT1G33330) TAIR;Acc:AT1G33330] MARALLRITRSYCPLSPSPRLPPPLHHRGAAPPLRFRFLSLSSSSAPSSSAAAPANSSDGPGEKGGGGGGWDDYLGMSDDELMGQCEMGTFKASGPGGQHRNKRESAVRLRHRPTGIIAQAVEDRSQHMNRASALSRLRTLIALKVRKPINLEDYTPPVELLQILPLKSTIRGKDIGPQIGPNNSKFAPGMQALLDLLFAVEGSVSEAAKLLGLSTGAVSRLILSDDSLRAAANELRAAKGLKPLR >ONIVA01G44680.1 pep chromosome:AWHD00000000:1:37442167:37446146:1 gene:ONIVA01G44680 transcript:ONIVA01G44680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02500) TAIR;Acc:AT2G02500] MELRLCLRLHAPPASAPTTHPPPPLSPSPNLALRRLRTGGSCAVAPRRHARKWGSAVCAAKADGAQGEAVKERSVSVVLLSGGQGKRMGASMPKQYLPLLGLPIALHSLKTFCQLKEVKEVVVVCDPDYKDIFEGSIENVQIPIKFALPGKERQDSVYNGLQEIDGDSELVCVHDSARPLVSSEDVKKVLEDAIVHGAAVLGVPVKATIKEADSNSFVVKTLDRKTLWEMQTPQVMRPSLLRDGFELVKRDGLEVTDDVSIVEYLKHSVYITEGSYTNIKVTTPDDLLLAERLMNEK >ONIVA01G44670.1 pep chromosome:AWHD00000000:1:37434260:37440142:1 gene:ONIVA01G44670 transcript:ONIVA01G44670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSEGKRLHYKTLHPLSLSPPSWHCVLRHIQLSLSLSNHCVVSHRSVSRPPGRRRWRFRVGHLTKPRPRRSPAPVRAAGMAPTVGIKRSAAAVATQTISVPPPDARFAVREAVRATIASPPVEAPPAAAGKAAAPAPAVEGFLCLEEVDGRRWSYVVDGGQGKGKGRGRGRSGAAVPMGASVRAVPLQSPLPPAEHFFGGAMGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSSDLLLEIGAGIELATAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLLGTGLSIFISKRNPSLVTSFAFLSCGYLWSSYHEVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPIAIGSRFGEAFQEPASFVAIRPLFEDERYMVTYNPAKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHARKQMNSRRSDPYGNPCNMDFMAHIAESCKIVSSSYGTFKKKAREQGWIMSESLLNPGKARLCPARP >ONIVA01G44660.1 pep chromosome:AWHD00000000:1:37427071:37428374:1 gene:ONIVA01G44660 transcript:ONIVA01G44660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGAALLLLVCAASLRYSTAPKKLLSGGVSIEEPRAEECDICGGHGHVLWHAQAREIAGPTSAAPANTSAAAAAM >ONIVA01G44650.1 pep chromosome:AWHD00000000:1:37419630:37425425:-1 gene:ONIVA01G44650 transcript:ONIVA01G44650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease [Source:Projected from Arabidopsis thaliana (AT5G49840) TAIR;Acc:AT5G49840] MFRTFSKLREAAAPLTAAAVRRCCSGGGGGRIRAEANCPRCAAHMSVQFSLQPLSTPPPAAAAGGGGGDGSQSHHHDGASVCPACSAAFLFRAHRIEPLRGAFLEIPPGVGGEDEDAAREGFADRIRRMISERPPDDFPMPPPPLPPMQHVPPRQRRTPRRRLREDGGGGGGGSSGDSSGGEGTSAAPKREWWGGATLGEELPTPREMCRRLDEFVIGQGKAKKVLSVAVYNHYKRIYNATVQKGCSTNSGWLDAASDDQNNIEIDKSNVLLMGPTGSGEDVESILQKLLVAAEYNVQAAQQGIVYIDEVDKITKKAESVNVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRNESIQNLMLSQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSEVTDPMVTSSLLESVESGDLARYGLIPEFIGRLPILVSLTALNEDQLVQVLTEPKNSLSRQYRKMFSLNKVKLHFTDGALRIVAKKAIARNTGARGLRAILESLLLEAMYEIPDEKTGSERVDAVVVDEEAIGSIDRPGCGAKILRGDGALEQYITNTNMKNSMVHETGVFI >ONIVA01G44650.2 pep chromosome:AWHD00000000:1:37419630:37425425:-1 gene:ONIVA01G44650 transcript:ONIVA01G44650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease [Source:Projected from Arabidopsis thaliana (AT5G49840) TAIR;Acc:AT5G49840] MFRTFSKLREAAAPLTAAAVRRCCSGGGGGRIRAEANCPRCAAHMSVQFSLQPLSTPPPAAAAGGGGGDGSQSHHHDGASVCPACSAAFLFRAHRIEPLRGAFLEIPPGVGGEDEDAAREGFADRIRRMISERPPDDFPMPPPPLPPMQHVPPRQRRTPRRRLREDGGGGGGGSSGDSSGGEGTSAAPKREWWGGATLGEELPTPREMCRRLDEFVIGQGKAKKVLSVAVYNHYKRIYNATVQKGCSTNSGWLDAASDDQNNIEIDKSNVLLMGPTGSGEDVESILQKLLVAAEYNVQAAQQGIVYIDEVDKITKKAESVNVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRNESIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSEVTDPMVTSSLLESVESGDLARYGLIPEFIGRLPILVSLTALNEDQLVQVLTEPKNSLSRQYRKMFSLNKVKLHFTDGALRIVAKKAIARNTGARGLRAILESLLLEAMYEIPDEKTGSERVDAVVVDEEAIGSIDRPGCGAKILRGDGALEQYITNTNMKNSMVHETGVFI >ONIVA01G44640.1 pep chromosome:AWHD00000000:1:37417162:37419232:-1 gene:ONIVA01G44640 transcript:ONIVA01G44640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGRRGSSRWGLPVVRSEALGKLGPSFGIGAGCGVGVCFGLIGGAGIGAGFPGLQLGLGAGAGCGIGIGFGYGFGKGIAYDENGRYSNIRRSFQNSRNLPYDEQFDILFDEMMESTRKLIKATSKEIDKWRRM >ONIVA01G44630.1 pep chromosome:AWHD00000000:1:37415581:37416001:1 gene:ONIVA01G44630 transcript:ONIVA01G44630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSRTWRMLAGRSNSGSKSCHTNSSGERGKGRTWCRGSRLAEGCAHTVKHEPEILGGGGGGREEEPALGKKGGGASGGEDICTCTGEKRRRRRWIRLKAAAPTDAVRGGGGAGVRGERRRRSLREEDEALAAQP >ONIVA01G44620.1 pep chromosome:AWHD00000000:1:37408239:37413448:1 gene:ONIVA01G44620 transcript:ONIVA01G44620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIPPPSPLQYSPSPVHSSPHPLSSLRYSSSERERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSLPPNHNFVDPERIEHGSPLRLPGLPVNGQPMDLEGWSGMQTENMRVLQASSMGWNGPPAITGTPVVKKVVRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEASTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEPLHVLVEAEFPADIIDTRLNQAVTILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGR >ONIVA01G44610.1 pep chromosome:AWHD00000000:1:37399998:37404313:1 gene:ONIVA01G44610 transcript:ONIVA01G44610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G09960) TAIR;Acc:AT4G09960] MGRGKIEIKRIENKTSRQVTFCKRRNGLLKKAYELAILCDAEIALIVFSSRGRLYEFSNVNSTRSTIERYKKASASTSGSAPVIDVNSHQYFQQEAAKMRHQIQTLQNANRHLIGESIGNMTAKELKSLENRLEKGISRIRSKKHELLFSEIEYMQKREADLQNENMFLRAKVAEPERAEHDDQQAAEDDEMAPAPAVGGGSSSGTELEALPATFDTREYYQPAPPVSMLAAAAAAAAAQYSSDHHQTALHLGYFKVDSGKGGLL >ONIVA01G44600.1 pep chromosome:AWHD00000000:1:37390799:37393368:-1 gene:ONIVA01G44600 transcript:ONIVA01G44600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALTPNSGGSSCLPARRTPPPLAAAGEAGSLAAGPGRWCSWRRRQPAERWPKLAVSASGRKSKGGRDEGGGDEPKKNKAASSSSSGKGDASAPSGDVSNNELQSNDIMYVPGNLSYWRDVRASFVVPKVQTVDAHTLPQAATDAPVHCLPRKWAHSIPMPESGCVLVAAEELDGNGTFERTVILLLRLGSRDAYDGPFGVILNRPLYTKMKHVNPSFRNQATPFSDCSLFFGGPVDMSIFLMRTTDDRPIKGFEEVSPGVCFGFRTDLEKASALLKSGAVKPEDLNFYVGYSAWDYDQLLSEIDQGYWHVTSCSSGLISDSLATDPSCLWTEILKLMGGQYAELSQKPKEDGS >ONIVA01G44600.2 pep chromosome:AWHD00000000:1:37390801:37393368:-1 gene:ONIVA01G44600 transcript:ONIVA01G44600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWALTPNSGGSSCLPARRTPPPLAAAGEAGSLAAGPGRWCSWRRRQPAERWPKLAVSASGRKSKGGRDEGGGDEPKKNKAASSSSSGKGDASAPSGDVSNNELQSNDIMYVPGNLSYWRDVRASFVVPKVQTVDAHTLPQAATDAPVHCLPRKWAHSIPMPESGCVLVAAEELDGNGTFERTVILLLRLGSRDAYDGPFGVILNRPLYTKMKHVNPSFRNQATPFSDCSLFFGGPVDMSIFLMRTTDDRPIKGFEEVSPGVCFGFRTDLEKASALLKSGAVKPEDLNFYVGYSAWDYDQLLSEIDQGYWHVTSCSSGLISDSLATDPSCLWTEILKLMGGQYAELSQKPKEDGS >ONIVA01G44590.1 pep chromosome:AWHD00000000:1:37388864:37389439:1 gene:ONIVA01G44590 transcript:ONIVA01G44590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAECGGGGGGGEEEERCRYRGVRRRRWGKWVSEIRVPGTRERLWLGSYATPEAAAVAHDTAVYFLRGGAGDGGGGGATLNFPERAAATYGGGVARLSPRSVQRVASDAGMAADAQLVAARDAAPAPAPATAYARPDHCAGATTARHDELARRGMYGAHAHAAGANARTSGERQLVCAEEISVDDMEILM >ONIVA01G44580.1 pep chromosome:AWHD00000000:1:37382878:37383945:-1 gene:ONIVA01G44580 transcript:ONIVA01G44580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSSTSAHAGERPPAPAPFGPLPVLVYDHGLDPVSRRHTVVRIAAAGGGAGNTIALETTHVVVPELTSNDYHVTPHGWVFLSEPAAAGTTRRTRLWNPTTGESVELPRMEQLLPANWKCYLSDDPTAASCVVLVLAMSEPSLLYCHVGATAGGGWVAHDYDIGDVGLPPSYAPPRRQFISQIAAVNGRFYFADTGKLGVLEFTPSPEFSYLDYPHIEFPEGSNFAKSFLVASHGELFDVYVFFKGFTPEILAVRVCAIDLGSAGDERPAFREVGDLGDRALLLGDANAALLCSASAHGVKGNRVYFMHNILEEPDGGPPRVYDLGDGSMETVRPCPGVSELMCKPFWVMPSAT >ONIVA01G44570.1 pep chromosome:AWHD00000000:1:37379780:37382251:1 gene:ONIVA01G44570 transcript:ONIVA01G44570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWQ0] MADNPPLIMLLAFLFSALLLLSPAHGGSRLQRGESLSVERASDILVSSNGVFAFGFYNLSSTVFTVSIWFAASAGRTVAWTANRDRPVHGAGSKLTLRRDGRLVLADYDGTPVWQTNSSSGAAAAAELTDSGNLVVTSHGGDVLWQSFDYPTDTLLPGQPVTATARLSTTDVLHPTSHYALRFDDRYLLSLAYDGPDISNIYWPDPDASSWFNGRISYNASRRGVLDDAGRFLASDNTTFVASDTGAAAGGVTWRRLTLDHDGNLRLYSLRDADGGWSVSWMAFSQPCGIHGLCGWNGLCVYTPRPACSCPPGYVPADAGDRGKGCRPTFNLTCGGGGGRPEMGFARLPQTDFWGSDLNLFSSISVDGCKAACLELCNCVAFEYKDDVSDCYLKSALFNGKTYPGYPGTVYLKLPANLVAESDTYTAAPAAAAAVNLACDAARTEEVLLSFSAASPDTSSWRYYYGFLSAFFAVELCFIAFGWWFTARSRPATSEQWAAEEGYRVVTDHFRRFTYGELRKATKNFKDVIGHGRYGSVYRGVLAGAGDDRAVAVKKLKAATPQRGDDEFETEVSVIGRINHMNLVRIRGVCSERHRRRRLLVYEYVDNGSLATWLFGAKETLNWNQRYNIAVGVAKGLAYLHHECLDWIIHCDVKPENILLNEDFEPKISDFGLAKMQQRRDLDDPASFSIRGTRGYMAPEWVSSLPITEKVDVYSYGVMLLELVRGARMADLATDSVGDAEIAMRQLVWKIREGLKIGDRTWVISLVDRRLNGSFVYSQVALMLEVATSCLEKERNQRPSMNDVVKKFYTSDKKVEFIGEMSS >ONIVA01G44560.1 pep chromosome:AWHD00000000:1:37373967:37379269:1 gene:ONIVA01G44560 transcript:ONIVA01G44560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10030) TAIR;Acc:AT4G10030] MAAAAARVLSAEPRRRDPVAVGVPPWRLSPGSRVRAASRARQENVRRGQLISTNIKSRPLLCPPCRGAQMALANTRIAYQPDVQKHSGVLAYELVQGSLVQWNSFMDKSVPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASIKKRGPHTVASTALDVLKLIVQLRLTPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIESLRRMPMQVSSKQEVVDALVKEQFSVDVARWVATNLRRSSPLGSLSSSSFSWIFDLNGISDMYKSYEETNLWGIVENVPRGVHINFLKAERSLHRWALDDLQRIHTAEELAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSSTFRIEATIRGMQN >ONIVA01G44550.1 pep chromosome:AWHD00000000:1:37370749:37373551:-1 gene:ONIVA01G44550 transcript:ONIVA01G44550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVEEEEEEEEEEEAEASRKKGERGLGEGECGAPSSKKRDPELELRMKQKAAEWHRKAREETLKEIAKEMAKYPNEDWSDTPGVKAREYREDWEYRWSAIFGPYDTISPRPPMRYTHRKDDPMPRHISVRHTLQIISVKIKGIRGGLQWPINVFGLIAARDTIDRNRIMIFNRTRDNCQTITKEDRYLLLTGPTRAVVVSDPVYFEAQLKVKGSVESEDKDLSFLAVPLTGASDRGETRLVNREYTSRLSTLELTFGFVVESLEASISVRIIDGSWQDGFRGAFTAHTPSLKDNKVLLLDSGYCEMVPVTADRMIKLSRHVVSVEGEGDLTVSVLALGTDNVIEDEKDFTPKEAGMSQSSLDVGFCKLEVTVNWSLLSLLPDGYT >ONIVA01G44540.1 pep chromosome:AWHD00000000:1:37356610:37359901:-1 gene:ONIVA01G44540 transcript:ONIVA01G44540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVNQTFFAWSQGEPTERDGSQGVSVSQKIDHGSISFGRFELESLSWEKWSVFSDDKRHEEFGKFNGLVAQKKAYFEEYYRKIRELKAQQQNQQTELILEYSGDGSDSSQTGEYTQGAELETPTGSGTIVDDYVEQGAHETTSEQGLTCYDDHENENFNAEFSSSNISSSAVGLQQTGRDARENVHGDDSAGKMDLEQQNAISGHSLGTAYEVVRAPKRIIEKDSRLRYAPKIVPKSVKTSSDSPLDRTSVSKRPDSLKLGMSINQKAKTDNDRLLRGPNVAPHKMSGSTERNKLTTKQTGVRRPSSASSQRPSVGERHRIARESIKKPADVSTPRRPSTAERHPVTTECARKQADVDTPRRPSTSERRAVNKGSADMTTTHRPSTGERRSVTRESVLKMDVRTPSKTRPTMTQLKGATTTVQYQRTGRTFESGQTLCWVKNYGPANCWQTEIKLCQPSSTETIEFQYWRTSTANLYKAKKERCSSAISSIYIEESNDFAHWKRKGEEFKWFHQHQNGIDAKGDFHSTYSPAQMMDLRQVAPLHES >ONIVA01G44530.1 pep chromosome:AWHD00000000:1:37349919:37351112:-1 gene:ONIVA01G44530 transcript:ONIVA01G44530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTREAADAFGVATITLFAIFAVLGLFCIFQSIYFRFRIRRGAFIPLGYFNGPWVTRIVLILITIWWGVGEIVRLSFLKRKLFSSILLQKGVCDAYILSNLGFAEPSIFFAFAFLLHGSLQKRELGTLNQRWNWKTIGYMLVFCVPVFFVQALLVFVGPTFVKDENNTHGRKKIAKYFIRTSMPVGDTNICTYPLFGTIFLGLIDAILMSYVSYVGSRVLSLVINKALRRRVSLLMLSVLFFLPIRVLLLGFSVLPQPGDVAFEVIIFLSFLMMISCTTVGVLLLVYYPVADSLALREIGQREITEMVPYDDYYYEGASLVANQSFREIERNSDTSTKRGSISFRTMIREDQLQQDGVDEIGFSSRSGAHIGSPSPSGSSPSAAMPMLPLKEVPRY >ONIVA01G44520.1 pep chromosome:AWHD00000000:1:37344893:37347727:1 gene:ONIVA01G44520 transcript:ONIVA01G44520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSDAPPGDAAAGEKIFRTKCAYCHAVDKAAGHKHGPNLNGLFGRQSGTAPGFSYPSGDKIVPVIWEENTLYDYLLTPKKYTPAKMGFNGLKQPQDRADLIAYLKNATA >ONIVA01G44510.1 pep chromosome:AWHD00000000:1:37338672:37341684:-1 gene:ONIVA01G44510 transcript:ONIVA01G44510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family [Source:Projected from Arabidopsis thaliana (AT1G22850) TAIR;Acc:AT1G22850] MRQPHLLSPQQLSPSPVLSSHFSPPAPGGSPAWRRGRHHHHRRAFHPPLSSLRDPDKATLRKASPNVPFRLGGGGGGQGGGSSKDRRPAADQEEEEEEEEAAEDKGDGGAGAITGTLLAGALLVGVVGGFGAAGYVYKDQINTFLTQFSGFIDGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSITGTVIVSISGTLAAAVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGSWAYVSAGAFGRAIIQDESEIGLGGNSQLLTLGIGLLFTAIAATYVTRLAKDAVKEIDE >ONIVA01G44500.1 pep chromosome:AWHD00000000:1:37336879:37338432:1 gene:ONIVA01G44500 transcript:ONIVA01G44500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGKGLVACNAAISRCSRAGLHRRALALFTEMRARGLRADEYTLPPILNSAALLRAPPAAALHALLLRAGLASHLHVANALVDAYAKLSRLGAARAVFDEMPRRDVVTWTSLVTGLARAGSHGLAVRAYRDMVAAGVATDEFAVAAVLSSCAGSTALELGRSVHAAAVRSGHEPFLSVGNSLVSMYAKTGSLRDARTVFDAMRSRCAITWTALIVGYAQNGRGRESLAVYADMARSGCRPDYVTFVGLLFACSHAGLLDAGRAHFQSMQSEHGISPGPDHYACMVDLLGRAGRLGEAVDLLDRSPAAELDATVWKALLAACRTHRNAALAERAAGMVWRLDPTDAVPYVMLSNLYSRARRWGDVARVRALMRSRGISKEPGCSWVVVAGVTHVFHAGDRDHQRAAEIYRKAEEMAARIRARGHVPDTEWALQDEAPEGREMGLAHHGERLAVAFGLLAVPAAAPIRVYKNLRVCGDCHAAIKMVAEVYGREIILRDSNCFHHMKDGSCSCGDYW >ONIVA01G44490.1 pep chromosome:AWHD00000000:1:37333024:37334874:-1 gene:ONIVA01G44490 transcript:ONIVA01G44490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEAADLNDWELLLASPTAADEAAAAETRDGGGDDEAGAIKYDYFELGSDVKYPERVSFSKELEEEGEGEEEEGVASGNASWVEPDPDDLVFPGPDRAALWSDSSDDGERREEAEATEPLPVEAAAAEVEAGEGAVTKGGGAGAGVVRWWHLPMGVLRAWALRAARSVWSLPVAVALLGIAVLGRRLYRMRRQSKAVARVRLVLDEKVRPLAAFPAMPLPWKASQFKAQASRLNESFPMARRAPIMKPLLPANGVTPWPVLGHI >ONIVA01G44480.1 pep chromosome:AWHD00000000:1:37314921:37325790:-1 gene:ONIVA01G44480 transcript:ONIVA01G44480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKQKVEDMAEELCFVCKDGGDIRVCDFKNCLKGYHPHCVGKEESFLDSAEQFICELHKCVSCKRNSEYHCLCCPSSSVCGECLGKVEFAQFRKQSQTKGLCNNCLKLALFVEKNSEANSDGETVEFRYTENYLVLFKDYWETIKDNEGLALIDLQQANICLRTSLSCKQGRDSEKPPDEDYRADKNSLSVNDGAEQPFPVDVKVQPSEAKMSLKRKKSNKKTYVGWGSKELIEFLSCIGKDTTKPLDEFILTGVVKEYIQQKNLFKDRKRKSVICDDKLHSLFRKRKVKSNMILNLLEIHLAANAASEDDFLDDSEDDEGRIMRKRPCNTLKAAETSERDPKRNKKCFAALNHNNLKLIYLRRTLIMNLMGQDTFEQKVVGSLVRVKNDDNHYSYQMPKKHYQLGLVTGIRKSPQEYKIKDKRTDILLCVSNLWDDIKISMLSEEDIEEDECNDLLLLAKKGLFKRPTVADLEEKAASIHVDIVNHWIDRELMRLEKEIERAHEKEVPEAIPDTEESKRDEFGVAASNHLEENKGATGHVPNSVKVLMEDSRGATGHIADSVKVLMEGLPGGATARVADVFNVDMAKSQDASGQVTDYLEVVEEETPEDASGQVADILEVVEEEPPEDASGQVADILELVEEETSEDASGQVASILEVVEEETPKAPGKDLCNGGIPGSGLQNKMHNAQDGGTAQGSDMCNGGNTSRRLNDRKSVIVIDSDSDEDEDPHPEQHEPERAAPRAAMDVVMAPTHGAPVAMNGTSAPTLPCAKRGKNGTTAPKGRVPAIAALHALQSMNAPGEHEYIWNYADPQGKVQGPFTMEHMRNWHRNRFFPPDFRVWRLGQTQNDSVLLTEAMGLKFSS >ONIVA01G44480.2 pep chromosome:AWHD00000000:1:37314921:37325790:-1 gene:ONIVA01G44480 transcript:ONIVA01G44480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSKQKVEDMAEELCFVCKDGGDIRVCDFKNCLKGYHPHCVGKEESFLDSAEQFICELHKCVSCKRNSEYHCLCCPSSSVCGECLGKVEFAQFRKQSQTKGLCNNCLKLALFVEKNSEANSDGETVEFRYTENYLVLFKDYWETIKDNEGLALIDLQQANICLRTSLSCKQGRDSEKPPDEDYRADKNSLSVNDGAEQPFPVDVKVQPSEAKMSLKRKKSNKKTYVGWGSKELIEFLSCIGKDTTKPLDEFILTGVVKEYIQQKNLFKDRKRKSVICDDKLHSLFRKRKVKSNMILNLLEIHLAANAASEDDFLDDSEDDEGRIMRKRPCNTLKAAETSERDPKRNKKCFAALNHNNLKLIYLRRTLIMNLMGQDTFEQKVVGSLVRVKNDDNHYSYQMPKKHYQLGLVTGIRKSPQEYKIKDKRTDILLCVSNLWDDIKISMLSEEDIEEDECNDLLLLAKKGLFKRPTVADLEEKAASIHVDIVNHWIDRELMRLEKEIERAHEKEVPEAIPDTEESKRDEFGVAASNHLEENKGATGHVPNSVKVLMEDSRGATGHIADSVKVLMEGLPGGATARVADVFNVDMAKSQDASGQVTDYLEVVEEETPEDASGQVADILELVEEETSEDASGQVASILEVVEEETPKAPGKDLCNGGIPGSGLQNKMHNAQDGGTAQGSDMCNGGNTSRRLNDRKSVIVIDSDSDEDEDPHPEQHEPERAAPRAAMDVVMAPTHGAPVAMNGTSAPTLPCAKRGKNGTTAPKGRVPAIAALHALQSMNAPGEHEYIWNYADPQGKVQGPFTMEHMRNWHRNRFFPPDFRVWRLGQTQNDSVLLTEAMGLKFSS >ONIVA01G44470.1 pep chromosome:AWHD00000000:1:37310324:37316876:1 gene:ONIVA01G44470 transcript:ONIVA01G44470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWN9] MSTKKRARWDPPPEEENGGPTRQSAQAGTTALRDCRWGPRVSGGEQLLPSCSRIRAPRAPGLPYASPYLQMGPTLSLSLLDSISNFRVLSSSNASKTELVKKYCQTMDGILDHLEVALNRAFPQITPDGELSKVLEELGATINEATELVGGWNQMMSKIYFVIQADSIIAKMQIYVFELCQIVNSLMQIESMHLEDLEHDSCGKISDVIREASRALAGEVMPNSEEFGKIQTTLSLSTNQELLMEYVALVKVKTKGNHEDNKEMDDINDIVELVNHMLDKHVEEKQTRSIDGVTIPADFCCPLSLELMSDPVIVASGQTYEHVFIRKWFDLGYNICPKTRQILGHTKLIPNFTVKQLIENWCEVHGIMLPDPVKLLSLCFPVSLNITDGSASADKSGSPEHCQLVAALHPKAQCASDDSHHYNLMHENSDSDDRVSSFGDTDDSEPDSLRLSTETTAANKSLLDEKTDRSDGLKQLRDNGFQVSDEEQYLERNGKSHISSHHQLEVDGENVRVQASSDINASEVMQDDPVTTCSKVSDNPPRLGGVRSRNQPNWWRQSNKTIPRIGLSSSTDSKPDFSGNDAKVRNLIEELKSDSAEVQRSATGELRILSRHSLENRIAIANCGAIPFLVGNPEAKANSAATLFSLSVIEENKIKIGRSGAIEPLVDLLGEGTPQGKKDAATALFNLSIFHEHKTRIVQAGAVNHLVELMDPAAGMVDKAVAVLANLATVHDGRNAIAQAGGIRVLVEVVELGSARSKENAAAALLQLCTNSNRFCTLVLQEGVVPPLVALSQSGTARAREKAQVLLSYFRNQRHVRVGRGPMASVSRTESFCVWPNLHTLKSGGKKRFRCQFRICSITQECHHYKGLYQKKYLRRRQRHRITFWRFLFNNLQDISYLS >ONIVA01G44470.2 pep chromosome:AWHD00000000:1:37310324:37316876:1 gene:ONIVA01G44470 transcript:ONIVA01G44470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWN9] MSTKKRARWDPPPEEENGGPTRQSAQAGTTALRDCRWGPRVSGGEQLLPSCSRIRAPRAPGLPYASPYLQMGPTLSLSLLDSISNFRVLSSSNASKTELVKKYCQTMDGILDHLEVALNRAFPQITPDGELSKVLEELGATINEATELVGGWNQMMSKIYFVIQADSIIAKMQIYVFELCQIVNSLMQIESMHLEDLEHDSCGKISDVIREASRALAGEVMPNSEEFGKIQTTLSLSTNQELLMEYVALVKVKTKGNHEDNKEMDDINDIVELVNHMLDKHVEEKQTRSIDGVTIPADFCCPLSLELMSDPVIVASGQTYEHVFIRKWFDLGYNICPKTRQILGHTKLIPNFTVKQLIENWCEVHGIMLPDPVKLLSLCFPVSLNITDGSASADKSGSPEHCQLVAALHPKAQCASDDSHHYNLMHENSDSDDRVSSFGDTDDSEPDSLRLSTETTAANKSLLDEKTDRSDGLKQLRDNGFQVSDEEQYLERNGKSHISSHHQLEVDGENVRVQASSDINASEVMQDDPVTTCSKVSDNPPRLGGVRSRNQPNWWRQSNKTIPRIGLSSSTDSKPDFSGNDAKVRNLIEELKSDSAEVQRSATGELRILSRHSLENRIAIANCGAIPFLVSLLHSTDPSTQENAVTILLNLSLDDNNKIAIASAEAIEPLIFVLQVGNPEAKANSAATLFSLSVIEENKIKIGRSGAIEPLVDLLGEGTPQGKKDAATALFNLSIFHEHKTRIVQAGAVNHLVELMDPAAGMVDKAVAVLANLATVHDGRNAIAQAGGIRVLVEVVELGSARSKENAAAALLQLCTNSNRFCTLVLQEGVVPPLVALSQSGTARAREKAQVLLSYFRNQRHVRVGRGPMASVSRTESFCVWPNLHTLKSGGKKRFRCQFRICSITQECHHYKGLYQKKYLRRRQRHRITFWRFLFNNLQDISYLS >ONIVA01G44460.1 pep chromosome:AWHD00000000:1:37300923:37302573:-1 gene:ONIVA01G44460 transcript:ONIVA01G44460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQDLQLPPGFRFHPTDEELVMHYLCRRCAGLPIAVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGSPKPVAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGGLEKPPAAAVAAAGMVSSGGGVERKPMVGVNAAVSSPPEQKPVVAGPAFPDLAAYYDRPSDSMPRLHADSSCSEQVLSPEFACEVQSQPKISEWERTFATVGPINPAASILDPAGSGGLGGLGGGGSDPLLQDILMYWGKPF >ONIVA01G44450.1 pep chromosome:AWHD00000000:1:37287799:37292115:-1 gene:ONIVA01G44450 transcript:ONIVA01G44450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRGSKMDGRRSSPSSSLCTTTTVVVFVALCLVGAWMMTSSTIFPLEITSNKKAAVKEQPARVNYGASEEAAAASGNSAEGVDRFGDTDNNDNAVPEEPNNTVPSEEEKFSENTVEKPVESSEEKAPPKEKEESKDTFDDANGKTEQSSAKEDGDSESGSGQSDGSKNGDDEEKSEEKKDDEQSDGERKDDQEEKAEGSGSKDTTDQPQIEETVDESGEKDQGAKSNEVFPDGAQSELLKESNTENGSFKTQDAESKNEKESQAASNSSDDETTYNWKLCNNNAGTDYIPCLDNEKAIKKLRTTKHYEHRERHCPVEPPTCVVPLPEGYKRPVEWPTSRDKVWYSNVPHTKLAEYKGHQNWVKVSGDHLLFPGGGTQFKNGALHYIDTIQQALPDIAWGKRSRVILDVGCGVASFGGYMFERDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWNAMSSLTKAMCWKMVNKTKDKLNQVGMAIYQKPMDNSCYEKRPENSPPLCKETDDADAAWNVPLQACMHKLPAGQSVRGSKWPETWPQRLEKTPYWIDDSHVGVYGKPGNEDFEADYAHWKRVVSKSYVNGMGIDWSKVRNVMDMRAVYGGFAAALRDQKVWVMNIVPTDSADTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLLPVFAEVDRILRPEGKLIVRDNAETINELQGMVKSLQWEVRMTYTKGNEGLLCVQKSMWRPKEIEASM >ONIVA01G44440.1 pep chromosome:AWHD00000000:1:37282871:37286812:1 gene:ONIVA01G44440 transcript:ONIVA01G44440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWN5] MDIWQCNCMGVIDSTIMSVQWQPPPSSPVVGPPRRSSSPLLQIPHPPAQTARPAHTHTHSHSHTLSTHSRSTQRSLLISNLMVAEHPTPPQPHQPPPMDSTAGSGIAAPAAAAVCDLRMEPKIPEPFVWPNGDARPASAAELDMPVVDVGVLRDGDAEGLRRAAAQVAAACATHGFFQVSGHGVDAALARAALDGASDFFRLPLAEKRRARRVPGTVSGYTSAHADRFASKLPWKETLSFGFHDRAAAPVVADYFSSTLGPDFAPMGRVYQKYCEEMKELSLTIMELLELSLGVERGYYREFFADSSSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGEWRPVSPVPGAMVINIGDTFMALSNGRYKSCLHRAVVNQRRERRSLAFFLCPREDRVVRPPPSAATPRHYPDFTWADLMRFTQRHYRADTRTLDAFTRWLAPPAADAAATAQVEAAS >ONIVA01G44430.1 pep chromosome:AWHD00000000:1:37259289:37259816:1 gene:ONIVA01G44430 transcript:ONIVA01G44430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGARALIELSQGTPGSFCKVCNEVEKPNKRFLICAHSLCPYKFYHIRCLRYEQIASSEQQGNEYWYCPSCLCRVCKVDRDDEQIILCDGCDEGYHLYCLIPPLTLVPEGEWHCSSCIVQEEKETKRRLHGKDIATNVSMLETDGFAELEAANVLMLLKNSSTDGEIVVSPVSQ >ONIVA01G44420.1 pep chromosome:AWHD00000000:1:37251118:37252513:-1 gene:ONIVA01G44420 transcript:ONIVA01G44420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVGYGSWLEVATTRSDDGGVEVRLLAPLLTVMWLGGLLVPWKQQSGAEALLGEGDAVWTTRARQHGLEVWMRCHAQKPKLASQAHGREAELAGGGSISRSGSREEDPSEHNPDTGDMVGLREGTGSWCSTGGGDGLAPWLDVELHQSS >ONIVA01G44410.1 pep chromosome:AWHD00000000:1:37241208:37247854:1 gene:ONIVA01G44410 transcript:ONIVA01G44410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPVAAPSSSVAAASAAAAPRVAPVAAPLPLAAQQAPAAAAGCRRQVFSVELRPGETTIVSWKKLLKEAGHAAASPPPAAPAVAVAASDPAFPALPGQPGAVHPPESDPKDPAQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLRTKHDGYFVNKGKLEQIEAGTSANVAPKKRRRKDSSSGYIENNQVAPADYPSIGNMPGKSAARSGAHVGKKLTSSNIGSYGEYYHDDNRVVKNKTGAGVHKRKSMDFAMGSDTAAYMKISSKDMPYASSELNKAAGLQPTDYTHRSKTAEAYDYAYSAYRDRDTSMQLDFQQKRAYTGENRDPSNKIHRKEKHGMGEFSSMATTGALYSGQVMQPITSRDGSGTKPKGTRLERAIRDLQKIAAEYRPPAIDINEVDPNGQVAVKRRLPPEVKQKLAKVARLSANHGKIQEHELMDRLMGIVGHLVQRRTLRRNMKEMVESGLSAKQEKADKFQRVKMEINEMIKSRVAAKAKVNEHHSGSADDFQIANDEKRYLKGKSVMDAALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPEGSMDNVGIKDAINRSKERRRSLYNQQKVRNEERMKRKRLAAAAKLQDGYPVVMQSALIQQVAQPPITNPVATYPVTDQGSKSFDRVREISASANPDDINRNTGEMKKKKRKPESDLVDTQANAMKGPSQHVEKNKPPKRADEAVETVLCLPFYDQQPS >ONIVA01G44400.1 pep chromosome:AWHD00000000:1:37239904:37240690:1 gene:ONIVA01G44400 transcript:ONIVA01G44400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSFPSSSPSLSLISLSGAAVVAVGTTGAAAASGGGARACPEPGDLVHRPPHGNLKSSNVLVVFPAPGSGGDVVPVAKLIDHGFYPLLLHHGHRLAAAKCSEFTCGRRLSSHADVFCLGLVLLEVVTGKVPVDEDDDLAEWAQLALSHEWSTDILDVEIVTDRDRHGDMLRLTEVALLCTAVKPEHWPTSAPSHRYRRCSQPPRPHRHSRRSRPPSSPLPTTTAAAPDRRHRRFDQKGWERDKGERKTGGRREGEEG >ONIVA01G44390.1 pep chromosome:AWHD00000000:1:37233002:37235126:-1 gene:ONIVA01G44390 transcript:ONIVA01G44390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTFSKRRSGILKKAREISVLCDAEVGVVIFSSAGKLYDYCSPKTSLSRILEKYQTNSGKILWDEKHKSLSAEIDRIKKENDNMQIELRHLKGEDLNSLQPKELIMIEEALDNGIVNVNDKLMDHWERHVRTDKMLEDENKLLAFKLHQQDIALSGSMRDLELGYHPDRDFAAQMPITFRVQPSHPNLQENN >ONIVA01G44380.1 pep chromosome:AWHD00000000:1:37230372:37232309:1 gene:ONIVA01G44380 transcript:ONIVA01G44380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPLFRLAAAAAVVMGVVSPAVKAAGGGNSSTACPLDLGYVRSFPWDTAPCMPPVANQTACCTTLLSVLGVGLAARLRATGHFRLPSANASAACLGAFSDELASPPLSLQDTLVPACWPVSSQLAISPSYCAGVTTAKQYVATVGNAAVLGSLNSSCGSDLADLSLCSSCLAAAIDASGRLVAAAAKGTNPQNCFYLTVLYAAGVSSSAGPTSPGTANCALGLALSTPSSSSSPASSSNHTNMAVATAIPVASALLVSVIAALLVWRRRQDSIRSKSRRLSGERRLSRPRPNVGSVLFSLGELAKATCGFAERNLIGRGGFGVVYRGVLDDGSVVAVKKMLDPDMEGGDEEFTNEVEIISHLRHRNLVPLRGCCISDDDADEGKQMFLVYDYMPNGSLDHYIFKDGGDGGRRPPPLSWAQRRGVVLDVARGLEYLHHGVKPGIYHRDIKATNILLGTDMRARVADFGLARRSREGQSHVTTRVAGTHGYLSPEYALYGQLTEKSDVYSFGVLVLEVMSGRRALDLSDPSGVVLITDWAWALVRAGRAAEVVAAALREREGPAGVHAMERFVLVGILCAHVTVACRPTMPEALRMLEGDMDVPELPERPQPYGQRIAFDEGEANFSASSVLSGPFMDFGDMLR >ONIVA01G44370.1 pep chromosome:AWHD00000000:1:37221991:37224713:-1 gene:ONIVA01G44370 transcript:ONIVA01G44370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENVVGTYYYPPSAAAMDGVELGHAAAGSKLFDDDGRPRRNGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMVLFSLVTYYTSSLLSDCYRSGDPVTGKRNYTYMDAVNANLSGFKVKICGFLQYANIVGVAIGYTIAASISMLAIGRANCFHRKGHGDPCNVSSVPYMIVFGVAEVFFSQIPDFDQISWLSMLAAVMSFTYSVIGLSLGIVQVVANGGLKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESAVMKRATVVSVAVTTVFYMLCGSMGYAAFGDDAPGNLLTGFGFYEPFWLLDIANAAIVVHLVGAYQVFCQPLFAFVEKWAAQRWPESPYITGEVELRLSPSSRRCRVNLFRSTWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPRWSTRWVCLQMLSVGCLVISIAAAAGSIAGVMSDLKVYRPFKGY >ONIVA01G44360.1 pep chromosome:AWHD00000000:1:37217912:37218860:-1 gene:ONIVA01G44360 transcript:ONIVA01G44360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductases, acting on NADH or NADPH, quinone or similar compound as acceptor [Source:Projected from Arabidopsis thaliana (AT5G58260) TAIR;Acc:AT5G58260] MWSAAAARTVTPLPAASPLQQHQQRRGAWARVGNGRAASTTAARAGLWDFVGGDLVKPDMGRWLDDVEKHKSLAIYPPHEGGYEGRYLSRLSYQGYYFLDLSARGLGDPETTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLVVWVMEAKVLSKAELQFLAILPDIRPKVRVIAECGNWRKFVWKPLKQIAGLEPDPDAEE >ONIVA01G44350.1 pep chromosome:AWHD00000000:1:37211029:37217393:1 gene:ONIVA01G44350 transcript:ONIVA01G44350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWM6] MATEASTSAAAGAGGGSWVEGMSADNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLADIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQEREIDSVAEVWALATEPVTVLAATFVLIFRFIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWMFTIVVVACILTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPTLPIRIPKHDEDGYAAEGIPLRSAAEGLPLRSPRAAE >ONIVA01G44340.1 pep chromosome:AWHD00000000:1:37203279:37204084:-1 gene:ONIVA01G44340 transcript:ONIVA01G44340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIWMSPQPLLEMVRLPLVPCDGVADADEETALLAADARAEDALSQGMEALQQTLGDTLASAAATVVVGGIGADNVTNYMGQIAIAMAMLTTLENFLKLRSNINGELNCLLPWRDAMIFFV >ONIVA01G44330.1 pep chromosome:AWHD00000000:1:37199294:37204896:1 gene:ONIVA01G44330 transcript:ONIVA01G44330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAGRGSNGATDEALREWRHHGSKFYDTFTVSGLRVDAIQPGRVLCSFTVPPRLTNARSKRMHGGAVASLVDLVGSAVFFAGGSPKTGVTVEITVSYLDAARANEEIEMEARVLGIGETTGCVTVEVRRKGAGEVLAHGRITKYLAVSSKL >ONIVA01G44330.2 pep chromosome:AWHD00000000:1:37201939:37204896:1 gene:ONIVA01G44330 transcript:ONIVA01G44330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRPRRRHVRARPLCSLVCCRRPPPLPRSPRRLPYPRKRERGGRGRRGERELEARGDRRRMRDRWQAAEKSGDLLAPSDHLTRLARRPPPHPPLPASLPKRREVGERERGGRRRKGVEGKEGG >ONIVA01G44320.1 pep chromosome:AWHD00000000:1:37198296:37198637:-1 gene:ONIVA01G44320 transcript:ONIVA01G44320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVAGPCPADGNGKSSSSPLLSAASSRRLRRRSRSRPRARERDNRNLLRVAAAGALGRTPRVAIAREMPHHISRPGAFEMPPAPAASRAAAAGCTPRCREYTPPRAAASAY >ONIVA01G44310.1 pep chromosome:AWHD00000000:1:37193689:37198197:-1 gene:ONIVA01G44310 transcript:ONIVA01G44310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYSVIAAGDDHPTPASSVAAEAADGSAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPMGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLRHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSVLTKSSPVSYFASLSYHEMHETSSVVGSSMSYLTPSMSAMSLTTIDGTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTNLYKLAMEPAFC >ONIVA01G44310.2 pep chromosome:AWHD00000000:1:37194351:37198197:-1 gene:ONIVA01G44310 transcript:ONIVA01G44310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYSVIAAGDDHPTPASSVAAEAADGSAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPMGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLRHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSVLTKSSPVSYFASLSYHEMHETSSVVGSSMSYLTPSMSAMSLTTIDGTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >ONIVA01G44310.3 pep chromosome:AWHD00000000:1:37194351:37198197:-1 gene:ONIVA01G44310 transcript:ONIVA01G44310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYSVIAAGDDHPTPASSVAAEAADGSAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPMGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLRHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSVLTKSSPVSYFASLSYHEMHETSSVVGSSMSYLTPSMSAMSLTTIDGTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQLDLHHSFLTPQNFQAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >ONIVA01G44310.4 pep chromosome:AWHD00000000:1:37194351:37198197:-1 gene:ONIVA01G44310 transcript:ONIVA01G44310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYSVIAAGDDHPTPASSVAAEAADGSAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPMGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLRHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSVLTKSSPVSYFASLSYHEMHETSSVVGSSMSYLTPSMSAMSLTTIDGTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFGKSLVDVQKVIYIVKMKDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQLDLHHSFLTPQNFQAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >ONIVA01G44310.5 pep chromosome:AWHD00000000:1:37194351:37198197:-1 gene:ONIVA01G44310 transcript:ONIVA01G44310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYSVIAAGDDHPTPASSVAAEAADGSAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPMGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLRHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSVLTKSSPVSYFASLSYHEMHETSSVVGSSMSYLTPSMSAMSLTTIDGTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFGKSLVDVQKVIYIVKMKDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >ONIVA01G44300.1 pep chromosome:AWHD00000000:1:37189970:37190299:1 gene:ONIVA01G44300 transcript:ONIVA01G44300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERMITESISNADNIRSRLIVTWSKYLQEREEKEENNSIAKDRSSFRAQPATQTKQLLPKCRVKLKDSYGIQENYMGSKPRERAISSSASTTNPRHKGQEIPQKNSPP >ONIVA01G44290.1 pep chromosome:AWHD00000000:1:37186660:37189263:-1 gene:ONIVA01G44290 transcript:ONIVA01G44290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQLMSGELDNSFNALMVSGEGESGQAHHEGTGTTLLGWKDLPMELLLRILSMAGDDRMVIVGSGVCTGWRDTLEWGVTNLSLSWCQAHMNDLVMSLAQKFTKLQVLSLRQIKPQLEDSAVEAVANNCHDLRELDLSRSFRLSDRSLYALAHGCPHLTRLNISGCSNFSDAALAYLSSQCKNLKCLNLCGCVRAVSDRALQAIACNCGQLQSLNLGWCDSVTDKGVTSLASGCPELRALDLYESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRRVRSKGRSWDAAARKNAGAGADGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACAHHPHRHGRAILSNHAY >ONIVA01G44280.1 pep chromosome:AWHD00000000:1:37172686:37176657:-1 gene:ONIVA01G44280 transcript:ONIVA01G44280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCARECROW-like 1 [Source:Projected from Arabidopsis thaliana (AT1G21450) TAIR;Acc:AT1G21450] MSFIRRADPSTTYADNLYIHKFGTPNSNFAARRYASDTQLFRYGPEPYNPENSFYNQQASPMPYMVTADGHSPSSADNSCSDVAKDSPLVSNVSQQNSQSISDNQSSELEVEFDEDDIRMKLQELEHALLDDSDDILYEISQAGSINDEWADPMKNVILPNSPKESESSISCAGSNNGEPRTPKQLLFDCATALSDYNVDEAQAIITDLRQMVSIQGDPSQRIAAYLVEGLAARIVASGKGIYKALSCKEPPTLYQLSAMQILFEICPCFRFGFMAANYAILEACKGEDRVHIIDFDINQGSQYITLIQFLKNNANKPRHLRITGVDDPETVQRTVGGLKVIGQRLEKLAEDCGISFEFRAVGANIGDVTPAMLDCRPGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKGLQPKLVTLVEQDANTNTAPFQTRFREVYDYYAALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMTMAGFTPCPFSSNVISGIRSLLKSYCDRYKFEEDHGGLHFGWGEKTLILNSMAKHGGVVEITH >ONIVA01G44280.2 pep chromosome:AWHD00000000:1:37174710:37176465:-1 gene:ONIVA01G44280 transcript:ONIVA01G44280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCARECROW-like 1 [Source:Projected from Arabidopsis thaliana (AT1G21450) TAIR;Acc:AT1G21450] MVTADGHSPSSADNSCSDVAKDSPLVSNVSQQNSQSISDNQSSELEVEFDEDDIRMKLQELEHALLDDSDDILYEISQAGSINDEWADPMKNVILPNSPKESESSISCAGSNNGEPRTPKQLLFDCATALSDYNVDEAQAIITDLRQMVSIQGDPSQRIAAYLVEGLAARIVASGKGIYKALSCKEPPTLYQLSAMQILFEICPCFRFGFMAANYAILEACKGEDRVHIIDFDINQGSQYITLIQFLKNNANKPRHLRITGVDDPETVQRTVGGLKVIGQRLEKLAEDCGISFEFRAVGANIGDVTPAMLDCRPGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKGLQPKLVTLVEQDANTNTAPFQTRFREVYDYYAALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMTMAGFTPCPFSSNVISGIRSLLKSYCDRYKFEEDHGGLHFGWGEKTLIVSSAWQ >ONIVA01G44280.3 pep chromosome:AWHD00000000:1:37174712:37176657:-1 gene:ONIVA01G44280 transcript:ONIVA01G44280.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCARECROW-like 1 [Source:Projected from Arabidopsis thaliana (AT1G21450) TAIR;Acc:AT1G21450] MSFIRRADPSTTYADNLYIHKFGTPNSNFAARRYASDTQLFRYGPEPYNPENSFYNQQASPMPYMVTADGHSPSSADNSCSDVAKDSPLVSNVSQQNSQSISDNQSSELEVEFDEDDIRMKLQELEHALLDDSDDILYEISQAGSINDEWADPMKNVILPNSPKESESSISCAGSNNGEPRTPKQLLFDCATALSDYNVDEAQAIITDLRQMVSIQGDPSQRIAAYLVEGLAARIVASGKGIYKALSCKEPPTLYQLSAMQILFEICPCFRFGFMAANYAILEACKGEDRVHIIDFDINQGSQYITLIQFLKNNANKPRHLRITGVDDPETVQRTVGGLKVIGQRLEKLAEDCGISFEFRAVGANIGDVTPAMLDCRPGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKGLQPKLVTLVEQDANTNTAPFQTRFREVYDYYAALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMTMAGFTPCPFSSNVISGIRSLLKSYCDRYKFEEDHGGLHFGWGEKTLIVSSAWQ >ONIVA01G44270.1 pep chromosome:AWHD00000000:1:37170321:37177059:1 gene:ONIVA01G44270 transcript:ONIVA01G44270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPRGLPFAVDTWGPSSRRRRHRFLTHAHRDHLVAAGGAADSGECPGAVYATRLTLDLALRHFPRLERGEFVEMEVGKTVVVDDPAGAFSVTAYDANHCPGAVMFLFEGQFGSILHTGDCRLTPDCVQNLPLKYIAKKGKENICRLDFVFLDCTFSKCFLKLPSKESAIQQVIACIWKHPHAPFVYLACDLLGHEEILIEVSRTFGSKIYVDKRRNSDCFRALSLIAPEIITEDPSCRFQILGFQNLYDKACTNIEEARASLQPEPLFIRPSTQWYAHCAQSQKPSLTEAVLDGCGVWHICFSIHSSRDELEQALELLQPQWVISTTPPCFAMELSYVKKKCFKTCLTADDPLWKIFKNPLRKSVSSPSTLLDSDTHTNEDHSISVDDDHDHSASPSGEECTDFDICTLELKFMPSPPVQEPDITLFGRARFGSEEIDIMREELCNQRIAVEEARAYSTAYLICDGSSEVETCPNSRTDFVIVQASKSQQSYSGYEDEDPSCQCAASPRQLESRSILSLPIGECSLSPVVDNPKKSEVVIESESTNHAESSNLCMVRRGYSGSEDDCQRAASPRQLESRSILSLPIGECSLSPVVDNPKKSEVVIESESTNHAESSNLCMVRRGYSGSEDDCQRAASPRQLEKLSIRSSPIGECSLSPVADKPEKSEVVIESESTNLAESSNLCMVRGEETTDCERGTLCVIGSSKCLNASLKRLYRSRNVPVPRPLPSLVGLLESTKRMKMQPGSDGSSLNSWHTLPRR >ONIVA01G44260.1 pep chromosome:AWHD00000000:1:37166259:37170030:1 gene:ONIVA01G44260 transcript:ONIVA01G44260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWL0] MEHIARFFFGVSGNVIALFLFLSPVVTFWRIIKKRSTEDFSGVPYNMTLLNCLLSAWYGLPFVSPNNILVTTINGTGSVIEAIYVVIFLIFAERKARLKMMGLLGLVTSIFTMVVLVSLLALHGQGRKLFCGLAATIFSICMYASPLSIMRLVIKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGRDPFIAIPNGCGSFLGLMQLILYAIYRNHKGATPAAAAGKGDAADEVEDAKKAAAAVEMADAKTNKVVADDADADADADGKSADDKVASQAPIMKKNPSRNA >ONIVA01G44250.1 pep chromosome:AWHD00000000:1:37158146:37158938:1 gene:ONIVA01G44250 transcript:ONIVA01G44250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETHLGRSGRDNADPHALHASSMTNQIMRSSGISIMEYISIRSTGSAWDNTAALTYRTQNTNAFTPINRESTNSTATTTSLLRGDTVRDRISHASSSTTGGQQRRSQFDPYGVDADFDRYPEASSYTSSLG >ONIVA01G44240.1 pep chromosome:AWHD00000000:1:37150895:37152460:-1 gene:ONIVA01G44240 transcript:ONIVA01G44240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRAALGAVKDWTSVGLARVGGADEVAADLAVAIVKATAHGESVPGDERHVQEILTLTCYSRARVAACVSAVSRRLGRTRAWAVAVKALALVHRLLADGDPAYEQEVFLATRRGRRMLDVSHRFPHRSSRSRATWDFHGFVRAYAAYLDDRLKHRMKGRVASQGKWCSDGRRDGFPDITDGSYEVGEAVAEVWALVPRDTPATGTTTTEELVSKAQHLKHILQRFIGCRPTGKARTNKVVAAALHRLVKESAVMYRELTEVMAMLADRFAELETPGCVRVHSIFTSIAKLFDELDEFYSWCRSATICRPSEIPEVERVAQKKLDLMDEFIRDRQPASSRWWRCTPPAPSSPLAPIASNGDNGSKASPAEPAPAGALVVVDDHMADFLNLGEESTPLSTEEQDRDLTLSLFGDDPATPAPKWETFDDDQCDDWETALVQSASKFAATQSATVLALPPPPGATGGEVADPFAASLAVPPPTYVQMMDMQARQRLLANEQMMWQQFETQQMAAWSYSSLL >ONIVA01G44230.1 pep chromosome:AWHD00000000:1:37141128:37149556:-1 gene:ONIVA01G44230 transcript:ONIVA01G44230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARGGRRGRGRGRRGGRGGAASRARGENVVDVKPIKLGDDDAKVDPQTKSPNEEITSAEVCEVETSEASPLGAPEEDEGKPGSTRNLKPLRKTITIDSAAAEEHGAESDDEEFDVLATASCQELAPCRRSKRLRCKPTRKRSKPTGKRDLGGKESEDDVFANSSSSSQDDAGKLASRRSKCLRERLTSDYFDGDVGEDKDTLGSSSDDYDENCSAPCRGLKRLRNRLGPRNISYADDDSDTDDNRKKIVPCRRTSKRLQEKWKAHNVSEESYTEASSCMLSTSSSSDDELLHNSIKPGRRNSGGPSCSICKIGIACSFLVKCKNSTCSRSFHTFCLDPPLQEIIGTWECSWCKSNAAPAVKVTEVLTSKKIQRLVGHRRILQEAEFQYQFLVKWQSLSHHHDCWVPLEWLHVSDPLRVQSYLNKNCLPKEVYSEDQRKLEWFEVDRAIACRRKFHHEGLCDVLATFQDNQDFDGYEFLVKWKGLDYCEATWEPCCTDGVQQAVSMLVRRHKNASKRVNISQTCLDGSKIEEVHCGALYDYQLQGLQWLIDNFKTRRSVILADEMGLGKTVQVVCFLYHIIKESLTASPALILAPKSILLQWEKEFCQWASDLNVIVYQGDRDSRKCIQVHEMYSSDGKPLFDALVTSYEFVQIDKAVLQKFKWSTIVIDEAHRMKKLDCKLAACLKRYCSEFRLLLTGTPLQNNIMELFSLLHYIDPDEFSDPKADGLFSPIESGRDLTMDEKVARIHDILKPRMLRRMKSDVLTDSMPVKKWVEVPCALADSQRELYINILERNYSKLNSAIRNGLEVGQQATEDVFLSLIASSGKLQLLHKLLPRLKERGNRVLIFSQMTRMLDILEDFLCSLGYKYARIDGQTSLSARQESIKEYKNIDSETFIFLMSTRAGGMGVDLPGADRVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCSVEEKILQKSKQKLAIENMLMNSSKKPSADELQSILLHGAKTIVDRKISATSIHYDNEAIENLLKLDPSTGEKCSSDDNGYLGSIVSFAHGVEDEAPSSPKVEDLKVLKPATPKVDLGRGKRQRKVVNYADEVENEDSDDMYAPEGSSTSEFSSSSSDDETDSALQLQIVTVPDIDAD >ONIVA01G44220.1 pep chromosome:AWHD00000000:1:37139011:37140657:-1 gene:ONIVA01G44220 transcript:ONIVA01G44220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRARQLHALYLTRGCRDPDMWANLAKVYASNSFLREAAIIYSKLLPHRTHHLPLVIVLLKATASRADPVLGRALHAEAVKSANAHDRLVGTTLVSVYCKCGLLADARRVFDGMLDRNAVTCNAMLAGYAAAGDMVSAEALFAGMGSRTPVTWATLIRGFAGKGDMAETRRWFEAMPLGMQNVVTWTVAVQAYVAAGDMETARELFNKMPARNAFVWSSMVTGNFKAGNADEAQAVFDKIPVRNLVNWNALIAGYAKMGCCEKALEAFHSMLKDKIKPDEFTMAGVLSACAQLGSLEQARKVHNFIIQHRIRKNQFVMNGLVDMFAKCGDLAFARNIFDNMQWRNTECWNSMISALSSHGQSIEAIRLFSKMECSEQKPNEITLLAVLGACTHGGFVDEGLRIFSKFDIYGVAAAVEHYGCLVDLLGRAGRLREAYEIVKNMLVEPNEVIWGSLLGACRVHGDAEMSELVSSEIHQLHSRRVSTNDAEYILLSNIMASSERWEQAERMRRKMALHGVGKTPGCSSVELEITEHQVCAGSGTLSQLH >ONIVA01G44210.1 pep chromosome:AWHD00000000:1:37136691:37138428:1 gene:ONIVA01G44210 transcript:ONIVA01G44210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant stearoyl-acyl-carrier-protein desaturase family protein [Source:Projected from Arabidopsis thaliana (AT1G43800) TAIR;Acc:AT1G43800] MQVVGTVRVSGCGAVVAPSRRQCRVSAAVLTAAETATATRRRVTHSMPPEKAEVFRSLEGWARSSLLPLLKPVEECWQPTDFLPDSSSEMFEHQVHELRARAAGLPDEYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASACPWAVWTRTWTAEENRHGDILGKYMYLSGRVDMRMVEKTVQYLIGSGMDPGTENNPYLGFVYTSFQERATAVSHGNTARLARAHGDDVLARTCGTIAADEKRHETAYGRIVEQLLRLDPDGAMLAIADMMHKRITMPAHLMHDGRDMNLFDHFAAVAQRLNVYTARDYADIVEFLVKRWKLETLETGLSGEGRRARDFVCGLAKRMRRAAERAEDRAKKDEQRKVKFSWIYDREVIV >ONIVA01G44200.1 pep chromosome:AWHD00000000:1:37128719:37130028:1 gene:ONIVA01G44200 transcript:ONIVA01G44200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLGDVLAPLPIALNLEYAAAIATPPDPALLGRRYHLRRCAAARQDDEEEGEQEQEGHARTISLSSASTSAVAAPALRMRHSKSRASLSLPRSVKLLGVSGMDAAPTMTMTAGTMPAPSESGSGSETTTTLLGFGVGGDGSQRRRCSA >ONIVA01G44190.1 pep chromosome:AWHD00000000:1:37127699:37127917:1 gene:ONIVA01G44190 transcript:ONIVA01G44190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQYAARHPRPCGYRRGLRRLASRWTTSPSTASALGDISGCRSVHSRPFSTDEEGEAPRPRSAAALLG >ONIVA01G44180.1 pep chromosome:AWHD00000000:1:37124065:37126157:-1 gene:ONIVA01G44180 transcript:ONIVA01G44180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSFSRSTSARLTARGGVGSPRVSAAAAAHRKWWWWAPSGPSFECAALAFFLSSVALVLSCALYLYLFRYQGRGHAVAEFAGDNLESCDVFDGSWVPDRRYPLYNSSDCPFVERGFNCLANGRKDTGYLKWRWKPRGCDLPRFSARDVLERLRGKRVVFVGDSMSRTQWESFICMLMAGVENPKTVYEVNGNQISKTIRFLGVRFASFNLNVEFFRSVFLVQQSPAPRSSPKRVRAILKLDKMDNISRKWENADVLIFNSGHWWTPSKLFDMGCYFEAGGLLKLGTSINSAFKMALETWASWVKEKVDLKRTHVFFRTYEPSHWSGSNQKVCEVTEFPTAEAKGDDRSEFGDILAGVVVNMSVPATILNVTLMGAFRSDAHIVIGAFLESQMLGMN >ONIVA01G44170.1 pep chromosome:AWHD00000000:1:37116694:37121130:-1 gene:ONIVA01G44170 transcript:ONIVA01G44170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWK1] MSPPPLRLLLFLLLLHLPSSLSSRHHHHAPSPSKPAPASYAAPLAVLLACNATRFQPACVSTLSNASADASTPDLLAATLSALRARIPPAVSTARSVLAASSNVNLTNAATNCLTFLSLSTHRLSPPPSTSSPSLLSASTALLHLYDCWSAYKYVNFSRTISDAMAYLDDTIAVNSNYISMLAALQRYGDDTFRWAPPQTERDGYWPPAAAGSAADEDALGVPKGLPPNVTVCGAGCHYKTVGEAVAAAPDYGDEMFVVHVKEGVYKETVNVPWEKTNVVVVGDGMGKTVITGDLNADTPGVSTFNTATVGVLADGFMARDLTISNTAGPDAHQAVAFRSTGDRTVLDTVELLGHQDTLYAHAMRQFYTRCRVSGTVDFVFGNSATVLRDTALIVLPRQLRPEKGENDAVTAQGRTDPAQPTGIVLRGCVVNGSDDYMALYREKPDVHHVYLGRPWKEYSRTVYVGCTLSEIVQPRGWMAWNGDFALKTLYYGEYESAGPGGDGASGSRIGWSSQVPRDHVDVYSVASFIQGDKWIPKIH >ONIVA01G44160.1 pep chromosome:AWHD00000000:1:37106520:37112366:1 gene:ONIVA01G44160 transcript:ONIVA01G44160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWK0] MGSLETTNTRYRPAGAADDTAKRRTQKSKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYDHQMQSSSRFVSGWIWDKTIPDPRYVSLLGVQWEDVYKTVENLNDGERKLKVGLLNFNSTEIGSWTQLLPDSDFSIIRLEHAKESTTWQTLYPEWIDEEEETEIPSCPSLPDPIFPRGTHFDVVAVKLPCTRAGGWSRDVARLHLQLSAAKVAVTASRGNRGIHVLFVTDCFPIPNLFSCKNLVKHEGNAWMYKPDLKALREKLRLPVGSCELAVPLKAKARLYSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDFVILVDETISNHHRKGLEAAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKVKKTRLFGADPPILYVLHYLGLKPWLCFRDYDCNWNNPILREFASDVAHARWWKVHDKMPKKLQHYCLLRSRQKAGLEWDRRQAEKANFTDGHWRRNITDPRLKTCFEKFCFWESMLWHWGESKNSTKENPVPATPTASLTSS >ONIVA01G44150.1 pep chromosome:AWHD00000000:1:37075153:37077541:1 gene:ONIVA01G44150 transcript:ONIVA01G44150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQERAMKHVMEIGEERKRSSLKRRLMMRLRKDGYDASLCRSSWVATAEHPGGDYEYIDVLVAAGHGADTSSTSRLIVDVDFRSQFQLARPAPWYAHLSSRLPPVFVGPPEKLRQAVALLCMAAQRSLRESGLHVPPWRRPSYVQAKWLPCRGVQASALPPGGESAAAANGGDGPRAVVQWSVGKERRRRGGGHRRSGLSVELSDSGAGESSTILSPWPIAAFARRKEKKEGKRGESYAEKIEIYVQ >ONIVA01G44140.1 pep chromosome:AWHD00000000:1:37068175:37070392:-1 gene:ONIVA01G44140 transcript:ONIVA01G44140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G56570) TAIR;Acc:AT3G56570] MEASASTSTARRLRAFRRWMRDHGVVCSDALRLDAAEDGGGGVYVRALAALREGDLVATIPRGACLTPRTSGAAEAIEAAELGGPLALAVAVMYERARGAESPWDAYLRLIPEREPVPLVWPADEAERLLAGTELDKIVKQDRQFICEDWKECIEPLILSGELEVDPDDFSLENYFSAKSLLSSRSFRIDSYHGSGMVPLADLFNHKTGGEHVHFTSVLEASDSDSEDGEDPNNASADEQSTIENSADIPSGDDDEDLEMIVVRDVNEGEEVFNTYGTMGNAALLHRYGFTEMDNSYDIVNIDLALVTKWCSSKYSRRYARARVSLWHNLGYSGCTSQDADYFEISYDGEPQLELLILLYIISLKSDAYDKLASVAHDLIGDDEVDSISSVLKVVRVTSSNQHPDISGLEKLPDVKKLLLNESVCSALVSLVDMRESLYGSNTLEDDRQKLQACSSVNERNLYHSLVLRVSERTILHKLKKHASSWSKTKKRKQL >ONIVA01G44130.1 pep chromosome:AWHD00000000:1:37064641:37069280:1 gene:ONIVA01G44130 transcript:ONIVA01G44130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINNGRMKMRNKQRKSSALNFDAGCRSSLSFIVWSLVGVALIVCFFSVVRQADTRQNHIYFRHLSATRELEEIEEEHFRLPPPHKVNPRAVKRRGPRKAPKVIDQYLDESSAVHALFFPDERSAVNPTKGGNDSMYFYPGRVWLDTDGHAIQAHGGGILYDHITAKYYWYGENKDGLTYQTHPKSTYRVDIIGVSCYSSKDLWSWTNEGIVLPGEPTNFTHDLHKSKVLERPKVIYNDHTGQYVMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTIFKDDDGSAYLFYSSRDNTELHVSPLTKDYLNITVAMRRILIRRHREAPAVFKLQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLKDSRYVWLPLFIGGLADEPLDYSFGFPAWSRVSIYWHRKWRLPESWKGYT >ONIVA01G44130.2 pep chromosome:AWHD00000000:1:37064780:37069280:1 gene:ONIVA01G44130 transcript:ONIVA01G44130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRINNGRMKMRNKQRKSSALNFDAGCRSSLSFIVWSLVGVALIVCFFSVVRQADTRQNHIYFRHLSATRELEEIEEEHFRLPPPHKVNPRAVKRRGPRKAPKVIDQYLDESSAVHALFFPDERSAVNPTKGGNDSMYFYPGRVWLDTDGHAIQAHGGGILYDHITAKYYWYGENKDGLTYQTHPKSTYRVDIIGVSCYSSKDLWSWTNEGIVLPGEPTNFTHDLHKSKVLERPKVIYNDHTGQYVMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTIFKDDDGSAYLFYSSRDNTELHVSPLTKDYLNITVAMRRILIRRHREAPAVFKLQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLKDSRYVWLPLFIGGLADEPLDYSFGFPAWSRVSIYWHRKWRLPESWKGYT >ONIVA01G44130.3 pep chromosome:AWHD00000000:1:37064642:37069280:1 gene:ONIVA01G44130 transcript:ONIVA01G44130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPAATPRPLPLQVSPLPLCDLCRTPQPPPVDATSATPPTSSPWAPAARLAPRSGAHPSFLMRINNGRMKMRNKQRKSSALNFDAGCRSSLSFIVWSLVGVALIVCFFSVVRQADTRQNHIYFRHLSATRELEEIEEEHFRLPPPHKVNPRAVKRRGPRKAPKVIDQYLDESSAVHALFFPDERSAVNPTKGGNDSMYFYPGRVWLDTDGHAIQAHGGGILYDHITAKYYWYGENKDGLTYQTHPKSTYRVDIIGVSCYSSKDLWSWTNEGIVLPGEPTNFTHDLHKSKVLERPKVIYNDHTGQYVMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTIFKDDDGSAYLFYSSRDNTELHVSPLTKDYLNITVAMRRILIRRHREAPAVFKLQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLKDSRYVWLPLFIGGLADEPLDYSFGFPAWSRVSIYWHRKWRLPESWKGYT >ONIVA01G44130.4 pep chromosome:AWHD00000000:1:37065570:37069280:1 gene:ONIVA01G44130 transcript:ONIVA01G44130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYILIWLKTITDHYCSFLMRINNGRMKMRNKQRKSSALNFDAGCRSSLSFIVWSLVGVALIVCFFSVVRQADTRQNHIYFRHLSATRELEEIEEEHFRLPPPHKVNPRAVKRRGPRKAPKVIDQYLDESSAVHALFFPDERSAVNPTKGGNDSMYFYPGRVWLDTDGHAIQAHGGGILYDHITAKYYWYGENKDGLTYQTHPKSTYRVDIIGVSCYSSKDLWSWTNEGIVLPGEPTNFTHDLHKSKVLERPKVIYNDHTGQYVMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTIFKDDDGSAYLFYSSRDNTELHVSPLTKDYLNITVAMRRILIRRHREAPAVFKLQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLKDSRYVWLPLFIGGLADEPLDYSFGFPAWSRVSIYWHRKWRLPESWKGYT >ONIVA01G44120.1 pep chromosome:AWHD00000000:1:37061972:37062658:1 gene:ONIVA01G44120 transcript:ONIVA01G44120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWJ3] MAATNTAMSLVLCLLVMIICSTHRLLHPQPQLAATAASSSSSSPFSSSSKIGKDIIDKTVSFTLYQQETMNRTGYVVVPGVDAPAPAPARVVVSDAGEPSADRQPFGSMYVFRDNLTVRADSSSRVAGVAEGTSISTSFDGEDGRRSVSVAKITLHHRGYRGSVSILGGTPNITRPSVYPVVGGTGDFLYAVGYVRSSPVDTRGRTRTTYKMELRLYWPPHAYFAPIP >ONIVA01G44110.1 pep chromosome:AWHD00000000:1:37058969:37059556:1 gene:ONIVA01G44110 transcript:ONIVA01G44110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWJ2] MAAAGSSKTASLLLCLTMISFVQLAQSSPSGDKGIKGFHGKKLSFTLYQQETINKTSYMVVAGVAGAGVSETTTPFGTVYVFRDDLTVRAERSSRVAGVVEGTSVTTSFDGLRSLSLGKITLDHRGRRGSMSVLGGTHNTRPSDCPVVGGTGDFAYAVGYVRTSPVNLRGSSVTFKVEFHLYWPPYAHYAPTSKH >ONIVA01G44100.1 pep chromosome:AWHD00000000:1:37054052:37054459:1 gene:ONIVA01G44100 transcript:ONIVA01G44100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSARRRRLCSPPPLPRAGAAERRHWPLPPCLSRGQAAADPLSRGSPAPDPHLVVENRRRFLPRATAAPPSLRRRPLPHSAMESEGRLAAVEKEGRLAAMEREGRRDRGGRQRDRALPRTCLPSPSPPRAAA >ONIVA01G44090.1 pep chromosome:AWHD00000000:1:37051817:37052803:-1 gene:ONIVA01G44090 transcript:ONIVA01G44090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFLSHGTPTLSIDDTMPAQHFFRSWLPAAVAGAQPPRAILIVSGHWETATPTVNVVRGNNDTIHDFEGYGFPKSMFQLEYPAPGAPDVAKKAKELLEQAGFGPVKEDHGRGLDHGAWVPLMFMYPEANVPVCQLSLQTGRDGAYHYDLGRALAPLRDDGVLILGSGNATHNLSCMAPVAEGTPVPQWAAEFDGWLQEALLAGGRHDDVKQYEEKAPHGKMAHPSPDHFLPLHVALGAAGEDAKAELIHHSWYNATLSHASYRFTTTTKNKPIAACKE >ONIVA01G44080.1 pep chromosome:AWHD00000000:1:37046670:37049778:-1 gene:ONIVA01G44080 transcript:ONIVA01G44080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQSKQPSPATAVHARATTTPTPTPGEDEQQGSALEAERRGRRRTTTRRAAEGAMDTFFLSHGAPTLSIDDTIAAQGFFKSWLPAAVAGAELPRAILVVSGHWEAAAPTVNVIRGNNDTIHDFYGFPKAMYKLKYPAPGAPDLAMKTKELLEQAGFGPVKEDHSRGLDHGAWVPLMFMYPEANVPVCQLSLQSGRDGAYHYELGRALAPLRDDGVLVLGSGSATHNLRRMGPEGTPVPQWAAEFDGWLQEALLGGRHDDVKRYEEKAPHGRVAHPSPDHFLPLHVALGAAGEGAKAELIHRSWSNASLSYASYRFTTAKN >ONIVA01G44070.1 pep chromosome:AWHD00000000:1:37040961:37044902:-1 gene:ONIVA01G44070 transcript:ONIVA01G44070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKVERKEVAVDDDGRVRTGTVWTATTHAITAVIGSGVLALPWSVAQMGWVLGPIALVVCAYITYYTAVLLCDCYRTPDPVHGKRNYTYMDVVRSCLGPRDVVVCGIAQYAILWGAMVGYTITTATSIMSVVRTNCHHYKGPDATCGSSGTMYMVLFGLAEVVLSQCPSLEGVTLISVVAAVMSFTYSFVGLFLSAAKVASHGAAHGTLLGVRVGAGGVTASTKAWHFLQALGNIAFAYTYSMLLIEIQDTVKSPPSENVTMKRASLYGIGVTTVFYVSIGCVGYAAFGNAAPGNVLTGFLEPFWLVDIANVAVVIHLVGAYQVYAQPVFACYEKWLASRWPESAFFHREYAVPLGGGSAVRFTLCKLVLRTAFVAVTTVVSLVLPFFNAVLGLLGAVAFWPLTVYFPVTMYMAQAKVQRGSRKWVALQALNVGALVVSLLAAVGSVADMAQRLRHVTIFQTQL >ONIVA01G44060.1 pep chromosome:AWHD00000000:1:37024357:37028589:-1 gene:ONIVA01G44060 transcript:ONIVA01G44060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNAAPEDVESGEHERTGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPHPVTGTRNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMVAIRRSDCIHRNGAGAAARCDNTSATVLMLAFSIVQVVLSQFPGLEHITWLSVVAAVMSFAYSFIGLGLSVAEWVSHGGHLSGRIQGATAASSSKKLWNVLLALGNIAFAYTFAEVLIEIQDTLKPSPPENKTMKKAAMYGIGATTIFYISVGCAGYAAFGSDAPGNILTASGMGPFWLVDIANMCLILHLIGAYQVYAQPIFATMERWISSRWPEAKFINSAYTVNVPLIQRGSVTVAPYKLVLRTVVVIATTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQEKITRGGRWYLLQGLSMVCLMISVAVGIGSVTDIVDSLKVATPFKTIPSSYEPDHSRWDSHSFTACNRLIAKKFVRIGGTGGWRRRMARPVAVDYDGRGGRGGCWRQAGREWEGGGSSSTAAEAGAKQHSGQESGDAAVVEGGGGRLSGKKGVEWPMRVTAADGGRPARKVAPRRRRPSKRAAAWRIHPRDG >ONIVA01G44050.1 pep chromosome:AWHD00000000:1:37019841:37027662:1 gene:ONIVA01G44050 transcript:ONIVA01G44050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQLQIYLCFILLSLKFGISASLPLETDALLDIKSHLEDPQNYLGNWDESHSPCQFYGVTCDQTSGGVIGISLSNTSLSGTISSSFSLLSQLRTLELGANSISGTIPAALANCTNLQVLNLSTNSLTGQLPDLSTFINLQVLDLSTNDFSGPFPAWVGKLSGLTELGLGENNFNEGDVPESIGKLKNLTWLFLGQCNLRGELPVSIFDLVSLGTLDFSRNQIIGVFPIAISNLRNLWKIELYQNNLTGEIPPELAHLTLLSEFDVSQNQLSGILPKEIANLKKLKIFHIYRNNFSGVLPEGLGDLEFLESFSTYENQFSGKFPANLGRFSPLNAIDISENYFSGEFPRFLCQNNKLQFLLALDNNFSGEFPSSYSSCKTLQRFRISQNQFTGRIHSGIWGLPNAVIIDVANNKFVGGISSDIGISASLNQLYVHNNVFSGELPMELGKLSLLQKLVAFNNRFSGQIPAQIGSLKQLSFLHLEQNALEGSIPPDIGMCNSLVDLNLADNSLTGTIPDTLASLFTLNSLNLSHNMISGEIPEGLQYLKLSYVDFSHNNLSGPVPPALLMIAGDDAFSENDGLCIAGVSEGWRQNATNLRYCPWNDNHQNFSQRRLFVVLIIVTSLVVLLSGLACLRYENYKLEQFHSKGDIESGDDSDSKWVLESFHPPELDPEEICNLDVDNLIGCGGTGKVYRLELSKGRGVVAVKQLWKRDDAKVMTTEINTLGKIRHRNILKLHAFLTGGESNFLVYEYVVNGNLYDAIRREFKAGQPELDWEKRYRIAVGTAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPELAYSLKVTEKSDVYSFGIVLLELLTGRSPSDQQFDGELDIVSWVSSHLANQNPAAVLDPKVSSHASEDMTKVLNIAILCTVQLPSERPTMREVVKMLIDIDSISANGKAKNKNDKK >ONIVA01G44040.1 pep chromosome:AWHD00000000:1:37009296:37010374:-1 gene:ONIVA01G44040 transcript:ONIVA01G44040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSGEAHQDGCREETCWLQPARGQEAAEAGSNEREVEAVARGPVAGASAAAGRRRSGGRGRRGVDVAQGLRHVDGRHLVRVETVLQAADLSVLMPGQRYPTYLAQPAPLPPAPCPREGVHWPPHDHDVHHSYMPP >ONIVA01G44040.2 pep chromosome:AWHD00000000:1:37009296:37009922:-1 gene:ONIVA01G44040 transcript:ONIVA01G44040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACREETCWLQPARGQEAAEAGSNEREVEAVARGPVAGASAAAGRRRSGGRGRRGVDVAQGLRHVDGRHLVRVETVLQAADLSVLMPGQRYPTYLAQPAPLPPAPCPREGVHWPPHDHDVHHSYMPP >ONIVA01G44030.1 pep chromosome:AWHD00000000:1:37006638:37007072:-1 gene:ONIVA01G44030 transcript:ONIVA01G44030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGYCNLTSSPPVASGAVEAETKWEGVAVGAATLVRNFSSASQRFRPVERSRSTAGGNGGGLQAVVRRAFSMRRQPSSFADGYWRIHDDMDGDDAAGEHQEQAAFQDGEQKRREEQDHATGKKEKITKKKGRIFKACKKLLGF >ONIVA01G44020.1 pep chromosome:AWHD00000000:1:36991324:36996621:1 gene:ONIVA01G44020 transcript:ONIVA01G44020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIRLSSRWRYQQLEEGGRSGAKREERREAKGEGAKERGSRGRGEPNRGLATRMQAAGTHTEAVSFSSRVRFKSGAPTHWNQLTSVDEAWPLTECICMGPRADLDADLLSGRLRRGNPAKVTTITLFGPESLAFDGGRSGPVHPASPTSRIILKWGGVVAGWTTSAYSGNYRCTCFRSNTCVRTDRSQLIRACRRELRAGPPRTQYATCNGEHVQEASGPSVPFEIRRPLHRRFVCRSCSSMASSTVDIDQADADISLEEFGDSLCPLIPCIGELLTLPDSNFAVVTDRPLLYVQVTRLRCGGFVLHHR >ONIVA01G44010.1 pep chromosome:AWHD00000000:1:36984994:36991337:-1 gene:ONIVA01G44010 transcript:ONIVA01G44010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAILPGAFRLAEGACLPRLARSDEAAGGRAMRSPWRCWKGDASYSRYLLGVRPAGSSSVQVHYEPEEVPNSHKLAIRECPVQSNRVLQRATVGVPQRRQQLWRLPHTWLLSFSMMKWYRFPQTGKVAFRTR >ONIVA01G44010.2 pep chromosome:AWHD00000000:1:36985213:36991337:-1 gene:ONIVA01G44010 transcript:ONIVA01G44010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAILPGAFRLAEGACLPRLARSDEAAGGRAMRSPWRCWKGDASYSRYLLGVRPAGSSSVQVHYEPEEVPNSHKLAIRECPVQSNRVLQRGL >ONIVA01G44010.3 pep chromosome:AWHD00000000:1:36987386:36991337:-1 gene:ONIVA01G44010 transcript:ONIVA01G44010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAILPGAFRLAEGACLPRLARSDEAAGGRAMRSPWRCWKGDASYSRYLLGVRPAGSSSVQRSHPFCYHHCHLHHHDPFLCVD >ONIVA01G44010.4 pep chromosome:AWHD00000000:1:36986179:36991337:-1 gene:ONIVA01G44010 transcript:ONIVA01G44010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAILPGAFRLAEGACLPRLARSDEAAGGRAMRSPWRELFNLEALEHEVHYEPEEVPNSHKLAIRECPVQSNRVLQRGPNGLAM >ONIVA01G44010.5 pep chromosome:AWHD00000000:1:36987642:36991337:-1 gene:ONIVA01G44010 transcript:ONIVA01G44010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAILPGAFRLAEGACLPRLARSDEAAGGRAMRSPWRCKASRQQLCSELSVLNNSEKGLLPRRID >ONIVA01G44010.6 pep chromosome:AWHD00000000:1:36985150:36991337:-1 gene:ONIVA01G44010 transcript:ONIVA01G44010.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAILPGAFRLAEGACLPRLARSDEAAGGRAMRSPWRCWKGDASYSRYLLGVRPAGSSSVQQSNVEIVHQKQQANNTCIG >ONIVA01G44010.7 pep chromosome:AWHD00000000:1:36986179:36991337:-1 gene:ONIVA01G44010 transcript:ONIVA01G44010.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAILPGAFRLAEGACLPRLARSDEAAGGRAMRSPWRCWKGDASYSRYLLGVRPAGSSSVQVHYEPEEVPNSHKLAIRECPVQSNRVLQRGPNGLAM >ONIVA01G44010.8 pep chromosome:AWHD00000000:1:36985155:36986195:-1 gene:ONIVA01G44010 transcript:ONIVA01G44010.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRCEKYNASSTVGVPQRRQQLWRLPHTWLLSFSMMKWYRFPQTGNQMWKLYIKSNRPTILA >ONIVA01G44000.1 pep chromosome:AWHD00000000:1:36984144:36990037:1 gene:ONIVA01G44000 transcript:ONIVA01G44000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNSRPLKRARTRVEARDFAGFPPAGDGGAAGTFREAVRGFLARYARLLPLPSIFSPAAAAAPPHLLTWRVSLRVGEEGDEEGGGGAVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIIENDNNQVCGKRHSCCLRCGTPTVAGESRCLLCNFDMDGEELEECGYMHLDDNTHLLHAVVHANGYGHLLRVNGREGGSRCLTGRDIMSFWDRLCKVLHVRKVTVMDISKKHGMEYRLLHAITSGHPWYGEWGYKFGAGSFALTSDTYQEAVDTLSGIQLALYFSHRQPIRTPLQNTIALYWALSDRQLVTVRDLFRFIMHLLHQARKKNETSKPTTDEHKEVASNVLCKWTKEDIDRAETAMLKVLRVVQPGQWVSWRALRGAASKAVDSQELLDYSLRGLGGKLMDDGHFIAVRCNAETSAIEYRLEDNSNQSVDAAAFGPSVDHLLHDLKFLYNALLNPETMLASQPEVIGASSHSAAAKILDCKQFIKHYDQHTPRAPLNPFLLSVRCSIELLDHPKDYTAPPVELVLLPASATLAELKIQATRAFQETYLMFQSFQVEQLPDFPNFSDTTLVKHVLGSSQLVRVRGRCTGDNRRIVQFRMERGLENWTVDCTCGAKDDDGERMLACDVCGVWQHTRCSGISDFDDVPEKFICRKCASPRRGKGRGGGGGNGGSRMDVSAAGRCKDEIGSSVGGAGKFGRMATVG >ONIVA01G44000.2 pep chromosome:AWHD00000000:1:36984144:36988713:1 gene:ONIVA01G44000 transcript:ONIVA01G44000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNSRPLKRARTRVEARDFAGFPPAGDGGAAGTFREAVRGFLARYARLLPLPSIFSPAAAAAPPHLLTWRVSLRVGEEGDEEGGGGAVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIIENDNNQVCGKRHSCCLRCGTPTVAGESRCLLCNFDMDGEELEECGYMHLDDNTHLLHAVVHANGYGHLLRVNGREGGSRCLTGRDIMSFWDRLCKVLHVRKVTVMDISKKHGMEYRLLHAITSGHPWYGEWGYKFGAGSFALTSDTYQEAVDTLSGIQLALYFSHRQPIRTPLQNTIALYWALSDRQLVTVRDLFRFIMHLLHQARKKNETSKPTTDEHKEVASNVLCKWTKEDIDRAETAMLKVLRVVQPGQWVSWRALRGAASKAVDSQELLDYSLRGLGGKLMDDGHFIAVRCNAETSAIEYRLEDNSNQSVDAAAFGPSVDHLLHDLKFLYNALLNPETMLASQPEVIGASSHSAAAKILDCKQFIKHYDQHTPRAPLNPFLLSVRCSIELLDHPKDYTAPPVELVLLPASATLAELKIQATRAFQETYLMFQSFQVEQLPDFPNFSDTTLVKHVLGSSQLVRVRGRCTGDNRRIVQFRMERGLENWTVDCTCGAKDDDGERMLACDVCGVWQHTRCSGISDFDDVPEKFICRKCASPRRGKGRGGGGGNGGSRMDVSAAGRCKDEIGSSVGGAGKFGRMATVG >ONIVA01G43990.1 pep chromosome:AWHD00000000:1:36963795:36974196:1 gene:ONIVA01G43990 transcript:ONIVA01G43990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitotic checkpoint family protein [Source:Projected from Arabidopsis thaliana (AT5G49880) TAIR;Acc:AT5G49880] MILRTPPQRKRRADSDVDAAAATATTRSPVSDRRLVLYDRPTALVPAGVPGEPMDDMVCTYHCRQMVKSEFMVALDTAEKQVQEYRATLDDMEERLSKSEDERATCQDKLNYVEQELAATKGRESAMQERLLKEVGDFQERYCDQIKKIGELETQLKKEIDSRIAAESSSASAKESVKELEGNLQRLSENSEREKKALKKELSYLQDDTKLSISKLNAELERMRLRAQNSEDEAKLLNEQLEDLKKQLDEEKEVDEARRLKSSHTNVELLKEKILEEQGRRERAEMELSKLQEIEAKAQKLELELASCTALLSNIPDVSSFGDIPQKIADLQKQALTNLNKVGEVTSQLKELKVALEFADLSKQRAEGEATLAKERAESATREIKRLELLLAAISEERDRLRKDHAVSKSRDGDDASSKLGHGDYSASSTKVLRMVNTLAVDNEAKQTIEALQAELKKTKERLQAVEELKGQADVGTVVDVNIAEKLAQLKNQIATLEKREESDDEKLEFDYESGSTNIVVNDYTSQHEIAQQTLSTSERQPQPEKKPPRTRPPLPGKAVAALCVASFVVGLLLSGRVAVPLLPPGSSSPASNYKTSFSTGCENKRAKLGESNPTDIMNEVSRTHHAIQ >ONIVA01G43980.1 pep chromosome:AWHD00000000:1:36963233:36963457:1 gene:ONIVA01G43980 transcript:ONIVA01G43980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANDGADAAAVAAEDGLGGGCGRSFPIRRRAALLWQKSSSSRRSFPISTPLAAPSPSAAVLLSSGAMLPNRR >ONIVA01G43970.1 pep chromosome:AWHD00000000:1:36955625:36957930:-1 gene:ONIVA01G43970 transcript:ONIVA01G43970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cobalt ion binding [Source:Projected from Arabidopsis thaliana (AT1G71310) TAIR;Acc:AT1G71310] MEESAGVECAEWRSRFRSKKGSRLLLGRRAAATPLLARPFAAKARASRRPQEPAFPSEDEDDFAGGEVAAPAPTEGISKPLAEVLRELGKRVPEALVKTRVEDGFSLKYIPWHIVNKILNIHAPEWSGEVRSIVYSSDGKSVSVIYRVTLHGTDAEIYREATGTSSADDTGYGDPVQKAEAMAFRRACARLGLGLHLYHEDMS >ONIVA01G43970.2 pep chromosome:AWHD00000000:1:36955625:36957501:-1 gene:ONIVA01G43970 transcript:ONIVA01G43970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cobalt ion binding [Source:Projected from Arabidopsis thaliana (AT1G71310) TAIR;Acc:AT1G71310] MASGALARLLLGRRAAATPLLARPFAAKARASRRPQEPAFPSEDEDDFAGGEVAAPAPTEGISKPLAEVLRELGKRVPEALVKTRVEDGFSLKYIPWHIVNKILNIHAPEWSGEVRSIVYSSDGKSVSVIYRVTLHGTDAEIYREATGTSSADDTGYGDPVQKAEAMAFRRACARLGLGLHLYHEDMS >ONIVA01G43960.1 pep chromosome:AWHD00000000:1:36947487:36954847:1 gene:ONIVA01G43960 transcript:ONIVA01G43960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVIGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYSPDYSYPTNYYNIYGGAQYPFYGAAAAAAAAAAGMVTGSSPFYPYFQFGQSGSTTTNYASGQGYNLQYPQMFHFSTVASTAAAVTGFAQQYGGPLSLAASPQAQAGMTMALTAPTLPTPTQAAHPYRLIPSHFAVSAAPEQPLA >ONIVA01G43950.1 pep chromosome:AWHD00000000:1:36937129:36942348:-1 gene:ONIVA01G43950 transcript:ONIVA01G43950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGRMDVCTAIPGSNGKQCGVGPTTRRIIAGADLEGEEEGNQDLSTWSKWDYVPYRTVNVTILETTAAGIYSHAKHMEYMIDDSVCEDD >ONIVA01G43940.1 pep chromosome:AWHD00000000:1:36934509:36935054:1 gene:ONIVA01G43940 transcript:ONIVA01G43940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETSGVVAGRGVAWSRRSAATDEENEIITRLILGDEITPPLRRVDPTTGTGYWYSSN >ONIVA01G43930.1 pep chromosome:AWHD00000000:1:36927591:36931784:1 gene:ONIVA01G43930 transcript:ONIVA01G43930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPTRTVYIGNLDEKVTERILYEILIQPGRVVDLCIPHDKETSCLKGYAFAEYETEEIAQYAVQLFSGLVRLYGKTLKFAISGQDKPSSNGNNPVMPKLNPVPLPKQPQFVHHSDMHVLHTPADPMHYQLDPCIATEGSAMVWRLCFECCWPWSSEATNVVSVLLGTKEGNLPREEAMKMESPGVQPAAAGEEEGGGGVFFCVAVTSRGRTDRLSYFQAEGDGDDAEEVARATAALCLDHAPEHHHWHHHSVVGRRTFAFLAGDDGRTYFAVADPTPGSAETVRFLQRVRDAFGSCGGGGATRRRNQRDDAVDAVVWQFVRALRASAGRGTAALFPGDDSRGGGDASSADGDKDDEEEEDDRGGEAMAVAADGARQRTRRSWWRYSKVVIGVELVLFLVLFVVWMIVCKGFNCVQR >ONIVA01G43920.1 pep chromosome:AWHD00000000:1:36922932:36926114:-1 gene:ONIVA01G43920 transcript:ONIVA01G43920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLKRKAAAAAKEEEEEAGVGVATAAAAGRRRRRRLYGFSVSLVVACWVVLLLLNPLVGHGNGQRDEGIFADEGSSDPSFDSVEPTLSEGSVDSVVQQENGENHALPGDSCAKPDENHVLSEETLLEKDQLCSNDEAQGDGMDALPKDNVDQGENLPRTDDDSVVHPEGEVESEGVPRPARLSRVVPPGLDEFKTRAIAERGKGVPSGQPGNVIHRREPSGKLYNYASAAKGAKVLEFNKEAKGASNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNLKEFEMLSSLNYPTDSWETLGRFTVANAKIAQNFTFPEPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKRLEPDDKMKEPVDQQTQLKEPTEGKESSHEPLDEDEFELEDDKLNGDSSKNGVHDQVTETRPIQAGRIPGDTVLKVLMQKVQSLDVSFSVLERYLEELNSRYGQIFKDFDADIDTKDALLEKIKLELKHLESSKDDFAKEIEGILSWKLVASSQLNQLLLDNVIIRSELERFREKQADLENRSFAVIFLSFVFGCLAIAKLSIGMIFNTCRLYNFEKFDRFQIS >ONIVA01G43910.1 pep chromosome:AWHD00000000:1:36919139:36920224:-1 gene:ONIVA01G43910 transcript:ONIVA01G43910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEIGEDMLTEILVRLPYKSLARFQCVATSWRRLISGDYLRRRLPLITSGVLYQEGRGGGGGGGEGRRRQAYTYACASGGGDHGGGVAEADMGFFPGHETSTIIDGCNGMLLYYASHPAAAFHVVNPTTRRWARLPPPRGKTLLSVLAFDPSASPHYRVVCFTGWLPRGASVEVFDSEGGAWRDHELDFGLDTDAMSATMHYFDGAIHVLAYSGHVVRIDLGTMACVVTALPAPVSCRARAGHCRGRLRYASSDGTRLKFWELKNAATSEWALKHELGVNDLVAGEASGACQAVTFLFMAFHPDREMVYLWTPWKLVAFDMEKRRVEEEWEVGPEKEKEGAHLIQIWLFPFSRHLANSLA >ONIVA01G43900.1 pep chromosome:AWHD00000000:1:36913564:36918458:1 gene:ONIVA01G43900 transcript:ONIVA01G43900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWG1] MAVGTESGSGGVAESAPSTPMSAMTTTPISARSVRWESDMPDIEEGGGGLERPLLRRRGTNTTSQMAIVGANVCPIESLDYELVENEVYKQDWRSRGKLQIFHYQILKWVLALLVGLIVGLIGFFNNIAVENIAGFKLLLTTNLMLQNRYKAAFLWFISCNAMLAAAAAALCAYFGPAAAGSGIPEVKAYLNGVDAPSILAPSTLFVKIVGSIFGVSAGFVLGKEGPMVHTGACVASFLGQGGSRKYGFTWNWLRWRSALLWRTFSTTAVAAMVLRSLIEYCRSGNCGLFGKGGLIMFDVSSQVTSYTTMDLAAVVLLAIVGGLLGALFNFLLNRILRVYSYINEKGAPYKIILTVVISLVTSCCSFGLPWLTACTPCPPELAASGHCPTIGRSGNFKNFRCPPGQYNAMASLFLNTNDDAIRNLFSGGTESEFGVPMLLAFFTAVYSLGLVTYGVAVPSGLFIPVILSGASFGRLLGKLLGALTGLDTGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLIMLVLLVSKTVADCFNKGVYEQMVRMKGLPYLEAHAEPCMRSLVAGDVVSAPLIAFSSVESVGTVVDTLRRTGHNGFPVIEDAPFAPEPELCGLVLRSHLLVLLRAKTFTADRVKTGAAEVFRKLAPFDFAKPGSGKGLTVDDLDLTEEEMAMYVDLHPIANRSPYTVVENMSLAKAAVLFRQLGLRHMCVVPRTPGRPPVVGILTRHDFMPGYIRGLFPNVLRE >ONIVA01G43890.1 pep chromosome:AWHD00000000:1:36909113:36910369:-1 gene:ONIVA01G43890 transcript:ONIVA01G43890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMADEFKIVLTRKERFLYLAKAVVFLVIFALGVVAGLWTATGPRRCCSTYTNILFPSTTVYRYRGGGGGSFSEFVAPTRLMHDMTDEQLFWRATMAPASSGGAYPFQRVPKVAFMFLAGRGVLPLAPLWERFFRGHEGLFSIYVHAPPGMVLNVSDDSPFYGRQIPSQETSWGSITLMDAEKRLLANALLDFSNDRFVLLSESCIPVQSFPVAYGYLTGSRHSFVEVYYHKGKTCRGRYSRRMEPDITLPQWRKGSQWFELRRDLAVAALTDARYYPLFRRHCRPSCYPDEHYLPTFVAMLHGADNSNRTVTYVDWSRGGAHPATYTAGDVTPELILSIRRSEVPCMYNSRPTTACFLFARKFSADALEPLLNISSTVMQY >ONIVA01G43880.1 pep chromosome:AWHD00000000:1:36905805:36908300:1 gene:ONIVA01G43880 transcript:ONIVA01G43880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYHRILNIPRETSPQEIRAAYKSLVKKWHPDKHPPSSKPEAEARFKAITEAYEALLDQQENRAVFGVCCNDGRAGEKAMACGVVGGGGAHIARTRSDDFGARMAPGTPAREFKKVYSSGNSGGRRAFAEFSSSIMRKAPPLERKLDCTLEELCHGCKKEVKFTRDVVTKNGVAGLITFNGFTILDRSIVKKEVSQMVLVKPGWKKGNKITFEGMGDERPGCLPADAVFVISEKKHPVFKRVGNDLVLKAEVPLDEIICPGYEKIIKGEGMPIADQKGARGDLRVKFEIAFPKQLTDEQRDGLAQILRGCAWD >ONIVA01G43880.2 pep chromosome:AWHD00000000:1:36905805:36908300:1 gene:ONIVA01G43880 transcript:ONIVA01G43880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYHRILNIPRETSPQEIRAAYKSLVKKWHPDKHPPSSKPEAEARFKAITEAYEALLDQQENRAVFGVCCNDGRAGEKAMACGVVGGGGAHIARTRSDDFGARMAPGTPAREFKKVYSSGNSGGRRAFAEFSSSIMRKAPPLERKLDCTLEELCHGCKKEVKFTRDVVTKNGSIVKKEVSQMVLVKPGWKKGNKITFEGMGDERPGCLPADAVFVISEKKHPVFKRVGNDLVLKAEVPLDEIICPGYEKIIKGEGMPIADQKGARGDLRVKFEIAFPKQLTDEQRDGLAQILRGCAWD >ONIVA01G43870.1 pep chromosome:AWHD00000000:1:36890634:36897704:1 gene:ONIVA01G43870 transcript:ONIVA01G43870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) TAIR;Acc:AT5G63810] MGRPHRRRDCGHGAAHRERCRIQSKQLHSTVHTPPARRLPPHAILYHHHHHRVVLAHTPYRPPPSTAAMAGASSSFSLRRLLLLLLPLIPLLGATTAAAAGANSSVTYDQRSLIISGRRRLLISTSIHYPRSVPEMWPKLVAEAKDGGADCVETYVFWNGHEPAQGQYYFEERFDLVRFAKIVKDAGLYMILRIGPFVAAEWTFGGVPVWLHYAPGTVFRTNNEPFKSHMKRFTTYIVDMMKKEQFFASQGGHIILAQVENEYGDMEQAYGAGAKPYAMWAASMALAQNTGVPWIMCQQYDAPDPVINTCNSFYCDQFKPNSPTKPKFWTENWPGWFQTFGESNPHRPPEDVAFSVARFFGKGGSLQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRLPKWAHLRDLHKSIKLGEHTLLYGNSSFVSLGPQQEADVYTDQSGGCVAFLSNVDSEKDKVVTFQSRSYDLPAWSVSILPDCKNVAFNTAKVRSQTLMMDMVPANLESSKVDGWSIFREKYGIWGNIDLVRNGFVDHINTTKDSTDYLWYTTSFDVDGSHLAGGNHVLHIESKGHAVQAFLNNELIGSAYGNGSKSNFSVEMPVNLRAGKNKLSLLSMTVGLQNGGPMYEWAGAGITSVKISGMENRIIDLSSNKWEYKIGLEGEYYSLFKADKGKDIRWMPQSEPPKNQPMTWYKVNVDVPQGDDPVGLDMQSMGKGLAWLNGNAIGRYWPRISPVSDRCTSSCDYRGTFSPNKCRRGCGQPTQRWYHVPRSWFHPSGNTLVIFEEKGGDPTKITFSRRTVASVCSFVSEHYPSIDLESWDRNTQNDGRDAAKVQLSCPKGKSISSVKFASFGNPSGTCRSYQQGSCHHPNSISVVEKACLNMNGCTLSLSDEGFGEDLCPGVTKTLAIEADCS >ONIVA01G43870.2 pep chromosome:AWHD00000000:1:36890634:36897841:1 gene:ONIVA01G43870 transcript:ONIVA01G43870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) TAIR;Acc:AT5G63810] MGRPHRRRDCGHGAAHRERCRIQSKQLHSTVHTPPARRLPPHAILYHHHHHRVVLAHTPYRPPPSTAAMAGASSSFSLRRLLLLLLPLIPLLGATTAAAAGANSSVTYDQRSLIISGRRRLLISTSIHYPRSVPEMWPKLVAEAKDGGADCVETYVFWNGHEPAQGQYYFEERFDLVRFAKIVKDAGLYMILRIGPFVAAEWTFGGVPVWLHYAPGTVFRTNNEPFKSHMKRFTTYIVDMMKKEQFFASQGGHIILAQVENEYGDMEQAYGAGAKPYAMWAASMALAQNTGVPWIMCQQYDAPDPVINTCNSFYCDQFKPNSPTKPKFWTENWPGWFQTFGESNPHRPPEDVAFSVARFFGKGGSLQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRLPKWAHLRDLHKSIKLGEHTLLYGNSSFVSLGPQQEADVYTDQSGGCVAFLSNVDSEKDKVVTFQSRSYDLPAWSVSILPDCKNVAFNTAKVRSQTLMMDMVPANLESSKVDGWSIFREKYGIWGNIDLVRNGFVDHINTTKDSTDYLWYTTSFDVDGSHLAGGNHVLHIESKGHAVQAFLNNELIGSAYGNGSKSNFSVEMPVNLRAGKNKLSLLSMTVGLQNGGPMYEWAGAGITSVKISGMENRIIDLSSNKWEYKIGLEGEYYSLFKADKGKDIRWMPQSEPPKNQPMTWYKVNVDVPQGDDPVGLDMQSMGKGLAWLNGNAIGRYWPRISPVSDRCTSSCDYRGTFSPNKCRRGCGQPTQRWYHVPRSWFHPSGNTLVIFEEKGGDPTKITFSRRTVASVCSFVSEHYPSIDLESWDRNTQNDGRDAAKVQLSCPKGKSISSVKFASFGNPSGTCRSYQQGSCHHPNSISVVEKACLNMNGCTLSLSDEGFGEDLCPGVTKTLAIEADCS >ONIVA01G43860.1 pep chromosome:AWHD00000000:1:36883206:36887843:-1 gene:ONIVA01G43860 transcript:ONIVA01G43860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin family protein [Source:Projected from Arabidopsis thaliana (AT4G06599) TAIR;Acc:AT4G06599] MAKVSSSSAAAVPPLDPEAIAAVAEAAPPEEMTLVAKWKGNDYTVRVVGDDTLGELKRRICEVTGVLPKRQKLLYPKFKLNECKDSELISSIPFKPNVKINMIGTVEDEIFVDQEDDPEILNDYEIGQNEVTAIKDKDVYKQKLKRRASQYKIKILNPCRKGKKLLVLDIDYTLFDHRSAAENPIELMRPYLHEFLSAAYSEYDIMIWSATSMKWVEMKMDQLGVLGNPNYKITALMDHLAMITVQSENLSEKKTFDCKPLGVIWAQFPEYNETNTIMFDDLRRNFVMNPQNGLVIKPYKRTHSNRGTDQELVKLTQYLLTIAELEDLSKLDHSAWESFTEENAKRRRHR >ONIVA01G43850.1 pep chromosome:AWHD00000000:1:36879250:36883446:1 gene:ONIVA01G43850 transcript:ONIVA01G43850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDDTSGAKHAMMWALTHVANKGDFLTLLHVLPYAGAGRGEETPSLANSLGTLCKACRPEVEVEALVIQGPKLATVLSQVKKLEASVLVLSQSKPSHFCWLSCILRSSIEEFVEQCINQAECLTLAVRKQSKGVGGYLISTRWQKNFWLLA >ONIVA01G43840.1 pep chromosome:AWHD00000000:1:36866704:36870880:1 gene:ONIVA01G43840 transcript:ONIVA01G43840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLARSLLLAVLCAVLWSGLWLRARRTSGARLMAASSRHRALPGFRRSLWRHGKTASSSRDMNDDTSHGRWSWQLPSKRTKTEGFFFRNENSEL >ONIVA01G43830.1 pep chromosome:AWHD00000000:1:36865369:36866480:-1 gene:ONIVA01G43830 transcript:ONIVA01G43830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFFTSLARGLDDLSSGGGLSSLPALLRAAALLRGLHSQLTLLVGQLHLPPGGRWLDEYMDETARLWEACLAVKVGLAAVERYCAAASCAAAAMDDWLQDPSPLSTRQVMRAISASRREAMAAEEENRALSEARIAPLSLQLDERLLLRAADARLSGFNGFRGLLYALHNASSLLLLVLASGAVSCAAAAAGPCSADGAADAGGFVASIAMLQQRMAEEADADGGAGAARGGIRMYEFRCARAAVEAAREEVERAVAAGPRKQQCEDGDGGIKDKVDELKAWLDVLRTGTDGLVCQLDDFLDDIVEGRKELSDLCSH >ONIVA01G43820.1 pep chromosome:AWHD00000000:1:36858296:36862844:1 gene:ONIVA01G43820 transcript:ONIVA01G43820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPESSDLSLGFHSLGHARGHAVTGPLRLFDDMEDAKPEKSVGGGGGGGGGGGEEEDGEEGGDQHFSLLGHALCVKRPRRALYGGGGGGGAGGGGGGGGEASSCSSSSSSLHPAKRQATAERGADLEARRGAVRAWGNQALAEADPDVHALMELERDRQVRGIELIASENFVCRAVLEALGSHLTNKYSEGHPGARYYGGNQHIDGIERLCHERALAAFGLDPACWGVNVQPYSCTSANLAVYTGLLLPKDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESLSYKVNPQTGYIDYDKLEERAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRRGKNLRRRTGSFSQADENDYDFEDRINFAVFPSMQGGPHNNHIAALAITLKQVATPEYKAYIIQVKKNAQALASALLRRKCRLVTGGTDNHLVLWDLRNLGLTGKNFEKVCEACHISINKMPIYGDNGSISPGGVRIGTPAMTTRGCLEDDFEVIADFLIRATQIASNLMKEHGKMQKEFLRGLQNNKDIIELRNQVENFASQFAMPGFDV >ONIVA01G43810.1 pep chromosome:AWHD00000000:1:36850951:36854916:-1 gene:ONIVA01G43810 transcript:ONIVA01G43810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G34380) TAIR;Acc:AT1G34380] MPPPAVSTAPVAPVVASSSSAAAAAPRRPRSLRLRVTPYASVSSSSSPSTLAVSIPPPPPARHSRKQLVGRDGAPAKPAKPRVFFLDVNPLCFRGSQRSLGAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRPRGPGTGADSRVIDVLRECNVPVVRIDGYEADDVVATLTEQVLQKGFRVVIGSPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLSEYMSIFYLTDASKLFCTGCFIGDEADGVPGIQHLVPGFGRKTAVKLLKKHGSLENLLNTAAVRTVGKDYAQDALVKHADYLWKNYEVLSLKRDVKVQLDDRWLSTRDSCNDSSVLSDFILKFNEGQNISEDARVELESERAPNRTFAVPENMPK >ONIVA01G43810.2 pep chromosome:AWHD00000000:1:36850951:36854916:-1 gene:ONIVA01G43810 transcript:ONIVA01G43810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G34380) TAIR;Acc:AT1G34380] MPPPAVSTAPVAPVVASSSSAAAAAPRRPRSLRLRVTPYASVSSSSSPSTLAVSIPPPPPARHSRKQLVGRDGAPAKPAKPRVFFLDVNPLCFRGSQRSLGAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRPRGPGTGADSRVIDVLRECNVPVVRIDGYEADDVVATLTEQVLQKGFRVVIGSPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFIGDEADGVPGIQHLVPGFGRKTAVKLLKKHGSLENLLNTAAVRTVGKDYAQDALVKHADYLWKNYEVLSLKRDVKVQLDDRWLSTRDSCNDSSVLSDFILKFNEGQNISEDARVELESERAPNRTFAVPENMPK >ONIVA01G43810.3 pep chromosome:AWHD00000000:1:36852894:36854916:-1 gene:ONIVA01G43810 transcript:ONIVA01G43810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G34380) TAIR;Acc:AT1G34380] MPPPAVSTAPVAPVVASSSSAAAAAPRRPRSLRLRVTPYASVSSSSSPSTLAVSIPPPPPARHSRKQLVGRDGAPAKPAKPRVFFLDVNPLCFRGSQRSLGAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRPRGPGTGADSRVIDVLRECNVPVVRIDGYEADDVVATLTEQVLQKGFRVVIGSPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFIGDEADGVPGIQHLVPGFGRKTAVKLLKKHGSLENLLNTAAVRTVGKDYAQDALVKHADYLWKNYEVLSLKRDVKVQLDDRWLSTRDSCNDSSVLSDFILKFNEGQNIRGN >ONIVA01G43800.1 pep chromosome:AWHD00000000:1:36844693:36848518:1 gene:ONIVA01G43800 transcript:ONIVA01G43800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAPPSRASPPIRSASPPPPPPASFASLFPFLPFQLATASPQREKRRQQSKRTQSWPRTHTDSLALLLPLYLSSLPRRLLPNPPNPNPPPHSLSISCSPPFASAFFGGEMAEEPQPEAAPAAVAATTEVAVAEKAPVEAEKEKKVEEETPAVEAEAKEEKKDEAAAAGGDEAGAIEGTGSFKEESNLVADLPDPEKKALDEFKQLIAAALAACEFNLPPPPPPPKAKVEAAVEETKAEESKAEEEPKAEEPAKEEEPKAEVAAAAAAPPEAGTEEPKAEASSEEAKTEEPKAEAAADEPAKEESKAEAAPAEEAKPAEPEPEEKTVVVTEEEAATKTVEAIEETVVPAAAAPAAAATEEAAAPEPEVQAAAAPEPVLIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLRSAVLWRKRFGIESLLDADLALPELDSVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILSQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFIFASPAKSAETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDAVTELTIKPSSKETVEIPVTENSTIGWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKIVLTINNPASKKKKLLYRSKVKSTSESV >ONIVA01G43790.1 pep chromosome:AWHD00000000:1:36827445:36828897:1 gene:ONIVA01G43790 transcript:ONIVA01G43790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKENQPTQQHRKASPSESPKKKKKTPLYDSTNTPPSPARSLAAHIYARLASPLPLLLLLVQNQREALVAHNQLDTAARRTNARRGHGEVAMLREGAHEQGGVDEGGGPAADRLHQGARRGLLAVAAQGGGAPPLRQELPPPLDELPPPRPQARQLHRRRRRAHHQAPRPSRQQVVVDCGAAAGEDGQRDQELLEHAHQAQAPEPGHRPADAPAGQRREQRRRGERADHDGQHRRLSVPCAGAAAAATQATQPGARRGAEQCELRQVRGVPAVGGRPQQQRRQLGRAAVPRPQPRPRPRPVHEPAELAAQDAGRRVVHDRVAPPSPPAAEDHLPLLPPRRPQRRRLQLQGGRAIAGRPTRVPVSQATGGGPVHIAQ >ONIVA01G43780.1 pep chromosome:AWHD00000000:1:36811993:36815730:-1 gene:ONIVA01G43780 transcript:ONIVA01G43780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLVPRAAASFLGAPPLLTTQAGPLLAARSGPPNPSRLRLRLSPWRLLRSRRGLSCSADAAKRCGDDDAEEDGEQSVAGGGGSRPVVDRRQRSRGDAAMGSGELLAIPGVGPRNLRKLVDKGFDGFFGKSNEKMVEFLQSSVGIIHKNHAESITLFIKESVDEELKGTDSPNVSKNKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIAKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESSSGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGQGPGVLSVSQVPVHMEGSLPPDIRERVFYLEGDHMHSSIQKVPALVLDCEHDIDFNKDIEAKRQYARQVAEFFEFVKKKKEDPSAESSGGDKSTNKQIMLPHRGGLWVPEGNPLPGSALNSLDFRRAMSSFLSA >ONIVA01G43770.1 pep chromosome:AWHD00000000:1:36807560:36808325:1 gene:ONIVA01G43770 transcript:ONIVA01G43770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTAPCAARSASRCVAWHIALLFLWTQTKSKTIEQGVGLVSWFGVQTIDRFEITDDQNIFPLANTCDGEDRRYARDRQRITSPVFWRLGGMEIAGEMLTRRRRMLYRVVASPVLPIPGEGVMDVLGYDMVLRVKH >ONIVA01G43760.1 pep chromosome:AWHD00000000:1:36796330:36803196:-1 gene:ONIVA01G43760 transcript:ONIVA01G43760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKGSAVDKSPSDTTLGPDRVVRGHERGGAGVGVGKEERKTVAREAAAKRIQEQQQQQQRQQPASVQEAPASRAPIDARELPWDGVPNLARLPSQKSGMEVSSILGRASTVGLGKAVEVLDTLGSSMTNLNINSGFGSGTTTKGNKISILAFEVANTIVKGCNLMRALSKESIKHLKEVVLHSEGVQNLISKDMDELLKISAADKREELKVFSTEVVRFGNRCKDPQWHNLDRYFDKFASERTPQHHLKEEAESVMQQLVTCVQCTAELYHEMHALDRFEQDYQRKQQEEDGSSVHQRGENLNILKQEVKSQRKHVKSLQKKSLWSKNLEEVMEKLVDIVHFLHLEIHNAFGRSDNEESQEPTKRRNRLGLAGLALHYANIISQIDTLVSRSSSIPPNTRDALYQSLPPTVKSSLRSKVNSFVVNEEVTAAQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSEVNCKPTGQMDLTRIETLYHADKEKTETHILELVAWLHHLISRSKSANGERSPIKSPVRSPTQRGHTITLSPNKASSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFETKSRHSKQSRLSKSNSHSPSSGNMKELLSIRRMLPVIDFEIDRTKAMDVIDRVDNLKSTVRT >ONIVA01G43760.2 pep chromosome:AWHD00000000:1:36796330:36803196:-1 gene:ONIVA01G43760 transcript:ONIVA01G43760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKGSAVDKSPSDTTLGPDRVVRGHERGGAGVGVGKEERKTVAREAAAKRIQEQQQQQQRQQPASVQEAPASRAPIDARELPWDGVPNLARLPSQKSGMGVAKASAAKASGLLVLFVSEVSSILGRASTVGLGKAVEVLDTLGSSMTNLNINSGFGSGTTTKGNKISILAFEVANTIVKGCNLMRALSKESIKHLKEVVLHSEGVQNLISKDMDELLKISAADKREELKVFSTEVVRFGNRCKDPQWHNLDRYFDKFASERTPQHHLKEEAESVMQQLVTCVQCTAELYHEMHALDRFEQDYQRKQQEEDGSSVHQRGENLNILKQEVKSQRKHVKSLQKKSLWSKNLEEVMEKLVDIVHFLHLEIHNAFGRSDNEESQEPTKRRNRLGLAGLALHYANIISQIDTLVSRSSSIPPNTRDALYQSLPPTVKSSLRSKVNSFVVNEEVTAAQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSEVNCKPTGQMDLTRIETLYHADKEKTETHILELVAWLHHLISRSKSANGERSPIKSPVRSPTQRGHTITLSPNKASSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFETKSRHSKQSRLSKSNSHSPSSGNMKELLSIRRMLPVIDFEIDRTKAMDVIDRVDNLKSTVRT >ONIVA01G43750.1 pep chromosome:AWHD00000000:1:36787941:36794390:-1 gene:ONIVA01G43750 transcript:ONIVA01G43750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSELQCVGRLEVAAPPPARYLRVGSLPVPTDSPASLPALLPSSSPTGAPRYQMLPLETDLNTLPMIPNIPEKVFPMDAKSTEGSRYGSGLANQNLSRKCEALAVSGLAEYGDEIDVVAPTDILKQIFKIPYSKAQVSIAVNRIGDTLILNTGPDVDEGEKIFRRQSNHPKGSDPSMFLNFAMHSVRAEACDCPPSHQPSKEKQTASAILRGPFGQREGPLDSPSSSSFSTSPYLDQNISKSRKTSHGARESLYWGARENKQKVKGSDPVKKTTHVGDKPRCDVQESEKSRRVGNNGFRKVCFWQFHNFHMLLGSDLLIFSNEKYMAVSLHLWDVSRQVTPLNWLEAWLDNIMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQDNCKQDPGAYWLYKGAEEDVIQLYDLSILPQNHTAGDHRSTCGPMSSLMKKGRKESLFSLGTLLYRVAHRMSLSKVPSNRAKCAKFFKKCLDFLSEQDHLVVRAYAHEQFARLILRCYEELELTSESFLLESEVTLTDLDESPDLSLENLPSKQNEVLTEISEEPATLDGMLECSRSGSSQASNSLVDPGHVDISPVSSATKGDVTVDSLVMCQSGTQVSRTIADAISSKLAAIHHVSQAIKSLRWNRQLQNTQDDCVGNADTIWEKPVDFSLCRCGDIDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDGQLRRTLKVVELACLVYGSMPKNLEGEQFISSMSNSSLSVEDGDLKANLVLDEADYFKNAKCFNYDVSAGQLPPNYLFWVKAWMLVGDVYAEYHRLRGQQAPVLPEQKPDGEVRMSNEVAMEVKRLKRKLGKDKQNCGTCSLINCSCQSDRANSGSSASSSSSEASTLYGRKKNKKSSGRNFHSQSVSNVEIDTNNYTMENQSRNNDGVPDKSKEDVSSVRVGGIFKFLGGPEPGDVEYNLHSAIHCYDAAKGVIFAFPVPSAEKSTILKKRGWAFNELGCHRLESRNLGNAEIAFADAIKAFQEVADHTNVILINCNLGHGRRALAEQFVSRIDEFQKYDFPQDAYMQSFKSAKSEYFQAINYYTAAKRQLTYADNEVDKVLYNEVYTQYAHTHLRLGMLLARESFLTDSYEGGFVDESSNRTVLEISASDAFREALSTYESLGEHRKQEAAFGHFQLACYQRDLCLRFLDLIDKEVKQKNEDKYRQKAKWYGSLAEKNWQRALEFYGPKTHSTMFLNILMAQSALSVNLSDSFHSSVMLENALVHLLDGRHVVEANDEYSNDLDLDIKPKFWSQLQRLLKSMLAAARPAASVGQANASNSRGDTAKLKEMYRLSLKSTSLGQLHALHKIWVS >ONIVA01G43750.2 pep chromosome:AWHD00000000:1:36787941:36794390:-1 gene:ONIVA01G43750 transcript:ONIVA01G43750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSELQCVGRLEVAAPPPARYLRVGSLPVPTDSPASLPALLPSSSPTGAPRYQMLPLETDLNTLPMIPNIPEKVFPMDAKSTEGSRYGSGLANQNLSRKCEALAVSGLAEYGDEIDVVAPTDILKQIFKIPYSKAQVSIAVNRIGDTLILNTGPDVDEGEKIFRRQSNHPKGSDPSMFLNFAMHSVRAEACDCPPSHQPSKEKQTASAILRGPFGQREGPLDSPSSSSFSTSPYLDQNISKSRKTSHGARESLYWGARENKQKVKGSDPVKKTTHVGDKPRCDVQESEKSRRVGNNGFRKVCFWQFHNFHMLLGSDLLIFSNEKYMAVSLHLWDVSRQVTPLNWLEAWLDNIMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQDNCKQDPGAYWLYKGAEEDVIQLYDLSILPQNHTAGDHRSTCGPMSSLMKKGRKESLFSLGTLLYRVAHRMSLSKVPSNRAKCAKFFKKCLDFLSEQDHLVVRAYAHEQFARLILRCYEELELTSESFLLESEVTLTDLDESPDLSLENLPSKQNEVLTEISEEPATLDGMLECSRSGSSQASNSLVDPGHVDISPVSSATKGDVTVDSLVMCQSGTQVSRTIADAISSKLAAIHHVSQAIKSLRWNRQLQNTQDDCVGNADTIWEKPVDFSLCRCGDIDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDGQLRRTLKVVELACLVYGSMPKNLEGEQFISSMSNSSLSVEDGDLKANLVLDEADYFKNAKCFNYDVSAGQLPPNYLFWVKAWMLVGDVYAEYHRLRGQQAPVLPEQKPDGEVRMSNEVAMEVKRLKRKLGKDKQNCGTCSLINCSCQSDRANSGSSASSSSSEASTLYGRKKNKKSSGRNFHSQSRETKENPSTQDSMGDSESKQQSVNGACFEKRSVSNVEIDTNNYTMENQSRNNDGVPDKSKEDVSSVRVGGIFKFLGGPEPGDVEYNLHSAIHCYDAAKGVIFAFPVPSAEKSTILKKRGWAFNELGCHRLESRNLGNAEIAFADAIKAFQEVADHTNVILINCNLGHGRRALAEQFVSRIDEFQKYDFPQDAYMQSFKSAKSEYFQAINYYTAAKRQLTYADNEVDKVLYNEVYTQYAHTHLRLGMLLARESFLTDSYEGGFVDESSNRTVLEISASDAFREALSTYESLGEHRKQEAAFGHFQLACYQRDLCLRFLDLIDKEVKQKNEDKYRQKAKWYGSLAEKNWQRALEFYGPKTHSTMFLNILMAQSALSVNLSDSFHSSVMLENALVHLLDGRHVVEANDEYSNDLDLDIKPKFWSQLQRLLKSMLAAARPAASVGQANASNSRGDTAKLKEMYRLSLKSTSLGQLHALHKIWVS >ONIVA01G43740.1 pep chromosome:AWHD00000000:1:36784559:36793018:1 gene:ONIVA01G43740 transcript:ONIVA01G43740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWD9] MSPDATGEAGGGGGGGGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLLRAGAAGSRAGVGGYGYLLEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLQRVGVLGCVLCIVGSTVIILHAPQERTPSSVDEIWHLAIQPDFLCYATAAVAVSLFLMIYCAPRYGQMNIMVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFAVISITCIAVQLVYLNKALDTFNTAVVSPIYYAMFTTLTILASAIMFKDWSGQSASKIASEICGFLTVLAGTLVLHSTREPDQTLSADLYAPLPPKIYWHIQGNGDIGKQKEDDSLPCDIITVMRQDYFV >ONIVA01G43730.1 pep chromosome:AWHD00000000:1:36777690:36778288:-1 gene:ONIVA01G43730 transcript:ONIVA01G43730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVHDHLTSGALSGSRQQHIRVPVAMDKMNGARSEKINQSLQKYDHPRCNAWTALVNEYGLQEENAYLHKAQMLIDDEMKPNDSQEIDTNRKDVKRALEATKLLISHPSEEK >ONIVA01G43720.1 pep chromosome:AWHD00000000:1:36764370:36766022:-1 gene:ONIVA01G43720 transcript:ONIVA01G43720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWD7] MAAAAAATATASSGLIRCATGGAPAHGHHQVFRCSAAKPSPLALRHRAGRPAPLQAFPEYDRVTPFDYDGEVDGGDGDDHPREECGVFGVVGDPDATSLCYLGLQKLQHRGEEGAGIAAAGDDGTIKLERGLGLVGDVFGDPARLGKLPGQAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQLAVAHNGNLVNYQALRNKLEAQGSIFSTSSDTEVILHLIATSLSRPLLARICDACERLAGAYSLLFLTADKLLAVRDPFGFRPLVMGRRANGAIVFASETCALDLIDATYEREVEPGEVVVVDRRDMSVSSACLVPHRPRKSCVFEHIYFALPNSVVFGHAVHERRNAYGRALAEESPAPTADVVIPVPDSGFYAALGFSQTSGLEFQQGLIRWHYSGRSFIQPSQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVIGSCLYGIDTPSEGELISNRMDLEGVRRAIGCDSLAFLSLDKLHTIYGDEAHELCDACFSRNYPVLPTVPEPVPELVSAFED >ONIVA01G43710.1 pep chromosome:AWHD00000000:1:36754550:36759234:-1 gene:ONIVA01G43710 transcript:ONIVA01G43710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSKRACAPTPMEVPSPGNQPVTPPGNQPVTQPLPPQFVVPSSFASTYRPGALFPAVTTQSMAPSSAPCWFTTLQQPGMTGEWILTHLRDMNLKY >ONIVA01G43700.1 pep chromosome:AWHD00000000:1:36748646:36752005:-1 gene:ONIVA01G43700 transcript:ONIVA01G43700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDDDMERDFAARLRLAPSPASPTAAAGGGGGGIAFRAPQEQFTVGDFELGKIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLSEDEARFYAAEIVDILEYLHSLGLIHRDVKPENLLLTSDGHIKIADFGSVKPTKDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPPTFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDLKIPEYFSDDARDLIDKLLDVDPSKRPGAGPDGYVSLKKHPFFRGIDWKNIRSTRAPKLAMEANANEDEDSQDSSWLSHMGSAPVNQHVSPVGNDGASSSSEVRSHISRLASIDSFDSRWQDFLEPGESVVLISKLKKINKLTNKKVQLILTDKPQLICVDPGKMVTKGNIMWSDDPSELNVQVSNSSHFRICTPKKVSSFEDAKQRAWQWKKAIEDLQRCQKN >ONIVA01G43700.2 pep chromosome:AWHD00000000:1:36749182:36752005:-1 gene:ONIVA01G43700 transcript:ONIVA01G43700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDDDMERDFAARLRLAPSPASPTAAAGGGGGGIAFRAPQEQFTVGDFELGKIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLSEDEARFYAAEIVDILEYLHSLGLIHRDVKPENLLLTSDGHIKIADFGSVKPTKDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPPTFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDLKIPEYFSDDARDLIDKLLDVDPSKRPGAGPDGYVSLKKHPFFRGIDWKNIRSTRAPKLAMEANANEDEDSQDSSWLSHMGSAPVNQHVSPVGNDGASSSSEVRSHISRLASIDSFDSRW >ONIVA01G43700.3 pep chromosome:AWHD00000000:1:36748646:36749113:-1 gene:ONIVA01G43700 transcript:ONIVA01G43700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIMLKFFHKRQDFLEPGESVVLISKLKKINKLTNKKVQLILTDKPQLICVDPGKMVTKGNIMWSDDPSELNVQVSNSSHFRICTPKKVSSFEDAKQRAWQWKKAIEDLQRCQKN >ONIVA01G43690.1 pep chromosome:AWHD00000000:1:36740298:36747538:-1 gene:ONIVA01G43690 transcript:ONIVA01G43690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease 3 [Source:Projected from Arabidopsis thaliana (AT1G75660) TAIR;Acc:AT1G75660] MGVPAFYRWLAEKYPMVVVDVVEEEAVEIEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKQQSQTCDSNVITPGTEFMAVLSIALQYYIHLRLNYDPGWKQVKVILSDANVPGEGEHKIMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVYTPGQQDKCFLCGQVGHLAANCEGKVKRKAGEFDEKGEAIVPKKPYQFLNIWTLREYLEYEFRMQNPPFPIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNKVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQAKRGDDLDPHVRDDLIVPVARFQGSRLASGPAPSPYEQNGSDKNNGGKNSRARKAARVSSSGSSIAAAIVEAENDLEAQERENKEDLKTMLKDALREKSDVFNSENPEEDKIKLGEPGWRERYYEEKFGARTPGQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLSGLGQLNITFELGSPFKPFDQLMGVFPAASSHALPVQYRQLMTDANSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEARLLAEIKKVEHTLTPEEARRNSIMFNMLFVNGSHPLSPYIYSLNSKFGHLPDRERNEIKEKIDPSSSGGMNGYISLCSGDPCPPVFRSPVDGLEDIMDNQVICTIYKLPDSHKHIARPPVGVIIPKKTVEATDLKPPPVLWHEDSGRRPHDNNNRRPYENSNRQNPAGAISGRQLGEAAHRLVVNSLNARSGGQYNTPSMPYQTIMNGMPYPNGIPPRMEQPAPGWHVPGDLPNGQVPPAYASSSGHYQKDRSGPSQYGRDNHGRYPYARDNHHDSRGRVPPYHQSGGNSYQSHSAPSAGPGRYAQPPPYAGGYGRSYQPAPYGGGQQWQQQQQQPYGSYAGSGPYGGGAPPARPNSRPQQSQNRYNTLDRNSNRRPPPGHGRH >ONIVA01G43680.1 pep chromosome:AWHD00000000:1:36736246:36738999:-1 gene:ONIVA01G43680 transcript:ONIVA01G43680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSNNPLSFAHGCPHRRRRPEREGGDIIRSQREAHTTAQVSAVEENERLDLDLDDLDEAVGELFLDFFLLLFLGGFGTQLGEAALPVGLSQPIKIITMSKMGLQNF >ONIVA01G43670.1 pep chromosome:AWHD00000000:1:36707380:36731932:1 gene:ONIVA01G43670 transcript:ONIVA01G43670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSGVPRCGSHGVRWANRAIRDMFVRRSWRRRRFLIAVGFLERIGFNGVQGNLVMYLTGPMAMSTAAAAAGANAWGGTVLVLTLAADSRLGRYRAIVAAGVLHLLSLGMLTISSVMQPNHPHPASCHDAAAACSPSPTPPPSLARLVFFHAALYLLALAQGFHNPCSEAFGADQFAASDPGARASRSSYFNWYQFFNSFGYGISNTALSYVEDSVSWTVGFAQPVDGALLARLAKTSSSAARAWTARVFRRKDTSCTERLLAREEVGEKGFLAKLLPIWVTSIVFAIVSAQEVTLFIKQGSTMDRRIGARGGLVVPPAALQSIVSVIFLTFVPVYDRALVPLARRFTGHPAGITTLQRVGVGMAMSCLAMAVAALVEAKRLRAASDAGLIDRPDATVPMGVWWLVPQYALVGLSKVFGIIGLDEFFYDQVPDDLRSVGLAMSLSVRGVGSYASGVLVSAIDCATRSGGESWFSDNLNRAHLDYFYWILAALAALEIAVFVYIAKRYSLTVLDMESGGILPPSETCAGSGSPDGRGGWRAARFLIAVGFLERIGFNGVQGNLVMYLSGPMGMSTAAAAAGANAWGGTVLVLTLVGALAADSRLGRYRAIVAAGVLHLLSLGMLTISSVMQPTHQHPVSCHDAAAACSPPPPPPPSPSLGRLVFFHAALYLLALAQGFHNPCSEAFGADQFAPPSDPGARASRSSYFNWYNFSSSCGYAISNTAMSYVEDNVSWTVGFAACLATTAVYLPVFLLGTAAYRAEQPVDGAPLALLAKKSLSATRVWTARVFPRKDAICTERLLLAKEEEVEHGKGFVVKLLPIWVTSIVFAAVISQQVTLFTKQGRTMDRRVAVGGGVFVLPPAALQDVISATMLTVLPAYDRALVPLARRFTGHPAGITTLQRVGAGMATCCLHMVVAALVEAKRLRAASDAGLPADATVPMSVWWLVPQYALVGLSKVFGVIGLQEFFYDQVPDDLRSVGLAMSLSAQGVGSYASSALVSAIDWATTRRGGESWFSDDINRAHLDYFYWLLAALAALDVAVFVYIAKRYEQRRAMILLFIYIYIYIYLKNAMESGRLLAHSDEPSSAASKHGDGRGGWRAALFIIAVGFLERVGFYGVQGNLMMYLTGLMGMSMAAAAAAANAWGGTVMVLTLVGALAADSHLGRYRAVVAAGVLYLLSLGMLTVSSTLQPKHPRPASCNGGATACLPPPSPTSRLAFFYAALYLLALAQGFHKPCSEAIGADQFADDADPGANTSRSSYFNWFHFSTSWGYAVATTWLSYVEDNVSWTVGFAVCWATMVLYLAVFLLGTGTYRATAERPIDGATRRDVRRGRARVDEEGVLPQGCHLHRTLPSVAIAGVEISERFAFGGISGNLITYLTGPLGQSTASAAAAINAWNGAALLLPLLGAAVADSWLGRYRTIICASLLYILGLGMLTLSPVLVPHQQAESGDNADNNASSSMDIHVAFFYLSLYIVAFAQGGHKPCVQAFGADQFDENDPEECASRSSFFNWWYFGIYGGNVITVSILNYIQDNIGWQLGFGIPCIAMSLSLAVFLLGTKSYRFYPLRSNTSLFDQVGKSLLAKIRWWCASWCSKSSGDLHCTQASSSQGDHNDAEKACFPDEATAVLKLFPIGATCLIYAIVFAQWITLFTKQASTLDRWIGKVQIPAAALQSLISVSIVISVPIYDRILVPLTRRYSKNPRGITTLQRIGIGLIISVILMVVAALVETRRLMVARDFGLVDNPEATIPMSFWWVVPQFILTGLADMFTMVGLQEFFYDQVPDGLCSLGLALYLSIFGIGSFISSFLVYAIDKVTSMTGDSWFSDNLNRGHLDYFYWLLAVFSVLGLAAYLHFSRVYVHKKKGISVQ >ONIVA01G43670.2 pep chromosome:AWHD00000000:1:36682874:36707451:1 gene:ONIVA01G43670 transcript:ONIVA01G43670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPLSLSLSLSYAARKRKSHHDSRIARSILSSCAAGSLAVSAARSGSCGLELVSELCNKHSTSSKASELSGKKKWVIFLSEKRGRTDAGAARSWLSRGAPRTDRPPISWCPPPRSVIHQRRNATHHDATMASHAVTDALLLPRSEGAVAGAVDFRGRPASRASTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINLWSGVATMLPLLVACVADAWLGRYRTIVLASLLFVVSMGMLTLSSALPAFHGDGGGCSYTSKSLSCAPSTAQVAIFYVSLYLVALAEAGHKPCAQAFGADQFDQNDAKESVSRSSFFNWWYFGMCSGTAMTTMVSSYIQDNIGWGLGFGIPCLVMAFALAMFLLGTRNYRYYVSTQSSPFARLARAFVALIRGSKDDALAVVDDDDGGDHREELRGVLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGESFRVPPAALQTFISVTIIAFIPVYDRAFVPVARRFTRASSGITMLQRIGTGLVLALAAMVVAALVEARRLGVARDAGMVDDPKAALPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFFSSFIISAIDGATKKSGASCWFANNLNRAHLDYFYWLLAGLCAVELVAFFLFSRVYTYKKKGNDADGNCDYRGVDDDGICVEQCALLPEAEPSTGSKSGGRGGWPAAFFLLAAVFAERVGFNGVQGNLIMYLTGQLGMSTAAAAAGVNAWGGTAFMLPLLGALAADSWIGRHRAVVASGVLYLLSLGMLTVSPMVAPPQATMVCQDTAAVCSSPAAAPAGRVAFCYVALYLLALGQGFHRPCVQAMDADQFSESNPGGLASRSSFFNWINFAVSCGYVLSTAGISYVQDNVSWGIGFGACWAMMLVSLFVFLLGTGTYRPEQPRTFAETRRGDAMDDTASSLANDFGEAANAIAIDMFYRRSLPTPPRGHGDDKGIVARLLPIWMTTVMYAVVATLFTKQGSTMDRRIVIGTGGGGGVLLVPPAALQSLVSFAVMVTIPAYDRALVPLARRVTKHPSGITTLRRVGTGMVTACLAMAVAALVEAARLRAARDAGLLDEPGVAVPMSVWWLAPQFVLLGVATTFTMVGLEEFFYDQVPDELRSVGVAACMSVVGVGSYASGMLVSAIDWATRSRGESWFSDNLNRAHLDYFYWLLAGISALDVLVFLYFAKGIQAFVHIKALERECHSVTVLAMESGLLAHSDEPCDAGSKPDADADGRRGGWRAARFLIAVGFLERVGFNGVQSNLVMYLAGPMGMSTAAAAAGANAWAGTVLVLTLVGALAADSRLGRYRAIVAAGVLHLLSLGMLTISSVTRATHPHPVSCHDAATACSPPAEAAAAPPSTARLAFFHAALYLLALAQGFHNPCSEAFGADQFAPPSDPGARASRSSYFNWYHFFNSCGYAISNSALSYVEDSVSWTLGFAACLVMTAVYLPVFLLGTGTYRAEQPVHGGGSTLARLAESSSLAARAWTARAFGRKDAICTERLLAKEEVEHGKGLFVKLLPIWLTSIVFAAVVSQQSTLFTKQGSTMDRRVGGIVVPAAALNCVVSFTMITLVPVYDRAVVPLARRFTGHPAGVTTLQRVGAGMATSCLAMVVAALVEARRLRAASDASLVDRPGATVPMGVWWLVPQYLLVGLAKVFGDIGLDEFFYDQAPDGLRSVGLAVSLSVLGVGNYVSGVLVSVIDTATRSGGESWFSDDLNRAHLDYFYWILAAFAALEVVVFVYIAKRYIYKNKGEP >ONIVA01G43670.3 pep chromosome:AWHD00000000:1:36682874:36707451:1 gene:ONIVA01G43670 transcript:ONIVA01G43670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPLSLSLSLSYAARKRKSHHDSRIARSILSSCAAGSLAVSAARSGSCGLELVSELCNKHSTSSKASELSGKKKWVIFLSEKRGRTDAGAARSWLSRGAPRTDRPPISWCPPPRSVIHQRRNATHHDATMASHAVTDALLLPRSEGAVAGAVDFRGRPASRASTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINLWSGVATMLPLLVACVADAWLGRYRTIVLASLLFVVSMGMLTLSSALPAFHGDGGGCSYTSKSLSCAPSTAQVAIFYVSLYLVALAEAGHKPCAQAFGADQFDQNDAKESVSRSSFFNWWYFGMCSGTAMTTMVSSYIQDNIGWGLGFGIPCLVMAFALAMFLLGTRNYRYYVSTQSSPFARLARAFVALIRGSKDDALAVVDDDDGGDHREELRGVLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGESFRVPPAALQTFISVTIIAFIPVYDRAFVPVARRFTRASSGITMLQRIGTGLVLALAAMVVAALVEARRLGVARDAGMVDDPKAALPMSLWWMVPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFFSSFIISAIDGATKKSGASWFANNLNRAHLDYFYWLLAGLCAVELVAFVFVSRVYVYKKRVPHNDHRSKLVSHLLDSGMADTVAGAVDYRGRPASRAATGGWKSSVFVMAAVFAERVGFNGVQGNLIMYLTGQLGMSTAAAAAGVNAWGGTAFMLPLLGALAADSWIGRHRAVVASGVLYLLSLGMLTVSPMVAPPQATMVCQDTAAVCSSPAAAPAGRVAFCYVALYLLALGQGFHRPCVQAMDADQFSESNPGGLASRSSFFNWINFAVSCGYVLSTAGISYVQDNVSWGIGFGACWAMMLVSLFVFLLGTGTYRPEQPRTFAETRRGDAMDDTASSLANDFGEAANAIAIDMFYRRSLPTPPRGHGDDKGIVARLLPIWMTTVMYAVVATLFTKQGSTMDRRIVIGTGGGGGVLLVPPAALQSLVSFAVMVTIPAYDRALVPLARRVTKHPSGITTLRRVGTGMVTACLAMAVAALVEAARLRAARDAGLLDEPGVAVPMSVWWLAPQFVLLGVATTFTMVGLEEFFYDQVPDELRSVGVAACMSVVGVGSYASGMLVSAIDWATRSRGESWFSDNLNRAHLDYFYWLLAGISALDVLVFLYFAKGIQAFVHIKALERECHSVTVLAMESGLLAHSDEPCDAGSKPDADADGRRGGWRAARFLIAVGFLERVGFNGVQSNLVMYLAGPMGMSTAAAAAGANAWAGTVLVLTLVGALAADSRLGRYRAIVAAGVLHLLSLGMLTISSVTRATHPHPVSCHDAATACSPPAEAAAAPPSTARLAFFHAALYLLALAQGFHNPCSEAFGADQFAPPSDPGARASRSSYFNWYHFFNSCGYAISNSALSYVEDSVSWTLGFAACLVMTAVYLPVFLLGTGTYRAEQPVHGGGSTLARLAESSSLAARAWTARAFGRKDAICTERLLAKEEVEHGKGLFVKLLPIWLTSIVFAAVVSQQSTLFTKQGSTMDRRVGGIVVPAAALNCVVSFTMITLVPVYDRAVVPLARRFTGHPAGVTTLQRVGAGMATSCLAMVVAALVEARRLRAASDASLVDRPGATVPMGVWWLVPQYLLVGLAKVFGDIGLDEFFYDQAPDGLRSVGLAVSLSVLGVGNYVSGVLVSVIDTATRSGGESWFSDDLNRAHLDYFYWILAAFAALEVVVFVYIAKRYIYKNKGEP >ONIVA01G43670.4 pep chromosome:AWHD00000000:1:36715790:36731932:1 gene:ONIVA01G43670 transcript:ONIVA01G43670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGILPPSETCAGSGSPDGRGGWRAARFLIAVGFLERIGFNGVQGNLVMYLSGPMGMSTAAAAAGANAWGGTVLVLTLVGALAADSRLGRYRAIVAAGVLHLLSLGMLTISSVMQPTHQHPVSCHDAAAACSPPPPPPPSPSLGRLVFFHAALYLLALAQGFHNPCSEAFGADQFAPPSDPGARASRSSYFNWYNFSSSCGYAISNTAMSYVEDNVSWTVGFAACLATTAVYLPVFLLGTAAYRAEQPVDGAPLALLAKKSLSATRVWTARVFPRKDAICTERLLLAKEEEVEHGKGFVVKLLPIWVTSIVFAAVISQQVTLFTKQGRTMDRRVAVGGGVFVLPPAALQDVISATMLTVLPAYDRALVPLARRFTGHPAGITTLQRVGAGMATCCLHMVVAALVEAKRLRAASDAGLPADATVPMSVWWLVPQYALVGLSKVFGVIGLQEFFYDQVPDDLRSVGLAMSLSAQGVGSYASSALVSAIDWATTRRGGESWFSDDINRAHLDYFYWLLAALAALDVAVFVYIAKRYEQRRAMILLFIYIYIYIYLKNAMESGRLLAHSDEPSSAASKHGDGRGGWRAALFIIAVGFLERVGFYGVQGNLMMYLTGLMGMSMAAAAAAANAWGGTVMVLTLVGALAADSHLGRYRAVVAAGVLYLLSLGMLTVSSTLQPKHPRPASCNGGATACLPPPSPTSRLAFFYAALYLLALAQGFHKPCSEAIGADQFADDADPGANTSRSSYFNWFHFSTSWGYAVATTWLSYVEDNVSWTVGFAVCWATMVLYLAVFLLGTGTYRATAERPIDGATRRDVRRGRARVDEEGVLPQGCHLHRTLPSVAIAGVEISERFAFGGISGNLITYLTGPLGQSTASAAAAINAWNGAALLLPLLGAAVADSWLGRYRTIICASLLYILGLGMLTLSPVLVPHQQAESGDNADNNASSSMDIHVAFFYLSLYIVAFAQGGHKPCVQAFGADQFDENDPEECASRSSFFNWWYFGIYGGNVITVSILNYIQDNIGWQLGFGIPCIAMSLSLAVFLLGTKSYRFYPLRSNTSLFDQVGKSLLAKIRWWCASWCSKSSGDLHCTQASSSQGDHNDAEKACFPDEATAVLKLFPIGATCLIYAIVFAQWITLFTKQASTLDRWIGKVQIPAAALQSLISVSIVISVPIYDRILVPLTRRYSKNPRGITTLQRIGIGLIISVILMVVAALVETRRLMVARDFGLVDNPEATIPMSFWWVVPQFILTGLADMFTMVGLQEFFYDQVPDGLCSLGLALYLSIFGIGSFISSFLVYAIDKVTSMTGDSWFSDNLNRGHLDYFYWLLAVFSVLGLAAYLHFSRVYVHKKKGISVQ >ONIVA01G43670.5 pep chromosome:AWHD00000000:1:36718259:36731932:1 gene:ONIVA01G43670 transcript:ONIVA01G43670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLTISSVMQPTHQHPVSCHDAAAACSPPPPPPPSPSLGRLVFFHAALYLLALAQGFHNPCSEAFGADQFAPPSDPGARASRSSYFNWYNFSSSCGYAISNTAMSYVEDNVSWTVGFAACLATTAVYLPVFLLGTAAYRAEQPVDGAPLALLAKKSLSATRVWTARVFPRKDAICTERLLLAKEEEVEHGKGFVVKLLPIWVTSIVFAAVISQQVTLFTKQGRTMDRRVAVGGGVFVLPPAALQDVISATMLTVLPAYDRALVPLARRFTGHPAGITTLQRVGAGMATCCLHMVVAALVEAKRLRAASDAGLPADATVPMSVWWLVPQYALVGLSKVFGVIGLQEFFYDQVPDDLRSVGLAMSLSAQGVGSYASSALVSAIDWATTRRGGESWFSDDINRAHLDYFYWLLAALAALDVAVFVYIAKRYEQRRAMILLFIYIYIYIYLKNAMESGRLLAHSDEPSSAASKHGDGRGGWRAALFIIAVGFLERVGFYGVQGNLMMYLTGLMGMSMAAAAAAANAWGGTVMVLTLVGALAADSHLGRYRAVVAAGVLYLLSLGMLTVSSTLQPKHPRPASCNGGATACLPPPSPTSRLAFFYAALYLLALAQGFHKPCSEAIGADQFADDADPGANTSRSSYFNWFHFSTSWGYAVATTWLSYVEDNVSWTVGFAVCWATMVLYLAVFLLGTGTYRATAERPIDGATRRDVRRGRARVDEEGVLPQGCHLHRTLPSVAIAGVEISERFAFGGISGNLITYLTGPLGQSTASAAAAINAWNGAALLLPLLGAAVADSWLGRYRTIICASLLYILGLGMLTLSPVLVPHQQAESGDNADNNASSSMDIHVAFFYLSLYIVAFAQGGHKPCVQAFGADQFDENDPEECASRSSFFNWWYFGIYGGNVITVSILNYIQDNIGWQLGFGIPCIAMSLSLAVFLLGTKSYRFYPLRSNTSLFDQVGKSLLAKIRWWCASWCSKSSGDLHCTQASSSQGDHNDAEKACFPDEATAVLKLFPIGATCLIYAIVFAQWITLFTKQASTLDRWIGKVQIPAAALQSLISVSIVISVPIYDRILVPLTRRYSKNPRGITTLQRIGIGLIISVILMVVAALVETRRLMVARDFGLVDNPEATIPMSFWWVVPQFILTGLADMFTMVGLQEFFYDQVPDGLCSLGLALYLSIFGIGSFISSFLVYAIDKVTSMTGDSWFSDNLNRGHLDYFYWLLAVFSVLGLAAYLHFSRVYVHKKKGISVQ >ONIVA01G43660.1 pep chromosome:AWHD00000000:1:36678299:36682641:1 gene:ONIVA01G43660 transcript:ONIVA01G43660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNANLYLCWPAGLKLTPTVQHSLMHLDLFSYGLKPTEYSPRRRHAPLLGDLGWVSPLIDLGWAKQQTEKDLNYPMSITRAPCADDATFQFWHAGHAPTTHSRRARARRRAAATRPGSSVATAIHRITVALDLTYIVLFVAMDFELERSTLLLHNQHYPN >ONIVA01G43650.1 pep chromosome:AWHD00000000:1:36676249:36678088:-1 gene:ONIVA01G43650 transcript:ONIVA01G43650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHGMSSPSHSFRSYSVSSSEEENRCGAVVACLTRRVMPAGTANTVGTSKVTPFPLMAAGQGTEGAPRLQRSRAVSRDLVRDWNFEEIAIRN >ONIVA01G43640.1 pep chromosome:AWHD00000000:1:36671952:36678151:1 gene:ONIVA01G43640 transcript:ONIVA01G43640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) TAIR;Acc:AT2G22250] MKSCGKTHQNRRRKRRKRKKKPTKSRSNSHSDQPTPPTYRSRRWMHKSTTPARFEPIQQHKLPTAATHAAELSATTLPSMAASTSATSFSTPTKPASSSSSSSSPNSVCFARASGRCRMASVVVRAEAVDATISPTVSALRPSKTMAITDQATALRQAGVPVIGLAAGEPDFDTPHVIAEAGMNAIKDGYTRYTPNAGTLELRKAICNKLQEENGISYSPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPYWVSYPEMATLAGATPVILPTSISENFLLRPELLASKINEKSRLLILCSPSNPTGSVYPKELLEEIADIVKKYPRLLVLSDEIYEHIIYQPAKHTSFASLPGMWDRTLTVNGFSKAFAMTGWRLGYLAAPKHFVAACGKIQSQFTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVKSFKELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKDSESLCMFLLEKAQVALVPGDAFGDDKCIRMSYAAALSTLQTAMEKIKEAVALIKPRVAAK >ONIVA01G43640.2 pep chromosome:AWHD00000000:1:36671952:36678187:1 gene:ONIVA01G43640 transcript:ONIVA01G43640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) TAIR;Acc:AT2G22250] MKSCGKTHQNRRRKRRKRKKKPTKSRSNSHSDQPTPPTYRSRRWMHKSTTPARFEPIQQHKLPTAATHAAELSATTLPSMAASTSATSFSTPTKPASSSSSSSSPNSVCFARASGRCRMASVVVRAEAVDATISPTVSALRPSKTMAITDQATALRQAGVPVIGLAAGEPDFDTPHVIAEAGMNAIKDGYTRYTPNAGTLELRKAICNKLQEENGISYSPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPYWVSYPEMATLAGATPVILPTSISENFLLRPELLASKINEKSRLLILCSPSNPTGSVYPKELLEEIADIVKKYPRLLVLSDEIYEHIIYQPAKHTSFASLPGMWDRTLTVNGFSKAFAMTGWRLGYLAAPKHFVAACGKIQSQFTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVKSFKELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKDSESLCMFLLEKAQVALVPGDAFGDDKCIRMSYAAALSTLQTAMEKIKEAVALIKPRVAAK >ONIVA01G43630.1 pep chromosome:AWHD00000000:1:36666633:36670982:1 gene:ONIVA01G43630 transcript:ONIVA01G43630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G34370) TAIR;Acc:AT1G34370] MENCLRSTGARNQQGCSSYKATHPPSRVPFLLPCGASNSTRVLLHLQLHIRSDPCYLVPPLSLLRNRLAVRHHGDSTSISPRSPSTPPLAAAAALAVAVASADPIPIHPGDREQGAGGLGRSSETSLKALPSMASNATRNTDPDQQGVRFSSMDQPPCFARPGQSFPAFPPLFGVQSSSLYLPDDIEAKIGNQFESNPSPNNPTMDWDPQAMLSNLSFLEQKIKQVKDIVQSMSNRESQVAGGSSEAQAKQQLVTADLTCIIIQLISTAGSLLPSMKNPISSNPALRHLSNTLCAPMILGTNCNLRPSANDEATIPDISKTHDYEELMNSLNTTQAESDEMMNCQNPCGGEGSEPIPMEDHDVKESDDGGERENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTAAALAKPSKDSSSESAPVTRYSCPYVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPMDDIKVTGASEQPQGSEAMNTMVGSAGYNFPGSSSDDIPNLDMKMADDPRYFSPLSFDPCFGGLDDFTRPGFDISENPFSFLPSGSCSFGQQNGDS >ONIVA01G43630.2 pep chromosome:AWHD00000000:1:36666792:36671832:1 gene:ONIVA01G43630 transcript:ONIVA01G43630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G34370) TAIR;Acc:AT1G34370] MQQGILILTNRVFDSVPWTSLHVLQDLVNRSLLFLHSLGFSLPACIYLMTLKLKSKIKQVKDIVQSMSNRESQVAGGSSEAQAKQQLVTADLTCIIIQLISTAGSLLPSMKNPISSNPALRHLSNTLCAPMILGTNCNLRPSANDEATIPDISKTHDYEELMNSLNTTQAESDEMMNCQNPCGGEGSEPIPMEDHDVKESDDGGERENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTAAALAKPSKDSSSESAPVTRYSCPYVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPMDDIKVTGASEQPQGSEAMNTMVGSAGYNFPGSSSDDIPNLDMKMADDPRYFSPLSFDPCFGGLDDFTRPGFDISENPFSFLPSGSCSFGQQNGDS >ONIVA01G43630.3 pep chromosome:AWHD00000000:1:36666633:36671832:1 gene:ONIVA01G43630 transcript:ONIVA01G43630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G34370) TAIR;Acc:AT1G34370] MQQGILILTNRVFDSVPWTSLHVLQDLVNRSLLFLHSLGFSLPACIYLMTLKLKSKIKQVKDIVQSMSNRESQVAGGSSEAQAKQQLVTADLTCIIIQLISTAGSLLPSMKNPISSNPALRHLSNTLCAPMILGTNCNLRPSANDEATIPDISKTHDYEELMNSLNTTQAESDEMMNCQNPCGGEGSEPIPMEDHDVKESDDGGERENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTAAALAKPSKDSSSESAPVTRYSCPYVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPMDDIKVTGASEQPQGSEAMNTMVGSAGYNFPGSSSDDIPNLDMKMADDPRYFSPLSFDPCFGGLDDFTRPGFDISENPFSFLPSGSCSFGQQNGDS >ONIVA01G43630.4 pep chromosome:AWHD00000000:1:36666633:36669478:1 gene:ONIVA01G43630 transcript:ONIVA01G43630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G34370) TAIR;Acc:AT1G34370] MENCLRSTGARNQQGCSSYKATHPPSRVPFLLPCGASNSTRWLGKKFRDILESLAINGK >ONIVA01G43620.1 pep chromosome:AWHD00000000:1:36656223:36664655:1 gene:ONIVA01G43620 transcript:ONIVA01G43620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAKPRYFDILEDLWHQDCSCLQKEWRCRQLYTVHDGGTIALDWLLATDSKGSDGILSEDASAPLVVIVPGLTSDSAAAYVKHMAYSMATKGCNTVVSNHRGLGGVSITSDCLYNAGWTEDLREVINYLHHKYPKAPMLCVGTSIGANIVVKYLGEEGENTPVAGAASICSPWDLVVGDRFISRKLVQRFYDKALAFGLKGYAKLHEPVLVRLANWEGIKKSRSIREFDHHATCMVAKYETVDTYYRRCSSASYVGNVSVPLLCVNALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAVSEFLFALLDSKYMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDITPSHQVNDIKQDNGDFTQQNEHTREVDDKNITEVNAMPSQSPEQSAGQQVEEHYVGKFHEAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLLAKWLRR >ONIVA01G43620.2 pep chromosome:AWHD00000000:1:36656223:36664655:1 gene:ONIVA01G43620 transcript:ONIVA01G43620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCMNLCWFDLQIGKSRSIREFDHHATCMVAKYETVDTYYRRCSSASYVGNVSVPLLCVNALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAVSEFLFALLDSKYMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDITPSHQVNDIKQDNGDFTQQNEHTREVDDKNITEVNAMPSQSPEQSAGQQVEEHYVGKFHEAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLLAKWLRR >ONIVA01G43620.3 pep chromosome:AWHD00000000:1:36656223:36664655:1 gene:ONIVA01G43620 transcript:ONIVA01G43620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAKPRYFDILEDLWHQDCSCLQKEWRCRQLYTVHDGGTIALDWLLATDSKGSDGILSEDASAPLVVIVPGLTSDSAAAYVKHMAYSMATKGCNTVVSNHRGLGGVSITSDCLYNAGWTEDLREVINYLHHKYPKAPMLCVGTSIGANIVVKYLGEEGENTPVAGAASICSPWDLVVGDRFISRKLVQRFYDKALAFGLKGYAKLHEPVLVRLANWEGIKKSRSIREFDHHATCMVAKYEVPVMHSCHLALLSLINEHACLSVTVPCVSFHCFNNTMTTAAVVTNVVMYSLYPIKNKPITGCDIP >ONIVA01G43620.4 pep chromosome:AWHD00000000:1:36656223:36664655:1 gene:ONIVA01G43620 transcript:ONIVA01G43620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAKPRYFDILEDLWHQDCSCLQKEWRCRQLYTVHDGGTIALDWLLATDSKGSDGILSEDASAPLVVIVPGLTSDSAAAYVKHMAYSMATKGCNTVVSNHRGLGGVSITSDCLYNAGWTEDLREVINYLHHKYPKAPMLCVGTSIGANIVVKYLGEEGMNLCWFDLQIGKSRSIREFDHHATCMVAKYETVDTYYRRCSSASYVGNVSVPLLCVNALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAVSEFLFALLDSKYMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDITPSHQVNDIKQDNGDFTQQNEHTREVDDKNITEVNAMPSQSPEQSAGQQVEEHYVGKFHEAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLLAKWLRR >ONIVA01G43620.5 pep chromosome:AWHD00000000:1:36656223:36664655:1 gene:ONIVA01G43620 transcript:ONIVA01G43620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAGGGGGGGGESAGELLLRAAAMVPAEHYALAALAVVSVLAYGFLELHFLGDLLRGFRGGRVELTFHPASEIYHRVASKCRSLHGRYLATPWLASPHLQTLFLGISGRPPSFTYKRQLYTVHDGGTIALDWLLATDSKGSDGILSEDASAPLVVIVPGLTSDSAAAYVKHMAYSMATKGCNTVVSNHRGLGGVSITSDCLYNAGWTEDLREVINYLHHKYPKAPMLCVGTSIGANIVVKYLGEEGENTPVAGAASICSPWDLVVGDRFISRKLVQRFYDKALAFGLKGYAKLHEPVLVRLANWEGIKKSRSIREFDHHATCMVAKYETVDTYYRRCSSASYVGNVSVPLLCVNALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAVSEFLFALLDSKYMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDITPSHQVNDIKQDNGDFTQQNEHTREVDDKNITEVNAMPSQSPEQSAGQQVEEHYVGKFHEAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLLAKWLRR >ONIVA01G43620.6 pep chromosome:AWHD00000000:1:36657536:36664655:1 gene:ONIVA01G43620 transcript:ONIVA01G43620.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAKPRYFDILEDLWHQDCSCLQKEWRCRQLYTVHDGGTIALDWLLATDSKGSDGILSEDASAPLVVIVPGLTSDSAAAYVKHMAYSMATKGCNTVVSNHRGLGGVSITSDCLYNAGWTEDLREVINYLHHKYPKAPMLCVGTSIGANIVVKYLGEEGENTPVAGAASICSPWDLVVGDRFISRKLVQRFYDKALAFGLKGYAKLHEPVLVRLANWEGIKKSRSIREFDHHATCMVAKYETVDTYYRRCSSASYVGNVSVPLLCVNALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAVSEFLFALLDSKYMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDITPSHQVNDIKQDNGDFTQQNEHTREVDDKNITEVNAMPSQSPEQSAGQQVEEHYVGKFHEAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLLAKWLRR >ONIVA01G43610.1 pep chromosome:AWHD00000000:1:36644377:36655090:1 gene:ONIVA01G43610 transcript:ONIVA01G43610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAPPCSSALVPPCLFVIAMATLQSAVVFADAADTVAAYRPLSGSQRLLVSSRGKFALGFFQPENSIHWYIGIWYNQISKHTPVWVANRGSPISNPDTSQLTIATDGNMVLLDNSRTAIWSTNISKIASNSTVGVILDTGNLVLADASNTSIIHWQSFDHFGNTWLPGGKLGRNKLAGVSTGLVAWKARNDPAPGVFSLELDPNGTSQYLLEWNSTQQYWTSGNWTGRIFTGADVFSYGMTLLEIVSGRRNVERREDGTANILPLLAASRLVGGVGDGRREELVSAVVDGRLGGDADMGEAERACRVAFWCIQDDENARPAMATVVQVLEGLVEIGVPPIPRSLQLLADESNYLQFSDLLPSK >ONIVA01G43600.1 pep chromosome:AWHD00000000:1:36637574:36640330:-1 gene:ONIVA01G43600 transcript:ONIVA01G43600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWB0] MASSGAPLCSSALVLLPCLFVIAMAALQSGVVVLADAADTVAADRPLSGRQRPLVSNRGKFALGFFQPENSEHWYLGTWYNQISKHTPVWVANRGTPISNPDTSQLTIATDGNMVLLDNSTTAIWSTNISNFTSNSTVGVILDSGNLVLADASNTSIILWQSFDHFGDTWLPGGKLGRNKLTGVSTRLVAWKARNDPVPGVFSLELDPNGTSQYLLQWNSTLQYWTSGNWTGRIFTGVPEMTPTGSYPNSLYTFDYVNGENESYFVYDLKDDSVLTRFVLGEMGQIQFLTWMNGANDWMLFWSQPKAQCDVYSLCGPFSVCTENAMASCSCLRGFGEQNVGEWLQGDHTSGCRRNVELQCSSNGSVVGRSTDRFYTMVGRSTDCSCTAYSYNGSCSLWYRDLINLQDVSVIGSQGSNAVLIRLAASELSSQKQKHAKKLITFSVLATGAVLLMMAVLVVILRRRMVKATTRVEGSLISFTYRDLKSVTKNFSEKLGGGAFGSVFKGSLPDATMVAVKKLEGFRQGEKQFRSEVSTIGNIQHVNLIRLLGFCSEKTRRLLVYEYMPNGSLDKHLFGSNQHVLSWNTRYNIALGIARGLDYLHEKCRDCIIHCDIKPENILLDGSFAPKVADFGLAKLMGRDFSRVLTTSRGTVGYIAPEWIAGTAVTAKADVFSYGMTLLEIVSGRRNVQEQGGAAVDGLLPLLAASTLGGGGGGRDELVSAVVDGRVGVNADMGEVERACRVACWCIQDDEKARPAMATVVQVLEGLVEIGVPPVPRSLQILADLANQSNNLQFFSDLPSK >ONIVA01G43590.1 pep chromosome:AWHD00000000:1:36631261:36634518:-1 gene:ONIVA01G43590 transcript:ONIVA01G43590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FWA9] MAPPCSSALVLPCLLVIAMAALQSAVVFADTVTAKRPLSGSQSALVSKRRKFALGFFQPENSQHWYLGIWYNQISKHTPVWVANRGTPISNPDTSQLTIATDGNMVLLDNSTTAIWSTNISKIASNSTVGVILDTGNLVLADESNTSIIHWQSFDHFGNTWLPGGKLGRNNKLAGVSTRLVAWKARNDPSPGVFSLELDPNGTSQYLLEWSITQQYWTSGNWTGRIFADVPEMTGCYPSSTYTFDYVNGENESESYFVYDLKDESVLTRFFLSEMGQIQFLTWIYAAKDWMPFWSQPKVKCDVYSLCGPFSVCTENALTSCSCLRGFSEQNVGEWLQGDHTSGCRRNVELQCSSNASVMGRTDGFYTMANVRLPSNAESVVVIGNDQCEQACLRSCSCTAYSYNGSCSLWHGDLINLQDVSAISSQGSSTVLIRLAASELSGQKKKNTKNLITIAIVATSVLVLMIAALFFIFRRRMVKETTRVEGSLIAFTYRDLKSVTKNFSEKLGGGAFGLVFKGSLPDATVVAVKKLEGFRQGEKQFRAEVSTIGNIQHVNLIRLLGFCSEKSRRLLVYEYMPNGSLDKQLFDNKKHVLSWNTRYQIALGIARGLDYLHEKCRDCIIHCDIKPENILLDGSFAPKVADFGLAKLMGRDISRVLTTARGTVGYIAPEWIAGTAVTAKADVFSYGMTLLEIVSGRRNVQGRRRRQEQQDDGGAAADRPFPLVAAGRLFCGGGGRREEMVSAVVDGRLGGDADMGEAERACRVAFWCIQDDENARPAMATVVQVLEGLVEIGVPPIPRSLQFLAELADQSNYLQFFSDLLPSN >ONIVA01G43580.1 pep chromosome:AWHD00000000:1:36613819:36629730:1 gene:ONIVA01G43580 transcript:ONIVA01G43580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWPMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYADFIAAMLIRATGHRLNITRNRSLNSLELTEAVSNSVNISAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMSTHLTLYPAILIVPIILLLGYGPDTPPAKVFRLKISSASKTEVPDNDRFSTSRDVQQFMWKPVFYFVLWMFFWSCYVLLLSSMILNKVDGLQEMFEKTYGFILTVKDLSPNIGVLWSFFLIVINMNIVFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSGTGNANFYFATGLAYTCIQTVLVVESKLHHRYLRMEACPVNGATAVRTGPASAPNTDSHKPKAPNTIEPSTQKALKKFGEKERRAGDESSRTLAMAGEAGGDPRAWLAVDETAAAFLSRSLSARPPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLTAAVQCILPKEWKGTYFGGLGKVVMYLDLDCRFDVLRLAQVLRNRIGECCGSTNPTNEEFAKDGATNSFSENTLFSECMKRFLRTLSLQSMTETVVQKLRNFLQLQPVLVMATKAPIYGEGFTGNDFQRGTSKQMLEDSTMRCIGQEEEKNISYREFMPSVWQSFVTHRIKLQDLGQEAELFSGQENKELPLRTSEWMQPSLNTKDKFSITDDGVILIH >ONIVA01G43580.2 pep chromosome:AWHD00000000:1:36613819:36629730:1 gene:ONIVA01G43580 transcript:ONIVA01G43580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWPMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYADFIAAMLIRATGHRLNITRNRSLNSLELTEAVSNSVNISAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMSTHLTLYPAILIVPIILLLGYGPDTPPAKVFRLKISSASKTEVPDNDRFSTSRDVQQFMWKPVFYFVLWMFFWSCYVLLLSSMILNKVDGLQEMFEKTYGFILTVKDLSPNIGVLWSFFLIVINMNIVFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSGTGNANFYFATGLAYTCIQTVLVVESKLHHRYLRMEACPVNGATAVRTGPASAPNTDSHKPKAPNTIEPSTQKALKKFGEKERRAGDESSRTLAMAGEAGGDPRAWLAVDETAAAFLSRSLSARPPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLTAAVQCILPKEWKGTYFGGLGKVVMYLDLDCRFDVLRLAQVLRNRIGECCGSTNPTNEEFAKDGATNSFSENTLFSECMKRFLRTLSLQSMTETVVQKLRNFLQLQPVLVMATKAPIYGEGFTGNDFQRGTSKQMLEDSTMRCIGQEEEKNISYREFMPSVWQSFVTHRIKLQDLGQEAELFSGQENKELPLRTSEWMQPSLNTKDKFSITDDGVILIH >ONIVA01G43580.3 pep chromosome:AWHD00000000:1:36613819:36629730:1 gene:ONIVA01G43580 transcript:ONIVA01G43580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWPMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYADFIAAMLIRATGHRLNITRNRSLNSLELTEAVSNSVNISAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMSTHLTLYPAILIVPIILLLGYGPDTPPAKVFRLKISSASKTEVPDNDRFSTSRDVQQFMWKPVFYFVLWMFFWSCYVLLLSSMILNKVDGLQEMFEKTYGFILTVKDLSPNIGVLWSFFLIVINMNIVFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSGTGNANFYFATGLAYTCIQTVLVVESKLHHRYLRMEACPVNGATAVRTGPASAPNTDSHKPKAPNTIEPSTQKALKKFGEKERRAGDESSRTLAMAGEAGGDPRAWLAVDETAAAFLSRSLSARPPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLTAAVQCILPKEWKGTYFGGLGKVVMYLDLDCRFDVLRLAQVLRNRIGECCGSTNPTNEEFAKDGATNSFSENTLFSECMKRFLRTLSLQSMTETVVQKLRNFLQLQPVLVMATKAPIYGEGFTGNDFQRGTSKQMLEDSTMRCIGQEEEKNISYREFMPSVWQSFVTHRIKLQDLGQEAELFSGQENKELPLRTSEWMQPSLNTKDKFSITDDGVILIH >ONIVA01G43580.4 pep chromosome:AWHD00000000:1:36613819:36629730:1 gene:ONIVA01G43580 transcript:ONIVA01G43580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYWPMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYADFIAAMLIRATGHRLNITRNRSLNSLELTEAVSNSVNISAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMSTHLTLYPAILIVPIILLLGYGPDTPPAKVFRLKISSASKTEVPDNDRFSTSRDVQQFMWKPVFYFVLWMFFWSCYVLLLSSMILNKVDGLQEMFEKTYGFILTVKDLSPNIGVLWSFFLIVINMNIVFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSGTGNANFYFATGLAYTCIQTVLVVESKLHHRYLRMEACPVNGATAVRTGPASAPNTDSHKPKAPNTIEPSTQKALKKFGEKERRAGDESSRTLAMAGEAGGDPRAWLAVDETAAAFLSRSLSARPPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLTAAVQCILPKEWKGTYFGGLGKVVMYLDLDCRFDVLRLAQVLRNRIGECCGSTNPTNEEFAKDGATNSFSENTLFSECMKRFLRTLSLQSMTETVVQKLRNFLQLQPVLVMATKAPIYGEGFTGNDFQRGTSKQMLEDSTMRCIGQEEEKNISYREFMPSVWQSFVTHRIKLQDLGQEAELFSGQENKELPLRTSEWMQPSLNTKDKFSITDDGVILIH >ONIVA01G43580.5 pep chromosome:AWHD00000000:1:36619201:36629730:1 gene:ONIVA01G43580 transcript:ONIVA01G43580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDAFNMSVAYQPSGMAVPEWLNKGDNAWQMISATLVGMQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWGYNMSFGHKLLPFWGKARPALGQSFLLAQAVLPQTTQFYKGGGGADAVVETPWVNPLYPMATMVYFQCVFAAITLILLAGSLLGRMNIKAWMLFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANIDSSLAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWFTMMVVHKRSRLLQQVDDTLGVFHTHAVAGFLGGATTGLFAEPVLCSLFLPVTNSRGAFYPGRGGGLQFVRQVAGALFIICWNVVVTSLVCLAVRAVVPLRMPEEELAIGDDAVHGEEAYALWGDGEKYDSTKHGWYSDNNDTHHNNNKAAPSGVTQNV >ONIVA01G43570.1 pep chromosome:AWHD00000000:1:36607258:36611952:-1 gene:ONIVA01G43570 transcript:ONIVA01G43570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGEASPSGGGGGEGSPDPRRPPARPQLTKSRTISGSAASAFDRWGTSNSSSSILVRRSSTAPLPPGAAPRGLLTVAVDEPSYAAPNGGAAMLDRDWCYPSFLGPHASRPRPPRSQQQTPTTTAAAAADSRSPTPAAPPQTASVSQREEEKSLASVVKRPMLLDERRSLSPPPPQQRAPRFDLSPYLVLMLVVTVISFSLAIWQWMKATVLQEKIRSCCSVSTVDCKTTTEAFKINGQHGSDFINSADWNLASCSRMLVFAIPVFLVKYIDQLRRRNTDSIRLRSTEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEVNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIGKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKIASKAGKILMNPDNDYVLQEGDEVLVIAEDDDTYVPASLPQVRKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKERERKLTDGGMDIYGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPSKELKSPLRYNGFCHSSWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRARERDEVVIGYRLANDDQAIINPEQKSEIRKWSLDDVFVVISKGD >ONIVA01G43560.1 pep chromosome:AWHD00000000:1:36600526:36606732:1 gene:ONIVA01G43560 transcript:ONIVA01G43560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEAVRDIGSGNFGVARLMRNRETRELVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKEVILTPTHLMIVMEFAAGGELFDRICDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPKNIRKTIQRIMSVQYKIPDYVHISAECKQLIARIFVNNPLRRITMKEIKSHPWFLKNLPRELTETAQAMYYRRDNSVPSFSDQTSEEIMKIVQEARTMPKSSRTGYWSDAGSDEEEKEEEERPEENEEEEEDEYDKRVKEVHASGELRMSSLRI >ONIVA01G43550.1 pep chromosome:AWHD00000000:1:36586868:36590267:1 gene:ONIVA01G43550 transcript:ONIVA01G43550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMLVSGANGTVAAASTSRLQPVRPTPFSRLVLSQPSSSLGRAVSVKTVALFGRSKTKAAPARKAEPKPKFKTEDGIFGTSGGIGFTKENELFVGRVAMLGFAASILGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGSFVDDQPVTGLDKAVIAPGKGFRSALGLSEGGPLFGFTKANELFVGRLAQLGIAFSIIGEIITGKGALAQLNIETGVPINEIEPLVLFNVVFFFIAAINPGTGKFVSDDDEE >ONIVA01G43540.1 pep chromosome:AWHD00000000:1:36583400:36586568:1 gene:ONIVA01G43540 transcript:ONIVA01G43540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM, LAG1 and CLN8 (TLC) lipid-sensing domain containing protein [Source:Projected from Arabidopsis thaliana (AT1G21790) TAIR;Acc:AT1G21790] MPASTAWAARLAEGGEEGTESIVRCGSPLATTTTSPMPPPPHRGGAGGGGGGGGRDTSAFFAATLVLWAVSVGFEIGARGRRELAPVAAGFAFFQAANAAVRAAVSRDPLFVNTAVSLLHSSLTSASVIFVLVNRWHNKDLKNMFEHEELFGGSWVGAYSALCFSCGYFAYDQLDMLRYRLYSGRIPGILMHHLILLICFTLALYRNVTINYLILTLVCELHSVFLHIRKLRRMAGFRDYNRKIVKLEWVLNWTTFVSARVACHILITYKLIIDAHKFDSGIELPLALFGMAGMNLLNIFLGLDLLKAYTLERNQQTHQD >ONIVA01G43530.1 pep chromosome:AWHD00000000:1:36579427:36582477:-1 gene:ONIVA01G43530 transcript:ONIVA01G43530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Plant nuclear matrix 1 (InterPro:IPR010604); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: /.../BLink). [Source:Projected from Arabidopsis thaliana (AT5G17620) TAIR;Acc:AT5G17620] MASKQMEEIQRKLAVLAYPRANAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYDERVELLRLIVDLVEASCYADNPEWSVDEQLAKDVLLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDITELELKLSEYTKKMSNLQLMVQELASKYDYNPNEDYAETELKLREHLQSFLETVKSFNMIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLSNLRSLRDSYAAMAAGSLSASNEPSSVTKIISDCESALTFLNNSLSILSTSVAREQDLQNLTPWF >ONIVA01G43530.2 pep chromosome:AWHD00000000:1:36580032:36582477:-1 gene:ONIVA01G43530 transcript:ONIVA01G43530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Plant nuclear matrix 1 (InterPro:IPR010604); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: /.../BLink). [Source:Projected from Arabidopsis thaliana (AT5G17620) TAIR;Acc:AT5G17620] MASKQMEEIQRKLAVLAYPRANAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYDERVELLRLIVDLVEASCYADNPEWSVDEQLAKDVLLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDITELELKLSEYTKKMSNLQLMVQELASKYDYNPNEDYAETELKLREHLQSFLETVKSFNMIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLSNLRSLRDSYAAMAAGSLSASNEPSSVTKIISDCESALTFLNNSLSILSTSVAREQGETLNSQ >ONIVA01G43520.1 pep chromosome:AWHD00000000:1:36576004:36577221:-1 gene:ONIVA01G43520 transcript:ONIVA01G43520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW97] MVRRYNERLREFLRFGVRGRSGGIAAVVVDAPSIEALDVARELGIPAYSFFASTASALAVFLHLPWFRARAASFEELGDAPLIVPGVPPMPASHLMPELLEDPESETYRATVSMLRATLDADGILVNTFASLEPRAVGALGDPLFLPATGGGEPRRRVPSVYCVGPLVVGHDDDDERKENTRHECLAWLDEQPDRSVVFLCFGGTGAVTHSAEQMREIAAWLENSGHRFMWVVRAPRGGGDDLDALLPDGFLERTRTSGRGLVVERWAPQADVLRHRSTGAFVTHCGWNSASEGITARVPMLCWPLYAEQRMNKVFMVEEMGVGVEVAGWHWQRGELVMAEEIEGKIRLVMESEEGERLRSSVAAHGEAAAVAWWKDGGAGAGSSRAALRRFLDVGGRELRSVET >ONIVA01G43510.1 pep chromosome:AWHD00000000:1:36560059:36575130:-1 gene:ONIVA01G43510 transcript:ONIVA01G43510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPEQAVREVGKRLAQPRLGKDALVKLLKASSVASLVSSCLNRSKSTALPFPVERCFDFRAFELQAESALSELSQSSSLQEALHPLSKSLVQTTLLTHRDKDVKLLVAVCFIEVMRVLAPDPPFSDEIFKEIFRLFISVFADLAETSSPYLPRRILILENVAALRCSVIMLDVGCQDLVLDMVRIFFSAVKQGLQQSVCQAMLSIMTQILNEKVTQPLLDVILRNLVKEDKGASHKLAVDIIQNCAEKLEPVLRTFLSSCIFNKDVPANETRKQHHKIILEMFQCAPQMLFAVIPHLTHELLSDRVDIRLEAVHLIGRLLVLSNLRFAQENQLIFKEFLKRFSDKSAEVRIAAIDAAKVCYMAISSGNEAEDILTSLAGRLLDFDDKVRIRAVAAVCDMAKSNLNSFPAKVSVRKHVMLKLLDLYRDYCKKCSKGIATVNFHYEQIPAQLLTLCFDKDSEIFRPQNMELILAEELFPSSLSPKERAIHWVEFFSYFKPQHIKALHIIFSLKRRLQLEMQAYLSLRAKKEEPSDEIQKKFCASFRNMSVAFADASNVEECLKNLHQLKDNNIFKDLTELSYEGSSFATVQSIRDLFLKRIGNKHPLYNFCKVLSVKCSHSIFNWEMIYAILEVLFSHRNELTNHVEAACDLLLLVSKVFPSLFQGSEEYLIKLFSEESVLINEKTLEMLAHLAKSGCHLSIDFSDDVYPLLEQKCIEGTRAESKYAVAAIDSLIQSPNDEKFARLCEKVVAALDDNYNVPTLLQSLGLILEHSPSMYKLYDKKIMNFVQDILCSTEIYCLKTLVKSCLPRSTVRDRIEHFLKILLDIILEKFKAITLCPLVMMLRTLIEMDDEHGHNTSSVPILMGIFRAIQMAGDLAEAEDLAECGITHECCQGDLISDSFVKRILGAHGPVHPDDTKCSDNAERVSTEVAPDKEARSSLSNIVGQNASCHDKGKRNKKQDQTTNHSLEKEKVSSCGSAGTKLSSPASLGLAKEADSIDSISLLENQNRPESRSSTGETRASETDHNYSNRRETVMKDTGTVLVGCRIRLWSARDMCYICGTVETYDQSNGFHKLSNYLCMIVSKIIYENGDKELVRLECQKWEFISDTISTVKDIPNSHPRCCSFKRVRGKGSADSQNKRQEMLLPGSSIVCDPDEDGDIDDNFVKQPFSNNRTGVAGLKKNSKRALDSSNAQTSSGLTAFNPVDNVRCTRSRKVQLRFAIDKGNTGLLLRFVLDRPLEKVAQPFSIEMERDRSIIIAPTPYEINKNASHPYTTYQLRHCPAKSQPGCRTRNLTLSFLCWEASLQDLALPPSVDRQEVYGDAAGDRAEGGLPKVHVGHPHHLGGLRVFHQVDVDVHAEQAHHHDARGDHHRRRRQHHAHLQQLVLLLVQHYVDVILRVVRVFTDLCSMSIGKCQGGNETSSNAWNLLVVSDASA >ONIVA01G43500.1 pep chromosome:AWHD00000000:1:36557458:36561084:1 gene:ONIVA01G43500 transcript:ONIVA01G43500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAQPVSAAVAPVTGRRKGAAASRKWMVVPAVGEERRVEFGKHQIMKMTGLPGRDLRVLDPVLSYPSTILGRDRAIVVRLQGVKAIITATEVLVPDHDDVLLASFLLDLRSRLSLPDAAPSTNPAAADRGNGTEQGDQGSVPGLAISGAGNAKIPPFEFKVLEVCLEHACKDLESQVSTLNLDHVRNLKSRMVDLSGRVQKIRDELEHLLDDDMDMSEMYLTRKLSFQGLSGSLSRADSHKYASVDHDDDREEEDHDDETESGRESSVYVKPDIEELEMLLEAYFVQIDGTLNTLYHIREYADDTEDYINIMLDEKQNQLLQMGVMLTTATVVVTAGIVVVSLFGMNIHIDLMKDPETPEMVRMSNMHFWETTFGTVAGCIAIYLLAIYAGRKSKILQ >ONIVA01G43490.1 pep chromosome:AWHD00000000:1:36555605:36556528:-1 gene:ONIVA01G43490 transcript:ONIVA01G43490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHTRHPHYPLLSVTPLSLFSVSSRRSGVGAAGAGDGGAEAERGGRRRVTGRSDGVSWAERPVSGRTGGGGEGGEEERRRVVGGAAGRTAGEKLRQWPEGRGALTGGRRGAARGPSLLRPQLRQWPAAARSAPPVGSARRRPLSSTGLLRPPSLLRVPAGFARSRPLHSSYRLRAPPSSSTTPPPPRSPP >ONIVA01G43480.1 pep chromosome:AWHD00000000:1:36555249:36555586:-1 gene:ONIVA01G43480 transcript:ONIVA01G43480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGAGALCRAIVFLFTTAEWLETLACTKASAEMSSLMGMLPAKAVLATTGDVVSVRDVRVGDVVAVRAGEIVPVDGVVVDG >ONIVA01G43470.1 pep chromosome:AWHD00000000:1:36548988:36554517:-1 gene:ONIVA01G43470 transcript:ONIVA01G43470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF639) [Source:Projected from Arabidopsis thaliana (AT1G71240) TAIR;Acc:AT1G71240] MLQLRLQPPRFTPLPRRRVAGGGHHRRRRAAAPPPPLALRSQWRIPDVDADAVQERVRSWLSRARGAIADAANAAREKGRSKEEAEGRKKRRKEALEEQALVAVPEITVERRVGRGWLSLDAVVTIEQFARLNGLTGRKVQRIFEALAPSHVQNDARNLVEYCCFRYLSRDNSDLHPSLKELAFQRLIFMTMLAWEDPYGEDDDTESSLDNYSILGRLVGEDAFVRIAPAVAGVADVSTAHYLFRALVGSEKGLSLDIWTTYLGELLKVHHGRQTHKSGDHFLSDEQVLCIGSSRKRPVLKWEENTAWPGHLTLTNKALYFEAIGLTSTNKPLKLDLTDRNSRVEKAKVGPFGSRLFDSAVSVSSGSVSNEWTLEFVDFGGEMRRDVWLAFISEIISVYRFINEYGPRDDDPAIHHVYGAHRGKKRATLAVKFWGGPLVTNSKAASQRASQWHRPSEDSSSAHAHIFDIDGSVYLRKWMTSASWTSSHSANFWRNSSVKHGVILSKSLVVADKNLVEKAMVACKEKSKIVERTQATIVAATIEGIPSNIDLFKELILPFAIVSEKFYKLKRWENPRTTACFLLVVYTIIFRNMLSYVLPFSLMMLALSMLALKGLKEQGRLGRSFGKVTIKDQPPSNTIQKIIALKEAMASVENYLQNLNLSLLKIRTIFLAGQPEVTTQVALVLLASSAVLLVVPFKYVLAFFMFDQFTRELEFRREMVKAFMSFMKERWESIHAAPVVVLPYEDGGEQHNKTLPPKSTQQTQSGSVQSTDTYMNLSNGTYTLDI >ONIVA01G43470.2 pep chromosome:AWHD00000000:1:36548988:36554517:-1 gene:ONIVA01G43470 transcript:ONIVA01G43470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF639) [Source:Projected from Arabidopsis thaliana (AT1G71240) TAIR;Acc:AT1G71240] MLQLRLQPPRFTPLPRRRVAGGGHHRRRRAAAPPPPLALRSQWRIPDVDADAVQERVRSWLSRARGAIADAANAAREKGRSKEEAEGRKKRRKEALEEQALVAVPEITVERRVGRGWLSLDAVVTIEQFARLNGLTGRKVQRIFEALAPSHVQNDARNLVEYCCFRYLSRDNSDLHPSLKELAFQRLIFMTMLAWEDPYGEDDDTESSLDNYSILGRLVGEDAFVRIAPAVAGVADVSTAHYLFRALVGSEKGLSLDIWTTYLGELLKVHHGRQTHKSGDHFLSDEQVLCIGSSRKRPVLKWEENTAWPGHLTLTNKALYFEAIGLTSTNKPLKLDLTDRNSRVEKAKVGPFGSRLFDSAVSVSSGSVSNEWTLEFVDFGGEMRRDVWLAFISEIISVYRFINEYGPRDDDPAIHHVYGAHRGKKRAVSSAANSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTLAVKFWGGPLVTNSKAASQRASQWHRPSEDSSSAHAHIFDIDGSVYLRKWMTSASWTSSHSANFWRNSSVKHGVILSKSLVVADKNLVEKAMVACKEKSKIVERTQATIVAATIEGIPSNIDLFKELILPFAIVSEKFYKLKRWENPRTTACFLLVVYTIIFRNMLSYVLPFSLMMLALSMLALKGLKEQGRLGRSFGKVTIKDQPPSNTIQKIIALKEAMASVENYLQNLNLSLLKIRTIFLAGQPEVTTQVALVLLASSAVLLVVPFKYVLAFFMFDQFTRELEFRREMVKAFMSFMKERWESIHAAPVVVLPYEDGGEQHNKTLPPKSTQQTQSGSVQSTDTYMNLSNGTYTLDI >ONIVA01G43460.1 pep chromosome:AWHD00000000:1:36540550:36547647:1 gene:ONIVA01G43460 transcript:ONIVA01G43460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASHARAPLLLLLLMCSCLLLSPVAADRAAYIVHMDKSAMPAHHSDHREWYSATVATLTPGAPRGGRGGPRIVYTYDEALHGFAATLSASELGALRLAPGFVSAYPDRRADVLHDTTHSTEFLRLSPFGGLWPAARFGEGVIIGVIDTGVWPESASFDDGGMPPVPSRWRGECEAGQDFTPDMCNRKLIGARYFNRGLVAANPTVTVSMNSTRDTLGHGTHTSSTAGRSPAPCASFFGYGRGTARGVAPRAHVAMYKAMWPEGRYASDVLAAMDAAIADGVDVISISSGFDGVPLYEDPVAIAAFAAIERGILVSASAGNDGPRLGTLHNGIPWLLTVAAGTVDRQMFAGSIYLGDDTRSTITGITRYPENSWIKDMNLVYNDTISACNSSTSLATLAQSIVVCYDTGILLDQMRTAAEAGVSAAIFISNTTLITQSEMTFPAIVVNPSDAASLLSYINSSARPTATIKFQQTIIGTRPAPVVAAYSSRGPSRSYEGVLKPDIMAPGDSILAAWAPVAPLAQVGSTALGSDFAVESGTSMACPHAAGVAALLRAAHPDWSPAMIKSAMMTTATAVDNTFRPIGDAGHGDAAASPLAIGAGQVDPNAAMDPGLVYDAGPEDFVELLCSTNFTAAQIMAITRSKAYNCSFSTNDMNYPSFIAVFGANDTSGDKRFSRTVTNVGAGAATYRAFSVSPSNVEVTVSPETLVFTEVGQTASFLVDLNLTAPTGGEPAFGAVIWADVSGKYEVRTHYVLRTYVRARYTYMAASLAIVAVVVALCVAAAASAETATYIVHMDKSAMPSGGGGGNGSTSLESWYAATLRAAAPGARMIYVYRNAMSGFAARLSAEQHARLSRSPGFLSSYLDAPVTRRDTTHTPEFLGVSGAGGLWETASYGDGVIVGVVDTGVWPESGSYRDDGLPPVPARWKGYCESGTRFDGAKACNRKLIGARKFSAGLAAALGRRNITIAVNSPRDTDGHGTHTSSTAAGSPVPGASYFGYAPGVARGMAPRARVAVYKVLFDEGGYTTDIVAAIDQAIADGVDVLSISLGLNNRPLHTDPVAIGSFAAMQHGIFVSTSAGNDGPGLSVLHNGAPWALTVAAGTVDREFSGIVELGDGTTVIGESLYAGSPPITQSTPLVYLDSCDNFTAIRRNRDKIVLCDAQASSFALQVAVQFVQDANAAGGLFLTNDPFRLLFEQFTFPGALLSPHDGPAILRYIQRSGAPTAKIAFRATLLNTKPAPEAAAYSSRGPAVSCPTVLKPDIMAPGSLVLASWAESVAVVGNMTSPFNIISGTSMATPHAAGVAALLRAVHPEWSPAAIRSAMMTTAATLDNTGRSINDMARAGHAATPLAMGSGHIDPNRAADPGLVYDAVPGDYVELMCAMGYNLSDIRAVTQWSTYAVNCSGALSPDLNYPSFIAYFDRRSAAAAAETKTFVRVVTNVGAGAASYRAKVKGNLGGLAVSVTPSRLVFGKKGETQKYTLVLRGKIKGADKVLHGSLTWVDDAGKYTVRSPIVATTLSSTRL >ONIVA01G43450.1 pep chromosome:AWHD00000000:1:36537465:36537866:-1 gene:ONIVA01G43450 transcript:ONIVA01G43450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAVLVPVGTKNHPTVESEKGRIGREWVCLLLLLRRASATAYSGAATGVAPRPLPPPERILGRCNRIFCRWLIRLRLRCRRRCVGRSHGAKGGHGSGGHGNDGAEEEHKGAGAEEKHGGDAEEEYGGGRSGF >ONIVA01G43440.1 pep chromosome:AWHD00000000:1:36531031:36537197:1 gene:ONIVA01G43440 transcript:ONIVA01G43440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRITVLAIALLVLILSPQMAVQGKPAAGNTASPRPKQQQLGNFFKKHGSDMAGLFPRHRNGGSSGSYSGQAVPADGGENGGGGQSQDPATNTGMYVLSFSVGTPPQVVTGVLDITSDFVWMQCSACATCGADAPAATSAPPFYAFLSSTIREVRCANRGCQRLVPQTCSADDSPCGYSYVYGGGAANTTAGLLAVDAFAFATVRADGVIFGCAVATEGDIGGVIGLGRGELSLVSQLQIGRFSYYLAPDDAVDVGSFILFLDDAKPRTSRAVSTPLVANRASRSLYYVELAGIRVDGEDLAIPRGTFDLQADGSGGVVLSITIPVTFLDAGAYKVVRQAMASKIGLRAADGSELGLDLCYTSESLATAKVPSMALVFAGGAVMELEMGNYFYMDSTTGLECLTILPSPAGDGSLLGSLIQVGTHMIYDISGSRLVFESAAAAVGFIPTVVTAALIVSPAAADLAGSGRDPFNVGRASGLGGKLFAVWRSEFGETGGLRIAWEGLNLGPSWAAKMNRNYTEMGFNLSSSSPRNKN >ONIVA01G43430.1 pep chromosome:AWHD00000000:1:36520045:36529853:-1 gene:ONIVA01G43430 transcript:ONIVA01G43430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT5G67100) TAIR;Acc:AT5G67100] MDEGSADAGASGRRSRARGSEAVARSAALERLRAIRDGGARAAAAVQVRIEAPIYDTVAEEDYAALVARRRKDAGAFIVDDDGLGYADDGREEDWTHRTIHSSSDEGSDGEDGAPRKRKQPRPQSKRPPQQSAAAASLSAAAAMMGKQRLSSMFTSSVFRKPGSDRGRDSSLAADSIVDDVIAEFAPDDNDREERRRRVGRVCAPAPAPTTTAHIKAENVAVDTAMAFRSDNVFEAHEVSDHGNDMDMELKPDVEMEPKLDTPLGASAELANNSNSLEEPKQEANGEVKIEKVHRLNAKIKTEDSRNGDMASATAGWMKICGDGDNAGGEGAVAANSNTSVDESSEFELKDGALPFYILDAYEEPFGANSGTVYLFGKVEVGKRFHSCCVVVKNMQRCIYAIPSSSIFPRDTISRLEKNSTTSDSSPSLRASLHELASGLKSEIADKLSDFNVSNFAMTPVKRNYAFERTDLPNGEQYVLKINYPYKDPALPTDLRGQHFHALLGTNNSALELLLIKRKIKGPSWLSISKFVACPATQRVSWCKFEVTVDSPKDISVLMTSTTLEVPPVVVAAVNLKTIINEKHNVHEIVSASVICCHHVKIDSPMRSEDWQKRGMLSHFTVMRKLEGSIFPIGLSKESSDRNQKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSNMWSKIGRLRRSVMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKERKEVSPHDIPPMFQSSGALLKLVEYGETDACLALELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHARKFIVPDKFARSKEFNSTKRKMNPDTEAARPDEADPSIDDEGHHVDQGKTKKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVDRSADGNVPNLPASKTTGVLPELLKSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKGIAGKVIQERDSMHSGERPVNISALMQVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKVALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGSCDDVIESIHSSLLQVQEQMRGGQTELEKYIITKSLTKAPEDYPDAKNQPHVALRLKQNGYSGCSAGDTVPYIICSQQDSESTHSGGIAQRARHPEELKRNPDKWMIDIDYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQSRLTESDNQDTSSTLLSVIDDEDERYRGCEPLRLSCPSCSTTFDCPPVSSLIIGSSSGNVSNPNEGNDASINFWRRMRCPRCPDDTDESRVSPAVLANQMKRQADSFINLYYKGLLMCDDEGCKYSTHSVNLRVMGDSERGTICPNYPRCNGHLVRQYTEADLYRQLSYFCYVVDATRCLEKLDQKARLPFEKEFAALSQTINLALMEVQKIRDRCAFGWVQLKDLAISI >ONIVA01G43420.1 pep chromosome:AWHD00000000:1:36510315:36515747:-1 gene:ONIVA01G43420 transcript:ONIVA01G43420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW86] MAQPQPQSQRQRVYEVWKGNNRFFFGGRLIFGPDAKSLLFSVALIFVPVAVFCAFVARHLRHQFPAYNAGYAILAVAIVLAIYVLSLLFITAAQDPGIVPRASHPPEEEFHYDNLSLADTPGRLVFPRVKDVMRNYRYFFLFVSSASILCIYVFAMSALYIKILMDGDYPTVWKALKHSPASLALLIYCFICLWFVGGLTGFHTYLISTNQTTYENFRYRADGRPNAYDRGCMNNFLEVFYTKVPPSKHKFREPIQEEVRAPPANRAVEREEEPVGARTKVEDDLDIGGDLLKISQRHNYDGIDIEMGGGDRNGRNEAVSNSKLISKTDAQAPTVEDELSFLMHTLTLISSGGCRFHLECNPILYPYTQYNFLDRAIITSFHFETTLVGQFCSHTVLFLQYAVLLNSDCQQQAHLVVNAEDIGIITDMLPSQPDSELPFECWSCRCSTGIL >ONIVA01G43410.1 pep chromosome:AWHD00000000:1:36493149:36493552:-1 gene:ONIVA01G43410 transcript:ONIVA01G43410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGVDSVLAPKRAREVAAKEEHATANRSGGEAGRYSGEEQWPSRGLEAQDPARARIQRASGREAERPARRLMSRNRQCLTANEHGGRSCRSSDGAVETGRQLGIWEVAKWSEGREEAPFYRSGRESRP >ONIVA01G43400.1 pep chromosome:AWHD00000000:1:36474466:36476088:-1 gene:ONIVA01G43400 transcript:ONIVA01G43400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTIGSALYPLGEMRRSQRADGLAAVLAIGTANPPNCITQEEFPDFYFRVTNSDHLTALKDKFKRQEMGVQRRYLHHTEEMLSAHPEFVDRDAPSLDARLDIAADAVPELAAEAAKKAIAEWGRPAADITHLVVTTNSGAHVPGVDFRLVPLLGLRPSVRRTMLHLNGCFAGCAALRLAKDLAENSRGARVLVVAAELTLMYFTGPDEGCFRTLLVQGLFGDGAAAVIVGADADDVERPLFEIVSAAQTIIPESDHALNMRFTERRLDGVLGRQVPGLIGDNVERCLLDMFGPLLGGDGGGGWNDLFWAVHPGSSTIMDQVDAALGLEPGKLAASRRVLSDYGNMSGATVIFALDELRRQRKEAAAAGEWPELGVMMAFGPGMTVDAMLLHATSHVN >ONIVA01G43390.1 pep chromosome:AWHD00000000:1:36472031:36472456:1 gene:ONIVA01G43390 transcript:ONIVA01G43390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAPVLVAADLATPLLTTTNPAERRRDGVCGRDEGRWWQRRLDCRLDYLRSVGFLKDYPVEALACFRWYTGGNGMGSHAHYSGYQITGNIIQMWQLAL >ONIVA01G43380.1 pep chromosome:AWHD00000000:1:36466931:36470509:1 gene:ONIVA01G43380 transcript:ONIVA01G43380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAK16 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW82] MSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGIFYLYMKTAERAHHPNKLWERVKLPRNYEKAIEVINRHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLRLKVREKLMTMPRKQTQRDLRRMAKAEHAAQIEKAIQRELKERLCGDDGMIYSYPFKVLDMEKGDVDPEEDEEEEVEEYVEGDYMDDMEDMEDFEGLPGGDYGEMNEDDLSDERIAKKPKVLGSDLRSNIGKKSKKPTEVELDEDIIYGYQAKDVNMKGVDQVVVNRFLVWMAYLPTDVAVFVLGQLAL >ONIVA01G43370.1 pep chromosome:AWHD00000000:1:36456099:36465452:1 gene:ONIVA01G43370 transcript:ONIVA01G43370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKQHSILFELASPHNLTEMRPVRNGEGIGNMRNLKENKGLILTYLQCSFGHHVKELWDEWEIHCLILENLTTFSKEDNDLWKRHLLNLVIQVVVAGYVISTSSWTDRRLQAAVVLMFLCGCLRYTGRTFCLILARPRSLRAASLHYLKFVLQEVEKGRVEEEAKKYVKERFESTLDGKSSSKIIHTEAENIGYTESEVISVDIPRDDVKCILAAKDIPSMLKEFYDNPNRRRAYEIVGAIVLDVFAATMYVCPYLISKLPSERTKSIILWAVNSIRPLRGRKQWSQEVAQYNMITKYTMQGTVGLLSSVQKWISECSNTCGVELLDSTLTHISITEDLKELVLDKLLEFGKVKEDWNFASSRGQLTLQKRIDRCKSEDLEQTSMTKLQDSIGRDVDFPTAVLIWHIATDICYYSEDSTTEVNKKKKVSRELSNYIMYLVFKCGVMLTVNSQLVHDRALGEIGEKIYRQQDQQAKTSEKEACCMPQACQVAAELFSIGNEAERWKLISEIWLEMLYYVAPRCGGAFHYKHLSTGGEFITHVLLLMRFLGPFLPIPTASAP >ONIVA01G43360.1 pep chromosome:AWHD00000000:1:36451925:36454196:-1 gene:ONIVA01G43360 transcript:ONIVA01G43360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGVAAPGSCLGQPRFPVLPFHYSLPADALAIYALATLFNRHKKQDVGHTHNNDVLEILWAPILLIHLGGQDSITAYNIEDNELWMRHVLTALSQITVAIYVFCKSWPGGDRRLLQSAILLFVPGILKCLEKPWALNRASINSLVSFDEKVRRTINRQGKQIDSIEDFVRSARGFFCGNDHLEKPSRSADFTPDELFVDLASPCTDNRVRKLMSFSALCGDEAYYLLQNNLSDTFDLLYTKEKMSLKTPPTEELETGLHHFVELYKQLNYTLFSSLSEFFGTVIRELAMFLPFMAIGLFHQSNRKSYNDKDVKVTYALLCCTAVIEFYNPFVKVFTSVTLNQRSSSVSKLSQRPRQRYLYQHQDDMVSQYNLLGYFVRNKKHSTIMNFVGFFGCKNYLDRRWRMKSCFSSRSITNVVLGHVKRWWKDHITDVFTYRMFNDIRGQWSLKVEGCFQGLGWSLEGAFDESVLIWHLATDLCFYHISPSHGREHATTMCIERSSGLNNRCPTWCEKSIHHKNAVQCREMSNYMTYLLFVNPEMLMPGTRRNLFTDAYNELKGVVKEKNPPLDERELAERIIAEVQQQLEEITGEDKSPSSKRGLIEDAWSIAEELLKLEDDEKMWRVIEGVWVEMLCFSAARCRGYLHAKGLGTGVEFLSYVWLLLHYMGMETLAEKLARGDLQNRGHSGNLRTFHVRESSGEEQVAGPSTSYANGDNGDQHVVAPFSEDGFTLAGDENV >ONIVA01G43350.1 pep chromosome:AWHD00000000:1:36441545:36445124:-1 gene:ONIVA01G43350 transcript:ONIVA01G43350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAK16 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW78] MSCPLLLGRALDSPSRSPAAPRRLPTASRAAPPAAGGEPAAAAAAKMSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGIFYLYMKTAERAHLPNKLWERVKLPRNYEKAMEVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPRKETQRHLRRMDKAEKAAQLEKNIESELKERLKKGVYGDIYNYPFKEFDTILEMEKDDVAPTEEEEEEEVEYVEGDDEMDDMEDIEDFGGLPDDDEDDDNDGETDEDDLSDEPVAKKPKGRGSDLRSNIGKKSKKLITEVERDDDMGIRRRTRMKMLTLA >ONIVA01G43350.2 pep chromosome:AWHD00000000:1:36441545:36444870:-1 gene:ONIVA01G43350 transcript:ONIVA01G43350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAK16 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW78] MSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGIFYLYMKTAERAHLPNKLWERVKLPRNYEKAMEVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPRKETQRHLRRMDKAEKAAQLEKNIESELKERLKKGVYGDIYNYPFKEFDTILEMEKDDVAPTEEEEEEEVEYVEGDDEMDDMEDIEDFGGLPDDDEDDDNDGETDEDDLSDEPVAKKPKGRGSDLRSNIGKKSKKLITEVERDDDMGIRRRTRMKMLTLA >ONIVA01G43340.1 pep chromosome:AWHD00000000:1:36437519:36441881:1 gene:ONIVA01G43340 transcript:ONIVA01G43340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45100) TAIR;Acc:AT3G45100] MENVTGEGISDQIERFQTQLQMDGRGRKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVIVMTHAYGNRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILIREKISVVHGHQAFSTLCHEALMHARTMGKENTVLRSGISPEKVFMVPNAVDTAMFTPSPDRLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRIEEMREKFSLQDRVEMLGAVPHAQVRSVLISEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPAPEDMVRAVRKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMQSPQTDLLERLPRCGAWAGKLFCLVMIINYLLWCLLEYLQPTEGIEEVPDIRPVHARLESVDDTCETQGKGI >ONIVA01G43340.2 pep chromosome:AWHD00000000:1:36437519:36441881:1 gene:ONIVA01G43340 transcript:ONIVA01G43340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45100) TAIR;Acc:AT3G45100] MENVTGEGISDQIERFQTQLQMDGRGRKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVIVMTHAYGNRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPSPDRLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRIEEMREKFSLQDRVEMLGAVPHAQVRSVLISEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPAPEDMVRAVRKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMQSPQTDLLERLPRCGAWAGKLFCLVMIINYLLWCLLEYLQPTEGIEEVPDIRPVHARLESVDDTCETQGKGI >ONIVA01G43340.3 pep chromosome:AWHD00000000:1:36437519:36441881:1 gene:ONIVA01G43340 transcript:ONIVA01G43340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45100) TAIR;Acc:AT3G45100] MENVTGEGISDQIERFQTQLQMDGRGRKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVIVMTHAYGNRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILIREKISVVHGHQAFSTLCHEALMHARTMGKENTVLRSGISPEKVFMVPNAVDTAMFTPSPDRLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRIEEMREKFSLQDRVEMLGAVPHAQVLPDDMVVLAEPAPEDMVRAVRKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMQSPQTDLLERLPRCGAWAGKLFCLVMIINYLLWCLLEYLQPTEGIEEVPDIRPVHARLESVDDTCETQGKGI >ONIVA01G43330.1 pep chromosome:AWHD00000000:1:36429079:36435090:1 gene:ONIVA01G43330 transcript:ONIVA01G43330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW74] MDTRCARARRRRVFLRKATWSARRPHFLLPRPLEREREATPSFLPQPFHPPKLIHPSIALPSYSRSIDRPPAMDVEYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQLWKRFKGEGTPPAQIGASRDYNVDMIPKFMMANGTLVRVLIHTGVTKYLSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLFVQDYKENDPSTHKGYDLNKMTTKELISKYGLDDNTIDFIGHAVALHKEDNYLTEPAIDTLYAESVGRFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKIEFNDEGKVCGVTSEGETAKCKKVVCDPSYIPDKVRKVGKVFRAIAIMSHPIPNTADSHSVQIIIPQKQLGRKSDMQITLGLLVISIIVTKECSLVHARYVFCCSYSHNVASKGKFIAFVSAQAESENPAVELKPGIDLLGPVDELFIDTYDRFEPTNDPSSDNCFISTSYDATTHFESTVMDVLSIYTKITGKTVDLSVDLSAASAAEDDL >ONIVA01G43320.1 pep chromosome:AWHD00000000:1:36398502:36400148:-1 gene:ONIVA01G43320 transcript:ONIVA01G43320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDFIRVIGSAGSSGMSGKKNVIIATSNRKAIAYDPTSETLETILEIKGTPLPYQTARSALGLISLFEDSLAPVCKTNEEIALSSPLAKVIKEALLRLPGDYAVQFKLVSKQWHRFIESWSFARGYDIYNNRDRRPKIRLVGMGTGGSSGFSFASIEILLQESPSKDTWLDAKVVCSKPCHGMNLISTELEDYLYNPCTGYRYVRSTRGALVYIPNRIPSDRFRHDHAFTTGNKNVGLGFDPLMQEHVIVELFYQWRNFKTCRYNITCSLFTCKSRHTCDFLQPPLTVNDMPPAYLAGFLYWMSEPRLSQSKTSAILSFEIATKTFVVIQCPSCALTRHNRSPCESFVVELEGMLCVVLANPFEEELDIWKMEHGQWDRAYRVCLKGWPGYSLGANVVVPMAVDPKDGRILLNTGSKLGLYDPTKRVIENLYDLDEVLRVKQTDETLHVEDKEKS >ONIVA01G43310.1 pep chromosome:AWHD00000000:1:36392958:36393423:1 gene:ONIVA01G43310 transcript:ONIVA01G43310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVAEEEVVVEAAPVRLGRARGLHSAPWHAARAQHIILLVAILPSALRVQCRGLRPPPRPGYFVTGEDAASFSCLPGLSDPELPSFSPVVSTAATVFAGVAPPSSSPTLPQRPPLRPPARRKERERGEMTWQPDMWGPRGSHAYSATT >ONIVA01G43300.1 pep chromosome:AWHD00000000:1:36392600:36392873:1 gene:ONIVA01G43300 transcript:ONIVA01G43300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEDGNMEGGEHGSAVARRGREAARRGSSAALPGGGRGGIGAAPSRGGREGSDARRRRSGRQLEGAANSGVGVAWAMAGHISAAR >ONIVA01G43290.1 pep chromosome:AWHD00000000:1:36363928:36364539:-1 gene:ONIVA01G43290 transcript:ONIVA01G43290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYMMYQLFVNPGMLLAGSRRNLFTDAYKQLKGIVKEKNLSLNERELAQRIIVEVQQPHEENEGELIDDAWSIAEELLNLEDEEKMWRVIEGVWVEMLCFSAARCRGYLHAKSHGTGGEFLSYVWLLLHYMGMETLAEKLARAELPNGARSGNSSTKQAGDSYGKEQAPGASTSHARGAYGDEDGAGPSSYHEIEVMGHENV >ONIVA01G43280.1 pep chromosome:AWHD00000000:1:36353834:36360193:1 gene:ONIVA01G43280 transcript:ONIVA01G43280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSALQRWEEWQLCILALSSLVVQYILLIMSPRRGDTLLLLTAVFLFIPGVLKCLEKPWALHSASINSLVNSPQNVRRTTGRNGKIDSIDDFVTMVRGYYSDVNGTPGTTVNFDPSEIFVDLSSPSFDYRLKKLKWFSALDADKTNGWLQKGLSHTFDLLYTKRKMYLPPEGRVQQMMQNVKRDWLKGLFRKSIVGSLLRMIALYLQFGAIGLFHHSHRQAYNDRDVKVTYALLCCTAALEFLGQLALDIVNTAERKSSSSIGRSKTGMDGNVSQHMDAMLYQHNLIGCFICNQRHYIRSFATDYLDQRWQMKSSSSSRCITSLVLQHGAFDESVLLWHLATDFCYYTSGSYGRERSIHHKSAVQCRELFNYMMYLLFVNPEMLMPGTRRNLFTNAYNQLKGIIKDENP >ONIVA01G43270.1 pep chromosome:AWHD00000000:1:36333584:36333883:-1 gene:ONIVA01G43270 transcript:ONIVA01G43270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAAPPLPSAPLPPSPCIRHRRRGGMGVAATTGPAAPILPSSPGGDGSARAADLTVGGGRSVAGDRGDNGSIAGNRWDDGGGPRQGWRCRRRRQQLD >ONIVA01G43260.1 pep chromosome:AWHD00000000:1:36328586:36331001:-1 gene:ONIVA01G43260 transcript:ONIVA01G43260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAGASELMSGYYQAQEMSTMVSALARVVAGGGGGDGDGDQWAWSSPSPSSSAAAAAARGVQERRREEQAMHELAGYACGGAPSPEFAGSEQSSDTQSASAATMDEHHSPVGGGGNAEGPDTPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLYPTAATTTTAAPPPAPVAAASPSAAIYPGASQSAEYLRYQMLLQGRLTTATPNQGTLLPFYGGGGGGGSMTNPYGGGGGGAMSGFLGSYYSFPTPSVSVATVPSSTSSAPGNYYSSHGGSHQSMSAAEEWNWENALVYPATAASWSESSYHHHPPPPHTQ >ONIVA01G43250.1 pep chromosome:AWHD00000000:1:36323870:36326530:-1 gene:ONIVA01G43250 transcript:ONIVA01G43250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYAKLGIDIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPTPRKSQNIRLNRIMT >ONIVA01G43240.1 pep chromosome:AWHD00000000:1:36319085:36324476:1 gene:ONIVA01G43240 transcript:ONIVA01G43240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEISESELLQVVQPFGTVAKLVMLRAKNQALVQMEDLASAVNVIQYYNTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQAFKPSYNISHVKVQYKLMVLYILSELQVHYNNDRSRDFTNPSLPTEQRSRSSQPSYNDPSSLFGFQQPGDPYAQMSKAAMIAAAFGGTLPPGVSGINDRCTLLVSNLNTDKIDEDKLFNLFSMYGNIVRIKILKNKPDHALIQMADGLQAELAVLYLKGAMLFGKKLEVNYSKYPTVTADPDARDYSTSHLNRFNSNVVKNYRHCCAPTKMIHISALPQDITEDTIHSLVGEHGTIANSRLFETNGKTQALVLFESVEEATEALVEKHASKLDRTNIRISFSQMQNI >ONIVA01G43240.2 pep chromosome:AWHD00000000:1:36319084:36324476:1 gene:ONIVA01G43240 transcript:ONIVA01G43240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEISESELLQVVQPFGTVAKLVMLRAKNQALVQMEDLASAVNVIQYYNTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQAFKPSYNISHVKVQYKLMVLYILSELQVHYNNDRSRDFTNPSLPTEQRSRSSQPSYNDPSSLFGFQQPGDPYAQMSKAAMIAAAFGGTLPPGVSGINDRCTLLVSNLNTDKIDEDKLFNLFSMYGNIVRIKILKNKPDHALIQMADGLQAELAVLYLKGAMLFGKKLEVNYSKYPTVTADPDARDYSTSHLNRFNSNVVKNYRHCCAPTKMIHISALPQDITEDTIHSLVGEHGTIANSRLFETNGKTQALVLFESVEEATEALVEKHASKLDRTNIRISFSQMQNI >ONIVA01G43230.1 pep chromosome:AWHD00000000:1:36315326:36318300:1 gene:ONIVA01G43230 transcript:ONIVA01G43230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW63] MSHNHGDTIPLHPSSAQSDMDEIESLIYAAPSATVLPARPPSPPRASIPVSTSPAPLPAPAKPSLPGASVPIIVPQAPPASVSVPIASDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID >ONIVA01G43220.1 pep chromosome:AWHD00000000:1:36304520:36314574:1 gene:ONIVA01G43220 transcript:ONIVA01G43220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43620) TAIR;Acc:AT1G43620] MGSSGEAVAEWGKEGEGGLRHRWRAEAVGASSSFAEGMGEFVLRSMDARFSGSADADGFPSSRHPGFGHSKSTTATSDCSKGQEHVFVRSYSDRLLKCDLTLDMLSENEKIKIIENLVKIQNDGTLEVDVKRSALIASELSEIDAFGSLSRDIVEAAPGLSKSVPKLKIVILVVGTRGDEFGHYVRLATHVNFRTFVKSAGIDFYPLGDMTKNKGFCLAGPTEISVQRKQLKEIIFSVLPACTEPDLDTGLPFRAQAIIANPPALGHLHIAEALGVPLHIFFTFPWTPTNEFPHPLARMPQSATYRLSYLILDLVIWWGTRGFINEFRKKLKLAPIAYFSTYHGSISHLPTGYMWSPHLMPKPNDWGPLVDVVGYCFLNLGTKYQPPQELSQWLQQGPKPIYIGFGSMPLGDEKKVTSVILDALRETGQRGIISRGWGDLGSFSEVPVDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLVAGCPTTIVPFFGDQFFWGERIHAQGVGPAPIPIAELTVEALSNAIRFMLDPEVKSRTMELAIAIGNEDGVAAAVDSFHRHLPAELPLAPPPTDVKEEQLDFFQWFSQALEKCCFPFNP >ONIVA01G43210.1 pep chromosome:AWHD00000000:1:36300324:36302310:-1 gene:ONIVA01G43210 transcript:ONIVA01G43210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELERRWRAHRPATQMEPRVGVNGGAVDGRRWCWSSRRQSGDHVADGHVAGDGVGAPAEGAPADHADGAPGGKSGDHVAGGRVSGGRVLLSPSGTSSLRRSHRRPPPPHSLGAQRQSRSYLDGIGGRRVSRRYPSRWYTGPVTVILDSTSGSKLRYASIADYPLLHDNGPPPPASTPYLHSRAKDHASSSLSSTQADAVSTQRTECTLSTAIRTRASSTTPCLELTTGAHRYGGDKGKRNEDREIEEEGGVATYSRDSYWPPLQDEATKRQPVSGAKSSRFAGDGNGPRRVAWRT >ONIVA01G43200.1 pep chromosome:AWHD00000000:1:36295626:36298182:-1 gene:ONIVA01G43200 transcript:ONIVA01G43200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVMASSSPSHTASDLARFAAVRGGGGSAGLGSMNVEEILRGIYADMPTPALPLVGGDRPMSPLPAPDVAAAPRTAEEVWKEITGAGVAAAAGGVVPPAAAAAAAPAVVAGAGAGTGAEMTLEDFLAREGAVKEDEAVVTDPSAAKEQVVMGFLNGAEVTGGVTGGRSRKRHLMDPMDRAAMQRQKRMIKNRESAARSRERKQAYIAELESLVTQLEEENAKMFKEQEEQHQKRLKELKEMVVPVIIMKTSARDLRRTNSMEW >ONIVA01G43190.1 pep chromosome:AWHD00000000:1:36282340:36298604:1 gene:ONIVA01G43190 transcript:ONIVA01G43190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPRSLRKAAVPPSLLSEPSPGSLQPTRLAVHVNAAGSSCSAYLASGCRVYKIEIAMEGEMLSKGKESLLIPINAEVISSSVVDRCPHRSEIQSVVLAEGEGDGCLIVGTVDSYGHLIVSRLDTVADGPPIQYHLVIVVSEKEVGLGYVLVQCTNPRLWYPSSFSFAQCMPQVNESGSMLAIAEGSQLSIWDLRTSNNGGCIHRISGPIGGIIYSVCSSPSGPIAVGGTDRTVTIYDPRRWSALSRWVGCSKYEITGLSFSSVDESFIYVQGVDYEITCGLWKGNERAFSFRGDSNWLGFSKCANTDVVAGWCESGSVFVADVRQDCLSVIG >ONIVA01G43180.1 pep chromosome:AWHD00000000:1:36274324:36278891:1 gene:ONIVA01G43180 transcript:ONIVA01G43180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW58] MSFRSIVRDVRDGFGSLSRRSFEVTLASLYGLTGHHKGKTQSSLDELDDSPAIFPESRWASLPPELLREVIRRLEADESTWPSRRNVVCFAAVCRTWREMCKETVLSPEFCGKLTFPVSIKQPGPRDGMIQCYIKRNRSKSTYHLYLCLSNVVTAEGGKFVLAAKRHRKTTCTEYTISMVSGNISRSSRTNIGKLRSNFLGTKFIIYDTQPPYNGAVVPHVGRTSKRFNSTKVSPKVPSVTYNIAQVSYELNVLGTRGPRRMRCMMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTTSFSQSFRSTTSFSKSIMDPSMDFSSARFSDISGSIMGGDDNGEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLVAAPSPPPAGAPTPSQPGPADPEKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >ONIVA01G43170.1 pep chromosome:AWHD00000000:1:36265995:36267407:-1 gene:ONIVA01G43170 transcript:ONIVA01G43170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTKRTIPFLFVRGDGVILVSPPLRTA >ONIVA01G43160.1 pep chromosome:AWHD00000000:1:36264503:36265015:-1 gene:ONIVA01G43160 transcript:ONIVA01G43160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA-like family protein [Source:Projected from Arabidopsis thaliana (AT5G09830) TAIR;Acc:AT5G09830] MGVTKEDVEAAITSSLSPSSLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPQPEPAADKAPQA >ONIVA01G43150.1 pep chromosome:AWHD00000000:1:36260569:36262330:-1 gene:ONIVA01G43150 transcript:ONIVA01G43150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGGEGAKPKRPAPRLNERILSSLSRRSVAAHPWHDLDTGADAPAVFNVVVEISKGSKVKYELDKKTGFIMVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYRHYNDLSELSLHRVQEIRRFFEDYKKNENKEVAVNEVLPVTAARDAIQYSIKARESIRTP >ONIVA01G43150.2 pep chromosome:AWHD00000000:1:36260999:36262330:-1 gene:ONIVA01G43150 transcript:ONIVA01G43150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGGEGAKPKRPAPRLNERILSSLSRRSVAAHPWHDLDTGADAPAVFNVVVEISKGSKVKYELDKKTGFIMVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYRHYNDLSELSLHRVQEIRRFFEDYKKNENKEVAVNEVLPVTAARDAIQYSMDLYAQYIEHLGQ >ONIVA01G43140.1 pep chromosome:AWHD00000000:1:36257867:36261399:1 gene:ONIVA01G43140 transcript:ONIVA01G43140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEADAYRTDLMTITRYVLNEQSRNPEARGDLTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQASVPGNNCHLSQFLVSEIEIGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEEDEEATFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKENVTLEDVLQPGKNMVAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDEPTAKFVEKCKFPKDGSSPKSLRYIGSMVADVHRTLLYGGVFLYPADKKSPNGKLRYTLSLLSASHFAYGTSNFILINNSEFTKSWNYSVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTKIHERSPIFLGSFEDVEEIKGLYAAQAK >ONIVA01G43140.2 pep chromosome:AWHD00000000:1:36257867:36261827:1 gene:ONIVA01G43140 transcript:ONIVA01G43140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEADAYRTDLMTITRYVLNEQSRNPEARGDLTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQASVPGNNCHLSQFLVSEIEIGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEEDEEATFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKENVTLEDVLQPGKNMVAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDEPTAKFVEKCKFPKDGSSPKSLRYIGSMVADVHRTLLYGGVFLYPADKKSPNGKLRYTLSLLSASHFAYGTSNFILINNSEFTKSWNYSVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTKIHERSPIFLGSFEDVEEIKGLYAAQAK >ONIVA01G43130.1 pep chromosome:AWHD00000000:1:36253169:36257407:1 gene:ONIVA01G43130 transcript:ONIVA01G43130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKPSSSGGGASSSGGGGGSGGPEAVVLANATDVSHFGFFQRGAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGLCAVAFMDDHYPVRSAFSLLNKVLDEYQKAFGDSWKAATKDATDAAQQWPFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLQRGERLDSLVEKSSDLSAASQKPTDTV >ONIVA01G43120.1 pep chromosome:AWHD00000000:1:36252294:36252704:1 gene:ONIVA01G43120 transcript:ONIVA01G43120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW50] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >ONIVA01G43110.1 pep chromosome:AWHD00000000:1:36248261:36251934:1 gene:ONIVA01G43110 transcript:ONIVA01G43110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPMNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFTLPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELDTARSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPGSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPGIVHMKCF >ONIVA01G43110.2 pep chromosome:AWHD00000000:1:36248262:36251934:1 gene:ONIVA01G43110 transcript:ONIVA01G43110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPMNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFTLPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELDTARSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPGSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPGIVHMKCF >ONIVA01G43100.1 pep chromosome:AWHD00000000:1:36243664:36244305:1 gene:ONIVA01G43100 transcript:ONIVA01G43100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEQLQQHARRLLSNGRVVSAAAAAGASPGPAGRVLEGGAAAAARRPAPFSSLDATVITVLSLLLCVLVVGLVLHAIARCAFRVTRRMCDGQEPPGDHGDEAAAERCARVARKKPGRAIAEKIPAIVCPAGGLDRLAGCGSTECAICLAEFAQGHRVRVLPRCGHGFHARCIDRWLAARQTCPTCRREPFAAAAAVQLQVYPDAAGGQHETP >ONIVA01G43090.1 pep chromosome:AWHD00000000:1:36223970:36224959:-1 gene:ONIVA01G43090 transcript:ONIVA01G43090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSHHEAMLPYAPRPPSLLVDRRYKQGAEAAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSSSSARSAADAVSSGRDAAFGHRFPGPVRPDMVLEGMVGNPANPGQAMPDVAAAADGSTIDLAMLYAKFLNHPPTDAGLGAVTPESGGHVDEAFDTFSASSDLSPGILAAASAQFDPNQDGFGEWSSPASGNDPTSTATTATTSMLCTDASVQAALGELNFAMDQSCFDSLGLPTDVAGAGSLSSWCSIVPSLSTWEEPKYDSLDSFPDDAMSLHECMIGAPDHDWSVDCQGLEALYMP >ONIVA01G43080.1 pep chromosome:AWHD00000000:1:36221391:36223231:1 gene:ONIVA01G43080 transcript:ONIVA01G43080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTVLPCVLSHYHRETTSPACTDGGYGHLFTSFEYNLILQGPKDVQFILKITTQLTLQQQFNDNILLAVKSRKILSDYKWIQTSNLYLSTRYMSSHAETNEAMAATTLL >ONIVA01G43070.1 pep chromosome:AWHD00000000:1:36215824:36217038:-1 gene:ONIVA01G43070 transcript:ONIVA01G43070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW44] MASAAAEVPSYFVCPISLQLMRDPVTLPTGISYDRAAIARWLAAPGARRTCPVTRQPLEHGLELTPNHTLRRLIQSWAASVSPGSAVDEEVAALRPVSSDKVASLLSDAAAAQVGALRRLRELAAECEDSRAMLESQGGVFDVLSRVVTSGSACSTAREEAVGVLASLRIPEQELIGVSTRHGNLAESLTAVLRSSNLQSRAHAVQLVRTLADAVVPAWVIGLNAELLAEVVGVVRDRVSARATKASLHALAALCPYGRHRVKIVGAGAVAALVELLLDEPERRVCELALAVLDRLCTCAEGRAELVAHAAGVAVVGKKVLRVSEAASERAVRVLRSVARHAATPAVLQEMAQCGVVGKLCLALRSEQCGVKTKEKAHEVLKLHSRVWRASPCLSPSFLALYPS >ONIVA01G43060.1 pep chromosome:AWHD00000000:1:36208987:36216158:1 gene:ONIVA01G43060 transcript:ONIVA01G43060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPLRDSLRRLCTDVGWSYAVFWRATRAADSQRLKLVWGDGHYERAAGAPSISGFEAMDLLLKEKAAALRSGTGRGGGGGEGHAADGAAGHSHDRVDALVHKAMAQQVHVVGEGVIGQAALTGLHRWIVHDIVDECEEEDEVLLEMKGQFCAGIQVMEEAAFIDHVRSLFQQLGSSTAVVPCGSFVQDSIMRTPFHKSLGVPTSSHLEDLAGGGNTYNDDMINHQFRHQKSPASTIQSFNPVQQFYAGPTFCCPVTIASRCDLFQPDHGSTFTLNSQSEDNRSTALLKNSVSHSKTSNDAFSHAFNPLNEPNVSISGRRECVSIEQHGSCRNGEMEITIGRTASSSCTGKTNIINKMDDLLSQDCLVGCQASNATSVNRKFQTMSIVDNTKLQDGSYAIPHAALVDSTQYSDCFQSLLGTIQGSSSSNSNAIHVDTSHNAVHGKSNFCPLGDRNAANSSDLAELLASPIPLELTGGNDLFDVLQLQQKPNGSNNSEVNNRQSMPYGSEQAVKSLIGCVDDDFTGLITEADPDQLLDAIVSKIITGHKQNVDTSASCSTTVAGFDRPLHSDCHLYTTGPSSGPIFCNFASVAPVAIKTEGPAAGSRQSSSNIDKSAGCSQTQESYKSQIRLWVENNHSVGSDSLSTGQCKRSDEIGKSNRKRSRPGESARPRPKDRQMIQDRIKELREIVPNSAKCSIDTLLEKTIKHMLFLQNVAKHADKLKGSGEPKIVSHEEGLLLKDNFEGGATWAFEVGTRSMTCPIIVEDLNPPRQMLVEMLCKERGIFLEIADQIRGLGLTILKGVMEVRKDKIWARFAVEANKDVTRMEIFLSLVHLLEPSTGSSILSAGVENTSLPRDSFFPSSIPATGFSNCL >ONIVA01G43050.1 pep chromosome:AWHD00000000:1:36206883:36208160:1 gene:ONIVA01G43050 transcript:ONIVA01G43050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEDDDDVDDAVGEVVNDGDGLAHRRSDPRLSAFFTLENPGMAYENRPVNVSANLPLVVEPSAVVIAVSTPSGPTATEGIGAADAPALGSSGGGGGDEGGGRRSKQSAVLICSNPSQTLIHTPMPPPKSTRPSVTASPELIKAQTAEFAVEISISSELKRTTVLHPPQKRRRLPPNRIVSCNT >ONIVA01G43040.1 pep chromosome:AWHD00000000:1:36203041:36204462:-1 gene:ONIVA01G43040 transcript:ONIVA01G43040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGVGGSMHVVMLPWLAFGHILPFAEFAKRVARQGHRVTLFSTPRNTRRLIDVPPSLAGRIRVVDILLPRVEHLPEHSEATIDLPSNDLRPYLRRAYDEAFSRELSRLLQETGPSRPDWVLADYAAYWAPAAAARHGVPCAFLSLFGAAALCFFGPAETLQGRGPYAKTEPAHLTAVPEYVPFPTTVAFRGYEARELFKPSLIPDESGVSESYRFSQSIEGCQLVAVRSNQEFEPEWLELLGELYQKPVIPIGMFPPPPPQDVAGHEETLRWLDRQEPNSVVYAAFGSEVKLTAEQLQRIALGLEVSELPFIWAFRAPPDAGDGDGLPGGFKERVNGRGVVCRGWVPQVKFLAHASVGGFLTHAGWNSIAEGLANGVRLVLLPLMFEQGLNARQLAEKKVAVEVARDEDDGSFAANDIVDALRRVMVGEEGDEFGVKVKELAKVFGDDEVNDRYVRDFLKCLSEYKMQRQG >ONIVA01G43030.1 pep chromosome:AWHD00000000:1:36190605:36195017:-1 gene:ONIVA01G43030 transcript:ONIVA01G43030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uricase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW40] MADRLELQGRHGKSRVRVSRVWRRPAAAGGHVIVEWNVAVSVVSDCLPSYTSDDNSAIVATDSIKNTVYVKAKECTEIVSMEEFAVILGRHFTSLYPQATVTIAERPWERVVVDGKPHSHGFKLGVEKHVTEVIVKKSGNLLINSGIQGYSLLKTTQSGFEKFVRDRYTLLPDTRERIVATEVTAWWRYPFEHVSQIPSKSFCFTQRYQDVKKVLADTFFGPPDVGVYSPSVQNTLYLMAKEVLNRFPDIASVQLRMPNLHFIPVNLGNKENPGLVKFADDVYLPTDEPHGTIEATVSRPKSKLEE >ONIVA01G43030.2 pep chromosome:AWHD00000000:1:36191153:36195017:-1 gene:ONIVA01G43030 transcript:ONIVA01G43030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uricase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FW40] MADRLELQGRHGKSRVRVSRVWRRPAAAGGHVIVEWNVAVSVVSDCLPSYTSDDNSAIVATDSIKNTVYVKAKECTEIVSMEEFAVILGRHFTSLYPQVSEATVTIAERPWERVVVDGKPHSHGFKLGVEKHVTEVIVKKSGNLLINSGIQGYSLLKTTQSGFEKFVRDRYTLLPDTRERIVATEVTAWWRYPFEHVSQIPSKSFCFTQRYQDVKKVLADTFFGPPDVGVYSPSVQNTLYLMAKEVLNRFPDIASVQLRMPNLHFIPVNLGNKENPGLVKFADDVYLPTDEPHGTIEATVSRPKSKL >ONIVA01G43020.1 pep chromosome:AWHD00000000:1:36172719:36173465:-1 gene:ONIVA01G43020 transcript:ONIVA01G43020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYISRSFPHPYGDFKNPKATHTGTKTRSQRRGKSNRIAQRRRRATGCSLQRERSQVRGSRGLVGERGDAPSRCPTAGERGVAGFGGDPAVERRRRRRRSRSTPIVANVGGESREIASGVELLPVGDVEIGHWSTGD >ONIVA01G43010.1 pep chromosome:AWHD00000000:1:36165918:36172656:-1 gene:ONIVA01G43010 transcript:ONIVA01G43010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMDQYEVMEQIGRGAFGAAILVNHKTEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLKWFAQLALAVDYLHSNFVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSMKSLIKSMLRKSPEHRPTASEILKSPYLQPYVNQYRPFADISHPIHSLEKPITSSRSSQKSMSGSQCSSISGSDIDSIQSSERNTSGPSTSSNNTIDTEGAEATDHVSVKNCSRSDDVKSNKETVGPELERQDSSKSIHVDQRPRNEIKQPKIIKKIVTTLREESKLRQNNSPIRASRVKLNSPSNREQLSDDSKHSSDISSSSKSSEVTSRESAKVICEPVKRAQASPPLKHLSPIVEHSPKAKIKQDEPLQPDPAKQAMEDVDAAVGKVKNRTPPSYSRRLSIPPRRPLGAESPLHADTKRAHNKVIKERAKSPCRPVHGPDNDIIEPPGFPMAPPSPLGGVQMKVGNARAKSAPPRAVSIKEDSSDCSSSTIAYAENTELSEPSKQDSSAQLVSSCKCSIPDAAIQKHDLTAMPSSELNTTNFQKSMASNDDVCENLALEPSSDISEQVSIFKDNVPCSKISQSTANAIVQNDEDKFTVQELLSSVADIAPFVSTKNFALEKGSPPIQSLERTSSPHLNPPIEDVIHVIRHSSFRVCGEQAVAENAEMGVQSSDVGKLLNVVREEMDSRSIPSNNLVPHRLPDCAAPKPNISETNTISSKTACSDVVKFLTVPEVNSTTTAINNGFKEEASPTKEILDVKSFRQRAEALEGLLELSADLLQHNRLEELAVVLKPFGKDKYIVVLDTFAQLRFPPSGTSSLDFSCRRLFADAIYHVTPPPSVPPVMYYSGQELHVEHGGGDPPPYPQAQPAALHPQRRRPSAFRVLVRAFIAACTVVVAVAVLVWLIYRPRAIQVAVDAATLSRFALNTTANPRPVLSFNLTAGLTIRNPSRRTAVYYDVLSADGFYRGLRFGAAALPLSYQGGRRADAVRAVLVGSSGVVSWDAGAFGEDNHTGVFPVTLWVLGAVRYKYGGLMTTSATMLSARCPLALKLVEASSRVECTVISF >ONIVA01G43000.1 pep chromosome:AWHD00000000:1:36157194:36161459:1 gene:ONIVA01G43000 transcript:ONIVA01G43000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIGRQRGIGGRQRTLTVDTSTVKLLHYLNKNREVATTPIPNQTRVGDSMQNEGRRPLGRSSRIPAWGSEVSGGGKRRDRRGAMKRQGPRGLSAPDLDD >ONIVA01G42990.1 pep chromosome:AWHD00000000:1:36153033:36153488:1 gene:ONIVA01G42990 transcript:ONIVA01G42990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDARMVLALALTAGVFVALLSLLVAVLVRRWWRRREAVASSRGFVLFGICFNDKESQQLRMARPSLERNRRWPSRERQPGEAEDDDQEPDQCELERWKKMFGGPARSLSTIDEGTEKGTTPITTPAFCSPAASPDRRDARSLQTMSIAV >ONIVA01G42980.1 pep chromosome:AWHD00000000:1:36146395:36147081:-1 gene:ONIVA01G42980 transcript:ONIVA01G42980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRRGSFSLRQPPVVDIGCNCRRPKLFSIFSSSSSSSFRRGGSKPKSPNASSTSTTTAFTATTGGAGTATSTDSSWGPASFTTNSLFEEPAAAQQEQEQLETRRRRRQQRRRRRRAGATSFARGGDVGGHDDEQQQLQEQAPYRRVAKESVAVAVESAEPYEDFRESMVQMVVEKEIYAWDDLNDLLHQFLSLNSPRHHPLILHAFADLWTRNGLFSPPSPCQF >ONIVA01G42970.1 pep chromosome:AWHD00000000:1:36137546:36138280:1 gene:ONIVA01G42970 transcript:ONIVA01G42970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGRLALSSFFHNKARDTSPSPPPAPATAPPWVWPSCKNPRTQSFRAATAPPPPPGSRTIASIFLDSAESSFTTSSARHDCSDSLSTASEASAGAEAADTADDAIVRGLRSSDRLLFDPGASATSSILEEKSSDAAGEASFIGGVAVAFESEDPYVDFRVSMEEMVVAHGVGNWGWLEEMLGWYLRANGKDTHAAILAAFIDVIVAIADPALASCSSHRRSSTCTITEESSLEVAEKQAKLAV >ONIVA01G42960.1 pep chromosome:AWHD00000000:1:36135944:36136174:1 gene:ONIVA01G42960 transcript:ONIVA01G42960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTVGLAPIIRIVRLSVPNELQDEPMHTWIIKSWILKYEDSTYSVFLDNENPSLRKRIL >ONIVA01G42950.1 pep chromosome:AWHD00000000:1:36121892:36122206:-1 gene:ONIVA01G42950 transcript:ONIVA01G42950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPAPPPAASGLPSDDTHELGGDAVDDDEDDSLRGDVFAPLTPPLSPPGRTVADGPPPPPPRALDRLISLRHSSLELLPLFLLILAASTNTTSDHCTQLRQQH >ONIVA01G42940.1 pep chromosome:AWHD00000000:1:36121658:36123280:1 gene:ONIVA01G42940 transcript:ONIVA01G42940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSSKLECLRLMSLSRARGGGGGGPSATVRPGGDSGGVNGANTSPRRLSSSSSSTASPPSSCVSSEGSPDAAGGGAGPPMVLAGCPRCMMYVMLSREDPRCPRCHSAVLLDFNDDDQRRPRQRRFININMNVRDARMTYIVKRREYH >ONIVA01G42930.1 pep chromosome:AWHD00000000:1:36116753:36119608:-1 gene:ONIVA01G42930 transcript:ONIVA01G42930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGANARRRRRLVDRGSDRLAFITGQAQSLPSDPSPDSPLYTVDAASLQRSERQLNEVGIGDDIFNYITQLQKSESGVQPTSEAHLETHKEKHQGNESDLQKLKTSNVVPEIQPVNEKAFQRHSEETLRKKNSHDRSASTQPMREMETRPRYVPPNQSNQSDSAGWSVETLKEILNFAPHEITQAISATEYNRFLASVIIGFLVVLSNWGLDVGGTITKVLVATRPILFLIVTNITIVFTLLMENKDPNVRGRPAGSNLGSADNLGQMLEIGLLLQKALSTLLIDCSVCAVIMICFI >ONIVA01G42920.1 pep chromosome:AWHD00000000:1:36114441:36117553:1 gene:ONIVA01G42920 transcript:ONIVA01G42920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYGAAAGGGPVARRPWSKVEDKVFESALVLCPEDVPDRWALVAAQLPGRTPQEALEHYQVLVADIDLIMRGAVDAPGSWDNNDGNDRRGGGGKPRGEERRRGVPWSEDEHRLFLEGLDRYGRGDWRNISRFSVRTRTPTQVASHAQKYFIRQANAGARDSKRKSIHDITTP >ONIVA01G42910.1 pep chromosome:AWHD00000000:1:36112276:36112638:1 gene:ONIVA01G42910 transcript:ONIVA01G42910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGEGRSIASELGGIGSVAGGLGGGTRKGSGGEGEAPSVGGRGGGSAEKEGVAEWRRRGEKTERKERKEGSSRRRKATDPSRAFEHEEEKHGESDTTAYGNPPPIEGPAAGAATASAG >ONIVA01G42900.1 pep chromosome:AWHD00000000:1:36110387:36112248:-1 gene:ONIVA01G42900 transcript:ONIVA01G42900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFGAEQIGAVSSFRRRKRSETRRPIESWMQDPTSGYGAVDESGGGVVQAELFGSPDRRVQIVGRRWTSMDFPTRMLLWPPDPVTIDSPAVEVLELPD >ONIVA01G42900.2 pep chromosome:AWHD00000000:1:36110387:36112248:-1 gene:ONIVA01G42900 transcript:ONIVA01G42900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFGAEQIGAVSSFRRRKRSETRRPIESWMQDPVGYGAVDESGGGVVQAELFGSPDRRVQIVGRRWTSMDFPTRMLLWPPDPVTIDSPAVEVLELPD >ONIVA01G42900.3 pep chromosome:AWHD00000000:1:36110164:36112248:-1 gene:ONIVA01G42900 transcript:ONIVA01G42900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFGAEQIGAVSSFRRRKRSETRRPIESWMQDPDMVQWTNLEVELYKQSCSVVRIGGFKLSDEGGPLWIFQQGCCCGRRIQLE >ONIVA01G42890.1 pep chromosome:AWHD00000000:1:36109143:36109547:1 gene:ONIVA01G42890 transcript:ONIVA01G42890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADGKNRTLQEHSSAAAHRIARFGETNPQKAGGKPGETPRFQSECAVHLAAVPRHMAVCIPAGAASDVGGIGATGIPKSRPPETKCRPLVNRPENICCCYGGLPVKAIQAVYTVHGLMPVSGSNAVGRCFAHE >ONIVA01G42880.1 pep chromosome:AWHD00000000:1:36097848:36098234:-1 gene:ONIVA01G42880 transcript:ONIVA01G42880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSATSEFRVSGSGAPEFMVAGTVRGRVGDVEARRRPEISGDGRRGLSMALGSGVVPHSPMVLAPALSSSLALYDPDLALWRREGGGDPDLEWWRHGGDRGQWVKEAATVGGGRRRQRLGGGGRRSR >ONIVA01G42870.1 pep chromosome:AWHD00000000:1:36093980:36098892:1 gene:ONIVA01G42870 transcript:ONIVA01G42870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGRRAPGFRFYPTEEELICFYLRNKLDGLRDDIERVIPVFDVYSVDPLQLSEIHHEMLGGGGEEGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGVVYSADRRPIGMKKTMVFYRGRAPSGTKTAWKMNEYRAFHYPDASSASASSAGAAAPPNHLPPQLRSEFSLCRLYTRSGGIRQFDRRPLAGGGDENPGPSMAAAAASPEENDGSGSSMQQLELMDQGGAVDPDWDQWEDLATLTALLYWPRD >ONIVA01G42870.2 pep chromosome:AWHD00000000:1:36093980:36096012:1 gene:ONIVA01G42870 transcript:ONIVA01G42870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGRRAPGFRFYPTEEELICFYLRNKLDGLRDDIERVIPVFDVYSVDPLQLSEIHHEMLGGGGEEGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGVVYSADRRPIGMKKTMVFYRGRAPSGTKTAWKMNEYRAFHYPDASSASASSAGAAAPPNHLPPQLRSEFSLCRLYTRSGGIRQFDRRPLAGGGDENPGPSMAAAAASPEENDGSGSSMQQLELMDQGGAVDPDWDQWEDLATLTALLYWPRD >ONIVA01G42860.1 pep chromosome:AWHD00000000:1:36083530:36084189:1 gene:ONIVA01G42860 transcript:ONIVA01G42860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF584 [Source:Projected from Arabidopsis thaliana (AT3G15040) TAIR;Acc:AT3G15040] MDRSRHKNSPSSERFLGSFLPSAAAGDQPGSAAFELDEDDLFASGAGSPERPQPSRRPLILSAVRAANPSPLPRLRRPPEGILDALPERRSPFSPPPSSSSNSSTTASPAAAAAAPPRLIPTIPRPAAALAPHIPQSAPVNVPVAQFRRLSVEALMDKAEDDDDDEEEMLPPHEMVARARARDSPMTTFSVLEGAGRTLKGRDLRQVRNAVWRKTGFLD >ONIVA01G42850.1 pep chromosome:AWHD00000000:1:36080949:36083146:1 gene:ONIVA01G42850 transcript:ONIVA01G42850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARAKKVLRALKALVKLQALVRGFLVRRQAAAMLQSMQALIRAHATVRAHCIGADAAAHLPHIHHAPFWPRRSLVRRWRNLADDITMYIFAVLVLFDLDVVCWRWMQQERCATDDTRSEHGVAAYSRRLSTSIESSPGRRWCRARRATRTRRRSSGHSRVCRRSSSLRAPKPPLPPFRRLCCICRSPCRVVIVTNHGDRIWRPRCPRRRHGCLTVVRRVERARACRWRGEREFELVVLCHTELDATDTVALRLGVRRGGERRPDLRRERERERGKATPPGMGEAALPPVSE >ONIVA01G42840.1 pep chromosome:AWHD00000000:1:36074393:36079601:-1 gene:ONIVA01G42840 transcript:ONIVA01G42840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sorting nexin 1 [Source:Projected from Arabidopsis thaliana (AT5G06140) TAIR;Acc:AT5G06140] MGTGVQAYISYRVITKTNLPDFEGQEKIVIRRYSDFEWLHDRLAEKYKGIFIPPLPEKNAVEKFRFSKEFIELRRQALDLFVNRIASHPELKQSGDLKIFLQADEEKMDRERSYETGIFKKPSDFLQMFKDVQSKVSDVVLGKEKPVEESSPEYEKLKNYIFELENHLAEAQKQAFRLVKRHRELGQSLADFGKAIKLLGACEGDSLEKVFSEVGSKSEMLSVKLQREADNLLFNFEEPLKDYVRAVQSIKATMVDRANAFRQHHDLFQQKEYKGVNLEKLKFVNPDKFSELEAELTADSEEATKRFEHIVAVMNEELARFQEQKTADIGFAFHEFAKGQAKLAKDIADAWRGGHRERQGGALAEASSVSNRAMSMLAGSFTVPRLTPSSSSSARTISSFPAAARGGLMATANNLTLDFKPGSLSPLLQQLFLQREAGRPLQESLWTSSCLTTAMAAFCLRGFGLRSGVESQFTELS >ONIVA01G42840.2 pep chromosome:AWHD00000000:1:36075556:36079601:-1 gene:ONIVA01G42840 transcript:ONIVA01G42840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sorting nexin 1 [Source:Projected from Arabidopsis thaliana (AT5G06140) TAIR;Acc:AT5G06140] MGTGVQAYISYRVITKTNLPDFEGQEKIVIRRYSDFEWLHDRLAEKYKGIFIPPLPEKNAVEKFRFSKEFIELRRQALDLFVNRIASHPELKQSGDLKIFLQADEEKMDRERSYETGIFKKPSDFLQMFKDVQSKVSDVVLGKEKPVEESSPEYEKLKNYIFELENHLAEAQKQAFRLVKRHRELGQSLADFGKAIKLLGACEGDSLEKVFSEVGSKSEMLSVKLQREADNLLFNFEEPLKDYVRAVQSIKATMVDRANAFRQHHDLFQQKEYKGVNLEKLKFVNPDKFSELEAELTADSQAKLAKDIADAWRGVLPKLEACSTS >ONIVA01G42840.3 pep chromosome:AWHD00000000:1:36075556:36079601:-1 gene:ONIVA01G42840 transcript:ONIVA01G42840.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:sorting nexin 1 [Source:Projected from Arabidopsis thaliana (AT5G06140) TAIR;Acc:AT5G06140] MGTGVQAYISYRVITKTNLPDFEGQEKIVIRRYSDFEWLHDRLAEKYKGIFIPPLPEKNAVEKFRFSKEFIELRRQALDLFVNRIASHPELKQSGDLKIFLQADEEKMDRERSYETGIFKKPSDFLQMFKDVQSKVSDVVLGKEKPVEESSPEYEKLKNYIFELENHLAEAQKQAFRLVKRHRELGQSLADFGKAIKLLGACEGDSLEKVFSEVGSKSEMLSVKLQREADNLLFNFEEPLKDYVRAVQSIKATMVDRANAFRQHHDLFQQKEYKGVNLEKLKFVNPDKFSELEAELTADSEEATKRFEHIVAVMNEELARFQEQKTADIGFAFHEFAKGQAKLAKDIADAWRGVLPKLEACSTS >ONIVA01G42830.1 pep chromosome:AWHD00000000:1:36074317:36075767:1 gene:ONIVA01G42830 transcript:ONIVA01G42830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRQLLVHRLSCSGLPASRCKNSCWSSGERLPGLKSRVRLLAVAIKPPRAAAGKDEIVRADDDDDGVSLGTVKLPANIDIARFETLLFQWGNSLCQGATLPLPVPLRVDKVEGGVRLGFMAVDDGVTQVLVYIDCLVSPATAASGPVFRAIRNGPMKDQEPPGEPRIMRSLLEALQKCIQYAQV >ONIVA01G42820.1 pep chromosome:AWHD00000000:1:36072043:36072279:1 gene:ONIVA01G42820 transcript:ONIVA01G42820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCERWRLALQRSDLQAAWAAMGARRALQRSVQHVASCSLGGSNRLLRQQQQQLEHIICPRIYRNTTTSFYHVAVEL >ONIVA01G42810.1 pep chromosome:AWHD00000000:1:36070086:36073716:-1 gene:ONIVA01G42810 transcript:ONIVA01G42810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52570) TAIR;Acc:AT3G52570] MAASLRASSSSLRSRLLSSSSAAWSPWSHVLSSSVHSEASNQTETLAFDEIQLSPEKPSTATAFVLHGLLGSGRNWRSFSRALASELRDRSPSDEWRMVLVDLRNHGRSAGIKGLRPPHDMSTAARDLADLVKARGWAWPDVVVGHSMGGKVALDFAESCSRGDYGESADLPKQLWVLDSVPGQVETDNSDGEVERVLQTLASLPSSLPSRKWVVDHMINLGFSKSLSEWIGSNLKKDNEHVTWAFDLQAAIDMFNSYRERSYWTLLENPPKGLDIAIVQAELSDRWLSDDVQRLKALSRRESKPDAGKVSLHVLPNSGHWVHVDNPKGLLEIMAPNFLSAAKI >ONIVA01G42810.2 pep chromosome:AWHD00000000:1:36070086:36071895:-1 gene:ONIVA01G42810 transcript:ONIVA01G42810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52570) TAIR;Acc:AT3G52570] MRFEDLGFLKRAEREGIEGKGEDEYVRPGLWRRGRDQRAAGSIDTWRWQIEQLWVLDSVPGQVETDNSDGEVERVLQTLASLPSSLPSRKWVVDHMINLGFSKSLSEWIGSNLKKDNEHVTWAFDLQAAIDMFNSYRERSYWTLLENPPKGLDIAIVQAELSDRWLSDDVQRLKALSRRESKPDAGKVSLHVLPNSGHWVHVDNPKGLLEIMAPNFLSAAKI >ONIVA01G42810.3 pep chromosome:AWHD00000000:1:36072250:36073716:-1 gene:ONIVA01G42810 transcript:ONIVA01G42810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52570) TAIR;Acc:AT3G52570] MAASLRASSSSLRSRLLSSSSAAWSPWSHVLSSSVHSEASNQTETLAFDEIQLSPEKPSTATAFVLHGLLGSGRNWRSFSRALASELRDRSPSDEWRMVLVDLRNHGRSAGIKGLRPPHDMSTAARDLADLVKARGWAWPDVVVGHSMGGKVALDFAESCSRGDYGESADLPKQFYCYMVK >ONIVA01G42810.4 pep chromosome:AWHD00000000:1:36072141:36073716:-1 gene:ONIVA01G42810 transcript:ONIVA01G42810.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52570) TAIR;Acc:AT3G52570] MAASLRASSSSLRSRLLSSSSAAWSPWSHVLSSSVHSEASNQTETLAFDEIQLSPEKPSTATAFVLHGLLGSGRNWRSFSRALASELRDRSPSDEWRMVLVDLRNHGRSAGIKGLRPPHDMSTAARDLADLVKARGWAWPDVVVGHSMGGKVALDFAESCSRGDYGESADLPKQMMCSSCCCCCRNSLLLPPKLQLATC >ONIVA01G42800.1 pep chromosome:AWHD00000000:1:36067065:36069163:-1 gene:ONIVA01G42800 transcript:ONIVA01G42800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATVGGGGGEPEAAAEWRARAVGGMEYGWYRAVPGGTGTTLLALRLVRGAEAAVAAAAVQAALRAILDAHPVLRARLRGSASGSPTLAFPSAAAPPPPPLALELLPVPESAPDFPSLLEHELNRNPWTAAAATATASEHEPDAPPVLFATLYELPPPAGGGSALFVRIHTAACDRAASASLVRELLAQLSGDGAAAAAGSEPEDAAVRASLEERIPQRDSWKPFWARGLDMVGYSINGLRTSTLPFEVTGTERSTQMLRLGFDRDETTRLLDACKQNGVKLCAAMAAATLLAARQSKLQLASNQQETYSIATLINCRKFLEPALDDHNVGFYHSAITNTHAIHGGEELWELAKRCQDSYTNAKNNKKHLTDIADLNFLITKGSYISTE >ONIVA01G42790.1 pep chromosome:AWHD00000000:1:36062635:36067938:1 gene:ONIVA01G42790 transcript:ONIVA01G42790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSPSPRRRGRPTSPSGSPPLDRTPSAKAAGGGATTTVSPYALARSPSVSAAEADGDDGVVRVYGSDGCPVAWRVRVALLYKAAAPVHFTPSEAAPLGRPVLRLSASDPELCGTADELLRHVDARFEGKPLVTPPERPARVSAAAAAAEEVAELVRLQHRSAERHLEGVAAKVAEMVKKGAKKAGKGAKVVVVEGAEVRRLGKWYGDAMEVMLEHARMEETVLFPDIQRASFPGVLDKANEQHGRHLPMMNGIKEDIKTLLTLELGSSLFQEVLVNLSVRLKALQDHTKEHFKEEERELLPRLEGVRRMQREEGNVSDKSNTAWASEAMGTMEMTHSKLFPFFMTGLLPQEAMQYLDLVCRCTKNTRHLVSMLRSLAERLEDANPAIIHNNPTKLYEHLLVKSP >ONIVA01G42780.1 pep chromosome:AWHD00000000:1:36060611:36061005:1 gene:ONIVA01G42780 transcript:ONIVA01G42780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRIWIARWLDARRVAPVFIPTVPDFLRLRKCAVSVSIELRRRPLHAAPQAEHVGSVRHAGRCHTDTAFALLLPGAVVPEQGLVERLVIVRSTLGRVAMN >ONIVA01G42770.1 pep chromosome:AWHD00000000:1:36058704:36059525:1 gene:ONIVA01G42770 transcript:ONIVA01G42770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAAAAANQKQQKASIGRRAWRLLRLAVLWVRKGSAVHSLCLFSNLRRAGVGLGVVGGGGRSERLRYGEPEYSIEETPSARVLCLIPCIAPAVPNTPGFYGDEDRYFFCRWDTEPECSSVGCYDYIENNVLKTEQIVQVRHGARGDVTILPTLVINNVQYRDLFCYDYNGEPSECGSVEDESFPDGAMDEQLLELSMPTPASARRSAR >ONIVA01G42760.1 pep chromosome:AWHD00000000:1:36048675:36055932:-1 gene:ONIVA01G42760 transcript:ONIVA01G42760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPNDIEDLFGDSISSESESSPNDDEFCDNEDSESSYVGISKDELLNSLKRKLSKKKNTDEGTSKIGSDDVKENVHVKPIERSFLDMLDSSIGADLTQDMKNKINDLLIQHFGPDENCIDERAKNLLVDVFVLLSNSKPIVPENTNVNLNKDDKSKFNDDSSTDKIGVVDGIMKKLSKPVILLLNLETGLSNTSPKKAISSIVGFNERISIFLGHEKPSFKIWDSDDDFPNEEKHLKTQIIPKDLSQDFDDNSQSQLKNSTNEDKLAMITLEDTDTEILTQHNEKENLNIEQLQKKDSPDVIFIGEKQCPDNCFDITSKTNVLYNKINTFVVKPDKKLKMSIGSPERILLCNVDKFVGRKVAIDIDGVHCKFYTFGDSFKPSGELSNFVACVFCRYMFRSCHPSKSKKHYFFSSIGLFFPIVKSRHWVVFVIDLKSERFVFLDSLYDEESFYHAELRPKLISNFSLAWNLYVQDHSIDFNRFSVIYPPVPKQNNRLYSLI >ONIVA01G42750.1 pep chromosome:AWHD00000000:1:36042139:36042525:-1 gene:ONIVA01G42750 transcript:ONIVA01G42750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGEDAKATRQPEQQPEAAAAEAGVAAYGGGVIAKLEEQWRKTKEHAETYPYVWGSYILVYGGLAAYLTWRWRKLRRTEDRVRGLQARLRQLAAAEESQAASTPPPPPQQPPLSGPGKPTSGP >ONIVA01G42740.1 pep chromosome:AWHD00000000:1:36038273:36039127:-1 gene:ONIVA01G42740 transcript:ONIVA01G42740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLESLVCGVAGAGAGDRKVSCETVIAAGESGDASPPRMPPPPPPPPDPDFPPESITIPIGDEVAFSELNPIYDRDDSTKWRSNSTWVAGASSAAITFFGLPASIRPAFTRRRPSQGRILPDKRSGSRGGGGGGGGSSRRGDGEEEPRSPKVSCIGKVLSDRERYGRSRGRRWWRGLVAVLLCGGGCSCQGGGRRHARKKVALDEDHHDGDDDKQAGIAAMRRFKSGRRTASWVEEAIAAAEAAGEEEQQQENDAAADDDEKKQEVERYEPTTLNSGPHDR >ONIVA01G42730.1 pep chromosome:AWHD00000000:1:36031828:36036987:1 gene:ONIVA01G42730 transcript:ONIVA01G42730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIISPMRERDPWKQIKPWERTSYAVTRRAEHRRRPPRGPIPRREEGEGRCINSNVTTLPVIGGEKQKYRTEKKSERPREEEEREGEAQSANGALLDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGELGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLSYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAMTSGMGSRPIACVRPDSKETENLY >ONIVA01G42730.2 pep chromosome:AWHD00000000:1:36031868:36036987:1 gene:ONIVA01G42730 transcript:ONIVA01G42730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVRASSLLLGLLLQLLSSVDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGELGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLSYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAMTSGMGSRPIACVRPDSKETENLY >ONIVA01G42730.3 pep chromosome:AWHD00000000:1:36031868:36036987:1 gene:ONIVA01G42730 transcript:ONIVA01G42730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVRASSLLLGLLLQLLSSVDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGELGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLSYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAMTSGMGVVVLLGALFL >ONIVA01G42730.4 pep chromosome:AWHD00000000:1:36031828:36035417:1 gene:ONIVA01G42730 transcript:ONIVA01G42730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMIISPMRERDPWKQIKPWERTSYAVTRRAEHRRRPPRGPIPRREEGEGRCINSNVTTLPVIGGEKQKYRTEKKSERPREEEEREGEAQSANGALLDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGELGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLSYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAMTSGMGVVVLLGALFL >ONIVA01G42730.5 pep chromosome:AWHD00000000:1:36031868:36036987:1 gene:ONIVA01G42730 transcript:ONIVA01G42730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVRASSLLLGLLLQLLSSVDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGELGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLSYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAMTSGMGVVVLLGALFL >ONIVA01G42730.6 pep chromosome:AWHD00000000:1:36031868:36035417:1 gene:ONIVA01G42730 transcript:ONIVA01G42730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVRASSLLLGLLLQLLSSVDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGELGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLSYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAMTSGMGVVVLLGALFL >ONIVA01G42730.7 pep chromosome:AWHD00000000:1:36031868:36035417:1 gene:ONIVA01G42730 transcript:ONIVA01G42730.7 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVRASSLLLGLLLQLLSSVDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGELGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLSYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAMTSGMGVVVLLGALFL >ONIVA01G42720.1 pep chromosome:AWHD00000000:1:36026187:36031589:1 gene:ONIVA01G42720 transcript:ONIVA01G42720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGTKIGSTPPPGFTRPVKIALRPAFPVSTHMHEGPWAAEVTWIRSAVGHPRVVYSVDRASAADFDLVASTSRATGVPHRRLSASAHVPAGTARRGLRRKEKSENGFAWLGLALLPPTTREFNSTPSLQLTPDNF >ONIVA01G42710.1 pep chromosome:AWHD00000000:1:36025609:36026082:-1 gene:ONIVA01G42710 transcript:ONIVA01G42710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSPTRGGGARRPFTHGEELASAPSLLPPPLSLSPFSLFLSPFSSSAGGGGCSRAVAATANGRADAASRRSGDEAPSGRSYGSSSSRRRDGSRHAHAHRDAFAAAAAYLDYIVDNADEFGGTRWAITKFSWDVKYAGVQILAARISRDSNKHLALT >ONIVA01G42700.1 pep chromosome:AWHD00000000:1:36025606:36025824:1 gene:ONIVA01G42700 transcript:ONIVA01G42700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQCKVFVAITTYPGGKDLDAGVLDVPAELGDGPAGAAKLVSVVDDVVEVGGGGGKGVAMCVGVAGAVATP >ONIVA01G42690.1 pep chromosome:AWHD00000000:1:36018698:36023242:1 gene:ONIVA01G42690 transcript:ONIVA01G42690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGRGEEMTSDWRGEGRWLEGCQRCSSVDDGDVVLSAKPTKRKSTKGTPTKTVKMSPQLFEAGASGKGGSWATCGRRRRGCKGRWRRWIGRRRIGSRAREEQYGAVKVGALARQDVVGVLCLRAQEDKDGRERGRA >ONIVA01G42680.1 pep chromosome:AWHD00000000:1:36018200:36018688:1 gene:ONIVA01G42680 transcript:ONIVA01G42680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVZ0] MPAPVATCFVPATSGVRCRAFSTPITNYSARGVVADPPKLLSRPGNLQLTSGGARFSGRFRASAAAVHKVKLIGPDGAESELEVPEDTYVLDAAEEAGLELPYSCRAGSCSTCAGKLASGEVDQSDGSFLADEQIEQGYVLTCISYPKSDCVIYTHKEEEVH >ONIVA01G42670.1 pep chromosome:AWHD00000000:1:36016377:36017267:1 gene:ONIVA01G42670 transcript:ONIVA01G42670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLIMVVVALAGLAAGARAGDIAIYWGQNGNEGTLAQTCATGNYRFVIVAFLPVFGKGQTPVLNLAGHCDPASNGCTGVGADIKSCQSLGIKVMFSIGGGVGNYGLSSRDDAKQVAAYLWNNYLGGTSPSRPLGDAVMDGIDFDIESGGGMYWDDLARYLKAYSRQGSSKKPVYLTAAPQCPFPDASLGVALSTGLFDYVWVQFYNNPPCQYSSSNGVGNLASAWKQWTSIPAGRVFLGLPAAAAAAGSGFVETSDLVSKVLPVVKKSPKYGGIMLWSRYYDGLTGYSDKVKSSV >ONIVA01G42660.1 pep chromosome:AWHD00000000:1:36012530:36014062:1 gene:ONIVA01G42660 transcript:ONIVA01G42660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALTPMQLIGTVFVALLATCHAGGIAVYWGQNDGEASLAETCASGNYEFVIIAFLPKFGKGQTPRVDLASHCDPASGGCTGQSKDIRACQRRGVKVLLSIGGGDGSYGLASPGDARQVAMYLWNNFLGGSSSSRPLGDAVLDGIDFDIELGGAKFWDDLARDLKSLGRSGGRRVVLSAAPQCPFPDEWDGGAISTGLFDAVWVQFYNNPECQFSAGRGAFMDAWRKWESVPAGRLFLGLPASKDAAGTGFVPAGELNSRVLPLIRGSPKYGGVMLWSKYYDDQTGYSSAIKSHV >ONIVA01G42650.1 pep chromosome:AWHD00000000:1:36008211:36010186:1 gene:ONIVA01G42650 transcript:ONIVA01G42650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDALREAISQITSESREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKYLVPSIALP >ONIVA01G42640.1 pep chromosome:AWHD00000000:1:35996043:36001024:1 gene:ONIVA01G42640 transcript:ONIVA01G42640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleobase-ascorbate transporter 12 [Source:Projected from Arabidopsis thaliana (AT2G27810) TAIR;Acc:AT2G27810] MSSSSGAARRARPGPWPPAPPPQPQAQPLSWAKRTGFQSRVSGESVAIASASSSGQASLPHPAEAPSDLESGPPARPNSALPPPPAAAAANAEAKPQPPPPPPPARTRRRDSDGGRPNGQAAAAPLPQLLEEEDDGAPERPKYELRDSPGVFPIAVYGFQHYISMLGSIILIPLLMVPAMGGSPDDMAAVVSTVLLVSGMTTLLHTFCGTRLPLVQGPSFVYLAPALAIIYSPEFFGLNHNNFKHIMKHLQGAIIIGGAFQVLLGYTGLMSLFLRLINPVVISPTIAAVGLSFFSYGFTKVGSCIEMGLLQLLIVVMFALYLRKVKLFGYRVFLIYAVPLALGITWAIAFVLTATGVYSYRGCDANIPASNNVSAYCRKHVLRMKSCRVDTSHALRSSPWLRFPYPLQWGTPIFSWKMGLVMCVASVIASVDSVGSYHASSLFVATRPPTAGVVSRGIGVEGVSTVLAGLWGTGVGSATITENVHTIAVTKMGNRRAVGFGAIVLILLSFVGKVGAFIASIPDVLVAALLCFMWAMLCALGLSNLRYSAKGSSRNSIVVGLALFLSLSVPSYFQQYRLQPNSNSSVPTYFQPYIVASHGPIHTGSSGVNYILNTLLSLNMVIAFLVALILDNTVPGGRQERGLYVWSEAEAARRESAVMKDYELPFKIGHAFSFQE >ONIVA01G42630.1 pep chromosome:AWHD00000000:1:35977510:35983461:-1 gene:ONIVA01G42630 transcript:ONIVA01G42630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G08230) TAIR;Acc:AT1G08230] MGAPSREDEEAKKMEAGGDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFASLGWAAGLICLVIGAAVTFYSYNLISLVLEHHAQQGRRQLRFRDMATDILGPGWGRFYIGPIQFLVCFGAVVACTLLAGQSMKAIYLIANPGGTIKLYVFVAIFGVFMMILAQMPSFHSLRHVNLISLVLCLAYSFCAVAACIYLGSSKGAPEKDYSIAGANTRDRVFGVFNAIAVIATTYGNGIIPEIQATVAAPVTGKMFKGLCLCYAVVVTTFFSVAISGYWAFGNQSQGTLLSNFMVGGRAVIPEWLLLIIELFTLLQLSAVAVVYLQPTNEVLEGLLSDPKAGQYAARNVAPRVLSRTAAVALGTTIAAMVPFFGDMNALIGAFGFLPLDFAVPAVFYNVTFKPSKKGAVFWLNTTIAVVFSALAVVASVAAVRQIILDANSYKLFANV >ONIVA01G42620.1 pep chromosome:AWHD00000000:1:35973614:35977021:-1 gene:ONIVA01G42620 transcript:ONIVA01G42620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KDO transferase A [Source:Projected from Arabidopsis thaliana (AT5G03770) TAIR;Acc:AT5G03770] MRTARTPASAARGGRALYELYRAASRAAAPAALLWRRLRGLEHPSRWPERLGRPSVARPRPGSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPILLTTTTLSSFEVMKDLLPDGVIYQFAPLDCPDAIESFIGYWKPNLILLMESELWPNLILSAAEKGIAVVLLNARMSLKSFNRWSLPLGLQLVSLMLSKLSLVIPLSTIQAVRFQLLHAPPQIIHFAGDLKYAVGDIAAGEKEVAAIEDLQQQFSNRPIWMAASIHKGEDEIILRVHDELTRAYPTLLLILVPRHPEDSKNVSQTLKKQKVNFVLRSTREVVSSNTSIYVVDTLGELRMLYRVTPIAVIGGSFLPGLAGHNISEAAAVGCAVMTGPSVGHFYHMLVEMWQINPLAVKQVKGEYELLEALKQLLGDSRALEACQRAAKDAFSFMSDGVVNRVWNLVHPFTIGSQTDTCDSFSSS >ONIVA01G42610.1 pep chromosome:AWHD00000000:1:35968383:35969633:-1 gene:ONIVA01G42610 transcript:ONIVA01G42610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVY3] MRQAANTMLPSHSPAATHQLPTSPRRSTRPAPPPPCPCPRAGAAPAAESLLPGCLRAESLPRHVAVVMDGNARWARARGLPSAAGHEAGRRTLEETVRLSRAWGIRALTAFAFSNENWSRPKVEVDFLMRLFERVIHDSVAEFLREGIRLRVIGDSSRLPVSLQKIAREAEETTRNNSQLDLTLAISYSGRRDIVQACRKLAQKVQSKALAAEDIDEALFADELETSCAADEFPYPDLLIRTSGELRLSNFLLWQSAYSELFFTNTLWPDFGEADYLEALCSFQSRDRRFGVRKL >ONIVA01G42600.1 pep chromosome:AWHD00000000:1:35952508:35962519:-1 gene:ONIVA01G42600 transcript:ONIVA01G42600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAPPQAAVPGGGAGAAAGGVTMRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDVAPIPEGMSGAEVGKRLNGLAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSSGKNMQFSVEVLFSNEKIGIGIGKTRDEAQVLAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSEDISMEEPSGSTLKEQDHSKALDRLSSVISLIRELCLEDQHVVFRDQVRDSGSALNGEYHFQAELGGQILGRGIGLNKDFAKLQAAEEALKTLKTTTDPQIKKHLRPMQQLNFPLYPTQRHRETRPSAATSPTTRKRCPNAHRFCSTNLTRWECLGGAGLLVGVNLDRVINAVRRLQRRDHRIGASQRLVYRGAISQGRRQGLRRDEGLPERRDEQRPPSRGGGGRRGGSNATRARRAAVTATATRRRAQPAASAHHGRGGFHQSGTPARELHSGHHTTESCLTRHGCIRAFALRAGLFQQGGTRESRARQCVWPW >ONIVA01G42600.2 pep chromosome:AWHD00000000:1:35954122:35962519:-1 gene:ONIVA01G42600 transcript:ONIVA01G42600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAPPQAAVPGGGAGAAAGGVTMRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDVAPIPEGMSGAEVGKRLNGLAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSSGKNMQFSVEVLFSNEKIGIGIGKTRDEAQVLAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSEDISMEEPSGSTLKEQDHSKALDRLSSVISLIRELCLEDQHVVFRDQVRDSGSALNGEYHFQAELGGQILGRGIGLNKDFAKLQAAEEALKTLKTTTDPQIKKHLRPMQQLNFPLYPTQRAFLVQSMSLKKMLASPSLLFSTGSPSLCKMASSPLLPAKPEAAAAVAV >ONIVA01G42600.3 pep chromosome:AWHD00000000:1:35954362:35962519:-1 gene:ONIVA01G42600 transcript:ONIVA01G42600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAPPQAAVPGGGAGAAAGGVTMRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDVAPIPEGMSGAEVGKRLNGLAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSSGKNMQFSVEVLFSNEKIGIGIGKTRDEAQVLAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSEDISMEEPSGSTLKEQDHSKALDRLSSVISLIRELCLEDQHVVFRDQVRDSGSALNGEYHFQAELGGQILGRGIGLNKDFAKLQAAEEALKTLKTTTDPQIKKHLRPMQQLNFPLYPTQRHRETV >ONIVA01G42600.4 pep chromosome:AWHD00000000:1:35954362:35962519:-1 gene:ONIVA01G42600 transcript:ONIVA01G42600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAPPQAAVPGGGAGAAAGGVTMRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDVAPIPEGMSGAEVGKRLNGLAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSSGKNMQFSVEVLFSNEKIGIGIGKTRDEAQVLAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSEDISMEEPSGSTLKEQDHSKALDRLSSVISLIRELVRDAAAALIFHFSVLINCLEDQHVVFRDQVRDSGSALNGEYHFQAELGGQILGRGIGLNKDFAKLQAAEEALKTLKTTTDPQIKKHLRPMQQLNFPLYPTQRHRETV >ONIVA01G42590.1 pep chromosome:AWHD00000000:1:35952359:35957739:1 gene:ONIVA01G42590 transcript:ONIVA01G42590.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAVVCRGGGLRAPARRGGSDSSTTRAGGVAASPAPSTTARRRPLLVASLGEPLITAQPLSSSLGDGAAVHETLARSDSVIPSLKPSHCVDHSVQVDADEETGSTKTLPPPDDVPTKTVHVKFVLQKRCAFGQRFLVVGDVAALGLWNPAKAAALDWSEDHVWTVKKELPAERSIEFKFLLQDRSGHVEWQHGRNRILHVADTSNTLIVCEDWDEAKNQQVSEEIGDADGIFSGSDGVFQEDELQLGEEQETNKGVTVGVDDAKSALVTYIYREMMGANDAIQPQLALDKHHKIPDELSGEANMAAQDGNHTATAAAASGFAGSNGEDAILHKEGDPVENNRLGLASIFFNDMDWTRKALQQLLRSLGFQIGTRKT >ONIVA01G42580.1 pep chromosome:AWHD00000000:1:35948228:35948677:1 gene:ONIVA01G42580 transcript:ONIVA01G42580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSADTDTTGGVEFWRSPERAGWLTKQGEYIKTWRRRWFVLKQGRLFWFKDSGVTRASVPRGVIPVATCLTVKGAEDTLNRQFAFELSTPAETMYFIADSEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSGRPAASVAADGDK >ONIVA01G42570.1 pep chromosome:AWHD00000000:1:35943120:35944400:1 gene:ONIVA01G42570 transcript:ONIVA01G42570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVDALVTVVVVAVVAAADDDDGTTVKLKPPAEEAGAGTENNDPDVAGEAVAADGVDALVAEAEANAGAEAEDEPNGKLREVADELAAALVLENNEGAGAAGEVAKEKPVDGADAGVVGAAELLFVKEKAGAEDAEENKAGAVLAVVVVADDGVKPNDGAEAAVAGDDEKPKDAVVVAVVAGDEAVVVLKSGAEVVDPNSAEPVPAPNPRAGEEAEVVVVLDAAAPVFNPKPKDGVEAAAVAAVVVPDAAEPKPKPVAAPEKRLGAEAADAAAPNRPGAAAAAEVAPKGLCAVAAEEAPPNKLGVVAGEEVAPPKMLGVDAADDAAPNTLGVVAVAGVEDAKMDGADAAAAVDWPNEKPVDPKPNGDGDEEVAGAAAEAAGADPNREEPKVGAAAEEEEEKREEPKAGAEAGAGEEAAAAAAAG >ONIVA01G42560.1 pep chromosome:AWHD00000000:1:35937497:35942590:-1 gene:ONIVA01G42560 transcript:ONIVA01G42560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAERVFQDERLLLREDEAASARDTMFEQSETVENELQHMTEQVKSIIQTLNATQGAEFETADSMTPFDVAVRILDNQLRSLMWIDEKANEFSSRIQRLPNNSAAAERDSGMPRFWLS >ONIVA01G42560.2 pep chromosome:AWHD00000000:1:35937499:35942590:-1 gene:ONIVA01G42560 transcript:ONIVA01G42560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAERVFQDERLLLREDEAASARDTMFEQSETVENELQHMTEQVKSIIQTLNATQGAEFETADSMTPFDVAVRILDNQLRSLMWIDEKANEFSSRIQRLPNNSAAAERDSGMPRFWLS >ONIVA01G42560.3 pep chromosome:AWHD00000000:1:35937499:35942909:-1 gene:ONIVA01G42560 transcript:ONIVA01G42560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSCNPTTTAITPVASQAPKLPSEIVGKNVEQIIRDWNNELQDRTAKFRKHATAISEWDRRILQNRTVLIRLEAEVAKVVETQTSLERQLELIETHQKEVDKALQSMEEEAERVFQDERLLLREDEAASARDTMFEQSETVENELQHMTEQVKSIIQTLNATQGAEFETADSMTPFDVAVRILDNQLRSLMWIDEKANEFSSRIQRLPNNSAAAERDSGMPRFWLS >ONIVA01G42550.1 pep chromosome:AWHD00000000:1:35929457:35936264:1 gene:ONIVA01G42550 transcript:ONIVA01G42550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREQAEEAIVADSNGKEEEVGVMGVSAGEHGADFHHGGGGKFSMKNLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQLFYGFMGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALLNGQAEGITHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAFGDELLTHSNAFSLLPKTGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARMNAAEKPPFFLPSWTGMFVLNMFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPAPALAQSPVPLPHH >ONIVA01G42540.1 pep chromosome:AWHD00000000:1:35904488:35906594:-1 gene:ONIVA01G42540 transcript:ONIVA01G42540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNSFLNQDPTMMGSGMPHMYFASSSHGTGAHYQSPGGAPITMAVPDMGFLVAGIGMAPSSFVMPEGALAASYSAMATVPVGVVVPQQQSSRFGGNNGNPGSFKGAWTRQEDEVLKQMVILHGDRKWATIAKSLPGRIGKQCRERWTNHLRPDIKKDVWTEEDDRMLIEAHKTYGNRWSVIARCLPGRSENAVKNHWNATKRSLKSKRRMKKKSVQVVNSPPGQLSPLEEYIRSQYPSAVETTPLPPAVPAPPSDVIVHGAGSVSAGPTVATQEPTGTNPSEMGIYLGLGNPAGPTTQQLAAMNLNMSLAPDLNAYNDQREGYYLPFVPQGNLHYGMHVPAPPVQQQQQQGISVDQGLHSSCLSLYHPFPGTHPVSLDFGCQSSNHANAGGYYSEAGPSSGSGSGDPDDVDVIQMASRQFLMPSEAEVTLDLTRFK >ONIVA01G42530.1 pep chromosome:AWHD00000000:1:35899105:35900529:1 gene:ONIVA01G42530 transcript:ONIVA01G42530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKLSPVSPVRPEDKQRASSSSSSGAAAAPLRVQDDTAVEEYEQYLRLPELARLWKDRCCPEWADEGLVKPALQALEITFRFISVALSDPRGYASRRELARRLEALAAREVELVAALCEGEQCPPLAELSASKGVLPRERSASEVWKIPGSAAAVVCQVSEASLLPRLAAWDKSETVAARIKYAIESQMQGCVFTLGLGEPNLAGKPVLEYDRVVRPHELHALKAKIAPEPKTGYRNKENEALFTIHQILESWLCAASQLLTRLNNRIEARNWEAAASDCWILERVWKLLADVEDLHLLMDPDDFLRLKSQLAIRAAPGSDASSCFRSRALLHVANATRDLKKRVPCVLGVEVDPNGGPRVQEAAMRLFHSRRRGEGEEAGKVELLQAFQAVEAAVRRFFFAYRQLVAAVMGTAESSTNRALFSPAEEMDPLAQMFLEPPYFPSLDAAKTFLADYWVRRMAGDGDSASSRRS >ONIVA01G42520.1 pep chromosome:AWHD00000000:1:35883711:35890699:-1 gene:ONIVA01G42520 transcript:ONIVA01G42520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRSATASASTAGTASPTATATPRSAKRRLTSPRRAAGSPDASQFTSPHKSPNVGIDYADAGVLLLLLLHITEYSVAMRSNCSILQVGTPKLLSASPRSSRKRLYGDFVVKEALHVATVPSCGLVCRDDEQSRVLEFCKGCVEQERSGSLYVCGCPGTGKTLSINKVKESVARWADETGMETPDALSINCTSLAKTHEIFSKILAKFQTRKKATCKLSPLQQLQTMFSHKESAPRRMLLVVVDEMDYLITRDRAVLHDLFMLTTYQFSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISDIIKHRLKVLEYDVFEPLALEFCARKVAAASGDMRKALGVCRSAVEVFEARLQESSDQEFGLVTFDHMDIALSKAFKSPVVDSILCLPQHQQMVLCALANTFHHCKKKATTLGELNKSYIEICRSTQVPAVGMLEFSNMCMVLSDQGFMKLGQSKEDKLRRVMLQIDSSDITFAFKDFAFYWIKPTGDVSTGAVYRPTMTYCDRTVVG >ONIVA01G42510.1 pep chromosome:AWHD00000000:1:35851631:35852614:1 gene:ONIVA01G42510 transcript:ONIVA01G42510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT1G07310) TAIR;Acc:AT1G07310] MASPSPSSSPLQPQHQHQHPLPPHPQPQYQSPPPPMPPPPPAAPLKAIDLEVTVVSGKHLKNVNWRRGDLRAYVVAYLDPSRRAATRPDDVGGCKPAWNERVVLPLPPHLSPHDPSLLLSLDVFHSKPSDSPKPLVGSARSPLRDLLFSTNPNPSPDSPASALITLPLLRPSGRPQGKLRIRLALRERSPPPPEPQYPPPSSSPYYFPPPPPPAYSAPPPPQYGSEQYYRSGGYYSAPPPPPQYEYTAGPSAPVEYNRQYDPRAGSGSGNGRYGVGTGIAVGTVTGALGGLAIDEGVKYKEEKSADRVEDKVVPAGRDDDSRGYREY >ONIVA01G42500.1 pep chromosome:AWHD00000000:1:35844713:35845727:-1 gene:ONIVA01G42500 transcript:ONIVA01G42500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEALREVLPLPYFPGQPCWYLQERRGAEAWSAEENKVFERALAQVDLDSPNRWEMVAAMLPRKTVIDVVNHYRDLENDVGSIEAGLVPFPHYSSSLSPASSGFTLQDWDGSDGGFRRGCYLKRGRAPDQERKKGVPWTEEEHKSFLMGLKKYGRGDWRNISRYFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPEEDTSNPSPSPPSVLTTASDQLGSLVDTKPVPPPPSLGAQRHFMSPLPGALGVSHHPYGNVKLEPNASFLAGGGTGPGLDDAILLQMQCGHL >ONIVA01G42490.1 pep chromosome:AWHD00000000:1:35837470:35846284:1 gene:ONIVA01G42490 transcript:ONIVA01G42490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELQGVEHVVGLTVAMAATDADQSVPGISGVDKVIRLHANLDPREETRPRLPAGPHPHIVAARSRAPATNAVARSRAQWSPLGLICPIVGGDRGSPARTTGPEAAPLYVILRPSGPGTARASSRSHGHPLEGSIRKSDGTWLQASGSISLANSAGPIVPKGMPIVRRTYILAAGLLLGIRAVGITDYLEITIPLAYLSVAWLQPVVSIASEHGNAECSNTTKEASFQSGMSRICSATSIIQWNKQMSSMRCQYILNLNICTKARADMTPQKRDGVDDAFTLPAVPNGRANAGKERTTASTGTFLHLARTKVAGAQHKAAVAPVPLYTHPVPRDGHRCHLPGRRRAKRILK >ONIVA01G42490.2 pep chromosome:AWHD00000000:1:35837470:35837975:1 gene:ONIVA01G42490 transcript:ONIVA01G42490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELQGVEHVVGLTVAMAATDADQSVPGISGVDKVIRLHANLDPREETRPRLPAGPHPHIVAARSRAPATNAVARSRAQWSPLGLICPIVGGDRGSPARTTGPEAAPLYVILRPSGPGTARASSRSHGHPLEGSIRKSDGTVRSLALGAAACA >ONIVA01G42490.3 pep chromosome:AWHD00000000:1:35837991:35846284:1 gene:ONIVA01G42490 transcript:ONIVA01G42490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCVREAVEDVLAFPAPVWRKWLQASGSISLANSAGPIVPKGMPIVRRTYILAAGLLLGIRAVGITDYLEITIPLAYLSVAWLQPVVSIASEHGNAECSNTTKEASFQSGMSRICSATSIIQWNKQMSSMRCQYILNLNICTKARADMTPQKRDGVDDAFTLPAVPNGRANAGKERTTASTGTFLHLARTKVAGAQHKAAVAPVPLYTHPVPRDGHRCHLPGRRRAKRILK >ONIVA01G42490.4 pep chromosome:AWHD00000000:1:35838298:35846284:1 gene:ONIVA01G42490 transcript:ONIVA01G42490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAILIDWLQASGSISLANSAGPIVPKGMPIVRRTYILAAGLLLGIRAVGITDYLEITIPLAYLSVAWLQPVVSIASEHGNAECSNTTKEASFQSGMSRICSATSIIQWNKQMSSMRCQYILNLNICTKARADMTPQKRDGVDDAFTLPAVPNGRANAGKERTTASTGTFLHLARTKVAGAQHKAAVAPVPLYTHPVPRDGHRCHLPGRRRAKRILK >ONIVA01G42480.1 pep chromosome:AWHD00000000:1:35827423:35831598:1 gene:ONIVA01G42480 transcript:ONIVA01G42480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYETARAEPASNNKQRPISGETAAGSSRSGPDPMGGEVPEPRRLNRALSFDDWVPDEALHLVMGHVEDPRDREAASRVCRRWHRIDALTRKHVTVAFCYAARPARLRERFPRLESLSLKGKPRAAMYGLIPDDWGAYAAPWIDELAAPLECLKALHLRRMTVTDADIAALVRARGHMLQELKLDKCIGFSTDALRLVARSCRSLRTLFLEECHITDKGGEWLHELAVNNSVLVTLNFYMTELKVAPADLELLAKNCKSLISLKMSECDLSDLISFFQTANALQDFAGGAFYEVGELTKYEKVKFPPRLCFLGLTYMGTNEMPVIFPFSMKLKKLDLQYTFLTTEDHCQIIAKCPNLLILEVRNVIGDRGLEVVGDTCKKLRRLRIERGDDDPGLQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDRERQVTDLPLDNGVCALLRNCTKLRRFALYLRPGGLSDDGLSYIGQYSGNIQYMLLGNVGESDHGLIRFAVGCTNLQKLELRSCCFSERALSLAVLQMPSLRYIWVQGYRASQTGLDLLLMARPFWNIEFTPPSPESFNHMTEDGEPCVDSHAQVLAYYSLAGRRSDCPQWVIPLHPA >ONIVA01G42480.2 pep chromosome:AWHD00000000:1:35827609:35831598:1 gene:ONIVA01G42480 transcript:ONIVA01G42480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVPEPRRLNRALSFDDWVPDEALHLVMGHVEDPRDREAASRVCRRWHRIDALTRKHVTVAFCYAARPARLRERFPRLESLSLKGKPRAAMYGLIPDDWGAYAAPWIDELAAPLECLKALHLRRMTVTDADIAALVRARGHMLQELKLDKCIGFSTDALRLVARSCRSLRTLFLEECHITDKGGEWLHELAVNNSVLVTLNFYMTELKVAPADLELLAKNCKSLISLKMSECDLSDLISFFQTANALQDFAGGAFYEVGELTKYEKVKFPPRLCFLGLTYMGTNEMPVIFPFSMKLKKLDLQYTFLTTEDHCQIIAKCPNLLILEVRNVIGDRGLEVVGDTCKKLRRLRIERGDDDPGLQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDRERQVTDLPLDNGVCALLRNCTKLRRFALYLRPGGLSDDGLSYIGQYSGNIQYMLLGNVGESDHGLIRFAVGCTNLQKLELRSCCFSERALSLAVLQMPSLRYIWVQGYRASQTGLDLLLMARPFWNIEFTPPSPESFNHMTEDGEPCVDSHAQVLAYYSLAGRRSDCPQWVIPLHPA >ONIVA01G42470.1 pep chromosome:AWHD00000000:1:35816792:35817644:-1 gene:ONIVA01G42470 transcript:ONIVA01G42470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLHTIRMSIPSLHRSSPYRCALLKRKRSCIRACSSEDGSDASSSLGGDKRQQEVLAKIAMLQAQKVRITSFLDERSAYLTKFAKDADTEFDLIGQNAMKELDEVGDQIMERLDSKMQAFEETAEVQRQEIEMNERVLEDFEDWIEKEKNEGMFFKSLGKVKPRNKKELTVKPIPKLEAQKVKDIAKESAGSKTRMNIYLGLMAILGLTIANAVFATPEVEWRKVAALGLIFIGLVAQVIYEQDISSPEAEKKGGKEE >ONIVA01G42460.1 pep chromosome:AWHD00000000:1:35813398:35821020:1 gene:ONIVA01G42460 transcript:ONIVA01G42460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09060) TAIR;Acc:AT3G09060] MPPAKLDPDPPPIHRLLELIKSEPDATAALAHLELLVSTRPAFPPPQPLLFHLLRRLATSSPFHLPRLLNLLPRLRHRPRFSESAALVVLSAFSRAIMPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDCITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQV >ONIVA01G42460.2 pep chromosome:AWHD00000000:1:35813398:35821020:1 gene:ONIVA01G42460 transcript:ONIVA01G42460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09060) TAIR;Acc:AT3G09060] MPPAKLDPDPPPIHRLLELIKSEPDATAALAHLELLVSTRPAFPPPQPLLFHLLRRLATSSPFHLPRLLNLLPRLRHRPRFSESAALVVLSAFSRAIMPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDCITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQV >ONIVA01G42460.3 pep chromosome:AWHD00000000:1:35813432:35821020:1 gene:ONIVA01G42460 transcript:ONIVA01G42460.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09060) TAIR;Acc:AT3G09060] MPPAKLDPDPPPIHRLLELIKSEPDATAALAHLELLVSTRPAFPPPQPLLFHLLRRLATSSPFHLPRLLNLLPRLRHRPRFSESAALVVLSAFSRAIMPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDCITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQTQSCNLPPLHLRCCEDGICNCKPEYCHEPKLAVDGPTAAHSTPPAVLSPTAAALLHSAGRRALARLLRPRSPPLDNEEDDKFEFSVYAVAAVLSAADELFFGVRHMPMLPPLRPPSPSRGSVSIAHSRSCFQLTLASPPLLHIPADKERGREQGSGGRRRR >ONIVA01G42460.4 pep chromosome:AWHD00000000:1:35813432:35818140:1 gene:ONIVA01G42460 transcript:ONIVA01G42460.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09060) TAIR;Acc:AT3G09060] MPPAKLDPDPPPIHRLLELIKSEPDATAALAHLELLVSTRPAFPPPQPLLFHLLRRLATSSPFHLPRLLNLLPRLRHRPRFSESAALVVLSAFSRAIMPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDCITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQLLVMRYPARR >ONIVA01G42460.5 pep chromosome:AWHD00000000:1:35813432:35818561:1 gene:ONIVA01G42460 transcript:ONIVA01G42460.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09060) TAIR;Acc:AT3G09060] MPPAKLDPDPPPIHRLLELIKSEPDATAALAHLELLVSTRPAFPPPQPLLFHLLRRLATSSPFHLPRLLNLLPRLRHRPRFSESAALVVLSAFSRAIMPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDCITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQLLVMRYPARR >ONIVA01G42460.6 pep chromosome:AWHD00000000:1:35813432:35820968:1 gene:ONIVA01G42460 transcript:ONIVA01G42460.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09060) TAIR;Acc:AT3G09060] MPPAKLDPDPPPIHRLLELIKSEPDATAALAHLELLVSTRPAFPPPQPLLFHLLRRLATSSPFHLPRLLNLLPRLRHRPRFSESAALVVLSAFSRAIMPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDCITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQLLVMRYPARR >ONIVA01G42460.7 pep chromosome:AWHD00000000:1:35813432:35818561:1 gene:ONIVA01G42460 transcript:ONIVA01G42460.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09060) TAIR;Acc:AT3G09060] MPPAKLDPDPPPIHRLLELIKSEPDATAALAHLELLVSTRPAFPPPQPLLFHLLRRLATSSPFHLPRLLNLLPRLRHRPRFSESAALVVLSAFSRAIMPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDCITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQLLVMRYPARR >ONIVA01G42450.1 pep chromosome:AWHD00000000:1:35809679:35810519:1 gene:ONIVA01G42450 transcript:ONIVA01G42450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARCNELNKGYWRGATVFVQSTTSWSFLGPLTCRRHQKKIDINRIEEFQRNYGGFEPNAAKFMCLVVSDAQYSTHSHLETQALEINLSRIGGKVEEAKRPRASDGPDKDASDLMGFAPDHGRR >ONIVA01G42440.1 pep chromosome:AWHD00000000:1:35802883:35811734:-1 gene:ONIVA01G42440 transcript:ONIVA01G42440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAAAAVPDPRDPATVSSHVLSCDPSPLAQAHGAGEPRRLFEAFMGEILLPLRGEGGANGGGVGRGPGGVGDLGELLRWTREVAANPVAARPVPAEVKARKRQVLALRRARYLRMEDVANADELPSFFKKRKYRSHNNHAERQKGKGSMNMPTRKSERLAKRMKLMTSVLLTQRKKIGVGEHFQAEVPDWTEPPSDELARYKNDPNISKMLGTRIWPPEGQVLQTDKKIAGQGRMESCNCSYPGSFFCRQHHTDAARDQLRCELGRAFTEWRFDSMGEEVSKMWTREEQLKFNALERLVPVMDHKTFWAVASKHLASKTRIELVRYYLNVFLMRRVLSQCRLNLLEIDSDEDETEEEEDEDQSESTSTSQRTQDAQNVKSIS >ONIVA01G42440.2 pep chromosome:AWHD00000000:1:35802883:35811734:-1 gene:ONIVA01G42440 transcript:ONIVA01G42440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAAAAVPDPRDPATVSSHVLSCDRAGGSSKLGARGEAAATPAAAAAGRGDLAPMVGMYQQQLVEDPFPLSGGYCSDQPRHAPASASTSTSTSSSAAVAASPLAQAHGAGEPRRLFEAFMGEILLPLRGEGGANGGGVGRGPGGVGDLGELLRWTREVAANPVAARPVPAEVKARKRQVLALRRARYLRMEDVANADELPSFFKKRKYRSHNNHAERQKGKGSMNMPTRKSERLAKRMKLMTSVLLTQRKKIGVGEHFQAEVPDWTEPPSDELARYKNDPNISKMLGTRIWPPEGQVLQTDKKIAGQGRMESCNCSYPGSFFCRQHHTDAARDQLRCELGRAFTEWRFDSMGEEVSKMWTREEQLKFNALERLVPVMDHKTFWAVASKHLASKTRIELVRYYLNVFLMRRVLSQCRLNLLEIDSDEDETEEEEDEDQSESTSTSQRTQDAQNVKSIS >ONIVA01G42430.1 pep chromosome:AWHD00000000:1:35791339:35793285:-1 gene:ONIVA01G42430 transcript:ONIVA01G42430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLQLDPPVVPARRSAATSCDLHPDETFTGFCTACLRERLAGLEASAAAASAPGRKSTSAIRSLFARPFGGGAGGSSVAGAGASLPDLRRCKSFSCGRGGDALAAAAAAAAAGTARADEPQRRSCDVRGHSTLWSLFHQDDRGRVPSSSSAADIAPPHQQPPPRPFIPDDFLDEDIPVVMEHDEIMPVVEPVIVVDTSGEIETEPNVVAREGKAMKDHMDFESSQPKKPPTKDLKESFWVAASVFSKKWQKWRRKQKLKKEAAVSKAAAAAMPPPEKPSKPSFLRRRRLRGEAGSELAGGRRSCDTDPRFSLDAGRMSVDDAGFSWDEPRASWDGYLFGAGAGIGLGRAPPPLSRLPPMMSVLEDTPATIVERSDGQIPVEDDADIDPPGGSLQTRDYYLDSSTRRRRSLERSSSVRRPSFEVTEPKPAPTTIANGKESPLRGSEFYHFHHAEDLLDRGFSSNSLIEDISASLEAALSGPGSAKKPRRWRKAWSLWGFIHRRASGRRSGGPSDIADRSFSEAWPDLRVRGYNPKMQRCNSNLSARSSFSSNSGGLGSSRRSHVDVNGSSARRREEHVLERNRSARYSPPGRVDNGMLRFYLTPMRSGGGGGGARRGGGGGGGGGGLPAKAGRQLTSQSFARSLLRMY >ONIVA01G42420.1 pep chromosome:AWHD00000000:1:35786043:35786387:1 gene:ONIVA01G42420 transcript:ONIVA01G42420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPAAADTAETDQADLKPVKAEPGTGPGLITITVTSQTFADVYFAIKPRVKLRRVMDLYCGKHSLDPKTVKFIDDDGRFVRSEQTPEEVGLQDGSTISLAIDQQGGACICEN >ONIVA01G42410.1 pep chromosome:AWHD00000000:1:35782716:35785639:-1 gene:ONIVA01G42410 transcript:ONIVA01G42410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;3 [Source:Projected from Arabidopsis thaliana (AT3G46980) TAIR;Acc:AT3G46980] MAPPGQLLPLARSLLPLSAPPFVSGRRRRLPTLVLGRALPPPTWLPHGRLPPAHPLPFAPPRRLSRPPPPATSLPGASPGGGAEAQAVLAEFVTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQSSFLWGYLVSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAARSLWLFLSTRVLLGMAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRAGIFGPFVIFGLFGFLWVLVWISAISGTPGENAQISAHELDYITRGQKLVKTQSGGERLRKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDRLIQNGTSITLTRKIMQTIGFVGPGVALLGLNAAKSPVIASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSTLFWDIFATGERVDFDGTG >ONIVA01G42410.2 pep chromosome:AWHD00000000:1:35782716:35790104:-1 gene:ONIVA01G42410 transcript:ONIVA01G42410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;3 [Source:Projected from Arabidopsis thaliana (AT3G46980) TAIR;Acc:AT3G46980] MAKTSTRPRVNRAPLHRLRQPKTTTNRQASHRLSHVKNATDSAVRSWSSFLWGYLVSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAARSLWLFLSTRVLLGMAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRAGIFGPFVIFGLFGFLWVLVWISAISGTPGENAQISAHELDYITRGQKLVKTQSGGERLRKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDRLIQNGTSITLTRKIMQTIGFVGPGVALLGLNAAKSPVIASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSTLFWDIFATGERVDFDGTG >ONIVA01G42410.3 pep chromosome:AWHD00000000:1:35782716:35785639:-1 gene:ONIVA01G42410 transcript:ONIVA01G42410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;3 [Source:Projected from Arabidopsis thaliana (AT3G46980) TAIR;Acc:AT3G46980] MAPPGQLLPLARSLLPLSAPPFVSGRRRRLPTLVLGRALPPPTWLPHGRLPPAHPLPFAPPRRLSRPPPPATSLPGASPGGGAEAQAVLAEFVTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQSSFLWGYLVSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAARSLWLFLSTRVLLGMAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRAGIFGPFVIFGLFGFLWVLVWISAISGTPGENAQISAHELDYITRGQKLVKTQSGGERLRKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDRLIQNGTSITLTRKIMQTIGFVGPGVALLGLNAAKSPVIASAWLTIAVGLKSFGHSGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSTLFWDIFATGERVDFDGTG >ONIVA01G42400.1 pep chromosome:AWHD00000000:1:35778386:35781407:-1 gene:ONIVA01G42400 transcript:ONIVA01G42400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGRRGASSTSQGRESTSFNHEFQSDPGFSWTSTYAARSPPKVSKNGKSSRSFWEGDAQAVLLLVNPKSRKDMSSPQTMAMIFLLVQWFSVVSRISSASSTSTNTSRQRGDDSQKKSWQEQCSFQEICMATSNFSEQNRIGLGNFGTVYKGKLRDGSIIAVKRATKNMYDRHLSEEFRSEIQTLSKVEHLNLVKFLGYLEHEDERLILVEYVNNGSLREHLDGLRGEPLEFSQRLNIAIDIVHAVSYLHGYTDHPIIHRDIKSSNILLTDQLRAKVADFGFARLAPDNTEATHVSTMVKGTAGYVDPEYMRTNQLTDRSDVYSFGVLLVELLTGRRPIERGRGRHQRLTTQWALRKCRDGDAVVAMDARMRRTSAVVAAMEKVMALAAECTAPDRAARPAMRRCAEVLWSIRRDFQHEQQRATAAAAAGARGKRHDGSTYGPSITSLKE >ONIVA01G42400.2 pep chromosome:AWHD00000000:1:35778386:35781407:-1 gene:ONIVA01G42400 transcript:ONIVA01G42400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGRRGASSTSQGRESTSFNHEFQSDPGFSWTSTYAARSPPKVSKNGKSSRSFWEVVDTITGGCTSCFAPRQSKIKERHVKPSNDGHDISISSIVSRISSASSTSTNTSRQRGDDSQKKSWQEQCSFQEICMATSNFSEQNRIGLGNFGTVYKGKLRDGSIIAVKRATKNMYDRHLSEEFRSEIQTLSKVEHLNLVKFLGYLEHEDERLILVEYVNNGSLREHLDGLRGEPLEFSQRLNIAIDIVHAVSYLHGYTDHPIIHRDIKSSNILLTDQLRAKVADFGFARLAPDNTEATHVSTMVKGTAGYVDPEYMRTNQLTDRSDVYSFGVLLVELLTGRRPIERGRGRHQRLTTQWALRKCRDGDAVVAMDARMRRTSAVVAAMEKVMALAAECTAPDRAARPAMRRCAEVLWSIRRDFQHEQQRATAAAAAGARGKRHDGSTYGPSITSLKE >ONIVA01G42390.1 pep chromosome:AWHD00000000:1:35778327:35780291:1 gene:ONIVA01G42390 transcript:ONIVA01G42390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRTSAQRRMAGRAARSGAVHSAASAITFSMAATTALVLRIRASIATTASPSRHFRSACVHTLGCGFYPLRGEALVAAAAALDGASAGEELHEEDAEGVDVAAVDRCLSYIFCEFQVLHEKCSSI >ONIVA01G42380.1 pep chromosome:AWHD00000000:1:35766509:35772284:-1 gene:ONIVA01G42380 transcript:ONIVA01G42380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-glucan phosphorylase 2 [Source:Projected from Arabidopsis thaliana (AT3G46970) TAIR;Acc:AT3G46970] MPESNGAACGAAEKVKPAASPASEEPAAIAGNISFHAQYSPHFSPLAFGPEQAFYSTAESVRDHLVQRWNETYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEALVGQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQCITKEGQEEIAEDWLEKFSPWEIVRHDIVYPIRFFGHVEILPDGSRKWVGGEVLSALAYDVPIPGYKTKNAISLRLWDAKASAEDFNLFQFNDGQYESAAQLHARAQQICAVLYPGDATEEGKLLRLKQQYFLCSASLQDIFFRFKERKADRVSGKWSEFPAKVAVQLNDTHPTLAIPELMRLLMDVEGLGWDEAWDITNKTIAYTNHTVLPEALEKWSQIVMRKLLPRHMEIIEEIDKRFKEMVISTRKEMEGKIDSMRILDNSNPQKPVVRMANLCVVSAHTVNGVAELHSNILKEELFADYLSIWPNKFQNKTNGITPRRWLRFCNPELSEIVTKWLKTDQWTSNLDLLTGLRKFADDEKLHAEWASAKLASKKRLAKHVLDVTGVTIDPNSLFDIQIKRIHEYKRQLLNILGAVYRYKKLKGMSAEERQKVTPRTVMIGGKAFATYTNAKRIVKLVNDVGAVVNNDPDVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGQENFFLFGAKADQVAGLRKDRENGLFKPDPRFEEAKQLIRSGAFGTYDYAPLLDSLEGNSGFGRGDYFLVGYDFPSYIDAQAQVDEAYKDKKKWIKMSILNTAGSGKFSSDRTIAQYAKEIWGITASPVS >ONIVA01G42370.1 pep chromosome:AWHD00000000:1:35759902:35760708:1 gene:ONIVA01G42370 transcript:ONIVA01G42370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGDDALYARCLVTLYLISPITVFLLRFVSAPYGKLSRPGWGPAVPAALAWFLMESPTMCLPPLVLSAAASSSALRAAALLPAALYALHYVNRTLVHPLRLLRLRRAPAPVPILVAAFAFGFNLLNAYVQARSWALDAAAPHSTATATATPAAVARCLVGLALFAWGMRTNIAADKALLRLKEAGKGYQIPRGGLFDVVTCPNYFGEAVEWLGYALVAWTPAAWAFFLYTCSNLGPRARDHRRWYVGKFGDKYPASRKAFVPYIY >ONIVA01G42360.1 pep chromosome:AWHD00000000:1:35749045:35753150:-1 gene:ONIVA01G42360 transcript:ONIVA01G42360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin family protein [Source:Projected from Arabidopsis thaliana (AT3G54130) TAIR;Acc:AT3G54130] MEEAAAASNGGLLYHEVQEGKLCAVHCVNTTLQGPFFSEFDLSALAVDLDQRERQVMSEGAAGAATTAAGDFLAEGEGSHNVSLGGDFSIQVLQKALEVWDLQVIPLDSPDAGSCLFDPELETAFICHLQDHWFCIRKECPMATEGSNGFGQWLTPDDARRITSSCNQVQTPTQQAGVSLVADQSEEMSEMDMIAAQQEEADLNAAIAASLMDTGGPFANYAAHEESRSQDAFAIESTSGEMSKDGNLEEQGANKSETSEPNSDNIESASGSNPKQNTPSLEGKESIKED >ONIVA01G42360.2 pep chromosome:AWHD00000000:1:35749045:35753150:-1 gene:ONIVA01G42360 transcript:ONIVA01G42360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin family protein [Source:Projected from Arabidopsis thaliana (AT3G54130) TAIR;Acc:AT3G54130] MEEAAAASNGGLLYHEVQEGKLCAVHCVNTTLQGPFFSEFDLSALAVDLDQRERQVMSEGAAGAATTAAGDFLAEGEGSHNVSLGGDFSIQVLQKALEVWDLQVIPLDSPDAGSCLFDPELETAFICHLQDHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAFINTLKGSGWSIFAVRGNFPKECPMATEGSNGFGQWLTPDDARRITSSCNQVQTPTQQAGVSLVADQSEEMSEMDMIAAQQEEADLNAAIAASLMDTGGPFANYAAHEESRSQDAFAIESTSGEMSKDGNLEEQGANKSETSEPNSDNIESASGSNPKQNTPSLEGKESIKED >ONIVA01G42350.1 pep chromosome:AWHD00000000:1:35744925:35746823:-1 gene:ONIVA01G42350 transcript:ONIVA01G42350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVT7] MDASDQGCRLLGAQRSLHGLLGGGAMADVVLWRRKEVAGWSLAAVAASWVLFYCLPGYTLLSFVSSVLMIVLAVLFVWAKAARLLNRPPPPVPLIKISEDSMSKAAAAVGNILHKALQGFENIAHGKDSSLFYKMASVLLLISIVGRVTDLITLVYACLVLALTIPALVDKYEENIAKFLNQASANIQACGQAWKAYKSKIKN >ONIVA01G42340.1 pep chromosome:AWHD00000000:1:35735284:35738856:-1 gene:ONIVA01G42340 transcript:ONIVA01G42340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPSVASSSSSSSPVLSAAHRRRLNDVERDAFDYGGPCDVDVDHDDDDGGGGVRRGHGAGVAGVRALFSSARRSKRASVIIDQAWLRNVVACLLGLTVVAGLVLSSHRVSGAGGGRLVQRMDLGDGEVMGWTEENLTAVARQSPDTPTLNWHRRVGTYSLRGLDVTMCVRKRSIELREKFMASVHGLQMKIWMTPDSEGYGKCIERPKKHDRMNSATAGYIIVNANGGLNQMRLGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVEHFKKTLEGDISIVDSLPLAYKGLKLYMRAPTSWAKASYYRAFSRTLKKAKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRFHKEIEELSTALVDRLRNGSNHYIALHLRYEKDMLSFTGCSHNLTHKEADELREMRLNVRHWKEKEINSRERRLQGRCPMTPREVALFLKAMGYPSSTKIYIVAGEIYGGHSMDSLKAEYPNIYTHYSLATVDELEPFKLYQNRLAALDYNVAVQSDVFVYTYDGNMAKAVQGHRRFEGFQKTINPDRQKLVGLIDKLDEGTLTWNEFQSEVKIHHENRLGGPYQRLSGRSPRQEEYFYANPLPGCLCKIMQRIK >ONIVA01G42330.1 pep chromosome:AWHD00000000:1:35732128:35738283:1 gene:ONIVA01G42330 transcript:ONIVA01G42330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 1 [Source:Projected from Arabidopsis thaliana (AT3G54090) TAIR;Acc:AT3G54090] MAMAASPFLILPSLFPKPTILAARIHPSIFRGRHIRCSPNGAAVPESPEPAPRRGRRKSPSPSPPKAKTTRRRTKKDTQESDSEGEEEPPKRRGRRTRKSKQEAEQEAAEKEDEVRAASPGTEDSKRAVQDEDGEAEATGSDSEDGEDSPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDIYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDDFGRELVYRMNCERVQTRAIRFDDGAATATARMKVGFRDREDGSGGTRLVAETVKSAAEDSLSKAEINVDVLKEARVFHFNSEVLLTPSMEITLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKELINKAWNEADIIEVSRDELEFLLDHEYYQYKRANPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVIGTEDALITPYTTDRTGSGDAVVAAAIRKLTSCPEMYEDQDTLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >ONIVA01G42330.2 pep chromosome:AWHD00000000:1:35732128:35738299:1 gene:ONIVA01G42330 transcript:ONIVA01G42330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 1 [Source:Projected from Arabidopsis thaliana (AT3G54090) TAIR;Acc:AT3G54090] MAMAASPFLILPSLFPKPTILAARIHPSIFRGRHIRCSPNGAAVPESPEPAPRRGRRKSPSPSPPKAKTTRRRTKKDTQESDSEGEEEPPKRRGRRTRKSKQEAEQEAAEKEDEVRAASPGTEDSKRAVQDEDGEAEATGSDSEDGEDSPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDIYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDDFGRELVYRMNCERVQTRAIRFDDGAATATARMKVGFRDREDGSGGTRLVAETVKSAAEDSLSKAEINVDVLKEARVFHFNSEVLLTPSMEITLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKELINKAWNEADIIEVSRDELEFLLDHEYYQYKRANPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVIGTEDALITPYTTDRTGSGDAVVAAAIRKLTSCPEMYEDQDTLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >ONIVA01G42330.3 pep chromosome:AWHD00000000:1:35732128:35734493:1 gene:ONIVA01G42330 transcript:ONIVA01G42330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 1 [Source:Projected from Arabidopsis thaliana (AT3G54090) TAIR;Acc:AT3G54090] MAMAASPFLILPSLFPKPTILAARIHPSIFRGRHIRCSPNGAAVPESPEPAPRRGRRKSPSPSPPKAKTTRRRTKKDTQESDSEGEEEPPKRRGRRTRKSKQEAEQEAAEKEDEVRAASPGTEDSKRAVQDEDGEAEATGSDSEDGEDSPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDIYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDDFGRELVYRMNCERVQTRAIRFDDGAATATARMKVGFRDREDGSGGTRLVAETVKSAAEDSLSKAEINVDVLKEARVFHFNSEVLLTPSMEITLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKELINKAWNEADIIEVSRDELEFLLDHEYYQYKRANPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVIGTEDALITPYTTDRTGSGDAVVAAAIRKLTSCPEMYEDQDTLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >ONIVA01G42330.4 pep chromosome:AWHD00000000:1:35732128:35734527:1 gene:ONIVA01G42330 transcript:ONIVA01G42330.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 1 [Source:Projected from Arabidopsis thaliana (AT3G54090) TAIR;Acc:AT3G54090] MAMAASPFLILPSLFPKPTILAARIHPSIFRGRHIRCSPNGAAVPESPEPAPRRGRRKSPSPSPPKAKTTRRRTKKDTQESDSEGEEEPPKRRGRRTRKSKQEAEQEAAEKEDEVRAASPGTEDSKRAVQDEDGEAEATGSDSEDGEDSPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDIYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDDFGRELVYRMNCERVQTRAIRFDDGAATATARMKVGFRDREDGSGGTRLVAETVKSAAEDSLSKAEINVDVLKEARVFHFNSEVLLTPSMEITLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKELINKAWNEADIIEVSRDELEFLLDHEYYQYKRANPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVIGTEDALITPYTTDRTGSGDAVVAAAIRKLTSCPEMYEDQDTLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >ONIVA01G42320.1 pep chromosome:AWHD00000000:1:35730906:35731950:1 gene:ONIVA01G42320 transcript:ONIVA01G42320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G37970) TAIR;Acc:AT2G37970] MGMVLGKITVETPKHEVLHTGAGYEVRKYPPCVVAEVTYDPAEMKGDRDGGFTVLGNYIGALGNPQNTKPEKIDMTAPVITSGEPESIAMTAPVITSGEPEPVAMTAPVITAEERGQGKGQMTMQFLLPSKYSKVEEAPRPTDERVVLRQVGERKYGVVRFSGLTGDKVVKEKAEWLKAALEKDGFTVKGPFVLARYNPPFTLPPLRTNEVMVPVELAVEIEFGPY >ONIVA01G42310.1 pep chromosome:AWHD00000000:1:35705044:35721827:1 gene:ONIVA01G42310 transcript:ONIVA01G42310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVS6] MGCHHDMVIPWCSSMMRLLWFLFALLLARSVADAATANYTFTVESMRVSRLCNSTDIIAVNGQLPGPMIEVNEGDAVAVEVINGSPYNLTIHWHGILQLLTPWADGPSMVTQCPIQPNSSYTYRFNVTGQEGTLWWHAHSSFLRATVYGALIIRPRNGSAYPFPAPDQEVPIVLGEWWSRNVVDIESDAVSSGQLPRESDAFTVNGVTGELYQCANDTFTVDVQPNTTVLLRVINAGLNTHLFFKVAGHAFTVVAVDACYTANYTTDTLVLAPGHTVDALMVTNASAGSYYMAVQAYDSLSPTTMAVTDDTTATAIVRYNTTSTKKNATPVMPTMPQSSDSATANAFYFGLRGPPSPSAPAVPTKVDVNMTIELGLGQLPCDSTQSSCSGKSVAAAMNGVSFRLPSQMSLLEAQFNRMPGVYTADFPDAPQPSGTPMVEGTKVRRLKYNSTVEIVLQNPTAFPSENHPIHLHGFNFFVLAQGLGNFTPGNVSGYNLVDPVSRNTLAVPTGGWAVIRFVANNPGMWFFHCHLDAHVPMGLGMVFAVDNGTTPDSFLPPPPADLPKIEDGDDAEFQKILALCSHRISHETRIIIVFRGLRGTPAMASAAMLVPLVLVLCTAAASAAVVEHTFKVGGTKITQLCMNSVIYTANQQLPGPTIEVTEGDTLVVHAVNDSPYPLSLHWHGVYQLRSGWNDGANKITQCPIQPSGNFTYRFNITGQEGTLWWHAHSSLLRATIYGALIIKPRNGPSGYPFPEPYEEIPILLGEWWNRNVDDVENDGYLTGLGPQISDALTINGMPGDQNRCKGSAMYEVEVEYGKTCLLRIINAAVNVELFFKVAGHTFTVVAADASYTKPYATDVIVIAPGQTVDALMNTTASPGRYYMAAHVFDSKTVAVPFDQSTATGIVKYKGVPNYAPAAMPSLPPHDDVVTAGRFYWSLTGLARPSDPGVPTTVDHNMVVTFGLDQAPCAPNQTKCSGFAIVAAMNRNSFQFPDQKVSLLEALYKGVPGVYSEDFPDFPSPMQGFRKATAVKKVKYNDVVEVVLQSEQYSSTLGTENHPIHLHGFDFYLLAQGLGRFNPSMKSKYNLVDPQVRNTVAVPAGGWAVIRFMANNPGMWFMHCHLDAHLPLGLAMVFEVLNGPAPNLLPPPPS >ONIVA01G42310.2 pep chromosome:AWHD00000000:1:35705044:35712245:1 gene:ONIVA01G42310 transcript:ONIVA01G42310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVS6] MGCHHDMVIPWCSSMMRLLWFLFALLLARSVADAATANYTFTVESMRVSRLCNSTDIIAVNGQLPGPMIEVNEGDAVAVEVINGSPYNLTIHWHGILQLLTPWADGPSMVTQCPIQPNSSYTYRFNVTGQEGTLWWHAHSSFLRATVYGALIIRPRNGSAYPFPAPDQEVPIVLGEWWSRNVVDIESDAVSSGQLPRESDAFTVNGVTGELYQCANDTFTVDVQPNTTVLLRVINAGLNTHLFFKVAGHAFTVVAVDACYTANYTTDTLVLAPGHTVDALMVTNASAGSYYMAVQAYDSLSPTTMAVTDDTTATAIVRYNTTSTKKNATPVMPTMPQSSDSATANAFYFGLRGPPSPSAPAVPTKVDVNMTIELGLGQLPCDSTQSSCSGKSVAAAMNGVSFRLPSQMSLLEAQFNRMPGVYTADFPDAPQPSGTPMVEGTKVRRLKYNSTVEIVLQNPTAFPSENHPIHLHGFNFFVLAQGLGNFTPGNVSGYNLVDPVSRNTLAVPTGGWAVIRFVANNPGMWFFHCHLDAHVPMGLGMVFAVDNGTTPDSFLPPPPADLPKC >ONIVA01G42310.3 pep chromosome:AWHD00000000:1:35705044:35712259:1 gene:ONIVA01G42310 transcript:ONIVA01G42310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVS6] MGCHHDMVIPWCSSMMRLLWFLFALLLARSVADAATANYTFTVESMRVSRLCNSTDIIAVNGQLPGPMIEVNEGDAVAVEVINGSPYNLTIHWHGILQLLTPWADGPSMVTQCPIQPNSSYTYRFNVTGQEGTLWWHAHSSFLRATVYGALIIRPRNGSAYPFPAPDQEVPIVLGEWWSRNVVDIESDAVSSGQLPRESDAFTVNGVTGELYQCANDTFTVDVQPNTTVLLRVINAGLNTHLFFKVAGHAFTVVAVDACYTANYTTDTLVLAPGHTVDALMVTNASAGSYYMAVQAYDSLSPTTMAVTDDTTATAIVRYNTTSTKKNATPVMPTMPQSSDSATANAFYFGLRGPPSPSAPAVPTKVDVNMTIELGLGQLPCDSTQSSCSGKSVAAAMNGVSFRLPSQMSLLEAQFNRMPGVYTADFPDAPQPSGTPMVEGTKVRRLKYNSTVEIVLQNPTAFPSENHPIHLHGFNFFVLAQGLGNFTPGNVSGYNLVDPVSRNTLAVPTGGWAVIRFVANNPGMWFFHCHLDAHVPMGLGMVFAVDNGTTPDSFLPPPPADLPKC >ONIVA01G42310.4 pep chromosome:AWHD00000000:1:35700776:35705512:1 gene:ONIVA01G42310 transcript:ONIVA01G42310.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVS6] MSCSWMIPVFAILAFVASAAQADVVEHTFNVATLSLPRICQPGNTSVTAVNGRVPGPQVEAREGDTVVIHVINDSPYNVTVHWYMYLTYSSPPDVHGVFQRGTPWADGPAMVTQCPIRPGHRYTYRFAVAGQEGTLWWHAHSSYMRATVYGALVIRPRRAGGYPFPTPYEEKTVLLGEWWNGDPVALESQSFSTGIPAPNADAYTINGMPGDSYLCPETTNRIAKFEVRRDKTYLLRIINAALNTAFFFKVAGHTFTVVAADASYTEPYATDVIVIAPGQTVDALMAADASPGCYHMAISSYQSAIPFPPRPAGFNGNTSTAVVEYVDATATTDAGSPVLPVMPKPNDTYTANQFYTSLTALIRPGRRTVPLTVDTRMLVTVGLGFSSCQPEQTQCNRSAPVVLANMNNVSFALPNTVSMLEALYRNTADGVYTRDFPDQPPVAFDYTSRGLLGNSPLASTGSPSTKVKTLRYNATVEMVLQNTALVGLESHPMHLHGFNFFVVAQGFGNNNGEAAGAGEFNLVNPQERNTVAVPTGGWAVIRFVADNPGMWAMHCHIDSHFAIGLAMVFEVESGPTPGTTLPPPPPDLPQC >ONIVA01G42310.5 pep chromosome:AWHD00000000:1:35700776:35705512:1 gene:ONIVA01G42310 transcript:ONIVA01G42310.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVS6] MSCSWMIPVFAILAFVASAAQADVVEHTFNVATLSLPRICQPGNTSVTAVNGRVPGPQVEAREGDTVVIHVINDSPYNVTVHWHGVFQRGTPWADGPAMVTQCPIRPGHRYTYRFAVAGQEGTLWWHAHSSYMRATVYGALVIRPRRAGGYPFPTPYEEKTVLLGEWWNGDPVALESQSFSTGIPAPNADAYTINGMPGDSYLCPETTNRIAKFEVRRDKTYLLRIINAALNTAFFFKVAGHTFTVVAADASYTEPYATDVIVIAPGQTVDALMAADASPGCYHMAISSYQSAIPFPPRPAGFNGNTSTAVVEYVDATATTDAGSPVLPVMPKPNDTYTANQFYTSLTALIRPGRRTVPLTVDTRMLVTVGLGFSSCQPEQTQCNRSAPVVLANMNNVSFALPNTVSMLEALYRNTADGVYTRDFPDQPPVAFDYTSRGLLGNSPLASTGSPSTKVKTLRYNATVEMVLQNTALVGLESHPMHLHGFNFFVVAQGFGNNNGEAAGAGEFNLVNPQERNTVAVPTGGWAVIRFVADNPGMWAMHCHIDSHFAIGLAMVFEVESGPTPGTTLPPPPPDLPQC >ONIVA01G42300.1 pep chromosome:AWHD00000000:1:35693269:35694720:-1 gene:ONIVA01G42300 transcript:ONIVA01G42300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETAASPPPPPPNPPAADSAHSSPASMTDETHGAGAHPDESQPHRSSSSSSSPPVAVDGETRVPLPVRSLPQPSHPSPPPSGEDEDDVVITGQIAVGDAAGAAMEERVKGPWSSDEDTLLSNLVEKLGPRNWTLIARGIPGRSGKSCRLRWCNQLDPQVKRKPFTEEEDRIIMAAHAIHGNKWAAIAKLLVGRTDNAIKNHWNSTLRRRHCTGGRCTQGGVMKRAIPERPRSVSEEPWPLGNLNSLNVREAMEAPAQTVSESYVGAWQIGDQSCKTEVVDPPYLARPVAKVGAFKPYNVGPAQSSQKEMLSFATKFDSNLHPFKPENGVCKFVDPTSFAAEVPNKCGHGCCSSHGQLRKNSLLGPEFNEFEDHPPISDSSFASLVSEISSIAWMKSGLQSSDTLARSVPPA >ONIVA01G42290.1 pep chromosome:AWHD00000000:1:35684528:35686777:-1 gene:ONIVA01G42290 transcript:ONIVA01G42290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGEQALTIAPAAAADSSAEPARHVGGGGGGGGGLAEESSDEDKGSQRFSDAEDHSWRSHSRQGSVALEDFISTCASVRSGAGASAGDADADGGGRRKSCVSECSLGDVDLEAGLAEISKASPDTAERNCRICHLGLESAAAESGSGMFLGCSCKDDLSCAHKQCAETWFKIRGNRICEICGSTACNVVGIGDAEFIEQWNESSNTAASQTPSSEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >ONIVA01G42280.1 pep chromosome:AWHD00000000:1:35673053:35678236:1 gene:ONIVA01G42280 transcript:ONIVA01G42280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIAREATKLWRKVCAEIAVELQLLFEKWRLLLAGLVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYVSESVFTFIFISFLLWSFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKMATLPPPHNVLEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRLIKILAWLMAIIQSLLIIASRKHYSVDVVVAWYTVNLVVFFIDNKLPEMPDRTNGSSLLPVTVKDKDGRTKEELHKLEKDCKMKEEFHKLLNGNTVDSTDRRQRVQMNGKHGEDINHTLSDATPNGT >ONIVA01G42270.1 pep chromosome:AWHD00000000:1:35664643:35666751:1 gene:ONIVA01G42270 transcript:ONIVA01G42270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSFVAACRVAVAGQASDRSICKAIISCGVPSPFVFGLYHLAVERNLGFCSNLKENLARRLVGKSGFS >ONIVA01G42270.2 pep chromosome:AWHD00000000:1:35663888:35666597:1 gene:ONIVA01G42270 transcript:ONIVA01G42270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSPLPRPRPPPTDRSSHRIPLRQEAARPARPLRGDAPPRRWLFPVVFCCGVSCGRGRAGL >ONIVA01G42260.1 pep chromosome:AWHD00000000:1:35660566:35663365:1 gene:ONIVA01G42260 transcript:ONIVA01G42260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCTPGAAEAELWLPDEFLDDDFFTVEEKAAVAAKSESDEEDGLDGLARRMADLLAGEGGKGTGSKVEVMAGSPQSTLCGLAASGEDSPNGGASQVSSPPSSPLEQPPTDPWDVLSEAAGQVARLRMNSIPVPQKPHAHAGHGRFVPPARNPSPPVQAQKTAGVFQFAPNNNMLTQRQVQVAHFHLLKQRQLLKQQREQQLAAAAAAAWGTHRAGVGVGAPLGLNSSGWPPLQKAHQQASSAAGMRAVFLSPPGGKTERTGTGVFIPRQAGAPAEPKKKPSCSTVLLPARVVQALNLNVDDLGARPCFPGGFVLDHDALVSRNNAMLTTQKRVQHHLHAATAAPPTLAAAREVNLPQEWTY >ONIVA01G42250.1 pep chromosome:AWHD00000000:1:35646112:35647534:1 gene:ONIVA01G42250 transcript:ONIVA01G42250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSASRFRITHRSPERRRAGAERQWRLGGCRLATSRDGRSAGVAARETVVAVGRLGLGSSGGKGGEIARERGEEIRVSNNQNNATEIETSTGSRLPGRKRLLWSPIIFGVTTVKDCSVQYATRSAFSKCRGIIKAPEDEMTAYFQHGLVHAKRWIGYRFAVSSGRFGGLAGDCGTSRVQGASGFRKQLDLTTNFATQSVIERTVSAGATAGRSTKMEYSKLDLVQEWRFRLNSHLTATRGFTFS >ONIVA01G42240.1 pep chromosome:AWHD00000000:1:35641945:35645514:1 gene:ONIVA01G42240 transcript:ONIVA01G42240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKAPGDQTRGALAGHAVRRSQGRGFGYGHPLPSVVGLPVAEAKRRIKQCRPDVYIEVLSAIGIRRGHRYRRLAKCNKRHAPFLSRTDSASDGRSPVLLLHPFAIIR >ONIVA01G42230.1 pep chromosome:AWHD00000000:1:35640034:35641660:-1 gene:ONIVA01G42230 transcript:ONIVA01G42230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAGGERRIGVAMDFSPSSKKALQWAADNLLRKGDTLVLLHIRHHGRDEAKNVLWSHTGSPLIPLEELMETAVRQRYDIPSDEEVFDMLNAVSREKELSVVLKMYWGEPREKVCEAVGELNLESLVMGSRGLGQIQRILLGSVTNYVLSNASCPVTVVKAKIKGSRRPQRLSGPEVI >ONIVA01G42220.1 pep chromosome:AWHD00000000:1:35639751:35639957:1 gene:ONIVA01G42220 transcript:ONIVA01G42220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF 3339) [Source:Projected from Arabidopsis thaliana (AT5G40960) TAIR;Acc:AT5G40960] MKDWAPAIVASALFALLCPGGILQMPGRNRPVDFMNMKTSLASIVVHAVIYGLLLMLFLVILEAHLYI >ONIVA01G42210.1 pep chromosome:AWHD00000000:1:35629190:35633324:-1 gene:ONIVA01G42210 transcript:ONIVA01G42210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEEEREARSEAAFTDSADGSSSSSDAASADEWPVTLAAPPRKTAACGRVPGAEVVDSSKPHAQKRRAPSSEMEMMKERFAKLLLGEDMSGSGKGVCTALAIANAITNLCATIFGQLWRLEPLPPEKKAMWRREMGWLLCVSDHIVELVPTWQSFPDGTRLEVMTSRPRSDLYINLPALRKLDHMLIEILDSFRDPEFWYVEQGICAPDCDGSASFRAAFHRRDEKWWLPVPRVPPGGLRDKARKQLHHKRDCANQILKAALAINSNALAEMEVPESYLESLPKNGRATLGDIIYRYITSDHFSPECLLDCLDLSTEYQALEIANRVEASVYVWRRRIAAKPASVLGRATSGRSSWGMVKDMIIDTEKRELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNRDVGKSILESYSRVLESLASNIVARIDDLLNIDELNRHAEHFPQGDADCRIACNKAAVPPYQVPASGTPFVTAYATPSFSPAQLASPSKKERSPLGAGRRSYSNRGFGAKKALAIDLVNPEVMGVIISGGKMIDVSTTTEL >ONIVA01G42200.1 pep chromosome:AWHD00000000:1:35627894:35628348:-1 gene:ONIVA01G42200 transcript:ONIVA01G42200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVRCVWFLLVVAVVVAAGVETVRGAGECGRVPVDQVALKLAPCAAATQNPRAAVPPNCCAQVRSIGRNPKCLCAVMLSNTARSAGVKPAVAMTIPKRCAIANRPIGYKCGPYTLP >ONIVA01G42190.1 pep chromosome:AWHD00000000:1:35624118:35628403:1 gene:ONIVA01G42190 transcript:ONIVA01G42190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRSPAPPQPNVETKKSPPRAAAGGGGGGTAAVGGESPLSSLFHQPSHGAKGKEDIYSIFYKGQNGTAQAGTADGKSQWTPPKSRTVYTKDNKQSNQYDSVDTSCFGSSVNYGGRDYYGISGHKQSTESNDYKADKKDPSTDSHGDWWQGSFYY >ONIVA01G42180.1 pep chromosome:AWHD00000000:1:35618863:35623835:1 gene:ONIVA01G42180 transcript:ONIVA01G42180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGRRRPARGKEEATIRPWLNIVLGTGNGIILVGDLGTFLLITEMERKNPLPQQESEPHVEGNKSPAPTSIVDDATNTTEDDSALRDLLYPQSLERPADAPSNRWT >ONIVA01G42170.1 pep chromosome:AWHD00000000:1:35612453:35614561:-1 gene:ONIVA01G42170 transcript:ONIVA01G42170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFFLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVFDITKRQTFDNVQRWLRELRDHADANIVVMMVGNKSDLNHLRSVPEEDGHAFSEKEGLSFLETSALEAINVEKAFHTVLSEIHQIVSKKALAAQESASTNGRSMQGTTINVAEAPAATKELQNQIG >ONIVA01G42160.1 pep chromosome:AWHD00000000:1:35610980:35611594:-1 gene:ONIVA01G42160 transcript:ONIVA01G42160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGAAALTCVSRRRRLTCVRRRRHCRPPASLGVAAVIRLHLPAPPPSNASLGAVALTCVRRRRRCRPPASADAATLTCVRRRPHPPVAASVAVVPPPSLASSAVALVHRGRSRRRRRVRRNCGPRLPRPSPLPSLASSTVALVHRGRSSRRRCVRRSCDHHPATAITLSPSLASALVVALTSHDRGRAAAVVEPSSSPASVA >ONIVA01G42150.1 pep chromosome:AWHD00000000:1:35606427:35607973:-1 gene:ONIVA01G42150 transcript:ONIVA01G42150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRSPPDRAQEGPACQGVQAHRDYSSCEGIDRERQGRREEEGHLRFFFVVRQVDAMEKD >ONIVA01G42140.1 pep chromosome:AWHD00000000:1:35588963:35592837:-1 gene:ONIVA01G42140 transcript:ONIVA01G42140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGGGYGGGQGGGAEHHHHHHGHAGHLLLHHHPQHVAGAAVASAAAAAGGQMYHVPQHSRREKLRFPPDAGDSPPPHGHGHGHAPQQQQQHGSWPPPPAFYSYASSSSSYSPHSPTLAQAQLVAHGLAPPLPQIPTQNFSLSLSSASSNPPPPQAQPRRQLGGLAQATGPFGPFTGYAAVLGRSRFLGPAEKLFEEICDVGGAASHVDRTISDEGLLDADPMDGVDHDVVDHDLGGADRAAADAGPISGAEQQWKKTKLISMMEEVCKRYRQYYQQVQAVMASFETVAGFSNAAPFAALALRAMAKHFKCLKSMILNQLRNTSNKVAVKDGLNKEIAVFGLAGGSSGGAGLQRANSASAFGQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQMHKHSVVDKGQHSVHHQAQHSSQCSGNPSVPSDSHPGQSSSITRNHNTAASQGFPDELSQMSQSIQGQVSFAYNGLTSQHNIASPHHQHQQVGGVGIGGGNGGVSLTLGLHQNNRVCIAEPLPAALPANLAHRFGLEEVSDAYVMSSFGGQDRHFGKEIGGHLLHDFVG >ONIVA01G42130.1 pep chromosome:AWHD00000000:1:35584199:35586181:-1 gene:ONIVA01G42130 transcript:ONIVA01G42130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46790) TAIR;Acc:AT3G46790] MSAPAPASPPASLFHLSRPAAGPRARPRVSRSRCVASLASQPPTPPPANANHLVQTLCASGRLARAAALLQGLPAPTQRTYKSVLLAAARAGDAALAAAVHRRLKADPVFRSDPFLSTRLIDAYASLGELPAARQVFDEAPVKSIFVWNALLKALALADHGEEALARLADMGRLRVPVDSYSYAHGLKACIAASTSHVPASALVREIHAHAVRRGYGLHTHVATTLIDCYAKLGIVSYAESVFATMPERNVVSWTAMIGCYAKNERPGDAILLFQEMVASDEDLVPNSVTIVCILHAFAGVNALGQGKLLHAYILRRGFDSLVSVLNALMAMYMKCGCLEAGRHIFDLIGHRKDVVSWNTLISGYGMHGFGHEAVQVFEDMTQMGVSPNIITFISVLGACSHAGLVDEGKRLFESMVDYNVTPRAEHYACMVDLLGRAGHLDEAVELIQGMHIRPSPQVWGSLLGACRIHRHVEYAEMACSQLFDLEPRNAGNYVLLADIYARAKLHSEVGVLKDLLEEHALEKVPGCSWIEVKKRLHMFVSVDNKNPQIEELQALIGEFVTQMKNDGYVPDTGAVLYDIEEEEKEKILLGHSEKLAVAFGLINTGRGEVIRITKNLRLCEDCHSVTKFISKYAEREIIVRDVNRFHHFRDGICSCGDYW >ONIVA01G42120.1 pep chromosome:AWHD00000000:1:35574371:35585626:1 gene:ONIVA01G42120 transcript:ONIVA01G42120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVQ6] MGRGGIGGAGLVAAVAKADVENTDSTRGFVKDVKRIIIKVGTAVVTGPNGRLAMGRLGALCEQVKQLNFEGYEVILVTSGAVGVGRQRLKYRKLVNSSFADLQNPQMDMDGKACAAVGQSVLMAIYDTLFSQLDVTSSQLLVTDRDFMDPSFGNQLRETVNSLLDLKVIPVFNENDAISTRRQPYEDSSGIFWDNDSLARLLAQELKADLLIMLSDVEGLYSGPPSDPQSKIIHTYVHEQHGKLISFGEKSRVGRGGMQAKVAAAFTASSKGIPVVIASGFAIDSIIKVMRGEKIGTLFHREANQWGCSKEATAREMAVAARDCSRHLQKLSSEERKKILLDIADALEANEDLITSENQADLDLAQDIGYDKSLVARMTIKPGKIKSLAGSIREIADMEDPISHTLKRTEVAKDLVFEKTYCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITGAIPDVVGKKLIGLVKNKDEIADLLKKYSVQLDDVIDLVIPRGSNKLVSQIKAATKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLVHKDLNRTEGLDDLLVELEKEGVVIYGGPVAHDTLKLPKVDSFHHEYNSMACTLEFVDDVQSAIDHINRYGSAHTDCIITTDGKAAETFLQQVDSAAVFHNASTRFCDGARFGLGAEVKWLVKELL >ONIVA01G42110.1 pep chromosome:AWHD00000000:1:35563416:35566184:-1 gene:ONIVA01G42110 transcript:ONIVA01G42110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVQ4] MDWSSVTAEDLVDALREVDWSTPPRPVSEFFSRFTAPRSYSKWTSRLKCNLYYYRTNYFILIMFILGMGFLRKPVSILAAFSTGLSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPLRPVIRGRPSSKRSIHICGRPRWVFVVLFSVVSCFLWMTSCSLLTVLWALIVGLLATLLHASFRTPNLKARLNTFREEFRAISPSKSSS >ONIVA01G42110.2 pep chromosome:AWHD00000000:1:35563981:35566184:-1 gene:ONIVA01G42110 transcript:ONIVA01G42110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVQ4] MDWSSVTAEDLVDALREVDWSTPPRPVSEFFSRFTAPRSYSKWTSRLKCNLYYYRTNYFILIMFILGMGFLRKPVSILAAFSTGLSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPLRPVIRGRPSSKRSIHICGRPRWVFVVLFSVVSCFLWMTSCSLLTVLWALIVGLLATLLHASFRTPNLKARLNTFREEFRAVWRNYSEF >ONIVA01G42100.1 pep chromosome:AWHD00000000:1:35561337:35564411:1 gene:ONIVA01G42100 transcript:ONIVA01G42100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFTLNTGARIPSVGLGTYKAGTGVVADVVSAAVKAGYRHIDCAPLYKNEQEIGGALKKLFDDGVVKREDLFITSKIWCSDLAPEDVPLAMDSTLKDLQLDYVDLYLIHWPFQIKKGTELSPENFVKPDIPSTWRAMEQLYDSGKARAIGVSNFSSKKLGDLLCVARVPPAVDQVECHPGWQQAKLRAFCHTSGVHLSAYAPLGRMKGIAVDSVLPSVAEMLGRTPAQVALRWGLQQGQSVLPKSVSEARLKENMDLFGWSIPEELLSKSEEMDLLTPRVSTKPTRSFLMEKSKRMEITYVKQNVPLL >ONIVA01G42090.1 pep chromosome:AWHD00000000:1:35547360:35558367:1 gene:ONIVA01G42090 transcript:ONIVA01G42090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGNAVYAAVKAGYRHIDCASAYNNEKEVGLALKKLFEEGVVKREDLFITSKLWCDHHAPEDVPEALDATLNDLQLEYLDLYLIHWPFRTKKGSSIGKPESYLPPDIPSTWAAMEKLYDSGKSRAIGVSNFSSKKLGDLLAAARVPPAVDQVECHPGWQQMKLHNFCQSTGIHLSAYSPLGSPGSTFMNGNVLKEPIIISIAEKLGKTPAQVALRWNIQMGHSVLPKSVSEERIKQNLDVYDWSIPEDLLAKFSEIKQVRLLRGNFIVNPQSVYKTHEELWDGEI >ONIVA01G42090.2 pep chromosome:AWHD00000000:1:35554586:35558367:1 gene:ONIVA01G42090 transcript:ONIVA01G42090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGNAVYAAVKAGYRHIDCASAYNNEKEVGLALKKLFEEGVVKREDLFITSKLWCDHHAPEDVPEALDATLNDLQLEYLDLYLIHWPFRTKKGSSIGKPESYLPPDIPSTWAAMEKLYDSGKSRAIGVSNFSSKKLGDLLAAARVPPAVDQVECHPGWQQMKLHNFCQSTGIHLSAYSPLGSPGSTFMNGNVLKEPIIISIAEKLGKTPAQVALRWNIQMGHSVLPKSVSEERIKQNLDVYDWSIPEDLLAKFSEIKQVRLLRGNFIVNPQSVYKTHEELWDGEI >ONIVA01G42080.1 pep chromosome:AWHD00000000:1:35542704:35545127:-1 gene:ONIVA01G42080 transcript:ONIVA01G42080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVQ0] MRELAGSPGTWSGLSLRVGQLVFAAASVCATASALGFAAYTAFCYLIASMGLQALWSLGLACLDCYALKFKKDLHSAVLLSLFVVGDWVTAILSFAASCSAAGVVVLFDRDIYACRNPQLPCGRFELAIACAFLSWAFSATSALVMFWLLASL >ONIVA01G42070.1 pep chromosome:AWHD00000000:1:35539837:35541929:1 gene:ONIVA01G42070 transcript:ONIVA01G42070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEALKEVGVTEVVLAINYRPEVMLNFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIKFHKSHGGEATIMVTKVDEPSKYGVVVMEEVTGMVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIASDAKLFALVLPGFWMDVGQPRDYITGLRLYLDSLRKRSTNRLATGAHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVHIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVGDEVYTNGGVVLPHKEIKSSILKPEIVM >ONIVA01G42060.1 pep chromosome:AWHD00000000:1:35535718:35537102:1 gene:ONIVA01G42060 transcript:ONIVA01G42060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIPFIFKAVAQYKEGGHVSLSDMISDKPSPASYVLLPGDSDGRHADDKTQPLRLQASTGSEEEVTTCTARASHLRIVASGLARFGAL >ONIVA01G42050.1 pep chromosome:AWHD00000000:1:35529817:35533642:-1 gene:ONIVA01G42050 transcript:ONIVA01G42050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit A [Source:Projected from Arabidopsis thaliana (AT1G17440) TAIR;Acc:AT1G17440] MADPPSAAATASPQPDQLAAAAVSTPQNPNPNPLLSPQIPPSPTVSDLSAISSPQLDPSAAGGGAMDYPPRPPQMQAPSPGQAAAGAGGFGQIHRSGSGSRLAAVGQLPQYAAAAARMYGSQVNFSGGGGQVGQQQQQLAARAAMLSQGQIGMLQGQGNAASAAHYGLQSQMMAQPRQKGMVQGAQFNTANAAQALQGMQSMGVMGGMRGNGTIPYNQQRFAHAQAQLRPQQTSQQGTLSPQKVVGQGLTRTASIAALNPQLPGSSTNGPMAQMSLPQKQQQAAWLKQMQSSLGSPASPQQFQHQQRMLLIHQLQQQSGLNQHQIAQTQQQHPHLNTQLLQQQHILQQLQQQQQSPRISASGSQKSMNLTGSQPGTPLSGGTMTGGSASQGAEVTNQLLGKRKIQDLVSQVDPLGKVDPEVEDLLLEIADDFIDSVTAFACTLAKHRKSSVLEAKDVLLHLEKNWHLSVPGFLREDKNPQRHPVKVSVDPQQPECDAAGIRSTGNKLVINNSVANHQTRPPVAEPSPMPTMGPLSKVPRF >ONIVA01G42040.1 pep chromosome:AWHD00000000:1:35522797:35530628:1 gene:ONIVA01G42040 transcript:ONIVA01G42040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGGGGGGGVGVVGAGGEEQMEMEEDGAGLRARVAAGRKERVVLMWGYLPGVSPQRSPLLGPVPVRLPAAAGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTAGKHEETPEAFPLPSDVAIMKGMSIHGVGKNVFQQEGSFLTNLQVELWKKMKDRVRLPLTKVVMITAYLVSFAPKKYNKGNSITVSPRSQVSRTSSGAASGPSESRGTDDSTKRRRLSSTKHAPESSTSSDENLSAPPCVVTFNTGVKIMAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYSKDRPAAMKGNKSAEAQISKVMGNCVKAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTDDVLSPTCVSSILGVKMQDIGAGLWHTTVPKLVDASSLENKNARAVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDAYHPLNVSCGWWHTLVLAESPT >ONIVA01G42040.2 pep chromosome:AWHD00000000:1:35522797:35530628:1 gene:ONIVA01G42040 transcript:ONIVA01G42040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGGGGGGGVGVVGAGGEEQMEMEEDGAGLRARVAAGRKERVVLMWGYLPGVSPQRSPLLGPVPVRLPAAAGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTAGKHEETPEAFPLPSDVAIVRADAGWAHCVAITDEGDVYTWGWKECVPTGRVISDQSSGGTLEKDERQSAIAADQGNSITVSPRSQVSRTSSGAASGPSESRGTDDSTKRRRLSSTKHAPESSTSSDENLSAPPCVVTFNTGVKIMAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYSKDRPAAMKGNKSAEAQISKVMGNCVKAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTDDVLSPTCVSSILGVKMQDIGAGLWHTTVPKLVDASSLENKNARAVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDAYHPLNVSCGWWHTLVLAESPT >ONIVA01G42040.3 pep chromosome:AWHD00000000:1:35522797:35530628:1 gene:ONIVA01G42040 transcript:ONIVA01G42040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGGGGGGGVGVVGAGGEEQMEMEEDGAGLRARVAAGRKERVVLMWGYLPGVSPQRSPLLGPVPVRLPAAAGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTAGKHEETPEAFPLPSDVAIVRADAGWAHCVAITDEGDVYTWGWKECVPTGRVISDQSSGGTLEKDERQSAIAADQVSPRSQVSRTSSGAASGPSESRGTDDSTKRRRLSSTKHAPESSTSSDENLSAPPCVVTFNTGVKIMAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYSKDRPAAMKGNKSAEAQISKVMGNCVKAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTDDVLSPTCVSSILGVKMQDIGAGLWHTTVPKLVDASSLENKNARAVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDAYHPLNVSCGWWHTLVLAESPT >ONIVA01G42030.1 pep chromosome:AWHD00000000:1:35511259:35517122:-1 gene:ONIVA01G42030 transcript:ONIVA01G42030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77260) TAIR;Acc:AT1G77260] MKPLGAHGADLLCGPRVLRAVALAFACAAAFLVGYHWSDSSPRLLFFSSTASSSSSLLLSTGSPSVAVSPNANLSFDPSLIPTPAASAPPASPTANASPPPSLPPPPPPLRTPPPPARLGIVGEDGAMRDDFDVGGGGANDTDLAATDEALPQEPTDAGPAVGSRVRIGRFPVCPESMREYIPCLDNEEEIRRLPSTERGERFERHCPAKDKGLSCLVPAPKGYKAPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWISKAKDKFRFPGGGTQFIHGANQYLDQISQMVPDIAFGSHTRVALDVGCGVASFGAYLLSRDVLTLSIAPKDVHENQIQFALERGVPAMAAAFATHRLLYPSQAFDLIHCSRCRINWTHDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEAQQEAWKEMEDLTARLCWELVKKEGYIAMWRKPLNNSCYMNRDPGVKPALCDPDDNPDDVWYVNLKACISRLPENGDGPTPFPWPARLMEPPKRLEGVEMDAHSSKKELFKAETKFWDDIVEGYIRVFKWRKFKLRNVLDMRAGFGGFAAALINRKLDCWVMNVVPVSEPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDLLHAFSLFSKEQKRCNISSILLEMDRILRPGGRAYIRDLKQVVQDVKEITTAMGWRSIMRDTAEGPYASRKVLMCDKPMIGASAEAKAVTCMGQIYGLERQWVLRKPNRRTEPNRRRCGRVVRVVSGPLSWAKQEN >ONIVA01G42020.1 pep chromosome:AWHD00000000:1:35503031:35508799:1 gene:ONIVA01G42020 transcript:ONIVA01G42020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAASTSSRFLRTTRLHGSSTSTTPAEPAASAMSIWRVPNYDVLEKCTEDILSLIKPVEGDRNKRIYAIQELADTIYSAGALRGASVKPFGSFVSQLYAKSGDLDVSVELFNALNLPISKRKKQDTLREVRRALQKRGIARHMEFIPNARVPVLQYVSNQYGISCDISISNYPGRIISKIFYWINTLDDRFGDMVLLVKEWAKAQNINDPKNGTLNSYSLCLLVLFHFQTCEPAILPPLKEIYEGNIMEDISDKISLWEYGLPVMDRRAYYNEKPLDEVCSINIERFWRQNMGQRNQSSLSHLLASFFHKFFRIDALSDKVISTYTGRLERIQDNPRWMDKSYSLFVEDPFEKPDNAARAVGSFEFQDIVNAFSNASNKFVSDAHALTDRNGLLSLLCTPDVGSKLGGRASASRYTNTLPARGGSRSGQSPHGYTTNRQTAVHYQNNNHPQAYNTQRQTTVHHQNQNNQQVYAAGRQTGGQYQNTQRSKENTSYRHSNWRAATTWHEPVCG >ONIVA01G42010.1 pep chromosome:AWHD00000000:1:35496062:35502003:1 gene:ONIVA01G42010 transcript:ONIVA01G42010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHINYDVVEQCVKNILSLIKPVEDDRRKRLSAIQELSNSIPKVAALRGAVFKPFGSFVSNLYPNSGDLDISVQLPNNSIISKKKKQYVLRELMRVLQNRGVAGYVQFIPFARVPVLQYVSNTFGISCDISVNNYPGRIKSKIFCWISSLDVRFGDMVLLIKEWAKAQNINDPKTGTLNSYSLCLLVLFHFQTCEPAILPPLKEIYEGNIEEEMTVYDEEHLDEVCSENLAKFQSKNKERRNESSLCHLLATFFHKFSSITLSGDVISTYTGQFKRIEENPSWMARSYSLFVEDPIERPDNAARAVGLKGLERIAGAFTAANRKFASLQHAKRNDLLEMLCTPAVGSKLGIRVSQEVMANSCTNTPRRNRHHGRPTEQVSARPSDNQNHRGAREFTVGRQVHNPPRARQSTGAYRALNSQHVIPALSQPAGIYQTVGPYQSNGHPQVYTRDVQTVGHQNYRHPMGHTTQLQTVEPYQSNNSQPQVHATSIQAPRQYQNYTRQRPARNYNHQVLHATATETTARNYNHQLLHAAATETTARNYNHQSLHATATETEGSYRNQQQRQHTSGRQTNRNAVNTRYVPVVGRPQNVACESRAQDSSGRGTWQQREPRAGSTYQNR >ONIVA01G42010.2 pep chromosome:AWHD00000000:1:35497244:35502003:1 gene:ONIVA01G42010 transcript:ONIVA01G42010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDFSMTEHINYDVVEQCVKNILSLIKPVEDDRRKRLSAIQELSNSIPKVAALRGAVFKPFGSFVSNLYPNSGDLDISVQLPNNSIISKKKKQYVLRELMRVLQNRGVAGYVQFIPFARVPVLQYVSNTFGISCDISVNNYPGRIKSKIFCWISSLDVRFGDMVLLIKEWAKAQNINDPKTGTLNSYSLCLLVLFHFQTCEPAILPPLKEIYEGNIEEEMTVYDEEHLDEVCSENLAKFQSKNKERRNESSLCHLLATFFHKFSSITLSGDVISTYTGQFKRIEENPSWMARSYSLFVEDPIERPDNAARAVGLKGLERIAGAFTAANRKFASLQHAKRNDLLEMLCTPAVGSKLGIRVSQEVMANSCTNTPRRNRHHGRPTEQVSARPSDNQNHRGAREFTVGRQVHNPPRARQSTGAYRALNSQHVIPALSQPAGIYQTVGPYQSNGHPQVYTRDVQTVGHQNYRHPMGHTTQLQTVEPYQSNNSQPQVHATSIQAPRQYQNYTRQRPARNYNHQVLHATATETTARNYNHQLLHAAATETTARNYNHQSLHATATETEGSYRNQQQRQHTSGRQTNRNAVNTRYVPVVGRPQNVACESRAQDSSGRGTWQQREPRAGSTYQNR >ONIVA01G42010.3 pep chromosome:AWHD00000000:1:35496062:35502003:1 gene:ONIVA01G42010 transcript:ONIVA01G42010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHINYDVVEQCVKNILSLIKPVEDDRRKRLSAIQELSNSIPKVAALRGAVFKPFGSFVSNLYPNSGDLDISVQLPNNSIISKKKKQYVLRELMRVLQNRGVAGYVQFIPFARVPVLQYVSNTFGISCDISVNNYPGRIKSKIFCWISSLDVRFGDMVLLIKEWAKAQNINDPKTGTLNSYSLCLLVLFHFQTCEPAILPPLKEIYEGNIEEGIAEMTVYDEEHLDEVEDPIERPDNAARAVGLKGLERIAGAFTAANRKFASLQHAKRNDLLEMLCTPAVGSKLGIRVSQEVMANSCTNTPRRNRHHGRPTEQVSARPSDNQNHRGAREFTVGRQVHNPPRARQSTGAYRALNSQHVIPALSQPAGIYQTVGPYQSNGHPQVYTRDVQTVGHQNYRHPMGHTTQLQTVEPYQSNNSQPQVHATSIQAPRQYQNYTRQRPARNYNHQVLHATATETTARNYNHQLLHAAATETTARNYNHQSLHATATETEGSYRNQQQRQHTSGRQTNRNAVNTRYVPVVGRPQNVACESRAQDSSGRGTWQQREPRAGSTYQNR >ONIVA01G42010.4 pep chromosome:AWHD00000000:1:35497244:35502003:1 gene:ONIVA01G42010 transcript:ONIVA01G42010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDFSMTEHINYDVVEQCVKNILSLIKPVEDDRRKRLSAIQELSNSIPKVAALRGAVFKPFGSFVSNLYPNSGDLDISVQLPNNSIISKKKKQYVLRELMRVLQNRGVAGYVQFIPFARVPVLQYVSNTFGISCDISVNNYPGRIKSKIFCWISSLDVRFGDMVLLIKEWAKAQNINDPKTGTLNSYSLCLLVLFHFQTCEPAILPPLKEIYEGNIEEGIAEMTVYDEEHLDEVEDPIERPDNAARAVGLKGLERIAGAFTAANRKFASLQHAKRNDLLEMLCTPAVGSKLGIRVSQEVMANSCTNTPRRNRHHGRPTEQVSARPSDNQNHRGAREFTVGRQVHNPPRARQSTGAYRALNSQHVIPALSQPAGIYQTVGPYQSNGHPQVYTRDVQTVGHQNYRHPMGHTTQLQTVEPYQSNNSQPQVHATSIQAPRQYQNYTRQRPARNYNHQVLHATATETTARNYNHQLLHAAATETTARNYNHQSLHATATETEGSYRNQQQRQHTSGRQTNRNAVNTRYVPVVGRPQNVACESRAQDSSGRGTWQQREPRAGSTYQNR >ONIVA01G42000.1 pep chromosome:AWHD00000000:1:35487256:35491084:1 gene:ONIVA01G42000 transcript:ONIVA01G42000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAMSPPATATAAVAAAVSASAAAAVSSAIDRRRRRMEMRRIRIASDLELQAGEDGRPGKRQRLARTASGAPRPDEDSASERPSCGRTEEFPRYGVTAVCGRRREMEDAVSIRPDFLPASGKFHFYGVFDGHGCSHVATTCQDRMHEIVAEEHNKGASGEVAPWRDVMEKSFARMDGEVGNRASTRSDDEPACPCEQQTPSRRDHAGSTAVVAVVSPTQVVVANAGDSRAVISRAGVPVALSVDHKPDRPDELERIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVTSEPEVTVTERTDDDECLILASDGLWDVVTNEMACEVVRACFHNNGPPAPAARPSGVPSSAEAAETENGGAASVKGISKAESSDKACSDAAMLLTKLALARRSADNVSVVVVDLRRGL >ONIVA01G42000.2 pep chromosome:AWHD00000000:1:35487256:35491084:1 gene:ONIVA01G42000 transcript:ONIVA01G42000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAMSPPATATAAVAAAVSASAAAAVSSAIDRRRRRMEMRRIRIASDLELQAGEDGRPGKRQRLARTASGAPRPDEDSASERPSCGRTEEFPRYGVTAVCGRRREMEDAVSIRPDFLPASGKFHFYGVFDGHGCSHVATTCQDRMHEIVAEEHNKGASGEVAPWRDVMEKSFARMDGEVGNRASTRSDDEPACPCEQQTPSRRDHAGSTAVVAVVSPTQVVVANAGDSRAVISRAGVPVALSVDHKVRICSHHRAG >ONIVA01G41990.1 pep chromosome:AWHD00000000:1:35469754:35478081:-1 gene:ONIVA01G41990 transcript:ONIVA01G41990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRFVRICPRKRPHDAIGIMTAIGPVQTVSCGGVMKAVLNVHITNGSETAVVALWGAHATQFHAENLQQQPDHGPVVILFVGLTVKFRTLALQGSTVCRWYPNAPIQETISLISSLHGNPQVVRAIEPNFGQKEAVHVSTHQTNHDAKTAKFTFFGEIGYQLIGIPVLNLVASVQGARDIVPSEIKAVFGKQYVIRTSVSRGSLQRNQISYQVDSLMLASLDAAHTSTLPSHDASMRHFLNMAHHQLMQLNRTR >ONIVA01G41980.1 pep chromosome:AWHD00000000:1:35468999:35469733:-1 gene:ONIVA01G41980 transcript:ONIVA01G41980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSTPLVLPDPKVLAMAQPDKKHKSSVIDEDLAQEGSSPREHDCQKASVVRALFVDKLPPQPPKLGI >ONIVA01G41970.1 pep chromosome:AWHD00000000:1:35467104:35468765:1 gene:ONIVA01G41970 transcript:ONIVA01G41970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYNWLLLYAEWLRRRCYCFVRSCCCKRDYPVGMEEPAQEQRRLMQIRSKTLLSEILRGIGANKARYSCRAVADGYIGFAEATVYGARGVGEPFVVRAQGISAIRPCDAEESAAHALISVIKKECSVEFDDTNWFDMNHYHVETERLKRALGRARKKCNTLAKKARLLEIGWDRALDSLGSVNQICDDICSSVLGGPDADDLSHHEVGVLYDVHRLSEYAESFVDEGLANLTSVAARYI >ONIVA01G41960.1 pep chromosome:AWHD00000000:1:35459013:35459763:-1 gene:ONIVA01G41960 transcript:ONIVA01G41960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYMLPLREEGPFHVCRCRHIPMPTFTKLEFHVGDILELASDGRLEVESLHWPLPSVYGSETETISFTAFTVPARVYVDQTSKAD >ONIVA01G41950.1 pep chromosome:AWHD00000000:1:35448535:35449950:-1 gene:ONIVA01G41950 transcript:ONIVA01G41950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMPVDLRLPSGPQAALGILAFEAAAAMSKLLSLHRSLSEQEVSRLRSDTMRSPGVAYLNSTDQAFLLRLACAELVVSLDAAAASVARLGLRCGIDFGGVYASLKAGAPDARLDPLVAKGLRVKAKKMERLVASTARLCSEMEALDELEASERKLAFRGWNRLSGPIPMQPVAPSAAGDSPGADSLQQDLKAQRIKVRRLKEESLWNQSYEKAVGLMARAACAVFSRICTIFGAFVPGLPPPLPSAATDSVQTRLSKLLNPRAVRAKASSGPITRRDGPSRVHPPVISSSCPIIGLRPSGQKAAIDWRKLLDAPASTVGGAGLDQQYANVIVSAEQLLQMEAEGRQEEANAERAEMYEMLPAKLRAAVRSKLRDWWRDPGPLDAGLAQGWKDAVDRIMAWLGPMARDTVQWQAERNMDRTRRFDGGARVYALQTLRWADKEKAEAALVEVLVALSCVCWYEERRRGSVRLL >ONIVA01G41940.1 pep chromosome:AWHD00000000:1:35438729:35441260:1 gene:ONIVA01G41940 transcript:ONIVA01G41940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARGGRPHDADAPLPSSSSSSSSSSSSSPSRRMVDAAAASGDACGRVTEWETGLPAPGEMTPVSHQLVPPALAAAFGIDLAAVGVLLPSPSVDSPVSHLFFPVDEDDDEDEEGEGEGGNDDAPAAAAAGGGGGRCGKKARMVWTPELHHRFVEAVAHLGEKGAVPKAIVRLMNVDGLTRENVASHLQKYRLYLKRTRVAATPPPSPPPPPPPPPPLPPAMYVPCFAAKPPLDAANRSDSPPSRTSDATTKQNQVKPIKERAVSNAA >ONIVA01G41940.2 pep chromosome:AWHD00000000:1:35438729:35441095:1 gene:ONIVA01G41940 transcript:ONIVA01G41940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARGGRPHDADAPLPSSSSSSSSSSSSSPSRRMVDAAAASGDACGRVTEWETGLPAPGEMTPVSHQLVPPALAAAFGIDLAAVGVLLPSPSVDSPVSHLFFPVDEDDDEDEEGEGEGGNDDAPAAAAAGGGGGRCGKKARMVWTPELHHRFVEAVAHLGEKGAVPKAIVRLMNVDGLTRENVASHLQKYRLYLKRTRVAATPPPSPPPPPPPPPPLPPAMYVPCFAAKPPLDAANRSDSPPSRTSDATTKQCYSF >ONIVA01G41940.3 pep chromosome:AWHD00000000:1:35438729:35441043:1 gene:ONIVA01G41940 transcript:ONIVA01G41940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARGGRPHDADAPLPSSSSSSSSSSSSSPSRRMVDAAAASGDACGRVTEWETGLPAPGEMTPVSHQLVPPALAAAFGIDLAAVGVLLPSPSVDSPVSHLFFPVDEDDDEDEEGEGEGGNDDAPAAAAAGGGGGRCGKKARMVWTPELHHRFVEAVAHLGEKGAVPKAIVRLMNVDGLTRENVASHLQKYRLYLKRTRVAATPPPSPPPPPPPPPPLPPAMYVPCFAAKPPLDAANRSDSPPSRTSDATTKHFKFMQRAVSNAA >ONIVA01G41930.1 pep chromosome:AWHD00000000:1:35438435:35438725:1 gene:ONIVA01G41930 transcript:ONIVA01G41930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWMAASRFVSFRGNVDGRHAQRKPVPVSSYFKDASACARATAPTDSLHRQPTPLARPLPRIASSIHPAVVRGANLLGPATAAEQRERPPGPTRT >ONIVA01G41920.1 pep chromosome:AWHD00000000:1:35430316:35437923:1 gene:ONIVA01G41920 transcript:ONIVA01G41920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASAVAMRGEMGGGGGDEGELEDELDALLGTGGAGARRRGEDAGERERELNMFRSGSAPPTIEGSLNAISGLLRGGGEAAVTVAAIPDAETLNGHGGLLSEDELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKAGVVGGIGDRRKVSPEETGHEPTVGRPVFSQNVGFDQEEAARNDVGGAAEWVDGGGDGLIGLSLGRQRSFADILQDNIGRRTPASEHPSRAVSRNSFLDNQELLNSADSQYSMHNDILEAQRAVGNVQNVSGLPSINASTSQTFASVLGSSISRNATPDSHYVPRVPSPGLPPVGVRINSNEKKLNCSSSFNTASSKAVEPDDILAAISNMNMSKDGTLGDSNSISQSKFQSEISDHQNISLDPKALQVNKNQHSLMLEADTDYLGIPPISQPSNPSFSDINKNVSGLANIRNSTNTRIDGHAEMQRSSTLSTRSYQKSPTSSNASPGGSPAQHQNIDNINSAFLNYGLGGYPLSPGLPSMMMNCMGSGNMPPLFESAAAASAIASLGADSRNLGNNILSSPTLSLSDVHNLGRTGNQTATGLLSPLSDPFYVQYLKATQYAAQGTANCSDPSLERGFMGSQYGDLTAVQKAYIEALLQQQKQYGMPLGKSTTSNHGYYGNLAFGMGMAYPGSPLGSPVASPSGPGSPLRLSERNMRFPSNLRNLGGWNSDPSGYMNDNFPSSLLDEFKSNKARSFELAEIASHVVEFSADQYGSRFIQQKLETATVEEKDMVFKEIMPQALSLMTDVFGNYVVQKFFEHGSAAQRRELADQLFGHVLALSLQMYGCRVIQKAIEVVDLDQKTKMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGQVVPLSTHPYGCRVIQRVLEHCTYPKTQEIVMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPAEREVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRVKVHLSALKKYTYGKHIVARVEKLVAAGERRIGLQAQYPS >ONIVA01G41910.1 pep chromosome:AWHD00000000:1:35417702:35422434:-1 gene:ONIVA01G41910 transcript:ONIVA01G41910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHAYNRLGSLGGGGGGSGAVPSPPSSPRRGAGRRSSGKGGSARAGAGAGAAGAVRGGGAVRRAARVVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSLYRSPQLYARLRADMDADNATDAICNAVAVAGFLNATLVIPNFHYHSIWRDPSKFSDIYDEEHFVQRLKNDVRVVDKVPEFIMERFGHNLSNVFNFKIKAWSSIRYYKEAVLPKLIEERLIRISPFANRLSFDAPSAVQRLRCLANFEALKFSKPITTLSDILVSRMREKSAENNGKYVAVHLRFEEDMVAFSCCVFDGGEKEKKELDAARERGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTAIYLASGRIYKSEKNMAPLLEMFPLLQTKETLASDEELAPFKNFSSRMAAIDYTVCVHSEVFVTTQGGNFPHFLLGHRRYIYGGHSKTIKPDKRRLAILFDSPRIGYAITVAHELSIKLPSGSGNLGRSCIIRC >ONIVA01G41900.1 pep chromosome:AWHD00000000:1:35414022:35415762:-1 gene:ONIVA01G41900 transcript:ONIVA01G41900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAGALPVPGGLALGVVLLLVLAVLPSRAAGVNVTAALAAFPSFADFARLLESSPVAGELAARSSLTLLAVPNNNLPRSPSAFAAASGADIADVLRYHVLLEYLSPSDLARLPASGKLVTTLFQTTGRAPSDLGAVNLTVGGNSTVVVRSPAPFPGSSATVLGAVTAVPYNLSVLAVGGLIVPSGLDVAASDSRPAGGVNITHVLADARGFNVAASMLEASGVADEFTADERGAGITVFVPTDDAFADLPATDRLQSLPADRKATVLRFHVLHSYYPLGSLESIVNPVQPTLATERFEAGQFTLNITRVNGSVAIDTGIVQASITRTVFDQNPVAVFAVSKVLLPKEMFGRGGADSDVMAPPPDAMAPDAAENVRTPPTRLSSPPALRGGADRLEVLESGFWRLIDRW >ONIVA01G41890.1 pep chromosome:AWHD00000000:1:35406366:35408660:1 gene:ONIVA01G41890 transcript:ONIVA01G41890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSPFSFHELRRQASSYLTDKIRSARLALTDVTPTQLMTEEATSGDASPPNVKTMSLIARQAFEIDEYVRISDILHKRFARFDRRQWREAYKALLLLEHLLTHGPRSVALEFQRDREVIEQMVSFQHIDEKGFNWGMTVKSKSERVLRLLERGPFLEDERERARKIAHEIKGFGSFNLSSAHASGSAAAALRAAAMEHQCYGRSNSRYEGRWRREACVDDGDKENLLVVSMAEAEAEAAAEEPHHYHHPFYGFGQQRPEAMLLLSQ >ONIVA01G41880.1 pep chromosome:AWHD00000000:1:35401614:35402783:-1 gene:ONIVA01G41880 transcript:ONIVA01G41880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPQQDARQSGRESLTIDSAGGGDVAADVAAQPPPPAVAGGGRGVDMAAAARRVASPRLKVPPPDAAALAGVLQPPHEEHHQPIVGKQGTQAGARRRRRWRRLVVVDRADADPVHGRGRAAAAQGQDLHLPHARRG >ONIVA01G41870.1 pep chromosome:AWHD00000000:1:35399664:35400323:-1 gene:ONIVA01G41870 transcript:ONIVA01G41870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEDGWPLGLGAMNARAGVTRSVDLSGSASFSTAFTSSHCASSSFTSCDFDTESAWSLSRRGGGGAGRGMTLASLIGLVDAMENRSRRRRSARATSKSGKVRALLLSLCLRSHLENGRAAPSLGQFLEMERRASSSSL >ONIVA01G41860.1 pep chromosome:AWHD00000000:1:35393783:35395989:-1 gene:ONIVA01G41860 transcript:ONIVA01G41860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVM0] MAPPQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVNFVRGLIREVVGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVIRKMRSAGTTDKKK >ONIVA01G41850.1 pep chromosome:AWHD00000000:1:35364062:35366041:-1 gene:ONIVA01G41850 transcript:ONIVA01G41850.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTTLGGGGGGRAGGFSDPPSPLSPPLSPASAAAAALANARWTPTKEQIAVLEGLYRQGLRTPTAEQIQQITARLREHGHIEGKNVFYWFQNHKARQRQKQKQQSFDYFSKLFRRPPPLPVLHRPLARPFPLAMAPTAMPPPPPPPATTTTAACNAGGVMFRTPSFMPVATNNASYYPQQQTPLLYPGMEVCPHDKSTAQPPATTTMYLQAPPSSAHLAAAAGRGAAEAEGHGRRGGGAGGRETLQLFPLQPTFVLPDHKPLRAGSACAAVSPTTPSASASFSWESESSDSPSSEAPPFYDFFGVHSGGR >ONIVA01G41840.1 pep chromosome:AWHD00000000:1:35349703:35351268:-1 gene:ONIVA01G41840 transcript:ONIVA01G41840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLVTTLSMENGGGGGGGGHHSLCTLLSMDPAGHLDDRAVGVMVQPRIGDGAGRAHAVSLSGAHPPDINQPWQTDLCDMLDVSLGPQIQVYDAEALAVLSSAPKAGNRKAAKRGDSIWGAWFFFTFYFKPLLADKCKGKVTRDANGVSGFDKTDLRLDMFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRPGEPQFPFSVDRGFVRSHRMQRKHYRGLSNPQCIHGIEVVRSPNLASITEVDRRRWVELTGRELNFAIPQEACDFGTWRTMPNTEIELDRPHPVMKGNVHQHPKKLLNGSGLNLSSPSNHSGEDGMDLSPVSSKRRKEVFPHAMDEECFLPLNSCGERTQQDVEMHSVVQPSWQHEFSGVMKKARGPVTAAKSIYEDDQGYLIMVSLPFVDQQKVKVSWRNSLTHGIVKILCVSTAQTPYIRRHDRVFKLADPMPEHCPHGEFVREIPLATRIPEDAKLEAYFDEAAAVLEIMVPKRGNEPEEHEVRVSMRPPHLAANDLLLT >ONIVA01G41830.1 pep chromosome:AWHD00000000:1:35346397:35347515:-1 gene:ONIVA01G41830 transcript:ONIVA01G41830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFLGMSTRRDVEQKQVLHLLVALAAEDGGLDSGAVCHGLVGVDALAQLLAVEEVLKQLLHLGDAGGAADEHDVVHAALVHLGVAQALLHRLHALAEQVHVELLEASPGDGRVEVDALVQGVDLNGGLCGRRQRALGALAGRAQPAQSTRVAADVLLVLALELLDEMVHHAVVEVLTTKVSVTSSGLHLENALLNGEQRHIERATAKIEDEHVLLAGAGGLLVKTVGDGRGGGLVDDAHHVEAGNDTGVLGGLPLRVVEVGRHSHHGVLDVGAEASAISLILMSTMEEISSAENCFSSPLYCTTIIGLSPGPGMTLNGHSFMSLCTDGSENRLPISRLASAFKIERDYESDCSIEFI >ONIVA01G41820.1 pep chromosome:AWHD00000000:1:35345060:35351796:1 gene:ONIVA01G41820 transcript:ONIVA01G41820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPGDKPMIVVQYKGEEKQFSAEEISSMVLIKMREIAEAYLGSNIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDDKIASKLSADDKKKIEDAIDGAINWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGGAAGMDEDAPAGGSGAGPKIEEVD >ONIVA01G41810.1 pep chromosome:AWHD00000000:1:35344255:35344470:1 gene:ONIVA01G41810 transcript:ONIVA01G41810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFDFGDLDDMEAHNKREVMVHAKGRLVEWASNASAARGRGSGVVTAIVLKPARRKGRIPNWEEEGDREG >ONIVA01G41800.1 pep chromosome:AWHD00000000:1:35336233:35336976:-1 gene:ONIVA01G41800 transcript:ONIVA01G41800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28790) TAIR;Acc:AT2G28790] MASAKLLLLVATSLLSCGVILADYAPMTLTIVNNCPYPVWPGIQANSGHDVLEGGGFFLPALSHRSFAAPAHPWSGRIWARTGCTGAGAQLHCATGDCGGRLQCAGLGGAAPATLAQVSLHHGNDQTSYGVSVVDGFNVGLSVTPHEGRGNCPVLACRKNLTETCPSELQLRTPAGSVVACKSGCEAFRTDELCCRNMYNSPRTCRSSKYSEFFKRECPQAFTYAHDSPSLTHECAAPRELKVIFCH >ONIVA01G41790.1 pep chromosome:AWHD00000000:1:35323977:35329431:-1 gene:ONIVA01G41790 transcript:ONIVA01G41790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATISQASLLLQKQLKDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMSFPQNYPNSPPTVRFTSEMWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSGPNDESPANIEAAKEWREKRDDFKKKVRRLVRKSQEML >ONIVA01G41780.1 pep chromosome:AWHD00000000:1:35320486:35320905:-1 gene:ONIVA01G41780 transcript:ONIVA01G41780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVL2] MAPKAEKKPAEKKPVEEKAEKKPKAEKRVPGAKEGGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >ONIVA01G41770.1 pep chromosome:AWHD00000000:1:35317599:35318969:1 gene:ONIVA01G41770 transcript:ONIVA01G41770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42450) TAIR;Acc:AT5G42450] MPHRDVVSATAAIGALTRSGRHRDAVALFSGILGDGIVPNEFTFGTILQSASALRDLRVGAQLHACVAKLGLCSNVFVGSALVDHYAKMGSVREAQGALQDTREPNVVSYTALIAGFLKNGMSGDAARLFRCMPERNVISWNAMIGGSSKAGLNEEAVNLFLEMCREGVRPNESTFPCLLTSVANAGALGVGRSIHASAIKFLGKLDVFAGNSLVSFYARCGSLDDSVLAFKKIKNKNVVSWNALICGYAQNGRGEEALDAFRRMKATGLKPDRVTLLGLLFGCNHAGLVDEGYSLFRTAEMEQPGVLRPEHYACVVDLFSRAKRFDDAKRFLENLPFEPGIVFWKSLIGGCQIHWNRELAKSVAKHIHALDPKDTSSYILLSNVYSAAGSWQDVSMIRREIKEKGLKRITGCSWIEVQNQVHVFFNGDRRHPKSDDIYMMLESCLNSEEDEDCLV >ONIVA01G41760.1 pep chromosome:AWHD00000000:1:35313171:35315963:1 gene:ONIVA01G41760 transcript:ONIVA01G41760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRASAFVRGDDVVHKLFTELAYRYKNRAGGYTRLLRTRIRVGDAAPMAYIEFVDRENELREAKPATPQPPQRSPLDPWAKSRASQQWAGPKISEGSRKEGL >ONIVA01G41750.1 pep chromosome:AWHD00000000:1:35308607:35310893:-1 gene:ONIVA01G41750 transcript:ONIVA01G41750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAGSRGKGEQQRLPWREAQQEARCPDMAPPRPPRPRPGPARAAVVYYLSRNGHLEHPHFMEVAVASPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLADDDYIYPVAGREYVLKGTERLHPIQLPLLDAAAASSCSSGSQETATSSPPGWENGTGEARQKKGAGINTSELCEYRVYKAEDPAAAAADAATQTEDGYRSSRGGHQRHAQRAAAAAAQEELSREETSPPTASTSPETLEALIKADGRVMAAVTGGGRTRASSVLMQLISCGSVSVKSTLASPVMARTAAHYRPRPPRPPTLASTTTEIPNYRQKIVEDKEYFSGSLVETKRSSPADTSQDIAVLRRSSSYNADRVQKVEPSTEAVDMHDRCIPRRPRGKKDGGAYLISGGNAQYGSKRHGG >ONIVA01G41740.1 pep chromosome:AWHD00000000:1:35307235:35307738:1 gene:ONIVA01G41740 transcript:ONIVA01G41740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRVHASALLSLSSPAASASQPTSSSSTPAGRRALAAEGVFECKTCSKRFPSFQALGGHRTSHTRLQAKLLSDPAAAAAAAAERDRARVHECAVCGVEFSMGQALGGHMRRHRGETGTTTVVLADADDSGGATVPQPPEPMPDLNYPPLEDAGDGSEPELLNLLV >ONIVA01G41720.1 pep chromosome:AWHD00000000:1:35287509:35287727:-1 gene:ONIVA01G41720 transcript:ONIVA01G41720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIKHRERRDWRKEITISGKRICSIGEGMGAMPRLAGGFVADCCASEAMAEGRRERVRETRTRTEEEKGGE >ONIVA01G41710.1 pep chromosome:AWHD00000000:1:35284896:35291607:1 gene:ONIVA01G41710 transcript:ONIVA01G41710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWKPNLQKLKVHQREACLLQLLDSNPKYRLWKQNTIVLVLLFLELILKPANFPTRMCPGQEKKLNYPAVAVSATLNAIKTSRSEDTVLLWKLSDLYPKRKNPLHSPPFSSSVLVLVSRTRSLLPSAIASLAQQSATNPPANRGIAPIPSPILQIRFPEMESCPSVKNILVLDSEGKRVAVKYYSDDWPSLSSKQAFEKSVFAKTQKTSARTEAEIVMFDSYFVVYKFIQDLHFFVTGGDEENELILASVLQGFSEAIDYLLRNKVHRRAALENLDLIFLCLDEVVDGGIVLETDAKAILEKVSGHGLEGSGSLTEQKLSSALATAREHFARSIFS >ONIVA01G41700.1 pep chromosome:AWHD00000000:1:35262240:35265032:-1 gene:ONIVA01G41700 transcript:ONIVA01G41700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTDERWRAAVIGGGGDAATATEPSLPAAITRRLRELAWEDKDKEKARTVVDLVDSETPIECDHEEGHLCPSPCSDIPSTSGGGGGNEEMGKVNCNEKHTYGEEKEKEKEKEKVVETKSELKPKPRRKNWEEEELTWEEKVLKVLHMVRIWEVTEFDPKMEWFEPTRLCLFNTAFFDLDKESKAGLGPPIHSLTSSDYRHLETSMNIISIKVVESDVGYPISIFGTVLARDQYDYRCVYLFRRSRDNPQIITSPEDMLTLTGPKRGLGAKDYMFFEFNLKMKGDDGVDKDFSKGLLPYNVVCRTGRLETLHLRSWLSVVEFAFVTVQYAVEATLAVKMLGGASVFTGRVTAWTTGNDEDEIVLYDSEVADTRTEITADGSVQLNRGLVVVPLDKELVLNICVFEGEDEAQSFEFILGHYDEEFTCKQGCYEFQVNIIWTAVKTRRRPNMWKRIGCIVLLL >ONIVA01G41690.1 pep chromosome:AWHD00000000:1:35260033:35260943:-1 gene:ONIVA01G41690 transcript:ONIVA01G41690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGNDGSVAGDRLMVATIKRELAEEDGDSSSPCSANRSLDMEVVEEKLYSLMGLELNSEAMA >ONIVA01G41680.1 pep chromosome:AWHD00000000:1:35256127:35258840:-1 gene:ONIVA01G41680 transcript:ONIVA01G41680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGMPTRPPATSTPSRVQALYELCKRTFPSPSSVAASSSPSSPPPDHAIRAISSLMDTITPVDVGLRDDNLEDGRGFGFFESNFLKNSARVARWAQPITYLHVYECDAFSAVIPLHDHPGMTVLSKLLYGSMHVKSYDWVEPAVLASGKPVRLGKLHTDDVLNAPCPTAVFSGGDSVGAPILIPEDFRRPNRLRRPKISHNSTQPANPNLFPQPKRGGDSWRRRHTSALARDGFQFEAPFSPHYNVILALPLAVLYPQSGGNMHCFTSVKSCAVLDVIAPPYSESSGPAGQAKVVHGPDNYAWLEALNVPVNINMRPGMYTGPTIQV >ONIVA01G41680.2 pep chromosome:AWHD00000000:1:35256127:35258840:-1 gene:ONIVA01G41680 transcript:ONIVA01G41680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGMPTRPPATSTPSRVQALYELCKRTFPSPSSVAASSSPSSPPPDHAIRAISSLMDTITPVDVGLRDDNLEDGRGFGFFESNFLKNSARVARWAQPITYLHVYECDAFSAVIPLHDHPGMTVLSKLLYGSMHVKSYDWVEPAVLASGKPVRLGKLHTDDVLNAPCPTAVFSGGDSVGAPILIPEAAGQAKVVHGPDNYAWLEALNVPVNINMRPGMYTGPTIQV >ONIVA01G41680.3 pep chromosome:AWHD00000000:1:35256127:35258840:-1 gene:ONIVA01G41680 transcript:ONIVA01G41680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGMPTRPPATSTPSRVQALYELCKRTFPSPSSVAASSSPSSPPPDHAIRAISSLMDTITPVDVGLRDDNLEDGRGFGFFESNFLKNSARVARWAQPITYLHVYECDAFSAVIPLHDHPGMTVLSKLLYGSMHVKSYDWVEPAVLASGKPAAGQAKVVHGPDNYAWLEALNVPVNINMRPGMYTGPTIQV >ONIVA01G41670.1 pep chromosome:AWHD00000000:1:35242665:35243042:-1 gene:ONIVA01G41670 transcript:ONIVA01G41670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQDIVRDAIKLGFQRADFDDVIRSFCWYYSSFFSKQAAATAVAPPKDDEQAKKTGATISYELTLLDCLHASLVPPSEGAATGGGGVKGGKTSRIRTANELRRSGIRLMAMEEGCAWSSSARTP >ONIVA01G41660.1 pep chromosome:AWHD00000000:1:35238316:35241976:-1 gene:ONIVA01G41660 transcript:ONIVA01G41660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESERSGMVIDDVGGGLNLPIIVAGKRKRELTWEEKALTVLDIVGSQQHPACQPAERDCSLIDSEKDYSSMAGCQAGEHASIFGIDKNGDDSDEPCAKDDAKQSDVAPLKEEENWEMDSEPELTWDEKVVEVLNIVRRREITEYNPKQFCSIPTRFCAYNIAFFDLDKESKLARGPPIKSLAFPDYWWEMDSVNVIAIKVAESDVGYPIRVFGTVLARDEYDFRRDRNNPQIITSPEDTLTLTGPNRALGAIDKMYFEFNLKIRDGDVDKDFCKGVREHNAICYTKQPMTLSLESCLSRIDFVYSPVQLAVEASVAVKIKGVVSKFFTGKVTAWTTGDDQNKIILYDSEVEGRNRVLGADGSVDLTRCFVAVNLDDELVLNVCVSEGAGSIFELVLGHNDEECVLEQGPYELQVNVVWTAALKHRQRRKLFERIGDFRVLRLVMLW >ONIVA01G41650.1 pep chromosome:AWHD00000000:1:35235879:35237263:-1 gene:ONIVA01G41650 transcript:ONIVA01G41650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNTRVYCSKAQRWRRIDVNLMKGRRRPDSRRSIVTWICTQAPDQTCYFERVHGNNGQTYYFSEATYNTLSSRKKTEQCNLGALALPSIPRYLQKGAWAFNDLMATVMLKAEECFDAGVHGSSASQIREAGDGVPEAKRSRSVRLADGVMVAWTRSARYQTSHRQTLQPPRHLDGGTWYTVSTLDLWPPVHATGGELVSWEFDLHLYKSTSHSNGWITKRLCLKEFVRDKAIPLPKSVDRLYHETGKRPSPSAARPAPSRL >ONIVA01G41640.1 pep chromosome:AWHD00000000:1:35233649:35235249:-1 gene:ONIVA01G41640 transcript:ONIVA01G41640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDVIFLLSITSPMKTDRLEVVFAVDVRKGMLQGLAKLDVRPKNLAIMVTLCTSEICSESGNKMSGPAHIGPTRPTNSNLILALEETRQYATRRLSPRVATPLAAAALLLSGDHARREKRRKKERRERARRVGEGDI >ONIVA01G41630.1 pep chromosome:AWHD00000000:1:35231786:35233632:-1 gene:ONIVA01G41630 transcript:ONIVA01G41630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGIPTRPPATSTPSRVQALYELCKRTFPSPSSVAASSSPSSPPPDHAIGAISSLMDTITPVDVGLRDDNLEDGRGFGFFESNFLKNSARVARWAQPITYLHVYECDAFSIGIFCLPTSAVIPLHDHPGMTVLSKLLYGSMHVKSYDWVEPAVLANGKPVKLGKLHTDDVLNAPCPTAVLYPQSGGNMHCFTSVKSCAVLDVIAPPYSESSGRVCTYFHDYPFSSFSAGQAKVVHGPDNYAWLEALNVPVNINMRPGTYTGPTIQEHLP >ONIVA01G41620.1 pep chromosome:AWHD00000000:1:35224888:35230717:1 gene:ONIVA01G41620 transcript:ONIVA01G41620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKAKGGGRERGKEWAHSLPFQVSPRRGKGKANPPTKATAAPHPQNPRRGPGGTPPAITGGHSTPLPRAASRRGLTGSPCLAPRPPLASRLIEPAAPRGGGRRAMRRRSAPPPRRAGAASTAPACFLAQAVLETEMHYNAMTLLSDSPYGGFGARPIDVLFPISTGGAMTDLQETIDTIIKASDFPSSILVAGVGGADGNGDNGTGKTSALTARVTGIVRDWTVVLLSALIIADTQLTFINIIGYLIAIAGVVAYNNHKLKVKTHGNEQQGADSKLNRGSPQDVETSSIGLQVLAV >ONIVA01G41620.2 pep chromosome:AWHD00000000:1:35224888:35230717:1 gene:ONIVA01G41620 transcript:ONIVA01G41620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKAKGGGRERGKEWAHSLPFQVSPRRGKGKANPPTKATAAPHPQNPRRGPGGTPPAITGGHSTPLPRAASRRGLTGSPCLAPRPPLASRLIEPAAPRGGGRRAMRRRSAPPPRRAGAASTAPACFLAQAVLETEMHYNAMTLLSDSPYGGFGARPIDVLFPISTGGAMTDLQETIDTIIKASDFPSSILVAGVAIAGVVAYNNHKLKVKTHGNEQQGADSKLNRGSPQDVETSSIGLQVLAV >ONIVA01G41620.3 pep chromosome:AWHD00000000:1:35224888:35228863:1 gene:ONIVA01G41620 transcript:ONIVA01G41620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKAKGGGRERGKEWAHSLPFQVSPRRGKGKANPPTKATAAPHPQNPRRGPGGTPPAITGGHSTPLPRAASRRGLTGSPCLAPRPPLASRLIEPAAPRGGGRRAMRRRSAPPPRRAGAASTAPACFLAQAVLETEMHYNAMTLLSDSPYGGFGARPIDVLFPISTGGAMTDLQETIDTIIKASDFPSSILVAGVGGADGNGDNGTGKLLAII >ONIVA01G41610.1 pep chromosome:AWHD00000000:1:35222858:35224612:-1 gene:ONIVA01G41610 transcript:ONIVA01G41610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVJ2] MPPTKKDKGKEKIKEPKPSDDFYLDLGDLPKSYANLMKDIPRRLEEIIKAEAPSTRKKLFKKISGKLYTAQSGTFLVHLTPDKDTADSGRVSLLFRWKDLYFEGFYSRGIWFRLQDFQAELPPQSQLPYAAKGGKGVVILSIESNYAGIGGNTIYMGSDAFDKCLKAMLRAPDLEGHRLYSVLKSNRVLAVPVVGISEPLRFPQFQEWVLSTLSPPPTDADHSSSSDDPSPGTDAAESYSAGMGTGRDKKVPEKFSFYFTKWGTLSEGLLNNSVPEEFSTMSIDEMAALLGILRWMEGMSLPAPRSGTHDSEASSSRASRATKGKHNTSK >ONIVA01G41600.1 pep chromosome:AWHD00000000:1:35193728:35194381:-1 gene:ONIVA01G41600 transcript:ONIVA01G41600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSRSMWDMQEFVGSVDTARVLMLLAQQSQHGLLGGGGFAAGAQPVVVRGGAHDRVFECKTCNRQFPTFQALGGHRASHKRPRQQQQHALGGGAGADDVGLCLGRQPTPPRPQPAKPRVHECPVCGLEFPIGQALGGHMRRHRAEAEAAATTTTTTTKNGDVGKAAAVKACDGGGVCLDLNLTPSENRAKCRNVVGLGAGGQGVHKALAMLDCFL >ONIVA01G41590.1 pep chromosome:AWHD00000000:1:35191839:35192276:1 gene:ONIVA01G41590 transcript:ONIVA01G41590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISAPWGVGEDLASGSVRGGGGSRRGRPRGQRWTAVTTADGEDLVSGGGGWGRRRLRPQRMGKLRRWLGKTSPPVQCAEAAAVECAVAAEGDDDARSEPRIERVMRRNLRPAFAPGEGESCGGEGWTVQITSDLRMDGRDVNN >ONIVA01G41580.1 pep chromosome:AWHD00000000:1:35187472:35192231:-1 gene:ONIVA01G41580 transcript:ONIVA01G41580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMVSFHYGEDVFQISYHGGSLLCPWAAHQLFDEMHGRAVPAT >ONIVA01G41580.2 pep chromosome:AWHD00000000:1:35189280:35192231:-1 gene:ONIVA01G41580 transcript:ONIVA01G41580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPRPSAAVATACCGWYPSITVRMSSRSAIMVVPCCAHGQHTNCLMKCMVEVHSCYSLNPYYYLIFTLFCTICCDLKLCLCKLKLNCSLVSNTSYGAGKYFISSYCSTATDLEVHR >ONIVA01G41580.3 pep chromosome:AWHD00000000:1:35189280:35192231:-1 gene:ONIVA01G41580 transcript:ONIVA01G41580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPRPSAAVATACCGWYPSITVRMSSRSAIMVVPCCAHGQHTNCLMKCMVEVHSCYSLNPYYYLIFTLFCTICCDLKLCLCKLKLNCSLVSNTSYGAGKYFISSYCSTATDLEVHR >ONIVA01G41580.4 pep chromosome:AWHD00000000:1:35189407:35192231:-1 gene:ONIVA01G41580 transcript:ONIVA01G41580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMVSFHYGEDVFQISYHGGSLLCPWAAHQLFDEMHGRGALMLLFESLLLSHIYSILHYML >ONIVA01G41580.5 pep chromosome:AWHD00000000:1:35189280:35192231:-1 gene:ONIVA01G41580 transcript:ONIVA01G41580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPRPSAAVATACCGWYPSITVRMSSRSAIMVVPCCAHGQHTNCLMKCMVEVHSCYSLNPYYYLIFTLFCTICCDLKLCLCKLKLNCSLVSNTSYGAGKYFISSYCSTATDLEVHR >ONIVA01G41570.1 pep chromosome:AWHD00000000:1:35180324:35180599:-1 gene:ONIVA01G41570 transcript:ONIVA01G41570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRAGRHQRRASQSVFVLPENFASLEDVAADVVGGGGAEQRKPAAAADASSEQQPARMQAGRHRRAMSMAVAARDLEMITEDIASYKYGA >ONIVA01G41560.1 pep chromosome:AWHD00000000:1:35164407:35165832:-1 gene:ONIVA01G41560 transcript:ONIVA01G41560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTRRTCLQHCPGINFEHDQCVPRGRQTHCLQYGASTDMRSIEKFMWLLEVLYKEEGDQ >ONIVA01G41550.1 pep chromosome:AWHD00000000:1:35156450:35160181:-1 gene:ONIVA01G41550 transcript:ONIVA01G41550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 1 [Source:Projected from Arabidopsis thaliana (AT3G53570) TAIR;Acc:AT3G53570] MEAQWLAEYPHQGADKRPRKRPRLAWDVAPPLFQPPKAIPMLYCGQELINGNFATAFLPPPPIYYTGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLEHQETVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRAFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVTIRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGLGWNYSCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFRRGLRLDWPEGAASRESLKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDVRLKAREALQHPFFTRCHRRCGY >ONIVA01G41540.1 pep chromosome:AWHD00000000:1:35148478:35152520:-1 gene:ONIVA01G41540 transcript:ONIVA01G41540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAGGGEEGEELLLLSAVEAGSFGGGGDGGGAGAAAEKSWRLNFDGFRPPEVQQERRPPRGLHHHCLGVLSQGPEDVVAEYYQQQVEMLEGFNEMDTLTDRGFLPGMSKEEREKVARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESVRSLLSDGDEFSLTKEQEKWVVDIMLAVTLVKLALVLYCRTFTNEIVKAYAQDHFFDVITNMIGLVAALLATYIEGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSSMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEK >ONIVA01G41530.1 pep chromosome:AWHD00000000:1:35147456:35147773:-1 gene:ONIVA01G41530 transcript:ONIVA01G41530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCRGHGFGRGLAVAVAATVLLLLPLPSISSLQLQAVASSSAAPATTATATATDKSKLPFMPVPTEPFPPSPPHGHRLPVVPPPKPKSANREAAAAAAALTSTP >ONIVA01G41520.1 pep chromosome:AWHD00000000:1:35140403:35141969:1 gene:ONIVA01G41520 transcript:ONIVA01G41520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGGGGGDSSPASYIRLVQHLIEKCICYNMNKEECMETLEKHANIKPVITSTVWKELEKENSEFFATYKKGQGEEPAESKSSSSSQEAAGSKRSGGDDD >ONIVA01G41510.1 pep chromosome:AWHD00000000:1:35136791:35137413:-1 gene:ONIVA01G41510 transcript:ONIVA01G41510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITARKNRSNVDHRFSMDSPAKTRASRSRVQCCDCQRGAVHLERRRAVREQQGGISLYDSMNVGMIKNRHGNVIIIRKKKQRPANSDAGTDAPMCPSA >ONIVA01G41500.1 pep chromosome:AWHD00000000:1:35131595:35136759:1 gene:ONIVA01G41500 transcript:ONIVA01G41500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVH7] MIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTTSGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDSFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQLLSPASVVAKANGREKISKADLEEVSGLYLDAKSSARLLQEQQERYIT >ONIVA01G41490.1 pep chromosome:AWHD00000000:1:35129040:35129789:-1 gene:ONIVA01G41490 transcript:ONIVA01G41490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVH6] MSPLDYLNLNFDSLNKHTSQKNHTSKLQSLVYESKLRAEMQAQTRRRILAQSCVALALLLTTVHTAAGRRPASNKPTLPSHGSDQTMTLYTTVATPAEAAGVPSSQHPVFAGHGPIGHHSGGWLRVLTRPGALQPGAAAVVDERFHGKKEFGMPLAGKLQGVLVTGLEDDDDSRIVAVTALFSGDGEEDSIRFFGVHRDDQEESHIAVVGGTGRYDGATGFAVVRAADAHKAGRNVSSNSVLSFRVHLK >ONIVA01G41480.1 pep chromosome:AWHD00000000:1:35125312:35129572:1 gene:ONIVA01G41480 transcript:ONIVA01G41480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSCSRWTGSITSRAPRPLCTTSTTPSRRSYPSRPGSAHTFDLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMEGDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHKRKPDITKAKHLLRWEPKVSLREGLPLMVKDFRQRILDE >ONIVA01G41480.2 pep chromosome:AWHD00000000:1:35125326:35129572:1 gene:ONIVA01G41480 transcript:ONIVA01G41480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKSSPTHAPAAAHAPASKASKASRPGPRSWVGYLLREQRLLFVLLGALIASSFFLLRPYLFSLSPSSHVPDRRPLFSFASHTSSASGVPPGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKDNVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYKYNPIKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMEGDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHKRKPDITKAKHLLRWEPKVSLREGLPLMVKDFRQRILDE >ONIVA01G41480.3 pep chromosome:AWHD00000000:1:35125332:35129572:1 gene:ONIVA01G41480 transcript:ONIVA01G41480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCNLLCYFHYLQYNHVLHLYIIKSGFLNNYYKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMEGDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHKRKPDITKAKHLLRWEPKVSLREGLPLMVKDFRQRILDE >ONIVA01G41470.1 pep chromosome:AWHD00000000:1:35121586:35123787:1 gene:ONIVA01G41470 transcript:ONIVA01G41470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQCSENIKYDELHKYSDTAARLVRAGYAVYGIDHEGHGRSSGQRCYIPNFGDIVSDCANFFTSICEKPENREKKRFLYGISMGGGVALLLHRKEPTYWDGAVLLAPMCKIADDMRPHPIAVSALKMVCAVAPSWRIIPTPDIIDKVCKDPEMRKQVRSNPYIYRGKLPLKTCHELLMVSLDIEKNLHEVTLPFLVLHGGDDIVTDPSVSKLLFEEASGRDKTFKLYPGMWHALTAELPDDVERVYSDIISWLDERSDCAGSVPETF >ONIVA01G41470.2 pep chromosome:AWHD00000000:1:35121586:35123594:1 gene:ONIVA01G41470 transcript:ONIVA01G41470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQCSENIKYDELHKYSDTAARLVRAGYAVYGIDHEGHGRSSGQRCYIPNFGDIVSDCANFFTSICEKPENREKKRFLYGISMGGGVALLLHRKEPTYWDGAVLLAPMCKIADDMRPHPIAVSALKMVCAVAPSWRIIPTPDIIDKVCKDPEMRKQVRSNPYIYRGKLPLKTCHELLMVSLDIEKNLHEVTLPFLVLHGGDDIVTDPSVSKLLFEEASGRDKTFKLYPGMWHALTAELPDDVERVYSDIISWLDERSDCAGSVPETF >ONIVA01G41460.1 pep chromosome:AWHD00000000:1:35118117:35119675:1 gene:ONIVA01G41460 transcript:ONIVA01G41460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVH0] MEPPQLDGQCGGEPPASVEGVVFMPYATADSSLRVMADDGDGSLREGCRRREPLWIVFDVSGTIHLSTGLRVSSYKTIDGRGQRVTLSGKGLQLRECEHVIVCNLEVEGCRGHDADAVAVKPGSRHVWIDRCGLRGCGDGLLDVTRGSTDVTVSRCRFSAHDKAVLIGGSAGHVEDRAIRVTIHHCLFDGTRQRHPRVRFGRVHLYNNYTRGWGIYAVCASVESQIVSQCNIYEAGEKKKVFKYMIEQAADRDQSSTGFIRSEGDLFLNGAEECTAQDSEAAADELWDFKIQELDFYQSCSVQPASMALKELLECFTGWQPVPLPEDTCLEEETDSAPADTTA >ONIVA01G41450.1 pep chromosome:AWHD00000000:1:35113573:35116671:-1 gene:ONIVA01G41450 transcript:ONIVA01G41450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIHEEEGGGTAAAAAVKVMTVSGSGKRGRYVRQVTGRHNDTDLHVAARGGDAGALRRALDEAAAAVATGEGREALEEVRRAVAAEPNEAGETPLVAAAERGHLEVVRELLRHLDAEGVAAKNRSGYDALHVAAREGRHAVVQEMLLHNRLLAKTFGPANTSPLISAATRGHTEVVKLLLELDDFGLVEMAKDNGKNSLHFAARQGHVEIVKALLEKDPQLARRNDKKGQTALHMAVKGTNCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVAVLLRLPDTHVNALTRDHKTAYDIAEALPLCEESSEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNANNGVAVVVQAASFRIFFIFNAIALFTSLAVVVVQITVVRGETKSERKVVEVINKLMWLASVCTTISFIASCYIVLGRHFQWAALLVSLIGGITMAGVLGTMTYYVVKSKRMRKIRKKEKMSRRSGSSSWYDNTELSETELNQVYAL >ONIVA01G41450.2 pep chromosome:AWHD00000000:1:35113573:35117328:-1 gene:ONIVA01G41450 transcript:ONIVA01G41450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDRSCIGYLRSVIAGSVDTHTGHECTITNHAAEEGGGTAAAAAVKVMTVSGSGKRGRYVRQVTGRHNDTDLHVAARGGDAGALRRALDEAAAAVATGEGREALEEVRRAVAAEPNEAGETPLVAAAERGHLEVVRELLRHLDAEGVAAKNRSGYDALHVAAREGRHAVVQEMLLHNRLLAKTFGPANTSPLISAATRGHTEVVKLLLELDDFGLVEMAKDNGKNSLHFAARQGHVEIVKALLEKDPQLARRNDKKGQTALHMAVKGTNCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVAVLLRLPDTHVNALTRDHKTAYDIAEALPLCEESSEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNANNGVAVVVQAASFRIFFIFNAIALFTSLAVVVVQITVVRGETKSERKVVEVINKLMWLASVCTTISFIASCYIVLGRHFQWAALLVSLIGGITMAGVLGTMTYYVVKSKRMRKIRKKEKMSRRSGSSSWYDNTELSETELNQVYAL >ONIVA01G41450.3 pep chromosome:AWHD00000000:1:35116722:35117328:-1 gene:ONIVA01G41450 transcript:ONIVA01G41450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDRSCIGYLRSVIAGSVDTHTGHECTITNHAAGSRYRLAIVLLLLLRPHLSFLVPSSFAAGRAPLKAAPRPKPYLGNPTARL >ONIVA01G41440.1 pep chromosome:AWHD00000000:1:35109416:35110510:1 gene:ONIVA01G41440 transcript:ONIVA01G41440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGPQSHDQPLQEEDYIDMDLSSPAAADAVTASARASLLCYSTAMAASPQNSREFEFHMSAPVDKWEPAASPADELFYKGKLLPLHLPPRIQMVEKLLESAAEKGLLSASTAPATPYQSCNASPANSCYVSGELNAEHYFHECTSAGIGAAEEAAACEKKPWSKKLKFIRHLNLGLKLKASKAYIKTIFATKAGNPDGKTGTPSANELSNAQFKSWRKNPFGQIRSNRYIASPISNSVTLGGRLKEDECGHRRSFSSVIIRYSSSNKTSSASSSSCSSSNSSSSILSSTDSGVGPVLRRSSSASSEMDNPIQGAIAYCKRSQQLASVRKSASDAGFRFMSSSASRIAAESEDPDDIIEICGR >ONIVA01G41430.1 pep chromosome:AWHD00000000:1:35100083:35101432:-1 gene:ONIVA01G41430 transcript:ONIVA01G41430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAARALLLLIAVAGALLRPAAAEIKQESFKDDSRASILFEKFGFSRRGFVSIAITGARTSSKLAKAEPDQFGFFLLSDEALFEAIYEQPPPTDLNPNPEPNPGCVLSSPYVKPLFSFADLDGNGNYKKTFPVTQPDEYSLFFANCAPETAVTMEVRTDMYNTNLDGSKDYLSVGQAPVPAIYAFFTVCYLVFLAVWLYVTLYRNRLSAHRIHHLMSGLLAARMLYCISAAEDQHYIRIAGTPHGWDVMFYLFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMVVIPLQVAANIAAAVVGETGPFLQGWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVVVIGYLYFTRIIVYALKTITNYKYRWVSVAAEEVATVAFYLFMFYMFRPAERNQYFALDEDEEEAAELALREEEFEL >ONIVA01G41420.1 pep chromosome:AWHD00000000:1:35097226:35099746:-1 gene:ONIVA01G41420 transcript:ONIVA01G41420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYLAIFPFCNPCLRRISFPSSSFPITRAGEYSLYFANCGSGTRVTMDVRVELSDSNPDGGEDPVAMVYSFAVCYGVFLIAWLHRTLARGCSTARPVHDVMSGLLAALMLHCLTAAAHDGRYTSVVAGTARGWNVPCLALRLVKNAMLFPVVALIGAGWSLPEPFVQARELNVLTAMVPLQVYMAIATTLSGDGGVAWTWGHAFVLVQLACCVAVLMPMGRAIRALRKEADTDDKAARRLGKLALFRQLYLAVAVYLYHTWMAVFILKLLVGASSGYRWASVAVDEAAALAFYLFMFCMFSPAEEDIQLEEYTEELIQGGV >ONIVA01G41410.1 pep chromosome:AWHD00000000:1:35087123:35089372:1 gene:ONIVA01G41410 transcript:ONIVA01G41410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVHDRLPFLATPPPAPARGRNPPLAEMLRLVGAATVDSDAAAAAADEEANALSLPLPRGGVTPPPPGGRTIQFRLAFTSLTYSVRAARRARPGGGDGGGGFRLPLQNRCDRVTAAAPDAHSSRARVLLDGITGEAREGEILAVMGASGSGKSTLIDALANRISRDALKGSVTLNGEPLTGNVIKSISAYVMQDDLLFPMLTVAETLSFAAEFRLPRALPAAKKRTRVLELIEQLGLRAAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVQVLRNIAESGSIVITSIHQPSQRILGLLDRLILLSGGRTVFSGPPSAIPAYFAEFGYPVPDDENRAEFALDLIREFESLPAGTGQLVSFNKTWQVMHAARHNPNDDPWAPTMSLKEAISASISRGKLVSGSDVAGEAASMHTYANPFWVEMKVLTKRSAINTRRMPELFLIRLGAVVITGAILATVFYKLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLHERYIFLRETAYGAYRRTSYVLSNAIVSFPPLVVLSLAFAFTTFFAVGLAGGVSGFAFYTLAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPFEGVLQNEFGRGGECYVRGTQMFDNSPLAVLPDTVKTRVLASIGTALGVKIGPNTCVMTGHNVLREAAVTQLGKWECLLVTAAWGFFFRLLFYFSLVLGSKNKRR >ONIVA01G41400.1 pep chromosome:AWHD00000000:1:35070895:35080914:1 gene:ONIVA01G41400 transcript:ONIVA01G41400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGGEAAAGSDAKPTAGTGMEQPSPSPYQPSASDHHLWSSSTGAPWNYSMNNSNQNTVYYDPQRDVSVPGSTENVTSGATHVVQSAMGITGATDSYAPYSNSVQPGYNAPQYPNYYYNCPQSTNESSVQQGVDQSSGAAYQPLTSFQNSGSYVGPTSNTYYNAGAHQTAPGYATSNNYYQSNSWTGGSSGDNHVQSYQSYTPSDTNAAQSSSSLPNNSYHYHQQYNQWPYYYDQSAPSSGGPAVAVSSVSDANTASVSSGYVYPSTQPPPPGTTWRSDAGATAVPPPQAPGTPVFQNQHVNQAAGPPGYQNQYVNQAPGTPGFQNQYVNQAPAVPGFQNQYANLAPTYQPGTTYYSQLPLSNQADQQKASRWQDPISNVSSVNHVSESSQPTFQGSATSDALRVNKIQIPTNPRIAPTLPMAMPKVEKRNLEADSSKKPAYVSVAVQKNDVKAAQDGHEAVTQGSIPVSLRTYVGRNVSRCKDDAQRSAVQNILKEIITKATADGILHTKNWDIEPLVPLPENITSTNLTSSAKDLSPFSFSTSRRSPSRRAKSRWEPVVEEKVANKVELISKESAKTNTYNSSETTKRAGRSWDIGKFLQSRQAPLSQYNQRPSKKKRIGGNSSLTENGNVSSDSDKEQDLTKYYANAITIANSPEEKKRREHRSKRFERSQGAASSKSRSSVPDKDGTSNTYARRSMPMLLSRSNGDDVSFAVEDLDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVMVYETHARLALQSGDLPEFNQCQSQLKRLYAEGIKGCHFEFSAYNLFLPKEAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMDLYVERMRFEAIKCISKSYRPTLPVKYAAQVLGFMAIDEVCEAKRADGLEECEEWLKAHGAVLSVDNNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAVDDFLARTS >ONIVA01G41400.2 pep chromosome:AWHD00000000:1:35070895:35080914:1 gene:ONIVA01G41400 transcript:ONIVA01G41400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGGEAAAGSDAKPTAGTGMEQPSPSPYQPSASDHHLWSSSTGAPWNYSMNNSNQNTVYYDPQRDVSVPGSTENVTSGATHVVQSAMGITGATDSYAPYSNSVQPGYNAPQYPNYYYNCPQSTNESSVQQGVDQSSGAAYQPLTSFQNSGSYVGPTSNTYYNAGAHQTAPGYATSNNYYQSNSWTGGSSGDNHVQSYQSYTPSDTNAAQSSSSLPNNSYHYHQQYNQWPYYYDQSAPSSGGPAVAVSSVSDANTASVSSGYVYPSTQPPPPGTTWRSDAGATAVPPPQAPGTPVFQNQHVNQAAGPPGYQNQYVNQAPGTPGFQNQYVNQAPAVPGFQNQYANLAPTYQPGTTYYSQLPLSNQADQQKASRWQDPISNVSSVNHVSESSQPTFQGSATSDALRVNKIQIPTNPRIAPTLPMAMPKVEKRNLEADSSKKPAYVSVAVQKNDVKAAQDGHEAVTQGSIPVSLRTYVGRNVSRCKDDAQRSAVQNILKEIITKATADGILHTKNWDIEPLVPLPENITSTNLTSSAKDLSPFSFSTSRRSPSRRAKSRWEPVVEEKVANKVELISKESAKTNTYNSSETTKRAGRSWDIGKFLQSRQAPLSQYNQRPSKKKRIGGNSSLTENGNVSSDSDKEQDLTKYYANAITIANSPEEKKRREHRSKRFERSQGAASSKSRSSVPDKDGTSNTYARRSMPMLLSRSNGDDVSFAVEDLDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVMVYETHARLALQSGDLPEFNQCQSQLKRLYAEGIKGCHFEFSAYNLFLPKEAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMDLYVERMRFEAIKCISKSYRPTLPVKYAAQVLGFMAIDEVCEAKRADGLEECEEWLKAHGAVLSVDNNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAGRTLKWIS >ONIVA01G41400.3 pep chromosome:AWHD00000000:1:35070895:35080914:1 gene:ONIVA01G41400 transcript:ONIVA01G41400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGGEAAAGSDAKPTAGTGMEQPSPSPYQPSASDHHLWSSSTGAPWNYSMNNSNQNTVYYDPQRDVSVPGSTENVTSGATHVVQSAMGITGATDSYAPYSNSVQPGYNAPQYPNYYYNCPQSTNESSVQQGVDQSSGAAYQPLTSFQNSGSYVGPTSNTYYNAGAHQTAPGYATSNNYYQSNSWTGGSSGDNHVQSYQSYTPSDTNAAQSSSSLPNNSYHYHQQYNQWPYYYDQSAPSSGGPAVAVSSVSDANTASVSSGYVYPSTQPPPPGTTWRSDAGATAVPPPQAPGTPVFQNQHVNQAAGPPGYQNQYVNQAPGTPGFQNQYVNQAPAVPGFQNQYANLAPTYQPGTTYYSQLPLSNQADQQKASRWQDPISNVSSVNHVSESSQPTFQGSATSDALRVNKIQIPTNPRIAPTLPMAMPKVEKRNLEADSSKKPAYVSVAVQKNDVKAAQDGHEAVTQGSIPVSLRTYVGRNVSRCKDDAQRSAVQNILKEIITKATADGILHTKNWDIEPLVPLPENITSTNLTSSAKDLSPFSFSTSRRSPSRRAKSRWEPVVEEKVANKVELISKESAKTNTYNSSETTKRAGRSWDIGKFLQSRQAPLSQYNQRPSKKKRIGGNSSLTENGNVSSDSDKEQDLTKYYANAITIANSPEEKKRREHRSKRFERSQGAASSKSRSSVPDKDGTSNTYARRSMPMLLSRSNGDDVSFAVEDLDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVMVYETHARLALQSGDLPEFNQCQSQLKRLYAEGIKGCHFEFSAYNLFLPKEAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMDLYVERMRFEAIKCISKSYRPTLPVKYAAQVLGFMAIDEVCEAKRADGLEECEEWLKAHGAVLSVDNNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAVDDFLARTS >ONIVA01G41390.1 pep chromosome:AWHD00000000:1:35066975:35070833:1 gene:ONIVA01G41390 transcript:ONIVA01G41390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPAAFRWNVNLKLRRQCRASGAAAVSTGGVERRDGRRRRPRAALWTTAAAPSGGSLSSRPGRRRRRRAGWPERRRCRAAGRPGRRHSSPDQHSSPPRPTSLLSRRCPGTGRRAPDVEWSADAGLGAGWPASDVEQTAAPDVECGAIAGLGARRPAPDMERDEEIGGERARGATMEKPLAPSAVAALSPCPMRPRYHRRTCDLLWTRGPQSQN >ONIVA01G41380.1 pep chromosome:AWHD00000000:1:35066432:35066743:1 gene:ONIVA01G41380 transcript:ONIVA01G41380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVF8] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONIVA01G41370.1 pep chromosome:AWHD00000000:1:35057293:35061902:-1 gene:ONIVA01G41370 transcript:ONIVA01G41370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRTTQSKWLDNNVQEMEIRVKAMIKLIDIEADTFARKADLYFKSRPDLINHVEETYRSYQALADRYDRVSGELHKSNHTIATAFPEQVQLSLQDDNGDGFPKGITGINISRGTSAAPKRTQTHKKISSKMSKDKAQEEIERLQKKILVLQTEKEFFKSSYESSLNKYLSIERQAAEMQEEVWSLQETFSTSAVIEDNEARALMAAQALISCEDKLASLHCEQKRSYEETTMEIQRVIDAKKKITIFKSECGYPDDQKDLPNHQDIEFSSIPSSIEDSDLIMKDCKLELQELSQKVKQKFESSSEASAVHLAGQVDEIVDKVISLEIAASSQNAQINRMKNEADELQKRLDSLEDEKAALIEDSSKLSERLKQVEEVLQTIQRIGKSVHSENGNIHQQLTEVDDSLNDFVKKLDAHSTDEIVNSSQDDSGIACKSKDEGLLDALDDSSKAHKEEPDETLGWQQLDLNGLEDKDKILLKDYASILRNYKDTQKQLLEIEKRNREYHLEAMSEMNELKSSSATKDDEICSLRRMLSSLQTKLNNSLPQRFVESEESSEANASPNLENKNIAETEEYMKIREHEEPHDPSLEDKFRAEISRILEENLDFWLRFSTSYHYMQKFQKSFDKAKAEMDKLTDAKAQEGSDAVPSCQSARKQESAVWLEKNVLLQGELESRFSLLCSIEEEISKITALGQTDEAHFTPFQAAKFQGEVSSMKQENSKVTKELQTGMDHVRSLQVEVGRALLKLRENIELSIGRRNRTQHSFRSLSMKAGVPLRTFLFGSKPKKASLFSCMGPVMPKPRPEMSVQDDGRPRNSKPNRSTSEAAFKSFLCLSSCSV >ONIVA01G41370.2 pep chromosome:AWHD00000000:1:35059121:35061902:-1 gene:ONIVA01G41370 transcript:ONIVA01G41370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRTTQSKWLDNNVQEMEIRVKAMIKLIDIEADTFARKADLYFKSRPDLINHVEETYRSYQALADRYDRVSGELHKSNHTIATAFPEQVQLSLQDDNGDGFPKGITGINISRGTSAAPKRTQTHKKISSKMSKDKAQEEIERLQKKILVLQTEKEFFKSSYESSLNKYLSIERQAAEMQEEVWSLQETFSTSAVIEDNEARALMAAQALISCEDKLASLHCEQKRSYEETTMEIQRVIDAKKKITIFKSECGYPDDQKDLPNHQDIEFSSIPSSIEDSDLIMKDCKLELQELSQKVKQKFESSSEASAVHLAGQVDEIVDKVISLEIAASSQNAQINRMKNEADELQKRLDSLEDEKAALIEDSSKLSERLKQVEEVLQTIQRIGKSVHSENGNIHQQLTEVDDSLNDFVKKLDAHSTDEIVNSSQDDSGIACKSKDEGLLDALDDSSKAHKEEPDETLGWQQLDLNGLEDKDKILLKDYASILRNYKDTQKQLLEIEKRNREYHLEAMSEMNELKSSSATKDDEICSLRRMLSSLQTKLNNSLPQRFVESEESSEANASPNLENKNIAETEEYMKIREHEEPHDPSLEDKFRAEISRILEENLDFWLRFSTSYHYMQKFQKSFDKAKAEMDKLTDAKAQEGSDAVPSCQSARKQESAVLEKKLRGLSTDLQVWLEKNVLLQGELESRFSLLCSIEEEISKITALGQTDEAHFTPFQAAKFQGEVSSMKQENSKVTKELQTGMDHVRSLQVEVGRALLKLRENIELSIGRRNRTQHSFRSLSMKAGVPLRTFLFGSKPKKASLFSCMGPVMPKPVADMRAGPFG >ONIVA01G41360.1 pep chromosome:AWHD00000000:1:35053388:35054580:-1 gene:ONIVA01G41360 transcript:ONIVA01G41360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSSSASSAASYGSDVSFMNHSPAAALPAVPTQIPRVAGGYLDGNVSGGLPHFGGAVSSSSSPPSYSSSLPSSYYNNIQRSISSHSLPHHLQLTDHFGGAFFSSSSSSSHQLPLPPPLSSSPSSSSGDLFEFTSPCPVRRVFSTGDLQGMNGSSPPRPLPSGESCGQDGSGPFSQKVGRYSAEERKERIERYRVKRHQRNFNKKITYACRKTLADSRPRVKGRFARNGEADAEGDEREAFDASYSYDYSAASYSDYRSGSNSSSVNSCYNYNRKDGAATAASVVGGSDNGEWWWRAPGATTAEAERQRQAGFDVDEEIWATLGDMLSVNLAS >ONIVA01G41350.1 pep chromosome:AWHD00000000:1:35043550:35044401:-1 gene:ONIVA01G41350 transcript:ONIVA01G41350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIHGMQESKTASVIKVAREPAVIINGVPDLPPDVASESQPEPRNAAEPPVDHRFGEFLEGRKVRKPFGDKHFVGKVDKYDSESNWYSVVYQDGDQEDLEWKEVEEIMLPLDITIPLKTLISDKFALQNTAPVFKPKVGRPRKVYAITDGNTNNAMEDQMMTGAANEHQSNNLLALVPASTSNDAYVNASSQPRKRGRPRKDATMYPRKDATIPANTQPKRRGRPPKNRNLSGNAQSAECTPQNSVLIRNAQTVRAEKLAKAERLKRENMHAQGAPPGTQFF >ONIVA01G41340.1 pep chromosome:AWHD00000000:1:35039033:35046469:1 gene:ONIVA01G41340 transcript:ONIVA01G41340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYDHQSPHAQHPSGLPRPPGAGAGAAAGGFARGLMKQPSRLASGVRQFASRVSMKVPEGVGGMRPGGGRMTRMQSSAQVGLRGLRFLDKTSGGKEGWKSVERRFDEMNRNGRLPKESFGKCIGMGDSKEFAGELFVALARRRNLEPEDGITKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAAPEKMKRTTSSLARTMIPSRYRSPLKRHVSRTVDFVHENWKRIWLVALWLAVNVGLFAYKFEQYERRAAFQVMGHCVCVAKGAAEVLKLNMALILLPVCRNTLTTLRSTALSHVIPFDDNINFHKVIAATIAAATAVHTLAHVTCDFPRLINCPSDKFMATLGPNFGYRQPTYADLLESAPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSYFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSIVKAAIYPGNVLSLHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVTSKKATLSRLETTVVADAQTEDTRFPKVLIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHNNIIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF >ONIVA01G41340.2 pep chromosome:AWHD00000000:1:35039033:35046650:1 gene:ONIVA01G41340 transcript:ONIVA01G41340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYDHQSPHAQHPSGLPRPPGAGAGAAAGGFARGLMKQPSRLASGVRQFASRVSMKVPEGVGGMRPGGGRMTRMQSSAQVGLRGLRFLDKTSGGKEGWKSVERRFDEMNRNGRLPKESFGKCIGMGDSKEFAGELFVALARRRNLEPEDGITKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAAPEKMKRTTSSLARTMIPSRYRSPLKRHVSRTVDFVHENWKRIWLVALWLAVNVGLFAYKFEQYERRAAFQVMGHCVCVAKGAAEVLKLNMALILLPVCRNTLTTLRSTALSHVIPFDDNINFHKVIAATIAAATAVHTLAHVTCDFPRLINCPSDKFMATLGPNFGYRQPTYADLLESAPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSYFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSIVKAAIYPGNVLSLHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVTSKKATLSRLETTVVADAQTEDTRFPKVLIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHNNIIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF >ONIVA01G41330.1 pep chromosome:AWHD00000000:1:35033494:35033910:1 gene:ONIVA01G41330 transcript:ONIVA01G41330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLTTTTLPATEAQGMEEPGTAVVAAPGPTIGAERGMAVGAAPGTAVALGTTGGDVARRRRCGGRRRRYGAAIGRDAVLRRAEMQRGGRWRCSAAAGGDAAAGGDAARWWRGGVGASPRKGSKSRLTGRSKRGLTG >ONIVA01G41320.1 pep chromosome:AWHD00000000:1:35006601:35015524:-1 gene:ONIVA01G41320 transcript:ONIVA01G41320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRDPPQTADKLNSFTLHFTRSRFAVRVASRCVGGAAALHASPPASEGKEEEEEELVVVVVARMAAAARVEMKAKSLPFIASEHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSERWKNFLDSQAEYDESSGEDQDAKVSPSAEDEEAGKKAEDGRSKLSDEQKVKQQRPHKIQIWSEIRPSLGHIGEMMSLRVKKKQSSADKENAANELQSANNEEIKPSEDSDDEFYDVEKVDPNQEGPVADSADADSGMNVDANQEGHYPWKEELECLVRDGLPMALRGELWQAFVGIGARRVKGYYESLLAADDERENSKGSDSPTMEGKPKGSPFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALTGIMDDYFDGYFSEEMIECQVDQLVLEELVREKFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFDGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVDEARLQELRNKHRPSVISSMEQRAKGLRVWRDTNGLASKLYNFKRDPEPLVSLSTEQLSDLTETSSGSTDDMYSGLTVNTEIDSLPDPKDQVVWLKVELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSDLRQALLDKQEQEQAMLQVLMRVEQEQKVTEDARIFAEQDAAAQKYAAHVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKAQLPSPSPSPRTPTRDASPGQVNQDSSQEFQPRRISLLAPFSLGWRDKNKGKQNISDESTNGNLNSNTEQMVDTPKKDDEKQGDSPQEGEQRVDTPRRDSEHRLDTPETTIKLEEQLEEIKLD >ONIVA01G41320.2 pep chromosome:AWHD00000000:1:35006603:35015524:-1 gene:ONIVA01G41320 transcript:ONIVA01G41320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRDPPQTADKLNSFTLHFTRSRFAVRVASRCVGGAAALHASPPASEGKEEEEEELVVVVVARMAAAARVEMKAKSLPFIASEHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSERWKNFLDSQAEYDESSGEDQDAKVSPSAEDEEAGKKAEDGRSKLSDEQKVKQQRPHKIQIWSEIRPSLGHIGEMMSLRVKKKQSSADKENAANELQSANNEEIKPSEDSDDEFYDVEKVDPNQEGPVADSADADSGMNVDANQEGHYPWKEELECLVRDGLPMALRGELWQAFVGIGARRVKGYYESLLAADDERENSKGSDSPTMEGKPKGSPFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALTGIMDDYFDGYFSEEMIECQVDQLVLEELVREKFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFDGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVDEARLQELRNKHRPSVISSMEQRAKGLRVWRDTNGLASKLYNFKRDPEPLVSLSTEQLSDLTETSSGSTDDMYSGLTVNTEIDSLPDPKDQVVWLKVELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSDLRQALLDKQEQEQAMLQVLMRVEQEQKVTEDARIFAEQDAAAQKYAAHVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKAQLPSPSPSPRTPTRDASPGQVNQDSSQEFQPRRISLLAPFSLGWRDKNKGKQNISDESTNGNLNSNTEQMVDTPKKDDEKQGDSPQEGEQRVDTPRRDSEHRLDTPETTIKLEEQLEEIKLD >ONIVA01G41310.1 pep chromosome:AWHD00000000:1:35003508:35003936:-1 gene:ONIVA01G41310 transcript:ONIVA01G41310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSYPCNSCSCNKEKRPPLKRGQLKLQIAKALGSLVGSQLQEMSVVVPRRQELGATAQHLSSSACKLMEVLVYYGAYGLRLREYLASSAIALFLSEEIVRERLGGVFLAVSCLVW >ONIVA01G41300.1 pep chromosome:AWHD00000000:1:34997844:34998083:-1 gene:ONIVA01G41300 transcript:ONIVA01G41300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHAPVKRCLSKAPSGNPLPRRGQVKESMGKQIVAAAAAVATAAALACEKTGGGGAGSGDKKGSGRPAPVVGAKKK >ONIVA01G41290.1 pep chromosome:AWHD00000000:1:34989498:34994321:1 gene:ONIVA01G41290 transcript:ONIVA01G41290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT2G20280) TAIR;Acc:AT2G20280] MPPKKAAPSKADLAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSIVCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDAETMEDWDQETLEKVVASKGAEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKIAIEDEIEDQRKKVKTTTPMTTDLFMEWKRKKAEEREAGLAALRAERAKNDRMSGRELFMADSSVFVDDAEAYDVYERQEESEANEEPSNKNQDEGPSSSTSNGKEVEESDDEDIDIDDDLDIDELNELEASLSRTSIQIREPGEGTSS >ONIVA01G41280.1 pep chromosome:AWHD00000000:1:34985615:34986815:-1 gene:ONIVA01G41280 transcript:ONIVA01G41280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >ONIVA01G41270.1 pep chromosome:AWHD00000000:1:34982018:34983221:-1 gene:ONIVA01G41270 transcript:ONIVA01G41270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >ONIVA01G41260.1 pep chromosome:AWHD00000000:1:34978912:34980197:-1 gene:ONIVA01G41260 transcript:ONIVA01G41260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGHDESGSPPRSGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGEDLLFAMGTLGFEEYVDPLKIYLHKYREGDSKLSSKAGDGSVKKDTIGPHSGASSSSAQGMVGAYTQGMGYMQPQVT >ONIVA01G41260.2 pep chromosome:AWHD00000000:1:34978912:34980216:-1 gene:ONIVA01G41260 transcript:ONIVA01G41260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMDLGFLEGGAGMADAGHDESGSPPRSGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGEDLLFAMGTLGFEEYVDPLKIYLHKYREGDSKLSSKAGDGSVKKDTIGPHSGASSSSAQGMVGAYTQGMGYMQPQVT >ONIVA01G41250.1 pep chromosome:AWHD00000000:1:34974183:34976088:1 gene:ONIVA01G41250 transcript:ONIVA01G41250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSLFITLLCTLMSAHYIAGSQVNYLKDNGLPLLHVDPSSAAIVNKSTKTQQPDEGAYYVFNAGYEMNSRYYYGIEVTTDVYGLSLDGEQNSGILVSIANKGDDQSSTNALVIGWHVYPRLNGDAHAHFFVRWTTGCYNLDCHGYVPEAGISIVPGVAIDTVSEPGGIKHIIIFKIFKDGAGDWLLHCGWDSEPYLIGRFPASLFTTLRNKANYMKVAGYAVARTTHLAPMGSGYLPNNPKAASFSNVQLIDQDGQTSKIPQDLPATQTFPSIYSVSPINFEGKFTYGGPLE >ONIVA01G41240.1 pep chromosome:AWHD00000000:1:34955264:34966516:1 gene:ONIVA01G41240 transcript:ONIVA01G41240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar proton ATPase A1 [Source:Projected from Arabidopsis thaliana (AT2G28520) TAIR;Acc:AT2G28520] MGVFDRLPPMDHLRSEKMCFVQLIIPAESARLAVTYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCSEMSRKLRFFNDQINKAGVKSSVRPAMQPDIDLEELEAKLREHENDLLEMNTNSEKLLQTYNELLEFKMVLSKAGGILASSHNHAAPAERELDEHIYDKEMDDGNAYLLEQGVHLGASENSGVKFVSGIILKSKAMAFERMLFRATRGNMFFNQAPAGEPVTDPISGEEVEKTVFVVFFSGDQAKAKILKICGSFGASCYPVPEEMVKQRQIFREVSGRLADLEATLDAGIQHRNKALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDVLQRATLHSNSQVGIIFHEMDTIDSPPTYFQTDKFTNAFQEIVDAYGIARYEEANPAVYSVITFPFLFAVMFGDWGHGICLLLGACVLILREKKLSSQKLGSFMEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYECREKTCSLLSDER >ONIVA01G41240.2 pep chromosome:AWHD00000000:1:34955264:34966516:1 gene:ONIVA01G41240 transcript:ONIVA01G41240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar proton ATPase A1 [Source:Projected from Arabidopsis thaliana (AT2G28520) TAIR;Acc:AT2G28520] MGVFDRLPPMDHLRSEKMCFVQLIIPAESARLAVTYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCSEMSRKLRFFNDQINKAGVKSSVRPAMQPDIDLEELEAKLREHENDLLEMNTNSEKLLQTYNELLEFKMVLSKAGGILASSHNHAAPAERELDEHIYDKEMDDGNAYLLEQGVHLGASENSGVKFVSGIILKSKAMAFERMLFRATRGNMFFNQAPAGEPVTDPISGEEVEKTVFVVFFSGDQAKAKILKICGSFGASCYPVPEEMVKQRQIFREVSGRLADLEATLDAGIQHRNKALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDVLQRATLHSNSQVGIIFHEMDTIDSPPTYFQTDKFTNAFQEIVDAYGIARYEEANPAVYSVITFPFLFAVMFGDWGHGICLLLGACVLILREKKLSSQRMNVERKPAVMHILLGSLKSVIPILLEWTQVGVEVDLSYPF >ONIVA01G41240.3 pep chromosome:AWHD00000000:1:34955264:34966311:1 gene:ONIVA01G41240 transcript:ONIVA01G41240.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar proton ATPase A1 [Source:Projected from Arabidopsis thaliana (AT2G28520) TAIR;Acc:AT2G28520] MGVFDRLPPMDHLRSEKMCFVQLIIPAESARLAVTYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCSEMSRKLRFFNDQINKAGVKSSVRPAMQPDIDLEELEAKLREHENDLLEMNTNSEKLLQTYNELLEFKMVLSKAGGILASSHNHAAPAERELDEHIYDKEMDDGNAYLLEQGVHLGASENSGVKFVSGIILKSKAMAFERMLFRATRGNMFFNQAPAGEPVTDPISGEEVEKTVFVVFFSGDQAKAKILKICGSFGASCYPVPEEMVKQRQIFREVSGRLADLEATLDAGIQHRNKALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDVLQRATLHSNSQVGIIFHEMDTIDSPPTYFQTDKFTNAFQEIVDAYGIARYEEANPAVYSVITFPFLFAVMFGDWGHGICLLLGACVLILREKKLSSQKLGSFMEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYECREKTCSDAHTAGLIKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVTQMNLGIVLSYFDAKFHGNALDIRYQFIPQMIFLNSLFGYLALLILIKWCTGSQADLYHVMIYMFLDPSGNLGENQLFWGQKELQILLLLMAIVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARSRHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLVLAWGYDNLVVKLVGLVIFSFATAFILLGMESLSAFLHALRLHWVEFMNKFYHGDGYKFRPFSFALLADDED >ONIVA01G41240.4 pep chromosome:AWHD00000000:1:34961819:34966516:1 gene:ONIVA01G41240 transcript:ONIVA01G41240.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar proton ATPase A1 [Source:Projected from Arabidopsis thaliana (AT2G28520) TAIR;Acc:AT2G28520] MEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYECREKTCSDAHTAGLIKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVTQMNLGIVLSYFDAKFHGNALDIRYQFIPQMIFLNSLFGYLALLILIKWCTGSQADLYHVMIYMFLDPSGNLGENQLFWGQKELQILLLLMAIVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARSRHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLVLAWGYDNLVVKLVGLVIFSFATAFILLGMESLSAFLHALRLHWIKRLSLFLAIFGAREWNSFDQDGFPQYTAFCQTAGLLPNACVQSWLRLPAWWEEIL >ONIVA01G41240.5 pep chromosome:AWHD00000000:1:34962967:34966311:1 gene:ONIVA01G41240 transcript:ONIVA01G41240.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar proton ATPase A1 [Source:Projected from Arabidopsis thaliana (AT2G28520) TAIR;Acc:AT2G28520] MKMSILMGVTQMNLGIVLSYFDAKFHGNALDIRYQFIPQMIFLNSLFGYLALLILIKWCTGSQADLYHVMIYMFLDPSGNLGENQLFWGQKELQILLLLMAIVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARSRHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLVLAWGYDNLVVKLVGLVIFSFATAFILLGMESLSAFLHALRLHWVEFMNKFYHGDGYKFRPFSFALLADDED >ONIVA01G41230.1 pep chromosome:AWHD00000000:1:34943926:34947618:-1 gene:ONIVA01G41230 transcript:ONIVA01G41230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAKIRNGSLEHPTRTSSQGATKTSRTARPAGPDSAADRPSTKSPPAGRSPKVERRMTMSAEREKRRPPTKLSQLESQLSQLQDELKKAKEQLLSTEHSKRHALQEAEDARAQAAAASAQVRDSEAQLAELSSAEESRLLELRRLSQERDRSWQSELEAMQKQHAADSAALVAAMGEVHRLRVQLAAAARADRKQDVVEAMATIDELRVKLKASEEAEAQARALHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRAKVKALEEEIAETSAAKAGERCNCSASASASEVAELRSELESTEARFQEERILSTVETQCAYELMDQIKMESDSRHGKLAAALESTKSEVIFLKASLFDKDSELRRALDANEKLQSETRTDNELKEQLQGALLENGQLKRELQQHTSEKKASAKATDAADAAAEAAKKGEMEAELRRLRVQAEQWRKAAETAMALLTVGKGGNGKVVDRSESLEGGGGGGGKYAGLWDELDDDAAARKNGNVLRRISGMWKK >ONIVA01G41220.1 pep chromosome:AWHD00000000:1:34936414:34936605:1 gene:ONIVA01G41220 transcript:ONIVA01G41220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLASVLGALARAECQFGQPSPAAFSPRRAAAFSPVRERKALPLPATCSFVDCHSEIEGAMD >ONIVA01G41210.1 pep chromosome:AWHD00000000:1:34931649:34935654:-1 gene:ONIVA01G41210 transcript:ONIVA01G41210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQWKNSGRTITPTFTDQGNPNWGWSWMERWMTSRPWESRVISDKDPKDHYSTKNPSTSASRTYVPRAISIQRPATPNKSSRPPSRQSPSTPPSRVPSVTGKIRPASPRDSWLYKEDDLRSITSIRSERPRRQSTGGASVRDDASLTSTPALPSYMQSTESARAKSRYSSLLTDRFEVPERVPLVHSSIKKRLSFPVADKPNGEHADKLMERGRRHSDPPKVDPASLKDVPVS >ONIVA01G41210.2 pep chromosome:AWHD00000000:1:34931651:34935109:-1 gene:ONIVA01G41210 transcript:ONIVA01G41210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEGRWAVAWEGGRGGAARVRFLGGFSWARKVSGFRAVKKVFSSSDPDGREAKIEKADKSRSRRKWPFGKSKKSDPWTSTVAVPTSTAPPPQPPPPPPTHPIQPQPEEIKDVKAVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTTATTAVPKSPVSSKDELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMTRVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQWKNSGRTITPTFTDQGNPNWGWSWMERWMTSRPWESRVISDKDPKDHYSTKNPSTSASRTYVPRAISIQRPATPNKSSRPPSRQSPSTPPSRVPSVTGKIRPASPRDSWLYKEDDLRSITSIRSERPRRQSTGGASVRDDASLTSTPALPSYMQSTESARAKSRYSSLLTDRFEVPERVPLVHSSIKKRLSFPVADKPNGEHADKLMERGRRHSDPPKVDPASLKDVPVS >ONIVA01G41210.3 pep chromosome:AWHD00000000:1:34931651:34934169:-1 gene:ONIVA01G41210 transcript:ONIVA01G41210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGNWFSAVKKVFSSSDPDGREAKIEKADKSRSRRKWPFGKSKKSDPWTSTVAVPTSTAPPPQPPPPPPTHPIQPQPEEIKDVKAVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTTATTAVPKSPVSSKDELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMTRVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQWKNSGRTITPTFTDQGNPNWGWSWMERWMTSRPWESRVISDKDPKDHYSTKNPSTSASRTYVPRAISIQRPATPNKSSRPPSRQSPSTPPSRVPSVTGKIRPASPRDSWLYKEDDLRSITSIRSERPRRQSTGGASVRDDASLTSTPALPSYMQSTESARAKSRYSSLLTDRFEVPERVPLVHSSIKKRLSFPVADKPNGEHADKLMERGRRHSDPPKVDPASLKDVPVS >ONIVA01G41200.1 pep chromosome:AWHD00000000:1:34922498:34929698:-1 gene:ONIVA01G41200 transcript:ONIVA01G41200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit delta [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVD0] MARPATARASELTVACVVVLGSAPPFPRGSEVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGSGKQHTYVETENVRYVYQPIEALYLLLITNKQSNILEDLDTLRLLSKLIPEYAPSLDEEGVCKAAFELLFAFIEAISLGNKENVTVAQVKQYCEMESHEEKLHKLVMQSKINETKDVMRRKVTEIEKSKTDRGKPDKGGFGSLRTPNSFSDMGIRGGGPGGDPIFGDMDSFTHKAKGRPSAPAPASASTKVPGGMKLSKAQKTNQFLESLKAEGEIILEDTQPSATQSRSSYIPPSDPITVTIEEKLNVTVKRDGGVSNFDIQGTLALQILNDTDGFIQLQIENQDVPGLNFKTHPNINKELFNSQQIVGAKDPNRPFPSGQNETPLVKWRIQELNESSLPLAVNCWPSVSGNETYVNIEYEASEMYDSRNSVLEWSIILVDQSNRSGSLEFTVPAADPSTFFPISLGFSASNTFSDLKVTAIRPLREGSPPKFSQRNRLVTYNYQVV >ONIVA01G41190.1 pep chromosome:AWHD00000000:1:34914676:34915661:-1 gene:ONIVA01G41190 transcript:ONIVA01G41190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSRAAACGALIFPTTASAAPVSRSVSVDQRVSHRRRKAVAVAAVPHASSGGALLERPAFDQRDTGRMRDRRGSGSGDSYKVLLIDDARHTEKLVEKALPQVVPSVTAEGARQLFHASRQKGAALVIVAVKEHAEFYAQMMVRQGLRSAIEPESDLAS >ONIVA01G41180.1 pep chromosome:AWHD00000000:1:34910130:34912917:-1 gene:ONIVA01G41180 transcript:ONIVA01G41180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVC8] MAHKAAALVLLLVSVSVAAAASGDQESDRIRELPGQPAKVRFSQYSGYVTVNQAHGRALFYWLVEAVPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRSDGKTLYLNPNSWNKAANLLFLESPAGVGFSYSNKTLDLYVAGDAKTASDAYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAQLIYEQNKGIQNPIINLKGFMVGNAVTDDYHDYLGTFEYWWTHGLISDNTYHNLKKTCLLESSEHPSPECLKNLNLASSEEGNIDPYSLYTKPCNNTASLKLGLGGRYPWLSRAYDPCTERYSSIYYNRPEVQIAMHANTTGIQYSWKTCSDIVGSYWADSPKSMLPIYQELIAAGIRIWVFSGDTDAVVPVTATRYSIDALKLPTLVNWYPWYDHGKVGGWSQAQAMRYHYTGLEKHLYYSDTSCRIHPCQLNSHLKQNDRVNYDNHIKC >ONIVA01G41170.1 pep chromosome:AWHD00000000:1:34908247:34911872:1 gene:ONIVA01G41170 transcript:ONIVA01G41170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLDRHGEVSRQLPLHQRCHLQLRILRVRAEPELVDAEHGEHRVQVREADQEGGRLLLRGGREPVHAGRRGHLRALLPLAALLGALPAEVAAAVPEVRRPHRQRLRGGRTRRRGAARVRRPGRPAHHELREQRQRVEPEELRDQDQRAAALVGRF >ONIVA01G41170.2 pep chromosome:AWHD00000000:1:34908247:34911872:1 gene:ONIVA01G41170 transcript:ONIVA01G41170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLDRHGEVSRQLPLHQRCHLQLRILRVRAEPELVDAEHGEHRVQVREADQEGGRLLLRGGREPVHAGRRGHLRALLPLAALLGALPAEVAAAVPEVRRPHRQRLRGGRTRRRGAARVRRPGRPAHHELREQRQRVEPEELRDQDQRAAALVGRF >ONIVA01G41160.1 pep chromosome:AWHD00000000:1:34904148:34907867:1 gene:ONIVA01G41160 transcript:ONIVA01G41160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIRPGRSCRLGRSCGRDAVSSRCLARLHRSSGSSNGGGGAVRCAKAAAQSMEAVKKDEEARVVALRAVEATPESFAPFGQVIAATPDGDEFGPHDAQLDLTRGIPRFYIMRLEKRPLEFWTITHHASVTQCLGSIGGEDWYLGVAKPSIVEDGAHEQGGDGRKPVQSRAGHYYLPPDPAEVCVFRVSGAKFLKLHIGTWHAGPQFKADAVDFYNLELSNTNIVDHTTHDFKKDDGVTFVIED >ONIVA01G41150.1 pep chromosome:AWHD00000000:1:34896248:34898552:-1 gene:ONIVA01G41150 transcript:ONIVA01G41150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYSPTAAAAAQQQQRGKVAAQAWQAVVGWIGFLLQVLLQILRGTPSCAQLLSFVGFRYPLLSGPADSEQSPSVAFMPLRSEIPADTAPAPTPPPESLQRLTVVLDLDETLVSAYESSSLPAIVRTQAVEAGLHCFDMECISTEKDVEGRNKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDRIDVHNRFKLRLYRPSTVTTEYREHVKDLSCVSKDFCRVVIVDNNPFSFILQPLNGIPCVPFSAGQHNDDQLMEVIFPLLKHLSIQRDVRPALYERFHMPEWFQKHGIPQTDQAV >ONIVA01G41140.1 pep chromosome:AWHD00000000:1:34893630:34895627:1 gene:ONIVA01G41140 transcript:ONIVA01G41140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAAVAVAVAAATALPSPSSSSSHRRLPATAKSVWVNPSLPSSHPFHRRKPAEPQHQRQDHVPDLPALLAALSAARTASDLAAAVSPHRPVSPRLLGTLLSRLPDARRGVALLDLLAPDLPASALLIPYNLLLRSACRAGMLRLASGLLLEMRDRGVAPDAFSYSTLLAALTRAGHLDHALTFLPLMEDDAVAPDLVLFSNLIHLALRGGDAPKALALFSRLRGAAGIKPDLKAYNAAIAAYCKSDLLRDANRLLLHDMPSDGVAPDAESYSPILAALARRGRHLAAVSLFTHMRTVARVKPDLSVFNIVLNAYGQLDLAREADRLFWSMRRAGVAPSVVTYNTMLRVYGDTGLFGEAVHLFGLMRSAASDGSDRGGSVIKPNVVTYNTMIAIYGKSLEDEKAGSLVQDMQANGIQPNAITYSTILSIWVKAGKLDRAGKLFEKLREAGTEIDPVLYQTMVVAYECAGLVSQSKRLLHDLKDSEDVPKETAIKILANAGRVEEAAWLFRRAADAGDVRDTSVYRMMIDLFAKSRRHRSVIEVFDEMRKAGSLPDSETIAITMNAHGKLKEFDKAAALYRAMREEGCVFSDRVHFQMVSLLGAQKDFDALEALLGELSDDPSIDKRELYLVAAGVYERACKFDESSQIISQIRSPNAIGVQKFR >ONIVA01G41130.1 pep chromosome:AWHD00000000:1:34887999:34893525:1 gene:ONIVA01G41130 transcript:ONIVA01G41130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSSLSLSLSSDFFCASSKLPSSPRSRPPSVTRSADQGRLLASRLRLASLPSPNADATAYLTRRATRLRGAANRMAAPGLDEVMAFLTDHGFAGAASALRDDVLARAASAAGDAGSDSDAALDPQLPPLRLPASTSGGGGAPAAPPASPGSSSDSASSSAFVSMRSSPSGMLNPYGVWSPRHSDTSSSEMEFGTARQYDATDFFFQEGWLYDDHLFHSKSELDDDNGEDKEEDKFVLGVHDGSGRIEMGVLSAGDDHRHEHVGNDGCEGCAEVYTCSSPLCGCCGEGLKNGGLEVVKDSSSTVYGRYQIIDDQTEILDECGMDGFQLKHPADVVLECHLPRDSGEGDERSELSVVEKELQMLSSFGTRVDADAFTSPGLVHDITDNAKLDDSIEKNMKNSSDKYLKEGYSIEPFPESSVDDTFEFGDIGPLNTDAQNSTAAKAEEENPETNVDLALANFHREYEVFELRIVHRKNRTGFEVSKDFPIVINSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGTDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEYHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGGEAYFTLPRIQAIARQCLEALVYLHHLRIIHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGEVLFPNEPVPIMLAQMIGIIGPIDMEMLALGEETQKYFTDDYDLFTKNEETDQFEYLIPEKSSLQHHLQCPDSEFVDFLSYLLQINPRRRPTASEALQHQWLSFAY >ONIVA01G41120.1 pep chromosome:AWHD00000000:1:34870662:34875822:1 gene:ONIVA01G41120 transcript:ONIVA01G41120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCMQEWPEPVVRVQALADSGLEAIPRCYVKPPCDRPAPEADDASSGASIPVVDLGNGGDDEGGQLAEAVAAACRGWGFFQVVNHGVRPELMRAAREAWHGFFRLPLQEKQKYANSPRTYEGYGSRLGVEKGAILDWGDYYFLVLSPDAAKSPAKYWPANPGICKEVSEEYGREVIKLCERLMRLLSASLGLDETRFQEAFGGADCGAGLRANYYPRCPQPDLTLGLSAHSDPGILTVLLADDHVRGLQVRRRDGHWVTVQPLPDAFIVNVGDQIEILSNSMYKSVEHRVIVNAEEERISLALFYNPRSDVPVAPAPELVTPERPSLYYRPMTFDEYRVYVRKNGPKGKAQLEALKGQSITQNNE >ONIVA01G41110.1 pep chromosome:AWHD00000000:1:34853873:34860110:1 gene:ONIVA01G41110 transcript:ONIVA01G41110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPASAGKKKPHQARNGGVGGGGGGGGGGGGGGGAGQKRLPVLGEEGCELIGGIDDKYALDRELGRGEFGVTYLCMDRDTKELLACKSISKRKLRTAVDVEDVRREVAIMRHLPKSASIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAANVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRRMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKAMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGKGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPEELREALVDDGAGDSMEVVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLIKDGSVKLVNE >ONIVA01G41100.1 pep chromosome:AWHD00000000:1:34843645:34847362:-1 gene:ONIVA01G41100 transcript:ONIVA01G41100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDLVTLFGPEQLPTPQPDLPPHPSPDAASDAVQPDDIAADAAASAQIAEPQVDGPASGTTVELKFCASCSYRGNAVTVKKMLETSFPGIHVVLENYPPPFPKRALSKAVPFLQVGAMATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGQLVFSKLSERRFPSEFELRELIGNRLPDSQFGKNLEKVLGVLINTMLAC >ONIVA01G41090.1 pep chromosome:AWHD00000000:1:34840053:34842325:1 gene:ONIVA01G41090 transcript:ONIVA01G41090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPTLAALLFSLAAIHGIVVVAVDAAAAAVPRGGSARRIPAVFAFGDSTLDAGNNNRLVTAVRADHPPYGQDFPGGAPTGRFCDGKIMSDFLVEALGIKGLLPAYHSGSEVLSDADAATGVSFASGGSGLDDRTATNAGVATMASQIADFSELVGRMGAGKAGEVVNKSLFLVSAGTNDMIMNYYLLPSKYTLDQYHALLIGKLRSYIQSLYNLGARRLLVAGLPPVGCLPVQMTLAALRQPPRPQGCIAEQNAEAEKYNAKLRKMLTKFQSTSPGAKAVYADIYTPLTDMVDHPQKYGFAETGKGCCGTGLLEMGPLCTDLMPTCTTPAQFMFWDSVHPTQATYKAVADHFLRTNMLQFDD >ONIVA01G41080.1 pep chromosome:AWHD00000000:1:34835635:34838334:-1 gene:ONIVA01G41080 transcript:ONIVA01G41080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLISAHLLSLPSSAPRSRPQSRPPLSPPAAASCSFDLPRPRRLVAYGSRRKGTMAAAIPPEASGLAHDLGSAAVTAGVALALLRFFEELAKRGVFEQKLNRKLVHITIGMVFLLFWPLFSSGSYAPFLAAVAPGINIIRMLLLGLGVMKNEAMVKSMSRSGDPRELLKGPLYYATTITFATSIFWRTSPIAIALICNLCAGDGIADIVGRRLGQEKLPYNPNKSYAGSIAMALAGFMASIGYMHYFQSFGFIEESWSLAFGFLVVSVTAALVESHPISTHLDDNLTVPLTSFLVGSLVF >ONIVA01G41070.1 pep chromosome:AWHD00000000:1:34828084:34830903:1 gene:ONIVA01G41070 transcript:ONIVA01G41070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMELLVGMWNLSATHDISGARCRVLGHAPEAVVEVDGVGLEVDVVERVGDVGGNLEARPPRREQGEGRVVRVAVEREERRRVEEELVGEEERAVGRRGDAEEARDGGVRSVVEFDDDGGDYGPESFSGLLRKLSELEQSVASWGRKSHHQNHDKKHSPPSSSPLPSQEDRKEKNGSNGDATDKPGDCRDGDDGVGVGLDGSVAVVKQSDDPLGEFRQSERARRRRGPSTPRRVPLHRHTDAATRSWLGPRRRRRELPCSHAVTAAAALLPCSRVVVAVAVAATAATSLAAGPPLPHHPVGRRRRSTQHQTRRVREGGERERRREGDDVAS >ONIVA01G41060.1 pep chromosome:AWHD00000000:1:34824940:34833343:-1 gene:ONIVA01G41060 transcript:ONIVA01G41060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVB5] MASPPQPGPYMPDLPAVPAWLNKGDTAWQLVAATFVGIQSMPGLVVIYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWAKAGPALTQDFLVQRAVFPATAHYGSDGTLETPRTEPFYAEAALVLFEFEFAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNVTATVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWSAMLMGMFAGSVPWFTMMILHKKSTFLMKVDDTLAVFHTHAVAGILGGVLTGLLATPELCALDCPIPNMRGVFYGSGIGQLGKQLGGALFVTVWNLIVTSAILLCIGLFIPLRMSDDQLMIGDDAAHGEEAYALWGDGEKFDVTRPETTRTGGAGGAGREDTMEQRLTNMGARAGHIITLSPPLPFSSLSHSSRLVLGRPAPPADRVMRERRSGGQRCRGGGGDGDGDDDAGAGEQGGGGGDGVGAGELSPSSPRAQPRSCSSVGVAMKGNPPRSARTTSAPGSLRLPELAQRGQD >ONIVA01G41050.1 pep chromosome:AWHD00000000:1:34822030:34824256:-1 gene:ONIVA01G41050 transcript:ONIVA01G41050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0FVB4] MASPTRPGPYMPRPPAVPEWLNTGDNGWQLAAATFVGLQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWGKAGAALTEGFLVARASVPATAHYGKDGALESPRTEPFYPEASMVLFQFELAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVCAFSLWGGGFLYQWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNITASIAVLNTNVSAAASLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWAAILMGICGGSLPWFSMMILHKRSALLQKVDDTLAVFHTHAVAGLLGGFLTGLFALPDLTAVHTHIPGARGAFYGGGIAQVGKQIAGALFVVVWNVVATTVILLGVGLVVPLRMPDEQLKIGDDAAHGEEAYALWGDGERFDVTRHEGARGGAWGAAVVDEAMDHRLAGMGARGVTIQL >ONIVA01G41040.1 pep chromosome:AWHD00000000:1:34818017:34822059:1 gene:ONIVA01G41040 transcript:ONIVA01G41040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) TAIR;Acc:AT3G51780] MMSGVGGGRSGGRDAEGEWEVRPGGMLVQRRDGDTGPAVRLRVSHGASFRDVAVPAHSTFGKLKRLPGKCTTNCRSRGQADGLWSCSFNYNILLDCGKQMYLGELKGVLTQATGVEPERQRLFFRGKEKSDNEFLHTAGVKDGAKLLLLEKHAPANVEQRAEPVIMDESMMKACEAVGRVRAEVDRLSAKVCDLEKSVFAGRKVEDKDFVVLTELLMMELLKLDGIEAEGEARAQRKAEVRRVQGLVETLDKLKARNANPFSDQNKSVSVTTQWETFDNGMGSLNAPPPRVSSTQINTDWEQFD >ONIVA01G41030.1 pep chromosome:AWHD00000000:1:34809847:34810518:1 gene:ONIVA01G41030 transcript:ONIVA01G41030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYHYENMHDPRGFPIHPQPYHLHPTAGGLGEGRMRGGGRRRPGAKLSTDPQSVAARERRHRISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQVTLHQAALVQHEEGCQHADVAAAFAAADADLALELNHRHGGAGDDDAGMTTLEMAPMQEAVGYGDGPAHQMMQQALDPAGQLMMGGAHQLPPLPCCVFVQETDPSCYSVCNVHGEESGAQGSY >ONIVA01G41020.1 pep chromosome:AWHD00000000:1:34799530:34800096:-1 gene:ONIVA01G41020 transcript:ONIVA01G41020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQDASSQALGLRWRYGDVDDGNFAVRGRAVPLLVALLFVLVCFVAVSLYLRWACPCHRYGRDTTPMPATTSSGFSSHAAATAPGSASSVTGLDDATIASMPVALYRAVASAAGDGDDGGAAQCSICLGEFEEGEKVKALPLCGHGFHPECVDAWLRSRPSCPLCRSSLLQAAATTKPDVAGSDAV >ONIVA01G41010.1 pep chromosome:AWHD00000000:1:34788238:34795603:1 gene:ONIVA01G41010 transcript:ONIVA01G41010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRKFSQAGGGGGGGVFDPFGTKQAVSSLRKGGRLPVYVAGVFFVIFVIIMYGEDIRSLTLDPIARAGTTPARIVEPVVTEERHVARVNPPRREVSSAEKAAALPLDVDERPKLATPTPTEAAKEVPKVEKIRKPKKPKTTKKKPRKPRPAKKTVAAAAGGLLGVPETCDLSKGEWVFDNTSYPLYREEQCEFLTSQVTCMRNGRRDDTYQKWRWQPKDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAMSPGKKYVTWEDQRVVFHAVEYNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADAIAAHAQNWRGVDYLVFNTYIWWMNTLNMKIMRPGGQSWEEHDEVVRIEAYRKVLTTWASWVNDNIDPARTSVFFMSISPLHISPEVWGNPGGIRCAKETMPLLNWHGPIWLGTDWDMFHAAANVSRTAAPRVPITFVDVTTMSERRKDGHTSVHTIRQGKVLTPEQQADPGTYADCIHWCLPGVPDIWNLILYTRIMSRPQLV >ONIVA01G41000.1 pep chromosome:AWHD00000000:1:34781759:34783515:1 gene:ONIVA01G41000 transcript:ONIVA01G41000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSKKKLGKQRKEIEEFLKFVYGITRIDRLPEVGGGRTWTGYKKGKLEMGRLVTVGCSEGGSEVRRDWLSRRQGRRKGIGKRQIIGSGTSKHTC >ONIVA01G40990.1 pep chromosome:AWHD00000000:1:34775613:34777377:-1 gene:ONIVA01G40990 transcript:ONIVA01G40990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTAAASSMSGANGGGGGGSVFPGPPPPTPSNHHHALPSSGAAGGGTDAALSTILQRLLLSSPAPILRSPLSSRSRAPPSLPPLVSLGSSGTLRLDAAADVGYFHLEGHGVPSQLPSSALAELSLVDASARRASNLLTLGFTEEDQQEADGAEDPALVFDVDDEGEMGALPAAAAEYARRMRDVGMQVVAMMSGCPEVGFGEAPFAEGRRKARCLMWVSKVAAGEAAPPAAGKAKAYPYVVGIHCQWEASGKEAAPASWVMDDGGEWTAVGARDGALLVTIGDIAQVWSNGKLKKVRGMARPVSSAPGAGHGAEADRLSVTVLITLPLDSVISPLVPVTDAGEEGGDDEVDGAGDDGDGWRFHSFLLEDLAWRVYNGRLQFKDPLVRYRI >ONIVA01G40980.1 pep chromosome:AWHD00000000:1:34768825:34773197:-1 gene:ONIVA01G40980 transcript:ONIVA01G40980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSCDVCKEAPSKYKCPACRTPYCSVACFKNHKDKFCQKTIPLEEVSKSSLQEEISRNSRSLEEATNCPNDKDQTPSLLSDTTCPTQYPNTLHSAKSLEVEDPSWLVDKNGLRSLAESNEIRDALKDCKLQQMLLKIDGSAEPEKILDIVSPQQ >ONIVA01G40980.2 pep chromosome:AWHD00000000:1:34768825:34773197:-1 gene:ONIVA01G40980 transcript:ONIVA01G40980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSCDVCKEAPSKYKCPACRTPYWALQTVSLSLAVARWHALKITKINFARRQYLWKKLASHLFRRKFQGTLGHWKKQQIVLMTRIKPLSDTTCPTQYPNTLHSAKSLEVEDPSWLVDKNGLRSLAESNEIRDALKDCKLQQMLLKIDGSAEPEKILDIVSPQQ >ONIVA01G40980.3 pep chromosome:AWHD00000000:1:34768825:34773283:-1 gene:ONIVA01G40980 transcript:ONIVA01G40980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKPLSDTTCPTQYPNTLHSAKSLEVEDPSWLVDKNGLRSLAESNEIRDALKDCKLQQMLLKIDGSAEPEKILDIVSPQQ >ONIVA01G40970.1 pep chromosome:AWHD00000000:1:34765458:34767143:-1 gene:ONIVA01G40970 transcript:ONIVA01G40970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEAAGSSRRMDLNLYLGLPRAPRPRRSDLGSDLALSTPMPSSPSSSAASVDAPPPPPELSHPPYSPSHADLSPPLQEVYSLYNPDDPPASETHLPPYAPPPAPVVSELPDDLEFGLHPPPPLVRASELLGWEDRPSSSTASSSFLPDTAARYWRLLEQTGSRWLRARRFRSDLPPLSSEAYPAGRDAAAVPVLQHEPMNDTVEHNKVAADGAEVGASEESEEQGRSAATFECNICFDMASEPVVTSCGHLFCWPCLYQWLNVYSNHKECPVCKGEVTEANITPIYGRGNSCLDAEKAVEGGKQTGPTIPPRPHGNRLESFRQQFHHLRPISRRLGEAHGLLSSWRRLLDQQIMNTASRFEGPPESAVQEMVDTAHAQHTSRLSRLASRMRARRLLREADNPNPPDGGSTSPDSGLIRNNASDPSRNGPSSLLPDGIDWLRGLTLLGYEDTERFASAMSDFRRITGPSQYGASASSSNPPNLESTFDRTHVVAAPSADQASNSSTAAVIQGDAGISESAGEPSNAGSSRSLRRRGRSSALGSLDADGGGLQRNKRRRIN >ONIVA01G40960.1 pep chromosome:AWHD00000000:1:34758734:34763161:1 gene:ONIVA01G40960 transcript:ONIVA01G40960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLRSLSRISRRGCVGGAGPSPFHHSRLPYSPFSTAAADAVERRGFAGLGPTAKGEKARVVVLGTGWAGSRLMKDIDTTGYEVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSKSPGSYFLLARCTAVDPDAHTIDCETVTEGEKDTLKPWKFKVAYDKLVFACGAEASTFGIRGVTDHAIFLREVHHAQEIRRKLLLNLMLSDVPGISEEEKRRLLHCVVVGGGPTGVEFSGELSDFIIRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLTKSGVRLVRGIVKDVQPNKLILDNGEEIPYGLLVWSTGVGPSSFVKSLPFPKSPGGRIGVDEWLRVPSARDVFAIGDCSGFLESTGKDVLPALAQVAERQGKYLAHLLNHVMKAGGGHANCEIDVDLGPAFVYKHLGSMATVGRYKALESKGISLAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTLLFGRDISRI >ONIVA01G40960.2 pep chromosome:AWHD00000000:1:34758734:34762819:1 gene:ONIVA01G40960 transcript:ONIVA01G40960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLRSLSRISRRGCVGGAGPSPFHHSRLPYSPFSTAAADAVERRGFAGLGPTAKGEKARVVVLGTGWAGSRLMKDIDTTGYEVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSKSPGSYFLLARCTAVDPDAHTIDCETVTEGEKDTLKPWKFKVAYDKLVFACGAEASTFGIRGVTDHAIFLREVHHAQEIRRKLLLNLMLSDVPGISEEEKRRLLHCVVVGGGPTGVEFSGELSDFIIRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLTKSGVRLVRGIVKDVQPNKLILDNGEEIPYGLLVWSTGVGPSSFVKSLPFPKSPGGRIGVDEWLRVPSARDVFAIGDCSGFLESTGKDVLPALAQVAERQGKYLAHLLNHVMKAGGGHANCEIDVDLGPAFVYKHLGSMATVGRYKALVDLRQSKAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTLLFGRDISRI >ONIVA01G40950.1 pep chromosome:AWHD00000000:1:34754658:34758349:-1 gene:ONIVA01G40950 transcript:ONIVA01G40950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSTPLFSPCCAAATAKLGAACPSSYGSRRRPCTRRGRLSVVAVQTGPQKPSPSSSSQAGTESETLQNLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKAEPAWMLDFRLAAYRRFLTMVQPTWSDNVYEPVDLQSICYYSAPKTKPKLNSLDEVDPELLNTFDRLGIPLSEQKRLANVAVDAVIDSTSIATTHREELMKKGVIFCSISEAIREYPDLVKRYLGSVVPPADNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADERSTVSYLEGCTAPAYDSNQLHAAVVELVCEEQAEIKYSTVQNWYSGDEEGKGGIYNFVTKRGRCKGRGSKISWTQVETGSAITWKYPSVELLGDDTVGEFYSVALTKDYQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQINSGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCISSRVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRAVFENLPYEFAHEMDALMNLKLEGSVELIGLRIHESHNSYYSLFLSTIPQNNQDDDDSLIFGTIIPRIAPLARSNAVDALAASSIRTDDTPARQSAA >ONIVA01G40940.1 pep chromosome:AWHD00000000:1:34752043:34754633:-1 gene:ONIVA01G40940 transcript:ONIVA01G40940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGDPRDGPSTEHAFEGQPVPPWTEQVTLRAVVASAALGVALSSVMMNLVFTSGIIPSLNISAGLLGFFLLKAWTRLLDQLGSPGRPFTRQENAVVQTCVVACASMTYSGTPPRAARRRALASSCIASSIDLAVVTPTSLAAGLFLGGFGSYLLAMDRKTAEKTSTGDDSSASVSEPEFGRMMAFFFLVSFVGLLAIVPMRKTMIIRHRLTFPSGSATAHLINSFHTPHGARQAKRQVSLVLRSSLASLFWSIFQWFYTGGPNCGFTSFPTFGLSAFNRGFYISLNGTYVGIGMISPHLINVSMLFGSIISWGIMWPYIRSKRGIWYDADLQETNLKSFSGYKTKKKKDDVDDVHQESVRLHFVVIEKVFCAIAMILGDGIFQLVAISLRTIHTVRHHQVAAETLRSFSDVDAMPRPVMSFDDRRRTQVFLREHIPSTFAISGYVVLATVSTVVIPLMYGQVRYYHVAAAYAFAPVLAFCNAYGTGVAETNFSAQYNKLVILMFASWIGIKNGGIVGSLVICGIVSSIVSTASDFMSDFKTSYLTLTSPRATLVSQVIGTAMGCVVNPAVFTVFHHFYEMNPNKTYQAPLAKIYRGIAVLGAGGLELPKYCLAISATFFVLALAVCAMREVAAHGKWRAEPYIPSVTGMAVSFLLVPAVSIDMCIGSLIVFLWNRNDKLGSQVFGPVLASGLICGDGLFSIPYALLARYDVTPPICIRFLARVQNDKLDAFLASKAKAG >ONIVA01G40930.1 pep chromosome:AWHD00000000:1:34749643:34751622:1 gene:ONIVA01G40930 transcript:ONIVA01G40930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FV99] MASTVAINLIGAQAGIISKLRNCDITSYSGLKARSSISFESRSSFLGQNASLRSSISPRIVPKANSGSHISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKTLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFIAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTNEETEELTRRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFVQSELTELPFFASRVKLGKNGVESIISADLEGVTEYEAKALESLKPELKASIEKGIEFVHKQQAAATS >ONIVA01G40920.1 pep chromosome:AWHD00000000:1:34747102:34748262:-1 gene:ONIVA01G40920 transcript:ONIVA01G40920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEIVTRRDKEGLRCNGADGEKLPQLLDSPLPTPRRSCASADAASVRCRREASPLRTQVPFSWESSPGVPKRSSACMHMAQEIMPPPKPPPGRWTQCPGSNWCGYGNSTAASSDDDDASFSDALDRVSTPDQRVGSFDRITSKRFEDIFLGRATSFVNDRSSRRAPAEASLATPSSSSGRGPKHWRRRTTRRDHDGQQPTARQSNDHPVQVQLLPRININGRDEQMSPRACGLMVFFPWSAKPAVCGFRSPPAQYAPSPLAGASNPSSSQSRRFVTLRDAMQEENKTGSGGRDLPRPRGEKRSREEWQAASRGWGVSSLLDASKKYCTDARKALSKLSIGLGTDSGSGSGSPRVGSRERKCGKQDPSSTMPAMATKLTQLRTSRN >ONIVA01G40910.1 pep chromosome:AWHD00000000:1:34745846:34746248:-1 gene:ONIVA01G40910 transcript:ONIVA01G40910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLQCCWLAALPFELVTNSSAFRLEKDCPVLRCALVLLIVASSEVWSVQGEDCWNVHNVHYLVCTHTHKCRETCQDHGNVDGRCKWGFSHLWPICECLPPNFQ >ONIVA01G40900.1 pep chromosome:AWHD00000000:1:34741795:34742562:1 gene:ONIVA01G40900 transcript:ONIVA01G40900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPWVKTRPSSPSSAAASPSPSTALAAAAASPRLSFSSPSLKDLQALLLSDHSPSPTPPQLPPNTAPCSPSVRVFHRVRVAASALRALRTLQAPHAAAAEADRRVVLYFTSLHVVRSTYEDCRAVRAILRGLRASVDERDLAMDPRYLQELGALLPRARGVTLPQVFVGGRHLGGAEEVRRLHESGELRRVVAGAGATAFAACSRCGGERYVLCGSCNGSHKRYSLKGGGGFRTCAGCNENGLVRCPDCSPPAV >ONIVA01G40890.1 pep chromosome:AWHD00000000:1:34738872:34740140:-1 gene:ONIVA01G40890 transcript:ONIVA01G40890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFLLVLLLCTPKLSQATTVTWQLPNLPLGVPVLENRASKQWHIDRRAREEKKRTRREGNLGMKRAGRNRAAKPRLSNAPRPRFSTPPRLDSAEERVTKFGHTRSRFVGQR >ONIVA01G40870.1 pep chromosome:AWHD00000000:1:34730108:34731972:-1 gene:ONIVA01G40870 transcript:ONIVA01G40870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKPASPSPPPSTAVVPAANTAAAADKPAVEQLLEVAEDEVVIDFKPNAKCRADLRLRSLHPSLPVAFKVQTSSPLKFLVSPPRGAVQPLSSASLRVVLRPQQHAPASFPRSRGDRFLVLSSLSAAHLDSSASSSAATGGTTAIRLRVFFGGPYLLRLAAEAGDAAAVRLILRRQPHLLPLLEPEAAVPDGAEQWAPLHAAAARGDTGEVRRLGPDSMGARDREGRTALHVAAAAGEAEAAAALVDMGADAAATDARGRTPLDVAREKGYQEVVDVLERWELVMTAARRGDLQSLESLLSKRAGVRGRDQYGLTALHLAAIKGHCDVIALLAGSGCMDIECEDVEGHRPLHLAVEGGSAEAVELLLDMGAEVHAASRRGATPLQMAVTMGYEAIALLLRGRGADEAAAPALCIASSSSSSISCA >ONIVA01G40860.1 pep chromosome:AWHD00000000:1:34727196:34729446:1 gene:ONIVA01G40860 transcript:ONIVA01G40860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Has 114 Blast hits to 112 proteins in 39 species: Archae - 2; Bacteria - 0; Metazoa - 17; Fungi - 6; Plants - 67; Viruses - 0; Other Eukaryotes - 22 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MLRLPTLLPLKPSPPTTGLNPIHGRRHGHHPSRLLASSAPPPPPPRPPKPEPRTSHENLGDDTPDFPTTKPRKPRRGRRSEAAAVEDFVRGRLEQVFASIRERDPEVLEGKGDILKRKEELSDEEGKEGTGEEEGELKAVVEEEDPSWPLDADIGWGIRASEYFDKHSIKNVTVDGVEIDWEREVEEGWVKEINCLEWESFAFHPSPLIVLVFERYNRAADNWKFLQELEKAAKVYWNSKDRLPPRVIEVVGHMLNLIEFQTVKVDMNIERDLAFALQVKECPQLLFLRGNKILYREKELRTADELVQMIAHFYYNAKRPSCVNPEAIAPPC >ONIVA01G40860.2 pep chromosome:AWHD00000000:1:34727196:34728989:1 gene:ONIVA01G40860 transcript:ONIVA01G40860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Has 114 Blast hits to 112 proteins in 39 species: Archae - 2; Bacteria - 0; Metazoa - 17; Fungi - 6; Plants - 67; Viruses - 0; Other Eukaryotes - 22 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MLRLPTLLPLKPSPPTTGLNPIHGRRHGHHPSRLLASSAPPPPPPRPPKPEPRTSHENLGDDTPDFPTTKPRKPRRGRRSEAAAVEDFVRGRLEQVFASIRERDPEVLEGKGDILKRKEELSDEEGKEGTGEEEGELKAVVEEEDPSWPLDADIGWGIRASEYFDKHSIKNVTVDGVEIDWEREVEEGWVKEINCLEWESFAFHPSPLIVLVFERYNRAADNWKFLQELEKAAKVYWNSKDRLPPRVIEVVGHMLNLIEFQTVKVDMNIERDLAFALQVKECPQLLFLRGNKILYREKELRTADELVQMIAHFYYNAKRPSCVNPEAIAPPC >ONIVA01G40850.1 pep chromosome:AWHD00000000:1:34723226:34724311:-1 gene:ONIVA01G40850 transcript:ONIVA01G40850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGPGPSSAAAGGAPAVAAAPQPPAQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLRYLDQFGKTKVHASGCAFYGQPSPPGPCPCPLRQAWGSLDALIGRLRAAYEESGGTPESNPFAARAVRIYLREVRDSQAKARGIPYEKKKRKRSQAAQPAGVEPSGSSSAAAAAAGGGDAGSGGGAAATTTAQPGGSGTAPSAS >ONIVA01G40840.1 pep chromosome:AWHD00000000:1:34701471:34706954:1 gene:ONIVA01G40840 transcript:ONIVA01G40840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSALSFLSDRKRPIVVSVFLFLLLSSLFLLFSPAPAALPFFSFPSSHLSSSSSSPIAATPPPLTPVSVPANASSPETPVDASGGSNAGATAPTRDAPQPDRSRSTPPAAVGVRGSAGTNGTSRGVSAGGDGAGAAAAAAAGVAVPSWEVCEVGKGVVAADYIPCLDNVKAVKALKSLRHMEHRERHCPTAPRPRCLVPLPTGYRSPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGNYFVFPGGGTQFKAGVTKYIRFIQQIMPNIEWGTHTRTVLDVGCGVASFGGYLLDRNVITMSVAPKDEHEAQIQFALERGIPALLAVIGTQKLPFPDNSFDVIHCARCRVHWYADGKYFKPLLICGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEDDWNAMVTLTKSICWRTVVKSKDVNRIGVVIYQKPTSNSCYFERKQNEPPLCPSREGSHSPWYAPLDSCLLLPAVSSSGEGNSWPISWPERLNIKYSTISDNASTQFSQEKFDSDTKHWKDLVSEVYFNEFAVNWSTVRNVMDMNAGFGGFAASLIHKPLWVMNVVPFDHPEALPIIFNRGLIGVYHDWCESFNTYPRTYDLVHMSYLLQGLTNRCDIIEVAAEIDRILRPGKWFVLQDTEQVIRKMDPVLRSLHYRTAIVKQQFLVATKGFWRPYSAGSESR >ONIVA01G40840.2 pep chromosome:AWHD00000000:1:34701471:34706954:1 gene:ONIVA01G40840 transcript:ONIVA01G40840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSALSFLSDRKRPIVVSVFLFLLLSSLFLLFSPAPAALPFFSFPSSHLSSSSSSPIAATPPPLTPVSVPANASSPETPVDASGGSNAGATAPTRDAPQPDRSRSTPPAAVGVRGSAGTNGTSRGVSAGGDGAGAAAAAAAGVAVPSWEVCEVGKGVVAADYIPCLDNVKAVKALKSLRHMEHRERHCPTAPRPRCLVPLPTGYRSPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGNYFVFPGGGTQFKAGVTKYIRFIQQIMPNIEWGTHTRTVLDVGCGVASFGGYLLDRNVITMSVAPKDEHEAQIQFALERGIPALLAVIGTQKLPFPDNSFDVIHCGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEDDWNAMVTLTKSICWRTVVKSKDVNRIGVVIYQKPTSNSCYFERKQNEPPLCPSREGSHSPWYAPLDSCLLLPAVSSSGEGNSWPISWPERLNIKYSTISDNASTQFSQEKFDSDTKHWKDLVSEVYFNEFAVNWSTVRNVMDMNAGFGGFAASLIHKPLWVMNVVPFDHPEALPIIFNRGLIGVYHDWCESFNTYPRTYDLVHMSYLLQGLTNRCDIIEVAAEIDRILRPGKWFVLQDTEQVIRKMDPVLRSLHYRTAIVKQQFLVATKGFWRPYSAGSESR >ONIVA01G40830.1 pep chromosome:AWHD00000000:1:34698668:34701066:1 gene:ONIVA01G40830 transcript:ONIVA01G40830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCSCSSSSRILPRILILSLVLFSFATAPCAAGRVTMSVYYETLCPFCSGFVVNDLARIFRDGLSPVVDLRLVPFGNGRVSPDGSITCQHGEEECQLNAIEACVIRLWPDAEQHFPFINCIEHLALTQKWNAWQSCFQETGLASQPVMDCYNSGYGTQLQLQYAAETNALQPPHQFVPWVTVNGRPLGDDYTNFEAYICRAYDGELPEACRGKHLAIAQQTRASRGGKRNPQKLAVLLAFCIAVWF >ONIVA01G40820.1 pep chromosome:AWHD00000000:1:34695843:34698004:1 gene:ONIVA01G40820 transcript:ONIVA01G40820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58490) TAIR;Acc:AT5G58490] MAATGDSSGALVCVTGGSGFIGSWLVRLLLDRGYTVHATVKNLHHDYTEPYAGSSRSPVFSSLVEDDGETRHLQALDGADTRLRLFQMDLLDPASITPAVDGAHGVFHLASPLTLHPTQDPEATNSPFTIAQLQLQYCLHTCTNAVLSGELLKPAVSGTLNVLRAAKDCGVARVMLMASQVAIVPNPEWPADKVIDDDSWADVELLKKHQHWYNVSKTLAEKAAWDFAAKEGLQLVALNPGLVLGPMLMPSPTSSLHMLLQILGGQRFDIDDFYIGCVDVRDVAQSAVVIYENTSAQGRHLCIESIERLIDFHDKLAELYPEFPIHRIQEDKQGWVVRSKAASKKLIDLGVHFIPFEKTIRDSVDCLRSKGYI >ONIVA01G40810.1 pep chromosome:AWHD00000000:1:34686017:34686643:1 gene:ONIVA01G40810 transcript:ONIVA01G40810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAAVRPSPPPQLSRPIGSGAGGGKACPAVPCEVARYHEHAVGAGQCCSTVVQAIAAPADAVWSVVRRFDRPQAYKKFIKSCRLVDGDGGEVGSVREVRVVSGLPATSSRERLEVLDDDRRVLSFRIVGGEHRLANYRSVTTVHEAAAPAMAVVVESYVVDVPPGNTWEETRVFVDTIVRCNLQSLARTVERLAPEAPRANGSIDHA >ONIVA01G40800.1 pep chromosome:AWHD00000000:1:34680605:34682072:-1 gene:ONIVA01G40800 transcript:ONIVA01G40800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTILLLVVLLLSSTTTISTSKRTQPKFSAIFYFGDSVLDTGNNNYIPTLAVGNHAPYGRNFPGRKPTGRFSNGRLVPDLLNEKLQLKEFSPPFLEKDLSNNDIMTGVNFASAGSGFEDQTSRLSNTLPMSKQVNLFKEYLLRIRNIVGEKEASRIIENSLIFISSGTNDFTRYYRSLKRKKMDIGEYQDSVLQIAQASVKELFSLGGRQFCLAGLPPFGCTPIQITLSGDPDRACVDEQNRDAQAYNSKLEKLLPALQGSLHGSKIVYLDAYQAFKEILDNPAKYGFIEITRGCCGTGLREVGLLCNALSPICRNESSFVFYDAVHPTERVYRITTDYILKNAIPQFS >ONIVA01G40800.2 pep chromosome:AWHD00000000:1:34680605:34682072:-1 gene:ONIVA01G40800 transcript:ONIVA01G40800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTILLLVVLLLSSTTTISTSKRTQPKFSAIFYFGDSVLDTGNNNYIPTLAVGNHAPYGRNFPGRKPTGRFSNGRLVPDLLNEKLQLKEFSPPFLEKDLSNNDIMTGVNFASAGSGFEDQTSRLSNTLPMSKQVNLFKEYLLRIRNIVGEKEASRIIENSLIFISSGTNDFTRYYRSLKRKKMDIGEYQDSVLQIAQASVKELFSLGGRQFCLAGLPPFGCTPIQITLSGDPDRACVDEQNRDAQAYNSKLEKLLPALQGSLHGSKIVYLDAYQAFKEILDNPAKYGMLSPKFAMITGFIEITRGCCGTGLREVGLLCNALSPICRNESSFVFYDAVHPTERVYRITTDYILKNAIPQFS >ONIVA01G40790.1 pep chromosome:AWHD00000000:1:34674378:34682874:1 gene:ONIVA01G40790 transcript:ONIVA01G40790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVKTLGRTDTMTEDILKVFSNYDGRLSLDKLYATRAAAAAAAVAAAGGAGAGEHSVPASPPMPPPPAVPPAVAAMPAVTSLERTVRTLDRQISQFVTMDRLIWADSADADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRCMARLEDEFRALIERPDDVAPPAPGGFASDESEEEDYDADDGYGDEPIPIAKPVSDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYAAARRGFIDESVARLGIHARTIDEVHSLPWEELEFDIARWIPAFKMVFRILIPSERRLCDRVFDGLAPYGDLAFVAAVRTQVLQLISFGDAVSAASRAPERLFRVIDMYEAVRDLLPDLDPVFADPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVSVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGAVGGAAIAVDPDRPTSSLAVHIAWIMDVLHKNLETKSKIYRDPPLASIFLMNNGKYIIHKVNDSELGVLLGDEWMKQMMSRVRRWSLEYQRGAWAKVMSVLQTGGPGIGSLPAKALLQKLRMFNGYLEEICAIQSEWVIADEQLREDVRAAITDSVKSAYMGLISRLKSSPEAAQDLFIKHSPEDVEARIQHLFEGVSKIEVSGGKMLLGETVWSSQI >ONIVA01G40790.2 pep chromosome:AWHD00000000:1:34678097:34682972:1 gene:ONIVA01G40790 transcript:ONIVA01G40790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEDTLQALNDLISQFLCLNRPLWSSSDDADAFLEAVDELTSTIHSLESMSADHILLESFDHLLERCSLRLGEELQHLIDASGFDTNCSYPNTRKSHDDDDDRHTLVAQPVSNFDIIVDALPEGVIFEANRIAKRMVAAGFGDSCAETYASSRLNFIDESIARLGVHAHMAEMFKSASWEELEIQIMCWIPAIRVVFHILIPSERHLCDSIFEGFTSYSDVAFVTACHPFLQLLSFGNFIAAAGKNPECLFRIVDMYDAVRDILPVLDDALNPEVAALRECLGLSIKAILMALENLVRRDPSESCPLDGGVHPMTRYVMNYLVTACVSRHTLEEVMLLEFGSSDPSGNCPIEPDRPTSSLAIHLAWIVDVLMGNLVSKSKVYSHAPLSCVFLVNNGIYIIKKVNGCELKVLLGEDWIKVIHSKVNQWILEYRRATWGKAIMILEMDKRFCSNVNVITEKLSRFNNFVEAICQVQSRWVLVDKQQGVDFSILVEELVIPAYRDMAEMLKATGSAGESYMRLEDGDQPKPNGGRPAKQNCLPPRLNSSCIPVTVTRWLHCQAVAVERMSATRIFAPVCKPAPRSLPGCGDWFVDSYEVVAES >ONIVA01G40790.3 pep chromosome:AWHD00000000:1:34677812:34681899:1 gene:ONIVA01G40790 transcript:ONIVA01G40790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEDTLQALNDLISQFLCLNRPLWSSSDDADAFLEAVDELTSTIHSLESMSADHILLESFDHLLERCSLRLGEELQHLIDASGFDTNCSYPNTRKSHDDDDDRHTLVAQPVSNFDIIVDALPEGVIFEANRIAKRMVAAGFGDSCAETYASSRLNFIDESIARLGVHAHMAEMFKSASWEELEIQIMCWIPAIRVVFHILIPSERHLCDSIFEGFTSYSDVAFVTACHPFLQLLSFGNFIAAAGKNPECLFRIVDMYDAVRDILPVLDDALNPEVAALRECLGLSIKAILMALENLVRRDPSESCPLDGGVHPMTRYVMNYLVTACVSRHTLEEVMLLEFGSSDPSGNCPIEPDRPTSSLAIHLAWIVDVLMGNLVSKSKVYSHAPLSCVFLVNNGIYIIKKVNGCELKVLLGEDWIKVIHSKVNQWILEYRRATWGKAIMILEMDKRFCSNVNVITEKLSRFNNFVEAICQVQSRWVLVDKQQGVDFSILVEELVIPAYRDMAEMLKATGSAGESYMRLEDVRSRIQQLFKAMTRETSLREFSMILEASFSPTMFLIRRRYSLKRFTCFDIGRVLDKRDVWSSNPEPADAKFTPVMMSLLDRSFSRNGGENSLSCSFSLRRSGTSRPLENLPVGFLPGKFLP >ONIVA01G40790.4 pep chromosome:AWHD00000000:1:34678097:34682972:1 gene:ONIVA01G40790 transcript:ONIVA01G40790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMEDTLQALNDLISQFLCLNRPLWSSSDDADAFLEAVDELTSTIHSLESMSADHILLESFDHLLERCSLRLGEELQHLIDASGFDTNCSYPNTRKSHDDDDDRHTLVAQPVSNFDIIVDALPEGVIFEANRIAKRMVAAGFGDSCAETYASSRLNFIDESIARLGVHAHMAEMFKSASWEELEIQIMCWIPAIRVVFHILIPSERHLCDSIFEGFTSYSDVAFVTACHPFLQLLSFGNFIAAAGKNPECLFRIVDMYDAVRDILPVLDDALNPEVAALRECLGLSIKAILMALENLVRRDPSESCPLDGGVHPMTRYVMNYLVTACVSRHTLEEVMLLEFGSSDPSGNCPIEPDRPTSSLAIHLAWIVDVLMGNLVSKSKVYSHAPLSCVFLVNNGIYIIKKVNGCELKVLLGEDWIKVIHSKVNQWILEYRRATWGKAIMILEMDKRFCSNVNVITEKLSRFNNFVEAICQVQSRWVLVDKQQGVDFSILVEELVIPAYRDMAEMLKATGSAGESYMRLEDGDQPKVTVTRWLHCQAVAVERMSATRIFAPVCKPAPRSLPGCGDWFVDSYEVVAES >ONIVA01G40790.5 pep chromosome:AWHD00000000:1:34674378:34678033:1 gene:ONIVA01G40790 transcript:ONIVA01G40790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVKTLGRTDTMTEDILKVFSNYDGRLSLDKLYATRAAAAAAAVAAAGGAGAGEHSVPASPPMPPPPAVPPAVAAMPAVTSLERTVRTLDRQISQFVTMDRLIWADSADADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRCMARLEDEFRALIERPDDVAPPAPGGFASDESEEEDYDADDGYGDEPIPIAKPVSDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYAAARRGFIDESVARLGIHARTIDEVHSLPWEELEFDIARWIPAFKMVFRILIPSERRLCDRVFDGLAPYGDLAFVAAVRTQVLQLISFGDAVSAASRAPERLFRVIDMYEAVRDLLPDLDPVFADPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVSVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGAVGGAAIAVDPDRPTSSLAVHIAWIMDVLHKNLETKSKIYRDPPLASIFLMNNGKYIIHKVNDSELGVLLGDEWMKQMMSRVRRWSLEYQRGAWAKVMSVLQTGGPGIGSLPAKALLQKLRMFNGYLEEICAIQSEWVIADEQLREDVRAAITDSVKSAYMGLISRLKSSPEAAQDLFIKHSPEDVEARIQHLFEGVSKIEVSGGKMLLGETVWSSQIFGLDCTILFHGLH >ONIVA01G40790.6 pep chromosome:AWHD00000000:1:34682017:34682972:1 gene:ONIVA01G40790 transcript:ONIVA01G40790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEERRSTTRSRMVTVTRWLHCQAVAVERMSATRIFAPVCKPAPRSLPGCGDWFVDSYEVVAES >ONIVA01G40780.1 pep chromosome:AWHD00000000:1:34672820:34673488:-1 gene:ONIVA01G40780 transcript:ONIVA01G40780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FV77] MASAAAPTPQPLLPVTNPAAAGGSAPSSGSALTDAPLATPAFRLFVSRFSDTARRSLADRRPWTELVDRSAISKPDSLSEATSRLRRNLAYFRVNYAALVAFSLAASLLAHPFSLLVLLAILGGWCFLYVFRASDQPVVLFGRTFTDRETLLGLVVASVLAFFMTSVASLIISGLLVGGAIVAVHGAFRVPEDLFLDDPSVGSNGNTTSRLLSFLGAPGSGV >ONIVA01G40770.1 pep chromosome:AWHD00000000:1:34667644:34670211:1 gene:ONIVA01G40770 transcript:ONIVA01G40770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FV76] MASSSSSRLLFLLACSVLALLAGAEVHHHEFIVQETPVKRLCKTHNVITVNGQLPGPTLEVREGDTVVINVVNHAQYNVTIHWHGIRQFRTGWADGPEFVTQCPIKPGGSYKYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPRENKTYPFEKPAREVPLILGEWWDADPIQVIREAQRTGAAPNISDAYTINGQPGDLYNCSKEETTAVPVKPGETALLRFINAALNQELFVSIAQHKMTVVGVDASYTKPFTTSVLMIAPGQTTDVLVTMDQAPTRYYLAARAYDSAQGVAFDNTTTTAVIEYDCGCATDFGPSIPPAFPVLPAFNDTNTATAFAAGIRSPHEVKIPGPVDENLFFTVGVGLFNCEPGQQCGGPNNTRFTASMNNISFVFPQTTSLLHAHYYGIPGVFTTDFPAYPPVQFDYTAQNVPRYLWQPVPATKLYKLKFGSVVQIVLQDTSIVSPENHPIHIHGYDFYILAEGFGNFDPKKDAKKFNYVDPPQRNTVAVPTNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGYGKLETLEAPPVDLPMC >ONIVA01G40760.1 pep chromosome:AWHD00000000:1:34651916:34665075:-1 gene:ONIVA01G40760 transcript:ONIVA01G40760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFRSEGLKQNKCLPGPHLALSVRYEKCVALRAAYQTLAFPGFDSQTPRLHPESHFPSRPRPQSSPPPPVPAMVGADAESSAVVDAGEGHGDLALDSSSAASTDPLLHPPPSPSSTPSSPTAIADHDAFIEEDGEDDSAPHVPSASDEAAPEFVQITVSEPKKHAEPAAGAAGVIPGSGSYFSYLITTRAADGGLFLVRRRFRDVVALADRLAAAYRGLFVPARPDKSIVEGQVMQRHEFVNQRCAALQRYLGRLAAHPTIGRSAELHDFLTEPSGIPTSAGESPRSDPALSAAMSAAAVTAPTAPAKPGRDIFGMFKDLKQTVANGLVAVRPPPVEEETDAKFVMHKAKLEYFEQHLTTASQQVEALLKAYDDLKATTGQLGMTFIKLAKFEKACNSQRKRAVDMSNFANAVINMSRSQTKLNAKIEIHLGTIYEYLETMTSVRNAFTDRANALLRVQSLSGDLFLLHTQAAKLESVSSRGMGQERLRYQKIEELKETIRKTEDAKGNARQEYELIKENNMNEIIRFNKEKRHGLVEMLKGFVRNQVSYSEHISSIWTKLHGGVKQVQPFLFHSTCSFYSFPVKKFSWVISGIGLSELQNCAPRQRGSARGNGRLRVCFTLPQRPQAAPCSLSGLAPFPLAAGASAPRLRLRRMLPPAPTRNPGACRFIPLLPPKPLLSPAAAAASSRGGLCVAAASRRDFLLLVPSIAAASTLLQSLPLSASAAYDEKQAASPAPGPAAAPAPTSAGEPEAEALSRVYDATVIGEPQAVGKDARRRVWEKLMAARVVYLGEAELVPDRDDRVLELEVVRKLAARCAEAGRSISLALEAFPCNLQEQLNQFMDRRIDGNNLRLYTSHWALERWQEYEPLLNYCRDNGVKLVACGTPLEVSRTVQAEGIRGLSKAQRKLYAPPAGSGFISGFTSISGRSLIDKISAIHGSPFGPSSYLSAQARVVDDYTMSQKIMKEITNGDPSGMLVVVTGSSHVIYGSRGIGVPARISKKMQKKKQVVVLLNPERQGIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHRFQGFRERLLADPKFLHRLAIEEGISITTTLIAQYEKRKGRFLEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSLGDNGSGESLELLKGLLGSLPDNAFQKGIMGQSWNTNQRFASVLMGGIKLAGVGFISSIGAGVASDVLYAARRVLRPSTSVETARRRTPIWKSATVYSCFLGTSANLRYQVIAGLVEHRLGEYLMAYYNQPLLANLLSFVSRTINSYWGTQQWIDLARATGLQTSKKELPSPEISNLPDMPLLECGTTEVQNMDDSNKQQPMK >ONIVA01G40750.1 pep chromosome:AWHD00000000:1:34643664:34644179:-1 gene:ONIVA01G40750 transcript:ONIVA01G40750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDVVRIVHLSGHVDEFSCPVTAGAVLAAHPNHTLTTTWSSAGVGCPTKKLVIVSPDSELKRGRIYFLIPSATLPADRRKKSRQSSNKKSKRPSHHHHKSNGAATAASTAEQDNYLRELLSEKTAASGGQRRRRSGSRVGVWRPQLESIVEEQSD >ONIVA01G40740.1 pep chromosome:AWHD00000000:1:34633719:34641237:-1 gene:ONIVA01G40740 transcript:ONIVA01G40740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYLRQCFLGEVVGDSCCRRHLGGEVSICTFSEVSLAKWWGIPAVDGISAVKCRSAVSDWICVFDSVFVVEICFWLISLMPRVGKRKKVAKAVVISYDESHYDDSESSENFFDGVSKYTDSSSDDNMEKLLLKIFKKQIAKKMKKKFISSSSDVFRKHKAKKAKGVVKSDGSFSRFSTKYFRRVVSSLSPHQMFVIEKYGFKNLLLFDSGGVPKKIAAWISSKVDLKTSEIILKDRVIPITVESFRDILGLPFGGLSFGKDLNILSSYDWCKFVYDWCMNRIKKFQKSKNLGGCLYYWAVSYLDTVDFGERNVPIGFPRMSVWKEGMIKRKQSTYNATAMESDHAEVHNSTDHIDVGDVHVLDANAHKQFASGVVQNEQQTSPCCNHDVDSYVPLENDLPDNIPVAGNFDNAGDFNCASGYLPSMNTGNASTSVVDDGIAESFGDGQALVTPDVGYAKNFKNSSDERFSGCPNSMDLKMWIVLLAGISFHSVEDTPEELIQIKHNREGTARTPNSGIIKKRVFEDLVNSPDLIIVGESKFHDRCNNMIAQSDLIYNASILPTSTAHHASSSGGKIPPHGPRRVLAPAKYTSDPFVQLHRHFPISDVENRYYIAVCRLADSSKWHSYDAVNIDNVKANFYTFGHSLKKSGHEQLVVDPSFADAEKVQKSFEGAAKARRLDLCDMLFFPIHYQQHWFLFIVDVKDRMFVFLDSKHEEHSEFYENLKTFVVDNFQNLWNKFVGSSLDFSVFKTVFPPVPRQDYESDSGVFVMKFMEIWSPRILLPNEFSKQNINNIRVKYVNQIFFHAKNKMLHTEIEDVVLNWFNPEKFARQ >ONIVA01G40730.1 pep chromosome:AWHD00000000:1:34625856:34630860:1 gene:ONIVA01G40730 transcript:ONIVA01G40730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIKGTETNQLHQSEEQINQMDLKGTSEVSKEQKISKISKGSTSAPESAKRKITTVAGQDKALIQSYNKEIVDKHNTSNESDLVQGQVSIITGTPKAFQGDQNGDSDDSENEQNPVDPWSLDILSMAANDKEKQWRV >ONIVA01G40720.1 pep chromosome:AWHD00000000:1:34621563:34624124:1 gene:ONIVA01G40720 transcript:ONIVA01G40720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGSVETSANSRPGTFSFASASFTDLLGGNAGAGGGGVSRYKAMTPPSLPLSPPPVSPSSFFNSPIGMNQADFLGSPVLLTSSIFPSPTTGAFASQHFDWRPEVAAAQSADQGGKDEQRNSYSDFSFQTAPASEEAARTTTFQPPVPPAPLGDEAYRSQQQQQPWGYQQQPAGMDAGANAASFGAAPFQATSSEMAPQVQGGGGYSQPQSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERSLDGQITEIVYKGTHNHAKPQNTRRNSGSSAAQVLQSGGDMSEHSFGGMSGTAATPENSSASFGDDEIGVGSPRAGNGGGDEFDDDEPDSKRWRKDGDGEGISMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAALYRPAPPAAAATSSHPYLPNQPPPMSYQPTGPQPYALRPDGFGGQGPFGGVVGGSSFGGFSGFDDARGSYMSQHQQQQRQNDAMHASRAKEEPGDDMFFQNSLY >ONIVA01G40710.1 pep chromosome:AWHD00000000:1:34612976:34616061:1 gene:ONIVA01G40710 transcript:ONIVA01G40710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGYLLPFVDHRLPLRGYRSSKSPRKPLLPPPSSPSLAPRSSPARFCCPGMEVEVHVDGLQKFAFQPPPRYAQCLNTSNSLEPQQRVLSKRGGDVGEGGPQRRRIASRRHGELRVEARARERCRRPSRRRKAAGRSGDDGDTEAEGGGEDEGSSRFCPPRGDDSLAHTRPTRAASQSGAARGGELEGNPAEGWPTSPTQCRRRPPRPPETTTTTPSSQPASSLLGLLQREREREREEGSEEAKNMRVYCHVTCATE >ONIVA01G40700.1 pep chromosome:AWHD00000000:1:34609115:34610844:1 gene:ONIVA01G40700 transcript:ONIVA01G40700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLYSEQNYPSFPSKSKSAFACTPIDHLLEKRKRKTLSMVGFCSSKRIKKVGAWGPEIMFFSSAAACSS >ONIVA01G40690.1 pep chromosome:AWHD00000000:1:34598338:34604093:-1 gene:ONIVA01G40690 transcript:ONIVA01G40690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPASTGKCTGDGAGEVEEPLLPEFSGGGHGASVSGAVFNVSTSIIGAGIMSIPAAMRVLGVLPALLLIATVAALSDASVEFMLRYTGWDSGPPSYAGIMGDAFGRAGAKALNVCIAFTTTGTLVVYLIIIGDVLSGSAGAGDEHAGVLQELFGAQWWTARELVILVTAIVVLLPLVLRRRTSIAAAAAGGGDSGAAETSLLPEHYTGAGTPAAAASVLGAVFNVSTSVVGAGIMSIPAAMRVLGVAPTVALVVGVALLANAAVDFMLRYTRGPSSYAALMGDAFGRAGAALLNVFVAFNAIGTLTVYLIIIGDVMSGTTSDGKVHDGVLTEWFGQQWWTGREAVLVAAAVLLLPLVLRKRVDSLKFTSAVSILLAVVFMFISLGIAVYALFTGTAKMPRMLPDFSRLSSPFELFTAVPIVVVAFTFHFNVHPIRTELSKTSDMKAAVRISLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLHFSLRVNVDELLFPGRRPLATDTRRFVALTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVCISDAHGIAKTKDKALAATMIALAVITSSIAIASNVMSSISGKVEGGHAAGIDS >ONIVA01G40690.2 pep chromosome:AWHD00000000:1:34598462:34604093:-1 gene:ONIVA01G40690 transcript:ONIVA01G40690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPASTGKCTGDGAGEVEEPLLPEFSGGGHGASVSGAVFNVSTSIIGAGIMSIPAAMRVLGVLPALLLIATVAALSDASVEFMLRYTGWDSGPPSYAGIMGDAFGRAGAKALNVCIAFTTTGTLVVYLIIIGDVLSGSAGAGDEHAGVLQELFGAQWWTARELVILVTAIVVLLPLVLRRRVDSLKFTSAVSILLAVVFMFISLGIAVYALFTGTAKMPRMLPDFSRLSSPFELFTAVPIVVVAFTFHFNVHPIRTELSKTSDMKAAVRISLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLHFSLRVNVDELLFPGRRPLATDTRRFVALTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVCISDAHGIAKTKDKALAATMIALAVITSSIAIASNVMSSISGKVEGGHAAGR >ONIVA01G40680.1 pep chromosome:AWHD00000000:1:34592000:34596041:-1 gene:ONIVA01G40680 transcript:ONIVA01G40680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAGAVVAPAAGAPARAAPRVEKATSHLLMGPDWAVNLEICDIINADVWQTKDVVKAVKKRLQNKDPKVQFYALTLLETMMKNCGEYVQLEVAEQHVLQEMVKIIQKKNDMLVRDKILLLLDSWQEAFGGPGSKYPQYHFAYLEVKRIGAVFPRRPIDAPPIFTPPATHTSQSYGSPRYEAGSLNEIMSSDVETLSLGDLNNIRNVTELLCDMVHALNPSDHMAVKDEIITDLVSQCRSNQQKLMQFVSSTGNEQLLKQGLEINDRLQNIISKYDIMASSTHLAVEAPPADNVEAPKEDPAEKPSAPPISTLEEEEEEDEFTRLAQRKNKSVMTSDDSLSSTGDLALVPIDMESSESSSSVASNALVPVDPALVSSSPQTKEQDMIDLLSLTLCSPTHEASTDSSTQGPNGPQQPAVTDGQHNPSGVPQYPSNHQSHPINQEYIPQNRNYVAPWAQSGQYYPAPPWAAPPSVNSNPFQSATYQEQPPPVGSVSSTYSAPSASYTSPSMAYVPPSASLPIWNGSTTSNGLSATQAQMNGNQQPPGSSAAASKPYYIPDNLFSDLIDLKGLSGGNKMGVPTSMGSANGGQPMIGGKK >ONIVA01G40670.1 pep chromosome:AWHD00000000:1:34587129:34590290:-1 gene:ONIVA01G40670 transcript:ONIVA01G40670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPRCTLSVLLPVALLLCAAIASPDFPLGKNFTVPLYYQQPADLAVTTTTVLNASHGAPLRPGVAAAISVVAGTGGLEGLSMCSLVVLLGNVTVWASDHDGGRFLVRGFCRLELTVDGDLRLTDAAGTVGWSSVTAGRRAKNQYVWQSFDKPADKLLRGQRIGVPSYLTAPVTMIGSAFFSLELKERSITANFNVGIKRYTYWELTPRHNRSVAFAEMDVLGLRLLDRQRRPVAQISPAIEAQVSFLALGEDGNLGMYFYDSHDMKFGPSYEALGFCELPLACGLRGVCSAAGECDDFSTYGVHPAPAAHRHSACNATTVADRHYMAVMEGVTTAIRPASPPTANVTMRQCADSCLRDCSCAAALYVLAAVADHGGACSRYEMTAGAREVIGGGHRHNYLYLVKAPRTRDSEHEHGDDDCAVSRVLTRILIGFGTLDVIGLCALTWLCAYYCIYLRDIPVLDDKDDEEADDEGGEAARRGDAVSQTPPTNSEPVIELN >ONIVA01G40660.1 pep chromosome:AWHD00000000:1:34583078:34585576:-1 gene:ONIVA01G40660 transcript:ONIVA01G40660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYHNNPLPLAAGRRDRSSMGFHHLSSACRQRRLLLLTLSTEEAEIARLSWHCSACNAGQNHSDVYERGRQVSNGQLR >ONIVA01G40650.1 pep chromosome:AWHD00000000:1:34580646:34582606:-1 gene:ONIVA01G40650 transcript:ONIVA01G40650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAHWLSLVGSIWLQTINGPNSDFPVYSSQLKELKSISQVQLNFLAFASDAGKLFGWFSGVAALYLPLWVVAFVGAAFGLVGYGIQYMFLDSSGLRYWHLFMLTALAGNGICWINTVSYLLCINNFASNSRVAVSLATSYLGLSAKVYTSLAETFPGLANSKTKTYLLLNAVVPLFVTVMVAPSLRVFDLKSAAASSDAAFLVMFAITLATGACAVVGSIGSTANGLSSKEHMISLGVLLATPILIPVGLKIRETLTKIRETQRENRIHDLGTDESESVESVVVIDVAADANAEVAKEEDAVVKKPQEEVGGLRLLKSPDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGIGQTSTLVSLSSSFGFFGRLLPAFMDYYSAKSGYSISRTGSMASLMAPMAGAFFLLLNQRDFFLYLATAVIGTCTGAITSVAVSATRELFGTKNFGVNHNVVVANIPVGSLCFGYFAAFLYQREAGARGTLTCSGAGCYRETFAIWGTTCAVGTLLCAALYARSRNFAGRLPVRIPSCLARLANLV >ONIVA01G40640.1 pep chromosome:AWHD00000000:1:34559767:34561554:1 gene:ONIVA01G40640 transcript:ONIVA01G40640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERERLDEIGKKIKREPDTAGVVVAAVAGTGTGTATPIEHRVPHRLGLGGAVNTVTPCAACKLLRRRCAQECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLEVGEAERADAASSLVYEANLRLRDPVYGCMGAISMLQQQVNALEAELEAVRAEIFKRRYRQAGAATGLMDDVQVHITAGFAAPPPPPSMHARDVVSVADAGGQGQELAGAPVISSASPPVYPAGQPSTSTTDYSSLNTSEHAAYFG >ONIVA01G40620.1 pep chromosome:AWHD00000000:1:34550264:34550938:1 gene:ONIVA01G40620 transcript:ONIVA01G40620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNAAEVARPKSPLRGILPQSPLRIKQDGKFYERLLAKERSAASRSFRHYWAAEPGSVPFVWESQPGTPKVDVSRMVAGAVPAITPPPSYLLRHGGKAGAAAPAAPRRRPQGKGKAKTGGKTRYRFKRIKIGFLAGIFRRIALGHVWRRSAASVQVSSLSSRWLFSSAATAPEKAEHLDHDTPAPPPPPRPNTELSTRARARPSLWMLRFRGFRSWSRDDGWA >ONIVA01G40610.1 pep chromosome:AWHD00000000:1:34546152:34549452:1 gene:ONIVA01G40610 transcript:ONIVA01G40610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTEEDSDSFQDDSEELQMQVTKKTLKRVISLAETFIAIATGILAAAFSAGKDVHLHRHVLAAGGCFLVVTYLSALMLIYMKLFLSDHRRLRRWHVRSLQLLCVTSGASLVATNSLLLVLIGEGNGLLSLNLLPVQGIVGVLAYHATPTEGSARDEAFEAQVKSARKVALFAAATAFAVQTTLVFGAFSNAALQAMGGRRLDLSVSFLASALSVFLVVATCMPLGFRNQGARDKVLSIVRYLKKGVMAVLAVTAVTLGQEFLGGAAALALFPEITVAAMYYAVSMPADEAAAAADRKMEVLPTVVVATFGFGMLGAAYAALFGTPEYDLYTKALAFTLLTAVVSSLGRVAGPLCNAQRDKSSAAWVTFLSSILPIVEMLVAVPLAAKVMVDFLAVPGNG >ONIVA01G40600.1 pep chromosome:AWHD00000000:1:34539503:34541942:1 gene:ONIVA01G40600 transcript:ONIVA01G40600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATPANCSVAASSREPGDTEESMAKLLPDDVLTIILRCPAPHDLAMSRCVCKLWCTIIDTHRMLRVDLLPHSVGGIFINFHDLGLSEFFARPSTGPTISGNINYLPLTSIVRGHCNGLLLLYSHISRPGMKQFYVVNPATRQWVQLPPPPRPDIGIMHLDNLYLAFDPTLSSHFEGRLQDLWLSYDEIFQIFCTMLSTVGEYFIISLSDGKYRIIKPPVEIERYEESNIYMGLSQKRVYCTFFDDPDIIYILDESYGEMEWVQKNAISCLVIHAFQQTDGPWTLQDITIMNILMRTDMTTAIEEQNFDWDSDNDNFINTTDERNLRYGRITFLGFHPYKEVVFSSHSLSRGLAYHLNTMKFRGFGKIQDLGNLGPKYYGGCMGIQPFIEESFLCTPWMGEFPKDIN >ONIVA01G40600.2 pep chromosome:AWHD00000000:1:34539503:34541942:1 gene:ONIVA01G40600 transcript:ONIVA01G40600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKQESMAKLLPDDVLTIILRCPAPHDLAMSRCVCKLWCTIIDTHRMLRVDLLPHSVGGIFINFHDLGLSEFFARPSTGPTISGNINYLPLTSIVRGHCNGLLLLYSHISRPGMKQFYVVNPATRQWVQLPPPPRPDIGIMHLDNLYLAFDPTLSSHFEVFQIPYVDVFRHRSELNPAIEGIEWPPSTCVLHVFSTRTRQWEERSFVREGEAAGSLAIIRRDFPNFLHNAVYCRGVLYVRCQTNFVMRISLSDGKYRIIKPPVEIERYEESNIYMGLSQKRVYCTFFDDPDIIYILDESYGEMEWVQKNAISCLVIHAFQQTDGPWTLQDITIMNILMRTDMTTAIEEQNFDWDSDNDNFINTTDERNLRYGRITFLGFHPYKEVVFSSHSLSRGLAYHLNTMKFRGFGKIQDLGNLGPKYYGGCMGIQPFIEESFLCTPWMGEFPKDIN >ONIVA01G40600.3 pep chromosome:AWHD00000000:1:34539503:34541942:1 gene:ONIVA01G40600 transcript:ONIVA01G40600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATPANCSVAASSREPGDTEESMAKLLPDDVLTIILRCPAPHDLAMSRCVCKLWCTIIDTHRMLRVDLLPHSVGGIFINFHDLGLSEFFARPSTGPTISGNINYLPLTSIVRGHCNGLLLLYSHISRPGMKQFYVVNPATRQWVQLPPPPRPDIGIMHLDNLYLAFDPTLSSHFEVFQIPYVDVFRHRSELNPAIEGIEWPPSTCVLHVFSTRTRQWEERSFVREGEAAGSLAIIRRDFPNFLHNAVYCRGVLYVRCQTNFVMRISLSDGKYRIIKPPVEIERYEESNIYMGLSQKRVYCTFFDDPDIIYILDESYGEMEWVQKNAISCLVIHAFQQTDGPWTLQDITIMNILMRTDMTTAIEEQNFDWDSDNDNFINTTDERNLRYGRITFLGFHPYKEVVFSSHSLSRGLAYHLNTMKFRGFGKIQDLGNLGPKYYGGCMGIQPFIEESFLCTPWMGEFPKDIN >ONIVA01G40590.1 pep chromosome:AWHD00000000:1:34530377:34535307:1 gene:ONIVA01G40590 transcript:ONIVA01G40590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FV55] MMDGRSILMGRYEVGKQLGQGTFAKVYYARNLTTGQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKEGKLSEDSARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADVWSCGVILFVLVAGYLPFHDPNLIEMYRKICRADFRCPRYFSAELKDLIHKILDSDPSTRISIPRIKRSTWYRKPVEINAKNSEAATTNSISSGVATTSGSAECSTSEENQGSLSLPNLNAFDIISLSTGFNLSGFFEDTHGHQEERFTTRQPVTTVLGKLKELAKRLKLKVKKKDNGVLRLAAPKEGKKGFLELDAEIFEVTPSFLLVELKKTNGDTMEYRKLVKEDIRPALKDIVWVWQGDEHLNSQSILQGEQQQSPLPPELPQDQLQPSLPQQEKQDMPEPPLLPQVPQEEVQTSIPAEQTKN >ONIVA01G40590.2 pep chromosome:AWHD00000000:1:34531523:34535307:1 gene:ONIVA01G40590 transcript:ONIVA01G40590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FV55] MDGRSILMGRYEVGKQLGQGTFAKVYYARNLTTGQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKEGKLSEDSARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADVWSCGVILFVLVAGYLPFHDPNLIEMYRKICRADFRCPRYFSAELKDLIHKILDSDPSTRISIPRIKRSTWYRKPVEINAKNSEAATTNSISSGVATTSGSAECSTSEENQGSLSLPNLNAFDIISLSTGFNLSGFFEDTHGHQEERFTTRQPVTTVLGKLKELAKRLKLKVKKKDNGVLRLAAPKEGKKGFLELDAEIFEVTPSFLLVELKKTNGDTMEYRKLVKEDIRPALKDIVWVWQGDEHLNSQSILQGEQQQSPLPPELPQDQLQPSLPQQEKQDMPEPPLLPQVPQEEVNASTGNHNDHWLVHWLMMKPFRFPAPDSVPSTGRDEEEGSSHHGNGSGAARENPENPLPDLALAKSESDRIKAFYQTVLSAIVVFIVAALSGYKDMKPLYSTTNHKKVHLSNLLVIEGFCMIATFICAAVLMMYEFYTCRDLTVLIAVAGAMLVATDTILVVIANRDNAVFAVLFVPVLLLVGMAVYTGASMEEQPPNSPHDGGYDEATKSTFDLATVSTMAALGLQGTIVFGYLKTPEKKSEHDDPPLDLAVCYIASTVCLTVMAVCAIRWLFSPKKSGRL >ONIVA01G40590.3 pep chromosome:AWHD00000000:1:34530681:34535955:1 gene:ONIVA01G40590 transcript:ONIVA01G40590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FV55] MMDGRSILMGRYEVGKQLGQGTFAKVYYARNLTTGQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKEGKLSEDSARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADVWSCGVILFVLVAGYLPFHDPNLIEMYRKICRADFRCPRYFSAELKDLIHKILDSDPSTRISIPRIKRSTWYRKPVEINAKNSEAATTNSISSGVATTSGSAECSTSEENQGSLSLPNLNAFDIISLSTGFNLSGFFEDTHGHQEERFTTRQPVTTVLGKLKELAKRLKLKVKKKDNGVLRLAAPKEGKKGFLELDAEIFEVTPSFLLVELKKTNGDTMEYRKLVKEDIRPALKDIVWVWQGDEHLNSQSILQGEQQQSPLPPELPQDQLQPSLPQQEKQDMPEPPLLPQVPQEEVQTSIPAEQTKN >ONIVA01G40580.1 pep chromosome:AWHD00000000:1:34526016:34528355:1 gene:ONIVA01G40580 transcript:ONIVA01G40580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGRWRRSGSSMLKLVVALCFFAVAISLCCVCFSSGCGGSGCGGFRRRAVLLRLDFRTRATAYFGANQDHSSSGRRWRQLLAEGPGSYPPRCTAKCGACVPCYPVHVAVPPGVPVTTEYYPEAWRCKCGNRLYMP >ONIVA01G40570.1 pep chromosome:AWHD00000000:1:34495429:34497471:-1 gene:ONIVA01G40570 transcript:ONIVA01G40570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FV52] MAGNPAAAAPSSSGSSSVFLPPPSPSDGELLRSLHRLARDLSAVDTPAPFLRAAFASISRRSKLLAAAFDDLLLCGAAGELPRSASLCLREVLLVLQRFKAIVADCSARSRMRLLLESDEMEAELRELNHDLATLLDLLPVVELGLADDVLDVLALASRQCRRCSPAPESEEALKASVLSLIQEIEREIVPERERLEEILVEVGINDPASCSEEIESLEQEIGDRASEKWTASMIALVGLLRYAKCVLFSATPRPSDSNSKADVEAEDGEPPVPPSDFRCPISLDLMRDPVVVASGQTYDRESIDRWFSSGKSTCPKTGQVLANLELVSNKALKNLISKWCRENGVAMEACEASKSEQAQAVAANKAALEAARMTASFLVKKLSVSFSPDAANRVVHEIRLLSKSGSENRAFVGEAGAVPLLVPLLYSEDAGLQLNAVTALLNLSILEANKKRIMHADGAVEAVAHIMSSGATWRAKENAAAAVLSLASVHSYRRRLGRNQSVVEKLVHLVRTGPSSTKKDALAALLTLAGERENVGKLVDAGVAEVALSAISKEETAAAVLAALAKRGGAEAIVNIDGAVARLVAEMRRGTDWARENATAALVLLCRRLGAPAVTQVMAVPGVEWAIWELMSIGTERARRKAASLGRICRRWAAASAADGERGGGCPVATVVPPAMMAS >ONIVA01G40560.1 pep chromosome:AWHD00000000:1:34489312:34489725:1 gene:ONIVA01G40560 transcript:ONIVA01G40560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLSGLEIFLAVVALIAAICAISWTVRCLIGRRFEVATGDPNGERQGLLNKEEVVIIDVAAATEARGDDVALCAICKGRLAVAVADGGGQPCRRLRPCGHVYHAECIGLWLQRGTTCPVCRADVVASRNEIVGAMA >ONIVA01G40550.1 pep chromosome:AWHD00000000:1:34486355:34487668:-1 gene:ONIVA01G40550 transcript:ONIVA01G40550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATEKVRRTPSSCLLLRISDICKVRSVGVAPTVREKPKADGSATGESSEDGGAHLKVHPHHVSDHESVSECSSARCEEAFVERLLDAISGLKLSYVNLQQALVPYDPEEITIADERFTSELQETAGLKDLYVNMNKWRNPMYQCYVGSRIQEQQKLAVELQAGICKRDSEIVCLRAELDELERKNMELEEKIGQSALQKEGSFAIGMGVSTDMFMELFELSTKSIHDFAKLVVRWMKLSRWNLGNLTSPIDNSVVYDKRSHKNYAVEAYFACMMLMGHKEEYLSLDVFDYVMSFSDPFDALMKDPDSCFGRFCREKYLAILPPSMEDSFFGNLDHRSFVENGGHPRTPFYQAFVTMSRYVWASLTVARSLNPRAEMFYVKGGTEFRSKHMECVPSKITKEGDKVSVGFTVMPGFKIGCTVIRCRVYLSMVNERNF >ONIVA01G40540.1 pep chromosome:AWHD00000000:1:34484667:34488493:1 gene:ONIVA01G40540 transcript:ONIVA01G40540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAITLSHSQSASFGHHHHQTMPSSFRPSTASTRSVKVYAKEDEEKGSKQSLFGSITEALDFSQVRSEKDAELLYEAREATKDGGRMTKEQYGALRRKIGGTYKDFFKSYVDVDGEYVEEGWVDKTCKVCKKDTRGEPRQVDKLGRYAHVACLENPKPTNIFAKLFAR >ONIVA01G40530.1 pep chromosome:AWHD00000000:1:34477633:34478772:-1 gene:ONIVA01G40530 transcript:ONIVA01G40530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHKFRLSDMIPNAWFFKLRDMRAARGGAGAGGGGASHGGVVTQSSVAVSRAGRACRPLPNTPRHGALSLPHRASYYYTPRAGDLLVGSPLHPKCSDTQFPPLQLSPPRKSRRRHRRRSVKLAPSVSGSSVLSSPMSTGCRCGRKPEFVVVEAPDTPPCRRDKFVGYNDDDDDEEEEEVEFKKPTVAVAACDELDGKVITSATDIIIDLRTEKRPDKVLPPIVTKPARRELDGCDLEEKHIDVVRRASAKKPTPLLEQSKPRRSVSSARRLKTRANTPRIVAKKSKPPPPPPPAAARSPAPTTKPPLAESFAVVKSSRDPRRDFRESMEEMIAENGIRTAADLEDLLACYLSLNAAEYHDLIVDVFEHIWANLADIKM >ONIVA01G40520.1 pep chromosome:AWHD00000000:1:34467194:34470249:1 gene:ONIVA01G40520 transcript:ONIVA01G40520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSRAPRRPRVRAPARAPPPTPIRTARGARSAAADELVLAEFLEASLRVPDLALPPKMSSRRSFRYPAPPPTPDVLAGALLSGPDPDAARTAVGAAAESGAFRVGGAVDAGEVRAAVEAAEAVFRAPEEVKRELGRWFRRRDRVAGEEFYWFRPATASSDDDRVLDAALPGSTYQVLREKMEIVASKMEDLAQCVMRVLSDNARNPEDSALSTGAASILCLTLYNCNKLKTHWSEFGSTNPPNSYALSIHLSGRDQEICLRNQSGSTFFSLPAGSMLVTIGKQIQEWSNGEFKNAVGEILFELTDEPNPFISLELLYSPGHLRLPDVGRHARCIDHPKTVSFRDQILVALVLLVFFYLFWR >ONIVA01G40510.1 pep chromosome:AWHD00000000:1:34464281:34467043:1 gene:ONIVA01G40510 transcript:ONIVA01G40510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FV46] MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRREDRPQGPRPGGGAPAPGGAAAPAPNVART >ONIVA01G40500.1 pep chromosome:AWHD00000000:1:34459489:34463808:1 gene:ONIVA01G40500 transcript:ONIVA01G40500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGRMAGTAAALGLSVVSSVSIVVCNKALMSTLGFVASRPDGVPGTGDIITLLSLRSPLRRAPQPPVAPTGRLRPSPRSSFHRRSPRLALAYLGAIDHPLEPTSLAYFALKIPRTYLVCLHFAEILMKLFENKDLDPKTIIGFGILNGISIGLLNLSLGFNSIGFYQVTKLAIIPCTVSLETILFRKTFSRRIQMALAVLLFGVGVATVTDLQLNRLGSLLSLFAVLTTCISQIMTNFIQKKFKVSSTQLLYQSCPYQALTLFIIGPFLDGFLTNQNVFAFKYTSEILVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVVGHLKTCIILGFGYVLFNDPFSWRNILGILLALLGMILYSFFCLMENKQKAPELSAPFFHTKVKGGEAGTLLLVQNGSAKVADGVVTEGPMWRSNRDLDA >ONIVA01G40500.2 pep chromosome:AWHD00000000:1:34459333:34463810:1 gene:ONIVA01G40500 transcript:ONIVA01G40500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGRMAGTAAALGLSVVSSVSIVVCNKALMSTLGFVFATTLTSWHLLVTFCSLHVALQMKLFENKDLDPKTIIGFGILNGISIGLLNLSLGFNSIGFYQVTKLAIIPCTVSLETILFRKTFSRRIQMALAVLLFGVGVATVTDLQLNRLGSLLSLFAVLTTCISQIMTNFIQKKFKVSSTQLLYQSCPYQALTLFIIGPFLDGFLTNQNVFAFKYTSEILVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVVGHLKTCIILGFGYVLFNDPFSWRNILGILLALLGMILYSFFCLMENKQKAPELSAPFFHTKVKGGEAGTLLLVQNGSAKVADGVVTEGPMWRSNRDLDA >ONIVA01G40490.1 pep chromosome:AWHD00000000:1:34456164:34458272:1 gene:ONIVA01G40490 transcript:ONIVA01G40490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSALLLLFSAFCFLARRAAADYGSWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTVLFNDGAACGSCYELRCDNDGQWCLPGSVTVTATNLCPPNYALPNDDGGWCNPPRPHFDMAEPAFLQIGVYRAGIVPVSYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGPGDVQSVSIKGSSTGWQPMSRNWGQNWQSNSYLDGQSLSFQVAVSDGRTVTSNNVVPAGWQFGQTFEGGQF >ONIVA01G40480.1 pep chromosome:AWHD00000000:1:34440413:34440861:-1 gene:ONIVA01G40480 transcript:ONIVA01G40480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FV42] MAPRCATLAVVVVLVAAVVAPPTAVRAAISCSAVYNTLMPCLPYVQAGGTVPRACCGGIQSLLAAANNTPDRRTICGCLKNVANGASGGPYITRAAALPSKCNVSLPYKISTSVNCNAIN >ONIVA01G40470.1 pep chromosome:AWHD00000000:1:34427238:34428777:1 gene:ONIVA01G40470 transcript:ONIVA01G40470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEDRNGRLAACIGTRKRGGGVDRHQEARLTASGEGKSRRTGLPDGSDDGLKVWSPCDALGK >ONIVA01G40450.1 pep chromosome:AWHD00000000:1:34408782:34411647:-1 gene:ONIVA01G40450 transcript:ONIVA01G40450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G08760) TAIR;Acc:AT3G08760] MMGCFTVLRSKKKKPLALTKKSVDARESTSSRLPEPEAHVPSLQSAPPSFRNKAKIHQSEKKASYSRARVLSAPSSLIVVDQDGLPYAEFDDQDDSRGKGGSIKGHRFSNPLPLPLPSPEGKSLRNFGSFKAINASGPLDASGPLPLPPKKCDGLKNFSYEELSSACQWFSGDQCVSESLTSTSYKASFRDDFTDPKTIEAIVSRLLSSTQSLKEFKTQVNTLASLQHPNLCKLIGFHAREESNERMLVYERLHHGSLDKLLFGRSDGRFMDWSARLKVALGAARGLAFLHDEGPFQAMYNDFSTSNIQIDKDFTAKLSGYGCVGFNTEEEISNASVAAANLSVETLEKGVLTPKSNVWCFGVVLLELITGRKNLDVRSSKEERNIVKWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILRCLNKDPSERPTMRAVVESLASVQDIKVPCRYPLQEPSAAPRKVMLKSTSLNGIIHHHPVVTFSPSPPSRNQHLLSPRSSTSALLPPRTSCALDDPRVSSIKKSPSPILRRSGVEGF >ONIVA01G40440.1 pep chromosome:AWHD00000000:1:34406553:34407059:1 gene:ONIVA01G40440 transcript:ONIVA01G40440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARQLDGGGIAMEIVEEEDAGATRLGRLSLSLDLNGGGGGAFRPSTLLDEYERLAIEAQLDRAVLRRSYSEPSPSRLAVVAPQDKQEAPPGAAGRRAKEEEKPGAGRAPARRSWLLEALKRLLCWLGIGGAWGGGRRRGEEPAAPCPPAPPPRMQLLDYLTTTSTT >ONIVA01G40430.1 pep chromosome:AWHD00000000:1:34394412:34405233:-1 gene:ONIVA01G40430 transcript:ONIVA01G40430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSLRRSALLQLPCGCFAETRVVLDEKTEHLQLPPTRKLDSEIRRRAWGGVEDTALRRRGGRRFNTGLEVCKAVGQSYNSLQNSVPMSNKFSCLHFPSHAAIWNTVLGMIIAWVGDKQQLYRNNILGINFSRTSYMHNASLLLYFPWAFRIVSVPALACLVLIHDSEKYFLVPTLVLHSVTWKGDRMKGESRTSTRPLGRGLVKILALLKEEDANGPTCDCHDDKMHCQEWIIFLSKLPEIIVFYFCVSCGGTLGQQSATFSELCIAVVEFFVKRKQKMITVPFRNTFPETFVDWVYHIRADAISSINELPKHICIQHLISSQKAATIILMQNMIVWSKATGICMWTWISEAQGPPSPLLSASLTAPPLHHLHLLSKNLPCRRKWTRRRCDPSSPLRSPASSSSAREMAAASPLARLLAALLAVAAAATAATALTDDVLALVVFKTGVADPMGRLAAWTEDDDRPCSWPGVGCDARAGRVTSLSLPGASLSGRLPRALLRLDALASLSLPRNNLSGPVLPGLLAALPRLRSLDLSSNRLAAPVPAELFAQCRSIRALSLARNELSGYIPPAVTSCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPGSSSLRAVDLSRNLLAGEIPADVGEAALLKSLDVGHNLFTGGLPESLRRLSALRFLGVGGNALAGEVPSWIGEMWALERLDLSGNRFSGAIPDAIAKCKKMVEADLSRNALAGELPWWVFGLPLQRVSVAGNKLYGWVKVPADAALALRALDLSSNGFSGGIPPQITAFAGLQYLNMSSNSFARQLPAGIGGMRLLEVLDVSANRLDGGVPPEIGGAVALRELRLGRNSFTGHIPSQIGNCSSLVALDLSHNNLTGSIPSTVGNLTSLEVVDLSKNKLNGTLPVELSNLPSLRIFDVSHNLLSGDLPNSRFFDNIPETFLSDNQGLCSSRKNNSCIAIMPKPIVLNPNSSTNPLSQATPTAPSSMHHKKIILSVSTLIAIAGGGTIIIGVIIISVLNRRARATTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGVARGLTHLHQRGIIHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSLEDELV >ONIVA01G40430.2 pep chromosome:AWHD00000000:1:34394412:34405233:-1 gene:ONIVA01G40430 transcript:ONIVA01G40430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSLRRSALLQLPCGCFAETRVVLDEKTEHLQLPPTRKLDSEIRRRAWGGVEDTALRRRGGRRFNTGLEVCKAVGQSYNSLQNSVPMSNKFSCLHFPSHAAIWNTVLGMIIAWVGDKQQLYRNNILGINFSRTSYMHNASLLLYFPWAFRIVSVPALACLVLIHDSEKYFLVPTLVLHSVTWKGDRMKGESRTSTRPLGRGLVKILALLKEEDANGPTCDCHDDKMHCQEWIIFLSKLPEIIVFYFCVSCGGTLGQQSATFSELCIAVVEFFVKRKQKMITVPFRNTFPETFVDWVYHIRADAISSINELPKHIYYTNAKYDCLEQSYRHLHVDVDLRRDLSHNNLTGSIPSTVGNLTSLEVVDLSKNKLNGTLPVELSNLPSLRIFDVSHNLLSGDLPNSRFFDNIPETFLSDNQGLCSSRKNNSCIAIMPKPIVLNPNSSTNPLSQATPTAPSSMHHKKIILSVSTLIAIAGGGTIIIGVIIISVLNRRARATTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGVARGLTHLHQRGIIHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSLEDELV >ONIVA01G40430.3 pep chromosome:AWHD00000000:1:34397777:34405233:-1 gene:ONIVA01G40430 transcript:ONIVA01G40430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSLRRSALLQLPCGCFAETRVVLDEKTEHLQLPPTRKLDSEIRRRAWGGVEDTALRRRGGRRFNTGLEVCKAVGQSYNSLQNSVPMSNKFSCLHFPSHAAIWNTVLGMIIAWVGDKQQLYRNNILGINFSRTSYMHNASLLLYFPWAFRIVSVPALACLVLIHDSEKYFLVPTLVLHSVTWKGDRMKGESRTSTRPLGRGLVKILALLKEEDANGPTCDCHDDKMHCQEWIIFLSKLPEIIVFYFCVSCGGTLGQQSATFSELCIAVVEFFVKRKQKMITVPFRNTFPETFVDWVYHIRADAISSINELPKHICIQHLISSQKAATVNYTNAKYDCLEQSYRHLHVDVDLRSTRSPISSPLCFPHCATTPPPPPPLQKLALPPQMDKAPL >ONIVA01G40430.4 pep chromosome:AWHD00000000:1:34394412:34405233:-1 gene:ONIVA01G40430 transcript:ONIVA01G40430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSLRRSALLQLPCGCFAETRVVLDEKTEHLQLPPTRKLDSEIRRRAWGGVEDTALRRRGGRRFNTGLEVCKAVGQSYNSLQNSVPMSNKFSCLHFPSHAAIWNTVLGMIIAWVGDKQQLYRNNILGINFSRTSYMHNASLLLYFPWAFRIVSVPALACLVLIHDSEKYFLVPTLVLHSVTWKGDRMKGESRTSTRPLGRGLVKILALLKEEDANGPTCDCHDDKMHCQEWIIFLSKLPEIIVFYFCVSCGGTLGQQSATFSELCIAVVEFFVKRKQKMITVPFRNTFPETFVDWVYHIRADAISSINELPKHICIQHLISSQKAATVNYTNAKYDCLEQSYRHLHVDVDLRRDLSHNNLTGSIPSTVGNLTSLEVVDLSKNKLNGTLPVELSNLPSLRIFDVSHNLLSGDLPNSRFFDNIPETFLSDNQGLCSSRKNNSCIAIMPKPIVLNPNSSTNPLSQATPTAPSSMHHKKIILSVSTLIAIAGGGTIIIGVIIISVLNRRARATTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGVARGLTHLHQRGIIHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSLEDELV >ONIVA01G40430.5 pep chromosome:AWHD00000000:1:34394412:34405233:-1 gene:ONIVA01G40430 transcript:ONIVA01G40430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSLRRSALLQLPCGCFAETRVVLDEKTEHLQLPPTRKLDSEIRRRAWGGVEDTALRRRGGRRQVRDLLAEAWKKMPMVQPVTVTMTKCIARWTYTEWIIFLSKLPEIIVFYFCVSCGGTLGQQSATFSELCIAVVEFFVKRKQKMITVPFRNTFPETFVDWVYHIRADAISSINELPKHICIQHLISSQKAATIILMQNMIVWSKATGICMWTWISEAQGPPSPLLSASLTAPPLHHLHLLSKNLPCRRKWTRRRCDPSSPLRSPASSSSAREMAAASPLARLLAALLAVAAAATAATALTDDVLALVVFKTGVADPMGRLAAWTEDDDRPCSWPGVGCDARAGRVTSLSLPGASLSGRLPRALLRLDALASLSLPRNNLSGPVLPGLLAALPRLRSLDLSSNRLAAPVPAELFAQCRSIRALSLARNELSGYIPPAVTSCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPGSSSLRAVDLSRNLLAGEIPADVGEAALLKSLDVGHNLFTGGLPESLRRLSALRFLGVGGNALAGEVPSWIGEMWALERLDLSGNRFSGAIPDAIAKCKKMVEADLSRNALAGELPWWVFGLPLQRVSVAGNKLYGWVKVPADAALALRALDLSSNGFSGGIPPQITAFAGLQYLNMSSNSFARQLPAGIGGMRLLEVLDVSANRLDGGVPPEIGGAVALRELRLGRNSFTGHIPSQIGNCSSLVALDLSHNNLTGSIPSTVGNLTSLEVVDLSKNKLNGTLPVELSNLPSLRIFDVSHNLLSGDLPNSRFFDNIPETFLSDNQGLCSSRKNNSCIAIMPKPIVLNPNSSTNPLSQATPTAPSSMHHKKIILSVSTLIAIAGGGTIIIGVIIISVLNRRARATTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGVARGLTHLHQRGIIHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSLEDELV >ONIVA01G40430.6 pep chromosome:AWHD00000000:1:34394412:34405233:-1 gene:ONIVA01G40430 transcript:ONIVA01G40430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSLRRSALLQLPCGCFAETRVVLDEKTEHLQLPPTRKLDSEIRRRAWGGVEDTALRRRGGRLPALACLVLIHDSEKYFLVPTLVLHSVTWKGDRMKGESRTSTRPLGRGLVKILALLKEEDANGPTCDCHDDKMHCQEWIIFLSKLPEIIVFYFCVSCGGTLGQQSATFSELCIAVVEFFVKRKQKMITVPFRNTFPETFVDWVYHIRADAISSINELPKHICIQHLISSQKAATIILMQNMIVWSKATGICMWTWISEAQGPPSPLLSASLTAPPLHHLHLLSKNLPCRRKWTRRRCDPSSPLRSPASSSSAREMAAASPLARLLAALLAVAAAATAATALTDDVLALVVFKTGVADPMGRLAAWTEDDDRPCSWPGVGCDARAGRVTSLSLPGASLSGRLPRALLRLDALASLSLPRNNLSGPVLPGLLAALPRLRSLDLSSNRLAAPVPAELFAQCRSIRALSLARNELSGYIPPAVTSCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPGSSSLRAVDLSRNLLAGEIPADVGEAALLKSLDVGHNLFTGGLPESLRRLSALRFLGVGGNALAGEVPSWIGEMWALERLDLSGNRFSGAIPDAIAKCKKMVEADLSRNALAGELPWWVFGLPLQRVSVAGNKLYGWVKVPADAALALRALDLSSNGFSGGIPPQITAFAGLQYLNMSSNSFARQLPAGIGGMRLLEVLDVSANRLDGGVPPEIGGAVALRELRLGRNSFTGHIPSQIGNCSSLVALDLSHNNLTGSIPSTVGNLTSLEVVDLSKNKLNGTLPVELSNLPSLRIFDVSHNLLSGDLPNSRFFDNIPETFLSDNQGLCSSRKNNSCIAIMPKPIVLNPNSSTNPLSQATPTAPSSMHHKKIILSVSTLIAIAGGGTIIIGVIIISVLNRRARATTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGVARGLTHLHQRGIIHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSLEDELV >ONIVA01G40430.7 pep chromosome:AWHD00000000:1:34394412:34397727:-1 gene:ONIVA01G40430 transcript:ONIVA01G40430.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPLARLLAALLAVAAAATAATALTDDVLALVVFKTGVADPMGRLAAWTEDDDRPCSWPGVGCDARAGRVTSLSLPGASLSGRLPRALLRLDALASLSLPRNNLSGPVLPGLLAALPRLRSLDLSSNRLAAPVPAELFAQCRSIRALSLARNELSGYIPPAVTSCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPGSSSLRAVDLSRNLLAGEIPADVGEAALLKSLDVGHNLFTGGLPESLRRLSALRFLGVGGNALAGEVPSWIGEMWALERLDLSGNRFSGAIPDAIAKCKKMVEADLSRNALAGELPWWVFGLPLQRVSVAGNKLYGWVKVPADAALALRALDLSSNGFSGGIPPQITAFAGLQYLNMSSNSFARQLPAGIGGMRLLEVLDVSANRLDGGVPPEIGGAVALRELRLGRNSFTGHIPSQIGNCSSLVALDLSHNNLTGSIPSTVGNLTSLEVVDLSKNKLNGTLPVELSNLPSLRIFDVSHNLLSGDLPNSRFFDNIPETFLSDNQGLCSSRKNNSCIAIMPKPIVLNPNSSTNPLSQATPTAPSSMHHKKIILSVSTLIAIAGGGTIIIGVIIISVLNRRARATTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGVARGLTHLHQRGIIHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSLEDELV >ONIVA01G40420.1 pep chromosome:AWHD00000000:1:34386581:34393502:1 gene:ONIVA01G40420 transcript:ONIVA01G40420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEKRAEMGNALAPPATKQSRSSRALHSRQGLSTNRNGVLTPTPPHHSTVAAADAMKAPALFHVYCEAMKLLHSCRSGRRKGRASSKCSPLATHSIHSCTRWKKKGRRSKRVGAAIKAIYSIPVVVVVVAAGVVRQQAGPRLTPTHTPPPLLKNVDENRDPNSRSRESCTKRASTTIPSVRPSLRLLLLPPQASLVK >ONIVA01G40410.1 pep chromosome:AWHD00000000:1:34381608:34386541:-1 gene:ONIVA01G40410 transcript:ONIVA01G40410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT2G40660) TAIR;Acc:AT2G40660] MAAAAADFAASKRAMAYALCKHLSLDPNTISSTSIEESDIATLFSHIVKSSEDEVLKWVEFSSNFVGKCGEQHVLLGNLNQDLSQKSVLLGNGFKLSVADIVVFATIQVFVSHLGENELQKYPHVLRWMDYIQNIVDFGTTVQKVNLTKSVFDPPSHPKKADKGDTDPSSKKVVSGQKNVGKSDANVDSKKAAPENKAPENKANPTPAKNNKPSGDKKKAQEKSAGKPNEGVADKAPQKTAEKDSECNVSILNIQVGLIRKAWKHPSADSLLVEEIDLGDGNVHQVVSGLAKFFSPEELVNRHVVLITNVKPGKLRDVMSAGLVLCASNQDHTVVEPLIPPEGAKPGERISFAGFDGKPEDVLNPKKKQLDKITPDLHTDGNGIATYRGVPFTTSAGPCRSSVPNASIK >ONIVA01G40400.1 pep chromosome:AWHD00000000:1:34376940:34380500:-1 gene:ONIVA01G40400 transcript:ONIVA01G40400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEEAQEVWKEMLKQFKDEAQRMHGLTLQAYGVYSQRAREVLLEASEKLKIHADKAQKDLSVIATEVGEEGQEYLKLAAQNSPDSIKDINETFNSLGKLKISEYEDYHVGIPFGTFLTVGGFLNFMLTGRTSAIRFGIILGFALLAMGISSLRSQRAGGRRPRLLLKGQAAIASVIFFRELLIFFHYGWFPNIFVVLLSGAVTAFYTHRIMTGGHKGPSSESYTSPWMNQMITGTWV >ONIVA01G40400.2 pep chromosome:AWHD00000000:1:34376940:34380500:-1 gene:ONIVA01G40400 transcript:ONIVA01G40400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEEAQEVWKEMLKQFKDEAQRMHGLTLQAYGVYSQRAREVLLEASEKLKIHADKAQKDLSVIATEVGEEGQEYLKLAAQNSPDSIKDINETFNSLGKLKISEYEDYHVGIPFGTFLTVGGFLNFMLTGRTSAIRFAIASVIFFRELLIFFHYGWFPNIFVVLLSGAVTAFYTHRIMTGGHKGPSSESYTSPWMNQMITGTWV >ONIVA01G40400.3 pep chromosome:AWHD00000000:1:34376933:34380500:-1 gene:ONIVA01G40400 transcript:ONIVA01G40400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEEAQEVWKEMLKQFKDEAQRMHGLTLQAYGVYSQRAREVLLEASEKLKIHADKAQKDLSVIATEVGEEGQEYLKLAAQNSPDSIKDINETFNSLGKLKISEYEDYHVGIPFGTFLTVGGFLNFMLTGRTSAIRFGIILGFALLAMGISSLRSQRAGGRRPRLLLKGQAAIASVIFFRELLIFFHWGGDSLLHSSYYDWWSQRSKLREYCRELMELGFED >ONIVA01G40390.1 pep chromosome:AWHD00000000:1:34374892:34376639:1 gene:ONIVA01G40390 transcript:ONIVA01G40390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGSSSAVVLELMTMGYQSAAYLGELLRAASPAQAGDEQQELAAEILRCCDRVIAKLNRGGATGATTGKKRKAAESAAAAAVTSPSLPVTPTKRRARGAEAVREVRSGTTTDGFIWRKYGQKEINGCKHPRLYYRCAFRGQGCLATRRVQQSQSQDDPAAAFVIAYYGEHTCGGDAAACRDGELMPPAVINSGASSFAAAWNMASREPASSLAVERRSCDGDAPSETSQGWSPSFSSEVELDVVGFDLAGADSSASPVWEFLNGSFDWESVINSL >ONIVA01G40380.1 pep chromosome:AWHD00000000:1:34360896:34363051:-1 gene:ONIVA01G40380 transcript:ONIVA01G40380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQSRLAAAASGGGGSGISGSGGISRLGGGAGEEHEAVVRELTRGHELTARLRAEALRALRGQGQAEATATFILGEVSRAFTVCLSIMASASPSASPPQPDETPPADSAVSPPPPRAAREDNVPRKRLLTASPYDDGYQWRKYGQKKINNTNFPRSYYRCSYHRERRCPAQKHVQQRDGDDVPALHVVVYTHEHTCLQGAPAELPDAATNGGAAAAASPDYFPAGGETPSSLRRLRGVGGGGLQPQFVDHRAAMEERERQVLVSSLARVLQGRQCYDDDDDDDTDVASLGAVHARAPAAAAAAPVAASSSSSGPVDAAGEELDVMDYDMTDALFWGPFGTDSNSYDGNLTSTRCFDLIN >ONIVA01G40370.1 pep chromosome:AWHD00000000:1:34344632:34346865:1 gene:ONIVA01G40370 transcript:ONIVA01G40370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGQDTIEQLYRELAGGRRLSAKLQALLEGPLDSRGQKEAVDVSRELGRVFMVSLYMLKPCSNSSRRPEGVTRTAPETRTDDSICLHTPARVKRVRSEEVLVRNGREEVVTRTEIITPSPYKDGYQWRKYGQKNIQDSNYLRLYFKCTFSRERSCAAKKQVQQRDAGEPPMFLVTYLNEHTCQQPQAVPGTPNTAGSSPTTTSRQRQSSSSPPAEMLDLTMNGAGLFSRLLLPHAVGGGGSAAEEEAAIVTCLAAVISGGGAAAAPPPLIWPTSAPEAAFVASAAGHSPSAADESVADEAAAAQMADMDYCFGQYDQSTFGAAAAADHRVLIGDDGDVQRIVAARIADTVWPRYTRDTSAWETAGTSSMRGSID >ONIVA01G40360.1 pep chromosome:AWHD00000000:1:34340745:34341869:1 gene:ONIVA01G40360 transcript:ONIVA01G40360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPRSADAASMCAAPKVAPSSADDASLRAGSKKTLVALQIRPPIPARVEVEGGHAYPGGTATAGSTQDNVHKTGEHIVQYTSAMQR >ONIVA01G40340.1 pep chromosome:AWHD00000000:1:34332570:34338925:1 gene:ONIVA01G40340 transcript:ONIVA01G40340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRLKREQSFDFEEASAQEAVGSASASYSPPGGGGVFGISPPESSPRDGRKRRKDRPSLVKHTFTPHFDGHLWRKYGQKNIKDSAFPRLYYRCSYREDRQCLASKLVQQENDDDPPLYRVTYTYEHTCNTTPVPTPDVVAEQPPPGAAGDAYLLRFGSSAGGGGGGAHQQQTERERQQQNTARRRPFMMLSFDSSSSHQLHEQPHAFPPDGQLPATAAAASPSSFTAAEALAAPPPAPPLTTTMNDGGDLFSTWDALRYGLDYDHGHLGNHVYLPDDCNGGDDNY >ONIVA01G40330.1 pep chromosome:AWHD00000000:1:34329397:34330193:1 gene:ONIVA01G40330 transcript:ONIVA01G40330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAREGGGLTTGKREGNSGGDEDSWSEAHAMKVDEEIVPGGSSLTTTTGVKTTSWFTGAGDGNVLDVATFLEARFRRTIPKPISSSDFCLSCSGASDCHKMPMLAGLRGGEWIHLVSRSLPLKPKGMDGDSLV >ONIVA01G40320.1 pep chromosome:AWHD00000000:1:34323258:34324409:-1 gene:ONIVA01G40320 transcript:ONIVA01G40320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQREVMSVEAEPSEVAACGDWPAGAPVQCTHTLVEVLMAVEQWSCGRLVGGGRKRANMIKAQVARCRCRRGLVNGQCDSALAGATLNLIKGKPHVILGAGTGSPGENAGGKWQHA >ONIVA01G40310.1 pep chromosome:AWHD00000000:1:34313705:34321705:1 gene:ONIVA01G40310 transcript:ONIVA01G40310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSDNMDSSSECSRGAHKRLLQDSRSYDQENAMKCYYRCTYHQDHGCPASKHVEQHNSEDPPLFRVIYTNEHTCGTSNSASDYMASSMQIQQIADASLRKAQAAERLRKAEVETPRLMHFPPPRCSGGYNMAMKEEKDVIVSSLLTVIRGCHIAESAGNNSAAALPVNRPPPAVARSDHYSCSYAISPELLPASDDLTLDFMLDSVLDPHWVEPLDLAWLKESTHTG >ONIVA01G40300.1 pep chromosome:AWHD00000000:1:34300070:34300506:-1 gene:ONIVA01G40300 transcript:ONIVA01G40300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEARTSIGREEEPTRVCLPSPTRHRPPAHRRGHSTTSPASRQTGVDFSARSAAEATQPRKLARRGGPPERWPWEETGLPAAPPLRSVSVKLADHATRSSLAACPSPTTLGLVSTLVERGGEGERGAAGKIAEAGLPV >ONIVA01G40290.1 pep chromosome:AWHD00000000:1:34297908:34299574:-1 gene:ONIVA01G40290 transcript:ONIVA01G40290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFVERLLVGLLAAAVVAIAVSKLRGRKLRLPPGPTPVPVFGNWLQVGDDLNHRNLAALARRFGDIFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTGKVVQRHRAGWEAEAAAVLMMYNNVYRIMFDRRFESADDPLFLRLKALNGERSRLAQSFEYNYGDFIPILRPFLRGCLRICEEVKETRLKLFKDFFLEERKKLASTKAMDNNGLKCAIDHILEAQQKGEINEDNVLYIVENINVADDAVVDGVGDRGARVNHGEIQEKLRRELDTVLGPGRQITEPDTHRLPYLQAVVKETLRLRMAIPLLVPHMNLRDAELAGYGIPAESKVLVNAWYLANDPGRWRRPEEFRPERFLEEERHVEANGNDFRYLPSGAGRRSCPGIVLALPILGVTIGRLVQNFELLPPPGKDRVDTTEKGGQFSLHILKHSTIVAKPRAF >ONIVA01G40280.1 pep chromosome:AWHD00000000:1:34285303:34295072:-1 gene:ONIVA01G40280 transcript:ONIVA01G40280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with ARM repeat domain [Source:Projected from Arabidopsis thaliana (AT2G40730) TAIR;Acc:AT2G40730] MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWTHHRGTSKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVPDGPAMKHTIYIVTEPVTPLSEKLKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCVTSVVVTQTLDWKLHAFDVLSEFDANNEASNSPMLQFEWLVGTQYKPMELTKSDWVSIRKSPPWAIDSWGLGCLIYELFSGAKLTRTEDLRNTASIPKSLLPDYQRLLSSAPSRRMNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASALEFGSAAAPALVVLLKMGSWLPADQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLTAQTVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNVVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLTMGDNSAAEATGIQLKPGNAGLLGWAMSSLTQKGKGSDHGPVSSANASNSQISATSSVTSDNRSSTVAYAPSTSSSLDQTAPASARSSVDGWGEIENDNTQEENGSDNEGWDDVDPFDEKPPPSLLSNIQAAQKRPVAQPKQPVSNSSRLNQPKVPKPEEDPLWGSIAAPAPKNASKSSDIKPSTSHNDDDDLWGSIAAPPPKSAGKPLKPPQAANSDDLWGAIAAPPPSTKARPLASSGRGRGTKPAQPKLGAQRIGRTSSTGM >ONIVA01G40280.2 pep chromosome:AWHD00000000:1:34285303:34295072:-1 gene:ONIVA01G40280 transcript:ONIVA01G40280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with ARM repeat domain [Source:Projected from Arabidopsis thaliana (AT2G40730) TAIR;Acc:AT2G40730] MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWTHHRGTSKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVPDGPAMKHTIYIVTEPVTPLSEKLKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCVTSVVVTQTLDWKLHAFDVLSEFDANNEASNSPMLQFEWLVGTQYKPMELTKSDWVSIRKSPPWAIDSWGLGCLIYELFSGAKLTRTEDLRNTASIPKSLLPDYQRLLSSAPSRRMNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASALEFGSAAAPALVVLLKMGSWLPADQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLTAQTVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKVDEEPAIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNVVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLTMGDNSAAEATGIQLKPGNAGLLGWAMSSLTQKGKGSDHGPVSSANASNSQISATSSVTSDNRSSTVAYAPSTSSSLDQTAPASARSSVDGWGEIENDNTQEENGSDNEGWDDVDPFDEKPPPSLLSNIQAAQKRPVAQPKQPVSNSSRLNQPKVPKPEEDPLWGSIAAPAPKNASKSSDIKPSTSHNDDDDLWGSIAAPPPKSAGKPLKPPQAANSDDLWGAIAAPPPSTKARPLASSGRGRGTKPAQPKLGAQRIGRTSSTGM >ONIVA01G40270.1 pep chromosome:AWHD00000000:1:34281653:34283593:1 gene:ONIVA01G40270 transcript:ONIVA01G40270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11460) TAIR;Acc:AT3G11460] MATEPSTSAPASPAAVAGGDDSLEPWSARVRTLTRLGRHREALALLRHGDPSPPPHALALPAAVISCAKLHLASGVAQIHALAAKRGLLPSSDAYLLSALLSSYSRLRLLPLARQLLDELPLASTPPATARTAFNSLISGCALHGVPAGCFSLFRRMRVAAGVRFDAVTLLALVPVAPLGIVPQLHALAARSGLAANTSVANCLVSVYARGGGGGASLARQVFEEMPRASRDLVSWNAVISAHAQNGLAVEAVELYRRMRGPEGGGVEPDAVTLVGVLSSCAHTGARRVGLDVERYVRDTIPGFRDNLPLCNALINFHARCGSLAQAQELFDEMPERSVVSWTALIIGYGMHGQGDIAVDLFETMVSEATAPDSVAMVGLLSACSHAGMYDEGRKYFSTMERDYCLRPKLEHYTCMVDLLGRAGRLDEARELIASMPMAADGAVWGALLGACKIHKNVEMGEEAFERVVSLEPANVGYYVLMANIYADAGQLDGVAKVRAAMRRRGLRKEPGCSYVEHKGKVHLFMADDHSHPQARRIYELVVELERMVKEKTGGDVVEERAEKAVAEAAAVPLVGFHSEKLAVAFGLLNTEAGSEIVVIKNLRVCGDCHSFLKTISELTNRAFLVRDASRFHRFENGACSCRDYW >ONIVA01G40260.1 pep chromosome:AWHD00000000:1:34278849:34281168:1 gene:ONIVA01G40260 transcript:ONIVA01G40260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQVKRRFGRCPYCRAMIYQDPNAIIYYCSKCRTPIRGKNPEPTDDAEYALSQLEILSADTASVFSDDPDTLSHTSSVAYGGGEKPPVRTSSAPYAAFDRGSVRAGSRSGEQSGEERGGSPMHSRVSELRPTSRRTRRPMSGDMGAFRDDGSSYGSDNDVPTSAAASYRRRASPLTSQELEASSSSMGSSGYQPSGVSSSSMGSSSVYEPSGAARSPLTDPAFQRDLLQALDNLRRVIAAVEQPYGVDAHLQQAGMPPKSASCNDAATGGSGGGGGAYAAAVTRRNSRLMRRLESQLVQALPRDGLRRDRSTSSSSSASSSRPGGDRARAAGRKHHCRAVLGGTPFVVCDKCSEILQLPAAVSANRAARLECGGCGETLSIKLPAAAAAAAASGSTDRPKKIFSAPQPAVRRLDDDDAGEEHASARSNLSGDQRWPASPAEGPLHRMLGYSTVSSVFRSRRYGEQH >ONIVA01G40250.1 pep chromosome:AWHD00000000:1:34274494:34277389:1 gene:ONIVA01G40250 transcript:ONIVA01G40250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWLVDSVNLNVDMIMTLLVVIHVFMSDGIVQVVYNGFPYYWNIANSSNGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYETTARSWTQKYAMG >ONIVA01G40240.1 pep chromosome:AWHD00000000:1:34266087:34269411:1 gene:ONIVA01G40240 transcript:ONIVA01G40240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKQGHIMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYETTARSWTQKYAMG >ONIVA01G40230.1 pep chromosome:AWHD00000000:1:34260410:34263354:1 gene:ONIVA01G40230 transcript:ONIVA01G40230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVLLSICSLLTDPNPDDPLVPEIAHMYKMDRPKYETTARSWTQKYAMG >ONIVA01G40220.1 pep chromosome:AWHD00000000:1:34245033:34256387:-1 gene:ONIVA01G40220 transcript:ONIVA01G40220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWPSLAESAIRRRRTDHPLLAGAFLFPAASPDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKPHVDFGLKLLGADVMAIPILYSFVQETIKKQVASMYLWPKTLEVPIMDPSKASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPNDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETYHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNTTVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLGEKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNIQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEMYDLINSKRGQIQIEFQWKSS >ONIVA01G40220.2 pep chromosome:AWHD00000000:1:34245033:34256387:-1 gene:ONIVA01G40220 transcript:ONIVA01G40220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWPSLAESAIRRRRTDHPLLAGAFLFPAASPDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKPHVDFGLKLLGADVMAIPILYSFVQETIKKQVASIASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPNDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETYHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNTTVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLGEKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNIQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEMYDLINSKRGQIQIEFQWKSS >ONIVA01G40220.3 pep chromosome:AWHD00000000:1:34245033:34256387:-1 gene:ONIVA01G40220 transcript:ONIVA01G40220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWPSLAESAIRRRRTDHPLLAGAFLFPAASPDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKETIKKQVASMYLWPKTLEVPIMDPSKASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPNDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETYHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNTTVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLGEKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNIQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEMYDLINSKRGQIQIEFQWKSS >ONIVA01G40210.1 pep chromosome:AWHD00000000:1:34239543:34244640:1 gene:ONIVA01G40210 transcript:ONIVA01G40210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHILTAFLVVSLLFACIPPAKSADLNSDKQALLAFAASLPHGRKLNWSSAAPVCTSWVGVTCTPDNSRVQTLRLPAVGLFGPLPSDTLGKLDALEVLSLRSNRITVDLPPEVGSIPSLHSLYLQHNNLSGIIPTSLTSTLTFLDLSYNTFDGEIPLRVQNLTQLTALLLQNNSLSGPIPHLQLPKLRHLNLSNNNLSGPIPPSLQRFPANSFLGNAFLCGFPLQPCPGTAPSPSPSPTSPSPGKAKKGFWKRIRTGVIIALAAAGGVLLLILIVLLLICIFKRKKSTEPTTASSSKGKTVAGGRGENPKEEYSSGVQEAERNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKDFEQQMEIVGRVGQHQNVVPLRAYYYSKDEKLLVYDYIPSGSLAVVLHGNKATGKAPLDWETRVKISLGVARGIAHLHAEGGGKFIHGNLKSSNILLSQNLDGCVSEFGLAQLMTIPPAPARLVGYRAPEVLETKKPTQKSDVYSFGVLVLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNIEDEMVQMLQVAMACVAAPPDQRPKMDEVIRRIVEIRNSYSGSRTPPEEKQKDESAAP >ONIVA01G40210.2 pep chromosome:AWHD00000000:1:34241823:34244640:1 gene:ONIVA01G40210 transcript:ONIVA01G40210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHILTAFLVVSLLFACIPPAKSADLNSDKQALLAFAASLPHGRKLNWSSAAPVCTSWVGVTCTPDNSRVQTLRLPAVGLFGPLPSDTLGKLDALEVLSLRSNRITVDLPPEVGSIPSLHSLYLQHNNLSGIIPTSLTSTLTFLDLSYNTFDGEIPLRVQNLTQLTALLLQNNSLSGPIPHLQLPKLRHLNLSNNNLSGPIPPSLQRFPANSFLGNAFLCGFPLQPCPGTAPSPSPSPTSPSPGKAKKGFWKRIRTGVIIALAAAGGVLLLILIVLLLICIFKRKKSTEPTTASSSKGKTVAGGRGENPKEEYSSGVQEAERNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKDFEQQMEIVGRVGQHQNVVPLRAYYYSKDEKLLVYDYIPSGSLAVVLHGNKATGKAPLDWETRVKISLGVARGIAHLHAEGGGKFIHGNLKSSNILLSQNLDGCVSEFGLAQLMTIPPAPARLVGYRAPEVLETKKPTQKSDVYSFGVLVLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNIEDEMVQMLQVAMACVAAPPDQRPKMDEVIRRIVEIRNSYSGSRTPPEEKQKDESAAP >ONIVA01G40200.1 pep chromosome:AWHD00000000:1:34230755:34235733:1 gene:ONIVA01G40200 transcript:ONIVA01G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLDTEIGKHDYDWLLTPPGSPHAPILEVVEKAPSSDVPKRITTRSSSTTRASRLSIPETENGHSTVPTRPARSNSVSRPSIQSTLISSNNRSSVVNASISSVCSPPTTPSKRTSTLSSSKPSVPASRQVPTRPSTPTKTRPSTPVKPRPSTPVKPRPSTPNKTRPSTPVKTRSSVPNTMSTSTIAKTTSAQNSRPSTPTSRPRIMSNSSSSTSSAASRPSSSSGRTSTISRTSSSTSTVPSVSRSSSRSSTPTRQPITRSSAPLAGHSPSVGRIFGSNNITSIGRPVTSNGRSSAPSSAPSSRPSSPNSRARAPVRPLDIPDFPSETPPNLRTKLPQRPLSAGRARPGVGLGPKSAPNAEQVRSAPVKRMTVPAITRSKFPDAPSRVSSLTNGHQSRQSEGSAVEGQHTKPLRSITGTDNGFGRTISRKSLDMAIKHMDIRQNLGGIRGASLFPPSIRSAGAKVRSARMSDPAHLSLNGDCHFTDNGSINGHFSGDSNGTLSNNGGSSTGSPDRESIGTKETLSELDLYTTARYEALLLREDITNTNWLHNVDDKSDQSPVFDHRFEPLPEPFGPL >ONIVA01G40200.2 pep chromosome:AWHD00000000:1:34230755:34235675:1 gene:ONIVA01G40200 transcript:ONIVA01G40200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLDTEIGKHDYDWLLTPPGSPHAPILEVVEKAPSSDVPKRITTRSSSTTRASRLSIPETENGHSTVPTRPARSNSVSRPSIQSTLISSNNRSSVVNASISSVCSPPTTPSKRTSTLSSSKPSVPASRQVPTRPSTPTKTRPSTPVKPRPSTPVKPRPSTPNKTRPSTPVKTRSSVPNTMSTSTIAKTTSAQNSRPSTPTSRPRIMSNSSSSTSSAASRPSSSSGRTSTISRTSSSTSTVPSVSRSSSRSSTPTRQPITRSSAPLAGHSPSVGRIFGSNNITSIGRPVTSNGRSSAPSSAPSSRPSSPNSRARAPVRPLDIPDFPSETPPNLRTKLPQRPLSAGRARPGVGLGPKSAPNAEQVRSAPVKRMTVPAITRSKFPDAPSRVSSLTNGHQSRQSEGSAVEGQHTKPLRSITGTDNGFGRTISRKSLDMAIKHMDIRQNLGGIRGASLFPPSIRSAGAKVRSARMSDPAHLSLNGDCHFTDNGSINGHFSGDSNGTLSNNGGSSTGSPDRESIGTKETLSELDLYTTARYEALLLREDITNTNWLHNVDDKSDQSPVFDHRFEPLPEPFGFR >ONIVA01G40200.3 pep chromosome:AWHD00000000:1:34230635:34233130:1 gene:ONIVA01G40200 transcript:ONIVA01G40200.3 gene_biotype:protein_coding transcript_biotype:protein_coding LTAGPTRAVGPRVRKNRVKVTGGPGVFTAQRFESERDKIGKKKRKKERKRRKFHAAAHTHSSSSLLFCPRLLAVSASLSLSRAQPTATSKVQEGSGTEASGSFRVGVRKEAEGRGGGLTYSLTSVVPSPAPPGIPIPSRGAPLRREASSYRIDLVWGVLGV >ONIVA01G40200.4 pep chromosome:AWHD00000000:1:34230635:34233130:1 gene:ONIVA01G40200 transcript:ONIVA01G40200.4 gene_biotype:protein_coding transcript_biotype:protein_coding LTAGPTRAVGPRVRKNRVKVTGGPGVFTAQRFESERDKIGKKKRKKERKRRKFHAAAHTHSSSSLLFCPRLLAVSASLSLSRAQPTATSKVQEGSGTEASGSFRVGVRKEAEGRGGGLTYSLTSVVPSPAPPGIPIPSRGAPLRREASSYRIDLVWGVLGV >ONIVA01G40200.5 pep chromosome:AWHD00000000:1:34230755:34233496:1 gene:ONIVA01G40200 transcript:ONIVA01G40200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFFKSTICSASHVLMLIINRNVLHVYCSFPFLKQRMGIPQYQLGQHGVTLSLALQSNLLLFPATTGHQLSMQAFPLSVRHLQLQARGLALFLHQSHQFQLHVKYQHGPLLQLKPVHLLQ >ONIVA01G40190.1 pep chromosome:AWHD00000000:1:34211253:34222995:-1 gene:ONIVA01G40190 transcript:ONIVA01G40190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLHGLKSCSSSSSICPPAPRGLSLWVKVRCSLSPAFPEFVGEGNLRPGASSAGAPRSGGCCKSLFGLERPVGLRLIADMANFRGFRRAVIVVVLCICHVNVVRGQSTDPAEVNALRAIKGRLIDPMNNLKNWNSGDPCTSSWKGVFCDNIPINNYLHVTELQLFKMNLSGTLAPEIGLLSQLKTLDFMWNNLTGNIPKEIGNIHTLRLITLNGNQLSGSLPDEIGYLQNLNRLQIDQNEISGPIPKSFANLTSMRHLQADNNNFSGSSIPAAYENIPTLLKLDLSWNQLTGSIPANKLASNVTTISIEGNHIDGAVPSTIWSNITFVGNRSLVVDFQNNSLGNIPAAFEPPEEVTILLYGNPVCTNSTPARAARLCQPTSVTEAPSGQGSQVSINCSPCPTDKNYEYNPSSPLPCFCAVPLGVGFRLKSPGISDFRPYKEDFQKNLAHLLVLADYQIYMERYIWEVGPRLNMHLKLFPNNTNLFNTSEVVRLRHLLAGWEITLSNVFGPYELLNFTLGSYEDVLSRYSVKVDGVRCFTFDEMAAATNDFTDSAQVGQGGYGKVYKGNLTDGTAVAIKRAHEGSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKSRRPLNFSQRIHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVDGTMPAHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVLLELLTGMKPIQHGKNIVREVNTAYQSGEIAGVIDERISSSSSPECVARLASLAVKCCKDETDARPSMADVVRELDAIRSALPEGEELLPEYGDQSATSTSLTATGPLSSSSTTGALFISSGSGGHANSKSGIPSGTVAPR >ONIVA01G40190.2 pep chromosome:AWHD00000000:1:34211253:34222995:-1 gene:ONIVA01G40190 transcript:ONIVA01G40190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLHGLKSCSSSSSICPPAPRGLSLWVKVRCSLSPAFPEFVGEGNLRPGASSAGAPRSGGCCKSLFGLERPVGLRLIADMANFRGFRRAVIVVVLCICHVNVVRGQSTDPAEVNALRAIKGRLIDPMNNLKNWNSGDPCTSSWKGVFCDNIPINNYLHVTELQLFKMNLSGTLAPEIGLLSQLKTLDFMWNNLTGNIPKEIGNIHTLRLITLNGNQLSGSLPDEIGYLQNLNRLQIDQNEISGPIPKSFANLTSMRHLQADNNNFSGSSIPAAYENIPTLLKLSIEGNHIDGAVPSTIWSNITFVGNRSLVVDFQNNSLGNIPAAFEPPEEVTILLYGNPVCTNSTPARAARLCQPTSVTEAPSGQGSQVSINCSPCPTDKNYEYNPSSPLPCFCAVPLGVGFRLKSPGISDFRPYKEDFQKNLAHLLVLADYQIYMERYIWEVGPRLNMHLKLFPNNTNLFNTSEVVRLRHLLAGWEITLSNVFGPYELLNFTLGSYEDVLSRYSVKVDGVRCFTFDEMAAATNDFTDSAQVGQGGYGKVYKGNLTDGTAVAIKRAHEGSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKSRRPLNFSQRIHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVDGTMPAHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVLLELLTGMKPIQHGKNIVREVNTAYQSGEIAGVIDERISSSSSPECVARLASLAVKCCKDETDARPSMADVVRELDAIRSALPEGEELLPEYGDQSATSTSLTATGPLSSSSTTGALFISSGSGGHANSKSGIPSGTVAPR >ONIVA01G40190.3 pep chromosome:AWHD00000000:1:34211253:34222995:-1 gene:ONIVA01G40190 transcript:ONIVA01G40190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLHGLKSCSSSSSICPPAPRGLSLWVKVRCSLSPAFPEFVGEGNLRPGASSAGAPRSGGCCKSLFGLERPVGLRLIADMANFRGFRRAVIVVVLCICHVNVVRGQSTDPAEVNALRAIKGRLIDPMNNLKNWNSGDPCTSSWKGVFCDNIPINNYLHVTELQLFKMNLSGTLAPEIGLLSQLKTLDFMWNNLTGNIPKEIGNIHTLRLITLNGNQLSGSLPDEIGYLQNLNRLQIDQNEISGPIPKSFANLTSMRHLQADNNNFSGSSIPAAYENIPTLLKLDLSWNQLTGSIPANKLASNVTTMDFQNNSLGNIPAAFEPPEEVTILLYGNPVCTNSTPARAARLCQPTSVTEAPSGQGSQVSINCSPCPTDKNYEYNPSSPLPCFCAVPLGVGFRLKSPGISDFRPYKEDFQKNLAHLLVLADYQIYMERYIWEVGPRLNMHLKLFPNNTNLFNTSEVVRLRHLLAGWEITLSNVFGPYELLNFTLGSYEDVLSRYSVKVDGVRCFTFDEMAAATNDFTDSAQVGQGGYGKVYKGNLTDGTAVAIKRAHEGSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKSRRPLNFSQRIHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVDGTMPAHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVLLELLTGMKPIQHGKNIVREVNTAYQSGEIAGVIDERISSSSSPECVARLASLAVKCCKDETDARPSMADVVRELDAIRSALPEGEELLPEYGDQSATSTSLTATGPLSSSSTTGALFISSGSGGHANSKSGIPSGTVAPR >ONIVA01G40190.4 pep chromosome:AWHD00000000:1:34211253:34222995:-1 gene:ONIVA01G40190 transcript:ONIVA01G40190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLHGLKSCSSSSSICPPAPRGLSLWVKVRCSLSPAFPEFVGEGNLRPGASSAGAPRSGGCCKSLFGLERPVGLRLIADMANFRGFRRAVIVVVLCICHVNVVRGQSTDPAEVNALRAIKGRLIDPMNNLKNWNSGDPCTSSWKGVFCDNIPINNYLHVTELQLFKMNLSGTLAPEIGLLSQLKTLDFMWNNLTGNIPKEIGNIHTLRLITLNGNQLSGSLPDEIGYLQNLNRLQIDQNEISGPIPKSFANLTSMRHLQADNNNFSGSSIPAAYENIPTLLKLDFQNNSLGNIPAAFEPPEEVTILLYGNPVCTNSTPARAARLCQPTSVTEAPSGQGSQVSINCSPCPTDKNYEYNPSSPLPCFCAVPLGVGFRLKSPGISDFRPYKEDFQKNLAHLLVLADYQIYMERYIWEVGPRLNMHLKLFPNNTNLFNTSEVVRLRHLLAGWEITLSNVFGPYELLNFTLGSYEDVLSRYSVKVDGVRCFTFDEMAAATNDFTDSAQVGQGGYGKVYKGNLTDGTAVAIKRAHEGSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKSRRPLNFSQRIHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVDGTMPAHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVLLELLTGMKPIQHGKNIVREVNTAYQSGEIAGVIDERISSSSSPECVARLASLAVKCCKDETDARPSMADVVRELDAIRSALPEGEELLPEYGDQSATSTSLTATGPLSSSSTTGALFISSGSGGHANSKSGIPSGTVAPR >ONIVA01G40180.1 pep chromosome:AWHD00000000:1:34204602:34208149:1 gene:ONIVA01G40180 transcript:ONIVA01G40180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 13 [Source:Projected from Arabidopsis thaliana (AT4G35550) TAIR;Acc:AT4G35550] MEWDKAKASSGEAVDDRGGGEGGLGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRALTAQQDSIAGMRLGNLYCDPLMVPGGHKITARQRWTPTPMQLQILENIFDQGNGTPSKQKIKDITAELSQHGQISETNVYNWFQNRRARSKRKQAALPNNNAESEAEADEESPTDKKPKSDRPLHQNIAMRDHNSERISEMHHFDTEHEQIRRMMYASNDSSSRSSGSLGQMSFYDNVMSNPRIDHFLGKVESPGSFPHMRSGESFDMY >ONIVA01G40180.2 pep chromosome:AWHD00000000:1:34204602:34208559:1 gene:ONIVA01G40180 transcript:ONIVA01G40180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 13 [Source:Projected from Arabidopsis thaliana (AT4G35550) TAIR;Acc:AT4G35550] MEWDKAKASSGEAVDDRGGGEGGLGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRALTAQQDSIAGMRLGNLYCDPLMVPGGHKITARQRWTPTPMQLQILENIFDQGNGTPSKQKIKDITAELSQHGQISETNVYNWFQNRRARSKRKQAALPNNNAESEAEADEESPTDKKPKSDRPLHQNIAMRDHNSERISEMHHFDTEHEQIRRMMYASNDSSSRSSGSLGQMSFYDNVMSNPRIDHFLGKVESPGSFPHMRSGESFDMY >ONIVA01G40170.1 pep chromosome:AWHD00000000:1:34194444:34198312:1 gene:ONIVA01G40170 transcript:ONIVA01G40170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTNAEKCFSPARAMSPLPLVRPPPSPGAAGQYLAELLQEQQKIGPFVQVLPICGRLLNQEIMRMSAIVSHLGVREHDRLPIASPNQMHPLPQVPNFCGNGFNPWTGTLPEKNGFPRGTMGWEGAAHDPSYIVKKIVRLEVPTDAYPHFNFIGRLLGPRGNSLKRVEASTGCRVFIRGKGSIKDPIKASLFVTFKEEQLKGRPGYEHLSDPTHILIEAELPADVIDTRLAQAQEILEDLLKPVEESQDFLKRQQLRELAVLNSTYREDSPHQNGSASPFSNGSTKLGKQ >ONIVA01G40160.1 pep chromosome:AWHD00000000:1:34185917:34187755:-1 gene:ONIVA01G40160 transcript:ONIVA01G40160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14730) TAIR;Acc:AT3G14730] MAALRVPLRAILATPRAAGPRLLLPLHAHLLVSGRLAASPAALTSLVSLYARAAAPALHRHVAPLLLDRPSPPPLPCFNAGLSLPHPLALAVFRGLRHAYSPDAFSFPPLVSSAPSPPHLLALHALALRCGLAHDLFCASAMLRSCLRFGLADHARRLFGELPRRDVVVWNAMVNGFARLGCFGHAVECFRMMREDGEVEISSFTVTGILSVCTATADLGHGAAVHGLVVKSAFEQEVSVCNALVDLYGKCHKVDDAAMVFEGMADIVKDLFSWNSMLSALHYSADHAGTMKLFSRMRRVAVWPDAVTVAAVLPACAQTAALQVGREVHGYIVTSGLACHGALDSFACNALVDMYAKSGALDEARRIFDRMQQRDVASWNIMIDGYASHGHGKEALELFCQMTEVERLLPDEITLLGALSACSHSGLVEEGKNFLKRMKEEFSLEPQLEHYACVTDMLGRAGRLEEARKAVEDAGDVGVGAWRTYLAACRMHGDKERAQEAARMLMTTEQSESGGWVLLANTYGWEGNFDELEEVRGEMKRRGVQKAAPGCSWVEVGGDDRGSGAVMHAFVSGDRGHPEADMIYEMLHALISWMRDCSHLSNTTPLYSVEHS >ONIVA01G40150.1 pep chromosome:AWHD00000000:1:34183518:34186089:1 gene:ONIVA01G40150 transcript:ONIVA01G40150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISVEFCIISARGLGRRSTLLNPQWFSVAWIDPNSKYCTKIDASGNSDVNWGTKFSLSVDEHDMSMQQMELTVEVYRREPVFLREHLQGTAVIQMKEYFDKFSQGKDPSGVTEETSSFQLRRKKSDKPHGFVDISIRICKEENNRATFSGSQEGLKYPDQVGITLAIEDGPVYNYPPMPSSHFKGYTQDVDHYSNSMPVTAATRPGQSPPGSNYSYQPTMVPPILPHPTSNPSFFTPQYPTRGPVPQTYINVPPRMGGQNSTPNFGMGLGAGALAAGTMIFGENLLSGQSLNTGLDGASLSISNDAPF >ONIVA01G40140.1 pep chromosome:AWHD00000000:1:34176479:34182427:1 gene:ONIVA01G40140 transcript:ONIVA01G40140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >ONIVA01G40140.2 pep chromosome:AWHD00000000:1:34176479:34181365:1 gene:ONIVA01G40140 transcript:ONIVA01G40140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >ONIVA01G40140.3 pep chromosome:AWHD00000000:1:34176921:34181647:1 gene:ONIVA01G40140 transcript:ONIVA01G40140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILNVHKIKISPDIPEKDSTMTCTLASKPWRQPEGWVPDIHPRTYMNAKIKIFACKLMPAIWEPADLKF >ONIVA01G40140.4 pep chromosome:AWHD00000000:1:34176602:34181647:1 gene:ONIVA01G40140 transcript:ONIVA01G40140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILNVHKIKISPDIPEKDSTMTCTLASKPWRQPEGWVPDIHPRTYMNAKIKIFACKLMPAIWEPADLKF >ONIVA01G40140.5 pep chromosome:AWHD00000000:1:34176602:34181647:1 gene:ONIVA01G40140 transcript:ONIVA01G40140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILNVHKIKISPDIPEKDSTMTCTLASKPWRQPEGWVPDIHPRTYMNAKIKIFACKLMPAIWEPADLKF >ONIVA01G40140.6 pep chromosome:AWHD00000000:1:34176479:34182427:1 gene:ONIVA01G40140 transcript:ONIVA01G40140.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >ONIVA01G40140.7 pep chromosome:AWHD00000000:1:34176602:34182379:1 gene:ONIVA01G40140 transcript:ONIVA01G40140.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILNVHKIKISPDIPEKDSTMTCTLASKPWRQPEGEGDS >ONIVA01G40140.8 pep chromosome:AWHD00000000:1:34176479:34182379:1 gene:ONIVA01G40140 transcript:ONIVA01G40140.8 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >ONIVA01G40130.1 pep chromosome:AWHD00000000:1:34160380:34163907:-1 gene:ONIVA01G40130 transcript:ONIVA01G40130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRVSANPRPSCVRRVLARKRRRPEATANSARKLQRREISALPCRAFSASTTRERFRNIQLQEEFDTHDPKEMGSLLPYLMKRSEIIEIVGASDIIFALSQSGVCAAFSRVSNQRICFLNGRPDEVIRSLFYNKNNDSLITVSVYGSENFSALRCRTTRIEYIRRGKPDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYTISDKNVQEIKISPGIMLLIYSRKKGCIPLDILSIEDGKRLKSFKHLLHRNKKVDFIEQFNEKLLIKQEGENLQILDVRNFQSIEVSRSEFVTPSAFIFLYEMQLFLTFRSRSVSVWNFRGELVTSFEDHMLWHPDCNTNSIYITSNQDLIISYCKADPNDPSSEENACSINISEILTGKCLAKIKAGNLNKQRVSKFQSTPSEALGDITALYYDEEREEIYTGNRLGLVHVWSN >ONIVA01G40120.1 pep chromosome:AWHD00000000:1:34155895:34164382:1 gene:ONIVA01G40120 transcript:ONIVA01G40120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSWTLPDHPKLPKGKTVALVVLDGWGEANADKYNCIHVAQTPVMDSLKNGAPERWRLVKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFNYIKECFDKGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLESDLSQLRDKGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFQNAVEAVKTLRAETKASDQYLPPFVIVDESGKSVGPVVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVKNGVRTFACSETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDIGITFNVKPNMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPLLDKNGGIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIQTPGLANVAATVMNFHGFEAPADYEPTLIEVVDN >ONIVA01G40120.2 pep chromosome:AWHD00000000:1:34156076:34164382:1 gene:ONIVA01G40120 transcript:ONIVA01G40120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSWTLPDHPKLPKGKTVALVVLDGWGEANADKYNCIHVAQTPVMDSLKNGAPERWRLVKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFNYIKECFDKGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLESDLSQLRDKGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFQNAVEAVKTLRAETKASDQYLPPFVIVDESGKSVGPVVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDIGITFNVKPNMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPLLDKNGGIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIQTPGLANVAATVMNFHGFEAPADYEPTLIEVVDN >ONIVA01G40120.3 pep chromosome:AWHD00000000:1:34156583:34164382:1 gene:ONIVA01G40120 transcript:ONIVA01G40120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSWTLPDHPKLPKGKTVALVVLDGWGEANADKYNCIHVAQTPVMDSLKNGAPERWRLVKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFNYIKECFDKGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLESDLSQLRDKGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFQNAVEAVKTLRAETKASDQYLPPFVIVDESGKSVGPVVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDIGITFNVKPNMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPLLDKNGGIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIQTPGLANVAATVMNFHGFEAPADYEPTLIEVVDN >ONIVA01G40110.1 pep chromosome:AWHD00000000:1:34155381:34155935:-1 gene:ONIVA01G40110 transcript:ONIVA01G40110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTWERRDSIVTVQSGPSPIPSLGLDIPSRYSQRRRDFSPGFSRFSNGKSPPRRTNAPLRCCVGRAATGPGVLGAKPLELECAIRPKALSLSSRTSTSVYFLLALHKTGTPVFITGGTVAVGQIWRLGSGQPTLLLLWAGRYILGLVGSNVGHAAHVWLAGMEISLLVVLMLYVEYNRHP >ONIVA01G40100.1 pep chromosome:AWHD00000000:1:34153199:34153840:1 gene:ONIVA01G40100 transcript:ONIVA01G40100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNVVPVAAAAGPAGGERRGEEEEEAAALTVWRKSLLFNCKGFTVFDAKGNLAYRVDSYDTESGDEVVLMDAAGAPAFTVRRKRQLSLQGEQWLVFAGEADGRRPPVYAVRRTGRGGGKSLARVTPCAGAGAAGASAAYEVEGSYARRCCVVYDGERRAVAEVRPKEAVGTDVFRLVVQPGVGVSLAMAVVVALDQMFGRPSLLRSWSS >ONIVA01G40090.1 pep chromosome:AWHD00000000:1:34148610:34148921:1 gene:ONIVA01G40090 transcript:ONIVA01G40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLAREARPAVEEATSARGRSCRWMCAVFVARRLAGGGCRCSDPTWRQRLSGGGLLRSVTLSGSRSGASLLPGLCVGTVGVWVAVYFFFFPGYDPPGL >ONIVA01G40080.1 pep chromosome:AWHD00000000:1:34144820:34148560:1 gene:ONIVA01G40080 transcript:ONIVA01G40080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFCRMLFDLSCRPSPTGDWEATTSSALVSPSLLLCLAAVRAAAGKATRPQGRWRRGFVLALRHGRGTCSGAAEPARSDLAVEQPAAGLSGVAAVSGGGGSGVKALAAAEETARSAPGSGRTEAGGGDSDGGKGSEGMASVSAWRSGGRDGGSDEFAATAARATTAGRLRRVSSELDNGDKVWEDDEMAAGMEGQQRLLWWRRRWQGDGVGEPTAVSGGGGSGVEALAAAAEETARSGPGSGGTAAGGGVWWRGWRRRRGRQGDGVDEVNLAALAAEMEGWQGDGVGEANLAAPAAEPTPEEFGCRGDGGDELAATAARATTVGGLRRVPSELDDGEKGREDGEMAAGMEGQRWLWWWRPWLAGGVADGRIRPARQCLEEGLEAGLA >ONIVA01G40070.1 pep chromosome:AWHD00000000:1:34137668:34141019:1 gene:ONIVA01G40070 transcript:ONIVA01G40070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRRPSTTPKSPGDRPRKQHDVEIDPPSDLFLPPFGPSLDLVATTTISPETNTGKTQSRGAGEGPPCVRAALVRADVPCAKMASTVPALIADDLPTNVTSQITDAARPKTTSSVVCYSPMMITTNGIWQGVNPLEFSLPLFILQVAVIVVTTRLLVVLLKPFRQPRVIAEILAGVVLGPSVMGQVEVWATMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDLDVIRRSGKKALFVAVAGMALPFCIGIATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLNTELGRIAMSAAIVNDMCAWILLALAIAISEVNSTALSSLWVLLAGVLFVLFCFYVVRPGMWWLIRRIPEGEVVSDMQVSLILTGVMLAGVCTDAIGIHSVFGAFVYGLVIPGGQLGVALIEKLEDFVTGLLLPLFFAISGLRTNISKIRDPITVGLLVLVFTMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTTLVTPVVTGVYRPSRRLVGYKRRNLQRIRHDSELRMLICVHTTRNVPSVLSLLELSNPTKRSPIFIYALHLVELTGRASNMLAAAAASASKQNRSSSSSTLPPVTEHIFNAFENYERHTGGISIQTLAAVSPYQTMHDDVSVLAEDKHVSLIVVPFHKQQTVDGAMEPINPSIRGFNESLLSTSPCSVAILVDRGLSAAAARMAALHRVALFFFGGPDDREALAYAWRMVEHPGVALTVVRFVPPDCRVRSYSNTNYRSVASDADPRSIGMDTEGKTELQMDEEYLGDFRTRNIGNDAISYSDKVVANSEETVSAIRNMDDSLHELYIVGRRPGEAGSPMTASLEDWMECPELGPIGDMLVSSDFSMSVSVLVVQQYVVAAAAPAPATTAPAGNADPVRQYVSNANQRPSAAYRTSAASTANGRWSGGGTVGF >ONIVA01G40060.1 pep chromosome:AWHD00000000:1:34136171:34136863:-1 gene:ONIVA01G40060 transcript:ONIVA01G40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLEMGPEEEAIGRCGLSEKQQEKYYFAPISINRRTLPHGERALQLARTTISGIAALRDMTCERCNSSNGHGDLSSLFAGSNDNGPVPPMVASSALGTIRHARPQEG >ONIVA01G40050.1 pep chromosome:AWHD00000000:1:34123829:34135865:-1 gene:ONIVA01G40050 transcript:ONIVA01G40050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGTRTLGSTHVADLQLRIQRRPAECTRIKPRRRRRWNIEYGGEQTRVTAVQQIREGIEPNGASRVRSRLTRWSQAEGAEGSSRAGRGRKPNPREAQAQKQKRTTRRDATTAAGHSPSQSHPADRGGMDGNFGSEERILWPASVLAGIAMCAAVYDITQKVSSHCFKGYDNLSPMKKVEWNNRGFSTFHALVAAVVSFYLVVISDLFHSNIIIDRNSWLSDAMFGVSIGYFLTDLVMILWYFPSLGGKEYLLHHGLSMYAICLALLSGKAHMYILMVLFTEATTPFVNLRWYLEVAGKKTHNLYLYNGLALFVGWLVARVILFIYFFTHMYFHFDQVYDITQKVSSHCFKGYDNLSPMKKVEWNNRGFSTFHALVAAVVSFYLVVISDLFHSNIIIDRNSWLSDAMFGVSIGYFLTDLVMILWYFPSLGGKEYLLHHGLSMYAICLALLSGKAHMYILMVLFTEATTPFVNLRWYLEVAGKKTHNLYLYNGLALFVGWLVARVILFIYFFTHMYFHFDQVKSIFPLGFYSILTVPPALAVMNLFWFWKIFKGMLKTLSKRRQQSENGKAE >ONIVA01G40050.2 pep chromosome:AWHD00000000:1:34123829:34135865:-1 gene:ONIVA01G40050 transcript:ONIVA01G40050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGTRTLGSTHVADLQLRIQRRPAECTRIKPRRRRRWNIEYGGEQTRVTAVQQIREGIEPNGASRVRSRLTRWSQAEGAEGSSRAGRGRKPNPREAQAQKQKRTTRRDATTAAGHSPSQSHPADRGGMDGNFGSEERILWPASVLAGIAMCAAVYDITQKVSSHCFKGYDNLSPMKKVEWNNRGFSTFHALVAAVVSFYLVVISDLFHSNIIIDRNSWLSDAMFGVSIGYFLTDLVMILWYFPSLGGKEYLLHHGLSMYAICLALLSGKAHMYILMVLFTEATTPFVNLRWYLEVAGKKTHNLYLYNGLALFVGWLVARVILFIYFFTHMYFHFDQVKSIFPLGFYSILTVPPALAVMNLFWFWKIFKGMLKTLSKRRQQSENGKAE >ONIVA01G40050.3 pep chromosome:AWHD00000000:1:34123829:34135865:-1 gene:ONIVA01G40050 transcript:ONIVA01G40050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGTRTLGSTHVADLQLRIQRRPAECTRIKPRRRRRWNIEYGGEQTRVTAVQQIREGIEPNGASRVRSRLTRWSQAEGAEGSSRAGRGRKPNPREAQAQKQKRTTRRDATTAAGHSPSQSHPADRGGMDGNFGSEERILWPASVLAGIAMCAAVYDITQKVSSHCFKGYDNLSPMKKVEWNNRGFSTFHALVAAVVSFYLVVISDLFHSNIIIDRNSWLSDAMFGVSIGYFLTDLVMILWYFPSLGGKEYLLHHGLSMYAICLALLSGKAHMYILMVLFTEATTPFVNLRWYLEVAGKKTHNLYLYNGLALFVGWLVARVILFIYFFTHMYFHFDQVKSIFPLGFYSILTVPPALAVMNLFWFWKIFKGMLKTLSKRRQQSENGKAE >ONIVA01G40040.1 pep chromosome:AWHD00000000:1:34116979:34119471:-1 gene:ONIVA01G40040 transcript:ONIVA01G40040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWRFSRSLLRAAATTTTATAACASASTAGKHAASRRWVTPRELQRCWYASLPAAAAAVPGKDGEAEVTAEEARRLMRLANVEALKRKLGDGEVIPYAELLRACEEAGAARTRAEAAALAGALDEAGVVLLFRDKVYLQPDKIVDLVRKAMPLALTPEDDPRKEELKKLQTQLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERLFESRQKKLIQRQNFNLDRYLELQRRCKGPLEKMCGTNQTPNPDMAHLHELSVNK >ONIVA01G40030.1 pep chromosome:AWHD00000000:1:34105350:34108341:1 gene:ONIVA01G40030 transcript:ONIVA01G40030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGPDRDLLLLPQHGHAARGRRLRDHHRAQPAAHPGALRRAGRRLPGPRRRLVHQGPHRAGEEPRRRQEHRPAGGSRHQRQEREGRVQPAHVHHGGRQGVPVPRLQRGDQDVAQRAHPGPQPQARGDGGLAHRAEQLRLAGRARRPVRLLPRHRRPEARRLPPRGLHPLLEGVQRHHRHRPVQRVEHAGVAQAAGGAERVGVVHQPVEVVPLEPDGERGAAQPAGVLPLRADQHHAHHQALHQQGEGGRQGAVRPQRRVARRRRPDAAQARRVLQRLLRGVRVQPHRRRAAGHHRPAEARAQRHLRRVPHLHRGRLREPREEHRLLPHQRLRLLRRRHGAGDMDAGVQEDVQPAGHGEPAHDPGVPEVVDGGDADVRQRGDVEHQVQHVGEVLPRGAVVRQRGIAGEVAPGRVQHAGDSPPLRQGRRPADAAVLPPRIIKQNPQPSSGTHPWC >ONIVA01G40020.1 pep chromosome:AWHD00000000:1:34104273:34104482:1 gene:ONIVA01G40020 transcript:ONIVA01G40020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQFSQKSELYSFGSVMLELLSRRLPLAKGRFIDREFRMAIDASNYDYYGLQCIIDLAIDDATICYRV >ONIVA01G40010.1 pep chromosome:AWHD00000000:1:34097404:34102743:1 gene:ONIVA01G40010 transcript:ONIVA01G40010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMQWLLLLLMLLVSLRLSFSQTNPQDVSALQALMKNWQNEPQSWMGSTDPCTSWDGISCSNGRVTEMRLSGINLQGTLSNAIDQLSSLTYLDLSNNLNLGGPLPPSIVNLKQLTTLILLGCSFTGDIPEQIGALRQLTFLALNSNKFTGGIPPTLGLLSKLFWLDLSDNQLSGKIPVSSGSNPGLDQLVNAEHFHFSENQLTGPIDEKLFSEKMNLIHVIFDNNNFTGPIPGSLGRVSSIQIIRLDHNQFSGPVPGSIANLSRLMELSLASNQLNGTVPDLTSANALTYVDLSNNNFMSSPAPRWFSTLTSLTTLFMDSDHLTGTIPSALFSFPQLQQISLAKNSFSGELNMSSNISSLLRVVNLTNNQIFNAEVDPSYTGSLILSGNLICFNNISFCTLKQKQQVPYSTNLGPCGAISCPTDQSANPVASQNCACASPFQGLMIFRAPAFSDVTNPKSFQPLEFTLVQNLSLAPGSVAISNVEFSPGEPLTFTVKVFPESGTSFNHSEVIRISSSLVNQTYKAPAYFGPYSFIASTYFASPSGKRSSMGKGAIIGIAVAGFLLLVGLILVAMYALRQKKIAKEAVERTTNPFASWGQGGKDNGDVPQLKGARYFAFEELKRCTNNFSETQEIGSGGYGKVYKGMLANGQMAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPNGTLRENLKGKGGMHLDWKKRLQIAVGSAKGLAYLHELADPPIIHRDIKSTNILLDESLNAKVADFGLSKLVSDTKKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELITSRQPIEKGTYIVREIRTAIDQYDQEYYGLKSLIDPTIRDSAKMVGFRRFVQLAMECVEESAADRPTMNDVVKELEIIIQNEGAQLLNSASLSAQQFGYAKGRDPDPYGDHVPINDDSSSGAFDYNSVYSYSVVEPK >ONIVA01G40000.1 pep chromosome:AWHD00000000:1:34096491:34105369:-1 gene:ONIVA01G40000 transcript:ONIVA01G40000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFRPNGRNARASARLGTAGGSPPTFDSFLRRMHACTHARTPRRCWSPRGTRWFLVSGPARSALSMKCLDSWERHTSPRREVADGARGEAETGGGGVEWRGVEPNPRRKCTALRATAGRARAEIRERRAAHRLLKDSESFGLCQQETKIL >ONIVA01G39990.1 pep chromosome:AWHD00000000:1:34063333:34093917:-1 gene:ONIVA01G39990 transcript:ONIVA01G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35630) TAIR;Acc:AT2G35630] MEAEAEAGRPRPAMSAEDERLLMEAKWLPWDERLRHKSWKVRRDANVDLAALCDSIADPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEESMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKAILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKELEEEVVPEAAGTNNSEEAVPEAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFHEICRTLKKLITDVNLAVSVEATQAIGNLAKGLRTHFSGNSRVLLPVLLEKLKEKKPTMTEALSQTLQAMHKSGCITLLDVIEDVRVAVKNKVPLVRSLTLNWVAFCIETSNKATVLKLHKEYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSASDTTSGTVAASNTGVGTSAREVMDSSSMRRSAASMLSGKKPVQAVPATKKSGPAKSATAKKTDGGPQSKASAAPVIEDVEPSEMSLEEIEEKLSSVVKTETISQLKSTVWKERLEAISMLKQEVESLTELDKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTVNRFPKRCVVLCLLGISERVADIKTRGHAMKCLTAFCEAVGPGFVFERLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDTIDFCKDIGLQSSAAATRNATIKLIGVLHKFVGPDIKGFLSDVKPALLSTLDAEYEKNPFEGTASAPKRTVRAADAVSSASSGTSDGLPREDISAKITPTLLKNLGSPDWKLRLESIDAVSKIVEEAHKRIQPTGTVELFTALRARLYDSNKNLVMATLSTIGGLASAMGPAVEKSSKGILADVLKCLGDNKKHMRECTLTALDLWVAAAQLDKMVPYITVTLGDQKTGSEGRKDLFDWLSKHVSNMSDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQDVVAKNLKDLPSPTLAIVAERLKLSTVHEGFSDSVKMVTTSMSLPSKAGSKNNKHGPNDRGSNVSKARGIPARSSVTMISSQDSIQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIELFRHFREDVSLRLWNSDFKRQIDGIELLQKALPSSRKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDVLKDQSYMLTEAEAAIFLPCLMEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHHGTEVSGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDIAEQSGEAVSRSMAGSMISRENFGYSDAHMVPRQMATATPGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPPESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAVKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGTMGQTHWGDAGSNNPNPSTHSTDAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAPIPSPKFAPSPVHTKSINNKTDCNEDDAGGDTHPFRGQGEIDNRLQTTNLQTDRYQSSGTLDALRERMKSIQAAAVGANFDGVQARPLPSMNGNTLHGGTRLDADPQTQNIIPPMDERALSGLQARMERLKSGSMEPL >ONIVA01G39990.2 pep chromosome:AWHD00000000:1:34063333:34079808:-1 gene:ONIVA01G39990 transcript:ONIVA01G39990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35630) TAIR;Acc:AT2G35630] MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEESMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKAILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKELEEEVVPEAAGTNNSEEAVPEAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFHEICRTLKKLITDVNLAVSVEATQAIGNLAKGLRTHFSGNSREKLKEKKPTMTEALSQTLQAMHKSGCITLLDVIEDVRVAVKNKVPLVRSLTLNWVAFCIETSNKATVLKLHKEYVPICMEMVGMKPLERSLEKLDDVRKKKLSDMIGSASDTTSGTVAASNTGVGTSAREVMDSSSMRRSAASMLSGKKPVQAVPATKKSGPAKSATAKKTDGGPQSKASAAPVIEDVEPSEMSLEEIEEKLSSVVKTETISQLKSTVWKERLEAISMLKQEVESLTELDKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTVNRFPKRCVVLCLLGISERVADIKTRGHAMKCLTAFCEAVGPGFVFERLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDTIDFCKDIGLQSSAAATRNATIKLIGVLHKFVGPDIKGFLSDVKPALLSTLDAEYEKNPFEGTASAPKRTVRAADAVSSASSGTSDGLPREDISAKITPTLLKNLGSPDWKLRLESIDAVSKIVEEAHKRIQPTGTVELFTALRARLYDSNKNLVMATLSTIGGLASAMGPAVEKSSKGILADVLKCLGDNKKHMRECTLTALDLWVAAAQLDKMVPYITVTLGDQKTGSEGRKDLFDWLSKHVSNMSDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQDVVAKNLKDLPSPTLAIVAERLKLSTVHEGFSDSVKMVTTSMSLPSKAGSKNNKHGPNDRGSNVSKARGIPARSSVTMISSQDSIQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIELFRHFREDVSLRLWNSDFKRQIDGIELLQKALPSSRKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDVLKDQSYMLTEAEAAIFLPCLMEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHHGTEVSGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDIAEQSGEAVSRSMAGSMISRENFGYSDAHMVPRQMATATPGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPPESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAVKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGTMGQTHWGDAGSNNPNPSTHSTDAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAPIPSPKFAPSPVHTKSINNKTDCNEDDAGGDTHPFRGQGEIDNRLQTTNLQTDRYQSSGTLDALRERMKSIQAAAVGANFDGVQARPLPSMNGNTLHGGTRLDADPQTQNIIPPMDERALSGLQARMERLKSGSMEPL >ONIVA01G39990.3 pep chromosome:AWHD00000000:1:34079881:34093917:-1 gene:ONIVA01G39990 transcript:ONIVA01G39990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35630) TAIR;Acc:AT2G35630] MEAEAEAGRPRPAMSAEDERLLMEAKWLPWDERLRHKSWKVRRDANVDLAALCDSIADPKDARLREFGPLFQNSVADCNVSVREKALDAVLAFQRASDAADASRYAKGICDAIVAKCLTGRPRIVEKAQAALLLWVGLDAAEVFVESMEKAVKNKMAKAVVPAIDVMFQALSKFGPKVVPPKKVLKMLPQLLDHPDRNVRASSKGLTVELCWWIGKEPVKAILFEKIRDMMIKELEAELANNSAIAKPAHKIRFIRCYDCTWTLIDEYDLVDPVHTLTPPEESGFCDGVKATKWSERRDATELTKLSSTKRIATGDFEDICPTPKKNDGCDFSKWYEPKTTPTPYLKQDLFNDLYAVVHGLKEDNTEIKASLISARAQIDELMTAHNAVTDRRRKLKEKDCSACKLSARVVELEEEIQFLLSIIVGFVEGNASSHLSLQFETLGRRIDPGCAAGFSVPLVVGVV >ONIVA01G39980.1 pep chromosome:AWHD00000000:1:34050513:34051661:-1 gene:ONIVA01G39980 transcript:ONIVA01G39980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGGSGRRDAEAELNLPPGFRFHPTDEELVVHYLCRKVARQPLPVPIIAEVDLYKLDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPVAPKGSARTVGIKKALVFYSGKAPRGVKTDWIMHEYRLADADRAPGGKKGSQKLDEWVLCRLYNKKNNWEKVKLEQQDVASVAAAAPRNHHHQNGEVMDAAAADTMSDSFQTHDSDIDNASAGLRHGGCGGGVFGDVAPPRNGFVTVKEDNDWFTGLNFDELQPPYMMNLQHMQMQMVNPAAPGHDGGYLQSISSPQMKMWQTILPPF >ONIVA01G39970.1 pep chromosome:AWHD00000000:1:34047328:34049933:1 gene:ONIVA01G39970 transcript:ONIVA01G39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15010) TAIR;Acc:AT5G15010] MLRSLLARAIPRSSAAAATTRGFTDPRSFPPLFREGSRLSTSQGGIGNGGGGGGNGGDGEEDDPFSFADLQKLPPDVARDVEAVVGAAEGFHADAARARGLLERCGATASEPVVVAVLARLRNSCAAAHAAFRWASAQPGYAPGRHAYHSMLAILAKHRRFDDARALLDQMRRSSLASPAAVMLLIRRYCAARDVAGAVAAFRALPSLGFRPGVAEFHGLLTALCRYKNVQDAEHLLLSSEKEFPFETKSFNVVLNGWCNMVRSVREAKRFWNAMEIKGIKRDVVSYGSMISCFSKAGSLDTVMKLFNRMKEAGVVPDRKIYNAVVYALAKGRCVNEAKALVRSMEEKGVAPDTATFNSLIRPLCKARQVQEARKMLDDMLGRGLSPSVRTFHALLDVARSPIEVFDLLDKMKELQCDPEMDTFIMLIRKFCRWRQHDSVEKLWSAMPANGLSPDRSAYIVLIHGLFLNGRLEESAKYYEEMKAKGFPPEKKTEEMIQAWLSGRELAKASASVGSRGGSVSLRSNPRNSKSHLF >ONIVA01G39960.1 pep chromosome:AWHD00000000:1:34043349:34046955:-1 gene:ONIVA01G39960 transcript:ONIVA01G39960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSPRFLGRTSPPVDAIAARRLVALLLEHQERRRQLLQIHSQLIAHQVFDRRPTPWHALLKAYSHGPHPQDTLQLFRHARWHAADDTYAFTFALKACAGLGWPRCCMQLHGLVVRKGFEFQTYVHTALVNVYILCGCLADARMAFEEMPVKNAVSWNVVITGFAGWGEVEYARLLFERMPCRNVVSWSGMIDGYTRACRPVEAVALFRRMMAEGISPSEITVLAVVPALSNVGKILIGEALHGYCEKEGLVWDVRVGNSLIDLYAKIGSIQNSLRVFDEMLDRRNLVSWTSIISGFAMHGLSVKAVELFADMRRAGIRPNRITFLSVLHACSHGGLVEQGVAFFKSMIYEYNINPDVKHFGCIIDMLGRAGRLREAEQIIRDFPVEVNATVWRTLLGCCSKYGEVEMGERTMKKILALEREFGGDFVVLSNMLTELRRFSDAEIVRKLVDQRNSVKSFFNIVRMEAIKRLHAYYIVSGLYNCHYAMSKVLRSYAILQPDLVFAHKVFDQIEAPTTFLWNILIRGLAQSDAPADAIAFYKKAQGGGMVPDNLTFPFILKACARINALNEGEQMHNHITKLGLLSDIFVSNSLIHLYAACGNLCYARSVFDEMVVKDVVSWNSLICGYSQCNRFKDILALFKLMQNEGVKADKVTMIKVVSACTRLGDYSMADYMVRYIEDYCIEVDVYLGNTLVDYFGRRGQLQSAEKVFFNMKVRNIVTMNAMIAAYAKGQDIVSARKIFDQIPKKDLISWSSMISGYSQANHFSDALEIFRQMQRAKVKPDAIVIASVVSSCAHLGALDLGKWVHEYVRRNNIKADTIMENSLIDMYMKCGSAKEALQVFKEMKEKDTLSWNSIIIGLANNGFEKESLNLFQAMLTEGFRPNGVTFLGVLIACANAKLVEEGLDHFESMKRLYSLEPQMKHYGCVVDLLGRAGQLEKALRFITEMPIDPDPVVWRILLGSCNTHGDIAIAEIVTKKLNELEPSNSGNYTLLSNAYASAHRWSEAMNVRQCMADTDVRKSPGCSAVEAA >ONIVA01G39950.1 pep chromosome:AWHD00000000:1:34035411:34044958:1 gene:ONIVA01G39950 transcript:ONIVA01G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASKLLSSLLLTSSPLRLRPSAGAFALFLSPPASRRHLLLSSPAPLRTLSTASASAAAGGASSDSYSSGSCHSPFPEWSRLVDRLSAAGYGARAPSPADELDLDPECGLSSDAEAAVSSFLAFARDRPDLLRSLPRKDVEVLVANAAPALFKDGEASELRLRQYLAGEGSDVTQSERAETIDIVRYLLSYAYGSPVSYLKDKELTDSAVRNILAEFVSFSGFPQTSSYAESTARQNTLGSRPPGQNIEMKRGDWICTRCSFMNFARNARCLECNEHRPKKMLTGGEWECPQCVYYNYGRNMSCLRCSCKRPGTIPPNPAGAGLDGVAQFLNTSIVGKSEIERKLAENDQKAERWLNKVSQLDDSADLSSLAADEDFPEIMPMRKGVNKFVVSTRKTPLERRLANAQYSSNNSPQDGSSDSKISKTLDRILGRSTSTSVQNNQSGDGDVNTSSNKTTSNLGGIDPVPFVPLSADQFAKPQNSFGDGQSDTQISTEADSMAKSQMDSMERRDDKRSFDTTEEWSKKVAELSNVKDFPSAISDQDFPEIMPMRKGENRFVISKKKDRSLTSPQYKRRSVLEHADNSNFIPFVPFPPDYFAKKNKPVENSSDAGIVPEGPPSAEKLPETKYSSGNLGNFQNSSQVMGSQAANNMNNENRNGNYPHQNLSTSGYGYGESITYQHQPQSQGMVGRSGGASETGTRNANNNQGSFSESRDRSTYNRGSHSAQSPYKSGYGNNNNAWSSNNNGSNNAWSSTRDYDNGGRSDNNPYYNSSTWSSNSTYSNNAAWSSNSSYNSNGAQSSNSSYNSNSAWSNNSNNSWSGSYSDNGGTGSGSSASRPNQTAGYSSYGESANRGYTGKSLEGSAVKDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTSPQYRRNLPIPASMKFVKKNERRKKKKTPEPTQHLVTMLAEVVWWPNLIAVQDGHGCTVCICDFFVSLLLVVTQQSISLWLEGTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKTQSKGGATQRGAKGPKIGGGGGKR >ONIVA01G39940.1 pep chromosome:AWHD00000000:1:34032224:34033387:-1 gene:ONIVA01G39940 transcript:ONIVA01G39940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEQVSQRKAPLLVLVILCGLLLLLPLVSSVPLSRSVSLRNHQASVSALEAPVQVVSAAAEERDLDEVAARMVIEVNDYPGSGANNRHDPKSPGRA >ONIVA01G39920.1 pep chromosome:AWHD00000000:1:34020643:34021299:-1 gene:ONIVA01G39920 transcript:ONIVA01G39920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCRSLIEFLRAFEHHRRAADSAAAAGCSSSSSRSRRGGSSLTALCDHSPMAAVDAVVLLAVVAALGFLVVPYAKMALLEMGALLHPAASCLSAAAFAGAAVAVAAAVLAWELVGHHARKCGKPRCRGLKKAVEFDIQLETEECVRGHPAPAARSALLAAAGAHPVELGDAHRELEAELRKMAPPNGRTVLIFRSPCGCPKGRMEVWGAKKVRRIKK >ONIVA01G39910.1 pep chromosome:AWHD00000000:1:34009215:34012128:-1 gene:ONIVA01G39910 transcript:ONIVA01G39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FUV5] MDLLHGESVQTTVAIAVAVVAVAAGGAFLLLRSRKPKGCLDPENFKKFKLVEKKQISHNVARFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGHFELVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFRYQVGQVRAFGMLAGGSGITPMFQVARAILENPNDITKVHLVYANVTHDDILLKEELDNMAKTYPDRFKIYYVLNQPPEVWNGGVGFVSQDMIKAHLPAPAEDIQILRCGPPPMNKAMAAHLDELGYTKEMQFQF >ONIVA01G39900.1 pep chromosome:AWHD00000000:1:34004367:34007500:-1 gene:ONIVA01G39900 transcript:ONIVA01G39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine synthase 26 [Source:Projected from Arabidopsis thaliana (AT3G03630) TAIR;Acc:AT3G03630] MAMAMASPPACPFLLSLSAGATAISTVSAAYGSVSAPRAPLFLLASPRPVPPRRPLAAGSSSPKAAAPAAVEIPEEYVDDVDAVNIAQDVTQLIGKTPMVYLNNVVDGCVANIAAKLEYMGPCRSVKDSATSHSCLFRIGLSMIDDAEEKGLIFPNKTILVEPTTGNTGIGLASVAAARGYKLIATVPSSIDVERRVLLRAFGAEIVLTDPNKGLKGALDKAEEIVSKTPNAYMFQQFNNSANSEIHFQTTGPEIWEDTLGTVDILVASIGTGGTITGTGRYLKMMNKDIKVIGVEPAETSVISGDNAGYIPSILDVQLLDEVVKVTTTEAVDVARELALKEGLLVGISSGAATVAAINVAKRPENAGKLIAVIFPSFGERYISSILFRPIYDSVRRMRKR >ONIVA01G39900.2 pep chromosome:AWHD00000000:1:34004367:34007500:-1 gene:ONIVA01G39900 transcript:ONIVA01G39900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine synthase 26 [Source:Projected from Arabidopsis thaliana (AT3G03630) TAIR;Acc:AT3G03630] MAMAMASPPACPFLLSLSAGATAISTVSAAYGSVSAPRAPLFLLASPRPVPPRRPLAAGSSSPKAAAPAAVEIPEEYVDDVDAVNIAQDVTQLIGKTPMVYLNNVVDGCVANIAAKLEYMGPCRSVKDRIGLSMIDDAEEKGLIFPNKTILVEPTTGNTGIGLASVAAARGYKLIATVPSSIDVERRVLLRAFGAEIVLTDPNKGLKGALDKAEEIVSKTPNAYMFQQFNNSANSEIHFQTTGPEIWEDTLGTVDILVASIGTGGTITGTGRYLKMMNKDIKVIGVEPAETSVISGDNAGYIPSILDVQLLDEVVKVTTTEAVDVARELALKEGLLVGISSGAATVAAINVAKRPENAGKLIAVIFPSFGERYISSILFRPIYDSVRRMRKR >ONIVA01G39890.1 pep chromosome:AWHD00000000:1:33996912:34003013:-1 gene:ONIVA01G39890 transcript:ONIVA01G39890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDLPDELWARVLELGAGAASSALGFRDLCALAIASRRLRRLSLHPSLWSALLSRDFPTQSSQPSSASSSSSQQQLHPKSVYKTKFERHKVRMAEARRRVVFEAEGRVLACRRRLTQLEESLQEEGEKMKAAAQELDNLERVRRASVALNVWQPQVVRGRQKQLVQQCTVPVDSRLSDVNMELKVCKQQIATYKNIYNKEKEKLNEYEEALKRAIYHPLQSSHTSSIANEPQAKRKKRNCGRSAVLEQQDDIHLIKLLPLTFGLKRYAQVVGLVGGGDCWFFEERENPLLNLELSLWMGGSLVDSSAEGVSSSLCLCHSTSEKAGFEHSSCASSGDGNCEAGIDFGQDDLVVNEIGMAIAEVMHVCSDHDDDEGTDSGEDFDENEGLLSLESDSTDDVVDIDNELVISPTFSSCNASESSINKSDSGNSSINGTPPLVSAMKGSRAKLGIVTSLSVSWAPDVYDPPVTSGSHTVKGHQRSSRKSHYKYKPAKSSSSRSSSGSKKDRKHSRHSSSSSSSSNHKRDRKPSYRNTVGGVSVGSSSSSRNTDASAAQYRDLYSSSGGNRIDIAVPQYSKLSPLVPSESATYRNVYNSTSGSRTDPTVPHCSKLSPLVTSESASLAGTVPVLKTLEPIKRSSSCCKEQPLSFLSRQFVAAKYKGMFSLWSHNQLAS >ONIVA01G39880.1 pep chromosome:AWHD00000000:1:33993022:33996671:1 gene:ONIVA01G39880 transcript:ONIVA01G39880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQQLKPGEAASLPRHLLEAHVVALVRRCCPGLLALRAAHARLIRLRLPRLTAAFALSKLLASSSSSSCGAAPSYARNLFDQIPEPTAFCYNSLIRALSSAAGAAPAADTVLVYRRMLRAGSPLPNSFTLAFALKACSVVPALGEGRQLHSQAFRRGLEPSPYVQTGLLNLYAKCEEVALARTVFDGMVGDKNLAAWSAMIGGYSRVGMVNEALGLFREMQAADVNPDEVTMVSVISACAKAGALDLGRWVHAFIDRKGITVDLELSTALIDMYAKCGLIERAKGVFDAMAERDTKAWSAMIVGLAIHGLVEVALKLFSRMLELKVRPNNVTFIGVLSACAHSGLVNEGRRYWSTMQELGIKPSMENYGCMVDLFCRSSLLDEAYSFVTGMAIPPNSVIWRTLLVASKNSNRFDIVQSASKKLLELEPCNPENYVLLSNLYASNSQWDRVSYMRKKMKENNVNVVAGCSSIEINGYLHKFVVSDDSHPEIKEIRLLLREIADRVVRAGHKPWTAAVLHDVGEEEKEVALCEHSERLAIAYGLLKTKAPHVIRVVKNLRFCPDCHEVAKIVSKSYDREIIVRDRVRFHRFVEGSCSCKDFWHGSWLPALTGNATTMSIVGVYSTARQIFR >ONIVA01G39870.1 pep chromosome:AWHD00000000:1:33987492:33990296:-1 gene:ONIVA01G39870 transcript:ONIVA01G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVKGMRLSHFLAQTPNNTTTEPVRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPAETNANVFQNEPSPSVDSSVSRASSSLDTSFSDLAKTSSSSTIFQKSNLKSIRKSFMPVHRKRLKACNCPVEMAKSSYRDACFGCPKAYAYEIDSSEEDEREEKKGQSRDSNGSVRSEVISPPTARDELKYNLIAWMHISEHDTAPDKLLFHLQPFGFSKVIWFGDLNYRIALSYADTKNFLMENNWDALFERDQLKIERDAGRVFKGWNEGKIFFAPTYKYSYNSDAYASETATSNKKRRTPAWCDRILWRGDGILQLSYYRGESRFSDHRPVCGTFIVEVEVLNRKAKMRPSNANMKIGAEELLPQGNNKGKGTTLLAHMP >ONIVA01G39860.1 pep chromosome:AWHD00000000:1:33985582:33991318:1 gene:ONIVA01G39860 transcript:ONIVA01G39860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGIQNMSTPPTVRRDALDRCGHMCRCQLLLDHVRPAHAPARSRLGFVRLFVGTRSFDADAEAAASSALDSAGAPKRRPRLHHPVADAGRLTADGGNSTCSHAAEAAHRQDTHLKAINR >ONIVA01G39850.1 pep chromosome:AWHD00000000:1:33983007:33985550:1 gene:ONIVA01G39850 transcript:ONIVA01G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVRLHTAVAAVAVAVVVAMGMAAAQMSPAGAPAPAGGISPACMDAVLNMSDCLTYVMNGSTARKPDEPCCPELAGLLESKPVCLCQLLAGGASSYDISVDYKRAMALPGICGLAAPPVTACALLGVPVPMAPSASPMAGLGPSTEPQMPEKSPSASPSESSNHAPGRFTALAAVVLAVAAAGMV >ONIVA01G39840.1 pep chromosome:AWHD00000000:1:33977001:33981130:1 gene:ONIVA01G39840 transcript:ONIVA01G39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFHSPCTXPRFLLHRPRPGDPAPPPLPMHPGFNPPPVPNLAAANPMAAAAAANPFLAMQLFGQAQQLQSLGFLAAAALQQHHHQPQPQAPFFPGGGFPPNPNQFGAFPVQHAGFNGGGAFRPGGVGVGVAGPRTPRPMMGAPGNGYNSGGGSGHGTGAGAPRPMLNGGGNDRNSSAGKGGEVNHTKIKPDGIPRFTSENGERKNTTDQKARFNSGRDCRDSRQFGPSGGRGRGRGRGRGRSFNQGRGGGNNNWRDAKSNFRSSDSPSPASGQRRNDSPASGGHRKRPRIIYDANEVKQWLEARKKNYPTSVNINKASATLCPHQTIREASSTDHLMQKLSESRPDGEKKDEEAQMRRQELKEVLAKQKELGFELPELPPGYLSEHEDQGNGRRSNWKTQRRDCRFGNRADNKRSRYDRNDFQSKRPKVRNQTHCDDGAVLKSREPTLLQKLLSSDVKRDRHRLLHTFKFMVLNNFFSDYPDKPLEFPSVKVNQIESNIAEEDLDDLMNSETAKDSILDLKENGDQKDSSSIDGESDLDDDNDDEDEEDDDDGNGQC >ONIVA01G39830.1 pep chromosome:AWHD00000000:1:33964016:33976234:1 gene:ONIVA01G39830 transcript:ONIVA01G39830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRSLEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGKSTDKPVNDSESVKSSENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSFLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDIRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQIDFNGVRRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVNSAVNRQSVAPPMAHSAQLYASQSNINSSVSQTAPTRESVQHGRVHMVSVAKPPVSTQPTTSTNQDFFDQSMQHPEPSISSTPPSIDLFAGFDQQLPPTTSVQQSQPAEPSISSTPQSIDLFAGFDQQLPPTTSVQQSQQAAPLVADEGWAFFLDTPQHVSPTSISNVQAQVATAIAAFPPSESLAKGTNQSMLPTSPPNALIPQSYPLMMDQWSLNAEEVKTPVSKETSQPWNAFGASTGNTPNDSFTFNTISQVAPNHFNVPSVPHAEARGPQDLPSSEPERLTPGDITPGFNVSPGDMAGPSFRGPLQQQLDIVPSQPAKSTNPFDMAFESDVEASNMFMDLTSLQEMLPNPHVNTDYSNLTESWIPHNSNMPYISSGPQGGLSYMATQVQDSLMLNSAQGSFPPRNPFE >ONIVA01G39830.2 pep chromosome:AWHD00000000:1:33964016:33976234:1 gene:ONIVA01G39830 transcript:ONIVA01G39830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRSLEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGKSTDKPVNDSESVKSSENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSFLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDIRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQIDFNGVRRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVNSAVNRQSVAPPMAHSAQLYASQSNINSSVSQTAPTRESVQHGRVHMVSVAKPPVSTQPTTSTNQDFFDQSMQHPVNSAAPIDLFAGFNQQAPSVPHRAVDVGSHSVPKETLHDVVVQKAVASSPPVQAEAPSTSHPVHHDLLSLSPLQEPSISSTPPSIDLFAGFDQQLPPTTSVQQSQPAEPSISSTPQSIDLFAGFDQQLPPTTSVQQSQQAAPLVADEGWAFFLDTPQHVSPTSISNVQAQVATAIAAFPPSESLAKGTNQSMLPTSPPNALIPQSYPLMMDQWSLNAEEVKTPVSKETSQPWNAFGASTGNTPNDSFTFNTISQVAPNHFNVPSVPHAEARGPQDLPSSEPERLTPGDITPGFNVSPGDMAGPSFRGPLQQQLDIVPSQPAKSTNPFDMAFESDVEASNMFMDLTSLQEMLPNPHVNTDYSNLTESWIPHNSNMPYISSGPQGGLSYMATQVQDSLMLNSAQGSFPPRNPFE >ONIVA01G39830.3 pep chromosome:AWHD00000000:1:33964123:33976234:1 gene:ONIVA01G39830 transcript:ONIVA01G39830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRSLEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGKSTDKPVNDSESVKSSENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSFLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDIRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQIDFNGVRRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVNSAVNRQSVAPPMAHSAQLYASQSNINSSVSQTAPTRESVQHGRVHMVSVAKPPVSTQPTTSTNQDFFDQSMQHPVNSAAPIDLFAGFNQQAPSVPHRAVDVGSHSVPKETLHDVVVQKAVASSPPVQAEAPSTSHPSIDLFAGFDQQLPPTTSVQQSQQAAPLVADEGWAFFLDTPQHVSPTSISNVQAQVATAIAAFPPSESLAKGTNQSMLPTSPPNALIPQSYPLMMDQWSLNAEEVKTPVSKETSQPWNAFGASTGNTPNDSFTFNTISQVAPNHFNVPSVPHAEARGPQDLPSSEPERLTPGDITPGFNVSPGDMAGPSFRGPLQQQLDIVPSQPAKSTNPFDMAFESDVEASNMFMDLTSLQEMLPNPHVNTDYSNLTESWIPHNSNMPYISSGPQGGLSYMATQVQDSLMLNSAQGSFPPRNPFE >ONIVA01G39830.4 pep chromosome:AWHD00000000:1:33969506:33976234:1 gene:ONIVA01G39830 transcript:ONIVA01G39830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRSLEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGKSTDKPVNDSESVKSSENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSFLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDIRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQIDFNGVRRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVNSAVNRQSVAPPMAHSAQLYASQSNINSSVSQTAPTRESVQHGRVHMVSVAKPPVSTQPTTSTNQDFFDQSMQHPVNSAAPIDLFAGFNQQAPSVPHRAVDVGSHSVPKETLHDVVVQKAVASSPPVQAEAPSTSHPVHHDLLSLSPLQEPSISSTPPSIDLFAGFDQQLPPTTSVQQSQPAEPSISSTPQSIDLFAGFDQQLPPTTSVQQSQQAAPLVADEGWAFFLDTPQHVSPTSISNVQAQVATAIAAFPPSESLAKGTNQSMLPTSPPNALIPQSYPLMMDQWSLNAEEVKTPVSKETSQPWNAFGASTGNTPNDSFTFNTISQVAPNHFNVPSVPHAEARGPQDLPSSEPERLTPGDITPGFNVSPGDMAGPSFRGPLQQQLDIVPSQPAKSTNPFDMAFESDVEASNMFMDLTSLQEMLPNPHVNTDYSNLTESWIPHNSNMPYISSGPQGGLSYMATQVQDSLMLNSAQGSFPPRNPFE >ONIVA01G39830.5 pep chromosome:AWHD00000000:1:33964123:33976234:1 gene:ONIVA01G39830 transcript:ONIVA01G39830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRSLEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGKSTDKPVNDSESVKSSENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSFLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDIRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQIDFNGVRRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVNSAVNRQSVAPPMAHSAQLYASQSNINSSVSQTAPTRESVQHGRVHMVSVAKPPVSTQPTTSTNQDFFDQSMQHPVNSAAPIDLFAGFNQQAPSVPHRAVDVGSHSVPKETLHDVVVQKAVASSPPVQAEAPSTSHPVHHDLLSLSPLQEPSISSTPPSIDLFAGFDQQLPPTTSVQQSQPAEPSISSTPQSIDLFAGFDQQLPPTTSVQQSQQAAPLVADEGWAFFLDTPQHVSPTSISNVQAQVATAIAAFPPSESLAKGTNQSMLPTSPPNALIPQSYPLMMDQWSLNAEEVKTPVSKETSQPWNAFGASTGNTPNDSFTFNTISQVAPNHFNVPSVPHAEARGPQDLPSSEPERLTPGDITPGFNVSPGDMAGPSFRGPLQQQLDIVPSQPAKSTNPFDMAFESDVEASNMFMDLTSLQEMLPNPHVNTDYSNLTESWIPHNSNMPYISSGPQGGLSYMATQVQDSLMLNSAQGSFPPRNPFE >ONIVA01G39830.6 pep chromosome:AWHD00000000:1:33964123:33976234:1 gene:ONIVA01G39830 transcript:ONIVA01G39830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRSLEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGKSTDKPVNDSESVKSSENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSFLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDIRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQIDFNGVRRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVNSAVNRQSVAPPMAHSAQLYASQSNINSSVSQTAPTRESVQHGRVHMVSVAKPPVSTQPTTSTNQDFFDQSMQHPVNSAAPIDLFAGFNQQAPSVPHRAVDVGSHSVPKETLHDVVVQKAVASSPPVQAEAPSTSHPVHHDLLSLSPLQEPSISSTPPSIDLFAGFDQQLPPTTSVQQSQPAEPSISSTPQSIDLFAGFDQQLPPTTSVQQSQQAAPLVADEGWAFFLDTPQHVSPTSISNVQAQVATAIAAFPPSESLAKGTNQSMLPTSPPNALIPQSYPLMMDQWSLNAEEVKTPVSKETSQPWNAFGASTGNTPNDSFTFNTISQVAPNHFNVPSVPHAEARGPQDLPSSEPERLTPGDITPGFNVSPGDMAGPSFRGPLQQQLDIVPSQPAKSTNPFDMAFESDVEASNMFMDLTSLQEMLPNPHVNTDYSNLTESWIPHNSNMPYISSGPQGGLSYMATQVQDSLMLNSAQGSFPPRNPFE >ONIVA01G39830.7 pep chromosome:AWHD00000000:1:33964123:33969511:1 gene:ONIVA01G39830 transcript:ONIVA01G39830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRSLEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGKSTDKPVNDSESVKSSENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSFLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDIRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQIDFNGVRRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVNSAVNRQSVAPPMAHSAQLYASQSNINSSVSQTAPTRESVQHGRVHMVSVAKPPVSTQPTTSTNQDFFDQSMQHPVNSAAPIDLFAGFNQQAPSVPHRAVDVGSHSVPKETLHDVVVQKAVASSPPVQAEAPSTSHPVHHDLLSLSPLQEPSISSTPPKP >ONIVA01G39820.1 pep chromosome:AWHD00000000:1:33957129:33962317:-1 gene:ONIVA01G39820 transcript:ONIVA01G39820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAFFCALLFISVQHGVLGGYTRNDFPADFVFGAATSAYQYEGAAAEDGRGASIWDTFTHAGKMKDKSTGDVASDGYHKYKGDVKLMTETGLEAYRFSISWSRLIPSGRGAVNQQGLKYYNNIIDELTKRGIQVHVMLYHLDLPQALEDEYAGWLSPRIVEDFTAYADVCFREFGDRVSHWTILAEPNVAALGGYDTGEFAPGRCSDPFGVTKCTVGNSSVEPYVAAHNMILTHAAVVRLYREKYQTLQKGIVGINVLSLWSYPLTDSTADLQAAQRYKDFTYGWILHPLVFGDYPQVMKKAIGSRLPSFSKVQTELVKGTLDFIGVNHYFSLYVSDLPLAKGVRDFIADRSVSCRASKTDPSSGQQAPTQSMGDPHGLQLMLQHLKESYGDLPIYVQENGKLKLDLLPVFSGKASSNDSVDDTDRVDYIKGYIEGVLNATRNGVNARGYFAWSFVDMFELLSGYQTRYGLYRVDFDDAALPRRAKRSARWYRDFLKSKRQPLQIAQL >ONIVA01G39810.1 pep chromosome:AWHD00000000:1:33946851:33953760:-1 gene:ONIVA01G39810 transcript:ONIVA01G39810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAAGFFFVLLFLSVQGGAVGYTRSDFPRDFVFGAATSAYQYEGAAAEDGRSPTIWDTFAHEGKTKDKGTGDVAADGYHKYKVHDGRGAVNQEGLKYYNNVIDELAKRGIQPHIMLCHLDLPQALEDEYDGWLSPRIVEFGDRVLHWTTLAEPNIAALGGYDTGVLSPGHCSDPFGLTECTVGNSTVEPYIAAHNMILTHAAVVRLYREKYQALQKGIVGINMFSLWSYPLTNSIADLQAAQRYKDFSYGCQVQTELIKGAIDFIGINHYYSAYVNYRPLVEGVRDYVADRSVSARVYKTDPPTEKYEPTEYPNDPKGLQLALEYLRESYGDFPFYIEENGKGSTNDSLDDPDRVDYIKGYIGGVLDAIRNGVDVRGYFVWSFVDVFELLEGYQSRFGLYRVDFDDGARPRRARRSARWYSDFLKGKKDPVLIAPQ >ONIVA01G39800.1 pep chromosome:AWHD00000000:1:33942104:33944919:-1 gene:ONIVA01G39800 transcript:ONIVA01G39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EAP30/Vps36 family protein [Source:Projected from Arabidopsis thaliana (AT5G04920) TAIR;Acc:AT5G04920] MSAAAADWLPAADVTAAGRPVLTAGEVERHLVAQGDVEPEESPRLGPLRARLLVLTSHRLIFLHEPSRSARALPLAAVVHAYPPHRKHGHNPLRSIFSSSSSSSSHHPRVRLQISLPPSRSEVVAVVVSKGEADVFYGRLLEAVRARAWEVVAAVAAAPAGGSSAAQGAPAEEDLAITMPVVGVSGILRMEQEAWESAGQNLQDAFQDLNALMSKAKEMMELAEKMRQKLLTNSSAQSNSNDEEMGSKQDMQDWLLSVGIVSPVTKETAGALYHQQLSRQLADYIRTPLEKAGGMMALVDVYCLYNRARGTELISPEDLLQACSLWEKFDVPVMLRKFDSGVKVIQTKTHSDDEVFARISSLAQKEDSLQKGISPSDAAFTLGIAPALAKEHLLNAESIGILCRDVSPDGLRFYINLFNEIDPQNMYMPKTHGVYHTWISVTAASQ >ONIVA01G39790.1 pep chromosome:AWHD00000000:1:33938160:33944957:1 gene:ONIVA01G39790 transcript:ONIVA01G39790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALQELNVEILHFSCVVEHGVPVIQSITIASQELNVDMVSSTTWQEQQRGTNHEGVEILEGILQILPRALPRLLLHPQDPGHPNHGHRDGEILLRGGPLRRRGAARGGSRHGGDHLPRPRADGLEQPPVEHVGLPLADDDGDDLRSRRGQGDLEADARVVRRGGRGGGEDGAEGVVAVLAVGRVGVDDGGEREGARGAGGLVEEDEAVGGEDEEAGAEGAEAGALLGLHVPLRDEVALDLPRGQHRPSRRRHVRGREPVGRRGGHGDDYCCCWWWW >ONIVA01G39780.1 pep chromosome:AWHD00000000:1:33932640:33935941:1 gene:ONIVA01G39780 transcript:ONIVA01G39780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMRKKKKAFAVAAATTLLSPPRSSSSSSSSTASSCIVPPRTESGKKKSKHRKRAKDGTGGDDDAAAVAAAPRKGSSIYKGVARHRGSGKYEAHLWDKQGWNPNQTRKRGRQGAYDTEEAAARTYDLAALKIWGSDHVLNFPIDTYRKELERMQRMTREEYLATLRRKSSGFSRGVSKYRGVAKHHHNGRWEARIGRAVGKKYLYLGTFDTQEEAATAYDLAAIQLRGRSAVTNFDASCYTYTDHLPPPPPPQPPSVCKTEPELEPPQPAAPPGSESLLRPKMEPCDDWEQPAICPSLRDADDADHAIAEILPALCMDRADFEARYPARRARDAAADGWSTSSDDVAAASVDDDVLRSLPDDVGFVDDVESLFLDAPGPAAAAAAPMPDDVERAVQRAPSAASRRANAAAVSYAISSLASGRWWY >ONIVA01G39770.1 pep chromosome:AWHD00000000:1:33923384:33925980:-1 gene:ONIVA01G39770 transcript:ONIVA01G39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTMASKAGGGGVARRGGGRMRSLGRQGSMYSLTLDEVQSQLGEPLHSMNLDELLRSVFPDGLAIADGAGAGAGATTSSQQHQPGSGLLRQGSITMPPELSKKTVDEVWKGIQAAPKRNAETGGGGGGGGGRRRRERQPTLGEVTLEDFLVKAGVVTQGSLKELSDVGNVDPVGRGVTATGTVDLAPGSHWIEQYKQQIASTDAHHHGQQGVQGAYFPNRLVPQPLNVGPGAILEPSYSDGQTSSGMIGGMSDSQTPGRKRGMSGDVADKLMERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENVRLKRQKELDELICAVPVPEPKYQLRRTSSADF >ONIVA01G39760.1 pep chromosome:AWHD00000000:1:33918641:33921984:-1 gene:ONIVA01G39760 transcript:ONIVA01G39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS triad family protein 3 [Source:Projected from Arabidopsis thaliana (AT3G56490) TAIR;Acc:AT3G56490] MEAAVSQRLGEEVGEGDEPGQCQSTAAAAAAGERKRRPPRSSRVSLVPIFSDGRRKGGRTRRRPLRRTNHFRYRGLFDKILRKEIPSQVVYEDEKTLAFRDISPQAPVHIIIIPKVKDGLSRLSKAEERHVEVMGHLLYAAKTIAKQENLDDGFRIVINDGPNGCEHKLDPIETVRPFLLR >ONIVA01G39760.2 pep chromosome:AWHD00000000:1:33918547:33921984:-1 gene:ONIVA01G39760 transcript:ONIVA01G39760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS triad family protein 3 [Source:Projected from Arabidopsis thaliana (AT3G56490) TAIR;Acc:AT3G56490] MEAAVSQRLGEEVGEGDEPGQCQSTAAAAAAGERKRRPPRSSRVSLVPIFSDGRRKGGRTRRRPLRRTNHTLAFRDISPQAPVHIIIIPKVKDGLSRLSKAEERHVEVMGHLLYAAKTIAKQENLDDGFRIVINDGPNGCQSVYHLHIHLLGGRQMNWPPG >ONIVA01G39750.1 pep chromosome:AWHD00000000:1:33916423:33917466:-1 gene:ONIVA01G39750 transcript:ONIVA01G39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAFTAAKFLPATAPTHLDSSPRLSPPRAGSLSFSPLSSSSSALLLRLRSPSPSGPSGPGGRLPPPPRSYGGGGGSGDAADSGGSSGGILGIFLAGWAARVAADPQFPFKVLMEELVGVSACVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTAGASAAASAAASGLPSHMFEAGAYSLGSRVATLLSKGATFAAVGFAAGLAGTAISNGLISLRKRMDPAFETPNKAPPTLLNAATWAIHMGVSSNLRYQTLNGVEYLLANAAPPSVFKVSVVALRCINNVLGGMSFVLLARLTGSQKSDAPAASATAEEKERLIAVGNDIAADAVGAGRDGEGK >ONIVA01G39740.1 pep chromosome:AWHD00000000:1:33908358:33910327:-1 gene:ONIVA01G39740 transcript:ONIVA01G39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKKTKKNAEGINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLVIVANNCPPLRKSEIEYYAMLGKVSVYHFNGNNVDLGTACGKYYRVCCLSVVDPGDSDITKQLPESH >ONIVA01G39730.1 pep chromosome:AWHD00000000:1:33905769:33906985:-1 gene:ONIVA01G39730 transcript:ONIVA01G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSSSSRRRAAGSQPSSRSWVKALDVENDEEAAARADADADAHALEAKGDKLMSQARRELHGVWSYVSRPFIVAARARFYFHKAAETFVLANSWRKAAAAHHEHAVCCMKIGRSGRLRAAFALFEAGKCYMKVLEPDDEEMTSRTVSDLEKSLRMFVLENELVMAAEVCVELANLYAMLKQWEKVREYIREKAAEFHAKTSDALFDTSTV >ONIVA01G39720.1 pep chromosome:AWHD00000000:1:33903830:33905345:1 gene:ONIVA01G39720 transcript:ONIVA01G39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLQFRIRRRGYEPITRRGGEEEEEAAPAYEPAMFEEEGLEPDELEARGDRLMARGHRRASSWWFTSFKHDTASAIAEDFRMASLSYVLAKNWRKAAAAFGNEAIQRLKRRSPPAELVAAVALLASARCYRKIQDNADEGEVAAIKLALQKAVSLFAKNNDMQSAATCCKELAEFHEEQRELHAAVHCFLQAKDYYGKPCQLPHPSS >ONIVA01G39710.1 pep chromosome:AWHD00000000:1:33894608:33895181:1 gene:ONIVA01G39710 transcript:ONIVA01G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEINDLHALLAKLTVHPTSDVDLSFLNLLGADTTLRTLLVHSLDPEFDYIHLKLAFLPYGELPLVAIEGPNAVVVLQDWTNAHQALKDNDGWFKSQGMHLRVVSAKHLDTAHSVVLKELKKPTGQQEARDVTNQLELFNELKSTLFSMSLPVKKWLIKELLAGMPHDVVK >ONIVA01G39700.1 pep chromosome:AWHD00000000:1:33890514:33894429:1 gene:ONIVA01G39700 transcript:ONIVA01G39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRARIHADPAPEFDQFDCLPDPVVLLILNKLEDVRSLGRCAAVSKRFSGLVPLVSDVYVKIDRVVATDGDADDALNLSSAKPKNIFSHFFKLMLFTIVKPFHSMRNLNGTGRPLFPLAQHSPVHVLRNFSDVWNLRVELPSGDVGTEEGVLLKWRAQYGSTLRNCVILGGTLVDRKPIGAEHESSVEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLRSLVLADADGQGTLYMGMEQLREFRENKLSASACSNRTQVPACNMKLKYAPYLELPGGMTLQGATLVVIKPSNDGGSGGHSSRKETEAFVSSAFDEPFRFAVKALMKRRTYLLEMNGF >ONIVA01G39690.1 pep chromosome:AWHD00000000:1:33883278:33886415:1 gene:ONIVA01G39690 transcript:ONIVA01G39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLHRALRLVGHRRAGVLLLLAVASVAVCACTIDSDARLEEQPMVPHGRVHPAAAASSSDFSGEMNQSAASSDPSSSPPYSFHFEKPLPPTAAAPPPADARRYQQLLPAPQPGTYVVQMPKDKVFRVPPPENARLFQHYTRRARRRARCSCARVCSWLLLALVLLAAALAASAAVVYLVFKPRQPDYTLLSLAVSGLGGILGNASSTAAPAPVAFSPEFDATVRADNPNGKIGVHYEGGGSHVAVSYGGVRLADGAWPAFYQGPRNVTVLVATAKGLGIRFSERLLGDIAAAGRLRSVPFDVDVKVPVRLQVGGVRTWAVPVRVRCAVVVDRLAADAKVVSKSCRVKARFLFWKD >ONIVA01G39680.1 pep chromosome:AWHD00000000:1:33874768:33880756:1 gene:ONIVA01G39680 transcript:ONIVA01G39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTKQVARCLKKVLKSSIKDGYRCVSEHPILLTLGVLLYLLYRSSPGLFAFLLSSSPVIICTTLLLGILLSYGETNLPEADEDNKITPEISSLKVGNPSSDFHFEASQRLPAPELRENTTGFKERETKQTVFIRERASEHIELEDNVPLLRRVEHEYDRFDRHEIPAALTPFPSMVNFHQGSRVGNDLSSNQDINSKGSLSIKDKADGHTSFFEGVRSGLDEKEAPFGIFSTSKNVNGRGELEENLNQETVFTDSTASRVRDISEEKPTEGEAGTSKSACAISTHQSKTLDELRINTSKGFEDNLLDSSLGSPWARVGSEDGVGSDDGSSGFDSDQAESSSPDASMTDIAPILDEIDPLLGASSTRPDTIRKDDSDTDSHVSSQDHQTDDDSNDETDNNDAKENGEEKNKEQGKEAAFIWTADDEKNLMDLGYSEMERNRRLELLMARRRSRKNIRFEIDNDLIGIDNNDGGRGVDDLSRFRVQVPHISVPRRNPFDLPYDSEEAAIPGSAPSVLHTRKNPFDLPLDQSNDGDVSADNNVNPGELVKASHHDMFFRRHDSFNIGRTDATLERFSRFKPYFVPETVEGSLIADQEDHKDLDEKDLPNEHGSPALQRQDSDLADVGSECSDGINSVDVELDNSDIDDREIALQHFVFERSQEREAYLSSTKGKGPEDDYLLSSVGNSKTLHPVADLLSWEDGNGESSLGVNSSHNMSVEFSDWVSSPKPIAEHDSGPENLQEFLNTEVASSSKTIVLGARNPAENNGNVDSISYSNNEMPSDNLGHGSMEFPSEFCNESLPVISRDLHPIPEERVVENFNVQEKHEAVIFTDSDAALTGFHVIEEHFEVGCDVSLSSEVVPSCLQASDSIQSRLVENKEVSNPFISIASETNKVDMIDLKEETAAGYPLDSDDDADKIYPEPMEDNVIDESFLSELDAVGDFRVEATRSDQQMPDVDSHIDNNTSNGVAESSLISPQISSNIFSNKKYASMLEHEENSPLVDDLNGTGPEFGWSLGASYDDPEQTVYNPRRRILGASRFEETNTEMKPLFDETEASFVNAPIEANLVVGPSKVDVANESELTKTDTNMIVLDANSLEDIETAFKQASNGVVESTVDNETPQVSGVDIDPESIESSEQLDVIDAKSVDDIYAALKEHTTAAMNSSFEENEDKHGCGDTVKFTMHDELPEGTHIEGNTVGDGKEPEPMGTTSSMDVIDAKSIDDIYAALKKQSSAAANSSFEQHEGKNGCGDTVTFTTHDELPEGTHIEDRDNTVEDGKEPEPIGTTSSMDTIEVKTIDDIDAVFKKLSDGGTKSVAHAQAVDCENTCEASDESEQH >ONIVA01G39680.2 pep chromosome:AWHD00000000:1:33874768:33880756:1 gene:ONIVA01G39680 transcript:ONIVA01G39680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTKQVARCLKKVLKSSIKDGYRCVSEHPILLTLGVLLYLLYRSSPGLFAFLLSSSPVIICTTLLLGILLSYGETNLPEADEDNKITPEISSLKVGNPSSDFHFEASQRLPAPELRENTTGFKERETKQTVFIRERASEHIELEDNVPLLRRVEHEYDRFDRHEIPAALTPFPSMVNFHQGSRVGNDLSSNQDINSKGSLSIKDKADGHTSFFEGVRSGLDEKEAPFGIFSTSKNVNGRGELEENLNQETVFTDSTASRVRDISEEKPTEGEAGTSKSACAISTHQSKTLDELRINTSKGFEDNLLDSSLGSPWARVGSEDGVGSDDGSSGFDSDQAESSSPDASMTDIAPILDEIDPLLGASSTRPDTIRKDDSDTDSHVSSQDHQTDDDSNDETDNNDAKENGEEKNKEQGKEAAFIWTADDEKNLMDLGYSEMERNRRLELLMARRRSRKNIRFEIDNDLIGIDNNDGGRGVDDLSRFRVQVPHISVPRRNPFDLPYDSEEAAIPGSAPSVLHTRKNPFDLPLDQSNDGDVSADNNVNPGELVKASHHDMFFRRHDSFNIGRTDATLERFSRFKPYFVPETVEGSLSNFQRQFSDKSESKLSSVTESDLASSVADQEDHKDLDEKDLPNEHGSPALQRQDSDLADVGSECSDGINSVDVELDNSDIDDREIALQHFVFERSQEREAYLSSTKGKGPEDDYLLSSVGNSKTLHPVADLLSWEDGNGESSLGVNSSHNMSVEFSDWVSSPKPIAEHDSGPENLQEFLNTEVASSSKTIVLGARNPAENNGNVDSISYSNNEMPSDNLGHGSMEFPSEFCNESLPVISRDLHPIPEERVVENFNVQEKHEAVIFTDSDAALTGFHVIEEHFEVGCDVSLSSEVVPSCLQASDSIQSRLVENKEVSNPFISIASETNKVDMIDLKEETAAGYPLDSDDDADKIYPEPMEDNVIDESFLSELDAVGDFRVEATRSDQQMPDVDSHIDNNTSNGVAESSLISPQISSNIFSNKKYASMLEHEENSPLVDDLNGTGPEFGWSLGASYDDPEQTVYNPRRRILGASRFEETNTEMKPLFDETEASFVNAPIEANLVVGPSKVDVANESELTKTDTNMIVLDANSLEDIETAFKQASNGVVESTVDNETPQVSGVDIDPESIESSEQLDVIDAKSVDDIYAALKEHTTAAMNSSFEENEDKHGCGDTVKFTMHDELPEGTHIEGNTVGDGKEPEPMGTTSSMDVIDAKSIDDIYAALKKQSSAAANSSFEQHEGKNGCGDTVTFTTHDELPEGTHIEDRDNTVEDGKEPEPIGTTSSMDTIEVKTIDDIDAVFKKLSDGGTKSVAHAQAVDCENTCEASDESEQH >ONIVA01G39680.3 pep chromosome:AWHD00000000:1:33873838:33880756:1 gene:ONIVA01G39680 transcript:ONIVA01G39680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGSARFVLEAERVHAMALDTKQVARCLKKVLKSSIKDGYRCVSEHPILLTLGVLLYLLYRSSPGLFAFLLSSSPVIICTTLLLGILLSYGETNLPEADEDNKITPEISSLKVGNPSSDFHFEASQRLPAPELRENTTGFKERETKQTVFIRERASEHIELEDNVPLLRRVEHEYDRFDRHEIPAALTPFPSMVNFHQGSRVGNDLSSNQDINSKGSLSIKDKADGHTSFFEGVRSGLDEKEAPFGIFSTSKNVNGRGELEENLNQETVFTDSTASRVRDISEEKPTEGEAGTSKSACAISTHQSKTLDELRINTSKGFEDNLLDSSLGSPWARVGSEDGVGSDDGSSGFDSDQAESSSPDASMTDIAPILDEIDPLLGASSTRPDTIRKDDSDTDSHVSSQDHQTDDDSNDETDNNDAKENGEEKNKEQGKEAAFIWTADDEKNLMDLGYSEMERNRRLELLMARRRSRKNIRFEIDNDLIGIDNNDGGRGVDDLSRFRVQVPHISVPRRNPFDLPYDSEEAAIPGSAPSVLHTRKNPFDLPLDQSNDGDVSADNNVNPGELVKASHHDMFFRRHDSFNIGRTDATLERFSRFKPYFVPETVEGSLSNFQRQFSDKSESKLSSVTESDLASSVADQEDHKDLDEKDLPNEHGSPALQRQDSDLADVGSECSDGINSVDVELDNSDIDDREIALQHFVFERSQEREAYLSSTKGKGPEDDYLLSSVGNSKTLHPVADLLSWEDGNGESSLGVNSSHNMSVEFSDWVSSPKPIAEHDSGPENLQEFLNTEVASSSKTIVLGARNPAENNGNVDSISYSNNEMPSDNLGHGSMEFPSEFCNESLPVISRDLHPIPEERVVENFNVQEKHEAVIFTDSDAALTGFHVIEEHFEVGCDVSLSSEVVPSCLQASDSIQSRLVENKEVSNPFISIASETNKVDMIDLKEETAAGYPLDSDDDADKIYPEPMEDNVIDESFLSELDAVGDFRVEATRSDQQMPDVDSHIDNNTSNGVAESSLISPQISSNIFSNKKYASMLEHEENSPLVDDLNGTGPEFGWSLGASYDDPEQTVYNPRRRILGASRFEETNTEMKPLFDETEASFVNAPIEANLVVGPSKVDVANESELTKTDTNMIVLDANSLEDIETAFKQASNGVVESTVDNETPQVSGVDIDPESIESSEQLDVIDAKSVDDIYAALKEHTTAAMNSSFEENEDKHGCGDTVKFTMHDELPEGTHIEGNTVGDGKEPEPMGTTSSMDVIDAKSIDDIYAALKKQSSAAANSSFEQHEGKNGCGDTVTFTTHDELPEGTHIEDRDNTVEDGKEPEPIGTTSSMDTIEVKTIDDIDAVFKKLSDGGTKSVAHAQAVDCENTCEASDESEQH >ONIVA01G39670.1 pep chromosome:AWHD00000000:1:33861192:33873149:1 gene:ONIVA01G39670 transcript:ONIVA01G39670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGVSPGWARSLSCSSARSLPLRVQSTDELGGAKEGAIGAPLGSNSAPILSPPAAGFGQSESDCEMIHQEQMDSPVADDGSSGGSPHRGGGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRGGGVSPGWARSLSCSSARSLPLRVQSTDELGGAKEGAIGAPLGSNSAPILSPPAAGFGQSESDCEMIHQEQMDSPVADDGSSGGSPHRGGGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPPPRLPGRTDNEIKNYWNTRIKRCQRAGLPIYPTSVCNQSSNEDQQCSSDFDCGENLSNDLLNANGLYLPDFTCDNFIANSEALPYAPHLSAVSISNLLGQSFASKSCSFMDQVNQTGMLKQSDGVLPGLSDTINGVISSVDQFSNDSEKLKQAVGFDYLHEANSTSKIIAPFGGALNGSHAFLNGNFSASRPTSGPLKMELPSLQDTESDPNSWLKYTVAPALQPTELVDPYLQSPAATPSVKSECASPRNSGLLEELIHEAQTLRSGKNQQTSVISSSSSVGTPCNTTVLSPEFDMCQEYWEEQHPGPFLNDCAPFSGNSFTESTPPVSAASPDIFQLSKVSPAQSTSMGSGEQVMGPKYEPGDTSPHPENFRPDALFSGNTADPSVFNNAIAMLLGNDLSIDCRPVLGDGIMFNSSSWSNMPHACEMSEFK >ONIVA01G39660.1 pep chromosome:AWHD00000000:1:33842343:33844206:-1 gene:ONIVA01G39660 transcript:ONIVA01G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSESAWPQQSQQLQISSTMPAGSAWPEEENLENLEQPLPLLMPSSEDHREQQLVPVPWLQQDQDQEWHEQEQFLPLKNQNQEQLQDQQPLQDQEETRRYLGVPGIRFVPSDIELILDFLRPKLRGEQLPSYSYMHVCDVYSDHPKELTSKLGPSREGNWYMFSPRNRKYNKGKRPSRSTGQLGFWKSTTKNEAVLDALSDNMLIGYKACLTYHEYDESMPTPKLKKENAIKTPWKMWEFVCSNSNRPFDAEEEPMRLNDWVLCKVTNKDNKVTTKKFKPQRSKKPKKPKKLQQEEQPQNQGIVIRQPSESGSASSSHQEIPGSSLPGAGGDAAAAAATAAAVVDPMPLHMIPPSSWNYFSTGVTADGIVMDDSTGVDSYGCVDGAGALNFQRNIF >ONIVA01G39650.1 pep chromosome:AWHD00000000:1:33836809:33841330:-1 gene:ONIVA01G39650 transcript:ONIVA01G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT5G16890) TAIR;Acc:AT5G16890] MAGKQLPSSSLARARAASPRGLLAAAVLLLLLLLAASYSLLLSPSSPGGLASPSSGPGSAADTAFLASLDRFLASPRRSAPPAAAPGDLDAAIRAEEEERLYGGGAWPAAPAPLRVYVYEMPSRFTYDLLRLFRDSYRETSNLTSNGSPVHRLVEQHSIDYWLWADLIAPESQRLLKNVIRVRRQEEADIFYVPFFTTISYFLLEKQECKALYREALKWVTDQPAWQRSEGRDHVIPVHHPWSFKSVRRFVKKAIWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDLCDSKCVSETQSRRSTLLFFRGRLRRNAGGKIRSKLVTELKDAEGIIIEEGTAGADGKAAAQNGMRKSLFCLNPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKIALFVSSNDAVQPGWLVKYLRSIDAKRIRQMQSNLLKYSRHFLYSSPARPLGPEDLTWRMIAGKLVNIKLHIRRSQRVVRESRSICSVPNPVMIETLYSDCMTPNVKEQDVL >ONIVA01G39640.1 pep chromosome:AWHD00000000:1:33832110:33835010:1 gene:ONIVA01G39640 transcript:ONIVA01G39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRESNFMPTPDQDVLEVKPLRTLAPMFPAPLGVDVLNRLTAPPLVFVAPAGQFPGGFGSLNIPAVRSFAAFGGQDASGGKTAGGGDQDASGGKTAAGGDQDAGRGETAAFGGQETVRGEFVANGTPNVGASATGPIDATPISACKSTQPSVISLDDDDNDDDEPYGGNQTSASGRKIKRPSHLKGYNVSDGLGTDSSNGTKKRPKTSNRKAATDNEISLMPPSSDPREVVEVLLMTFEALRRRHLQLDETQETSKRADLKAGAIMLASNLRANIGKRIGAVPGVEVGDIFYFRMELCIIGLHAPSMGGIDYMNKFGDEDDSVAICIVAAGVYENDDDDTDTLVYSGSGGISRNSEEKQDQKLERGNLALERSLSRKNVIRVVRGYKDPACLTGKVYIYDGLYKIHESWKERTKTGINCFKYKLQREPGQPDAVAIWKMCQRWVENPAARGKVLHPDLSSGAENLPVCLINDVNSEKGPGHFNYITQVKYLKPLRSMKPFQGCRCTSVCLPGDTSCDCAQHNGGDLPYSSSGLLVCRKLMVYECGESCRCSINCRNRVAQKGVRIHLEVFRTTNRGWGLRSWDPIRAGSFICEYVGEVVDDTKVNLDGEDDYLFRTVCPGEKTLKWNYGPELIGEHSINISADTFEPLPIKISAMKMGNVARFMNHSCNPNTFWQPVQFDHGEDGYPHIMFFALKHIPPMTELTYDYGDIGCESRGVGSRAKNCLCGSSNCRGFFS >ONIVA01G39630.1 pep chromosome:AWHD00000000:1:33827981:33828379:1 gene:ONIVA01G39630 transcript:ONIVA01G39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSYTPTARLRLLILFLASLSLLFAQTLASSSAAASAEAEVSDPCAAPVSDGGSEAQLCPVRCFRPDPVCGADGVTYWCGCPEAACAGARVVRRGYCEVGAGSAPVSGQALLLVHIVWLFVLGAAVLLGFL >ONIVA01G39620.1 pep chromosome:AWHD00000000:1:33822811:33827424:1 gene:ONIVA01G39620 transcript:ONIVA01G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0FUR4] MAQIASRRLCCSVSLSAWPKEISFSLSIPSRLSCTRLPPRRSPLRSTTPPPELLPAPSTGLAGSGSGIRTQGPISSLRKLKMSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHWHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPGDLLEQAKVAAQAALEEMDAD >ONIVA01G39620.2 pep chromosome:AWHD00000000:1:33823271:33827424:1 gene:ONIVA01G39620 transcript:ONIVA01G39620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0FUR4] MKSPLLTIFIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHWHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPGDLLEQAKVAAQAALEEMDAD >ONIVA01G39620.3 pep chromosome:AWHD00000000:1:33823271:33827424:1 gene:ONIVA01G39620 transcript:ONIVA01G39620.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0FUR4] MKSPLLTIFIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHWHSGLMAGKLFQEQNRKRPVMRKLADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPGDLLEQAKVAAQAALEEMDAD >ONIVA01G39610.1 pep chromosome:AWHD00000000:1:33813758:33819148:-1 gene:ONIVA01G39610 transcript:ONIVA01G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRAGFVSIDCGLTNGTGYVDSVTNLTYVSDRGFVEGGKSYDIMAQYMNDAANDQEKTLRSFPDGPRNCYTLPSSPGKKYLIRTTFTYGNYDRLNSSQIGSLFLFGLHIGVNFWTTVNLTNWNTPDTVWKEVITIAQDTDISVCLINLGSGTPFISTLDLRELDNAMFKFMNLSFSLSYFSRQRFGSVDDYITRYPTDRFDRFWEAAQRYSFPWLNLTTTRTVNRLPGNDDFQVPTDILQKASTIGSNYSWINISVKATNNIDYRSLELLPIFHFAEIDSNSPNRTFDIYSAENLMFSNYTPPPFRVDSTYNSSQFLRKKPTFFTLRKTPNSELPPLINAYEVYSLVRMDNLTTSSDDVNYMKEVKKYYSLARNWNGDPCSPREYSWKGVACNYANGNKNPSIIRVDLSSSGLIGVLHTSFMKMQSLENLDLSHNNLTGAIPDYQLNSLRVLDLSNNQLDGPISDSILQRFKAGQLELRLEGNPICSKVKDRYCGNKKNRTAIVLIAVIVPVVFLLLLVLVCLWRLCWKGKSEEQDDYSRYEEETPLHIDIRRFTYAELKLITNNFQSIIGKGGFGTVYHGILENNDEVAVKVLVETSVAESKDFLPEVQTLSKVHHKNLVALVGYCQNKKCLALVYDFMPRGNLQQLLRGGYDSSLNWEERLHIALDAAQGLEYLHKSCTPSIVHRDVKTPNILLDKNLVAKISDFGLSRAFNAAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIVTGQPPVFMDPQTVHLPNWVRQKIARGSIHDVVDKKLLDQYDATHLQTVIDLAMNCLENASIDRPSMTEVVSVLKVCLPVSSERQSATSTPRKKNVMDAEIPRQFQLMISGASTTSYEGSSFQSGYTGGVSEISHISGR >ONIVA01G39600.1 pep chromosome:AWHD00000000:1:33811208:33811558:1 gene:ONIVA01G39600 transcript:ONIVA01G39600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRMRYSWRRQG >ONIVA01G39600.2 pep chromosome:AWHD00000000:1:33811560:33812206:1 gene:ONIVA01G39600 transcript:ONIVA01G39600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSMEGCRQRWGRRTEGWRSDLDSVTGELAEEEIFVSRRRLGNGDASVRVGSRGAVMTAMVTGVGAADWTTEIAIGVRAAWRRRTSEDGKIQRGEGKWERRSGSRDEDSRQPAAVKGGGAGRMDWVGEDGDGGAGSATWWGSDGVGGRRWRGGWKATAVDDDGCGGCGRYPPMREDEPRSWVGIVAPFDLAIRRFICQVGVTVTTAEF >ONIVA01G39590.1 pep chromosome:AWHD00000000:1:33807153:33807749:-1 gene:ONIVA01G39590 transcript:ONIVA01G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTIPAIASAFVCTVLRRPAKRRRRRSSRYSFTSMAVGSSAYPLPAPGPNFHAGCLRLVAELLAVWCSPSATASRRSTSSYPPPATARTRPRHSSDPWLADTADPRRVFVSIFDAAGLDPVRIARHIHLMPTC >ONIVA01G39580.1 pep chromosome:AWHD00000000:1:33797794:33802454:-1 gene:ONIVA01G39580 transcript:ONIVA01G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCLINLGSGTPFISTLDLRILEDAMYPFLSPSVSISYLTRTRFGLVDDFITRYPDDPIDRFWEAAQRYEFPWLNVSTNQSVRRLPGNDYFLVPELILQKASTIRSNFSEFYVNVSIINNLDFMSLDLLPIFHFAEIGDNSLTRKFDIYSDESLLFSSYTPPLLVVDSMYQRGRFLRKKGTGFTLRKTPSSELPPLINAFEVYSLVHTDSFTTSSDDVDYMKEVKKYYSLTRNWNGDPCSPREYSWQGLACNYGNKKPSIIRVNLSASGLIGALHISLMKMPSLENLNLSNNQLDGPILGSILQRVKAGQLELRLEGNPICSKVRASYCGNEKNTHILLISVIVPVVSLLGVLCILWKLCWKGKSNEYDDYDMNEEESPLHIDTKRFTYTELRTITNNFQSIIGKGGFGTVYHGLLENGEEVAVKVLRETSIALSKDFLPEVQTLSKVHHKNLVTFVGYCQNKKCLALVYDFMPRGNLQEVLRGGQDYSLSWKERLHIALDAAQGLEYLHESCTPPIVHRDVKTANILLDENLVATIADFGLSRSYTPAHTHISTVAAGTVGYLDPEYHVTFQLTVKADVYSFGIVLLEIITGQPSVLVDPEPVHLPNWVRQKIAKGSIHDAVDSTLMHQYDATSVQSVIDLAMSCVENTSIDRPSMTDIVIKLKECLPAGTCETQLVSRSYKQKEAMDADISRQFQLLISGVSIESNEGTSSGTT >ONIVA01G39570.1 pep chromosome:AWHD00000000:1:33797004:33802745:1 gene:ONIVA01G39570 transcript:ONIVA01G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGLRNGYIASSNILKSNVDMKGVPDPKFIRQTDMLASGATVITSFQTVSSGFQFVKFTFVQKLTPMWSSKINGKPFSDDLFPS >ONIVA01G39560.1 pep chromosome:AWHD00000000:1:33779475:33784996:1 gene:ONIVA01G39560 transcript:ONIVA01G39560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWGLLPWEIRRPAEVAVPHRAADAGTGPVAVAAPGGGCGEGDGRRLLPARRPKISNVRFVLLPSISAKWKIGSSSRLL >ONIVA01G39550.1 pep chromosome:AWHD00000000:1:33772278:33785837:-1 gene:ONIVA01G39550 transcript:ONIVA01G39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYMNAATNDQEKTLRSFPDGPRNCYTLPSSPGKKYLIRTTFSYGNYDRLNSSQIGSLFLFGLHIGVNFWTTVNLTNQDPTDTIWKELITIAQDTNISVCLINMGSGTPFISTLDLRELDNAMFKFMNLSFSLSYFSRQRFGSVDDYITRYPTDRFDRFWEAAQRYSFPWLNLTTTRTVNRLPGNDDFQVPTDILQKASTISRNYSWLNISVKATDNIDYRSLELLPIFHFAEIDGNSTNRTFDIYNDENLMFSNYTPRRFLVDSTYNSSQFLRKKPAFFTLRKTPNSELPPLINAYEVYSLVRMDNLTTSSDDVNYMKEIKKYYSLARNWNGDPCSPREYSWNGLACNYANGNKNPSIIRVDLSSSGLIGVLHTSFMKMQSLENFDLSNNQLDGPISDSILQRFKAGQLELRLEGNPICSKVKDRYCGNKKNRTAIVLIAVIVPVVFLLLLVLVCLWRLCWKGKSEEQDDYSIYEEETPLHIDIRRFTYAELKLITNNFQSIIGKGGFGTVYHGILENNDEVAVKVLVETSVAESKDFLPEVQTLSKVHHKNLVALVGYCQNKKCLALVYDFMPRGNLQQLLRGGYDSSLNWEERLHIALDAAQGLEYLHESCTPSIVHRDVKTPNILLDKNLVAKISDFGLSRAFNAAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIVTGQPPVFMDPQTIHLPNWVRQKIARGSIHDVVDKKLLDQYDATHLQTVIDLAMNCLENTSIDRPSMTEVVSVLKREAIGDFNPSKEECHGCRDSKTVSVDDFWSFNNNLRGQLLSVWIYRWGIRNKPHFWAVHAQPPAGFLSIDCGYTDSAGYDDKNTMLPYVSDKGYIKGGKTFSILSQYMKEAANKQEETLRSFPDGQRNCYTLPTNRSKKYLIRATFTYGNYDGRNSSESGSPFLFGLHIGINFWTMVNLTKLPSPDTVWKELIMVAPGNSVSVCLINNGSGIPFISTLDLRPLQDTMYPFVNVSVAVSYFSRQRYGQVNDVITRYPEDVYDRFWEGASHTRSNRWINLSTTQEVKRLPGDEKFMVPNTILQKASTINSNFSWLNITVRGANNLLGSGDLELLPVFHFAEIANNTIRLFNIYSDGEELFASFSPSPFQVDSMYQNGQFLRRVNSTFTLRKQPTSQLPPPLINAFEVYSLVRIATASDDGEQNSGLNSDIFVYTLYSRAKWIEPFVNCDLAGKSKEHDDYDMYEEDTPLHTDTRRFTYTELKTITNNFQSIIGKGGFGMVYHGILDNGEEVAVKVLRETSITLSKDFLPEVQILSKVQHKNLVTFLGYCHNKKCLALVYDFMARGNLQEVLRGGLEYLHESCTPPIVHRDVKTTNILLDKNLVAMISDFGLSRSYTPAHTHISTVAAGTVGYLDPEYHATFHLTVKADVYSFGIVLLEIFTGQPSVLVDSEPVHLPNWVRQKIAEGSIHDAVDSRLRHQYDATSVQSVIDLAMSCVENTSIDRPSMTDIVIKLKECLPAGTGEMQLVSRSYKQKEAMDADIVRQFQLPISGVSVESIEGNSSGTTELRYPSGRMKMAVLHKEMSNQCNGRMPTPSVVVPAAVKQLPRRPLLRFSIVSTASRGRFLRPWPGISPPQGSGCRRFGELAEGANGSAVVQAAAAACSRPRLRLRLRLGHPLRPSPHAAGSPPLLPEAPGSVRPLPQRHAMGRAFADSAAHE >ONIVA01G39540.1 pep chromosome:AWHD00000000:1:33752845:33757376:-1 gene:ONIVA01G39540 transcript:ONIVA01G39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFLNLSMSVSCFTRKRFGAVDDFITRYPTDLFDRFWEAAQLYSYPWLNLTTNQTVNKLPGNDNFQVPTLILQKASTINSSFSWLNFSVRAGDNLNGQSLELLPIFHFAEIERNSPNRMFQIYSDGDQLHQAFSPSYLQVDSVYPRDRQFHETSKDAVQCAMKKLEWRSMFSKRVYLGRNLSASRLSGWINPLFRNMSLEILDLSHNNLSGTIPYNQVNSLKSLNLSYNQLSGSIPDHLFERYKAGLLELRLEGNPMCSNISESYCATQADKAKKNTATLLIAVIVPVVAIILVLILWMLCCKGKSKEHDDYDMYEEETPLHTDTRRFTYTELRTITNNFQSIIGKGGFGTVYHGILGNGEEVAVKVLRETSRALSKDFLPEVQTLSKVHHKNLVTFLGYCQNKKCLALVYDFMSRGNLQEVLRGGLEYLHESCTPAIVHRDVKTANILLDENLVAMISDYGLSRSYTPAHTHISTIAAGTVGYLHPEYHATFQLTVKADVYSFGIVLLEIITGQPSVLVDPEPVHLPNWVRQKIARGSIHDAVDSRLMHQYDATSVQSVIDLAMKCVGNVSIDRPSMTDIVIKLKECLLEGTGEKQLVSGSYKQKDAMDADIARQFHLLISGVPIVSSECISSGITELSYYSGR >ONIVA01G39530.1 pep chromosome:AWHD00000000:1:33751986:33756700:1 gene:ONIVA01G39530 transcript:ONIVA01G39530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALPCFDRRSACFAASSPSPTTAGRAPERGGIAARVSWLVGRMAGGGCGADDRKGKVEYWEKLQALAIQVITGPHAEVEP >ONIVA01G39520.1 pep chromosome:AWHD00000000:1:33745563:33746192:-1 gene:ONIVA01G39520 transcript:ONIVA01G39520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 family [Source:Projected from Arabidopsis thaliana (AT2G44065) TAIR;Acc:AT2G44065] MVINCDCSKPSKSGFLRPAQNAHTYLRFQELGRTVNKGRVEGGSQLAASWPRPPAYRHEILDLNSKVGNSIPLADIRMGTWVHDIECHPGQGAKLARAAGTYAKIIKEPAPQCLVRLPSGVEKLIDSRCRATIGIVSNPNHGARKLRKAGQSRWSGRRPIVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGFRAGVGVGKRRI >ONIVA01G39510.1 pep chromosome:AWHD00000000:1:33700913:33704012:-1 gene:ONIVA01G39510 transcript:ONIVA01G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSLRLKRQDYVDPIYQQNDDHKNIRWSLNIFYGLALSQCIVYFLVSILANPLKRMLRVGLTYKLGFWGVLSLARYVEECLLKCVSGDLRGAVSMDLVSFSNELLSSDSIENQLIGFRIVDHLLRSKMYKQRVLKKIRVSIGTIQMAVHMLSLKIDMDTDTRGHAARVLLELAPDLQVESFPGLAQAGEAGEELRRQVSGNLHIMEVIKKLLTDHTESQQTDLLVQVTGILAFLAADDTARKEIRNSRLIVRMLISFLAGEMNVVQDPIPRKMMETLATEALVLLTTHFKEKIVLSTVSESNVQAILAETMVEDMENIVHVLSDESADHRIGVGKLLQNLRAYQGAEYTELFKIIDKALPKVLETIDLAESKIESDSSDDHSSHAQELIDSAEGKGKLLESFIGLTVQICTNGDEMVFTDALRSANITVDEFVLKLKMILTVYKSPTADFPGVRRVVIQQMNWMMEKNPAYIVVFKKHEMDIILKETAETATKIENFLLFHSGVGAFEHEESISSIVSKSLGLITGSFA >ONIVA01G39500.1 pep chromosome:AWHD00000000:1:33700437:33747028:1 gene:ONIVA01G39500 transcript:ONIVA01G39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTEVCIDRALGVTTLGAEAPPQLHQLEQGLNRQASLRRFYTSASFRAQGPGLKGEPYESESNSVYALEAEIGDGSLQLGKRIPEHPSPTPSGMVSELLLVSKEDRDPLGKARKALLSEDAGFKVGSTHSRRPKRIGVVVHRGKPIKKSSFSARGLTSDWSRLNMKSSMHKFLRPEPALRLDLDDIQYHVPMFPYGGVAKKLPADCPLQPDLYSLCVQALGTEEKLKTLVKANGAPGASGRMLGLLRQKLTLRVSLLAAFWAGRRQANEGRHGGPTTRSKAL >ONIVA01G39490.1 pep chromosome:AWHD00000000:1:33689948:33694393:-1 gene:ONIVA01G39490 transcript:ONIVA01G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPILRRIIPLLMLLLTLWPVPSSSLPDEYSDREALLQFRAALSVSDQLGSLSSWNGSTGSDFCRWGGVTCSRRHPGRVTSLNLSSLGLAGSISPVIGNLTFLQSLDLFNNTLSGDVYFTSQLHRLHYLELAYNDFSGDLPVGLCNCSNLVFLSVEANELHGAIPSCLGSLLQLKVLYLGENNLTGTVPPSLGNLTMLLQIALYQNQLEGTIPEGLSGLRYLQYIQASRNSLSGTLPPLFFNISSLQYLGFSSNKLHGRLPPDAGTRLPNLQVLRLGGIGNNFSGTIPASLSNATEIQVLGLARNSFEGRIPPEIGKLCPVSVQMGSNKLQANDAGDWEFLRYFTNCTRLQVIDLSDNTLGGILPSFIANLSRSIQWLSMAKNQISGIIPPGIGSLKGIEDLEFQGNNLFGDIPGDIGRLRNLKVLWLNMNNMSGGIPFSIGNLTQLLTLDLSNNQLNGSIPKSLGSMERLTNLDLSSNRLVESIPDVIFSLPSLTDSLLLSDNYLSGALPPKVGNLRRATTLSLSRNNLSGKIPTTLGDCASLVYLALDSNHFTGSIPPSLGNLRGLSILNLTRNALSGSIPQQLSNIHGLQQLYLAHNNLSGTIPQFLEKSSALIELDLSYNHLSGEVPSHGLFANMSGFSVLGNYGLCGGIAELNLPPCEVKPHKLQKQMLLRILLLVSGIVICSSLLCVALFLFKGRKQTDRKNATSDLMLNEKYPRVSYHELFEATDGFAPANLIGAGKYGSVYRGNLSLPSAVNVVVAVKVFTLQHASSSRSFMAECEALRNVKHRNLIKIITCCSSMDSRGNDFRALVFEFMPKYSLDRWLHPRIHEQTHKLSIAQLLNIAVDVADAIDHLHNNSCPTVIHCDLKPSNILLSADWTAYVADFGLAKLVGESIEKSGLSAGDSSTVGIRGTIGYVAPEYGAGGQASVVGDAYSFGITLLEMFTGKAPTDNMFREGLTLHLHAEMTLPEKISEIIDPALLHVEQYDTDAEILTCLSSVIEVGVSCSKENPSERMDMKHAAAKLNRIREEMRYDTIVRVLIPYLAIPKPSGSSLPPPQHRQTTQASIRIWMQ >ONIVA01G39480.1 pep chromosome:AWHD00000000:1:33686505:33687993:1 gene:ONIVA01G39480 transcript:ONIVA01G39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALISITVGLGFKLSPAPFHQWTPDVYEGVWFVRQIPTSISISEKEARNPLFDSDSPTPVVAFLSVTSKVAASASATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNI >ONIVA01G39470.1 pep chromosome:AWHD00000000:1:33682533:33682724:1 gene:ONIVA01G39470 transcript:ONIVA01G39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTAGGDGGGDRVVSSPVMWVLQVSLAHCWNTDYSRNYSCQLLRRPAALPNLSPPPPQRTGG >ONIVA01G39460.1 pep chromosome:AWHD00000000:1:33678715:33682591:-1 gene:ONIVA01G39460 transcript:ONIVA01G39460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEDTTRSPPPSPPAVFSMPSSSSESTHVTRACRMSRVGGVGSPVYTMLRPKPLDYEQRTTLVHVFNNIANQIFGNNNGFPVVEAFGSFTMDLFTPRSDLDLSVNFTANTDDQYARKKKISAIRKFAKVLYSHQRNGIFCGVLPVVTARVPIVNVIDRGTGIECDITVENKDGMTRSMIFKFISSLDPRFQILSYLVKFWAKIHDVNSPRERTLSSMSIVSLVAFHLQRRPGILQYYPHGSDFESVERNTLAFKGFGRTNKETVAELFVSLISKLLSAESLWEHGLCASNFEASWISKTWKKGIGNLNVEDFLDRSQNFARSVGKKEMQKICRCLRDCALNLLDFMRGKLDTSKLKTLLFGCLKPDELVSKPRLKRGKRKRKPQTSPDSRYGLGKGKHAVHLVGSDQHANSTTAEAPQVVHRHPTEAKASTQCAHKPTPPFVIIPSGFGYSLSLQLPVAPQLSRGLLGRPPPVNLVHLNNGAQLPQQGLLLSLPSQQAAGSNSGVTYAGAQQLQRNEN >ONIVA01G39460.2 pep chromosome:AWHD00000000:1:33678715:33682591:-1 gene:ONIVA01G39460 transcript:ONIVA01G39460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEDTTRSPPPSPPAVFSMPSSSSESTHVTRACRMSRVGGVGSPVYTMLRPKPLDYEQRTTLVHVFNNIANQIFGNNNGFPVVEAFGSFTMDLFTPRSDLDLSVNFTANTDDQYARKKKISAIRKFAKVLYSHQRNGIFCGVLPVVTARVPIVNVIDRGTGIECDITVENKDGMTRSMIFKFISSLDPRFQILSYLVKFWAKIHDVNSPRERTLSSMSIVSLVAFHLQQLSHSELMMRFLADGSDFESVERNTLAFKGFGRTNKETVAELFVSLISKLLSAESLWEHGLCASNFEASWISKTWKKGIGNLNVEDFLDRSQNFARSVGKKEMQKICRCLRDCALNLLDFMRGKLDTSKLKTLLFGCLKPDELVSKPRLKRGKRKRKPQTSPDSRYGLGKGKHAVHLVGSDQHANSTTAEAPQVVHRHPTEAKASTQCAHKPTPPFVIIPSGFGYSLSLQLPVAPQLSRGLLGRPPPVNLVHLNNGAQLPQQGLLLSLPSQQAAGSNSGVTYAGAQQLQRNEN >ONIVA01G39460.3 pep chromosome:AWHD00000000:1:33678715:33682591:-1 gene:ONIVA01G39460 transcript:ONIVA01G39460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEDTTRSPPPSPPAVFSMPSSSSESTHVTRACRMSRVGGVGSPVYTMLRPKPLDYEQRTTLVHVFNNIANQIFGNGIFCGVLPVVTARVPIVNVIDRGTGIECDITVENKDGMTRSMIFKFISSLDPRFQILSYLVKFWAKIHDVNSPRERTLSSMSIVSLVAFHLQQLSHSELMMRFLADGSDFESVERNTLAFKGFGRTNKETVAELFVSLISKLLSAESLWEHGLCASNFEASWISKTWKKGIGNLNVEDFLDRSQNFARSVGKKEMQKICRCLRDCALNLLDFMRGKLDTSKLKTLLFGCLKPDELVSKPRLKRGKRKRKPQTSPDSRYGLGKGKHAVHLVGSDQHANSTTAEAPQVVHRHPTEAKASTQCAHKPTPPFVIIPSGFGYSLSLQLPVAPQLSRGLLGRPPPVNLVHLNNGAQLPQQGLLLSLPSQQAAGSNSGVTYAGAQQLQRNEN >ONIVA01G39450.1 pep chromosome:AWHD00000000:1:33671342:33672478:-1 gene:ONIVA01G39450 transcript:ONIVA01G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILERAEEANIGSGSGSSEWELGVRQLCDSGITTLPARYVLPPADRPARYVTPPALLPVVDLAALRARDPCQLAALHAACRDYGFFQLLNHGVPPDAMLYAARRFFFDLPLPARKRYMSADIRAAVRYGTSFNQLNDAVLSWRDFLKLLIRDTRRLADVLPSWPDAPDDLRPAAAAYATACQRLFRELMEAALDALGIVRCRRQLLEECDAGSQMMMVNCFPACPEPELTLGMPPHSDYGLLTILLQDEVRGLEVSYGDGGGWAVVEPLPGAVVVNVGDHLEILSNGLYRSVLHRVRVNGRRARVSVASLHSLAAERVIGPAAELVDEQRGRPRRYMDTDMAAFLAYLASAEGNHKSFLHSRRINTISSSGLTQPSN >ONIVA01G39440.1 pep chromosome:AWHD00000000:1:33665080:33670797:1 gene:ONIVA01G39440 transcript:ONIVA01G39440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36840) TAIR;Acc:AT2G36840] MGIPNPSDEVVQVRHGDVAGEPTVVTISCPDKTGLGCDLCRLVLLFGLNIVKGDLSTDGRWCYIVLWVVARPGRAMAMRWDLLKDRLIQLCPVAAPFGLDNHHLAAAGLHLHDHDAPAPSPNIFLLKFFCYDRMGLLHDVTRVLCELELTIRRVKVSTTPDGRVLDLFFITDARELLHTKSRREETYDKLQSVLGDSLTSCEIESATEEMSSCLQASTLLPHSAVEEMFNVEVVEEQSRSCGGLSVAMDNSLSPAHTLIQIQCGDHKGLVYDIMRTLKDCNIQISYGRFYASQNGSCEVDLFAVQSDGKKIVDQHKQRALCCRLRTELHRPLRVALVNRGPDTELLVANPVEVSGKGRPLVFYDITLALKKLHKRIFLAEIGRHVVGDREWEVYRVHLGEGDHSSLRSKIVDGVTNMLMGWDDTPPS >ONIVA01G39440.2 pep chromosome:AWHD00000000:1:33665781:33670797:1 gene:ONIVA01G39440 transcript:ONIVA01G39440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36840) TAIR;Acc:AT2G36840] MAMRWDLLKDRLIQLCPVAAPFGLDNHHLAAAGLHLHDHDAPAPSPNIFLLKFFCYDRMGLLHDVTRVLCELELTIRRVKVSTTPDGRVLDLFFITDARELLHTKSRREETYDKLQSVLGDSLTSCEIESATEEMSSCLQASTLLPHSAVEEMFNVEVVEEQSRSCGGLSVAMDNSLSPAHTLIQIQCGDHKGLVYDIMRTLKDCNIQISYGRFYASQNGSCEVDLFAVQSDGKKIVDQHKQRALCCRLRTELHRPLRVALVNRGPDTELLVANPVEVSGKGRPLVFYDITLALKKLHKRIFLAEIGRHVVGDREWEVYRVHLGEGDHSSLRSKIVDGVTNMLMGWDDTPPS >ONIVA01G39440.3 pep chromosome:AWHD00000000:1:33665080:33670797:1 gene:ONIVA01G39440 transcript:ONIVA01G39440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36840) TAIR;Acc:AT2G36840] MIKTLMHVISYDVCNDLRELLHTKSRREETYDKLQSVLGDSLTSCEIESATEEMSSCLQASTLLPHSAVEEMFNVEVVEEQSRSCGGLSVAMDNSLSPAHTLIQIQCGDHKGLVYDIMRTLKDCNIQISYGRFYASQNGSCEVDLFAVQSDGKKIVDQHKQRALCCRLRTELHRPLRVALVNRGPDTELLVANPVEVSGKGRPLVFYDITLALKKLHKRIFLAEIGRHVVGDREWEVYRVHLGEGDHSSLRSKIVDGVTNMLMGWDDTPPS >ONIVA01G39440.4 pep chromosome:AWHD00000000:1:33665080:33666656:1 gene:ONIVA01G39440 transcript:ONIVA01G39440.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36840) TAIR;Acc:AT2G36840] MGIPNPSDEVVQVRHGDVAGEPTVVTISCPDKTGLGCDLCRLVLLFGLNIVKGDLSTDGRWCYIVLWVVARPGRAMAMRWDLLKDRLIQLCPVAAPFGLDNHHLAAAGLHLHDHDAPAPSPNIFLLKFFCYDRMGLLHGGVLTTFSFLFISLPHGFPFTFLSPNLTTQ >ONIVA01G39430.1 pep chromosome:AWHD00000000:1:33661034:33663151:1 gene:ONIVA01G39430 transcript:ONIVA01G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLSQAEAGGGGRSKKSAAGELTTTSEKKKKTRRRKVAVVYYLCRSRQGGLEHPHLMEVEVEAEVGDGEEQVHVQLRLRDVTRRLDALRGKGMAAMYSWSCKRSYRGGYVWHDLSHPDDLLLPTGPHDYVLKASLLHLHHLIDPPPRHHPLITSTSSSAHHSLPPHAAAAAHVSLVSSSSTDANANIVVVGDDQCTSSGRTQPHSSSSSSSSSSSSASSSGSSSSHNNNSKEKEDEKQVVGEARRPAAAVVVASAATQTDDDSSFTVTGSIAAPSAQKQGAAGGRGSSSSSSRSLESLIMAEYSGFRSMLEDDYDEDDEEESAGGADNSSSRRRSCSMSIYRVKPANLLMRLIACGCGSSIPIPAAAKQQLQQVESLPLSPVLSPLSHLVNKQQLPHEQDRFSGGTISTSNANAAGKLKVADDNAPPLVQSQIECSNAPRPEDFVSSAAAAAGNTTNELAHSRPVVVAFRLDKHDDKVIKIEERLASGARVTISSSSVHPAAGGLACSSNYQLHRRRHSGGLA >ONIVA01G39420.1 pep chromosome:AWHD00000000:1:33657297:33658523:-1 gene:ONIVA01G39420 transcript:ONIVA01G39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FUN8] MNKCRSSSSSSSSRALYALLLTSLILTVIVLYLPPPPDPLSQSQFEFDQLKLDDHPPPPQLHRRRTKRCAEHLRWAASLASQHNATLLLTVDRNQAAGCANFTTIQKAVDAVPDYAATRTLIAVDAGIYREKVVVWSNKTALTLHGRGNLNTTVAWNATSNSTGGSTVYSATFTVLAPAFVAYNITFQNTSPPPEPGDAGGQAVALRVAGDEAAFHWCGVYSAQDTLLDESGRHLFRGCYIEGSIDFIFGNARSLYVGCTISSVAMASATGNKEVTGSVTAQGRASAAERTGFAFVRCSVVGTGQVWLGRAWGPYATVVFAETYLGDVVAAEGWNDWGDPGRRQQVWFAEYACWGPGSATAATGRVSYARQLDQRQAAPFMDVSYIDANQWALPPSTPELYGSRYTPS >ONIVA01G39410.1 pep chromosome:AWHD00000000:1:33656278:33657150:1 gene:ONIVA01G39410 transcript:ONIVA01G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRYEVEVTVASARDLKNVNWRNGDLKPYAVVWIDDGAKCSTRVDLDNADNPTWDDKLTVPLPPSTRLDDAVLYLDVVHANATDGVKPLVGSARLPLRDVLADTGIGARASRSLRLKRPSGRPHGRLEVRVAVREPKRYYDPSPAYPAPYHQQSSRDPYAYGNTTTGGYGYAYGGAPPAPYSAAPPAGYPSAYGGAAPTQPAYGSAAPPQPAAVSYGAPPVDAKKKSKMGMGGGLAVGAAAGVLGGLALAGGASYLENKFEDRVAERVEEDRYGGGGGGYDDYGDDDY >ONIVA01G39400.1 pep chromosome:AWHD00000000:1:33649240:33653416:1 gene:ONIVA01G39400 transcript:ONIVA01G39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42450) TAIR;Acc:AT2G42450] MWCSSSLEERRGEGKENGTLDGTAASTVRGAVEMAAKRLLLLSRCRLIAHRPSCHIPFLPPALARYLGSPSSPNPNPKNTSSMGTASSGEEEEDDEGKAFPWRRWRPDVAWLPKALEPALQLYNQYKPFLTSAPTDNIPASTRTFSEILSDLQRSKVSIKDWSLTDLTIGLYLIYLSQASAKDAQAFKGLHISCNNKASPPSLLFPITISQFIILLDFCCYKGNATGLARHSMLRKRNVLKFVKDSSILRPGYYIAIDPRTKLVILGIRGTHTVYDLVTDLIALSDKKVSPKGFSTHFGTYEAARWYLRHELGLIRKCLEKHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDVISAVGYGTPPCVSREIAQSCASYVSTVVLQDDIIPRLSAASLARLRAEILKTDWVSVLEKEDWKHIVDIVTNAKLVVSSIQDVARKLADYAKIVTVSTSSDAIKDQDRPLSTSEVLSPDSKEDVFVPEDLFLPGTLYYLKRDIEDINGVEDESYTLWRGDAGENFQRILLSGNLISDHKCESIYYALRDVLKTLPPQESG >ONIVA01G39400.2 pep chromosome:AWHD00000000:1:33649240:33653416:1 gene:ONIVA01G39400 transcript:ONIVA01G39400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42450) TAIR;Acc:AT2G42450] MWCSSSLEERRGEGKENGTLDGTAASTVRGAVEMAAKRLLLLSRCRLIAHRPSCHIPFLPPALARYLGSPSSPNPNPKNTSSMGTASSGEEEEDDEGKAFPWRRWRPDVAWLPKALEPALQLYNQYKPFLTSAPTDNIPASTRTFSEILSDLQRSKVSIKDWSLTDLTIGLYLIYLSQASAKDAQAFKGCYKGNATGLARHSMLRKRNVLKFVKDSSILRPGYYIAIDPRTKLVILGIRGTHTVYDLVTDLIALSDKKVSPKGFSTHFGTYEAARWYLRHELGLIRKCLEKHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDVISAVGYGTPPCVSREIAQSCASYVSTVVLQDDIIPRLSAASLARLRAEILKTDWVSVLEKEDWKHIVDIVTNAKLVVSSIQDVARKLADYAKIVTVSTSSDAIKDQDRPLSTSEVLSPDSKEDVFVPEDLFLPGTLYYLKRDIEDINGVEDESYTLWRGDAGENFQRILLSGNLISDHKCESIYYALRDVLKTLPPQESG >ONIVA01G39390.1 pep chromosome:AWHD00000000:1:33647523:33648242:1 gene:ONIVA01G39390 transcript:ONIVA01G39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSLGGSIFLEQRSRREVKSSDLGYLGGGGGGSSGDGRRCSTCVRAGVCGGGGVRAVLGVLAAVVEVASASASCSAFQCQSSYPYAVLVLAVVSAGTPCPKSRWALSPSVKLVLVVVLVGVSEYAALGGVAPVACCALASARPGAASTLAGDSGRLDVITLLQAGDHGILVIGVLFCSESCGSMLRVAPFSAISVLIGRQKSIGSLSKAPLLMVGWSTFWPFHLFPCSRSRLVCHSG >ONIVA01G39380.1 pep chromosome:AWHD00000000:1:33644961:33645383:-1 gene:ONIVA01G39380 transcript:ONIVA01G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEHVRARHEDSHQRSDMAAIVAATVDHDASRDNMAHRGRKTIDEMMISSSLAPSPPSFTAMDRLPHRVPPLSYGGRDCKLKFTLLHSCCYRVRRQPAPLLQPCSTTEGLPHRNNKRSQKWRKEEKERGRIEEEDYMFH >ONIVA01G39370.1 pep chromosome:AWHD00000000:1:33641151:33641546:1 gene:ONIVA01G39370 transcript:ONIVA01G39370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQQQQQQEGELVAKYRGVRRRPWGKFAAEIRDSSRHGVRVWLGTFDTAEEAARAYDRSAYSMRGANAVLNFPADAHIYARQLHNNNAAAGSSSSSSAAAAAARPPPIEFEYLDDHVLQEMLRDHTTNK >ONIVA01G39360.1 pep chromosome:AWHD00000000:1:33623311:33628159:1 gene:ONIVA01G39360 transcript:ONIVA01G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVNTNNQEEEGCNPSSGNELEQLESDFCDEANNIELAKAFWYNKSELEQSAILSVEIEELEMTYYRGCIPPFELHQVPLLSDAISFIQDTKSWLYWVCPNCERMFLDSEGFLLHLENEHLPQLPRSEPIIPRRISDNDVRGLKTFSWLPGNMYMRGGVDDTNFEDTEIRKTIMQKIQEVVFKLIDLRILSADLTNKLTTFSRLRVGRRAYLLPGMLSIAFLGAEDLEMIYKLLHQLSLANTWELEQSPEFDEDGSDSFDAVTLVQDTNTLCLDVRKIISSTDGSIMEDDVFKWLFYTPLQEGMLLSWLSMKQKRLQRGVQIILQIKTFRDTLIDAYKSKLDDGKISEQEPPNCFLTETDYIDAKILRIDSEIEYMKKMLSEVCAFDYRPAIMPILKAYIRDKLRKASSCGVFDQDDRYAEYNKNLDSVNQFQMDQQAGRNQNFVDEGNSSRTKVEKARCFVSDHQASIIEQTGTCTISEEPSAQIDISCTYCGEIVEENSTIVEKEEEIVEENSTSVEKEEWEVVMEAMKRLVASLPPEMVQLPGTMSEDPASKIAFHIIRELSFRQAIKSVNGQRMDTKAVDTGPGALGIAKPASDEKVNAASDKKGNSGRPKRRMLKSLIWALLSSFASHLSMLLLSRYLFGGEEGKESKDCCHITREKKNREFKSFEKGLRMGAPELQSLSQHEEKKG >ONIVA01G39350.1 pep chromosome:AWHD00000000:1:33616298:33620686:1 gene:ONIVA01G39350 transcript:ONIVA01G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCWWHWSTRHNTKAFFVREKLAAQSPRRDGVNRRSENDRGADSAKSTDRSPARHRAFQRTLPPPAAHGEGADRCGPSRQSTQTKPNPTHRASHPLHYGHSLFANAHATRVHAEQYKKSPYTTSASRAAAGGGGGVVVAAAATLLPPSSCSSSSPRLSALPRARPDPGGMGAGALGVVAMVAAAVVVAMAGANSEGDALSALRRSLRDPGGVLQSWDPTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLDHLQYLINHQHWNRELYKNNIQGTIPSELGNLKNLISLDLYKNNISGTIPPTLGKLTSLVFLRLNGNRLTGPIPRELAGISSLKVVDVSSNDLCGTIPTSGPFEHIPLSNFEKNPRLEGPELQGLAVYDTNC >ONIVA01G39340.1 pep chromosome:AWHD00000000:1:33596447:33599124:1 gene:ONIVA01G39340 transcript:ONIVA01G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCPGSGDAEPASSDASTGNGSSSFKAGASPSSAPAQNKPPAPIGPVLGRPMEDVRSIYTIGKELGRGQFGVTSLCTHKATGQKFACKTIAKRKLSTKEDVEDVRREVQIMYHLAGQPNVVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVEIIHTCHSLGVIHRDLKPENFLLLSKDEDAPLKATDFGLSVFKDIVGSAYYIAPEVLKRSYGPEADIWSVGVILYILLCESEHGIFNSILRGQVDFTSDPWPRISASAKDLVRKMLNSDPKKRISAYEVLNHPWIKEDGEAPDTPLDNAVMNRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRKGLSKQGTKLTEAEVQQLMEAADADGNGTIDYDEFITATMHMNRMDREEHLYTAFQYFDKDNSGCISKEELEQALREKGLLDGRDIKDIISEVDADNDGRIDYSEFAAMMRKGNPEANPKKRRDVVI >ONIVA01G39330.1 pep chromosome:AWHD00000000:1:33585062:33585797:1 gene:ONIVA01G39330 transcript:ONIVA01G39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWITYITRDTVPQRDSLLHNAILIHTRTRPAHSLPSQSPTVATPVPRRLRRCCAHPPPPPSLPPPPRRRRAHPPSSSPSLHGGDHACRGAPPLTGCPAISSRNHLILGRYHPIPRKYHAIYGRNRMIPDKYHMIPRGYHAIRDRNRLIHDRYRMIPREYHAIRG >ONIVA01G39320.1 pep chromosome:AWHD00000000:1:33578157:33584194:-1 gene:ONIVA01G39320 transcript:ONIVA01G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRLGGAAASASAAAAADARGGMSGFAAPQHAIHTNLNNVQPTQVTDFGALAQSAGFRIEDLANLSTNGLFNLKSNAHTIINDPLQFENYVKSISPSNITTTATVTVVDPQTLVPQKGAQLNLVTIRTGNVENWGESTIADTSPRTDTSTDPDTDERNQMFEQGQLAAPTASDSSDRSKDKLDHKTLRRLAQNREAARKSRLRKKAYIQNLESSRLKLTQIEQELQRARQQGIFISTSSDQSHSASGNGALAFDMEYARWLEEHNKHINELRAAVNAHAGDNDLKSTVDSIMAHYNEIFKLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTEQQLAGIANLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >ONIVA01G39310.1 pep chromosome:AWHD00000000:1:33566551:33577297:1 gene:ONIVA01G39310 transcript:ONIVA01G39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidine 2 [Source:Projected from Arabidopsis thaliana (AT5G12200) TAIR;Acc:AT5G12200] MAMPCRLHGDILLLLLGAVAVAVAHPAANEFCAAVGGGSGGCGVGGGGGGDGRRILIRGGTVVNAHRVEEADVYVEDGVIVAVRPNIPVGDDHVKVIDATGKYVMPGGIDPHTHLEMEFMGTVTIDDFFSGHASALAGGTTMHIDFVIPVNGNLTAGLESYKQKAEKSAMDYGFHMAITKWNDDVSREMETMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLQKCKSLGALAMVHAENGDAVAEGQQRMIDLGITGPEGHALSRPPVLEGEATARAIRLAKFVNTPLYVVHVMSIDAMDEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFMIASKYVMSPPIREAGHNKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGIEERMHIIWDSMVETGRISVSDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPERSFVMGAHTHHSRSNTNVYEGRKGKGMVEVTISRGRVVWEDGILNVVPGSGRYVRTPPYSYLFDGIEKSDAAYRASLRAPVKRGKAAA >ONIVA01G39310.2 pep chromosome:AWHD00000000:1:33566551:33581993:1 gene:ONIVA01G39310 transcript:ONIVA01G39310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidine 2 [Source:Projected from Arabidopsis thaliana (AT5G12200) TAIR;Acc:AT5G12200] MAMPCRLHGDILLLLLGAVAVAVAHPAANEFCAAVGGGSGGCGVGGGGGGDGRRILIRGGTVVNAHRVEEADVYVEDGVIVAVRPNIPVGDDHVKVIDATGKYVMPGGIDPHTHLEMEFMGTVTIDDFFSGHASALAGGTTMHIDFVIPVNGNLTAGLESYKQKAEKSAMDYGFHMAITKWNDDVSREMETMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLQKCKSLGALAMVHAENGDAVAEGQQRMIDLGITGPEGHALSRPPVLEGEATARAIRLAKFVNTPLYVVHVMSIDAMDEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFMIASKYVMSPPIREAGHNKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGIEERMHIIWDSMVETGRISVSDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPERSFVMGAHTHHSRSNTNVYEGRKGKGMVEVTISRGRVVWEDGILNVVPGSGRYVRTPPYSYLFDGIEKSDAAYRASLRAPVKRGKAAA >ONIVA01G39300.1 pep chromosome:AWHD00000000:1:33564414:33571021:-1 gene:ONIVA01G39300 transcript:ONIVA01G39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAILGAFMQTLFQKLSEATLDHFISWRGIHGKLESLSSTLSQLQAFLDDAEEKQLTDASVRGWLAKLKDIAYDLDDLLDSYSAKSMRMKQRQVIFPTKASFLSSSFLSRNLYQHRIKHKINIILERLDKIAQERDTIGLQMICEMRRYDTSERPQSSSLVDSSAVFGRERDREEMVRLVLSDNGHNSCNLCVIPVVGMGGLGKTTLMQMVYHDDRVREHFDLRIWIYVSESFDERKLTQETLEASDYDQSVASTNMNMLQETLSRVLRGKRYLLVLDDVWNEDLDKWHSYRAALISGGFGSKIVVTSRNENVGRIMGGIEPYKLQKLSDDDSWSVFKSHAFRDGDCSAHPELEAIGMEIVKKLKGLPLASKALGSLLFCKTDEEEWKDILQNDIWELPADKNNILPALRLSYNHLPPHLKQCFAFCSVYPKDYMFRREKLVKIWLVLGFIRQSRKKRMEDTGNAYFNELLSRSFFQPYENNYVMHDAMHDLAKSISMEDCDHLDYGRRHDNAIKTRHLSFPCKDAKCMHFNPLYGFRKLRTLTIIHGYKSRMSQLPHGLFMKLEYLRVLDMHGQGLKELPESIGNLKQLRFLDLSSTEIETLPASLVKLYNLQILKLSDCNFLREVPQGITRLINLRHLEASTRLLSRIHGIGSLVCLQELEEFVVQKRSGHNVTELNNMDELQGQLSIRGLNNVPNGQDAVCAKLRNKEHLRTLHLIWDEDCESNPSEQQEVLEGLQPHLDLKELVIKGFPGVRFPSWLASSFLPKLQTIHICNCRSTRLPALGQLPFLKYLVIAGVTEVTQLSSEFTGFGQPKGFPALEDLLLEDMPNLSEWIFDVADQLFPQLTELGLIKCPQLKKLPPIPSTLRTLWISETGLESLPELQNNSCPSSPTSLYINDCPNLTSLRVGLLAYRPTALKSLTIAHCEGLVSLPEECFRPLISLRSLHIYECPCLVPWTALEGGLLPTSIEDIRLNSCTPLASVLLNGLSYLPHLRHFEIADCPDINNFPAEGLPHTLQFLEISCCDDLQCLPPGLHNISSLETLRISNCPGVESLPKEGLPMGLNELYIKGCPQIKQQCQEGGEYHAKIAHIRDIEIDGDVIVPEQI >ONIVA01G39290.1 pep chromosome:AWHD00000000:1:33555165:33558207:1 gene:ONIVA01G39290 transcript:ONIVA01G39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSIRRRRRKRSAEGAVSCQYLRCHLTTLKHRRRHATGGQVLLRLAGATVYHASTVRRIGRHMEMIPELNAKVKNHMLANELALLNVKPRVGSAVEKYQSHFQFSPLPANGPRAISPSRAS >ONIVA01G39280.1 pep chromosome:AWHD00000000:1:33554811:33555260:-1 gene:ONIVA01G39280 transcript:ONIVA01G39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPAAWRTSVHGAADGWARRATRKAPSAAKEWGHRGAGVSQTGVGGAADGGGCGPCDEATPPSAVLVIIVVHNSSTVAQEWRSGVGAAADEAPRRFLARVADLRRRRIMGFGGEARFLAWEWDNEATGRDAMQGRTGEGMNAAWGRSA >ONIVA01G39270.1 pep chromosome:AWHD00000000:1:33512111:33512799:1 gene:ONIVA01G39270 transcript:ONIVA01G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYSIAVAVCIVFVVMSTIPSCYGDEETCTDEVPHCKIVACTNKCRTHHHPKYTARCIHNTNPEQCCCKKDDAGVTK >ONIVA01G39260.1 pep chromosome:AWHD00000000:1:33483771:33484133:1 gene:ONIVA01G39260 transcript:ONIVA01G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGPSRATVADDNDELSRLISVAEADLDVSHVRAARKHARRAVCLDSDSPRASLLLTAVFVLVADHSSHRTTLLAIASSVGLDECGGEQGGVDGARDDGPRGRWEGGLGRRRLRAVGGR >ONIVA01G39250.1 pep chromosome:AWHD00000000:1:33459848:33469792:-1 gene:ONIVA01G39250 transcript:ONIVA01G39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRSGLPSSTGGKGKGVAVVGANPWYSDDGSDGSKSSSESERTVTDDYFGIFVHPGHGDQEVDSRKANRHEADHSETDSERTGSAGLGKIANSAGNSHHFSDVDSGLSPEGKRQKSARFQPERILSGGNSYGANSGHQRDANSGMFLLSSEKTQAKTNKDIPESWKVHPRKHEVDPDGWVVEIHLRNDQKTKDKYYRHKDYNHKFRSKPEVQSFLDTGKRSADASVPSRGSGKSASGGKGPESPLQLPHGFV >ONIVA01G39240.1 pep chromosome:AWHD00000000:1:33434394:33437416:1 gene:ONIVA01G39240 transcript:ONIVA01G39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQYAKQSRQPAARCLLGLRKRAAPPWTRDAVPRVASAPRGEPATVVGSLRSPAERPAAVRLSTTPPVRHSDEAATPPLVAWRCGSLVAVSAPALPLLAQVDLRSSSRYPSRRRRQASHRPPTPEAGEAVACSTAGSSSAGATFDLRPSIAAFPCGDELYYASMWGSIIFNGWYPTAHRYHRPCHWLSPRALVNPARVNPALLGTRGVTAALRHDGVCHLVLLEACVYAYFVTANQSLKIFPDN >ONIVA01G39230.1 pep chromosome:AWHD00000000:1:33433104:33440334:-1 gene:ONIVA01G39230 transcript:ONIVA01G39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRRWILIRLITMRQIQKPIARELKAASFGMIANSFSNSLHFSPMWTLSCSQEKGKTQVKNNKDIPESWKVHPRKDEVDPTGWDIENHLRNDQKTKDKAAGATPIQCTCCCSRLLHDARVYEVFQDYMVSYLLDVRASYGRLRHLRRWCSRDTNVTRYMLFYTLSSHYPQPSGARHEDDGTIPRDPSLSYRGRYLRASKVYNKERSFKAIEMAGVIGLFAIHDRRLMLGV >ONIVA01G39220.1 pep chromosome:AWHD00000000:1:33429813:33432180:-1 gene:ONIVA01G39220 transcript:ONIVA01G39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRKASPDPVLEAQQQEKAGGEIRAATPKAPKVKEQFQLGDGEPKKKPTSTPSQKRNNKRKRVAAFERLWSRASELRILRAMASYTNTHRSTLPDTCDLFAALASNFNRRDALADKVQKLKRGMTMHAYNSTALLTTMVCVDCWIAEQHLVDLINTMVVSPRATPEPGGSIAPDGGGGEA >ONIVA01G39210.1 pep chromosome:AWHD00000000:1:33428308:33432068:1 gene:ONIVA01G39210 transcript:ONIVA01G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKINGGFILATLVHHECERFGGDAPLLLLVELGGREGRRRCRIRRKRRLCCPLHYSTRRRGGAMTTMSSLQLRLLRFLVKRLGEISLHGEENSHLRVGGIVEFALLIKSTRCCSAIQQSTHTIVGSAVVGVHCHTSLELLDFVRKSVSSIEVAGKGGKKIAGIRQSAAMRAHRRQAGTAPSPWVPWAWPFGVKDDYCGVRAVATIARVWLGLRLRPAIPPEASASMARCPCCFLLSMRDRREEEVPDPDEASALLPPPLPKEEKTLCQDHHELITAAASQLLGEKIGGNSSLGSHMRVGGTVEFALSIKSSTATPGGDAPSRLKCNHFCADQLGLVGISFVEAVGKGGKEIAGIRQSAAMGVGMVGHVL >ONIVA01G39200.1 pep chromosome:AWHD00000000:1:33417912:33418555:-1 gene:ONIVA01G39200 transcript:ONIVA01G39200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEATANARPKLDWVFTRRGESPQGNTSNEETAPTGVDVTDPGKPGRAFSQDSHKKCRTSKKLEQDHRAREASARPKSPYYQWTRPPTRTNKTPQPPKDSTAALGAGDQEKEGASRRVGGLAGLKVKLRAGSKGNKDISVIHVSSKDLRASWDNPKQLQQPPPTSPPT >ONIVA01G39190.1 pep chromosome:AWHD00000000:1:33407165:33411193:-1 gene:ONIVA01G39190 transcript:ONIVA01G39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPSGLPSSTGGKGKGVAVVGANPWHSDDGCDGSKSSSESERTITDDYFGIFVHPGHGDQEVDSLKANRHEADHSETDSERTGSAGFGKIANSAGNSRHFSDVDSGLSPKEKRQKSERFQPERILSGGSEMQTPGANSYGANSGHQRDADSGMFLSFSEKTQVQTNKDIPESWKVHPRKDEVDPDGWVVEIHLRNDQKTKDKYYRHKDYNHKFRSKPEVEYFLNTGKRSADVLVRLPLEGKVQNPPYNFRMVLCSQCDYSKMHL >ONIVA01G39180.1 pep chromosome:AWHD00000000:1:33392092:33396006:-1 gene:ONIVA01G39180 transcript:ONIVA01G39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVFHLLVVAKARVSDSERTVTAEFGIIVHPGGYGDQEVDSHSESDSERTEGASFVKIANSFMNSRHFSYVDSELLPGKRQKNVVFQPELWSESCLELVNGKMQTLGCFCGPQEKHKYYRHKDYDHKFRTKPTVQFFPDNWKERVHVVLIYYMMLGCTRYLWASEVYNKERSFKAMEMASVIGLFAIDDKRLILCV >ONIVA01G39170.1 pep chromosome:AWHD00000000:1:33390759:33391460:-1 gene:ONIVA01G39170 transcript:ONIVA01G39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWWSWCSLFSSLGNGGGSNANTSSGSGTSSSPVVLQPQQAAAGAPRRAHTKKPREGGPANAKAQAKPSQSQPQPTPRKNRPSPRKATPKASKAKARLQLGDGEPKKKPAPTPSQKRNNKRKQVAAFERSWSRANKLRIPRAMANHANNHHGALPDTHNLFAALASCLDRRDADLPNLVDKVHKLKIWYDNACLHQCSSTDDDGTCRLMDHGAAPGGPDRHDDGSTACCT >ONIVA01G39160.1 pep chromosome:AWHD00000000:1:33388233:33388427:-1 gene:ONIVA01G39160 transcript:ONIVA01G39160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHSNTHCSAMPDTCDLFAALASSLDRRDADMPNLVDKVHKLKRWYDNARLQQRCPTDDDDTC >ONIVA01G39150.1 pep chromosome:AWHD00000000:1:33382450:33383049:-1 gene:ONIVA01G39150 transcript:ONIVA01G39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRVWRLRDENNSGCGGGDLSKTSAAKKSPQVILLREPLTSPISNLIRCVGVARMRPSTTTTSSFSNLPARHCSGDVLIHHRPRISLAARRAEREKRRQVDVGLYEGILKVKGRANLDIDVEDELLLRRRRDIEKVKTSSAAATATTRGTRRSYSGGPAKVKRNGKREREARAGGGRRMEREDNMWVPHFFFGVCVND >ONIVA01G39140.1 pep chromosome:AWHD00000000:1:33380417:33382065:-1 gene:ONIVA01G39140 transcript:ONIVA01G39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSGGGALPLSTPRAAAGGLRGGGGRGAALLYRTCLSRPYTTGRALGLSCQMKRTRWKPVFALETGGPSNADNQDFEDDGGFLGRTRLGRLIQAAARELLEKLNSARNKSPTKIFLVLLGFYTANALATVLGQTGDWDVFVAAIVVATIEGIGMLMYRKPASRPPGRFWSMITMVNYWKAGVCLGFFVDAFKVGS >ONIVA01G39130.1 pep chromosome:AWHD00000000:1:33375717:33379300:-1 gene:ONIVA01G39130 transcript:ONIVA01G39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT3G52155) TAIR;Acc:AT3G52155] MRPPPAPLSGRASLPPHLLLLSNSSLAPSPPTVAAACRMPPAAAAAVRSVSVSTAVDAPTAAAAEPARGDAAPAAPSRRRLILLRHGESAAGGRLTRDHDRPLSKAGRAAAISVSNKLQQMGWIPELVLCSDATRTKETLKILQDHVKGLSEAIVHFIPSFYSIAAMDGQTAEHLQKAICQYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEAEGKSWVEEWHLASACNKNVLVSPMQKSVFSNAHPSKKLACGEMLGSAFSLAGLGGWKLHGIVKP >ONIVA01G39130.2 pep chromosome:AWHD00000000:1:33375717:33379300:-1 gene:ONIVA01G39130 transcript:ONIVA01G39130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT3G52155) TAIR;Acc:AT3G52155] MRPPPAPLSGRASLPPHLLLLSNSSLAPSPPTVAAACRMPPAAAAAVRSVSVSTAVDAPTAAAAEPARGDAAPAAPSRRRLILLRHGESAAGGRLTRDHDRPLSKAGRAAAISVSNKLQQMGWIPELVLCSDATRTKETLKILQDHVKGLSEAIVHFIPSFYSIAAMDGQTAEHLQKAICQYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEAEGKSWVEAFSLAGLGGWKLHGIVKP >ONIVA01G39120.1 pep chromosome:AWHD00000000:1:33344037:33347461:-1 gene:ONIVA01G39120 transcript:ONIVA01G39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGEVIPADMPAAPFTPRHGDGETWVDRKRRNKKKRKRGADEEWEAAFQEFMAADDDDDGGGLVLSSKSLVLRSPGENDAGRGAAATMSMPLDPVTEEAEPAVAEKPRRRRPRRSYEYHGIRQRPWGRWSSEIRDPVKGVRLWLGTFDTAVEAALAYDAEARRIHGWKARTNFPPADLSSPPPPSQPLCFLLNDNGLITIGEAPTDDAASTSTSTTEASGDARIQLECCSDDVMDSLLAGYDVASGDDIWTWTSGASSTSVNQEIKTPSIHQNISYAVR >ONIVA01G39110.1 pep chromosome:AWHD00000000:1:33342312:33343621:1 gene:ONIVA01G39110 transcript:ONIVA01G39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDPRKQLHAHGLKSYPVVGPLPHFVKNRDRFLEWSTGVMKRSPTHTISFKGLGLTAGAITANPANVEHVEGLISLAEDFLGRGIFSSDGEQWLWQRKAASYEFNKRSLRNFVVDSVRFEVVERLLPLLEQAAGRDGRTLDVQDVLERFAFDNICRVAFDEDPACLTEESMATPQSAEFMRAFNDAQNTILSRFLSPAKSLWRAKRLFNMEPERRMREAINTVHTYTERIVRERRERGEARLARRDDFLSRFAAGGEHSDESLRDVVTNFLMAGRDSTSSALTWFFWLLSDRPDVEGKIVHEIHAVRSASISGGMGSTTFSLDELRDMHYLHAAVTESMRLYPPVPINARTCKEEDSLPDGTFVGKGWHVTYCAYAMGRMEDIWGADCDEFRPERWLDEAGVFRPESPFKYPIYIPRWAKDVHR >ONIVA01G39100.1 pep chromosome:AWHD00000000:1:33337070:33337408:1 gene:ONIVA01G39100 transcript:ONIVA01G39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWKIAAADAGDGGGRAAGVGDGGGWAERRRRKRAAAVRWASETTVAGPRGGGGRERWASEMASAVQARGDGDRATDVGDRGGRASTPARLRAVVQWPQELATKAGSASI >ONIVA01G39090.1 pep chromosome:AWHD00000000:1:33334639:33336174:1 gene:ONIVA01G39090 transcript:ONIVA01G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSTSASLLLILLLTLVYFLYLHQDPKKKPRTHGLKSYPVVGTLPHFINNKDRFLEWSTGVMKRSPTHTMSFKELGLTGGVITANPANVEHILKANFGNYPKGELAVSLLEDFLGHGIFNSDGEQWLWQRKAASYEFNKRSLRNFVVDTVRFEVVERLLPLLEYAGRHGRTLDVQDVLERFAFDNICRVAFDEDPACLTEESMAAPQSAEFMRAFNDAQNAILDRFNSPAKSLWRIKKLFNMEPERRMRDSLATIHGYAERIVRERRERREARLERRDDFLSRFAASGEHSDESLRDVVTNFILAGRDTTSSALTWFFWLLSGRPDVEDKIVREIRAVRQSSAGSEGTRGATFSLDELRDMQYLHAAITESMRLYPPVPFDTHSCKEEEFLPDGTFAGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDEAGAFRPESTFKYPVFHAGPRMCLGKEMAYIQMKSIVACVLEQFSLRYAGDAKGHPGLVVALTLRMEGGLPMKVTIRE >ONIVA01G39080.1 pep chromosome:AWHD00000000:1:33328755:33334430:1 gene:ONIVA01G39080 transcript:ONIVA01G39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKRQMWEALARHDPATPRWLVIYYAYAMRYVEDIRGSDCEEFRLEQWMNKAGLIQDFEYPIFYIGQRMCLGKEMTYIHGFGFTALEKLMQRVALAIILPSITIQCDL >ONIVA01G39070.1 pep chromosome:AWHD00000000:1:33316696:33328707:1 gene:ONIVA01G39070 transcript:ONIVA01G39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARIWDLLSSSSSLFYRFSTSSTCTRTPRSSLVPMDSSPTLSLALCRTTHTMSFKALGLTGGVFTANPANVEHMLKTNFGNYVKGEAIITMLEDFLGRGIFNSDGEKWLWQRKAASYEFSKRTLRNFVVDTVQFEVIERLLPLLERAGRDGRTLDVQSVLERFAFDNICRVVFDEDPACLAKDSVASPHIAEFMGACNDAQNAILARFNSPIKSLWRVKRLFNIESERRLREALATIHAYTDRIIRERRERGEARGDDFLSRFAAGDKHSDESLHDVITNLVLAGRETTASALTWFFWLVSGRPDVEDNIVREIRAVRRASSSNGVTSGAAFSPHELRDMHYLHAAITESMRLYPPVSLDTYVCKEEDFLPDGTFVGKGWQVTYCAYAMARVEDIWGTDCEEFRPERWLDEAGVFRPESTFKYPVFHAGPRMPERWLDEAGVFRPESSFKYPVFHGGPRMCLGKEIAYIQMKSIVSCVFDRFTLRYTGGEGHPGLVTSLALRMEGGLPMQCRRLPPTSSPPGEIARNQATRVARIVACRASADAILRCRCFFLVAICLPPAALEYWTRRTGQSPMTDSGYVVEKDPEKSGPALRTEQGSGDAWPSANPAVRGLLRCTEGGSNARIPWRTRWRTENSMAASKPLSAFHPLPATAGFPNQFFGFPSPFLPPLVSPPTPVTTSTTVLCTELSMI >ONIVA01G39070.2 pep chromosome:AWHD00000000:1:33316696:33328707:1 gene:ONIVA01G39070 transcript:ONIVA01G39070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRARIWDLLSSSSSLFYRFSTSSTCTRTPRSSLVPMDSSPTLSLALCRTTHTMSFKALGLTGGVFTANPANVEHMLKTNFGNYVKGEAIITMLEDFLGRGIFNSDGEKWLWQRKAASYEFSKRTLRNFVVDTVQFEVIERLLPLLERAGRDGRTLDVQSVLERFAFDNICRVVFDEDPACLAKDSVASPHIAEFMGACNDAQNAILARFNSPIKSLWRVKRLFNIESERRLREALATIHAYTDRIIRERRERGEARGDDFLSRFAAGDKHSDESLHDVITNLVLAGRETTASALTWFFWLVSGRPDVEDNIVREIRAVRRASSSNGVTSGAAFSPHELRDMHYLHAAITESMRLYPPVSLDTYVCKEEDFLPDGTFVGKGWQVTYCAYAMARVEDIWGTDCEEFRPERWLDEAGVFRPESTFKYPVFHAGPRMPERWLDEAGVFRPESSFKYPVFHGGPRMCLGKEIAYIQMKSIVSCVFDRFTLRYTGGEGHPGLVTSLALRMEGGLPMQCRRLPPTSSPPGEIARNQATRVARIVACRASADAILRCRCFFLVAICLPPAALEYWTRRTGQSPMTDSVSHQDPEKSGPALRTEQGSGDAWPSANPAVRGLLRCTEGGSNARIPWRTRWRTENSMAASKPLSAFHPLPATAGFPNQFFGFPSPFLPPLVSPPTPVTTSTTVLCTELSMI >ONIVA01G39060.1 pep chromosome:AWHD00000000:1:33300345:33316641:1 gene:ONIVA01G39060 transcript:ONIVA01G39060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEDIWGADCEEFRPERWLDEVGAFRPESPFKYPVFHAGPRMCLGKEMADIQMKSIVASVLERFSLQYAGGEGHPGLVLSVTLRMKGGLPMQRGRRRRALGKAAMTTVVTATMAVTLELTMEFSSSSTSLFLLLSILPLLYFLCQRHDPKKQPHAHGLKSYPVVGTLPHFAKNKDRFLQFITEIMKRSPTHTLSFKALGLTGGVITANPANVEYTLKTNFGNYPKGELAVSMLVDFLGHGIFNSDGEQWQWQRKAASYEFNKRSLRNFVVDTVRSEVVERLLPLLERAERDGRTLDVQDVLERFAFDNICHVAFDEDPACKEDDFLPDGTFVGKGWFVSYSAYAMARVEDIWGADCEEFRPERWLDEAGAFRPESPFKYPVFHAGPRMCLGKEMAYIQMKSIVASVLERFSLRYAGGEGHPGFVLWLTLRMKGGLPMQVTTRVTSLMPKAPLTHSLSRAMKFSSTSTPLFILLLPFLPLLYFLYLYQDTKKQPAGSNGLKSYPVVGTLPHFAKNRHRFLEWSTDVMKRSPTHTMTFKALGLTGGVITANVANVEHILKTNFSNYPKGELSVSLLEDLLGHGIFNSDGEQWLWQRKAASYEFNQLSLRSFVVDTVRFEVVERLLPLLEWARRDGRTLDVQDVLERFAFDNICHVVFHEDPACLAEDSMVSSQSAEFIRACSDAQNAIIARFMSPVKSLWRVKRLFNLDPERRMRDALTTIHGYADRIVRERRARGEAGLARSDDFLSRFAAGGEHSDESLRDVVTNFLIAGRDSTSSALTWFFWLVSSRPDVEDKIVHEIRAVRSASSSGGTSSATFSFDELRDMHYLHAAITESMRLYPPVHLDTHSCKEDDFLPDGTFVGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDEAGAFRPDSPFKYPIFHAGPRMCLGKEMAYIQMKSIVACVLEQFSLRYAGGDGHPGFVLWSTLRMEGGLPMQHLRQSQLTSRKAKAPNHLTVAMELSPISASLLLILILLAFLPLLYFLYIHQDPKKKPRIHGLKSYPVVGTLPHIIKNKHRFLKWSTSIMKCSPTNTMTYKALGLTGGVITANPANVEHILKTNFDNYPKGKLNVSMLEDFLGHGIFNSDGKQWLWQRKAASYEFNKRSLRNFVVDTVRFEIVKRLLPLLEQAGLDGRTLDLQDVLERFAFDNICRVAFGEDPACLTKERMAAPQSAEFMRAFNDAQNAILARFNSPAKSLWRVKKLFNMEPERQMREALATIHGFAERIVRERRERGEAGLARGDDFLSRFAASGEHSDESLRDVVTNFVLAGRDTTSSALTWFFWIVSGRPDVEDRVVREIRAVRASSGSTDATFSFDELREMHYLHAAITESMRLYPPVAIDTHSCKEDDFLPDGTFVGKGWLVMYSAYAMGRMEGIWGADCEEYRPERWLDEAGAFRPESTFKYPVFNAGPRICIGKEMAYIQMKSIVACVLEKFSLRYASDSNERPRSVLSLTLRMKWGLPMKVTIRK >ONIVA01G39050.1 pep chromosome:AWHD00000000:1:33299115:33300335:1 gene:ONIVA01G39050 transcript:ONIVA01G39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSSTSLFLLLSILPLLYFLCQRNDPKKQPHAHGLKSYPVVGIVPHFTKNKDRFLEFTTEIMKRSPTQTMSFKALGLTGGGVITANPANVEYTLKTNFGNYPKGELAVSMLVDFLGHGIFNSDGEQWQWQRKAASYEFNKRSLRNFVVDTVRSEVVERLLPLLERAERDGRTLDVQDVLERFAFDNICQVAFDEDPACLAEDSMASPQSAEFMRAFNDAQIAVRDRFMSPVKSRWRFKRLFNMEPERRMREALATMHGFAERIVRERRERGKAGLARSDDFLSRFAASGEHSDESLRDVVTNFLLAGRDTTSSALTWFFWVLSGRPDVEDKIVREIHAVRRASGSTSDATFSFDELRDMQYLHAAITESMRLYPPVAMDTHSCKEDDFLPDGTFVGKGWLVTY >ONIVA01G39040.1 pep chromosome:AWHD00000000:1:33296981:33297265:1 gene:ONIVA01G39040 transcript:ONIVA01G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVPLDTVMALHPHPWVPAGNQAALALAGGHSSSRSGQTKPTGHQRQFAAVHARSGDDALIGGPRVNDPTRQGLIRRDANEWGMDRREEEAGD >ONIVA01G39030.1 pep chromosome:AWHD00000000:1:33288649:33290433:-1 gene:ONIVA01G39030 transcript:ONIVA01G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FUJ5] MASSPCGGFLEKAKPYFAMICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALLLERKVRPKMTWSVFLQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKVDLKKVRCQAKVAGTLVTVAGAMMMTLYKGPLMQMAWTSHVQAPHGHGAEAPAAAAAVDPSGREWFLGSLFVIIATLAWASLFILQAHTLKKYSAPLSLTTLICFVGTLQAIVVTFAMEHRPSVWAIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAGMGSFILAEKIYLGGVLGAVLIVVGLYSVLWGKHKETQEQDAAMMELPVASKGNDAEFTAATVVGDDDDAADCKKANGVKKSSSSNEQGASAV >ONIVA01G39020.1 pep chromosome:AWHD00000000:1:33278127:33280514:1 gene:ONIVA01G39020 transcript:ONIVA01G39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FUJ3] MRKYRVAGLVAALLVLHSLATPSAQAEAHRAGGEGEEKMSSDGGPVLGGVEPVGNENDLHLVDLARFAVTEHNKKANSLLEFEKLVSVKQQVVAGTLYYFTIEVKEGDAKKLYEAKVWEKPWMDFKELQEFKPVDASANA >ONIVA01G39020.2 pep chromosome:AWHD00000000:1:33278127:33279155:1 gene:ONIVA01G39020 transcript:ONIVA01G39020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FUJ3] MRKYRVAGLVAALLVLHSLATPSAQAEAHRAGGEGEEKMSSDGGPVLGGVEPVGNENDLHLVDLARFAVTEHNKKANSLLEFEKLVSVKQQVVAGTLYYFTIEVKEGDAKKLYEAKVWEKPWMDFKELQEFKPVDASANA >ONIVA01G39010.1 pep chromosome:AWHD00000000:1:33262952:33264037:-1 gene:ONIVA01G39010 transcript:ONIVA01G39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKEGGGGAGGEGGMSDSVIRKVLVSYMYVAVWIFLSFTVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRLLRVVELPSSPAMTPQLYTSSVLPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKENFKSSAMLNMLSISFGVAIAAYGEARFDARGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLAFLVIPWAFVELPRLRAVGTFQPDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKAAQADEEAGSLLQERDSHGERKSDNQA >ONIVA01G39000.1 pep chromosome:AWHD00000000:1:33252875:33255188:-1 gene:ONIVA01G39000 transcript:ONIVA01G39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0E0FUJ1] MITGSEVYQVVEAMAPLYTAAALGYGSVRWLKAFSNEQCAGINHFVALYAVPVLIFDMVSTNNVYKMNGRLIAADTLQKAVLLLGLMAWALWERSRARGAGAKAKAAVSSPLQWVITCFSVASLPNTIIMGVPLLNGMYGPVSKDLMKQIVVMQFCIWYNVIIFLYEYMAARRSASAPPPASSEGSAKISPSSPVKAAAAAADTNGNAVAADRPQEVAVNIEITEMAASTARDGVSGETTAAAKEVSSGEVAPVEEEEASAPAPSMKHVIWMAVKKLLQIPNTYASFLGLIWSLIAFKCGFSMPKIVEDSLFTIRTTAVGLSMFSSGTFIARQSRFVPCGYKIASFSMVIKFLIGPVVMLFASLVIGMHGTLLHIAVVQAALPLAVTSFVYAEEYKVHADIMSTGVILGIFISLPVTIVYYILLGL >ONIVA01G38990.1 pep chromosome:AWHD00000000:1:33245970:33246875:1 gene:ONIVA01G38990 transcript:ONIVA01G38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTRNTRQFFESSSSGGGGRTSIDEGRGVRDGGGGRVAAARGSGVNTGILDEHVLSLVFRSINWDPQAVCTAACVSRRMRAVAERVLWRELCISRAPRMVASLAGAGAGGAAPPPGRIVGGWPALAKMLFFCCGAAGPGVPGHFTRMSRFSKTSGRSFLSRRCRSDLLYVSDPCEHAVAGAGDDLGAYRGVFRGFMRSRTRACLVGRQAALDPRVRCPYCGARVWSMVAAGMVPRTAWRRLGCLEGRLEYYVCVSGHLHGNCWLARLTSSEGEHDAGSGSDSDASTQGGGSDDDGHVAL >ONIVA01G38980.1 pep chromosome:AWHD00000000:1:33240127:33240540:-1 gene:ONIVA01G38980 transcript:ONIVA01G38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARAESPAAPVVVPAAASPEKRVLSGDAGREEERPEPKRRRACVAALDSVPCAAPPLVDGDGSSFSFQHARGGFVVLETTPKFGSFNPPAAAAVGPKPAPPAGAGQGSPEEEGGPAREEAEGKDGNSQLVGPGV >ONIVA01G38970.1 pep chromosome:AWHD00000000:1:33234539:33240612:1 gene:ONIVA01G38970 transcript:ONIVA01G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-(cytidine 5'-phospho)-2-C-methyl-D-erithritol kinase [Source:Projected from Arabidopsis thaliana (AT2G26930) TAIR;Acc:AT2G26930] MACSTHLLSQSLYPLNRANPAAARGHLRFQASPSVRLGSGTSRRRALGLRVAASAEQGRRQVEVEYDLQAKFNKLADQIDQNAGITRLNLFSPCKINVFLRITGKRPDGFHDLASLFHVISLGDTIKFSLSPSKSKDRLSTNVAGVPVDESNLIIKALNLYRKKTGTDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGCIASEKELQEWSGEIGSDIPFFFSQGAAYCTGRGEIVEDIRNPLPANLPMVLVKPPEACSTAEVYKRLRLEHTSQTDPLVLLKEITENGISQDACVNDLEPPAFEVLPSLKRLKKRIIAANRGDYDAVFMSGSGSTIVGIGSPDPPAFVYDDDDYKDTFVSEACFLTRNENEWYREPISSKITSEEDLPPEVASVSD >ONIVA01G38960.1 pep chromosome:AWHD00000000:1:33229769:33234346:1 gene:ONIVA01G38960 transcript:ONIVA01G38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNDKRTTTSRATVIRAGARRKVERKKSRKPGAQGEGSDGGCSSTLSCVSLPLPTGHHRRSPSIPRAIAASSLVLPLPPHPGEHILRHRRLLRSPSIARASEGRRRSSCCFRRIDKMPNRATHWCYACRRPIRVSGQDITCPNCNDGFIQEISEIGGSLNTYGIFDPSFDERRDRSFGMVEAMSDLMRQRMAEMGRNRVLDFHGTRGASSHQGRQPTVRPMLIFGSNAPDRVSSSSEEADILLRQGRRIGADRPNFSRFLVGPSLEALFEQLLLHNNRQGPPPAPQSAIDSMPVVKINLRHLRDDPHCPVCTDKFEVGTEAREMPCKHLYHAECIIPWLVQHNSCPVCRHPLPSSSHRSGSTRSSSTHSNEAVSHGVARSDADPVPVPVARSDDSRNHEMHGSFSFLWPFDSPTPDSSSYTHEGGVGEPTVHDDAGQMTYSEWHYDY >ONIVA01G38950.1 pep chromosome:AWHD00000000:1:33226973:33227464:1 gene:ONIVA01G38950 transcript:ONIVA01G38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGENNIEEELRKTRKPLGNREAVRKYREKKKAHAAFLEEEVKKLRTTNQQLLRRLQGHISLEAEVVRLRALLFDIRGKIDAEIGTFPFQKQCSFGSVTCTDHSPCFNTSTEVAVREESSRPTIVDCGIDGTGIISHELDIPKMVNSVDVIPSFVNSASLTE >ONIVA01G38940.1 pep chromosome:AWHD00000000:1:33221567:33224424:-1 gene:ONIVA01G38940 transcript:ONIVA01G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: mucin-related (TAIR:AT2G02880.1); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (sou /.../CBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G62270) TAIR;Acc:AT5G62270] MLALRKTLLHGRLPAAPPAAAAAAIASRIPALLRRLSSSPGDGQGGDEWGSSWSTGITKEHFDGSDAAVGRPVTSPSKPVSPELAAVRAMDEEDEIFRAMERDNREAKAYVDSWGDRMRETCELLKQVREPGSRGSYLKDSEKQEMYRLHKEDPETYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKLGKPLDDSVEVLLDSCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQMLYEEFVQRLQFNKKKVAGEVKCHKYSRRRPDDGWTYMVEKLGVQSKRGSGGGWKFASLPDGSSRPLNDMEKMYVKRETPKRRRRIMAPFK >ONIVA01G38930.1 pep chromosome:AWHD00000000:1:33216771:33220627:-1 gene:ONIVA01G38930 transcript:ONIVA01G38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:A0A0E0FUI3] MRHRPSKQRPPEPDAAPRCHATTREILVPIRHATVTVTSANTGSTAPSDPFHQPTLPPRLPPRPVPSAVPHRTRTTTTSLSIPPDPVRFRFASFAGRDASSGGATGGKRPGAVPAAKPSQAASVSPADSDSSAKPTSSHQKLADDSSDRVTCWATTREGGEVEEGAMGGAEDAPRAAAANGHGNGATVEEKLDELRRLLGKADGDPLRIVGVGAGAWGSVFCALMQDAYGHLRDKVQVRIWRRPGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEGRLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTDTREVFGEIGRYWKERITAPIILSLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPEANRSVAPVEMCPILKALYKILIKRELPPNSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPKGDTKA >ONIVA01G38930.2 pep chromosome:AWHD00000000:1:33216771:33219929:-1 gene:ONIVA01G38930 transcript:ONIVA01G38930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:A0A0E0FUI3] MGGAEDAPRAAAANGHGNGATVEEKLDELRRLLGKADGDPLRIVGVGAGAWGSVFCALMQDAYGHLRDKVQVRIWRRPGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEGRLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTDTREVFGEIGRYWKERITAPIILSLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPEANRSVAPVEMCPILKALYKILIKRELPPNSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPKGDTKA >ONIVA01G38920.1 pep chromosome:AWHD00000000:1:33213927:33216129:-1 gene:ONIVA01G38920 transcript:ONIVA01G38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLPINRIKDRRRTGGGRRGVGKEIQFSDSFCYLCCRALQKIGEMDAVLVTAAIFGLLLCGCSVSGVEGIGVNYGMIGNNLPSPDKVIALYRASNITDIRLFHPDTTVLAALRGSGLGVVLGTLNEDLARLATDASFAASWVQSYVQPFAGAVRFRYINAGNEVIPGDEAASVLPAMRNLQSALRAAGLGVPVTTVVATSVLGSSYPPSQGAFSEAALPTVAPIVSFLASSGTPLLVNVYPYFAYSADPSSVRLDYALLSPSTSAAVTDGGVTYTNMFDAILDAVYAALEKAGGQGLEVVVSETGWPSGGGGAGASVENAAAYSNNLVRHVGRGTPRRPGKAVETYIFAMFNENQKPEGVEQNFGLFHPDMSAVYHVDFSA >ONIVA01G38910.1 pep chromosome:AWHD00000000:1:33195541:33197658:-1 gene:ONIVA01G38910 transcript:ONIVA01G38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRSGYRDRGGGEQGRYWRPPRSHGNGNFSVPLWEKKFCTDACAIPWGKLCETKKLMSLYKNVVDWDDSAALETFNDAKARFCAVYHGQPCDIPLPDPNMYIDMVNQDEHVDPELVADLEKSRRSYPKRDNTAPDGWDSFIFTDKPVPATGWGDGETSNTPGQQYPTNWNNHVKQPTEANCMQSSVNWDNYVSQPPQATVQQSSGNWDMYVKQQDQANNWEAPTMPGTWDMKGDSLDAWKRDSGWGSAAIDSWDNHRENCYVPDSQGWSYGHCKRRNNESSRRNSRGRDRVGPISSKAMKPKYHSEERNGANNGWRHCRVRNNMQYSYENPGCNQSLAM >ONIVA01G38900.1 pep chromosome:AWHD00000000:1:33184630:33197040:1 gene:ONIVA01G38900 transcript:ONIVA01G38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRGGSLFRLYGCGCGLPSANFSPSKLALIRLSLMMAETRATYSRRAASKNTDIKKDDEHVLEKEDVAESKLEIEQLRNDPDRLQSMTVKELREITRMMGIPVKGNKKDLVSALMDSLGKVGTSSVEKIGVSDVPSKRKGASVVVEQNIESSEVISETPSKRSRAKNKGTAEESSGANVKQSKTSVQKKKLVVQGASVDHEEPWTVLVHKKPQPAWIPYNPKVMRSPSLSKDTKALKILSWNEKDVEVIKEGLLEGYTHSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDTEGRVVTVEFNDFYLLTAYVPNSGDGLKRLTYRVTEWDPSLGNYMKDLEKSKPVILTGDLNCAHQEIDIHDPAGNRRSAGFTIEERESFETNFLSKGFVDTFRKQHPNVVGYSYWGYRHNARKTNKGWRLDYFLVSESIAERVHDSYIIPDISASDHSPLGLRFVFFSFPQLCAFAQPRNLVCPRCWMSAIRASSHRLQTRTVALTRTKMSSMAGLGASQHGYPPRSHEPWTKLVHRERLPEWFAYNPKTMRPPPLSHDTKCMKILSWNINGLHDVVTTKGFSARDLAQRENFDEKDVEKFKNLIADYDSYWSCSVSRLGYSGTAVISRVKPISVQYGIGIREHDHEGRVITLEFDGFYLVNAYVPNSGRFLRRLNYRVNNWDPCFSNYVKILEKSKPVIVAGDLNCARQSIDIHNPPAKTKSAGFTIEERESFETNFSSKGLVDTFRKQHPNAVGYTFWGENQRITNKGWRLDYFLASESITDKVHDSYILPDVSFSDHSPIGLVLKL >ONIVA01G38900.2 pep chromosome:AWHD00000000:1:33184630:33197040:1 gene:ONIVA01G38900 transcript:ONIVA01G38900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRGGSLFRLYGCGCGLPSANFSPSKLALIRLSLMMAETRATYSRRAASKNTDIKKDDEHVLEKEDVAESKLEIEQLRNDPDRLQSMTVKELREITRMMGIPVKGNKKDLVSALMDSLGKVGTSSVEKIGVSDVPSKRKGASVVVEQNIESSEVISETPSKRSRAKNKGTAEESSGANVKQSKTSVQKKKLVVQGASVDHEEPWTVLVHKKPQPAWIPYNPKVMRSPSLSKDTKALKILSWNEKDVEVIKEGLLEGYTHSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDTEGRVVTVEFNDFYLLTAYVPNSGDGLKRLTYRVTEWDPSLGNYMKDLEKSKPVILTGDLNCAHQEIDIHDPAGNRRSAGFTIEERESFETNFLSKGFVDTFRKQHPNVVGYSYWGYRHNARKTNKGWRLDYFLVSESIAERVHDSYIIPDISASDHSPLGLADKNCGINKDKDVKHGRLGSFSAWISSPKS >ONIVA01G38900.3 pep chromosome:AWHD00000000:1:33192548:33197040:1 gene:ONIVA01G38900 transcript:ONIVA01G38900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPLSHDTKCMKILSWNINGLHDVVTTKGFSARDLAQRENFDEKDVEKFKNLIADYDSYWSCSVSRLGYSGTAVISRVKPISVQYGIGIREHDHEGRVITLEFDGFYLVNAYVPNSGRFLRRLNYRVNNWDPCFSNYVKILEKSKPVIVAGDLNCARQSIDIHNPPAKTKSAGFTIEERESFETNFSSKGLVDTFRKQHPNAVGYTFWGENQRITNKGWRLDYFLASESITDKVHDSYILPDVSFSDHSPIGLVLKL >ONIVA01G38890.1 pep chromosome:AWHD00000000:1:33179358:33183588:1 gene:ONIVA01G38890 transcript:ONIVA01G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAENKEMPAPLTIGIRLRGVLPIPGCSARGTSILVAEVEIMVSIEERFVREVNFWVMQPDYRPSNSSCEMRVSLLAFRLCRSHFPSALGLLHLLDADGGADARGPHHPTRPHTATSPGNRALQPPGLAIDIEADSNNVLSFAVTARDAGPKNSVFQFGVDTGDMNEAVGRAERWRVRLTAVLARVEPGFAPAAFMMIDPVPEELEIPKADEIGMGVCVYAGLPAPGGCDDWGDAIPEARMEIKVTGEKRFVQEVNFGVTLLTSDSDSDGRLIDMLACAAVEADGSVSRARKRPRIGVSAEPARPTAPMLGSEPPWLVLRLVGPFLDAESLAAASCVSTACREAFTAEDLWSKLLRSQYPSALGLLPMQGNGDDASGRSSSPYRRLFALFRSASARRRALPPPRLALDDVTFAIDIFAASGENTLSFVVAARDAIAKTGRFQFEVDLTGRNAAVGRGEFWSVRWTALRAGLIGFAPLAVEMMDAKAPAARARALFGGATGETWATGCLPAPGCGGATVEAEVVFEVSGEERLLEKVRFGVMAQCRYVSIDDGLRYLQHFLL >ONIVA01G38880.1 pep chromosome:AWHD00000000:1:33174407:33175580:1 gene:ONIVA01G38880 transcript:ONIVA01G38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLYGLFWVMALVLATVAGTKSDEGCSRDLQDLIMECQKYVMNPANPKIEPSNACCSVIQKANVPCLCSKVTKEIEKIVCMEKVVYVADYCKKPLQPGSKCGSYTIPSLQQ >ONIVA01G38870.1 pep chromosome:AWHD00000000:1:33169534:33172762:1 gene:ONIVA01G38870 transcript:ONIVA01G38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFAVCFVILSFAMAAAAARPATSSTADAPATSGDCSSDVQDLMANCQDYVMFPADPKIDPSQACCAAVQRANMPCVCNKVIPEVEQLICMDKVVYVVAFCKKPFQPGSNCGSYRVPASLA >ONIVA01G38860.1 pep chromosome:AWHD00000000:1:33160473:33167708:1 gene:ONIVA01G38860 transcript:ONIVA01G38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FUH4] MARLLLLLVLIGAICAAAAASSPLLPAAETMRVSFAGKSEFRTVNRKPLGTCLDPSPYLEISVSTGGAPLPDEAFLNVTVSGVRRPDRSHWLAMITPSNSSVLGCPLNGVNYIETGDLASLPLLCHYPVKAQYLTSDPGYLGCKASACQKRRASGTCKVRTCAATLAFHVINFRTDVEFVLFSGGFATPCVLKRSGALPFANPAKPLHGHLSSVDSKATSMRLTWVSGDARPQQVQYGTGKTATSVATTFTHKDMCSIAVLPSPAKDFGWHDPGYIHSALMTGLQPSHSYNYRYGRDYAGSGSVYPTPDSGGECGVPYESYFPMPASGRDKPWYSIEQGSVHFVVMSTEHEWSEKSDQYNWMEMDLSSVDRSRTPWVIFIGHRPMYSSSSGIPPSVDPNFVSSVEPLLLNHKVDLVFFGHVHNYERTCAVYQGNCKGMPKKDAKGVDTYDNSNYAAPVHAVVGAGGFNLDGFPKIGLHSWSLSRISEFGYARVHATKTDMLVQFVNSNTSAVQDQFRIVKGAR >ONIVA01G38850.1 pep chromosome:AWHD00000000:1:33156973:33158295:1 gene:ONIVA01G38850 transcript:ONIVA01G38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLQHLAAGELVTALRGASCPSSALRLYSLIRIHARPSDPALFAWRPAVLALKPLSAAASLPLLSHFHAHLIRSNLLAYPHVASSLLRGYSLLSPEHAHHLFDQIPPSTCNLVVVNVMLGSLCRSSDLASARAFFDGIPDKDVVSWSTMLACYFSHSRVAEGLAFFRTMTFTTQLAADYVMLVTVLTGCASAGLLPVSCRAIHGYIVRRGITFTMHLGTALIDCYAKAGRLDYASRVFCRVPLRNVMHWTAMICGSAAHLGSEKAIQLFEEMCRSGVQPNEMTFTAVLSACGQAGLVDQGRRFFKLMVDTYGFEPTIHHYGCIVDLYAKAGKLEDAYEVIKTMRMEPNIIIWTSLLAACKKFKNFYIAVEGIEKVLSMEISEENGGLYALISDLYAMGGQWEDVLRVRSLMEERNVWKIRGSSSIKVGEPQDFTFPAVS >ONIVA01G38840.1 pep chromosome:AWHD00000000:1:33150335:33154469:-1 gene:ONIVA01G38840 transcript:ONIVA01G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATHDLAALGAADLVRVSASIPRAAPRTFALLTAGLVFPLSAAVLVHSLFTHPIILRLQGANGDYSSSDSAQWLKLFAYQFLYLILLFTLSLLSTAAAVFTVASLYAAKPASIASSLAALPPILPRLLRTFLWVSLLMLAYHLVFALTVLLLLLLFAPSSSPPSLPFVLILVVVVFVFLAIHVYISALWHLASVISVLEPLCGLAAMTKSKQLLQGRTRTAGVLVVSYFAACGVTAVLFRAAVVKGRGEEGSLGLSLPGRVLAGAVLVSVLVCVNLLGLLVQSVFYYACKAFHNQQIDRSALYEHLGGYLGEYVPLKSNIQMENLDA >ONIVA01G38830.1 pep chromosome:AWHD00000000:1:33147978:33150772:1 gene:ONIVA01G38830 transcript:ONIVA01G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FUH1] MASVAATTFPSMARPAARGIVAGAAASTVPLPRAGVASPCPTARSLGFAARGTDPRLAIHVSSRRRAASASAGSRLARAVATMAKKSVGDLAAADLEGKRVLLRADLNVPLDASQNITDDTRVRAAIPTIKHLIGNGAKVILCSHLGRPKGITPKFSLAPLVPRLSELLGIQVQKADDVIGPEVEKSVSVLPNGSVLLLENVRFYKEEEKNDPEFAKKLASLADLYELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGFPVGASLVEDDKLELATSLLAKAKEKGVSLMLPTDVIVADKFAPEANCQVVSAYAIPDGWMGLDIGPDSVAAFSSALETTQTVIWNGPMGVFEFEKFAVGTEAIAKKLAELSGKGVTTIIGGKDSVAAVEKVGVANVMSHISTGGGASLELLEGKELPGVVALDEA >ONIVA01G38820.1 pep chromosome:AWHD00000000:1:33145297:33145506:-1 gene:ONIVA01G38820 transcript:ONIVA01G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGHLRVFAVFLVVQVYLLAMMAAPWTVQAGPVVSLLSNVCCSMHIAGCCPAIAGGGGGSGDAAKAKP >ONIVA01G38810.1 pep chromosome:AWHD00000000:1:33143058:33143267:-1 gene:ONIVA01G38810 transcript:ONIVA01G38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGNLRVFVVLLVVQVCLLALLATPWTVHARSATAMFPANCPCPRFAECCRAAATGRHGQLATKSNP >ONIVA01G38800.1 pep chromosome:AWHD00000000:1:33141213:33141407:-1 gene:ONIVA01G38800 transcript:ONIVA01G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSLHAFVVFFVVQVCLLVVMASSSSWTVQGRPLALESVPACCFYHPDCCQAAGADPAIADP >ONIVA01G38790.1 pep chromosome:AWHD00000000:1:33133544:33136301:1 gene:ONIVA01G38790 transcript:ONIVA01G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRALLVGINYPGTKAELKGCHNDVDRMHRCLVDRFGFDEDDIRVLLDRDSSGTQPTGANIRRALAQLVGDARPGDFLFFHYSGHGTRLPAETGQNDDTGYDECIVPSDMNLITDQDFRELVQKVPNGCLFTIVSDSCHSGGLLDSAKEQIGNSTRQNQTQSREREEPSDSGSGFRSFLKETVRDVFESEGIHLPRSRHSQSHYGGEDQDETYAQPTDGHTKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFNIFGDDASPKVKKFMKVMLGKFQQGQSGEQGGLMGMVGSLAQEFLKVKLEGNEEEAFKPAIEQEVHSVDEVYAGNKTWAPNNGILISGCQSNQTSADATTPQGSSYGALSNAIQTILADKRGNVSNKDLVMKARSLLAKQGYTQQPGLYCSDDHVHVSFIC >ONIVA01G38780.1 pep chromosome:AWHD00000000:1:33116494:33120543:1 gene:ONIVA01G38780 transcript:ONIVA01G38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAGVAQIDGRPVLQPAGNRVAAPEAARPLKKSLQKSLSMPASLDNAAAATTCAASPENTRAADFARAAAASLLPPPTPASVSAKATRVSGAKVAAARTAAAAAAMGGLDRSRKPAKKGGAAVLPVVTFAGLEAYEPAGSIAAAQREHVAMAQAQRKMRIAHYGRTASFSRVEGKVSATATGAAELVAGAVTGHDEKRCSFITPYSDPLYVAYHDEEWGVPVRDDELLFEMLTLSGVQVGADWTSILKRRHVYREAFSGFNVDAVAKYTEKQMASLSAEFGLDLGTIRGAVNNACRISEVRRDFGSFSKYVWAFVNNKPLSPSYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVIHSFMQAVGLTNDHLVSCPRHRVCCSSSA >ONIVA01G38770.1 pep chromosome:AWHD00000000:1:33100062:33108028:1 gene:ONIVA01G38770 transcript:ONIVA01G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIQDVLRLLVRPEVGSILIEGIGGLGKTWAAKAAYQAARANNLFDEYIWISLSINCSLRQCIDKITACLSCEIREDLSVQRTTTMIKEYLTKRKFLLVLDNAYFTEENILEHMGIPHPRQQNIGSKVIVTTRTRRTAGAMRPHGPDTVIMPQPLTYEESYNLLCTKIGKDVGSSYTLDLINNCYGIPLSVILLAGVLCDVPSQDTLNELVRNACVTLGSKVSVFHTMQRLVKFAYHQLPDANARHCFLYCLLFPEDQGIPVNDLIRFWVMDGLITQSIEFHEASCIGKEILDVLLKRCMLYMDGNDHVRMHDVIRETVSGFGKVNGYREQHDFKFGNPARKLECLAKLSTRVSLMSTEMEYLDGSVRCFWLTSLFLRGNRHMKYISEELFCHMEMLGILDLSFTGIKILPRSISCLTRLRILLLMGCDHLEEIQHIASLAQLEVLDASSCRSLRSIESGSFGHMGMLGILDLSFTGIKILPRSISCLTRLRILLLMGCDHLEEIQHIASLAQLEVLNASSCRSLRSIESGSFDHMMLLKLLDLSTTSIKCLPSLPASRELCHLLLQNCPYVGSENTIKSDGILSDTELIRFPYGVSKTGAIQNLQLGRIGDLSDLMAMLWLPCGLTFQLCDMFNMGVLFSDNEDSKTFVYASDTYFFHSLKKDSPLWLNGFQRFQIIISPLKDDQALDTDAQLMKADFIFRSSYFKTKHFTHSIDLDKFLEINGTFDVPSETEGILGHAELVSLKRLATTRSSDLNITSMEAVRELWIENCSQLESLLSVDEIEILSAWGNLHNLWISNLERLSSLLEGVKDVVSFSCLKHLLIDCCPNLKWIFPSMVCLPNLETMHVKFCDILERVFEDDSVLGDDALPRLQSLELWELPELSCICGGTLPSLKNLKVRSCAKLRKIPVGVDENSPFVTTIGETFWWDCLIWDDESIKRWILFRKWGPMLPYLATEG >ONIVA01G38760.1 pep chromosome:AWHD00000000:1:33096308:33098215:-1 gene:ONIVA01G38760 transcript:ONIVA01G38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERALDEVVSPFLMQLSKARLLFLKLDEDSSFLEIKHLFQNIEKEAYEVENILQRVSRWENEIIDDFGGIARYLDDIVEEESHLNSICLKLQIVNAEMSNLKDRMKLPLHVPVIKPSVPPLLPASSPAKLLPANLSKQIKYLCLRGISRITALPASIGELSNLMILDLHACHNLERLTESITSLQMLTHLDVSECYLLEGMPRGIGLLTELQVLKGFVIGGSTSNYNCRVAELVRLEKLNKLSVYIGSKVAVTGDELNELENIKGLRSLTITWAVSVLSKGKDQQASVATAMLTSLSLPPNLKKLDLRCFPGKKIPSWLSPSKLLGLKKLYFTGGMLNTFGDGSTSEMWKVEILRLKFLDDLEVEWTQLHEAFPNLTFLEVFRCSKLESFPCDKDGVWMNCDKQEVR >ONIVA01G38750.1 pep chromosome:AWHD00000000:1:33094859:33096052:1 gene:ONIVA01G38750 transcript:ONIVA01G38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVQFLVRKYVDSLAEEEAAAELPFRAHFYDVKAELEKAAISSTNADELRQCLYELNDLLAECRMLASRTNTRPGCFSPSEAWRSNRVKTRVIAVKRRVLRCVESDSSGNAAALEEEDSAAAGFSRWTTSWLEEGTVHGFDQQLAELESMAFGAECGAGGLTGVGIVGMGGVGKTALAQLVFNSPRARRRFFPRIWVCLSRTACAGADVRKEVLQSMLMALGLEEEVILSMDGGNGLGEMVFAVHEQLKGKRYLVVFDDVWNVDGWYADVVGRRNASPTGEEWGERLAFGLPKERGGVVVVTSRLEQAAETMVGKSSLHRVQPLADGESCWAIFMDAFSKERRPADVTTVNNMKDEIIDTCGGLPSAAKTLGDIFARSLSSPASTSSQELSKNR >ONIVA01G38740.1 pep chromosome:AWHD00000000:1:33092386:33094539:-1 gene:ONIVA01G38740 transcript:ONIVA01G38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTMAGMVALWNEWEIRVLVLSSLALQVFLLFSAVIRKRNVSAVLGLLLWLAYLLADSIAIYALGYLSQTRVPRGVDVRSFRNTHRIQAFWAPFLLLHLGGQDTITAFSIEDNELWKRHLLSLLSQVALAMYVFAKSRPGADILAPAVFMFLSGILKYGERTWALKCASMDNLRSGMVTTPDPGPNYAKFMEEYRFTREAGLQAEIVIEPERRGGGVTAAAIAEESVPYTTIITDARRFFVTFKRLFVNLILSFQDRTRSQATFLRLTPEQAYKIIEIELSLMYDTLHSKAAVIHTWYGRLFRCVTLLSTSAACLLFNLLDKDRYESHDTRVDIFITNLLFGGALCLEVYAIGMMLISYWTYAALQGCNCRTLSHLLFKSIKYFRPESRPKWSNLMAQHNLISYCLHDRATLLTKVITMVGLKGHWDSWMHIQHIDVLPELKTLVFRELKDKAVSIVDNAESYRKFSNHRGQWALQCKGYYKELGWSVEVEFDESILLWHIATDLCFYYDIDGSDGDAKLTEYVGISRAVSNYMLFLLVARPFMLTAGIGQIRFGDTCAEAKIFFEREMALPDERAAAAMVLEVNAEIAPRDVKGDRSKSVLFDACRLAKSLLELQPGKRWRLIRVVWVEILCYAASKCRSNFHAKQLSNGGELLTVVWFLMAHLGMGEQYRIEAGHARAKLIVEKN >ONIVA01G38730.1 pep chromosome:AWHD00000000:1:33091387:33091965:-1 gene:ONIVA01G38730 transcript:ONIVA01G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTPDADPGRDTFTCGTLFMCLNLRGLFKKKPEEVGKSRRQSQEQDQDQAAAVDAETEQEPQYVPAPAPIRAASFEKLERSPPYSGSNIAFDLLVEPELGEDRGARQVLAYCPSPCFDLPAGLMMRAGERCDEPGTAGFVLDGCPTKGALKKVASCLPPDVAGGDGEARPPHLVRFLSTSGSTAPANGGLP >ONIVA01G38720.1 pep chromosome:AWHD00000000:1:33089734:33091047:1 gene:ONIVA01G38720 transcript:ONIVA01G38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANRRAHMSSLRLLPEKPTRSALSSTPQRRAGNGGGEAGADGVKCTALCLYLPRLLKKKRAAVQPATMSAAPTSAQKAKAKAKKEVPSAPRVSSRWPSSLPRAVSAGAAGRTSSASSQLREASASASASFSFSHWSRSQASRVRPHGAAPGPFSFPSSPASASSGTSTPKLAHGGILTELLGLLYSSSHEGLHYLQRYLQLYPPKDAITIRRKNILYYRRYASTGSSKSSRS >ONIVA01G38710.1 pep chromosome:AWHD00000000:1:33083192:33085572:1 gene:ONIVA01G38710 transcript:ONIVA01G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a chloroplast protein that induces tolerance to multiple environmental stresses and reduces photooxidative damage. [Source:Projected from Arabidopsis thaliana (AT5G44650) TAIR;Acc:AT5G44650] MALLSPPSPPPPLPPLRRRPASPTLLAVATRPSSLLSLPHCHCGLPLPSTANARAYSRSSRRRRRVAASLGQDEPGVSDTAVAPEGEGDSEPRASSDGAAGDIASSAEQPEASPEDLEDIRQVKRVLELLQKNRDMTFGEVKLTIMIEDPRDIERKRLLGIEDPDEITRDDLADALVEVNEGRIPENRVALQLLAKEMTEWPDLEMEAPKKKSKPGKSVYAKATDTGIDPETAAKRLNIDWDSAADLDDEEEEDDETEVPSAVGYSALYLLTAFPVIIGISVVLILFYNSLQ >ONIVA01G38710.2 pep chromosome:AWHD00000000:1:33083245:33085572:1 gene:ONIVA01G38710 transcript:ONIVA01G38710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a chloroplast protein that induces tolerance to multiple environmental stresses and reduces photooxidative damage. [Source:Projected from Arabidopsis thaliana (AT5G44650) TAIR;Acc:AT5G44650] MALLSPPSPPPPLPPLRRRPASPTLLAVATRPSSLLSLPHCHCGLPLPSTANARAYSRSSRRRRRVAASLGQDEPGVSDTAVAPEGEGDSEPRASSDGAAGDIADIERKRLLGIEDPDEITRDDLADALVEVNEGRIPENRVALQLLAKEMTEWPDLEMEAPKKKSKPGKSVYAKATDTGIDPETAAKRLNIDWDSAADLDDEEEEDDETEVPSAVGYSALYLLTAFPVIIGISVVLILFYNSLQ >ONIVA01G38710.3 pep chromosome:AWHD00000000:1:33081915:33083232:1 gene:ONIVA01G38710 transcript:ONIVA01G38710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a chloroplast protein that induces tolerance to multiple environmental stresses and reduces photooxidative damage. [Source:Projected from Arabidopsis thaliana (AT5G44650) TAIR;Acc:AT5G44650] MEVAECSEKLQFLKASASASMAYSIVQFPVKWQSIKYKLQKLCSNFQPEILNVPGDDGSCNEHVILVQFLQTAMATVSHIQAIASQCSDESYNGGRLPPPRARRSSPGARTHCPCPAAPPSAAARTQLLHLDATARSTPPRCGALLPRLRCRVARHRPPTFSSCPASHCQPPLHSLKSPASSSFAACSYPSAARGAETSPLSPTGAQYSRRRAEAAPRRGRRETRVAERRWALASCNGALTAAWGPQGEPRGAAPSSSSQTVRPRASGDAPDPTR >ONIVA01G38710.4 pep chromosome:AWHD00000000:1:33081426:33082309:1 gene:ONIVA01G38710 transcript:ONIVA01G38710.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a chloroplast protein that induces tolerance to multiple environmental stresses and reduces photooxidative damage. [Source:Projected from Arabidopsis thaliana (AT5G44650) TAIR;Acc:AT5G44650] MSPTPRTSFDPNRSAGMEVAECSEKLQFLKASASASMAYSIVQFPVKWQSIKYKLQKLCSNFQPEILNVPGDDGSCNEHASPATRAPLLPRRPHPLPVPGCSPIGRRPHPTAPP >ONIVA01G38700.1 pep chromosome:AWHD00000000:1:33060504:33075006:1 gene:ONIVA01G38700 transcript:ONIVA01G38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLAAQRIHSSMRLLEIGVLCGALSAAEGDEPARQPKENREAGGSGTRGADPSPHLLFLANTTSPPNQTNTTFPHPCYSRIRNPHPKILATSAPRVLAAGQRYHLAVQAAIALSPYLPATQRRFVVVELAAPQLSPGAIVLHHLPADTVPAAAVVFSWIHRPTPSPTISSTAISSLLMAAARRLLHTSPVGTAMLYPLLLPLHAVNCSFSLHMVHPKLPEYMPCGTSYFLRARVDDIAICMTVPFGYMPRMASVCTPSLRSNTICLEGLHYSR >ONIVA01G38700.2 pep chromosome:AWHD00000000:1:33071044:33075006:1 gene:ONIVA01G38700 transcript:ONIVA01G38700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPSIWVWVEQVCQPLHAVHSHEKVSSIKMNEHPATCALLHLLPYEDEAGSSWMECFIGCFKEWEVKNVTSNPIVLGGNIEKICTSSFFVQLKWCLMTAHKNLTLALCQALWLLSNLHINIVPSFGDMGALGIDLCRRSRLRWPHGDGLHASGLADGRDVQPPCWPHAVVLLRHRPRERLHHAAVGVAHSPWASSPPAPDLATGGPDLPHTTPAACSRVRRAPLGLLRAPSRRHERL >ONIVA01G38700.3 pep chromosome:AWHD00000000:1:33071044:33075006:1 gene:ONIVA01G38700 transcript:ONIVA01G38700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPSIWVWVEQVCQPLHAVHSHEKVSSIKMNEHPATCALLHLLPYEDEAGSSWMECFIGCFKEWEGGNIEKICTSSFFVQLKWCLMTAHKNLTLALCQALWLLSNLHINIVPSFGDMGALGIDLCRRSRLRWPHGDGLHASGLADGRDVQPPCWPHAVVLLRHRPRERLHHAAVGVAHSPWASSPPAPDLATGGPDLPHTTPAACSRVRRAPLGLLRAPSRRHERL >ONIVA01G38700.4 pep chromosome:AWHD00000000:1:33060504:33070309:1 gene:ONIVA01G38700 transcript:ONIVA01G38700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLAAQRIHSSMRLLEIGVLCGALSAAEGDEPARQPKENREAGGSGTRGADPSPHLLFLANTTSPPNQTNTTFPHPCYSRIRNPHPKILATSAPRVLAAGQRYHLAVQAAIALSPYLPATQRRFVVVELAAPQLSPGAIVLHHLPADTVPAAAVVFSWIHRPTPSPTISSTAISSLLMAAARRLLHTSPIRCFFHSMRYTCEIRELYGSADADADARPTHTARFDSHAHAHALPPLAGRQPVSPTALYSLVSPLNFLLLRRLLFMRTRPKAGWCVQSKGPHGGWQVGRRRCAQNATQRLY >ONIVA01G38700.5 pep chromosome:AWHD00000000:1:33060504:33066148:1 gene:ONIVA01G38700 transcript:ONIVA01G38700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLAAQRIHSSMRLLEIGVLCGALSAAEGDEPARQPKENREAGGSGTRGADPSPHLLFLANTTSPPNQTNTTFPHPCYSRIRNPHPKILATSAPRVLAAGQRYHLAVQAAIALSPYLPATQRRFVVVELAAPQLSPGAIVLHHLPADTVPAAAVVFSWIHRPTPSPTISSTAISSLLMAAARRLLHTSPIRCFFHSMRYTCEIISLLS >ONIVA01G38700.6 pep chromosome:AWHD00000000:1:33068909:33070335:1 gene:ONIVA01G38700 transcript:ONIVA01G38700.6 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRRRYDIASDIGPPNYLRQRREGRGSDESCMARPTPTPTLARPTPRDSIRTPTPTHFRRSRVDNHLSFKLPVAAAPSVYADTAKGRVVCPEQRAARWVAGWQAPLRTECNTKALLTVWGLGIG >ONIVA01G38700.7 pep chromosome:AWHD00000000:1:33070026:33070335:1 gene:ONIVA01G38700 transcript:ONIVA01G38700.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTPTPTLARPTPRDSIRTPTPTHFRRSRVDNHLSFKLPVAAAPSVYADTAKGRVVCPEQRAARWVAGWQAPLRTECNTKALLTVWGLGIG >ONIVA01G38690.1 pep chromosome:AWHD00000000:1:33057291:33059217:1 gene:ONIVA01G38690 transcript:ONIVA01G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLTCQRFFFSLFPSPSLSLGEQGPRLAGEDKHLSKWTDADVDEFIASDPVYSPQLKAMRESRKSALGGALVGGAHLGGIALKYSKAPHDIITNRDLSNQLLFCAVRAAVLRVRRGGEVGVRRRRHDEEKNALQTAVAYNAEADAWVPLPDMATERDEARGLYVGGVFVVVGGGGDGARSGSHDGAASAVHALLLAAASTMYGVGGGGGFNAPSTAFARRPQPGG >ONIVA01G38680.1 pep chromosome:AWHD00000000:1:33047057:33047666:1 gene:ONIVA01G38680 transcript:ONIVA01G38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGIDWDVRARRGHRLALLTGWAPAHASYSSAEERRMAWPGQWRTRKGAFSRVLSLCARSVSTFNLARTPSKIRRTDHSYLEFGISLYEQSLNDTAVEFGS >ONIVA01G38670.1 pep chromosome:AWHD00000000:1:33043965:33044672:1 gene:ONIVA01G38670 transcript:ONIVA01G38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAATVEKVAVAPPTGLGLGVGGGVGAGGPHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREFRGAKAKTNFPFASQSMVGCGGSPSSNSTVDTGGGGVQTPMRAMPLPPTLDLDLFHRAAAVTAVAGTGVRFPFRGYPVARPATHPYFFYEQAAAAAAAEAGYRMMKLAPPVTVAAVAQSDSDSSSVVDLAPSPPAVTANKAAAFDLDLNRPPPVEN >ONIVA01G38660.1 pep chromosome:AWHD00000000:1:33027954:33028351:-1 gene:ONIVA01G38660 transcript:ONIVA01G38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPLQPADSDDSDGHVPVGRAASSRGGGGGISHESDGEDAARRAREPPRDQRDGDPDEGDGGGGDGSGGGSDSESSLNGAGDKDE >ONIVA01G38650.1 pep chromosome:AWHD00000000:1:33020484:33025624:-1 gene:ONIVA01G38650 transcript:ONIVA01G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRRLSSSPSSRPRDHVETNGMTGASKAAAGSGGGGGGGSGGGVPLPPRGGSAAAAAAAAKRAADPQPQREGDSDAEFGGGVDGDSESSQSDGDMDEFIIVKLAEIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFSEEERSRNKKIQATIEETIRRQSEAVGKKRSTAKATATVFARKYRRNMRTRGRGKTIAPDIAPTGSDNEDREEGNAIDTTKESSSADDRSSDLMPKRGRKRPASRASPARTIGSSDHGFEENDELIGGKESFTTSPLRGEMLAWGKNGTRSQTRHGSVGGSNGRMAKGGRVAKLVDHLRTTDDMDKEFNLYLVLLPLDEQSMPNLEKPYLSRQVEELDIFMRIDHCNGSVTTQDCTTGVAKMRLSDGLERIREDKLLSELHPSFTSHHSDLARSEFLDTAFVTVVTEAFVHTEISLVWEQSPFSHCHVPLRFVLVKYTPFVSKYITLLTFA >ONIVA01G38640.1 pep chromosome:AWHD00000000:1:33013476:33016608:-1 gene:ONIVA01G38640 transcript:ONIVA01G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGHRFPSSYSYSTLGRRKGMRTTRRRGKACACTWGRTGVAAGRIAALHADHIQANPDREGRKKSKQIQIGEEEEQGNPGRRRGPPPPAIRRQPPRPVSCSPCGGREAATDGGIAMPCSPLAARRRCRRFDAGGRVGRGCLPASGTREEAEETRRRERREENGGREEGGGGGRLGGGDEMGDDGEKKKQEEAAEEKRRQQPAHHRFLALMLSPPPVPFLPPTTSRAPPLLPPPRATNPNSADPTTTTAAGMGAAAWWRRSLGQRFNPAGVAAVAAVAASEPRLALPHVSVQDIRWLDWGELRRAGFRGVVFDKDNTLTAPYAPGLWPPLAAAFDQCRAAFPPGSLAVYSNSAGLKQYDPDGLEATAIEAVIQGVHLCLAPIFVKWFRRVKYANIKKPGGEAKEIESYFGCSASNLVLVGDRYFTDVVYGNRNGFLTVLTEPLNFANESYIVRQVRNFEAYLISYWYRKGHRPIKHPLLPDARRIVKFDPYNDPMAPAA >ONIVA01G38640.2 pep chromosome:AWHD00000000:1:33013476:33015568:-1 gene:ONIVA01G38640 transcript:ONIVA01G38640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPPVPFLPPTTSRAPPLLPPPRATNPNSADPTTTTAAGMGAAAWWRRSLGQRFNPAGVAAVAAVAASEPRLALPHVSVQDIRWLDWGELRRAGFRGVVFDKDNTLTAPYAPGLWPPLAAAFDQCRAAFPPGSLAVYSNSAGLKQYDPDGLEATAIEAVIQGVHLCLAPIFVKWFRRVKYANIKKPGGEAKEIESYFGCSASNLVLVGDRYFTDVVYGNRNGFLTVLTEPLNFANESYIVRQVRNFEAYLISYWYRKGHRPIKHPLLPDARRIVKFDPYNDPMAPAA >ONIVA01G38640.3 pep chromosome:AWHD00000000:1:33013476:33015568:-1 gene:ONIVA01G38640 transcript:ONIVA01G38640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPPVPFLPPTTSRAPPLLPPPRATNPNSADPTTTTAAGMGAAAWWRRSLGQRFNPAGVAAVAAVAASEPRLALPHVSVQDIRWLDWGELRRAGFRGVVFDKDNTLTAPYAPGLWPPLAAAFDQCRAAFPPGSLAVYSNSAGLKQYDPDGLEATAIEAVIQGVHKPGGEAKEIESYFGCSASNLVLVGDRYFTDVVYGNRNGFLTVLTEPLNFANESYIVRQVRNFEAYLISYWYRKGHRPIKHPLLPDARRIVKFDPYNDPMAPAA >ONIVA01G38630.1 pep chromosome:AWHD00000000:1:33010360:33014967:1 gene:ONIVA01G38630 transcript:ONIVA01G38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyacyl-CoA dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G15290) TAIR;Acc:AT3G15290] MATQATAREIAVVGVIGAGQMGSGIAQLAAAAGCGVLLLDSDTAALSRAVDSISSSLRRLVAKGQLSQASCEHSIEQIKCVSSVQELRDADLVIEAIVESEDIKKKLFVELDKITKPSAILASNTSSISITRLASATNRPCQVIGMHFFNPPPIMKLIEIIRGADTSEEVFTKVKSFSERLGKTVICSQDYPGFIVNRILMPMINEAFWALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDSKYSPCPLLVQYVDAGRLGKKRGQGVYSYRTRSSSIKPRSSL >ONIVA01G38620.1 pep chromosome:AWHD00000000:1:33008315:33008557:1 gene:ONIVA01G38620 transcript:ONIVA01G38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRSMFLPVCALVLVMLCVCVASHMDVDERRGGARAYMGGHGRPVGIRPRTSGSPRGLSGGTWAACAGSSLLAAAAMLM >ONIVA01G38610.1 pep chromosome:AWHD00000000:1:32991211:32991435:1 gene:ONIVA01G38610 transcript:ONIVA01G38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLPFCALCLFVLLCAASLMDVTEARRGGGGHSSGGGGRGGGSGSGSPRGLSGGTWAACIASSVIAAVAVLL >ONIVA01G38600.1 pep chromosome:AWHD00000000:1:32984531:32990092:1 gene:ONIVA01G38600 transcript:ONIVA01G38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTGFGLVFGVATSLGASQIYYCSVFDREINGVGIWKARRRNHTRKAAGLCFRAQITTRQKMRRSSSLLPVCTLVLAMLCVASLMGVTEGRRGGGRTYIGGGGGARGSATRTSGSPRGLSGGTWAACAGSSLLAAAAMLL >ONIVA01G38590.1 pep chromosome:AWHD00000000:1:32980679:32984410:1 gene:ONIVA01G38590 transcript:ONIVA01G38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAELWRLANAAIPMLSAHNDELADESKLAEMRRLLPFTVLCVLVLLCVASLVDVTEGQRGGGGSGGLAGAAGEDGIPFGAGVGPRGLSGGTWAAWSASSLLAAVALLL >ONIVA01G38580.1 pep chromosome:AWHD00000000:1:32979994:32980569:1 gene:ONIVA01G38580 transcript:ONIVA01G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSLLPVCALVLAMLCVASLMDVTEGRRGGGGRAYIGGGGVGARGSATRTSGSPRGLSGGTWAACAGSSLLAAAAMLFFWWVALSAIEQPVCLPMNVIFFLWFCNTRKKIPKSH >ONIVA01G38570.1 pep chromosome:AWHD00000000:1:32972979:32977268:-1 gene:ONIVA01G38570 transcript:ONIVA01G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSYGRRRRRAAGLSNYGSNQASHAGGFASSHGRIPNSTAGTDCSPPPARRARGAPPRATPVARPWTLTPSTTAPAAMDAVCVPCPIMSRADIVGVRPTVAIMGFSSPARLSACSSVSIAAKPEKPCL >ONIVA01G38570.2 pep chromosome:AWHD00000000:1:32973253:32977268:-1 gene:ONIVA01G38570 transcript:ONIVA01G38570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSYGRRRRRAAGLSNYGSNQASHAGGFASSHGRIPNSTAGTDCSPPPARRARGAPPRATPVARPWTLTPSTTAPAAMDAVCVPCPIMSRADIVGVRPTVAIMGFRDVHSEKQLGKEE >ONIVA01G38560.1 pep chromosome:AWHD00000000:1:32972507:32977065:1 gene:ONIVA01G38560 transcript:ONIVA01G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHSPFQRFPAFLLFCVWLLMIRGIYGSRKLYIAYLGEKKYDDPTLVTASQHDMLTSVLGSKEEALASIAYSYKHGFSGFAAMLTEEQADNLADLPEVISVTPNKQHELLTTRSWDFLGLNYQPPNKLLQRSKYGEDVIIGMIDTGIWPESRSFSDHGYGPIPSRWKGVCQLGQAWGPTNCSRKIIGARYYAAGIEKADFKKNYMSARDMIGHGTHTASIAAGAVVDGVSVHGLATGVARGGAPRARLAVYKVIWNTGNSLQLASAGVLAALDDAIHDGVDILSLSIHADEDSFGALHAVQKGITIVYAGGNDGPRPQVIFNTAPWVITAAASKIDRSFPTTITLGNKQTLVGQSLYYKLNNESKSGFQPLVNGGDCSKGALNGTTINGSIVLCIEITYGPILNFVNTVFENIFSGGASGLIFGLYTTDMLLRTEDCQGIPCVLVDIDIGSQVATYIGSQSMPVAKIEPAHSITGKEVLAPKVAIFSSRGPSTRYPTVLKPDIAAPGVNILAAKEDGYAFNSGTSMAAPHVAGVIALLKALHPDWSHAALKSAIVTSASTKDEYGMPILAEALPRKVADPFDYGGGNINPNGAADPGLIYNIDPMDYNKFFACKIKKHEICNITTLPAYHLNLPSISIPELRHPIKVRRAVTNVGEVDAVYQSAIQSPLGVKIDVEPPTLVFNATKKVNTFKVSMRPLWKVQGEYTFGSLTWYNEHHTVRIPIAVRITIRDFYADVA >ONIVA01G38550.1 pep chromosome:AWHD00000000:1:32967101:32969274:-1 gene:ONIVA01G38550 transcript:ONIVA01G38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTGKCKRHMPTSRSKAAPLRPKSGPTATGRRRKRFPPPRPRPSLRRLRRQGDGSWERSINLARCDCNDPRSCVIKDLRSRASISPGINNSNPILDCMECPK >ONIVA01G38550.2 pep chromosome:AWHD00000000:1:32965123:32969274:-1 gene:ONIVA01G38550 transcript:ONIVA01G38550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTGKCKRHMPTSRSKAAPLRPKSGPTATGRRRKRFPPPRPRPSLRRLRRQDKSKKLSAH >ONIVA01G38540.1 pep chromosome:AWHD00000000:1:32943498:32945279:-1 gene:ONIVA01G38540 transcript:ONIVA01G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFQATETITQITLTGQTAGISINIKDKNRWLNNHFNSRRALDFSVVNCINLAYICHCSRYCGWIPEIRKSD >ONIVA01G38530.1 pep chromosome:AWHD00000000:1:32940537:32969339:1 gene:ONIVA01G38530 transcript:ONIVA01G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHYCQQRLASVLLLCFWMLFIRAHGSRKLYITYLGDRKHAHTDDVVASHHDTLSSVLGSKEESLSPIIYNYKHGFSGFAAMLTEEQAEQLAELPEVISVQRSRRYKTTTTRSWDFLGLNYQNPSELLRRSNYGEDIIIGVVDTGIWPESRSFRDEGYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEDDLKIDYKSVWGRGGAGSGNSATVLAAIDDAIHDGVDVLSLSLGTLENSFGALHAVQKGITVVYAATNFGPAPQVVRNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSMYYYEGNNSSGSSFRLLAYGGLCTKDDLNGTDVKGRIVLCISIEISPLTLFPLALKTVLGAGASGLIFAQYTTDLLGITTACNGTACVLVDLESANLIGSYISEASSPMAKIEPARTITGEGVLAPKVAAFSSRGPSVDYPDIIKPDIAAPGSNILAAMKDHYQLGTGTSMATPHVAGVVALLKALHPDWSPAAIKSAIVTTASVTDERGMPILAEGVPRKIADPFDYGGGNINPNRAADPGLIYDIDPSDYNKFFGCIIKTSVSCNATTLPGYHLNLPSIALPDLRNPTTVSRTVTNVGEVNAVYHAEIQSPPGVKMVVEPSVLVFDAANKVHTFKLYIAYLGDRKHARPDDVVASHHDTLSSVLGSKDESLSSIIYNYKHGFSGFAAMLTAEQAEQLAELPEVISVQRSRRYRTATTRSWDFLGLDYQKPSELLRRSNHGQEIIIGIIDTGWGSNNCSRKIIGARFYHAGVDEDDLKIDYLSPRDANGHGTHTASTAAGSVVEAVSFHGLAAGTARGGAPRARIAVYKSVWGRGGAGSGNSATVLAAIDDAMHDGVDVLSLSLEVQENSFGALHAVQKGITVVYAAGNSGPVPQVVGNTAPWVITVAASKIDRSFPTVITLGDKTQIVGQSMYSEGKNSSGSTFKLLVDGGLCTDNDLNGTDIKGRVVLCTSLGIPPLMLFPVALKNVLDAGGSGLIFAQYTTDILDVTKNCNGTACVLVDLDTAQLISSYISGTSSPVAKIEPPRTVTGEGILAPKVAAFSSRGPSVDYPDIIKPDVAAPGSNILAAVKDGYKLESGTSMATPHVAGIVALLKALHPDWSPAAIKSAVVTTASVTDERGMPILAEGVPRKIADPFDYGSGNINPNRAADPGLIYDIDPTDYNKFFACTIKTSASCNATMLPRYHLNLPSIAVPDLRDPTTVSRTVRNVGEVNAVYHAEIQCPPGVKMVVEPSVLVFDAANKVHTFKVSFSPLWKLQGDYTFGSLTWHNDNKSVRIPIAVRITIQDFYADSWLAARRRRRAGVGFDDPVHGERVGYGMPSFWPRSTKWALCSEVDLIGPLNNWTLSRLTGPSHERPEPFSLSSDSTLSHLKQKIKPFYHFKGKSTHPDDVIASHHDMLTTVLGSKEDSLASIIHNYKHGFSGFAVMLTEDQAKQLAEFPEVLSVEPSKTYTTATTRSWDMLGLNYRMPTELLQRTNYGEEIIIGIVDTGIWPESRSFSDEGYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEDDLKIDYLSPRDANGHGTHTASTAAGSVVEAVSFHGLGEGAARGGAPRARIAVYKSMWGSGSGAGSGSTATVLAAIDDAIHDGVDVLSLSLGTLENSFGALHAVQKGITVVYAAMNLGPAPQVVQNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSLYSQGKNSSLSGFRRLVVGVGGRCTEDALNGTDVKGSIVLCASFTLNKPSILFQEALGNVVKGGGVGMIFVQYTWDIVSSTARCNGIACVIVDYYTVKQIGKYILSASSPIVKIDPARTVTGNEIMAPKVADFSSRGPSTDYPEIIKPDIAAPGFNILAAVKGTYAFASGTSMATPHVAGVVALLKALHPSWSPAALKSAIVTTASVTDERGMPILAEGLPRKIADPFDYGGGHINPNRAADPGLIYDIDPSDYNKFFGCTVKPYVRCNATSLPGYYLNLPSISVPDLRYPVVVSRTVTNVAEVDAVYHAAIESPPGVKMDVEPPVLVFNAANKVKLSPLWKLQGDYTFGSLTWHNGQKTLYIAYLGEKKHDDPTLVTGSHHDMLSSIIGSKEEAKASITYSYKHGFSGFAAMLTEDQAEDLAELPEVISITPNQKHELMTTRSWDFLGLKNEPPSEFLQRSNYGEDIIIGIIDTGIWPESKSFHDHGYDAIPSRWKGVCQLGEAWGPSNCSRKIIGARYYAAGLDKANFKKNYMSARDNNGHGTHTASTAAGVAVEGVNLHGLGAGVARGGAPRARLAVYKVGWEEGGAGGVYLATAAVLAALDDAIHDGVDILSLSLGVDENSFGALHAVQNGITVVYAGGNRGPRPQVLYNTAPWVITVAASKIDRSFPTAITLGNKQTLVGQSLYYKLKNDTESRFESLVNGGNCSREALNGTSINGKVVLCIELTFGPIGRIFKDVFAGVIQGGASGLIFAFYTTDVLLSTEDCKGIACVFVDNEIGYQVATYIGSERLPTVKIEPASSITGNQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKEDAYVFNSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTTASTKDEYDTPILAEALPRKVADPFDYGGGNINPIGAADPGLIYDIDPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHPINVRRAVTNVGEVDAVYQSSIESPLGVKMTIEPPVLVFNASKKVHAFKICITPLWKVQGGYTFGSLTWYNEHHTARIPIAVRITIQDFYADVA >ONIVA01G38520.1 pep chromosome:AWHD00000000:1:32934139:32936159:-1 gene:ONIVA01G38520 transcript:ONIVA01G38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRLTKTRPFPRFPPVYKRRVVEAAGSAQNQKRALPRGQAVPRRRLPRLSPPLRFADQDPFAEANAEDSSVGAGSKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIVKKESIKIHGF >ONIVA01G38520.2 pep chromosome:AWHD00000000:1:32934139:32934964:-1 gene:ONIVA01G38520 transcript:ONIVA01G38520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPSAFDPFAEANAEDSSVGAGSKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIVKKESIKIHGF >ONIVA01G38510.1 pep chromosome:AWHD00000000:1:32931122:32934753:1 gene:ONIVA01G38510 transcript:ONIVA01G38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPEMEEARENGGVGGSVLPLASLISPTGNEPYMVQWSMNNLIKTQRKVYFSQVQISELEGKIIGLYFAANWYPKCEAFTPALTAAYHQLKEHGAGFEVIFVSCDENRPSFERFHRAMPWPAVPFGDIGCKKRLSERFQVEGIPRLVVLAPNGEVVQPDAVELVHRYGDRAFPFTSARVAELEADEQRKFASQTLEKIFSVNGKDYVNGSQEQVPISSLVGKTVGLYFSAHRCAPCIKFTAKLAAIYSNLKGKAEDFEIIYIPMDKEEDGYLRSCSDMPWLALPYDDGASSGALARYFDVREIPTLVVVGPDGKTVTREGRNLVNLYFDMAFPFTDEQIRLLQEMEDEDAKGYPPSLRHTGHRHELSIVSDKSGGGPYICCECDEQGLGWAYQCIACGYEIHLRCGRDMEGRAE >ONIVA01G38500.1 pep chromosome:AWHD00000000:1:32928104:32928700:-1 gene:ONIVA01G38500 transcript:ONIVA01G38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEDDDVEAAEREKRGMLHHSKEPCVHADGGGDPREGEEEEEKEEGKRITSRAPRVVPNVEVEVLPEELEAVREGSEQDVDSKKGSNAASKAATYSQLERPRVSESKAYRLDGTLKELGEDDGAVLDNGTGDAGRGHGGRETVACERARPAAARPCDLGGKR >ONIVA01G38490.1 pep chromosome:AWHD00000000:1:32918949:32919476:-1 gene:ONIVA01G38490 transcript:ONIVA01G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATDPGYLETIKRLPPFRIGHTKIISNTYSDTGSKAAQQQQRNQPRKRKEDHLDHLCAYMGNCAVTQHAVSWADDGEWELPESGEEGTAPPRSGAHMTEVTIRITKRQLQELVDKRAAAAGGGHGYNVYRKSRRSAAELLADIMNAGEVYHQHYRVAHWKPALQSIPEAAMES >ONIVA01G38480.1 pep chromosome:AWHD00000000:1:32914711:32915031:-1 gene:ONIVA01G38480 transcript:ONIVA01G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFKSQRAAASWADDGEWEDEEEQQQQQHLHEMAAVEKMERVEVKIRVTRRQLQELLEKAAGEGKGRSVEKVLAEMISSGKVCYEQEAAGWRPSLQSIPEADES >ONIVA01G38470.1 pep chromosome:AWHD00000000:1:32907678:32908016:-1 gene:ONIVA01G38470 transcript:ONIVA01G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGASQRAVESWADGDEWEDGAAASSSSEDDGHRERMEHVAEVTIRITKRQLHELMERKGAGNGHGKISRRSTQQLLADIMNSGEVHHHDQHREAHWKPALQSIPEAVES >ONIVA01G38460.1 pep chromosome:AWHD00000000:1:32898788:32905376:-1 gene:ONIVA01G38460 transcript:ONIVA01G38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNTLIAAALLLLVAASLAAALLYSAGPRDVDGLVGALPRATLPFSPMDVLPLLPRTAAMAALRALRGVSDIFPVFVGAASDRPGATNKAHSWTCIDLYVFATPYRVTWDYYFVGREHTLDFKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTQWGENSNLAFLKKHMGATFEERPKPWVSELNVDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVKKDDSNPQIALLPLHPDLRAKFNETAAWEYAKSMDGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTMWNKLQPEYAANMWKEALNKRLGTKGLDLPEIIVESEKRGMTFDKLLTVPEQDKWVYTDGQSASCVAYVLMMYKEAGLFDPIASSIEVTEFTIKDAYSLNFFENNMTRLPVWCNKDDSVKLPFCQIKGRYRMELPGYNTMQPYPHMNERCPSLPPNYNRPRNC >ONIVA01G38460.2 pep chromosome:AWHD00000000:1:32898788:32903390:-1 gene:ONIVA01G38460 transcript:ONIVA01G38460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAYNLKLLAALSALVLLLAASRPFLPGLLEAALRAPVLPRDLLPLLPWPVAQPLLRRLALRGAADLLPSFVGVAREPGDGGARAAEWKGACFYDNRAWMEFHNGTDGGLGGGTLHLETNKAHSWTCIDLYVFATPYRVTWDYYFVGREHTLDFKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTQWGENSNLAFLKKHMGATFEERPKPWVSELNVDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVKKDDSNPQIALLPLHPDLRAKFNETAAWEYAKSMDGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTMWNKLQPEYAANMWKEALNKRLGTKGLDLPEIIVESEKRGMTFDKLLTVPEQDKWVYTDGQSASCVAYVLMMYKEAGLFDPIASSIEVTEFTIKDAYSLNFFENNMTRLPVWCNKDDSVKLPFCQIKGRYRMELPGYNTMQPYPHMNERCPSLPPNYNRPRNC >ONIVA01G38460.3 pep chromosome:AWHD00000000:1:32898788:32905376:-1 gene:ONIVA01G38460 transcript:ONIVA01G38460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNTLIAAALLLLVAASLAAALLYSAGPRDVDGLVGALPRATLPFSPMDVLPLLPRTAAMAALRALRGVSDIFPVFVGAASDRPGAVGSRLEWKGACFYENEAWLVLHNDSGSKYGGGTLHIKTNKAHSWTCIDLYVFATPYRVTWDYYFVGREHTLDFKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTQWGENSNLAFLKKHMGATFEERPKPWVSELNVDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVKKDDSNPQIALLPLHPDLRAKFNETAAWEYAKSMDGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTMWNKLQPEYAANMWKEALNKRLGTKGLDLPEIIVESEKRGMTFDKLLTVPEQDKWVYTDGQSASCVAYVLMMYKEAGLFDPIASSIEVTEFTIKDAYSLNFFENNMTRLPVWCNKDDSVKLPFCQIKGRYRMELPGYNTMQPYPHMNERCPSLPPNYNRPRNC >ONIVA01G38460.4 pep chromosome:AWHD00000000:1:32904129:32905376:-1 gene:ONIVA01G38460 transcript:ONIVA01G38460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNTLIAAALLLLVAASLAAALLYSAGPRDVDGLVGALPRATLPFSPMDVLPLLPRTAAMAALRALRGVSDIFPVFVGAASDRPGAVGSRLEWKGACFYENEAWLVLHNDSGSKYGGGTLHIKLNL >ONIVA01G38450.1 pep chromosome:AWHD00000000:1:32885745:32894409:-1 gene:ONIVA01G38450 transcript:ONIVA01G38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANERCCVALFVLIVCTFAYAAVYTSLPAVSVNPCAQTLSRALLAVTGLDPYVVSCAADDGVSTPPPPPPPPLSDGGHDKINAGRVGGPIVTDLLQCRKPEGPDFPEDLQCCPPMPTSEPIDFTLPDPSEPLRTRRPAHVAGAEYMAKYKRAIALMKALSRSDPRSFYQQANIHCAYCTGAYVGHPELAVQVHFSWLFFPFHRAYIYFFERIAGKLLGDPGFAVPFWSWDVPEGMRMPLQFANASSPLYDPMRNPWHAPPKLVDLDYTMDVVENNYTDDEQIKHNLWIMYKQMIRSAPLASLFHGQPFRAGETDKPGAGTVKLQPHNLMHAWVGDLLSYPNAEDMGAYYAAGRDPIFYTHHANIDRLWDAKTLRTLIGSTPHAFLFYDEEARLVRITARDVLDMDKLRYTYHGVGLPWLDARPPTTPNVKYRVKNRIEKPVMFPVTLDNVVTAEVRRPLILWRQPKRATQEEVLVVEHIQTDGICKFDVFVNAREHKKIKPCGREMVGSFLCLRHHNTQNNVTRRGDLGAEQDESVTVTLVPRHGKVRIGGVRIEYNGM >ONIVA01G38430.1 pep chromosome:AWHD00000000:1:32878808:32883935:1 gene:ONIVA01G38430 transcript:ONIVA01G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRLLLRRRLSTATAPPPTPGSILNPSSPSTPLTSRQKSRLAISLLKSTPSPPPDQILSICRAAALSPVSHLDRVALSLAASKLSSAPDSVRDLASSLLTPHHAPHAIALFGQAGLLPDAVSTFKSSPSTRSLNALLFACLVSGNHAEAARVFQTFPDAYSVKPNTDTFNAIIKSFAESGTTRSFYSVLDEMCKKGVKPNATTFTTAIAGFYKEERFDDVGKVIELMKKHGCGESLPVFNVRVQGLCKLGRSGDAKALLNEMVKKGTKPSWLTYNHLIHGFCKEGDLEEAKRLYKEMAKKGLVGDSSFYYMLIYYLCKGGDFDTAVGVYNEIAARNWVPCFSTMKMLVNGLAGSSRVDEAKGIIEKMKEKFPDKAEGWKEVEDALPQ >ONIVA01G38430.2 pep chromosome:AWHD00000000:1:32883223:32883946:1 gene:ONIVA01G38430 transcript:ONIVA01G38430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSSSYGRCSHERRGPLTAARPSWEVSHCRRNSMTASNTAVNSDIVAAFGKKGVSPRDMTGSGRSIHSNRRIDPKPNHSDAGMRDSEGSFVSGFMVTVKQGGTAINQNILTELCFQVGESIFCAIFWYRYNSRATNRIFSSSLHFLFHASLSVWTKGLTPIYIVTI >ONIVA01G38420.1 pep chromosome:AWHD00000000:1:32873779:32875679:-1 gene:ONIVA01G38420 transcript:ONIVA01G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSQLITRPATFSLSSPSPSSPGASFCLKPRRGAVGRVSCTSSPAEPDASRLDRRDVLLGLGAAGASATAAGILLSFPRRAGADPVATPDMSSCGPPDQLPPSANVLTCCPPPSSASPVDFAPPANASSSPLRTRPAAHSAGADYVAKFNRAIAAMKALPADDPRSFAAQASIHCAYCDGSYSPDGFPGLDLQVHNSWLFMPFHRCYLYFFERILGSLIGDPTFAIPFWNWDAPDAMSMPAMYTDQSSPLFDPRRNGRHVPPKLIDLDYNGREPRFTDNQQVDHNLRVMYRQMISLSPTPSLFFGSPYRAGDDPNQGPGPVENIPHGPVHIWCGDPEQPAGEDMGNFYSAGRDPLFYAHHANIDRMWAVWKGLDPRSHTDLTDPDWLDASFLFYDEDPKLVRIRVRDVLDMDRLRYRYQDVPTPWTSARPVVTTQRVRSATSSLLTPTARAAGAKEAARFPVTLDSPTRVTVKRPVSARRSRAESKLAKEEVLIIDGIQVDMDVAVKFDVFVNAGEDHAAVGPGGRELAGSFVNVPHRHKHDKRGRAIKTTLRLALNEQLEDLGAEGDDSVVVTLVPRQGKGKVKIGSVKIEIMD >ONIVA01G38410.1 pep chromosome:AWHD00000000:1:32870251:32873125:1 gene:ONIVA01G38410 transcript:ONIVA01G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHRTDRTANNGFAKFGSWPPKSQLPPGKKNGSRPQVVARVAVEVSQQIRPAFPRGSARGCFPTLASIRAMDLLTSAMKRCCNGKTLLRGARTCRCSSASAIGSSGVRGKEEASTSATDSEPDKKRWRKKRFWRKKKKKAKKDHYGDAAATEHGSERASCRRYENDAVADLVNDISSKSDVCNVYAAEGILRITHQNIPSMVLTYRQLCNATDSFSPNNLLGEGGFGRVYRGHLEEINEIVAVKQLDKDGFQGNREFLVEVLMLSLLHHPNLVKLLGYCTDMDQRILVYECMRNGSLEDHLLGTYGRHSQRWIAMSSINPLIDRACVRADLPPKAKPLPWQTRMKIAVGAAKGIEYLHEVANPPVIYRDLKTSNILLDEDFNSKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGKLTKTSDIYSFGVVLLEIITGRRAIDTSRPTHEQVLVQWAAPLVKDKKRFVRLADPLLEEKFPLKGLYQALAIASMCLQEDASNRPMISDVVAALSFLAEQKYHPQDGPDQAARKSRDRDCSNPPRKTDMVSEIKADDEIKHR >ONIVA01G38400.1 pep chromosome:AWHD00000000:1:32865970:32868887:-1 gene:ONIVA01G38400 transcript:ONIVA01G38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cardiolipin synthase [Source:Projected from Arabidopsis thaliana (AT4G04870) TAIR;Acc:AT4G04870] MAFLKTLNPLLRRSPTPIPNPRSLLSLDAFLTASSPAAASHATAPAPFAAAAHHHVPIRSGGPLFLSSPPWMLSQSATPLTAAAAVLRARLRRARALAGGGAQAVADAIGWEPRQISRGESEVAEVVTGGERFLNLPNLVSIGRMASGPVIGWMIVNEWYLPAFGTLALSGASDWLDGFLARKMGINSVFGSYLDPLADKVLIGCVAIAMVEKDLLHPGLVGLVVVRDLLLVGGAVYKRASSLGWKWNSWSDFVNLDAIHREKVKPLFISKVNTVFQLMLVAAALLQPEFGTEETQNYITVLSWLVASTTIASTVGYGIKYRQIRPRR >ONIVA01G38390.1 pep chromosome:AWHD00000000:1:32857249:32859275:-1 gene:ONIVA01G38390 transcript:ONIVA01G38390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDEHAMESDTDLISVLPGEVLQHILSFSRIRAIVRMRRLSRRWRRVIECLQFICLDCRDFKHWKVEKFARFVDNLLLIRSKVDLHTFQLYWFHYLPLNCNDLRKWILYAVKHNVKVLDVELDMYDKTTLPSRIFTCRSVEELSLQMGEAPDEDLEHVGLVLPDIIQLPSLKKLTLSDVEVDQLSLNQFIGRSPNLEDLHLINSATYLDLIASKVLKRLTLDGFMHGPKRFTISAPHLVHFECQGCALQDVSWGEQPSLESAHIDTWGKKYDGESEFIGVLYLPRHSLYLVLTSRLCWKRSCRHVQCLRGSQLLKLLPHAAGKGAETDAMPIDGMTFQCPLLETVIIQCSKGDDGIDKLVNVLAANGINPKKIQVTFYKDIEEMERPENRRIIEEREKELCNFEKMAKKNPEWVDESRYADSNPETDSDEYDNDYDDF >ONIVA01G38380.1 pep chromosome:AWHD00000000:1:32855075:32856658:-1 gene:ONIVA01G38380 transcript:ONIVA01G38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRAASAAAAGESSSAGAMAVEKPRFEALMPSEMSGGRPQFRKVPVPQHRFAPLKKAWMDIYTPVYEHMKIDIRMNLKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDITDAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >ONIVA01G38370.1 pep chromosome:AWHD00000000:1:32848175:32850188:-1 gene:ONIVA01G38370 transcript:ONIVA01G38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLRLRRRLPLPINTRAFSPSSPSPAPHEIPTVYSFLQPSVFAPRPKPQPPPPPPPTPPAHKTLPVGDAVALEEELLAAVSEDRSDDAWLAFRSLASASLSPSPPAAAALVSHLAAAHHHRLGLKRAFAAAVFLLEKSPHADPVPEAALQAVFTSLAAAGSAAPALALVRALLRCGRRLPGFPAWGSPLIELTRADTGAFVAFLKVFDEACKQMVAEEKSPSAAAAMRPDLAACNAVLGGCCRLLGSVTEAERVLEIMSVIGVSPDVDSFGCLAFLYAWRDIPSRVDELDKLLDALGFSKKIFFKNLISGYLKNCSFESVSSVILRVVEERRVGDSNAFDLESYTEVAQCFVDNGRIRELAQLIIKAQETESLQQSLAVEDSVGFGIVNACVELGLLNKAHSILDEMTAQGASVGLGVYSSILKAYCKEQRTAEAAQLVSEISAAGLQLDAGSYDALIDASMTAHDFLSAFSLFKEMREARLPDLRTSYLTIMTGLTENNRPELMASFLDTVVDDPRIEIATHDWNSIIHAFCKVGRLEDARRTYRRMVFLRYEPNNQTYLSLINGYVSAEKYFSVLILWTEVRRKGADFNHELIDAFLYALVKGGFFDMAMQVIEKAQELKIFLDKWRHKQAFMETHKKLKVAKLRKRNFRKMEALIAFKNWAGLNA >ONIVA01G38360.1 pep chromosome:AWHD00000000:1:32841249:32847438:1 gene:ONIVA01G38360 transcript:ONIVA01G38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNQQHNEGNESFVALMNGVAGDGTATLPNDGEQSMSIPARELFAAIEADSGLLPVNSSNTNEKRKRRLQRLTGKQSEVLEGFFSICGHPDDGQKRHLSETTGLGLDQVKFWFQNKRTQVKTMCWKEENYKLSVENEILRDENRRVKIAHCTAICLTCRNSSVQNQLAVEMERLMGQSEWLQQEIARSNGTPPAANLAFQLNSSADYVFSGQHDQQMIAELAKNAMHALIILAESHVALWFPVPGCSYEVLNKMMAYDQAYPGDNSANAIGFKTEATRAVSMVMMDYKSVVDFLMDPYNYRTFFPEVISGAVTNRIYTWPTSDGYNGVIQLMTVEMMFPSPLVPARKCTFLRYCNVLNEGLVVVIDVSLDDGSIFSKCRKMPSGFLIQSIRPNSCKVTAIEHVLVDDTGVHELYQPCMNGLVFGARRWVATMARQSARMRDVHHNKTAPQVSTKGRKNLMKLADDLLASFAGGITATGGGTWTVVIGAGTEKDIRVAYRRTTEGSSSYNAILSVSASLRLPLPMRKTFDLLRNLTHRCKWDVLVHGSVVKEEVTIARGVGNDDTVTVLHCKRAGREDRGRTMILQNNGYDASGSFMVYSQIDSELMNTMVLSPSDLPPGRGGPSLYPTGFSLLPDVEAAQDSSGIALGEVGGTLMTMGFQIPVKLASGDRMYSRSAASAIRLMTDTIALVKKTLMNEHSGIYGVSPEKREVRVQIKIKNMRRGFIGSDHPASPRIC >ONIVA01G38350.1 pep chromosome:AWHD00000000:1:32837765:32839547:1 gene:ONIVA01G38350 transcript:ONIVA01G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRVQRMQCWVVVACVVASVSTTASAFVFKAGGTGEWRVPDQQASGNVSAYNQWAEHTRFRVGDAIAFSYQPGNDSVLLVDKSSYDACNTNTPIDTFADGNTVFTFTRSGPYYFISGNKDNCNRNEKLIVVVMGERAANGTAPAPALAPSAGTTSPNSPPSPPPPPSGIEISPTPEQSVNAAARPRAAGIAGAAGLAIGTLFYALV >ONIVA01G38340.1 pep chromosome:AWHD00000000:1:32825981:32828710:-1 gene:ONIVA01G38340 transcript:ONIVA01G38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVASIVDAVFRPLKDYFARTFGYVMSCGDYIDLLGHEMDELKSKRDDVKRLVDVAERRGMEATSQVKWWLECVSRLEDAAARIEEEYQARLRLPPEQAPGLRATYHLSQRADEMFAEAANLKEKGAFHKVADELVQVRFEEMPSAAVVGMDAVLQRLHACVRHGDVGIVGIYGMAGVGKTALLNKYNNDFLINSPDINVAINIEVGKEFSLDDIQKIIGDRLGVSWENRTPRERAGMLYRVLTKMNFVLLLDDLWEPLNFQMIGIPVPKHNSKSKIVLTTRIEDVCDRMDVRRKLKMECLPWEPAWELFREKVGEHLMFSSMEIQEQAKALAMKCGGLPLALITVGRAMASKRTEKEWKHAITVLKVAPWQLLGMEMDVLMPLKNSYDSLPSDKLRLCLLYCSLFPEEFSISKEWIIGYCIGEGFIDDLYTDMDEIYNKGHDLLGVLKIACLLEKGDDEDHISMHPMVRAMALWIASDFGTKETKWLVRAGVGLKEAPGAEKWSDAERISFMRNNILELYERPNCPLLKTLMLQVNPALDKICDGFFQFMPSLRVLDLSHTSIHELPSGISSLVELQYLDLYNTNIKSLPRELGALVTLRFLLLSHMPLDLIPGGVISSLTMLQVLYMDLSYGDWKVDATGNGVEFLELESLRRLKILDITIQSLEALERLSLSNRLASSTRNLLIKTCASLTKVELPSSRLWKNMTGLKRVWIASCNNLAEVIIDGNTETDHMYRQPDVISQSRGDHYSNDEQPILPNLQNIILQALHKVKIIYKSGCVQNITSLYIWYCHGLEELITLSDDEQGTAANSSEQAARICRDITPFPNLKELYLHGLANCRALCSTTCFLRFPLLGNLKIVDCPKLKKLELPVGNLNAVQCTREWWDALEWDDAEVKASYDPLFRPLH >ONIVA01G38330.1 pep chromosome:AWHD00000000:1:32821427:32829088:1 gene:ONIVA01G38330 transcript:ONIVA01G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methylesterase PCR A [Source:Projected from Arabidopsis thaliana (AT1G11580) TAIR;Acc:AT1G11580] MRQRDEPLLSSPSHRNAYPCKLLSFTLLSLATVLCLCAGAAFLLLSPTATNLCASSPDPASCQAIVADAVLASPHAHPSRPAHVLRAILATSLDRHDAAAEAVAGMRRRASDPRHRAALEDCVQLMGLARDRLADAAGAPDVDVDVDDVRTWLSAVLTDHVTCLDGLDDGPLRDSVGAHLEPLKSLASASLAVLSAAGRGARDVLAEAVDRFPSWLTARDRTLLDAGAGAVQADVVVAKDGSGKYTTIKEAVDAAPDGGKSRYVIYVKKGVYKENLEVGKTKRVLMIVGDGMDQTVITGSRNVVDGSTTFNSATLALSGDGIILQDLKVENTAGAEKQQAVALRVSADRAVINRCRLDGYQDTLYAHQLRQFYRDCAVSGTVDFVFGNAAAVLQGCVLTARRPAQAQKNAVTAQGRTDPNQNTGTSIHRCRVVPAPDLAPAAKQFPTFLGRPWKEYSRTVYMLSYLDSHVDPRGWLEWNGADFALKTLFYGEYQNQGPGAGTAGRVNWPGYHVITDQSVAMQFTVGQFIQGGNWLKATGVNYNEGL >ONIVA01G38320.1 pep chromosome:AWHD00000000:1:32815690:32816877:1 gene:ONIVA01G38320 transcript:ONIVA01G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAAATAPAAAAAAAGAISATWWPGPSWSTTTRRSTPTAPRSPRSTARRPCSPSRDTWWPAPRRSAGSCWGCPSSNAGTPSAPSTANPRRPSREASSSSSVATSSSPARSTSSGSARCFSWCPTSREASSCRMTYSGSTTASSRLRVAAERNRVLGCFGPMWMRDCEKLLRAVSTFSLSSSVLLPSFM >ONIVA01G38310.1 pep chromosome:AWHD00000000:1:32803853:32804263:-1 gene:ONIVA01G38310 transcript:ONIVA01G38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEGRSSQRRRCSLPNHHLAASLLWRCRAREDPEPPLPMGSRRRVVAPSLSRGSAAPPLRRGSTAPPEAVYPPDLERSGAAAAPRRGGKGAPVEVRESADGGEEAVPLRHTVGRGETRGGWEARWPVVGGEGRS >ONIVA01G38300.1 pep chromosome:AWHD00000000:1:32799609:32806224:1 gene:ONIVA01G38300 transcript:ONIVA01G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCKISFSYHQFELSAVYDPMGGLYIPRPIVEVAPSTRRRLDYVTIRDTPPVPPMADGKVDCKHLVLVHGACIGGWTYFKVATRLRSAGYRVTAPDLGASGVDPRPLREVPTFRDYTAPLLGLLGSLPPGEKVVLVGHSLGGINVALAAELFPDKIAAAVFLCAFMPDHTSRPSHVLEKFIEGKWLDWMDTEFKPQDAEGKLPTSMLFGPQIAQERLMQLCSPEDVTLAGSLLRMSSMFVEDLQKQQPFTEGRYGSVRKVYVVVNQDLAIPEGFQRWMIGNSPVDEVKEIDAADHLVMLSRPDELARCLADIAESYA >ONIVA01G38290.1 pep chromosome:AWHD00000000:1:32783064:32787103:1 gene:ONIVA01G38290 transcript:ONIVA01G38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLVRREADRGAAPEFVAVDIGGEAETAGAEAEPKKMESSFAGKGLERERSGDANPSTTGVLAVYEKQVVPVHVDSSPKEQFHPSTPTAGGAKRRRTGRRVPGWRDPRKILFAFAALSSVGTLILLYFTLSMGRMTGGQADGQ >ONIVA01G38280.1 pep chromosome:AWHD00000000:1:32780719:32781463:1 gene:ONIVA01G38280 transcript:ONIVA01G38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAADGERWRGAHPSPGLRAVVRRRRVGAAERATGAVARVRALARDSERNRRCFVSVGTGRMLAAAFESLAAAALVCMMPLDKEAARALIYPQVTKAAMVATTHLVSSDKRVATRVASTGLIPTLIEALVDADKSVSEKALAVFDAMLTSEEGRASARGHALAMPVLVKKMFRVSDVATELCRRHRSLGSVKGGAGEPVRTVRRKPTMGTGR >ONIVA01G38270.1 pep chromosome:AWHD00000000:1:32754095:32754368:1 gene:ONIVA01G38270 transcript:ONIVA01G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEKTSAARPWRRPRRRSMAWRMAVRGKQGTARSARTAVRPTVGRGDRRGTARTGGGGEAAQQLADLEAQRRRNAERPTRRFAPWSV >ONIVA01G38250.1 pep chromosome:AWHD00000000:1:32727994:32732565:-1 gene:ONIVA01G38250 transcript:ONIVA01G38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast autophagy 18 (ATG18) F [Source:Projected from Arabidopsis thaliana (AT5G54730) TAIR;Acc:AT5G54730] MRSGAQAPRGGGGGGAFSKRTLSGYMRIMSSGASTAASSLLSVGASLVNSIASHDEDGSRDQVQWAGFDKLECGGDMLRQVLLLAYRSGFQVWDVEHANDVRQLESRHDGTVSFMQLLKKPIASTNSEDKFADERPLLALACDGTSTGSLISNDGNGPVPNGANGTLHNIGHENHPTVLRFYSLRVHDYVHTIKFRSTVYSIRCSSRVVAVSQANQIHCFDAATLEREYTILTSHIVPPISSYGPLALGPRWIAYSGNPVPVPDTGRVTPQLLNLSPLVPQPGSNGSVVAYYAKESSKQLASGIITLGDVGYKKLSKYCSDLIPSSNNVINQRNSGFKANGATINGHTDSEYAGMVIVRDIVSKSMVVQFRAHTSPISALCFDPSGTLLVTASIHGRNINVFRILPSSHGSSSEAGPNGTCVHLYKLQRGITNAVIKDISFSDDSEWIMISSSRGTSHFFAISPYCGSTSFHYNENNLAENSYAVDSSVNHTAHWSQNSAPSLSLNQKILSVTGPPVTLSVVSRIRNGGNLLKGAVHGAAAFATGVSSPISGAIASTFHNCKGAVKNSDGSSPCMKYHLLVFSPSGSIIQYVLHRSAEQDPGIDFPSSAIPYGSQRETDTRFIIEALQKWDICHKRNRRDAAESFVYSDFDIGENSKLFQKVAKKGTSVYPSNGTAVEKQKLGDENHNFYISESELQTHVVPTPLWSRSGMHFQVMGDGALEADNTGIISGELEIEKLQTRNIESRSKNLIPVFESLHTSRFQQSRVSTPDSNKYGLLQRQKSGFSEDGRLSCRSSSSSLDCMSEGPISTDDNGFGQCLVEDSGGAVNKNPNVNPRSELVNNTQSLNTEAQLGFVNNKEDCEDREQLPDL >ONIVA01G38240.1 pep chromosome:AWHD00000000:1:32724023:32727012:-1 gene:ONIVA01G38240 transcript:ONIVA01G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKTSQKQALEGQNNPHRAKPRRAKKMMTNTKLFPLVAAICISFLSVACASNSTSSHPSSSSSLLTKPPQWREHLLLADSSSHVGLGLNTVAAWLLSFLAASVSSAGGVGGGSLFLPILNLVAGLSLKRATAYSSFMVTGGAASNVLYNLLCTGCGRRAAAVIDYDIALLFQPCLLLGVSIGVVCNVMFPEWLITALFALFLAFCTTKTLRAGLRIWSSESRGATLAVAAATAHGREEPLLLPHGTDAGNGGGARGDAGFPWKDVSVLVMVWLCFFVLHVFIGDKHGKGMIRIKPCGVAYWLITLSQVPFAVAFTAYIIYAKRKKQVLHNQEDGKANPESTKMDTLPTLLFPLAAFVTGALSGLFGIGGGLLLNPVLLQIGIPPQTAAATSSFMVLFCASMSMVQFILLGMQGIGEASVYAGICFVASVVGAVVIERAIRKSGRVSLIVFLVTGIMAVSTVIITFFGALDVWAQYTSGAYMGFKLPC >ONIVA01G38230.1 pep chromosome:AWHD00000000:1:32719010:32721044:-1 gene:ONIVA01G38230 transcript:ONIVA01G38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTVLACILSFLAAAFSSAGGVGGGSLYVPILNIVAGLSLKTATAFSTFMVTGGTLSNVLYTLIVLRGHEKGGHQPLIDYDIAVVSQPCLLLGVSVGVICNVMFPEWLITALFAVFLASATFKTYGTGMKRWRAETAAARRMLEGGSSLGDGAGEALLGQKDGDGHRRQCVDLMVLVTIWLCFFVIHLFIGGEGAKGVFDIEPCGVTYWLITIAQIPVAVAFTACIVHQKRKSHAQNSQEFDQAISVKSKLESLPVYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPKTASSTTMFMVLFCASMSMVQFIILGVDGIVTALVYAITCFVASIVGLVVIQGAIRKSGRVSLIVFMVAAILALSVVVIACSGAVRVWVQYTSGQASTQRNAVIMRY >ONIVA01G38230.2 pep chromosome:AWHD00000000:1:32719240:32721044:-1 gene:ONIVA01G38230 transcript:ONIVA01G38230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTVLACILSFLAAAFSSAGGVGGGSLYVPILNIVAGLSLKTATAFSTFMVTGGTLSNVLYTLIVLRGHEKGGHQPLIDYDIAVVSQPCLLLGVSVGVICNVMFPEWLITALFAVFLASATFKTYGTGMKRWRAETAAARRMLEGGSSLGDGAGEALLGQKDGDGHRRQCVDLMVLVTIWLCFFVIHLFIGGEGAKGVFDIEPCGVTYWLITIAQIPVAVAFTACIVHQKRKSHAQNSQEFDQAISVKSKLESLPVYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPKTASSTTMFMVLFCASMSMVQFIILGVDGIVTALVYAITCFVASIVGLVVIQGAIRKSGRVSLIVFMVAAILALSVVVIACSGAVRVWVQYTSGQYMGFKMPC >ONIVA01G38220.1 pep chromosome:AWHD00000000:1:32718074:32718548:-1 gene:ONIVA01G38220 transcript:ONIVA01G38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAVKHCRRGRGGGIKPKRNGVLGVSKEWMRAVAQHHRHGEADERRGVAAVQHCRVGAEGRRSSQSLSAAAAARDLSRCFATAPRVPEPTGGAPRGAAFLVTGEGDGVERPPRPTCHPAQLAAVVVVVLMRVVVRLKGEGKGKDKEEDKMLDI >ONIVA01G38210.1 pep chromosome:AWHD00000000:1:32714988:32715869:-1 gene:ONIVA01G38210 transcript:ONIVA01G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMKAAICIAAAVSLIHVVSAADYTIGSAAGGWGGEYKAWVASQTFSPGDTLTFKYSSYHNVVEVTKDDYEACSATSPVSADSSGSTTIVLTTPGKRYFICGAPGHCQSGMKLVVDVADRPAPATPSPPPLLPPSPRHKRRTAPAPMPLPPAQAPVWSPAPAPAATQRRHSGHKKHRSRHLPPKPAPAMAPTVQSVEADFPAAAFAPMSSPPPPPPMSSDASAVVRQKWSDVIVGLVALGLVVLGV >ONIVA01G38200.1 pep chromosome:AWHD00000000:1:32712698:32713060:1 gene:ONIVA01G38200 transcript:ONIVA01G38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGRHSGVAAEAGDRRAKCGTVEDEQRASGGWPVKLTIRLVLLWSGKWPPPPDLPEKAAMARERERRGRAAAEAGDCGVEGGAVEGKGAAAAAAMAGKTGRHGGAPVELMYLTLRVL >ONIVA01G38190.1 pep chromosome:AWHD00000000:1:32707491:32707812:1 gene:ONIVA01G38190 transcript:ONIVA01G38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGAGGKAKRRVVHEITKQTANGGFVRVSRKPINNSKYTGRDPYEAYTKRKTCKGRNKFKHDEIKMYYLDVEGLDDDDEYEEPYTTVGSSP >ONIVA01G38180.1 pep chromosome:AWHD00000000:1:32695418:32697493:-1 gene:ONIVA01G38180 transcript:ONIVA01G38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRRASAVAGGRAEDEKAASAPAAAAPAPTVWFALKRSLHCRSEPSEVHVPRPKAVAAAAAGGGGHLSAIVTKRAAPRSGCSRSIANLRDVIHGSKRHPERPPSCSPRSIGSSEFLNPIAHEVVLSTNSRCELKITGFGGCGGLAAAAAAGGGVLASPHEADGDGAVVSSFVGTLRPGTPGPGWSHGLQYSGSCRGSMRCTPPRSPNVLLDSRDAAAAGVTAHRASCEDAVKSFGKGGGGGGGLSCHRCGEQFSKWEALEAHHLAKHAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIERVFKVHNTQRTLARFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATLSCALGGAAGSSSLCASDKCAVCRIIRHGFSAKKEGKAGVGVFTTSTSGRAYESIEASAGAVVGGDDPAATRKALLVCRVIAGRVHKPLENLKEFAGQTGFDSLAGKVGPYSNIEELYLLNPRALLPCFVVICKA >ONIVA01G38170.1 pep chromosome:AWHD00000000:1:32683596:32689513:1 gene:ONIVA01G38170 transcript:ONIVA01G38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAASRAQRLSRIFSSSSPSVRPPKPGQVKEAPKPAPTKKAPAPGAEANPNLRRNAIDDIIKGLLRERDPDKLVSGFIAASSTHPRFRARHRVYDVAVSRLATFGRLDGVEAIIDAQKPFLETSKEGFAARLIRLYGHASMASHAAATFHDLPPQLKSTMTFNSLLAAYVEAGEFEALAAAFKEIPVSNPSVVPSVYSYNILLQALCKVPDLSAALDTMTLMEKSGISPDLVTFNTLLNGFYNHGDMDGAEKVWEMITERNMVPDAKNYNAKLRGLVAQGRIEDAVAVVEKMEKDGPKPDTISYNELIRGYCKDGRLEEAKKLFEDMAENGYVANRGTYHTLIPCLVKAGELDYALKCCHEIYGKNLRVDCFVLQEVVTALVTASRVEDATKIVELGWNNSYPRRILNIPHATEKNKEESISEEEEEPENA >ONIVA01G38160.1 pep chromosome:AWHD00000000:1:32682898:32683614:-1 gene:ONIVA01G38160 transcript:ONIVA01G38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHVRRLLNRVSIALAAVATAALLQLFRHSSSSCFVGSPAYSSLSLAPFPRTSCDAASRRVVDPNLRLAKLRSSPRWRRRSAALSTSVFPRLRRLRLLRRSSRVLCVAAGAGQAVDALHVAGVGDATGVDLVDFPPLVRRADPHNLPFFDGAFDVVLSDEPMALTGALFPSRFAAEAERTVRWGGAIALAIERHIDLSTVASLFKKSRVAAAWNATLDGSAATMVILRKNSNNTKQH >ONIVA01G38150.1 pep chromosome:AWHD00000000:1:32674099:32677412:1 gene:ONIVA01G38150 transcript:ONIVA01G38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPTAKTAPAYGYAPGAHAEALEFIEHVTANAGQVQRRVLGEILAQNAPAEYLRRYGIPGSPDVVDAFRRLVPLVTYEGLQPDILRIANGDTSPIFSGKPISEFLTSSGTSGGERKLMPTIADEMNRRSLLYSLLMPVMSQSVSGLDKGKAMYLLFVKAESRTPGGLAARPVLTSYYRSRQFLDRPRDPYTSYTSPDEAILCVDSYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIHFLEKHWARLCHDIRTGELDPEITDRVVRDAVGRVLRADPALADSIEDECARASWEGIIRRLWPRTKYIDVIVTGTMSQYIPTLEFYGGGLPLTCTMYASSECYFGLNLNPMCKPSDVAYTLIPTMCYYEFLPVNCNNATAEASHRDLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNKAPMFSFVRRQNVALSVDSDKTDETELHAAVSGSVQHLAPFGASLVEYTSYADAATIPGHYVLFWELRAGSTAVPASVFEECCLSVEEALNSVYRQGRACDRSIGPLEIRVVAEGTFDKLMDYAISRGASINQYKAPRCVRPGPVVELLDARVQGKYFSPKCPKWSPGNKQWNKSKDLVGKGDA >ONIVA01G38140.1 pep chromosome:AWHD00000000:1:32666581:32668418:-1 gene:ONIVA01G38140 transcript:ONIVA01G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREDEDENSELFRGKRSREEGGFLDSTSRAYGSRNSNAHQVHDWIIFLQKMQLLRVVVTRYVAYYQLWRSRYMPRFAAETVTSTNSGSSHRPMGCGVPALQDGNYESATEHSCTCLGIGGIQKLLKTAGIIAWIIRSAPYNWEQTDEMDLDPIIEGTTACYEV >ONIVA01G38130.1 pep chromosome:AWHD00000000:1:32654776:32655545:1 gene:ONIVA01G38130 transcript:ONIVA01G38130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVANYDMDTHTIAMCDMRFDTVQVLSCNAALRLTMDLNDVSINNKAFMITSSISRYVGSVFWR >ONIVA01G38120.1 pep chromosome:AWHD00000000:1:32638313:32640228:-1 gene:ONIVA01G38120 transcript:ONIVA01G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWWSASQRSHGTSACSAAPSPLTADRVSCGWTSPAAAAAAESTSSITFQDPSRSSAAHHQPLSDAASSLGDPHMVDWTQAFLSGRSDASFQAVLQDDMAASTRPFRAQPTAADEAVMTNPFRDMGVGQGLLLDQASAPLHGLSFDAGEPAVAPATHSITTSFGDYQHSASYDAAAAVMQFSQTPRAPSLPAAAQMQFLSGSYQLPFGGAPPLPSQLLLQAMQPKPSCSSNANTLLAKSNSGSAQQICSSEGRKSVSDSPAAAKRPRIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHEQVASLSSPYLKNGNPLQHFQQKGSESTKDAEQPKPDLRSRGLCLVPVASTYTVASETVPEFWHPTFGGTFR >ONIVA01G38110.1 pep chromosome:AWHD00000000:1:32630119:32634575:1 gene:ONIVA01G38110 transcript:ONIVA01G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVYYSMYGHVAKLAEEIKKGASSIEGVEAKIWQVPETLHEEVLGKMGAPPKPDVPTITPQELTEADGILFGFPTRFGMMAAQMKAFFDATGGLWSEQSLAGKPAGIFFSTGTQGGGQETTPLTAITQLTHHGMVFVPVGYTFGAKMFNMDEVQGGSPYGAGTFAADGSRWPTEMELEHAFHQGKYFAGIAKKLKGSA >ONIVA01G38100.1 pep chromosome:AWHD00000000:1:32613592:32621210:-1 gene:ONIVA01G38100 transcript:ONIVA01G38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FU81] MASSSPLCCYLLLFVVVVVLTGSCRARDTVVPGRPLAANETLVSGGDANFVLGFFTPPGANSTYVGVWYNKVSVRTVVWVANREDPLPGDVADNPDATLSVSPTGTLAIVAGNSTVVWSVTPAAKLASPTARIMDSGNLVIADGAGGGVAWQGFDYPTDTLLPEMRLGVDYVKGRNRTLTAWKSPSDPSPGPVVMAMDTSGDPQVFIWNGAEKVWRSGPWDGVQFTGVPDTVTYSGFTFSFINNAKEVTYSFQVHNVSIISRLGLNSTGSYGLLQRSTWVEAAGTWNLYWYAPKDQCDEVSPCGANGVCDTNNLPVCSCLRGFTPKSPEAWALRDGRAGCVRSTPLDCQNGTDGFVAVEHAKVPDTERSVVDLGLSLEQCRKACLMNCSCTAYASANVSGGGRGHGAGTGCVMWTTGLTDLRVYPEFGQDLFVRLAAADLGLTSKSNKARVIIAIVVSISSVTFLSVLAGFLVWTRKKKRARKTGSSKWSGGSRSTGRRYEGSSHHDDDLELPIFDLGTIAAATDGFSINNKLGEGGFGPVYKGKLEDGQEIAVKTLSKTSVQGLDEFKNEVMLIAKLQHRNLVRLLGFSISGQERILVYEYMANKSLDYFLFEKSNSVLLDWQARYRIIEGITRGLLYLHQDSRYRIIHRDLKASNVLLDKEMTPKISDFGMARMFGSEETEINTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLLLEIISGRRNRGVYSYSNHLNLLGHAWSLWNEGKSLELADETMNGSFDSDEVLKCIRVGLLCVQENPDDRPLMSQVLLMLATTDATTLPTPKQPGFAARRILMETDTSSSKPDCSIFDSATVTILEGR >ONIVA01G38090.1 pep chromosome:AWHD00000000:1:32609340:32613166:1 gene:ONIVA01G38090 transcript:ONIVA01G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGREKDRSVVVVVEDLGTATKDTVNPSAKSTRRYPLLSWTTILALIALVGVYIFSVSLKQNGMLLGLKQTDMIEKEREKLCQDPSIPVTEIPYVHYPTPDTYSRKECACTPVRFFAILSMQRSGSGWIETLLNSHENISSNGEIFSIKERRSNITSITKTLDKLYNLDWLSSAAKNECTAAVGLKWMLNQAQILAQFKPEIDTKKLIADLKKSDKLAADALLYFKKTRHIILYYEDVVSNDTKLMDVLDFLRLPKRKLSSRHVKIHTKLLRDHIDNWAEVNSTLMGTQYESFLNG >ONIVA01G38080.1 pep chromosome:AWHD00000000:1:32590838:32607284:1 gene:ONIVA01G38080 transcript:ONIVA01G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRAPRSLLPLLFVVAAAAFFSRAATGADVIGQAGFITGNQTLVSSGGVFELGFFVPNGATDGRTYLGIWYASIPGQTVVWVANRQDPVVNVPAVARLSADGRLVIVDAKNTTVWSSPAPARNVTAAGATARLQDDGNLVVSSGSPGSVAWQSFDYPTDTLLPGMKLGVDVKNGITRNMTSWTSSSDPSPGSYTFKLVPGGLPEFFLFRGPTMIYGSGPWNGAELTGVPDLKSQDFAFTVVSSPDETYYSYSILNPSLLSRFVADATAGQVQRFVWINGAWSSFWYYPTDPCDGYAKCGAFGYCDTSTPTLCSCLPGFQPRSPQQWGLRDASGGCVLTANLTCGAGDGFWTVNRMKLPAATNATVYAGMTLDQCRQVCLGNCSCRAYAAANVSGGVSRGCVIWAVDLLDMRQYPGVVQDVYIRLAQSEVDALNAAANSEHPSNSAVIAVVIATISGVLLLGAVGGWWFWRNRLRRRRNETAAAAAGGGDDVLPFRVRNQQLDVKRECDEKDLDLPLLDLKAIVAATDDFAASNKIGEGGFGPVYMGKLEDGQEVAVKRLSRRSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDDERMLVYEYMHNQSLDTFIFDEGKRKLLRWSKRFEIIVGVARGLLYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTAYTRKVIGTYGYMSPEYAMDGVFSMKSDVYSFGVLVLEIVSGRRNRGFYEAELDLNLLRYSWLLWKEGRSVDLLDQLLGGSFDYSEVLRCIQVALLCVEVQPRNRPLMSSVVMMLASENATLPEPNEPGVNIGRHASDTESSETLTVNGVTITEIECRATMCCLRRDQSMIGRFGDKRDMRRRRALALLLVTMAFFSRPVIAVDRIGLTASIIGKSTLESAKGVFKLGFFTPPGGKGTYLGIWYANIQSNLTVVWVANRQHPVINAPGVVTLSANGLVIVDAQNTTVWSSPVPAGAITAGATARLHDDGNFAVSSDGSDSQSVVLWQSFDYPTDTLLPGMKLGEDRKKGITRNITSWSSPTDPSPGKYTFKLVLGGLPEFFLFDNSKTTPIYASGPWNGEILTGVPGLKSQQAKGDFTFTVLSSPEETYYNYSISNRNPSFLTRFFVDGTEGKLQRIWSSDDGKSWINNKISYPIDPCDNYGSCGAFGYCVYTEGQPQQCNCLPGFQSLSAQGSFQDTSKGCARITNLTCGDGDGFWRVNRMKLPDATKATVHAGMTLDQCRQECLRNCSCNAYAAADVSGGVNRGCVIWTVGLMDMRKYPEEFVQDLYIRLPQSQIDALNAPARRRRLIKNVVIAVVTTICGILGVVGCCCLWRNKMRWKRHSRIGKSSEAGDIPFRVRKNPASSPARDQWFDENNTSVEDDLDLPLFDLEMIFDATDRFAANNKIGEGGFGPVYLGRLEDGQEVAVKRLSRRSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDNERVLVYEYMHNKSLDTFIFDEGNRKLLSWNKRFEIILGIARGLLYLHEDSRFRIIHRDLKASNVLLDRNMVPKVSDFGIARMFEGDQTTAYTGKVIGTYGYMSPEYAMDGVFSMKSDVFSFGVLVLEIVAGRRNRGFCESELNLNLLRYAWMLWKEGKSMNLLDELIGDIFDDIEVLRCIHVALLCVEVEPKNRPLMSSVVMMLASENATLPQPNEPGVNIGKITSDTESSHGLTSNSVTITTIEAR >ONIVA01G38070.1 pep chromosome:AWHD00000000:1:32570606:32587830:1 gene:ONIVA01G38070 transcript:ONIVA01G38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMMRTKSSCRKVITLLMIALWLARCLGRDSISVNESLSDGQTIVSMKNVFVLGFFSPGAPSHRYVGIWHNSLGNSTVVWVGNRNEPLSDASGVLMFDSNGNLVIAHGGRSLIVAYGKGAKDMKATILDSGNLVLSSMANRSRYMWQSFDSPTDTWLPEMKIGLRTANQSLISWWSNDDPAVGDYTLAMDPSGLSKLIIWWKETIYWSSAQWNSSELSLIPQLSSFTPISIFFRCSNFTNDISCTYSANPSDRMTKILLNPAGSLNIMQFDSLAQVWILVWSVPSTCEVHNLCGAFGVCNNSRVLKCVCTRGFVPRDLAAYSSGNTWKGCTRKIKLQCSSDEFHEIPNARLPDNGKKLTVTGLRECKLACLINCSCTAYAYSQLDGCSLWYGDLLNLQDSYDVNGARTLCLRLAKSELEYGGSSGKEKSHPHHSLTTLGMDSEVKLWDSEEAGSHFVFFSFSQIANSTTNFSAQNKLGEGGFGPVYKATLSAIVPFKKATVVQEGNCGGSLCLRVAARTVKPVASATLAVAPALVAAIPSLMATEARPAPLKLMTKSTGKSMEDITAGDPLLRPASGGEGSLADGQDIAVKRLATNSAQGLVEFKNEVLLIAKLQHVNLVRLLGCCIQGEEKILVYEYMPNKSLDFFLFEKSRRIILDWRKRVHIIEGIAHGLLYLHKHSRLRIIHRDLKASNILLDIDMNPKISDFGMARIFGSKETEANTNRVVGTYGYMAPEYAMQGIFSVKSDVFSFGVLLLEIVSGMRNAGSHRRGRSLNLLGHAWELWREGRWFDLVDPSTRDAYPEHRVLRCVHVGLMCVQENAVDRPTMSDVISMLTSESITLPDPRQPAFLSIVLSAEMDAHDGSFSQNAMTITDLEDGQTIVSANETFTLGFFSPGTSTYRYVGIWYNHVPNRTVVWVANRNNPVLDTSGILMFDTSGNLVILDGRGSSFTVAYGSGAKDTEATILDSGNLVLRSVSNRSRVRWQSFDYPTDTWLQGMNLGFVGAQNQLLTSWRSSDDPAIGDYSFGMDPNEKGLRSVTLPYQLVECGLCLGAGQREAAKHIVHVELLASVPEIKTGKTVANAQKDLIQEMGLDGLVEIPGEDDKCSLWYGNIMNLREGESGDAVGTFYLRLAASELESRGTPVVLIAATVSSVAFLIFASLIFLWMWRQKSKAKGVDTDSAIKLWESEETGSHFTSFCFSEIADATCKFSPENKLGEGGFGPVYKGNLPEGQEIAVKRLAAHSGQGLLEFKNEIMLIAKLQHRNLVRLLGCCIQGEEKILIYEYMPNKSLDFFLFEQSRREMLDWATRITIIEGIAQGLLYLHKHSRFRIIHRDLKASNILLDIDMNPKISDFGMARIFGSKETEANTNRAWELWKEGRWSELADPSIYNACPEHKESPINRPTMTEIISALDNESTTLPEPKQPAFVSAGIWTEAGVHGGTHSINGMTISDTQGR >ONIVA01G38070.2 pep chromosome:AWHD00000000:1:32570606:32587830:1 gene:ONIVA01G38070 transcript:ONIVA01G38070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMMRTKSSCRKVITLLMIALWLARCLGRDSISVNESLSDGQTIVSMKNVFVLGFFSPGAPSHRYVGIWHNSLGNSTVVWVGNRNEPLSDASGVLMFDSNGNLVIAHGGRSLIVAYGKGAKDMKATILDSGNLVLSSMANRSRYMWQSFDSPTDTWLPEMKIGLRTANQSLISWWSNDDPAVGDYTLAMDPSGLSKLIIWWKETIYWSSAQWNSSELSLIPQLSSFTPISIFFRCSNFTNDISCTYSANPSDRMTKILLNPAGSLNIMQFDSLAQVWILVWSVPSTCEVHNLCGAFGVCNNSRVLKCVCTRGFVPRDLAAYSSGNTWKGCTRKIKLQCSSDEFHEIPNARLPDNGKKLTVTGLRECKLACLINCSCTAYAYSQLDGCSLWYGDLLNLQDSYDVNGARTLCLRLAKSELEYGGSSGKEKSHPHHSLTTLGMDSEVKLWDSEEAGSHFVFFSFSQIANSTTNFSAQNKLGEGGFGPVYKATLSAIVPFKKATVVQEGNCGGSLCLRVAARTVKPVASATLAVAPALVAAIPSLMATEARPAPLKLMTKSTGKSMEDITAGDPLLRPASGGEGSLADGQDIAVKRLATNSAQGLVEFKNEVLLIAKLQHVNLVRLLGCCIQGEEKILVYEYMPNKSLDFFLFEKSRRIILDWRKRVHIIEGIAHGLLYLHKHSRLRIIHRDLKASNILLDIDMNPKISDFGMARIFGSKETEANTNRVVGTYGYMAPEYAMQGIFSVKSDVFSFGVLLLEIVSGMRNAGSHRRGRSLNLLGHAWELWREGRWFDLVDPSTRDAYPEHRVLRCVHVGLMCVQENAVDRPTMSDVISMLTSESITLPDPRQPAFLSIVLSAEMDAHDGSFSQNAMTITDLEDGQTIVSANETFTLGFFSPGTSTYRYVGIWYNHVPNRTVVWVANRNNPVLDTSGILMFDTSGNLVILDGRGSSFTVAYGSGAKDTEATILDSGNLVLRSVSNRSRVRWQSFDYPTDTWLQGMNLGFVGAQNQLLTSWRSSDDPAIGDYSFGMDPNEKGLRSVTLPYQLVECGLCLGAGQREAAKHIVHVELLASVPEIKTGKTVANAQKDLIQEMGLDGLVEIPGEDDKCSLWYGNIMNLREGESGDAVGTFYLRLAASELESRGTPVVLIAATVSSVAFLIFASLIFLWMWRQKSKAKGVDTDSAIKLWESEETGSHFTSFCFSEIADATCKFSPENKLGEGGFGPVYKGNLPEGQEIAVKRLAAHSGQGLLEFKNEIMLIAKLQHRNLVRLLGCCIQGEEKILIYEYMPNKSLDFFLFEQSRREMLDWATRITIIEGIAQGLLYLHKHSRFRIIHRDLKASNILLDIDMNPKISDFGMARIFGSKETEANTNRAWELWKEGRWSELADPSIYNACPEHKESPINRPTMTEIISALDNESTTLPEPKQPAFVSAGIWTEAGVHGGTHSINGMTISDTQGR >ONIVA01G38070.3 pep chromosome:AWHD00000000:1:32570678:32587830:1 gene:ONIVA01G38070 transcript:ONIVA01G38070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMMRTKSSCRKVITLLMIALWLARCLGRDSISVNESLSDGQTIVSMKNVFVLGFFSPGAPSHRYVGIWHNSLGNSTVVWVGNRNEPLSDASGVLMFDSNGNLVIAHGGRSLIVAYGKGAKDMKATILDSGNLVLSSMANRSRYMWQSFDSPTDTWLPEMKIGLRTANQSLISWWSNDDPAVGDYTLAMDPSGLSKLIIWWKETIYWSSAQWNSSELSLIPQLSSFTPISIFFRCSNFTNDISCTYSANPSDRMTKILLNPAGSLNIMQFDSLAQVWILVWSVPSTCEVHNLCGAFGVCNNSRVLKCVCTRGFVPRDLAAYSSGNTWKGCTRKIKLQCSSDEFHEIPNARLPDNGKKLTVTGLRECKLACLINCSCTAYAYSQLDGCSLWYGDLLNLQDSYDVNGARTLCLRLAKSELEYGGSSGKEKSHPHHSLTTLGMDSEVKLWDSEEAGSHFVFFSFSQIANSTTNFSAQNKLGEGGFGPVYKATLSAIVPFKKATVVQEGNCGGSLCLRVAARTVKPVASATLAVAPALVAAIPSLMATEARPAPLKLMTKSTGKSMEDITAGDPLLRPASGGEGSLADGQDIAVKRLATNSAQGLVEFKNEVLLIAKLQHVNLVRLLGCCIQGEEKILVYEYMPNKSLDFFLFEKSRRIILDWRKRVHIIEGIAHGLLYLHKHSRLRIIHRDLKASNILLDIDMNPKISDFGMARIFGSKETEANTNRVVGTYGYMAPEYAMQGIFSVKSDVFSFGVLLLEIVSGMRNAGSHRRGRSLNLLGHAWELWREGRWFDLVDPSTRDAYPEHRVLRCVHVGLMCVQENAVDRPTMSDVISMLTSESITLPDPRQPAFLSIVLSAEMDAHDGSFSQNAMTITDLEDGQTIVSANETFTLGFFSPGTSTYRYVGIWYNHVPNRTVVWVANRNNPVLDTSGILMFDTSGNLVILDGRGSSFTVAYGSGAKDTEATILDSGNLVLRSVSNRSRVRWQSFDYPTDTWLQGMNLGFVGAQNQLLTSWRSSDDPAIGDYSFGMDPNEKGLRSVTLPYQLVECGLCLGAGQREAAKHIVHVELLASVPEIKTGKTVANAQKDLIQEMGLDGLVEIPGEDDKCSLWYGNIMNLREGESGDAVGTFYLRLAASELESRGTPVVLIAATVSSVAFLIFASLIFLWMWRQKSKAKGVDTDSAIKLWESEETGSHFTSFCFSEIADATCKFSPENKLGEGGFGPVYKGNLPEGQEIAVKRLAAHSGQGLLEFKNEIMLIAKLQHRNLVRLLGCCIQGEEKILIYEYMPNKSLDFFLFEQSRREMLDWATRITIIEGIAQGLLYLHKHSRFRIIHRDLKASNILLDIDMNPKISDFGMARIFGSKETEANTNRAWELWKEGRWSELADPSIYNACPEHKESPINRPTMTEIISALDNESTTLPEPKQPAFVSAGIWTEAGVHGGTHSINGMTISDTQGR >ONIVA01G38060.1 pep chromosome:AWHD00000000:1:32569487:32569666:-1 gene:ONIVA01G38060 transcript:ONIVA01G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPATSPAWGVAAEDMTASNELRRGARMTKDAAASDDFRHEAWTTEDTAAGDDLRHGA >ONIVA01G38050.1 pep chromosome:AWHD00000000:1:32565932:32566267:-1 gene:ONIVA01G38050 transcript:ONIVA01G38050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADKGSGVQFEDFLPSMARKLGVEGLIEELCKGFELLMDPGAGKITFRSLKRNAAMLGLGELRDDELSEMMREGDLDGDGALDQMEFCVLMVRLSPELMQDESHRAFQC >ONIVA01G38040.1 pep chromosome:AWHD00000000:1:32563125:32566498:1 gene:ONIVA01G38040 transcript:ONIVA01G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:frataxin homolog [Source:Projected from Arabidopsis thaliana (AT4G03240) TAIR;Acc:AT4G03240] MASRKLVLGLTAARRLRSRAPVLVSSSPFLEASTSTSDATAATATRGSGLGPWGLFLSSRALSSTRPVSLSAGDAPGSSAADHRSILPEDEYHKLADETIHDLLEKLEEYGDSLQMDGFDIDYGNQVLTLRLGELGTYVVNKQAPNRQIWLSSPVSGPSRFDWDAPTNCWIYRRTGANLVELLEKEIGELCGTPVELS >ONIVA01G38030.1 pep chromosome:AWHD00000000:1:32559458:32560276:-1 gene:ONIVA01G38030 transcript:ONIVA01G38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSSPSAGGGGDVSASSPTLPPVRLAAAQAASAAAIHPTSPRYFFSSLAGTNASPHRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVSDDADGEVAPAASAEELQKKREEDFDAFTSTKAEDLAQPLVDAQIPFKIHVVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRKGGKGRLGSVSDYCVHHCVCPVVVVRYPDDAAGADGEAAGPTDELHTVPEDEPVYHDAPDVQKGLPFYIAQFNRY >ONIVA01G38020.1 pep chromosome:AWHD00000000:1:32546759:32549376:-1 gene:ONIVA01G38020 transcript:ONIVA01G38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT1G27410) TAIR;Acc:AT1G27410] MPLFPSSPKGQSSNRRREREPGELRREAVGPAMDEGLVSVDKFSGGSQAYFLTHLHQDHTRGLGAAGGWRHGPLYCSPVTARLLPTRFPGVDASLLRPLAPGASASLSLSSPSTGRAVSVVVTAIPALHCPGSLMYLFRGDLGCMLYTGDFRWELRCERARAAKKALLDALAGDTVDVLYLDNTYCHPSLSFPPRPIVAEQIVNIVRAHPDHEIIIGVDTLGKEDLLLHISRALQTKVIWVWPQRLQTTHLLGIDDNQEIFTTQTSLTRIRAVPRYSLTIESLDALNTVCPTIGIMPSGIPWLWKNSKGKAKSGVKSPAKSIRCKGLDEGAIEMDYDPLSPPKLFEKDSYSLPYSEHACFAELEDFMLTVRPSTVIGIVSTSFCYVNPRHHFSHLCADNVYSDKTPEKNKGKDISVLTPKKRQNGSKTPKEKKIRIVYGSRVTMKRKECCGAKIVEPEEPISVA >ONIVA01G38010.1 pep chromosome:AWHD00000000:1:32537901:32543958:-1 gene:ONIVA01G38010 transcript:ONIVA01G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FU70] MANHSQLIAQDSMKNNLVKNNMLKEFYIPTYIFVPESPVEKVSQIPSCPVIVFINTKSGGQLGHDLIVTCRKLLNNSQVFDLLEEAPDKVLHKLYGNMERLMRDGDTVAAEIHRRLRLIVAGGDGTAGWLLGVVSDLKLVHPPPVATVPLGTGNNLPYSFGWGKRNPGTDEKSVLSFLQSVRQAKEMKIDSWHIVMKMESPKSSTCDPIAPLDLPHSLHAFHRVPNNPQDKEYSCTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLSNQEHCAPFKSKDNEEIWKMGNIGNSTERDLVMPPLVDDGLLEIVGFKDAWHGLVLLSPKGHGTRLAQAHRVQFKFHKGATDHAYMRLDGEPWNQPLPKDDGKVLVEISHAGQVKMLATKNCIAKGIHEALAMSTVHPESSSSSDDTDDDDDFAEERKNFENYLLQNLFDSLLVDFPNPKTNSSGRETLKVCLLLSPKKLLDISKAIDLFPKWIIASLCPIVTYRNRKRNENLMLDLLIKHMRMLE >ONIVA01G38000.1 pep chromosome:AWHD00000000:1:32534633:32538734:1 gene:ONIVA01G38000 transcript:ONIVA01G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVTSFPHAIPKPHHHLHAAHHAHLAAAATRPEAPSASSPNPANARLRRLIARDDLAEAARLVDRATSRGEAPDVYLCTKLIRNLCRRGRTSDAARVLRAAERSGTAVDVFAYNTLVAGYCRYGQLDAARRLIASMPVAPDAYTYTPIIRGLCDRGRVGEALSLLDDMLHRGCQPSVVTYTVLLEAVCKSTGFGQAMEVLDEMRAKGCTPNIVTYNVIINGMCREGRVDDAREFLNRLSSYGFQPDTVSYTTVLKGLCAAKRWEDVEELFAEMMEKNCMPNEVTFDMLVRFFCRGGMVERAIQVLEQMSGHGCAANTTLCNIVINTICKQGRVDDAFQFLNNMGSYGCSPDTISYTTVLKGLCRAERWEDAKELLKEMVRKNCPPNEVTFNTFICILCQKGLIEQATMLIEQMSEHGCEVNIVTYNALVNGFCVQGRVDSALELFYSMPCKPNTITYTTLLTGLCNAERLDAAAELLAEMLQKDCAPNVVTFNVLVSFFCQKGLMDEAIELVEQMMEHGCIPNLITYNTLLDGITKDCNSEEALELLHGLVSNGVSPDIVTYSSIIGVLSREDRVEEAIKMFHIVQDLGMRPKAVIYNKILLALCKRCNTDGAIDFFAYMVSNGCMPNELTYITLIEGLANEDFLKETRDLLRELCSRGVLNKNLLEEWRPKPQTRAPSLMYRNRDCVAQLPELVQQSTSYANMLYKAQ >ONIVA01G38000.2 pep chromosome:AWHD00000000:1:32534633:32539870:1 gene:ONIVA01G38000 transcript:ONIVA01G38000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVTSFPHAIPKPHHHLHAAHHAHLAAAATRPEAPSASSPNPANARLRRLIARDDLAEAARLVDRATSRGEAPDVYLCTKLIRNLCRRGRTSDAARVLRAAERSGTAVDVFAYNTLVAGYCRYGQLDAARRLIASMPVAPDAYTYTPIIRGLCDRGRVGEALSLLDDMLHRGCQPSVVTYTVLLEAVCKSTGFGQAMEVLDEMRAKGCTPNIVTYNVIINGMCREGRVDDAREFLNRLSSYGFQPDTVSYTTVLKGLCAAKRWEDVEELFAEMMEKNCMPNEVTFDMLVRFFCRGGMVERAIQVLEQMSGHGCAANTTLCNIVINTICKQGRVDDAFQFLNNMGSYGCSPDTISYTTVLKGLCRAERWEDAKELLKEMVRKNCPPNEVTFNTFICILCQKGLIEQATMLIEQMSEHGCEVNIVTYNALVNGFCVQGRVDSALELFYSMPCKPNTITYTTLLTGLCNAERLDAAAELLAEMLQKDCAPNVVTFNVLVSFFCQKGLMDEAIELVEQMMEHGCIPNLITYNTLLDGITKDCNSEEALELLHGLVSNGVSPDIVTYSSIIGVLSREDRVEEAIKMFHIVQDLGMRPKAVIYNKILLALCKRCNTDGAIDFFAYMVSNGCMPNELTYITLIEGLANEDFLKETRDLLRELCSRGVLNKNLLEEWRPKPQTRAPSLMYRNRDCVTIGHRDAIIHFGNKSMAWQREAVSVLPRFKFCPLSPVK >ONIVA01G37990.1 pep chromosome:AWHD00000000:1:32533264:32533653:-1 gene:ONIVA01G37990 transcript:ONIVA01G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGAAALAIIASLLVAVTLADARLTAHHGYVVVEDVKAPVPALTCNKVHGVQASETCFSVSQSAGLTQDQFLAFNPNINCAKVFVGQWVCLDAAAA >ONIVA01G37980.1 pep chromosome:AWHD00000000:1:32530069:32530592:-1 gene:ONIVA01G37980 transcript:ONIVA01G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTATGLLVAFLFVAAVAIADGRSLSVAHEKFHGDGADHHYVAPEHATTPLPLICTGVHGVEAGETCDSIARRFHAGLGRAPFFRLVSLNPNINCRELFVGQWVCIQGLLPV >ONIVA01G37970.1 pep chromosome:AWHD00000000:1:32527172:32527672:-1 gene:ONIVA01G37970 transcript:ONIVA01G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGHKRAVTANADIGFMDYVSSKCLSAAEVSSDGPCKTPLLKIMKTCAFTSALRSKPRVNKSASVKCAADMVENSSEFSNGSGLRCLLLEKQEVFMYFDNDACSKKLHGWPGALIDNCRGRNIKLDMGVLCN >ONIVA01G37960.1 pep chromosome:AWHD00000000:1:32521301:32525524:-1 gene:ONIVA01G37960 transcript:ONIVA01G37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHSATASSSAAAASSAHGVGVVQRLWLEEQERKPPPKRGGGKRRWAWAPLEPRRAGWWAREWDRAYLLACAAGLMVDPLFLYAVSVSGPLMCVFLDGWFAAAVTVLRCTVDAMHAWNLLMRLRAAVRPPEEDDGADEEVAAERGAGGNGGGPAPAQVARPVSRKGLMLDMFVILPVMQVIVWVAAPAMIRAGSTTAVMTVLLVSFLFEYLPKIYHAVRLLRRMQNTYVFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLKEQCARGGSGCAPGALACAAPLYYGGAVGGVGADRLAWALDASARGTCLDSGDNYQYGAYKWTVMLVANPSRLEKILLPIFWGLMTLSTFGNLASTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNAATSKKQAMQTRLRGVEWWMKRKKLPQSFRHRVRQHERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFPKGEIIVREGDPVQRMLFIVRGHLQSSQVLRTGATSCCTLGPGNFSGDELLSWCMRRPFLERLPASSSTLVTMESTEAFGLEAADVKYVTQHFRYTFTNDRVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNPNQDDLV >ONIVA01G37960.2 pep chromosome:AWHD00000000:1:32521301:32525524:-1 gene:ONIVA01G37960 transcript:ONIVA01G37960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHSATASSSAAAASSAHGVGVVQRLWLEEQERKPPPKRGGGKRRWAWAPLEPRRAGWWAREWDRAYLLACAAGLMVDPLFLYAVSVSGPLMCVFLDGWFAAAVTVLRCTVDAMHAWNLLMRLRAAVRPPEEDDGADEEVAAERGAGGNGGGPAPAQVARPVSRKGLMLDMFVILPVMQVIVWVAAPAMIRAGSTTAVMTVLLVSFLFEYLPKIYHAVRLLRRMQNTYVFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLKEQCARGGSGCAPGALACAAPLYYGGAVGGVGADRLAWALDASARGTCLDSGDNYQYGAYKWTVMLVANPSRLEKILLPIFWGLMTLSGHVRRVYHSLGVFLNAATSKKQAMQTRLRGVEWWMKRKKLPQSFRHRVRQHERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFPKGEIIVREGDPVQRMLFIVRGHLQSSQVLRTGATSCCTLGPGNFSGDELLSWCMRRPFLERLPASSSTLVTMESTEAFGLEAADVKYVTQHFRYTFTNDRVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNPNQDDLV >ONIVA01G37960.3 pep chromosome:AWHD00000000:1:32521301:32525524:-1 gene:ONIVA01G37960 transcript:ONIVA01G37960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHSATASSSAAAASSAHGVGVVQRLWLEEQERKPPPKRGGGKRRWAWAPLEPRRAGWWAREWDRAYLLACAAGLMVDPLFLYAVSVSGPLMCVFLDGWFAAAVTVLRCTVDAMHAWNLLMRLRAAVRPPEEDDGADEEVAAERGAGGNGGGPAPAQVARPVSRKGLMLDMFVILPVMQVIVWVAAPAMIRAGSTTAVMTVLLVSFLFEYLPKIYHAVRLLRRMQNTYVFGTIWWGIALNLMAYFVAAHVSRRAPPSISASSLDRSSLRDSASSLMQAVGACWYLLGAQRATKCLKEQCARGGSGCAPGALACAAPLYYGGAVGGVGADRLAWALDASARGTCLDSGDNYQYGAYKWTVMLVANPSRLEKILLPIFWGLMTLSTFGNLASTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNAATSKKQAMQTRLRGVEWWMKRKKLPQSFRHRVRQHERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFPKGEIIVREGDPVQRMLFIVRGHLQSSQVLRTGATSCCTLGPGNFSGDELLSWCMRRPFLERLPASSSTLVTMESTEAFGLEAADVKYVTQHFRYTFTNDRVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNPNQDDLV >ONIVA01G37950.1 pep chromosome:AWHD00000000:1:32508537:32513117:-1 gene:ONIVA01G37950 transcript:ONIVA01G37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHEERAVVAARGVTGRGGDLHLASRAGERIMKMNGSSGSQGHNVNGGQKKVQHASPLTLNNGSKHRPLTPMRRCRGVACVVIILSTAFTLIVFIAPITTFLVRLVSVHYSRKATSVLFGMWLSLWPFLFEKINKTNVVFSGESVLPKKRVLLFANHRTEVDWMYLWDLALRKGYLGYIKYILKSSLMKLPVFSWAFHIFEFIPVERKWEIDEAIIQNKLSAFKDPRDPLWLAVFPEGTDYTEKKCIKSQEYASEHGLPILKNVLLPKTKGFLCCLQELKSSLDAVYDVTIVYKHRLPDFLDIIYGTDPSEVHIHIRTVKLCDIPTSEDEVTDWMIERFRQKDQLLSDFFMQGHFPDEGTEGDVSTPECLANFIAIVSSTGFFLYLSLFSSVWFKVYVLLSCAYLTFVTYFSIQPPQLICSSEGGTHAKKVL >ONIVA01G37950.2 pep chromosome:AWHD00000000:1:32508537:32513117:-1 gene:ONIVA01G37950 transcript:ONIVA01G37950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHEERAVVAARGVTGRGGDLHLASRAGERIMKCIKSQEYASEHGLPILKNVLLPKTKGFLCCLQELKSSLDAVYDVTIVYKHRLPDFLDIIYGTDPSEVHIHIRTVKLCDIPTSEDEVTDWMIERFRQKDQLLSDFFMQGHFPDEGTEGDVSTPECLANFIAIVSSTGFFLYLSLFSSVWFKVYVLLSCAYLTFVTYFSIQPPQLICSSEGGTHAKKVL >ONIVA01G37940.1 pep chromosome:AWHD00000000:1:32500895:32506551:-1 gene:ONIVA01G37940 transcript:ONIVA01G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHRATAKVRVNGAPAEATLFCTDPPGAANGGGGDGGELRWRCAGGAAAERVLSLDADVLGVEARGKEVIVKAFVLPADAAARSVSCAAGAGKGGGGRRRRRRDYVFEMAAGEDAAAAWCDRMRGSLDSLGRPKRLFILVNPFGGKKCGKKIYEAEIKPLFEAAGVNVTMQETRYQGHAREVASSLDLARYDGIVCVSGDGVLVEVVNGILQRMDWEEAMKIPIGVVPAGTGNGMAKSLLHSASKTYSVPNAVFAIIRGLVADIDIESEKYRWMGSARFDFYALVRIMNLRKYYGSSEWRSLDGPFVSIWINNVQWAAESIMAAPGAKFSDGYMDAVIVRDCPKADLLALLMKMGDGSHVKSPYVTYLKVRCLRLSPGQLVENPKRGGIIDVDGEAIARGEGTYGKNQKQDVMGYGPSIQMTVHRALATMYCPK >ONIVA01G37940.2 pep chromosome:AWHD00000000:1:32500895:32506551:-1 gene:ONIVA01G37940 transcript:ONIVA01G37940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHRATAKVRVNGAPAEATLFCTDPPGAANGGGGDGGELRWRCAGGAAAERVLSLDADVLGVEARGKEVIVKAFVLPADAAARSVSCAAGAGKGGGGRRRRRRDYVFEMAAGEDAAAAWCDRMRGSLDSLGRPKRLFILVNPFGGKKCGKKIYEAEIKPLFEAAGVNVTMQETRYQGHAREVASSLDLARYDGIVCVSGDGVLVEVDFFTDILVYPFRGMRKRLAYDCVKRKGYTSISIGESPDLQERLAKSNKKKDLQVVNGILQRMDWEEAMKIPIGVVPAGTGNGMAKSLLHSASKTYSVPNAVFAIIRGLVADIDIESEKYRWMGSARFDFYALVRIMNLRKYYGSIQYVPAPGYEAYGDVVKQVENCTVECQEQIGKSLCSYQGPSVEFQGSEWRSLDGPFVSIWINNVQWAAESIMAAPGAKFSDGYMDAVIVRDCPKADLLALLMKMGDGSHVKSPYVTYLKVRCLRLSPGQLVENPKRGGIIDVDGEAIARGEGTYGKNQKQDVMGYGPSIQMTVHRALATMYCPK >ONIVA01G37940.3 pep chromosome:AWHD00000000:1:32500895:32506551:-1 gene:ONIVA01G37940 transcript:ONIVA01G37940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHRATAKVRVNGAPAEATLFCTDPPGAANGGGGDGGELRWRCAGGAAAERVLSLDADVLGVEARGKEVIVKAFVLPADAAARSVSCAAGAGKGGGGRRRRRRDYVFEMAAGEDAAAAWCDRMRGSLDSLGRPKRLFILVNPFGGKKCGKKIYEAEIKPLFEAAGVNVTMQETRYQGHAREVASSLDLARYDGIVCVSGDGVLVEVVNGILQRMDWEEAMKIPIGVVPAGTGNGMAKSLLHSASKTYSVPNAVFAIIRGLVADIDIESEKYRWMGSARFDFYALVRIMNLRKYYGSIQYVPAPGYEAYGDVVKQVENCTVECQEQIGKSLCSYQGPSVEFQGSEWRSLDGPFVSIWINNVQWAAESIMAAPGAKFSDGYMDAVIVRDCPKADLLALLMKMGDGSHVKSPYVTYLKVRCLRLSPGQLVENPKRGGIIDVDGEAIARGEGTYGKNQKQDVMGYGPSIQMTVHRALATMYCPK >ONIVA01G37930.1 pep chromosome:AWHD00000000:1:32495018:32498884:1 gene:ONIVA01G37930 transcript:ONIVA01G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLAGLHLAARPIFEKLLAEASTYLGVDMMCEFHELETTIMPQFELVIEAAEKGNHRAKLDKWLQELKEAFYNAEDLLEEHEYNILKHKAKSNGSLGKYSTQAHTSSISNILKQPLHAASSRLSNLRPENRKLLRQLNELKTILAKAKEFRELLCLPAVNSVPDSIVPIPDVPVATSLLPPRVFGRDMDRDRIIHLLTEPTAAVSGSAGYSGLAIVAHGGAGKSTLAQYVYNDKRVQEHFDVRMWVCISRKLDVSRHTREIIESATNRECPCVENLDTLQCRLKDILQKSEKLLLVLDDVWFDKFNNETEWDQLLDPLVSLKEGSRVLVTSRQDVLPAALRCKDVVRLEDMEDTEFLALFKHHAFSGTEIQNPQLRGRLEKIAEKIVKRLGHSPLAARTVGSQLSRKKDINVWKSALNIETLSEPVKALLWSYNKLDSRLQRCFLYCSLFPKGHKYKIKEMVDLWVAEGLIDSRSPGDKRIEDVGRDYFNEMVSGSFFQPVSERYMGTWYIMHDLLHGLAESLTKEDCFRLEDDGVKEIPTTVRHLSVRVESMKFHKQSICNLRYLRTVICIDPLTDDGDDVFNQILKHLKKLRVLYLSFYNSSRLPECIGELKHLRYLNIIRTLISELPRSLCTLYHLQLLQLNKKVKCLPDKLCNLSKLRRLEAFDDRIDELINAALPQIPFIGKLTLLQHIDGFFVQKQKGYELQQLGNMNELGGNLRVMNLENVSGKDEATESKLHQKARLRGLHLSWNDVDGMDVSHLEILEGLRPPSQLEDLTIEGYKSAMYPSWLLDGSYFENLESFTLANCCGLGSLPPNTEIFRHCVRLTLKNVPNMKTLSFLPEGLTNLSIVGCPLLVFTTNDDELEHHDYRESITRANNLETQLVLIWEEDSDSDIRSTLSSEHSSMKKLTELMDTDISGNLQTIESALEIERDEALVKEDIIKVWFCCHEERMRFIYSRKAGLPFVPPSGLCKLNLSSCSITDGALAICLGGLTSLRNLYLTEIMTLTTLPPEEVLQHLGNLRYLVIRSCWCLRSFGGLRSATSLSEIRLFSCPSLQLARGAEFMPMSLEKLCVYSCVLSAYFFCGDWPHLDYILLSGCRSSASLYVGDLTSLQSFSLYRLPDLYVLEGLSSLHLHHVHLIDVPRLTTECTSQFRVQDSLYISSSVMLNCMLSAEGFKVPEFLSLESCKEPSVSLEESANFTSVKCLRLFYCEMSSLPGNMKCLSSLKKLDIYSCPNISSIPDLPSSLQHICIWGCELLKKSCRAPDGESWPKIAHIRWKEFR >ONIVA01G37920.1 pep chromosome:AWHD00000000:1:32459753:32461561:-1 gene:ONIVA01G37920 transcript:ONIVA01G37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAIYSADIWTQRPHAVETREIQEQKPPREFVAVNARGRAEKKPPATHARWTLGGGGCPRRWPWRRLFFSSPWSHTPAAAAAYGEASGDAGVTALQKHAAFFDKDGDGIVSLSETYDGLRALGLGSGLSSLSAAFINGVLSPKTRPDNGTAPRLSIYIENIYKGIHGSDSSAYDSEGRFVAEKFEEIFAKHAKTVPDALTSDEIDELLQANRKPGDYTGWVAASSEWKILYKIGKDKDGLLRKEAVREVYDGSLFTKLAAARINDENQA >ONIVA01G37910.1 pep chromosome:AWHD00000000:1:32457874:32458710:-1 gene:ONIVA01G37910 transcript:ONIVA01G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSTATSVAAALLLSLLLARARGDDDYSGFVYAGCSQGRYASGTQYASDVDSVLTSVANSAPYSPYANFTSPTSNSVVGVYQCRSDLPASVCTGCVRSAISRLSSLCAWATGGAVQLRACFVRYGNDTFLGKQDTAVLFKKCGGSPGDAGGAAMRDSALGALVAAAAPAGGGYRAGGSGGVQAMSQCVGDLGAKACSDCVSAAAGQLKAGCGYATAGEVYLGKCYARFWGNGGGGFSSGAAGDAYGSGHRVSGNRFVLAVAGGFFTSLAYIFVLM >ONIVA01G37900.1 pep chromosome:AWHD00000000:1:32455190:32455588:-1 gene:ONIVA01G37900 transcript:ONIVA01G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTLPGLDGLRRGMISVVRSALKPMMSVVPFCLFLLMDIYWKYETRPTCDDEHHCTPSEHLRHQKSIMKSQRNALLIAAALLLYWILFSVTSLVVRLDQLQQRVDKLKKRDD >ONIVA01G37890.1 pep chromosome:AWHD00000000:1:32446585:32452581:-1 gene:ONIVA01G37890 transcript:ONIVA01G37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGTRGRFHARRRPRPSSPLRPSARSALPESHPDTVRVRRVAANIVSTTLEDAVFGQRRLSERIGHRFVPGINWRVHVINDDKSLAGCLESGEILVFTGFLNAYCQKDDDLATTLGHEELLYVPVDRIPHAEWVSLFMRNFLHRTELEADRIGLMLQAAAGYDPRANPNFWEALMKFGNGEGTTHPPLQRRAAEVRQEKVMGEALEVFREAATRMLDWKSKCQQIRSTLLSDHVT >ONIVA01G37880.1 pep chromosome:AWHD00000000:1:32440240:32443537:-1 gene:ONIVA01G37880 transcript:ONIVA01G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLKNSRSVLSRLLRHKPTGCPRLPPSPPLPQAPPAGYYFTSPSRPEAVRFGRVLLRSPPPPPRPAQAPPSRYFYTSPQRQKVVHFNRRRGSRWYHDPRKLTTVVVVSGGAAAAVYFGNLETVPYTNRTHLILLSPPLERQLGESQFNNLKKELGPKILPPLHPDSIRVRLIASEVVRAVHRGLAGRHHDAFAADDASYGDISTDVVIKNHEAGAEDVMLGRSRGNKNASVAAAAQRDEEVLDDRWVTESRDRGKARGAQPETRHLDGLNWEVIVVRDDLINAMCLPGGKIVVFTGLLNHFKTDAEIATVLGHEVNLGFPGSCVILVGHAIARHAAEMITKNLWFWILQIVIMQFIYMPDMINAMSTLLLKLPFSRRMEIEADHIGLLVLGAAGYDPRVAPSVYEKLGKIAGDSTLSNYLSTHPSSKKRAQLLRQAKVMDEALRLYREVSSGQGTEGFL >ONIVA01G37870.1 pep chromosome:AWHD00000000:1:32434153:32438093:1 gene:ONIVA01G37870 transcript:ONIVA01G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FU50] MASLTDLVNLNLSDTTEKIIAEYIWIGGSGMDLRSKARTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSSPEVASEEPWYGIEQEYTLLQKDINWPLGWPVGGFPGPQGPYYCGIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDQVWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGYEIIKSAIEKLKLRHKEHISAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTIIWKP >ONIVA01G37850.1 pep chromosome:AWHD00000000:1:32418676:32421354:-1 gene:ONIVA01G37850 transcript:ONIVA01G37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G01680) TAIR;Acc:AT2G01680] MDLPPLSHQALFAAVRSADAAAVAGLLADAGASGPTTQALAAAQTDAGETALYVAAEAGSEEIVRLLIPLYDLEAATVRSRLDLDAFHVAAKQGHTGAVKEFLGRWPELCSICDSSNTSPLYSAAVKDHLDVVNAILDTDDSCIRIVRKNGKTSLHTAARIGYHRIVKALIERDPGIVPIRDRKGQTALHMAVKGKNTDVVEELLMADVSILNVRDKKANTALHIATRKWRPQMVQLLLSYEALEVNAINNQNETAMDLAEKVPYGESKMEIIEWLTEAGAKNARNVGKIDEASELRRTVSDIKHNVQAQLNENAKTNKRVTGIAKELRKLHREAVQNTINSVTMVATLIASIAFVAIFNLPGQYYVDRDSGGDIGEAHIANLTGFRVFCLLNATALFISLAVVVVQITLVAWETGAQKRVIKIVNKLMWSACLSTCAAFISLAYVVVGPQNAWMAFTISAIGGPIMIGTLLFLAYLLLRPRFKFGEDRQRRIKRASGSKSFSWSIHEGISDLEAFSDHEKRIYAL >ONIVA01G37840.1 pep chromosome:AWHD00000000:1:32415805:32417737:-1 gene:ONIVA01G37840 transcript:ONIVA01G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCRLLHPYPQPLPLPPPPPTSTPRPTHLQWGALRRRRRHHFLRCVAASAATLQKELTVPRTPTAAQSPGPVNPPTLFDRMPERSVATVSAAGNLLDEMSRTCGAGQRGRPVEAPPRDGGGKSASAAIVALAHAGRHAEVVELFCRMRRGGVPVSRFVLPSVLAACAGLRDIGMLRAVHALVIKCGLCQHVIVGTALVDGYTDFGLVDDARKAFDEITDANIVSWSVLIGGYARSSRWEETLDAFSAMRRAGVLPNDSVLVMAIQACGVLGRLVHGKQLHGLAVVLGFDRNATVWNCLMDMYGKCGDIDSCKMVFETMIGRDQVSWNTLISSYARVGLCEEALDMIVQMQESGYIVDRFTLGSGVTACARLADIDSGRAFHGYLVRRLLDTDVIQGSALVDMYGKCHNMELAHIVFDRMDERNYVSWDALLSGYVENEQVDLALEIFRQMGCANIKYNQHNFANLLKLCGSQRYKEYGRQIHGHAIKTINKMNVVLETELIDMYAKCGCIEVARLLFLRMNERNLISWNALLSGYAADGQPVATINIYRQMELACIRPDKYTLAGLLSLCRYQGLLHYGRQIHAHLIKMGSEMNVVMQTILVHMYIKCMRQQDAENVCIMIEERNSYVLDAFSKVYGDDYLI >ONIVA01G37830.1 pep chromosome:AWHD00000000:1:32412393:32417684:1 gene:ONIVA01G37830 transcript:ONIVA01G37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASSPSPEQPLLRPSAARAGSGSGNLSSSPPPPAARPSRLAALIGRAAGRRGPSMLVRETAAMQLERRRADWAHSRPVVALDIAWNVAFAAAAAAVLVASTEESPVTPLRLWLVGYALQCLVHVGLVCSDSRRRPAHARSSDVESADGDAAGAGTDSSDSDDDDDEGREQRSSFAKRCESINTMVSFLWWIIGFYWVVSGGDVLEQDAPRLYWYCGPKPVPITDYFMIVQSNVHMLSVVFLAFDVFFAVFCVAMACFIGIALCCCLPCVIAILYALAGQEGASDADIGFLPRYRYSDPSEDGQKGTDEGVMIPVLNNSGTSTSERILLHEDAECCICLSSYEDGAELSALPCNHHFHWTCITKWLRMHATCPLCKYNILKGSESA >ONIVA01G37820.1 pep chromosome:AWHD00000000:1:32411388:32411798:1 gene:ONIVA01G37820 transcript:ONIVA01G37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAKAAVVAEEEEEATVGAQPPLPARSPGKGTTLLDVYEVEWITRELERLLVRESGCRGGGGGGRAGGDGRRRRKGTTTKAAAGSYTRPATDKGGFLTELLGRHAVSVCGDTAAVVSGGRARRGRGSFREVEKV >ONIVA01G37810.1 pep chromosome:AWHD00000000:1:32394119:32399417:-1 gene:ONIVA01G37810 transcript:ONIVA01G37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGEEGSASALRGSARRRGAVQPAGLDADELLTLMHGSDPVKVELNRLENEVRDKDRELGDAHAEIKALRLSERAREKAVEELTAEYEKLDEKLKLTESLLESKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLEAERTVEIALAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKILDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPTEGKSIAAWSSSMQRELQGHLMVVPDRGKVLGNGPHLLNRSTDAVRDCETTDDWKAANTEEKGSEATNSSSTDTVSGVLYDMLQKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRLDKDQENKAKRPGNFKGPGTTSQAPHGSTINS >ONIVA01G37810.2 pep chromosome:AWHD00000000:1:32394119:32399417:-1 gene:ONIVA01G37810 transcript:ONIVA01G37810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGEEGSASALRGSARRRGAVQPAGLDADELLTLMHGSDPVKVELNRLENEVRDKDRELGDAHAEIKALRLSERAREKAVEELTAEYEKLDEKLKLTESLLESKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLEAERTVEIALAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKILDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPTEGKSIGNGPSRRLSLGGADNMSKISPNGMLARRSPSFNSRSSLSTSSSLVIKHAKGTSRSFDGGTRSLDRGKVLGNGPHLLNRSTDAVRDCETTDDWKAANTEEKGSEATNSSSTDTVSGVLYDMLQKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRLDKDQENKAKRPGNFKGPGTTSQAPHGSTINS >ONIVA01G37800.1 pep chromosome:AWHD00000000:1:32381004:32391707:-1 gene:ONIVA01G37800 transcript:ONIVA01G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 1 [Source:Projected from Arabidopsis thaliana (AT1G14790) TAIR;Acc:AT1G14790] MRHGCQDFTGFALDDSADYVKDLLERIVGCGNVYAVKLRHPKNVTATSRAYAIVQFQTEEHASLVKNAAQRKILRRGHYYLKVHPSDRDIVPRPRVSMFKLEDVTLHFGCLLKETILSALWSRTGVSVEFGFNLKKIYFYLQLPNSSIEYKLELSYESIWEIQLQRPPKSQTKFLLIQVQAAPKIYEQTPRRSGVMYEDPLFNYFRDHTDDQWTRTTDFTSSSSIGQSYILCLEVPRRCDLPNIRDYFFYYHEYNHDFECRSGGYPYSSDTRFVPIVKSRGYVPYEILFKINHLVQNGTLSGPTVDDSFFRLVSPAFVPIDHIKRALEMMSYLKKTCLNPTSWLSEQYSKFRRSRYVQPSPNISLDDGLVYVYRVQVTPAKVYFYGPEINVSNRVVRNFSSDIENFLRISFVDEDCEKLRATDLSPRSASGHDANRTALYKRVLSVLSDGITIGGKNFEFLAFSSSQLRDNSAWMFASRQGLAASDIRTWMGDFRNIRNVAKYAARLGQSFSSSTETLKVQKYEVEEISDIKNGTQHVFSDGIGKISSAFANEVAMKCNLKRFAPSAFQIRYGGYKGVVAVDPTSRWKLSLRKSMLKFQSDNITVDVLAYSKYQPGFLNRQLITLLSTLGVRDSVFEQKQEEAVNQLNKMVTDPQAAIEAIELMPMGEITNAVKELLLCGYQPDDEPYLSMLLQTFRASKLLELKTKSRILIPKGRAMMGCLDETRTLKYGQVFIRATSGVNDNDRFTVTGKVVIAKNPCLHPGDIRILHAVDVPVLHHMPHPNECSGSDLDGDIYFVSWDPSLIPPRMVTPMDYTPAPTETLDHDVTIEEVEEYFTNYIVNESLGMIANAHVVFADKEDLKAESSPCIELAKLFSIAVDFPKTGVPALIPPELHVKEYPDFMEKLDKVTYESKGVIGKLYREIKKHTPHIKHFTREVARRSYDTDMIVDGYEDYITEAMALKDEYDFKLGNLMDHYGIKSEAEIISGCILKMAKNFTKKSDADAIRLAVRSLRKEARSRFSEMSLDDNGHGHDASEAKASAWYHVTYHPEFWGCYNEGYERPHFISFPWCIYEKLLRIKQRRKFVRKMQPELFTLHNLRI >ONIVA01G37790.1 pep chromosome:AWHD00000000:1:32373524:32381819:1 gene:ONIVA01G37790 transcript:ONIVA01G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAEKAVRCLGRGFDMAGDLRLKYCKGGGAGCLVERRGETTPLTVPGVGVIADVPADVRCDKGDRVRFKSDVLEFNKMSELFNQRSSVEGKIPSGQFNASFDLDSGSWAHDAPHTRCLAMDGYFISLFDLRLDHRHLALDAGVLADVPPAWDPSAIARFIEKYGTHVIVGLSMGGQDVVYVKQDKSSSLSPSEIKEHLDRLGDQLFTGTCAMPPLHCRSKDKFKIPEAFNVFDAQVAQQRLHGISTLVSSKEGVTVIYSKRGGNTTVSSHSEWLLTVPAMPDVINVKLVPITSLIRGVPGTGFLSHAINLYLRYKPPVADLRYFLDFQHHCVWAPVLGELPLGPCSHRQGSSPALHFSLLGSKLYVSSTEVVVPKLPVTGMRLHLEGKKNNRLGIHLQHLSTTPTFVAAARADKPPVWRGTEAVTDDRYYEPVQWRMLARVCTAPVKYDPRWCAGDRRRRPAACVVAGAQLHVVAHDAANNVLHLRLLYSQLPGYAVVQSKWARGAARPPSGRSSSFLSIPFSGSPSTSGGAAEKGGRPEQGASPVGVANVNSGVFAGGPPVPVGAQKLLKFVDTSQVTMGPQDSPGYWLVTGARLDVDKGKIMLHVKFSLLAQVS >ONIVA01G37780.1 pep chromosome:AWHD00000000:1:32368158:32372579:1 gene:ONIVA01G37780 transcript:ONIVA01G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidine 1 [Source:Projected from Arabidopsis thaliana (AT3G17810) TAIR;Acc:AT3G17810] MESLTLRASPSTAAPLRRVPGRRHAAVSVRASAGAGEPDLSVRVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAEKVINVTPRYARLRADPNGSTKSPIIGWQNIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCDLLEEVCGWINEKATVPVWAKMTPNITDITKPARISLKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYGLVKKLCAELQDFMRQHNFSSIEDFRGASLPYFTTHTDLVHRQREAINQRKAIRKGLESDKDWTGDGFVKETESMVSN >ONIVA01G37770.1 pep chromosome:AWHD00000000:1:32361214:32367503:1 gene:ONIVA01G37770 transcript:ONIVA01G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52640) TAIR;Acc:AT3G52640] MGRRRRPIKAQQHFVISPACSSGYRVAAVDETRERRRPLPPPLLQIAAAAAMGGGSTAPLLAAFACVFLAVFPPVASGDAATLESVPDLVKAMYINVESFPCVRLLNHSGQVDPGHDKVIAPIVRFGNRNDQLVQPSAVLLPLNQMTDFFLRVSNDPELYRKIAGVLVEANGVDNMLEFSPDRKFPQQAFAPYSNLSHHWNPTGSGIMWNKYDFPVFLLSEESTQTLQNLADKNEKSANGYLANVAEFDLVMQTTKAGTHDSESCLREQSCLPLGGQSVWTSLPPISNSSTKHQKPIIMVTASQDSASFFRDRSLGADSPISGLIALLTAVDALSHLHDISNLKKQLVFAVFNGEAWGYLGSRKFLQELDQGADSVNGISSLLIDQVLEIGSVGKAISQGYPLFYAHAAGNSSISMKMVDALQSASESLGSDNVKVKPAASSNPGVPPSSLMSFLGKNSSTPGLVLEDFDSQFSNRFYHSTLDGPANVNSSSIAAAAALIARSLYILASADLPIDLITLNTIKVNVSLVEELIGCLLKCDPGLSCGIVKSFISPSNSCPSHYVGVFQDLPAGTQFPSYADDISRFIWNFLADRTSSLAGNSSSCTGQCHDEGEICVGAEVEGGGRCVVSTTRYVPAYSTRLKFEDNVWHVLPVNSSDPFSAADPVWTESFWNTIGLRVYAVQATSYDWLVLLIGIIITVASYFAVIVGRSYISKIIKRD >ONIVA01G37760.1 pep chromosome:AWHD00000000:1:32355417:32360204:1 gene:ONIVA01G37760 transcript:ONIVA01G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIPVGELGEAIIYFSGFQDLGTEVLSLSGCCSGEGKIRNNFPHRLHYIGSDQEYYSDDETNEIPVYINIYTSQSFLISLYYYPIEYPETLEAAVKLTGYLCQSQLHTRTADNKKHIMSNEYKQMVIFLCSLAVFRSFLIAVFL >ONIVA01G37750.1 pep chromosome:AWHD00000000:1:32354447:32355082:1 gene:ONIVA01G37750 transcript:ONIVA01G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGGVGGGKKPPPRGVHPRYVPKRGSVLKGIVRRMLGLFVFLLPQQGGGGAANGSGGGGRVRPAAPPVDDGGEQGKSADDFYRNTQFFIFRCCEQTNNRTLCLNKGKWGNFCHHNYH >ONIVA01G37740.1 pep chromosome:AWHD00000000:1:32353961:32361260:-1 gene:ONIVA01G37740 transcript:ONIVA01G37740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G33390) TAIR;Acc:AT1G33390] MTKCCWAFMGLLLRPIRHHKQARPKLDPLYSSIRSIAAAAASPIASTSEGCRLGGGGVGGAPASLCRSISSLQRVSNFTTRASTAGLPPRGRCRRRSSMEDSNTLILPCKRKNKGQGKDKDGKKIKEDPKMSKSKLKKLQKLEEEKQKKLLQAQSIEILRKHKISDDAYSLLHASGTIGQAGTLKEKRRRAVQFSKAGLNVPEELSLLKKDGDQKASENSEAAEEDYLDKIVDSAKNEDPQRKCNNHINNVAMKPVECKLVIDVGLSDQEPKTEGSDGVPNISANQAIQSCVPSCSGEEILQDKEPGQEERTVQQCFNPPIVVPVSRPHEVEKTRRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRSDRKGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKMVGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKSLYIEQQEKIRCGLSINPEDKISQLKVVLMSATLQLKDFISNRRLFDVIPPAIKVPVRQFPVTVHFSKSTHDDYLGQAYKKVMSIHKKLPQGGILVFVTGQREVDYLCKKLQRASKQQTDKKTEKVEGDENGSSQEVVEREISEAYDIDRDESEHQDDMFSQYDEDESNAGPSVDSSDIEMEPEMDTDSEDDDSVVYETTEEDGPVLAFLKGAEGSSGLKASFKAISRVSGEPESTDVPSNATILEESSHVPCTSKCTEPRSVSHGKLRVLPLYAMLPASQQLRVFQDIPDGERLVVVATNVAETSLTIPGIKYVVDTGKQKVKKYNHATGTASYEIQWISKASASQRSGRAGRTGPGHCYHLYSAAAYGKDELFPEFSEPEIKNIPVDGVVLMLKFMNINKVENFPFPTPPDKESLVEAERCLKVLEALDSKGEPTSMGKAMAQYPMSPRHSRLLLTIVKILNSQRCFSRPNFILGYAAAAASALSFTNPFLTQNEFSGESKQDNPDSEDKDRQERKRQKKLKAMVREAHTKFSNPSSDALSISRALQLFELSENPVEFCRVNSLHLKTMEEMSKLRKQLLRLIFHHSKFCEEFSWKFGVSEDVEEAWRHESDKKPMQLNEEELLGQGICAGWADRVAKRIRAFPGPSKDDKKVRAVHYQSCAFNDTIYLHRSSSVARIAPEFVVYSELLHTKRSYMHGVTSVKPGWILKYASSLCTFSAPLEDPKPYYEPQKDQVFACALLKGDVLPCLKVIQKFLALSPSVLLGPVSQRRVGDLLDRMKIGSKLIDSRAALRDVWNFNPDFLYPEIKAWIQDKFHSHFGAIWEQMHKEVVLEGDELFPKRYKKVKDTDGSNQLPQHDCSTRSSEGKAGEDGGNWSGSGARWRQRESVSEMMSARLRLNFFGGGFRRWKLASSHRPCGAMAVAHGRGKSSTGPSDLRLNRSRRRLSAALAIKFIIFSSSSCTVGRRKHLQEQCVWPCVEVGENRIQ >ONIVA01G37740.2 pep chromosome:AWHD00000000:1:32354930:32361260:-1 gene:ONIVA01G37740 transcript:ONIVA01G37740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G33390) TAIR;Acc:AT1G33390] MTKCCWAFMGLLLRPIRHHKQARPKLDPLYSSIRSIAAAAASPIASTSEGCRLGGGGVGGAPASLCRSISSLQRVSNFTTRASTAGLPPRGRCRRRSSMEDSNTLILPCKRKNKGQGKDKDGKKIKEDPKMSKSKLKKLQKLEEEKQKKLLQAQSIEILRKHKISDDAYSLLHASGTIGQAGTLKEKRRRAVQFSKAGLNVPEELSLLKKDGDQKASENSEAAEEDYLDKIVDSAKNEDPQRKCNNHINNVAMKPVECKLVIDVGLSDQEPKTEGSDGVPNISANQAIQSCVPSCSGEEILQDKEPGQEERTVQQCFNPPIVVPVSRPHEVEKTRRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRSDRKGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKMVGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKSLYIEQQEKIRCGLSINPEDKISQLKVVLMSATLQLKDFISNRRLFDVIPPAIKVPVRQFPVTVHFSKSTHDDYLGQAYKKVMSIHKKLPQGGILVFVTGQREVDYLCKKLQRASKQQTDKKTEKVEGDENGSSQEVVEREISEAYDIDRDESEHQDDMFSQYDEDESNAGPSVDSSDIEMEPEMDTDSEDDDSVVYETTEEDGPVLAFLKGAEGSSGLKASFKAISRVSGEPESTDVPSNATILEESSHVPCTSKCTEPRSVSHGKLRVLPLYAMLPASQQLRVFQDIPDGERLVVVATNVAETSLTIPGIKYVVDTGKQKVKKYNHATGTASYEIQWISKASASQRSGRAGRTGPGHCYHLYSAAAYGKDELFPEFSEPEIKNIPVDGVVLMLKFMNINKVENFPFPTPPDKESLVEAERCLKVLEALDSKGEPTSMGKAMAQYPMSPRHSRLLLTIVKILNSQRCFSRPNFILGYAAAAASALSFTNPFLTQNEFSGESKQDNPDSEDKDRQERKRQKKLKAMVREAHTKFSNPSSDALSISRALQLFELSENPVEFCRVNSLHLKTMEEMSKLRKQLLRLIFHHSKFCEEFSWKFGVSEDVEEAWRHESDKKPMQLNEEELLGQGICAGWADRVAKRIRAFPGPSKDDKKVRAVHYQSCAFNDTIYLHRSSSVARIAPEFVVYSELLHTKRSYMHGVTSVKPGWILKYASSLCTFSAPLEDPKPYYEPQKDQVFACALLKGDVLPCLKVIQKFLALSPSVLLGPVSQRRVGDLLDRMKIGSKLIDSRAALRDVWNFNPDFLYPEIKAWIQDKFHSHFGAIWEQMHKEVVLEGDELFPKRYKKVKETNTTE >ONIVA01G37740.3 pep chromosome:AWHD00000000:1:32353959:32361208:-1 gene:ONIVA01G37740 transcript:ONIVA01G37740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G33390) TAIR;Acc:AT1G33390] MEDSNTLILPCKRKNKGQGKDKDGKKIKEDPKMSKSKLKKLQKLEEEKQKKLLQAQSIEILRKHKISDDAYSLLHASGTIGQAGTLKEKRRRAVQFSKAGLNVPEELSLLKKDGDQKASENSEAAEEDYLDKIVDSAKNEDPQRKCNNHINNVAMKPVECKLVIDVGLSDQEPKTEGSDGVPNISANQAIQSCVPSCSGEEILQDKEPGQEERTVQQCFNPPIVVPVSRPHEVEKTRRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRSDRKGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKMVGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKSLYIEQQEKIRCGLSINPEDKISQLKVVLMSATLQLKDFISNRRLFDVIPPAIKVPVRQFPVTVHFSKSTHDDYLGQAYKKVMSIHKKLPQGGILVFVTGQREVDYLCKKLQRASKQQTDKKTEKVEGDENGSSQEVVEREISEAYDIDRDESEHQDDMFSQYDEDESNAGPSVDSSDIEMEPEMDTDSEDDDSVVYETTEEDGPVLAFLKGAEGSSGLKASFKAISRVSGEPESTDVPSNATILEESSHVPCTSKCTEPRSVSHGKLRVLPLYAMLPASQQLRVFQDIPDGERLVVVATNVAETSLTIPGIKYVVDTGKQKVKKYNHATGTASYEIQWISKASASQRSGRAGRTGPGHCYHLYSAAAYGKDELFPEFSEPEIKNIPVDGVVLMLKFMNINKVENFPFPTPPDKESLVEAERCLKVLEALDSKGEPTSMGKAMAQYPMSPRHSRLLLTIVKILNSQRCFSRPNFILGYAAAAASALSFTNPFLTQNEFSGESKQDNPDSEDKDRQERKRQKKLKAMVREAHTKFSNPSSDALSISRALQLFELSENPVEFCRVNSLHLKTMEEMSKLRKQLLRLIFHHSKFCEEFSWKFGVSEDVEEAWRHESDKKPMQLNEEELLGQGICAGWADRVAKRIRAFPGPSKDDKKVRAVHYQSCAFNDTIYLHRSSSVARIAPEFVVYSELLHTKRSYMHGVTSVKPGWILKYASSLCTFSAPLEDPKPYYEPQKDQVFACALLKGDVLPCLKVIQKFLALSPSVLLGPVSQRRVGDLLDRMKIGSKLIDSRAALRDVWNFNPDFLYPEIKAWIQDKFHSHFGAIWEQMHKEVVLEGDELFPKRYKKVKDTDGSNQLPQHDCSTRSSEGKAGEDGGNWSGSGARWRQRESVSEMMSARLRLNFFGGGFRRWKLASSHRPCGAMAVAHGRGKSSTGPSDLRLNRSRRRLSAALAIKFIIFSSSSCTVGRRKHLQEQCVWPCVEVGENRIQ >ONIVA01G37740.4 pep chromosome:AWHD00000000:1:32354928:32361208:-1 gene:ONIVA01G37740 transcript:ONIVA01G37740.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G33390) TAIR;Acc:AT1G33390] MEDSNTLILPCKRKNKGQGKDKDGKKIKEDPKMSKSKLKKLQKLEEEKQKKLLQAQSIEILRKHKISDDAYSLLHASGTIGQAGTLKEKRRRAVQFSKAGLNVPEELSLLKKDGDQKASENSEAAEEDYLDKIVDSAKNEDPQRKCNNHINNVAMKPVECKLVIDVGLSDQEPKTEGSDGVPNISANQAIQSCVPSCSGEEILQDKEPGQEERTVQQCFNPPIVVPVSRPHEVEKTRRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRSDRKGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKMVGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKSLYIEQQEKIRCGLSINPEDKISQLKVVLMSATLQLKDFISNRRLFDVIPPAIKVPVRQFPVTVHFSKSTHDDYLGQAYKKVMSIHKKLPQGGILVFVTGQREVDYLCKKLQRASKQQTDKKTEKVEGDENGSSQEVVEREISEAYDIDRDESEHQDDMFSQYDEDESNAGPSVDSSDIEMEPEMDTDSEDDDSVVYETTEEDGPVLAFLKGAEGSSGLKASFKAISRVSGEPESTDVPSNATILEESSHVPCTSKCTEPRSVSHGKLRVLPLYAMLPASQQLRVFQDIPDGERLVVVATNVAETSLTIPGIKYVVDTGKQKVKKYNHATGTASYEIQWISKASASQRSGRAGRTGPGHCYHLYSAAAYGKDELFPEFSEPEIKNIPVDGVVLMLKFMNINKVENFPFPTPPDKESLVEAERCLKVLEALDSKGEPTSMGKAMAQYPMSPRHSRLLLTIVKILNSQRCFSRPNFILGYAAAAASALSFTNPFLTQNEFSGESKQDNPDSEDKDRQERKRQKKLKAMVREAHTKFSNPSSDALSISRALQLFELSENPVEFCRVNSLHLKTMEEMSKLRKQLLRLIFHHSKFCEEFSWKFGVSEDVEEAWRHESDKKPMQLNEEELLGQGICAGWADRVAKRIRAFPGPSKDDKKVRAVHYQSCAFNDTIYLHRSSSVARIAPEFVVYSELLHTKRSYMHGVTSVKPGWILKYASSLCTFSAPLEDPKPYYEPQKDQVFACALLKGDVLPCLKVIQKFLALSPSVLLGPVSQRRVGDLLDRMKIGSKLIDSRAALRDVWNFNPDFLYPEIKAWIQDKFHSHFGAIWEQMHKEVVLEGDELFPKRYKKVKETNTTE >ONIVA01G37740.5 pep chromosome:AWHD00000000:1:32355837:32361208:-1 gene:ONIVA01G37740 transcript:ONIVA01G37740.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G33390) TAIR;Acc:AT1G33390] MEDSNTLILPCKRKNKGQGKDKDGKKIKEDPKMSKSKLKKLQKLEEEKQKKLLQAQSIEILRKHKISDDAYSLLHASGTIGQAGTLKEKRRRAVQFSKAGLNVPEELSLLKKDGDQKASENSEAAEEDYLDKIVDSAKNEDPQRKCNNHINNVAMKPVECKLVIDVGLSDQEPKTEGSDGVPNISANQAIQSCVPSCSGEEILQDKEPGQEERTVQQCFNPPIVVPVSRPHEVEKTRRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRSDRKGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKMVGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKSLYIEQQEKIRCGLSINPEDKISQLKVVLMSATLQLKDFISNRRLFDVIPPAIKVPVRQFPVTVHFSKSTHDDYLGQAYKKVMSIHKKLPQGGILVFVTGQREVDYLCKKLQRASKQQTDKKTEKVEGDENGSSQEVVEREISEAYDIDRDESEHQDDMFSQYDEDESNAGPSVDSSDIEMEPEMDTDSEDDDSVVYETTEEDGPVLAFLKGAEGSSGLKASFKAISRVSGEPESTDVPSNATILEESSHVPCTSKCTEPRSVSHGKLRVLPLYAMLPASQQLRVFQDIPDGERLVVVATNVAETSLTIPGIKYVVDTGKQKVKKYNHATGTASYEIQWISKASASQRSGRAGRTGPGHCYHLYSAAAYGKDELFPEFSEPEIKNIPVDGVVLMLKFMNINKVENFPFPTPPDKESLVEAERCLKVLEALDSKGEPTSMGKAMAQYPMSPRHSRLLLTIVKILNSQRCFSRPNFILGYAAAAASALSFTNPFLTQNEFSGESKQDNPDSEDKDRQERKRQKKLKAMVREAHTKFSNPSSDALSISRALQLFELSENPVEFCRVNSLHLKTMEEMSKLRKQLLRLIFHHSKFCEEFSWKFGVSEDVEEAWRHESDKKPMQLNEEELLGQGICAGWADRVAKRIRAFPGPSKDDKKVRAVHYQSCAFNDTIYLHRSSSVARIAPEFVVYSELLHTKRSYMHGVTSVKPGWILKYASSLCTFSAPLEDPKPYYEPQKDQVYCYVIPIFSRHNWQLPLHSLPIQDGTNRLQVFACALLKGDVLPCLKVIQKFLALSPSVLLGPVSQRRVGDLLDRMKIGSKLIDSRAALRDVWNFNPDFLYPEIKAWIQDKFHSHFGAIWEQMHKEVVLEGDELFPKRYKKVKGNQF >ONIVA01G37740.6 pep chromosome:AWHD00000000:1:32353961:32354355:-1 gene:ONIVA01G37740 transcript:ONIVA01G37740.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G33390) TAIR;Acc:AT1G33390] MVPLHRGAAKERLGKMAGTGAEVARDGDSVRAWKLASSHRPCGAMAVAHGRGKSSTGPSDLRLNRSRRRLSAALAIKFIIFSSSSCTVGRRKHLQEQCVWPCVEVGENRIQ >ONIVA01G37730.1 pep chromosome:AWHD00000000:1:32352081:32353319:1 gene:ONIVA01G37730 transcript:ONIVA01G37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRACVSLGLFVLSFVNCMLPSWQPVISFVFLRALSHSEIWIQLQPFQVFFFLTTRTRRCEVLLIEQDFSERRKPATGMNMGKN >ONIVA01G37720.1 pep chromosome:AWHD00000000:1:32351417:32351954:1 gene:ONIVA01G37720 transcript:ONIVA01G37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTRRGHRLLLPPPAVQFTTTTRSPPPARLNTQQLKLPPPHASSTDPSLSLNSQAAERAAAKAKPSSVADAVPIKRRIHSYKERRRHHQLTMRSSFGGKARGAAAPAPATHPRYVPQRGVVLKSVLGWFFGCFRPAKTRPLPAGR >ONIVA01G37710.1 pep chromosome:AWHD00000000:1:32341478:32341708:-1 gene:ONIVA01G37710 transcript:ONIVA01G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLIRKLVLSLIVMVMVFLVVSGTAAARPLVGQEWTGEDTAGDDSVVVRFLRQLYLHKLAGPGHSCKTYSPNGGC >ONIVA01G37700.1 pep chromosome:AWHD00000000:1:32339007:32339243:-1 gene:ONIVA01G37700 transcript:ONIVA01G37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRFVLPLMMAVLMLLVVSGSARPLGGDKWVGAATSGDHPLIQFLKQLYLQQLPSGPSCKSNDPNIPPPPGCPSHPH >ONIVA01G37690.1 pep chromosome:AWHD00000000:1:32335530:32335760:-1 gene:ONIVA01G37690 transcript:ONIVA01G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIRKLVLSLIVMVMVFLVVSGTAAARPLAGQEWTGEDTAGDDSVVVRFLRQLYLHKLAGPGHSCKTYSPNGGC >ONIVA01G37680.1 pep chromosome:AWHD00000000:1:32329668:32331779:-1 gene:ONIVA01G37680 transcript:ONIVA01G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERRRTRLPATTSDMRRGRQRTWPPATTFDMGCKGYHLIPHKYHPMRGRNHLIPDRYHMIPYEYHLIRSMNRLILDRYHLIPVMFQDMIPQRYRDLIPNRATYANDEEGCSGHVLRGDGHVGLMVAGHGEAVCRATLRRWLRQHRVAATDALERLQATAVGAKAMAPTVPLYQRS >ONIVA01G37670.1 pep chromosome:AWHD00000000:1:32328611:32328889:-1 gene:ONIVA01G37670 transcript:ONIVA01G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDREGDGDLGEECEGGEERPRRRQQRGAPIEEAAPQRAEDGVEEARRDHPRRRPDLTDAVPSSSTSAAGDDDDDPFLLAGFISMLLDFS >ONIVA01G37660.1 pep chromosome:AWHD00000000:1:32326168:32327562:-1 gene:ONIVA01G37660 transcript:ONIVA01G37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKRFVLPLMMVVLLLSAVSGSARPMGGDKWVGMATSGDHPLIQFLQNLYLQQLAQPEMYGLFALTNLASTGIDGLGSDGKAAMHVHRNVYINCIDGQKYLTYGTPESQADCIITLFFPSLFCELRRLSLRMCIKRTGRLTST >ONIVA01G37650.1 pep chromosome:AWHD00000000:1:32317967:32318200:-1 gene:ONIVA01G37650 transcript:ONIVA01G37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVAVAMFFVPMVMSASWSPAMARPYADQASGAGGSGNIALPPPPSPHWTGGHRLPPLEQKYSRSCPISNDPNNHC >ONIVA01G37640.1 pep chromosome:AWHD00000000:1:32310691:32312061:1 gene:ONIVA01G37640 transcript:ONIVA01G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FU22] MVTPMTRARARARAVRLAVSEIPLAVRRSARQQQQPPEPAADVPDHFLCPISLDMMRDPVTAPTGITYDRDGVEVWLERGRPTCPVTGRPLRPEELVPNHATRRMIQEWCVANRALGVERVPTPRVPVSAADAREILEGVAAAARRGDAAACGRMVARARALGKESERNRRCLASAGAEHALALAFSRLAAASTDQQAEARACALEEILAALVVFFPLDEESRRCIASPPSLDALVSILSHGEQVTRVSAVVVLREIASSCDNQCLEAMSKANAMYDALVNLVAKPVSPQATKAALVTAYYLVKNDIEHAASRLVDLGTVELLVELLADADKGTTEKALAVLDTVLVAAKARDRAYAHALAVPVLAKKTMHVSDMATEFAVSALWRLCKNSPADGGCKAEALQVGAFQKLLLLLQLGCDGVTKERASELLRLLNASRDSTECIETADFKGLKRPFI >ONIVA01G37630.1 pep chromosome:AWHD00000000:1:32304156:32304540:-1 gene:ONIVA01G37630 transcript:ONIVA01G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLFHLLKQRQLLKQQREQQLAAATAATWGTHRAGVGPPLGLNSSGWPPLQKSHQQASSAAGMRAVFLSPPGGKPERTGTGVFIPRQGGAPTEPKKKLSAHLL >ONIVA01G37620.1 pep chromosome:AWHD00000000:1:32289128:32294634:-1 gene:ONIVA01G37620 transcript:ONIVA01G37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEARSGWRNAAAAAWVLVAVACAAYMHWHLRRETMDRAEERLVSMCEERARMLQEQFGVTVNHVHALAILISTFHFEKFPSAIDQDTFAKYTARTSFERPLLNGVAYAQRIFHHEREMFENQQGWIMKTMKRQAAPPQDEYAPVIFSQDTVSYLARIDMMSGEEDRENILRARATGKAVLTNPFRLLGSNHLGVVLTFAVYRPGLAADASVEERVEATAGCLVVVVSSNQIPTNRYLGGAFDVESLVENLLSKLAGNQDIVVNVYDVTNASEPMAMYGPQSPDGKVSLFHVSTLDFGDPFRAHEMRCRYRQKPPLPWSAITNPLGTFVIWMLVGYIICAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLVVQRSKILPFNVGMLDMLLGTDLSMTQKDYAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVIGDPWRYRQILTNLVGNAVKFTERGHVFVRVCLAENSKVEANQVLNGTMNGKDGKVETTANGAFNTLSGFQAADERNNWDYFKLLLSDKEPHMDELECDRSYQNDCDCVTLMISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSAVLKRSCKDTSSDSKRSLSEALPTAFRGMKAILVDGRPVRGAVTRYHLNRLGIVVKVVNNLSMGLQTLAGQNGVKESREKLSMLFIESDIWRPETDILLLNRLHELKNNGQVHELPKLVLLVTSEADKDRYGSAFDIVMYKPIRASTIASCLQQLLKVVMPERKDNQNRPSFLRSLLIGKNILIVDDNKVNLRVAAAALKKYGAKVHCVESGKDAVSLLQQPHCFDACFMDVQMPEMDGFEATRQIRQMEVKANEERKALDLMEGSTFVESHLPVLAMTADVIQATYEECIKSGMDGYVSKPFDEEQLYQAVSRLVVGTKESAV >ONIVA01G37610.1 pep chromosome:AWHD00000000:1:32275806:32278880:-1 gene:ONIVA01G37610 transcript:ONIVA01G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FU19] MRAAVQGRAHAWTTTTTTPPGAMRGRALVLVAALLLQLLLLAAAGGAGAAATERKAHNYEDALRKSLLYFEAQRSGRLPHNQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSMIEYGDDVEAAGELGHALEAIKWGTDYFIKAHTKPNELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRERPGSDVAGETAAAMAAASIVFRKSNPHYASLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGKAHYLDYVVDNADCFGGTGWAITEFSWDVKYAGVQILAARLLLRGEHEERHRSTLEQYRAKAEHYVCGCLGRNADGGADANVERSPGGMLYVRQWNNMQYVTNAAFLLAAYADYLGDDADGAVSCAGGETAGAGEVAALARAQVDYVLGTNPRGISYLVGYGAKYPNRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRENYMQTEACTYNTAPMVGMFAKLNRMARQEREQEEVAAPARSTAADV >ONIVA01G37600.1 pep chromosome:AWHD00000000:1:32257462:32267432:1 gene:ONIVA01G37600 transcript:ONIVA01G37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAMEAMDELVQLAESMRQAASLLADDDPSDEASPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASALRHSLQDRLSKGASGGSGKGRNDEIYLKLRTSTGLLLGFTFTLTLHNVAPPIKLVDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQASADAKTVSCVQAILSNKGAPRAAAEIEWVALIGQSVSIASAQAGSVGSENSLETAWQAEAETLKSILTGAPRSKLGRIALVDTIAKQIRKRMKIRLPNLLSGLQGKSQIVQNELARLGEQMVSSAEGTRAVALELCREFEDKFLAHVTSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLKSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVVEIATNALDVFKSDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDEVKNRSSKKAQDAEQSNKGSGTGSEQSGGALKSLKEKFSQQDKDKDAKEGPNLQVAGPGGEITAGYLLKKSAKNNEWSKRWFVLNEKSGKLGYTKKQEERHFRGVIVLEECNLEEIEEEELSKSLKDSKKANGAEKGPSLVFKITNRVAYKTVLKSHSAVILKAETIADKVEWMKKIRGVIQSKGGSVKGLNTPEGGSMRQSHSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSKISAQTNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRASVASYTNDSSGAESSPRTSGQSGEDWRSAFDSASNGSVDRSSSHNETRSRSADSRGKRYENGDVNGGNSGSRRTPNRLPPAPPGQKY >ONIVA01G37590.1 pep chromosome:AWHD00000000:1:32246910:32255995:-1 gene:ONIVA01G37590 transcript:ONIVA01G37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MHCLAPHFLLFLPLSSASRHALPHTPPAPLLLPSHPAQARAGPLRLPSARSPSRAAAPVSDDDEDEEDDEEIDIRDDADVDEEYDDDDDEELDEESGVEEEEEEEEEGDDGVEELEEEEGGREGTAARRRRSEEYKSQRVGKLVAEVREFGEDIIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDSAINKDAQILIMTTEILRNMLYQSVGMAASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSHKRPVPLTWHFSKKFALVPLLDGKGKKMNRKLRMSHFQNLSSPKSEFYYVKGKRKLRTTKNEQGNRSPLDISKQVQLSKHELTNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELKRFRMQYPDAIRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTSVISSLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTVGHSVLVQTTYEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKESDDIKVKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIQSEIQYLSSEITDESIDRKCREELSEEDYAEISLLQKKLKEEKQMRNELKKRMELERMVAWKTRLEEFESGHLPFMCLQYKDKDSVQHTIPAVFIGSLSSFADQKIVSLVENDSPVAGKQKVDNEGQQYYPSYYVALSSDNSWYLFTEKWIKAVYKTGLPAVPSAEGGPLPRETLKQLLLREDMMWDKIAKSEYGSLLCMDGSLDTWSWSLNVPVLNSLSEDDEVERFSQEHQDAVECHKQQRKKVSQLKKTIRSTKGFKEFQKIIDMRNFTKEKIERLEARSRRLTRRIRQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAICGSLVSEGIKFRPWKNSSYVYEPSSVVTGVINYLEEQRNSLVDLQEKHSVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAQIACNIMDRVPISELAG >ONIVA01G37590.2 pep chromosome:AWHD00000000:1:32246910:32255995:-1 gene:ONIVA01G37590 transcript:ONIVA01G37590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MHCLAPHFLLFLPLSSASRHALPHTPPAPLLLPSHPAQARAGPLRLPSARSPSRAAAPVSDDDEDEEDDEEIDIRDDADVDEEYDDDDDEELDEESGVEEEEEEEEEGDDGVEELEEEEGGREGTAARRRRSEEYKSQRVGKLVAEVREFGEDIIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMAASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSHKRPVPLTWHFSKKFALVPLLDGKGKKMNRKLRMSHFQNLSSPKSEFYYVKGKRKLRTTKNEQGNRSPLDISKQVQLSKHELTNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELKRFRMQYPDAIRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTSVISSLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTVGHSVLVQTTYEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKESDDIKVKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIQSEIQYLSSEITDESIDRKCREELSEEDYAEISLLQKKLKEEKQMRNELKKRMELERMVAWKTRLEEFESGHLPFMCLQYKDKDSVQHTIPAVFIGSLSSFADQKIVSLVENDSPVAGKQKVDNEGQQYYPSYYVALSSDNSWYLFTEKWIKAVYKTGLPAVPSAEGGPLPRETLKQLLLREDMMWDKIAKSEYGSLLCMDGSLDTWSWSLNVPVLNSLSEDDEVERFSQEHQDAVECHKQQRKKVSQLKKTIRSTKGFKEFQKIIDMRNFTKEKIERLEARSRRLTRRIRQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAICGSLVSEGIKFRPWKNSSYVYEPSSVVTGVINYLEEQRNSLVDLQEKHSVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAQIACNIMDRVPISELAG >ONIVA01G37590.3 pep chromosome:AWHD00000000:1:32246910:32255995:-1 gene:ONIVA01G37590 transcript:ONIVA01G37590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MHCLAPHFLLFLPLSSASRHALPHTPPAPLLLPSHPAQARAGPLRLPSARSPSRAAAPVSDDDEDEEDDEEIDIRDDADVDEEYDDDDDEELDEESGVEEEEEEEEEGDDGVEELEEEEGGREGTAARRRRSEEYKSQRVGKLVAEVREFGEDIIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMAASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSHKRPVPLTWHFSKKFALVPLLDGKGKKMNRKLRMSHFQNLSSPKSEFYYVKGKRKLRTTKNEQGNRSPLDISKQVQLSKHELTNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELKRFRMQYPDAIRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTSVISSLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTVGHSVLVQTTYEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKESDDIKVKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIQSEIQYLSSEITDESIDRKCREELSEEDYAEISLLQKKLKEEKQMRNELKKRMELERMVAWKTRLEEFESGHLPFMCLQYKDKDSVQHTIPAVFIGSLSSFADQKIVSLILLQVENDSPVAGKQKVDNEGQQYYPSYYVALSSDNSWYLFTEKWIKAVYKTGLPAVPSAEGGPLPRETLKQLLLREDMMWDKIAKSEYGSLLCMDGSLDTWSWSLNVPVLNSLSEDDEVERFSQEHQDAVECHKQQRKKVSQLKKTIRSTKGFKEFQKIIDMRNFTKEKIERLEARSRRLTRRIRQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAICGSLVSEGIKFRPWKNSSYVYEPSSVVTGVINYLEEQRNSLVDLQEKHSVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAQIACNIMDRVPISELAG >ONIVA01G37580.1 pep chromosome:AWHD00000000:1:32242588:32248730:1 gene:ONIVA01G37580 transcript:ONIVA01G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FU14] MAPAPSPTPLPLFLLLLLLVGVAPLAAAQGQNIQTRFPSTRTPAFATPPPITSPSPSPGTPTATPSSSPPSSSGKRSDIAVAVVSTALSSFAVSGLAFFLFLRHGKKRELTEAGGAGQHYGGAQGGALTGKRPEREPKRPARGNMVDENGLDAIYWREFEKEGDGGRGRKPPASRRPPQPPPPRPYRAERRQDAHESSAPSPPRSRKNRIDQEPLIPRGSLDSASAEFDESLYAPSAGSTSSFSVAAAEAYARPPSTPAITAVSSVPRSSPSPAPAPAARPASPSPSLPLPPGRESPSRPQSIAAAAVASPAPPPPPPPKPAAAAPPPPPPPKAAPPPPPPKGPPPPPPAKGPPPPPPPKGPSPPPPPPPGGKKGGPPPPPPKGGASRPPAAPGVPTGSADQQAKLKPLHWDKVNVAATDHSMVWDNITGGSFNLDEGIIEALFGTAAVNRKTKPADSKDASGGSTSAGLGRSNSPEQIFLLEPRKSHNISIILRSLTVGREEIIDALLNGHTELSTEVLEKLSRLNISKEEENTLLKFSGNPDRLAPAEFFLLRLLLDVPSPFARVNALLFKANYAAEVAQLKQSLRTLEMASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSTDGSTTLLHFVIEEVVRSEGKRLAINRNYSLRRSGSLAKSTDGGNPAASSTSQGPSREERQNEYLNLGLPIVGGLSTEFANVKKAALVDYDTVVNECAILSNRLAGTKKLLETYGDDGFARGLRGFVKAAEQELNELKGNQEKVLELVQRTTEYYHTGATKDKNAHPLQLFIIVRDFLGMVDQACVDIKRKLQQQKKPTPPPSSSQPAAPAATTKGAADDAPAPAQKPPEEVDSKRKRVMPRFPNLPAHFMKDNADSDSSSDEE >ONIVA01G37570.1 pep chromosome:AWHD00000000:1:32236755:32237471:1 gene:ONIVA01G37570 transcript:ONIVA01G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSDFLTDSSTYRYTDRYTGFEMSNTPEDYVAICKSLRNPCALEDGMRRQPSRRMLVCSIDDDPHVFSSWSGPFADGDDTTAATASQGYITVATARIWPSGEERRRSDRVPKISYAKRPPYPSTGAVPPKRLSGTWWYRSISAVGSNSSYG >ONIVA01G37560.1 pep chromosome:AWHD00000000:1:32227666:32228787:1 gene:ONIVA01G37560 transcript:ONIVA01G37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FU12] MGGNPAMRAGLALVVVVVAVVVGDVGAALPRFAEAPEYRNGEGCPAAVAGAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESIFFHFLAAADGGEGGGGAVGELRTAVAASFPSLRFEIYPFRADAVTGLISASVRAALEAPLNYARNYLADLLPKCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTETFWSDPQLGDRVFAGRRRAPCYFNTGVMVIDLRRWRVGNYRRRIEVWMELQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVLGSCRPLHKGPVSLMHWSGKGKPWDRLDAGKPCPLDHTWKSYDLYIAEGDSSSASAPFALSSSALPAAAFSW >ONIVA01G37550.1 pep chromosome:AWHD00000000:1:32209328:32210053:-1 gene:ONIVA01G37550 transcript:ONIVA01G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLRPLLPPKPFLSATPKPHIPAATPSAIVRCTAAPKPATGSIAKPSQEEANNNQEQEPNAAAAATPDEAGANPHRIPDDETPPSATATTSFAVARRVPSAISPDRRRRTALTQGEPPNYEIGWKRTKKLPLEKPKGWAIADFIEKLEGLMARGRYGSGELLGTVAGVVTERAREEAEILVAEGGVEERVATELFRVLRLVEMDVAMVKAAVKEETVKERVEMARARCRQAILVALSL >ONIVA01G37540.1 pep chromosome:AWHD00000000:1:32180996:32182664:-1 gene:ONIVA01G37540 transcript:ONIVA01G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNFGDSMGWGNSGRSSPAGSSRKGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYFNPLGQPGSLIHRTGSLNLEDARASTSSLSSSPSSPFHATAVSSSPFPIHPNLAMAYGERGDVRYGEFQTPIMRSPSSSTIYGAPHYTHNPSITLPLFEPEESARLRGHHDRSRSADSTSMNSDDPQDVDLELKL >ONIVA01G37540.2 pep chromosome:AWHD00000000:1:32180996:32182664:-1 gene:ONIVA01G37540 transcript:ONIVA01G37540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNFGDSMGWGNSGRSSPAGSSRKGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYFNPLGQPGSLIHRTGSLNLMAYGERGDVRYGEFQTPIMRSPSSSTIYGAPHYTHNPSITLPLFEPEESARLRGHHDRSRSADSTSMNSDDPQDVDLELKL >ONIVA01G37530.1 pep chromosome:AWHD00000000:1:32176339:32181469:1 gene:ONIVA01G37530 transcript:ONIVA01G37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVACHRPWRGAVRAVVTDGGREERERKLGRKKHLRIYHSLSSRSTSCGSSEFILVESADRLRSWCPLKRADS >ONIVA01G37520.1 pep chromosome:AWHD00000000:1:32173898:32175968:-1 gene:ONIVA01G37520 transcript:ONIVA01G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLLLRAAVVYAALLAAGEADGSHDVLDIFGTRSESDYYRNAFQGRQGQAVPLPRGGGLRREQQELGAAGPGGSGLSKAPPRSAPSKVALDSLKLPVDTSAGFAGGWNLVSENSGVSAMHLVVMQHGKAIMFDTCTTGRSLMRLPPGRCRPDPRSKQPGAMDCWAHAVEFDYNTGALRSLKIVTDTWCSSGAFDADGNMVQTGGFFEGDKSVRYLSACGTCDWKEYGTQLVLPDGSFIVIGGRRAFSYEFVPAAGRANARATPLRLLRDTTDDVENNLYPFVNLLPDGTLFIFANDRSIVFNYRTGQVVRELPILPGGARNYPASAMSTLLPLDLRKGAGLSAEVIICGGTTKNAFKLGETGTFPPALRDCARINPSKPGARWALDQMPSGRVMGDVLILPTGDLLMLNGAAKGCSGWGFGRQALLSPVLYSPYLRRGKRFRVLNPSNIPRMYHSTSALLPDATVLVAGSNTNSAYNFSGVDFPTEVRVERFTPPYLGPQLSPNRPAIDAASVPRDGMRYGARFTFRFTTPAQGVGQGDVKVTMYAPPFTTHGYSMNQRLLILPVTAFAAQGQRHTVTVDAPPKPELAPPGYYMVYVVAKGVPSKAAWVKMHK >ONIVA01G37510.1 pep chromosome:AWHD00000000:1:32171121:32171876:1 gene:ONIVA01G37510 transcript:ONIVA01G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVQLPQYIFFDLSGSHLRCFCPPAPVMEHTGVPYDSQVVGLQALHRTRLSRAGGSSTIVSARPANQVDKAMVLCDRAAQIGDDDDDPDTVSDAGTSGVGVVDEEETAGDDEEDEVASLDELFCDERIVRKIDAMAQLVGMDGAACQPAAVLGEVVRLIQETERKNGRCVCASGAVRS >ONIVA01G37500.1 pep chromosome:AWHD00000000:1:32167339:32167708:1 gene:ONIVA01G37500 transcript:ONIVA01G37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVEAAVEASALSVMGLVSENFLDPSTCVPPRASDDSSFESPDASFDPEDPTCVLSSSTSADRISVLPIVLRVLPDRLGRRCPAGGVEGPTSSPAAFLLGPARSSGILPPAGVHGAK >ONIVA01G37490.1 pep chromosome:AWHD00000000:1:32161789:32163901:-1 gene:ONIVA01G37490 transcript:ONIVA01G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVPSPLPALSTAYQPLPSLYLGFLAIWAASGFSWAFSSWRSRHFQVNNLQWILALVPLIKALQMALSFLFWYSCVHLQTCSLWMSFGVYVTGILFQTASFISFMLISHGYCIMCERLSIRERRTTAGLGCLLYLSLIGYKAAVPYFTVFLLINYFMSFYIIFRRTSQNLMVLREQLNFIEEEDIHSLHGALNTKYTMFKRFQGTMQVAVVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMIPEASLHLPVVPLMKSTWEIAMPPIYSVEMDAADFKGLVSDHWHVGVRTSHTNSSCPSQPLLVLVQNPSPKVSTAATASRLQLNKNNQV >ONIVA01G37480.1 pep chromosome:AWHD00000000:1:32154334:32157697:1 gene:ONIVA01G37480 transcript:ONIVA01G37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVLAALRWVASPIFTKFINRASTYLDKDMARELQELEATVLPQFQLVIEAAEKSLHRGKIEGWLRKLKAAFYDAEDLLDEHEYDILESEAEKGVQSSSMVTITKPLRAVSKKMSNSHPKNGKLICKLEELKEILAEAKSFRSLFGIQAVNGSVHMVTAPIRPHTNTTSLPTSKVIGRKKDRDLIIDTLCKHADTEASAARCYSTLAIVGIGGMGKTTLAQFVYNNEKVIKYFDARMWVCISRKLDVHRHTQEIIESAGMGECPRVSNLDTLQCKLRDMLQRSERFLLVLDDIWFDESKNEMEWDWEQLLAPLVSSRRGSKILVTSRRNALPAVLDCKKHFCLKNLKDTALLAIFKGHAFAGAETNDPQLRRKLEEIAEKISKRLGQSPLAAKAVGSQLSRKKDITTWRAALKSDSLSETRKALLWSYEKLDPRLQRCFLYCSLFPKGYWYEISYIVHLWVAEGLVDSSNSDMKMEDIGRDYFNELVSGSFFQQVSKSWNGIWYIMHDLFHDLAESLSREDFFRLEDDKVKEIPCTVRHLSVRVKSMKLHKQNICKLNHLRTVICIDPLMDDGTDVFDQVLRNQKKLRVLDLSFYNSSKLPESVCELKHLRYLNIIKTFISELPRSLCTLYLLESLKLNNKCKILPDKLCNLSCGILKESEILEGLVPPPQLEGLAIEGYRCATYPSWLKVPRFENLVSFELENCSALEDVPLNTEPLSHCAEISLKNISNLKTLPCFPAGREEGGW >ONIVA01G37470.1 pep chromosome:AWHD00000000:1:32151346:32151987:1 gene:ONIVA01G37470 transcript:ONIVA01G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 28 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0FU02] MEVKLWNDKRERELLESLADLYAIIKATEKLERAYVRDLVSAADYEAECLKLISQFNSLSSSLAGVVTIPRFVEAYRLDCPAALNRLVQSGVPATVELRAAASSSAPASSAASAAAIAQCVQSFITAMDAVKLNMLANDQVRPLLHDLSTSMGKLGPVLPPDFEGKVKVSEWLAKLNKMGAGDELTEQQARQLNFDLDSAYSAFMASLPSTGL >ONIVA01G37460.1 pep chromosome:AWHD00000000:1:32138472:32142759:-1 gene:ONIVA01G37460 transcript:ONIVA01G37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGGGAALFSEEELRDVSGVRRCEDFVEVTCGCTSHRYGDAVGRLRVYASGDLEVSCECTPGCREDKLTPSAFEKHSGRETAGKWRNTVWVMVQGEKVPLSKTALLKYYSLSHKSANGSNKGRNGRLSHRDEFIHCTECGKERRFRLRSKEECRIYHDALAKPNWTCADLTTDRVTCGDEEERASRKVLRGCSRSTSCTGCVKCVCFGCEICRFTDCGCQTCVDFYHNSKE >ONIVA01G37450.1 pep chromosome:AWHD00000000:1:32128385:32131172:-1 gene:ONIVA01G37450 transcript:ONIVA01G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKLGSKPEIFVLEGLTWRCMTELESDVVVEVGEMSFYLHKQFPLLSRSGVLQRMISAYQAPQEDGGGGGGMCTLQLDDIPGGAKAFELAARFCYDVKIELNAHNVVCLRCAAEYLRMTDDYAEGNLITQAESFLADVLANWKDSIKALETCEGVLPTAEDLHIVSRCITALASKACASDAAAWSTGHAAASASAVAVAAKNASYDALWNGIGSGGTPRGGGGGGAAGAAVGCSGMDWWYEDVSFLSLPMFKRLIQAMEGKGMRPESIAGAIMFYAGRFLPGLKRNTSFSNASFGGDCGAGSRSITPRAANVSAPSEGDQRYFLEEIVALLPAKKGVASTRFLLGMLRTAMLLHASPLCRENLERRIGAQLEDACLDDLLVPNLGYTVETLYDIDCVQRILDYFMSSTDGLGTGYTSPAVVEEGSQLGAPHAGSPSSLSPITMVAKLMDGYLAEVAPDTNLKLPKFQALAAVVPDYARPVDDGIYRAMDIYLKSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIAGWFFVSGNAAAGGDGAPQPHPGGSAIVPKGAAAAGQSDADAEADEGKGKELPAEAITDVKARVSELEKECMSMKQEIRRLGKPRRSWSLLTRKCGFGTKVQQAQPTMSSK >ONIVA01G37440.1 pep chromosome:AWHD00000000:1:32114035:32130427:1 gene:ONIVA01G37440 transcript:ONIVA01G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0FTZ6] MDPEKRGYRLPVEAVTGYFLEACIAQVYNSLTWKPKLEEFVAHDAEVRSLSIGKKSSRVFITGGSDRKVNLWAIGKQTPLLSLSGHTGSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTSVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIRTIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGQIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEATGVRSTVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHECRVGVWVADISLIGPYALGVLPKANFFAELVHSLDDNPSKTIDTTAKSIPALATTHPKNLYKVKETGTVAESGIRGSNLTPASMDKTKRDKSGATPRRPDSSFKSSIQSSTPMRRMKAADSPYTNRKTVERNFAQRDASLASRTGTANNSSTVKKGHLAESVSVKDIYTTPQTVSVPVVMPRDILEDKTAGSISGGIRGRAAVADDFHAPVHSRKLSVNSFVGDSVNSTKSMLTDPDVCSEGFSGLKFSFGLTPYYKKEEYDNVDKVDSMDKGDNTQMIEKLDRTVSLEHQLQSNDTSEPPCSTTETTKVKYVRGVAVPLGKTKSLVERWEKREATNVECSPPTGSCGDRAVRSDGPSSFSAEPSQAYEKDLSTIDEAMIPINLMQNHDEFINAVKSRLTKLEMMRHVFDQNGIKGAIAAVAKLPDNAVQADVVSTLKGKLDLFNLDIFLSFLPVLAGLLTSKAERHAVVSLELLLDLIKIFGPVIRSTLSAHSAVGVDIQAEQRRGGQSAQLAQELNLSLQDLVRKPGGRSSWSPPSRAFPFPRRREKPPTRPLPEEEEELGWRGAMAGRYDRNPFDEDDVNPFAGGSVPPASNSRMPPLPHEPGFYNDRGATVDIPLDSTKDMKKKEKELQAKEAELNKRESELRRREEAASRAGIVIEEKNWPPFFPIIHHDISNEIPIHLQRMQYLAFSSLLGLAACLFWNIIATTAAWIKGAGVMIWLLAIIYFISGVPGAYVLWTESALKFGWFFLFYLIHILFCIWSAVAPPFPFKGKSLAGILPAIDVIGNNAIVGIFYFIGFGLFCLESLLSVVVIQQVYMYFRGSGKAAEMKREAARGAMRSAF >ONIVA01G37440.2 pep chromosome:AWHD00000000:1:32114035:32122100:1 gene:ONIVA01G37440 transcript:ONIVA01G37440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0FTZ6] MDPEKRGYRLPVEAVTGYFLEACIAQVYNSLTWKPKLEEFVAHDAEVRSLSIGKKSSRVFITGGSDRKVNLWAIGKQTPLLSLSGHTGSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTSVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIRTIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGQIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEATGVRSTVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHECRVGVWVADISLIGPYALGVLPKANFFAELVHSLDDNPSKTIDTTAKSIPALATTHPKNLYKVKETGTVAESGIRGSNLTPASMDKTKRDKSGATPRRPDSSFKSSIQSSTPMRRMKAADSPYTNRKTVERNFAQRDASLASRTGTANNSSTVKKGHLAESVSVKDIYTTPQTVSVPVVMPRDILEDKTAGSISGGIRGRAAVADDFHAPVHSRKLSVNSFVGDSVNSTKSMLTDPDVCSEGFSGLKFSFGLTPYYKKEEYDNVDKVDSMDKGDNTQMIEKLDRTVSLEHQLQSNDTSEPPCSTTETTKVKYVRGVAVPLGKTKSLVERWEKREATNVECSPPTGSCGDRAVRSDGPSSFSAEPSQAYEKDLSTIDEAMIPINLMQNHDEFINAVKSRLTKLEMMRHVFDQNGIKGAIAAVAKLPDNAVQADVVSTLKGKLDLFNLDIFLSFLPVLAGLLTSKAERHAVVSLELLLDLIKIFGPVIRSTLSAHSAVGVDIQAEQRRGGQSAQLAQELNLSLQDLVVI >ONIVA01G37440.3 pep chromosome:AWHD00000000:1:32122312:32130427:1 gene:ONIVA01G37440 transcript:ONIVA01G37440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0FTZ6] MGRPKLAALLHHRRRRVPGPEPVRGRHEVVQYPLHAVDVVERLDGVAEVWDEQVVEAGVLQLRADPPFQVLPAQRAGVEEHRRAEHPEQEPGGGDALLRRQQRHDLLQEVPLVALRRRRHVGGARRDAAAAGAAVAAEAGVAEAGVPLQPGQEPPRVEHDRAGDALGAHPLPLHRLDQSLEHWQAQERHVLVPPVHPGAPHRRARCAAPAPAPWRAPRADAIPQRVVAGILGGHGHGGGGGGGVAGGPRGGVGGAGLGGERGDAAGDDVEVLGGGEHALACLERLDGVLPVGEHVGEEGLRLRDEVALGVVVGHPQVLGGAPEAHHVVRVELDLDVVAEPRRQLERLGAPRDVVQLQRAHASAAAAVLLRRLVRADHPLQHTAPAQQRELLHVSSTRPAQCNSRYFNSR >ONIVA01G37440.4 pep chromosome:AWHD00000000:1:32122581:32130427:1 gene:ONIVA01G37440 transcript:ONIVA01G37440.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0FTZ6] MGRPKLAALLHHRRRRVPGPEPVRGRHEVVQYPLHAVDVVERLDGVAEVWDEQVVEAGVLQLRADPPFQVLPAQRAGVEEHRRAEHPEQEPGGGDALLRRQQRHDLLQEVPLVALRRRRHVGGARRDAAAAGAAVAAEAGVAEAGVPLQPGQEPPRVEHDRAGDALGAHPLPLHRLDQSLEHWQAQERHVLVPPVHPGAPHRRARCAAPAPAPWRAPRADAIPQRVVAGILGGHGHGGGGGGGVAGGPRGGVGGAGLGGERGDAAGDDVEVLGGGEHALACLERLDGVLPVGEHVGEEGLRLRDEVALGVVVGHPQVLGGAPEAHHVVRVELDLDVVAEPRRQLERLGAPRDVVQLQRAHASAAAAVLLRRLVRADHPLQHTAPAQQRELLHVSSTRPAQCNSRYFNSR >ONIVA01G37420.1 pep chromosome:AWHD00000000:1:32099878:32103355:-1 gene:ONIVA01G37420 transcript:ONIVA01G37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRGCAFLLGVLLAGSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGENKVFSPEEVSAMILGKMKETAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFEGKEPNKGVNPDEAVAYGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPAAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKERIDARNQLETYVYNMKNTVGDKDKLADKLESEEKEKVEEALKEALEWLDENQTAEKEEYEEKLKEVEAVCNPIISAVYQRTGGAPGGGADGEGGVDDEHDEL >ONIVA01G37410.1 pep chromosome:AWHD00000000:1:32085724:32087926:-1 gene:ONIVA01G37410 transcript:ONIVA01G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FTZ3] MDPCKFRPSSSFDTKTTTTNAGAPVWNDNEALTVGPRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVTDITCADFLRSPGAQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGIPTDYRHMDGFGVNTYTFVTRDAKARYVKFHWKPTCGVSCLMDDEATLVGGKNHSHATQDLYDSIAAGNFPEWKLFVQVIDPEEEERFDFDPLDDTKTWPEDEVPLRPVGRLVLNRNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRHAPPTPITPRPVVGRRQKATIHKQNDFKQPGERYRSWAPDRQERFIRRFAGELAHPKVSPELRAIWVNYLSQCDESLGVKIANRLNVKPSM >ONIVA01G37410.2 pep chromosome:AWHD00000000:1:32085724:32087570:-1 gene:ONIVA01G37410 transcript:ONIVA01G37410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FTZ3] MQFRPSSSFDTKTTTTNAGAPVWNDNEALTVGPRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVTDITCADFLRSPGAQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGIPTDYRHMDGFGVNTYTFVTRDAKARYVKFHWKPTCGVSCLMDDEATLVGGKNHSHATQDLYDSIAAGNFPEWKLFVQVIDPEEEERFDFDPLDDTKTWPEDEVPLRPVGRLVLNRNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRHAPPTPITPRPVVGRRQKATIHKQNDFKQPGERYRSWAPDRQERFIRRFAGELAHPKVSPELRAIWVNYLSQCDESLGVKIANRLNVKPSM >ONIVA01G37400.1 pep chromosome:AWHD00000000:1:32079337:32087942:1 gene:ONIVA01G37400 transcript:ONIVA01G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT1G12800) TAIR;Acc:AT1G12800] MEAFAAAAAAAGVFAGAGAAARPVLLRRRGAPRSGRVRLLRAPPRAVGDRGGDLPPLDEWDRMELEFGRFLGEDPKLTLAKILLKKADPDASSLDVENLIANKKAKLDDILREFMDANRQEQTSESPEETSKPMVDKNSPSMSRPVQTNAKQDEPALTLLRPAGSKLKQDDPPLTLQRPAGSKPKQDGPSLAPLRPVGSKPKENNTSLTLVRPMGSRPIVRGKPVQDSWPSKGSLAARRESSDVGSTSRKNNVDVSLRKPTIHQSDDDELKSKLNLKPNIDLKMRKDMDEDLANISLLQKPELSVDNMNSNQEKSDAGPASVASGEDNGAVDPETNGLDERVVIDSINDRESSVLPDDLSAELQPSEQNFNREGDPSVVDDQSAVSSNFSMQAFLQGKPKMKDLAVETFPSQLDAEKTNASENNMNYVDDGGNVLSSKLEDITESDWTRLENYASTGEKVEVELINCSSKGFVVSLDSLIGFLPYRNLATKWKFLAFETWLRQKGGDPSLFKQSVGIEESFEVNDRNIESVSSSDSELAVQDQGSMPSKEKFEDLLRTYNTEKSKFLSSFIGQRLRVSVVLADRKSKKIFFSMKPKESEELIQKKKSLMAKLNVGDIVECTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDYNNNRIFLSLKDVKPNPSVGALEAVIGEELSLGGALEPAEADFEWPEVDALMEEMKNIEEVRDVYKGRFLRSPGLAPTFQVYMAPLVGQKYKLLARYGNNVQEVMVETSLDKEQLKEAVLACTNRVS >ONIVA01G37390.1 pep chromosome:AWHD00000000:1:32069710:32070549:-1 gene:ONIVA01G37390 transcript:ONIVA01G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSKKRRKQLASAAVFSRRCKPPAEARDPPPPPPLPEEEKVKEVLSETPSAKVRAEAKPVANVAVLEEPEAEKQAPKPSADADVTVSDLGSCMSLATDDRSEAASESSVATSSVTGPERSPGKPARRKRPVSVSGELAHAISRRDRAAAAAAYGVRSRSARASASPPPRREQRDRSVRRSPSPAAKRTPEQRRAASPAPSLQRKPPVPVRPSPRRVQEAPPSPLEPPPPPPPQPEEDAMTADGEPSIADAASAGGDGEGKESLDNPLVSLECFIFL >ONIVA01G37380.1 pep chromosome:AWHD00000000:1:32067195:32067593:1 gene:ONIVA01G37380 transcript:ONIVA01G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAASHELVVSVAEIPKMRTLDVGSSDVPTSRVSDSTVVGDGRHSEAARHQVWREHDLQHYHILSEERMEGNLLDGEGIHEAGNLVVVEGKPIVPDDDAVLCRRGREDDGEALVKSNGNLGGHTHQVETCW >ONIVA01G37370.1 pep chromosome:AWHD00000000:1:32053080:32062610:-1 gene:ONIVA01G37370 transcript:ONIVA01G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAAADFDGGFGGAGEDNLSMPLGDFMAFLDNEDWKEQQHEGNQGLEMPVDSTSSENAFQNHEEIFENKENWSNYSHTDPSHSQMDVMVELNNGGESFDHSGDTSYRLLSNDFLENSRNGNPEMHLPMDALNHAKTVDEEIVPPYEDYTNGLYYDSGCDMFAEQSGLSEVKCEGTGPMLGNSEQEGNHFTSVPMFDHSAVIPDIPYTELNIGDVPGSMQNGNGSCLTVQGEYLQGEYQEYPQPDYGSFDMANEIVLHDLPQNNQSYELEQLPQNICESSSMQVGSPDQYCDDTSLSDYYMDDVSSIESMSSEQNRSEDICFRSESSTDSSPVPSSRNSTTEDADKYFGDAPKHLQNSMFPVSTQHQHSFMNSSDPMHPTFHKKSLASGHVLPPQGLQRNFQQSVCANPNLPRFGGRYRPHEERMTLRLALQDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKERNGSSCSGGILADDQGLGKTVSTISLILTERSPVPSSAVKQEPCEAVTLDDDDEDDDAEPHLKKPALAHLADTCKPEATSSTIKTENPIANVKARPAAGTLVVCPTSVLRQWADELRNKVTSKANLTFLVYHGSNRTKDPNDLTKYDVVLTTYSIVSMEVPKQSSPDSDDEEKGKPDRYGAPVGSSGSKKRKTSSSKKNKSGSTPESKLPEKPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAEYKKFCFMIKTPISRNPITGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTSEERAFYNTLEAESREQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPHLVRGHESTSSWMSSLEMAKKLPVERQQSLLVCLQSCSAICALCNDAPEDAVVTICGHVFCNQCILEQLTGDDSVCPVSNCRVRLNSTSLFSRGTLECALSRSTCEFLSDDSCEDMVQGKQPRFDSSYASSKVRAALDILLSLPKLDLTHMSDDKNKIVHPDKINGNSTPSEYAGTKITEKAIVFSQWTRMLDLVEVHLKSSHLSYRRLDGTMSVAARDRAVKDFNTNPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDKSGAHQTRLTVEDLNYLFMV >ONIVA01G37370.2 pep chromosome:AWHD00000000:1:32053080:32062610:-1 gene:ONIVA01G37370 transcript:ONIVA01G37370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAAADFDGGFGGAGEDNLSMPLGDFMAFLDNEDWKEQQHEGNQGLEMPVDSTSSENAFQNHEEIFENKENWSNYSHTDPSHSQMDVMVELNNGGESFDHSGDTSYRLLSNDFLENSRNGNPEMHLPMDALNHAKTVDEEIVPPYEDYTNGLYYDSGCDMFAEQSGLSEVKCEGTGPMLGNSEQEGNHFTSVPMFDHSAVIPDIPYTELNIGDVPGSMQNGNGSCLTVQGEYLQGEYQEYPQPDYGSFDMANEIVLHDLPQNNQSYELEQLPQNICESSSMQVGSPDQYCDDTSLSDYYMDDVSSIESMSSEQNRSEDICFRSESSTDSSPVPSSRNSTTEDADKYFGDAPKHLQNSMFPVSTQHQHSFMNSSDPMHPTFHKKYDIPRNGSSSILGNSSRNCFSLDSNRDSDLCILEGSRSLASGHVLPPQGLQRNFQQSVCANPNLPRFGGRYRPHEERMTLRLALQDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKERNGSSCSGGILADDQGLGKTVSTISLILTERSPVPSSAVKQEPCEAVTLDDDDEDDDAEPHLKKPALAHLADTCKPEATSSTIKTENPIANVKARPAAGTLVVCPTSVLRQWADELRNKVTSKANLTFLVYHGSNRTKDPNDLTKYDVVLTTYSIVSMEVPKQSSPDSDDEEKGKPDRYGAPVGSSGSKKRKTSSSKKNKSGSTPESKLPEKPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAEYKKFCFMIKTPISRNPITGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTSEERAFYNTLEAESREQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPHLVRGHESTSSWMSSLEMAKKLPVERQQSLLVCLQSCSAICALCNDAPEDAVVTICGHVFCNQCILEQLTGDDSVCPVSNCRVRLNSTSLFSRGTLECALSRSTCEFLSDDSCEDMVQGKQPRFDSSYASSKVRAALDILLSLPKLDLTHMSDDKNKIVHPDKINGNSTPSEYAGTKITEKAIVFSQWTRMLDLVEVHLKSSHLSYRRLDGTMSVAARDRAVKDFNTNPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDKSGAHQTRLTVEDLNYLFMV >ONIVA01G37360.1 pep chromosome:AWHD00000000:1:32051109:32061609:1 gene:ONIVA01G37360 transcript:ONIVA01G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLMVVGLWCAHPDHAHRPSIRQALNVLKFEAPLPSLPPKMPVPSYFPPPDLVSPVSVEGTSSTDGPGVSLASRHTEVADCMESSDRNSQVDLLRAHSRVPRENKEVEFSRTRQLDTGHTLSSPCRFLQMRLSIVILIVIVKGYSFAWP >ONIVA01G37350.1 pep chromosome:AWHD00000000:1:32049128:32051078:1 gene:ONIVA01G37350 transcript:ONIVA01G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAAAAAAPFLLLVALLLLLPSPAAAFSFTYNFTTADTAPSGIAFQGDAFFNKFIRLTRDERIGPITSSAGRAFFSRPVPLCDPVSRRRASFSTAFSFSIAAPDPSAASGDGLAFFLSPFPSVLPNSSAGGLLGLFNSSSRGGAAAAHPRPLVAVEFDTYKNEWDPSDDHVGVDLGGIVSAATVDWPTSMKDGRRAHARVAYDGQAKNLTVALSYGDAAAAAALTDPVLWYAVDLMEYLPDAVAVGFSAATGEAAELHQVLYWEFTSSIDTKEETVILWVVLGLCGLLLVLVAAGVLWFVSQWRKAGELADGDIDDEMGYDELADEEFFVESGPRRFRKAGELADGDIFDEMGYDELADEEFFVESGPRRFRYSDLAAATKNFSDERKLGQGGFGAVYRGFLKELGLAVAIKRVSKGSTQGRKEYAAEVRIISQLRHRHLVRLVGWCHEHRGDFLLVYELMPNGSVDRHLYGGGGGSKKAGGAAPPLSWPMRYNVALGLASALLYLHEECPQCVVHRDIKPSNVMLDATFSAKLGDFGLAKLVEHGSQPHTTVLAGTLGYLAPECVITGRASRESDVYSFGVVALEIACGRRPAELDEEDPSKARLVPWVWELYGKRAILEAAD >ONIVA01G37340.1 pep chromosome:AWHD00000000:1:32047008:32047238:-1 gene:ONIVA01G37340 transcript:ONIVA01G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPGLPPLPPGCEVKRRRAPPVARVGSPPPVPRREQPHQAQPHHYCVCSPTAHRGSFRCRWHRRGYYEWGASRRR >ONIVA01G37330.1 pep chromosome:AWHD00000000:1:32032789:32046964:1 gene:ONIVA01G37330 transcript:ONIVA01G37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVELLTTFQDVAIVDEVTIIKDKASLWCRSLDQDMGSKIFQTIPNLHDSSEIGRPRGGGEESRSESGGGEQAAMSAAAAASNVEFIRSRSDKREYRRVVLPNALECLLISDSDTDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGENDYSKYMIEHGGYCDAYTYSETTTFFFYVNAANFEEALDRYAQFFIKPLMSQDAVLREIKAVDSGSCETLETKPKERGLDIRQELLKFSENYSANLMHLVVYGKESLDCIQSFVEHMFSDIKNTDQRSFKCPSQPLSEEHMQLVIKAIPISEGDYLNISWPVTPNIHFYKGWAMNLSAGEGSDSAQYSFFSISMRLTDAGHEHMEDIIGLVFKYILLLKENGIHEWIYDELVAINETEFHYQEKVHPISYVTDIVTTMRSFPPEEWLVGASLPSKYAPNRINMILDELSAERVRILWESKKFKGSTDSVEPWYSTAYSVENVTPSMIQQWIQKAPTEKLCIPKPNIFIPKDLSLKEAHEKVKFPAILRKTPLSRLWYKPDMLFSTPKVHIIIDFHCPLTSHSPEAVISTSLFVDLLADYLNAYAYDAQIAGLFYSIYRTSAGFQVSVGGYNDKMRILLDAIMKHISNFEVKPNRFCALKETAVKDYQNFKFSQPYYQASNYLSLILEDQNWPWVEKLEALSKLEPDSLAKFIPHLLSKTFLECYIQGNIEPNDATSIVQEIEDTIFNTPKSVFKSMSPSQYLIRRVHLDDALSNIKLQLFALIARQPAANQLRTIAQLGYIADLYVRSDRGVRALEIVIQSTVKDPSYLDARVDEFFKMFENKIHELSDKDFKRYVKSLIDSKLEKSKNLWEESDFYWGEIEAGTLQFDRGRSEVSLLRELKKEEFIEFFDQYIRIGAPQRKTLSVQVFGGKHLAEFKKAIAESDAPKTYRITDIFGFKRSRPLYRSLKGGPGRITMD >ONIVA01G37320.1 pep chromosome:AWHD00000000:1:32031608:32031953:-1 gene:ONIVA01G37320 transcript:ONIVA01G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKAGSEVVAAAQGRGEGGVATPLLLANILDWSKILGMEYDGRGARARTWRDDCWMSAATRSPAHELLLCRERIDASFSARKGAGRTLKGRDLRHMRNAIWEKTGF >ONIVA01G37310.1 pep chromosome:AWHD00000000:1:32029906:32031550:1 gene:ONIVA01G37310 transcript:ONIVA01G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLTLLPPLSLLPPLLQRAAATGKVGARAHMKVAAAVAELKLTRVARVAGPTAPKLRALPPLAAACNERGQPTESELALARRWRQRWQRPKLTRVAGAAGQSSRRSGGHSWGNCGN >ONIVA01G37300.1 pep chromosome:AWHD00000000:1:32029325:32029564:-1 gene:ONIVA01G37300 transcript:ONIVA01G37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPGLPPLPPGCEVKRRRAPPVARVGSPPPVPRREQPHQAQPHHYCVCSPTAHRGSFRCRWHRRGYEWGAGRRRSVAP >ONIVA01G37290.1 pep chromosome:AWHD00000000:1:32017645:32029890:1 gene:ONIVA01G37290 transcript:ONIVA01G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPAPAESNVEFIRARSDKREYRRVVLPNALECLLISDSETDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEQDYTKYITEHGGSCNAYTSSETTNFYFDVNVANFEEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDGWRMYQLQKHLASKDHPYHKFSTGSWETLETKPKERGLDIRQELLKFYENYSANLMHLVVYGKESLDCIQSFVERLFSDIKNTDQRSFKCPSQPLSEQHMQLVIKAIPISEGDYLKISWPVTPNIHFYKEAYDAQIAGLFYSIYRTSAGFQVSVGGYNDKMRILLDAIMKHISNFEVKPNRFCALKETAVKDYQNFKFSQPYSQASYYLSLILEDQKWPLAEKLEALSKLEPDSLAKFMPHLLSKTFLECYIHGNIEPNEATSIVQEIEDTIFNTPNSVFKSMSPSQYLIKRVIMLENELKCYHQIEGLNQKNENSSVVQYIQKEACEDAKHVYIQVHLDDALSNIKLQLFALIASQPAFNQLRTVEQLGYIAGLSLRSDCGVWALEVVIQSTVKDPSHLDARIDEFFKMFESKIHELSDKDFKRNVKSLVDSKLEKFKNLWEESHFYWGEIEAGTLKFDRVESEVALLRELKKEEFIEFFDQHIRVGAPQRKTVSVQVFGGEHLAEFKKAIAEADTPKTYRITDIFGFKRSRPLYRSLKGGPGRITMD >ONIVA01G37280.1 pep chromosome:AWHD00000000:1:32009242:32015666:1 gene:ONIVA01G37280 transcript:ONIVA01G37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKVAAAAAATPPRGSSKAGGGVISEIREKHKLELENLTLTKHPFRTLRSGLHTFVLYLGPHIALFTIKAVQCGRIDLKTAPYDTIQLKQGPSWLDKKCSDFGPPVYQASAHSVRIPVFELLPQVQLEAVLWGIGTALGELPPYFISRAARLSGSEPEAVKELDAAASDEHGPIASTLNRTKRWLLSHSQHLNFITILILASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKAIIKTHIQTLFIISLCNNQLLYLMEKELIWIFGHIPGFSASLPSVIAKLHSAKDKYLSTPTSATSSSKMEDTQWNFSFTLVWNTVVWLVLVNFFIKIVTSTAQEYLKKQQDIEMELITDSSPQSQSKTN >ONIVA01G37280.2 pep chromosome:AWHD00000000:1:32008753:32009425:1 gene:ONIVA01G37280 transcript:ONIVA01G37280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHPNLPWEIEIAMRSVEYLLERLASNAKRLLLHPRPNFPLNCTVLHSPHTLTQRAHLQLVLRIASSTSRALHLRCAHTLQYSATRTALIPSSCHWWGGAHHDRRGVGPASRVSARVRVIFPHNLCHNGKQRPRFAPPKSKRKFPTPHPPPSPPPPVSAGADINTSRSTGNRRAASTTHHKLAAGVADGGSSRSGVRSG >ONIVA01G37270.1 pep chromosome:AWHD00000000:1:32000653:32001313:-1 gene:ONIVA01G37270 transcript:ONIVA01G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRCVARGGQERNHRSKSRAVCETLPKFYDDAPYIPPPTCLSLVFSMCSSSGSSSGCSHGRRRGSSRLLRSCFGINVRCRRRARRFVRRMGWLRSLLSPLRRLWCHMNAVQRKKRGIYILYDDVKSCPCEDVHVLWSILVESHGLLPPTPMTTPAPAPALRPTR >ONIVA01G37260.1 pep chromosome:AWHD00000000:1:31998915:31999121:-1 gene:ONIVA01G37260 transcript:ONIVA01G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLKSKIVAVAVAAVVVVASSLVGTASAADAPAPAPTSGATATAAAAPAFAAVSVAAAALGGYLFC >ONIVA01G37250.1 pep chromosome:AWHD00000000:1:31991899:31995036:1 gene:ONIVA01G37250 transcript:ONIVA01G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGGSQKGGGGGGGEARRINVVYFLSRGGRTDHPHLFRVNHHRAGVRLRDVKRWLSELRGQDMADNFSWSYKRKYKAGYIWQDLMMDDDLITPISDNEYVLKGCDVRRATESPKEKSSSLAEEPKKLDSQEVKVVCDQKQVEEVTTTTAPDSDERSPKTLAPVDEDSPGEPASRSTAPLKKDLPRGLREERKKQQREVVKVVSKAVVVPAPAPEQKVKGAASGRISSHTPPAVGSARRMHLAQLLHNLLTCGAADADDTALRPVLRHGADDDGGDWPPTPVCPGIDGCGLRQCGKEFKPQELHSHMQSCRVFREKMRSSTSSRVSVDRGRTSAAARPEHRRTRSKGAAAAAPGDTSDRPSAVLLLRDS >ONIVA01G37240.1 pep chromosome:AWHD00000000:1:31986668:31987502:1 gene:ONIVA01G37240 transcript:ONIVA01G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRRYGYSYPPPQGYYNGPPVMAPPQYAAPPPRRPEPSFLEGCLAALCCCCLIDECCCDPSVIFVT >ONIVA01G37230.1 pep chromosome:AWHD00000000:1:31981701:31985288:-1 gene:ONIVA01G37230 transcript:ONIVA01G37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT1G27060) TAIR;Acc:AT1G27060] MEAAAAAAEEEEEEAVWAWSWGAGTDGQLGNGGFQDHHLPQPLLLPPRCRGLVSFVAGGGAHAIALTSDGEVFTWGRGTHGQLGHGDVENIPHPKLVKFFENYMITCVSAGWNHSGFATDSGQLFMCGDGSFGQLGAGDNHPRNLPFEVAYFTTKHVVRLALGMRHSLVLLKDNSVYGFGSTRRGQIGRCTRNQKFYDVPRIIDGFPDCKIGNIYANGDHSAALDESGQLYIWGRALIGEHDDDQPRPVFPSLGISQVALGWHHALVLSESSSSSTLVKVPCVDGEQVVHIAAGTEHSASVTDKGTVFTWGWGEHGQLGLGDTCDQVTPQRVNIGDKRPCSSASVNVYCGSGFTIAVNSGLESD >ONIVA01G37220.1 pep chromosome:AWHD00000000:1:31963202:31978244:-1 gene:ONIVA01G37220 transcript:ONIVA01G37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNDGCDGGGKIKIGVCVMEKKVWCSPMEQILERLRAFGEFEIIIFGDKIILEDPIESWPLCDCLIAFYSAGYPLEKAEKYAALRRPFLVNELDPQYLLHDRSKVYEHLKLFGVPVPTYAVVRREYPNQELKYFVEQDDFIEIHGKRFYKPFVEKPIDGDDHNIMIYYPSSAGGGMKELFRKVGNRSSEFYPDVRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPTEKQIARNICQAFGQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSHKYYDDAACILRKMFLDDKAPHISSTIPANLPWKVSEPVQPFDAVRDRERGTVGISRQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLKLMLKYNGGKAHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEIEHAEKLRQVRAVLEEGGHFSGIYRKVQLKPSNWVHIPKSNGNGKEEYPIEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQVSLVSKDSSMLDGLQDGSIEIDEAKARLHNIILSSKIANGETMEFPWMVDGAGVPPNAANLLTNLKLAHLKQPNVKIITSIMVTNKLPLSQLSNQAQLTKEITAQVKLLSDNEDEEAVTDSDSPSHPYDQAKALGKTAIDMDRIASGLPCGSESFLLMFARWKKLERDLYNERKNILDRYDLLHNSHLKLNGLSDLFRVSQSLADGVIPNEYGINAKQKLKIGSKIARRLLGKILIDLHNTRREVAAAGGESNACHDPTIVPSSKRKDRGYYGDVKNEGFDRPNSNKKSIDLDDSHKETKYCLDPKYANVMEPERRVRTRLYFTSESHIHSLMNVLRYCNFDESMDGEESLVCKNALDNLFKTRELDYMSYIVLRMFENTEVSLEDPKRFRIEMTYSRGADISSLQSEHGKDSLLPDDHTMKIMEPERLQEVGSYLTLDKFDKMVRPFAMPAEDFPPAAPSQSLAVRFCKDNGLQGARLVPYPVLYHRMILPFYFRENSI >ONIVA01G37210.1 pep chromosome:AWHD00000000:1:31960159:31963590:1 gene:ONIVA01G37210 transcript:ONIVA01G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHRRGKRGRASPDPPAKRRRRGRGHGHGPGPPELEADELEAPPAPVQAPSAAAAASEPLLVMVAGLPPGCGVMELKSRLGAYGPIARTRIDAASATGYVTFRSAAAAMAAIAASLDPDGGVTVGSKKVLVVQASEAPNDLRSTAQANPVGENTHDATKRNASESSAFSSTKAAPEGTYKAREIVAYDDLF >ONIVA01G37200.1 pep chromosome:AWHD00000000:1:31952761:31959207:1 gene:ONIVA01G37200 transcript:ONIVA01G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G29630) TAIR;Acc:AT1G29630] MGIQGLLPQLKSIMAPIGVEALKGQTVAVDTYSWLHKGALSCGDRLCKGLPTTRVNMLRHHGVKPILVFDGGHLPMKGDQETKRERSRKENLERAKEHESAGNSRAAFECYQKAVDITPRIAFELIQVLKQEKVDYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFHITRLQRCRELDLNGFTMQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKGHEKVIKHLRYSAVSVPPQYEENFRKAIWAFQFQRVYDPVTEDITVAKGIAQGNIDPITKEPFEGKTESSALAFDKVHLNRESSAPSNGKKKLDLPVQRNAKRKFRAPKVTPKQQVLNGSLPSPRIEDSGTPDSIEDTSLPSNNIQVYQCSSEHFSSGTPLDDSINTASQCSSERVRCDIHRDDSASVSPQCSHDIGSDPAEDPDIEGNKVKVNFCNRSTIPTGSFLEGTLPGISDPFLDSHNTEPSRAAPRYAEKSNVVSANRNITVRSSYFKTVNKRVCTNQGEDECHDEDNCETGNYTLPGDQQRSSGGILKRRKFSDPQNFEDGMFQPTSPHESPPVADKGYDSDSHDGINTNSEGKFGCNVAHVNKYSGIAEKSMDKFAALISSFRYAGSRASGLRAPLKDVKNTLPVRSVLRPPEQRFGCTAKKTTRVPLQSRFSSDATNSTDVPDLSTFAYRPTTASAHSDQGKITSKATDAAAGPPDLRTFAYAPTRSTTSRFDQSENTRKAMCTADSPPDISTFEYKPMKSAVRRSDGSKFSGAALKAARRTSRS >ONIVA01G37190.1 pep chromosome:AWHD00000000:1:31940123:31946123:1 gene:ONIVA01G37190 transcript:ONIVA01G37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPEFAAMAAVAVFAALVLAAGAGAAPLPAALRLERALPHKGVAVEHLRERDRARHGRRGLLGGGGGGVAGVVDFPVEGSANPFMVGLYFTRVKLGSPPKEYFVQIDTGSDILWVACSPCTGCPSSSGLNIQLEFFNPDTSSTSSKIPCSDDRCTAALQTSEAVCQTSDNSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASIVFGYSSLEALCSNSQSGDLTKTDRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIVVNGQKLPIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVNAITAAVSPSVRSLVSKGNQCFVTSSSVDSSFPTVSLYFMGGVAMTVKPENYLLQQASIDNNVLWCIGWQRNQGQQITILGDLVLKDKIFVYDLANMRMGWTDYDCSTSVNVTTSSGKNQYVNTGQFDVNGASPRPPYSGLVPAVAVAVALIFGGFSRR >ONIVA01G37190.2 pep chromosome:AWHD00000000:1:31940123:31946123:1 gene:ONIVA01G37190 transcript:ONIVA01G37190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPEFAAMAAVAVFAALVLAAGAGAAPLPAALRLERALPHKGVAVEHLRERDRARHGRRGLLGGGGGGVAGVVDFPVEGSANPFMVGLYFTRVKLGSPPKEYFVQIDTGSDILWVACSPCTGCPSSSGLNIQLEFFNPDTSSTSSKIPCSDDRCTAALQTSEAVCQTSDNSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASIVFGCSNSQSGDLTKTDRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIVVNGQKLPIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVNAITAAVSPSVRSLVSKGNQCFVTSSSVDSSFPTVSLYFMGGVAMTVKPENYLLQQASIDNNVLWCIGWQRNQGQQITILGDLVLKDKIFVYDLANMRMGWTDYDCSTSVNVTTSSGKNQYVNTGQFDVNGASPRPPYSGLVPAVAVAVALIFGGFSRR >ONIVA01G37180.1 pep chromosome:AWHD00000000:1:31919189:31928263:1 gene:ONIVA01G37180 transcript:ONIVA01G37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps51/Vps67 family (components of vesicular transport) protein [Source:Projected from Arabidopsis thaliana (AT5G16300) TAIR;Acc:AT5G16300] MPAAAVSGGGAADAEELFRTRRIPEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDAVSGNLSRISDSLASLAPPPEAPPAASPSPSGGRVRLYASAARAKYLVDTPEHIWGRLDEGLLLEAAGRYVRAQVVHGVLSRDAAAAARFPLLAHQAQLVEAFRPQIAQRARERLADRRLSVAAHADALAAAASIDAPSLTPTQALQLFLSSRRAWISQALTTLASDLTSYSSVLCDVAKIVRVTLGHVGQLFVLALNDLPLFFKTVLDLPPPSQLFGGIPDPVEETRLWKEHWDQLEATMVLLEPDAVARTCTDWLKGCCDEIFGVIAGGQRLVDAIESGEGLGSVQRLVREALDGREGLEGTLEQWLKSVFGSEIESPWDQIRGLILKEGKDIFEDWMEEAFVQRMKDIVHLEFGSLDDSVNIKKSIDGIGANADPKDAGDFMVYLRKVSTGGGVWFSESKIKKGGILAHLKPIADENDFHSCLTSYFGPEVSRIRNAIDSKCKTILEDLLSFVDSHNSAPRLKELVPYLQEKCYKTISGILNGLEAELGKLSASLRTKKGESNMLAASVIVERSLFIGRLMFALRYHSSHVPLILGSPRQWVKEADGAAFMRLSSPSPRHSRASFDTAMPFTPRRHTQSSPRSPGRQFSDNPRRQTIAAAASLFGADDSSNPRLDELYKTLQALCIAAHGLWITWLSTELSQLLSYDLNKDDSLSLSTPLRGWEVTVIKQEESTEGPLEMQIALPSMPSLYIISFLYQACLEIHKIGGHILDKSILQNFAWDLLQKVIDIYESFLVSIESGKSLVSEKGVLQILLDLRFIGDVLSGGKSSSTKTTETQRTHDSSPSAIAKTSFRRKQSQLQADSATIEPINKLINKFSQRLDPIDWATYEPYLWENEKQSYKRYVVLFGFLVQLNHMYTGTVQKLPTKSNTDSNIMRCSQVPRFKYLPISAPALSSRAHKSSLQSTSDDSTSRSPWKSYSNGERSTASEFDDNVSLGGAAPLLKSFVTQVGSKFGENTSRWGSIISDGQVGKLSDILPGPAAGFFSSFTSGARYDP >ONIVA01G37170.1 pep chromosome:AWHD00000000:1:31911491:31913510:1 gene:ONIVA01G37170 transcript:ONIVA01G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTVPYRTTAPPLPESDGHEDGSSGGMVLLDRWCLIADLPNASTGVGTTSTGLRIQATFHPARPPLLSRFCVHCPGLDFRRVVPKIIASDADLVLLCVPVNPDSIANARGLDYFVYRPRAHRLDLLPNPHPVYLHDSMTALLSRQDGAWYAVAALGLGPPVYKGGAPVSWDFYLHLYRSTSSSKGWTSKRLSVTEFIRDKFIPIPASVDRLYHETGKTITVGGEGGTVAWVDLWRGIFLCDVLDEFPALRDIPLPCPARSNRDLFLIQYDPIYFRDVTISRNRDSIKYIEMEMWSPKEPMNTTSTPVSYVDCVLNNTRKSQVIRDDWKATTWSMPMPVIGSSCEHWHRDCEVGVKDITLGASNPCRSNLSFSEIVEMFKELPMANPTISMDDDVVYLLSRTSPSPMDKLHVVFAIDVRKRTLQGLTKLDVQPQNSVCMVTLCTSEICRYLRNITGSRAQFRRHALSP >ONIVA01G37160.1 pep chromosome:AWHD00000000:1:31906159:31908469:-1 gene:ONIVA01G37160 transcript:ONIVA01G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTTTTAAASSPAALPAASTTAPASSVSPAAAAAKRPHLAGDDAPWRAAATGAAGIRPVPRIHHAPVLRVAQDDYSAYALAVMKHPDPIGEGLAMEAMVEAAGPECIVPGQQAPLRLLGLKVWPLDIEMKFLEPFGRELHSMKKFMDKSCSVMDSSMSNK >ONIVA01G37150.1 pep chromosome:AWHD00000000:1:31899363:31902740:-1 gene:ONIVA01G37150 transcript:ONIVA01G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 10 [Source:Projected from Arabidopsis thaliana (AT2G16430) UniProtKB/Swiss-Prot;Acc:Q9SIV9] MVDRIGAAWWCACAVGMLVVGACLAGETSEYRRQLGSAVDMPLDADVFRAPPGRNAPQQVHITQGNHDGTAMIISWVTTIEPGSSTVLYGTSEDNLNFSADGKHTQYTFYNYTSGYIHHCTIKKLEFDTKYYYAVGIGQTVRKFWFRTPPKSGPDVPYTFGLIGDLGQSYDSNITLAHYESNSKAQAVLFVGDLCYADNYPYHDNVRWDTWARFVERNVAYQPWIWTAGNHEIDFAPELGETKPFKPYSYRYPTPYKASGSTAPFWYSVKRASAYIIVLASYSSYGKYTPQYKWLEAEFPKVNRSETPWLIVLLHAPWYNSYNYHYMEGESMRVMYEPWFVKYKVDLVFAGHVHAYERTHRISNVAYNIVNGQCTPVHDQSAPVYITIGDGGNQEGLATNMTAPQPGYSAFRESSFGHAILDIKNRTHAYYTWHRNQDGNAVAADSMWFTNRYWQPTDESLDDSQ >ONIVA01G37140.1 pep chromosome:AWHD00000000:1:31893386:31898688:1 gene:ONIVA01G37140 transcript:ONIVA01G37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLNVHEVLPNHVMKYLSGSKVVAVGRDISGKLITTDGMLISDSNGSEDTEEFMLSTCKISEGWEGGALFDSDGNLIGMNLLFLMGRSLFLPISIIIERLEYFRTSYRRRKFFALATKLKAIRVGGRLSIEMPKSLLEDVCDEDQFECLDSMGYPMPSISMANDGMVLVNTFEETFGDLYDEGVWSELSKNVSSRISRNVVSLASFNGETRYFACTGFFIEWNGCTSILTSASLVRKSGDRSKIVENLRVANVVLILFCDPCSSKIEVLLPNKKRTEGTLEHCNLHYNVAIVSVKDFRALCPANLHHEQESVCEDVLAVGRCFESDILMAASGHLVGWSGTLDCRMLRYANFKITKAGIGGPLIDFDGRYVGINFFDDIVGTPFLSCTVILHVLSRFDEERTINKVGNGDTSSGVLDWTMTGDRSVRPNSWPVPKPFWCHPDDLPLNETRTRHKYGYYNGQKFKYMC >ONIVA01G37130.1 pep chromosome:AWHD00000000:1:31889551:31893120:-1 gene:ONIVA01G37130 transcript:ONIVA01G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16405) TAIR;Acc:AT2G16405] MEATAGGSTASAAACPPAPSRPTDPDFLSCVLQPPTPSSSSRPDDDYAALRRLLLRRKPPSALQHRMEWRCNGKGYVAYRNFLLRRIDGGAASSCASTPSNSGRWAPSPAYAAFSEADSWSSSKDLRRNSGPLLRNLSISSKQSDPERHVRFAEPAYSFVGMHCIFDDCKASVTILKFGRASSDLLTYGASDGSLTVCQVSDPPTVLQKLIGHSKDITDFDFSSNNQYIASCSMDKTMRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANREINAINFSTGRIVSKLTFDDAVTALDVDHTGQLIFAGDAQGCIYTVSVNSHTGSLSRTHKNKSNKSKSPVTTIQYRTFSLVARCPVLLSCVQNGNLFFFSIATDSKGYLTLICSLKLASPVQSIRASFCPLLSLEKGEFIVTGSEDANVYFYDLTRPKNSCVNKLQGHGSPVIGVAWSHGENLLASSDSDGTVIVWKRA >ONIVA01G37120.1 pep chromosome:AWHD00000000:1:31887800:31888648:1 gene:ONIVA01G37120 transcript:ONIVA01G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKLSCLLLVCVTSTATGARYFPQIDCSPAPTSNSSNGTAFRANLLALPTDDLPAQAAATRFASTQAGGGDRALALSVCLGDSTPALYRESLAAAVADVVAGCGARAGAWLDGCYRSYLAAYAADTNTTTSPSPAGGEFHRWVVTGDVLPFSDNLYATFLDMSNGVAARMLAIDVGAATTRTGLAGRVVAQCAAGVAPADCVQCLEGAAREMPRCFREARREEQGEGVGVVVSDDCVLRFDMTSSPAPRTSDTCDGTCKLLALAFGVALGIILSFTFNLQ >ONIVA01G37110.1 pep chromosome:AWHD00000000:1:31881359:31882282:1 gene:ONIVA01G37110 transcript:ONIVA01G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKLSSLLLVAQLLLVVVGGASAIVARGTQLYTTGYFPQIDCSPAPMATSTGSNGTAFRANLLTLLASLPDQAAPTGFASMQAGAGGRAPGGDDDDRAFARGACLGDSTPSQCRDCLAAAVIDVAEGCDADTHRAGAWLSGCYLAYADTDATSPRESAFHRWFFDGDILPFSDNLDPTFLDLANGAVSALAAAAANRSGSGRTMLAATQEFDDGANTGLAGSMLAQCAAGRAPADCVQCLQDSARAMPRCCWNAWGLGESVAVALSYDCVLQFTMHLASPAASLGGGALRPFALAVGAAALAIIM >ONIVA01G37100.1 pep chromosome:AWHD00000000:1:31860729:31869572:-1 gene:ONIVA01G37100 transcript:ONIVA01G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLDPRLYENVSVSDNDVRNIVLSYLMHNCFKETAETFLSSTGLELPVDYTVDVDKRKAIFSFVLEGNALKAIDLTEELAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTPFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADSLNRAVLAHANRPAYSSLERVIQQATVVRQYLQQEVGKSFKGFNGKNPILVGPWGGLGGTLWDDGVHSTVRQIVITHGAAIDSIKIEYDLKGKSVWSEKHGGDGGTKTDQVKLDYPQEILTSVSGYYGSLGGCIVVRSLTFRSNLSKYGPFGSEEGTPFSLPVAVTGKVIGFHGKSGWFLDSIGCHFKKEKNATPSSNAPSALRSITRPHDKNGNRYADSNAGYDMVLAVRDRGDSYSVLTSNNPKEQYPNQSQDATLWNKMVSLPSFYSDNGTMTISTPVRFGPWGGNGGTIFDDGIYTGVRQINLTRGLGISSMKVLYDRNGQAIWGDKRGSSGAARAEKVVFDFPSEILTHITGYFSSTMIIGSTVIKSLTFHTTKKSHGPFGDETGTFFSSCLTEGRIVGFHGRDGWYIDSIGVHVLEGKVLSQRADRALTETSPSRHADMLAVAQREIGDEVTYGVVKEPIPVGPGPWGGEGGKPWDDGVYTGVKQIYIMRADFIGSVQIEYDRSGQSIWSTRHGNGGQITHRIKLDYPHEVLNCIYGYYNTCQDEGPRVLRSITLVSNRGKYGPFGEEVGTYFSSATTEGKVVGFHGRSGLYLDAIGVHMQHWLGDRNRTAAPSSNKYYISKFAERFREPDDGEVGEEQGKWHVH >ONIVA01G37090.1 pep chromosome:AWHD00000000:1:31835521:31839460:-1 gene:ONIVA01G37090 transcript:ONIVA01G37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytokinin oxidase 5 [Source:Projected from Arabidopsis thaliana (AT1G75450) TAIR;Acc:AT1G75450] MAWCLVFMVFLIYCLISTVGLPVAPADEAAMQLGGVGGGRLSVEPSDVMEASLDFGRLTSAEPLAVFHPRGAGDVAALVKAAYGSASGIRVSARGHGHSISGQAQAAGGVVVDMSHGWRAEAAERTLPVYSPALGGHYIDVWGGELWIDVLNWTLAHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGEVVTCSESNNPDLFFGALGGLGQLGIITRARIALEPAPHRVRWIRALYSNFTEFTADQERLISLQHGGRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHHSGVLYCLEVTKNYDDSTAVTVDQDVEALLGELNFIPGTVFTTDLPYVDFLDRVHKAELKLRGKGMWEVPHPWLNLFVPASRIADFDRGVFRGVLGSRTAGGPILIYPMNRHKWDPRSSVVTPEEDVFYLVAFLRSAVPGSTDPAQSLEALERQNREILEFCDEAGIGAKQYLPNHKAQREWEAHFGARWARFARLKAEFDPRAMLATGQGIFDSPPLLAES >ONIVA01G37080.1 pep chromosome:AWHD00000000:1:31820531:31830544:-1 gene:ONIVA01G37080 transcript:ONIVA01G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTDRAGKKEKHIGARRNLVSAPLAENINSPIQNITHPPPFFFLSPPFSSRRRRRRRHRRSRVCSSRSRRRRGGSLCSPSASGVPAADADPKSGSVRFYDYLMEHDMTVEDFIRTNGLGASGLIETNNQGVSTSSVSDCRSCEHVENGSPSTAPPFWDSDGEDDDPGPRPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKCFRAFWLAIDQSTRHRMSTEKSDVILKIIVKHFFVEKEVTSTLVMDSLYTGLKALECQSKSKSKKGIIDSVDFVELPVPMVHVDVDMFVLAGDVIALLERAALEPLPCQPVSPKDDKCSQSRTKDGSSGEVNKVSIEREERRLTELGQKIIETFALSHIFSGIEVAYQEAVALKRQEELIREEEEAWLLENEMKGKRGSTTEKDKRAKKKQAKQKKNNRKVKDKDREEKCDSNFPERSQDENTIHDREDSKQAGQISMKVDTSEEGASDVSDNLDGSIEIQKKHSTMENKSLSCSSESATMNNAQGKRNNLLESKDQISRNRGKARSRSTSNMNITEDVDDLPSSTTSSDRNTSGCGPAPKLDQETVLLTLKDRLRKLGQRLHEKEIEGRKLLQAHLEKKAAAESATGSSSSLSSNSLEETPEVLKSPDQSSVTISDADINASPSKFGASKEVTPVTPTTILSTEPVPTVASTLSKDEPVLCEDHVSCSTPQIDTPITSNPPQVDKTVTLPSGMLLVGHAIQAPSRSPAPQVDRVSKAIAAPTKSPAPQVDKVSIAVPTPSKSPATQGEKVAKAILVPPKSLAPQVGKVAKTIPTPKQPAPLVDKVTSLDPVSKQMSSTSNSEAREAILPKKAAVLSVSQTPAISRPSSAPLFQVPRSTLPPTPAVQVPPMLSRSMTLAGRSRNEPSPSVPSYTAQTYRNAIIGKSNLDTASASLDHSTSFGQNVALSQPLSSYASAASAMVPPVGRNGQLPGKQGFMFGQGKSEAIDNWNPWKGDSNANKYMWKDDSPYHQMTKGDAHTQSWRDNSYQQAGCSGTGEQGEFGGLQYRQFQREIPTNLVSYQLPGPVGEEFPHLDIINDLLEEEQSSGSMAEPTLHGYHTLGLPYSSRGNLVDSEVTSISSSGRLNLADHYYDEGYPMAYDRLNALYRLREGQNSTLDAYSNGRMDSITSKPWLHNFSNPAVNLGVNPNGFSQQMGNYTNLGSGRVNGEHLYRHANGQW >ONIVA01G37070.1 pep chromosome:AWHD00000000:1:31815391:31822946:1 gene:ONIVA01G37070 transcript:ONIVA01G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRMPTLRRLSATLSSVLLEWILMLLLFIDAVYCFLVTRFARLCRLPAPCPFCSRLDHVLGNEKPCFYRELICKTHKSEISSLAFCRLHQKLAGAQSMCERCSDSLVENNDDRTDEPTMAATPPDSNQGLYSHDTRICSCCAQHYTQQRPTMFSRMITELEPAEAVCSPKICTDYSILHQVDKSLDKDICHQSDHSIHERYSVLQMTSGSEDEAPCADDGKISHHHKTNCMEEDLNEDATAEKFAASSTELVRPLEMNVPMETDVGDSYDISLPYVLVDDHPDSIIGEGQMEAEDASLEKQTCQHDPLAVKEESGLTDVNVSQVPVASSVESPQNLGYNEACHGASESTIDPCSSQSTTLEQNIAVSEHNSTIDDLEGHRSEITVTSSREFHQKSALVDDDPVNFRDDHVSQVNSSSEAVDEAEDYAKEAEQTCDMVTHEAALKDPSNTNSKDPTAKGFVEEAPISPQAIRPNSEVFQGLNVIEEHPQTSATIGERRPSLSTQISMNEAYKLAIGAKSSLPSPTLTDVILGKDSSSSVNEELRLLLSQLSASRGLEAPWVDPGPSPRAYGRGDDLIVQNITNRISIERNASGLESLEGSTVSEMEGESATERLRRQIDLDRKSIHLLCKELEEERNASAIAASQALAMITRLQDDKAAMQMEALHYQRMMEEQAEYDSDALAKANELLAQREQQIEELEAELENYRMQFAGGPTEKQSNQVSFNEENIAETLLDETGLEAPAITTPSGINSLVSFEEERAYIVDCLTKLEQKLQSYSNNSTNIHLSNSDVIEDYLSNKMHVVDDGSLQCQESSREAQEPVFLAKEAHSSTVSRKTDLSTLQEEISNLNKRLKTLEGDRNFIEHSINSLRNGKEGVMFIQEIASNLRELRAIAGSK >ONIVA01G37070.2 pep chromosome:AWHD00000000:1:31815312:31822946:1 gene:ONIVA01G37070 transcript:ONIVA01G37070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRMPTLRRLSATLSSVLLEWILMLLLFIDAVYCFLVTRFARLCRLPAPCPFCSRLDHVLGNEKPCFYRELICKTHKSEISSLAFCRLHQKLAGAQSMCERCSDSLVENNDDRTDEPTMAATPPDSNQGLYSHDTRICSCCAQHYTQQRPTMFSRMITELEPAEAVCSPKICTDYSILHQVDKSLDKDICHQSDHSIHERYSVLQMTSGSEDEAPCADDGKISHHHKTNCMEEDLNEDATAEKFAASSTELVRPLEMNVPMETDVGDSYDISLPYVLVDDHPDSIIGEGQMEAEDASLEKQTCQHDPLAVKEESGLTDVNVSQVPVASSVESPQNLGYNEACHGASESTIDPCSSQSTTLEQNIAVSEHNSTIDDLEGHRSEITVTSSREFHQKSALVDDDPVNFRDDHVSQVNSSSEAVDEAEDYAKEAEQTCDMVTHEAALKDPSNTNSKDPTAKGFVEEAPISPQAIRPNSEVFQGLNVIEEHPQTSATIGERRPSLSTQISMNEAYKLAIGAKSSLPSPTLTDVILGKDSSSSVNEELRLLLSQLSASRGLEAPWVDPGPSPRAYGRGDDLIVQNITNRISIERNASGLESLEGSTVSEMEGESATERLRRQIDLDRKSIHLLCKELEEERNASAIAASQALAMITRLQDDKAAMQMEALHYQRMMEEQAEYDSDALAKANELLAQREQQIEELEAELENYRMQFAGGPTEKQSNQVSFNEENIAETLLDETGLEAPAITTPSGINSLVSFEEERAYIVDCLTKLEQKLQSYSNNSTNIHLSNSDVIEDYLSNKMHVVDDGSLQCQESSREAQEPVFLAKEAHSSTVSRKTDLSTLQEEISNLNKRLKTLEGDRNFIEHSINSLRNGKEGVMFIQEIASNLRELRAIAGSK >ONIVA01G37070.3 pep chromosome:AWHD00000000:1:31816216:31822946:1 gene:ONIVA01G37070 transcript:ONIVA01G37070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRMPTLRRLSATLSSVLLEWILMLLLFIDAVYCFLVTRFARLCRLPAPCPFCSRLDHVLGNEKPCFYRELICKTHKSEISSLAFCRLHQKLAGAQSMCERCSDSLVENNDDRTDEPTMAATPPDSNQGLYSHDTRICSCCAQHYTQQRPTMFSRMITELEPAEAVCSPKICTDYSILHQVDKSLDKDICHQSDHSIHERYSVLQMTSGSEDEAPCADDGKISHHHKTNCMEEDLNEDATAEKFAASSTELVRPLEMNVPMETDVGDSYDISLPYVLVDDHPDSIIGEGQMEAEDASLEKQTCQHDPLAVKEESGLTDVNVSQVPVASSVESPQNLGYNEACHGASESTIDPCSSQSTTLEQNIAVSEHNSTIDDLEGHRSEITVTSSREFHQKSALVDDDPVNFRDDHVSQVNSSSEAVDEAEDYAKEAEQTCDMVTHEAALKDPSNTNSKDPTAKGFVEEAPISPQAIRPNSEVFQGLNVIEEHPQTSATIGERRPSLSTQISMNEAYKLAIGAKSSLPSPTLTDVILGKDSSSSVNEELRLLLSQLSASRGLEAPWVDPGPSPRAYGRGDDLIVQNITNRISIERNASGLESLEGSTVSEMEGESATERLRRQIDLDRKSIHLLCKELEEERNASAIAASQALAMITRLQDDKAAMQMEALHYQRMMEEQAEYDSDALAKANELLAQREQQIEELEAELENYRMQFAGGPTEKQSNQVSFNEENIAETLLDETGLEAPAITTPSGINSLVSFEEERAYIVDCLTKLEQKLQSYSNNSTNIHLSNSDVIEDYLSNKMHVVDDGSLQCQESSREAQEPVFLAKEAHSSTVSRKTDLSTLQEEISNLNKRLKTLEGDRNFIEHSINSLRNGKEGVMFIQEIASNLRELRAIAGSK >ONIVA01G37060.1 pep chromosome:AWHD00000000:1:31806319:31811190:-1 gene:ONIVA01G37060 transcript:ONIVA01G37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMRYSPRSVSELVWSPDEGLSIKIAASSLSTRKTSLHWNADTLSIVISSPQQSGAGESGHIIDATVEDAEKMPSQLRTRSDSSARVFMSSPSRIRNTDAQQSTSIRSHGQDSKYCGGMDVMNEGKETSDNFCVDKLEKEDEVGSCPTRYCNDTSHSLGSASRKEVMPIIAEKQAFCATTVHDERSWAANAWRARLVKAISQKDSVLPKNADNIHSTSAFGSIGNTENMPGKLTSMLGNRNDSSQDQAMQEKHKDGLIVARCESVSAVNPVARCELASGVNPLARHESTSGCNPRKLEKGKEKLIYDMCNCVSNTNEGDDSNESIESCPSTKAPKRKHGQFSAAEMTSGNKRCRREDNESSCSGLFHKNDSSFFNWMSTLTNGVKVFDETTAVPLNQKFSAATGEEFPTNPVPLQNNCGVPLQSVGFNSLFQSLYSQNVMITSRNTCHQSESSYTANRLTLGFKSSKPVSMGRETLNVATETLAAGRIQMDSYGDRGAFQNQMGIFPLRAERNQNGFHGSSSNAASGHKDDFSESLWVSRLLPKTPMKVMDTTRCDEETDFCSANPKGLGDSSSPQDFNVEKELNNSQYFTSKGSDNETTSSKCAAPQDENKPSETMASIFAKRLDALRHANTSAVHVAITCDHGTPKGRNHKTSSFVVSYNSHDEQESGQKTHKSSGGEGRIVLWTGDKGKEQLSPGNDKELGEKVLSKHENQNCEGSSDGKVVPPKCNLETNTYIEEIDRKRLQNKEGAPNSMENQPDNKQMVPYGIVPNDVYDEASVVFGALQRLRLSRSDIIRWMRSPVMHTTLDGFFLRLRFGKWEEALGGTGYHVARINGVLDKNHLSVTIRNSTCQVDSRFVSNHDFHQDELKAWWSAAMKSGWKLPSQEELNTKLRERELLRF >ONIVA01G37060.2 pep chromosome:AWHD00000000:1:31806319:31811318:-1 gene:ONIVA01G37060 transcript:ONIVA01G37060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSSSCAEYCGGMDVMNEGKETSDNFCVDKLEKEDEVGSCPTRYCNDTSHSLGSASRKEVMPIIAEKQAFCATTVHDERSWAANAWRARLVKAISQKDSVLPKNADNIHSTSAFGSIGNTENMPGKLTSMLGNRNDSSQDQAMQEKHKDGLIVARCESVSAVNPVARCELASGVNPLARHESTSGCNPRKLEKGKEKLIYDMCNCVSNTNEGDDSNESIESCPSTKAPKRKHGQFSAAEMTSGNKRCRREDNESSCSGLFHKNDSSFFNWMSTLTNGVKVFDETTAVPLNQKFSAATGEEFPTNPVPLQNNCGVPLQSVGFNSLFQSLYSQNVMITSRNTCHQSESSYTANRLTLGFKSSKPVSMGRETLNVATETLAAGRIQMDSYGDRGAFQNQMGIFPLRAERNQNGFHGSSSNAASGHKDDFSESLWVSRLLPKTPMKVMDTTRCDEETDFCSANPKGLGDSSSPQDFNVEKELNNSQYFTSKGSDNETTSSKCAAPQDENKPSETMASIFAKRLDALRHANTSAVHVAITCDHGTPKGRNHKTSSFVVSYNSHDEQESGQKTHKSSGGEGRIVLWTGDKGKEQLSPGNDKELGEKVLSKHENQNCEGSSDGKVVPPKCNLETNTYIEEIDRKRLQNKEGAPNSMENQPDNKQMVPYGIVPNDVYDEASVVFGALQRLRLSRSDIIRWMRSPVMHTTLDGFFLRLRFGKWEEALGGTGYHVARINGVLDKNHLSVTIRNSTCQVDSRFVSNHDFHQDELKAWWSAAMKSGWKLPSQEELNTKLRERELLRF >ONIVA01G37060.3 pep chromosome:AWHD00000000:1:31806319:31810366:-1 gene:ONIVA01G37060 transcript:ONIVA01G37060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVSELVWSPDEGLSIKIAASSLSTRKTSLHWNADTLSIVISSPQQSGAGESGHIIDATVEDAEKMPSQLRTRSDSSARVFMSSPSRIRNTDAQQSTSIRSHGQDSKYCGGMDVMNEGKETSDNFCVDKLEKEDEVGSCPTRYCNDTSHSLGSASRKEVMPIIAEKQAFCATTVHDERSWAANAWRARLVKAISQKDSVLPKNADNIHSTSAFGSIGNTENMPGKLTSMLGNRNDSSQDQAMQEKHKDGLIVARCESVSAVNPVARCELASGVNPLARHESTSGCNPRKLEKGKEKLIYDMCNCVSNTNEGDDSNESIESCPSTKAPKRKHGQFSAAEMTSGNKRCRREDNESSCSGLFHKNDSSFFNWMSTLTNGVKVFDETTAVPLNQKFSAATGEEFPTNPVPLQNNCGVPLQSVGFNSLFQSLYSQNVMITSRNTCHQSESSYTANRLTLGFKSSKPVSMGRETLNVATETLAAGRIQMDSYGDRGAFQNQMGIFPLRAERNQNGFHGSSSNAASGHKDDFSESLWVSRLLPKTPMKVMDTTRCDEETDFCSANPKGLGDSSSPQDFNVEKELNNSQYFTSKGSDNETTSSKCAAPQDENKPSETMASIFAKRLDALRHANTSAVHVAITCDHGTPKGRNHKTSSFVVSYNSHDEQESGQKTHKSSGGEGRIVLWTGDKGKEQLSPGNDKELGEKVLSKHENQNCEGSSDGKVVPPKCNLETNTYIEEIDRKRLQNKEGAPNSMENQPDNKQMVPYGIVPNDVYDEASVVFGALQRLRLSRSDIIRWMRSPVMHTTLDGFFLRLRFGKWEEALGGTGYHVARINGVLDKNHLSVTIRNSTCQVDSRFVSNHDFHQDELKAWWSAAMKSGWKLPSQEELNTKLRERELLRF >ONIVA01G37050.1 pep chromosome:AWHD00000000:1:31798680:31804476:-1 gene:ONIVA01G37050 transcript:ONIVA01G37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAASSSSSSSRDRLTISRPTEKDDVVEEKRDGGDVTAAVPRLAVYGAGRVHEIERFSHYVAKQIGIEDVSECPHLCALAYGYLKKTEGYEQNLLAFFHNKINSDALLVLLIEELDKCILGYFSFHWKFATEVITQVLTAEQPRRKLKTMVLEATRKMRFERVSRKLKVTRLFSTLVEELKVIGVTCNDGQLRDADADVMVPAAHRDRSPVLLLMGGGMGAGKSTVLKQIMKGLFWSGAAAHAVVVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSIDAAASLLVTALNEGRDVIMDGTLSWEPFVLQTINMARSVHRQRYRMGVGYKVAADGNATEQYWEPVEGAPVPSGRRPYRIELVGIICDAYLAVVRGIRRAIISGRAVRVSSQLKSHKRFAGSFRKYCDLVDSASLYSTNTIAGAKLIGWKDVGSRLLVDVEEIGLLDRVSRINEEANGVHELYPDGHPTDGAGSVWEDLVSSPARAAIQRELREAILDSEACFPSP >ONIVA01G37040.1 pep chromosome:AWHD00000000:1:31789839:31798159:1 gene:ONIVA01G37040 transcript:ONIVA01G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFPPWADLTDAVVREIANRLPCVYDRVHLAGVCRPWRESLERLPPLHPPPKLPYLILPLAEQPAFSCVLSGGATHPFFVPERIRHACYFGSYDGGWAFLSTLHPQANRVYFLANLAGTSGTFNLPNRIQLEREALELAPEEKPLLHRLVIYTATLSSDPFMDGCVVAGLINDQAPMPGYRRQKIAFWQIEDRVVVGYFYMGEACWDAVDVVRHNGAFHFLTKGEDIIVGNPVFAEAGARPQVQWEYRCFSSQGHGYDGQHVVARYLVESSGELLMVVRCSPRPGEFTSAFKVFRMAQPEEDDDAPSLDGRTMLFVGRPCSRSDGDVVRYIWRELPSLEGRMLFVDRFFPEQDPSNYTSQVWLLNGSVRAFLEKPLNETLLKENVATSRAPLLHRASQLRLGVRRVALENFQELLDVTQAGKAIYDDLTDAVVREIANRLPCVYDRVHLAGVCRPWREHLERLPPLLPPPKLPYLILPLAEQLAFSCVLSDGATHPFFVPEWIRHACYFGSYDGGWAFVSTAHPRAQGYRDYFLTNLHQTPNTFVLPVWIQLDREEPVLRPRQKRLRPRDPLFINAATLSSDPFMDGCVVAGFVNNCAPVPGHHRQKIAFWRIDDKVVIGCFFMEDACWDAVDVVRHNGAFHFLTNGQHIVVGNPGFDDEANAPPQVQWEYRCFSSQGRGYDGQHVVARYLVESSGELLMVVRCSPRPGESTSAFKVFRMAQPEEDDDGDGDAPLLDGRTMLFVGDPCSRSDGDVVRYIWRELPSLEGRMLFVGRGCSRSYNTDQYPGFEGGVYFFDHRIPGQGGGAPALYPCRDCGKWTGKPALQVELCFPEQDPSNYSSQVWLLNGSVRASLF >ONIVA01G37030.1 pep chromosome:AWHD00000000:1:31785260:31786447:1 gene:ONIVA01G37030 transcript:ONIVA01G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPWANLIGALVREIATRLPCKFDRVHFAAVCRSWRESLEQLAPLPRSPALPFLILPLAEGPAVSCILSDYATHPAVVLDWVRYARYIGAYDDGWVFLSTAPPQGPQHHLLLDTYQFDRHINLPDAFIEHHTGALLPLSIVVAALSFYPDVDGRCVFAGIINVGPVPQGRPMIAFWRIFDPVVPGLFSGPLNPRREWDAVDVVHHHGAFHFLTQGEHIIVAKPEFHYWCPVPAMPQVDWEFRCFPSNRRGYNQQHVKARYLVKSREDLLMVVRCSPHPGQPTSAFKVFRMVPSQRARCRQYIWQRVPSLGGRMLFVGRGCSRSYEADHYPAGIAGGIYFFDDGFIQDPVMLQQPQYSCSDCGKWTESPGPMVERCFPAQGASNYSPQGWRLP >ONIVA01G37020.1 pep chromosome:AWHD00000000:1:31783998:31784333:1 gene:ONIVA01G37020 transcript:ONIVA01G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELDCGEHQLNGTTSVEIHLEDGPRVCACTALASALRLPALHPLPLHPAQVPIEVGGVEGGDGGKVEAGAVEDVTKEVKGEEEHEEVANGDKESVVDPFLDVEVGGDYRY >ONIVA01G37010.1 pep chromosome:AWHD00000000:1:31777324:31779802:1 gene:ONIVA01G37010 transcript:ONIVA01G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDDVEHPFYYFVHDDAAAGVDDHEELLASLGFLLPPPPPPPPPPQPDQGRSAFAAYQSTAAMASSSSSSESLSRRYHSSASNVHRRMYRFLRSIYDDAGDDAGATAEMQPAEGEQQQAAPSGGSARFRHIMRERLRRERLSQGYADLQAILPTGASSSKVLVKSLIRNYMSGGKNTIVAAAANYIRELEGRKGWLCAQNELLERTTPKPGAGMVVKVRAESELGSTVDVFEAVLRRLKAMDELQVTAIQSWFGAGGMWMDVAVESKKQVRST >ONIVA01G37000.1 pep chromosome:AWHD00000000:1:31771290:31771773:-1 gene:ONIVA01G37000 transcript:ONIVA01G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAAAATSVVARAVLAGPLGLPQMRARRSERVRCNYSKEAATPAAAVKGAGASLLAMAATAAPAMALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTIYTSDLEEDEESGGLSL >ONIVA01G36990.1 pep chromosome:AWHD00000000:1:31761575:31771853:1 gene:ONIVA01G36990 transcript:ONIVA01G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT5G43710) TAIR;Acc:AT5G43710] MPTSGPDAMQPALRRRRRRQGFLLPFACLSAALIAAALLPGAAFADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKERFDAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMRIQSYDDQLLHLAADLAQRLLPAFDTPTELAIRNRPRVWTHITSTAGGGTLTLEFGVLSRLTNDSVYERVTKNSVRGIWARRSKLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDYRYLDVGRDILASLQYGAKCPCGYCHISDVETHQQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPATPQITLLDEHCLYAGAFCNGTAGHGYGTGDSSNKHQNANSVPFDDSRTPSDQSLSNILSTTRGYIKGVCPGLTHAQKLGISYSAEEDNSIQQNSGSSENLDESHVIKSSVQAQSSSVILISHPVASQTEGPTSGRSQYNANIVATAESVGTDTAGASSHPEDLVKETESVSEQKEINDKEIASQGSQPTEGRYLT >ONIVA01G36990.2 pep chromosome:AWHD00000000:1:31761575:31771853:1 gene:ONIVA01G36990 transcript:ONIVA01G36990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT5G43710) TAIR;Acc:AT5G43710] MPTSGPDAMQPALRRRRRRQGFLLPFACLSAALIAAALLPGAAFADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKERFDAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMRIQSYDDQLLHLAADLAQRLLPAFDTPTGIPFGSITSTAGGGTLTLEFGVLSRLTNDSVYERVTKNSVRGIWARRSKLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDYRYLDVGRDILASLQYGAKCPCGYCHISDVETHQQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPATPQITLLDEHCLYAGAFCNGTAGHGYGTGDSSNKHQNANSVPFDDSRTPSDQSLSNILSTTRGYIKGVCPGLTHAQKLGISYSAEEDNSIQQNSGSSENLDESHVIKSSVQAQSSSVILISHPVASQTEGPTSGRSQYNANIVATAESVGTDTAGASSHPEDLVKETESVSEQKEINDKEIASQGSQPTEGRYLT >ONIVA01G36990.3 pep chromosome:AWHD00000000:1:31761575:31771681:1 gene:ONIVA01G36990 transcript:ONIVA01G36990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT5G43710) TAIR;Acc:AT5G43710] MPTSGPDAMQPALRRRRRRQGFLLPFACLSAALIAAALLPGAAFADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKERFDAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMRIQSYDDQLLHLAADLAQRLLPAFDTPTGIPFGSITSTAGGGTLTLEFGVLSRLTNDSVYERVTKNSVRGIWARRSKLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDYRYLDVGRDILASLQYGAKCPCGYCHISDVETHQQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPATPQITLLDEHCLYAGAFCNGTAGHGYGTGDSSNKHQNANSVPFDDSRTPSDQSLSNILSTTRGYIKGVCPGLTHAQKLGISYSAEEDNSIQQNSGSSENLDESHVIKSSVQAQSSSVILISHPVASQTEGPTSGRSQYNANIVATAESVGTDTAGASSHPEDLVKETESVSEQKEINDKEIASQGSQPTEGRYLT >ONIVA01G36990.4 pep chromosome:AWHD00000000:1:31761575:31771681:1 gene:ONIVA01G36990 transcript:ONIVA01G36990.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT5G43710) TAIR;Acc:AT5G43710] MPTSGPDAMQPALRRRRRRQGFLLPFACLSAALIAAALLPGAAFADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKERFDAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMRIQSYDDQLLHLAADLAQRLLPAFDTPTELAIRNRPRVWTHITSTAGGGTLTLEFGVLSRLTNDSVYERVTKNSVRGIWARRSKLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQNGQRSYPLRPELIESTYWLFKATRDYRYLDVGRDILASLQYGAKCPCGYCHISDVETHQQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPATPQITLLDEHCLYAGAFCNGTAGHGYGTGDSSNKHQNANSVPFDDSRTPSDQSLSNILSTTRGYIKGVCPGLTHAQKLGISYSAEEDNSIQQNSGSSENLDESHVIKSSVQAQSSSVILISHPVASQTEGPTSGRSQYNANIVATAESVGTDTAGASSHPEDLVKETESVSEQKEINDKEIASQGSQPTEGRYLT >ONIVA01G36990.5 pep chromosome:AWHD00000000:1:31771360:31772031:1 gene:ONIVA01G36990 transcript:ONIVA01G36990.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT5G43710) TAIR;Acc:AT5G43710] MRPNTPSRIHPSRLLLSPRLSPVPSVDILSSTSAIAGAAVAAIASSDAPAPLTAAAVAIPSGPARTARATTEVAAAALTVAMAAIYVKIIREIDLAWPRIAWRHRDWVRRGSGYPCEHGLSGRGQPAVATWTC >ONIVA01G36980.1 pep chromosome:AWHD00000000:1:31756276:31759002:1 gene:ONIVA01G36980 transcript:ONIVA01G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNVTGQQVSEETAQGFDRTIEHLDGSPSLNSTNDKGKDGTKDLDKSKLLKDPVITNGGNEQMVSIKGDSFIKNKDLDDKNRGSLQDHSLTQDNDQSCHLPSTQDDEPLSNKEIERVTNLPETTASVSITIEDTTSNKDSSTLVEKIKLVHKTTERSEEINIGNSQSLLKENMEGPLEDEESGMRDDLIGEDKAEKLDQGQTAVSIIENLLMPMQGGSTSSTETITTDYLDADDSDIKEVVIENEPTGKRNSLYVRPADDTNLKTFKNDRARIPDEKEDISEISQRATVETGIGSCEVIDEGKKTHGLKDQNKDTCGALDIGEVVSKFQSSLTDTSATDAIELEKHELNKRGDDVAGEISDSLTRTEEHNAIERTHTEQERDAKDAAVKDPADNSDEEKKSDCTHDIVSLVEVNGKKFTGLDSFLSYQLSTVNEEKVQTEVREGLFRPSSPLQLIEDFHKRDLKVDSPHNNEETIISTYEVETTDIHDTLAVSQFDRPQQMLLEEPEVVKFENGSILSCMQLVEKSSKTDTFFPHGSKQEKDSASTTAIGLTSESNLEKVMVKVDFPAESNQKKIIADTDKASQEGYLLQIPASRRDASEETPLLKMVENTSSFSFSNEQHSKVVECIPMTSISMMQVKDDGDEEYEKSPLLSPREQEGENFMVPNHSVRNKKPLQSLTTGESVCMQSPLKVQEVPNNSTMVSSPRSTRKQKPRSSIFASCMCCATATN >ONIVA01G36970.1 pep chromosome:AWHD00000000:1:31750309:31751652:1 gene:ONIVA01G36970 transcript:ONIVA01G36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVANWSGPWIDAIDVVRSASHARRLARFFLPSEIGRAPGPPRVTPPVHATILHNRIAGLAARTPVRRSAYSTTGGRNPYLATRCLRMAAALLCVDTRINKEIIKKRKKIEEQEQRDPQIHVASIRIELQNPYIHHRRASACEA >ONIVA01G36960.1 pep chromosome:AWHD00000000:1:31745542:31745946:1 gene:ONIVA01G36960 transcript:ONIVA01G36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGGVSHEMARATVQAHSFSERKKGSRRKHTCAAARVPYVFSGSAGKARAKASCRMPIKLNQASVRTSMHIDLPAPRGQDTRKDTRSTLHAFDQPGNDARGDERLRTARDEAPTYAARRRVSLPRPPALDQP >ONIVA01G36940.1 pep chromosome:AWHD00000000:1:31731919:31737155:1 gene:ONIVA01G36940 transcript:ONIVA01G36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: N-terminal protein myristoylation; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Dymeclin (InterPro:I /.../42); Has 395 Blast hits to 389 proteins in 117 species: Archae - 0; Bacteria - 0; Metazoa - 262; Fungi - 21; Plants - 68; Viruses - 0; Other Eukaryotes - 44 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G04200) TAIR;Acc:AT1G04200] MGAAPSTPRLGAVAGAAASPGAAEQMFAALVGGKAYPISSEFWRQLLELPLTQQWPRDRVLQACHAFAQNNYHTKHLAKILIHLVWCLQECMAESSHAAYRKAINAAYISSIFLKFIIENAKTDNWQELSLEIDKDEKGLENFPSEQSVEYFLMRGVLNYIGSVDVSLESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQDSSIVSSVVQKLLLNFVKRPRIPLNSSHPAFSDDGGPGVLQRVGSVAANFVLLPYYTFNYLVSSNAEGASSQLADNSLLVLLILIHYRKCITTSESFPSSNVYTSDLNTNVKDAPAFHDNPYYKALSNAKDSQYDRADVEGNAQNGLVVRLSFASLFDALGTCLKDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIVLLILSQDSTFNASVHKLVLPSVPWYHERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYTKLAELKNDKAIKVISDQIEADNISDDTSTELHIYTDFLRIVLEIINAILTYALPRNPEVVYAILHRQEVFQPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLEVINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYAWRVVLSRG >ONIVA01G36930.1 pep chromosome:AWHD00000000:1:31723721:31729959:-1 gene:ONIVA01G36930 transcript:ONIVA01G36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLSPLLSPLAYPKPPSSRLAPRRVASHRTVAPPPAGRRAPLSEPDVGISRFASALPGFRGALKQRYSDFVVHEVALDGSLVRLTSFDLPDGECVDAKEGGMDEAQALESFRLLCGDADCEALRGFLERVSEGGDSDVSPIILSADADKTHRSEVHDFFKRNFEALLTDTVEHSDGIQRCIRVRLKPGRRERRDVDGRGRNRKGTGSSGWRDDKPFDSRGSIIWPDHLGKFIRFHLYKENKDTQEALGKIGKMLGLQPRSFGFAGTKDKRAVTTQQVTVFKVQASRLVALNSKLIGIKVGDFSYVKEGLALGQLMGNRFTITLRSVVTESEDVIKAALDGLITNGFINYYGLQRFGSGSVPNHQVGAALLRGEWRNAVQLILGPREGDILEYGDIGTALRNFPRYLITERAIRLKKYPGNYLQALMAIPRTLRLMYVHSYQSYLWNHAASMRVQKYGISRVVEGDLVYKKEAPFEQGALKATSEDDGQTMSSEMNACCETLPEEMIQSVKIADSEDLSKSLYTFEDVVLPLPGSETLFPGNEVAGIYHEIAMKDGINLRESVHGVEDLITYTDEKIPLVETDLDVLSKTKPLEVNELLSDGISSCTSHDSGLEASLDASESINGASLVVAEAKSIGSSDMLEKLAIKLAFTLPASSYATMAIRELMKTSTSVAHQKTLNI >ONIVA01G36930.2 pep chromosome:AWHD00000000:1:31723657:31729959:-1 gene:ONIVA01G36930 transcript:ONIVA01G36930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLSPLLSPLAYPKPPSSRLAPRRVASHRTVAPPPAGRRAPLSEPDVGISRFASALPGFRGALKQRYSDFVVHEVALDGSLVRLTSFDLPDGECVDAKEGGMDEAQALESFRLLCGDADCEALRGFLERVSEGGDSDVSPIILSADADKTHRSEVHDFFKRNFEALLTDTVEHSDGIQRCIRVRLKPGRRERRDVDGRGRNRKGTGSSGWRDDKPFDSRGSIIWPDHLGKFIRFHLYKENKDTQEALGKIGKMLGLQPRSFGFAGTKDKRAVTTQQVTVFKVQASRLVALNSKLIGIKVGDFSYVKEGLALGQLMGNRFTITLRSVVTESEDVIKAALDGLITNGFINYYGLQRFGSGSVPNHQVGAALLRGEWRNAVQLILGPREGDILEYGDIGTALRNFPRYLITERAIRLKKYPGNYLQALMAIPRTLRLMYVHSYQSYLWNHAASMRVQKYGISRVVEGDLVYKKEAPFEQGALKATSEDDGQTMSSEMNACCETLPEEMIQSVKIADSEDLSKSLYTFEDVVLPLPGSETLFPGNEVAGIYHEIAMKDGINLRESVHGVEDLITYTDEKIPLVETDLDVLSKTKPLEVNELLSDGISSCTSHDSGLEASLDASESINGASLVVAEAKSIGSSDMLEKLAIKLAFTLPASSYATMAIRELMKTSTSVFLQFSDHFFDFSKLKLSYEQISSVFCVVNIFLSFWLHTGCSSENIKHLNLRPFALFMKLISDLKDMDV >ONIVA01G36920.1 pep chromosome:AWHD00000000:1:31719602:31721048:-1 gene:ONIVA01G36920 transcript:ONIVA01G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGDVDGAAGALRRFVREAGGCAVVDGGLATELEAHGADLHDELWSASCLVSAPHLIRKVHLDYLDAGANIITSASYQATIQGFQARGLSRERSEALLRRSVHIAQEARAIFAEGWSKGPYANHRSSPRRPVLVAASIGSYGAYLADGSEYTGDYGISVTKETLKSFHRRRLQVLADAGPDLIAFETIPNKLEAQAYAELLEENDVRIPAWFSFTSKDGHSAASGDPITECAAVADACARVGAVGVNCTAPRLVHGLILSIRKVTSKPVVVYPNSGETYVAETKEWVESEGGASETDFVSCVGKWRQAGAALVGGCCRTSPATVRAISWALRESDDAVGGDGDRDDFPAVAVL >ONIVA01G36910.1 pep chromosome:AWHD00000000:1:31715183:31719430:1 gene:ONIVA01G36910 transcript:ONIVA01G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FTT1] MVLAQLGGSISRALAQMSNATVIDEKVLSDCLNEISRALLQSDVQFKMVRDMQSNIKRIVNLETLAAGTNKRRIIQQAVFTELCNMLDPGKPSFTPKKGKPCVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGERIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGTFTLRLMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGHEKESQAKIKRYMTMMDSMTDGELDSTNPKLMTESRILRIARGSGRPVRDVVDMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSKEMGGMFGGMGGDK >ONIVA01G36900.1 pep chromosome:AWHD00000000:1:31686667:31709937:1 gene:ONIVA01G36900 transcript:ONIVA01G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27970) TAIR;Acc:AT5G27970] MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKELMGSCSSQVQCTCLECFCKKPKLRSLSSAMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQALDICLHLLESNRSSDSVRNTAAATFRQAVALVFDNVVHAESLPSSKASSARLSSRASSVADNVTRSFSHTLSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGAVKEEVLSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVEQSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQREGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ONIVA01G36900.2 pep chromosome:AWHD00000000:1:31686667:31709937:1 gene:ONIVA01G36900 transcript:ONIVA01G36900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27970) TAIR;Acc:AT5G27970] MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKLRSLSSAMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQALDICLHLLESNRSSDSVRNTAAATFRQAVALVFDNVVHAESLPSSKASSARLSSRASSVADNVTRSFSHTLSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLANCSNAQLRNMALESLDHSICSVVGSEKFQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGAVKEEVLSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVEQSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQREGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ONIVA01G36900.3 pep chromosome:AWHD00000000:1:31686667:31709937:1 gene:ONIVA01G36900 transcript:ONIVA01G36900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27970) TAIR;Acc:AT5G27970] MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKELMGSCSSQVQCTCLECFCKKPKLRSLSSAMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQALDICLHLLESNRSSDSVRNTAAATFRQAVALVFDNVVHAESLPSSKASSARLSSRASSVADNVTRSFSHTLSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGAVKEEVLSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVEQSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQREGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ONIVA01G36900.4 pep chromosome:AWHD00000000:1:31686667:31709937:1 gene:ONIVA01G36900 transcript:ONIVA01G36900.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27970) TAIR;Acc:AT5G27970] MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKLRSLSSAMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQALDICLHLLESNRSSDSVRNTAAATFRQAVALVFDNVVHAESLPSSKASSARLSSRASSVADNVTRSFSHTLSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGAVKEEVLSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVEQSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQREGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ONIVA01G36900.5 pep chromosome:AWHD00000000:1:31686667:31709937:1 gene:ONIVA01G36900 transcript:ONIVA01G36900.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27970) TAIR;Acc:AT5G27970] MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKELMGSCSSQVQCTCLECFCKKPKLRSLSSAMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQALDICLHLLESNRSSDSVRKSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGAVKEEVLSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVEQSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQSIDLSSYEAFLADENDLGEGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ONIVA01G36900.6 pep chromosome:AWHD00000000:1:31686667:31709937:1 gene:ONIVA01G36900 transcript:ONIVA01G36900.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27970) TAIR;Acc:AT5G27970] MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKELMGSCSSQVQCTCLECFCKKPKLRSLSSAMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQALDICLHLLESNRSSDSVRKSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGAVKEEVLSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTSPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSIPALQRVEQSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQREGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >ONIVA01G36890.1 pep chromosome:AWHD00000000:1:31678243:31685686:1 gene:ONIVA01G36890 transcript:ONIVA01G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQARLKAKKVEDLAAQDPAENLVAVAPTVAGRRGRGRGGRGGGRATGRGRAGGRGRGVPVIDLDPDQPCEVLPGAAIGGVAAGGPHHIEELANKVVKMDGGSAEKIGGGEDDGNASPVPDKVQVGHSPQYKVERKLGKGGFGQVYVGRRVSGGTDRTGADAIEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGIPWVHYKGRQGDYYVLVMDILGPSLWDVWNSVGQTMTPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASKWKETPNGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLESVTNMRFDEEPNYAKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNDDGLFISSVASSTNLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGFSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVS >ONIVA01G36890.2 pep chromosome:AWHD00000000:1:31678268:31685686:1 gene:ONIVA01G36890 transcript:ONIVA01G36890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQARLKAKKVEDLAAQDPAENLVAVAPTVAGRRGRGRGGRGGGRATGRGRAGGRGRGVPVIDLDPDQPCEVLPGAAIGGVAAGGPHHIEELANKVVKMDGGSAEKIGGGEDDGNASPVPDKVQVGHSPQYKVERKLGKGGFGQVYVGRRVSGGTDRTGADAIEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGIPWVHYKGRQGDYYVLVMDILGPSLWDVWNSVGQTMTPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASKWKETPNGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLESVTNMRFDEEPNYAKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNDDGLFISSVASSTNLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGFSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVS >ONIVA01G36880.1 pep chromosome:AWHD00000000:1:31674453:31675885:-1 gene:ONIVA01G36880 transcript:ONIVA01G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSGARLRIPTWCTMPHGSWLLQTCSPSAALASLAVVTTSLLIIGYASSSFFLGAPAYEYDDVVEAAAAVPRRGPGFPPVLAYYISGGHGDSVRMTRLLKAVYHPRNRYLLHLDAGAGAYERARLAGYARSERAFLEYGNVHVVGKGDPVDGRGPSAVAAVLRGAAVLLRVGAEWDWLVTLGASDYPLVTPDDLLYAFSSVRRGLSFIDHRMDSGGAEAVVVDQNLLQSTNAEISFLSGQRAKPDAFELFRGSPRPILSRDFVEYCVVGPDNLPRTLLLYFSNSLSPMEFYFQTVMANSAQFRNSTVNHNLRHTVAQDGGAPTSQGADGQQASRYDAMVGSGAAFAGAFGDDDDALLQRIDEEVLRRPLDGVTPGEWCVADGEEGTDNECSVGGDIDVVRHGAKGRKLATLVVDLVGA >ONIVA01G36870.1 pep chromosome:AWHD00000000:1:31671138:31674337:1 gene:ONIVA01G36870 transcript:ONIVA01G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase / dehydrase and lipid transport protein [Source:Projected from Arabidopsis thaliana (AT4G17650) TAIR;Acc:AT4G17650] MLPGARSLVVLRRRAGLALLRRGCGEGGACGKGEAPANARRAAATLAGLGGRGPLGRWADPTGPPRGEAERAGGVWRAGTQTRSFLGCGDGDEGSVLSKVYEERRVMGYSPEQMFAVVAAVDLYEDFVPWCQRSRIIRRHENGSFDAELEIGFKFLVESYVSHVEMEKPKYIKTTASESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVSSLSDRCYRIYGPPIPVLENTYGQGR >ONIVA01G36860.1 pep chromosome:AWHD00000000:1:31662371:31665639:-1 gene:ONIVA01G36860 transcript:ONIVA01G36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKNLETARADRSVWLMKCPTVVSRAWQEAATAAASSSSSSDAAAGANSNSNANPNPVVAKFKMEMAQTGNGNTPKSYSLNMFKDFVPMCVFSESNQGKLSCEGKVGHKFDMEPHSDNLVNYGKLCRERTQKSMIKNRKLMVLANDNGMSMRPLPGLVGLMSSGPKQKEKKPLPVKPSDMKRTRRDRRELENILFKLFERQPNWSLKNLMQETDQPEQFLKEILNDLCFYNKRGPNQGTHELKPEYKKSTEDADATAT >ONIVA01G36850.1 pep chromosome:AWHD00000000:1:31656936:31661214:-1 gene:ONIVA01G36850 transcript:ONIVA01G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSATPAAVGELAELVLPWLPPQDLAAAASASRALRAAASSVSAGRAADAAHGLEPHPIPFDNLVDGKPYAYFLYTPFSLTPSSASASPRRAQPWGRTWARPPGPTWPRSDLGGFPSSGCACAQGACGGARGCPCADPEAEAVGLGSEAGMGSLRECGDGCACGPSCGNRRTQLGVTVRLRVVRHREKGWGLHAAEVLRRGQFVCEYAGELLTTEEARRRQGLYDELASVDDWPRRAARAHAPGRHGSVARHARAHFKNPSLPPWYPRARALALAHTHAHMHAAQPPGSSKRRGAVERPASGAGLQAEEASSAGPGAPCGACKFLRRRCVPGCVFAPHFSGCGGSGVGAGVGGRGRERGAAEFAAVHRVFGASNVAKLLSRVPAALRRDAARTVCYEAQARIADPVYGSVGTILALQHQVSLLQGQLSVLESQLFNLRVALASAHPDTPPQHFVVLQPAHSAASTPNQVVVNYDDLPHAVDLMDAEPAALRGLESLQLSQPLQREEDEGHRDMNLFSDSVGQRQL >ONIVA01G36840.1 pep chromosome:AWHD00000000:1:31645245:31655729:-1 gene:ONIVA01G36840 transcript:ONIVA01G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRLQELCQQRRWAPPEYTHRCAGLAHAPLFGATVSVNGVEFRTPEDAARSAKEAHNIAAKAAFDHLSSLPLPPPPPPSENQSSYKSQLQIYAQKKGKLLPSYQTIREGPGHASRFKSVVTVDGKAFESPEYFHTVKEAESAAAKLALMSLPQEASSSEQVPVQPLSYKNLLQELAQKHGFSLPVYSTTSDGSVQDGSFQGEPANTKKQAEMNAARVAFQHFEDRRKNALSSTVLRGPHLGQGTVHISAGQVKIAEPVFSVPQASTATSHSATGATDRDYHSLGSTNPLPIAKSTNCADVHIQPCEFKDEKPAFPEPKTVLEVMDSSPELTPLEDAYSAPVASTSTAEENYALGTLRQTRTGAGMICPKISLACVLLLLWFTSTGDAAYMKYLDPKQPTNTRIKDLISRMTLAEKIGQMTQIERGVASADVMKNYFIGSVLSGGGSVPAPQATPAVWVNMVNEFQKGALSTRLGIPMIYGIDAVHGNNNVYNATLFPHNIGLGATRHVCRDPRWGRCYESYSEDHRVVQQMTDIILGLQGDIPINHTKGVPYIAGKDKVAACAKHFVGDGGTHNGINENNTITDEHGLLGIHMPPYYDSIIKGVATVMVSYSSLNGVKMHANHDLGFVISDWLGIDRITSPPDANYTYSVQAGINAGIDMVMVPFNYTQYIDDVTSLVKKGTTILEAIKSTIADSTHVVYSENPDESFMKNNDFSFAIVVVGERTYAETTGDDPELTILDPGTDTIRTVCSTAKCAVVIISGRPVVIEPYLPMMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDLRYDPLFPFGFGLTINSSQPGFSGADRLRDRNGGMVYVVLSLVLSVILMHASGIGIF >ONIVA01G36830.1 pep chromosome:AWHD00000000:1:31644060:31644720:1 gene:ONIVA01G36830 transcript:ONIVA01G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDDDHGNCLDRRPCLRYFLLATFIATLLSLIAYGQWNSMYGYRKPDFLVKGRRGGSGARLQLTLRVDNEATRRAFCAGRASAAVSYAGVQLAHADLPGGFCVPGQAVGSVPIVATSDGVGIPGELYERMESQRRRRERVSLEVEVRMEDCCGRLPVTLWCTAVLHGQPEGPGPSFAPSPIWPRVVSLGHVLVVVVNLLLRCLRAFAM >ONIVA01G36820.1 pep chromosome:AWHD00000000:1:31625451:31642442:-1 gene:ONIVA01G36820 transcript:ONIVA01G36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPRAPELLQQDQDEEMLVPDQDVVVEGPQPMEDSGSTVENEQVPETSTSRFTWTIEDFSNHRKLYSDVFVVGGHKWRVLVFPTGNSVQSLSMYLDIADANEQPHGWSKYAQFSLAVINQLDSKYSLRKGDLYDPTKGYIVNDKCIIEAEVAVRKIVDFWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKHSDNSVSTKELTKSFGWDTVDSFLQHDVQELNRVLCEKLENKMKGTTVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLALDVKGCSDVYASFDKYVEVERLEGDNKYQSEKHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDKDDGKYLSPEADRRVRNLYTLHSVLVHSGGGHGGHYYAFIHPALSDIWYKFDDERVTKEDMKRALEEQYGGEEELPHTNPGLNTTPLRFTKHSNAYMLVYIRESDREKIICNLDENDIPEHLKIRLRKENEEREYKKKEKAEAHMFTALKVARDSDFAEQIGKHIYFDLVDYDNIQSLRAPKHLTINQAKVDLSKEFGIPVQSQRFWLWAKRQNHTFRPVRPLTLQEEASSIGHLTDPSNKSLNSELKLFLEVALGQENHPISVPPKTKDDILIFFKLYDPEKEELRYVGKLLVKASGKPADIVQKLQEMAGFQSDEDIELYEEVMFEPSVMCEPININDSFLSSQLEDGDIICYQKRCSPEKLDHYRCADVPSFFEYIQNRQVVHFRLLENPKDDDFTLELSKRFTYDDVVEKVANQLGLDDPSKLRLTQHLPYSQMPKSHYIKYRGLDHLSDMLRNGNQMSDILYYEILDIPLPVLQDLITLRVAFYHATNNEVSSHFIRLPKGSTMSDLIEDMKSKVELSYSDAEFRLFEVYKNKIRKVYQPSEKISVNEFNGLLCVEEVPEEEKNAGVRDRLVHVCHFIIEKQHIDYYGEPFFFLIRDGETLSDIKVRIQKKLLVSDEQFAKWKFAYIAHNRLAGEYFQDSDIVLSRFQKDVYGPWEQCLGLEHSDVTPKRSCLSNQTIVRVGE >ONIVA01G36820.2 pep chromosome:AWHD00000000:1:31625451:31642442:-1 gene:ONIVA01G36820 transcript:ONIVA01G36820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPRAPELLQQDQDEEMLVPDQDVVVEGPQPMEDSGSTVENEQVPETSTSRFTWTIEDFSNHRKLYSDVFVVGGHKWRVLVFPTGNSVQSLSMYLDIADANEQPHGWSKYAQFSLAVINQLDSKYSLRKGDLYDPTKGYIVNDKCIIEAEVAVRKIVDFWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKHSDNSVSTKELTKSFGWDTVDSFLQHDVQELNRVLCEKLENKMKGTTVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLALDVKGCSDVYASFDKYVEVERLEGDNKYQSEKHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDKDDGKYLSPEADRRVRNLYTLHSVLVHSGGGHGGHYYAFIHPALSDIWYKFDDERVTKEDMKRALEEQYGGEEELPHTNPGLNTTPLRFTKHSNAYMLVYIRESDREKIICNLDENDIPEHLKIRLRKENEEREYKKKEKAEAHMFTALKVARDSDFAEQIGKHIYFDLVDYDNIQSLRAPKHLTINQAKVDLSKEFGIPVQSQRFWLWAKRQNHTFRPVRPLTLQEEASSIGHLTDPSNKSLNSELKLFLEVALGQENHPISVPPKTKDDILIFFKLYDPEKEELRYVGKLLVKASGKPADIVQKLQEMAGFQSDEDIELYEEVMFEPSVMCEPININDSFLSSQLEDGDIICYQKRCSPEKLDHYRCADVPSFFEYIQNRQVVHFRLLENPKDDDFTLELSKRFTYDDVVEKVANQLGLDDPSKLRLTQHLPYSQMPKSHYIKYRGLDHLSDMLRNGNQMSDILYYEILDIPLPVLQDLITLRVAFYHATNNEVSSHFIRLPKGSTMSDLIEDMKSKVYQPSEKISVNEFNGLLCVEEVPEEEKNAGVRDRLVHVCHFIIEKQHIDYYGEPFFFLIRDGETLSDIKVRIQKKLLVSDEQFAKWKFAYIAHNRLAGEYFQDSDIVLSRFQKDVYGPWEQCLGLEHSDVTPKRSCLSNQTIVRVGE >ONIVA01G36810.1 pep chromosome:AWHD00000000:1:31618916:31620316:-1 gene:ONIVA01G36810 transcript:ONIVA01G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSCGGYTRVQQRRRQHVVGTLAATAHGGSESGMWELQQRVGAATTTRRCGRNGNTVSVLTAARSSCAYV >ONIVA01G36800.1 pep chromosome:AWHD00000000:1:31616123:31618403:1 gene:ONIVA01G36800 transcript:ONIVA01G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWHDDGDDGGRGLGDIPDLAGGGGGGDGERCATRRVVQSRCHTKEVEPGRFVRKCEKTEQLLRDCVGRPSELVESKTENTEEDVTDEMKSGSLSLGFPTNEPFAFPGLRSDIEALEKGLFGSIGSFLDDAERMTNDFLKSFGVPSINERESSPFDGQPTGRHIGGQPAGRHIEEGTAKDTKQNDYAEFSSKITDV >ONIVA01G36790.1 pep chromosome:AWHD00000000:1:31612588:31614537:-1 gene:ONIVA01G36790 transcript:ONIVA01G36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT4G32605) TAIR;Acc:AT4G32605] MFRRAAASTFFRHALSTSPYSSSAAAAAAAAAASSSAVNSIILRSLKEHFLEVSKMTPPPKISPPKPYTIVKGALDQASGPVLRRGYGDAGEEISISVARLANIMPPGADYDSDDDDGGGGGVSESISQLFLHVDISRPGSSKSLQFLCGLYPDAVGIHSVCLRPKTAESGTAGLAGKGGDGYQGRIFQELDENVRDAFHHYIEARGINEKLFPFLQAWLYVKDHRNLIRWFKSVGTLISEPKSE >ONIVA01G36780.1 pep chromosome:AWHD00000000:1:31608181:31608864:-1 gene:ONIVA01G36780 transcript:ONIVA01G36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGEEYCSAEERVLTLLAPLPASFSSTHAAAAELLRVDGSFPRRCCRVVAPKAESGGEAAVVAEIRRKVDEGARVVMGRDVFVLRVGAGFDAAFAMAIVLVLDQIAGDEADGNAGEETNRAMIW >ONIVA01G36770.1 pep chromosome:AWHD00000000:1:31599357:31599809:1 gene:ONIVA01G36770 transcript:ONIVA01G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGRHGMAMAMAPAAAGGAGRRKRYMHMTFYWGKNSEILFTGWPGASGGMYALALAAVFALAVLLEFLGSPRVQESSSLGSRRRRATTAAVHAVRVGLAYLLMLALMSFNVSVLLAAVAGHAAGFLAFRAGLCGGGYKKGELAPAACC >ONIVA01G36760.1 pep chromosome:AWHD00000000:1:31596382:31596846:1 gene:ONIVA01G36760 transcript:ONIVA01G36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMSPPAAGAAAQGGMGAMKSMRYTHMTFFWGKNSEVLFTMWPGTRGGMYALALIFVFALAVIVEFLGSRRADACLAALARRAPAAGGLARAAVHTVRVGVAYLLMLALMSFNGGVFLVAVAGHAAGFLAFRAGLCGGPAQVEEDRKNDPACC >ONIVA01G36750.1 pep chromosome:AWHD00000000:1:31591437:31594588:-1 gene:ONIVA01G36750 transcript:ONIVA01G36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein [Source:Projected from Arabidopsis thaliana (AT4G33460) TAIR;Acc:AT4G33460] MAHAFAGGTSAICRCPHDPGRAALVSRPRHRLCVLASSLPTPAIEGQGVELSVTTRRGRVLPVLKDCSLRVPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSSGTVYINRPCSYVFQNPDHQVVMPTVESDVAFGLGKLNLSLDEVRSRVSQSLDAVGMLSYSQRPIQTLSGGQKQRVAIAGALAEASKMGVIKAVRKSVGAGGEVSALWVTHRLEELRYADGAIYMEDGQTIIQGDVSTISKYIKKKQARYFGHFEL >ONIVA01G36750.2 pep chromosome:AWHD00000000:1:31591437:31594588:-1 gene:ONIVA01G36750 transcript:ONIVA01G36750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein [Source:Projected from Arabidopsis thaliana (AT4G33460) TAIR;Acc:AT4G33460] MAHAFAGGTSAICRCPHDPGRAALVSRPRHRLCVLASSLPTPAIEGQGVELSVTTRRGRVLPVLKDCSLRVPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSSGTVYINRPCSYVFQNPDHQVVMPTVESDVAFGLGKLNLSLDEVRSRVSQSLDAVGMFTNILHPTQRPIQTLSGGQKQRVAIAGALAEASKMGVIKAVRKSVGAGGEVSALWVTHRLEELRYADGAIYMEDGQTIIQGDVSTISKYIKKKQARYFGHFEL >ONIVA01G36750.3 pep chromosome:AWHD00000000:1:31591437:31594588:-1 gene:ONIVA01G36750 transcript:ONIVA01G36750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein [Source:Projected from Arabidopsis thaliana (AT4G33460) TAIR;Acc:AT4G33460] MAHAFAGGTSAICRCPHDPGRAALVSRPRHRLCVLASSLPTPAIEGQGVELSVTTRRGRVLPVLKDCSLRVPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSSGTVYINRPCSYVFQNPDHQRPIQTLSGGQKQRVAIAGALAEASKMGVIKAVRKSVGAGGEVSALWVTHRLEELRYADGAIYMEDGQTIIQGDVSTISKYIKKKQARYFGHFEL >ONIVA01G36740.1 pep chromosome:AWHD00000000:1:31586393:31589316:-1 gene:ONIVA01G36740 transcript:ONIVA01G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHEGGEEEGLGDQFERLPDEVLLDVFGRIGDVKALGRCALVSRRFHALVPLVDSVFVRVDCVISDDPPPSSAGSAQAAAAAEGGPPAARGRGALAHLARLVLGGIVRPIQALGQILSPAAATVSRRSAAPPAAPAPAAADVSHHSPSEVLRSFKELRRLHIELPTGELGIEDGVLLKWKADFGSTLGSCVILGASSVTSKPPPPPAAPPPTAADSSAASPDSSREPDELGNIPESLYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHSTLESLDLTDADGQGVLTMDKRQLQELRVRPVSASGNSHRTLMPALSMRLWYAPHIELPGGQLLKGATLVAIRPSEDVLREGGGIEVAGLTGASWILDAFEEPYRTAAQDMMGCY >ONIVA01G36730.1 pep chromosome:AWHD00000000:1:31578803:31580404:-1 gene:ONIVA01G36730 transcript:ONIVA01G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSHCHTINGGAPRNGAIPAVETTTSTPAASDTALLLDADEFRRLGHQVVDFIADYYAGLGDYPVHPSVTPGFLRRHLPADAPSRPEPEAFAAALRDVRDLILPGVTHWQSPRHFAHFPASSSTVGALGEALAAGINVVPFTWAASPAATELEMVVVDWLGRALHLPESLLFAGGGGGTILGTSCEAILCALVAARDRKLAEIGARRIGDLVVYCSDQTHFAFRKAARIAGIPREHCREIPTCRDDVFALSPTALHAAMQADVDAGLVPLFLCATVGTTQTTAVDPVRELCAVAARHGGMWVHVDAAYAGSALVCPEFRDVIAGAEAVDSLSMNAHKWLLANNDCCAVWVAAPSALVAALGTEQEYILRDAAAEGHDVVDYKDWGTTLTRRFRALKVWLVLRCYGVEGLRSHVRSHVAMAAAFEAMVRGDARFEVVAPRRFALVCFRLRSPPERLGVGVGVGGEKAANELNRRLLEEVNAASSGPYMSSAMVGGVYMLRCAIGSTLTEERHVREAWKVVQERATSILRKRG >ONIVA01G36720.1 pep chromosome:AWHD00000000:1:31567575:31567775:1 gene:ONIVA01G36720 transcript:ONIVA01G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLAGSAALRVHAMRSVSSDMPSPREWCTRIAVVAAASDVGKSSTWNSHSGRDSSMGLVDSDAT >ONIVA01G36710.1 pep chromosome:AWHD00000000:1:31554119:31568279:-1 gene:ONIVA01G36710 transcript:ONIVA01G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGADTDTVLRKRQLTVRTTSSNGGNGFRVGGGKGAAAATPPEPVSPSARLLEDFFIVVVIGIATPVNDPVARAGIAAQFARYPRFRSIQVTDEDGGNPRWVRTTLNVDDHIIYPELDMDAVAADPDKAVEDYVASLSTKPMDESRPLWEFHVLDFPTSEAAATTAIRVHHSLGDGIFFATTMFLKDPHTLFKRVKHGEFQRKRIVHRGLSFDDVKLVKNAMNCTVNDVLVGITYSALSRCDTDTDEDIRLRTILLVNLRPTTSLHAYVDMINSGREDEVKWGNALGFIILPFFIGMHKDPLDYVRKAKKVVDRKKSSLEVVFTHLAAEVILKLFGLKAAAAIFHRMISHTTISFSNMIGPVEQVEFCGHPVVFIAPSGYGPPELSRGPHEMDAGAGAATALRKRQLTVRTMSSNGGNGFRLDMDAVAADPDKAVEDYVASLSTKPMDESRPLWEFHVLDFPTSEAAATTAIRVHHSLGDGMSLLTLLMALDDVKLVKNAMNCTVNDVLVGITYSALSRYYYRKSGDKDTDEDIRLRSILLVNLRPTTSLHAYVDMINSGREDEVKWGNALGFIILPFFIGVHKDPLDYVRKAKKVVDRKKSSLEVVFTHLAAEVILKLFGLKALTVNFQSYVNTMMVNLAVDEAQFPDCHELLDDFSESLRQIKDAALSLGKHHTKA >ONIVA01G36710.2 pep chromosome:AWHD00000000:1:31554119:31568279:-1 gene:ONIVA01G36710 transcript:ONIVA01G36710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGADTDTVLRKRQLTVRTTSSNGGNGFRVGGGKGAAAATPPEPVSPSARLLEDFFIVVVIGIATPVNDPVARAGIAAQFARYPRFRSIQVTDEDGGNPRWVRTTLNVDDHIIYPELDMDAVAADPDKAVEDYVASLSTKPMDESRPLWEFHVLDFPTSEAAATTAIRVHHSLGDGIFFATTMFLKDPHTLFKRVKHGEFQRKRIVHRGLSFDDVKLVKNAMNCTVNDVLVGITYSALSRCDTDTDEDIRLRTILLVNLRPTTSLHAYVDMINSGREDEVKWGNALGFIILPFFIGMHKDPLDYVRKAKKVVDRKKSSLEVVFTHLAAEVILKLFGLKAAAAIFHRMISHTTISFSNMIGPVEQVEFCGHPVVFIAPSGYGPPEALTVNFQSYVNTMMVNLAVDEAQFPDCHELLDDFSESLRQIKDAALSLGKHHTKA >ONIVA01G36700.1 pep chromosome:AWHD00000000:1:31548884:31565827:1 gene:ONIVA01G36700 transcript:ONIVA01G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 12 [Source:Projected from Arabidopsis thaliana (AT2G34640) TAIR;Acc:AT2G34640] MASCSRTWLLPGMAPQATAQTVPRPLQSLKVFAGLPHRRRVLFSGVSSRTRRGRIRSVKDDSLHFDPSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPAPVGESAGTPSFGKKPGSRRKGYKEQVASATAGRGTETSGDEGESVVAIEASSDETLEETKDSLDEYVVYEMPKEENLSEYEMDKMMGRPHPFVDPQKAMSVGEPKSSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKARRHLFKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTINYVHDPDEVADYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEMEDINQDITYLPEVRDPMATAVDIGEHSYNEDSDDDEEDADKVVAQPESLEDDEDDGDDAEDAEGKVSRNWSVLKTTGQAENPKEKSKKDQLSLKEAIADSENLTDFLMDFEEDDLAGSAALRVHAMRSVSSDMPSPREWCTRIAVVAAASDVGKSSTWNSHSGRDSSMGLRRHVVLHRLVRVGRHRVHVQLRVDDVVVQGTYFWRSIAARGNEDNWMPTKLHLFNWADHDTLTT >ONIVA01G36700.2 pep chromosome:AWHD00000000:1:31548884:31564347:1 gene:ONIVA01G36700 transcript:ONIVA01G36700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 12 [Source:Projected from Arabidopsis thaliana (AT2G34640) TAIR;Acc:AT2G34640] MASCSRTWLLPGMAPQATAQTVPRPLQSLKVFAGLPHRRRVLFSGVSSRTRRGRIRSVKDDSLHFDPSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPAPVGESAGTPSFGKKPGSRRKGYKEQVASATAGRGTETSGDEGESVVAIEASSDETLEETKDSLDEYVVYEMPKEENLSEYEMDKMMGRPHPFVDPQKAMSVGEPKSSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKARRHLFKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTINYVHDPDEVADYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEMEDINQDITYLPEVRDPMATAVDIGEHSYNEDSDDDEEDADKVVAQPESLEDDEDDGDDAEDAEGKVSRNWSVLKTTGQAENPKEKSKKDQLSLKEAIADSENLTDFLMDFEEDE >ONIVA01G36700.3 pep chromosome:AWHD00000000:1:31548884:31564347:1 gene:ONIVA01G36700 transcript:ONIVA01G36700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 12 [Source:Projected from Arabidopsis thaliana (AT2G34640) TAIR;Acc:AT2G34640] MASCSRTWLLPGMAPQATAQTVPRPLQSLKVFAGLPHRRRVLFSGVSSRTRRGRIRSVKDDSLHFDPSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPAPVGESAGTPSFGKKPGSRRKGYKEQVASATAGRGTETSGDEGESVVAIEASSDETLEETKDSLDEYVVYEMPKEENLSEYEMDKMMGRPHPFVDPQKAMSVGEPKSSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKARRHLFKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTINYVHDPDEVADYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEMEDINQDITYLPEVRDPMATAVDIGEHSYNEDSDDDEEDADKVVAQPESLEDDEDDGDDAEDAEGKVSRNWSVLKTTGQAENPKEKSKKDQLSLKEAIADSENLTDFLMDFEEDE >ONIVA01G36690.1 pep chromosome:AWHD00000000:1:31544410:31547100:1 gene:ONIVA01G36690 transcript:ONIVA01G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRFVLLLLLLLAVAACVARGQGGGNSSSAAAPAPAAGAGPFVPRDDILLDCGATGKGNDTDGRVWSGDAGSKYAPASLGSASAAGQDPSVPQVPYLTARVSAATFTYSFPLGAGRKFLRLHFYPANYSSRDAADARFSVSVPAANVTLLSNFSAYQTATALNFAYIVREFSVNVTTPTMELTFTPEKGHPNAYAFVNGIEVVSSPDLFDISTPNLVTGDGNNQPFPIDAGTALQTMYRLNVGGQAISPSKDTGGYRSWDDDSPYVFGAAFGVSYPKDDNVTIAYPSNVPEYVAPVDVYATARSMGPDKNVNLAYNLTWIMQVDAGFTYLVRLHFCEIQYPITMINQRVFNIYINNQTAFQGADVIAWTNNNGIGSPVYQDFVVTTVGSGAMDLWVALYPDVQAKPQYYDAILNGLEVFKLPLSNGSLAGLNPVPTVEPSLDGGAVKKSSVGPIVGGVIGGLVVLALGYCCFMICKRRSRVGKDTGMSDGHSGWLPLSLYGNSHSSGSAKSHTTGSYASSLPSNLCRHFSFAEIKAATNNFDESLLLGVGGFGKVYRGEIDGGVTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTKNAPLTWRQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPHLKGKIAPQCFKKFAETAEKCVSDEGIDRPSMGDVLWNLEFALQMQESAEDSGSIGCGMSDEGTPLVMPGKKDPNDPSIESSTTTTTTTSISMGDQSVASIDSDGLTPSAVFSQIMNPKGR >ONIVA01G36680.1 pep chromosome:AWHD00000000:1:31531769:31537781:1 gene:ONIVA01G36680 transcript:ONIVA01G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAWRREQWAVAWLSVAVLGAALMGAAGAFEEGTAVYIVTMKQAPVFHKRLDLERFGSSRVAGGGGGGGGDTPSTSILMKPRHGPAQPMNYGSYLVRLQNSLLKRTLRGERYIKLYSYRYLINGFAVVITPQQAERLSMTKEVANVMLDFSVRTATTHTPEFLGLPQGAWVQEGGPQCAGQGVVVGLIDTGIDPTHPSFADDLITDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDHASPSDSDGHGTHTASIAAGNHGIPVVVAGHHFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDREYNNYVVLGNNLTITGVGLAPGTDGDSMFTLVAAPHALKNNVASPTEMSLGECQDSSHLDEDLIRGKILVCSYSIRFVLGLSSVKQALDTAKNVSAAGVIFYLDPFVIGFQLNPTPMDMPGLIIPSSDDSKVFLNYYNESLVRDETSNKIVSFGAIAKILGGQNPNYGISAPKVMFYSARGPDPEDNSLANADILKPNLIAPGSSIWGAWSSLGLDSAEFAGESFAIISGTSMAAPHVAGLAALVKQKFPYFSPAAIGSALSTTTSLSDREGNPIMAQRTYGNPNSTQSPATPFDMGNGFVNATAALDPGLIFDSSYDDFFSFLCGINGSAPVVMNYTGNSCSSSAMTGADLNLPSITIAVLNRSRTITRTVTNVASDERYTVSYSAPYGVAVSASPAQFFIPSGQRQQVIFVVNATMNGTSASFGSVGFYGDKGHRVMIPFSVISKVVHGS >ONIVA01G36670.1 pep chromosome:AWHD00000000:1:31519888:31523476:1 gene:ONIVA01G36670 transcript:ONIVA01G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0FTP5] MMQSSLARPLPRPPIRPACGNPVCRSRPGSVSVARCRAEAAPPAPAPAARRAAGPYTGRDPEVKKPAWLRQRAAQGEKYARLRESIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTVRALKELKPGILVECLTSDFRGDLEAVSALANSGLDVFAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKSCKEGMITKSSIMLGLGETDEEVKQAMIDLRAIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEYGESVGFRYVASGPLVRSSYRAGELFVQNLVRNNKPKLPASS >ONIVA01G36660.1 pep chromosome:AWHD00000000:1:31512464:31519693:1 gene:ONIVA01G36660 transcript:ONIVA01G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESGGGGGGASSSSPAAAGNFDAGQYAFFGKEPLEGLELSCLEDGGGDGNGGGFSGPEDGLYRLSSVGEEIDNLSNLSEIDDLASTFAKLNRSISGTRNPGVIGDRRSISRGSSLTVDWAEDAEFPNWVDQDILEGEELQESKRWWSQSRSLGQQGDAKPLSRTSSYPQQPLQHRASEPIVAPQSPPFTSFPPPGARSPYTAQGLTRHGSIPSVGAGLQMGSPSMSLPSSSYHMAGLSHGLPYGGSVSFGSPNLPGSNPIQNDWPNQANPYAVDQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHLFYQHHSPELTGRFDSISNVPSLRDKRSRSGRGKHSTRFSQPLTDTGSQNGDSGGLKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKKGASSRQKANFAPTSMKDLPSKSRSSSDHHAYLQVDALGRVSFSSIRRPRSLLEVDLPSSGDGSHDQKSSLRPLEKEPMLAARVTVEDAICLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLATSLQLVDPLGPSKSSHSSGLAPKDDLVFLRIVSLPKGRKLLSRYLRLLTPGSELTRIICMAIFRHLRYLFGGLPSDSSAAETTVALAKTVSSCVLHMELGALSACLAAVVCSSEQPPLRPLGSSAGDGASLIIKSVLDRATELLTDHHAAASYTVSNRTLWQASFDAFFGLLTKYCLIELLRASLPHTNDQQRQLLLDFAQRTMPVTGINPTGANGGYITSESVPAKKTSKISGLSSSGCTANNWQRVSNWLSSNLGIIAG >ONIVA01G36660.2 pep chromosome:AWHD00000000:1:31512464:31518988:1 gene:ONIVA01G36660 transcript:ONIVA01G36660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESGGGGGGASSSSPAAAGNFDAGQYAFFGKEPLEGLELSCLEDGGGDGNGGGFSGPEDGLYRLSSVGEEIDNLSNLSEIDDLASTFAKLNRSISGTRNPGVIGDRRSISRGSSLTVDWAEDAEFPNWVDQDILEGEELQESKRWWSQSRSLGQQGDAKPLSRTSSYPQQPLQHRASEPIVAPQSPPFTSFPPPGARSPYTAQGLTRHGSIPSVGAGLQMGSPSMSLPSSSYHMAGLSHGLPYGGSVSFGSPNLPGSNPIQNDWPNQANPYAVDQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHLFYQHHSPELTGRFDSISNVPSLRDKRSRSGRGKHSTRFSQPLTDTGSQNGDSGGLKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKKGASSRQKANFAPTSMKDLPSKSRSSSDHHAYLQVDALGRVSFSSIRRPRSLLEVDLPSSGDGSHDQKSSLRPLEKEPMLAARVTVEDAICLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLATSLQLVDPLGPSKSSHSSGLAPKDDLVFLRIVSLPKGRKLLSRYLRLLTPGSELTRIICMAIFRHLRYLFGGLPSDSSAAETTVALAKTVSSCVLHMELGALSACLAAVVCSSEQPPLRPLGSSAGDGASLIIKSVLDRATELLTDHHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIKQMFVMQSPCSVTGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGINPTGANGGYITSESVPG >ONIVA01G36650.1 pep chromosome:AWHD00000000:1:31497908:31506471:1 gene:ONIVA01G36650 transcript:ONIVA01G36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSEPDASQEPAPAAAPAAAIGGPNPCCAKLWRKYQKSEASRAALREGLKLLQGENDKLLKERSELSRELEDCRKPVTIEAYGILVMILHLCLETSVCNEERLRGDSAEAARISESDARDMLEKEIIELKAQNSALQQSQSVCKDGNELIRITELEEEIRRLKQVLVEEKKKSNSEKKNAEEEKGKVLELQRLLNMETHKSEEYKRLSDTERKAANGLRASCEKLRSEASEARERLVAQVKKTEEANKRAEEEKQKAAREKKCANSEKSLAEKNKNLIETERKKLTEEKSRAERLFAKLEEQKKLNEDLRVRIEVERKNAVDQKNHIDHLSQKLEEEKERSENLQRKLEKLCAVKDTTSFGKHGQQRIDVVTEGANIRLLKEKLKLKKQQLKHAKNVSKLDKAKNALVRRELQRLKQDWIQLLSRFNMLDEHLAADGVEGIHVLTELKRHPEIHNFEQNLLPHNSAPYFGLPSGIVPFSSSVPRDYTSYQLPRESCTRPISGTSSELEPPFGSSLRTKSKSPHRSSCPTSISDKKLMDSQGKDRLLVPASTDIRRKQSSMVPELTSKDGNDTRKPSDRALPVVSGDPFQQKALQSSMFGATEVTDKMPKGDKKRKRTKMSLKSTDCLSSKHKRLHLEMKAHDSTSNGILCSDDRSRVQQGSSIMLVVNEDDVQTRRRKCYVIAGKTPFLSVPAKVPFAEAGNAYAVSKFPSLLSFEEMIKGDCLKLLDLDNDADEERYRRAMQRTLSPDLPIILPQATKAPTHEKSHHLSDMMPNAFEYERDCPSSGANATDLEMRPNLLGVEGPAIQKLIQSTGKLGHNRIDCHDNVKQMRANDNDKSNSVVNISCSTKLDNVPTKRSLSCILHEDQAQNVVASPTDVPSNTSNSHPNSTLDLQHSHKEASNENSSNQIHSSSISDSGQQNIVGGCKTKAAELTDLNLNSIIGLRHGDKRSPMCFVGLVSMKKRNIIRMFRYWETLIAEARETSEEAFVDTPLFERISSEPLLLLEEKVALIISLLLWDICRVITADPVLDGNFASSVFALTVKSYMETRWAFLKSNQLDVPVSLIEDFLVKREVVVCNKTGHVISDVDRYSLLDDETGIQVSTEPATIDQFISACALLASICVKVERMDIVLEVSYKVLLMGKSNLSWTLLAIHIIGSMCGDKFLSKSSNFLMTTIRLVVLLLEAKNNSLCLLSSYVQSNRPAVFPTCAHCLFDVVDSFSVDGFISSLLDELHLCSQQWNSCSNTNKIIARCSPHLGSSGLEVNCGEPCYISKQVKLSEDGHNHTAGRDLCYFAEITSLLELFGNYMSCEWTYNNVVVRLLKILESCTCEEYSAALLILLSQLGRFFVDDVGYEQRAVSDLRNHLSVLMRTKVSNSRNMPVQLSAIGALLSLLPLAFDKIVAHSGQLPDLYVLQGRQISEWQSVLTTVLELPLDLAFYGLAKKFLVVFWVFLAEEANVLDLMCEDSNAEP >ONIVA01G36640.1 pep chromosome:AWHD00000000:1:31488575:31488943:1 gene:ONIVA01G36640 transcript:ONIVA01G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSQFSLPKHTLPGSITRAAAGHSSGVVAEMKAGYVPVLVGKSGGGGGEAATRFLVPVGLLNDPCMEALLELAADEMGYGQEGVLSIPCDADFFRRVVTAIPSANKANLICSPNSQTDC >ONIVA01G36630.1 pep chromosome:AWHD00000000:1:31484778:31486780:-1 gene:ONIVA01G36630 transcript:ONIVA01G36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTPTPAPAPAVAVSEAGLPDAIAAALPPDPYEQLEVARKITAVAVAARASRLELEAARLRQKLADKDRLAAELADRAASLEQALRDSDARLRAALDDNAKLAKERDSLAHTSKKLARDLAKLETFKRHLMQSLGDDNPPIQETVDIRTCEQSVAKASSWKDGVAHSRHHHPVSSLADGSTEIESVNQEVARPFEQKLSVTHISPRLTSDPAAKTRTAATSPRRYSTAVSPKLAASATSPRLEGHMAMQPWLLSSKMSSAANSPPRAHSISGRTTRVDGKEFFRQARNRLSYEQFAAFLANIKELNAHRQSREETLQKADEIFGSENKDLFMSFQSLLSRSLS >ONIVA01G36620.1 pep chromosome:AWHD00000000:1:31479847:31483906:-1 gene:ONIVA01G36620 transcript:ONIVA01G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLSTTSVVAAKAYKYRAESLVKDYLLADCYVSYTAVLGGILMCKMVYDITHLISSLYYKGYGSLTKIQKLEWNNRGMSTVHAMFITLMSVYLVFFSNLFSDELDGPVTVRSSNLSNFTLGVSLGYFIADLAMLSWAYPSLGGMEYVLHHLLSIISLVYAIYSEEGQLYTYMVLISETTTPGINLRWFLDTVGMKRSKAYLVNGVTMFVAWLVKQMRTFSCILIFAVPTILLVMNTVWFVKILRGLKKTLAKRQ >ONIVA01G36610.1 pep chromosome:AWHD00000000:1:31469912:31478555:-1 gene:ONIVA01G36610 transcript:ONIVA01G36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLAAVPESAAADLSAAANTLPCISYRDSIRSVAAVVSGGRGPLFQSVDSASTWSSSSYASDCSGHSSGVSAAAAAGFRALTAHELREVARRMVADGYAQRMVQAFDDAATVEVLEGEVPTPSLARFGEACVAKMVGFVDAVLAALKLVHAPPPEKLHALVSMYGHAMDASSLLWSQCRRVLITHQTTQAITFDAMENALFRKATELHGAISSTMEETKALVEAAADDDPTEIARGSGDDVHTSARVMVDCVVRKSKLCQGTGLRYIFLLNNSHFVAQQLEPFSNLRGGYIDGYFSASWAPVLSCLSSKSGLSPWSNKSSPLRKVELAFHETYTAQKLWKVPSPELRGRLRKTITERVVSGYREYLLEHPELKRLVSRGNSNTPADLEEMLAELFEG >ONIVA01G36600.1 pep chromosome:AWHD00000000:1:31465545:31470264:1 gene:ONIVA01G36600 transcript:ONIVA01G36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARSAVVVIAMEPSSSITIASSSSYLSNGSSPCSVSLPPPGAGAVAAQAAPVAAGEGGGGGGGGGGGGGGGGGGGGGSSSVEVVSLNRLSANLERLLLDSDLDCSDADVDVADGGPPVPVHRCILAARSTFFYNLFAARGRGGDGAAGGGGGGGGGGGERTGGRPRYKMEELVPGGRVGRDAFLSLLGYLYTGKLRPAPDDVVSCADPMCPHDSCPPAIRFNVEQMYAAWAFKITELISLFQRRLLNFVDKTLVEDVLPILQVAFHSELTPVLEKCIRRIARSNLDNVSLDKELPPEVAVQIKEIRQKSQPNEGDTVISDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAAAYCDSKVVSELLDLRLANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGAAVSQLTADGQSAMSICRRLTRLKDYNTKMEQGQESNKDRLCIDILDREMIRKPMAVEDSVTSPLLADDLHMKLLYLENRVAFARLFFPAEAKVAMQIAQADTTPEFGIVPAASTSGKLKEVDLNETPVTQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKFLEDDLPDSPDALDLQNGTSDEQNVKRMRFCELKEDVRKAFSKDRADNSMFSILSSSSSSSPPPKVAKK >ONIVA01G36590.1 pep chromosome:AWHD00000000:1:31448315:31458857:1 gene:ONIVA01G36590 transcript:ONIVA01G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSGVAARLNLVFMGRPLARSAQPPPSGPRFSGRAQRRRGSNEATACGKGRSRAGRAASPDVVATKPPPLHDEEEGGRMVRRGRKGKDAAAGAGGTGGRGGGAGRGGGRGGSGGGGGGGGVREATLVRVSKVLEDFQASDAQVYKFEPGISKQERAAIHEMCRKMGMISKSSGNGERRCLSVYKRKQNQGLETEEGPSHLGFSVEARNVLQDLFMHYPPDDAELNGHTVRNSSDKAVKIQWKPDGAFCRPALRKPDILKKVEMLASKIVQDRSKLPISSYKDAISSTLENHQVPQYILDHMWGKGESCKIVCTQPRRISAISVAERISAERGESVGDTVGYKIRLESKGGKNSSIMFCTNGVLLRLLIGRVTNISKEQNQKRSFDDAVTGITHIIVDEIHERDRFSDFMLAILRDLLPLYPHLRLVLMSATIDAERFSNYFSGCPFIQVPGFTHPVKTFYLEDVLSILQSVGDNHLDPTTDDLKQSSLLTDDYKSSMDEAINLALDNDEFDPLLELISAEQNQEIFNYQHSETGVTPLMVLAGKGQVGDICMLLSFGVDCSTRDHDGKSALDWAEQGNQQEVCEVIKKHMECGSAKLTEENELLNKYLATINPEHIDTVLIERLLRKICVDSNEGAILVFLPGWEDINQTRERLLASPFFQDSSKFLVLSLHSMIPSSEQKKVFKRPPAGSRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHSSWVSKANARQRQGRAGRCQPGTCYHLYSRFRAASLLEYQIPEIKRMPIEELCLQVKLLDPNCRIADFLRKTLDPPVPETVRNAITVLQDLGALTQDEQLTELGEKLGSLPVHPSTSKMLLFGILMNCLDPALTLACAADYRDPFLLPMAPDERKRAAAAKVELASLYGGYSDQLAVVAAMDCWRRAKDRGQEAQFCSKYFVSSNTMNMLSNMRKQLQNELAQRGFVPVDASACSLNARDPGIIRAVLMAGAYPMVGRLLPPRKNTRRAVIETASGAKVRLHPHSCNFNLSFRKTSGNPLVIYDEITRGDGGMYIKNSSVVGSYPLIILATEMVVAPPEDDDSDEEDGDSSEDETEKVTLGQHKEIMSSPDNSVSVVIDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPDLGATMYAIACILSYDGLPAMITSDDVATSQGSNQSSAESSRFSQGRRVGYIPPGGFLMSLLSDKPLNAPHFQKSFNHPDGASGHIRSSRTSVGRFDQSRHPQRNNSGPGSSAARTFKRQRNGAQ >ONIVA01G36590.2 pep chromosome:AWHD00000000:1:31448315:31458857:1 gene:ONIVA01G36590 transcript:ONIVA01G36590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSGVAARLNLVFMGRPLARSAQPPPSGPRFSGRAQRRRGSNEATACGKGRSRAGRAASPDVVATKPPPLHDEEEGGRMVRRGRKGKDAAAGAGGTGGRGGGAGRGGGRGGSGGGGGGGGVREATLVRVSKVLEDFQASDAQVYKFEPGISKQERAAIHEMCRKMGMISKSSGNGERRCLSVYKRKQNQGLETEEGPSHLGFSVEARNVLQDLFMHYPPDDAELNGHTVRNSSDKAVKIQWKPDGAFCRPALRKPDILKKVEMLASKIVQDRSKLPISSYKDAISSTLENHQVPQYILDHMWGKGESCKIVCTQPRRISAISVAERISAERGESVGDTVGYKIRLESKGGKNSSIMFCTNGVLLRLLIGRVTNISKEQNQKRSFDDAVTGITHIIVRFAAVVSSSAFGKKIFPSSLRLYSNNYTQSCILYHVLMSATIDAERFSNYFSGCPFIQVPGFTHPVKTFYLEDVLSILQSVGDNHLDPTTDDLKQSSLLTDDYKSSMDEAINLALDNDEFDPLLELISAEQNQEIFNYQHSETGVTPLMVLAGKGQVGDICMLLSFGVDCSTRDHDGKSALDWAEQGNQQEVCEVIKKHMECGSAKLTEENELLNKYLATINPEHIDTVLIERLLRKICVDSNEGAILVFLPGWEDINQTRERLLASPFFQDSSKFLVLSLHSMIPSSEQKKVFKRPPAGSRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHSSWVSKANARQRQGRAGRCQPGTCYHLYSRFRAASLLEYQIPEIKRMPIEELCLQVKLLDPNCRIADFLRKTLDPPVPETVRNAITVLQDLGALTQDEQLTELGEKLGSLPVHPSTSKMLLFGILMNCLDPALTLACAADYRDPFLLPMAPDERKRAAAAKVELASLYGGYSDQLAVVAAMDCWRRAKDRGQEAQFCSKYFVSSNTMNMLSNMRKQLQNELAQRGFVPVDASACSLNARDPGIIRAVLMAGAYPMVGRLLPPRKNTRRAVIETASGAKVRLHPHSCNFNLSFRKTSGNPLVIYDEITRGDGGMYIKNSSVVGSYPLIILATEMVVAPPEDDDSDEEDGDSSEDETEKVTLGQHKEIMSSPDNSVSVVIDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPDLGATMYAIACILSYDGLPAMITSDDVATSQGSNQSSAESSRFSQGRRVGYIPPGGFLMSLLSDKPLNAPHFQKSFNHPDGASGHIRSSRTSVGRFDQSRHPQRNNSGPGSSAARTFKRQRNGAQ >ONIVA01G36580.1 pep chromosome:AWHD00000000:1:31444800:31448075:1 gene:ONIVA01G36580 transcript:ONIVA01G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARWRILALPLALLLAVGSSPGLVRQASSSAAAKPPVPKAISDLREAIVKGLGFQSEELKVSGFDVRDALVGQAVAYEFDIEVGRKVVPVRLLEDVNRWDFVDLPIFRSQADADDTALAEIRRGKSGKRAFDPTLPPFQLAGPMELWIQDGDDVRLALPHDVEAGTLKKVVLSDGAVVTVKGAKAVSLRLPLELPLPLNRTTYKGRLSSLISIAQTLRGAARSNQKPLLSLRIEGPTSLSSTPSMSPNDRLKLKRLAPGQVELSSRAIPAVTDDDGDGSHAAGLWPLLSLNGSDGSLQGFEELLASVLGKKAGEKGTFKLLKARASAQTYVKMGFAVEKRIADGEVNWSNFPEWKTKPKKLRAHYEVLARVEGGQAIPERIAQVQPFEADEAMSESVLTGNVSMSKTEVVHPPPVYFTL >ONIVA01G36570.1 pep chromosome:AWHD00000000:1:31439650:31444518:1 gene:ONIVA01G36570 transcript:ONIVA01G36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGGRDDGDDTGAASTSPNSVANQSSSSSSSSSSEPEPVPFPVHRLARYSKSIDKAIRESVLINLLSWSLEQRMLSPEAAAHATADGLVGVVRCHAVDSGVTFYGMEGIGRSFEEELLKPFDRQLIAFRGGLPSRGEKVPVSAGEAARIRALHAAFVERDAELWTLAHVTLAEDLAASRGGDASAASIPRMVERLRQVRRLFEAVLVPLRSLKSIFDDLLSSSRSPPADADVLGGATCDTPSLRSPPPLSLTTSALPCSLHQRRLPSSSAGAGSPLLRSELSSPFLPPLPHSRTGGELYHRLGSSSPGPSLLRAVEGDEDTTDPTRRGSSSPDRWMCILSDEIDATLDRAVRFGLALGGEGGGGSRRPSDGGVVGAERRRRRRGQAATTASGRAAEATALLKVPRLLVGLAAAARPCRLPSHLTSPDERRQRRRKRRQEFSEF >ONIVA01G36560.1 pep chromosome:AWHD00000000:1:31436287:31439329:-1 gene:ONIVA01G36560 transcript:ONIVA01G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein [Source:Projected from Arabidopsis thaliana (AT5G65760) TAIR;Acc:AT5G65760] MRPLPLLLLILAAAASALARAPPRFPVPHARPRRGVVGAEEAVRGYDYETRYFRQRLDHFSFLEEEGEEGDGFFQQRYLVGRGGGWAGAGGPIFFYCGNEGDIAWFAANSGLVWEAATRFAALVVFAEANLSSHLAPVSVFFLGCALIVRDPIPFTCSLQHRYYGESMPFGSKDKAYNNSKSLAYLTAEQALADYAVLLTDLKKNLSSEGSPVVLFGGSYGGMLAAWMRLKYPHIAVGALASSAPILQFEDVVPSTIFYDLVSNDFKRESLSCFQTIKDSWKALDAQGNGQDGLLKLSKTFHLCKTIKNTGELSDWLSSAYSYLAMVDYPMPADFMMPLPGNPIKELCTKIDNQPDGTSILERIYAGVNVYYNYTGTVDCFDLNDDPHGMDGWDWQACTEMVMPMSYSEDSMFPADKFNYTSYEKDCINSFGVEPRPQWITTEFGGHNISLVLERFGSNIIFFNGLLDPWSGGGVLKNISESVVAIIAPLGAHHIDLRPASKDDPDWLVRLRESELGIISGWLSDYYGARRGALLQRAAPIPWTLLHHS >ONIVA01G36550.1 pep chromosome:AWHD00000000:1:31429546:31434133:-1 gene:ONIVA01G36550 transcript:ONIVA01G36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSAGGVGGGGGGGGGSGGAAAGDDAIWSKLREAGFDEESLKRRDKAALIAYISRLESEIYQYQHNLGLVLMERKELTSKHEQLRAASESAEIMHKRERAAQQSALAEAKKKEENLKKSLGIQKECVANLEKALHDMRGETAETKVSYESKLAEALQLMEAAHKKFDEAEEKLLLAKSLEAESIRTHNAALRSLHDIDDREDQLRRDRISCELENEAKEKEISLQRKSLNDMKKILHEKEEVLLKEQALLNQRDENILERLAYVTHSEKRVEEEKNILEAERKVLLEEKYKLELKMEAIVSREEALIQKESLLDKRESELLILQETIASKERAEIERLNQEQAIVLERRKHDFESEMANKQMSFDAAMEVTRNALHQRECALSEQESVVVQRSQNLDLQLAELASKEKALAGRSDELKEEEKLLLHREAIHNELQKEREEIQRIKSDLEKEKAFFEEEKREAIQAQQDLAITQADRDELLTLQMKLKEEIDSLRAQKRELMADADRLQAEKERFEIEWELIDEKKEELQKEAIRIAEERRAITEYLKNESDIIKQEKDNLRVQFKSNSETLSREHKEFMSKMQQEHASWLSKIQQERQDLKRDIDIQRVELLNSAKARQMEIDSYLREREEEFEQKKAKELEHINSQKEMINTKLEHVAVELQKLEDERKEATLERERREQELSEIKGTIEALNNQREKLQEQRKLLHSDREAITVQIQQLNVLEELKIDSENKQLSLLQHDKSKLGSDINVKDNHHDNSHSSPKQRFGRKLDLSPVSTPISWVRKCAQVIFKRSPEKSASHDQFAQNGVPKKVGDSVDVEDVNLDFAKVGQKRLNHLVSCDQTEVLEPKRKHRRSTIQKVNGGEITSNCLSALEEKCSKNEHDEAPLGLSNTCKEHEYGDKGPENLTKPGEPASSVDVPYVNGIVDNSDSVQEEPSVEATVSATETSNVDGPEDNNDSDEEDEEEEEEKTSSAKKLWRFLIT >ONIVA01G36540.1 pep chromosome:AWHD00000000:1:31420290:31423966:-1 gene:ONIVA01G36540 transcript:ONIVA01G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWGGEGRGGSGTPVVVKMESPEWAISEVEAGAAAPGSPAAGGKAGRGKNARQITWVLLLKAHRAAGKLTGAASAALSVAAAARRRVAAGRTDSDDAAAAPPGESPALRARFHGFLRAFLLLSVLLLAVDVAAHAQGWHAVVPDLLAVEGLFAAAYASWLRVRLEYLAPGLQFLANACVVLFLIQSADRLILCLGCLWIKLKGIKPVPKASGGGGGGKGSDDVEAGADEFPMVLVQIPMCNEKEVYQQSIGAVCNLDWPRSNFLVQVLDDSDDAATSALIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGNEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYINDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGVWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEKQPKQQRVGSAPNLDSLAKESHPKKDSKKKKHNRIYQKELALSFLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQVE >ONIVA01G36530.1 pep chromosome:AWHD00000000:1:31415318:31415608:1 gene:ONIVA01G36530 transcript:ONIVA01G36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAASLLPQPARGAAARLPLPEPPCARLPLPEQPWGAAARLPQSEPSWGTTALPPEPPWGAAAHPPAPHWGAGPSRPALPSSKLVPRVLERRTR >ONIVA01G36520.1 pep chromosome:AWHD00000000:1:31400835:31414230:1 gene:ONIVA01G36520 transcript:ONIVA01G36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAETTVAVTTGGEGEIVRGRKLLSHGKVFVGGVPLGTSESELRAHFSRFGTVAFVGAPKNKQTGAARGFAFVQFVNPDDAAAAIAAGPDRNVIRGTTMDVKLAQPKPSAGAHNSVQKRKIFVGGLPVSATEKKLKEYFSKFGKVNHAIVVTDLNTKMPRGFGFIQFASEESTARALKKDRHFLCGQWVEVSLAMPKQQNAASGTSKLSVQAHPFYPTTSSNFATAADYPDVVNIVPVVTPMNCVVSNTFNPHIGFEAPGMILSDGGSNAVSANYSYRNPYLGGGGVPPQGSAMYLQAAHYYSGAMMGRKLLSHGKVFVGGVPLGTSESELRAHFSQFGTVAFVGAPKNKRFGFGLRLGAYIEHLLVSNFLCLLGPRALKVRRVRMAFLHMDVKLAQPKPSAGGPQLSPGDQKRKIFVDSLPASLKEYFSNFDEVNRAIVVTDLNTKMPRGFGFIQFASEESTARALKKDRHFLCGQWVEVVVPTSVLLSPRGKDVGPKPPTFASEESAARALKKDRHFLYGQWVEVSLAMPKQQNATSGTSKLPVQAHPFYPATSSNFTAAANYPSVVNIVHVVTPMNCVVGNTFNPHIGFEVPGMKLSDGVTNVVTANYSYQYPYLGVGEVPPQNSAIFHMSSALTERK >ONIVA01G36510.1 pep chromosome:AWHD00000000:1:31395707:31400278:1 gene:ONIVA01G36510 transcript:ONIVA01G36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSSHSDEDGDDLEASSGDDHRDEQPDTPSGGGGGVKGDLSELTDSLTRGLWGVASFLAPPPAPAAEAAETATGAVGEGEGEDGARSPRIAGIRSDLAEIGGRVRSGISLLSNANAVAEISKIASSLLPFGPGEDDDDDAEAVGVTEEVVEFVRHISTHPETWLDFPLFVNDRHADDFELSDTQYGHALVIERVVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLSKQDAELLSTPQILKAREVLLQSSPTKKRLGPDDGSSQNWNVASTKGDNSGMSEAPSLEEATSVPMNVVEADKHPISVAEVEIIDKSVVEEELVVKNETKSIPSDSEKANLHITSDDDDKEVEDWLKDVAPVSSKTGNVNSAGQEEDISFSDLEDEEDD >ONIVA01G36500.1 pep chromosome:AWHD00000000:1:31390931:31392539:1 gene:ONIVA01G36500 transcript:ONIVA01G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPRGRRPRHHAAVNEVLSTLAAANSTLADVQRRLDADFRAAYPDHANPVKLVARLKRIQEEVAAVKGLCRDLLTQKQELIDAMRTSLAVQRSAVQRLLASSGLPPMSEEDAATDANLNQIIDEWTAHVGPDTGDDKDEDTNQIFFAAVV >ONIVA01G36490.1 pep chromosome:AWHD00000000:1:31386407:31386955:-1 gene:ONIVA01G36490 transcript:ONIVA01G36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGSGGGGCLGAPFRALSRACDSACDLYVRGMSGCAGRVPAAMEAVAVGRGFGRPATATATMRLRGLSSRDVDDLVRAASRQRRVAAEPAVGAAKKVGHYREAPAGKKGPFEAAAAAVPAPRKKGAAMGTIAEDAPCEFVADTTLKATPPVRRGASADGLAARAGGFGAIKVGTEAFAR >ONIVA01G36480.1 pep chromosome:AWHD00000000:1:31377426:31378959:-1 gene:ONIVA01G36480 transcript:ONIVA01G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGRSFGTSDGSYQLIKPLAIAPRGRGAPTRDGTTTPVRPPSIHATPRHAHAFVCFTRRLAPLEDRGVHHHHHHSSSPTIPNPSSSDSPPVAASVCSPARGAPAGECTARGRLVDAISGGDLCCARSRSSLAVDRPVQIRVVYTVRGCSSIYGSDLGLMENRVGESSATAVDGGGGAKDSGSFECNICLELAQDPVVTLCGHLFCWPCLYEWLHVHAHSRECPVCKAGLEEEKLVPLYGRGKASTDPRSRSVAGVQIPSRPAGQRPATAPQPDHHHDHLPHHDPWFMGGAGAPVAGGRWGNYTFSAAIGGLFPLLSFQVHGFPQAAAYGPAAGFPYGYGHSFHGWHGHGFPHQAPQGQHVDVFLKVLLVLVGVLVIASLIVF >ONIVA01G36480.2 pep chromosome:AWHD00000000:1:31377426:31378959:-1 gene:ONIVA01G36480 transcript:ONIVA01G36480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGRSFGTSDGSYQLIKPLAIAPRGRGAPTRDGTTTPVRPSDLGLMENRVGESSATAVDGGGGAKDSGSFECNICLELAQDPVVTLCGHLFCWPCLYEWLHVHAHSRECPVCKAGLEEEKLVPLYGRGKASTDPRSRSVAGVQIPSRPAGQRPATAPQPDHHHDHLPHHDPWFMGGAGAPVAGGRWGNYTFSAAIGGLFPLLSFQVHGFPQAAAYGPAAGFPYGYGHSFHGWHGHGFPHQAPQGQHVDVFLKVLLVLVGVLVIASLIVF >ONIVA01G36470.1 pep chromosome:AWHD00000000:1:31370539:31372632:-1 gene:ONIVA01G36470 transcript:ONIVA01G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0FTM2] MAPPAGTEAAGAGHRKNWRGESGNLWRIAGPVILTEIFQFLIGFVTAAFVGHIGKVELAAVSVVNGVVEGLAFGLLVKNHARTLGMGSALETLCGQAVGAGQPRMLGVYLQRSWVICLATSLALLPLYLLASPALRLLRQSAAISSVAGRYARWCAPQLFAYAVNFPMQKFYQAQSRVWAVTAISVAALAAHALLNWLVVARLGHGVVGAALVGDVSWWLLNAAQFAYLVGGSFPEAWSGFSRKAFTSLGGFVKLSLSSAVMLCLEMWYYTAVLILVGCLKNPEIQVGAISICMNYQLWTLMVAVGFNAAVSVRVANELGANHPKAAKFSVIVAVVTSAAVGLVFTLVALVARKQLPRLFTDDDVLVRETAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSSVAFVNIGCYYLVGLPIAAVFGFRLSLNATGIWVGMLIGTILQTVILLVILYRTKWQKEAMLAEERIKVWGGGVELPTIQEAS >ONIVA01G36460.1 pep chromosome:AWHD00000000:1:31367722:31369847:1 gene:ONIVA01G36460 transcript:ONIVA01G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQVQHENRINVGEATHVSKAEMGANTMFATSRLNSNNKVGPELAYSSGVASSASDSSTAAPSPCYLCHKPAALHVFGLAGRYVFGSVKREAYLSQEGPRSGRTPNRIAESLPVRVVNDFGLRLRVVTNQGPIKPRPPRPIDAIVFASIETRNRLRGFDRSFCCSAPPETYVFLPRARETIVLRANIIKMSSEQQASAGQPVLCASGCGFYGNPATLDMCSVCYRQHCLLNGATMATGPSSSVAAASAATVATGAVTSDSCSVPSAEVNGAAFSSKNNPEPATVVEKKAPANRCASCKKKVGLLGFACRCGATYCGTHRYPEKHACGFDFKGASRDAIARANPLIKGEKLTNKI >ONIVA01G36450.1 pep chromosome:AWHD00000000:1:31360522:31361184:-1 gene:ONIVA01G36450 transcript:ONIVA01G36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEVSKPSRRLSPKGSFKLSLPSLLACGQCKATAVSPPESPTGVGARSFSSSASSSAGTSRGRERDRLAELREIFRHFDRDMDGRISGDELREFFASMGDDSGAAAAAAAAMGLDGAAGGGESGGGGGGLMLAFEDFVRIVERKGGEEEEREDLRRAFGAFEAVKGSGRITPRGLQRVLSQLGDEASVAECEAMISAYDDDGDGELDFHDFHRMMSQD >ONIVA01G36440.1 pep chromosome:AWHD00000000:1:31359581:31359766:-1 gene:ONIVA01G36440 transcript:ONIVA01G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPKPSPRVVVAPTPRRRWRGRGESPPGREEERRGRDAAEEESSSRGKRVEELGGARMVK >ONIVA01G36430.1 pep chromosome:AWHD00000000:1:31353715:31356152:-1 gene:ONIVA01G36430 transcript:ONIVA01G36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKRVLPHGSPRGSPMATRRRDGEADENVRAWSENPGRLGAVAEWTASLERKKVLGERNGGGDGSGEAASPPPFFSQAKPATSPPSLSCRGEGPYDPKTNYTTPRPEFLRYNPEKRREILLRLEREAEDESSSATSATPTPTPSECVSSGSSVRGGEAELDRADAKEEEIEIPSPRGLDRADAEEEEIEIPSPRGGWARRLLLLLVAAACSCCYIYCMSSSPFPTSQMGLDFAGTTGSVHDASAHQVGSLELRAPTEMMGSHHVFEEATDQTVPNGSENAAQLYGPMGGSRKNFMAIAAMGLADSCPNVPFGEFTCQIGDRAVEDVQNSKEDFQLSELMVATSENAEQLGEVVSLNENVTADSIGSTYTADMVEGESGLVHQEEAGEDHSQHSQQLASMEKTIEQENNEVGYDGEGLENDRLDQATELLEYENPAAAAKAIVAMVKSLWPSIKLHLMEILACFSVAAFAIAAAMLKCFQRSPKGASVSTRRLEQSPLAPNPRLPVLPSPQSVLQPVQRTVPKVEPPVNLKIPTLSPLHKPDLFASFREQVPLPEPIPVSSVNLNNAVQFPLPKQIDSGNRPQKVHQDDAGSARIPDSYSVGRRDIDSSRPPVVALLGEFSLVDASSSRGSSRKGSNEHAGDVAVQEPSVTLRKDVAKMQKETTAIKSPSARKTKKEENAAKVEKKDVTTPTPLRRSNRLLNRVTSP >ONIVA01G36420.1 pep chromosome:AWHD00000000:1:31351487:31352851:-1 gene:ONIVA01G36420 transcript:ONIVA01G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEAVRDETAMAMRLLGHLARAPRGGGGDKNLAVSPLSLHAALALLGAGARGETLDQIVAFLGPAGGPAHAALASHVALCSLADDSGPGDDRGGPKVRFANSVWVDAALRLKAAYARVVADKYRAEARPVSFRDKLEEARRDINEWFESATAGRIKDFLPKDAVDRATPAVLGNALYFKGDWESKFDARSTSDDVFYLPDGGHVSAPFMSSGKWQYIACRAGYKVLRLPYARGGRGRGRDTGRLFSMYIYLPDERHGLPDMLRKLCSDPAALIESSAALTEKVPVGAFMVPRFTLSYKTNAAETLRQLGLRLPFEYPGADLSEMVESSPEAEKIVVSAVYHESFVEVNEEGTEAAAATAVVMTLGCAAPSAPVHVVDFVADHPFMFLIKEDLTGVVVFAGQVTNPSSST >ONIVA01G36410.1 pep chromosome:AWHD00000000:1:31342481:31352940:1 gene:ONIVA01G36410 transcript:ONIVA01G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT1G27750) TAIR;Acc:AT1G27750] MASSAEPPPKKRRLVEVHVPSPTPSPSSSSAPAPASPRSPVPPPPGVPPPPPPPPQTLAAAASPRPEEAVRKRRNREELRGLFECYRRIRLCVERKDARLLPELEQVYLSLIASSRGCTSIQRILADLIPQYASYCPTALEAATKVSINMYKWNLAIVTRGEDVDGVAYQTARACILGLTDICSTASCEAPKSSVLTGICSAVYITVLTFFISTFDGKDIYHIGSRKLLNLQDPVELLDILKQDSGGDNQQADDCLVELRALSLLCIFLLFPENILDACFALIASAEDVNGEGLYFLKQLTCHLNSGIITDALDVQGDGVSQCTGMDVDHPSTKESVDSNLSSHSVGVSGSSMLQPNECYMTMAISRHPSLKGWILLRYKKLCDSCRTDVVSEVSSCLNVLGSLTQLEDNKSHMGSESSVLDKIDENSGGYLQPNKLACSYEQRMLLKTDIADRYGGKTVQNKNAQMVHTDEVKTEKLADVKLDVCKQGNVISDAGHQAARLDMHTPKSTHDSAGGAATLTSPGQNFGKAKHSYSEPSDLYGAHFSRDVISVSKELWIGSLGNSAAESLVRSKFEEFGPLANFLFYPPKNFALVEYGNIMHAVHAYGCMQGSSVWGGGLQIRYLDRLIGSKGFASGIAVGESRHIYVAKVKNKKDKDEVFDELKAAGLKRPCSFTDISSENALLLEFETATDAAAAKAHIRRQLHPDVCSQDKNTSGHELFVRNIDNSVPDMEFINAFSRFGEVIRWQFNKLDGNCLIDYRSPNAAATAKSHLHGARFGLKSISVESRSVHDKTLSPSVPMLAPSVSDSSHHEIRNPRVSGYNAGFTVPTERPIYGPSAPRAPQGILPCPPVSAHRGPVIPPPPIQTSFVRPVYPGPGSPWENTTPNPSFSHASPRMMPGSSFRMNPPAPLPFIPSSVTPLTQFPGGSAQQSEKRPPPPPPPPNVAPPPFTRQDIPPPPPSPPPLPITQPPSVPPPPNSPPPLQPATDPSDSQKQRSHPRWQGSLSKSGLHYCSIYASRIELDACRYEHAVSEPTGWPSRLDVTKRTDYQHVKTTFSNTPPSKREVCRLLPCSNGDQKGFRDFISYLKQRECAGVIKIPSVKPLWSRLLFILPPTPEACGMLELPPHPIECLIALILPKETTAEAT >ONIVA01G36410.2 pep chromosome:AWHD00000000:1:31342481:31353229:1 gene:ONIVA01G36410 transcript:ONIVA01G36410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT1G27750) TAIR;Acc:AT1G27750] MASSAEPPPKKRRLVEVHVPSPTPSPSSSSAPAPASPRSPVPPPPGVPPPPPPPPQTLAAAASPRPEEAVRKRRNREELRGLFECYRRIRLCVERKDARLLPELEQVYLSLIASSRGCTSIQRILADLIPQYASYCPTALEAATKVSINMYKWNLAIVTRGEDVDGVAYQTARACILGLTDICSTASCEAPKSSVLTGICSAVYITVLTFFISTFDGKDIYHIGSRKLLNLQDPVELLDILKQDSGGDNQQADDCLVELRALSLLCIFLLFPENILDACFALIASAEDVNGEGLYFLKQLTCHLNSGIITDALDVQGDGVSQCTGMDVDHPSTKESVDSNLSSHSVGVSGSSMLQPNECYMTMAISRHPSLKGWILLRYKKLCDSCRTDVVSEVSSCLNVLGSLTQLEDNKSHMGSESSVLDKIDENSGGYLQPNKLACSYEQRMLLKTDIADRYGGKTVQNKNAQMVHTDEVKTEKLADVKLDVCKQGNVISDAGHQAARLDMHTPKSTHDSAGGAATLTSPGQNFGKAKHSYSEPSDLYGAHFSRDVISVSKELWIGSLGNSAAESLVRSKFEEFGPLANFLFYPPKNFALVEYGNIMHAVHAYGCMQGSSVWGGGLQIRYLDRLIGSKGFASGIAVGESRHIYVAKVKNKKDKDEVFDELKAAGLKRPCSFTDISSENALLLEFETATDAAAAKAHIRRQLHPDVCSQDKNTSGHELFVRNIDNSVPDMEFINAFSRFGEVIRWQFNKLDGNCLIDYRSPNAAATAKSHLHGARFGLKSISVESRSVHDKTLSPSVPMLAPSVSDSSHHEIRNPRVSGYNAGFTVPTERPIYGPSAPRAPQGILPCPPVSAHRGPVIPPPPIQTSFVRPVYPGPGSPWENTTPNPSFSHASPRMMPGSSFRMNPPAPLPFIPSSVTPLTQFPGGSAQQSEKRPPPPPPPPNVAPPPFTRQDIPPPPPSPPPLPITQPPSVPPPPNSPPPLQPATDPSDSQKQRSHPRWQGSLSKSGLHYCSIYASRIELDACRYEHAVSEPTGWPSRLDVTKRTDYQHVKTTFSNTPPSKREVCRLLPCSNGDQKGFRDFISYLKQRECAGVIKIPSVKPLWSRLLFILPPTPEACGMLELPPHPIECLIALILPKETTAEAT >ONIVA01G36410.3 pep chromosome:AWHD00000000:1:31351231:31352857:1 gene:ONIVA01G36410 transcript:ONIVA01G36410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT1G27750) TAIR;Acc:AT1G27750] MILRHRARLRAVLVGDDARVRGLEAERGVDPDAVGEPHLGPAAVIAGAAVVGEGAQRDVGRERRVGGATRGAEEGDDLVERFAAGPRAEERQRRVERERGDGQVFVAAAAAWGAREVAEQAHGHGCLVPDGLRELHG >ONIVA01G36400.1 pep chromosome:AWHD00000000:1:31327613:31337562:-1 gene:ONIVA01G36400 transcript:ONIVA01G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLKLCAADSMRRDGGSGGLAGTEMWQSFGEYERFIHSWHVADEYLVALVVTSVYSLDVAAAVEEPGNRLLASSRAALAAGGGGHGWVPLSHHEQASKMPSRGLDWYLMVPRTSYMLSEEQHWKNMAPPTPRLVVPIDVSKKPWEQKVPLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVSDDNSADDIKFLDLTITHYLSGPLRIVDAEGVPAAPGDLLAVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSAELLNIWNEREKILAETNHESIKICEVLHQRPLANLPTPENCLLGKIQEGTVDWQKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPIGPTPLHVNPIFDIGPVEPRFSDWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDVKPKRLSGKQGPKLRRLPDVLRCSSDGHLPVTQDPSGTKAP >ONIVA01G36400.2 pep chromosome:AWHD00000000:1:31333809:31340188:-1 gene:ONIVA01G36400 transcript:ONIVA01G36400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASARDLAVASISAAVGAAAAAAALRFLSSYGASSAKQRSPPTPCAEHLAVNGCAAERPPVQSPFDPAKREGYISWDDYFMAIAFLSAKRSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDNKLPWAKKSAKGDPLETKYPYVVHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRIDNSDYVYVASHKLLSMAGVKVRKHQPQMSQIPIKFQEPRNGEPSMNAAIATVPYNGDKYTPFTGNSCLRNGPSGPLTDKSAAEDEPINPGHPGLFKAAALPRPTHRQP >ONIVA01G36400.3 pep chromosome:AWHD00000000:1:31327613:31333809:-1 gene:ONIVA01G36400 transcript:ONIVA01G36400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGLPPPLRPSTAPPPPSVTRALHAINTCTTAAALAPIRGAILGDRALLRSTAVVSAFFLACGRLRHLDPALSLFACHPRPHVFVFNSLLRSLGRAPARSPLPLFRHFLRCRGVRPNRFTFPLLLTSLSSLADLRAVHCQVVKSGFGPDLHVRNALLARYADCDPDLAHAEQMFDEMPRPEVVAWTTMITSYRNRGRTFHALATFRRMLAAHVAPNRVTMVAALGACAAHCAVDTGIWIHEYVQKQGWEMDVVLGTALVDMYGKCGKVSDGMHVFSKMAKRNVYTWNSIIGALALAQDGKTALQWFSRMQNDGVQPDEVTLICVLCACAHAGFVDIGRKIFNLAIQGEYGFQPGIKHFGCMVDLLSRSGHLDDAFRVVETMPSQPNAVIWGLLLRGCRARGDSWLSEHVTMRLVELEPENASHYVLLSNLYAETGRWQEAQGILHWMKKKGLRKDAGWSLRMLEDKSKKSMSFRKDEPLIPSLPATEHGSSNTKIDVSKKPWEQKVPLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVSDDNSADDIKFLDLTITHYLSGPLRIVDAEGVPAAPGDLLAVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSAELLNIWNEREKILAETNHESIKICEVLHQRPLANLPTPENCLLGKIQEGTVDWQKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPIGPTPLHVNPIFDIGPVEPRFSDWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDVKPKRLSGKQGPKLRRLPDVLRCSSDGHLPVTQDPSGTKAP >ONIVA01G36400.4 pep chromosome:AWHD00000000:1:31338031:31340188:-1 gene:ONIVA01G36400 transcript:ONIVA01G36400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASARDLAVASISAAVGAAAAAAALRFLSSYGASSAKQRSPPTPCAEHLAVNGCAAERPPVQSPFDPAKREGYISWDDYFMAIAFLSAKRSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDNKLPWAKKSAKGDPLETKYP >ONIVA01G36390.1 pep chromosome:AWHD00000000:1:31322609:31326729:1 gene:ONIVA01G36390 transcript:ONIVA01G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVAAAEAGSKAAAVAGKAVAACERDAEKLEFIEEMTRGFDAVQERVLAAILARNNGAEYLRRHGMEGRTDREAFKARVPVVTYEDLRPEIERIANGDRSNIISSHPITEFLTSSGTSAGERKLMPTIEDELDRRQMLYSLLMPVMNLYVPGLDKGKGLYFLFIKSETKTPGGLPARPVLTSYYKSDHFKHRPFDPYNVYTSPTAAILCTDAFQSMYAQMLCGLVARAEVLRVGAVFASGLLRAIRFLQLHWRELAHDIRTGTLSAKVTEPSIRDAVAEVLAAPDAELAAFVEAECGKDKWEGIITRMWPNTKYLDVIVTGAMAQYIPTLKFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFELMPHDPDAPPLPRDSPPPRLVDLADAEVGREYELVITTYAGLCRYRVGDILQVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERASALLSPYGASIVEYTSQADATTIPGHYVVYWELMVREGGAWPPPAEEEGRGVFERCCLEMEEALNAVYRQGRNGEAIGPLEIRVVRAGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVISKHFSPACPKYSPHKK >ONIVA01G36380.1 pep chromosome:AWHD00000000:1:31303028:31306405:1 gene:ONIVA01G36380 transcript:ONIVA01G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52905) TAIR;Acc:AT3G52905] MRLLKADQLFRKVIDGGLRKQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNISLMADDFVTLVSKYSLAGFVVGYPFNLQGQSSRNALQVRLLVGELCKTGKLDDMSYTYWDENFTSKCVEALLHPLKLHDPVETKTMTDKFAAVCILQRYLDNMNRELRSADNSGKQGDT >ONIVA01G36380.2 pep chromosome:AWHD00000000:1:31303028:31306735:1 gene:ONIVA01G36380 transcript:ONIVA01G36380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52905) TAIR;Acc:AT3G52905] MRLLKADQLFRKVIDGGLRKQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNISLMADDFVTLVSKYSLAGFVVGYPFNLQGQSSRNALQVRLLVGELCKTGKLDDMSYTYWDENFTSKCVEALLHPLKLHDPVETKTMTDKFAAVCILQRYLDNMNRELRSADNSGKQGDT >ONIVA01G36380.3 pep chromosome:AWHD00000000:1:31302937:31306735:1 gene:ONIVA01G36380 transcript:ONIVA01G36380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52905) TAIR;Acc:AT3G52905] MRLLKADQLFRKVIDGGLRKQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNISLMADDFVTLVSKYSLAGFVVGYPFNLQGQSSRNALQVRLLVGELCKTGKLDDMSYTYWDENFTSKCVEALLHPLKLHDPVETKTMTDKFAAVCILQRYLDNMNRELRSADNSGKQGDT >ONIVA01G36380.4 pep chromosome:AWHD00000000:1:31303590:31306405:1 gene:ONIVA01G36380 transcript:ONIVA01G36380.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52905) TAIR;Acc:AT3G52905] MRLLKADQLFRKVIDGGLRKQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNISLMADDFVTLVSKYSLAGFVVGYPFNLQGQSSRNALQVRLLVGELCKTGKLDDMSYTYWDENFTSKCVEALLHPLKLHDPVETKTMTDKFAAVCILQRYLDNMNRELRSADNSGKQGDT >ONIVA01G36380.5 pep chromosome:AWHD00000000:1:31302937:31306872:1 gene:ONIVA01G36380 transcript:ONIVA01G36380.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52905) TAIR;Acc:AT3G52905] MRLLKADQLFRKVIDGGLRKQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNISLMADDFVTLVSKYSLAGFVVGYPFNLQGQSSRNALQVRLLVGELCKTGKLDDMSYTYWDENFTSKCVEALLHPLKLHDPVETKTMTDKFAAVCILQVHTTNTK >ONIVA01G36380.6 pep chromosome:AWHD00000000:1:31302937:31306735:1 gene:ONIVA01G36380 transcript:ONIVA01G36380.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52905) TAIR;Acc:AT3G52905] MRLLKADQLFRKVIDGGLRKQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNISLMADDFVTLVSKYSLAGFVVGYPFNLQGQSSRNVKCSCAGSLG >ONIVA01G36380.7 pep chromosome:AWHD00000000:1:31302937:31306405:1 gene:ONIVA01G36380 transcript:ONIVA01G36380.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52905) TAIR;Acc:AT3G52905] MPCNIILHQIECLMCHVTSSCMAASHACILHKQFCSMCVEVTMHLWKPGLQAFSNMLFFTAQFTHVIYISKILEGDIVHKEIINDLAIEVTDSS >ONIVA01G36370.1 pep chromosome:AWHD00000000:1:31298762:31302835:-1 gene:ONIVA01G36370 transcript:ONIVA01G36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G26640) TAIR;Acc:AT1G26640] MAEEAAQEQQTDPAASRPVRCIVKLGGAAITNKGELESIDAASLRSACAQLRQAMSHGGAAGKVVGMDWSRRPGDPTGPVVDVEGLSEMGGLGLDSNFVVVHGAGSFGHFQASRSGVHKGGLHSTLVKAGFVATRISVTSLNQEIVRALARVLHLQLESVDASQIMLSLHVGFVPVLHGDAVLDELLDCTILSGDVIIRHLAQLLSPKYVVFLTDVHGVYDRPPSDPNAVLLREIAVDENGSWSIVKPALKGNKKGVEISVAAHDTTGGMETKILEAAAIARLGVDVYITKVGTEHSLRALEGDTSSEDWLGTVIRSSR >ONIVA01G36360.1 pep chromosome:AWHD00000000:1:31294292:31302336:1 gene:ONIVA01G36360 transcript:ONIVA01G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLDQECIDCRLSTWVKLTVQEPANGHVYPLHPATSCRGGPGTRDGEEGAVGADVDVEKDGVVAGHGSSSSKSDGGGRRRRWLTTMPRRGSQRPREQQQQPPPSPARRCRGCRRRKHALAAARPRRGRRRRPHALAAAAAPERTPLPPPALAAAAAAPRASSRRPPALAVAVAAPSAGAVAVAAPPAGAAHAAAGTVAVAARRKETSRQSSLVGSLHVKGQHTTRFQREKESVRRQQYQKYNIFWTQKLCKMAYNDIPTQNGAVLTIHKQTVTSQQTWKVLSRKDAEKLEAMASKLMEAVSSLGPPRAGVGVSTNQNRFIQIYSTKYSETKIQQSS >ONIVA01G36350.1 pep chromosome:AWHD00000000:1:31291004:31294464:-1 gene:ONIVA01G36350 transcript:ONIVA01G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIFACGSISSPHGSCFRPACLAVDDLRLFYKINSITCGAYSWRWCVKKLHMRTNRRQMGTTVRTNAKWLFGGDDRSSSNARLERSESANEDILIFYFQLDLQTRIQYALNIEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAEDKAINLLRVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNVEYAFRLGQKVRHKVHGYRAVICGMDPVCCESKSWMETANVENLSKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEISEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRYEASGDEDDDDGSTDS >ONIVA01G36340.1 pep chromosome:AWHD00000000:1:31284975:31287903:-1 gene:ONIVA01G36340 transcript:ONIVA01G36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FTJ8] MEFNKVVSSYRAASPAPVGMARGGGGPASRVEFGPSSRRARLAATNNSVTPVTKEEKQRIDQSEILTLDNIRTSLVRQEDSIIFSLLERAQFCYNADIYDKNAFHVDGFDGSLVEFMVRETEKLHQQVGRYKSPDEHPFFPEDLPEPLLPPLQYPKVLHPIADSININKEIWKMYFDELLPRLVKEGSDGNYGSSALCDTICLQALSKRIHYGKFVAEAKFQESPEAYMPAIIAQDCDQLMHLLTYETVERAIEHRVEAKAKIFGQEVDLGAEDNGAPPMYKIRPSLVAELYSYRIMPLTKEVQVAYLLRRLD >ONIVA01G36330.1 pep chromosome:AWHD00000000:1:31280693:31284446:1 gene:ONIVA01G36330 transcript:ONIVA01G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF155) [Source:Projected from Arabidopsis thaliana (AT1G69380) TAIR;Acc:AT1G69380] MGRLRACTRLRRLLATRPPHPAPPPPPPRTTTTGQTLPRFSARAFSSASAVAVAVPHEARDSGLGSSAYWAWIRAAAESAPAPPPQQEEEDEGLARCIPVKAYFLSTSIDLKSLQADHGSDVVPPSTRSLNYIALRYSEFPQEIMDIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEHYLDIIRKHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIIASVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNSNYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLIIEIVISLYEIIKDSSMMS >ONIVA01G36320.1 pep chromosome:AWHD00000000:1:31278317:31278673:1 gene:ONIVA01G36320 transcript:ONIVA01G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSSSVGVCTLLVAVASLQLLLVVAVAASAETAPAMPDEEFLGRLCDQQQGATRRRLPWCQQLHARRRHGGGGGGVGVGKRRRVPMPPPSRAGEEIDARYVVSKRVVPSGPNPLHN >ONIVA01G36310.1 pep chromosome:AWHD00000000:1:31261259:31264713:-1 gene:ONIVA01G36310 transcript:ONIVA01G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGSTLSWNVTRCVAVLEEAGAEYEIVPLDFSKGEHKAPDHLARNPFGQVPALQDGDLFLWESRAICKYVCRKNKPELLKDGDLKESAMVDVWLEVESNQYTPALNPILFQCLIRPMMFGAPPDEKVVEENLEKLKKVLEVYEARLTKCKYLAGDYISVADLSHVAGTVCLGATPHASVLDAYPHVKAWWTDLMARPSSQKVASLMKPPA >ONIVA01G36300.1 pep chromosome:AWHD00000000:1:31259177:31259988:-1 gene:ONIVA01G36300 transcript:ONIVA01G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLLLLLLLLGMSLKGSEGAWCVCRPDVAEAALQKALDYACGHGADCAPVTPSGSCYSPNNVAAHCSYAANSYFQRNSQAKGATCDFGGAATLSSTDPSSGTCKYPATARDKHRNRHGGCRHRHRYKHEHEHEHFFPGLFNCSHGYADHGRDLRYADRRRRVWADD >ONIVA01G36290.1 pep chromosome:AWHD00000000:1:31256943:31258284:-1 gene:ONIVA01G36290 transcript:ONIVA01G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLDRDDSLDTVLEVPIPDEMLINAPGTDKRRGAGGANMRAWLKNQAFDRATVGGPANATAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIVSHRSPARVARARVHACTAAASTAKYIMQQYIAATGGQAALQGLQSMYAVGKVRMCASEFHLGDQNVTAAQGRAEVGGFVLWQKCPEVWYFELIMAGHKMSAGSDGKVAWRQSAAENSHASRGPPRPLRRSLQVDRTLLAAAPPLPSLSTSFDITMQGLDPRSIANLFSDAVCIGEKIITGEECFILKLEASAATLRARSAAAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGARRSENIFWETTMESTISDYRHIDGINIAHGGHTAVTLFRYGEGSVNHKRKLEETWTVEEADFNLYGLTTDYFLPPSDLKKDIDEKRAG >ONIVA01G36280.1 pep chromosome:AWHD00000000:1:31243464:31257864:1 gene:ONIVA01G36280 transcript:ONIVA01G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHRGGVERRIRRCAVFSIEAKLPQAFSFSPSSSSSRWCGERSGEERPRGTRDREGEGAPKQRAAACGLRSVLSIFFFCYFHIPLFPLPSKSNGIEKRPLQTFRPYNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDILASKDGALICFHDVTLDATTDVASRKEFSNRRRTYEVEWFNVTGWFVVDFTLEELKTLKVNQRYPFRDQQYNGKFSIITFEEFISIALDASRTVGIYPEMKDPVFINKHVKWDGGKKFEDKFVDTLLKYGYKGQYMSENWLKQPLFIQSFAPTSLVHASKLTDSPKIFLIDDFSVRTQDTNQSYWDITSDDYLAYISNYVVGLGPWKDTVVPAAKNYTMAPTDLVARAHAHNLQVHPYTYRNENQFLHLNFHQDPYAEYDFWINSMGVDGLFTDFTGSLHRYQELVAPHAKDETANSLLVKIAQMISQYEVAETGVIRQPMPHNLSRGRMEAAAAVAAASTGELLTGKSSAPLPHKRRSRDTTLCCCAHRQQKIAAFAGTRIIFSRHVIQVVVVCAARRRRADIQSETYVLMEPGEEEEFVSKEELEGRLRGWLERWPGGELPPDLARFDTVDDAVSYLVRSVCELEIDGEVGSVQWYQVQLE >ONIVA01G36280.2 pep chromosome:AWHD00000000:1:31243464:31257864:1 gene:ONIVA01G36280 transcript:ONIVA01G36280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAELIKQFSNITLGEEQELCDIEHALKALRKKILTLDFENSMRVHDPQNSFQYLEVLYKIRQLTERLGSLHPGGEAKEHNELIVYAGDLFDMAMARLEEEFVYLLTHYKQPIEQGLVSFRSTEDGSVDDFSSSSFNEEQCDGKTTQTETTGGSEYFATDLIQHGALSAVKSIANFMFLSEYDKECSQAYISTRQSAVDENLGSLRIDKLSMEELLSTNWTKLSSLIKRWNRAMKVFVQVYLTSEKRLSNHVFGELSESTADLCFYEISLSSVMQLLTFYESVAIGPPKPEKLFRLLDMYEVLNDLLPEVEFLFQEGCDDIVLTEYNEVLLQLGESVRKTITEFKYAVQSYTSSNAMARGEVHPLTKYVMNYIKALTAYSKTLDSLLKDTDRRCQHFSTDIQSMANQCPHFTVSALHLQSVTAILEENLEAGSRLYRDDRLRNIFMMNNIYYMVQKVKNSELKIFLGDDWIRVHNRKFQQQAMSYERASWSHVLSFLSDDGLCAAGDGASRKIIKEKFKNFNLSFEDAYRTQTGWSIPDDQLREDVRISISLKIIQAYRTFTGRYYSRLDGTRHLERYIKYKPEDLEKLLLDLFEGAQKTL >ONIVA01G36280.3 pep chromosome:AWHD00000000:1:31243565:31257864:1 gene:ONIVA01G36280 transcript:ONIVA01G36280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAELIKQFSNITLGEEQELCDIEHALKALRKKILTLDFENSMRVHDPQNSFQYLEVLYKIRQLTERLGSLHPGGEAKEHNELIVYAGDLFDMAMARLEEEFVYLLTHYKQPIEQGLVSFRSTEDGSVDDFSSSSFNEEQCDGKTTQTETTGGSEYFATDLIQHGALSAVKSIANFMFLSEYDKECSQAYISTRQSAVDENLGSLRIDKLSMEELLSTNWTKLSSLIKRWNRAMKVFVQVYLTSEKRLSNHVFGELSESTADLCFYEISLSSVMQLLTFYESVAIGPPKPEKLFRLLDMYEVLNDLLPEVEFLFQEGCDDIVLTEYNEVLLQLGESVRKTITEFKYAVQSYTSSNAMARGEVHPLTKYVMNYIKALTAYSKTLDSLLKDTDRRCQHFSTDIQSMANQCPHFTVSALHLQSVTAILEENLEAGSRLYRDDRLRNIFMMNNIYYMVQKVKNSELKIFLGDDWIRVHNRKFQQQAMSYERASWSHVLSFLSDDGLCAAGDGASRKIIKEKFKNFNLSFEDAYRTQTGWSIPDDQLREDVRISISLKIIQAYRTFTGRYYSRLDGTRHLERYIKYKPEDLEKLLLDLFEGAQKTL >ONIVA01G36280.4 pep chromosome:AWHD00000000:1:31252742:31257864:1 gene:ONIVA01G36280 transcript:ONIVA01G36280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAELIKQFSNITLGEEQELCDIEHALKALRKKILTLDFENSMRVHDPQNSFQYLEVLYKIRQLTERLGSLHPGGEAKEHNELIVYAGDLFDMAMARLEEEFVYLLTHYKQPIEQGLVSFRSTEDGSVDDFSSSSFNEEQCDGKTTQTETTGGSEYFATDLIQHGALSAVKSIANFMFLSEYDKECSQAYISTRQSAVDENLGSLRIDKLSMEELLSTNWTKLSSLIKRWNRAMKVFVQVYLTSEKRLSNHVFGELSESTADLCFYEISLSSVMQLLTFYESVAIGPPKPEKLFRLLDMYEVLNDLLPEVEFLFQEGCDDIVLTEYNEVLLQLGESVRKTITEFKYAVQSYTSSNAMARGEVHPLTKYVMNYIKALTAYSKTLDSLLKDTDRRCQHFSTDIQSMANQCPHFTVSALHLQSVTAILEENLEAGSRLYRDDRLRNIFMMNNIYYMVQKVKNSELKIFLGDDWIRVHNRKFQQQAMSYERASWSHVLSFLSDDGLCAAGDGASRKIIKEKFKNFNLSFEDAYRTQTGWSIPDDQLREDVRISISLKIIQAYRTFTGRYYSRLDGTRHLERYIKYKPEDLEKLLLDLFEGAQKTL >ONIVA01G36270.1 pep chromosome:AWHD00000000:1:31243310:31243654:-1 gene:ONIVA01G36270 transcript:ONIVA01G36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTAQRRILLSTPAFFFLFVTPLFPHPYQARYAIPTNNDLPRHGSDTYPSLTCRDTPPRCWDMDPPVRDTGGVPLVILVPALWTEYSWDNGGYSEDFGWANNIRAIRWLTCGT >ONIVA01G36250.1 pep chromosome:AWHD00000000:1:31240489:31240902:-1 gene:ONIVA01G36250 transcript:ONIVA01G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAAGAGAGKSLFQTFRKFFKKPWEITGPCASPEYRSALPGALEYRHRCPATLTKDTMAVVPTSEPETVYDIKYYTRDRRRDRPPVRRTLLRKPDFERYMAAKQFDPAKDFPVPYVNTAVEEDYDAVGGGYQK >ONIVA01G36230.1 pep chromosome:AWHD00000000:1:31220218:31221141:-1 gene:ONIVA01G36230 transcript:ONIVA01G36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGSNQAAAAAAAAAAAEEEAAELARKHTAAVATSRQWSAQTESRIVRVSRVFGGKDRHSKVKTVKGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAARHEIDKLPPLQFPPQDHLCMGHHHHLPSAMPLMHHHGHHHHADDDKYHVAAAAAALAAEKEAAAAGGGGGGGGDDVDGGGGGGAAHIVGRFPAGGYHRFMGLNNPLGMVNSAAGAAMPFHYAGESWNNGSVQDSGAGSPQVAAAAAHHTSPFPSLLSLAPGPHHQLVFYSSEAEQFTVDNLGSQGLSLSSARAFHDQTGS >ONIVA01G36220.1 pep chromosome:AWHD00000000:1:31207288:31211957:1 gene:ONIVA01G36220 transcript:ONIVA01G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRRLLQFQSLLAQQALRLRAAPRPKPQPNPPHRFLHAPSSPAAASPSRLPLWRSTGSLLPVSAAAAAAAAAARAAAARWLMAAREAGSLELFSLQRRRAKWLPSADGAVLMLVGANVGVFMLWHLADPSFMRRHFMISLDNFKSGRLHTLLTNAFSHAESGHLISNMIGLYFFGSSISNMFGPAFLLKLYVAGALAGSAFFLLEKAFLAPRRQFYGGWDNSRTPALGASAAANAIILLDIFLYPKKLVYLYFFIPIPAAIMGAILIGADLLRVKRQGQVSGTSHLGGALIAALAWARIRKGWI >ONIVA01G36210.1 pep chromosome:AWHD00000000:1:31204737:31206907:-1 gene:ONIVA01G36210 transcript:ONIVA01G36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQGPPKHQNRYAWKPNLGQKINETEPGGRFRPLSEITGVCQRCKDQIDWKRRYGKYKPIVEPAKCQKCGKRNVRQAYHNVCTACSKDLGICAKCCTCVKALVGRDLSEQESERKELEEAIRGARERERRTLLRLMNKGGGESGPSVPKIADRSREGDIFPAASLDEYAEQARQQDDSDEEEARDFVED >ONIVA01G36200.1 pep chromosome:AWHD00000000:1:31201676:31202011:-1 gene:ONIVA01G36200 transcript:ONIVA01G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGNKLGEVMWEHRLQAAAVVALVAATVVSISAIGPRLGAVVSFFWPLLVSTGFFLVAVAVLLRISPPPSSTIDESGKELIDFVAGCRPEHHPPEAAEAAVDVPPEPEI >ONIVA01G36190.1 pep chromosome:AWHD00000000:1:31189587:31191582:1 gene:ONIVA01G36190 transcript:ONIVA01G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINRPIVFFTVCLFLLCNGSLAQQLLGQSTSQWQSSRRGSPRECRFDRLQAFEPIRSVRSQAGTTEFFDVSNEQFQCTGVSVVRRVIEPRGLLLPHYTNGASLVYIIQGRGITGPTFPGCPESYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDLNNGANQLDPRQRDFLLAGNKRNPQAYRREVEERSQNIFSGFSTELLSEALGVSSQVARQLQCQNDQRGEIVRVEHGLSLLQPYASLQEQEQGQVQSRERYQEGQYQQSQYGSGCSNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNTQNFPILNLVQMSAVKVNLYQNALLSPFWNINAHSVVYITQGRARVQVVNNNGKTVFNGELRRGQLLIIPQHYAVVKKAQREGCAYIAFKTNPNSMVSHIAGKSSIFRALPNDVLANAYRISREEAQRLKHNRGDEFGAFTPIQYKSYQDVYNAAESS >ONIVA01G36180.1 pep chromosome:AWHD00000000:1:31182714:31195205:-1 gene:ONIVA01G36180 transcript:ONIVA01G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVARSRLLVPLLPRISARSFSAASPASEPAAAAAAVAASDAAAATDYSSSAGDPSSAPPPPARKPLGLLKGGVLAVVAAAFGATGYVSYAYSLDEIDQRTREFRKNSKQPIRDDLSGFEKFQAMAYSAAMKVPVAAIEFYLDTRSQIEDQIRGFSEPSSDKLLPDLLPQEQHVFTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQLSMYVDPVIERLDPKGCVRHRLSRVATKYENGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVEIKPWKLENDDTQLLDLIPFLEYVAMARPSDIRAVLASYQGRDIPAEFIERSKEHQRGRRASLPARTNTSGRSPDQPEAEPVQIVAAMRPRAFAPPCLPGSGPLPGLAVPGRGDLFPAAGSVVGRRAAPAPAGRGAVALAVDGPSAAAAVAAAADVPPPQITWQIVVGAVAGVTPFVVAGVEFGKRIIAQKKCEICGGSGLVMKKDYYVRCQGCAQKITYRLHVCVWFDSRTTIQDIPRAPDARFSSVPGSRRAHPDLA >ONIVA01G36180.2 pep chromosome:AWHD00000000:1:31183810:31195205:-1 gene:ONIVA01G36180 transcript:ONIVA01G36180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVARSRLLVPLLPRISARSFSAASPASEPAAAAAAVAASDAAAATDYSSSAGDPSSAPPPPARKPLGLLKGGVLAVVAAAFGATGYVSYAYSLDEIDQRTREFRKNSKQPIRDDLSGFEKFQAMAYSAAMKVPVAAIEFYLDTRSQIEDQIRGFSEPSSDKLLPDLLPQEQHVFTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQLSMYVDPVIERLDPKGCVRHRLSRVATKYENGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVEIKPWKLENDDTQLLDLIPFLEYVAMARPSDIRAVLASYQGRDIPAEFIERSKEHQRGRRASLPARTNTSGRSPDQPEAEPVQIVAAMRPRAFAPPCLPGSGPLPGLAVPGRGDLFPAAGSVVGRRAAPAPAGRGAVALAITWQIVVGAVAGVTPFVVAGVEFGKRIIAQKKCEICGGSGLVMKKDYYVRCQGCGGFLPWQSWRRFFTG >ONIVA01G36180.3 pep chromosome:AWHD00000000:1:31184011:31195205:-1 gene:ONIVA01G36180 transcript:ONIVA01G36180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVARSRLLVPLLPRISARSFSAASPASEPAAAAAAVAASDAAAATDYSSSAGDPSSAPPPPARKPLGLLKGGVLAVVAAAFGATGYVSYAYSLDEIDQRTREFRKNSKQPIRDDLSGFEKFQAMAYSAAMKVPVAAIEFYLDTRSQIEDQIRGFSEPSSDKLLPDLLPQEQHVFTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQLSMYVDPVIERLDPKGCVRHRLSRVATKYENGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVEIKPWKLENDDTQLLDLIPFLEYVAMARPSDIRAVLASYQGRDIPAEFIERSKEHQRLHRRNVKSVEALGL >ONIVA01G36180.4 pep chromosome:AWHD00000000:1:31185558:31195205:-1 gene:ONIVA01G36180 transcript:ONIVA01G36180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVARSRLLVPLLPRISARSFSAASPASEPAAAAAAVAASDAAAATDYSSSAGDPSSAPPPPARKPLGLLKGGVLAVVAAAFGATGYVSYAYSLDEIDQRTREFRKNSKQPIRDDLSGFEKFQAMAYSAAMKVPVAAIEFYLDTRSQIEDQIRGFSEPSSDKLLPDLLPQEQHVFTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQLSMYVDPVIERLDPKGCVRHRLSRVATKYENGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVEIKPWKLENDDTQLLDLIPFLEYVAMARPSDIRAVLASYQGRDIPAEFIERSKEHQRSKSIRAAYGGDDYRSFGRKSE >ONIVA01G36180.5 pep chromosome:AWHD00000000:1:31183810:31184936:-1 gene:ONIVA01G36180 transcript:ONIVA01G36180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRAFAPPCLPGSGPLPGLAVPGRGDLFPAAGSVVGRRAAPAPAGRGAVALAVDGPSAAAAVAAAADVPPPQITWQIVVGAVAGVTPFVVAGVEFGKRIIAQKKCEICGGSGLVMKKDYYVRCQGCGGFLPWQSWRRFFTG >ONIVA01G36170.1 pep chromosome:AWHD00000000:1:31178293:31182390:-1 gene:ONIVA01G36170 transcript:ONIVA01G36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISSEAPVGVFAIGPSTALGRALALRVLLCGSLGRLRHRLAAALRAALPVAAGWLHPRDNTRGILLAVCAVALLLRVRGRGGGRAGVRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLDRETPRRATDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNSELHKGRLQVPKLIKEYIEEVSTQLKMVCNSDSDDLPLEEKLAFMHETRHAFGRTALLLSGGASLGCFHVGVVKTLVEHKLLPRIISGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVVKRILTHGAVHDIRHLQTLLRNLTSNLTFQEAYDMTGRILVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGETVPFHAPFLLGLEERVGATTRRWRDGSLESDLPMKQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGSFAAKLAELAEMEVKHRCNQILELGFPLGGIAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYVELQKAANQGRRCTWEKLSAIRANCGIELVLDECVALLNHMRRLKRSTERAAASQGHGPTIRLCPSRRIPSWNLIARENSSGSLEEEFLISPRTNHHADGGIAGPSNKNHHVQQNVHDGSDSESESIDLNSWTRSGGPLMRTASANKFVSFVQNLEIDTEFRTISSREDRTDVVTPNANFLVSQAIGRESVDNSAMPITPDRSLGNSGYDPHDSNVPRSPFGCSTSIMVSEGDLLQPEKIENGILFNVVRRDTLLTPTGGVELQGTSQEPDVETIQTECLDDASDDDDVGLNAGDEAAIDPRANMSSQNTQHQGSSLENINLSSSVDCEAETITSKSKGSSLFDIGMEIRPTILSTESSIYERSSAKIGLRTVHAEFISNPGAGKGEVDSGAANKEFSYFSQTADTVIFSESCETGQHHEVNVEALTSYPVSVLEDDRSGGFNLSEIMATTFIAEADAPISRRDEVEQHNGSPVETFLDTETVQAGPCSSTLEGNNTNNSEEMQVVCTSGTN >ONIVA01G36160.1 pep chromosome:AWHD00000000:1:31176874:31177890:1 gene:ONIVA01G36160 transcript:ONIVA01G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FTH5] MEQSARQRSSVATNATVDLEVLDCTVCCHPLKPPVLQCGVGHVICSSCHGKLPDKNRCHVCAMDTAYNRCFAVEQILRSILVPCRNAGYGCDAKTAYHDSDSHEDGCPHAPCFCPEPGCGFAGATSSLPAHFTGGHGWPPATEFRRARAFDLQVQEGKRVLRDVDGGHLFLVDVAPAGPAGLAGAVLLLDPHAGAKAKPKFECHVAFHCRATGWRSSSEFPVRSTALAGGSLPADCYAFVVPRVAHPPATASIIVSVYDVSKKRPRNGDIRQHLKSRVN >ONIVA01G36150.1 pep chromosome:AWHD00000000:1:31172388:31174104:1 gene:ONIVA01G36150 transcript:ONIVA01G36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIDNPNLANTYNTRAGRITYLNVQKFPILNLLQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRAGFKLSTTMERQCLMESQIVGKNSIFRALPNNVLANAYRISREEARRLKHNRRVESGVFTPSHAYRSFQDIMTASL >ONIVA01G36150.2 pep chromosome:AWHD00000000:1:31172388:31174104:1 gene:ONIVA01G36150 transcript:ONIVA01G36150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNALLSPFWNINAHSIVYITQGRAGFKLSTTMERQCLMESQIVGKNSIFRALPNNVLANAYRISREEARRLKHNRRVESGVFTPSHAYRSFQDIMTASL >ONIVA01G36140.1 pep chromosome:AWHD00000000:1:31158182:31160200:-1 gene:ONIVA01G36140 transcript:ONIVA01G36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAMEEALSKKTTSKGGLRTIPFIISNEVFEKVATFGLHANMILYLTERYHMTAATGTVVLYFWNALSNFLPIFGAVLSDSCLGRFRVIALGSVVSLAGMCLLWLTAILPADRRTPECEARRDDCQLVPWQLPLLFASFVLMSVGSGGIRPCALAFGADQLDRRDNSARNVRTLQTFFNWYYTVLGLSIVLASTVIVYIQQAKGWVIGFAVPVVLMLTALTLLLLGSPFYLKAEADRSVLVGLVQVLVASYRKRRGPLPPETADASRFHNRAGYKPRTPSNRLRWLNRACALGDNPDKEVNPDDGSARDPWTLCTVQQVEDVKAAVRVLPIWSTGFMPGVIIGQQMFPVLQAKTMERRVGGLEIPAASFGVFSILTLTVWVAVYDRALVRPLSRLTGHARGVTLRQRMGIGLALFAVAMAVAARTEAARRAEALAEGLRDYGPQSGRAVRMSAMRLVPQHCITGLAEALNLIGQIEFYYSEFPKTMSSIGVSLLALGMGFGSVAGSAIVGAINAGTRSGGGRDSWLSSNLNRGHYDYYYLVLAALCVANLAYFVWCGWAYGEEGQNRVTAEEEAVEDTKTKEEQQQKL >ONIVA01G36130.1 pep chromosome:AWHD00000000:1:31152704:31156534:-1 gene:ONIVA01G36130 transcript:ONIVA01G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEGDVKSCEASKVKWKGGFVALPFIIAQVFFKAKAKGGFRALPFIISNEILEKVAGFGLNINFITYLNMQYHLSHANAGSLLFVWGAVSNFAPIPGAVIADMYLGRFIVVAIGSIACFVGMVFLWLSAMIPGARPPPCDMRSSPELCAPPEARHMAWLLAGFVFLSVGAGGVRPCSMAFGADQFSRHPKERRSRILQVYFNAYYGSIGVAFMVAVTVVVYVQDNLGWKVGLAVPTCLMLLSAASFLLGSGLYIKDRGSKRMFAGIGAAVAAAVRNRRAWLPAKTEDGVYHHLKDCKLTVPTDRLRFLNNACMISNTKEDKSGSGGADGISDRGRLCTVDQVEQLKSAIRVMPIWSSTIFLAQAMNQYFAVPQADAMDRRVGAGGFRVPSGTFAVFNMLTMSLWSGCYDRWTAPALRRLTGNPRGLTMKQRIGGGLVFGTAAMAAAAVVEAARRRQALGGGGMSAFWLVPQYALAGLAEAFGVIGVIEFFYTELPKSMASFSMALLYMALGAGSLVGSLIIKLVHEVSGRGGRTSWLAEDLNAGRYDYYYWLLAGLGAVNFVYFLWCGWAYGEEGQNVEWEDEGEGETTIA >ONIVA01G36120.1 pep chromosome:AWHD00000000:1:31145844:31152669:1 gene:ONIVA01G36120 transcript:ONIVA01G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G16170) TAIR;Acc:AT3G16170] MRAQAWVRGAVARLMTPFNCPAAHASSSPYHLLLLHSSSYSLLSRLRRHFASSSSSRSSSPAYNTTQNTTSTFMEVVQEVLKHGSTQGVCAAIRSDQKSYNLVQLIASALDVYNILRNKNMTQNGSTGSSVKGINGTGFLGGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSDISLILSTKEHQDIMENISTKCSAHCSLLPSVTSIPVNIDCQEPSSTEVTSSISSLIAEIDSSKEIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVEFMPKFSVRGIWQRWRESYPNNGSKNDEAITVFTGVRFMLNYYLSLNCSRHYGYSILLDYQVPTMYTRLLQGYDGMDPEQQSASSFAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGARKEGTVGKPLPRVEAKIIMEDGAETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDDEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHEIVLECAVLGLPDEAYGEIICAIIVPKEDSKKRAELDSKPALTLEALTSWSKDKLAPYKVNKKELKKLLGA >ONIVA01G36120.2 pep chromosome:AWHD00000000:1:31145844:31152669:1 gene:ONIVA01G36120 transcript:ONIVA01G36120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G16170) TAIR;Acc:AT3G16170] MRAQAWVRGAVARLMTPFNCPAAHASSSPYHLLLLHSSSYSLLSRLRRHFASSSSSRSSSPAYNTTQNTTSTFMEVVQEVLKHGSTQGVCAAIRSDQKSYNLVQLIASALDVYNILRNKNMTQNGSTGSSVKGINGTGFLGGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSDISLILSTKEHQDIMENISTKCSAHCSLLPSVTSIPVNIDCQEPSSTEVTSSISSLIAEIDSSKEIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVEFMPKFSVRGIWQRWRESYPNNGSKNDEAITVPTMYTRLLQGYDGMDPEQQSASSFAAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGARKEGTVGKPLPRVEAKIIMEDGAETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDDEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHEIVLECAVLGLPDEAYGEIICAIIVPKEDSKKRAELDSKPALTLEALTSWSKDKLAPYKVNKKELKKLLGA >ONIVA01G36120.3 pep chromosome:AWHD00000000:1:31152403:31154903:1 gene:ONIVA01G36120 transcript:ONIVA01G36120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G16170) TAIR;Acc:AT3G16170] MVSMLNTANVPLGTRNPPAPTRRSIASACGTAKYWFMACARKMVDDQIGITRIADLSCSTWSTVHSRPLSLMPSAPPLPDLSSFVLLIMHALFKNLNRSITPHELRIMHL >ONIVA01G36110.1 pep chromosome:AWHD00000000:1:31136783:31141979:-1 gene:ONIVA01G36110 transcript:ONIVA01G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEQQGGGGPPPPPRAPVPAASSQPPIKKLVRQLDFTSAALAGNPAMAAAAAAVSRALQPRAVPVGFPQPQQLRAHLPVGVPQQLHPRGLPVMRSHHVVHVPLPRPAVAVAVPVPQVRPAQPQPVPRPPVAVPLKPESPKPPRARLYEGKDGTPTKKKCCNCKHSKCLKLYCECFASGVYCDGCNCSNCFNNVKNETARREAIDATLERNPDAFRPKIGSSPHANRNNMEAAGDLPLVGKHNKGCHCKKSGCLKKYSNAAVNGAIGAAAFSSPSTSRKRKHIDPSLDHSAKENGAHRTNHVPQKNGMPSDGPLPINQPVHPPTLGPFKVTYRPLLADIVQPGDVKELCKLLVVVSGNAAKAYAGMTTFIHWELSHWETGKKSQEDKVVEKEDEMGGKREGEIGGSLASTNHDREENNKDPNNQKASADDPSTGGTRAGKASLEESKHDCNDDQKSNRPMSPGTLALMCDEQDTMFMTSQNVVPQQPAPVNQNQSELYAEQERCVLTEFRDCLHKLVTFGRMKEEKFSMAIKSEVPAHPMQANGVSRIPYSKEVDVPVVKTFLQSSSRHPAAGNSATGHLDKKPKHENT >ONIVA01G36110.2 pep chromosome:AWHD00000000:1:31136783:31141979:-1 gene:ONIVA01G36110 transcript:ONIVA01G36110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEQQGGGGPPPPPRAPVPAASSQPPIKKLVRQLDFTSAALAGNPAMAAAAAAVSRALQPRAVPVGFPQPQQLRAHLPVGVPQQLHPRGLPVMRSHHVVHVPLPRPAVAVAVPVPQVRPAQPQPVPRPPVAVPLKPESPKPPRARLYEGKDGTPTKKKCCNCKHSKCLKLYCECFASGVYCDGCNCSNCFNNVKNETARREAIDATLERNPDAFRPKIGSSPHANRNNMEAAGDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERKALFQGDHKNSIHMQQAANAAVNGAIGAAAFSSPSTSRKRKHIDPSLDHSAKENGAHRTNHVPQKNGMPSDGPLPINQPVHPPTLGPFKVTYRPLLADIVQPGDVKELCKLLVVVSGNAAKAYAGKKSQEDKVVEKEDEMGGKREGEIGGSLASTNHDREENNKDPNNQKASADDPSTGGTRAGKASLEESKHDCNDDQKSNRPMSPGTLALMCDEQDTMFMTSQNVVPQQPAPVNQNQSELYAEQERCVLTEFRDCLHKLVTFGRMKEEKFSMAIKSEVPAHPMQANGVSRIPYSKEVDVPVVKTFLQSSSRHPAAGNSATGHLDKKPKHENT >ONIVA01G36100.1 pep chromosome:AWHD00000000:1:31134223:31137878:1 gene:ONIVA01G36100 transcript:ONIVA01G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast thylakoid membrane, chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein matc /.../photosystem I P subunit (TAIR:AT2G46820.2); Has 291 Blast hits to 291 proteins in 50 species: Archae - 0; Bacteria - 90; Metazoa - 0; Fungi - 0; Plants - 200; Viruses - 0; Other Eukaryotes - 1 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G52220) TAIR;Acc:AT1G52220] MASALAVARPAALVPRGGSESITGNLPMLPAVPSTRFVSGRMRSRNVVAAKAAQDSSEPSSGSVVKYVQSSFSTPEDLFALAGIGFAGIAALWASINLVEVIDKLPVLPLLFELIGILVAWLFIYQNLLFKPDREKFLNNIKSSVSRVLGQ >ONIVA01G36100.2 pep chromosome:AWHD00000000:1:31134223:31139874:1 gene:ONIVA01G36100 transcript:ONIVA01G36100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast thylakoid membrane, chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein matc /.../photosystem I P subunit (TAIR:AT2G46820.2); Has 291 Blast hits to 291 proteins in 50 species: Archae - 0; Bacteria - 90; Metazoa - 0; Fungi - 0; Plants - 200; Viruses - 0; Other Eukaryotes - 1 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G52220) TAIR;Acc:AT1G52220] MASALAVARPAALVPRGGSESITGNLPMLPAVPSTRFVSGRMRSRNVVAAKAAQDSSEPSSGSVVKYVQSSFSTPEDLFALAGIGFAGIAALWASINLVEVIDKLPVLPLLFELIGILVAWLFIYQNLLFKPDREKFLNNIKSSVSRVLGQ >ONIVA01G36090.1 pep chromosome:AWHD00000000:1:31130740:31133042:-1 gene:ONIVA01G36090 transcript:ONIVA01G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAGVDGVAGRRQQEEASGAAPFGRSSSLIGAAGFDGALRELKDLRSQLHQAADCCEKAFLDTEKKKLILESTKGYICDAIVAVIDHLGTVSSKLEQQLQEKIEITQTEKKLNFLKQRLLTCEQYAITLKLLTVRGDNDAIQYHRRYLSQSTGGTKEENGANSRKDDVKFVEYNSPTIPGAIRTFKPYDIQSTIGRERSVATTDSESPTTDAKSSFSFRAEDVPIVLAEHRKKANHGSNILSFLRKGRRHA >ONIVA01G36090.2 pep chromosome:AWHD00000000:1:31130740:31133042:-1 gene:ONIVA01G36090 transcript:ONIVA01G36090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAGVDGVAGRRQQEEASGAAPFGRSSSLIGAAGFDGALRVEHLFGFLPSSPIVSPVCVVSLTLNIWAGLELKDLRSQLHQAADCCEKAFLDTEKKKLILESTKGYICDAIVAVIDHLGTVSSKLEQQLQEKIEITQTEKKLNFLKQRLLTCEQYAITLKLLTVRGDNDAIQYHRRYLSQSTGGTKEENGANSRKDDVKFVEYNSPTIPGAIRTFKPYDIQSTIGRERSVATTDSESPTTDAKSSFSFRAEDVPIVLAEHRKKANHGSNILSFLRKGRRHA >ONIVA01G36090.3 pep chromosome:AWHD00000000:1:31130738:31133042:-1 gene:ONIVA01G36090 transcript:ONIVA01G36090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAGVDGVAGRRQQEEASGAAPFGRSSSLIGAAGFDGALRVEHLFGFLPSSPIVSPVCVVSLTLNIWAGLELKDLRSQLHQAADCCEKAFLDTEKKKLILESTKGYICDAIVAVIDHLGTVSSKLEQQLQEKIEITQTEKKLNFLKQRLLTCEQYAITLKLLTVRGDNDAIQYHRRYLSQSTGGTKEENGANSRKDDVKFVEYNSPTIPGAIRTFKPYDIQSTIGRERSVATTDSESPTTDAKSSFSFRAEDVPIVLAEHRKKANHGSNILSFLRKGRRHA >ONIVA01G36080.1 pep chromosome:AWHD00000000:1:31126293:31129704:-1 gene:ONIVA01G36080 transcript:ONIVA01G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain [Source:Projected from Arabidopsis thaliana (AT1G79840) TAIR;Acc:AT1G79840] MGTNRPRPRTKNFFAAPALSLTLAGVFGRKNGPAASGGDGVEEGDEEVQAAGEAAVEISSENAGPGCSQSQSGGGSGEDGGHDDDDGEGSNKKRRRKNYHRHTAEQIRIMEALFKESPHPDERQRQQVSKQLGLSARQVKFWFQNRRTQIKAVQERHENSLLKSELEKLQDEHRAMRELAKKPSRCLNCGVVATSSDAAAAATAADTREQRLRLENAKLKAEVCMPPPRSRARPFRCATLQDTDSGELAMLNLFQIERLRGTPGKSAADGVASPPCSASAGAMQTNSRSPPLHDHDGGFLRHDDDKPRILELATRALDELVGMCSSGEPVWVRGVETGRDILNYDEYVRLFRRDHGGSGDQMAGWTVEASRECGLVYLDTMQLVHTFMDVDKWKDLFPTMISKAATLEMISNREDDGRDGVLQLMYAELQTLTPMVPTRELYFARYCKKLAAERWAIVDVSFDESETGVHASSAVRCWKNPSGCLIEEQNNGRCKMTWVEHTRCRRCTVAPLYRAVTASGVAFGARRWVAALQLQCERMVFAVATNIPTRDSTGVSTLAGRRSVLKLAHRMTSSLCRTTGGSRDMAWRRAPKGGSGGGGDDDIWLTSRENAGDDPGEPQGLIACAAASTWLPVNPTALLDLLRDESRRPEWDVMLPGKSVQSRVNLAKGKDRTNCVTAYAARPEEEEERGGKWVLQDVCTNPCESTIAYAAIDAAALQPVIAGHDSSGVHLLPCGFISVMPDGLESKPAVITASRRGGEASGAGSLVTVAFQVPASPSAAAATLSPDSVEAVTVLVSSTLRNIRKALGCDSCEEEF >ONIVA01G36070.1 pep chromosome:AWHD00000000:1:31118970:31123275:-1 gene:ONIVA01G36070 transcript:ONIVA01G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate aminotransferase 3 [Source:Projected from Arabidopsis thaliana (AT5G11520) TAIR;Acc:AT5G11520] MPSANVRGAQPSADRRLSTLVRHLLPSSARTATTTSTSSSAADADSSLQAFPTMASSSVFAGLAQAPEDPILGVTVAYNKDPSPVKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINNPSRVKEYLPITGLADFNKLSAKLIFGADSPAIQENRVATVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLAGLTVRSYRYYDPATRGLDFQGLLEDLGSAPSGAIVLLHACAHNPTGVDPTLDQWEQIRQLMRSKALLPFFDSAYQGFASGSLDQDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVRVESQLKLVIRPMYSNPPIHGASIVATILKDSAMFNEWTVELKGMADRIISMRQQLFDALKTRETPGDWSHIIKQIGMFTFTGLNSDQVAFMRQEYHIYMTSDGRISMAGLSGRTIPHLADAIHAAVTKLK >ONIVA01G36060.1 pep chromosome:AWHD00000000:1:31112075:31115080:-1 gene:ONIVA01G36060 transcript:ONIVA01G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS class) [Source:Projected from Arabidopsis thaliana (AT4G23440) TAIR;Acc:AT4G23440] MELQQESSDAGTLFSAPSRNLSSSSSAFVSANQSPFFTPRCLSARVSDHAHPENNSSLSGTVLKISDILSSDTLLKREQLPSATVGLLPSDASPPSICTSSNFDTPAIVYNNPSFISTFSDPCQGSSSATSTGVRSTRKEKHKRQWGLYRKSSSSQPTTSATSVNRLRSFDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRSSHSHDTIERIMNASTYGVVILTRKSFGNPYTIEELRNFFGKKNLIPIFFDLGAADCLARDIIEKRGELWERHGGELWMLYGGMEQEWRESVDALSRVSDVQLEANDGNWRHCILQTIIVLATKLGRRSVVDRVNRWRGRVEKEEFPFPRNADFVGRKKELSELELILFGDVSGDGEREYFEIKTKQRRKGLVIGRSVNNYEQVNTDDGKGKEPVLWKETKENIEMQRLGSPPRHGRPSRTKNDGRYGRKRRCRKILYGKGIACISGESGIGKTDLVLEYAYRFSQRYKMVLWVRGESRYIRQNYLALRTFLEVDLSVDSHLHEKGSDRCFEEQEEEAIAKIRQELMRDIPFLVIIDNLESEKDWWDKRVITDLLPHFGGETHFIITTRLPRVMNLEPMKLSYLSGAEAMSLMKGGVKDYPLVEIDALKAIEEKLGRLTLGLGIVGSILSELPITPSRLLDTLSRTLPIRDCSWNERDAISLKNHEILVRLLDVCLSIFDHADGPRSLATRMVQVCGWFAPSAVPIHMLALAAHKVPKKHRRGPRWRKWWRTLTCGLATSRMKRSEAEAAAMLMRFGIARCSTKPEYVQFHDLIRLYARKRGGTRTAQAVVQSIYLRGSIKHSSEHLWAACFMFFGFGSDPFLVEPRPSELIFFVKQIVVPLAINTFITYSRCNAALELLRLCTEALERAADSMLSHAGKWRETPLSCFRPTQSEAQYTYLWQELALLKASVLETRAKLMLRGGQYDTGDDLIRKAIFILTSICGEHHPNTVSARETLSKLTRLLTNVQLS >ONIVA01G36050.1 pep chromosome:AWHD00000000:1:31106291:31109189:1 gene:ONIVA01G36050 transcript:ONIVA01G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWQARHFLIIKHVPLPNYAPPLPRGFFLPRQCGRSIEPPGSWITGTNNNHHHLGINHFNPPLFVPSRGSLCVGVLQRQSWIIIVCFCTRRSRPQKAGSFTAGHGGVDRLMAASGGGSLLERRSSVRRSQSMVSEEGRGTPADEDLGGGGTLKIGAVLDKDSAAPKSRLAKDTGEHGGGGPSEMELMKEKFAKLLLGEDMSGSGKGVPSALAVSNAITNLAASVFGEQRKLEPMAPDRKGRWKKEVGWLLSVADHIVEFVAKKQVLDNGVEMEVMGTQQRRDLQANIPALRKIDTMLLDYLDNFKDRNEFWYVKRDSCSDSDEQRSDEKWWIPIVKVPPGGLSPASRGWLQHQKELVNQVLKAAMAINANCLMEMAIPESYLESLPKNGRASLGDALYRIITDVEFDPDVFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSAWGSAVSQEKREQFEERAQTLLLIIKHRYPGIPQSTLDIAKIQENRDVGFAILESYSRVLESLAFNVMSRIEDVLNADDHAREKAKKEAPPAPAMANDAAEHHHQQAGEVDAPCKMTGSPNGRTLLDFMDDWNGDADRSSPTAPEPAAQEDGRLMKLPNIMTNLKQTYMDNLFGAHRSPPGRH >ONIVA01G36050.2 pep chromosome:AWHD00000000:1:31106291:31109189:1 gene:ONIVA01G36050 transcript:ONIVA01G36050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWQARHFLIIKHVPLPNYAPPLPRGFFLPRQCGRSIEPPGSWITGTNNNHHHLGINHFNPPLFVPSRGSLCVGVLQRQSWIIIVCFCTRRSRPQKAGSFTAGHGGVDRLMAASGGGSLLERRSSVRRSQSMVSEEGRGTPADEDLGGGGTLKIGAVLDKDSAAPKSRLAKDTGEHGGGGPSEMELMKEKFAKLLLGEDMSGSGKGVPSALAVSNAITNLAASVFGEQRKLEPMAPDRKGRWKKEVGWLLSVADHIVEFVAKKQVLDNGVEMEVMGTQQRRDLQANIPALRKIDTMLLDYLDNFKDRNEFWYVKRDSCSDSDEQRSDEKWWIPIVKVPPGGLSPASRGWLQHQKELVNQVLKAAMAINANCLMEMAIPESYLESLPKNGRASLGDALYRIITDVEFDPDVFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSAWGSAVSQEKREQFEERAQTLLLIIKHRYPGIPQSTLDIAKIQENRDVGFAILESYSRVLESLAFNVMSRIEDVLNADDHAREKAKKEAPPAPAMANDAAEHHHQQAGEVDAPCKMTGSPNGRTLLDFMDDWNGDADRSSPTAPEPAAQEDGRLMKLPNIMTNLKQTYMDNLFGAHRSPPGRH >ONIVA01G36050.3 pep chromosome:AWHD00000000:1:31106291:31109275:1 gene:ONIVA01G36050 transcript:ONIVA01G36050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALWQARHFLIIKHVPLPNYAPPLPRGFFLPRQCGRSIEPPGSWITGTNNNHHHLGINHFNPPLFVPSRGSLCVGVLQRQSWIIIVCFCTRRSRPQKAGSFTAGHGGVDRLMAASGGGSLLERRSSVRRSQSMVSEEGRGTPADEDLGGGGTLKIGAVLDKDSAAPKSRLAKDTGEHGGGGPSEMELMKEKFAKLLLGEDMSGSGKGVPSALAVSNAITNLAASVFGEQRKLEPMAPDRKGRWKKEVGWLLSVADHIVEFVAKKQVLDNGVEMEVMGTQQRRDLQANIPALRKIDTMLLDYLDNFKDRNEFWYVKRDSCSDSDEQRSDEKWWIPIVKVPPGGLSPASRGWLQHQKELVNQVLKAAMAINANCLMEMAIPESYLESLPKNGRASLGDALYRIITDVEFDPDVFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSAWGSAVSQEKREQFEERAQTLLLIIKHRYPGIPQSTLDIAKIQENRVRSHTILLQCEPSDQCRGNVQLDDRRTFRLWAARTSGSPSWRATPGCWRAWPSTSCPG >ONIVA01G36040.1 pep chromosome:AWHD00000000:1:31097055:31099685:1 gene:ONIVA01G36040 transcript:ONIVA01G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein [Source:Projected from Arabidopsis thaliana (AT3G16120) TAIR;Acc:AT3G16120] MLEGKAMVEDTDMPVKMQLQAMSAAYKALDRFDVLDCRSIAAHIKKEFDMIHGPGWQCVVGASFGCYFTHSKGSFIYFKLGALRFLVFKGAAS >ONIVA01G36040.2 pep chromosome:AWHD00000000:1:31098123:31099685:1 gene:ONIVA01G36040 transcript:ONIVA01G36040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein [Source:Projected from Arabidopsis thaliana (AT3G16120) TAIR;Acc:AT3G16120] MLEGKAMVEDTDMPVKMQLQAMSAAYKALDRFDVLDCRSIAAHIKKEFDMIHGPGWQCVVGASFGCYFTHSKGSFIYFKLGALRFLVFKGAAS >ONIVA01G36030.1 pep chromosome:AWHD00000000:1:31092160:31096628:1 gene:ONIVA01G36030 transcript:ONIVA01G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEEISHPPMEQLQGFEYCIDSNPPWGEAIILGFQHYILALGTAVMIPAVLVPMMGGSDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVVPIMAIIQDSSLAAIPDDHERFLQTMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPLGMAPVVALLGFGLFERGFPVVGRCVEVGLPMLILFVVLSQYLKNVQIRDIPILERFSLFICIALVWAYAQILTAGGAYKHSPEVTQINCRTDRANLISSAPWIKIPFPLQWGAPTFSAGQSFGMVSAVLVSLVESTASYKAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYSMAAQRGPAHTKAGWFNDYINTIFSSPPTVGLIVAVFLDNTLEVKNAAKDRGMPWWVPFRSFKGDARSEEFYSLPFNLNRFFPPS >ONIVA01G36030.2 pep chromosome:AWHD00000000:1:31092160:31096628:1 gene:ONIVA01G36030 transcript:ONIVA01G36030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEEISHPPMEQLQGFEYCIDSNPPWGEAIILGFQHYILALGTAVMIPAVLVPMMGGSDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVVPIMAIIQDSSLAAIPDDHERFLQTMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPLGMAPVVALLGFGLFERGFPVVGRCVEVGLPMLILFVVLSQYLKNVQIRDIPILERFSLFICIALVWAYAQILTAGGAYKHSPEVTQINCRTDRANLISSAPWIKIPFPLQWGAPTFSAGQSFGMSTASYKAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYSMAAQRGPAHTKAGWFNDYINTIFSSPPTVGLIVAVFLDNTLEVKNAAKDRGMPWWVPFRSFKGDARSEEFYSLPFNLNRFFPPS >ONIVA01G36020.1 pep chromosome:AWHD00000000:1:31085739:31090990:1 gene:ONIVA01G36020 transcript:ONIVA01G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcriptional regulator family protein [Source:Projected from Arabidopsis thaliana (AT1G29220) TAIR;Acc:AT1G29220] MASDTKAVAALFSMYNDDEEEDDGDEPRPPSPPAAATSSSPSPQTEGEGSNPNPNAAASSAPPSPPLAEDPAGRRTLASPYPSPGLPPLPSRRSSSPFAISSPSPLRPAAAMPPADLPRPPRRGPLAIVDYAHDETAMSPEQEDGEINGIDRSGLDAQVAEGNYEEKTLSGIVHILTPNIRSEMSQQNDAPEQNQVGATAAMSVTGAEMEDVQVEEAADNMQNDDPLSRFLPPPVTAKCSAALQQKINKFLAYKRAGKSFNSEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFDPYGYDRSDYYDEIEADMKREVERKELEKKKSGKVDFITGGVQAPISVAIPKIAVLIASFTAAVSAAAAAGAPLVSISGEGVQKEARPNKKSKWDKVDGDIKNPVAPSGLDNLSAAALLTSANVGAGYAAFAQQKRKEAEEKKTSDHKSDRRS >ONIVA01G36010.1 pep chromosome:AWHD00000000:1:31072511:31074377:1 gene:ONIVA01G36010 transcript:ONIVA01G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQACRARTRRRRRRRRRTQPSDEFVRYTCERASERAHLALGLFAAGMMTSFGRYPYKKSDLYLI >ONIVA01G36000.1 pep chromosome:AWHD00000000:1:31071820:31072401:-1 gene:ONIVA01G36000 transcript:ONIVA01G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIRCCIACILPCGALDVVRIVHSNGRVEEISGPVLAGEIMKAYPKHVLRKPPSTCPADGGGGGIVVQKPVILPPNAELQKGKIYFLMPVMAPPEKEKEKAKAAQAQAPAAARRRRRRKETADEAAGGRAASNAAAAPPTRVGSEGEKERLLANERYLSEIMKEKASTARDRRRGRVAVWRPHLESITEDDL >ONIVA01G35990.1 pep chromosome:AWHD00000000:1:31061406:31063179:1 gene:ONIVA01G35990 transcript:ONIVA01G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDRRGPSPFRTRLAPTPACIPPLPFPHARPPITPRTPSLPSSLSHSPSSAEAAPSRHRRSAIATPPRHPRRRLAPHANRRRPVPPPASPILHRALSWPASPIYPDRRCRPHHPSTPCLHVNLHRLPGLETVAVIGKTNGANLFAWDDRRGLLAVGRWKRLTIFRLDNENSAPHCLFFLGCDLSVYGYGLTVTSFGFRWKLRWPVGSAQFGEWEPLSHDEARSLFAFVAPYVNEAKETKSSSSLPQKVAESEIEFCFFIYVIYFPFCIELGDLNMI >ONIVA01G35980.1 pep chromosome:AWHD00000000:1:31052784:31058116:-1 gene:ONIVA01G35980 transcript:ONIVA01G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FTE6] MERDTSSSDVSASHVGRARQRRRPTEATADGNRTNGPALLVNDQNKYKSMLIRTYSTVWMIGGFVLIVYMGHLYIWAMVVVIQIFMAKELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLFTYGRFLSRQLVNTVTSDHLLYKVVSGLIKYQMFICYFLYIAGFVWFILTLKKKTYKYQFKQYAWTHMILLTVFAQSSFTVANIFEGMFWFLLPASLIVINDIAAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFVLANVMGRFQWLTCPRKVRYHFLWNVIDLSTGWLCCDPGPMFKPDHYSLGEWVPKGFPWKEVVLLPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFISPQNYSVELILEQILRNLTFEEQKFLYQQLGEIYRERQLMQS >ONIVA01G35970.1 pep chromosome:AWHD00000000:1:31041040:31046404:-1 gene:ONIVA01G35970 transcript:ONIVA01G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAADKGTSIDAQLRILAPKKLSEDDKLVEYDALLLDRFLDILQDLHGEDIRETIAYRRRIKLKKGDFADENSATTESNFEETLKRLVGELKKSPHEVFDALKNQTIDLVLTAHPTQSVRRSLLQKHGRIRSCLTKLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYAQIEDLMFELSMWRCSDELRVKADQLHRCTKKNTTKHYIEFWKQVPPSEPYRVILSNVRDKLYNTRERARHLLASGFSEIPDEATFTDVEQFLEPLELCYRSLCACGDNSIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITQYLGIGSYREWSEEKRQEWLLSELNGKRPLFGPDLPQTDEIADALDTFHVIAELPYDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVDWYRNRIDGKQEVMIGYSDSGKDAGRFSAAWELYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTSATLEHGMHPPISPKPEWRALMDEMAAVATKEYRSIVFQEARFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLQILQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWPFGARLRANYEETKQLLLQVAGHKDLLEGDPYLRQRLRIRDSYITALNVCQACTLKRIRDPGFHVSPRAHLSKDIMDSGKPAAELVKLNTTSEYGPGLEDTLILTMKGIAAGMQNTG >ONIVA01G35970.2 pep chromosome:AWHD00000000:1:31041040:31046404:-1 gene:ONIVA01G35970 transcript:ONIVA01G35970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAADKGTSIDAQLRILAPKKLSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYESKVDPKQLDAIGNVLTRLDPGDSIVMTKSFSHMLILANLAEEVQIAYRRRIKLKKGDFADENSATTESNFEETLKRLVGELKKSPHEVFDALKNQTIDLVLTAHPTQSVRRSLLQKHGRIRSCLTKLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYAQIEDLMFELSMWRCSDELRVKADQLHRCTKKNTTKHYIEFWKQVPPSEPYRVILSNVRDKLYNTRERARHLLASGFSEIPDEATFTDVEQFLEPLELCYRSLCACGDNSIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITQYLGIGSYREWSEEKRQEWLLSELNGKRPLFGPDLPQTDEIADALDTFHVIAELPYDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVDWYRNRIDGKQEVMIGYSDSGKDAGRFSAAWELYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTSATLEHGMHPPISPKPEWRALMDEMAAVATKEYRSIVFQEARFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLQILQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWPFGARLRANYEETKQLLLQVAGHKDLLEGDPYLRQRLRIRDSYITALNVCQACTLKRIRDPGFHVSPRAHLSKDIMDSGKPAAELVKLNTTSEYGPGLEDTLILTMKGIAAGMQNTG >ONIVA01G35960.1 pep chromosome:AWHD00000000:1:31032113:31032732:-1 gene:ONIVA01G35960 transcript:ONIVA01G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGGFKLFGKVIMQCGVSEGTQDKAQGFVVAREKVEPEEEEEEEQRVPAAATSGQRASIKREAADRDEEQRQGGGDAAGQPTQRRLQDSAEARAAAAAPLPCPRCRSRDTKFCYFNNYNVNQPRHFCKACHRYWTAGGALRNVPVGAGRRKNRPLGPLAVAHHHHHHRAAAGFVLGFPNPSSPTSPSPVYTDRWPVTPDRPF >ONIVA01G35950.1 pep chromosome:AWHD00000000:1:31027638:31028033:-1 gene:ONIVA01G35950 transcript:ONIVA01G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSIFCYSFTMTSKSMASLEQEATDSSESGARRGGDMLATVQESMQSFLGAVRDKITGPSSGGASAKAKGFAADKTEGMLCALAGLATARKGERSESTWQQGDDARRCGAEKAEEARGQSAQHEPSSKEK >ONIVA01G35940.1 pep chromosome:AWHD00000000:1:31021829:31022495:-1 gene:ONIVA01G35940 transcript:ONIVA01G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06330) TAIR;Acc:AT1G06330] MTIVEMSVHMDCAGCEKKIRKAIQRMEGVDDVEIDMERQKVTVNGNVEQKKVLKAVRRTGRRAVLWPHPYTGGGVVAGGTVHVLAQQQNYHQYHPGAGVQAHAARPTSSYNYYKHGYDDSRLYGGYYHHGANSAVVGTRATDYFSDENPQSCSVM >ONIVA01G35930.1 pep chromosome:AWHD00000000:1:31017795:31020936:-1 gene:ONIVA01G35930 transcript:ONIVA01G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPRVSAVIFDLDGTLLDTERATRDVLNEFLAAYGKVPDKEKEERRLGQMYRESTTGIIADYGLPLTVEEYAVAIYPLYLKRWQKAKPLPGVERLVKHLHRNGVPLALASNSVRRNIDHKLLKLKDWKDCFSVILGGDQVPRGKPSPDIFLEAAKGLGVNPSSCLVIEDSLVGVQGARASGAKVVAVPSLQSQRQHYSIADSILYSLLDFHPELWGLPPFEDRCFTNRTIILEFPDRPCNFKESSYGDCTYEYVPDQISGIFFGWAKPEAGGVSRSVISIGWDFSLRTVERVMITTEEPLKLQLVGYIRKLQSTENISQALAITDEDQAIARDALDLPVFSEHAKL >ONIVA01G35930.2 pep chromosome:AWHD00000000:1:31017795:31020936:-1 gene:ONIVA01G35930 transcript:ONIVA01G35930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPRVSAVIFDLDGTLLDTERATRDVLNEFLAAYGKVPDKEKEERRLGQMYRESTTGIIADYGLPLTVEEYAVAIYPLYLKRWQKAKPLPGVERLVKHLHRNGVPLALASNSVRRNIDHKLLKLKDWKDCFSVILGGDQVPRGKPSPDIFLEAAKGLGVNPSSCLVIEDSLVGVQGARASGAKVVAVPSLQSQRQHYSIADSILYSLLDFHPELWGLPPFEDRCFTNRTIILEFPDRPCNFKESSYGDCTYEYVPDQISGIFFGWAKPEAGGVSRSVISIGWDFSLRTVERVMENISQALAITDEDQAIARDALDLPVFSEHAKL >ONIVA01G35920.1 pep chromosome:AWHD00000000:1:31011603:31017994:1 gene:ONIVA01G35920 transcript:ONIVA01G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSDVINREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACRKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSPESSSEPNKAEEHKAAQYVDRKKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKSYSLQAIPILL >ONIVA01G35920.2 pep chromosome:AWHD00000000:1:31011603:31019958:1 gene:ONIVA01G35920 transcript:ONIVA01G35920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSVIFVLHDLIVVSLQFDMNVTNRASYVQDVINREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACRKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNNVPLQMVQGTCSILKFLSQSPVLSEKRKIDSLICSHPGPESSSEPNKAEEHKAAQYVDRKKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >ONIVA01G35920.3 pep chromosome:AWHD00000000:1:31011603:31019958:1 gene:ONIVA01G35920 transcript:ONIVA01G35920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSDVINREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACRKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSPESSSEPNKAEEHKAAQYVDRKKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >ONIVA01G35920.4 pep chromosome:AWHD00000000:1:31011603:31017058:1 gene:ONIVA01G35920 transcript:ONIVA01G35920.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSDVINREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACRKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSNLAKQCQIKEEKKVSKKLTEVKIILGPESSSEPNKAEEHKAAQYVDRKKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >ONIVA01G35920.5 pep chromosome:AWHD00000000:1:31011603:31019958:1 gene:ONIVA01G35920 transcript:ONIVA01G35920.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSVIFVLHDLIVVSLQFDMNVTNRASYVQDVINREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACRKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSPESSSEPNKAEEHKAAQYVDRKKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >ONIVA01G35920.6 pep chromosome:AWHD00000000:1:31011603:31017058:1 gene:ONIVA01G35920 transcript:ONIVA01G35920.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSVIFVLHDLIVVSLQFDMNVTNRASYVQDVINREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACRKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSNLAKQCQIKEEKKVSKKLTEVKIILGPESSSEPNKAEEHKAAQYVDRKKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >ONIVA01G35920.7 pep chromosome:AWHD00000000:1:31011603:31019958:1 gene:ONIVA01G35920 transcript:ONIVA01G35920.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSVIFVLHDLIVVSLQFDMNVTNRASYVQDVINREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSPESSSEPNKAEEHKAAQYVDRKKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >ONIVA01G35920.8 pep chromosome:AWHD00000000:1:31011603:31017058:1 gene:ONIVA01G35920 transcript:ONIVA01G35920.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNVYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELLELIFEEATKSNILGLPSVIFVLHDLIVVSLQFDMNVTNRASYVQDVINREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGSQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSNLAKQCQIKEEKKVSKKLTEVKIILGPESSSEPNKAEEHKAAQYVDRKKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >ONIVA01G35910.1 pep chromosome:AWHD00000000:1:31007761:31011762:-1 gene:ONIVA01G35910 transcript:ONIVA01G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21190) TAIR;Acc:AT4G21190] MSTWAITRGSCGSGLATGIARCPHPRRLRQRRSAPPEPLTVGLTFHPTLKLSCPVGRRDCVAVVRLRPSSRSRTLRPAENEAAAAHGFTEASDGGNCSSPLTRLHDVFCEVRAACIRLWIGKGCHYASFKVCGARGPRPRYPRVWKTRKRIGTVSKSQKLVECVKGLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELYGKIFSRYLEGLPRTFFMRMISLYYRLESYQKMFEIFADMEELGVRPDGSIIRMLGEVFQKLGMLDKYVKLKKKYPPPKWEYRHIKGKRIRVKVYPKDEIEEPMRNPGTDEVEEEENMDVDSELEEAASAGLDRNVLDEAACGDLEFSAAAAVAGFVIL >ONIVA01G35910.2 pep chromosome:AWHD00000000:1:31008216:31011762:-1 gene:ONIVA01G35910 transcript:ONIVA01G35910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21190) TAIR;Acc:AT4G21190] MSTWAITRGSCGSGLATGIARCPHPRRLRQRRSAPPEPLTVGLTFHPTLKLSCPVGRRDCVAVVRLRPSSRSRTLRPAENEAAAAHGFTEASDGGNCSSPLTRLHDVFCEVRAACIRLWIGKGCHYASFKVCGARGPRPRYPRVWKTRKRIGTVSKSQKLVECVKGLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELYGKIFSRYLEGLPRTFFMRMISLYYRLESYQKMFEIFADMEELGVRPDGSIIRMLGEVFQKLGMLDKYVKLKKKYPPPKWEYRHIKGKRIRVKVYPKDEIEEPMRNPGTDEVEEEENMDVDSELEEAASAGLDRNVLDEAACGDLEFV >ONIVA01G35900.1 pep chromosome:AWHD00000000:1:31002656:31006585:1 gene:ONIVA01G35900 transcript:ONIVA01G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGEISEIMRCPWKVVCVAWMLALMCGDRKRMEGLQLHCLAEGKAHEMQSPACSFRLCSTGARGTRKLQPSELPLDPSSSSRAKAVALLASGGRATTHRTVPAAWSGDAGPAASKVPSPQRWESRTYALRRSHFARCHD >ONIVA01G35890.1 pep chromosome:AWHD00000000:1:30996669:30998495:-1 gene:ONIVA01G35890 transcript:ONIVA01G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVWLTTPATALAPRTGYRSPRVVPRLFQGSFSFRRYLRGATFACIFHEPVFPAFALIVGRDRRTRREDRDQGRPGGRTEQPAPAAGLASSRRSMPRSRGSELPQRASPRAPLHLKTTACSDANGAHHRTVVDRSSPKLADRHSPRSPLHEKKRAGTRVAELETKLGKVQDELKKLREQLATAEAAKKDAQVALEEAKKRVGTKGSPASAAAASPRSPSPVAVETPKKTEQQLKVAEPPAEEESSINSPATDVFEVVRTESGDKENQSAAGAEDGEEVSCGIKAALAEKELEEEETKKMIEEESIDATAIDSGEKENPEVAELKAKLMAKDMEVAILTADNAELKKQVGEAAEAAQKAEEEAAAKASLVEQDLKERAAREARMGEQLRASEAARETLEAEMRRLRVQTEQWRKAAEAAAAVIGGDAHFVGHNGNGWGSPATMPDDCDDEGFGGKRKGAGIRMLGDLWKKKGSK >ONIVA01G35880.1 pep chromosome:AWHD00000000:1:30988906:30991865:-1 gene:ONIVA01G35880 transcript:ONIVA01G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGS domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G30070) TAIR;Acc:AT1G30070] MSADELRLDLEELRRLEGLAKRPRVLSALANEIRAVDAKLAKATEPQAPQAVAAGSPPVVAAAAAPAPAAAAGVSYVTLGSFSWDQDAEKIKIYVFLEGVEQDKVETTFKPMSVDIKFHDVKGKNYRCAIPKLHKEIVPEKCKVLVKPTKIIVTLYKASKGNWLDLHFKEDKFKPSMAKEKDPMSGIMDLMKNMYEEGDEDMKRTIAKAWSDARSGKTADSVRGLP >ONIVA01G35870.1 pep chromosome:AWHD00000000:1:30984435:30990276:1 gene:ONIVA01G35870 transcript:ONIVA01G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQNSRGPHQLMPAPPTDLPLFSGETDRARSRPRSAALALALPRGRDFKGFWESRFGGKKEQEPEQNGHANGVANGSVRKRTSDLAVYEQFEQQARQTEVRAAAIRDGNADAIQKPLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARHAMFEELLPSTTSKLEVPYDTLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEARDELVPEEELPEVGPLKPEDIEVALRNTRPSAHLHAHRYEKFNQDYGSQILGQEQA >ONIVA01G35860.1 pep chromosome:AWHD00000000:1:30977201:30978014:1 gene:ONIVA01G35860 transcript:ONIVA01G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAAVLPTLAQQQKRCMAEEERPLKTTVPPARAAAIQLGYHLRSKGGANGLYDPQSSLRGPRGIKEERAKAVSNRVDCVPAVRWAATLELSRSLAWLTA >ONIVA01G35850.1 pep chromosome:AWHD00000000:1:30972326:30974911:1 gene:ONIVA01G35850 transcript:ONIVA01G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAGPPAVDHIPLLRSPDPGDVFSGVPVVDLGSPGAARAVVDACERYGFFKVVNHGVATDTMDKAESEAVRFFSQTQPDKDRSGPAYPFGYGSKRIGFNGDMGWLEYLLLALDDASLADACTVPSCAVFRAALNEYISGVRKLAVRVMEAMSEGLGIAQADALSALVTAEGSDQVFRVNHYPPCRALQGLGCSVTGFGEHTDPQLVSVLRSNGTSGLQIALRDGQWVSVPSDRDSFFVNVGDSLQVLTNGRFKSVKHRVVANSLKSRVSFIYFGGPPLAQRIAPLPQLLGEGEQSLYKEFTWDEYKKAAYKSRLGDNRLAQFEKK >ONIVA01G35840.1 pep chromosome:AWHD00000000:1:30947868:30948080:1 gene:ONIVA01G35840 transcript:ONIVA01G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTKALALLFAVLVALVAATAAVRVLEEEAVELGGLAPAPAPANAAGAVAPGAWAVAAVVSLLAFLAH >ONIVA01G35830.1 pep chromosome:AWHD00000000:1:30945718:30946260:-1 gene:ONIVA01G35830 transcript:ONIVA01G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEFRRRGDDPDDVYGTVARVLSYIHYTLPSPPVSATTRLCALTPHDVVDRISTLPDELLSKVVSHLPVKDVARTTAGARYGALCRSRRMSSPPRRTAAGSPPIHRPLRRRPAPWPAWPVPPSSLTSGPVDGCPIAWCYLPEPPHHLLGPSSSTSYALSRCKQKRRHRGCGIGRLRHQP >ONIVA01G35820.1 pep chromosome:AWHD00000000:1:30942411:30945077:1 gene:ONIVA01G35820 transcript:ONIVA01G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRMNLWPHCFPCFDDGDRSGNRFSTVCNFPDDLLPSLGATAHQPPKLRKYLVSPYDPRYKVWETFLIILVVYSAWICPLEFAFLRYLPSAPFVVDDVVNGFFAVDIMLTFFVPFVDKKSYLLVNDPKKIAVRYLSSWFVFDVCSTVPFHSISLLFNEHGHDLGFKFLNVLRLWRLRRVSSMFARLEKDIRFNYAVIRCTKLISVTLFAIHCAGCINYLIADRYPDPRRTWIGAVMPNFREDGLWIRYVTAMYWSITTLTTTGYGDLHAENAREMLFGICYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDVVQAASEFAARNQLPQQIEEQMLNHICLRYKTDGLKQQETLDVLPKAMRSSISHYLFFRVVQGAYLFKGVSSRFIQQLVTEMQAEYFAPKEDIILQNDSPSDLYLLVSGAVVYGRAAEGELLGEIGVLCNKPQSFTFRTTKLSQILRISRTKLLGIIQENREDGDIIRSNLQQVNV >ONIVA01G35810.1 pep chromosome:AWHD00000000:1:30928966:30934792:1 gene:ONIVA01G35810 transcript:ONIVA01G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSARRLALLGLCLGLLLLAPSHLASAAAVEDGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDASIGQVVQVEKGSEYAITFSAARTCAQLESLNVSVLGGASQTVDLQTLYNIEGWDAYALAFQATDEQASLEFRNPGMEDDPTCGPILDNVAIKKLFTPDKPKDNVVSNGDFEEGPWMFPNTSFGVLLPTNLDEQTSALPGWMIESNRAVRFVDSDQYTVPQGKRAIELLSGKEGIISQMVETTPQKEYSLTFTLGSAGDSCQPPMAVMAFAGDQAQNFHYSPMGNATSQAANVTFTARAERTWVVFYSVYYNTRSDDHSSLCGPVIDDVRVWGLNGAAGLKASIGLLLGIVSIVSLMLF >ONIVA01G35800.1 pep chromosome:AWHD00000000:1:30925976:30927631:1 gene:ONIVA01G35800 transcript:ONIVA01G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVDLHRARSLGSQITSGPACLPACDGKYHGVVRVWPVWVQGYGVHANSRRMASFVHALHIGSGYQEFLPASFVLSIALSRITPGFYRNSLLRRSVCNHLPKVRAYAGTISLEPSPNSLPFIVLHNHMYPETVRI >ONIVA01G35790.1 pep chromosome:AWHD00000000:1:30918923:30923247:-1 gene:ONIVA01G35790 transcript:ONIVA01G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein [Source:Projected from Arabidopsis thaliana (AT5G46250) TAIR;Acc:AT5G46250] MDLQDPAADAVAAEPLTVAETDDQPPPPAPPLEAEGVVVAEEDPLPHPPLEVVEEDVVPVVAEAGAAAVPMEPSEAGAGGVVLTDELCDRIVKQVEYYFSDENLPTDEFLIKFVKKNKQGFVPIGVIASFRRMKKLSQDLSIIEAALRTSSKLVVSPDGKRVRRLHPLPNNELNDVKKRIVVVENLPSDFSMESIHAKFETVGKIAKITIHDQHSVGESATIKNHDIMLSNKVHALVEYETAEAAEKAAITLNDGSNWRTGMKVRLAKRTVGSGKHNQSSKENQAIQGQPSKVEQQMVSEKNGGTDSVESAFDNENVNSNISHEDMHQHQKVNAKGGRKGRYKSQGRGQIQQNTSGQGHVSSPATSGSDHVNKPIPGPRMPDGTRGFTMGRGKSPTFQKGDNAEE >ONIVA01G35780.1 pep chromosome:AWHD00000000:1:30912817:30913368:-1 gene:ONIVA01G35780 transcript:ONIVA01G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIHEEQRPRRRQQQQQEGGGEETVVEVPEMDGELLVELLEASLAAEEDEEAVAQRKQQLGFFTADVGDGWDGQELMNSIHPHQEEEGCEDCGLDDILSDFDGGGYPPASSPPYLSEFWMEEMDHATAGPFAVAGECPGEEWYMDGMAMEWEDGRSYYSFHYPSYGADASCTDQLYSSPLWE >ONIVA01G35770.1 pep chromosome:AWHD00000000:1:30908322:30910981:1 gene:ONIVA01G35770 transcript:ONIVA01G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPVAAPGHHQHWASAGGAGDAAWADEFAEFAASRRGAHRRSLSDSVAFVEVAPAGCGAGGEFDRLDDDQLMSMFPDEGGSSAPGSDNGGSDSDGGGDKHAAAQSDDGQHAAGEPTQEQAAATSPTELIRDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALRKEIERLRQVYQQQNTKLSGGLAADHAHVHGGPPPVRAEKELTS >ONIVA01G35760.1 pep chromosome:AWHD00000000:1:30904805:30905092:-1 gene:ONIVA01G35760 transcript:ONIVA01G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIMKSVYLDGSSAVEQRPVIHADGEVLLVPRTARARVVHVGVVVADVADSTRQRDGSLYTRHSYRAGPAPLTMPHYTSAMTPSTPASRRSRVL >ONIVA01G35750.1 pep chromosome:AWHD00000000:1:30898565:30900331:-1 gene:ONIVA01G35750 transcript:ONIVA01G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRARKRGRGDAGAGAAAVLGALGLGGFAGLDLHRAAPAGEAELEQLAAAYDRASGRISVSIRGAGVSASPADLAVALGLPLGPAALGPEVDASVFLSEEAIAAVRGFLRDRVLLGGGGGGGRELPGEAAVALRLVEEGKAYAVDWCRLFWELLKMDLVSGKPRRYAPHLLRLIEYQRPELFAEVDGSSPLGKRRKSAAFSRQCQWEDEKETDLIDAECGDSRTQAAEAEVERSSQSIGDLEEMPVFGERKQFNAVDLVGYKSSIVGLGEWIHGVNEGNAELGSQKSLPSEIEVSGCEMGATKRDILEDLGARHVMISQFEHDIELMRLTIQQYRKLFENTSAAYLEYRNRMSREEGDGSSLEVIGIADETEQFVRMQQLDIYQRLNKFQKLWLTKYSDLVGHLTRVAECMTYLSNGLQRLKDSTTIPDLNNGKPQL >ONIVA01G35740.1 pep chromosome:AWHD00000000:1:30891476:30892192:1 gene:ONIVA01G35740 transcript:ONIVA01G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSAVPGRVSDPGSAWFGGGERSSSAGPGRNVRLIATAVAAFVSVLGLALFLHLYVCHVRRRNRRRAAEAAALATANAGAPPKQVGLDPSAIAALPTAAYGKVAGGDAAGGTTECAICLGAMQEADAVRVLPACRHVFHVACIDKWLASSSSCPVCRAGVEPPPPPPSTAAARCVHEKQDAEKEEAAAGSSAPVRVLGASLMKMLSRERPSPRRQPGVHAVEMEDLESQLPRPQQQ >ONIVA01G35730.1 pep chromosome:AWHD00000000:1:30877791:30881626:1 gene:ONIVA01G35730 transcript:ONIVA01G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFTNKDGRQNLAPCFNFRSSPFRLTVGERELKLEEDKNQLSKGLDPWTSNPTASASTLHYLLQEKERAQQAHEQLQIYQQQQGFGSFLQHRIRQPASRGPGGGGGGGDGGGSSGESTPVDALATAFGAGRIVRSAAGRKDRHSKVCTARGLRDRRVRLAAHTAIRFYDVQDRLGYDRPSKAVDWLMRNAKAAIDELPDRAEAPPPPAAASTEQPEATEQATSTSYGFGNTTGGTMTSAASAAAGSFLPHSLGADRVSDSVKSLFPSSSTASGAASAGHDEYRGSPPDLLSRTTSNQQPQELCLTLQSNQHQIFSHVSSNHHGMISSAGVPGWPDHSQRMQAWHAPENSTGDGRGGGNGDGYMFAMPSRQGLDQSQLFSHGEPLQSSGRGWASARAWLDPLPVAAIHHQPSTMAAGQVGFGHLVGGAGGGGGFMGFLAPAAQRLEGEEEHGSEVIR >ONIVA01G35720.1 pep chromosome:AWHD00000000:1:30856964:30869185:-1 gene:ONIVA01G35720 transcript:ONIVA01G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRKRAASTANAKSSSLGEDFGNDFLSSWKLPKSGNDTIDFDVESVPKNSKKFSFDNLDDFGLDGAFDKLSSFKMGMSDLDFSGPLKKKVKPNNSNGNDLSEGIKGTEKDNFSFSFDFNELGKFNLDANLGIEENGMSKFVEKVNPVSSEGDKDPRKGLSVKGSDVLGGNISKEQKQTHDACTLRPTHLRSFSPARMDQNKVDLLSTDTHDEKSNETHPSRAAVNKPSQNLPCSSTPGEDPTHVTTTAVAENCREAPLVELSKVHISRGNNDSGQSVSSQFMNTSTTCPSISRKLTAQSDSQNDQNEIVGESACLNAQSPDNQRFRGTSMKLLKKTSCETKKAEKGTSGPKSLSSSMQRDMRNVKPASLNEAGSLSIPPQSSIVKASRPPQLTSETTLNQLSSANNMVKKMNTHSTELKRESTQANARSERPKIASSKTFCKPALHELLTTSMNAKDHKNSKLRLESPSTGNVSTLNAPSSPAHSNGHKTVASRSLLGSTNVFDAGKGTPKADNRPLSQLKAAKITKAGTISSKSDLLLEKDMMETSGRKGSPVTTSNNPKSYGEGKYVLPSPSMMQKTPKESASDPKAPAMLKHIMKSPAVRTSPETVSELGNKTIPGGGTPKARMDNAIASAISCEMGEISELELPALLENDVNVQKAEACRKELEDLCISLKRKHEEAKELAVRAIVNNNTMLMLNHPIINVRLKWSDCASPRKNTGTWKILGRAEEADRMMDLAEKMKIVAANTGSNRLGIG >ONIVA01G35710.1 pep chromosome:AWHD00000000:1:30848967:30849254:-1 gene:ONIVA01G35710 transcript:ONIVA01G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTYLAVFAFCAILMLSAVARSASARALRERAPEEAAVVAGRAGVAGMMKVPAAAAGEAAGRRSGGGAVVVVGGAAAHESKRLSPGGPDPQHH >ONIVA01G35700.1 pep chromosome:AWHD00000000:1:30841598:30843589:-1 gene:ONIVA01G35700 transcript:ONIVA01G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58590) TAIR;Acc:AT3G58590] MPSPSPSAPPSASLFNSLIASRARAGRAAEGFALLARMLAAGVAPTAFTFAPILSSPSAGARCAAQLHPHILKSGLLHSDPYSGTSLVGFFGRNGRFDDALRLFGEMPVRSVVTWNCLISSFAQHGRACDAVIWFRALVRSGNSVSDGSLVAVLPAFGTPEQVHGLVKKIAIDSFSAVANSLLNSYCTHSSTSLAENLFSEFMFRDVVSWNTMIIAFARRSLPQRALEFFWMMEGWSVLPNEITFSSVIYACTSINGHEHGKSIHAKVIKHNLNTRPFVNTSLFDFYSKCGCTKDAHKVLEEAPEDSTTRWNGLISCHSDTDVPTSFFILREMLRSGVMPNEVSLSSLLKDPSVFDLLQIHSLVTRLGYDGYDYVSSAIISSYASHEMVSDALAYGGMLDPDSCAVSMNVLAGVYNRVRMYDEAKKLLLHQGCNDTVSWSILITACARNGDYAEALKFFELMRILGHHFDSYVSVSLLSICTKSNSLVLGRLLHGLIIKTNSGCLDTYVHNMLLDMYAKCGRIEECLKAFKEMEDRNIISWTAIISGLALNGFSRKALAWFKAMEEDGFKPDKVAITAVLSACRHGGLVHEGMNIFRHMKSEYSIEPEMEHYICVVDMLCKCGHLKEAEVVIRGMPFQPSAVVWRTFLQGCQTYGMIDTQVLS >ONIVA01G35690.1 pep chromosome:AWHD00000000:1:30839037:30839306:-1 gene:ONIVA01G35690 transcript:ONIVA01G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSRVCIVLCLVLVVVVGLAATQAEAARALAEYAAPPGGDYDDDVAGGGGGGGFGIRGRRRPGRWNVRSLQGGKREVPGGPDPQHHY >ONIVA01G35680.1 pep chromosome:AWHD00000000:1:30831383:30835852:-1 gene:ONIVA01G35680 transcript:ONIVA01G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGVPAGRGSRSFDFGADDVLCSYDDFAAPSEPKRPDPADKQDFHDSRLGRPFGKAYEQESYGKEDVLFAVEKCMKKYADNLLRSLEGITNRLSQLEIYCYKLERSMGELRSDVLRDETDQRLKSLEKHLHEVHRSIQILRDKQELAETQKELAKFQLTHDTSKKKEDMPTPSFPEQKTLEEKADAPGQQLAIVLPHQVNSSLAPRASQPVQQYKDQTVQQPPSSSVPQQDRYVLSQAIVYYPQRQAPGIQDTQGQQVQQEVQYLPVRPSATQDVPVHASSQQSQAANQTQPQSFPPYQQQWPQQSSQPAPAPVAQPQPTFSQPFPPPVQQPQLSNTQQFPPQPMQQPQLSNTQQFAPQPVQQPNAQQFPPPPVQPQQSNPQLPPQAMQPQQHPPVQNQMRPQTPPNYPHYQPHQSLNPPPETLPGSMAMQGPYNTVAPAAGSRSEVPYSYGGPGMPPPQHNMQRQQLPPPSQGSFGPPSKGGYAGPPQYAPQGSSHGYNTAYGYPPSGPSAAQAPQMPPAPGNVGMSHPGSHQMMRGHPYGEMIEKAITMGYPREQVMNVIQRMTESGQPMDFNTLLDRLNEAGSGAPPRAW >ONIVA01G35670.1 pep chromosome:AWHD00000000:1:30815622:30827862:-1 gene:ONIVA01G35670 transcript:ONIVA01G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRNRRAAAAAAAAGSGEQTVVQAAYNIIPIQDVVMHGDHPSLQVPEVRAAVEALSHASDFPAPPLARVWDPHRADIFDWLGATFGFQADNVRNQREHLVLLLANAQLRAAPRFPKDHPIDVLHLTVARGIRRKLLKNYTSWCAYLGQKRHFRVPSGGGGGRRTGAATGNDVRMDLLYTALYLLIWGEAANLRFMPECLCYIFHYMALDLHHVVEQSIDIETGRPAMPAVCGEDAFLIRVVTPIYNVLKNEVEASRNGTKPHSAWRNYDDVNEYFWSRRVFKRLRWPLDPSRSFFVEPGKTGRIGKTGFVEQRSFWNVYRSFDRVWVMHILFFQAAMIVAWDGKTPWVSLRFRDIQVRVLSVFITWGGLRFVQAMLDAGTQYSLVSRETKTVAVRMVLKVLVAAGWTITFSVLYKRMWDQRWRDRRWSFAANTRVLNYLEAAAVFVIPQVLAIVLFIIPWIRNFLEKTNWKILYVLTWWFQTRTFVGRGLREGLIDNIKYSIFWVCLLVSKFSFSYFLQIKPMVGPTKVIFKLHDIKRNWFEFMPHTERLAVIILWLPVIIIYLMDIQIWYAVFSSLTGALIGLFSHLGEIRSVEQLRLRFQFFASAMQFNLMPEEHLDTVHGGIRSKFYDAINRLKLRYGFGRPYRKIEANEVEAKRFALVWNEIIQTFREEDIISDKELGLLELPAVVWRIRVVRWPCLLLKNELLLALSQAAELVADDRTHWNKICNNEYRRCAVIEAYDSIRHLLLEIIKERTNEHIIVNQLFLAFDGAMEYGKFTEEYRLTLLPQIHKYVISLVEQLLLKDKDQIKIVRTLQDLYDLAVHDFPKIKKDFEQLRREGLALSRPTESQLLFQDAIKCPDDDDVSFYKQVRRLHTILTSRDSMDDVPKNPEARRRITFFSNSLFMNMPRAPTIQRMMAFSVLTPYYNEDVLYNKDQLRRENEDGISILFYLQKIYEDDWKNFLERMQREGMASDDGIWAGKFQDLRLWASYRGQTLARTVRGMMYYYRALKMLAFLDNASEVEITEGTKQLASFGSIQYENDVYPMNGGLSQRPRRRLERGTSTVSQLFKGQEDGAAIMKYTYVVACQIYGNQKKAKDQRAEDILTLMKKNDALRVAYVDEVHPEIGDTQYYSVLVKFDPVLQREVEIYRIRLPGQLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEQYDYYHGSQKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDIYRLGHRLDFFRSLSVFYTTVGFYFNTMMVVLTVYTFVWGRLYLALSGLEAGIQGSANATNNKALGAVLNQQFVIQLGLFTALPMIIENSLEQGFLPAVWDFFTMQMMFSSVFYTFSMGTKSHYYGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGIVLTVYAAHSVIARDTLVYIIMMISSWFLVVSWIMAPFAFNPSGFDWLKTVYDFDDFMNWIWYPGSIFSKAEHSWEVWWFEEQDHLRTTGLWGKILEILLDLRYFFFQYGVVYQLKIANESRSIAVYLLSWICVAVIFGIFVLMSYARDKYAAKQHLYYRVIQSGVIILAVLVLIIFLKFTKFQIIDIFTSLLAFIPTGWGLISIAQVIRPFIESTVVWASVVSVARLYEILLGVFVMAPVAFFSWLPGFQEMQTRVLFNEAFSRGLQISRILAGKKTIAV >ONIVA01G35660.1 pep chromosome:AWHD00000000:1:30815314:30820101:1 gene:ONIVA01G35660 transcript:ONIVA01G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHLSTASLIDPLNISIFALRIITVLSAFIRSTCSLRAVNRMQPVSSPMRHSAILVGRAAGPAPAPAAARPFWTTVLELSTLATSVWPPVPGLSWSTLTNTGFLFAAAAAMGTEKRTLESSNG >ONIVA01G35660.2 pep chromosome:AWHD00000000:1:30815314:30820268:1 gene:ONIVA01G35660 transcript:ONIVA01G35660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMGTQIVPCSLRAVNRMQPVSSPMRHSAILVGRAAGPAPAPAAARPFWTTVLELSTLATSVWPPVPGLSWSTLTNTGFLFAAAAAMGTEKRTLESSNG >ONIVA01G35660.3 pep chromosome:AWHD00000000:1:30818354:30820068:1 gene:ONIVA01G35660 transcript:ONIVA01G35660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRFKHKITTDAAGLVADEALGDPRGTRRRARARSRGGAALLDHGLGAEHLGDERVAARAGLELVHLDEHRLPLRRRRRHGYGKKNTGE >ONIVA01G35650.1 pep chromosome:AWHD00000000:1:30807318:30810476:1 gene:ONIVA01G35650 transcript:ONIVA01G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPRHLFFLFALAFLAAGAADAWPAWGNGRMFFSKATRPEAVELDKVAVATPAAAAAPDATNSNRASDEFSRPSSGGSHNRGYGLYGRPEESYPEAYFRRGVHHDADKLTTTSAAAATAEQEKEEEATPAGDDDAWLGYPADGSGRGRPRPYPRGQQQQTTTTNAAVATAEQEDAAPARDGTGLGYSEDSSGRGRPMSYGRMRGGQQQQQQPQQQYGMSDTRLYQNGRYGYDVNTGKYGYGRESNPVRTRPEEFNGGRKFGGNAAGGQEYANGNYQEEFGVGHRAGEQVRRYGNGNVAGLEYANGNDHEEFGIGQRAGVQTGRRYDNAAAGYYDANGQYIP >ONIVA01G35640.1 pep chromosome:AWHD00000000:1:30802466:30802651:1 gene:ONIVA01G35640 transcript:ONIVA01G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRASLSSHLLLSAQPAQPMPPLLRSCGDRAPSSFLSCRYRCRSLLPLLLLMLLLPRRCG >ONIVA01G35630.1 pep chromosome:AWHD00000000:1:30795780:30802412:-1 gene:ONIVA01G35630 transcript:ONIVA01G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FT97] MALPSFYRPPWPSERGGEQRATDCWAGSPAAGGGRARATAMGIDLNNTASGGEEDAPAPAPVCRDLWHACAGPVVSLPRRGSAVVYLPQGHLSAAGAGGRIRGEVAVALPPHVACRVVDVELCADAATDEVYARLALRAEGEVFERNLHGGGIEREDDMEDGDEERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDHKQLRPSQELVAKDLHGAKWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELRLGVRRATQLKNEAIFKAFSSESSKMRTLSAVADSLKHGSVFHICYNPRATASEYVVPYWKFVKSFNHPVCIGMRFKFHYESEDVNERRSGMIAGVSEVDPIRWPGSKWRSLLVRWEDATDCNSQNRVSPWEIEIVGGSISVAHSLSASNGNGRPDSVETEKFPRVLQGQELMGSRTHRVTCSPQSIDITKSKSFDAWRFLTDTRSCMLGSSTSRLPVQYSGYTHQSVSFGESIGFPEVLQGQEISQTVPPIQGMLPDACSAKSRYELKNYVCTPATMNGLSSANEGYCLSLSTVPPSPPSSLMLYQTGVPQLELASKNNDKSGNDSQPALRQHKLLSETSWDQFKIGKASTPGNATKPGNGGREVDRTSCRLFGFSLTEKIIPTDKDGEKEVSYETDCQNPRMLDLFGYNCSTPEVNARFARCTSGARRGKSLITSVGYGRYVNQIMHTAAYLFSKESWNGRYCRIVKVNLSS >ONIVA01G35630.2 pep chromosome:AWHD00000000:1:30796678:30802412:-1 gene:ONIVA01G35630 transcript:ONIVA01G35630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FT97] MALPSFYRPPWPSERGGEQRATDCWAGSPAAGGGRARATAMGIDLNNTASGGEEDAPAPAPVCRDLWHACAGPVVSLPRRGSAVVYLPQGHLSAAGAGGRIRGEVAVALPPHVACRVVDVELCADAATDEVYARLALRAEGEVFERNLHGGGIEREDDMEDGDEERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDHKQLRPSQELVAKDLHGAKWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELRLGVRRATQLKNEAIFKAFSSESSKMRTLSAVADSLKHGSVFHICYNPRATASEYVVPYWKFVKSFNHPVCIGMRFKFHYESEDVNERRSGMIAGVSEVDPIRWPGSKWRSLLVRWEDATDCNSQNRVSPWEIEIVGGSISVAHSLSASNGNGRPDSVETEKFPRVLQGQELMGSRTHRVTCSPQSIDITKSKSFDAWRFLTDTRSCMLGSSTSRLPVQYSGYTHQSVSFGESIGFPEVLQGQEISQTVPPIQGMLPDACSAKSRYELKNYVCTPATMNGLSSANEGYCLSLSTVPPSPPSSLMLYQTGVPQLELASKNNDKSGNDSQPALRQHKLLSETSWDQFKIGKASTPGNATKPGNGGREVDRTSCRLFGFSLTEKIIPTDKDGEKEVSYETDCQNPRMLDLFGYNCSTPEVNARFARCTSGARRGKSLITSVGYGR >ONIVA01G35630.3 pep chromosome:AWHD00000000:1:30797300:30802412:-1 gene:ONIVA01G35630 transcript:ONIVA01G35630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FT97] MALPSFYRPPWPSERGGEQRATDCWAGSPAAGGGRARATAMGIDLNNTASGGEEDAPAPAPVCRDLWHACAGPVVSLPRRGSAVVYLPQGHLSAAGAGGRIRGEVAVALPPHVACRVVDVELCADAATDEVYARLALRAEGEVFERNLHGGGIEREDDMEDGDEERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDHKQLRPSQELVAKDLHGAKWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELRLGVRRATQLKNEAIFKAFSSESSKMRTLSAVADSLKHGSVFHICYNPRATASEYVVPYWKFVKSFNHPVCIGMRFKFHYESEDVNERRSGMIAGVSEVDPIRWPGSKWRSLLVRWEDATDCNSQNRVSPWEIEIVGGSISVAHSLSASNGNGRPDSVETEKFPRVLQGQELMGSRTHRVTCSPQSIDITKSKSFDAWRFLTDTRSCMLGSSTSRLPVQYSGYTHQSVSFGESIGFPEVLQGQEISQTVPPIQGMLPDACSAKSRYELKNYVCTPATMNGLSSANEGYCLSLSTVPPSPPSSLMLYQTGVPQLELASKNNDKSGNDSQPALRQHKLLSETSWDQFKIGKASTPGNATKPGNGGREVDRTSCRLFGFSLTEKIIPTDKDGEKEVSYETDCQNPRMLDLFGYNCSTPGALHALCAAPLGI >ONIVA01G35620.1 pep chromosome:AWHD00000000:1:30790539:30792098:1 gene:ONIVA01G35620 transcript:ONIVA01G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSNSDLAADELLRAQAELWNHIFAYTKSMSLRCAVELGIPDAVHRRGGAVTVPELVAELALPRSREPFLRRLMRLLAHGGIFDAAAGAEDAYGLTAVSRLLVSAPGGAGQGLSPFARAMLHPIIVSPSISLASWFRAAAADDDDEGADAPRVPFAAVHGGRELWAVAKDDPGFGAAFNDAMACDGRFVMDVLLHGHHHGGAQLFRGITSLVDVGGGSGGAARAIAAAFPHVRCTVLELPQVVATVPPGDGGVEFVAGDMFDHVPKADAVLLKWILHGWGDEECVRILRRCREAVPAREDGGRVIVVDLVVRSSSSLGDGARDTEIQLL >ONIVA01G35610.1 pep chromosome:AWHD00000000:1:30784987:30789450:-1 gene:ONIVA01G35610 transcript:ONIVA01G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPAASGWLRPSATARLCEAGFFTDYANPATTRICIGRRRSGLQVASSNLGRCKIAHVKSGDADGYPTTEDLSIDEETLQRNLQTAIQEEDYSRAAKIRDDLRILHEDTKASLLAANTRFYNAFKNGDLAAMYSLWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCGSDYEFPLNIDLKNVEVHVHGDLGYVTCLEVVKTKGRTWGKQVATNVFEKVDGTWRMARLSQKGPDATTNLANKPETERPPLLLASLSPSSPRKSQLGERSATNSPTRAASPPRRRNPQRERLPPIIRTPPPRLFPRRSASAHGEEASREREGEGGVALRREVMVLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGLAQVNHRFTTNMQRRLYGRATDIHIRPLNEEKAIQAAADLLGELFVFSAKPENWFFQNSYFSLTSYAREVAGTAIIYEVQRSARAEARKEEIRKQEIEARKQRIEELASEVQMMKKRISEIERQQDERRALPNSRGSTTATPMHAASAAAAAAAKAKHQQPTAAAA >ONIVA01G35610.2 pep chromosome:AWHD00000000:1:30784987:30787180:-1 gene:ONIVA01G35610 transcript:ONIVA01G35610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGLAQVNHRFTTNMQRRLYGRATDIHIRPLNEEKAIQAAADLLGELFVFSAKPENWFFQNSYFSLTSYAREVAGTAIIYEVQRSARAEARKEEIRKQEIEARKQRIEELASEVQMMKKRISEIERQQDERRALPNSRGSTTATPMHAASAAAAAAAKAKHQQPTAAAA >ONIVA01G35610.3 pep chromosome:AWHD00000000:1:30784987:30787180:-1 gene:ONIVA01G35610 transcript:ONIVA01G35610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGLAQVAGTAIIYEVQRSARAEARKEEIRKQEIEARKQRIEELASEVQMMKKRISEIERQQDERRALPNSRGSTTATPMHAASAAAAAAAKAKHQQPTAAAA >ONIVA01G35610.4 pep chromosome:AWHD00000000:1:30788199:30789450:-1 gene:ONIVA01G35610 transcript:ONIVA01G35610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPAASGWLRPSATARLCEAGFFTDYANPATTRICIGRRRSGLQVASSNLGRCKIAHVKSGDADGYPTTEDLSIDEETLQRNLQTAIQEEDYSRAAKIRDDLRILHEDTKASLLAANTRFYNAFKNGDLAAMYSLWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCGSDYEFPLNIDLKNVEVHVHGDLGYVTCLEVVKTKGRTWGKQVATNVFEKVDGTWRMCVHHASHIEE >ONIVA01G35600.1 pep chromosome:AWHD00000000:1:30778880:30785200:1 gene:ONIVA01G35600 transcript:ONIVA01G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G15090) TAIR;Acc:AT3G15090] MWWRAARARRRIAGARAASTSAAAAGEKSCRAVVVPRFGGPEVLEVRQGVPVPDLKPGDVLVRARAVSINPLDLRMRSGYGRSIFEPVLPLIIGRDISGEVAATGTSVSSFTIGQEVFGALHPTAIRGTYTDYAILSQDELTSKPSTLSHVEASAIPFAALTAWRALHGTARISEGQRVLVIGGGGAVGLAAVQLAVAAGCSVSATCGTKSIEQVLAAGAEKAIDYTAEDTESAVKGKFDAVLDTIGVPETERIGINVLRRGGHYMTLQGEAAALADRYGLAVGLPAATAVLLKKQMQYRYSHGIDYWWTYMRADSEGLHEIQRLSGAGKLQIPVEKTFPISKVREAHEAKEKRLVPGKVVLEFD >ONIVA01G35590.1 pep chromosome:AWHD00000000:1:30771904:30776178:-1 gene:ONIVA01G35590 transcript:ONIVA01G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPAAGRSSAGAARHQQFRARAKTRVDDLQEMFSGLQSARKEARSTDAAVLEAQLHQMLREWRAELSQGNNRELSDPPSETLRLLQLAAAEEEEDDATSKLVEQQQQHPPSANQAHGHPQAQGGQDMKPEPPEEAVASPADLTVPQQPQSPGQGVLASGGGMLAPAAAAVFHDQMYYVNQELTVEDFLYDDNYKMYLPGYNSDVLNNLESTGQLEYPQFNLPQELPPNAYLDTSNCGQNAGDVFLHMSDLLNTMSPVPAAFLRPKCALWDCPRPAQGSERWQDYCSMYHADLAVKEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKHVGIPVCEGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSNSYEWHLYEYEINDCDAFALYRLEFKSSDAKKTAKSKLACNPLNEIQQQMVRLSADSPVENKRSARSRTKANPNDINSNIYLVQNTTVQGSIPNAYQAVSQPDQMTYLNGNVVYGPHLPYGYSTERSDFYWSSNDGA >ONIVA01G35580.1 pep chromosome:AWHD00000000:1:30765126:30768170:-1 gene:ONIVA01G35580 transcript:ONIVA01G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRNFSTPEEIAAGTSGRPRTFKKNLAEEEKEEEEDDIEESEEEESEDESEGKAKHKGTEGLIQIENPNLVKAKNIKAKEVDLGKTTELSRREREEIEKQKAHERYMKLQEQGKTEQARKDLERLALIRQQRADAAKKREEEKAAKEQRKAEARK >ONIVA01G35570.1 pep chromosome:AWHD00000000:1:30755228:30761793:1 gene:ONIVA01G35570 transcript:ONIVA01G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongation factor family protein [Source:Projected from Arabidopsis thaliana (AT2G31060) TAIR;Acc:AT2G31060] MATGMLLRGLRSAASRTSPVFPTSASSPAPYVSPLLRRLYSAAAASAAASPALGPGGALDPSRIRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVSWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLRPILLLNKVDRPSVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLEFTKSPPDNAKNMSALLDSILQHVPSPKADLEAPFQMLVSMMERDFYLGRILTGRVRSGVVRVGDKVHGLRSTDDGVQKIEDGKVVKLMKKKGTSMVIVEAAGAGDIISMAGLAAPAIGHTVSNSDVLTALPTIELDPPTISMTFGVNDSPLAGRDGTHLTGAKIGSRLMAEAETNLAINVLPGPLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTDRGERLEPIEEVTVEVDEEHVGFVMETLTHRKGEVMDMGPVPGTTGRTRIFLTCPSRGLVGFKGIFSSFTRGTGFMHRAFQAYAKYRGQLGNVRKGVLVSVGKGLITSHALMSLEARGILFVSPGMEAYEGMIVGEHSRDSDLDINPVRTKELTNIRAPGKDENVRLSPPRLMSLEEAIGYVAADELIEASFLYLLLMILLVYLCED >ONIVA01G35560.1 pep chromosome:AWHD00000000:1:30749583:30755018:-1 gene:ONIVA01G35560 transcript:ONIVA01G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT2G04560) TAIR;Acc:AT2G04560] MLSLRIAAASRLRGLLGGGAATGARTRAFSYGRVVDAAARDGELRVFVVAGEVSGDSLASRLMASLRALSPVPVRFAGVGGELMRNKGLQSLFPMEEISIMGLWELLPHIYNIKRKIEDTADAAVLFQPHAVVTVDSKGFSFRLLKQLKCRYNQVARPLHVHYVSPSFWAWKDGERRLAKLHNFVDHLLCILPFEEEICRLNGLPATYVGHPLLDDAIGLNMEKELSSVNSMHQRSGEDFRQEHEISPDSTIITILPGSRMQEVARMLPIFLQTVQHLSHTFNELSLVIPVAPHRDVRVYVDNVVRSGPFPVVLIPGETLKERYDAFNASRAALCTSGTAVMELMLAKLPCVVAYRAHFITECLIHLRKKIDFISLPNILLNSPIVPEILFGACTAENLAAKLSEVICNDEARQLQVESAEQLLEMLYEPIKQRGGLFQEELHNSSLPSNIYSPSTIAALTVLYTDNHQQAVHQN >ONIVA01G35550.1 pep chromosome:AWHD00000000:1:30747486:30748124:1 gene:ONIVA01G35550 transcript:ONIVA01G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLGFSAGAGAGADRLAAAPALQAAGALPPRVDVSLSLARAANGQPSSYLPLNENDSLDMVLFDVLREASAVAALSSSSSSSPELGARTTAPVVAGHPAGRKGGGGGGGGRGAAARGGAAGGRHYRGVRRRPWGKYAAEIRDPTRHGARLWLGTFGTAEEAAAAYDRAAFRMRGAKALLNFPPAVPGDGARRGAAAAAKQVGMSDGECVI >ONIVA01G35540.1 pep chromosome:AWHD00000000:1:30741160:30743751:-1 gene:ONIVA01G35540 transcript:ONIVA01G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase C substrate, heavy chain-related [Source:Projected from Arabidopsis thaliana (AT2G42390) TAIR;Acc:AT2G42390] MTTQPPSRRQVSSAPATLILLHLLAGAAGAAPPLVGVSPQDEAYFAPAVIACRDGSGSFPKRRLNDGYCDCSDGTDEPGTSACPDGRFYCRNAGDTPRLLFSSVVNDKICDCCDGSDEYESGIRCPNTCRNINDVRKDDDVGINRKGVMKDDGVGMNIKDVAEDDHHDRKRTLDIEDLIQKLKGLKMAVVIEIGLVICTFAVCFARRRTRTRRRHYILKRLLSRVAGRPRPGDDEIHVEM >ONIVA01G35540.2 pep chromosome:AWHD00000000:1:30741160:30743751:-1 gene:ONIVA01G35540 transcript:ONIVA01G35540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase C substrate, heavy chain-related [Source:Projected from Arabidopsis thaliana (AT2G42390) TAIR;Acc:AT2G42390] MTTQPPSRRQVSSAPATLILLHLLAGAAGAAPPLVGVSPQDEAYFAPAVIACRDGSGSFPKRRLNDGYCDCSDGTDEPDCCDGSDEYESGIRCPNTCRNINDVRKDDDVGINRKGVMKDDGVGMNIKDVAEDDHHDRKRTLDIEDLIQKLKGLKMAVVIEIGLVICTFAVCFARRRTRTRRRHYILKRLLSRVAGRPRPGDDEIHVEM >ONIVA01G35530.1 pep chromosome:AWHD00000000:1:30737599:30740342:-1 gene:ONIVA01G35530 transcript:ONIVA01G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a [Source:UniProtKB/TrEMBL;Acc:A0A0E0FT82] MGAFRFHQYQVVGRGLPTPTDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHKSDIKFPLVYRKVRPPTRKLKTTFKASRPNFRKALKRTLPTALIPKK >ONIVA01G35530.2 pep chromosome:AWHD00000000:1:30739109:30740342:-1 gene:ONIVA01G35530 transcript:ONIVA01G35530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a [Source:UniProtKB/TrEMBL;Acc:A0A0E0FT82] MGAFRFHQYQVVGRGLPTPTDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHKSDIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >ONIVA01G35520.1 pep chromosome:AWHD00000000:1:30730040:30736287:-1 gene:ONIVA01G35520 transcript:ONIVA01G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEQQQQQANPDEVVLGQETGGARVAILNRPRQLNVISDRVVYLLAQFLESWEKDEDAKLVIFKGAGRAFSAGGDLKMFYEGKSDDSCLEVVYRMYWLCYHIHTYKKTAVALVNGLVMGGGAAMVAPLKFAVVTEKTVFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMIAAGLATHFVPSEKLEELEKCLLNLNTGDESAVRAAIEEFSTDVQPDEDSILNKLPTINKCFSAETIEDIIKAFESEGSIDGNQWIATVLKGMRRSSPTSLKMTLRSIREGRKQSLPECLKKEFRLTMNTLRSVVTGDVYEGIRALSIDKDNAPKWSPATLEEVKNEDIDRLFEPFSSEKELQVPSDDSNSVRQVNPVPASKNGRILNCSLTRGLAMCRYAFLISGAGSSRTDCTLGRVYSVIVLRPAIFTVTSRCSRADLNRRFLTVEDSILQLPANPMQYTISSVSSTGAALRMTLIIRCGMATWWSLSVRRPGHAAGHHRGSAQESRETTVRERMERRFWGRNELRGRRTASSERPRSAAASRRRPNAEEENRRVWGAKERRRMKDGRAGSDGSGRAGSATSAESTRPNWDGRTRKRRERAESVTAWRVVRRERMARMTSSGRPER >ONIVA01G35520.2 pep chromosome:AWHD00000000:1:30732297:30736287:-1 gene:ONIVA01G35520 transcript:ONIVA01G35520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEQQQQQANPDEVVLGQETGGARVAILNRPRQLNVISDRVVYLLAQFLESWEKDEDAKLVIFKGAGRAFSAGGDLKMFYEGKSDDSCLEVVYRMYWLCYHIHTYKKTAVALVNGLVMGGGAAMVAPLKFAVVTEKTVFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMIAAGLATHFVPSEKLEELEKCLLNLNTGDESAVRAAIEEFSTDVQPDEDSILNKLPTINKCFSAETIEDIIKAFESEGSIDGNQWIATVLKGMRRSSPTSLKMTLRSIREGRKQSLPECLKKEFRLTMNTLRSVVTGDVYEGIRALSIDKDNAPKWSPATLEEVKNEDIDRLFEPFSSEKELQVPSDDSNRWSGKFEHTVYGRTSE >ONIVA01G35510.1 pep chromosome:AWHD00000000:1:30729854:30734597:1 gene:ONIVA01G35510 transcript:ONIVA01G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPRKRRRRRRPGGGGGGGHDYLSGLPDDVILAILSRLTTRQAVTLSALSRRFRVLPSQFGRVDSALVADPALPLPSLPARPSFIRRLSFAPQTRRFSSSAFGRLLDAAADRGLSELAVRLPRSSFLPQNLLSIRSLTVVSLDSCALPRWCPAACPGLRTLKLHHVAIPQRMISVILKAAPVLETLEMVYCMGFAGSCSMESSTVRNLLFKSALEQREVTVKMAGLRTITLYTRPKVQSVRLDPAPEIRKAYLHIARPRVKLQFRIRPFLDAGTGLTCLTLRGMAIKLLSSEYKDTPNLAVQFEDLRILSVSLDFSNERELIFLLKLLESCPNLQQLTLSAVDAKDDTALPNFADHEERLSKLSCLTKSLEQLKFLGFRPQRYQKELFIFLLTQATNLKKMAVEFPKDQEAAVRRILSVRKAPTQKKTTKYKQYYLELEYPVEPNCS >ONIVA01G35500.1 pep chromosome:AWHD00000000:1:30685764:30696054:-1 gene:ONIVA01G35500 transcript:ONIVA01G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyl transferase component family protein / Gpi1 family protein [Source:Projected from Arabidopsis thaliana (AT3G57170) TAIR;Acc:AT3G57170] MAPEWCRIWWPQRRLQPEPLPAPQRFVLFGWLFARTDSVDVVVAAALPQEEILRSFPTPEALQTVILSSNTRMPARLQECAAFTILGDCMHLPREFEVCCSKQHQQPLGTQSVQKGHFYMTQNSPVVSSGSLESGAQDQSGYSSKWEYDCSILDGLLDACKKSVVKEHNRVYLCFKSSKSLKCNLNQVPVLHYLCLDDQKFETSHCHVVLYDVPTACGNHFSLGEDAPCRSKSSFRKPNWINNLECKRLEFDLDPIILGLNCSNAARLSVAQEAATIQVTWHSVGILLASISTIVYIFIQVFQKYLSNIYQYFMLQKVFGHSWKNMHLRCCHILYWPIILQDRSLSSTVNVEYAHKAAIQKHTLWSNIVMDLLTGLFLGVVLLLKTEIICSWTFALVHYMTDSVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWSIVGGFLRHIIWGLAFSGILLGLTVPVSFFIDVIQLATLHVTLLQWLISLIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLVLLPTTSIFYIFFSMLSTAVICLCILLEITVSIIHSTPYAELIMWVARRQRFPTGLFFHPVMWSSVSAVDGDGLLSTKGYRKTEHLVLGKSEPLVSELCCNYATFGHIIRPHYRKIFNGVALSFCKQLAYGILSGERVPTTLHLQFSLFPWMHLGIRHYWLLCRDSVLSCAPKR >ONIVA01G35500.2 pep chromosome:AWHD00000000:1:30685764:30696054:-1 gene:ONIVA01G35500 transcript:ONIVA01G35500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyl transferase component family protein / Gpi1 family protein [Source:Projected from Arabidopsis thaliana (AT3G57170) TAIR;Acc:AT3G57170] MAPEWCRIWWPQRRLQPEPLPAPQRFVLFGWLFARTDSVDVVVAAALPQEEILRSFPTPEALQTVILSSNTRMPARLQECAAFTILGDCMHLPREFEVCCSKQHQQPLGTQSVQKGHFYMTQNSPVVSSGSLESGAQDQSGYSSKWEYDCSILDGLLDACKKSVVKEHNRVYLCFKSSKSLKCNLNQVPVLHYLCLDDQKFETSHCHVVLYDVPTACGNHFSLGEDAPCRSKSSFRKPNWINNLECKRLEFDLDPIILGLNCSNAARLSVAQEAATIQVTWHSVGILLASISTIVYIFIQVFQKYLSNIYQYFMLQKVFGHSWKNMHLRCCHILYWPIILQDRSLSSTVNVEYAHKAAIQKHTLWSNIVMDLLTGLFLGVVLLLKTEIICSWTFALVHYMTDSVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWSIVGGFLRHIIWGLAFSGILLGLTVPVSFFIDVIQLATLHVTLLQWLISLIYSRQIQTVASLWRLFRPHYRKIFNGVALSFCKQLAYGILSGERVPTTLHLQFSLFPWMHLGIRHYWLLCRDSVLSCAPKR >ONIVA01G35500.3 pep chromosome:AWHD00000000:1:30685762:30696054:-1 gene:ONIVA01G35500 transcript:ONIVA01G35500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyl transferase component family protein / Gpi1 family protein [Source:Projected from Arabidopsis thaliana (AT3G57170) TAIR;Acc:AT3G57170] MAPEWCRIWWPQRRLQPEPLPAPQRFVLFGWLFARTDSVDVVVAAALPQEEILRSFPTPEALQTVILSSNTRMPARLQECAAFTILGDCMHLPREFEVCCSKQHQQPLGTQSVQKGHFYMTQNSPVVSSGSLESGAQDQSGYSSKWEYDCSILDGLLDACKKSVVKEHNRVYLCFKSSKSLKCNLNQVPVLHYLCLDDQKFETSHCHVVLYDVPTACGNHFSLGEDAPCRSKSSFRKPNWINNLECKRLEFDLDPIILGLNCSNAARLSVAQEAATIQVTWHSVGILLASISTIVYIFIQVFQKYLSNIYQYFMLQKVFGHSWKNMHLRCCHILYWPIILQDRSLSSTVNVEYAHKAAIQKHTLWSNIVMDLLTGLFLGVVLLLKTEIICSWTFALVHYMTDSVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWSIVGGFLRHIIWGLAFSGILLGLTVPVSFFIDVIQLATLHVTLLQWLISLIYSRQIQTVASLWRLFRVPTTLHLQFSLFPWMHLGIRHYWLLCRDSVLSCAPKR >ONIVA01G35490.1 pep chromosome:AWHD00000000:1:30611301:30613960:-1 gene:ONIVA01G35490 transcript:ONIVA01G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLAPPPPPPPPLAGELEVAGAAVGGKKEEGEGEEGGVCGGEAVVVAAADAEVEGHPYDFHVSGPRNLPPPNWREIIRSSWKDPNYKRMVMACFIQAVYLLELDRQDEKGEEDGLAPKWWKPFKYKVTQTLVDERDGSIYGAILEWDRSSALSDLILIRPSGAPRAVLALRGTLLQKPTIKRDLQDDLRFLVWESLKGSVRYIGALEALKTAVERFGSANVSVAGHSLGAGFALQVCKELAKQGVFVECHLFNPPSVSLAMGVRSMSEKASYLWKKVKASLPLTEEALPDSTKEEGSAKKKLRADKKWVPHLYVNSSDYICCHYNAPNCSTTTTTTTTDGASDEQRQQRKASEIAGDVVAKLFVTSKGPQKFLEAHGLEQWWSDGMELQLAVYDSKLIYRQLKSLYTATAPSPPAK >ONIVA01G35480.1 pep chromosome:AWHD00000000:1:30608150:30608602:1 gene:ONIVA01G35480 transcript:ONIVA01G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTTTTTTTELTAARHPAANVVSGGSSGCLPSSWPSLSGAGGTGRRRGSAVVRSLQLVVRDVSPAAGWCGHRAWRRLLRRLAQETRCICSSSSPSGAASSRPITFGYDAASYAKNFDDGRRPAAHYAALAPAPAAGAANAAAHEPAGR >ONIVA01G35470.1 pep chromosome:AWHD00000000:1:30601829:30606663:1 gene:ONIVA01G35470 transcript:ONIVA01G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYACNLSVIRECSFAQSGIMRKGFSMYGLIGDKPYPSMRVDLCHQTNDEDEEDTRSHHEALLDRTKNKPGICAVDVRRRCCPGVQLGSRQKFVILVLLLSFVVTIAFAILIWIGRGENPIDSSLLKRVYLDVFSVVVLVLGGALACYGAILFSKMSKVRSETGSSEKRKVASLATVSLICFSSSAILALVTNVPVLVYWYSADEYIINNAIILFMYYFIGSSIPSGFVLWVMKDIPHRQTVERPTQSRVVTLFRDRPSPTQDPQWRTAVTSSNKALKSSPI >ONIVA01G35460.1 pep chromosome:AWHD00000000:1:30595599:30596994:1 gene:ONIVA01G35460 transcript:ONIVA01G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRQGRARAAAAARTSPYRGGWLSLRCRSSGADTAEYDANGTSLTDDALAATFTRLPNAADVVRCAATCRRWASVVAKEANALSRALPLLPGRALGFFHKEQDAAAVATTRKRKRRAIIVDYSTPPCFVPTAFGSRLLGYNLPSASALPLGVQTDALGLLDLSLSRPVASRNGRLVLELQSEEHVNDGKLNLCVCNPMTGDVAACTLLTDADIDQPPPSANFFRVLIVYNRDRFTAFRSYSSDTGSWSMEAKKTPGPKLTNWDLGKLGHGIVLHAVAYWPLRRTTLAPTQVRMPLDGIISTIQQFRLLGVTPERKLCFIDAANSSGYVGLASMVFETTGDDMCGGAGEWVRKGGIGQLRRQFKIKSADASKLRWFCEKSCTLLFTLGKGSSPWTFALNLGTKKIEKLTTGVDCNWWRNFVGYEMDAAAYLMSIARR >ONIVA01G35450.1 pep chromosome:AWHD00000000:1:30582911:30588715:-1 gene:ONIVA01G35450 transcript:ONIVA01G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENIMTNSSFDFQKPPVKKKKAHANTESSFTRFSVKYFSEVVSSFYVPIKFATWIANKVDVKTSEIIVKEKIILVTVESVLYLDNVDFGSRNVDQSCSPISVWKDDMISTFSELDKIDENTFGLRPLKDFQDTCYFKRDSTQSRSVIIQDKLDSAIGSMLPDFLKHEISEMLSSHCFSHHLVDSESCEDLVVSILSLIAKASGSDAVQDQNESDILHSQVNTEAGPSNFQPASPKDIQFDVPPINCSSLRSAPNIRSLRNDEPFDKSALKSIEKDCPSLDRIAALYGSSVNCDDGNNNESIHINDITVVINGSGNGIAPSFAASQPVATPDVGYLRNINNDMNQSNAGSSVAAFSLVKNVANKFRSRLTQLNSRAAIFGEDRPSFRLLDSDDDGFISFHSVEDTPIEIIRGYENNSPDIQFLGESKFADRCKKLCSKSDEIYNSSNNLSTSTQDFNSTGGKIPIHGPRRVLILARHATYPFVTEIRRFPITDEEISYYIVFCRLADSSKWQSYDAVDIDGVKAKEKLPSDMSSTSVMEKLEKSFVGAGKARKLHLCDMLHFPVNFNHHWFLFVVDIKDRMLVILDSLHNEGDKYFRPILSQLIANLQIAWDKFVCVPMDFQRFQIVFPPVPRQDFSCDSGIYVIKFTELWSPRIILSTVLPNENIKNIRVQYANQIFFHPKNRMLQTEIEDVVLNWFDQEKFPRTRQPTFTSAAQKKFCQ >ONIVA01G35440.1 pep chromosome:AWHD00000000:1:30571344:30577579:1 gene:ONIVA01G35440 transcript:ONIVA01G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKKRENKETITKKMEIKRIKQTRENIPGLKNETQKDKDINKQGKIQAQIQVMKEIAGLKPDGECSQKKFFQKQVDTEITNFKQHTGTRKRERLKNETQKDKDINKQGKIQAQIQVLKEIAGTGKRESEEGRINAGETMRRKFQKTGLEEIKRDIQISGYIIEKENFNRKKYDLQSKLAKLTTVTIVVVYCNPYDAYLFIQLQDQINCRRLTKKNIQSGQNARGGTETPNATSYGAQILYNQYSLLNEDGRLMEAGKMSYTNLMQQIIQSPRVTMQTNEEGIEAYTNLL >ONIVA01G35430.1 pep chromosome:AWHD00000000:1:30569168:30570061:1 gene:ONIVA01G35430 transcript:ONIVA01G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRHAALLSLAVVLLFAGLAAASPTSQNTGDTVIFWGRNKDEGSLREACDTGLYTTVIISFLSAFGYKPGYYKVDISGHPVSAVGPDIKYCQSKGILILLAIGGQGGEYSLPTPQAAVELNDHLWYSYLGGHRNGVYRPFGDAIVNGIDFFIDQGGRENYNKLAKLLYAHNKDYRGTVGVMLTATTRCEYPDHRLDEALATGLFHRIHVKKFSDGRCPASSWIQSFQKWAKMYPQSRVLVGVVASREVDREADISPEDLKKLMQYVFSKLPNFGGVMVWDRFYDKKTGFTGRLRA >ONIVA01G35420.1 pep chromosome:AWHD00000000:1:30561086:30561720:-1 gene:ONIVA01G35420 transcript:ONIVA01G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTHNILLWLALFILLPAAHSSLAACELRAGPTRASPVEIERCDSGSKRQQTQHRVRVDGHELGADLATSAPPPRQRGKGAQCKAGGVDSDGAGDELRADLATQHRWCLLLAGGGDWRRVLHLPLPTSLPRQCWRCPVVLIDAGKPLPSPAVSSSLSPHSSSPTLCALFSDKTDETLCTGEDATM >ONIVA01G35410.1 pep chromosome:AWHD00000000:1:30554039:30560658:-1 gene:ONIVA01G35410 transcript:ONIVA01G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) TAIR;Acc:AT1G03750] MSLHSLKETLQSSSFSQPQSESQPRSPPPLLARRPPKTSLSQQLLRLDASSSSSSFSVSPPPPPRTSPTSDAAADDAPPLPDEEDEVPCIRPRASLPPAAALDSRGPYEPLVLSPPGERPVVQVPSSINCRLLVHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSAVIGKDNDHGDQLVEGRKIAPILILCPTSVIRNWEIEFAEWARCSVAVYHGPNRDLVLQKVETQRLEIVITSFDTFRIHGKILCDISWDLVVVDEAHRLKNEKSKLYTACLEITTRKRFGLTGTIMQNKIMELFNLFDWIVPGCLGDREHFRVYYDEPLKHGQRFSAPERFVQVADKRKKHLVSVLSKFLLRRTKEETIGHLMLGKEDNIVFCRMSDVQKRVYRRMLQQPDVQILINKDLPCSCGSPLTQVECCKRTEPHGIIWSYLHRDNPEGCSLCPFCLVLPCLGKLQQISNHLELIKPNLKDEIEKQKKDAELAAAVFDTDIELVGGGAKSENFMGLSDAEHCGKMRALERLLSLWTLQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQLLIDEFNRCPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRFGQRRHVTVFRLLGAGSLEELIYSRQIYKQQLSNIAVSGKIEKRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEHGKGNTAETIGIREIVDTNIFGTQDQMKSSMTAIHNENKNLYHCGIVYAHRNEDVVNTRTNEASNCAEDKTVPRHLEELQSKKNETMHTIKAKSYSLVQKKKEFSRIASFMGMNDLEFSKWLLSVSPLQRHEVLDRYRNAK >ONIVA01G35400.1 pep chromosome:AWHD00000000:1:30546878:30547759:1 gene:ONIVA01G35400 transcript:ONIVA01G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLAALLSLAVLLSAGLAAVSATSQNTGDTVIIWGRNKDEGSLREACDAGRYTTVIISFLSAFGYIPGTYKLDISGHQVSAVGPDIKYCQSKGKLILLAIGGQGGEYSLPSSQAAVDLHDHLWYSYLGGRRNGVYRPFGDANVNGIDFFIDQGAREHYNELAKMLYDHNKDYRATVGVMVTATTRCGYPDHRLDEALATGLFHRIHVKMFSDGRCPAWSRRQSFEKWAKTYPQSRVLIGVVASPDVDKDAYMPPEALNNLLQFINKQPNFGGVMVWDRFYDKKTGFTAHL >ONIVA01G35390.1 pep chromosome:AWHD00000000:1:30542714:30544084:-1 gene:ONIVA01G35390 transcript:ONIVA01G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVLSFSSARLVKTGTWRLLGYYMQAVEAIGKVTADGRSCPVVELRASGTKTKHGNELSRRLAKGFSRGPEVGGLSLVHIRVKDEAFLGLGSKKSWAAKDRSAAAACRACNAIGRRGGAL >ONIVA01G35380.1 pep chromosome:AWHD00000000:1:30535685:30536566:1 gene:ONIVA01G35380 transcript:ONIVA01G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRLAALAPLAVLLLLSSCLAAAPATAQQSSSIGDTVVFWGRNKAEGSLREACDTGLYNTVIISFLSAFGRGSYKLDLSGHPVVPVGGDIKYCQSKGKTVLLAIGGQGGEYYLPSSQAAADLDDYLWNAFLGGGRSGVARPFGDAVVNGIDFFIDQGATEHYDELARLLHGHSNGGVMLTATARCVFPDQRLQAALATGLFSRIHVKLFNDGRCTWGRRESLEKWAAAYPDSRIFVGIVASPDADRDAYMSHKDLYFDVLQFINKLPNYGGIMVWNRYWDKKTGYINGDVF >ONIVA01G35370.1 pep chromosome:AWHD00000000:1:30512408:30513845:-1 gene:ONIVA01G35370 transcript:ONIVA01G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWIEAKTVVTALLAFQTSGDRGGSGISADGALPVMGRAKGGRWQTAKAFIAAASRCRSCNGFGTKGGGMVAKGNRDSSSVPAGVGGNSETGGSRRSVVVRSSGGFKPAVVPVLLVAAPLPHREEDRGVWTGREIEGKELG >ONIVA01G35360.1 pep chromosome:AWHD00000000:1:30499778:30500483:-1 gene:ONIVA01G35360 transcript:ONIVA01G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNRAMSGYGSVTQSAPKALVQEHLGLAGRRGGPRLGARMVGGFDEEEGGGGQAGRHVHNLSQSDTAWHCVAWCNAGMGHNGSVLCDVVRWLAASHNDRDGSAVTRASERPF >ONIVA01G35350.1 pep chromosome:AWHD00000000:1:30496507:30497400:-1 gene:ONIVA01G35350 transcript:ONIVA01G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLAPLLVLLLSSSLAAGQSTGDTVVFWGRNTDQLEGSLREACDTGLYTTVIISFLSAFGYIPGTYKLDISGHTVSAVGPDIKHCQSRGILVLLAIGGQGGEYSLPTSQAAVDLEDYLWNAFLGGGRPGVARPFGDAVVDGIDFFIDQGATEHYDELARRLYAHNKDYKGRLGVMLTATARCVFPDQRLQAALSTGLFSRIHVKVFGDGRCASRREELEKWMAAYPQSRVLVGVVASPEADRDGYVSHKDLYYDVLQFINKLPNYGGIMVWNRYWDKKTGWTAGNEPGLLDQVL >ONIVA01G35340.1 pep chromosome:AWHD00000000:1:30487406:30488671:-1 gene:ONIVA01G35340 transcript:ONIVA01G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTTVLATHDLLLLLLLLVAISFAGAAPLDPEQLVALRALGLRPHRLDPCDDAAGAVGVVAASCDAGVPFRRVTSLVMANCSATTSVSAGALEALAPSLRALSFSDCPAAPPRLLPPEQLAAGLRAFSCVASLHRLSAVWLSHLENLTELTVADTPLATGSPSELAVVVSHMGHLTSLTLSNANLSGFLPHHLHCPNLTHLDLSGNRITGAIPDTLTLLSAITHLNLSSNDLNGNIPTSIGDLISLTTIDLSNNSISGRIPDTVSTLPELEVLNLGSNRLNGSIPQFLSEMRGLKELNLEGNDFDGMVPFTAKFVSRLRVFRAAGNPKLCYNRSVLSAEIAIGVAPCDKYGFPVMAPPATARSERSADYDDGAKDGDADEGADARGGPSAAVLGVAIGLSCLAFAVILLVCLCKVCR >ONIVA01G35330.1 pep chromosome:AWHD00000000:1:30475545:30481483:1 gene:ONIVA01G35330 transcript:ONIVA01G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G18780) TAIR;Acc:AT4G18780] MMESGVPPCAACGDDAHAACRACSYALCKACLDEDAAEGRTTCARCGGEYGAPDPAHGQGAVVEEEVEESHEPVASGVRERVTMASQLSDHQDEGVHARTMSTHARTISSVSGVGSELNDESGKPIWKNRVESWKEKKKEKKASAKKAAAKAQAPPVEEQIMDEKDLTDAYEPLSRIIPISKNKLTPYRAVIIMRLVVLGLFFHYRITNPVYSAFGLWMTSVICEIWFGFSWILDQFPKWCPINRETYVDRLIARYGDGEDSGLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKISCYVSDDGSAMLTFESLAETAEFARRWVPFCKKYSIEPRAPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPSVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGLQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSVCSWCCCCCPKKKAEKSEKEMHRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKSFGLSSVFIESTLMENGGVPESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPIRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLIAYCCLPAICLLTGKFIIPTLSNAATIWFLGLFISIIVTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMIAGLDTNFTVTAKATDDTEFGELYVFKWTTVLIPPTSILVLNLVGVVAGFSDALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFIGSSETTTTNSCANFDC >ONIVA01G35320.1 pep chromosome:AWHD00000000:1:30469379:30470260:1 gene:ONIVA01G35320 transcript:ONIVA01G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLAALLSLAVLLSAGLAAVSATSQNTGDTVIIWGRNKDEGSLREACDAGRYTTVIISFLSAFGYIPGTYKLDISGHQVSAVGPDIKYCQSKGKLILLAIGGQGGEYSLPSSQAAVDLHDHLWYSYLGGRRNGVYRPFGDANVNGIDFFIDQGAREHYNELAKMLYDHNKDYRATVGVMVTATTRCGYPDHRLDEALATGLFHRIHVKMFSDGRCPAWSRRQSFEKWAKTYPQSRVLIGVVASPDVDKDAYMPPEALNNLLQFINKQPNFGGVMVWDRFYDKKTGFTAHL >ONIVA01G35310.1 pep chromosome:AWHD00000000:1:30459974:30461168:-1 gene:ONIVA01G35310 transcript:ONIVA01G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEVMDRSTSAEDGYCSAGTDSPRAESVDEQGAAEESSPRGGQKRELPSPSASPSSPLPPAAKRSRRSVEKRVVSVPIAECGDRPKGAGEGPPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPTVLLVTYSFEHNHPWPQPKSSSCHASKSSPRSTAPKPEPAADGQQPEPAENESSASAELEVPEPEPEQESEPVVKQEEEQKEEQKAVVEPAAVTTTVAPAPAVEEEDENFDFGWIDQYHPTWHRSYAPLLPPEEWERELQGDDALFAGLGELPECAVVFGRRRELGLAATAPCS >ONIVA01G35300.1 pep chromosome:AWHD00000000:1:30454242:30458058:1 gene:ONIVA01G35300 transcript:ONIVA01G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGYRAEDDYDYLFKTVLIGDSGVGKSNLLSRFTKNEFCLESKSTIGVEFATRSIQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVARWLKELRDHTDPSIVVMLVGNKSDLRHLVAVSTEDGKEYAEAESLYFMETSALDATNVENAFAEVLTQIYQTVSKKTVEASDDGSNAPIKGEKINVKDDVSALKRIGCCSN >ONIVA01G35290.1 pep chromosome:AWHD00000000:1:30445308:30451118:1 gene:ONIVA01G35290 transcript:ONIVA01G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVVVENGHPVPKEEDAPVVAVERLPEGAGKPREEGSRGQRKQGGIRREPSFSRWCKDPSAMSNAPPGGASPASAASDDDSEEFELPLLPSDSGGGGGSHLPMDIEAGAAARSDDLPISPWLIAKVIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVIVWFQHRGLEGAASAMTWRDYFLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIILIVSFGVLLTGLRNPFTLMSYVTPVMAITTAILSIAMDPWHDVRASHFFDNSTHIIRSSLLMLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDTFTWLKGLGLGIIIFGVSLFNLYKYHRFKKGHRNKNCDKNPQTSSGASKYVILDDDDMENQGNSG >ONIVA01G35290.2 pep chromosome:AWHD00000000:1:30445308:30451118:1 gene:ONIVA01G35290 transcript:ONIVA01G35290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVVVENGHPVPKEEDAPVVAVERLPEGAGKPREEGSRGQRKQGGIRREPSFSRWCKDPSAMSNAPPGGASPASAASDDDSEEFELPLLPSDSGGGGGSHLPMDIEAGAAARSDDLPISPWLIAKVIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVIVWFQHRGLEGAASAMTWRDYFLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIILIVSFGVLLTGLRNPFTLMSYVTPVMAITTAILSIAMDPWHDVRASHFFDNSTHIIRSSLLMLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDTFTWLKGLGLGIIIFGVSLFNLYKYHRFKKGHRNKNCDKNPQTSSGASKYVILDDDDMENQGNSG >ONIVA01G35280.1 pep chromosome:AWHD00000000:1:30441931:30442905:1 gene:ONIVA01G35280 transcript:ONIVA01G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGLRSSRHNQREKQQRTQEEEHKHEQQEDKGNKSRAAFLSFSPLAWLSKLTAKNNAAAAKPKPAAPADKSAVAATGGFPSCFFKASSSSTSTSVSLSSSAASQSSLADSSPADHQAPRRLSVGNDGDTAEAAAARQLYHRRRHYSVGGDRDLQTLRNLIPFSRAASPIPVPAPFVPALKTTPPPLPSDTDEEKRPRSRRRRRRSGGGGRRSFSGRTPGARVAATVRVRSPNRVASAAAAAVSELERFAVVRRTSDPQREFRASMVEMIASKRIGRPEELETLLACYLSLNADEHHDCIVKVFRQVWFELNPARVAAVAPPRS >ONIVA01G35270.1 pep chromosome:AWHD00000000:1:30424842:30428238:-1 gene:ONIVA01G35270 transcript:ONIVA01G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYTNLLDLAEGNFAALGPGGGGGGRRRSGSFGLKRMSRVMTVPGTLSELDGEDDSEHAATNSVASDVPSSVAGDRVIVVSNQLPVVARRRPDGRGWSFSWDDDSLLLQLRDGIPDEMEVFFVGSLRAEIPVADQEEVSQALLDRFRCAPVFLPDPLNERFYHRFCKRHLWPLFHYMLPFSSSASPSPSSSSSSPSSSSGSGRFDRGAWEAYVLANKFFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGVHMGQLKTVLSLPDREWRVSELQQQFEGKTVLLGVDDMDIFKGINLKLLAFENMLRTHPKWQGRAVLVQIANPARGKGKDLEAIQAEIHESCKRINGEFGQSGYSPVVFIDRDVSSVEKIAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGSDSTSEVNGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEQEKHLRHEKHYRYVSTHDVAYWSKSFIQDLERACKDHFRRTCWGIGLGFGFRVVALDPHFTKLNMDSIVMAYERSESRAIFLDYDGTLVPQTSISRTPSAEVLRIINTLCSDRRNKVFLVSGRRRDKLGEWFSSCPDLGIAAEHGYFLRWTRDEEWQTCTQTSDFGWMEMAKPVMNLYTEATDGSYIDPKESALVWHHQDADPGFGSSQAKELLDHLESVLANEPVSVKSGQFIVEVKPQGVSKGVVAEKILVSMKERGKQADFVLCIGDDRSDEDMFENIADTIKKGMVATNTSLFACTVGQKPSKAKFYLDDTFEVVTMLSALADATEPEPETDLTDEFDELAVSVSSVDIDDEQTPSDKLIGG >ONIVA01G35260.1 pep chromosome:AWHD00000000:1:30421325:30423869:1 gene:ONIVA01G35260 transcript:ONIVA01G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGSLPPFLSKTYEMVDDPSTDAVVGWTPAGTSFVVANQPEFCRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPEQWEFANEDFIKGQRHRLKNIHRRKPIFSHSSHSQGAGPLTDNERKDYEEEIERLKSDNAALSSELQNNTLKKLNMEKRMQALEEKLFVVEDQQRSLISYVREIVKAPGFLSSFVQQQDHHRKKRRLPIPISFHEDANTQENQIMPCDLTNSPAQTFYRESFDKMESSLNSLENFLREASEEFGNDISYDDGVPGPSSTVVLTELHSPGESDPRVSSPPTRMRTSSAGAGDSHSSRDVAESTSCAESPPIPQMHSRVDTRAKVSEIDVNSEPAVTETGPSRDQPAEEPPAVTPGANDGFWQQFLTEQPGSSDAHQEAQSERRDGGNKVDEMKSGDRQHLWWGKRNVEQITEKLGLLTSTEKT >ONIVA01G35250.1 pep chromosome:AWHD00000000:1:30413718:30420408:1 gene:ONIVA01G35250 transcript:ONIVA01G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVSDDDAAAAGAPSAAPRRARAARRKAARGLGQRAVRLVARWWPVLLLLPAVALLLFEASRLRGASPDGPSPHVSSSSLGRLDPTTRLVHGVREPCLNFLSPRSIEDLVFPGGTKLDSVVKRIIYKSDDNDYDTYHSEANSTYLLQHAEATRFNLFTGFQTLPEREESFKVNETVNVHCGFYSDNGGFKISYIDMRYMRSCKVVVSTCAFGGGDDLYQPIGMVNSSIGKVCYVAFWDEVTLSTQESEGKVVDGNGMIGRWRIIVVRSLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRKDGMPDEKRLHGLKALSEASVIVRELAPVTNHFMCAWFNEVVRFTSRDQLSFPYVLWRLNMPGINMFTVCTRRDLVNSLGHTRKVKPLLTQTNSESSAM >ONIVA01G35240.1 pep chromosome:AWHD00000000:1:30409945:30413074:1 gene:ONIVA01G35240 transcript:ONIVA01G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNEKPLPTPISAAAGGSGGNAPPGRPTTVDSMLLDKGAAMLQALRPVKHIKQHVCTFALYAHDPRRQVETHHFVSRLNQDVLQCAVYDADDKHARLIGVEYIVSRKIFDSLPAEEQRLWHSHAHEIKAGLWVSPHVPGMLEKAELEKMAGTFGKFWCTWQVDRGDRLPLGAPALMVSPQDDPAADVRPDLVRNRDDKYRYSTTELRAARADVAVPAEPRPGQADYWLRHRKGFAVDVVPHEMKCHAPFP >ONIVA01G35230.1 pep chromosome:AWHD00000000:1:30406851:30409694:1 gene:ONIVA01G35230 transcript:ONIVA01G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMHIGLTDGSNLLTNYMGTSYMVAVLISVFADTFIGRYKTVIISSVIELVGLLILTLQAHSNKLKPPYCVFPFDPKCETVSGDGRTHLYVGLYLVAIGSAGIKAALPAHCADQFDEKHPTEKLQMSSFFNWLLLSLCTGGAISVTVFVWIQNYKGWDKGFGAATGVMGLALLVFIAGLPGYRISVVQGSTALLEILQVYVAAIRNRNMKLPENPDELYEISKSKAPPDTDFMAHRDKPFRFLDKAAIVQAPTDEAPSPWRQCRVTQVEHAKTVLAMVPIFCSAIIMSTCLAQLQTFSIQQGVTMDRTIGTFKMPPASLPIIPLIVLVFAVPIYERGFVPFARRITGHPNGIPHLKRVGVGLVLSIVSMAIAAVVEVRRKRVAARHGMLDANPMLGKQLPISCFWLAPQFTVFGVADMFTFIGLLEFFYSQAPPALKSMSSSFLWCPMSLGYFLSTIIVKAVNAATKGATASGGWLAGNNINRNHLDLFFWLLAVLSFLNFLNYLFWASWYKYKPQQSAHVPAEHKV >ONIVA01G35220.1 pep chromosome:AWHD00000000:1:30400276:30404001:1 gene:ONIVA01G35220 transcript:ONIVA01G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G29690) TAIR;Acc:AT1G29690] MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGTGRLLMLDESRARDLTINGGVLRGVPPDVVVEEGHGILERIRQVPGPPTDEPVVCSFPKMAECFNRKAGLLETTVPLGSFNSLFSFTGSWKNDEAATKSLAIDGYSVPLFKVKITSGELFLHESVKRAIPHSWDPSALASFIENYGTHIITSVTVGGKDEVYIKQHSSSQLSELEFRNYVKEIGNERFSDGDSKLNATPINYSEKDMTVIFRRRGGCDLVQNFNDWIKTVQSAPDVIGMTFLPIVSLVGDMPGKKHLARAIELYLKYKPQIEELQYFLDFQVQLVWAPVPPGIAGQHRKEPVCPSLQFSLMGPKLFVSTEQISVGRRPVTGLKLCLEGAKQNRLAIHLQHLGSLPKIFVPHWDSHITIGPPKWQGPEEQDSRWFEPIKWRNFAHVSTAPIEYTETSITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSLVHRTDEASSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGKIVVRAKYSLLNY >ONIVA01G35210.1 pep chromosome:AWHD00000000:1:30394158:30396314:1 gene:ONIVA01G35210 transcript:ONIVA01G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVPTVEPLVLAHVIHDVLDPFRPTMPLKITYNDRLLLAGVELKPSATVHKPRVDIGGTDLRVFYTLVLVDPDAPSPSNPSLGEYLHWMVIDIPGTTGVNFGQDLMLYERPELRYGIHRMVFVLFRQLGRGTLFAPEMRHNFHCRSFAQQYHLDIVAATYFNCQKEAGSGGRRFRPESS >ONIVA01G35200.1 pep chromosome:AWHD00000000:1:30384290:30390177:1 gene:ONIVA01G35200 transcript:ONIVA01G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLRKHLRGFTCSRQGHSQRLLELHTYLQHICHANYQCRKKSGEKGEGRSTSRQPREGRRNRVGKKTGGWVAELATPPPTDPTHQRIFNVAESAYPTGRADQLLVQFSCVTLAQPNYQGSKSQQ >ONIVA01G35190.1 pep chromosome:AWHD00000000:1:30384223:30387640:-1 gene:ONIVA01G35190 transcript:ONIVA01G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSTPTAAATPRFKLGKQSSMAPDRGGAGESSEEGSSEAVGVMGFQLMYMAHEGDVEGIQELLDAGADPNFRDSDGRTAMHIAACEGQAEVVELLLQRGADAVAEDQWGSTPLADALHYQNHDVIKILEKHGSKLKIAPMHVKNVREVPEYEISPNELDFTNGNGISKGTFRKATWRGILVAVKKLDDDLIMDENKVQAFRDELDVLQLIRHPNVVQFLGAVTQSSPMMIVMEFMPKGDLRKHLSRKGALEPSYAVKLALDIARGMNYLHEHKPQAIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRRKVREEKAVTSPGNACRYVAPEVLRNEEYDTKVDVFSFALILQEMIEGCLPFYDKKNNEIEKAHNSKERPPFRAPPKHYAYGLRELIEQCWSENPASRPDFRTIIEQLSYIQNEISQRNRWKVKPLKCFLSFEGMWKKDRNEGSTTRSSRSSRSYF >ONIVA01G35180.1 pep chromosome:AWHD00000000:1:30382079:30384041:1 gene:ONIVA01G35180 transcript:ONIVA01G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGVSCRPVGVSFRRRPRILHCPSQRLRAGRSPSKTGQRARLVQRLGMERVSCVPLLRRLLLLAAAGLAGAEPQVPCYFVFGDSLVDNGNNNNIASMARANYPPYGVDFPGGATGRFSNGLTTADAISRLLGFDDYIPPYAGATSEQLLTGVNFASAAAGIRDDTGQQLGERISFSAQLQNYQAAVRQLVSILGGEDAAANRLSQCIFTVGMGSNDYLNNYFMPAFYPTSRQYTPEQYADVLINQYAQQLRTLYNYGARKVAVFGVGQVGCSPNELAQNSRNGVTCIERINSAVRMFNRRVVVLVNQFNRLLPGALFTYINCYGIFESIMRTPVEHGLAVTNRGCCGVGRNNGQVTCLPYQAPCANRDEYLFWDAFHPTEAANIFVGRRAYSAAMRSDVYPVDLSTLAQL >ONIVA01G35170.1 pep chromosome:AWHD00000000:1:30378521:30378949:-1 gene:ONIVA01G35170 transcript:ONIVA01G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADILWPDTAAVSSQEGAPSSGMRYELQAASCCSASSSGASLFGPCSRSEEFLSGASSTAGASNDDEEEELMEADVLWPDTAPQPDEQPRWGASGYHGWSRGDLGLAGRLAAAKREGWRPAASSPIDIPAKVAARCR >ONIVA01G35160.1 pep chromosome:AWHD00000000:1:30375247:30375675:-1 gene:ONIVA01G35160 transcript:ONIVA01G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQEADVLWPAEHHRDYERCRHHHHAAQPAVCPVAPLRPSSSSAPVRIPAPTTTTFAGARRGYYEDDGTRTDYSAGAGASSRIVPPHVYVAAARRGSEGRTVASSVCVGHGRTLKGRDLRAVRNAVLHMTGFLGGGPDEY >ONIVA01G35150.1 pep chromosome:AWHD00000000:1:30371342:30372481:-1 gene:ONIVA01G35150 transcript:ONIVA01G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLLRDASPSRLQRTRFSWKQMSGAVHPPSRTSSSPPRRSALITSVPAVLALAFLLILAAGVFLYSGTTTTPTRAVTSAGRVNEARGGGHALLSPTVGSIGGARAIWELPAAPARAVLFVAHGCHCRPENFWPPSPRCPGCVGLPEDVAITERALRRRFAVLALASARECWSMGKEVSAAKRGIRSWATENGLADLPVAALGASSGGYFVSRLAAEMKLAAVVLMIAEGSFGPGGAPAGYPPAMFLHMPKDQRRAALVERNSKMLRSNGVEVNELRSLELPLTPTMLSDRIPGLNRGLSERIWRVFTEDGFVDERGFMRKDGRATPWKDAVVKRGFWEEVAGWADHIQEELNLAYGYHEMTSLHTDEMFDWIEEHLS >ONIVA01G35140.1 pep chromosome:AWHD00000000:1:30364184:30369740:-1 gene:ONIVA01G35140 transcript:ONIVA01G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRRWPYAAWFMAVLGLVAVFSSSEAYVFYAGGRDGWVVDPAESFNHWAERNRFQVNDTIVFLHDDEVGGSVLQVMEGDFDTCSTGNPVQRLEDVAAGRSVFRFDRSGPFFFISGDEDRCQKGQKLYIIVMAVRPTKPSEAPEPAGAAGPVSSKSWSWQAFPPAGATTPPPLPPSWASAPEHAQAPGKSSLGGSGGGEMSRSSSLGAPPPTSGAAGLAGVVASVVVGKFWAGLLGVASRPGSRDGSASGIRGIAGRARGAAAAEAAVSVPCSVPPSPSSPHGSGSGRAVAPDEASTVAAVRHAAPDRGGGNRRLMEKHHLIQVMMKSGSTHQKGNLEDSVLNHLSMENDSLEEHQASNAGTPEETRASNAGTPEVESTERMIPRLEHADEATRKAVQWELRKMKTTEWMRCTRLGMGERRHVRWRPVWKDEGGPISCVEAKIFFLSLVTVAVMFVPFGALAVHPEFLIG >ONIVA01G35140.2 pep chromosome:AWHD00000000:1:30364184:30369740:-1 gene:ONIVA01G35140 transcript:ONIVA01G35140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRRWPYAAWFMAVLGLVAVFSSSEAYVFYAGGRDGWVVDPAESFNHWAERNRFQVNDTIVFLHDDEVGGSVLQVMEGDFDTCSTGNPVQRLEDVAAGRSVFRFDRSGPFFFISGDEDRCQKGQKLYIIVMAVRPTKPSEAPEPAGAAGPVSSKSWSWQAFPPAGATTPPPLPPSWASAPEHAQAPGKSSLGGSGGGEMSRSSSLGAPPPTSGAAGLAGVVASVVVGKFWAGLLGVASRPGSRDGSASGIRGIAGRARGAAAAEAAVSVPCSVPPSPSSPHGSGSGRAVAPDEASTVAAVRHAAPDRGGGNRRLMEKHHLIQVMMKSGSTHQKGNLEDSVLNHLSMENDSLEEHQTEEWMRCTRLGMGERRHVRWRPVWKDEGGPISCVEAKIFFLSLVTVAVMFVPFGALAVHPEFLIG >ONIVA01G35140.3 pep chromosome:AWHD00000000:1:30364184:30369740:-1 gene:ONIVA01G35140 transcript:ONIVA01G35140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRRWPYAAWFMAVLGLVAVFSSSEAYVFYAGGRDGWVVDPAESFNHWAERNRFQVNDTIVFLHDDEVGGSVLQVMEGDFDTCSTGNPVQRLEDVAAGRSVFRFDRSGPFFFISGDEDRCQKGQKLYIIVMAVRPTKPSEAPEPAGAAGPVSSKSWSWQAFPPAGATTPPPLPPSWASAPEHAQAPGKSSLGGSGGGEMSRSSSLGAPPPTSGAAGLAGVVASVVVGKFWAGLLGVASRPGSRDGSASGIRGIAGRARGAAAAEAAVRRLMEKHHLIQVMMKSGSTHQKGNLEDSVLNHLSMENDSLEEHQASNAGTPEETRASNAGTPEVESTERMIPRLEHADEATRKAVQWELRKMKTTEWMRCTRLGMGERRHVRWRPVWKDEGGPISCVEAKIFFLSLVTVAVMFVPFGALAVHPEFLIG >ONIVA01G35130.1 pep chromosome:AWHD00000000:1:30359146:30363505:-1 gene:ONIVA01G35130 transcript:ONIVA01G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin related protein 5A [Source:Projected from Arabidopsis thaliana (AT1G53140) TAIR;Acc:AT1G53140] MAANAFSSPATGRTPNPKVAPSPSTRRAADSAAAAAAAAAAASDSKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPTALDPRCRFQEEDSEEYGSPMVLATAIADLIKQRTEAHLRKIQAAVSPKPIVMRAEYAYCPNLTIIDTPGFVLKAKKGEPESTPEEILSMVKSLASPPHRLLLFLQQSSVEWCSSLWLDAIRDIDPTFRRTMIVISKFDNRLKEFTESWEVDSYLSASGYLGDNIHPFFVALPKDRGTISNEEFRRQICQVDIDVLRHLRDNVKGGFNEEKYGPYIGFSCLKKYLESELQKRYKEAAPATLALLEQRCSEVSMDLSRLDSKLQATSDVSQLRRSAMLHAANICTHLRSLLDGAADPAPELWGKTTEEEQMHSGIGSWPGINVPVKPPNSSLKLYGGAAFERVMHEFRCATYSMECPQVSREKVANILLAHAGRGGSSGLTEAAAEIARAAARSWLAPLIDTACDRLAFVLQSLFDLAMERCRYQDSKYHQNVEDMDGYVGFLAALRCSYYKFVKELSKQCKQIVRHHLDSVTSPYSHICYENDFLSGVGSVANSMHRFNHFPGVTSFDLSDSGSALEEAQENVPPKDRQHMTPPAKGNESKEVLRESQLTVPETPSPDLPVDMNGGKKKDNGNLNDGGARKRHARMAAYANRNHHNNVIGGDDLGSKSGSSYSSICSISAQYFAKMREVLIERNVPSALNSGFLTPCTREFCPSLICVLQLMQCSRERLFLALGFELFAVNDDRFMDMFVAPGAVDAIQNERQSLLKRQKILLSCLNEFKNISRTL >ONIVA01G35120.1 pep chromosome:AWHD00000000:1:30353329:30353608:1 gene:ONIVA01G35120 transcript:ONIVA01G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSLGKNPVPRFNGHGRPALLTRSSISSEPHRLLIMRRVAEWDYRGQGLCFVLEQGLCEKLVRIVSIHFGRS >ONIVA01G35110.1 pep chromosome:AWHD00000000:1:30349930:30350625:-1 gene:ONIVA01G35110 transcript:ONIVA01G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTKQLLPMPQQDPNSPSSSTSSSSSSSTSPSHPHHRAPLPPSPRPVPRTIETTPFPTTFVQADTASFKQVVQMLTGAEQPSKNAATAATAAAGNSSAAGIGGGQGANGPCRPKKPAFKLYERRSSLKNLKMIAPLAMGALPSPTGRKVGTPEILSPSVLDFPSLKLSPVTPLTGEPFNRSPASSSEDAERAAISERGFFLHPSPRGAEPPRLLPLFPVTSPRMAAPSE >ONIVA01G35100.1 pep chromosome:AWHD00000000:1:30337271:30338434:-1 gene:ONIVA01G35100 transcript:ONIVA01G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLRRLLLGATPADALLTGRLPFSTSSRRTPHRFRRSRRSPNPAPPSPEAVSAAIATLPSRLTPPLLSYSLSSVSDARLLLPVLTHSLRLATFRPDPGPFLVAIKRLAAADLYADFDRTCALAFSLLPSLPSPGPFLRTALYFYCQFRKIGKAFHVYTLMRASADPAARPSADTYHALFTALLSRGGGDTMVHYMYMDTVSALFRQMLEEGVPLDTRALNVLVKGYAQSLHLNDALRVFHQMRPVYGCEPDALTYSYLVHGLSEQGRTRNAREVFDEMLAKGLAPTEPACNAFVSALAMAGEAGEAERVMWDMARAGRVVDDVTRRAVVEELWRSGRRDDAERVVREMEERGIVGGAERRALLRSLHDEFGDDDLDVDDRWRNTR >ONIVA01G35090.1 pep chromosome:AWHD00000000:1:30334109:30340511:1 gene:ONIVA01G35090 transcript:ONIVA01G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidin 4 [Source:Projected from Arabidopsis thaliana (AT4G22930) TAIR;Acc:AT4G22930] MQTAATSTFFANPHVKHLPGPFLRPSPHYGALVHLPSFRNKTPISIAMAASPSPPPLQELTITRPDDWHLHLREGDVLAAVLPHSAMHFGRAIVMPNLKPPVTTTARALEYREEILRALPPGSNFVPLMTLYLTDNTSPEEIKLAKKSGVVFAVKLYPSGATTNSQDGVTDIFGKCLPVLEEMARQEMPLLVHGEVTDQHVDTFDREKVFIEKILAPLVQRLPQLKIVMEHITTMDAVNFVESCKEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKQYFLGTDSAPHDKRRKECSCGCAGIYSAPVALSLYAKVFEQAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRKSAWKVPDTYSYSSGEIVPMFTGNTLEWLPSDQLEE >ONIVA01G35090.2 pep chromosome:AWHD00000000:1:30334109:30340511:1 gene:ONIVA01G35090 transcript:ONIVA01G35090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidin 4 [Source:Projected from Arabidopsis thaliana (AT4G22930) TAIR;Acc:AT4G22930] MQTAATSTFFANPHVKHLPGPFLRPSPHYGALVHLPSFRNKTPISIAMAASPSPPPLQELTITRPDDWHLHLREGDVLAAVLPHSAMHFGRAIVMPNLKPPVTTTARALEYREEILRALPPGSNFVPLMTLYLTDNTSPEEIKLAKKSGVVFAVKLYPSGATTNSQDGVTDIFGKCLPVLEEMARQEMPLLVHGEVTDQHVDTFDREKVFIEKILAPLVQRLPQLKIVMEHITTMDAVNFVESCKEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKQYFLGTDSAPHDKRRKECSCGCAGIYSAPVALSLYAKVFEQAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRKSAWKVPDTYSYSSGEIVPMFTGNTLEWLPSDQLEE >ONIVA01G35090.3 pep chromosome:AWHD00000000:1:30339990:30344026:1 gene:ONIVA01G35090 transcript:ONIVA01G35090.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidin 4 [Source:Projected from Arabidopsis thaliana (AT4G22930) TAIR;Acc:AT4G22930] MFQVAQRASQRRDVFHTNFLTPPIVKECMLAIEKLADIKAVAQGGYPQGERGAQVLVDPELVDYLTSTLEKVGKVGVSCTQIPLLALEYEPPRTKSFKTVESSLRVDALGSAGFKISRTKLASLISAGDVRVNWSPVSKNGVTLKAGDVVSVSGMGRLKIGEIVTTRKGKYAVELIRYL >ONIVA01G35080.1 pep chromosome:AWHD00000000:1:30329045:30329866:-1 gene:ONIVA01G35080 transcript:ONIVA01G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSEQSSSAQDWRFLYVIEIANVAPVQ >ONIVA01G35070.1 pep chromosome:AWHD00000000:1:30325908:30328914:-1 gene:ONIVA01G35070 transcript:ONIVA01G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDYSWVRRTRFSHSVVRSKSGREQFGAFVEQFNRGAARRQRGPGSGFMLHGLNLEPRARLPATTSANSSVPRTTGLSNAQPSSETKPASSSSDAKPEQHEKSLNLEPRTRLSATTSANSSVPWTTGSSNAQPLSETKTASSSSDAKPEQQEKPRDHQPSQEVSTKQDDKAENDTKATATSGPLEFSFHPDEQTLRLQRACSSPVPFPSNKNMLVADAAARSSSLKVAGEGPKPKPKQRARSPPLRRDVPELFKEAKSSSKRFSTPPPRRKPSSPPAPSRSPPHAFATARAHGKPKHKKDSSANGRAKVAALEVLEKWTVDRSQLLIGHRFASGAHSRLFHGIYKEQPVAVKFIRQPEDEEDAELAAQLEKQFNTEVTTLSRLNHPNVIKLIGACSSPPVFCVITEFLSGGSLRTFLHKQEHKSLPLEKIISIGLDIANGIGYIHSQGVVHRDVKPENIIFDSEFCAKIVDFGISCEEAECDPLANDTGTFRWMAPEMMKHKPYGRKVDVYSFGLILWEMFTGSVPYEDLNPFQAAFAVFDKNERPVIPSSCPAPLRLLIEQCWASQPDKRPEFWQIVQILDKFKAVLDKDGTLDNMPCLNLQGTHDHKNWLAHWVQKLKHTHHDLSGPPPPKLL >ONIVA01G35060.1 pep chromosome:AWHD00000000:1:30322736:30327236:1 gene:ONIVA01G35060 transcript:ONIVA01G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEDGEMSLSNLVLGFFEEAEREQRWPENGDDDDEGSSGSGAAESKAFWQNQHSQLHEALAKTSQVESRIREDTEEAIRKMRAAGAVCSCARRAAAGDCRSCTLRHVDERLRDTGYNSAICKSKWTRSPDIPSGEHSYVDVVVQTRSGKAVRVVVELSFRAEFEVARASAEYRALVTALPEVFVGRADRLRAVVKAMCAAAKQCMKENNMHMGPWRKHKYMQSKWLGTPERVAAAAAAPVAVWSPEKQTKFRASMLSFDFARAAVEVA >ONIVA01G35050.1 pep chromosome:AWHD00000000:1:30301454:30305075:-1 gene:ONIVA01G35050 transcript:ONIVA01G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPEWSNMELEPVNVGYYDGRDGTLAGVDKRGARMTKPSATSSGVAWTEENLLTIATGDELCCGTWTVEDLLNVKDVAAGDELRHEAWTLEDASTDEDLQHY >ONIVA01G35040.1 pep chromosome:AWHD00000000:1:30299040:30300886:-1 gene:ONIVA01G35040 transcript:ONIVA01G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNGLILYHILGLASCIALVYFSLGEVDLRDALPSLPFSGGASRAAAASLPFVERRGKRLFLDGRPFYINGWNSYWLMDLAVEPNTRPRVSSMFRTAVSMGLTVCRTWAFNDGSYNALQLSPGHFDERVFKALDRVVAEASEHGVRLILSLANNLDAYGGKRQYVRWAWEEGVGLTASNDSFFFDPAIRDYFKVYLKTLLTRKNHLTGLEYRDDPTILAWELMNEPRCTSDPSGDTLQRWMEEMSAYVKSIDKKHLLTVGTEGFYGPTSSQEKLNINPGEWFPNNYGADFIRNSKIQDIDFASVHVYPDNWLQHASLDEKLKFMTRWITAHVEDGDGELEKPVLVMEFGLSHQVEGFEDAHRDVLYRAVYDIVHGSARRGGAAGGALVWQLAAEGMEEYHDGFSIVPSERPSMMRLIKEQSCRLAAVRYGEEGARKVLKTVCA >ONIVA01G35020.1 pep chromosome:AWHD00000000:1:30279294:30282417:-1 gene:ONIVA01G35020 transcript:ONIVA01G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAMLQASSLCVSAALSGAASRPGRLASQGHQGKRAVAQPLAASAVTEAAPPAPVVAPPARPVDAPRRRGGRGGGGGGGELVAWKSVRQERWEGALEVDGELPLWLDGTYLRNGPGLWNLGDYGFRHLFDGYATLVRVSFCGGRAVGAHRQIESEAYKAARAHGKVCYREFSEVPKPDNFLSYVGQLATLFSGSSLTDNSNTGVVMLGDGRVLCLTETIKGSIQVDPDTLDTVGKFQYTDKLGGLIHSAHPIVTDTEFWTLIPDLIRPGYVVARMDAGSNERQFVGRVDCRGGPAPGWVHSFPVTEHYVVVPEMPLRYCAKNLLRAEPTPLYKFEWHLESGSYMHVMCKASGKIVASVEVPPFVTFHFINAYEETDEEGRVTAIIADCCEHNANTAILDKLRLHNLRSSSGQDVLPDARYVHTRATRRRPAVNLLRYACTYARWMTGNTMCRVGRFRIPLDGSQFGELETALDPEEHGRGMDMCSINPAHVGREYRYAYACGARRPCNFPNTLTKVDLVERTAKNWHEEGSVPSEPFFVPRPGATEEDDGVAISMVSAKDGSGYALVLDGKTFEEVARAKFPYGLPYGLHCCWVPRNRNSK >ONIVA01G35010.1 pep chromosome:AWHD00000000:1:30254361:30262615:1 gene:ONIVA01G35010 transcript:ONIVA01G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nuclear pore complex protein, Nucleoporin Nup85-like (InterPro:IPR011502); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Ot /.../karyotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G32910) TAIR;Acc:AT4G32910] MPGMLMDGGGAIVPFSGEPGHAVAAAPPVRNIRHGVAPPISRVYVSFSSGNLLQVACLRPPNPEGGGDRGAEEVSGGRVVEVNLGGGGSGPGGADAEEIDEAEMRRIEYGSVPAFALLQSRKNALVDGSGMSRLPSVSEHAEWWQYVLEYSKTIGSLLGNPDSLSAYMIDDPKMILKVKEKPTSLKAAWELLEIFFVDKQLQTWLPERLVDWLADYDSLLTKTENTIYRTLINFQKKLINLQVVEDDPDYWSGLSAALSVGWLDIVVNMLRFHGSYQLDQMDSRETENGLVEAVAVLVSTMPRMRPDLPSGKLGQCCKTRSDFIKALEKWRGQVSKLECSAFWIQCGHQKTRDGLKSLLHIMLGNIKNLTASTSHWLELFASHLLYIRPFTVGFEGMHQLAQKCIQLKPSADNSRLTGLLTGILSENTEVVLAECTKNFGPWMVTHAMELLTADNDYADMMLHEERPNFGGISIEELHRLVYAQVLCSHALTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRVVLKTLEICRLYELENVSTNIMKIAGIYHWKHGRKGTGVYWFQQANDKVRLDRIAQQLFEHIGKSVTDDSFKQWEGLLELLGSDIGSAGGLEFLHRYRDFKRSLQMAQEGRTGESVRQTVEFLIQLMRNPSTPQRFWLPLLHDSVRLLNCKPCPLLNVAETTLLLNKLQELSLAKLRPDFSNIHLPSHALNSVRLALASNLARSILEEP >ONIVA01G35000.1 pep chromosome:AWHD00000000:1:30249050:30249842:1 gene:ONIVA01G35000 transcript:ONIVA01G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGAQRNQVLVARTADAAHRRRARLGVLAAALTEEAQLDAAAALRQLGLVDGAVAGLVDHLPMESEARTTAAAITAMMMAATAEEVRGDSRCRQQGPPPAAAISWVFAWTQTRLVLPAWLGVGRGLQDACDKGHTDELRAMYKEWPFFQSTVDLIEMVEVLRHHDNRKLRDALLITINGIAAGIRNTG >ONIVA01G34990.1 pep chromosome:AWHD00000000:1:30240502:30242175:-1 gene:ONIVA01G34990 transcript:ONIVA01G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FT21] MEVTAEFGGAYYGGAAGREKKALQQGCGDHFAVDDLLVLPYDEEDETTREGEATGGKEEAAGFGNASADSSTITALDSCSNSFGLADGDFPGELCEPYDQLAELEWLSNYMNEGDDAFATEDLQKLQLISGIPSGGFSTASVPSAQAQAASAAASMAVQPGGFLPEAPVPAKARSKRSRAAPGNWSSRLLVLPPPPASPPSPASMAISPAESGVSAHAFPIKKPSKPAKKKDAPAPPAQAQLSSVPVHSGGSAPAAAAGEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFMVSKHSNSHRKVLELRRQKEMYQQTPHHHQPQVAAAGGVGSLMHMQSSMLFDGVSPVVSGDDFLIHHHLRTDFRPPI >ONIVA01G34980.1 pep chromosome:AWHD00000000:1:30229212:30232018:1 gene:ONIVA01G34980 transcript:ONIVA01G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGQPDPAPPHPWQLLWRDDGQGPRCPPQKLGEEGPGQDLQASYLSMKIGGMFQEVIAVPVLNQSSSAPFQAIIGTTSDILLAAKPGSHFSLSGWHVSFFLVKS >ONIVA01G34980.2 pep chromosome:AWHD00000000:1:30229210:30232018:1 gene:ONIVA01G34980 transcript:ONIVA01G34980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGQPDPAPPHPWQLLWRDDGQGPRCPPQKLGEEGPGQDLQASYLSMKIGGMFQEVIAVPVLNQSSSAPFQAIIGTTSDILLAAKPGSHFSLSGQ >ONIVA01G34980.3 pep chromosome:AWHD00000000:1:30229210:30230585:1 gene:ONIVA01G34980 transcript:ONIVA01G34980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGQPDPAPPHPWQLLWRDDGQGPRCPPQKLGEEGPGQDLQASYLSMKIGGMFQEVIAVPVLNQSSSAPFQAIIGTTSDILLAAKPGSHFSLSVSCIFRSVTYLDSVVGWRNVWIECLRGHMHVTNSSL >ONIVA01G34970.1 pep chromosome:AWHD00000000:1:30226371:30228382:1 gene:ONIVA01G34970 transcript:ONIVA01G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQTRKSFISFEPDYARFMHHHMKNASCTSFHSLTYTTRMGDTPGYEQKVYVVCFYHSVNYRVFQGNTLQQLLLRSVHSEHWGTPGYWSITLANMARTAAGRVERGGGRGGRACGRRSHPSPPALWPCPLRQAWGSLDVLVGRLRTAFDEHGGHPEANPFGARVVHLYLREVCDSQAKVRSIAYEKKRRKRPPTSSSHSQDGAAATCPASPAASPTPLPPPPERSADMGACVAIAVAMGCAPLSLAARRGCSYCALARRR >ONIVA01G34960.1 pep chromosome:AWHD00000000:1:30220583:30221092:-1 gene:ONIVA01G34960 transcript:ONIVA01G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCQDARVIRQRYEGDGPILSDDALLPADPGAAAGAAAEQQQQQDEARSVRCECCGVAEDCTPTYIGRVRERFDGRWVCGICAEAVSELRRRDPALAVREAVASHAALCAEFNATVRVNPALCLVRGMRDIVRISCRGRSGDSASPSAAPGGGAGARIGRTRSCALPYV >ONIVA01G34950.1 pep chromosome:AWHD00000000:1:30211115:30213987:-1 gene:ONIVA01G34950 transcript:ONIVA01G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYTARLVDEEVELEAHTEVDEQQQQEEARSVRCECCGMAEDCTPGYVRRVRARFEGRLVCGLCAEAVSERRRREPALTVGEAVESHASLCDRFNRTVRLNPTLSLVRSMRDIARTNCLSRHRSTRADACAAGGASKQIGRVESCTMPYVIVMVIILALYIVLVTICFKGMTVWPGSYWNNHLNHALVLTDPLRAMPVLRDIYIEKFAMNPATYRLEIC >ONIVA01G34940.1 pep chromosome:AWHD00000000:1:30197792:30209527:-1 gene:ONIVA01G34940 transcript:ONIVA01G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgin candidate 1 [Source:Projected from Arabidopsis thaliana (AT2G19950) TAIR;Acc:AT2G19950] MASWLKVAEDLLEVVDRRAKIVATELSDEQSSPQPSGSSSQEGQAKKGKLREKGPLKLATGDAGSRTAAQKERKSRQPPRERIKIEKIRPSPPVDSSSVDASASKPDVSSSDVKGLDDDGGAEKEEKVVVDRKNDIGAEVVDTEVEVQSTERSAEDAAIVVDGAADSGNSEGAAESSAPSVPDERCEPSISNQDAEIVSAVNLEEKDSAMEVIHEKNIKEVPDTQVSGKSQDSKREGLSDSPESTENQQEHKLDSGSVKDQDQLEEARGLLKNVVKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEREKCSSYEAHMKQLQQELSMSRVEGSRAESNMVDALTAKNAEIESLVKSLDSWKKKAAASEEKLAALQEDMDGLKRNRELTETRVIQALREELATVERRAEEERIAHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRAMELEHKVAVLEVECASLQQELQEMEARNRRAQKKPSEEANQVIQMQAWQEEVERARQSQREAETKISSLEVKAELQKMRVEMAGMKRDAEHYSRQEHVELEKRYRELTDLLMEAERSRVARRSASAWEEDADIKALEPLPLHHRHMATANQQLQKAAKLLDSGAVRATRFLWRHPVARVSLLFYLVFVHLFLMYLMHRLQDFASREGPTAMGGLANSDLP >ONIVA01G34930.1 pep chromosome:AWHD00000000:1:30188434:30192982:-1 gene:ONIVA01G34930 transcript:ONIVA01G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPDSGARSADKQAVEDEGNTTPVPDTVQVGSSPKYRVDKKLGKGGFGQVYVGRRMLANGPGASEVALKFEHRTSKGCNHGPPYEWQVYNAIGGIHGVPRVHYKGRQGEYYVMVMDMLGPSLWDVWNNNSHTMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPIGTPEEKRLFLVDLGLATKWRDASTGLHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFREFVEYVVNLKFDEEPNYAKCISLFDTVVGPNPDIRPINTDGAQKLIHLVGQKRGRLLMEETDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLVQHIDKGNEDGLFISCITSCSNLWALIMDAGTGFTSQVYELSPHFLHKEWIMDQWERNYYITALAGANNGSSLVVMSKGTMYTQQSYKVSDTFPFKWINKKWRDGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHQRWDNGYRITATAATWDQAAFVLSVPRRKPTDETQETLRTSAFPSQHVKEKWSKNLYLASVCYGRTVS >ONIVA01G34920.1 pep chromosome:AWHD00000000:1:30185114:30186956:1 gene:ONIVA01G34920 transcript:ONIVA01G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAMATASVVTYLQRPRELPVPEFRAPPPSPVTGVLTSSSSGSLAYGECPDSNEDDEIGRFLRRSARVPVLRLPERAVPRKKKAAWAPPVIDVRLLALPEAGGPVAEALRSAAVAFGCFQVVGHGVDRSLVSAALRHVAAATARAATPEPEEVEVNGDDEDGEEMWWSPGDGGQEMAGNWALQSGASHFRNTADALFIQLEQTATKIMDVLQRSGAVATQSIAGADTNGSLLCIRKHRRRQDDRSGGASGPIRHDDILRMLVRSSRCSRALALHLCPGASAFHIFSRRGWSRFRPMDGAVVVTVGDQLQACNGGLYKSVAGKPAYSNDDLRGNGGDTGVASAELFYCFPSAGTAAGKASEVLSADAGKIIPLNLQFMVAACLVLGYHFLLSSLHSTWLL >ONIVA01G34910.1 pep chromosome:AWHD00000000:1:30176207:30184376:1 gene:ONIVA01G34910 transcript:ONIVA01G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNGEYEDFDAANRRAEVIDWLGGLLPEFDLPLDSSDEELRDYLINGEALCYVADKLMPGVLEGTWGGYASDQRSNVKKFLSVVAEMGLPGFGVKDLEEGSMSSIVECLLALKDNVATQLGGHISNSTAKTPIRRKLELRETDGPVLSVATPGKRYPKSQQRSPLLSGQKINEVVQFKHGTYTDLPAAKISEMLHSNSLDNAPTQSLLRVVNGILDESIERKRGEIPHRVVHLLRNVIQEIEHRIGIQADHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEMAINRLEVVKVEKSKIDEKRKLGEQDMIRLIREKENAENIIASLHQEMQVMNRMHEQFREQMETKSRQMEEHLTLRAKEAEFCLMQSKKKVEEVEATSQLKSQLWSKKANIFQSFMNNQKLSIKDIKISSQSIKQEMYALQMTWRDEISNIGHDLKGLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKLTAIDYIGENGEILIANPSKQGKEGYRMFKFNKVFGTHSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSREDWGVNYRALNDLFDISLSRKNAFSYEVGVQMVEIYNEQPNGLVVPDASLHPVKSTSDVLDLMEIGQSNRAVGSTALNERSSRSHSILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFSLAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNREGKDIKELLEQVASLKDTIARKDMEIEQLQLLKSKSPNSMTDRNGSNLLRQSTSSTGLSSLPVASQQNQQLSVVTLTPLADTGLAGSVEAEAEDNASDDGCSVGETEYSPAGASETSAERAHKAPSRITRFFLTKNGQPSTSRPKPREVVPKTQGSMRPGTAQATGGSLAKPSKRR >ONIVA01G34910.2 pep chromosome:AWHD00000000:1:30176207:30184376:1 gene:ONIVA01G34910 transcript:ONIVA01G34910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNGEYEDFDAANRRAEVIDWLGGLLPEFDLPLDSSDEELRDYLINGEALCYVADKLMPGVLEGTWGGYASDQRSNVKKFLSVVAEMGLPGFGVKDLEEGSMSSIVECLLALKDNVATQLGGHISNSTAKTPIRRKLELRETDGPVLSVATPGKRYPKSQQRSPLLSGQKINEVVQFKHGTYTDLPAAKISEMLHSNSLDNAPTQSLLRVVNGILDESIERKRGEIPHRVVHLLRNVIQEIEHRIGIQADHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEMAINRLEVVKVEKSKIDEKRKLGEQDMIRLIREKENAENIIASLHQEMQVMNRMHEQFREQMETKSRQMEEHLTLRAKEAEFCLMQSKKKVEEVEATSQLKSQLWSKKANIFQSFMNNQKLSIKDIKISSQSIKQEMYALQMTWRDEISNIGHDLKGLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKLTAIDYIGENGEILIANPSKQGKEGYRMFKFNKVFGTHSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSREDWGVNYRALNDLFDISLSRKNAFSYEVGVQMVEIYNEQPNGLVVPDASLHPVKSTSDVLDLMEIGQSNRAVGSTALNERSSRSHSILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFSLAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNREGKDIKELLEQVASLKDTIARKDMEIEQLQLLKSKSPNSMTDRNGSNLLRQSTSSTGLSSLPVASQQNQQLSGSVEAEAEDNASDDGCSVGETEYSPAGASETSAERAHKAPSRITRFFLTKNGQPSTSRPKPREVVPKTQGSMRPGTAQATGGSLAKPSKRR >ONIVA01G34910.3 pep chromosome:AWHD00000000:1:30176207:30184440:1 gene:ONIVA01G34910 transcript:ONIVA01G34910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNGEYEDFDAANRRAEVIDWLGGLLPEFDLPLDSSDEELRDYLINGEALCYVADKLMPGVLEGTWGGYASDQRSNVKKFLSVVAEMGLPGFGVKDLEEGSMSSIVECLLALKDNVATQLGGHISNSTAKTPIRRKLELRETDGPVLSVATPGKRYPKSQQRSPLLSGQKINEVVQFKHGTYTDLPAAKISEMLHSNSLDNAPTQSLLRVVNGILDESIERKRGEIPHRVVHLLRNVIQEIEHRIGIQADHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEMAINRLEVVKVEKSKIDEKRKLGEQDMIRLIREKENAENIIASLHQEMQVMNRMHEQFREQMETKSRQMEEHLTLRAKEAEFCLMQSKKKVEEVEATSQLKSQLWSKKANIFQSFMNNQKLSIKDIKISSQSIKQEMYALQMTWRDEISNIGHDLKGLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKLTAIDYIGENGEILIANPSKQGKEGYRMFKFNKVFGTHSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSREDWGVNYRALNDLFDISLSRKNAFSYEVGVQMVEIYNEQPNGLVVPDASLHPVKSTSDVLDLMEIGQSNRAVGSTALNERSSRSHSILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFSLAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNREGKDIKELLEQVASLKDTIARKDMEIEQLQLLKSKSPNSMTDRNGSNLLRQSTSSTGLSSLPVASQQNQQLSGSVEAEAEDNASDDGCSVGETEYSPAGASETSAERAHKAPSRITRFFLTKNGQPSTSRPKPREVVPKTQGSMRPGTAQATGGSLAKPSKRR >ONIVA01G34900.1 pep chromosome:AWHD00000000:1:30173417:30173707:1 gene:ONIVA01G34900 transcript:ONIVA01G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVEWNGREGARFTERPGGDALKIEDIRMEDGEPSKTKGAKGRSGVRHWGLAEDDHGTTRIHGLGPSRDVELLHWPISQALRERRTSSGCPLLR >ONIVA01G34890.1 pep chromosome:AWHD00000000:1:30166973:30172477:1 gene:ONIVA01G34890 transcript:ONIVA01G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERIVSRVTSNQSRKLAEGHKAIANAIGYLDEQFCQVEDLQDEASPNFVEEVVTLFFKDSGRLMSNIEQALEKYPRDFNRWDTYMQQLKGSCSSIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAVLRQKLESYFQLLRQAGPAGAATRPVM >ONIVA01G34880.1 pep chromosome:AWHD00000000:1:30156697:30161369:-1 gene:ONIVA01G34880 transcript:ONIVA01G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDWILERERRQVEQILELDMEELQVEEVDDAGSSSSSDVDTFLRNTHGDGGSRTSEALAFNTSVVSLPTCDGAATLTSLFPHQRFMMPQADLLSWMVVWFSVYQCVVLFPEAILPIRVVQPRSLTAVDKAVNHVDAPCMIGVVHVYQHTNDGHHAIASVGTTAEIHHIKQLDDGSSNVVTRGQNRFRLRHRWIDADDVQWGEVQIIEEDTPQRTPRDAFGQLATNYIFNQCGTSQDDHVNSDQDWDSLSSTSTSSEHSVTDARTYCSSNEDEDLMLEQSWQKYDSVKRNAELENPVKHSNTRGKGEPCFQSPKSLPTKNKGAEQRRRFCAAYSSKLALQAPLSFWPRWAYEMYDSYSLARRVADLWRQIVVNPSMDDYVRKPDILSYHIGSKLPMSCSVRQELLEIDGISYRLQKEIQLLKAFNIIRCRNCLALISRRSDGPVGAYVKQFSCGQEMMTVYNATGLALRGAPSKAHSLFPGYTWTIALCAACESNIGWLFRAEKTNLLPKSFWGLRSSQVSDDTQSGHN >ONIVA01G34880.2 pep chromosome:AWHD00000000:1:30156695:30161369:-1 gene:ONIVA01G34880 transcript:ONIVA01G34880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDWILERERRQVEQILELDMEELQVEEVDDAGSSSSSDVDTFLRNTHGDGGSRTSEALAFNTSVVSLPTCDGGVVLFPEAILPIRVVQPRSLTAVDKAVNHVDAPCMIGVVHVYQHTNDGHHAIASVGTTAEIHHIKQLDDGSSNVVTRGQNRFRLRHRWIDADDVQWGEVQIIEEDTPQRTPRDAFGQLATNYIFNQCGTSQDDHVNSDQDWDSLSSTSTSSEHSVTDARTYCSSNEDEDLMLEQSWQKYDSVKRNAELENPVKHSNTRGKGEPCFQSPKSLPTKNKGAEQRRRFCAAYSSKLALQAPLSFWPRWAYEMYDSYSLARRVADLWRQIVVNPSMDDYVRKPDILSYHIGSKLPMSCSVRQELLEIDGISYRLQKEIQLLKAFNIIRCRNCLALISRRSDGPVGAYVKQFSCGQEMMTVYNATGLALRGAPSKAHSLFPGYTWTIALCAACESNIGWLFRAEKTNLLPKSFWGLRSSQVSDDTQSGHN >ONIVA01G34880.3 pep chromosome:AWHD00000000:1:30156697:30161369:-1 gene:ONIVA01G34880 transcript:ONIVA01G34880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDWILERERRQVEQILELDMEELQVEEVDDAGSSSSSDVDTFLRNTHGDGGSRTSEALAFNTSVVSLPTCDGGVVLFPEAILPIRVVQPRSLTAVDKAVNHVDAPCMIGVVHVYQHTNDGHHAIASVGTTAEIHHIKQLDDGSSNVVTRGQNRFRLRHRWIDADDVQWGEVQIIEEDTPQRTPRDAFGQLATNYIFNQCGTSQDDHVNSDQDWDSLSSTSTSSEHSVTDARTYCSSNEDEDLMLEQSWQKYDSVKRNAELENPVKHSNTRGKGEPCFQSPKSLPTKNKGAEQRRRFCAAYSSKLALQAPLSFWPRWAYEMYDSYSLARRVADLWRQIVVNPSMDDYVRKPDILSYHIGSKLPMSCSVRQELLEIDGISYRLQKEIQLLKAFNIIRCRNCLALISRRSDGPVGAYVKQFSCGQEMMTVYNATGLALRGAPSKAHSLFPGYTWTIALCAACESNIGWLFRAEKTNLLPKSFWGLRSSQVSDDTQSGHN >ONIVA01G34870.1 pep chromosome:AWHD00000000:1:30149208:30154314:1 gene:ONIVA01G34870 transcript:ONIVA01G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:A0A0E0FT02] MAGRGEGNGAATMAGVATGGVEDAYGEDRATEDQPITPWAVCVASGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQELQEKRLLQNVRQFQVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGRILELLRNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEDLLKDEFYIGLRQKRATGQEYSDLLDEFMAAIKQHYGQKVLVQFEDFANYNAFTLLEKYRANNLVFNDDIQGTAAVVLAGLIAAQKFVSGTLADHTFLFFGAGEAGTGIAELVALEISNQSKVPVEDARKKIWLLDSKGLIVSSRKDSLQPFKKRYAHEHEPVKDLLDAVKVIKPTALIGSAGVGQSFTKKVIEAMSSINERPIILALSNPTSQSECTAEQAYSWSKGRAIFGSGSPFDPVKYNDKLFVPAQANNAYIFPGFGLGVVISGAIRVKDEMILAAAEGLADQVTPEHVDKGLIYPPFSCIRKISANIAARVAAKAYDLGLASHLPRPKDLVKYAESCMYSPIYHAGPWQLGHDKWGNTQASMLLTNSRV >ONIVA01G34870.2 pep chromosome:AWHD00000000:1:30149208:30154367:1 gene:ONIVA01G34870 transcript:ONIVA01G34870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:A0A0E0FT02] MAGRGEGNGAATMAGVATGGVEDAYGEDRATEDQPITPWAVCVASGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQELQEKRLLQNVRQFQVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGRILELLRNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEDLLKDEFYIGLRQKRATGQEYSDLLDEFMAAIKQHYGQKVLVQFEDFANYNAFTLLEKYRANNLVFNDDIQGTAAVVLAGLIAAQKFVSGTLADHTFLFFGAGEAGTGIAELVALEISNQSKVPVEDARKKIWLLDSKGLIVSSRKDSLQPFKKRYAHEHEPVKDLLDAVKVIKPTALIGSAGVGQSFTKKVIEAMSSINERPIILALSNPTSQSECTAEQAYSWSKGRAIFGSGSPFDPVKYNDKLFVPAQANNAYIFPGFGLGVVISGAIRVKDEMILAAAEGLADQVTPEHVDKGLIYPPFSCIRKISANIAARVAAKAYDLGLASHLPRPKDLVKYAESCMYSPIYRSYR >ONIVA01G34860.1 pep chromosome:AWHD00000000:1:30142608:30149001:-1 gene:ONIVA01G34860 transcript:ONIVA01G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) TAIR;Acc:AT2G25840] MSRTLLSHILHRPPPLLASRGGGRGGALPSRLRTLRLNCSVAEATASGDEAPAPPARKKRVVSGVQPTGLVHLGNYLGAIKNWVSLQDLYETLFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIMNKIKRCKTDSFPGLEFDNPERPECNNLLSIYQIITGKTKEEVIRECENMNWGSFKTTLTDALIDHLQPIQVRYEEIMSDPGYLDGVLLEGSGKAAEIADITLNNFTFHSVAIKVNDYQSPE >ONIVA01G34860.2 pep chromosome:AWHD00000000:1:30142608:30149001:-1 gene:ONIVA01G34860 transcript:ONIVA01G34860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) TAIR;Acc:AT2G25840] MSRTLLSHILHRPPPLLASRGGGRGGALPSRLRTLRLNCSVAEATASGDEAPAPPARKKRVVSGVQPTGLDLYETLFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIMNKIKRCKTDSFPGLEFDNPERPECNNLLSIYQIITGKTKEEVIRECENMNWGSFKTTLTDALIDHLQPIQVRYEEIMSDPGYLDGVLLEGSGKAAEIADITLNNFTFHSVAIKVNDYQSPE >ONIVA01G34860.3 pep chromosome:AWHD00000000:1:30142608:30149001:-1 gene:ONIVA01G34860 transcript:ONIVA01G34860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) TAIR;Acc:AT2G25840] MSRTLLSHILHRPPPLLASRGGGRGGALPSRLRTLRLNCSVAEATASGDEAPAPPARKKRVVSGVQPTGLDLYETLFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIMNKIKRCKTDSFPGLEFDNPERPECNNLLSIYQIITGKTKEEVIRECENMNWGSFKTTLTDALIDHLQPIQVRYEEIMSDPGYLDGVLLEGSGKAAEIADITLNNFTFHSVAIKVNDYQSPE >ONIVA01G34850.1 pep chromosome:AWHD00000000:1:30137977:30144784:1 gene:ONIVA01G34850 transcript:ONIVA01G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPEDDGSSSARTPLIPPTPPPPGRAVARLHPLPLLVAAAFAASYHLLVAPAPSYYRSLFLSLGSNDTAAAHLHALTLRPHLAGTEANARAAEHVVSALSSLSFPTRVVPYSVLLAYPVHRSLSLSAPGHATTPFALVQDTYPGDPYAAVSAEAVPTFLAYAASGSVAAEAVYANYGRPEDFAYLAARGVDVTGKVVLARYGKVYRGDIVINARKAGAAAAVIYTDAKDYAAGEAFPDGPWMPPTGVQVGSTFKGVGDPTTPMWASSEGCERVSIPEAMATDDMPGIPALPVSGRDGEAILQLIGGDVAPKDWQGGDGSPVYRLGPGPAVLNLTYIGNETMATIQNVISVIEGKEEPERYIILGNHRDAWTFGAVDPNSGTAALLELAQRFSELQKKGWRPRRTIILCNWDAEEYGLVGSTEWVEENRAMLTSRTVAYLNVDSAVYGAGFYASATPQLDELLKEASKQVQNPDNETQSLYDLWMASDSSSMIKIGRIGGGGSDYSAFVQHIGIPSIDISMGSEYAVYHSLYDDFVWMEKFGDPLFRRHVAVASMWGLVALRLSDEEILPFNYSTYAVELEKGAIDINKRLLGVPVSSSPLQKSIAEFKRAALQMDSEMKALQTRKVWNPWRNNPLKVRDLNERLMMTERAFTDREGLSGRPWYKHLIYAPSLHDDYGAQVYPGVDDAIQMAERTNTSESWRSVQHEIYRIARVINQASLVLSGGLT >ONIVA01G34840.1 pep chromosome:AWHD00000000:1:30123039:30125724:-1 gene:ONIVA01G34840 transcript:ONIVA01G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSZ7] MAVAPVRLVACIVALAAVAPGGVAGHTRGVRPGRAAGKQQPSFPENATRVEAIERQFMEWVRYMGGLEHSTVHHALARAFPSYSLVVDKNPAFGDFTTIQAAVDSLPIINLVRVVIKVNAGTYTEKVNISPMRAFITLEGAGADKTIVQWGDTADSPSGRAGRPLGTYSSASFAVNAQYFLARNITFKNTSPVPKPGASGKQAVALRVSADNAAFVGCRFLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLFEDCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDDIIIPRGWYNWGDPNRELTVFYGQYKCTGPGASFSGRVSWSRELTDEEAKPFISLTFIDGTEWVRL >ONIVA01G34830.1 pep chromosome:AWHD00000000:1:30109076:30112922:1 gene:ONIVA01G34830 transcript:ONIVA01G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIIRWLKKLLTGRKKAHKGLKENHAVSDGAEKEKSRWSFAKHRRSGVDSGRRPSEAALAAVAAVAVEPSEVRRPCHCGEVENAIARREKAAMVIQKAYRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQASSRAATRASYRKSMEQERISVEETRLKTTTPGHRRRLSDSADSNYERSPRIVEMDTCHRRSRSSRIAIRHSRDHSSDCLTPAPMPPAPLSCSSPISIKQPPRLSIQRSQHHERDTRHAKTAHNTPRLGAPPYGSSPANKSVDGMARARLSHRDALGSPRYMAGTASSAARTRCQSAPRQRQAAEAPARSRRSCSRTTQDSGFCFECSEDSRPGYSEELSDEAARDYYLDRMW >ONIVA01G34830.2 pep chromosome:AWHD00000000:1:30109238:30112922:1 gene:ONIVA01G34830 transcript:ONIVA01G34830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIIRWLKKLLTGRKKAHKGLKENHAVSDGAEKEKSRWSFAKHRRSGVDSGRRPSEAALAAVAAVAVEPSEVRRPCHCGEVENAIARREKAAMVIQKAYRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQASSRAATRASYRKSMEQERISVEETRLKTTTPGHRRRLSDSADSNYERSPRIVEMDTCHRRSRSSRIAIRHSRDHSSDCLTPAPMPPAPLSCSSPISIKQPPRLSIQRSQHHERDTRHAKTAHNTPRLGAPPYGSSPANKSVDGMARARLSHRDALGSPRYMAGTASSAARTRCQSAPRQRQAAEAPARSRRSCSRTTQDSGFCFECSEDSRPGYSEELSDEAARDYYLDRMW >ONIVA01G34820.1 pep chromosome:AWHD00000000:1:30098812:30099009:-1 gene:ONIVA01G34820 transcript:ONIVA01G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPRIEHYGCVADMLSRAGRLDEAEELIALLAACRAHGDVERAERVMRRRVADADACRTRTRSR >ONIVA01G34810.1 pep chromosome:AWHD00000000:1:30098117:30098533:1 gene:ONIVA01G34810 transcript:ONIVA01G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGRNNVPLALSLSLLPRFPSLHIIRVLLTSELPAGAQPSVSEAAGRPRRHAGVPRRRSPTTRRPLDARATVATQQDRYICGGAPGRDGGGRPEDAWVPDHETGVLVPADEAAVSGTENHDHYGAAAAPGGSSSMLD >ONIVA01G34800.1 pep chromosome:AWHD00000000:1:30090057:30091664:-1 gene:ONIVA01G34800 transcript:ONIVA01G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGSELGSPPAAAAPPPKRRKIEPSRRDRPQVALDRDKDKDKVAASSSSLVSGTPPLRVDLNKVREAKRYAVFQAQHEGCLGSYKSFDSSFGNYLVPVIPSNDFFVQITNK >ONIVA01G34790.1 pep chromosome:AWHD00000000:1:30084229:30087923:-1 gene:ONIVA01G34790 transcript:ONIVA01G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSZ1] MGKGTVVGTAVVVCAAAAAAVGVAVVVSRRRRSKREAEEERRRRAAAVIEEVEQRFSTPTALLRGIADAMVEEMERGLRADPHAPLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVIRVQLGGREKRVVSQQYEEVAIPPHLMVGTSMELFDFIAAELESFVKTEGEDFHLPEGRQRELGFTFSFPVHQTSISSGTLIKWTKGFSINGTVGEDVVAELSRAMERQGLDMKVTALVNDTVGTLAGGRYVDNDVAAAVILGTGTNAAYVEHANAIPKWTGLLPRSGNMVINMEWGNFKSERLPRSDYDNALDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPTKLEQRFILRTPDMSAMHHDTSHDLKHLGAKLKDILGVADTSLEARYITLHVCDLVAERGARLAAAGIYGILKKLGRDRVPSDGSQKQRTVIALDGGLYEHYKKFRTCLEATLADLLGEEAASSVVVKLANDGSGIGAALLAASHSQYASVE >ONIVA01G34780.1 pep chromosome:AWHD00000000:1:30080177:30084665:1 gene:ONIVA01G34780 transcript:ONIVA01G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMAKAPGPVYLLFLLLALPCCLIPHRGAAQPAANEARLLLQIKRAWGDPAVLAGWNDTAAPAAAAHCSWPYVTCDTAGRVTNLSLANTNVSGPVSDAVGGLSSLVHLDLYNNSINGTFPTSVYRCASLQYLDLSQNYLVGKLPADIGVGLGENLTILGLNGNYFTGTIPKSLSRLRKLEWLTLDNNRLTGTIPAELGDLTSLTKLTISTNKLEPGQLPASFKKLTKLTYLAVSQCQLVGDMPAYVADMPDLVTLDLAVNNLTGSIPPGIWSLKKLQCLYLFANKLTGDIVVADGAFAAVNLVYIDLSANPKLGGPIPQDFGLLQKLEVIHLYFNNFSGEIPASIGRLPALTEIKLFNNRLTGVLPPELGQKSPDLWDLEVDFNEFTGPIPEGLCDSGKFQTFTAANNLLNGSIPERLAGCTTLEILYLHNNNLSGEVPEALWTATKLQYVELQNNRLTGTLPSTMYSNLSSLTVENNQFRGSIPAAAATLQKFIAGNNNFSGEIPESLGNGMPVLQTLNLSGNQLSGGIPKSVSKLKVLTQLDLSKNQLSGEIPAELGAMPVLNALDLSSNRLSGGIPSSLASLNLNSLNLSSNQLSGQVPAKFAIGAYARSFLDNPTLCTSGLGSSYLAGVRSCNTGSPGSASSGGVSPGLRAGLLVAGAALLLVIVALAFFAVRDIRRRRKRVAQREDWKITPFQTDLGFSEAAILRGLTEENLVGRGGSGSVYRVAYTNRYTGGDGAVAVKKIRTGAAKVEEKLEREFESEARILGNVRHNNIVRLLCCVSGDEAKLLVYNYMDNGSLDGWLHGRRAINDGRPVVAAVARARSARGGAPALDWPTRLRVAVGAAQGLYYMHHECTPPIVHRDVKTSNILLDSEFRAKVADFGLARMLAQAGTPDTVSAVAGSFGYMAPECGYTRKVDEKVDVYSFGVVLLELTTGKAANDGGEHGSLADWARHHYQSGESIPDATDQCIRYAGYSDEIEVVFRLGVMCTGATPASRPTMKDVLQILVKCSEQTHQKCKAESGQEEYEVAPLLLPQRGSRRKQPAKAKGADYDADERSDFDSIV >ONIVA01G34770.1 pep chromosome:AWHD00000000:1:30068233:30069183:-1 gene:ONIVA01G34770 transcript:ONIVA01G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT4G29120) TAIR;Acc:AT4G29120] MSSSATGVNVSDRPISPDTTRVAWVGTGVMGQSMAGHLLAAGYALTVYNRTASKAEGLVSRGATLAESPRAAAAAADVIFLMVGFPSDVRSTSLDPSTGALAGLAPGGLLVDMTTSDPTLAAEIAEAAAAKSCAAVDAPVSGGDRGARSATLSIFAGGDAAVVARLAPLFKLMGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVAKWLEAISTGAAGSKSLDLYGKRMLERDMAAGFYVRHFVKDLGICLSECQAMGLALPGLALAHQLYVSLLAHGEGGLGTQALILAIERLNNTCLDKKPE >ONIVA01G34760.1 pep chromosome:AWHD00000000:1:30062487:30067450:1 gene:ONIVA01G34760 transcript:ONIVA01G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEEMRRIMDKKHNIRNMSVVAHVDHGKSTLTDSLVAAAGIIAQDVAGDVRMTDSRADEAERGITIKSTGISLYYEMSDESLKSYKGDRDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVGGEEAYQTFSRVIENANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLSNFAKMYASEFGVDESKMMERLWGENYFDPTTKKWTIKHTGSDTCKRGFIQFCYEPIRQIINTCMNDQKDKLLPMLQKLGVTMKDLTGKALMKRVMQTWLPASNALLEMMIYHLPSPAKAQRYRVENLYEGPLDDIYASAIRNCDPEGPLMLYVSKMIPASDKGRFYAFGRVFSGRVATGMKVRIMGPNYAPGQKKDLYVKNVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIKAMKFSVSPVVRIAVQCKVASDLSKLVEGLKRLAKSDPMVLCTVEESGEHIIAGAGELHLEICLKDLQEDFMGGAEITVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEEGLPEAINEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNIVVDMCKGVQYLNGIKDSVVAGFQWASKEGALAEENMRGRGICFEVCDVILHSDAIHRGGGQIIPTARRVIYAAQLTAKPRLLEPVYLVEIQAPENALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFTSTLRAATSGQAFPLFVFDHWEMLSVDPLEPGTRQLTLSWISARGRV >ONIVA01G34750.1 pep chromosome:AWHD00000000:1:30054746:30056299:-1 gene:ONIVA01G34750 transcript:ONIVA01G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase (SpoU) family protein [Source:Projected from Arabidopsis thaliana (AT2G19870) TAIR;Acc:AT2G19870] MLHSGLTKSQPLPLLAAASSPRRTLLAGLLRAACFSRPTASSPALHPVTTVTNRASARAAVSPGGPSQLGLGGRVSFSTAPDGTASPDGGSALPWLAAVSRDGGAAPAARASAGRSSSWETSAEKFFSRGDQSPRGEVLTDRGSGREIIREEEDNGSIDNPKWGRIKDNYRRMVPRDRGSRGERFRGERFDKPEVRQWSRQENWGRKTWKEAGESTVPKMVGQGVYGVGPVLAALMAERREFYALYIQEGMDLSVSNKKKKDKKGIEKVLQLAERIGLKVIEASKHDLNMVVDNRPHQGLVLDASPLEMVNTKELEPVRVDDGKAPVWIALDEVMDPQNLGAIIRSAYFFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTVASKAIPLSEVVPGEPTILVLGSEGTGLRPLVEQSCTHLVRIPGNVDGSFVGADTDTDGGEEGDNYSGNQDMKSFLAVESLNVSVAAGVLLYHLAGTNASPVSDKPSIPLM >ONIVA01G34740.1 pep chromosome:AWHD00000000:1:30045861:30050926:1 gene:ONIVA01G34740 transcript:ONIVA01G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSY3] MEEGSNKREGLPPQLLDLIPDEKEWKLREALGLGRSRNAGFDGEEDKKLDLKLGLPGFIEDDEAETLRDYRLQQECPSLSLGFFPKHSKTTSSTTTTTGAKRGFIDTVEDKTEGYNDQKQQARAGCGKELAVEEMIAAVSERKKGCCPPPPPPHGAPATPARNRPQTQGRGAAAPVVGWPPIRSFRRNLASSSSSKHSPEPQNDNANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYDGLSSAVKQLFHGFLQAQKDQTNAQIAQQGADDKIFYQLLDGSGEYTLVYEDSEGDRMLVGDVPWKVFVSTAKRLRVLRSSELSHTLIGATARV >ONIVA01G34740.2 pep chromosome:AWHD00000000:1:30045861:30050926:1 gene:ONIVA01G34740 transcript:ONIVA01G34740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSY3] MEEGSNKREGLPPQLLDLIPDEKEWKLREALGLGRSRNAGFDGEEDKKLDLKLGLPGFIEDDEAETLRDYRLQQECPSLSLGFFPKHSKTTSSTTTTTGAKRGFIDTVEDKTEGYNDQKQQARAGCGKELAVEEMIAAVSERKKGCCPPPPPPHGAPATPARNRPQTQGRGAAAPVVGWPPIRSFRRNLASSSSSKHSPEPQNDNANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYDGLSSAVKQLFHGFLQAQKDQTNAQIAQQGADDKIFYQLLDGSGEYTLVYEDSEGDRMLVGDVPWKVFVSTAKRLRVLRSSELSHTLIGATARV >ONIVA01G34740.3 pep chromosome:AWHD00000000:1:30045865:30050926:1 gene:ONIVA01G34740 transcript:ONIVA01G34740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSY3] MEEGSNKREGLPPQLLDLIPDEKEWKLREALGLGRSRNAGFDGEEDKKLDLKLGLPGFIEDDEAETLRDYRLQQECPSLSLGFFPKHSKTTSSTTTTTGAKRGFIDTVEDKTEGYNDQKQQARAGCGKELAVEEMIAAVSERKKGCCPPPPPPHGAPATPARNRPQTQGRGAAAPVVGWPPIRSFRRNLASSSSSKHSPEPQNDNANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYDGLSSAVKQLFHGFLQAQKDQTNAQIAQQGADDKIFYQLLDGSGEYTLVYEDSEGDRMLVGDVPWKVFVSTAKRLRVLRSSELSHTLIGATARV >ONIVA01G34740.4 pep chromosome:AWHD00000000:1:30045858:30050926:1 gene:ONIVA01G34740 transcript:ONIVA01G34740.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSY3] MEEGSNKREGLPPQLLDLIPDEKEWKLREALGLGRSRNAGFDGEEDKKLDLKLGLPGFIEDDEAETLRDYRLQQECPSLSLGFFPKHSKTTSSTTTTTGAKRGFIDTVEDKTEGYNDQKQQARAGCGKELAVEEMIAAVSERKKGCCPPPPPPHGAPATPARNRPQTQGRGAAAPVVGWPPIRSFRRNLASSSSSKHSPEPQNDNANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYDGLSSAVKQLFHGFLQAQKDQTNAQIAQQGADDKIFYQLLDGSGEYTLVYEDSEGDRMLVGDVPWKVFVSTAKRLRVLRSSELSHTLIGATARV >ONIVA01G34730.1 pep chromosome:AWHD00000000:1:30034972:30035280:1 gene:ONIVA01G34730 transcript:ONIVA01G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGATAPWFIARKVLSRSTRGQSRLQLSIVVKGKDMAPRLLITKTGLDGSREGPRQRTSAAGSPGVLAEVQVLSGNATSYQLIRSWLKFLRENELLIGATRL >ONIVA01G34720.1 pep chromosome:AWHD00000000:1:30032005:30034917:1 gene:ONIVA01G34720 transcript:ONIVA01G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESTSSLSTRNGEEKKEQKRGVEQITLMNESIVYSFTSLSVSDLGPYQKHISRSHPPDRQRNHSGLGTTTLHALPCVLYFTLVAASRDPHALPLAVSHAAAVTGRLIRTKRFTGSDRFNLISNAKRQFYPSTSISFGRYHLQTEWYLEVLIAVSHRSRRKGLATTARVPSKVRRTVFTEASSNRTNGAPIDQAQARPEDKR >ONIVA01G34710.1 pep chromosome:AWHD00000000:1:30030243:30031701:1 gene:ONIVA01G34710 transcript:ONIVA01G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAQTPVEWGRQEDRRARGGGSQGLSATTDDRELLDNPFHLPHREGHPNSSNGWEKDGRQDGGGRGIAIAEARGHGHALDQEWSSNSYRPLLIPVGT >ONIVA01G34700.1 pep chromosome:AWHD00000000:1:30025551:30026653:-1 gene:ONIVA01G34700 transcript:ONIVA01G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSRGCVLGVLPSIDAKATIAAAATAMTEVAARSSSSLSLSISTRLASGYFADTDGVGRAASSPDKGDVEKPLQEDEKVRRKPSKLQPASVNSELRMPMPAAVRRRLGRVRALRQVEKPLQEEEWSDDGEFIELEGGLGIVAVTRKTTAGCLAWS >ONIVA01G34690.1 pep chromosome:AWHD00000000:1:30019390:30024830:-1 gene:ONIVA01G34690 transcript:ONIVA01G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / peptidoglycan-binding LysM domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G51940) TAIR;Acc:AT1G51940] MPPPRRRPPAPGLLILLIFLALASPGEVAGDDAAPGNVTASAPLPCSDLSRVCTAFVAFPAAGEAANASVLESMFDAAPGDITADAAASPGYAFVRKNCSCLASRTYLANTTYTVPSAAAGATANATAADVAAAAYAGLAVPPPGGPALRPPRPGAVVALHLLCGCSSGPWNYLLSYVGVDGDTVESLSSRFGASMDAIEAANGMAGPDPITTGKVYYIPLNSGEQMFSDHSLRQFDQSNFPIYTRSWTTISRDVSFLSYSCASTRTKYILRYGDVSEHHSTKFPYGWVIGSMGVALALIAIALLALVLCKLSQYNPQAPNNQGKSPDQSISHKFQLLKSGSFCYGSGRYLCCQFGNVKQSRTDGSDHHMNTPKGVVVDVFDREKPIVFTYQEILASTDSFSDANLLGHGTYGSVYYGVLRDQEVAIKRMTATKTKEFIVEMKVLCKVHHASLVELIGYAASKDELYLIYEYSQKGSLKNHLHDPQSKGYTSLSWIYRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDESFRAKISDFGLAKLVVKSTDAEASVTKVVGTFGYLAPEYLRDGLATTKNDVYAFGVVLFELISGKEAITRTDGLNEGSNSERRSLASVMLSALKNCRNSMYMGSLKACIDPNLMDLYPHDCVYKMAMLAKQCVEEDPVLRPDMKQAVITLSQILLSSIEWEATLAGNSQVFSGLVAGR >ONIVA01G34680.1 pep chromosome:AWHD00000000:1:30016469:30017009:-1 gene:ONIVA01G34680 transcript:ONIVA01G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFVPPGRGGSMSNGAGVVGHGSSMSHSAGVSGRGSSMSHGATGYGGFGGGGWDAGTSSAPTAAAEEEDNDVEDDDEDDNDGQRTDRIW >ONIVA01G34670.1 pep chromosome:AWHD00000000:1:30002449:30007070:-1 gene:ONIVA01G34670 transcript:ONIVA01G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGKPQQRQPLILPDAAPMGGGDGGRGDAATRRRRFVAFLAISVALVASYHHLAPAPASRYHALFLSLGNNDTAAAHLRALTLRPHVAGTEANAVAAEYVRAALSSFSFPTRVTPYSVLLSYPIHRSLSLSVPGRAAAFNFALVQETYPGDPYSEAAAEVIPTYFAYSASGSVAAEVVYANYGNTKDYEYLASRGVDVAGKVALVRYGNLHCEDMVRNARAAGAAAAIVYTDAKDFGGAGAKGKRKWFPDARWLPPTGVQVGTLYYGNGDPTTPLWPSCAAGEDCERLSQGELDGSAAMPSIPALPVSARDGETILKAMGGDAAPTEWQGGEGAPMYRLGPGPAVLNLTYIGNDTLATIENVFAMIEGKEEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAERLSKLEKKGWRPRRTIIVCSWDAEEFALIGSTEWVEDNMDMLASRAIAYLNVDITVFGPGGFRPRATPQLDQLIKEASKMVQDPDDPSQTLYDTMIRHHPPIDRVAGAGTDFAAFLQYIGVPSLDMSYGTISAAVTPLASTNIIALAVEDYPVYHSLYDDYVWMERFGDPLFHRHVALASVWGLIALRLADDEILPLNYVSYASELEKCTKLVEGGCTGCPVSFAPLHKSIDQLRKAANKIHKEKMMLQAENWSLKTRAYTVKVRDINDRLMMAERGFINREGLDGRPWYKHMIYASSDQDDWGTKAFPGIVSAIDKANKLNTTESWQLLQHEIYRAARAVSKASAVLDGAGAARKVAAIGRHVRLRSAATTGGHIKHVYAKPAGSLDISGSRFYLEVMDGTL >ONIVA01G34670.2 pep chromosome:AWHD00000000:1:29992753:30007070:-1 gene:ONIVA01G34670 transcript:ONIVA01G34670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGKPQQRQPLILPDAAPMGGGDGGRGDAATRRRRFVAFLAISVALVASYHHLAPAPASRYHALFLSLGNNDTAAAHLRALTLRPHVAGTEANAVAAEYVRAALSSFSFPTRVTPYSVLLSYPIHRSLSLSVPGRAAAFNFALVQETYPGDPYSEAAAEVIPTYFAYSASGSVAAEVVYANYGNTKDYEYLASRGVDVAGKVALVRYGNLHCEDMVRNARAAGAAAAIVYTDAKDFGGAGAKGKRKWFPDARWLPPTGVQVGSLFRGVGDPTTPMWASSEGCERVSVEEAMDTDDMPGIPALPVSARDAAEIHAALGGDAAPADWQGREGSPVYRLGPGPAILNLTYTGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRLSVLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSSRAVAYLNVDVSVVGPVQDPDNSSQTVYDSWVKSNISPLIGRLGHGGSDFSAFVQHVGIPSTSMGFGEGKGPGYPIYHSLYDDFVWMEKFGDPGFSRHAAVLSLQHDERHFRITAASIWGMMALRLADEEILPFNYMSYPVELEAYTKVVENEVRGTAISCSPLHNAIRTLKTAATKVNGERKELQRQLSSNQLKKDSMKIRSLNDRLMQAERAFTNREGLFKQEWFKHLVYGPSEQNDWESASYPGVENAIASARKENTTESWKFVQHEIHRVARAITQASVVLAGSLT >ONIVA01G34670.3 pep chromosome:AWHD00000000:1:29992753:30007070:-1 gene:ONIVA01G34670 transcript:ONIVA01G34670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGKPQQRQPLILPDAAPMGGGDGGRGDAATRRRRFVAFLAISVALVASYHHLAPAPASRYHALFLSLGNNDTAAAHLRALTLRPHVAGTEANAVAAEYVRAALSSFSFPTRVTPYSVLLSYPIHRSLSLSVPGRAAAFNFALVQETYPGDPYSEAAAEVIPTYFAYSASGSVAAEVVYANYGNTKDYEYLASRGVDVAGKVALVRYGNLHCEDMVRNARAAGAAAAIVYTDAKDFGGAGAKGKRKWFPDARWLPPTGVQVGSLFRGVGDPTTPMWASSEGCERVSVEEAMDTDDMPGIPALPVSARDAAEIHAALGGDAAPADWQGREGSPVYRLGPGPAILNLTYTGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRLSVLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSSRAVAYLNVDVSVVGPVQDPDNSSQTVYDSWVKSNISPLIGRLGHGGSDFSAFVQHVGIPSTSMGFGEGKGPGYPIYHSLYDDFVWMEKFGDPGFSRHAAAASIWGMMALRLADEEILPFNYMSYPVELEAYTKVVENEVRGTAISCSPLHNAIRTLKTAATKVNGERKELQRQLSSNQLKKDSMKIRSLNDRLMQAERAFTNREGLFKQEWFKHLVYGPSEQNDWESASYPGVENAIASARKENTTESWKFVQHEIHRVARAITQASVVLAGSLT >ONIVA01G34670.4 pep chromosome:AWHD00000000:1:30003294:30007070:-1 gene:ONIVA01G34670 transcript:ONIVA01G34670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGKPQQRQPLILPDAAPMGGGDGGRGDAATRRRRFVAFLAISVALVASYHHLAPAPASRYHALFLSLGNNDTAAAHLRALTLRPHVAGTEANAVAAEYVRAALSSFSFPTRVTPYSVLLSYPIHRSLSLSVPGRAAAFNFALVQETYPGDPYSEAAAEVIPTYFAYSASGSVAAEVVYANYGNTKDYEYLASRGVDVAGKVALVRYGNLHCEDMVRNARAAGAAAAIVYTDAKDFGGAGAKGKRKWFPDARWLPPTGVQVGTLYYGNGDPTTPLWPSCAAGEDCERLSQGELDGSAAMPSIPALPVSARDGETILKAMGGDAAPTEWQGGEGAPMYRLGPGPAVLNLTYIGNDTLATIENVFAMIEGKEEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAERLSKLEKKGWRPRRTIIVCSWDAEEFALIGSTEWVEDNMDMLASRAIAYLNVDITVFGPGGFRPRATPQLDQLIKEASKMVQDPDDPSQTLYDTMIRHHPPIDRVAGAGTDFAAFLQYIGVPSLDMSYGTISAAVTPLASTNIIALAVEDYPVYHSLYDDYVWMERFGDPLFHRHVALASVWGLIALRLADDEILPLNYVSYASELEKCTKLVEGGCTGCPVSFAPLHKSIDQLRKAANKIHKEKMMLQAENWSLKTRAYTVKVRDINDRLMMAERGFINREGLDGRPWYKHMIYASSDQDDWGTKAFPGIVSAIDKANKLNTTESWQLLQHEIYRAARAVSKASAVLDGRLT >ONIVA01G34670.5 pep chromosome:AWHD00000000:1:30003294:30007070:-1 gene:ONIVA01G34670 transcript:ONIVA01G34670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGKPQQRQPLILPDAAPMGGGDGGRGDAATRRRRFVAFLAISVALVASYHHLAPAPASRYHALFLSLGNNDTAAAHLRALTLRPHVAGTEANAVAAEYVRAALSSFSFPTRVTPYSVLLSYPIHRSLSLSVPGRAAAFNFALVQETYPGDPYSEAAAEVIPTYFAYSASGSVAAEVVYANYGNTKDYEYLASRGVDVAGKVALVRYGNLHCEDMVRNARAAGAAAAIVYTDAKDFGGAGAKGKRKWFPDARWLPPTGVQVGTLYYGNGDPTTPLWPSCAAGEDCERLSQGELDGSAAMPSIPALPVSARDGETILKAMGGDAAPTEWQGGEGAPMYRLGPGPAVLNLTYIGNDTLATIENVFAMIEGKEEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAERLSKLEKKGWRPRRTIIVCSWDAEEFALIGSTEWVEDNMDMLASRAIAYLNVDITVFGPGGFRPRATPQLDQLIKEASKMVQDPDDPSQTLYDTMIRHHPPIDRVAGAGTDFAAFLQYIGVPSLDMSYGTMEDYPVYHSLYDDYVWMERFGDPLFHRHVALASVWGLIALRLADDEILPLNYVSYASELEKCTKLVEGGCTGCPVSFAPLHKSIDQLRKAANKIHKEKMMLQAENWSLKTRAYTVKVRDINDRLMMAERGFINREGLDGRPWYKHMIYASSDQDDWGTKAFPGIVSAIDKANKLNTTESWQLLQHEIYRAARAVSKASAVLDGRLT >ONIVA01G34670.6 pep chromosome:AWHD00000000:1:29992755:29997044:-1 gene:ONIVA01G34670 transcript:ONIVA01G34670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDATSLLLSPSPTPPHKPTTPRRLICVVSSTLAALGASLSLLLLVVHSAPAPNYGSLFLSLASNDTAALHLRALTLHPHVAGTRANSLTAAYVCDAFSSLSIPAHITPYSVLLSYPVERSLSLAVPGRATTTSFALSQETYPNDPYASATAEVMPTFYAYAASGSVSAEAVYANYGREEDFAYLASRGVDVAGKVAVARYGRIHCEDIVHNARVAGAAAAVVYTDPLQYGGAPGEAWFPDSRWLPPTGVQVGSLFRGVGDPTTPMWASSEGCERVSVEEAMDTDDMPGIPALPVSARDAAEIHAALGGDAAPADWQGREGSPVYRLGPGPAILNLTYTGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRLSVLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSSRAVAYLNVDVSVVGPVQDPDNSSQTVYDSWVKSNISPLIGRLGHGGSDFSAFVQHVGIPSTSMGPGYPIYHSLYDDFVWMEKFGDPGFSRHAAAASIWGMMALRLADEEILPFNYMSYPVELEAYTKVVENEVRGTAISCSPLHNAIRTLKTAATKVNGERKELQRQLSSNQLKKDSMKIRSLNDRLMQAERAFTNREGLFKQEWFKHLVYGPSEQNDWESASYPGVENAIASARKENTTESWKFVQHEIHRVARAITQASVVLAGSLT >ONIVA01G34670.7 pep chromosome:AWHD00000000:1:29992755:29997044:-1 gene:ONIVA01G34670 transcript:ONIVA01G34670.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDATSLLLSPSPTPPHKPTTPRRLICVVSSTLAALGASLSLLLLVVHSAPAPNYGSLFLSLASNDTAALHLRALTLHPHVAGTRANSLTAAYVCDAFSSLSIPAHITPYSVLLSYPVERSLSLAVPGRATTTSFALSQETYPNDPYASATAEVMPTFYAYAASGSVSAEAVYANYGREEDFAYLASRGVDVAGKVAVARYGRIHCEDIVHNARVAGAAAAVVYTDPLQYGGAPGEAWFPDSRWLPPTGVQVGSLFRGVGDPTTPMWASSEGCERVSVEEAMDTDDMPGIPALPVSARDAAEIHAALGGDAAPADWQGREGSPVYRLGPGPAILNLTYTGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRLSVLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSSRAVAYLNVDVSVVGPVQDPDNSSQTVYDSWVKSNISPLDQAIPYIIRYTMTSCGWRNSEIQGSVGTLQVLLLLSLQHDERHFRITAASIWGMMALRLADEEILPFNYMSYPVELEAYTKVVENEVRGTAISCSPLHNAIRTLKTAATKVNGERKELQRQLSSNQLKKDSMKIRSLNDRLMQAERAFTNREGLFKQEWFKHLVYGPSEQNDWESASYPGVENAIASARKENTTESWKFVQHEIHRVARAITQASVVLAGSLT >ONIVA01G34670.8 pep chromosome:AWHD00000000:1:29992755:29997044:-1 gene:ONIVA01G34670 transcript:ONIVA01G34670.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDATSLLLSPSPTPPHKPTTPRRLICVVSSTLAALGASLSLLLLVVHSAPAPNYGSLFLSLASNDTAALHLRALTLHPHVAGTRANSLTAAYVCDAFSSLSIPAHITPYSVLLSYPVERSLSLAVPGRATTTSFALSQETYPNDPYASATAEVMPTFYAYAASGSVSAEAVYANYGREEDFAYLASRGVDVAGKVAVARYGRIHCEDIVHNARVAGAAAAVVYTDPLQYGGAPGEAWFPDSRWLPPTGVQVGSLFRGVGDPTTPMWASSEGCERVSVEEAMDTDDMPGIPALPVSARDAAEIHAALGGDAAPADWQGREGSPVYRLGPGPAILNLTYTGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRLSVLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSSRAVAYLNVDVSVVGPVQDPDNSSQTVYDSWVKSNISPLDQAIPYIIRYTMTSCGWRNSEIQGSVGTLQRHFRITAASIWGMMALRLADEEILPFNYMSYPVELEAYTKVVENEVRGTAISCSPLHNAIRTLKTAATKVNGERKELQRQLSSNQLKKDSMKIRSLNDRLMQAERAFTNREGLFKQEWFKHLVYGPSEQNDWESASYPGVENAIASARKENTTESWKFVQHEIHRVARAITQASVVLAGSLT >ONIVA01G34660.1 pep chromosome:AWHD00000000:1:29987773:29990705:1 gene:ONIVA01G34660 transcript:ONIVA01G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1005) [Source:Projected from Arabidopsis thaliana (AT4G29310) TAIR;Acc:AT4G29310] MDPCAFVRLTVDQLLLKLPSVPRPSSGAAGVHPSTSPCFCTLHLQDHPSAGSHSRTAQLPLASSESPGPVAAGEPVVISLDAAAVQRLSARPAAELVVSVHAGQKGNAGCGMSAGRALGRVRVPVDVARAAAGETVVARDGWVDVGKPAAAAASHGHARAQIHMVVRAEPDPRYVFQFGGEPECGPVVYQVPGGAAGGGQRQPVFTCRFSAGRRATRTRSLTSESSMTRSTSRKLRSWLSTLHGDRDAQARREQRKGWTVTIHDLSGSPVAMASMVTPFVPSPAGSGRVSRANPGAWLILQPTGAGPASWKPWARLEAWRERGPVDALGYRLELVFDSGPTECAVPIAESSISTKRGGQFVIDPATFPVGAAGAAWPFAGGFVMGSTAEGEGRASRPTVQVGVQHATCMGDVALFVALAAAVDLCMDACKLFSQRLRKELCHDQED >ONIVA01G34650.1 pep chromosome:AWHD00000000:1:29974100:29974372:-1 gene:ONIVA01G34650 transcript:ONIVA01G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHGGSPADEEQSWASGGGEVACVGPTELLVGRRAEHIPEYSIVTALGQDFGPLRDPPHGRLPDARRRPHCRIDGAMQAIDNHNGKSIS >ONIVA01G34640.1 pep chromosome:AWHD00000000:1:29969135:29972504:1 gene:ONIVA01G34640 transcript:ONIVA01G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G56590) TAIR;Acc:AT5G56590] MAHVAFVALLFLLLVGHCLGGKVGICYGRNADDLPAPDKVVQLIQQQSLKYVRIYDTNIDVIKAFANTGVELMVGVPNSDLLPFAQYQSNVDTWLKNSILPYYPATMITYITVGAEVTESPVNVSALVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAYFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQDVIDPNTGLVYTNMFDAQIDSIFFALMALNFKTLKIMVTETGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFFPDQSSIYSLDWTGRGNVDIMTGGNITNANGTWCVASANASETDLQNGLNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANDVACDFGGTGVRTTKDPSYDTCVYMAAGSKMSTTNSSNLPVQSGFSPSRFDKNFYLLFSMLPIMIAACIV >ONIVA01G34630.1 pep chromosome:AWHD00000000:1:29961068:29964179:1 gene:ONIVA01G34630 transcript:ONIVA01G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGSCRARAWSESACGMFLLGWVPWPSWERTPLGAGRPAVQQYYTIANWNAELIRRATSSKLPV >ONIVA01G34620.1 pep chromosome:AWHD00000000:1:29956865:29957491:-1 gene:ONIVA01G34620 transcript:ONIVA01G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFGGAAVLPVYREEEDEDLFETSSSISGDSDDEAQFSDSEEAEAQEDQFAQQPARRMNSDSLYDLSSMKAQLPVKKGLSKYYDGKSQSFACMSEVRCLEDLRKKENPYKKIKSSKSYVALDGNQEACHIPGANSTSIAKKSGSSCANLMARNNTKNMLYRPPPIPVNKSGYHQ >ONIVA01G34610.1 pep chromosome:AWHD00000000:1:29950118:29958957:1 gene:ONIVA01G34610 transcript:ONIVA01G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPNETQDIIRSAEENQTDQHENPPPPPNPIPSHPLSSPAAAAGGGGSSSSASTTMARIVSRALPFASRSPQLGAALIRSAPMRCPPLPAAAPTASLLSWRGFTPSSEPSRSAPPPPPLPMPPFAGFLAGIRSFRRGRRGQSAARRAQPQDPIPSPPPAPKESEIELYARIGIDDDTPEDPEVLNIVEILKLNVPMAMKIALDGLLDSNYKTRDTSISDVGRYDKVEVSVLLCNDNFIQNLNKEWRGEDSCIEMLSMSQYIPDLDVPILMLGDIVISVETAARQAEERDNMVRGILRLLGFDHQTSDESAVEMEKEEQLILKSLRWKGKNLAKSVLDSGKRHTETSDGQVTSGLKRAGSLRFYRPKFKYIFCDMDGTLLNSKSQVTARNAEALREARSRGVNIVIATGKARPAVIDALNMVDLSGRTGIVSESSPGIFLQGLLVYGLQGREIYKRNLDQEVCREALLYSLEQKVPLVAFSQDRCFSMYDDPLVDSLHYVYHEPKAEIVSSIDQLLGTAEIQKVLFLETPEGISSALRPFWEKAIEGRARVVQAQPDMLELVPPATSKGNGVKILLDHLCISPDEVMAIGDGENDIEMLQLASLGVALANGSEKTKAVANIIGATNDEDGVAQAIYDYAF >ONIVA01G34610.2 pep chromosome:AWHD00000000:1:29950118:29958957:1 gene:ONIVA01G34610 transcript:ONIVA01G34610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPNETQDIIRSAEENQTDQHENPPPPPNPIPSHPLSSPAAAAGGGGSSSSASTTMARIVSRALPFASRSPQLGAALIRSAPMRCPPLPAAAPTASLLSWRGFTPSSEPSRSAPPPPPLPMPPFAGFLAGIRSFRRGRRGQSAARRAQPQDPIPSPPPAPKESEIELYARIGIDDDTPEDPEVLNIVEILKLNVPMAMKIALDGLLDSNYKTRDTSISDVGRYDKVEVSVLLCNDNFIQNLNKEWRGEDSCIEMLSMSQYIPDLDVPILMLGDIVISVETAARQAEERGHTLLDEVRILAVRGILRLLGFDHQTSDESAVEMEKEEQLILKSLRWKGKNLAKSVLDSGKRHTETSDGQVTSGLKRAGSLRFYRPKFKYIFCDMDGTLLNSKSQVTARNAEALREARSRGVNIVIATGKARPAVIDALNMVDLSGRTGIVSESSPGIFLQGLLVYGLQGREIYKRNLDQEVCREALLYSLEQKVPLVAFSQDRCFSMYDDPLVDSLHYVYHEPKAEIVSSIDQLLGTAEIQKVLFLETPEGISSALRPFWEKAIEGRARVVQAQPDMLELVPPATSKGNGVKILLDHLCISPDEVMAIGDGENDIEMLQLASLGVALANGSEKTKAVANIIGATNDEDGVAQAIYDYAF >ONIVA01G34610.3 pep chromosome:AWHD00000000:1:29950118:29959008:1 gene:ONIVA01G34610 transcript:ONIVA01G34610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPNETQDIIRSAEENQTDQHENPPPPPNPIPSHPLSSPAAAAGGGGSSSSASTTMARIVSRALPFASRSPQLGAALIRSAPMRCPPLPAAAPTASLLSWRGFTPSSEPSRSAPPPPPLPMPPFAGFLAGIRSFRRGRRGQSAARRAQPQDPIPSPPPAPKESEIELYARIGIDDDTPEDPEVLNIVEILKLNVPMAMKIALDGLLDSNYKTRDTSISDVGRYDKVEVSVLLCNDNFIQNLNKEWRGEDSCIEMLSMSQYIPDLDVPILMLGDIVISVETAARQAEERGHTLLDEVRILAVRGILRLLGFDHQTSDESAVEMEKEEQLILKSLRWKGKNLAKSVLDSGKRHTETSDGQVTSGLKRAGSLRFYRPKFKYIFCDMDGTLLNSKSQVTARNAEALREARSRGVNIVIATGKARPAVIDALNMVDLSGRTGIVSESSPGIFLQGLLVYGLQGREIYKRNLDQEVCREALLYSLEQKVPLVAFSQDRCFSMYDDPLVDSLHYVYHEPKAEIVSSIDQLLGTAEIQKVLFLETPEGISSALRPFWEKAIEGRARVVQAQPDMLELVPPATSKGNGVKILLDHLCISPDEVMAIGDGENDIEMLQLASLGVALANGSEKTKAVANIIGATNDEDGVAQAIYDYAF >ONIVA01G34600.1 pep chromosome:AWHD00000000:1:29939508:29943234:1 gene:ONIVA01G34600 transcript:ONIVA01G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISELGECDGVRSEGEEEQQVVVEEASVRGGVVVARLSAKRALVGAGARVLFYPTLLYNVLRNRFEAEFRWWDRVDQYILLGAVPFPSDVPRLKQLGVQRVVTLNEAYETLVPTSLYQAHGIDHLIIPTRDYLFAPALQDICQAIDFIHRNASEGGITYVHCKAGRGRSTTIVLCYLIKYRSMSPEAALDHVRSIRPRVLLAPSQWQAVNVFSTLTTGRLPIQSKNLGHFLEAGDDCITNSEIDDYYSMELDYEDSGLPLCQVMLPRPTSPTVCTDAVLITEADLEGYDTYADTRKDVVSLEVIVSRKPIMRRLSCLFGSLKLTSNCEPTPSRFAEVRAC >ONIVA01G34590.1 pep chromosome:AWHD00000000:1:29920477:29928363:-1 gene:ONIVA01G34590 transcript:ONIVA01G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHDQAHKTRAFPFPQNLRTVRIRPLHVSTRPSSTSAPHRTVAPASPTSPAAAPLISAGRLLQASATRTAEMYRIAGSHLRSLKRYSSSRFASTSVVKQSSGGLFSWLLGEKSSQLPPLDVPLPGITLPPPLPDFVEPSKTKVTTLPNGIKIASETSVSPAASVGLYIDCGSIYETPASSGASHLLERMAFKSTTNRSHLRLVREVEAIGGNVSASASREQMCYTYDAFKAYVPEMVEVLIDSVRNPAFFNWEIKEQLEKIKAEIAEVSDNPQGLLLEALHSAGYSGALAKPLMAPQSAIHRLDSSILEEFIAENYTAPRMVLAASGVEHDELVSIAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSDKTHIALAFEVPGGWFEEKTAIIVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILNNYHQIESFSAFNSIYNHSGLFGIHATTSPNFASKAVDLAAGELLEVATPGKVTQEQLDRAKQATKSAVLMNLESRVVASEDIGRQILTYGERKPIEHFLKDLEAITLNDISSTAKKIISSPLTLASWGDVIHVPSYESVSQKFFSKQKATAAVALSRAGGGSGGVQGGDRALQGGWGKRRLPGRAGEAVAVTAPSRSGGGSGGGGGGALQGGRGKRQRRRSPLGQVGKAAGATAAVADAVAVFFPLVGKLTYVPSTGDVVVDCSPSAVGDGVTATRGRSAERHDVPAFLRLVPSLEAPELPAPLLAVQVTRFVGGGDGGGVAVQHAVPDGQSFWRFMDAWSAPALGRPSAPAPVFDRSAIVHPMAAAMAQRILRKVTPELPLLLTANLLRRTWQHHDTTTLELERHRIADIKNLIVELDEATTSPGRVRGAAAAAAATDRRRPPDSPSHPRPPSARATKPSST >ONIVA01G34580.1 pep chromosome:AWHD00000000:1:29918978:29919555:1 gene:ONIVA01G34580 transcript:ONIVA01G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSDAKRVRVLAESLLLGPPGVFPTRTPNLVSTVGFEVHPWASTVWRIMDGVNGATQARVEFRVQTSQVHFTRSVQEKQRTVEKQRNRVPTPPSGIKTSGVVEDESQE >ONIVA01G34570.1 pep chromosome:AWHD00000000:1:29912435:29917156:-1 gene:ONIVA01G34570 transcript:ONIVA01G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSV7] MSLPDDDAAYAKLEEGDYGYLLDDVPHFTDYLSDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKDSPRGVHFRRAGPRQRVYFESEDVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDIFGIQNGYKGFYSSNYLPMTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRRRGLKVAVAGVPKTIDNDIAVIDKSFGFDSAVEEAQRAIDAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIEKRLKENNHMVIVVAEGAGQDLIAKSIAAADQIDASGNKLLLDVGLWLTHKIKDYCKNKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDAAREADKLASKSPVPVNTKEHGENAKKPANGEK >ONIVA01G34570.2 pep chromosome:AWHD00000000:1:29912435:29917174:-1 gene:ONIVA01G34570 transcript:ONIVA01G34570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSV7] MASHIILPKEEEAALGVAVEEDHDSPAAPGYQHQQGPPVAKALPFSATCVRISRDSYPNLRALRNASAMSLPDDDAAYAKLEEGDYGYLLDDVPHFTDYLSDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKDSPRGVHFRRAGPRQRVYFESEDVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDIFGIQNGYKGFYSSNYLPMTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRRRGLKVAVAGVPKTIDNDIAVIDKSFGFDSAVEEAQRAIDAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIEKRLKENNHMVIVVAEGAGQDLIAKSIAAADQIDASGNKLLLDVGLWLTHKIKDYCKNKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDAAREADKLASKSPVPVNTKEHGENAKKPANGEK >ONIVA01G34560.1 pep chromosome:AWHD00000000:1:29902445:29910425:1 gene:ONIVA01G34560 transcript:ONIVA01G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPANPNFARARRLVLKGASRHGCRNSTRPCLQGEEVVAAVVVAVAVGEVLWQKMIWTFMKPLHLLPRALFLIKRTILSSLRSNLVFPAAEHVSNTLLNPKINHRSDAIFGDQALHRISRRGRERENWEETHHEYIQEWEARRQRIFPESEQYDPSSYEEYLHWYSGVTRRYLVPSISDDVEAGPSLQPDDSIDLQYQAKAPMIRKAVDKLHGMVKKAKMAMTSTADTTTQALVFEFLHGFQDVLHDLGEIKENGGSATSPHVESAAAQDMPLLLLEAEQNIVHADQEAQHQEEEELHMVDDATMTLEPMDEEDNGFNNVICPCPSLELEEHSHSATPAIDECDTATPAPGSAIPQQSTSVDQDGHLENPNEMGQIELMVEPMYVDHNDSNNVLSSSTSAQALLENCEVAEAVNENVDPATQVTGSSTPEQGTDVIVDAEQENPATTEGN >ONIVA01G34560.2 pep chromosome:AWHD00000000:1:29904398:29910425:1 gene:ONIVA01G34560 transcript:ONIVA01G34560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKVLDQTVREIRREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATKSYGDSEIIMNVLWQRLGNTLANWRHVYKALAVIEYLLANGTERAADGIVDNSSRIAVWVLRYTCRLMLISFGKKLTRFEYLEPNGKDVGLNVRKKAEAVLAILDDREKLQEVREKAAVTRDKYFGLSSTGITHKSSAASFGSGSYSSGSHYGSTGGSREVGSFKDIHTGTEWKKNKKETVSNYSSNREGSKEITNSATSYKSKKSERHGRRNQNSLTLHSKLSANISTTSEAPSSKKGENEDDDDFNPRGFSTSSNHHVAIWKFTWLYVWNSAGTGTTRSNHLDLFGPSLMDDLVDSTTSTSTATPNVSTPAVPEVDLFADAAFQSANAPLEAATVSHTQDKIDLFAGRLSSADSFTSDTEFSVRGSPNKSSEKKMSSVVHPSTSAFDPFKQSFATSFPSDAEFSVHDPTSKSSQGKTPTPEHSSTAAFDPFAAIPLKSFDGSESFGTFSSNTASNITELPRDSSGGPKSSDHGPLEDANFDAFTSHLGSSTTSATESMNKPIKKLGQDSMSASKSVAKKETFQVKSGIWADSLSRGLIDLNITSSQKKVDLSDVGIVGPLSGGSEDKGPWYMGATMGTAPGLVSSSFPSKTETSSGSGHFQHQQFGSFK >ONIVA01G34560.3 pep chromosome:AWHD00000000:1:29904398:29910425:1 gene:ONIVA01G34560 transcript:ONIVA01G34560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKVLDQTVREIRREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATKSYGDSEIIMNVLWQRLGNTLANWRHVYKALAVIEYLLANGTERAADGIVDNSSRIAKLTRFEYLEPNGKDVGLNVRKKAEAVLAILDDREKLQEVREKAAVTRDKYFGLSSTGITHKSSAASFGSGSYSSGSHYGSTGGSREVGSFKDIHTGTEWKKNKKETVSNYSSNREGSKEITNSATSYKSKKSERHGRRYLPVLLRLFQLSANISTTSEAPSSKKGENEDDDDFNPRGFSTSSNHHVAIWKFTWLYVWNSAGTGTTRSNHLDLFGPSLMDDLVDSTTSTSTATPNVSTPAVPEVDLFADAAFQSANAPLEAATVSHTQDKIDLFAGRLSSADSFTSDTEFSVRGSPNKSSEKKMSSVVHPSTSAFDPFKQSFATSFPSDAEFSVHDPTSKSSQGKTPTPEHSSTAAFDPFAAIPLKSFDGSESFGTFSSNTASNITELPRDSSGGPKSSDHGPLEDANFDAFTSHLGSSTTSATESMNKPIKKLGQDSMSASKSVAKKETFQVKSGIWADSLSRGLIDLNITSSQKKVDLSDVGIVGPLSGGSEDKGPWYMGATMGTAPGLVSSSFPSKTETSSGSGHFQHQQFGSFK >ONIVA01G34560.4 pep chromosome:AWHD00000000:1:29904398:29910425:1 gene:ONIVA01G34560 transcript:ONIVA01G34560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKVLDQTVREIRREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATKSYGDSEIIMNVLWQRLGNTLANWRHVYKALAVIEYLLANGTERAADGIVDNSSRIAKLTRFEYLEPNGKDVGLNVRKKAEAVLAILDDREKLQEVREKAAVTRDKYFGLSSTGITHKSSAASFGSGSYSSGSHYGSTGGSREVGSFKDIHTGTEWKKNKKETVSNYSSNREGSKEITNSATSYKSKKSERHGRRNQNSLTLHSKLSANISTTSEAPSSKKGENEDDDDFNPRGFSTSTGTGTTRSNHLDLFGPSLMDDLVDSTTSTSTATPNVSTPAVPEVDLFADAAFQSANAPLEAATVSHTQDKIDLFAGRLSSADSFTSDTEFSVRGSPNKSSEKKMSSVVHPSTSAFDPFKQSFATSFPSDAEFSVHDPTSKSSQGKTPTPEHSSTAAFDPFAAIPLKSFDGSESFGTFSSNTASNITELPRDSSGGPKSSDHGPLEDANFDAFTSHLGSSTTSATESMNKPIKKLGQDSMSASKSVAKKETFQVKSGIWADSLSRGLIDLNITSSQKKVDLSDVGIVGPLSGGSEDKGPWYMGATMGTAPGLVSSSFPSKTETSSGSGHFQHQQFGSFK >ONIVA01G34560.5 pep chromosome:AWHD00000000:1:29904398:29910425:1 gene:ONIVA01G34560 transcript:ONIVA01G34560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKVLDQTVREIRREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATKSYGDSEIIMNVLWQRLGNTLANWRHVYKALAVIEYLLANGTERAADGIVDNSSRIAKLTRFEYLEPNGKDVGLNVRKKAEAVLAILDDREKLQEVREKAAVTRDKYFGLSSTGITHKSSAASFGSGSYSSGSHYGSTGGSREVGSFKDIHTGTEWKKNKKETVSNYSSNREGSKEITNSATSYKSKKSERHGRRYLPVLLRLFQLSANISTTSEAPSSKKGENEDDDDFNPRGFSTSTGTGTTRSNHLDLFGPSLMDDLVDSTTSTSTATPNVSTPAVPEVDLFADAAFQSANAPLEAATVSHTQDKIDLFAGRLSSADSFTSDTEFSVRGSPNKSSEKKMSSVVHPSTSAFDPFKQSFATSFPSDAEFSVHDPTSKSSQGKTPTPEHSSTAAFDPFAAIPLKSFDGSESFGTFSSNTASNITELPRDSSGGPKSSDHGPLEDANFDAFTSHLGSSTTSATESMNKPIKKLGQDSMSASKSVAKKETFQVKSGIWADSLSRGLIDLNITSSQKKVDLSDVGIVGPLSGGSEDKGPWYMGATMGTAPGLVSSSFPSKTETSSGSGHFQHQQFGSFK >ONIVA01G34550.1 pep chromosome:AWHD00000000:1:29898365:29899042:1 gene:ONIVA01G34550 transcript:ONIVA01G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYETSSDHQLAAAAEFLAALQVHLAGAEASSPTWGGRCAYDEDFMMYEFKVRRCPRSRAHEWTSCPYAHPGEAARRRDPSHVTYTGEPCPDFRVAARAACPRGSGCPFAHGTFETWLHPSRYRTRPCRSGMLCARPVCFFAHNDKELRIVGDDAAAATPSPRSPFTTSEDSPPPSPMDMRQIVLAMQQMDARKATRSVAPKTDMLQQELEEDAPELGWVSDLLM >ONIVA01G34540.1 pep chromosome:AWHD00000000:1:29892619:29897318:1 gene:ONIVA01G34540 transcript:ONIVA01G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVGAYADPFLPPKMALSAYAAPMGEYGQPQPQPQPAPRPPACPYSSSSSSPPPVSASYHSWPPATSASPVSSPPPVSSPPESFPSSPPPALSPPPPDAPPPSLPPSPPPSPPPSPPHVEVQAPPPPMTTDQPRVQPRVYPSPPPPSLPPPPPQTFSPPSPPPFHPPSSSPAPAPVPAAVVYPPPPPPRIASPPPPRNHIKPHYVPRSSARSHSNSTRASSGAGKNIEISREAATTIVALAGLAMLSFVGATIWFVKKKRRRIEPPASLPTQQPAPPPPPNYFPSSGGSSLTSDAFFISPGYHPVRLFSAGSHGYPYSPADSAIGYSRMLFTPENLAEFTNGFAEQNLLGEGGFGCVYKGILPDNRLVAVKKLKIGNGQGEREFKAEVDTISRVHHRHLVSLVGYCIADGQRMLVYDFVPNNTLYYHLHVSEAAVLDWRTRVKISAGAARGIAYLHEDCHPRIIHRDIKSSNILLDDNFEAQVSDFGLARLAADSNTHVTTRVMGTFGYLAPEYALSGKLTAKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLLKAIEHREFGDLPDPRMENRFDENEMYHMIGAAAACIRHSAAMRPRMGQVVRALDSLADSNLNNGLQPGRSEVFLEPQSEEIRLFQLREFGSRDCSDEMSQASWRSRRDL >ONIVA01G34530.1 pep chromosome:AWHD00000000:1:29863093:29870547:-1 gene:ONIVA01G34530 transcript:ONIVA01G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSQPAEAAELVGSSSPAVTPPPPPTETRASDDGDSEGDDEAATDFERITDHQLQESIKRTRHSLGMCARLRDGGVKFLRRIRRMEKELDRRRAAGLRKGVITWRPTVKSPSQDDSHAFKDGDKLNWVNTSSKHHQNVPITPTTNYGQAEDSAFFKELSYFGREKHASLKKVEQSSRTTVSHQPKNHAVCPKRADDKQLHMDNKIIVNKRKLGSKSCLRKRPKNNSFDSNGMYDKLHTKDVTLGRSTKRWEHTKNHITEFRGLFDSKERDKKKDVVLLDDEDMEPAKSINVEMAHKWTDLETFELICSDIECLEPEEYLKSPVINFYMQYLRKSRTCGDLYIFNTYFYSKLEEVLSRMGDHDDSQFSKLRRWWKHIDIFRQPYIILPIHGDFLIAEWQHLQNDSSYTIPFSGRIWNHLSKNINKEKVQFGRKWFDPKETSGLRDRIRALMFDAFESARMDDESSQSESHSDDQSGDEDKDRDGVMVVVID >ONIVA01G34520.1 pep chromosome:AWHD00000000:1:29860219:29863458:1 gene:ONIVA01G34520 transcript:ONIVA01G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGGARRALAGLRSASSSRAFSQPAPAAAAARSPELAAFSLPRSTRRRPAISRVPVEALGGAHGLMPLHSATASALLTSMLGLKPGSWGWLSEGFATPL >ONIVA01G34510.1 pep chromosome:AWHD00000000:1:29853496:29857641:1 gene:ONIVA01G34510 transcript:ONIVA01G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVGARRALLAARYSPRGALASPVRRVDSPPSLPADRGCLWPLVPHRGAGNFASEQIDGDYHREWGARNDGNYREPYRTDSLSHQVQANLPSTDSSVGADRIRGVSGDGSVNANYRRNPGQPEFPNRHEPYSSARVNNGASGYNDRQPYGSANAQYRSNSAQPSQTGGPYGFANRNEPYTSARVNYEAPGYNDKQPYGGGTTYNQQIANGDLPNSQYSRRQGNNSGVSGYGTGHHYHGSDTYRSGYNTQNNQQAYDSRQYGYGPSGQSYQESTGNDQQVFQQQEVDQRSAGNYANRPGSTSEYPNPSRFNKEHAANFQQGYNGGIGHNVSHAPQSPYVSSKIDAQGNFPGQPMNVNRSVQHNTHAPALYQDGIYRNPLTDSPSIDGLPSGASDVTSGESKVTVEEMDKLCEDGKVKEAVELLALLQEEGTVVHAPQYFKLMQACGDATSLAEARKIHNQISQSALAVDTDINNKILEMYAKCGSMEDAKKLFNTIAQRNLASWNTIISGFVYNGLGDEATDFFDQFKQTGNKPDSTMFTHVFLACGILGSVDEGMLHFESMQKDFGVTPTMEHYVSIVNMLGQSGYIDEACEFVEQMPVEPSIDVWESLMNMCRLNGFLELGNRCAQIVERLDSSRLNDQSKIGLFPVDASELAKEKERKKANAVEARSKVHEYRAGDRSHPEHLKIYEELRYLAAHMKEAGYIADTRFVLHDVDQETKEDALLAHSERLAVSYGLITSAARSPIRVIKNLRSCGDCHTALKIISKLVGRLIIARDAKRFHHFENGVCSCKDYW >ONIVA01G34510.2 pep chromosome:AWHD00000000:1:29853496:29857037:1 gene:ONIVA01G34510 transcript:ONIVA01G34510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVGARRALLAARYSPRGALASPVRRVDSPPSLPADRGCLWPLVPHRGAGNFASEQIDGDYHREWGARNDGNYREPYRTDSLSHQVQANLPSTDSSVGADRIRGVSGDGSVNANYRRNPGQPEFPNRHEPYSSARVNNGASGYNDRQPYGSANAQYRSNSAQPSQTGGPYGFANRNEPYTSARVNYEAPGYNDKQPYGGGTTYNQQIANGDLPNSQYSRRQGNNSGVSGYGTGHHYHGSDTYRSGYNTQNNQQAYDSRQYGYGPSGQSYQESTGNDQQVFQQQEVDQRSAGNYANRPGSTSEYPNPSRFNKEHAANFQQGYNGGIGHNVSHAPQSPYVSSKIDAQGNFPGQPMNVNRSVQHNTHAPALYQDGIYRNPLTDSPSIDGLPSGASDVTSGESKVTVEEMDKLCEDGKVKEAVELLALLQEEGTVVHAPQYFKLMQACGDATSLAEARKIHNQISQSALAVDTDINNKILEMYAKCGSMEDAKKLFNTIAQRNLASWNTIISGFVYNGLGDEATDFFDQFKQTGNKPDSTMFTHVFLACGILGSVDEGMLHFESMQKDFGVTPTMEHYVSIVNMLGQSGYIDEACEFVEQMPVEPSIDVWESLMNMCRLNGFLELGNRCAQIVERLDSSRLNDQSKIGLFPVDASELAKEKERKKANAVEARSKVHEYRAGDRSHPEHLKIYEELRYLAAHMKEAGYIADTRFVLHDVDQETKEDALLAHSERLAVSYGLITSAARSPIRVIKNLRSCGDCHTALKIISKLVGRLIIARDAKRFHHFENGVCSCKDYW >ONIVA01G34500.1 pep chromosome:AWHD00000000:1:29849382:29853415:-1 gene:ONIVA01G34500 transcript:ONIVA01G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G40280) TAIR;Acc:AT5G40280] MGLLVVGLTAFPVFRGPQEHRGHGCCLSGSHMVRRLSVRSPRLQRARRPPPSAPPTTAPMDSPSPPPPPPYPPAAAEGGPAADSQAAELPRLTVTQVEQMKVEAKVGEIYRVLFGNAPNANSLMLELWREQHVEYLTRGLKHLGPSFHVLDANRPWLCYWIIHALALLDEIPDDVEDDIVDFLSRCQDKDGGYGGGPGQLPHLATTYAAVNTLVTIGSERALSSVNRDNLYKFMLRMKDTSGAFRMHDGGEIDVRASYTAISVASLVNILDGELAKGVKPMKVALLGNRMLKLMGWVAFRQGVECGFQGRTNKLVDGCYSFWQGAALALTQKLMTVVDEQLKSSYSSKRPPGDDACGTSSSTEAAYYAKFGFDFIEKSNQIGPLFHNIALQQYILLCAQVLDGGLRDKPGKNRDHYHSCYCLSGLSVSQYSAMVDSDACPLPQHVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSS >ONIVA01G34500.2 pep chromosome:AWHD00000000:1:29849382:29853415:-1 gene:ONIVA01G34500 transcript:ONIVA01G34500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G40280) TAIR;Acc:AT5G40280] MGLLVVGLTAFPVFRGPQEHRGHGCCLSGSHMVRRLSVRSPRLQRARRPPPSAPPTTAPMDSPSPPPPPPYPPAAAEGGPAADSQAAELPRLTVTQVEQMKVEAKVGEIYRVLFGNAPNANSLMLELWREQHVEYLTRGLKHLGPSFHVLDANRPWLCYWIIHALALLDEIPDDVEDDIVDFLSRCQDKDGGYGGGPGQLPHLATTYAAVNTLVTIGSERALSSGQPVQVHASDERYIGSFQVASLVNILDGELAKGVKPMKVALLGNRMLKLMGWVAFRQGVECGFQGRTNKLVDGCYSFWQGAALALTQKLMTVVDEQLKSSYSSKRPPGDDACGTSSSTEAAYYAKFGFDFIEKSNQIGPLFHNIALQQYILLCAQVLDGGLRDKPGKNRDHYHSCYCLSGLSVSQYSAMVDSDACPLPQHVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSS >ONIVA01G34500.3 pep chromosome:AWHD00000000:1:29849382:29853415:-1 gene:ONIVA01G34500 transcript:ONIVA01G34500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G40280) TAIR;Acc:AT5G40280] MGLLVVGLTAFPVFRGPQEHRGHGCCLSGSHMVRRLSVRSPRLQRARRPPPSAPPTTAPMDSPSPPPPPPYPPAAAEGGPAADSQAAELPRLTVTQVEQMKVEAKVGEIYRVLFGNAPNANSLMLELWREQHVEYLTRGLKHLGPSFHVLDANRPWLCYWIIHALALLDEIPDDVEDDIVDFLSRCQDKDGGYGGGPGQLPHLATTYAAVNTLVTIGSERALSSVNRDNLYKFMLRMKDTSGAFRCAFSQVVNSGEKIVSFHVEFLTPYICHSFYYPLTRMHDGGEIDVRASYTAISVASLVNILDGELAKGVKPMKVALLGNRMLKLMGWVAFRQGVECGFQGRTNKLVDGCYSFWQGAALALTQKLMTVVDEQLKSSYSSKRPPGDDACGTSSSTEAAYYAKFGFDFIEKSNQIGPLFHNIALQQYILLCAQVLDGGLRDKPGKNRDHYHSCYCLSGLSVSQYSAMVDSDACPLPQHVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSS >ONIVA01G34490.1 pep chromosome:AWHD00000000:1:29846504:29847130:1 gene:ONIVA01G34490 transcript:ONIVA01G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIVNDFGDGTYTVAFDEDKIYTTVTDSGEEVEEWLDEIVRIHHRRLDHLIVGLDVEWNPASGFCAPGPVAVLQICVGRRCLVFQIIHADYVPDQLGDFLGDGRFTFVGVGIHDDVDKLREHYDLEVENAVDLRYLAAQTIGKPALRSTGLQGLVWEVKDVWMEKPHHVRVSAWDSRQLTLDQVMYACADAFASFEVGRSLYDDYE >ONIVA01G34480.1 pep chromosome:AWHD00000000:1:29844453:29846441:1 gene:ONIVA01G34480 transcript:ONIVA01G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADPPLQKVTLGSTVHGSALLEDGPNPLPSHLSPSPSLLPSPKRTPTCRLSPSSGHLRSCRHPSAPPPAVYPQARGGWTGVEGGERRVEEKECESPI >ONIVA01G34470.1 pep chromosome:AWHD00000000:1:29842558:29843153:1 gene:ONIVA01G34470 transcript:ONIVA01G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIVDDFGDGTYTVAFDEDYIHTTVTYSGDDVDDWLDEILRIHRRRLNYLVVGLDVEWRPATYYHGPGPVAVLQICVGRRCLIFQILHADYVPDSLFDFLADGRFTFVGVGIHDDVAKLRSHHGLEVENAVDLRYLAAQTIGKPALRSAGLQGLVREVMGVWAPKPYHVRRLGLLESDAFASFEVGRSLYYDYD >ONIVA01G34460.1 pep chromosome:AWHD00000000:1:29838257:29840686:1 gene:ONIVA01G34460 transcript:ONIVA01G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGDSAVGGVTVDVTLSPNAVLPGFAKRPEAMTEASCCGGGFPRCARAAAAAAWAFVSEDAGRVAFALKAGLAMLLASLLVLVGEPFRLFGTNIIWSILTVGIMFEYTVGASFNRGFNRAVGSMVAGVVAIAVIWISLRCGSVAEPYLPALAPYEYGFRVILFTYCLIMVSVYRVGEPVAAGLDRLYAIAIGAVLALLVNVLIFPAWAGEQLHRELVASFAAVADSLHDCVRSYLSGDETAVDGGEPAIEKCRAILNASARIESLARSARWEPPHGRFRSFSFPWSQYARVGAVLRHCAYEAPDGVREAFRAEIEDATAQAAELVRVLGGDVDGMTRSAERLSLLKSVHGSAYRLQLALELNSHLLVSSGSVAEEITSGGGLERSCSRLRESARRQRLSWPSREADELEEAEAGGGYAAMMVRVRALESTAALSLATFASLLLEFVARLDHLVDAVDELSKLAKFREESDSIKLAT >ONIVA01G34450.1 pep chromosome:AWHD00000000:1:29827389:29836157:1 gene:ONIVA01G34450 transcript:ONIVA01G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPAASAAAKATISVEYAKSGRSSCKVCSEGIAKGALRLGASARDPRGFDSTKWYHVACFPSSSHPIDPVEKVKGFDSIKEEDREEVRELNKTCKRDHTAVGTTEESSPKKVKASPGVAENVSISVEYAKSGRSTCKGCSESIAAGALRLGASIRDPRGFDSTKWYHIACFPSSTYPAFPVENLKGFDSIEVHSMEDSKGTGNNIEGVKMLAGDKRAGPVIPFSVSDIKQNYKDATLPAHWKVFNTVIFREQDDGLQSSAKIAAFDFDGCLAKTSVRIVGADKWSLLYESIPEKLQILYNDGYKLVIFTNESNIERWNKKRQQAVDSKIGRLDKFIERVKVPIQVFIACGLGKGKTFPDDPFRKPNTGMWWLMREHFNSGVTVDMDKSFYVGDAAGRENDHSDADKEFAKAIGLKFHVPEEYFGEAANI >ONIVA01G34440.1 pep chromosome:AWHD00000000:1:29824055:29824768:-1 gene:ONIVA01G34440 transcript:ONIVA01G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSNSPPVPDIGSFSYSWPTNKPLARADDDDTHARGCSFDFSPPPFECSEQAAAMAHADQMFRDGLLLPLRAVRRQGGGGVVDDDDRDVSGAPKQGAIPVLLRPRYVDSAQRITTTIPTIQRHRLARPASPNSPCSSLRVAAAPGWSPSSVLGLGASIKLRLPSLGRRCGRVLQRRLSCKCLTFLEPLYQKMASCCVGRRITTRHAYGRAAADESRDGKVCEDAIRDAILHCKKSL >ONIVA01G34430.1 pep chromosome:AWHD00000000:1:29817558:29820673:1 gene:ONIVA01G34430 transcript:ONIVA01G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FST5] MAEHKEEQSVMEKLSEKLHGDSSSSSSDSDDDKKGSSSSSSAAAMKAKIYRLFGRERPVHSVLGGGKPADLVLWRNKKISGGVLAGATAIWLLFEIMEYHLLTLVCHCLILSLAVLFLWSNASTFIHKSPPNIPEVKIPEDLTVNIALSLRYEINRGFATLREIGHGRDLKKFLIVIAGLWILSVLGSSCNFLTLFYIVFVALYTVPVLYEKYEDKIDAFGEKAEIELKKYYALFEEKCLSKIPKGPLKDKKH >ONIVA01G34420.1 pep chromosome:AWHD00000000:1:29807827:29808420:-1 gene:ONIVA01G34420 transcript:ONIVA01G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLLSSLATLGLGYSIAIALGFLVLLASLLLASYFCFRRGGGGGHFSGVLTPSSSSSHLSITVPRVLFVAEGSESPDAYSSGVAAASSPVGLDPAAIASYPKVPFYSGAGADADAMCSICLSEYADGEMLRVMPDCRHRFHVCCLDAWLRRNASCPVCRSSPIPTPVATPLATPLSELVPLSQYAADRRRSR >ONIVA01G34410.1 pep chromosome:AWHD00000000:1:29792771:29793526:1 gene:ONIVA01G34410 transcript:ONIVA01G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGPYGEAEKNEPARPLALPSPSVHPAANDDEEAARAAAAAAGDTAANKRPRSPQYLRRRRCVIWCGGCCVTSAVVVGIVILVLALTVFKVKDPELTMNRVTLEGLDGDLGTSRHPVSVNATLNADVSLRNPNVASFRFDRSETDFYYAGETVGVAYAPEGEVGADSTVRMNVTLDVLADRISPNVNATDLIFGQDYNLTSYTEISGRVNVLGIYKRNLDIKMNCSITLEVSALSTVQSKSTNCVASVS >ONIVA01G34400.1 pep chromosome:AWHD00000000:1:29786163:29787578:-1 gene:ONIVA01G34400 transcript:ONIVA01G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FST2] MESKPSRSVVLYAAMGAGHLLPMVELAKLFLTRGLDVTIAVPATPGSGTTGSPTIAGIAASNPSITFHHLPPPPSCADPDPNLLLLMLDVLRRSVPSLASLLRSIPSVAALVLDIFCAEAVDAAGALHVPAYIYFTSAAGAFAASLGLMHHYSTATTNLRDMGKALLRFPGVPPIPASDMPSLVQDREGRFYKARAKLYARAMEASGVLLNTYEWLEARAVGALREGACSPDRPTPPVYCVGPLVASGEEEGGGARHACLAWLDAQPARSVVFLCFGSMGSFSAAQLKEIARGLESSGHRFLWVVRSPRQDPANLLEHLPEPDLAALLPEGFLERTADKGMVVKSWAPQAKVLRHAATGAFVTHCGWNSTLEGITAGVPLLCWPLYAEQRMNKVFIVEEMKMGVVIDGYDEEMVRAEEVEAKVRLVMESEEGGKLLERLAVARAKAVEALAEEGPSRVAFDEFIDRLVSSE >ONIVA01G34390.1 pep chromosome:AWHD00000000:1:29784933:29786098:-1 gene:ONIVA01G34390 transcript:ONIVA01G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFVLADDRVGLALYVLLRLLPHGGITVVDMGLVDVHAGVGFSCLVVSGSSAGTNSRRSRRLSDGSGSGSGGSSP >ONIVA01G34380.1 pep chromosome:AWHD00000000:1:29784306:29791468:1 gene:ONIVA01G34380 transcript:ONIVA01G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRNLLRATRPIALAPPPAPAETFAGPGPWDRAAVEIQLDRATLHQWLAEGGEATGQEEEVDEKLILFSGNDYMGLSSHPAIRKAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSVSSLLSVGRKPAEGERIAVFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMHHLDFLLLFSMDGDFAPLPELVKLRRKYGFLLVIDDAHGTLVCGKNGGGAPELFGCENDIDISVGTLSKAAGCQGGFIVCSNRWKRLIQSRGRSFIFSTALPVPVVASVHAALHVSKKERWRRSVIWRHVQYFASLTKLDLTSPIISIVVGSEEAALRAGRHMLRSGFHVTPIRPPTVPQNSCRLRITLSASHSLDDIKRLVDALSPWLPDKHDEQTYVMASKL >ONIVA01G34370.1 pep chromosome:AWHD00000000:1:29776917:29784699:-1 gene:ONIVA01G34370 transcript:ONIVA01G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVNVEDDDGGGGEGGQGYGIGVGIGGRVVKGDEVAFLTPASSVGAAFISTPPPAGDGNGRERKGERERRCKPTVGSSNRRSG >ONIVA01G34360.1 pep chromosome:AWHD00000000:1:29770491:29772576:1 gene:ONIVA01G34360 transcript:ONIVA01G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSSPTEAVGGDLGVLACRLASRVVVRFAGPEAGRFLRSLLTNDLPSSSSSQQRYAPTPNAPARAPPPAYAAMLTPQGRFLYDLFLYHPAPPSQLLDRGEAQGQPG >ONIVA01G34350.1 pep chromosome:AWHD00000000:1:29757696:29759096:1 gene:ONIVA01G34350 transcript:ONIVA01G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSS7] MSPKKLAVIYLPPGMIGHLVSTVELGKLLVPHGIDVTIVLGGQDDGGAAATASFLADAAATNPELSFHRLPQPTLPCNVPADDYVSRVFEFARASGPDLCDFLRSTSPAVLIIDFFCYSALDVGAELRIPTYFFLTTCIASLAFLLYLPVIQEENTMSFRDLSGDLVHAPGIPPIPADHLPMPQLDRDSVSSRHFLALSEQVCNSHGVMVNSCHSLERRAADAIVAGLCTFPGRRTPPLHCIGPLIKPREEDSAERHECLAWLDAQPKASVLFLCFGSLGVFSLEQIKQVAVGLETSGHRFLWVVRPPPGLEHVTGPDLDALIFPEGFLRRTKGRGLVVISWAPQREVLEHGAVGGFVTHCGWNSVLEAVTAGVPMLAWPLYAEQRMNKVFLVEEMRLAVGVEGYDKGIVTAEEIQEKARWLMDSDGGRELRERTLAAMREVKEAPSDKGESKMTLLELVSQWKSD >ONIVA01G34340.1 pep chromosome:AWHD00000000:1:29753585:29753977:1 gene:ONIVA01G34340 transcript:ONIVA01G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAPQRKVLEHGAVGGFVTHCGWNSVLEALTAGVPMLAWPLYAEQRMNKVFLVEEMRLAVAVEGYDKGVVTAEEIQEKARWIMDSNGGRELRERNLAAMWEVKEALSDKGEFKIALLQLTSQWKNYNNS >ONIVA01G34330.1 pep chromosome:AWHD00000000:1:29747471:29750178:1 gene:ONIVA01G34330 transcript:ONIVA01G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDCSLSSQSRSPIPCSEMSPKKLAVIYPPPGMTSHLVTTVELGKLLAAQGLDITIVLGGHDEKEAAATATTSFLAEAAAANPELSFHRLPQPTLQCDVPADDYVSRIFEFARSSGPDLRDFLRSTSPAVLIIDFFCYSALNIGAELGIPTYFFLTTCIASVAFMLYLPVVHGENTLSFSDLGGDLVHAPGIPPIPADHLPRSQFDRDSMSSNHFLALSEQLCNAHGVMVNSCRSLERRAADAVVAGLCTFPGRRTPPLHCIGPLIKPREDDSAERHECLAWLDAQPKDSVLFLCFGSMGVFSVEQIKQVAVGLETSGHRFLWVVRRPPGFEHVTGPDLEALIFPEGFLRRTKGRGLVVMSWAPQREVLEHGAVGGFVTHCGWNSVLEAVTAGVPMLAWPLYAEQRMNKVFLVEEMRLAVGVEGYDKGIVTAEEIQEKARWLMDSDGGRELRERTLAAMREVKEAPSDKGAARPPATVPPSASPCGPPRRRAGHRTGHHPXXFPEGFLRRTKGRGLVVMSWAPQREVLEHGAVGGFVTHCGWNSVLEAVTAGVPMLAWPLYAEQRMNKVFLVEEMRLAVAVEGYDKGVVTAEEIQEKARWIMDSDGGRELRERTLAAMREVKEALSDKGEFKIALLQLTSQWKNYNNS >ONIVA01G34320.1 pep chromosome:AWHD00000000:1:29739964:29740191:-1 gene:ONIVA01G34320 transcript:ONIVA01G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALCAIVDVAKAKDVRDPWSMKPAQSRGCRAVGRAWGAVEWSWMHGRASWTKVREDALETMTVTLS >ONIVA01G34300.1 pep chromosome:AWHD00000000:1:29724552:29724881:-1 gene:ONIVA01G34300 transcript:ONIVA01G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLLLLPACRCHRGGRGGDGWEEGSRFSLAFLAPPSSAIGSPLLLPARRCRCRRKEAEVGEAAADVRKAATPRRRWGAPSSSSPHIAVAATGFPSTEHNTERGGEKE >ONIVA01G34290.1 pep chromosome:AWHD00000000:1:29731297:29738536:1 gene:ONIVA01G34290 transcript:ONIVA01G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSS1] MKQTVVLYPGGGVGHVVPMLELAKVFVKHGHDVTMVLLEPPFKSSDSGALAVERLVASNPSVSFHVLPPLPAPDFASFGKHPFLLVIQLLRQYNERLESFLLSIPRQRLHSLVIDMFCVDAIDVCAKLGVPVYTFFASGVSVLSVLTQLPPFLAGRETGLKELGDTPLDFLGVSPMPASHLVKELLEHPEDELCKAMVNRWERNTETMGVLVNSFESLESRAAQALRDDPLCVPGKVLPPIYCVGPLVGGGAEEAAERHECLVWLDAQPEHSVVFLCFGSKGVFSAEQLKEIAVGLENSRQRFMWVVRTPPTTTEGLKKYFEQRAAPDLDALFPDGFVERTKDRGFIVTTWAPQVDVLRHRATGAFVTHCGWNSALEGITAGVPMLCWPQYAEQKMNKVFMTAEMGVGVELDGYNSDFVKAEELEAKVRLVMESEEGKQLRARSAARKKEAEAALEEGGSSHAAFQIGLHSVAAATSTSSRPAAPHGQPPACSGSSSALPRHPGPPATQRPRLPLSSAPASPTPSGSGTPPVARLLAKDLAARLGSRCGGADGLCGPTDADARDLIARLFTVPGFTVGVASRLPI >ONIVA01G34290.2 pep chromosome:AWHD00000000:1:29722262:29732407:1 gene:ONIVA01G34290 transcript:ONIVA01G34290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSS1] MERTVVLYPGLGVGHLVPMVELAKVFLRHGLAVTVAAVKPPLDSPDFSAAVARFAECNPAINFHVLPPPPPPPAPVGSGSDGKSASPIVGMLGFLNAMNAPLRDFLCSLPSVDALIVDMFCTDALDVASELRLPVYVFFTSAASDLAVFLHLTSMRDSINTSFGELGDSMIHIPGCPPFKASELPSDILSDNEASRLILLMFRRHPESRGILVNTFESLETRALRALEDGLCVPGRATPTVYSIGPIVSGGGGSDKDHDCLRWLDAQPDNSVVFLSFGSLGRFCKKQLEEIAIGLQKSEKRFLWVVRSPRIDEKNVFEPLAEPDLDALLPAGFMEETRGRGLVVKLWAPQVEVLRHRATGAFVTHCGWNSTLEGITAGLPLLCWPLYAEQRLNKVFVVEEMKLGVEMRGYDEEVVVAEEVEAKVRWVMESEGGQALRQRAAAVKDAAAQAIKEGGSSHAAFFKFLEDLPLQVSRGMPSSQTEVTTRDI >ONIVA01G34280.1 pep chromosome:AWHD00000000:1:29721137:29721698:-1 gene:ONIVA01G34280 transcript:ONIVA01G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPVAVDMELGRQRSWRSPPDLVFLEDDGTVVLAEVAADRTRAEWRLKQRRLGSQIRSPPRPSTFHGSTSSSSNGGSSSGGRAARSGSSSVSRADMNSRRRRAWPRLLSRVPAASSASSSRAAAAASSTWRRLVGARRKDGRGHGFVTAGVVVAALPSSPAPTSPSPFSLCPAFAMGVDVIL >ONIVA01G34270.1 pep chromosome:AWHD00000000:1:29701333:29713608:1 gene:ONIVA01G34270 transcript:ONIVA01G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGASSGPQRWGSAGTTPRSLSTGSSPRGSDDRSSDDGEELVEVTLDLQDDDTIVLRSVEPAAAAAAGVGAGAGAASARGELTGGPSSSSSRSRSPSIRRSSSHRLLQFSQELKAEAMARARQFSQDLTKRFGRSHSRSEAQAPSGLESALAARAARRQRAQLDRTRSGAHKALRGLRFISSNKANNAWMEVQANFDRLARDGYLSRSDFAECIGMTESKEFALELFDTLSRRRQMKVDTINKDELREIWQQITDNSFDSRLQIFFEMVDKNADGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYMNYSQALSYTSQALSQNLAGLRKKSSIRKISTSLSYYFEDNWKRLWVLALWIGIMAGLFTWKFMQYRNRYVFDVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRSTRAARALPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLIKSSDEKYAPLGQYFGEIKPTYFTLVKGVEGITGVIMVVCMIIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALIVHGECLYLIHVWYRRTTWMYLSVPVCLYVGERILRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFAAACEPPAGGKSGLLRADETTKKTLPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDASTDLYPPIGRNKPHVDLGTLMTITSRPKKILKTTNAYFYWVTREQGSFDWFKGVMNEIADLDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNWRKVLSKISSKHPYAKIGVFYCGAPVLAQELSKLCHEFNGKCTTKFEFHKEHF >ONIVA01G34260.1 pep chromosome:AWHD00000000:1:29688870:29689418:1 gene:ONIVA01G34260 transcript:ONIVA01G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRHNKKRDMRSLWIERINAGTRLHGVCIHCTQAVKKEISLVVARKDLIIRRSILCLSLSKQTIQDNTTRLTSWSLSSSFLAFDYQVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRSAFPGNRPPVKKEGLAAIL >ONIVA01G34250.1 pep chromosome:AWHD00000000:1:29683309:29687485:1 gene:ONIVA01G34250 transcript:ONIVA01G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQLQGDDHDDEALPHFPYFAVPSPPPLAVAPAASATTSDGHQHGPLEVLEQPPCSNNLHPDGLVDGPQLAATTAVPMMLPAMTSLDWQSLLQTCLQVPPPVLEQQQPAAAAQADQYSGENDHGDLQAAESSGAGNKEKQVMAKGGAGRPSGTKKKASRPRFAFQTRSDNDILDDGYRWRKYGQKAVKNSKHPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALTPILKQLQFLSQF >ONIVA01G34240.1 pep chromosome:AWHD00000000:1:29681164:29681355:-1 gene:ONIVA01G34240 transcript:ONIVA01G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCGGAGCVAVLGQEVAALLLAMWSTTATVGSGRGARSEALWSFQRREVAPRGGASCDAFFV >ONIVA01G34230.1 pep chromosome:AWHD00000000:1:29673731:29678162:-1 gene:ONIVA01G34230 transcript:ONIVA01G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MAATPAADSRLLVIYASETGNAMDAAERVGRQAERGGCPAVDVLPMDSFDPSCLPSERFVVFVVSTTGQGDPPDSMKGFWRYLLKKNLGARWLEGVRYAVFGLGDSGYQKYNFAAKKLDKRLLHLGAEPIIQVGLGDDQHPSGYEGALDPWLLSLWESLNQTTPSLLPRMYDIINPDLSVLGDAKVEVIYHSSDKAQQDSNLLDFKNLIQRARSMSPSLQFYNNDKEPHYMLQMVSNRCLTKENSDRDVRHFELENPSSGITYQVGDALEILPSQSPSAVDSFIERCKLDPDCYITVRAKDRVMSFFATAEHEKEKLQYFASPEGRDDLYQYNQKEGRTILEVLDDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAKPNQIHLTVSIVSWRTPFKRMRRGLCSSWLAGLNPNEENLIPCWVHHGSLPPPHPSTPLILIGPGTGCAPFCAFVAERAAQSTSEATAPILFFFGCRNQENDFLYKDFWYAHAHDQGVLSSKNGGGFFVAFSRDQPQKVYVQHRIREQSARVWNLLKSGAAIYIAGSSTKMPADVTAALEEVICQETGCSEEEASIWLRKLERNGKFHTETWS >ONIVA01G34230.2 pep chromosome:AWHD00000000:1:29673731:29678162:-1 gene:ONIVA01G34230 transcript:ONIVA01G34230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MAATPAADSRLLVIYASETGNAMDAAERVGRQAERGGCPAVDVLPMDSFDPSCLPSERFVVFVVSTTGQGDPPDSMKGFWRYLLKKNLGARWLEGVRYAVFGLGDSGYQKYNFAAKKLDKRLLHLGAEPIIQVGLGDDQHPSGYEGALDPWLLSLWESLNQTTPSLLPRMYDIINPDLSVLGDAKVEVIYHSSDKAQQDSNLLDFKNLIQRARSMSPSLQFYNNDKEPHYMLQMVSNRCLTKENSDRDVRHFELENPSSGITYQVGDALEILPSQSPSAVDSFIERCKLDPDCYITVMSFFATAEHEKEKLQYFASPEGRDDLYQYNQKEGRTILEVLDDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAKPNQIHLTVSIVSWRTPFKRMRRGLCSSWLAGLNPNEENLIPCWVHHGSLPPPHPSTPLILIGPGTGCAPFCAFVAERAAQSTSEATAPILFFFGCRNQENDFLYKDFWYAHAHDQGVLSSKNGGGFFVAFSRDQPQKVYVQHRIREQSARVWNLLKSGAAIYIAGSSTKMPADVTAALEEVICQETGCSEEEASIWLRKLERNGKFHTETWS >ONIVA01G34220.1 pep chromosome:AWHD00000000:1:29669570:29672662:1 gene:ONIVA01G34220 transcript:ONIVA01G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLACLLGFLLVEFTQQGGGTSVNVGGGGVHVDAGHGKPGGTTVDVGKGGVGVNVKPGYGKPGGTTVGVGKGGVGVNVKPGYGKPGGTSVGVGKGGVGVNVQPGYGKPGGTTVGVGKGGVGVNVQPGYGKPGGTTVGVGKGGVGVNVKPRGKPVHVNVAPFIYNYAATETQLHDDPNVALFFLEKDLHPGKTMAVHFTAATAGEKFLPRREADAMPFSSEKVPEILSRFSVKPGSVEAAEMAQTLRDCEAPPAQGERKACATSLESMVDFATSSLGTSHVRAASTVVGKEGSPEQEYTVTAVKRAAAGGDQDQLVACHAEPYAYAVFACHLTRATRAYAVSMAGRDGTGVEAVAVCHADTAGWNPKHVAFQVLKVKPGTVPVCHFLPQDHVVWTRSG >ONIVA01G34210.1 pep chromosome:AWHD00000000:1:29662095:29663714:1 gene:ONIVA01G34210 transcript:ONIVA01G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding HARAPSLREIYLSKPLYRPHRPGRKYLARSLPPLPPPTNGTNLSEEKASAFFLSCSAGALPRPNREDTCELHDRLWHYDGRRVQGPPAPGRRRRGPRRRRAVRGEDVPHGERPVDERRRALGRRRQHVPRARPRRLLRLPPPLLLQAPQLRQLRPAAQHLRIPQGGSGQVGVRARVVPAGAGAAAAADRAQEEEGRGGAGVQGAVRGRGGGAGHHRGGAAAAGGAEGHGGGAPCHGPEAARRREPPGPDDGVPRQARRRTGRRAARHARQEGGAGRGRQQRVRSLQEAADRGRHGARRRGDRRRRGRDGAEQRHRAVPVLCSWPSVLLAATGPDRCTRTQSPVVYITTV >ONIVA01G34200.1 pep chromosome:AWHD00000000:1:29656468:29656710:-1 gene:ONIVA01G34200 transcript:ONIVA01G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAFLDTGNLKGDLQAGAAARDALLWLLLWTMAMGLLVQLLSARLRVATGRHVAELSATSTRTGRAARSGSRTGEREEG >ONIVA01G34190.1 pep chromosome:AWHD00000000:1:29644707:29647732:1 gene:ONIVA01G34190 transcript:ONIVA01G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSR0] MDPWSEIEGKRAHDPIFQNYFSQNCRQSVDGFCKKRSADAAVARAERCIRVLGPIIVGAGPSGLAVAACLKEKGVDSLVLERSNCIASLWQLKTYDRLSLHLPRQFCELPLMPFPAYYPIYPSKQQFVAYLESYAARFGICPTYNRTVVCAEYDEQLQLWRVRTRATGIMGEEVEYVSRWLVVATGENAEVVLPEIDGLDDFKGTVMHTSSYKSGGAFAGKRVLVVGSGNSGMEVCLDLCNHNANPHIVVHILPREMLGQSTFGLSMWLLKWLPVHVVDRILLLIARTMLGDTAQLGLKRPTIGPLELKSLSGKTPVLDVGTFAKIKSGDIKVRPAIKQISGRQVEFMDTRLEEFDVIVLATGYKSNVPFWLKDRELFSEKDGLPRKAFPNGWKGENGLYSVGFTRRGLMGTSVDARRIAHDIEQQWKARGKHPGVLL >ONIVA01G34180.1 pep chromosome:AWHD00000000:1:29635853:29644478:1 gene:ONIVA01G34180 transcript:ONIVA01G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESGRGFAFAGDRRRRRPLREPSALRSAAAARSDRAREARWLTSHHQCWIADPCMKRLPNRGNYVFSDQTLETIGHILVFPGIPAGIPLTGGLREGYLCQIDKAIALLFCT >ONIVA01G34170.1 pep chromosome:AWHD00000000:1:29630026:29630994:1 gene:ONIVA01G34170 transcript:ONIVA01G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSGAGRKKLKHRLAAILSVFTRRAGDRKRRGEEGAAAPPPPPPLAFPSYSRLGGAGGKKAAAGKHERRLSLSVPRPPPLVNITIDCAGRRSVDAADPSLLAPLDLDARKMERRLTGTGLPYETGEWEGRKCPPSTPFAAAPPLARWKERASVSSRRLSTHSSRRLMSSSSSDDEYDEDSRNLFSSRSFSSDSSDFYNCPRKNTRARASVSGPCRAPPPSSRRGTSQSCRYSFELPRGSTASAATDGGFAVVKRSSDPYEDFRKSMEEMIAEGAACGGGDGDGELSAERLLETYLVLNSPRHYPAILAAFADVRETLFP >ONIVA01G34160.1 pep chromosome:AWHD00000000:1:29620462:29620674:-1 gene:ONIVA01G34160 transcript:ONIVA01G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEKGKAPADTEQTAAATAARLAAEWTTWAMKNAKVVAHYGFIPLVILIGMNSEPKPRLAQLLSPI >ONIVA01G34150.1 pep chromosome:AWHD00000000:1:29618414:29628987:1 gene:ONIVA01G34150 transcript:ONIVA01G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11480) TAIR;Acc:AT5G11480] MLLHHRLLPRLLLSPSTPVTTTLLVHRTSLFPTRRILSPCCSALSQVAAAPETVDHSDGDEPQGDVQVQLPLDRLFVPPGASVSAGDAEAVTARVLKGSNIVLGPYARGDANVIAADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYAAAPQEARTNWDEFTRNYFLGRENLVSVFLLVDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGKRPEENVENFQSLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWLKH >ONIVA01G34150.2 pep chromosome:AWHD00000000:1:29618414:29628862:1 gene:ONIVA01G34150 transcript:ONIVA01G34150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11480) TAIR;Acc:AT5G11480] MLLHHRLLPRLLLSPSTPVTTTLLVHRTSLFPTRRILSPCCSALSQVAAAPETVDHSDGDEPQGDVQVQLPLDRLFVPPGASVSAGDAEAVTARVLKGSNIVLGPYARGDANVIAADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYAAAPQEARTNWDEFTRNYFLGRENLVSVFLLVDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGKRPEENVENFQSLIREYFEAAPPWIMTSSVTNQGRDEILLHMIPC >ONIVA01G34150.3 pep chromosome:AWHD00000000:1:29618414:29628712:1 gene:ONIVA01G34150 transcript:ONIVA01G34150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11480) TAIR;Acc:AT5G11480] MAMARSGPELFAVYICELGGLLQNDIILFAHLIVAKTKKPIMWGVYLRNSQTKANSYWRRHKQIRRSFGLCTHRRQAGEGEEEKMGGGMEVHKNRWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPILVYKGIVREFHMQDEDAGRPPRKFLYAAAPQEARTNWDEFTRNYFLGRENLVSVFLLVDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGKRPEENVENFQSLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWLKH >ONIVA01G34150.4 pep chromosome:AWHD00000000:1:29618414:29624871:1 gene:ONIVA01G34150 transcript:ONIVA01G34150.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11480) TAIR;Acc:AT5G11480] MLLHHRLLPRLLLSPSTPVTTTLLVHRTSLFPTRRILSPCCSALSQVAAAPETVDHSDGDEPQGDVQVQLPLDRLFVPPGASVSAGDAEAVTARVLKGSNIVLGPYARGDANVIAADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGRLLYFWG >ONIVA01G34140.1 pep chromosome:AWHD00000000:1:29612757:29615941:-1 gene:ONIVA01G34140 transcript:ONIVA01G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAAAVPTAAVASPLISVEAVVIDVEGEPAVPAGAACRICHLVPEGGVGPGSEVIRIGCGCKDELGAAHRHCAEAWFRIKGDRRCEICGSDAKNIIGLEVKKFMEEWHGPRLANTRTTTQRESSFTSNLGIRNDLYFRNKSLQVSALFLVSTYTSVELSPGPNWN >ONIVA01G34140.2 pep chromosome:AWHD00000000:1:29612780:29615941:-1 gene:ONIVA01G34140 transcript:ONIVA01G34140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAAAVPTAAVASPLISVEAVVIDVEGEPAVPAGAACRICHLVPEGGVGPGSEVIRIGCGCKDELGAAHRHCAEAWFRIKGDRRCEICGSDAKNIIGLEVKKFMEEWHGPRLANTRTTTQREMLS >ONIVA01G34130.1 pep chromosome:AWHD00000000:1:29607732:29608697:-1 gene:ONIVA01G34130 transcript:ONIVA01G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADSSGGDKYRSHLAGDGEKNTVWRHGAPPTFDTVNSLFESERTQEWPAGSLEETVQNAIKTWEMELSHKARLQDFKSVSPGLFRLSVNGGRPLTGEETLAVGSYNALLASPILPGAGAYDAAAETFESSHDLFRAAFPRGFAWEVIRVYSGPPVITFKFRHWGHMDGPYKGHAPTGDKVEFYGVAVLKVDEQLRAEDVEVYYDPGELLGDLLKGPLLVPSVEKDAARQLGERLGEVATLSASGADSQAQSCPFLASGKREV >ONIVA01G34120.1 pep chromosome:AWHD00000000:1:29603924:29604601:1 gene:ONIVA01G34120 transcript:ONIVA01G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGDWVALDVDGATTTVAQVKGMVMARERIAVAMQRLFFAGRCLDDDHSTLADYGVRHDSVVFLSLRLATDAYQKEMWLMQPETTTTKKEMHQQQLLHVHVAAADEEKVIKRKPVSRRALRKILSRLRVDAWTSQHDAGRAPAVARWPTGTHDAGVGERKREGREREEMSGSICMFLILMPPKRQSTVDKVTTAM >ONIVA01G34110.1 pep chromosome:AWHD00000000:1:29599597:29600616:-1 gene:ONIVA01G34110 transcript:ONIVA01G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAADSSGGDRYRSHLTGDGEKNTVWRHGAPPTYDAVNSLFEAERTQEWPAGSLEETVQNAIKTWEMELSHKAKLEDFKSVSPGRFRLSVNGGRPLTGEETLAVGSYNALLTSPILPGAGAYDAAAETFESSHDLFRAAFPRGFAWEVIRVYSGPPVITFKFRHWGHMDGPYKGHAPTGDKVEFYGVAVLKVHTHVDEQLRAEDVEVYYDPGELLGGLLKGPLLVPSAEEEDARQLGERLGEAAVVSASGAAPQSQACPFLASAKREE >ONIVA01G34100.1 pep chromosome:AWHD00000000:1:29589941:29594131:-1 gene:ONIVA01G34100 transcript:ONIVA01G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPKTDDNSKKLKKPKPWKHNQAITTTQLKQMRDEFWDTAPHYGGQKEIWDALRAAAEAELSLAQTIVDSAGIIVSNSDMTICYDERGENSFFVFVFLEQINILTRLFEKAQSIQSHLQETKQKQKNNH >ONIVA01G34090.1 pep chromosome:AWHD00000000:1:29579685:29584204:-1 gene:ONIVA01G34090 transcript:ONIVA01G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPDRAGAVGATARGGGGGGGHLRGHAHLTNCIHLRHHHAHGGGGGGGGASSSGRRRSPTSVASAALMRDLLALQRSRSLRDPSTRRSVESSSKVAADPDADTDEDDADLPPKSRRSASTTGALKTLLDQLAENPQPKQVRHPRRRFKRGAGRRAGAASKAPDRSAAAAAAAAALSVNSSSQEAVCGNKYLFRDGEDGLDELQQHVPPQDSRNVCGIPWNWSRLHHRGKSILDMAGRSLACGLSDPKSAAGRKSEAGAGASRGHLNGSHSLFPVKSERLASSTSSDSDALPLLVEAAATGARNGGIGGSYSGELGIFSNQTSEMESDLLSEGRSGHKSRGSQHGRGRHRSLTQKFAPKTFKDVVGQSLVVQALSNAVLRRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCTSCIAHNLGKSRSVMEIGPVGNIDMDGIVDVLDNVMLSPAPSHYRVFIFDDCDTLPADTWSLISKVVDRAPRRVVFILVSPNLDLPHIILSRCQKFFFPKLKECDIVNTLQWISTSESLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVDPLALMSQLATIITDILAGSYTFTRERLRRKFFKRPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKTTWLTAALLQLAPDKQYVLPSSSSTSLNHGVLAGSFPDRDMAIHSALEHNGNMASTSYRERRPVEHTSNSHLLSTSAPRANEQSRNSKIENELIWHAVLESIQSDTLRKIMAKEGRLSSVSLGTAPTVQLIFSSRVNKSKAENYREQILQAFESVLRSAIILEIRYESKNDVRASHAPAVFSHGENESSNTTLRRSFTKHSPLSSGGENLRRRLKKDRASSSKTTWMQSDPHILTEGEIIEVGPSHMHWHDETNNDVHNVNQRRKDNVWEEESLASPNSKANQGRNGNKQRRQNSIVKGKVSLAHVIGKAEACSQRGGWSRQKALSIAEKLEQENL >ONIVA01G34080.1 pep chromosome:AWHD00000000:1:29575667:29577779:1 gene:ONIVA01G34080 transcript:ONIVA01G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT2G42770) TAIR;Acc:AT2G42770] MKAIGSGGEWWWNLPSLRRKPDRRRRGRRNTDPRGRRRGPPPEPLSSSSSESIGQSRGWPIDFPFTQAVTAASLTLTGDTIAQVRQRIVDRRLRGPEPDSKGLVPDLLMSHDWLRALRMASYGFLLYGPGSHAWYQFLDQCMPKPTFANLSTKVILNQIALGPCVIGVIFAWNNLWTGKLSELPSKYRNDALPTLLFEYMVESPHLLDNSVACLVIDGILVVVSRTKSMEKEIVFL >ONIVA01G34080.2 pep chromosome:AWHD00000000:1:29575667:29577779:1 gene:ONIVA01G34080 transcript:ONIVA01G34080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT2G42770) TAIR;Acc:AT2G42770] MKAIGSGGEWWWNLPSLRRKPDRRRRGRRNTDPRGRRRGPPPEPLSSSSSESIGQSRGWPIDFPFTQAVTAASLTLTGDTIAQVRQRIVDRRLRGPEPDSKFLDQCMPKPTFANLSTKVILNQIALGPCVIGVIFAWNNLWTGKLSELPSKYRNDALPTLLFEYMVESPHLLDNSVACLVIDGILVVVSRTKSMEKEIVFL >ONIVA01G34080.3 pep chromosome:AWHD00000000:1:29575703:29577779:1 gene:ONIVA01G34080 transcript:ONIVA01G34080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT2G42770) TAIR;Acc:AT2G42770] MSHDWLRALRMASYGFLLYGPGSHAWYQFLDQCMPKPTFANLSTKVILNQIALGPCVIGVIFAWNNLWTGKLSELPSKYRNDALPTLLFEYMVESPHLLDNSVACLVIDGILVVVSRTKSMEKEIVFL >ONIVA01G34070.1 pep chromosome:AWHD00000000:1:29568498:29569590:-1 gene:ONIVA01G34070 transcript:ONIVA01G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEWSDGAAVSSPTMSGGGGREQMKGGEDVAAADCPGSPVSPSPAAAQRSAAGAAASPSGRSRRSAQKRVVTVPLADVTGPRPKGVGEGNTPTDSWAWRKYGQKPIKGSPFPRAYYRCSSSKGCPARKQVERSRNDPDTVIVTYSFEHNHSATVPRAQNRQAAPQKPKAQACSPPEPVVEVEPEETHQYGVTAGPATGAGGGAAAIEVRDEFRWLYDVVSVPASSTSPSDIDAADEMQLYDQPMFFGGAVVGTAALLPDEFGDVGGLGGEGLGEEEALFEGLGELPECAMVFRRRAGDGLAMGGGVKIEQPAESTAMT >ONIVA01G34060.1 pep chromosome:AWHD00000000:1:29563528:29563983:-1 gene:ONIVA01G34060 transcript:ONIVA01G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQEEEDEELFASIDSLWFFSSVFLLPPPVKEKTKCPPPGPGELQPEERDSPEIHEKDGDCGHEDPGGVRKAVAPVAGGRRAAAAARGRVVEEERMDMWQEQCRQMRVAAAAAAAAPARCSPLPMPRTSDGPAMRAHLRSWAHAVACSVR >ONIVA01G34050.1 pep chromosome:AWHD00000000:1:29560519:29564085:1 gene:ONIVA01G34050 transcript:ONIVA01G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPPLLADSVAKFHCSSTPTPCSGSVRRWAITRFAGAGRRRDWHRRRRTSGRGVLTVSAVAAESPSSGGGVAEDYYAVLGVMPDATPQQIKKAYYNCMKACHPDLSGNDPDVTNFCMFINEVYTVLTDPIQRAVYDEIHGYAATATNPFFDDSAPRDHVFVDEFSCIGCKNCANVCSKVFEIEEDFGRARVYNQSGNAELIQEAIDTCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGSSVDVFRMASTRWEKRQAKVLEKVRRRVSQEDSGKGSSWSDVWGAPTRYRKNEDEARERAKRAAAAARRWREYSRKGADKPPTFKLPEAVSNKE >ONIVA01G34040.1 pep chromosome:AWHD00000000:1:29556386:29556688:-1 gene:ONIVA01G34040 transcript:ONIVA01G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIAGGVAVGSNRDQRAVQLLALCCGLLLCCCSLCQGRMSPGMGWGGGRVVCLEASIGVVVRVYKASDGGDARATRPMPPPAARTERLMRSVPSPGVGH >ONIVA01G34030.1 pep chromosome:AWHD00000000:1:29549725:29554638:1 gene:ONIVA01G34030 transcript:ONIVA01G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRSYTNLVDLANGNLSALDYGGGGGGGGGGNGAGGRPPRARRMQRTMTTPGTLAELDEERAGSVTSDVPSSLASDRLIVVANTLPVRCERRPDGRGWSFCWDEDSLLLHLRDGLPDDMEVLYVGSLRADVPSAEQDDVAQALLDRFRCVPAFLPKDILDRFYHGFCKQTLWPLFHYMLPFTSDHGGRFDRSQWEAYVLANKLFSQRVIEVLNPEDDYIWIHDYHLLALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGINMTQLQTQIRLPDLEWRVAELRKQFDGKTVMLGVDDMDIFKGINLKVLAFEQMLRTHPKWQRKAVLVQIANPRGGGGKDLEEIQAEIDESCRRINAQFSRPGYVPVVIINRALSSVERMAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGFPDLDGSGDDGPRRKSMLVVSEFIGCSPSLSGAIRVNPWNIDTTAEAMNESIALSENEKQLRHEKHYRYVSSHDVAYWSKSYIHDLERSCRDHFRRRCWGIGLGFGFRVVALDRNFKKLTVDSIVTDYKNSKSRVILLDYDGTLVPQTTINRTPNESVVKIMNALCDDKKNVVFIVSGRGRDSLEKWFSPCQDLGIAAEHGYFMRWTRDEQWQLNNQCSEFGWMQMAKPVMNLYTEATDGSYIETKESALVWHHQDADPGFGSSQAKEMLDHLESVLANEPVCVKSGQQIVEVKPQGVSKGFVAEKILSTLTENKRQADFVLCIGDDRSDEDMFEGIADIMRRSIVDPQTSLYACTVGQKPSKAKYYLDDTNDVLNMLEALADASEETDSQEDAEEITSIPDPE >ONIVA01G34020.1 pep chromosome:AWHD00000000:1:29539138:29540909:-1 gene:ONIVA01G34020 transcript:ONIVA01G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVSPSPSASATSSRRGQIIAPDSPPSLRSYSDDSPPSTRAPAVHPEVEFEAKPAKRARVVPGGGGGGGGADAAAGDGRDRISDLPDAVLLSILSFLPFRDAGRTAVLSRRWRKLFDESLLDFNACQPFPPEEGRGCEWVIRSITDILAARPDVRIRSFRFVMYGQGFADHLADVDRWFRTLARRGVRQLDVDMLYTVPAPLLPGSILEFSSLEILRVFNCNFLDLSLPVLRLPVLRTLDLSNVSMSQGFLQAMMSNCPSLECTKLKNITGLDKICVRSRNLVRLFGDFSYLKELVVEDAPNLEELVGIGLPLAAAKVKIVFAPKLRVLGYLGKSVRPLVIHDTVFDGGIVQFRTLMSSVKTLAIQVPFSEKGHTIFVAQLLKFFPCLEALHIEPDSRSICRPVDVEEWDTITSVQCIEHSMNKLVFEDFGGEDCQWRFLTFLLGMARALKDIDFHCSESKDWASNQIELLAYTNRASADVRFHFYRFSSWPVSSLYLCHCCPQRCQKEERVALI >ONIVA01G34010.1 pep chromosome:AWHD00000000:1:29533664:29535251:-1 gene:ONIVA01G34010 transcript:ONIVA01G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGDAPPCDSDYISNLPENVLVTILSLLRLDEAARSTVLSTRWRHLFPYTLLDFRAYAPGRDVVAAVNTILAAHPAARVRSFRTGLLYFPPEDDPSVEAWLRDLAGRGVKELSLSFRERWQKIPASLFACTSLKRLHASSCTFPDATQAPVPLAALTEIDLFGVNISEESLGALLSRCTALEHLRMRSMGWCHRIHVRSESLKTLCGCGDFDELLIEHAPNLEQVYGNYMYMRSTHLKVAHAPKLEFLGYLGMSFDTIEIGQSVFTEDDFDIKTLMPSLKTLAIELSYTSEGYINWFMQLLKLFPCLETLYIRSDTWSKVRAAAPGSWDVLRSVPCIDNHLERVVFEVYRGHEWQREMAKFLHGRARFLKAMEFHCQGDKGCSELLGEEWVREQQELLCLDSRASLDARFLFFKGALVNNHHDVSHHEWYKRKYYHYLYNV >ONIVA01G34000.1 pep chromosome:AWHD00000000:1:29532462:29533386:1 gene:ONIVA01G34000 transcript:ONIVA01G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVIVAPSILLSLLLVLHSTAAARSAAMAPAAADELAPSALGPAADEHISDVYIVFVSRDDYVDSVDYDVRLLASVIGSASEAKTAMIYHYSGLGFAASLAPEQAERLSRKEGIAIFKDRMYHVEKEEDGRLPRFFEENV >ONIVA01G33990.1 pep chromosome:AWHD00000000:1:29525952:29531347:1 gene:ONIVA01G33990 transcript:ONIVA01G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDIADRLAQGFTGLLQAQPPQFPWPAASHKRISFEIDLPVVPFGASRGARAGKEFFPAAAVASVIDIGGRLGQAGVEIGASVGGAVQHAVRQLPLPFRNGQIRRRKLPPQPQAPSPAAAVGEAAVGLSVERAVDRCPLEAAAAAAAAATGSAAASTVSGAVGGDDVDEEDEGFGCEIGTFGNFKKSKGTVNVSASYNTRNHDIESSVVARGDLWRLEASRSSSTSGIDSSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKSFMDLQFPNGQLTYVAGEGITASGFLPLFGGLLQAHGKYPGETRVSFSCKNKQGTRFTPMFQWPDKSLSFGVTQALAWKRSGLMVRPSVQVSLCPTFGGNDPGVRAEVIHSLKEELNVMCDWEIQVEWSNGQFRSGRYLGNTP >ONIVA01G33990.2 pep chromosome:AWHD00000000:1:29525952:29531347:1 gene:ONIVA01G33990 transcript:ONIVA01G33990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDIADRLAQGFTGLLQAQPPQFPWPAASHKRISFEIDLPVVPFGASRGARAGKEFFPAAAVASVIDIGGRLGQAGVEIGASVGGAVQHAVRQLPLPFRNGQIRRRKLPPQPQAPSPAAAVGEAAVGLSVERAVDRCPLEAAAAAAAAATGSAAASTVSGAVGGDDVDEEDEGFGCEIGTFGNFKKSKGTVNVSASYNTRNHDIESSVVARGDLWRLEASRSSSTSGIDSSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKSFMDLQFPNGQLTYVAGEGITASGFLPLFGGLLQAHGKYPGETRVSFSCKNKQGTRFTPMFQWPDKSLSFGVTQALAWKRSGLMVRPSVQVSLCPTFGGNDPGIGRSKWNGQMGSSGVVVTLETPLNNIGRPSLSVQLNGGFEI >ONIVA01G33980.1 pep chromosome:AWHD00000000:1:29512508:29514451:-1 gene:ONIVA01G33980 transcript:ONIVA01G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLIVQPVPISTGPIACASTARPAAAAHDDDGHLFDDLVLGYGGDDKTSADADDPARKLEWLRSQVIGADAEFASPFGTRRVTYADHTASGRCLRFVEDFVQRNVLPYYGNTHTVDSYVGLHTSKLASEAAKYVKRSLGAGAEDVLLFCGTGCTAAIKRLQEVTGMAVPPTLRSVALDVLPPSERWVVFVGPYEHHSNLLTWRESLAEVVEIGLRPDDGHLDLDALEAALAAPERAGRPMLGSFSACSNVTGIRTDTRAVARLLHGYGAYACFDFACSAPYVGIDMRSGEEDGYDAVYLSPHKFLGGPGSPGVLAMAKRLYRLRRTAPSTSGGGTVVYVSAYGDTVYCEDTEEREDAGTPAIIQKVRAALAFRVKEWVGEACIEAREDHMLALALRRMQASPNLRLLLGGDRPSGGRCLPVLSFVVYSPRDGSEQDERPQLHCRFVTKLLNDLFGVQARGGCSCAGPYGHRLLGITPARAKAIKSAVEMGYHGVRPGWTRVSLAYYTSTREAEFVLDAIDFVASFGHRFLPLYAFDWETGDWEYNHSFGRVLANNNAISNAAAAASSGRVKAEDEYRSYMAFARSLADSLGGCLDNTPARHVPKGIDPQLLYFPM >ONIVA01G33970.1 pep chromosome:AWHD00000000:1:29511864:29512334:-1 gene:ONIVA01G33970 transcript:ONIVA01G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRRLGRLKPEEKRSRQAVDLFGCLPCPPSLPQLSRSLFFYSISPPRLRLASLAMGKSRSGVLLALLLVCAAVAAAAAAAVPGSKGKGGAGRAEVACSDLATRGECVASGGGSRCRWCRSEALDDMCFGAAEAWRLPNQVFSCDPPAGGAAHARR >ONIVA01G33960.1 pep chromosome:AWHD00000000:1:29505111:29506475:1 gene:ONIVA01G33960 transcript:ONIVA01G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAVFVCLLSVAAAAASMDPAERESLFLVMEAVSSDRDWRSESPDPCGAPWPGLECKPAAGDAAAALLRVTRLDFGVEPNPSCKDTAAFPPLVFSSLSHLQSLFFVGCFKNPAANTSLVLPPAANLSTSSLQQLSIRANPSLSGVMPPQLATLRSLQVLTISQNGLIRGEIPQGIGELTSLVHLDLSYNSLTGPVPSEISELKSLVGLDLSYNSLSGAIPSRIGELRQLQKLDLSSNNLTGGIPVSIANLSSLTFLALSSNGLSGHFPPGLSGLRNLQYLIMDNNPMNVPLPSELGGLPRLQELRLAGSGYSGQIPAAFGQLASLTTLSLEDNNLTGEIPPVLTRLTRMYHLNLSNNGLGGAVPFDGAFLRRLGQNLDLSGNAGLCLDDRMVVRGVGVGVGACHAGGGGDGSLAPGGVTGAAATVRGSVDGYPFRLLGHACLVVACLVSLN >ONIVA01G33950.1 pep chromosome:AWHD00000000:1:29496723:29503816:1 gene:ONIVA01G33950 transcript:ONIVA01G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF92, transmembrane [Source:Projected from Arabidopsis thaliana (AT1G78620) TAIR;Acc:AT1G78620] MACSLLPPLAPVSPPPRLLLPSSRSALLPRGPRVAPRVPVSPPRALPDTAVGAAEALRGALADAFLASPPTWRSAAVSNLAIFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPKGLVLVASYFVLGTAATKLKIKQKEALGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNVGSAALAELWRLGFVASYCTKLSDTVSSEIGKAYGRTTYLVTTLKVVPRGTEGAISIEGTLAGILASIILASVGYLLGQVNVSQGAVCVLASQIANFCESYIGATLQDKEGFEWLTNDVVNVLNISTGGILAVLMQQLLVSWRS >ONIVA01G33940.1 pep chromosome:AWHD00000000:1:29490578:29491000:-1 gene:ONIVA01G33940 transcript:ONIVA01G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPSCCLSNHSAPTLLCPSLTKSGDPKARSGIEVKVRKRVEKEARMGGGGRRRRWGWLRLPCVTFEGRGFTSKETVGEARNIDSDGPQTGSAAHRGLGEKEGGSDGLEMRGEKRATLSFLWVARSTGGGAGAAAIGGGG >ONIVA01G33930.1 pep chromosome:AWHD00000000:1:29488560:29489407:-1 gene:ONIVA01G33930 transcript:ONIVA01G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQNPSPTPASGTGTSVPPPGRATTVSSQLLDMGAQAVQALKPVRQMKQHACSFALYAHDLSRQVEVHHFVSRLNQDVLQCAVYDSDKPSARLIGMEYIVSDAIFESLPPEEQKLWHSHAYEVKAGLWTDVGVPEPLQSSEMARMAKTYGKLWCTWQVDRGDALPLGAPALMVSPQAVEPGRVRAELVHGRDERYKIDSSAQGLKGARVEMDEPEWINPNADYWRLHGKGFAIDVTATEMKRHAPFP >ONIVA01G33920.1 pep chromosome:AWHD00000000:1:29481425:29484649:1 gene:ONIVA01G33920 transcript:ONIVA01G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSARGEGGGEEAGERAERVEAGAAPLPSSVVAPRATSPPRRAALISAGEHAGLPASSLSARPLLSSPPPSRHVPHSAPLSRAALVSAAKHAEGASCVLCHRRQQRRAGLDSLELQAGGRGGGNEQQLRDLRAGARSWTSIR >ONIVA01G33910.1 pep chromosome:AWHD00000000:1:29480195:29482314:-1 gene:ONIVA01G33910 transcript:ONIVA01G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVIAAAAAVAARTRPPKPGGIGQIRALPSLPSTGGDGSARHRPSQPRLAAPEDRGHRIRAPPSLPRTGGGGSVTMILHEVIRLYPSGIFLQRTTRKEIELGGIKYPEGANFTLPVPSIHHDPSIWGDASEFNLERFANGVSKATKFKTAFFMFGWGFLDLPWTELCNAGSQDGARHHAPELLL >ONIVA01G33900.1 pep chromosome:AWHD00000000:1:29471514:29478683:-1 gene:ONIVA01G33900 transcript:ONIVA01G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEAASPWSLAGAGAAVALLWLCAWTLQWAWWTPRRLERALRAQGLRGTRYRLFIGDVAENGRLNREAASRPLPLGSHDVVPRVMPFFCNVLKEHDYYFLRFTIDPDLAREILSNKSGNFAKQTTAGIAKFVVGGVVTYLPIENNRRIREIDQEIRTILRGIIVTMILHEVLRLYPPVVFLQRTTHKEIELGGIKYPEGVNFTLPVLSIHHDPSIWGQDAIKFNPERFANGVSKATKFQTAFFSFAWGPRICLGQSFAILEAKMALATILQSFSFELSPSYTHAPHTVLTLQPQYGSPIKLKKL >ONIVA01G33890.1 pep chromosome:AWHD00000000:1:29463173:29469246:-1 gene:ONIVA01G33890 transcript:ONIVA01G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAFQPFTIRGFAAGMRAVDASKCWPFGRGGGDGEPPPPLPPMEPPKRSRWWAHELAAERARQEARATGTEDAGGGVAGGGGSGKGAKRKGSRGRVRAERARKWRRSLQFGLLSKRKEKTSSRLLHYVLHKQLLSKHKGSTLRTQKEPSAQKSKHKGSTLRTQKELSAQKKFQNTHDCMSTHENNLNKQYIRGMDPSTGMGSNLVRKEAANSSVNKQNIKASEPTIYPLNPGCELVKNVAYPPKDDIFGDLPLLESSKVMFHSGVDELPTVIEDSFVTDQSGPEAISENVSLKLIPPSDMSVQTSSTLKDLVKKEGTPAKKSICISRNDVKKNLPSSAEFDGLNHNGINMVKTCLSDTQLKSTDVPALSSYSNKGLKSGSSNTAHTQQDCFSMNTNYCQEIRKPGTSIATSSVTVRTRTEAIESDRDMAVNSKKSTSISCALVPTECHISSEGSVLSSAISQGSASAATSADGMSSYKSIPSQDSIPTSGLNGTFACNLFRESRKSVDTCTSLSKEDQCSWYSKLHPVCTPASIGSGFMKLPGLERIEISSCNIKTDENMSTNGRPANIVRCEKQQAVIGVPNIMQGQRKTDFSDSQVQEKVLNGYLQQDVYHPCQPTVRLMGKTVSVCERSKEHRVPTMGKGWSDSTIVEDHPSSTSCHFPQKRLFPCQDSVTPSVHVKGSLDILQRIPSVTLPEARATIGNVQNRRLQPINTVSSSVRDCIWNSGSQSVRQAEIKRATTINVNSRARHINLHQPPQVISTSQNQHCQLSTPSILRGKDCSFLGPAVTQSSSFPQWTLNTGIQEKYQKSTFSYDDPTSAPIYQSCQVPGAKLSSTSMISFLDYGTDNAEFSRSLPQACPSLATSLPINFVSTVSPTCTVKPTNTGCRKGVVFTNQRKRPAYINNVAHEPAKKLMANKQDLVAPVFEETKNRSLGWSLDDAIGPRILDFGSKVAGHGLEMSTNESNCVRASSGPVPVLETRSMTGRLATAARTMLKPDQTLNDHSKLSIKPSSLSLAIYTLVQQLIEDIEKIQNKALHRSQQRIMGVLGALARHMDALVGPGIMLLYPLYASMRAIESPSTLDDQQWLTYWVLYSLITLFELSCWKVLQWFPLWPYMKLLFCCWLVLPIFNGAAYIYETHVRRYFKIGQYVSPNYNERQRKALQMMSLDARKSVERFIESHGPDALDKIIRAAEEEAKRA >ONIVA01G33890.2 pep chromosome:AWHD00000000:1:29463173:29469246:-1 gene:ONIVA01G33890 transcript:ONIVA01G33890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAFQPFTIRGFAAGMRAVDASKCWPFGRGGGDGEPPPPLPPMEPPKRSRWWAHELAAERARQEARATGTEDAGGGVAGGGGSGKGAKRKGSRGRVRAERARKWRRSLQFGLLSKRKEKTSSRLLHYVLHKQLLSKHKGSTLRTQKEPSAQKSKHKGSTLRTQKELSAQKKFQNTHDCMSTHENNLNKQYIRGMDPSTGMGSNLVRKEAANSSVNKQNIKASEPTIYPLNPGCELVKNVAYPPKDDIFGDLPLLESSKVMFHSGVDELPTVIEDSFVTDQSGPEAISENVSLKLIPPSDMSVQTSSTLKDLVKKEGTPAKKSICISRNDVKKNLPSSAEFDGLNHNGINMVKTCLSDTQLKSTDVPALSSYSNKGLKSGSSNTAHTQQDCFSMNTNYCQEIRKPGTSIATSSVTVRTRTEAIESDRDMAVNSKKSTSISCALVPTECHISSEGSVLSSAISQGSASAATSADGMSSYKSIPSQDSIPTSGLNGTFACNLFRESRKSVDTCTSLSKEDQCSWYSKLHPVCTPASIGSGFMKLPGLERIEISSCNIKTDENMSTNGRPANIVRCEKQQAVIGVPNIMQGQRKTDFSDSQVQEKVLNGYLQQDVYHPCQPTVRLMGKTVSVCERSKEHRVPTMGKGWSDSTIVEDHPSSTSCHFPQKRLFPCQDSVTPSVHVKGSLDILQRIPSVTLPEARATIGNVQNRRLQPINTVSSSVRDCIWNSGSQSVRQAEIKRATTINVNSRARHINLHQPPQVISTSQNQHCQLSTPSILRGKDCSFLGPAVTQSSSFPQWTLNTGIQEKYQKSTFSYDDPTSAPIYQSCQVPGAKLSSTSMISFLDYGTDNAEFSRSLPQACPSLATSLPINFVSTVSPTCTVKPTNTGCRKGVVFTNQRKRPAYINNVAHEPAKKLMANKQDLVAPVFEETKNRSLGWSLDDAIGPRILDFGSKVAGHGLEMSTNESNCVRASSGPVPVLETRSIKPSSLSLAIYTLVQQLIEDIEKIQNKALHRSQQRIMGVLGALARHMDALVGPGIMLLYPLYASMRAIESPSTLDDQQWLTYWVLYSLITLFELSCWKVLQWFPLWPYMKLLFCCWLVLPIFNGAAYIYETHVRRYFKIGQYVSPNYNERQRKALQMMSLDARKSVERFIESHGPDALDKIIRAAEEEAKRA >ONIVA01G33880.1 pep chromosome:AWHD00000000:1:29460385:29463628:1 gene:ONIVA01G33880 transcript:ONIVA01G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRLVTVVMAAAVSSALVMVARCDPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDNFIPPYAATSGDQILNGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVQTLISILGDQDTASDRLSKCIFSVGMGSNDYLNNYFMPAFYNTGSQYTPEQFADSLIADYRRYVQVLYNYGARKVVMIGVGQVGCSPNELARYSADGATCVARIDSAIQIFNRRLVGLVDEMNTLPGAHFTFINAYNIFSDILANAASYGFTETTAGCCGVGRNNGQVTCLPYEAPCSNRDQHIFWDAFHPSEAANIIVGRRSYRAESPNDAYPMDIATLASV >ONIVA01G33870.1 pep chromosome:AWHD00000000:1:29451527:29458582:1 gene:ONIVA01G33870 transcript:ONIVA01G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >ONIVA01G33870.2 pep chromosome:AWHD00000000:1:29451527:29458582:1 gene:ONIVA01G33870 transcript:ONIVA01G33870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >ONIVA01G33870.3 pep chromosome:AWHD00000000:1:29451527:29458582:1 gene:ONIVA01G33870 transcript:ONIVA01G33870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >ONIVA01G33870.4 pep chromosome:AWHD00000000:1:29451527:29458582:1 gene:ONIVA01G33870 transcript:ONIVA01G33870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >ONIVA01G33870.5 pep chromosome:AWHD00000000:1:29451527:29457545:1 gene:ONIVA01G33870 transcript:ONIVA01G33870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >ONIVA01G33870.6 pep chromosome:AWHD00000000:1:29451527:29457545:1 gene:ONIVA01G33870 transcript:ONIVA01G33870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >ONIVA01G33870.7 pep chromosome:AWHD00000000:1:29451527:29456621:1 gene:ONIVA01G33870 transcript:ONIVA01G33870.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >ONIVA01G33870.8 pep chromosome:AWHD00000000:1:29451527:29452851:1 gene:ONIVA01G33870 transcript:ONIVA01G33870.8 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >ONIVA01G33860.1 pep chromosome:AWHD00000000:1:29444034:29448618:-1 gene:ONIVA01G33860 transcript:ONIVA01G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLFLLVLSSFSTASAAFTKPRQVYVVYLGEHAGAKVEEEILAGHHGLLLSVKGSEEEARASLLYSYKHSLNGFAALLSEEEATALSARTEVVSAFPSNGRWSPHTTRSWEFVGLEEGVRGPDDTGRLPPGDKAGGEDVIVGVLDSGIWPESRSFGDEGLGPVPARWKGVCQGGDSFSPSSCNRKIIGARYYVKAYEARYGAVNTTNAYRSPRDHDGHGTHTASTVAGRTVPGVAALGGFAPGTASGGAPLARVAVYKVCWPIPGPNPNIENTCFEADMLAAIDDAVGDGVDVMSVSIGSTGKPLPFAEDGIALGALHAAMRGVVLVCSGGNSGPKPATVSNLAPWMLTVAASSIDRAFISPIKLGNGMVIMGQTVTPYQLPGNKPYPLVYAADAVVPGTPANVSNQCLPKSLAPEKVRGKIVVCLRGTGLRVEKGLEVKQAGGAAIILGNPPAFGGEVPVDAHVLPGTAVSSVDVNSIIRYINSSSSPTAVLDPSRTVVDVKPSPVMAQFSSRGPNVNEPNILKPDVTAPGLNILAAWSEASSPTKLDGDNRVVKYNIMSGTSMSCPHVSATAVLLKSAHPGWSSAAIRSAIMTTATTSNAEGGPMMDADGTVAGPIDYGSGHIRPKHALDPGLVYDASYQDYLLFACASGGAQLDHSLPCPATPPPPYQLNHPSLAIHGLNGSVTVQRTVTNVGQGSARYSVAVVEPMGVSVKVSPRSLSFARTGEKKSFRIKIEATKGRGGWRVNGQFVAGSYTWSDGVHVVRSPLVVLVA >ONIVA01G33850.1 pep chromosome:AWHD00000000:1:29440031:29441314:-1 gene:ONIVA01G33850 transcript:ONIVA01G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRSVAVSAKCAAASWAEERRPFTDPIEIPAPSGAATARREDTEYGEGDYGGSGSGCGGEVVPPHVLLARRRAAFSMCSGQGRTLKGRDLRRFRNDAFRQPRYLWALGHYTPSKKMLRIEQQQLDKEEFQEADILWPDAAQDLDFPQMYYSLVDADEDDDEHRSVKQHGNRQKASSPIDIPARKVSSAGAKGARAPAGFSKFGQTLAGAGGGSFFVGSHVFVPPHVIVDHRRAKREKAMMMLVVPKGRARKMVMRE >ONIVA01G33840.1 pep chromosome:AWHD00000000:1:29424442:29424834:-1 gene:ONIVA01G33840 transcript:ONIVA01G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGEELYESDVLWPDHQSPHDVVPPTATATATAPTPARRGQQQITRHCSTASSRPVDIPRAAQRWSGGDQGDDCGGGTMVPPHVMVSRRRSTEEGDQAFSLRTGTGRARRDLSHRRNSVLRMTGFIEG >ONIVA01G33830.1 pep chromosome:AWHD00000000:1:29418743:29424589:1 gene:ONIVA01G33830 transcript:ONIVA01G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVYKQIGAGGILGPRGFRPARNEQALGVGALLLLVSSPAACADPHPSRELAEAPSWSPSSATAERGAFAMSRLRALWQASVNATRRAIVWNSEDLIPPSEKYIFNFNSKDELKRWHLYSDSEYGGLSSASLEITDGGAGGDTSSTGLFSGNLSLDMSEGSTWKIRRSGFCGMRSKKFNGFIDLDAYDTIAMKLRGDGRCYISTIYTENWVNSPGQQEDNSWQAFVYLPQDRWQIMKIPLDSYLPTWRGNVIEAKMEMNPARVVGMSLSVNAEGGVPGAKTGPGDGLYLASHFAVELASSCLVAALPAC >ONIVA01G33830.2 pep chromosome:AWHD00000000:1:29420521:29424589:1 gene:ONIVA01G33830 transcript:ONIVA01G33830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRALWQASVNATRRAIVWNSEDLIPPSEKYIFNFNSKDELKRWHLYSDSEYGGLSSASLEITDGGAGGDTSSTGLFSGNLSLDMSEGSTWKIRRSGFCGMRSKKFNGFIDLDAYDTIAMKLRGDGRCYISTIYTENWVNSPGQQEDNSWQAFVYLPQDRWQIMKIPLDSYLPTWRGNVIEAKMEMNPARVVGMSLSVNAEGGVPGAKTGPGDGLYLASHFAVELASSCLVAALPAC >ONIVA01G33830.3 pep chromosome:AWHD00000000:1:29418964:29424589:1 gene:ONIVA01G33830 transcript:ONIVA01G33830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQREDGRRLWRPRAVPTSAQAPVAPPRCGAVWRLAELCVVALSGPLVAGAAAAPSSPLTPTPSPRPIVWNSEDLIPPSEKYIFNFNSKDELKRWHLYSDSEYGGLSSASLEITDGGAGGDTSSTGLFSGNLSLDMSEGSTWKIRRSGFCGMRSKKFNGFIDLDAYDTIAMKLRGDGRCYISTIYTENWVNSPGQQEDNSWQAFVYLPQDRWQIMKIPLDSYLPTWRGNVIEAKMEMNPARVVGMSLSVNAEGGVPGAKTGPGDGLYLASHFAVELASSCLVAALPAC >ONIVA01G33830.4 pep chromosome:AWHD00000000:1:29419004:29419232:1 gene:ONIVA01G33830 transcript:ONIVA01G33830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGCGGRGLCLRSGGSAALWRCVAARGALRRGAVWPARGRGGGGAVVAVDSYAFAAPFVPAVLLKRARKGY >ONIVA01G33820.1 pep chromosome:AWHD00000000:1:29412405:29417009:1 gene:ONIVA01G33820 transcript:ONIVA01G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 15 [Source:Projected from Arabidopsis thaliana (AT3G58790) TAIR;Acc:AT3G58790] MKVYITSAAPLAGEATKAMASPPSPPPHQHQQAATRRGCRSAVVTGLLAGVLLFRAALLTIEAGASLCPSTTGCLDWRAGLGDWLYGGSGDAMEEFMKEWRRGRREASLLDPVVVEAAPDSLDGLMAEMDTMLASYDRLDMEAVVLKIMAMLLKMDRKVKSSRIRALFNRHLASLGIPKSMHCLTLRLAEEFAVNSAARSPVPLPEHAPRLADASYLHVAIVTDNVLAAAVAVASAVRSSAEPARLVFHVVTDKKSYVPMHSWFALHPVSPAVVEVKGLHQFDWRDGGAIASVMRTIEEVQRSSMEYHQCDASVVREYRRLEASKPSTFSLLNYLKIHLPEFFPELGRVILLDDDVVVRKDLTGLWEQHLGENIIGAVGGHNPGEDGVVCIEKTLGDHLNFTDPEVSNVLESARCAWSWGVNVVNLDAWRRTNVTDTYQLWLEKAISSLILLNMDAVFLVTNRESGFRLWKMGSLPPALIAFDGRVQAVEPRWHLRGLGWHTPDGEQLQRSAVLHFSGPRKPWLEVAFPELRELWLGHLNRSDSFLQGCGVVE >ONIVA01G33810.1 pep chromosome:AWHD00000000:1:29405569:29406758:-1 gene:ONIVA01G33810 transcript:ONIVA01G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKPVDFEKGAETKPTEFVDLEKGQDIDGCETQQGETDTKNQILANVLSKISMVIILAVYIVLVIICFKGMSSVWAAVGTTIFITPSYILMLKTIPYLRDVSMERFASHPAAGRDGSNLQSGNV >ONIVA01G33800.1 pep chromosome:AWHD00000000:1:29400887:29401075:-1 gene:ONIVA01G33800 transcript:ONIVA01G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLFDLAGGVLGDETKQAAAAAATTTEWSSGSKMENELALRTIGAVRCATNSDPALDVPLT >ONIVA01G33790.1 pep chromosome:AWHD00000000:1:29397240:29400105:1 gene:ONIVA01G33790 transcript:ONIVA01G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMAKALAVVSAFCLLVAPAAAARSLVDVIGGAFGSRKNYYTPGPTGQHHSSSPSHSHKAPPCAPTPPQGGGGGYNPPSPSIGTSPTTPGGGGGYTPTPSDTPPSPSSDTSPSTPGGGCSSSPTPCDAPPSPSSDTSPTTPGGGGGYSPTPSDTPPSPSSDTSPTTPGGGGGYTPTPSDAPPSPSSDTSPTTPGGGGGYTPTPSDAPPSPSSDTSPTTPGGGGGYTPTPSDTPPSPSSGSSPTTPGGGGGYTPTPSDTPPSPSSGSSPTTPGGCSTPTPCGTPPAPSSGTSPTTPGGSYYPPTPSIGDVPPSPSSDTSPTTPGGGSPSTPCDTPPSPSSGTSPTTPGGGYYPPTPSVGDVPPSPASGTSPSTPGSGGYSPSTPCSAPPSPSSGTSPTTPGGGYSPSTPCNAPPSPSSDTSPTTPGGGNYPPAPTIGNVPPSPSSGTSPSTPGGGCSSSPTPCDAPPSPSSDTSPTTPGGGYYPPTPSIGTSPSTPGTGGGYYPPSPSTGGYTPTPDVPISTPSSPYSPLVPTPPSSTTPTPFDPNTAPFPCSYWLSHPGVIWGLFGFWCPMARLFGPTAAAPFGHDLTVPEALANTRADGVGELYREGTASLLNSMVNSRFPFTTQQVKDAFSAALSSGGDHAAAAQAQLFKKANEGHVMR >ONIVA01G33780.1 pep chromosome:AWHD00000000:1:29393780:29394387:-1 gene:ONIVA01G33780 transcript:ONIVA01G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAGSLQLYCLSVLLVILTQLGGGSAMGLPRPPPNVNFTIGVEGAVWCKTCRYAGYVKSKDASPLPNAAALLRCRRGKWALSVWGATDARGYFLIQTGTQVAAFTSKDCRVYVPRSPSRAACGVALQPGRKTGSPLKFRRFVALPDGLQGRYSAGNFVFGPRDPKKC >ONIVA01G33770.1 pep chromosome:AWHD00000000:1:29387858:29394075:1 gene:ONIVA01G33770 transcript:ONIVA01G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRVDAVAGGRRWGEGEEGGRRGGGGGGGTGGGGGGGRRGGEDGGEVSLREWLDRPGRAVEAPECLHVFRQVAESVAIAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDVSGSCSGSDGSEEADPESSPPRRRRDGASGGEDRGGKTFPLRSVLAMELTWYTSPEEADDRGGATFASDVYRLGVLLFELFCTFETMEEKMRAMANLRHRVLPPQLLLKWPKEASFCQLLMHPVPETRPKMSDVLQSEFLNRSRNSLEEREAALRLREEIEEQELLLDFLLQLQRRKQDIADNLQDTVAFLSSDINEAHQQSALGQCGNFSFELDKEVCSETVEDQSDCGSRKRFRPELPAVDMEEQNRSLEECSGTVPSSVLIQESVLSKSSRLMKNFKKLETAYFLTRSKLARQVGNPVSSCHQVVKRTTGSPVVTEGSSIDDFALEGHYGTRQRGWMNSFLEGLCSYLSFSKLKVKAELKQCDLLNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTRSQVFVEMREHERRVWSVDFSLADPTKLVSGSDDGSVKLWNMNQAILFLHLAGSVGTIRTRANVCSVQFQPDSARSIAIGSADHKIYCYDLRNIRAPYCTLVGHTKTVSYVKYVDASTIVSASTDNSLKLWDLSMSQARIIDSPLQTFTGHTNTKNFVGLSISDGYIATGSETNEVFVYHKAFPMPVLAYKFSVTDPISGQEIDDPSQFISCVCWRGQSSTLLSANSSGNIKILEMD >ONIVA01G33770.2 pep chromosome:AWHD00000000:1:29387858:29394075:1 gene:ONIVA01G33770 transcript:ONIVA01G33770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRVDAVAGGRRWGEGEEGGRRGGGGGGGTGGGGGGGRRGGEDGGEVSLREWLDRPGRAVEAPECLHVFRQVAESVAIAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDVSGSCSGSDGSEEADPESSPPRRRRDGASGGEDRGGKTFPLRSVLAMELTWYTSPEEADDRGGATFASDVYRLGVLLFELFCTFETMEEKMRAMANLRHRVLPPQLLLKWPKEASFCQLLMHPVPETRPKMSDVLQSEFLNRSRNSLEEREAALRLREEIEEQELLLDFLLQLQRRKQDIADNLQDTVAFLSSDINEAHQQSALGQCGNFSFELDKEVCSETVEDQSDCGSRKRFRPELPAVDMEEQNRSLEECSGTVPSSVLIQESVLSKSSRLMKNFKKLETAYFLTRSKLARQVGNPVSSCHQVVKRTTGSPVVTEGSSIDDFALEGHYGTRQRGWMNSFLEGLCSYLSFSKLKVKAELKQCDLLNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTRSQVFVEMREHERRVWSVDFSLADPTKLAGSVGTIRTRANVCSVQFQPDSARSIAIGSADHKIYCYDLRNIRAPYCTLVGHTKTVSYVKYVDASTIVSASTDNSLKLWDLSMSQARIIDSPLQTFTGHTNTKNFVGLSISDGYIATGSETNEVFVYHKAFPMPVLAYKFSVTDPISGQEIDDPSQFISCVCWRGQSSTLLSANSSGNIKILEMD >ONIVA01G33760.1 pep chromosome:AWHD00000000:1:29372146:29386236:1 gene:ONIVA01G33760 transcript:ONIVA01G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G11580) TAIR;Acc:AT5G11580] MPPKVVAVAAGEAHTLALTGDGEVYSWGRGMFGRLGTGREADEHVPTVVAAGGRPRPRFVAVASGAYHSLALDDHANISVPLNFCAVTTGLFDMMEVKENLDISVTKSSLWRLETILLISKSAPVMFFLDYLTDGQLGYGEENSLFPCLVERFQDLGSPETLQSEAQNSSTQSSLKLSSVKAGGMMSFAIDTLGAMWMWGNCPQQTDAGEFCIAPSCVPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEGSSWEVYEIACGAWHTAVLTNKKSFDVDLESRCWTFGLGDNGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDSGDALRPIRVQSPETNGFKFLGAVQVTCGAAHTVLVAGDGYRMWAWGRGRSGVLGRNQTADSYIPCVVMWPPLDENFQEIHEDRAQASTSGVNDRNSAELEQKLSAASEELEFLRSKLTLMERYANILHISIFRKPLDERTLPRSLQESPVFDIRKEFENILDTAGTDELNRLEIFYRSMLSGVKDKLLKRRVQEMVQECIVSLSSGRQTP >ONIVA01G33760.2 pep chromosome:AWHD00000000:1:29372146:29384895:1 gene:ONIVA01G33760 transcript:ONIVA01G33760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G11580) TAIR;Acc:AT5G11580] MPPKVVAVAAGEAHTLALTGDGEVYSWGRGMFGRLGTGREADEHVPTVVAAGGRPRPRFVAVASGAYHSLALDDHANISVPLNFCAVTTGLFDMMEVKENLDISVTKSSLWRLETILLISKSAPVMFFLDYLTDGQLGYGEENSLFPCLVERFQDLGSPETLQSEAQNSSTQSSLKLSSVKAGGMMSFAIDTLGAMWMWGNCPQQTDAGEFCIAPSCVPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEGSSWEVYEIACGAWHTAVLTNKKSFDVDLESRCWTFGLGDNGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDSGDALRPIRVQSPETNGFKFLGAVQVTCGAAHTVLVAGDGYRMWAWGRGRSGVLGRNQTADSYIPCVVMWPPLDENFQEIHEDRAQASTSGVNDRNSAELEQKLSAASEELEFLRSKLTLMERYANILHISIFRKPLDERTLPRSLQESPVFDIRKEFENILDTAGTDELNRLEIFYRSMLSGVKDKLLKRRVQEMVQECIVSLSSGRQTP >ONIVA01G33760.3 pep chromosome:AWHD00000000:1:29372146:29372894:1 gene:ONIVA01G33760 transcript:ONIVA01G33760.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G11580) TAIR;Acc:AT5G11580] MGSIGNGRNGSEVGIQIPAMGNKEVLERPAIPRWPRLGVVMVATRAVALVMAVLSMALMISAKQRGSLKIFGIEIPLYANWSFSDSLEYLVGMSAVSAAYCLAQLLLTAHKAVKNAPVVQSRNYAWLLFTGDQDGGVAGTGRVGGVRWHR >ONIVA01G33750.1 pep chromosome:AWHD00000000:1:29368231:29370783:1 gene:ONIVA01G33750 transcript:ONIVA01G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPDRYTPTPSRLPLALKAVAQAETPTFADNYTPPPSRVDEAHALMKQRMLMEANVVIWGTLLGACERHENVRVGQ >ONIVA01G33740.1 pep chromosome:AWHD00000000:1:29357531:29367976:1 gene:ONIVA01G33740 transcript:ONIVA01G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRGAGVSGRNRVVYVWTEETSDISGSRHGTARKKRGSGSTRPKQQIIIMHGTTPKRNHSMSEDTSGHASPTVSGGGGAIVAMCGGAPQAAAEPEAVGGRPVRRRTQVLTFEGRKREGPRTPALHLALVRPPPRVSWEAHRRNRRGARPSCPTAATGFAWRRPAAGPNDAVVQVGGCAARARRVEETALLLPRHTSRFRQPRLHNGFRRPSPHPEPAQVGCPLRRRREVTPPPGFVQGCAAARRHHRAVRPGGRPPPCTPGHGGRAGRPSASLVAAARLPAGFAGAADKGEVKRRRTRT >ONIVA01G33730.1 pep chromosome:AWHD00000000:1:29357365:29357796:-1 gene:ONIVA01G33730 transcript:ONIVA01G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPLTVGEAWPDVSSDMLWLRFGVVPCMIIICCLGLVLPDPRFFLAVPWRLPLLISWAVYMSLVSSVQTYTTLFLPLTPAPLHEAIDNVGYAGIGGALGAIYSIVLPLGATCTCGETIFIDRPKSIIVPVSLKTGTKIDF >ONIVA01G33720.1 pep chromosome:AWHD00000000:1:29343325:29356007:1 gene:ONIVA01G33720 transcript:ONIVA01G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHHHPVSVKGRRERRRGGGRRGRGAAGSEEWRGQRRGNRGAVGQRGGGVRRAAAPAETPSDRDGGDLTTRSAASPPLLWRSPPPNPGKDRKTTTSRALSAVQLITDL >ONIVA01G33710.1 pep chromosome:AWHD00000000:1:29339571:29341656:-1 gene:ONIVA01G33710 transcript:ONIVA01G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSEIKRIENPTQRQSTFYKRRDGLFKKARELAVLCDADLLLLLFSASGKLYHFLSPTVPSVREFVERYEATTHTKVWADIRQERRAELEKVGSMCDLLEKQLRFMTVDDGEEYTVPSLEALEHNLEAAMRKVRSEKDRKIGGEICYLQNIIRGRQEERYGLCDKIAHAQTLKDVECGSTSLSNGLDLKLGFN >ONIVA01G33700.1 pep chromosome:AWHD00000000:1:29337750:29338310:-1 gene:ONIVA01G33700 transcript:ONIVA01G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIRVRVPGWEDAHHGGGTHRAAATAVTSAPRCRPTVATDRRLAGAAPFTSLHRGDGAEAAEAYGGASEVEAAPPLSGAAAAEGAGTARPSHRAIWRWRWLPCPRERWRLRGQASYAAALEIPGQREMGDGSWRSGALSVPTARICRCSPLTPAPRGAEDAARPPPCSHHRLRLSSGALPEPPL >ONIVA01G33690.1 pep chromosome:AWHD00000000:1:29335684:29338149:1 gene:ONIVA01G33690 transcript:ONIVA01G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAPYTGGSLASRPIRRRRWCEQGGGRAASSAPRGAGVNGEHRQIRAVGTDSAPDLHDPSPISLCPGISSAAAYDACPLSRHRSRGQGSHLHLQIARCEGRAVPAPSAAAAPESGGAASTSEAPPYASAASAPSPR >ONIVA01G33680.1 pep chromosome:AWHD00000000:1:29334371:29335112:-1 gene:ONIVA01G33680 transcript:ONIVA01G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHTAVVCSALLVLAAALLLPAHHAMAEDVVSSRDNTARAPSSSPSAATATAPAPSPYTDTAMAPAPSSSNDTAVEPVAPPPLPFIIVEGVVYCKTCKSRGYSSDMDASPLPGATAQLVCYGKKVVNVTGTVTDANGYFLVMFYDLRNFNPRTCKVFLGSSPTSLCDKPVYPPNKWIGLSLLKETRTVPPVGLQAIYCPTSVLFYGPANAGQCPSG >ONIVA01G33670.1 pep chromosome:AWHD00000000:1:29322020:29322429:-1 gene:ONIVA01G33670 transcript:ONIVA01G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVQHHPRLLLLQAIDQWLTGWTGIDDGGARSESDKTVVDLPPRCTILGEDDETKLVAQQLIRIRLEAVGGRKTLLLGHGSSS >ONIVA01G33660.1 pep chromosome:AWHD00000000:1:29320966:29321926:-1 gene:ONIVA01G33660 transcript:ONIVA01G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMLQRRNLELSGEIDGRQRRHFMPRRRTTAMVDGATSTGLHVITNIEKGAMSMWYTRSRVSCELWFAGVAGDFIEQLRVPTGGREDGGVQGGWKQSLMGEVRVVEEEKHSESSKGELAIFQSKSVILASG >ONIVA01G33650.1 pep chromosome:AWHD00000000:1:29319450:29320969:1 gene:ONIVA01G33650 transcript:ONIVA01G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGGGRSGASVAGSGPLADGAASATPYRGGGRSSIKQEQSEVSNVQSPFSTGTMQQAAAAAEAHGSGHPAASMADDGKEEAVSAAWHGSVRAAVEGPTPDQAWALLGDFCSLHRWVPSVQTCRRVEGAEGQPGCVRYCAGPVNKAAEAVAGWSKERLVEFDPVARRYSYEVVETNKGFGRYAATLRVEPDPAGCAVAWSFEADQVRGWTLEGFVGFLDELARGVARRLEEEIMSRN >ONIVA01G33640.1 pep chromosome:AWHD00000000:1:29308344:29317734:1 gene:ONIVA01G33640 transcript:ONIVA01G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQHVQEEAAGAEAVHAHAARHDGAVVMEILSRSLQSMPASPDVSAYFSGASSRRPSAADEVDDEEALRWAALERLPSFDRLRTGLMRADADSSGVGVGAVGRGRRWYAHREVDVRTLELAQRQAFVERVFHVAEEDNERFLKKLRARIDRAGIQMPTVEVRFRNVNVQAECHVGTRALPTLANVSRDVGESLLGLVGLNFAKRKALHILKDVSGIVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLETSGEVTYNGYGLDEFVPQKTAAYISQHDVHAGEMTIKETLDFSAKCQGVGQRYELLKELAKKERQLGIYPDPEVDLFMKATSVEGSTLQTDYILRILGLDMCADVIVGDELRRGISGGQKKRLTTAEMLVGPTKVLFMDEISTGLDSSTTFQIIRCIQQIVHMGEATVLVSLLQPAPEIFELFDDVMLLSEGQIVYQGPREHVLEFFERCGFRCPERKGVADFLQEVTSKKDQEQYWIQSEKPYRYVSVPEFVAKFKKFHMGKSLKKQLSVPFNKGKIHKSALVFSKQSVSTLELLKTSCSKEWLLMKRNSFVYIFKTVQGILVALIASTVFLRTQLNTRDEDDGQIYIGALIFVMITNMFSGFADLSLTLARLPVFYKHRDFLFYRPWTFALPNVLVRIPSSLFESIIWVAITYYTMGFAPEASRFFKHLLVVFMLQQMAAGLFRVTAGLCRTVVVTNTAGSLAVLIMFVLGGFILPKDAIPKWWVWAYWCSPLTYAYIAFSSNEMHSPRWMDKFVPDGKRLGVAVLENSGVFTNKEWYWIATGALLGFTILFNVLFSLSLMYLNPVGKPQSILPEETDSQENIQEGKNKAHIKQIITVETPEPVSPNSIITLDKVIQQLRGYSANTSDRSHSYINAAGRTAPGRGMVLPFEPLYMSFNEINYYVDMPLEMKSQGVTADKLQLLSGISGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGYIEGEIYISGYPKNQATFARISGYCEQNDIHSPQITVRESLLFSAFLRLPKEVNDQEKKIFVDEVMELVELTGLKDAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGTNSHKVVEYFEAIPGVPKIEENRNPATWMLDVSSAASEVRLEIDFAEYYRSSTMHQRTKALVKELSNPPPGSDDLYFPSQYSQSTFNQFKLCLWKQWWTYWRSPDYNLVRIFFALFTALMLGTIFWRVGHKMESSKDLLVIIGSMYAAVLFVGFENSVTVQPVVAVERTVFYRERAAGMYSAIPYALAQVVVEIPYVFVETVIYTLIVYPMMSFQWTPAKFFWFFYVSFFTFLYFTYYGMMNVSVSPNLQVASILGAAFYTLFNLFSGFFIPRPKIPKWWVWYYWLCPVAWTVYGLIVSQYGDVEDFITVPGQSDQQVRPFIKDYFGYDPDFMGVVAAVLAGFTVFFAFTYAYSIRTLNFQQR >ONIVA01G33630.1 pep chromosome:AWHD00000000:1:29306926:29307499:-1 gene:ONIVA01G33630 transcript:ONIVA01G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGGGWRRGGGGCRRWYLWRRWLKAIIWLTVRRRRRRYVEAGKGSSGGEGGVQGIEGESLAEPFGWLTTTTPFGVVPLLGGVHTPFLFLPYSPGENLTSVLNERWRRSTSHPPWGHRFGETSSCKDIVIGLCIGFKLQS >ONIVA01G33620.1 pep chromosome:AWHD00000000:1:29302980:29303444:-1 gene:ONIVA01G33620 transcript:ONIVA01G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKASVQFDRRSTAYKKPKRPRDQKTSSVNPLHPAQPLPRTHTHPRRAAAADIRGPWPWPWPPGSRAVSRRSSRTRGAR >ONIVA01G33610.1 pep chromosome:AWHD00000000:1:29283992:29289635:-1 gene:ONIVA01G33610 transcript:ONIVA01G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATTGGGGERRSLRGMFKFADRVDVLLMALGTLGAIGDGCSTNLLLIFASDVMNSLGYARAGAHGGAAAATGVDFMREVEKSCLNFVYLAFAVLAVAFMEGYCWSRTSERQVLRIRYLYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFISGLAFSTYFSWRLALVSFPLVLLLIIPGLIYGKYLLYLSRQSRHEYTNANSLVEQALGSIKTVYSFTAEKRIIQRYTAVLDKTIKLGIRQGIAKGLAVGFTGLSFAIWAFLAWYGSRLVMYHHESGGRIYAAGISFVLGGLSLGMALPELKHFTEASVAATRILDRINRVPEINADDPKGLILDQVRGELQFESVRFVYPSRPNMTVLKDFNLQIPAGQTVALVGSSGSGKSTAIALVQRFYDATEGTVKVDGVNIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDELYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAVIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGTIAEIGTHDELINKGGPYSRLVKLQKMVSYIDQEGGDQFRASSVARTSTSRLSMSRASPMPLTPGISKETDSSVSPPAPSFSRLLAMNAPEWRQAVIGSLSALVYGSLQPIYAITIGGMIAAFFVQDLNEMNAIISRYALIFCSLSVISIVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEETNSSGSLCSRLSNEASLVKTLVADRISLLLQTASGIIIAVTMGLIVAWKLALVMIAVQPTTMICYYAKKIVLSNVSRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHTQEEPLKRARKKSWVAGITTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPQNSQVEKDNQKNKIQGRIEFKRVDFAYPTRPQCLILQDFSLDVKAGTSIGLVGRSGCGKSTIIGLIQRFYDVDRGAVKVDGMDVREMDILWYRGFTALVSQEPAIFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYHTDCGEHGLQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGKVVERGTYPHLMSKKGAFYNLAALQK >ONIVA01G33610.2 pep chromosome:AWHD00000000:1:29283992:29289635:-1 gene:ONIVA01G33610 transcript:ONIVA01G33610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATTGGGGERRSLRGMFKFADRVDVLLMALGTLGAIGDGCSTNLLLIFASDVMNSLGYARAGAHGGAAAATGVDFMREVEKSCLNFVYLAFAVLAVAFMEGYCWSRTSERQVLRIRYLYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFISGLAFSTYFSWRLALVSFPLVLLLIIPGLIYGKYLLYLSRQSRHEYTNANSLVEQALGSIKTVYSFTAEKRIIQRYTAVLDKTIKLGIRQGIAKGLAVGFTGLSFAIWAFLAWYGSRLVMYHHESGGRIYAAGISFVLGGLSLGMALPELKHFTEASVAATRILDRINRVPEINADDPKGLILDQVRGELQFESVRFVYPSRPNMTVLKDFNLQIPAGQTVALVGSSGSGKSTAIALVQRFYDATEGTVKVDGVNIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDELYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAVIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVNIVTTSTALLYNAKINSTIVVAHKLSTVKNADQIAVVDGGTIAEIGTHDELINKGGPYSRLVKLQKMVSYIDQEGGDQFRASSVARTSTSRLSMSRASPMPLTPGISKETDSSVSPPAPSFSRLLAMNAPEWRQAVIGSLSALVYGSLQPIYAITIGGMIAAFFVQDLNEMNAIISRYALIFCSLSVISIVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEETNSSGSLCSRLSNEASLVKTLVADRISLLLQTASGIIIAVTMGLIVAWKLALVMIAVQPTTMICYYAKKIVLSNVSRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHTQEEPLKRARKKSWVAGITTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPQNSQVEKDNQKNKIQGRIEFKRVDFAYPTRPQCLILQDFSLDVKAGTSIGLVGRSGCGKSTIIGLIQRFYDVDRGAVKVDGMDVREMDILWYRGFTALVSQEPAIFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYHTDCGEHGLQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGKVVERGTYPHLMSKKGAFYNLAALQK >ONIVA01G33600.1 pep chromosome:AWHD00000000:1:29279556:29288023:1 gene:ONIVA01G33600 transcript:ONIVA01G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRRHHHFIKVMVGEFARRLEIPQGFLIHIPEVDHSTFDASLPSSAKGTLQNSEGKTWPVELEKLDGHVFLTTGWAKFVEDNSLREYEFLLFRYDDNMHFMVLPFGLNACEKVIRSSGSPQGKLPCDIFCCTKRGRDGDRLTEAANSLTPSHSQVLQRTTQGHELISPQSFPDQHEVCGSKDGLDEHLSLNGPMEDDKANAIAEVMSILDVDKVTVELFCAMLVFYKWNVDAVAEDFDICRGKPQIQNLFLKHKLHFQFDIVKRKLRKFFPPDDYYSSPILESRKCSLEEPKLSNQPLQCDLTTEKCRLVDEHDLCNFSQKKRRKRGSFCSPETPRRSPRLARQNNSHDSAENTLKERSEERQPSPDSMIDQAESRSEQACLCHDKTDSGSLFQDSKKVKPAHGEVDLCEEPQHNQGENEGNLDQVNNKETDEEQIERNAVETSESFTRRGCIKSSPASCEVPACLRINELSLTWKPAEHVNPLEKVLLDIQRDNFMKTISHVQGIIRNHPSDLLTADVITVVVQKEIFKWNCCLKDRDAQRIVNALLEHARKIKEMHNFNSEMRKEEFSAKLKVHLKWQLKEVETIYTSLELDYKKATSDDNIAFSMLHDKKKKLHNLQDEITGLQQSLEMKKDEMQKLAHQVAEHESVFQKSLMERLRIKEVMKGYEQTLAEVKVQLTSTEVGSIDIEALVKVEMDNMTKEIELSKESLLNITFH >ONIVA01G33600.2 pep chromosome:AWHD00000000:1:29286352:29286882:1 gene:ONIVA01G33600 transcript:ONIVA01G33600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSVVLPIDAWSSACCTSFSDSESSALVASSSKSIAGFLMTARAMAIRCFWPPDSSAPRSPICKGNHRASDTGIECMILADKPHLAPDPLELEFFNVDTVNLDSSFSGIIEPLNQCYCG >ONIVA01G33590.1 pep chromosome:AWHD00000000:1:29274485:29278893:1 gene:ONIVA01G33590 transcript:ONIVA01G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoribosyl pyrophosphate (PRPP) synthase 3 [Source:Projected from Arabidopsis thaliana (AT1G10700) TAIR;Acc:AT1G10700] MATAASASASASASPAAAFGAKTRRPGPSPSPSPASAFARPSPRASAAGRLHASLHLGGASATGSSIVSNASGIHLAAPVLAPLAVPKMTGAVGAHKNVLLFHCEEMRELAEQVVARNDDIELRSISWRTFADGFPNLFISNAHTIRGRHVAFLASFSSPSVIFEQLSIIYALPKLFISSFTLILPFFPTGTSERMEDEGDVATAFTLARILSNIPISRGGPSSLVIFDIHALQERFYFGDSVLPCFESGIPLLKSRLQELPDSDNVVCNKVREGEQRIVRIKEGDPRGRHVVIVDDLVQSGGTLIECQKVLAEHGAAKVSAYVTHGIFPNKSWEKFQPDNGESPGHGLSHFWITDSCPLTVNAVKDRQPFEILSLAGPIASALQI >ONIVA01G33580.1 pep chromosome:AWHD00000000:1:29267229:29273597:1 gene:ONIVA01G33580 transcript:ONIVA01G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGGKKSPWASGERRPHFFKVLVGDFKQRLKIPPNFCKHIPWEESRKAKGLKEASMAATLEGPSGRTWLVVIRRTAEGTFFTSGWPKFVQDQALRELEFVVFRYDGNTRFTAMVFDRTACEREDLMGGGGGDRPRKKRGRPRTAAASRDAARPKKDSVGKEMVTYRASPSGGQPLQIVDSSWTPEPGSTAVKNEEDADELPVCELPASSASPPRHVPEGALDADGGAARRGAAKTRSLQDDLALASIPPSIRRYKGYVSRRRAVATAERQRATEIAHAFRSPLPYCVIRMSTMHVYYSFMMRFPTGFSRQHLPRERTDVVLRDPGGKVWSVLYIPNTRDRLSRGWCAFARGNCLEEGDYCVFELVAAAEFRVHIFRVVEPAVPAVRLRRVTVTCGRGPT >ONIVA01G33570.1 pep chromosome:AWHD00000000:1:29259959:29265794:1 gene:ONIVA01G33570 transcript:ONIVA01G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-malic enzyme 4 [Source:Projected from Arabidopsis thaliana (AT1G79750) TAIR;Acc:AT1G79750] MRALRSRFLPLLARRWQWQWEFQGRRAARCGLCGEAREEEEEEGKMESTMKGIRGDNAPCVLDLDDAATVGGGVGDTYGEDCATEEQLVTPWTVSVASGYNLLRDPRYNKGLAFNERERETHYLRGLLPPAIVSQELQERKIMHNIRQYQLPLQKYMAMMDLQEGNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSAEYADFLHEFMTAVKQNYGEKVLIQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLSGLVAALKLVGGSLSEHSYLFLGAGEAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSSRKETLQHFKKPWAHEHEPVGNLLDAVKTIKPTVLIGTSGKGQTFTQEVVEAISSFNERPVIFALSNPTSQSECTAEQAYTWSKGRAVFASGSPFDPVEYDGKIYVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTQENFDKGLTYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPLYRNYR >ONIVA01G33570.2 pep chromosome:AWHD00000000:1:29260531:29265794:1 gene:ONIVA01G33570 transcript:ONIVA01G33570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-malic enzyme 4 [Source:Projected from Arabidopsis thaliana (AT1G79750) TAIR;Acc:AT1G79750] MESTMKGIRGDNAPCVLDLDDAATVGGGVGDTYGEDCATEEQLVTPWTVSVASGYNLLRDPRYNKGLAFNERERETHYLRGLLPPAIVSQELQERKIMHNIRQYQLPLQKYMAMMDLQEGNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSAEYADFLHEFMTAVKQNYGEKVLIQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLSGLVAALKLVGGSLSEHSYLFLGAGEAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSSRKETLQHFKKPWAHEHEPVGNLLDAVKTIKPTVLIGTSGKGQTFTQEVVEAISSFNERPVIFALSNPTSQSECTAEQAYTWSKGRAVFASGSPFDPVEYDGKIYVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVTQENFDKGLTYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPLYRNYR >ONIVA01G33560.1 pep chromosome:AWHD00000000:1:29251304:29253798:-1 gene:ONIVA01G33560 transcript:ONIVA01G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSH3] MSDSKAAAAVTLRTRKFMTNRLLSRKQFVLEVIHPGRPNVSKAELKEKLAKLYEVKDANCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKKRAGRHFLFLTALFTMFPGREDDGVTAFRILKRFQAVPTAWPAVANASSVASPALSPYLRNTAQATN >ONIVA01G33550.1 pep chromosome:AWHD00000000:1:29249772:29251934:1 gene:ONIVA01G33550 transcript:ONIVA01G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGGMSSTSRAPRGIREETLLRVPGASVHLLDGAEGPVELARGDLAVVRIAKDGVAVATVARVGRGLGWPITRDEPVVRLDRLHYLFTLPDSTGGGGGGGGGALFLNYGVSFAAPDDALLASLDAFLKANACFSTPSSPAPSRSSVTTTTRPAPTTTATADGYWNDFAPRMDSYNNVLAKAIAAGTGQLVRGIFMCSEAYATQVQRGADLIRPQAAGSVTKRSGGAGGGGASRTTGQPDAKRGGVNKSLKRVRKLSEMTEKMSQSLLDTVIAVTGSMAAPLLRSKQGKAFLATVPGEVILASLDAINKVMDAVEAAERRSLAATSNVVSGAVSRRYGESAGEATEDAFATAGHAVGTAWNLFKIRKAVTPSSSLPGNMVKSAVRNRK >ONIVA01G33550.2 pep chromosome:AWHD00000000:1:29249772:29251934:1 gene:ONIVA01G33550 transcript:ONIVA01G33550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGGMSSTSRAPRGIREETLLRVPGASVHLLDGAEGPVELARGDLAVVRIAKDGVAVATVARVGRGLGWPITRDEPVVRLDRLHYLFTLPDSTGGGGGGGGGALFLNYGVSFAAPDDALLASLDAFLKANACFSTPSSPAPSRSSVTTTTRPAPTTTATADGYWNDFAPRMDSYNNVLAKAIAAGTGQLVRGIFMCSEAYATQVQRGADLIRPQAAGSVTKRSGGAGGGGASRTTGQPDAKRGGLSEMTEKMSQSLLDTVIAVTGSMAAPLLRSKQGKAFLATVPGEVILASLDAINKVMDAVEAAERRSLAATSNVVSGAVSRRYGESAGEATEDAFATAGHAVGTAWNLFKIRKAVTPSSSLPGNMVKSAVRNRK >ONIVA01G33550.3 pep chromosome:AWHD00000000:1:29249772:29251934:1 gene:ONIVA01G33550 transcript:ONIVA01G33550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGGMSSTSRAPRGIREETLLRVPGASVHLLDGAEGPVELARGDLAVVRIAKDGVAVATVARVGRGLGWPITRDEPVVRLDRLHYLFTLPDSTGGGGGGGGGALFLNYGVSFAAPDDALLASLDAFLKANACFSTPSSPAPSRSSVTTTTRPAPTTTATADGYWNDFAPRMDSYNNVLAKAIAAGTGQLVRGIFMCSEAYATQVQRGADLIRPQAAGSVTKRSGGAGGGGASRTTGQPDAKRGGVNKSLKRQVIS >ONIVA01G33550.4 pep chromosome:AWHD00000000:1:29250776:29251934:1 gene:ONIVA01G33550 transcript:ONIVA01G33550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKMSQSLLDTVIAVTGSMAAPLLRSKQGKAFLATVPGEVILASLDAISEYPAVNASPASAQQIEAFQHKVMDAVEAAERRSLAATSNVVSGAVSRRYGESAGEATEDAFATAGHAVGTAWNLFKIRKAVTPSSSLPGNMVKSAVRNRK >ONIVA01G33540.1 pep chromosome:AWHD00000000:1:29239099:29241872:-1 gene:ONIVA01G33540 transcript:ONIVA01G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFTAEELRASMDKKDNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQDVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDAALRSFEGKRDGNSYLINLIDSPGHIDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQSLAERIKPVLTVNKMDRCFLELQQSGEEAYQAFSRVIESVNVTMAPYEDKNLGDCMVAPEKGTVAFSAGLHGWAFTLSNFAKMYKAKFKVDEAKMMERLWGENYFDHTTKKWTTTAPSTSSKTCQRGFVQFCYEPIRRIISACMNDDKENLWDMLTKLKITLKAEEKELTGKKLMKRVMQAWLPASDALLEMIVFHLPSPAKAQQYRVDTLYDGPLDDPYATAIRNCDPKGPLMVYVSKMIPASDKGRFFAFGRVFSGTVATGNKVRIMGPNFVPGEKKDLYVKTVQRTVIWMGKKQESVDDVPCGNTVAMVGLDQFITKNATLTDEKAVDAHPIKAMKFSVSPVVRKSVACKNASELPKLVEGLKRLAKSDPLVVCTIEESGEHVIAGVGQLHLEICIKDLQEDFMGGAEIIVGPPIITYRETVTKNSCRTVMSKSPNKHNRLYMEARPLDKEDLQQDEPSLCKAIDDERIGPKDDIKERGKILSEEFGWDKDLAKKIWAFGPETKGPNLLVDMCKGVQYLSEIKDSVVAGFQWASKEGALAEENMRGVCFELCDVTLHSDSIHRGGGQLIPTARRAMYAAQLTASPRLMEPMYQVDIQVPKTAVGNVYGVLNSRNGELVEESERTGTPLSNLRFYLPVAKSFDFTEKLRAETSGQAFPQCIFHHWQTMRSDPFQEGSEAAKVITDIRKRKGLKDIITPLSDYEDKL >ONIVA01G33530.1 pep chromosome:AWHD00000000:1:29226369:29232682:1 gene:ONIVA01G33530 transcript:ONIVA01G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSG7] MRKAAALASAAMAAAAVAVVSTVLHQRQRRAAKRSERAEAVLLRDLQKRCAAPVELLRQVADAMAAEMRAGLAAEGGSDLQMLVTYVDSLPSGGEKGMFYALDLGGTNFRVLRVQLGGKERRIIKQDSEGISIPQHLMSSSSHELFDFVAAALAKFVASEGEDCHLPEGTQRELGFTFSFPVKQKSLASGTLIKWTKSFAIDEMVGKDVVAELNMAIRRQGLDMKVTALVNDTVGTLAAGRYVDHDTIAAVILGTGSNAAYIDHADAIPKWHGSLPKSGNMVINMEWGNFKSSHLPLTEFDQELDAESLNPGKQVYEKMISGMYMGELVRRILLKMAQETRIFGDNIPPKLERPYILRTLDMLIMHHDTSSDLRTVANKLKEVLGIEYTSFTTRKLVLDVCEAIATRGARLAAAGIYGIIQKLGQHSDSPSTRRSVIAVDGGVYKYYTFFSQCMESTLSDMLGQELAPSVMIKHVNDGSGVGAALLAASYSQYHQAESADSRRRRWDWVGTLRRRLPRLPPLFLPSSLASTRQIKPTTPTNRIPHPIAPTTSPPPRPIRPRERANMAALPLATAEVCDANADLIMNGELRALQPIFQIYGRRQVFAGPIVTLKVYEDNVLIREFLEEKGHGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGIGEKHVPVTIAGTRICDGEWLYADTDGILISRTELTV >ONIVA01G33520.1 pep chromosome:AWHD00000000:1:29225464:29225985:1 gene:ONIVA01G33520 transcript:ONIVA01G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLPSSPPPPPPLTIGEAFPDARHLETPKWIAALLLVSCMFAGGLYTLTPLIAKDPLYLARVPWRLPVRVLCDTYLSLTMVIRFYTLMYLPRAPLVADEYLFMFGLCAVGGAAIVTTSFVLGIPVEDERVVMACAGVLAVLVAGLLAYWAWLVRKYGDNKPVDLASKLVVVV >ONIVA01G33510.1 pep chromosome:AWHD00000000:1:29224259:29225340:1 gene:ONIVA01G33510 transcript:ONIVA01G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGVLVAGLLAYWRWIVRKYGDKPVDPAPKLVEALVSVDGSSGGTLARTPGRAVVARLRLARN >ONIVA01G33500.1 pep chromosome:AWHD00000000:1:29223862:29224242:1 gene:ONIVA01G33500 transcript:ONIVA01G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPLRPPPRRSPPTTIGEAYPDARGFATLKWIVILLLVSCMFAGGLYALTPLVSKDPLYLARVPWRLPVRVLCDSYLSLIMVIRAYTFMHLPRAPLVVDDYLMLLGLGVIGGVTVVTTSTILGR >ONIVA01G33490.1 pep chromosome:AWHD00000000:1:29219359:29221117:1 gene:ONIVA01G33490 transcript:ONIVA01G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKPFLLPLLLVSFLLTVAATVAAFIGLESFLVMLSALTPLLPTCTCLLSLSVQVPITVRLTAASFSLTVGQTDNAIKNYWNMHIKRKLLS >ONIVA01G33480.1 pep chromosome:AWHD00000000:1:29218916:29219146:1 gene:ONIVA01G33480 transcript:ONIVA01G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVNMISVLMALTAAIRLDVPAKLWARGANAPFPTGHPNPFVLERLLRLLTSCSVFCEHKGSPRHFALTTGDDES >ONIVA01G33470.1 pep chromosome:AWHD00000000:1:29216024:29217560:1 gene:ONIVA01G33470 transcript:ONIVA01G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKASVKRGPWSEEEDAILRSFVERFGNAGNWIALPHKAGLKRCGKSCRLRWLNYLRPAIRHGGFTDEEDNLILSLFGEMGSKWSVIASKLPGRTDNDVKNYWNTKLKKRYLAAAATEATTPPPPAAGDDDNNPTTQASSQPAPPTPPAPLVNLDAAGLDGAVGDNDELLLHKSEQLYAELMGLIEQQQYSTITAAAVDAATTTTSWSSPSTGTTSPTASSSTDGSSSSSNLPWPAVDVHDSTMMPPLSESSGSSSGLFFGSHAFGSGSFQDLLGSAASFDDVMLSQEMLYY >ONIVA01G33460.1 pep chromosome:AWHD00000000:1:29201795:29206422:1 gene:ONIVA01G33460 transcript:ONIVA01G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bacterial transferase hexapeptide repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G29540) TAIR;Acc:AT4G29540] MAAAATRAVRRLLIASRSLHAISSEGAAREAATSFVHPAAVVHPDAVVGQNIRVISKLKNDGKKNNSPPIEILVKLSGSGAILGSDIPGQTIIGENNVIGHHAVVGVKCQDLKYKVIGDNNLIMGSCHIAHDCRIGNNNIFANNTLFAGHVVVEDCTHTAGAVVVHQFCHIGSFSFLGGGSVFNLNQIAQDVPRYMMVAGDRAELRGLNLEGLKRNGFSDQEVRMLRKAYQQVFMPSINSQSSFDERLAELEASLILWTGHVSHRVLERSRPFFDC >ONIVA01G33450.1 pep chromosome:AWHD00000000:1:29194567:29197471:-1 gene:ONIVA01G33450 transcript:ONIVA01G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNMFDILGADDNDDPSQLLAAAAAAAQKAEAKKAAAAAAAPAGKAAQAASAAAKFPTKPAPPTQAAREARGGGAPSRGGFGRGERGRGRGGRGYGQNRDFGGDNANGYQGGYGGGYGDGAVAAGGEGDRERGPRAPFHGGRRGGYRNGEYGDDSERPPRRNYERHSGTGRGYEMKRDGAGRGNWGTATDEALAQETEEALKVEESAPIAEKQGEQDDAPTGEKDNKEGAANEEEEKEEDKEMTLEEFEKLREEKRKALLAFKAEERKVEVDKDLQSMQPLSTKKGNDEIFIKLGSDKDKKKENAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGDRGGRGYGGGYQRGPYSTSAPSIEDQAQFPTLGGKPRA >ONIVA01G33440.1 pep chromosome:AWHD00000000:1:29190362:29191885:1 gene:ONIVA01G33440 transcript:ONIVA01G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFSAVLGDLLSRSISFMVDSYYQKHQGVEENLQCLHRLLLRIQAIVEEADSRHITNQAMLLQLRMLSNMMYRGYYFLDNFRCRIVQAHAQDEVRDHSLALSSFNPLKQFCFSTTTRKMVSEVSERKELHKMLGHLESIVSDMQEFVVFVSSYPRMSRQPYCSYLLLENCMFGRQEEQERVINFLLARHPPGGEEVIDVLPIIGPGRVGKSTLVEHVCHDERVRKYFSTIVFYGLGSIENNGDMAFLPDTGAVKYRNPVSGKQSLAIIELVDEMDDETWKKILHSLRGDHIAPVSKIIIMSRSNKIELFGTTKALQLDFLPKEVFWYFFKTIAFGSTSPVEEPKLASICMDIAALVNRSFIGLNVHGSILRSNICAQFWYSYLKRLKYYTDKHVRLFGEHPRDTNKNNGGLTYVWMHKNKHGCSGLATYKLYQASSISQNNLPTIRSIDMVSRNVKPRAKYEVLEWQSSIPPYYSYIAQYEILAQPKLMLPPKRKRSGALSEELV >ONIVA01G33430.1 pep chromosome:AWHD00000000:1:29182402:29187807:-1 gene:ONIVA01G33430 transcript:ONIVA01G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVGGVRLLARASSGSQRWRRLAAAAGAAGDDDGLRRRRLAAAAGGRPPPGSGGGGPVAAGGEPSGGVGNGVAGDGDGLRRWRMGEARGKRLRAPPVGRIRRHSARARRGHRRRWVGRERLGGDGRGAQTARAGPDRDLLPTPVACELSGIAEYIMLM >ONIVA01G33420.1 pep chromosome:AWHD00000000:1:29175400:29180383:1 gene:ONIVA01G33420 transcript:ONIVA01G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFSAVLGDLLSRSVSFLINRYHQQQKDAEENQQRLHRVLLRIEATVEEADRRCITNQAMLLQLRMLRDVMYRGYYFLDSFRYRNVQAHAQDEVGDHSLGLSHFCPLKRFCISTRTWKILSQVLEKKELQEMLDHLQGIVSDMQEFVVLMSSYPRMSRQPYCSYLLLENCMFGRQIEKERIINFLLEPHRPAGSKGIDVLPIIGPCRVGKTTLVEHVCHDEMVRKCFSKILMYGSDSIECGELVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPPKRKRSRSLSGQLAHGDGVRSGDGRRGDLPPARLHRPLAVLGDLLGRSISFIVDSYYQQHQGVEENLQKLHHVLLRIQAIVEEASSRHITNQAMLLQLTMLSNMMYRGYYFLDNFRYRIVRPQAQDELGDRSLGMSPFSPFKRLCFSTRTRKIVSEVLERKELQKMLGHLETIVSDMQEFVVFLSSYPRMGRQPYCSYLLLENCMFGRQAEQERVTNFLLKPCRPGAEGCDVLPIIGPGRVGKSTLVEHVCRDERVRKYFSTIVFYSPDGIGGEYHALLTDTL >ONIVA01G33410.1 pep chromosome:AWHD00000000:1:29159016:29173265:-1 gene:ONIVA01G33410 transcript:ONIVA01G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRSSEPIGVRRDSGRGARRSGDDNNDELAGLDDGDDEQWQTTTTTSTRLPKNNFSMRVRHSHEKIAIAIISWQTDVRRYARSPLQSIKFLRRLNVSAVDCTISHVDRWPVANRRFVRTEKWAIQKAEKILVHTLLLPVVSSINEEGYGSADKITKNCRKEGLHGELL >ONIVA01G33400.1 pep chromosome:AWHD00000000:1:29150859:29166036:1 gene:ONIVA01G33400 transcript:ONIVA01G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLSAVLGDLVSRSISFLVDKYYQQKMGMGVDLQCLRHLLLRIEATVLEAEGRHITNRAMLQQLQMLREGMYKGYYLVDTIKNGVLQHEMVNDEVGDHSFSFPKLRPTKRLCFSTRTFTMAFQGEDRKEVGEMLCSLLSITNDMKEFIVFLKGYPHIHRQPYSQHLILEKCMFGRQAEIERITNFLLRESLGAESLGVLPIIGPARVGKSTLVEHICYDERVRSFFSSIVFCSGSDIGSKSFADLRDSGIVKHQRCVAHERSLIIIEFIDDGDVDEENWRRLYSSRSCIPHGSKIIITSRSERFRNVGTTQPLELSLLPQEAHWYFFKVLAFGSTNPVEHPFLESAAMEMAAEYRCFVAANFVASLFRANFCTQFWHLFLRCHRNIVEKHVILFGEHPYTLVQKNHGIYLVENFRDPKFILVNGYKTCLRNDDPKVMLHEVHTGTSKAHGKFEVLVWRSRIPPYHEFVMSCEAQAQQHIIFKRKRILRKAHDGWVTDMETFLPAILSDLLGRSISYLVQRYRQQSTVQDDLEKLRLALVRVHVTVEEAEARHITNKAMLRQLDVLREAMYSGYHMLDALTYRAHADGASFSFAPSRLNAAKRLRLLAADEGAAELRRTVDSLGRTIADMREFVVFLKGYPRISTQPYSMHLLLDKLMFGRQKEVEQVVGFLLQPDVCGAGAGVLHIVGVARVGKSTLVEHVCHDERVRGRFSSIVCLSREDLEDMGDHRALTVKHGSHASHGSSLVVVDLAEDEEPVGDGAWRRLRSSAMCRARGSRIIVTSRSPETVRGIPAARAIELKFLRDDVYWYFFKVLAFGSANPDDHPRLASIAMDISAEQKGGFIGATIASSLMRANPDAHYWTLILKNMREYTRKHRAMFGKHPHDLLRNNHPVYLWRLAESSKIFLCHGFYTACPAKQEIPRVTFQEVLSGRVTPRGRFEVLAWTSQIPPCRSYLMSCSLDTPPGPHRVLDRKKRLRQLFLVILSADPYPSSLILDTTGSNRVWTRICIGCSARVC >ONIVA01G33400.2 pep chromosome:AWHD00000000:1:29159217:29173040:1 gene:ONIVA01G33400 transcript:ONIVA01G33400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQLQMMRKAMYKDYYMSESFSKSQGELVDLLIAFSHLVQQSGFIYPLEQWKYSVRGRDKRDAKDGWSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFRYRLFQAQAKDEVEQVKSHLKSWRKKSCKKMLGHLETIVSDTQEFVVLASSYPRITRQPYCSYVLLENCMFGRHAELERVIKFLLEQHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCRDERTPGQSNIEILPQANSH >ONIVA01G33400.3 pep chromosome:AWHD00000000:1:29154534:29173040:1 gene:ONIVA01G33400 transcript:ONIVA01G33400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFFSPEGWVTDMETFLPAILSDLLGRSISYLVQRYRQQSTVQDDLEKLRLALVRVHVTVEEAEARHITNKAMLRQLDVLREAMYSGYHMLDALTYRAHADGASFSFAPSRLNAAKRLRLLAADEGAAELRRTVDSLGRTIADMREFVVFLKGYPRISTQPYSMHLLLDKLMFGRQKEVEQVVGFLLQPDVCGAGAGVLHIVGVARVGKSTLVEHVCHDERVRGRFSSIVCLSREDLEDMGDHRALTVKHGSHASHGSSLVVVDLAEDEEPVGDGAWRRLRSSAMCRARGSRIIVTSRSPETVRGIPAARAIELKFLRDDVYWYFFKVLAFGSANPDDHPRLASIAMDISAEQKGGFIGATIASSLMRANPDAHYWTLILKNMREYTRKHRAMFGKHPHDLLRNNHPVYLWRLAESSKIFLCHGFYTACPAKQEIPRVTFQEVLSGRVTPRGRFEVLAWTSQIPPCRSYLMSCSLDTPPGPHRVLDRKKRLRQLFLVILSADPYPSSLILDTTGSNRVWTRICIGCSARVC >ONIVA01G33400.4 pep chromosome:AWHD00000000:1:29158020:29173040:1 gene:ONIVA01G33400 transcript:ONIVA01G33400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFSYVLLENCMFGRHAELERVIKFLLEQHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCRDERTPGQSNIEILPQANSH >ONIVA01G33400.5 pep chromosome:AWHD00000000:1:29154534:29166036:1 gene:ONIVA01G33400 transcript:ONIVA01G33400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFFSPEGWVTDMETFLPAILSDLLGRSISYLVQRYRQQSTVQDDLEKLRLALVRVHVTVEEAEARHITNKAMLRQLDVLREAMYSGYHMLDALTYRAHADGASFSFAPSRLNAAKRLRLLAADEGAAELRRTVDSLGRTIADMREFVVFLKGYPRISTQPYSMHLLLDKLMFGRQKEVEQVVGFLLQPDVCGAGAGVLHIVGVARVGKSTLVEHVCHDERVRGRFSSIVCLSREDLEDMGDHRALTVKHGSHASHGSSLVVVDLAEDEEPVGDGAWRRLRSSAMCRARGSRIIVTSRSPETVRGIPAARAIELKFLRDDVYWYFFKVLAFGSANPDDHPRLASIAMDISAEQKGGFIGATIASSLMRANPDAHYWTLILKNMREYTRKHRAMFGKHPHDLLRNNHPVYLWRLAESSKIFLCHGFYTACPAKQEIPRVTFQEVLSGRVTPRGRFEVLAWTSQIPPCRSYLMSCSLDTPPGPHRVLDRKKRLRQLFLVILSADPYPSSLILDTTGSNRVWTRICIGCSARVC >ONIVA01G33400.6 pep chromosome:AWHD00000000:1:29158020:29166036:1 gene:ONIVA01G33400 transcript:ONIVA01G33400.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVKGSFMESNVVGSILRSNLSAQFWYNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYISYITQYEILAQQKLMLPPKRKRSGALSEELV >ONIVA01G33400.7 pep chromosome:AWHD00000000:1:29159959:29173040:1 gene:ONIVA01G33400 transcript:ONIVA01G33400.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFRYRLFQAQAKDEVVKSHLKSWRKKSCKKMLGHLETIVSDTQEFVVLASSYPRITRQPYCSYVLLENCMFGRHAELERVIKFLLEQHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCRDERTPGQSNIEILPQANSH >ONIVA01G33400.8 pep chromosome:AWHD00000000:1:29154534:29161717:1 gene:ONIVA01G33400 transcript:ONIVA01G33400.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFFSPEGWVTDMETFLPAILSDLLGRSISYLVQRYRQQSTVQDDLEKLRLALVRVHVTVEEAEARHITNKAMLRQLDVLREAMYSGYHMLDALTYRAHADGASFSFAPSRLNAAKRLRLLAADEGAAELRRTVDSLGRTIADMREFVVFLKGYPRISTQPYSMHLLLDKLMFGRQKEVEQVVGFLLQPDVCGAGAGVLHIVGVARVGKSTLVEHVCHDERVRGRFSSIVCLSREDLEDMGDHRALTVKHGSHASHGSSLVVVDLAEDEEPVGDGAWRRLRSSAMCRARGSRIIVTSRSPETVRGIPAARAIELKFLRDDVYWYFFKVLAFGSANPDDHPRLASIAMDISAEQKGGFIGATIASSLMRANPDAHYWTLILKNMREYTRKHRAMFGKHPHDLLRNNHPVYLWRLAESSKIFLCHGFYTACPAKQEIPRVTFQEVLSGRVTPRGRFEVLAWTSQIPPCRSYLMSCSLDTPPGPHRVLDRKKRLRQLFLVILSADPYPSSLILDTTGSNRVWTRICIGCSARVC >ONIVA01G33390.1 pep chromosome:AWHD00000000:1:29143440:29144918:1 gene:ONIVA01G33390 transcript:ONIVA01G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVPAAVSDIAYRLTTFAIKKYQQLPDAEVALETLNRLLLRAQTIIEEAEGKCIANEGMLHQLQMLIEGMYRGHYLLDRYKYPALQEDRKDEEVSHVYSFSKFNPAKRLRFSSHRRTLCFGSNSIKELQGMIATIEKGISDMMNLVVFLRNYRVVHHQPRDTYSVLENCMFGRQMEHEQVLSFLLQTDGLGDEDFPVLPIIGPRKCGKSTLVEHACRDYRVRNHYSLILFLRGNNLKDARVANLRENGVVKHQNYSSCKRLLIIIELACDISEQTWQSLKSSMSCWARGSKIIITSRSDKIENLGTTAAIRLDLLHPEAYWYFFKKLAFGSRDPDEHPKLASVAMEIATEYSGSFLAAYTIGGLLRDNFNAQFWCSTLKYLRAYIRNQLRLHGDHPNNLLLKGQWVHCLRFAEASNPLWMSDYYETDSCPDQAPNISDIMLGSATPRGRFEALGWKSRMAPYYSYMICCSTEAPGHAVGRKKRSYDRFLV >ONIVA01G33380.1 pep chromosome:AWHD00000000:1:29137815:29138726:1 gene:ONIVA01G33380 transcript:ONIVA01G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGQPLRDDPQPRRHSPPALHPAVVPAYPPPESDADESWVWSQIKAEARRDADAEPALASFLYATVLSHPSLDRSLAFHLANKLCSSTLLSTLLYDLFVASLAAHPTLRAAVVADLLAARSRDPACVGFSHCLLNYKGFLAIQAQRVAHVLWAQDRRALALALQSRVAEVFAVDIHPAAAIGKGVLLDHATGVVIGETAVIGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVRIGAGAKIGAGSLVLIDVPPRTTAVGNPARLLGGKKGDDMPGESMDHTSFIQQWSDYSI >ONIVA01G33370.1 pep chromosome:AWHD00000000:1:29128063:29136375:-1 gene:ONIVA01G33370 transcript:ONIVA01G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSE4] MAACGAAAAEYSALLSLSCGPITRRRFAVSCRARPPGNLSAQQKKKRGKNIAPKQRSSNELLLTTEENGQLPSTSLRTSMERPQKSTSSEDDTNGAISQIDEKIAAIGNEQQERSKDKHFESDFQLEDFGEMIQNMEKNILLLNQARLQAIEDVDKILTEKEALQKKVDTLEMNLSKALATKGNINTDIPGDHLEKFTKEILIESALSGGNPAHLCESPLFMELTVLKEENMLLKADAQFLKAKIVEFAETEEFLFKLEKERSLLDATVRELEARFLVAQTDIWKVVPLQYDVWMEKVENLQHMLGCLKNHVEKYAALLDQHDDLHDKIDELEASLKEGKTSEFSPYVVELLQQKLKAAKSRHQAGHQETNTHIQVYQQLTEEFQDNLGKLIEESGRLEHSANSMPSEFWSHILLMIDGWFLERKIPNTDARMLREMAWKRDDRICEAYFACKGAKESDVMETFLKLTLSGNSSGLHIVHIAAEMAPVAKVGGLADVVAGLGKALQTKGHLVEIVLPKYDCMQLDQITNLKVLDVVIQSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFSSARICFTCHNFEYQGTAPAPDLSYCGLDVEQLDRPDRMQDNAHGRINVAKGGIVYSNIVTTVSPTYALEVRSEGGRGLQDTLKMHSRKFVGILNGIDTGTWNPSTDRFLAVQYSATDLQGKAANKAFLRKQLGLYSEDASQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQFQKNNNIRLILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRQTGGLCDSVFDFDDETIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFSWDSPASQYENLYQSAVAQARGAAQT >ONIVA01G33370.2 pep chromosome:AWHD00000000:1:29128063:29136375:-1 gene:ONIVA01G33370 transcript:ONIVA01G33370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSE4] MAACGAAAAEYSALLSLSCGPITRRRFAVSCRARPPGNLSLRTSMERPQKSTSSEDDTNGAISQIDEKIAAIGNEQQERSKDKHFESDFQLEDFGEMIQNMEKNILLLNQARLQAIEDVDKILTEKEALQKKVDTLEMNLSKALATKGNINTDIPGDHLEKFTKEILIESALSGGNPAHLCESPLFMELTVLKEENMLLKADAQFLKAKIVEFAETEEFLFKLEKERSLLDATVRELEARFLVAQTDIWKVVPLQYDVWMEKVENLQHMLGCLKNHVEKYAALLDQHDDLHDKIDELEASLKEGKTSEFSPYVVELLQQKLKAAKSRHQAGHQETNTHIQVYQQLTEEFQDNLGKLIEESGRLEHSANSMPSEFWSHILLMIDGWFLERKIPNTDARMLREMAWKRDDRICEAYFACKGAKESDVMETFLKLTLSGNSSGLHIVHIAAEMAPVAKVGGLADVVAGLGKALQTKGHLVEIVLPKYDCMQLDQITNLKVLDVVIQSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFSSARICFTCHNFEYQGTAPAPDLSYCGLDVEQLDRPDRMQDNAHGRINVAKGGIVYSNIVTTVSPTYALEVRSEGGRGLQDTLKMHSRKFVGILNGIDTGTWNPSTDRFLAVQYSATDLQGKAANKAFLRKQLGLYSEDASQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQFQKNNNIRLILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRQTGGLCDSVFDFDDETIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFSWDSPASQYENLYQSAVAQARGAAQT >ONIVA01G33370.3 pep chromosome:AWHD00000000:1:29128063:29136375:-1 gene:ONIVA01G33370 transcript:ONIVA01G33370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSE4] MAACGAAAAEYSALLSLSCGPITRRRFAVSCRARPPGNLSAQQKKKRGKNIAPKQRSSNELLLTTEENGQLPSTSLRTSMERPQKSTSSEDDTNGAISQIDEKIAAIGNEQQEVLPYWGGHILISAYQGILRSKDKHFESDFQLEDFGEMIQNMEKNILLLNQARLQAIEDVDKILTEKEALQKKVDTLEMNLSKALATKGNINTDIPGDHLEKFTKEILIESALSGGNPAHLCESPLFMELTVLKEENMLLKADAQFLKAKIVEFAETEEFLFKLEKERSLLDATVRELEARFLVAQTDIWKVVPLQYDVWMEKVENLQHMLGCLKNHVEKYAALLDQHDDLHDKIDELEASLKEGKTSEFSPYVVELLQQKLKAAKSRHQAGHQETNTHIQVYQQLTEEFQDNLGKLIEESGRLEHSANSMPSEFWSHILLMIDGWFLERKIPNTDARMLREMAWKRDDRICEAYFACKGAKESDVMETFLKLTLSGNSSGLHIVHIAAEMAPVAKVGGLADVVAGLGKALQTKGHLVEIVLPKYDCMQLDQITNLKVLDVVIQSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFSSARICFTCHNFEYQGTAPAPDLSYCGLDVEQLDRPDRMQDNAHGRINVAKGGIVYSNIVTTVSPTYALEVRSEGGRGLQDTLKMHSRKFVGILNGIDTGTWNPSTDRFLAVQYSATDLQGKAANKAFLRKQLGLYSEDASQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQFQKNNNIRLILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRQTGGLCDSVFDFDDETIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFSWDSPASQYENLYQSAVAQARGAAQT >ONIVA01G33360.1 pep chromosome:AWHD00000000:1:29126300:29127097:1 gene:ONIVA01G33360 transcript:ONIVA01G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0FSE1] MAASTMALSSPAALAGKAVANAKVFGEGRVTMRKSAAKPKPAAASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWGCQVVLMGAVEGYRVAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >ONIVA01G33350.1 pep chromosome:AWHD00000000:1:29120477:29121301:-1 gene:ONIVA01G33350 transcript:ONIVA01G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVFDFDKTIIDVDSDNWVVDGLGATEEFERLLPTMPWNTLMDTMMGELHARGKSLSDVAGVLRSAPLDPRVVAAIKACYGLGCDLRILSDANRFFIDTILDHHGLTGYFSEINTNPSAVDAATGRLRIAPYHDFHAGPHGCGLGICPPNMCKGQVLDRIRASAGAAGKRVIYLGDGRGDYCPSLRLGRDDFMMPRRGFPVWELICEDPSLLQAEVHPWADGAEMEETLLRLVGRVLLEERNLPPLDCKLESLPAVAVQDGMPMTLRIKN >ONIVA01G33340.1 pep chromosome:AWHD00000000:1:29116176:29118637:-1 gene:ONIVA01G33340 transcript:ONIVA01G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARLALLSAPRAYSAAATGAGAAPARYAGAPPPAPQSKAAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >ONIVA01G33330.1 pep chromosome:AWHD00000000:1:29112712:29114930:-1 gene:ONIVA01G33330 transcript:ONIVA01G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSKLATLGSAAREAARVAARQGCAYNKAVVKGSRQLQSRACEATRSAAKHGRAFHEELMERNKRYVVDPPTIQTCQELSKQLFYTRLASIPGRYESFWKEVDGAKLLWKNRKNLNLKAEDIGVATLFGIELIAWFAGGEVVGRGFTFTGYHV >ONIVA01G33320.1 pep chromosome:AWHD00000000:1:29110864:29112204:-1 gene:ONIVA01G33320 transcript:ONIVA01G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKTLLLFLVVLLPVANACQPPRTLHVPVFHRDALFPPPPGAKRGSLLRQRLAADAARYASLVDATGRLHSPVFSGIPFESGEYFALVGVGTPSTKAMLVIDTGSDLVWLQCSPCRRCYAQRGQVFDPRRSSTYRRVPCSSPQCRALRFPGCDSGGAAGGGCRYMVAYGDGSSSTGDLATDKLAFANDTYVNNVTLGCGRDNEGLFDSAAGLLGVGRGKISISTQVAPAYGSVFEYCLGDRTSRSTRSSYLVFGRTPEPPSTAFTALLSNPRRPSLYYVDMAGFSVGGERVTGFSNASLALDTATGRGGVVVDSGTAISRFARDAYAALRDAFDARARAAGMRRLAGEHSVFDACYDLRGRPAASAPLIVLHFAGGADMALPPENYFLPVDGGRRRAASYRRCLGFEAADDGLSVIGNVQQQGFRVVFDVEKERIGFAPKGCTS >ONIVA01G33310.1 pep chromosome:AWHD00000000:1:29106102:29107535:-1 gene:ONIVA01G33310 transcript:ONIVA01G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSAIASDLINRLTSFLMKKYMESTSIDDKMKSLKELLLRVHIVVEEADRRCITNPMMLMQLKMLAESMYRGYYMLDTIKYKSPKDEEVRKLCTMSVSLKRSRTIFGTPGSPADDNELEIVLNNLEAAISNINEFVVLLVGCERVCRRPYDAYLYTDNFMFGRHAEKQQIINILLQNPCHQGGPLVLPIIGGCRVGKKTLVSHVCGDERIRSYFRSILYINGDNMWGMEHTKFKRERTLIVGEFFMDIDEDDWVKFYCTVSQMTDRGSKVIIISRIGKLARFGTVKTVRLNSLSQEEYSYLFKMLAFGSIDEKDHPKMAMVANDLAVVLGGSLITANVVADLLRRNHDFQLWNSVLQHFKEMVKSNLSKYGEHPKDIIEKEHPIDITRFGSSYRTRLHLMPPRVERDDSPNRKKPSLLFRDLIAGCAAIPDGDFELVTWESQIPPHTKYVQSAVAFVNGKNGCTTSTRKRRSNA >ONIVA01G33300.1 pep chromosome:AWHD00000000:1:29087503:29098350:1 gene:ONIVA01G33300 transcript:ONIVA01G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTAHRRKAELVAPARPTPHEHKHLSDIDTQRGLQFYTAGVEFFRRRHHAAAVFSGGDDGNSGDPVGIIRAALAEALVSFYPLAGRLRELPVVAGGGGGGGSKLVVECTAEGVVFVEADADVGLLELGHGQPLAPPYPCVEELLCSNLVGEPDVVLGKPLLFLQVTRLRNNEGFCIGYHYCHTITDAFGMAQLLDTIYRLARGDGDPLTNPPVWERECLVVAPSASPRITHYAHPAYEPLPISSPAAAAQDDVVRMTPREQMVTRYFYLGPTEMAAMRGHVRSSATVFELVTAALWRCRAAALGYAATQRVRVLVMSSARWSWKRDPPLPRGFYGNMLVPQIAEATVGELCGLPLAHAVELVRRRKFAVTDEYMRSMLDMLARRSRPFFNLDWTFVVADAGGLGRSMGEAATATVGRWERVGGGITAAGQVIAASLYSYYERCRRGAGEEAAVVSMCLPAPAMERFAREITVCSSSRKRKGREPKEEEVLLARRRTGDDAWRLRSNTTGDGGAKAAGKSSVVGRGGGMVAVDRRGATGEERSMERKRRDRRSEREGEKIRTGGREGARGSGQINI >ONIVA01G33300.2 pep chromosome:AWHD00000000:1:29087503:29097402:1 gene:ONIVA01G33300 transcript:ONIVA01G33300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTAHRRKAELVAPARPTPHEHKHLSDIDTQRGLQFYTAGVEFFRRRHHAAAVFSGGDDGNSGDPVGIIRAALAEALVSFYPLAGRLRELPVVAGGGGGGGSKLVVECTAEGVVFVEADADVGLLELGHGQPLAPPYPCVEELLCSNLVGEPDVVLGKPLLFLQVTRLRNNEGFCIGYHYCHTITDAFGMAQLLDTIYRLARGDGDPLTNPPVWERECLVVAPSASPRITHYAHPAYEPLPISSPAAAAQDDVVRMTPREQMVTRYFYLGPTEMAAMRGHVRSSATVFELVTAALWRCRAAALGYAATQRVRVLVMSSARWSWKRDPPLPRGFYGNMLVPQIAEATVGELCGLPLAHAVELVRRRKFAVTDEYMRSMLDMLARRSRPFFNLDWTFVVADAGGLGRSMGEAATATVGRWERVGGGITAAGQVIAASLYSYYERCRRGAGEEAAVVSMCLPAPAMERFAREITVCSSSRKRKGREPKEEEVLLARRRTGDDAWRLRSNTTGDGGAKAAGKSSVVGRGGGMVAVDRRGATGEERSMERKRRDRRSEREGEKIRTGGREGARGSGTT >ONIVA01G33300.3 pep chromosome:AWHD00000000:1:29087503:29098350:1 gene:ONIVA01G33300 transcript:ONIVA01G33300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTAHRRKAELVAPARPTPHEHKHLSDIDTQRGLQFYTAGVEFFRRRHHAAAVFSGGDDGNSGDPVGIIRAALAEALVSFYPLAGRLRELPVVAGGGGGGGSKLVVECTAEGVVFVEADADVGLLELGHGQPLAPPYPCVEELLCSNLVGEPDVVLGKPLLFLQVTRLRNNEGFCIGYHYCHTITDAFGMAQLLDTIYRLARGDGDPLTNPPVWERECLVVAPSASPRITHYAHPAYEPLPISSPAAAAQDDVVRMTPREQMVTRYFYLGPTEMAAMRGHVRSSATVFELVTAALWRCRAAALGYAATQRVRVLVMSSARWSWKRDPPLPRGFYGNMLVPQIAEATVGELCGLPLAHAVELVRRRKFAVTDEYMRSMLDMLARRSRPFFNLDWTFVVADAGGLGRSMGEAATATVGRWERVGGGITAAGQVIAASLYSYYERCRRGAGEEAAVVSMCLPAPAMERFAREITVCSSSRKRKGREPKEEEVLLARRRTGDDAWRLRSNTTGDGGAKAAGKSSVVGRGGGMVAVDRRGATGEERSMERKRRDRRSEREGEKIRTGGREGARGSGQINI >ONIVA01G33300.4 pep chromosome:AWHD00000000:1:29097008:29101758:1 gene:ONIVA01G33300 transcript:ONIVA01G33300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFFTARRRKAELVAPARPTPHEHKPLSDIDSQRGLELYAAGVEFFHHRHAATTAAPVFSGGDDPVGIIRAALAEALVSFYPLAGRIRELPTAGGGGGGGGKLVVECTAEGVVFVDADADVRLQELGHGQPLGPPYPCVEELLCSNDLVGEPDVVLGKPLIFMQVTRFRNNEGFCIGYHYCHSITDAFGMAQLLHTVCRLARGDDDGESLNDPPVWERDLLAVAPRRSSPRIRHVVEHPAYDPLPVSSAAAKDVVWTTPREQMVTRYFHLGPTEMAALRAHVLSSATVFELVTAVLWRCRAAALGYAAAQRVRVLVMSSARWSWKRNPPLPRGFYGNLLVPQVAEATAGELCGRPLAHAVELVRGRKFAVTDEYMRSMLDMLARRGRPFFNLDWTFVVADAGGLGRSLGVVGRWERAGGGLTPVGQATAASMYSYYERCKIGAGEEAAVVSMCLPAPAMERFVREITGCSNTRSAKSAM >ONIVA01G33290.1 pep chromosome:AWHD00000000:1:29065701:29069900:-1 gene:ONIVA01G33290 transcript:ONIVA01G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVISALASDIISRFMSFLIKGRYITNAGMLMKLKMLTEAMYKGYHVFDTYGPLELIKEAGEVSDSYALDFHYVRRFHLSGGTIVSREVKSSLENLETVLDNLKEFVSLLNGCERIIRNPYSTYLYIDNFMFGRQVERQQIMSILMLDDHPKIPAVLPIIGGCRVGKKTLVWSVCSDERIRSHFSAILHFGGDDIKKFDERKVMPLKTLITVEFISDIRFGDIIAGSVTMPMKFQLVWESRLAPYTVISATCGAEELLSTTSTRKKRKIRKRRLDLLIKSLELMRTTRRHPLHDAIDAARWDAEPPLGRLVVVAHAAFLHAGFVPYSAGGRSSASRRPLPDEIGATASSLSLRYTVPDLLRQTTTQKRRSRAETAVLRLCAHGDHVVFYGYLTGDANQVQRLQTTRHWACIDALSVASVLLSGGLDATAHALAADGAGLWKKLAGGLARRLFVDMCRKNSKHLPPRLTTLPADLQDDILRRLAVEDIAAVYFTCTGLRDLIAGSEVLNNDFQFGELWMNFAWSQGYLRRLPSPARVVIGRRSRTTSFIAGDGEDRCRWRDPTKQMIERFVEKRSKVLAAGGDGGRRRPVMAARGRRRGDKGAASSRQKWMHR >ONIVA01G33280.1 pep chromosome:AWHD00000000:1:29060691:29064159:1 gene:ONIVA01G33280 transcript:ONIVA01G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSVSHGALGPLLGKLSTLLADKYACLKGVRREIHSLRSELSNMQAALHKYASLEDPDIQVKAWITELRELAYDIEDCIDKFMHQLGANGEQHSTSNSIKDFFRKSIQRLKTLGPRHNIAGEIEELKARVISVRDQKNSYKLDDIFCSSSSNTNASVDPRLATLFAEENHLVGIDGPRDELVNWLDAESRLIKCRKVLSIVGFGGLGKTTLANEVYRRVKVHFDCHAFVSVSQKPDFKKIFKDIIYNMPTKDGFLKDIDTWNEKKFIEKLRELLVDKRYLVIIDDVWSISAWKAITVAFPENDCSSTIIVTTRVSDVGWSCCLNGIDCNYQMEPLSEVHSRRLFCKRIFSTNEDGCPDILQEVSTDILKKCGGLPLAIISISGLLANRPVIKEEWEKVKESIGFALDKNQNLEGMKIILSLSFNDLPNYLKTCLLYLSIFPEDFIIERNKVVWRWIAEGFISEDCGQKLEDVAESYFYELINKSLVQPVDIGFDGKARACRVHDIMLEFISSKATEENFLSRLEILRVLDFHGCRGLEQYLENIDKLFQLEYLSLRCSDISRIPTQIAKLQNLVTLDLRRTCVEEFPTEFCRLIKLQHLLGDRMLKLPDGIGNMRNLQVLSGINISSSSASAVAELGELTSLRDLKICLFDELSKCKTKEEMLLASLCKLSSYKLQSLHIIDDSDDDYLERWFPIPCFLRLFHMNTSYYFLQLPKWVKPSLTKMAYLNINLREIKEEDMKTLGDLPALLSLEIWLKPDPKEQLTVQSTRFLFLKEFVLVCSDHNGGAYLTFEKGAMPKLEKLEIPFHVLMAETHDFYFGINNLQHLKEVEVRIYRVGAEDSDAEAAVAAIRSEANANPNHPRLAIKEAYVSNKECDDNKNAEDQQGGVTDN >ONIVA01G33280.2 pep chromosome:AWHD00000000:1:29061047:29064159:1 gene:ONIVA01G33280 transcript:ONIVA01G33280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSVSHGALGPLLGKLSTLLADKYACLKGVRREIHSLRSELSNMQAALHKYASLEDPDIQVKAWITELRELAYDIEDCIDKFMHQLGANGEQHSTSNSIKDFFRKSIQRLKTLGPRHNIAGEIEELKARVISVRDQKNSYKLDDIFCSSSSNTNASVDPRLATLFAEENHLVGIDGPRDELVNWLDAESRLIKCRKVLSIVGFGGLGKTTLANEVYRRVKVHFDCHAFVSVSQKPDFKKIFKDIIYNMPTKDGFLKDIDTWNEKKFIEKLRELLVDKRYLVIIDDVWSISAWKAITVAFPENDCSSTIIVTTRVSDVGWSCCLNGIDCNYQMEPLSEVHSRRLFCKRIFSTNEDGCPDILQEVSTDILKKCGGLPLAIISISGLLANRPVIKEEWEKVKESIGFALDKNQNLEGMKIILSLSFNDLPNYLKTCLLYLSIFPEDFIIERNKVVWRWIAEGFISEDCGQKLEDVAESYFYELINKSLVQPVDIGFDGKARACRVHDIMLEFISSKATEENFLSRLEILRVLDFHGCRGLEQYLENIDKLFQLEYLSLRCSDISRIPTQIAKLQNLVTLDLRRTCVEEFPTEFCRLIKLQHLLGDRMLKLPDGIGNMRNLQVLSGINISSSSASAVAELGELTSLRDLKICLFDELSKCKTKEEMLLASLCKLSSYKLQSLHIIDDSDDDYLERWFPIPCFLRLFHMNTSYYFLQLPKWVKPSLTKMAYLNINLREIKEEDMKTLGDLPALLSLEIWLKPDPKEQLTVQSTRFLFLKEFVLVCSDHNGGAYLTFEKGAMPKLEKLEIPFHVLMAETHDFYFGINNLQHLKEVEVRIYRVGAEDSDAEAAVAAIRSEANANPNHPRLAIKEAYVSNKECDDNKNAEDQQGGVTDN >ONIVA01G33270.1 pep chromosome:AWHD00000000:1:29035507:29035756:-1 gene:ONIVA01G33270 transcript:ONIVA01G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKAKQPATCDEGTTAGDTRQTAGDAAKVQRLATHGLDGSAAASLVRGAASQGADEAQQPCGVTIACNDCGSADAVATH >ONIVA01G33260.1 pep chromosome:AWHD00000000:1:29030164:29031509:-1 gene:ONIVA01G33260 transcript:ONIVA01G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSTLLQMLLDEESLSDGDEEFISLAVDIVHDEFDDDEVPKRGGSVIGHAVINRERLAGHRRLYNDYFSEEPTYLDVQFRRSSDTGELRDQLASAFSQFYSQTEPAGNQSSSVEDEGLTGAITEGFTCQSSSNIATSSDSYFNGLKLISTLVKLMPEWLCNNRVISALVDNMD >ONIVA01G33250.1 pep chromosome:AWHD00000000:1:29028024:29028425:1 gene:ONIVA01G33250 transcript:ONIVA01G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTSSEPLDPLSHEASIGSSSRVWTVGDEEFLHMNNFAKLPDLNKGISAVCADVLHCGVYPAFMSRSI >ONIVA01G33240.1 pep chromosome:AWHD00000000:1:29025926:29026882:1 gene:ONIVA01G33240 transcript:ONIVA01G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQGVYVMERDRQWNRHGHDARAPAWWRFFHFEVREVLVDAADSSIFGAVYAFQPPWHLLDPAAAAAASAPHYVVAFRGTITKKASASRDLALDLQLVRNGLDRTSRFHAAMRTVHAVVAAAGHQHHHRVWLAGHSLGSAISTLAAKSMARAGVALPTFLFNAPFPSAPVERIGDRRVRQGVRIANSFVTAAAATLLHHGSGGGGYDAFAALARWVPNVFVNPGDPISAEYVGYFDHRKKMEDIGAGAVGRLATRNSVKDLLLGIGTAGGCEPLHLFPSAVLTVNRGASPDFKAAHGIHQWWRPDLALECAAHYY >ONIVA01G33230.1 pep chromosome:AWHD00000000:1:29020143:29025130:1 gene:ONIVA01G33230 transcript:ONIVA01G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding [Source:Projected from Arabidopsis thaliana (AT3G24430) TAIR;Acc:AT3G24430] MRNLRAAAPASFLAPPAPPLLLPPSTPTPRGAFSAKASPAAAVAQAHGWCPSPRRVGRLRRRSGAASSSVASVEDAKKDVLVALSQIIDPDFGTDIVSCGFVKDLEISEALEEVSFRLELTTPACPIKDMFEEKANEVVAALPWVKKGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMNPESRSILPTEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDADGKRFYPFGQGSGAQARKVVQQFGIPHLFDLPIRPTLSASGDTGIPEVVADPQGDVAKTFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVQYGDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLEMLERLVDVPRATTAAVSS >ONIVA01G33230.2 pep chromosome:AWHD00000000:1:29020143:29023942:1 gene:ONIVA01G33230 transcript:ONIVA01G33230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding [Source:Projected from Arabidopsis thaliana (AT3G24430) TAIR;Acc:AT3G24430] MRNLRAAAPASFLAPPAPPLLLPPSTPTPRGAFSAKASPAAAVAQAHGWCPSPRRVGRLRRRSGAASSSVASVEDAKKDVLVALSQIIDPDFGTDIVSCGFVKDLEISEALEEVSFRLELTTPACPIKDMFEEKANEVVAALPWVKKGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMNPESRSILPTEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDADGKRFYPFGQGSGAQARKVVQQFGIPHLFDLPIRPTLSASGDTGIPEVVADPQGDVAKTFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVQYGDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLEMLERLVDVPRATTAAVSS >ONIVA01G33220.1 pep chromosome:AWHD00000000:1:29015237:29017773:1 gene:ONIVA01G33220 transcript:ONIVA01G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLGFEKVLDCFSLALCTNACVCIHSVEDDEEEAIEREALVSSQLEELVKLKDLVGGAKTLAFHLEPKTVELRVSMHCYGCAKKVQKHISKMDGVTSFEVDLESKKVVVIGDITPYEVLASVSKVMKFAELWVAPNSQGC >ONIVA01G33210.1 pep chromosome:AWHD00000000:1:29005821:29012729:1 gene:ONIVA01G33210 transcript:ONIVA01G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEEAEEEVEDEEEYESDLDDAPLPAVRRRAAASDDEEGGGASGSSAPWSVAGSDLDSYSDSDGQGAAEMYDDEEEGSEERDELEAGGGGGGGGGVGGEALEDEGKCADEEALEDEGRYGDEEADGVVAALGDEGKCDGEEAEVEAAVEGAEVVNKEGEAQAVPTIGAFYMHDDRFRDPENGRHGSQRKNFGGQKLWYPKDDNVWAHDRFYEMNSHHDRLYETNSHNSPNDSGRGPRGSFRAWGGDRTHRYDHGYVERTLSQSYCHDDREEYKYVPKEPRTFFATTRDHISFLKESNNMYGSANNYKRVPSKFHTYYDHGDTKNFAYVQRESHTYYGNAKDFTSAHDGYRGGVSNPYVSHWRSDPEICSGQYIRSQNEEASSNAEGGKYPSQTLGFQTEKNFPMKQTSPSNLNSASPSFYHSRSSHQEQPFIQRGKARAVMFSKLFTSSVRMAHNSLKPQSRPVYRVKAVVPSGRGNTLDSLSTNAMEEIDNPGSNLSGSASDNYIQYSKSSDKGTVKTELKEEVEGPSFTYDEGHVINLASAKTRFVELDLLHIQLYCRKIQCLLKTKSQTNPFNSILFSKALIFVLYSKIQQSLNYSRARSQVFIRLDHAEITIWIGRNGQWREEAPGPCFTLFRPSCSYIRDPSTVAPPSEHVHVVVWFKTRQREPT >ONIVA01G33200.1 pep chromosome:AWHD00000000:1:28998594:29005038:1 gene:ONIVA01G33200 transcript:ONIVA01G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transporter 3;5 [Source:Projected from Arabidopsis thaliana (AT5G19600) TAIR;Acc:AT5G19600] MGTEAGDVDGRNHRPGRGSSASSRHLHGVGGASAAEVNLSGRRPFAEKLWSDLAETFFPDDPFRGFGALPPARRAWCAVKYFVPALDWVPRYGLDKFKFDLLAGITIASLAIPQGISYARLANLPPIIGLYSSFVPPLMYAVFGSSNNLAVGTVAAASLLLASIIETEVAADENPQLYLQLFYTAAFFTGLFQTALGVFRLGLIVDFLSRSTITGFMGGTAMIIILQQFKGLLGMKYFTTKTDIISVLHSTYHYRHEWKWQSAVLGICFLLFLLSSKHLRKKLPKLFWVSAIAPFMVVVIGGIFAFLVKGDEHGIPIVGNLNKGINPLSIAQLTFQSRHMKTAVKAGLLSGILALAEGIAVGRSLAMVKNEQIDGNKEMIAFGIMNIAGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSSIIVVAMIGLVKVKEFCHLYKVDKFDFCICMVAFLGVVFFTMVAGLSASVGLSVVRALLYVARPATCKLGNIAGSETFRDVKQYPQAKSIPGILVLQLGSPIYFVNAGYLRERILRWVEDEDNLCKSVGHDLQYLVLDLGGMTIALTNPRLEVTEKLVLSGYVRDILGDEWVFLTVKDAITACRYALQISRNKGEDEV >ONIVA01G33190.1 pep chromosome:AWHD00000000:1:28981141:28982757:1 gene:ONIVA01G33190 transcript:ONIVA01G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKSRPDLAESESPPRRYTYPRDSTAHVLRCSPVPCRVTRCDKLSNNARVLTITEADRHGWDRSRLSLGGGGGGGGGGAPSPNPKSPFGVGPSTCPAGRGTCRRANGYGEHSR >ONIVA01G33190.2 pep chromosome:AWHD00000000:1:28981141:28984289:1 gene:ONIVA01G33190 transcript:ONIVA01G33190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAYVGDSLDLTLAQKFPISPPPRRTMRRRRRGRLELREASASSSPHEINKRRLGTRAGVQRRGYAAGTRAWQAASQQAAGAMWEAEGKQQQQQDSMHIYPLPDSSDYCHAEY >ONIVA01G33190.3 pep chromosome:AWHD00000000:1:28981141:28982009:1 gene:ONIVA01G33190 transcript:ONIVA01G33190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWAYVGDSLDLTLAQKFPISPPPRRTMRRRRRGRLELREASASSSPHEINKRRLGTRAGVQRRGYAAGTRAWQAASQQAAGAMWEAEGKQQQQQDSMHIYPLPDSSDYCHAEY >ONIVA01G33180.1 pep chromosome:AWHD00000000:1:28975608:28981250:-1 gene:ONIVA01G33180 transcript:ONIVA01G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFSGEWPSSECSVFAHRLTPHFASAPDCRQRPAGVGGWGCWYERAARGCCQWEQDKAEINLIRGPLGDVHVTRILWKPRPPLPRRLNTLLLKLPLPKPLATAKRSLSLSLSRIARSLPHPRPDPLHLPNPQRAPEEIRRRSRRPRRAAVTPSPLDSMKLLAAGGRLPYDLTNDFLWCKTFKMGAMDVQLESTAVQHGQAKINVEEHALVSLLSDEKYATEKTEDVDSDDYEKLEEGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNETKNSIKIDAVKRHELPRHEVQQVICSLCGTEQEVRQVCISCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGKENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLREMEEHCQFACPLCSKSVCDMSKAWERLDEELATISDTCDNKMVRILCNDCGATSEVQFHLIAHKCQKCKSYNTRQI >ONIVA01G33180.2 pep chromosome:AWHD00000000:1:28975608:28979052:-1 gene:ONIVA01G33180 transcript:ONIVA01G33180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLLLKMKLLAAGARYPDSASCDCLVINLLGLCRLELFDIALFLWCKTFKMGAMDVQLESTAVQHGQAKINVEEHALVSLLSDEKYATEKTEDVDSDDYEKLEEGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNETKNSIKIDAVKRHELPRHEVQQVICSLCGTEQEVRQVCISCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGKENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLREMEEHCQFACPLCSKSVCDMSKAWERLDEELATISDTCDNKMVRILCNDCGATSEVQFHLIAHKCQKCKSYNTRQI >ONIVA01G33180.3 pep chromosome:AWHD00000000:1:28975608:28979052:-1 gene:ONIVA01G33180 transcript:ONIVA01G33180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLLLKMKLLAAGGRLPYDLTNDFLWCKTFKMGAMDVQLESTAVQHGQAKINVEEHALVSLLSDEKYATEKTEDVDSDDYEKLEEGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNETKNSIKIDAVKRHELPRHEVQQVICSLCGTEQEVRQVCISCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGKENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLREMEEHCQFACPLCSKSVCDMSKAWERLDEELATISDTCDNKMVRILCNDCGATSEVQFHLIAHKCQKCKSYNTRQI >ONIVA01G33180.4 pep chromosome:AWHD00000000:1:28975608:28978463:-1 gene:ONIVA01G33180 transcript:ONIVA01G33180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMDVQLESTAVQHGQAKINVEEHALVSLLSDEKYATEKTEDVDSDDYEKLEEGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNETKNSIKIDAVKRHELPRHEVQQVICSLCGTEQEVRQVCISCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGKENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLREMEEHCQFACPLCSKSVCDMSKAWERLDEELATISDTCDNKMVRILCNDCGATSEVQFHLIAHKCQKCKSYNTRQI >ONIVA01G33170.1 pep chromosome:AWHD00000000:1:28974227:28975299:-1 gene:ONIVA01G33170 transcript:ONIVA01G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLCVMRFLSSSRGQLGTNPSPRRHHPSLLSPAFPRPKRVAESRLRQCGCGVVDGETKCVLVRHDKVGRAWWWWPARWPAQREVRPVEAEPNEVTSRGD >ONIVA01G33160.1 pep chromosome:AWHD00000000:1:28970400:28972825:1 gene:ONIVA01G33160 transcript:ONIVA01G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNDQGLAQKSTAPTGFFRVPGLFVRLSSKGLNAVDPDSAWSPTSPLDFKNLSSSTGSTNLKSSGLLGVEADQKFRTNPPRVGLGLVDALTADESSSCLGVTSSFLDSIRPFLELGLPKAASDAAMQKNGSGSVTLDEIADFALSEEYTCVIEHGPNPRTTHILGDETLEVCKGVPRSSKKSIFTIEPIGELPSTLACAVSRSCCYCRKRLQQDRDIYMYLGEKAFCSNECRRDYIDEEIEEVEELMMLDSAGSSALLAW >ONIVA01G33150.1 pep chromosome:AWHD00000000:1:28961551:28962519:-1 gene:ONIVA01G33150 transcript:ONIVA01G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAAPAAAAAAEFPSPSPSSSGASPSPRSKRRRTDRYAQGFEFAPRPAPATATATAPAPTPARGTPEWSEGSTFALLDAWGDRFVRAGRRSLRADEWLEVSRLAAAAASRPPGYYSEQQCRNRIDTLRKKYRKEKERMRLAARRPDRPDRPSPSKWIYFDKMQSLMCPPPLPLQPPVVTRRRDTQPVPRQSWGLDAAEYVLGGCENAGTRDSGSGAELGEEQPNEAGAGKGEDFELLVESIRKLGDVYERVESSKRQHMAEVEWLRRDLQRDLEVRRREILEKAQAEIARLTEEDGEEGDLKEGEGDDNKRFGDDGGGEE >ONIVA01G33140.1 pep chromosome:AWHD00000000:1:28959095:28959592:1 gene:ONIVA01G33140 transcript:ONIVA01G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPLTVGEARSGVSRAQVWACAVVVPCFIVGAYLAESYGAAAASSSFGSVPWRLPLLVSFAVYLSTVSCVRSYVSLYLRPHTPAHVDRAIQSVGFVGVGLALGVVQSVVLVAAGDNRVVMALTCVIAVFNAGAIALWAWLIATYRRRRTGVSSSCGKQFYCS >ONIVA01G33130.1 pep chromosome:AWHD00000000:1:28953755:28958789:1 gene:ONIVA01G33130 transcript:ONIVA01G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSSTAGASILPVTNIGMAASRSELLRPAFGEPSPSLGPFVVNPHTCSYRWWQKFLIVLVLYTAWASPFELAMEKSASAALAVTELVVDAFFAVDIAVSFFVAYRDASTGLLVTDRKKIATRQALYGFLNLLRLWRLRRVSKLFARLEKDIRFSYLWTRLIKLLYVTLFAVHFASCIYLWMAFHHKAKELTWIGSQFHGFEDRSVWFCYTCAVYWSITTLATVGYGDLHAANTGEMLFSIAFMLFNMGLTSYIIGNITNLVVHETTNTFKMRDMVQRTSVFGRTNRLPVAMREQMMESLQLRFRAEEQLQQEMLSELPKAVRSGIAQHMFRGAVQSCYLFQGVSDKLVLPLVAEMKAESFPPKADIILENEASTDCYIIVSGEVEVLTTLEDGTEKQVMRIGPRGMAGEIGVMFNIPQPFTIRSRKLTQLVRISHSHMVSTIRPNTADGVVVFSNFVLYLESLKVKAKETAFVRDHLRNGYSTVLGSATMFDVDESKESAHKMLPCKEPKRVSIHEHLLNGTGTALNGSSGKLVILPDSMQDLMKLSEKKFGKAARGILTVGGAEVEDIEVIRDGDHLFFSW >ONIVA01G33120.1 pep chromosome:AWHD00000000:1:28947514:28948249:1 gene:ONIVA01G33120 transcript:ONIVA01G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQALVLANFLAAALLHPLLCQGAAAASPASIAGKLAMDGMAIDQGIDHLLVLAAIFVMCLFR >ONIVA01G33110.1 pep chromosome:AWHD00000000:1:28938529:28941894:-1 gene:ONIVA01G33110 transcript:ONIVA01G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G39400) TAIR;Acc:AT4G39400] MDSLWAAIAALFVAAAVVVRGAAAADDAQLLEEFRQAVPNQAALKGWSGGDGACRFPGAGCRNGRLTSLSLAGVPLNAEFRAVAATLLQLGSVEVLSLRGANVSGALSAAGGARCGSKLQALDLSGNAALRGSVADVAALAGACGGLKTLNLSGDAVGAAKVGGGGGPGFAGLDSLDLSNNKITDDSDLRWMVDAGVGAVRWLDLALNRISGVPEFTNCSGLQYLDLSGNLIVGEVPGGALSDCRGLKVLNLSFNHLAGVFPPDIAGLTSLNALNLSNNNFSGELPGEAFAKLQQLTALSLSFNHFNGSIPDTVASLPELQQLDLSSNTFSGTIPSSLCQDPNSKLHLLYLQNNYLTGGIPDAVSNCTSLVSLDLSLNYINGSIPASLGDLGNLQDLILWQNELEGEIPASLSRIQGLEHLILDYNGLTGSIPPELAKCTKLNWISLASNRLSGPIPSWLGKLSYLAILKLSNNSFSGPIPPELGDCQSLVWLDLNSNQLNGSIPKELAKQSGKMNVGLIVGRPYVYLRNDELSSECRGKGSLLEFTSIRPDDLSRMPSKKLCNFTRMYVGSTEYTFNKNGSMIFLDLSYNQLDSAIPGELGDMFYLMIMNLGHNLLSGTIPSRLAEAKKLAVLDLSYNQLEGPIPNSFSALSLSEINLSNNQLNGTIPELGSLATFPKSQYENNTGLCGFPLPPCDHSSPRSSNDHQSHRRQASMASSIAMGLLFSLFCIIVIIIAIGSKRRRLKNEEASTSRDIYIDSRSHSATMNSDWRQNLSGTNLLSINLAAFEKPLQNLTLADLVEATNGFHIACQIGSGGFGDVYKAQLKDGKVVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKAGEERLLVYDYMKFGSLEDVLHDRKKIGKKLNWEARRKIAVGAARGLAFLHHNCIPHIIHRDMKSSNVLIDEQLEARVSDFGMARLMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSADFGEDNNLVGWVKQHTKLKITDVFDPELLKEDPSVELELLEHLKIACACLDDRPSRRPTMLKVMAMFKEIQAGSTVDSKTSSAAAGSIDEGGYGVLDMPLREAKEEKD >ONIVA01G33100.1 pep chromosome:AWHD00000000:1:28909641:28910087:-1 gene:ONIVA01G33100 transcript:ONIVA01G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSERQDERPPLPCANGCGFFGSADTRGLCSKCYRQTVMSQASAPSAAAQSAEHDQVVLPAPEGVPVDEGAMPPPPPRHGAKTKSRCAACGRSVGLMGFECRCGGVFCGAHRYSDRHDCGYDYRGAGRDAIARANPVVRPDKVEKL >ONIVA01G33080.1 pep chromosome:AWHD00000000:1:28899263:28903815:1 gene:ONIVA01G33080 transcript:ONIVA01G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGRHRSQNLGSTGLAFHALLCSSLLLNAVFFAHHLLRSSPTATPERLGDGLSWALQAAREAEAVAAADCSGHGNVFLDGVTGEDGRPGCECNRCFSGPDCSVRTPNCTADADSGNPLFLEPYWRRHAAASAVVFSGWHRLSYITTGGRFHSVELDRHIRLLHRAVGNAVVDDKYLVFGAGSMQLINALVYALSPDGNADSPPASVVATVPYYPAYKSQTDMFDGREYRWDGTTATWSNNGSRNSTKGFIEFVTSPNNPDTALRKPVLAGSSAIVDHAYYWPHLTHIPAPADEDVMLFTASKLSGHAGSRFGWALIRDEKVAKRALSYVEQSIMGASRDTQLRMLKILKVILANLHGKEDIFAFGYDVMRSRWRRLNAVVSRSTRISLQKIPPQYCTYFNRIKEPSPAYAWVKCEWEEDVDCYETLLAAGIISRSGTLSEAEARYTRMSLLKAQDDFDVLLERITEFVDAEEHGRAPGGSSSM >ONIVA01G33070.1 pep chromosome:AWHD00000000:1:28887623:28887913:-1 gene:ONIVA01G33070 transcript:ONIVA01G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSETLDERLPLPCVNGCGFSGSANTRGLCSKCYRDSLRQTVMSQAPSSSSSTELHGAVPCRRAFPWTRGRCLRRRRRGRRRRAGALRAGGEWG >ONIVA01G33060.1 pep chromosome:AWHD00000000:1:28883194:28886583:1 gene:ONIVA01G33060 transcript:ONIVA01G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINSQAHSAVAHLFGRRGPPETGRQKEKMAIGWHRSQSLASAGLGLHVLLCSSLLLNAVFIAHQFLGASPPATPERLGDGLSWALQAAKEAEDVAAVDCSGHGSVFLDGVTGEDGRPGCECNSCFSGPDCSVRIPNCAADGQGGDPLFLEPYWKRHAAASAVVFSGWHRLSYITTDGHLKSVELDRQIRRLHRAVGNAVVDDKYLVFGTGSTHLINALVYALSPEGNAASPPASVVATVPYFAMYKSQTVMFDGREYRWDGTTAAWANNNSSRNPTRGFIEFVTSPNNPDSTLHEPILAGSSAIVDHAYYWPHLTHIPAPADEDVMLFTTSKLSGHAGSRFGYTRATEFPAACHLQARHVHNDTKADRYTPCRALRRWALVRDEKVASRAISYIEESTVGTSRETQLRVLKILKVILANLHGKEDIFAFGYDVMSSRWRRLNAVVSRSTRISLQKMPPQYCTYFNRIKEPSPAYAWVKCEWVEDDDCYETLLAAGINSLTGTVNEAGTRYTRLSLIKTQDDFDMLLERITEFVDAEKHGRAPAASSSM >ONIVA01G33050.1 pep chromosome:AWHD00000000:1:28879797:28881426:1 gene:ONIVA01G33050 transcript:ONIVA01G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKARVTSSCADVEASQLTSRTLLTLRLADRTKPDDEPHNANELLLPDKILLVVTIVHIVASSVAHSYINLVIEIHFNHQCVREVCENTIVFLGLPSKKFFLALAYEVSLSHIRMSCRLSLDFGTCNSFAT >ONIVA01G33040.1 pep chromosome:AWHD00000000:1:28873530:28874590:1 gene:ONIVA01G33040 transcript:ONIVA01G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVGETQIIAVPGFGGPAPSPGRWWPMDGAHEAWLRVLADLPPRAASDGDGSIVFFTGTGIVSATVASFAFAAAAEQREKGTNSETGPENIITNIEQEPELGPNNTEKWTIISQRTVARFLEEAKAQTAKNITYHAKIFRGFFIKENEKQMVGACVKGKEGELPRPNLYEHHKEKR >ONIVA01G33030.1 pep chromosome:AWHD00000000:1:28862137:28865421:-1 gene:ONIVA01G33030 transcript:ONIVA01G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIENQSQGQRAAEAAAQPRIPREARRLLHEMAASWADVADCRALQVIPLKGAMTNEVYQVRWLNGAPATADGGEVEAEAAAREREVRKVLVRIYGDGVELFFDREDEVRTFECMSRHGQGPRLLGRFTNGRVEEFIHARTLSAADLRDPEISALVASKLREFHNLDMPGPKSVLIWDRLKNWLKTARNLCSSDESKKFRLGSLENEIAALEKEFSGDYHGIGFCHNDLQYGNIMIDEDTNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHRLDYSKYPDTDEQKRFVKTYLSNSVSEEPDAEEVENLLQSIEKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKQALLTS >ONIVA01G33020.1 pep chromosome:AWHD00000000:1:28850385:28856456:1 gene:ONIVA01G33020 transcript:ONIVA01G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSTSASARATPPARSLPPLGASGSQQEPAATASHHAAGAGASSRPMRRKGRKQKQLWPKTVLRKWLNIRSPESDFSADEGEATGDDDTDSEFEYEEMCHWERQLYDEERRLRGLGAETIGIYLIRIIIVFNTFIGKRVIDKASHEKFELHITNEINSQMEGAPYKLNRRRKVCVGTWNVAGRLPPDDLDIQDWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDELVSESDSESGGEVHPWNEQDFTVDDDSVHSNKYEHSTSGPTETTVNGNNFSRVPSMKIFDRSHNLSFKDYVSSLEEPIHQKMLTKTLSYSERLGMIWPEQPLDILTQRLPDITKPFISEKALRSCLSFKSAHGDSNAFPDDCLVHDFNIKSALVKTKRPYFVRIISKQMVGVFISIWVRRSLRKHIQNLKVSTFCALWSYHLMYIYYALGSIAVSMSIYQTLFCFICCHLTSGEKDGDELKRNADVQEIHRRTIFNPVSRVSMPKTIYDHERIIWLGDLNYRINLSYEKTHEFISMKDWNGLFQNDQLKREFKKGHLFDGWTEGVISFPPTYKYKVNSEKYTSDEPKSGRRTPAWCDRILSFGKGMRLQAYRTVDIRLSDHRPVTAVYTSDVEVFCPKKLQRALTFTDAEVEDQFSFEEESTSGIFSF >ONIVA01G33020.2 pep chromosome:AWHD00000000:1:28850385:28856456:1 gene:ONIVA01G33020 transcript:ONIVA01G33020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSTSASARATPPARSLPPLGASGSQQEPAATASHHAAGAGASSRPMRRKGRKQKQLWPKTVLRKWLNIRSPESDFSADEGEATGDDDTDSEFEYEEMCHWERQLYDEERRLRGLGAETIDSQMEGAPYKLNRRRKVCVGTWNVAGRLPPDDLDIQDWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDELVSESDSESGGEVHPWNEQDFTVDDDSVHSNKYEHSTSGPTETTVNGNNFSRVPSMKIFDRSHNLSFKDYVSSLEEPIHQKMLTKTLSYSERLGMIWPEQPLDILTQRLPDITKPFISEKALRSCLSFKSAHGDSNAFPDDCLVHDFNIKSALVKTKRPYFVRIISKQMVGVFISIWVRRSLRKHIQNLKVSTFCALWSYHLMYIYYALGSIAVSMSIYQTLFCFICCHLTSGEKDGDELKRNADVQEIHRRTIFNPVSRVSMPKTIYDHERIIWLGDLNYRINLSYEKTHEFISMKDWNGLFQNDQLKREFKKGHLFDGWTEGVISFPPTYKYKVNSEKYTSDEPKSGRRTPAWCDRILSFGKGMRLQAYRTVDIRLSDHRPVTAVYTSDVEVFCPKKLQRALTFTDAEVEDQFSFEEESTSGIFSF >ONIVA01G33020.3 pep chromosome:AWHD00000000:1:28850385:28856456:1 gene:ONIVA01G33020 transcript:ONIVA01G33020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSTSASARATPPARSLPPLGASGSQQEPAATASHHAAGAGASSRPMRRKGRKQKQLWPKTVLRKWLNIRSPESDFSADEGEATGDDDTDSEFEYEEMCHWERQLYDEERRLRGLGAETIDSQMEGAPYKLNRRRKVCVGTWNVAGRLPPDDLDIQDWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDELVSESDSESGGEVHPWNEQDFTVDDDSVHSNKYEHSTSGPTETTVNGNNFSRVPSMKIFDRSHNLSFKDYVSSLEEPIHQKMLTKTLSYSERLGMIWPEQPLDILTQRLPDITKPFISEKALRSCLSFKSAHGDSNAFPDDCLVHDFNIKSALVKTKRPYFVRIISKQMVGVFISIWVRRSLRKHIQNLKVSTGSIAVSMSIYQTLFCFICCHLTSGEKDGDELKRNADVQEIHRRTIFNPVSRVSMPKTIYDHERIIWLGDLNYRINLSYEKTHEFISMKDWNGLFQNDQLKREFKKGHLFDGWTEGVISFPPTYKYKVNSEKYTSDEPKSGRRTPAWCDRILSFGKGMRLQAYRTVDIRLSDHRPVTAVYTSDVEVFCPKKLQRALTFTDAEVEDQFSFEEESTSGIFSF >ONIVA01G33010.1 pep chromosome:AWHD00000000:1:28843127:28844318:-1 gene:ONIVA01G33010 transcript:ONIVA01G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIFWARILRPTVEVDVRVCLGGLVIHIHGLDRPILFYVTVESAWNPRGPHLSAWPRRAHSLFSSSPLSLSHSSLFSEASRPTTGAEATGARQESRRRRRLRRALRAGVEKSRALNHALAGRGPSLGRSRRGGVVPDHAPRDTLEGAGEYINRAVGPAVAVRGLEPPFLAASAVADDLLGYLVVLSRLEEALHFLSDNCGIASQWLSFAEYLGDRSLADPCFVSDLAEVLSHLKTPSANLDGGLLVAALDILEDEFCRLLKEHSASLAMKEPNYSRPRLHLRAHCRGRSAPPPPLRPTPRCPPARGRFTGGGDTARRRHSQPAAALAFSCIAAAAVTSSPASL >ONIVA01G33000.1 pep chromosome:AWHD00000000:1:28837427:28843046:1 gene:ONIVA01G33000 transcript:ONIVA01G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPTTSASAAATTRLFLPTRRHLHETASVPAPSRTIARRYSGGVRSLGPPRAAAGERGGGAGGTITGKGAVRIVSIVGDGSISPLKDTPWEEVMRHTANRMKWVDEGFEMLVFTDKSIGHDELRKELAQCDMLVNVAITSQETVQWLINNSEDIPNIICFQSSPTLENKLGGRYVQYTGRQDMFCKLTNIGETGGMKESAEVLKAVSNAWERHNSDDIRFCLLVVVNAYIRPVDMLQNLRAKGLSTLSCMIRNCGPQILNCLFDPNCRKALQCLNSCSPTDQVCNYRCIASYESPHLEAFSLCVLQKNNCLDLNAEIPSKPSVPPLTMFREQMLSHELAEDMFVGWLDNLEWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPIFQVRTLEGELVWRRRKYRVRRALIPGTFYFSVLDNGVVSKEFWTIVDVSDDFSWGLFHYHGAAQAAGLAYTGAVLVSPDGSCPDLDDPRLASALDKCGIKKWELYMVDNCSCTGAPLGTPGDAKLHYQIAPGKESDPPQQFALFTVQDWSCGPVEVIEKKQRARMAGLYERPSETYTKKRPRYPDAWFSKLAALTAGHHRAWDAGCGTGQASISIAEHYDSVVATDASEGQIRHAVAHPKVRYLHTPVDLSEDDLVAMVGGEGSLDLVVVATSIHWFDIPLFYAVANRVLKRPGGVLAVWGYNYEIHPFEDKLHGQLYPAMRPYMDPRTRLAMDRYRDLPFPFEPVGVGREGEPADVDIEVDMTLDDLVGFLKTGSVVTTAREKGVDLEAVTKDVMKGVEAEWGDPAVARKLVFKAFMLAGKPKVLN >ONIVA01G32990.1 pep chromosome:AWHD00000000:1:28831657:28833111:-1 gene:ONIVA01G32990 transcript:ONIVA01G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLFLALQCVQCSTMQVKPQKKSSNKWVCVVCNQRQSVMRVHARGHRAADLRRFVQEANLSRGRAAHVPVPEEDWVPAVPGEQRDEFPKERKRRMDWSDYLEDPGEYDGGGHHEEARDEGIQVTTELPEKRPKVTSLKRAPKAQLGLGGKRPKTPVTSTLPKRQPIEEAQRSKWSNYLDTSFSEGGSGFEDSEQHCSELECSITDVVVDDEVHPDFV >ONIVA01G32980.1 pep chromosome:AWHD00000000:1:28821931:28826861:-1 gene:ONIVA01G32980 transcript:ONIVA01G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLLGKKSAKSNSTKAKDLAKAANNKPVLSEDPPVISEPALVNSHNDGNAENCKLPNGVAVEAMGQGVENQNIVGSKAPTSPEKLSEELAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAASTLRVTWLIVKLQALVRGRNVRLSGASIQFVVKSGQHKFLSDKPSDAWKEKVSSNAYVRKLLSSSIGLEALHLQYDKRDPNSLYNWLERWTISQIWKSSSQPKKVADGKPQVRKASYAMETESAKLKRNVRKSSAVTVDSFQTNMTVEPEKIKRNSRKFSSSAADSVPDSQLSELEKVKRNLRKVTNSMAEASKISSSRADASKVSSSMADASKVSSSTADASKVSDSVAQIPPSLVNGISDHQDNQCEEAQQNACVSFPPETQELHSGILLEDNSHMNLLEPDLISNPETPFTSILTWEKFNDSTADAQEVEVLPLQNIDNEDNFPENGVLGKKEKPRSKEEPLSNGNLKTSKRRSSFSTKSDYPENGAQNTPVPRRKPSYMAATESAKAKLRGQNSPRLDSDSPADMNGFTRRQSLPSSTNRAFWTERSELNGDGGEDNQLPFERCNVVVDEVPCCP >ONIVA01G32980.2 pep chromosome:AWHD00000000:1:28821931:28826861:-1 gene:ONIVA01G32980 transcript:ONIVA01G32980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLLGKKSAKSNSTKAKDLAKAANNKPVLSEDPPVISEPALVNSHNDGNAENCKLPNGVAVEAMGQGVENQNIVGSKAPTSPEKLSEELAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAASTLRVTWLIVKLQALVRGRNVRLSGASIQFVVKSGQHKFLSDKPSDAWKEKVSSNAYVRKLLSSSIGLEALHLQYDKRDPNSLYNWLERWTISQIWKSSSQPKKVADGKPQVRKASYAMETESAKLKRNVRKSSAVTVDSFQTNMTVEPEKIKRNSRKFSSSAADSVPDSQLSELEKVKRNLRKVTNSMAEASKISSSRADASKVSSSMADASKVSSSTADASKVSDSVAQIPPSLVNGISDHQDNQCEEAQQNACVSFPPETQELHSGILLEDNSHMNLLEPDLISNPETPFTSILTWEKFNDSTADAQEVEVLPLQNIDNEDNFPENGVLGKKEKPRSKEEPLSNGNLKTSKRRSSFSTKSDYPENGAQNTPVPRRKPSYMAATESAKAKLRGQNSPRLDSDSPADMNGFTRRQSLPSSTNKRSELNGDGGEDNQLPFERCNVVVDEVPCCP >ONIVA01G32970.1 pep chromosome:AWHD00000000:1:28744421:28744896:-1 gene:ONIVA01G32970 transcript:ONIVA01G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRACESQNHSIPKSWSEDEPTATVSAHRETQATRDVEDGGEVGGTGTSRLPNPGGPNLHTVGSSSLRQKERYPTNARCAKEDGGSRRGAMEEGGSCCCRIR >ONIVA01G32960.1 pep chromosome:AWHD00000000:1:28709130:28711914:-1 gene:ONIVA01G32960 transcript:ONIVA01G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMANEESPNYQVKKGGRIPPPRSSLIYPFMSMGPAAGEGCGLCGADGGGCCSRHRHDDDGFPFVFPPSACQGIGAPAPPVHEFQFFGNDGGGDDGESVAWLFDDYPPPSPVAAAAGMHHRQPPYDGVVAPPSLFRRNTGAGGLTFDVSLGGRPDLDAGLGLGGGSGRHAEAAASATIMSYCGSTFTDAASSMPKEMVPAMADVGESLNPNTVVGAMVEREAKLMRYKEKRKKRCYEKQIRYASRKAYAEMRPRVRGRFAKEPDQEAVAPPSTYVDPSRLELGQWFR >ONIVA01G32950.1 pep chromosome:AWHD00000000:1:28690141:28691967:-1 gene:ONIVA01G32950 transcript:ONIVA01G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSLPYRHLPQHLAGLLKTRPLHDLLSDASTSRAARHLFDAVPRPTPALCGTLISALSRLCSHQELLDAFSSLHRRGSDVPPGCVPLVVKSCAILAASRQGKQVHCHAIVRGLLGDIFVQTALVDFYAKNGDMDCAVKVFDEMPVKDPIPMNCLITGYSKSGDVVKARRLFDGMVRRTSASWNSMIACYAHGGEYQEALRLFRRMLSEGARPNAITIATMFSICAKTGDLETGKWARSLIAEQDLQNMIVHTALMEMYVKCRAIDEARREFDRMQQRDVVAWSTMIAGYAQNGRPHESLELFERMKATSCKPNEVTLVGVLSACAQLGSDELGGQIGSHVESQNLPLTSYLGSALIDMYTKCGHVGRARSVFNRMEHKVVITWNSMMRGLALNGFAQDAITLYKEMTEEDVQPNEITFVALLTACTHAGLVDQGMSFFKEMKTIHHVSPQVEHCACIVDLLCKSGRLREAYKFICDMEVEPNAVIWSTLLSACRAHADVELAKLAASKLLVLEPDNSSIYVLLSNIYADAGLWGDVREIRDLMRSKNVQKLSAYSWIELDGEVHKFLVQDTYHPKSAEIFNVVDGMGLHLDDVDSDPDLFVLEHY >ONIVA01G32940.1 pep chromosome:AWHD00000000:1:28689065:28689792:-1 gene:ONIVA01G32940 transcript:ONIVA01G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEADKAAAPAPAAAASVAETSDDAIQEESPAPAPSGKPGSEAAAKPEVEVQLFRRGRGPVAVFRSPLGGYTQDQLEVGDILEQHGLKSVFVFHPASRTRGVAIRFHPRNGRSLLTYVAGSTIFLDGEPKDSLLKPVTKVMIGVAAMTAVAAVLLKEGKMPEWLKESKLGNLNFPPWVLACMVIVFMRLRKRTKDAMKKFGWSS >ONIVA01G32930.1 pep chromosome:AWHD00000000:1:28685186:28687039:1 gene:ONIVA01G32930 transcript:ONIVA01G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40405) TAIR;Acc:AT5G40405] MRPLRDPAKLAAAASSQRHLREIHAHLLVSGRIASPSHLAAFLASLASSSSDDDDDGDLSYARLLLPRRPATLLAHNSLLRALARGRRPHLAFGAFRDLPLVPDNYSFTFLVRAATALAAAAASALDAALIAGSVHASALRHGHAGDPHVQSGAVSMYAAAGDVGAARAAFAEIASPDVVCVTAMVGALATGGEADAARELFDGMPQRDHVAWNAMIAGYVHTGRSREALRLFDEMRHAGAAVGEVTLVSALTACAQLGALERGKWVHSCAHSRGMRLSVTLGTALIDMYSKCGAVAAAMEVFDSMGERNVYTWTSAVSGLAMNGMGRDCLALFKRMESTGVEPNGVTFVVVLRGCSMAGLVDEGRACFDSMKSNHGIDPWLEHYGCMVDLYGRAGRLDDAVNFINGMPLEPHEGVWGALLNASRIHKNVELGKYAMDKLMAIESKNDAAHVLLSNIYADSQNWKGVSNVRNMMKAKGVKKVPGCSAIEVGGKVHEFFVGGKTHPRHKEIEMMLAEMNQRLRLQGYIANTKEVLFDIEEEDKEDAISLHSEKLAIAFGLVALPEDMEIRIVKNLRVCEDCHDYTKMISKVFNREIVMRDRNRFHHFKDGACSCKDYW >ONIVA01G32920.1 pep chromosome:AWHD00000000:1:28679872:28682360:-1 gene:ONIVA01G32920 transcript:ONIVA01G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G15100) TAIR;Acc:AT5G15100] MVSWKDIYLVLEATVPLYVAMILAYLSIKWWKLFTPEQCSGINKFVAKFSIPLLSFQVISTTDPYDMNIKLIYSDILQKSLALLGFAAISKACCAEKFDWLITGFSLSTLPNTLIVGIPLLKGMYGEQAGKLLSQIVVLQSLIWYTLLLFLFELRAANGMATTTSSETTESGTRGPTQQRYGDVQAKGVSARCSCAFRFLLVVGKKLVMNPNMYACLIGLIWALVGFRWHIRLPLIVSNSIRILSDGGLGMAMFSLGLFTALQTKIIACGAKRMLLALAIRFFLGPALMGMSSYAIGMRGVLLKIAIVQAALPQGIVPFVFAKEYNVQADILSTAIIVGMMVAVPVALAYYFAMIIPAIK >ONIVA01G32910.1 pep chromosome:AWHD00000000:1:28678403:28684401:1 gene:ONIVA01G32910 transcript:ONIVA01G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCHVIVLRRGKNEAKKWLMHMKLLAAVALHQAEPDNLLFSPGYACKMFGRMPHRGASSSWAGCTVHRLLDGAPDRNRARRVAGECLLSFSREKKNFAPGSCNVPVLQS >ONIVA01G32900.1 pep chromosome:AWHD00000000:1:28674372:28677132:-1 gene:ONIVA01G32900 transcript:ONIVA01G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETECKVPGVYSETGIPVEDPAPGLNSDVSKKDAPPAVAAPGPGLYFEIGKKARDLLYKDFHTDQKFTLTTYTNNGVVITAASTMKDEAIFSEIQTKLKSNNVMLDVLTTITTEDLGVSGLKQIVSLPFPYQTAGKAELQYLHDYAGISLGVGLTSKPLVNLSGVFGNKSVAVGADVAVDTSTGDFTKYDAGLTINNSDLAADLTLNNKGDSLTASYYHLVNKESGTAAGAELTHSFSTKENTLSFGMQHALDPLTTVKARYNNHGMVSALIQHEWRPKSFLTLSAEVDTKAIDKASKVGLSLVLKP >ONIVA01G32890.1 pep chromosome:AWHD00000000:1:28664649:28675096:1 gene:ONIVA01G32890 transcript:ONIVA01G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-amylase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G69830) TAIR;Acc:AT1G69830] MAVASWSIPAIPRAGPTARGVLLGGAFVTAARPPVAWRCRATLPRRVRLGGVVARAGAAETPVAGSGEAGLLFSEKFPLRRSRTVEGKAWVRVDAEPDGEGKCKVVIGCDVEGKWVLHWGVSYDGEQGREWDQPPSDMRPPGSVPIKDYAIETSLDTPHNSEGKTIHEVQIKIDKGTSIAAINFVLKEEETGAWFQHKGQDFRIPLSGSFGGDLLGTEQDIDVRPGALGHLSNVLQKPEGPIAEPHKTVPDDKGSRTKHISGFYEEYPILKTVYVQNFITVNVRENNGTTKHAVEFDTDIPGEVIIHWGVCKDNTMTWEIPPEPHPPATKIFRQKALQTMLQQKADGTGNSLSFLLDGEYSGLIFVVKLDEYTWLRNVENGFDFYIPLTRADAEADKQKADDKSSQADGLISDIRNLVVGLSSRRGQRAKNKVLQEDILQEIERLAAEAYSIFRSPTIDTVEESVYIDDSSIVKPACSGTGSGFEILCQGFNWESHKSGKWYVELGSKAKELSSMGFTIVWSPPPTDSVSPEGYMPRDLYNLNSRYGTMEELKEAVKRFHEAGMKVLGDAVLNHRCAQFQNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRSDLKEWLCWMRKEVGYDGWRLDFVRGFWGGYVHDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHSALERSEYWRLSDEKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPFGMELQGYVYILTHPGTPAIFYDHIFSHLQPEIAKLISIRNRQKIHCRSKIKILKAEGNLYAAEIDERVTMKIGAGHFEPSGPTNWVVAAEGQDYKVWEVSS >ONIVA01G32880.1 pep chromosome:AWHD00000000:1:28659390:28663415:1 gene:ONIVA01G32880 transcript:ONIVA01G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWRLDWLLQQIRPERNPLERLAFLRSMRYRCRRQPKLSKRLSQTRDCPKNATYLFGLRMLCLDLDYDDEVLATLVSCLLNSSPNLKDLKIHSSLRPYCFISRRLLGDWEKIDADCCVQNHLCSQDIPVILFIDALSESNPCAGLCHFLVMNARILQKVSIEYLRSDVKPEHAAKLEAIRSDLHLWPRASSNAKRWVINMRTCKLQLHVSPGVYFAGM >ONIVA01G32880.2 pep chromosome:AWHD00000000:1:28642732:28654789:1 gene:ONIVA01G32880 transcript:ONIVA01G32880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEAGEATVLAGERGCDDKRRASAAVAASGGRAAASGAGEVGDAGGATGRAAVGEQMGERRPAAREGERGHRRDDGGRRGGVRHHGELARRDRADGGGRADGGEKASGGGVGEWPPVLSKAKTAMRRMLPKKCYLLGLQKLTLILDHNHEALARLASCLLNSSPNLKDLEIMPVCATVPA >ONIVA01G32880.3 pep chromosome:AWHD00000000:1:28661386:28662039:1 gene:ONIVA01G32880 transcript:ONIVA01G32880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLDLDYDDEVLATLVSCLLNSSPNLKDLKIHSSLRPYCFISRRLLGDWEKIDADCCVQNHLCSQDIPVILFIDALSESNPCAGLCHFLVMNARILQKVSIEYLRSDVKPEHAAKLEAIRSDLHLWPRASSNVQLELCPLDHYPCY >ONIVA01G32880.4 pep chromosome:AWHD00000000:1:28654889:28659789:1 gene:ONIVA01G32880 transcript:ONIVA01G32880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPTETKKARSSESSPQAHADDVDRLSALDDASLHAILYCMPLRDAAVTTALSRRWRRVFPTLPCLYIDSATFNGRDYVADSLGDDYCEDPDRWVEALDCIVGSRAAPVAVFDVEADVMFTEEGWFHDVIRVLCRSGGLLKLRIWNTRLTSCYLLPSPVYACETLVSLELFSCQLRVPDRLTGLRALQSLVLQDVVATDGDLQRMLSRCEAMKRLVMEDIRKARNIVIDAPSLEYLQIHSYRPLRVSVKAPKLRLARLSLCYGCAELSWSFHDNEETDGDYSIAEIQEMFDFVAMEKKEHKRTDEIRNMVTFFCGIRAAKELRLDLPREYSKVLSKTKIAVPRMLPKKCCLLGLQKLTLALDHNHEALARLVSCLLNSSPNLKDLEIMDPFDIRYSGHLAAEFWEKHITADCIQNHLSVVTFYMRESLFGGYPRIGLCQFLVMNARALKRMSIKYHRSLYKTEHVATVLEAVQSELRLWPRASPDVQLELSEIDCIPSI >ONIVA01G32880.5 pep chromosome:AWHD00000000:1:28642732:28659789:1 gene:ONIVA01G32880 transcript:ONIVA01G32880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEAGEATVLAGERGCDDKRRASAAVAASGGRAAASGAGEVGDAGGATGRAAVGEQMGERRPAAREGERGHRRDDGGRRGGVRHHGELARRDRADGGGRADGGEKASGGGVGEWPPVLSKAKTAMRRMLPKKCYLLGLQKLTLILDHNHEALARLASCLLNSSPNLKDLEIMDPFDIRYSGHLAAEFWEKHITADCIQNHLSVVTFYMRESLFGGYPRIGLCQFLVMNARALKRMSIKYHRSLYKTEHVATVLEAVQSELRLWPRASPDVQLELSEIDCIPSI >ONIVA01G32870.1 pep chromosome:AWHD00000000:1:28639048:28641862:1 gene:ONIVA01G32870 transcript:ONIVA01G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAPPAKKAKPPHPQDDASEEILARLPLRDDAVTTALSSRWPRVFSTLPRLRLGPTTFNSRASLDIGYCDDDDRWVDALDRVLDGRLSPVAAFEVDADMDLLEGYDDWFYSFFRTLCRSGGLQEIAVRNEHVHECYVVPSPVYACATLTSLELDACHLRVPGKLTGLRAVRSLVLRRVVATDVGLRRVVSRCRAVERLVLDDCHRVRNVVIRGSSLKQLEIHSYRPLCVALKKAPHLESAKLSLGYGVAEVSWSIYNNSDSEIESKRGSLQLYEFEAQERREQRKTDEATNMVTFLSGLNCAKELYLYLPYEYAKILRNSADWLRSGFKEYQHLITNEVAQKMLLAWAAEAYPLSEHNDGAIAQVVSCLQNSSPNLKVLEIKNDFFDDRRASTDVPDFWEKNMGAAECVQNHLSTVTFYLNSECFQGRSYIDLSKLLLMRARALERLSIKYRRLEDQDRYSAELESVQSELPLWPRASPGALVEIRAVDRLPSWY >ONIVA01G32860.1 pep chromosome:AWHD00000000:1:28635399:28638392:-1 gene:ONIVA01G32860 transcript:ONIVA01G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G20220) TAIR;Acc:AT5G20220] MLLRLPCARGPAPAAAARWRPPGRAARTAPRLGRGHAAASSADGSGAPSSPSLHYDYDPLADLLGPDVDPTSSQRSFNAGVILVTWLIWKEHNESLRGVQSLRNTAPVAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKQCGIDRSSLDCPMCNGKGIRECVQCAGECVIWQESIDEQPWEKVRSSSPLKVKEDDDVDKLEIKINTSKRSRRTYPSPSPEVALKISRSLRSLNAKTGLFTKHMKIIHQDPKLHAQRVAAIKKTKGTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFFCSKCGQEGHRSFYCPTAERISDADYVGERVIIAEHVESQSQRMSVNDNLGTAANVVKGGTTVVTVPDPPPLRLSFCSAKGHNRRTCPKRKASIGQQKD >ONIVA01G32860.2 pep chromosome:AWHD00000000:1:28635399:28638392:-1 gene:ONIVA01G32860 transcript:ONIVA01G32860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G20220) TAIR;Acc:AT5G20220] MLLRLPCARGPAPAAAARWRPPGRAARTAPRLGRGHAAASSADGSGAPSSPLFVLCHSQALTLALPPQSLHYDYDPLADLLGPDVDPTSSQRSFNAGVILVTWLIWKEHNESLRGVQSLRNTAPVAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKQCGIDRSSLDCPMCNGKGIRECVQCAGECVIWQESIDEQPWEKVRSSSPLKVKEDDDVDKLEIKINTSKRSRRTYPSPSPEVALKISRSLRSLNAKTGLFTKHMKIIHQDPKLHAQRVAAIKKTKGTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFFCSKCGQEGHRSFYCPTAERISDADYVGERVIIAEHVESQSQRMSVNDNLGTAANVVKGGTTVVTVPDPPPLRLSFCSAKGHNRRTCPKRKASIGQQKD >ONIVA01G32850.1 pep chromosome:AWHD00000000:1:28629360:28634143:-1 gene:ONIVA01G32850 transcript:ONIVA01G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCSSKGNIPYFETSAKEDRNVDSAFLSVAKLALEHERDQDIYFQTVVPDPVPEAEQRSGCAC >ONIVA01G32850.2 pep chromosome:AWHD00000000:1:28629360:28634143:-1 gene:ONIVA01G32850 transcript:ONIVA01G32850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGVGKTSLMNQYPCCCILALSRLIAAELPFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCSSKGNIPYFETSAKEDRNVDSAFLSVAKLALEHERDQDIYFQTVVPDPVPEAEQRSGCAC >ONIVA01G32850.3 pep chromosome:AWHD00000000:1:28629360:28634143:-1 gene:ONIVA01G32850 transcript:ONIVA01G32850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSFSSILSTFASICGTTYLLFTQVSEKKAMEWCSSKGNIPYFETSAKEDRNVDSAFLSVAKLALEHERDQDIYFQTVVPDPVPEAEQRSGCAC >ONIVA01G32840.1 pep chromosome:AWHD00000000:1:28620665:28622081:-1 gene:ONIVA01G32840 transcript:ONIVA01G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGASTPFSFCRHGSHAEYDDAVFSGSWMARRPSAAPHGGGASGSSFGAAFRQQHLDLLDYLSDDQGVPAPPPPAAVPSASYVTPAPAMGPAEPVVPDAVAAAGGGYPRSVAAAAAAVAGEGRDRTMTDKIAFRTRSDDEILDDGYKWRKYGKKNYYRCSTEGCNVKKRVERDKNDPRYVVTTYEGIHNHVCPGTVYYAAQDAASGRFFVAGISHPDLN >ONIVA01G32830.1 pep chromosome:AWHD00000000:1:28614508:28618360:-1 gene:ONIVA01G32830 transcript:ONIVA01G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSYRHGEHPGYTISLRFVQSSGLLQKSPAGDRLIERGGDPATREKLFEEARTHLELPLALSSRFIRSSRGYYI >ONIVA01G32830.2 pep chromosome:AWHD00000000:1:28614508:28618360:-1 gene:ONIVA01G32830 transcript:ONIVA01G32830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSYRHGEHPGYTISLRFVQREAIRGGEDTLGAASSSVLKIYKVILSSSEASCMQGYYI >ONIVA01G32820.1 pep chromosome:AWHD00000000:1:28613561:28614076:1 gene:ONIVA01G32820 transcript:ONIVA01G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKIGRPVAGVASLNQPLAESCKASASQPGDDSNSECSSGASSAIALGIVAANCGAAIYHSWHDPWSVAFVVASFLILVLLFLALRVYESSPRGSRRSLHVKAGVWALSTALTIMFSYKVAAFMPFPVAAVVWVTAGSTIFAGFYMLFFCRDEVEPAVEEKPAKVSDMA >ONIVA01G32810.1 pep chromosome:AWHD00000000:1:28604172:28607731:-1 gene:ONIVA01G32810 transcript:ONIVA01G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRYLVPPPGAADRAGGDAGDAALARDIVTLHKALSLDHSASSRRRRSLPLPAPSVADQARHKPRLKPSSSTRKLLPSASSSSSSAAAASTSSSSSFWKKSLTAISHLGRRRLDCAFALHVHSVDGLPAALDGSPISVHFRRMSLCASTRPVAAALGAASFEEVLTQRSPVYFSRGAKAAVKYEPRPFVVAAATSALELGKHEVDLTRLLPLSFDDLEEGGGSGFGKWSTSFRLSGPARGARLNVTFSCTLVGAAGEQQKGGEVAGLRRGSMARQVSVQTPTPVPARSRDVRVLHEVLPSGRTVKALPFFGDAGLDVRKEEVPTVESEENESPQSKHCTSVEVRNAELAHPEGDYDAAEFSVVEQGVEIALEDPEQLKSVGTDNVADGNEDFRDEFGEIEGEAKAVSVGDACAEESVGGKPEEVFSDVCFESEDAGEKKDSMVKAVSLPTVELDGEDQLDAELEDLGCLINSLSVIEPEQFDSPIVEGKRSRRLSCVGVTEGCNSASRMIRSRSMDASSDFVASEFLNMLGIEHSPLGATSGSDSESPRERLWKQFEKEALASGNGILGLDFEDEAEELSYEDDAEEPRCEDVAHDFDLSTIIREAELELQNAIQPIDTRFRAKSLEDEETEALMRQFGLNEKSFQSSPPGSRSGFGSPIDLPPESPIELPPLADGLGPFVQTKDGGFLRSMNPVLFKNAKNNCSLVMQASSPIVLPAEMGSGIMEILHGLASVGIKKLSMQANKLMPLEDVNGKMMQQIAWEASPALESSERYDLLDNHTMDALAGGIGNATFGKSKKGRCTDLSSSLGRESTSEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEDAPSNISAQPIGEFSSLQGKCAGNTLSLGLEGTAGLQLLDVKQSSGDVDGLMGLSITLDEWMRLDSGIVDEDEQFTDRTSKILAAHHAKSMELVAENQNVDKKNRRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYSTVTDKGNSEHDDEEPKTEEVLEKALVKEEKIEEEEDSVPQFKVAEVHVAGFKSEPEKTKSWGNQTQQQSGSRWLLAAGMGKGNKHPLMKSKAIAKPTKEAAGQSGDTLWSISSRVHGAGTRWGELAGPKRNPNILLQKEKRFR >ONIVA01G32800.1 pep chromosome:AWHD00000000:1:28578141:28600482:-1 gene:ONIVA01G32800 transcript:ONIVA01G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHAGEVRGVESGWLPTTTTTTTSSPLLSRRAAVPLRSPLVAVALDGSTTRGPRSPPPQPCADRADARRSASGPGEGRRSPVGAPPAPPSRVSSRPIAGLPGASASMLNIVIGSHVWVEDKDSAWVDGEVFRIDGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIRVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRTMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKRAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAALNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKVLLVAEQEENDLTKKAHANAQERNEELSKEVEDADGKIKQLSDTVQRLEETIQEREALLLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRFEEAITKLQSSVTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTIQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIAHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLVEDATTSEALLVAERQENEVTKKTLTEALDQIEELVKEVECAKNSVYQLQDNIQRLEQNASAREADLLTECQEKETTSKALAEAQAKIEGLLEEISSANKKTDLLQKTIERLEEGATTTDALYLTERQEHDQTKKAFSEAQEINQQLYRKIEEAEKNIEQLRENVERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEERIAYIDSLLAIERRENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEENVEAKESLLLTEREQNASTLKLLAEAHLEIDELIRKLEDSDRKSDSLQSTIKRLEEDGIAKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDAITKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLQGDIEAKDISLEAAREENDTIRKSLAEAQEKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEACERNEDLLKRNEDLLKRNDDLIKKIEESSKTITQLQETLQRLEGKSTNLEAENQILRQQATATPPSTAKSSASRSKITRIHRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSQQPQDDQQWLLTCISQYLGFFGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATESTSCNVLMVAFPPLKAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSPAKGHANGLGQKNQLGHWLAIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLIDVQLFNSNGEYVKVGLAELKHWSDNATREFAGSAWDALKHIRQAVDFLVISLKPMRTLKEIRTDVCPALSIQQLERIVSMYWDDINGSNAISAEFTSSLKSAVREESNTVTTFSILLDDDSWSAVCYI >ONIVA01G32800.2 pep chromosome:AWHD00000000:1:28578141:28600482:-1 gene:ONIVA01G32800 transcript:ONIVA01G32800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHAGEVRGVESGWLPTTTTTTTSSPLLSRRAAVPLRSPLVAVALDGSTTRGPRSPPPQPCADRADARRSASGPGEGRRSPVGAPPAPPSRVSSRPIAGLPGASASMLNIVIGSHVWVEDKDSAWVDGEVFRIDGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIRVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRTMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKRAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAALNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKVLLVAEQEENDLTKKAHANAQERNEELSKEVEDADGKIKQLSDTVQRLEETIQEREALLLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRFEEAITKLQSSVTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTIQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIAHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLVEDATTSEALLVAERQENEVTKKTLTEALDQIEELVKEVECAKNSVYQLQDNIQRLEQNASAREADLLTECQEKETTSKALAEAQAKIEGLLEEISSANKKTDLLQKTIERLEEGATTTDALYLTERQEHDQTKKAFSEAQEINQQLYRKIEEAEKNIEQLRENVERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEERIAYIDSLLAIERRENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEENVEAKESLLLTEREQNASTLKLLAEAHLEIDELIRKLEDSDRKSDSLQSTIKRLEEDGIAKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDAITKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLQGDIEAKDISLEAAREENDTIRKSLAEAQEKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEACERNEDLLKRNEDLLKRNDDLIKKIEESSKTITQLQETLQRLEGKSTNLEAENQILRQQATATPPSTAKSSASRSKITRIHRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSQQPQDDQQWLLTCISQYLGFFGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATEAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSPAKGHANGLGQKNQLGHWLAIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLIDVQLFNSNGEYVKVGLAELKHWSDNATREFAGSAWDALKHIRQAVDFLVISLKPMRTLKEIRTDVCPALSIQQLERIVSMYWDDINGSNAISAEFTSSLKSAVREESNTVTTFSILLDDDSWSAVCYI >ONIVA01G32800.3 pep chromosome:AWHD00000000:1:28578141:28600482:-1 gene:ONIVA01G32800 transcript:ONIVA01G32800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHAGEVRGVESGWLPTTTTTTTSSPLLSRRAAVPLRSPLVAVALDGSTTRGPRSPPPQPCADRADARRSASGPGEGRRSPVGAPPAPPSRVSSRPIAGLPGASASMLNIVIGSHVWVEDKDSAWVDGEVFRIDGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIRVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRTMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKRAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAALNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKVLLVAEQEENDLTKKAHANAQERNEELSKEVEDADGKIKQLSDTVQRLEETIQEREALLLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRFEEAITKLQSSVTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTIQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIAHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLVEDATTSEALLVAERQENEVTKKTLTEALDQIEELVKEVECAKNSVYQLQDNIQRLEQNASAREADLLTECQEKETTSKALAEAQAKIEGLLEEISSANKKTDLLQKTIERLEEGATTTDALYLTERQEHDQTKKAFSEAQEINQQLYRKIEEAEKNIEQLRENVERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEERIAYIDSLLAIERRENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEENVEAKESLLLTEREQNASTLKLLAEAHLEIDELIRKLEDSDRKSDSLQSTIKRLEEDGIAKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDAITKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLQGDIEAKDISLEAAREENDTIRKSLAEAQEKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEACERNEDLLKRNEDLLKRNDDLIKKIEESSKTITQLQETLQRLEGKSTNLEAENQILRQQATATPPSTAKSSASRSKITRIHRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSQQPQDDQQWLLTCISQYLGFFGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATEAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSPAKGHANGLGQKNQLGHWLAIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLIDVQLFNSNGEYVKVGLAELKHWSDNATREVISLKPMRTLKEIRTDVCPALSIQQLERIVSMYWDDINGSNAISAEFTSSLKSAVREESNTVTTFSILLDDDSWSAVCYI >ONIVA01G32800.4 pep chromosome:AWHD00000000:1:28578141:28600482:-1 gene:ONIVA01G32800 transcript:ONIVA01G32800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHAGEVRGVESGWLPTTTTTTTSSPLLSRRAAVPLRSPLVAVALDGSTTRGPRSPPPQPCADRADARRSASGPGEGRRSPVGAPPAPPSRVSSRPIAGLPGASASMLNIVIGSHVWVEDKDSAWVDGEVFRIDGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIRVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRTMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKRAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAALNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKVLLVAEQEENDLTKKAHANAQERNEELSKEVEDADGKIKQLSDTVQRLEETIQEREALLLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRFEEAITKLQSSVTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTIQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIAHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLVEDATTSEALLVAERQENEVTKKTLTEALDQIEELVKEVECAKNSVYQLQDNIQRLEQNASAREADLLTECQEKETTSKALAEAQAKIEGLLEEISSANKKTDLLQKTIERLEEGATTTDALYLTERQEHDQTKKAFSEAQEINQQLYRKIEEAEKNIEQLRENVERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEERIAYIDSLLAIERRENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEENVEAKESLLLTEREQNASTLKLLAEAHLEIDELIRKLEDSDRKSDSLQSTIKRLEEDGIAKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDAITKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLQGDIEAKDISLEAAREENDTIRKSLAEAQEKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEACERNEDLLKRNEDLLKRNDDLIKKIEESSKTITQLQETLQRLEGKSTNLEAENQILRQQATATPPSTAKSSASRSKITRIHRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSQQPQDDQQWLLTCISQYLGFFGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATESTSCNVLMVAFPPLKAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQVPSILVHKLFTQIFSLIDVQLFNSNGEYVKVGLAELKHWSDNATREFAGSAWDALKHIRQAVDFLVISLKPMRTLKEIRTDVCPALSIQQLERIVSMYWDDINGSNAISAEFTSSLKSAVREESNTVTTFSILLDDDSWSAVCYI >ONIVA01G32800.5 pep chromosome:AWHD00000000:1:28578141:28600482:-1 gene:ONIVA01G32800 transcript:ONIVA01G32800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHAGEVRGVESGWLPTTTTTTTSSPLLSRRAAVPLRSPLVAVALDGSTTRGPRSPPPQPCADRADARRSASGPGEGRRSPVGAPPAPPSRVSSRPIAGLPGASASMLNIVIGSHVWVEDKDSAWVDGEVFRIDGKNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIRVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSVIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRTMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKRAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAALNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKVLLVAEQEENDLTKKAHANAQERNEELSKEVEDADGKIKQLSDTVQRLEETIQEREALLLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRFEEAITKLQSSVTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTIQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIAHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLEEGATTTDALYLTERQEHDQTKKAFSEAQEINQQLYRKIEEAEKNIEQLRENVERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEERIAYIDSLLAIERRENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEENVEAKESLLLTEREQNASTLKLLAEAHLEIDELIRKLEDSDRKSDSLQSTIKRLEEDGIAKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDAITKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLQGDIEAKDISLEAAREENDTIRKSLAEAQEKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEACERNEDLLKRNEDLLKRNDDLIKKIEESSKTITQLQETLQRLEGKSTNLEAENQILRQQATATPPSTAKSSASRSKITRIHRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSQQPQDDQQWLLTCISQYLGFFGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATEAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSPAKGHANGLGQKNQLGHWLAIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLIDVQLFNSNGEYVKVGLAELKHWSDNATREFAGSAWDALKHIRQAVDFLVISLKPMRTLKEIRTDVCPALSIQQLERIVSMYWDDINGSNAISAEFTSSLKSAVREESNTVTTFSILLDDDSWSAVCYI >ONIVA01G32790.1 pep chromosome:AWHD00000000:1:28570802:28574834:1 gene:ONIVA01G32790 transcript:ONIVA01G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGDASAPVAAAEGRKPRHKGKHDKPKPWDDDPNIDHWKIEEFDPSWNEGGMLEVTSFSTLFPQYRGKSPHPTPPSALSWFLPRSAIDWFCLVVKKYLQEAWPIVKGALKEFGVACELNLVEGSMTVSTTRKTKDPYIIIKANELIKLLSRSVPAPQAIKILNDEMSCAIIKIGSIIRNKERFVKRRGRLLGPNLSTLKAIEILTGCYILVQGNTAAAMGYWKGLKQVVRVVEDCIKNVKHPVYHIKELLIKRELAKNPALAHESWDKFLPKFKKKNVKQKKPLTKEKKQYTPFPPPQQPSKIDLELESGECFMSDKKKSAKEWQEKLEKQSQKAEENKRKREAAFVHPNEDIATPYESAKSIINNGEIADMAKSLKKKAKELRKNEEQENVRLESYVASNEGSRPKKKHKLSKYSQPE >ONIVA01G32780.1 pep chromosome:AWHD00000000:1:28562486:28567992:1 gene:ONIVA01G32780 transcript:ONIVA01G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEERWQEQPALASHPSRATLRPRGWPRLGLAPTGVGSSCPPAPASELARHLARRAPVSASPPVLPPIKDQGARPPTLAASAAAASSPPPPPPPPPIPPLPPSTSTSAARPTDMAGVTSKRRSSSASTSSSSGDGAAVSDRPRGVTRKRRSGGRCPRPAASLRPAAPRPSSHHTAGLRVILQKELRYSDVSQLGRIVLPKKEAEAYLPILTSKDGKKSLCMHDLQNAQLWTFKYRYWPNNKSRMYVLENTGDYVRTHDLQLGDSIVIYKDDENNRFVIGAKKAGDQQAATVPQVDEHISTLFPIFPIAQVDDYLSPMAPQVDISAFVPHADENHEIFDGILNSLPEIPVANVRYSDFFDPFDDGMDMANTLNANANQSASLHVTEDKSGHSLIPNPKSGPHM >ONIVA01G32770.1 pep chromosome:AWHD00000000:1:28551474:28551983:-1 gene:ONIVA01G32770 transcript:ONIVA01G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAIDDDDDAPNRPSYGTYGNDPVARRASQKTTDRDGVRDCNGSGTMHGAGLSDGEKATTITMDLASFDAADGLDTWTRKRLSGTRFRPRLTSRCASRTHALLRRWCANSGEVAVYYSGSPAWRSRVGKVPGFCARRRSAAEFTVVPWCRAAGESAYRRIYARRRLT >ONIVA01G32760.1 pep chromosome:AWHD00000000:1:28549936:28551403:1 gene:ONIVA01G32760 transcript:ONIVA01G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMGFAPMLSVAVLLGTLAAFPAAVHSIGVCYGVVANNLPGPSEVVQLYRSKGIDSMRIYFADAAALNALSGSNIGLIMDVGNGNLSSLASSPSAAAGWVRDNIQAYPGVSFRYIAVGNEVQGSDTANILPAMRNVNSALVAAGLGNIKVSTSVRFDAFADTFPPSSGRFRDDYMTPIARFLATTGAPLLANVYPYFAYKDDQESGQKNIMLNYATFQPGTTVVDNGNRLTYTCLFDAMVDSIYAALEKAGTPSVSVVVSESGWPSAGGKVGASVNNAQTYNQGLINHVRGGTPKKRRALETYIFAMFDENGKPGDEIEKHFGLFNPNKSPSYSISF >ONIVA01G32750.1 pep chromosome:AWHD00000000:1:28538020:28540186:1 gene:ONIVA01G32750 transcript:ONIVA01G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMTSGGRRLAIERRAGGAGRGGTRHGARVQGSRPAARRDLGEVSISMILKFEIIFRLHNKIAFILEFGVNVTIGMRASGLQDPVALVSIGGWSPNQGTPQLAIILNPSLRRRCGLCPGWPYWAAAMGLSDLTLSTELEPMGLSSTEQGKLGTLTGSRQVEPRGKSMGTAIDGEPTAIDLFNELHCSKTKGFSEPVKKAIEDMHAREALTSSSGPPSTNDGIWTGSDQSSLS >ONIVA01G32740.1 pep chromosome:AWHD00000000:1:28524297:28534434:1 gene:ONIVA01G32740 transcript:ONIVA01G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWRRVWVWRKRSRGWAGFTAPSLDLTPFRPQPLSPYLAGIVAKEVGVAKGVQKLGWLRGPQLGLNSFSPPALVAVPCGYFGKGRGSGGSRGWAGFTAPSLDLNPFCPQPLSPYLAGTVAKCVGVAKGIQRLAWLCGPQLGFNSFSPPTLVAGTVAKGGGVAKGVHRQGPPAWKQLIFAPALVDVPCGYCGEGCGCAERDPEAGLASRPPSLDLTPFRPQPLSSYLAGTVAKGRKGSRGWAGIAALQLGLNSFSPHPLSPYLAGTVAKGVVVAKLLSSYLAGTVAKGVDVAKGVQRLGWLCGPPAWKAWVWRKGSRGWAGFAAPQLGLNSFSPPPLVALPCRYCREGHGCDERGPKAWASSRPPSLEATHFRPQPLSPYHAGTVEKGVDVAKGVQRLGWLRSPQLGLNSFSPPALVAIPCRYCGEGCGCGKSGREAGLASRPPAWT >ONIVA01G32730.1 pep chromosome:AWHD00000000:1:28506612:28513305:1 gene:ONIVA01G32730 transcript:ONIVA01G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSIALHAVLPPAAATPRRRPTRLRASSTEAPASGEKGQEDTDRKSGTGMRRRRRRAKKVQEVGLEALYDDGFGEATVRDYFDALRATPLDGGGGGGPPRWFCPVECGPPAVHAPPLLLFLPGIDGVGMELIMQHKSLGKVFEVRCLHIPVNDRTPYEGDPLKMAMVSIQNNTSPQDTLESFSDSLSSMLPLLSEFGHIVRMDTLVWKLKLLMSGVDYTNSRLNAVQAEILLLASGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMESSFNLLTVIKGASMYRQGKQRDTITDFLPPTISEFKRTFGEDFKLLHHLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVFFVGNYEILRQELSFFDVVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKDEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDMLEIVLDYNELKNIPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGVQRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARTFYQATWGVTAQIPTFEP >ONIVA01G32720.1 pep chromosome:AWHD00000000:1:28496695:28502580:1 gene:ONIVA01G32720 transcript:ONIVA01G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLHSQIALWRQRRRRHDLFERISSCHQFKHAGWRLQVSYKGLETLYDDGYQKAKDLDYYYRSLGELVEHDSGPPRLFCPVDAGSPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFHDRTPFEELVEMVEDVVRAEHATSPNKPIYLLGTSFGGCIALAVAARNPCIDLVLVLVNPATSFEKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGRHPLEALNRLTSNISSFLKHSNILNKIPEDTLGWKMKLIQQAASYANCRLESVSAEVLLLVSCADRLLPSKSEADRLQRMLPKCKVFFFENHGHSLLLEYGVHVSSIIKCTSLYRHSRRYHRVFDYIPPSATELKEVEKAGSDLRARTCPAMFSTMGDGVVVRGLAGVPEEGPVLLVGNHMLLGIELISLATEFLRRKGRVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHRLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMELLCTFEDIRNAPFGKEIMQAYSNHLKLRDIDHEVFFPGVYLKIPGRFYYRFGKPIPTKGMQAVMTDKQAAGELYLHVKSEVKAMIAYLLEKREEDKFRSILPRILYQLGCGHDSEIPSFDP >ONIVA01G32710.1 pep chromosome:AWHD00000000:1:28494949:28495637:-1 gene:ONIVA01G32710 transcript:ONIVA01G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLVTKERIYAAHLFSSTCHSIVEERGKGGGGERSIGGDLSEDTTGEVYDIEYRCSEKSAAKEKGGSGKVYIIGGDLSEDTASEVRGIKYFCSEKSATEECGKGGGGDLNPSILEDSSKQGD >ONIVA01G32700.1 pep chromosome:AWHD00000000:1:28489422:28492739:-1 gene:ONIVA01G32700 transcript:ONIVA01G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGFPQARRLLRRMGLEKEDAYFWKQMGKGMLCTYALFGAAWLWNETSPLGWWTLKPRPKEEREMAHLYERRMFPYPGDEEAVEEFIKSGGALGTTIGPKGFADSNMDSDNMQKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDPKF >ONIVA01G32700.2 pep chromosome:AWHD00000000:1:28489422:28492839:-1 gene:ONIVA01G32700 transcript:ONIVA01G32700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLMRRRSGRMGLEKEDAYFWKQMGKGMLCTYALFGAAWLWNETSPLGWWTLKPRPKEEREMAHLYERRMFPYPGDEEAVEEFIKSGGALGTTIGPKGFADSNMDSDNMQKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDPKF >ONIVA01G32700.3 pep chromosome:AWHD00000000:1:28490739:28492739:-1 gene:ONIVA01G32700 transcript:ONIVA01G32700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGFPQARRLLRRMGLEKEDAYFWKQMGKGMLCTYALFGAAWLWNETSPLGWWTLKPRPKEEREMAHLYERRMFPYPGDEEAVEEFIKSGGALGTTIGPKGFADSNMDSDNMQKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDVE >ONIVA01G32700.4 pep chromosome:AWHD00000000:1:28490739:28492839:-1 gene:ONIVA01G32700 transcript:ONIVA01G32700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLMRRRSGRMGLEKEDAYFWKQMGKGMLCTYALFGAAWLWNETSPLGWWTLKPRPKEEREMAHLYERRMFPYPGDEEAVEEFIKSGGALGTTIGPKGFADSNMDSDNMQKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDVE >ONIVA01G32690.1 pep chromosome:AWHD00000000:1:28484549:28485295:-1 gene:ONIVA01G32690 transcript:ONIVA01G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FS47] MAIELPKFDICVASIPYGISSPLTAKLLIGSHRFRARFARRLMGTPGHGERNLLAINARLVADMRLLMDVSRPEFSSLVEIRPKQTRPKEFAAGVELHEWLAFTRACTGQHKLQRQHQPPPKKKKSKKKRKTLGVLFKLAQGHAGGGSEDGGVVVAGNNEDDRDGDVGVASGFSREEVVAFKERIAGALRSAALAGKTASQLPNDELLRLLRLFIHWGIRFGRGVENIKFLTQNREIK >ONIVA01G32680.1 pep chromosome:AWHD00000000:1:28480494:28492327:1 gene:ONIVA01G32680 transcript:ONIVA01G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALHTLPAVAAGGLGCRWRRRRRPSATLRAASSVGTASVAVSVNAETQQQRQVGVEEEEKRREDAAEVRTGRVVEALYDDGFGGVTVKDYFAAARAVSSDDGGPPRWFCPVDARRPAVDNAPLLLFLPGTDGVGMGLILHHKSLGRVFEVRCLHIPVNDRTPFEGLLQIVENSIKYEHAMSPNRPIYLVGDSFGGCLALSVAARNPQIDLVLILINPATSFAKTPLQPILPVLEAMPSELHVTVPYLLSFVMGDPLKMAMVSVENNLSPPKTLQKLSDSLTSMLPLLSELADIIPRDTLFWKLKLLKSGAAYANSRLHAVQAEVLLLASGNDNLLPSGEEADRLFKSLKNCRVRYFKDNGHTLLLILRKQNLQKYQRNLLMQALPNYFGQEDGVNLLSVIKGVNMYRRGRQRDPVTDYIPPTLSEFKKTFNEDHKLFHLALSPVMLSTLKNGKIVRGLTGVPDQGPVLFVGYHALMGIELSPLYEEFLREKRTSFRGMAHPILFGGKHESSRQELSRFDTISMYGGLPVTAINMYRLFERNQFVLLYPGGVREALHRKGEAYKLFWPDQPEFVRMAARFGVTIIPFGFVGEDDVLELVADYNDQKNIPYLREWIESINREAQRVRDSVKGEDGNQDVHIPALLPKVPGRFYYLFGKPIEMKGMDNVVRDRKSANEVYLHIKSEVESLMSYLKRKREEDPYRSIAQRAVYQASWGASAEVPTFEP >ONIVA01G32670.1 pep chromosome:AWHD00000000:1:28467575:28471102:-1 gene:ONIVA01G32670 transcript:ONIVA01G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNNIFRVALLSYIILTIGGKELKSTNSGENTGLTFTNQQVNKTVQTEDGDIYDCVDVNQQPTFKHPLFKDHEIQMEPSSSPIRLDIKSPLVAAVSHAQLSTIDCPIGTIPILRNNKLDTTMVQGISTLASNDLQQLVAGIKYWDEIYGSQASINVYEPKVKQDSNDLSASWIQIGSVPKVGKGVGIGAGSCVYPSFSGDSFARFHISWDNEELKKNCIDHNCPGFVQVSRSVGLGGRVHPISVYNGPQYVIDVLIFKDPKTKNWWLAYGSNNTPIGY >ONIVA01G32660.1 pep chromosome:AWHD00000000:1:28436740:28437684:1 gene:ONIVA01G32660 transcript:ONIVA01G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAPTLRPQLAASPASRVPLSDSRRPLVYSGSNGFWSGRGRSGRASTSSSRVEDKVLRRRGLDGDQTSVRAFSSPREGANWMGSSPASSSSSSSSHASSSSESWIRDKLSGVRPSSLAGRAPATGTKRQCSSPPPSADRSEKKAKEDLAMEEPPEALAMEEQQDALATKEQALAMEEEQGAPATKGEQEVLPEMEEEQLVMEEEQESLAIDKMESGMEEQPGIEEEVLPEMEEQLMIEEEQELSAMDKMESGMEEQPGIEEEQPATEEVPAMETPVLEKESVPYFAGPSFNFAPHPSELPFPSLLIHLIHSH >ONIVA01G32650.1 pep chromosome:AWHD00000000:1:28413721:28415312:-1 gene:ONIVA01G32650 transcript:ONIVA01G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPATALYKLKIGLFCLAWRLGYAELASCLFHAVPMMAPPHHRDNDGFVIPSLSVEESDLGDWEAAQVSRPQPPPKATKDTEKIYLGPHGAPPSRAKKQEDTAAAATGYRDKSKVKEADQKVLGTGRDNKGGNNFNRYNNAGHHVKEPYKRST >ONIVA01G32640.1 pep chromosome:AWHD00000000:1:28408363:28410195:-1 gene:ONIVA01G32640 transcript:ONIVA01G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMSTIVMMVDLECDRCYRKIRRVLCKLQDKASIKAISYDEKNNTVTVAGPFDADEVSDRLCSSAGKVITDIRVVGGAKPMPGGGGGGAKAHANKPAGKDGSGGGGGKPEMIKKHVKFEMADDMDDGRHHHHHDNRKPKVVTTTNHAAGALARMEGRRAEAPSMAMAAAMAPAPMPMTVQATATPSIWPAPAPSAPAPLEWGHSAPTYGAGWAPPPAGGYYGGGGGPMYYGQPPPPPAYGYGGRSPYQPPYYDEEPAGCSVM >ONIVA01G32630.1 pep chromosome:AWHD00000000:1:28403006:28403866:1 gene:ONIVA01G32630 transcript:ONIVA01G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKAAVVASAALLSTLIIPLLLLSQNQPRAIADHLSAGATAATLFDALARLLGLLSPRNHMILLCNAILLLVLRDAGLLACPAPPAPAPPPRHHAAADDDASPPVAASSAASSRRRPQRPRSSAAVVVWRPSKLAVVDVLHVDDEDDGSDGERRRRRRPAQRHEPAMATTMAPPPIALPPAGEEKQSYDGLVDDDDHVSAGAIVVVDDDANKISSPVPDSDHHRYSGEDTNGRADDEEEAFDQCGGGDDDDDVDDMNRRFEEFIANTKRKMQMESLQLQLVMMKV >ONIVA01G32620.1 pep chromosome:AWHD00000000:1:28385212:28389407:-1 gene:ONIVA01G32620 transcript:ONIVA01G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MAGTGLRFRQGAIFFSGAHAAAHPRTRTPHHHCSPQRTHDARGRCRLTAKSANGRPQISASFRDVAIDGAQSEDGAPEQGGSTVSITVVGASGDLAKKKIFPALFALYYEDCLPEHFTVFGYARSKMSDEELRNMISLTLTCRIDQRENCSDKMEQFLKRCFYQSGQYNSEEGFSELDRKLKEKENGYDCEAHANTYHQAGKKPFGRDSESSGELTRNLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRQLRLEDVVVGQYKGHSKGGKTYPAYVDDPTVPSGSITPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYGRRRRSVGGGGTTATRELEKATNELVLRVQPDEAIYLKINSKVPGLGMRLDSSDLNLLYSERYPAEIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLADLEANKVAPELYPYGSRGPVGAHYLAANHNVRWGDIS >ONIVA01G32620.2 pep chromosome:AWHD00000000:1:28385212:28389407:-1 gene:ONIVA01G32620 transcript:ONIVA01G32620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MAGTGLRFRQGAIFFSGAHAAAHPRTRTPHHHCSPQRTHDARGRCRLTAKSANGRPQISASFRDVAIDGAQSEDGAPEQGGSTVSITVVGASGDLAKKKIFPALFALYYEDCLPEHFTVFGYARSKMSDEELRNMISLTLTCRIDQRENCSDKMEQFLKRCFYQSGQYNSEEGFSELDRKLKEKENGYDCEAHANTYHQAGKVPNRLFYLSIPPNIFVDVVRSASRTASSQDGWTRFIVEKPFGRDSESSGELTRNLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRQLRLEDVVVGQYKGHSKGGKTYPAYVDDPTVPSGSITPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYGRRRRSVGGGGTTATRELEKATNELVLRVQPDEAIYLKINSKVPGLGMRLDSSDLNLLYSERYPAEIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLADLEANKVAPELYPYGSRGPVGAHYLAANHNVRWGDIS >ONIVA01G32610.1 pep chromosome:AWHD00000000:1:28373247:28379440:-1 gene:ONIVA01G32610 transcript:ONIVA01G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCENGAAGEDRSKVGEFKEEILQLAALASQGEENSRAELLEKFNKCNKDTLVELIRSFDMTGSKANRKEELVTKLMEFFKVHCPDTNSAYLDKALQFGDLHYQINDFKEQTLQLARLAFHEEEEKSQAELLEKLNKSNKDTIVELCRSFDIIGSKANRKEELVIIMMEFLKEHCSGTDATDPDKKTKKRRRKNEVTHLSGSKPLKKMKLDGTSLEIHGEEEDSGAKYEENITKYSECDLDDNNNECANNEKGRFPKNKASLEPSERVNDVPNNFVGAAPTEVQILSNEQALSKTPFAKVVSTIEGDRTDMKTSGKKNASITKKKMTSKTDRKEKFCGKQMYKGDGKPRKLAAIPNRDELRQAVFLILDSADFATMTFGDVVKEVDKYFGKDLFEKKPLIRSLIEEELFRLGEEAEKKELEEEAAEVKARAEQAAKEGTNAGVNSGIDTAEALQVKDGKSEDAAKNKRDNSAENGPKGGVSVEVAENINRSAAAECSQDGRCEHDRENANNGGDFIRDDNAVQDSISGDHVEYSRDGEAERAKMNSNGEAVEAVDGGTEASKGGESADPKDDNNRNGDKSALDIDDRGAEDSHCNKNGENVACVENGKTNEAGNTENGENVVSHDAEKDDKRKDPIQNANPEQTLTDAGDDGKTEDAEHNANTEADVDSCADGTAEN >ONIVA01G32600.1 pep chromosome:AWHD00000000:1:28371228:28371887:-1 gene:ONIVA01G32600 transcript:ONIVA01G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNDSGGPSNYAGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVDPLKHYLHKFREIEGERAAASTTGAGTSAASTTPPQQQHTANAAGGYAGYAAPGAGPGGMMMMMGQPMYGSPPPPPQQQQQQHHHMAMGGRGGFGHHPGGGGGGSSSSSGHGRQDRGA >ONIVA01G32590.1 pep chromosome:AWHD00000000:1:28362165:28364982:-1 gene:ONIVA01G32590 transcript:ONIVA01G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETSCSSWDSDDEYQKFIQKMNPPRVVIDNTSCKNATVVHVDSANKYGILLEVVQVLTELQLIVKKAYISSDGGWFMDVFNVTDQNGQKIMDESVLDEIVKYIHKCLGADSCFLPSRRRSVGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLECNVVNAEVWTHNKRAAAVMQVMDRKTGLAISDTQRLARIKERLSYVFKGSNRSQDTKTTVTMGITHTERRLHQLMLEDRDYERYDKDRTNVNPTPVVSVVNWLDKDYSVVNIRCKDRPKLLFDTVCTLTDMQYVVFHGSVDSEGPEAYQEYYIRHIDGSPVNSEAERQRVIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGDKAVNTFYVRDAAGSSAVDLKTLEAIRQEIGQTVLQVKGHPDHRKSPPQESPSRFLFSSLFRPRSLYSLGLIRS >ONIVA01G32590.2 pep chromosome:AWHD00000000:1:28362165:28364982:-1 gene:ONIVA01G32590 transcript:ONIVA01G32590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETSCSSWDSDDEYQKFIQKMNPPSANKYGILLEVVQVLTELQLIVKKAYISSDGGWFMDVFNVTDQNGQKIMDESVLDEIVKYIHKCLGADSCFLPSRRRSVGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLECNVVNAEVWTHNKRAAAVMQVMDRKTGLAISDTQRLARIKERLSYVFKGSNRSQDTKTTVTMGITHTERRLHQLMLEDRDYERYDKDRTNVNPTPVVSVVNWLDKDYSVVNIRCKDRPKLLFDTVCTLTDMQYVVFHGSVDSEGPEAYQEYYIRHIDGSPVNSEAERQRVIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGDKAVNTFYVRDAAGSSAVDLKTLEAIRQEIGQTVLQVKGHPDHRKSPPQESPSRFLFSSLFRPRSLYSLGLIRS >ONIVA01G32580.1 pep chromosome:AWHD00000000:1:28350993:28351600:1 gene:ONIVA01G32580 transcript:ONIVA01G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAPPSPSLLVLQIRWVPGCEMSLVELQGWLLRWSRSCLSCKLGNDDLQRTSRQRDEGFEVEQFERALGENRVPFGTGVDSILDVVSLLKASLRRFLLH >ONIVA01G32580.2 pep chromosome:AWHD00000000:1:28351604:28352973:1 gene:ONIVA01G32580 transcript:ONIVA01G32580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGYGDLVIMLSKLLAFLVVEFFLAKIHMGEIHKFLSFGLLVGQRHVNRKAQRYKCNGKSSKDW >ONIVA01G32570.1 pep chromosome:AWHD00000000:1:28343468:28348403:1 gene:ONIVA01G32570 transcript:ONIVA01G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 11 [Source:Projected from Arabidopsis thaliana (AT1G18580) TAIR;Acc:AT1G18580] MPPVRSAPGRRAPEFRRSSRRRLPEWIWWLVGIFLVLGLMLFVLHHNQREHFRPPVVDKGSEFEETHHEKVNFTEELLSSTSFARQLTDQMTLAKAYVVLAKEHGNLQLAWELSSQIRNCQRLLSEEAVSGKAITKEEAHPIITRLARLIYKAQDSHYDISTTIVTLKSLVNALEERAKAAVVQTAEFGQLAAESVPKNLHCLTVKLTVEWLQNPKHRGRSEEHRNSTRLVDNNLYHFAIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRVHFGAMSTWFLINDFKGCTVEVRCIDEFTWLNAAASPLVRQLSEMETQGFYYGDSKNLEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSHPIISSKIDPHTCGWAFGMNIFDLIAWRKANATALYHYWQEQNADLLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDDRLIESAAVVHYNGNMKPWLKLAIRRYKYIWERYVNFTHPYVRECLLH >ONIVA01G32560.1 pep chromosome:AWHD00000000:1:28335741:28337724:1 gene:ONIVA01G32560 transcript:ONIVA01G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYKWQKELRGEVSNVTTAHGPLKLEEKPLPLLPIELIYGRSYLHSCGPVLSLHRYNNSCMKLIAEGKREMGIGTWRHNEEPSLPPLVVCPQCVVLPLEEKGWVSTCTPYYR >ONIVA01G32550.1 pep chromosome:AWHD00000000:1:28314767:28316244:-1 gene:ONIVA01G32550 transcript:ONIVA01G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASLVSGDDFTCAMETNTSAVRCRGPRGGAVEAGFLNTSISTLTAGGSRACGVRKNDGGVRCSGGGVLAPREDLYMDGLAIGDSHACGLPRPNHTATCWSLGCDTTTLYYPAVSTTFELLVAGGNLTCGLVSTNFSLLCWSMDGLMAAEVNLPSILPGVCVSDNSSCKCGLFPDSGRFCKVSGDIICKTNGL >ONIVA01G32540.1 pep chromosome:AWHD00000000:1:28309015:28314011:1 gene:ONIVA01G32540 transcript:ONIVA01G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPIANLSAVVAGAAHAAKAFAVEMLPAAVTREAVGWGGMGWDEPTFGVVWLRGEWDGLVPGEEYSSQIRDQPIRGILADELVPVGTSERRDDALLVRSPCSSSGPACALTILALRSSGRHRRARRRRQRPRAGSATSTATAAVAGSAMAAVVSGVIPIRYQTILHVLGDTCKYHVILIMYHAISTTYRVILARYHVKPVRYQTISTTYRVIFARYHAIPVMYQTISTTYRVISCDTCQVSDDFYHVSRDTCEVSDDTYQAFAVEMLPAAVTREAVGASMAWLLRHLWAWLVAARGVAVENLPVAAAVAKGAAGSAMEASAPWLQMAAEFLHGLYGWMLAAVAVAVESLPGVAKSTVEASQPWLGSAAELLQVIYGWLVAAIAVAVENLPGVAKSTVEYTVEASQPWLAVAAKLLQGLYGWLVTVSAVAVEMLPDAAKNAAGSAAEASQPWLAMASKLLEAHDLCGRLVTAGDKVVENLPEAAAAMGGGAHCSADATPVPTHGHGGVAVYALLAVTLLAVAFLGGAVCALTCRTMKGPGLGGARVPRAVFRASPRRYYAAVRTARKARRSASGIGWKNLVAAMSLAVAACIVYLGAKMLH >ONIVA01G32530.1 pep chromosome:AWHD00000000:1:28290200:28292783:1 gene:ONIVA01G32530 transcript:ONIVA01G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEKFLGTKRGEKGETRAAAAAAVHTSLDRRRHTSICRCRRHSLSPCALPCPVVGELSPPSRWTASSCVTCVIPLPSPLPPETAPRPHREALLPLDSHVRSRLERERYAAASPSPRTAPRPSVSRPSDPVARSLSM >ONIVA01G32530.2 pep chromosome:AWHD00000000:1:28291180:28292783:1 gene:ONIVA01G32530 transcript:ONIVA01G32530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTSSEIAGFGVGALLVCATIAAQRVDGFIASSQRTSLGMCKKCGDLRIVACSQCKGVGSVRKGGLFTFGMLDDIYESLGAETKTSNLVPCTNCRSKGRLLCPECSKVR >ONIVA01G32520.1 pep chromosome:AWHD00000000:1:28284721:28285194:1 gene:ONIVA01G32520 transcript:ONIVA01G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGSTNGGGVASRRMQAERWLDIAGKLLAAWDLVGCKRFIEQTVETSSSPSPMSSSPPSRSSTRATLTHSPSSASPDTNHADHAAVSCAYRRLALLSSGRAATHPGTDVALSLIHDAYAILSDPNHRPWPPSAALVPLSCYLVLASRCDQIPEF >ONIVA01G32510.1 pep chromosome:AWHD00000000:1:28279006:28282718:1 gene:ONIVA01G32510 transcript:ONIVA01G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FS25] MRRPDPATGLRFAAISLILCTANICAVARRSSYALLLDDEEDGGGGGDGSASFSFFPQTQPRGLVYGFYDESCPDAEGIVSSTVRELYLANPNVAAALVRLFFHDCFIHGCDASVLLDRINGDKSEREAAPNQSLRGFGAVDKIKARLEAACPRTVSCADILVLAARDSLVLAGGPSYPVLTGRSDSARAFYDEVGARIPSPNATYTVTLDAFARRGFTERETVALLGAHSIGKVHCRFFKDRIDNFAGTGEPDDTIDADMVEEMRAVCDGDGAAPMEMGYYRQGREVGFGAHYYAKLLGGRGILRSDQQLTAGSTVRWVRVYAAGERGEEVFREDFAHAMVKLAALEPLTGSPGHVRIRCSKPSYSYSNWENQFCKASTVHGAVSGGCASSILSPQDIHVGLGAEPPSRPNSFTSTSSCMAPSRRSMAVRSRSCSGRPTPSAHARNGIFRTPFPGTQKPGARPDATVKPAAVASLRAVPVVGLQCDVEDRGDGGRATSGGGRRGETAHSHCSLVSYLRKSLYAGAHVLRDQLCAVWWRLSYAGIPLAYGSTPSFRLGARKALTVAVNAMGEGAGVPDDLLRLMSAERRLGVAQARSLRSTCGWAGPVGSCTAGSVDFDGPRVGSFAV >ONIVA01G32500.1 pep chromosome:AWHD00000000:1:28273374:28274312:-1 gene:ONIVA01G32500 transcript:ONIVA01G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine deaminase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FS24] MGEEKVAPKSDAAPAVELLGFVMSAEEAERAAAAAGVETVEDLLPLLVPSAMRRARAPISRFPVGAVGLGASGRVYAGVNLEFRGLPLSHSVHAEQFLVVNAAAAGESELRAVAVSHMPCGHCRQFLQEIRGAGGIRIIVTSDAEDGCAPEWRTVASLLPRPFGPHDLLPKHVPLVLEPHDNPLGDPAAVANGFAHGDLEARLREAAEAAARAAHAPYSGCPSGFAVADGEGRVYAGGCLESAAYNPTLGPVQAAIIGMVAAGGGAAGDVVAAALVEKEAALVSQEATARIFLAAVAPQATFHVYNYRPSDA >ONIVA01G32490.1 pep chromosome:AWHD00000000:1:28257618:28259636:-1 gene:ONIVA01G32490 transcript:ONIVA01G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29590) TAIR;Acc:AT4G29590] MATLAPRPHALLALRRPRLRTPRARAAAPRARGAPVAPQAARPRRVFLGLGAAFVDQLARMASGGAPSRSFVASARPRQGVSPVEQVILKNVEWPDEFPFKAEDFSRFDESSDALFYSAPRYVTHIDDQAIEALTKYYSEVLPPSNTPGVAILDMCSSWVSHYPPGYKQEKIVGMGMNEDELKRNPVLTEYVVQDLNVNPKLPFEDNTFDVITNVVSVDYLTKPIDVFKEMRRILKPSGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGDFESPEAIDISPNPGRRTDPMYVVQSRKRIA >ONIVA01G32480.1 pep chromosome:AWHD00000000:1:28256462:28256968:-1 gene:ONIVA01G32480 transcript:ONIVA01G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFPSNYFLLSFWFFLGFWIIYQAFGAFGAVVNIDKPIESSIELVGVSGLVPVLALAPGPGVASRPAFNLLVRIDNDHILDRHREGGSVKVSYAGVPLAYGSIPSFRLGAREALTVAVDATSEAAGVPEDLLRLMAAEQRMGVAQLEIGMQLGGPGRESYSWSVDF >ONIVA01G32470.1 pep chromosome:AWHD00000000:1:28252475:28253074:1 gene:ONIVA01G32470 transcript:ONIVA01G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTALYGSKEVDPLLVLQTKVIILFYGMVTIVLVLGIPAAALLSNAAEKVKYTLDLAAVEGMDVAAAATAGAGGSTVISPAFNLTLRVENPRTFRPWCLDRGDVVVSYSGVALAWGRVPGFCVQKRSTAKLTVVPWGKNVHLSQELRERFVSELQEGTAKVYVEMKLHYYANFGMAAFAPSTGMVGISQELTLGGRDE >ONIVA01G32460.1 pep chromosome:AWHD00000000:1:28247993:28252090:-1 gene:ONIVA01G32460 transcript:ONIVA01G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQPPPIQGDGVAKKSRAAKASRREMLKVLYVLLALASTPFLYYIFFDLPPKFSLQINSAEGLDAVAAAAAAQPLSTIVNMTLHASNRRAPGRCYRHGEAVVRYAGFTVAAGRTRVFCVGARDALDVPVVAWADGVTLPNEVHDRMAAEQRAGSVELEVDVKLFDRESEMPTWMWCKVTTQEAEPSDVTPCRLHPPSSCDDDAGSCQKTSVACNRQRHKILIVNLLYELMFFAFMFLCLYHALYDFPSEFSVQITAIHGLDRGLVWHRGPSFTRKLRVKHARPGSPPHPPSSCDDNAGACQKASETCNRQRRKIFVVNLLYEFTNFIFIFLCLYHALYDFPSEFSVQITAIRGLDDAAPASPTIISPAIDVTLHVNNRRGTARCYRGGEAVVSYEGFTVASGTVPGFCVPGERAREVPFLASADGVGLPQQLRGRMALERRIGAMQLEVEVKLFGRDGGTSPRPTWMSCGLRMDEAQLPNTAHCSVLALQNWFSQPLFG >ONIVA01G32450.1 pep chromosome:AWHD00000000:1:28245863:28246054:1 gene:ONIVA01G32450 transcript:ONIVA01G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHPPTLPWPAVFSAALPYPTTPPSGIAVDPHSDAAFLTVGTQIYKVFVHPAKKATAAMYKR >ONIVA01G32440.1 pep chromosome:AWHD00000000:1:28244645:28245235:-1 gene:ONIVA01G32440 transcript:ONIVA01G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKDHEETDDSYGVVDVCKPTLGYKLAMALLLPFIYMLFFFSVFLVMRITYKASQAIDTAVIFNKPISSSVELVGVRGLDPSLAPGAAASPAFDLLLRLDNGDACGDQYREGGSVKVSYAGVPLAHGSTPGFRLGARSSATVAVNATSDGVGVPEELFRLMSAERRLGVAQLDIGLQLGWPGWESYYWSVDLDG >ONIVA01G32430.1 pep chromosome:AWHD00000000:1:28242619:28243233:1 gene:ONIVA01G32430 transcript:ONIVA01G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEDPLLRLMNKVSFLFWMTLLPVFLLGIFVGALLSKECEKAKYTMDLAAVEGMDVAAVSTVVSPAFNLKLRAENPRAFRPWCLDRGDVVVSYSGVALAWGRVPGFCVRRRAMAELTVVPWGKDVRLSEDLRDLLVSELQHGTAKVSVEMKLHYYANFGMAAFAPSSGTTSISQELLLDSWEDNMNSSLLKTKAGLPGRQDE >ONIVA01G32420.1 pep chromosome:AWHD00000000:1:28239462:28241916:-1 gene:ONIVA01G32420 transcript:ONIVA01G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIANCLRRRVSDAELSSEEKASLVETLKNKLQALAEQHVDVLESLAPVVRKRVDVLIEIQSQHDELEAKFLEEKSALEAKYHKLYGPLYSKRSEIVSGVLEVEGETEEREEKGVPDFWLKAMKNNEILAEEIHESDEEALKYLKDIKWCRIDDPKGFKFEFFFDTNPFFKNQVLTKIYHMIDEDDEPILEKAIGTEIEWHPGNCLTQEVLTKESLESTKPITKTEEYESFFNFFSPPQVPEDDEKIDENTAEELQNQMERDYDIASTLRDKIIPHAVSWFTGEAVQDEDYGASWVDDEEDDDDEYSDEEA >ONIVA01G32410.1 pep chromosome:AWHD00000000:1:28237255:28237731:1 gene:ONIVA01G32410 transcript:ONIVA01G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPISFSYSYACNVSRVVRLPIRVFRPDDQITATATRDLDDAAPAAPTIISPAIDVTLHVNNRRGTARCYRGGEAAVSYEGFTVASGTVPGFCVPGKRAREMPFLASADGVGLPQRLRDRMAVERRIGAMQLEVEVKLFGRDDDGTAPRHVVRAEDG >ONIVA01G32400.1 pep chromosome:AWHD00000000:1:28224598:28231563:1 gene:ONIVA01G32400 transcript:ONIVA01G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRSSNSLDSRSSLTLGELACAALIPVLALVDAVVFAAAQCFQKRPPGLLPATLAARARRRAGGRLTFRELAVLADESRCFSVNEVEALYELYKKISCSIVDDGLIHKEELQLALFRTPAGKNLFLDRVFDLFDEKKNSVIEFEEFIHAISVFHPNAPLEDKIDFSFRLYDLRQTGFIEREEVKQMVVATLLESEVQLSDDLVEAILDKTFEDADTDKDNRISKEEWKAFVLKHPSVIKKMTLPTLKDTTAAFPSFVFNTQVED >ONIVA01G32400.2 pep chromosome:AWHD00000000:1:28224598:28231563:1 gene:ONIVA01G32400 transcript:ONIVA01G32400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLPSWMENGGTPVPAATARNVQVRNPACALTICAAHRVQLAPGAAADAAAELQVHAPFPPHTTPPSLPFVFLYSPRLPVPESEARIRSEVSSAPSPPSLAGSRGPPTSSRRTSYRVQVTSMAPNKISSMDAGAAFDDEDASSSNSLQELWPVGEIDPKRARFPCCIVWTPLPIVSWLAPYIGHAGICREDGTVLDFAGSNLVSMDNFAYGSIARYLQLDRKKCCFPVNLATHVCERSYKHAEAGTAISWDDALQLGMRSFEHKFYNLFTCNCYSFVANCLNRLAYNGSVKWNVLNVAALVWLRGQWVDKMSVVRSFFPFLTVTCVGILMAGWPFLIGMAAFSSLLIGWFVFAVYCMKDLVC >ONIVA01G32390.1 pep chromosome:AWHD00000000:1:28222474:28223339:1 gene:ONIVA01G32390 transcript:ONIVA01G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGRLYQTELVESFRLTAASASPRRWDAAVVRCADDGENDAAVERVGDDDKVLKRRGTVLPVGRYGSGGDTARVRGATAPAPALTQDAASSKNGALLSGGDNDTPVSQNGSVVTGVDKPATAAASTPLVTIPKLPAPDSPVILPSVDRPQPEFVIPDAAYLGAPAPSLSSPCRWPRVASTGAQQWQGCHAVWLSPVAPLSSSLSAAVALLLLVGGRWARSSCGVYAIGFF >ONIVA01G32380.1 pep chromosome:AWHD00000000:1:28214579:28222066:1 gene:ONIVA01G32380 transcript:ONIVA01G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system P protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FS10] MERARRLANRALLRRLLAAAASTTSPAPSRGISTLAKGSRPRAPPRPAPHQYTTGRRPVSASALQPSDTFPRRHNSATPAEQAAMASECGFNTLDALIDATVPAAIRAPTMHFSGKFDAGFTESQMIDHMQRLAAMNKAYKSFIGMGYYNTHVPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKSKKKTFLIASNCHPQTIDVCQTRAAGFDLNVIVADAKDFDYGSGDVCGVLVQYPGTEGEVLDYAEFVRDAHAHGVKRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDATTITVAFDETTTLEDVDKLFKVFNGGKPVNFTAESLVSEVSSSIPSSLVRKSPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPSFANMHPFAPTEQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICMIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVITTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIESGKADVNNNVLKSAPHPPQLLMSDSWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQGSQVAEEAAAATA >ONIVA01G32380.2 pep chromosome:AWHD00000000:1:28214692:28222066:1 gene:ONIVA01G32380 transcript:ONIVA01G32380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system P protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FS10] MERARRLANRALLRRLLAAAASTTSPAPSRGISTLAKGSRPRAPPRPAPHQYTTGRRPVSASALQPSDTFPRRHNSATPAEQAAMASECGFNTLDALIDATVPAAIRAPTMHFSGKFDAGFTESQMIDHMQRLAAMNKAYKSFIGMGYYNTHVPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKSKKKTFLIASNCHPQTIDVCQTRAAGFDLNVIVADAKDFDYGSGDVCGVLVQYPGTEGEVLDYAEFVRDAHAHGVKVVMATDLLALTSLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDATTITVAFDETTTLEDVDKLFKVFNGGKPVNFTAESLVSEVSSSIPSSLVRKSPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPSFANMHPFAPTEQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICMIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVITTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIESGKADVNNNVLKSAPHPPQLLMSDSWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQGSQVAEEAAAATA >ONIVA01G32380.3 pep chromosome:AWHD00000000:1:28214692:28222066:1 gene:ONIVA01G32380 transcript:ONIVA01G32380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system P protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FS10] MERARRLANRALLRRLLAAAASTTSPAPSRGISTLAKGSRPRAPPRPAPHQYTTGRRPVSASALQPSDTFPRRHNSATPAEQAAMASECGFNTLDALIDATVPAAIRAPTMHFSGKFDAGFTESQMIDHMQRLAAMNKAYKSFIGMGYYNTHVPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKSKKKTFLIASNCHPQTIDVCQTRAAGFDLNVIVADAKDFDYGSGDVCGVLVQYPGTEGEVLDYAEFVRDAHAHGVKVVMATDLLALTSLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDATTITVAFDETTTLEDVDKLFKVFNGGKPVNFTAESLVSEVSSSIPSSLVRKSPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPSFANMHPFAPTEQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICMIIHENGGQVYMDGANMNAQKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIESGKADVNNNVLKVKYQYTAICFHILDCRVDNVYGDRNLICTLQQGSQVAEEAAAATA >ONIVA01G32370.1 pep chromosome:AWHD00000000:1:28206357:28208696:1 gene:ONIVA01G32370 transcript:ONIVA01G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVLFLMLSAFPASCLAVAAPISPDAVPLLAFKSACADPAAALVSWTEASDPCSDRWRGITCRKPSPPTSPSPSSSPPRVRRVVLEGLRLGGDAGAVAALAGLPMLSFLSLKNNSFTGSLGDVDFSTLAPHLKLLYLSGNGFSGRFPESVLRLRHLRRLDLSGNRLTGTIPPEIGHRLPSLLTLHLARNSLVGPLPASLGAMSRLAKLNVSGNHLQGRIPKRLAAVFPASSFAGNTELCGAPLRRRCNGQHHMVYGGGGGGGADTSHEPKRGRRSNDRWMVAMIMAAVGAAVASLVAAALCGVLWLKDMKTERPRASSRTSSMAREETVRFDGCCVEFDVCTLMRGAAEMLGKGATATTYRVAMGGDDVIVDDAGVVEEGKAGEVVVVKRMRRREGATREDERRKRELAREMGTWRHANVVSLRAFYASADELLLVFDYVPNGSLHSLLHENRGPARVPLEWQTRLKLAQDAAQGLAYLHGVSGGKLAHRHLTSSNILVDAGGNTRVSDFALLQLLVPAPAADEAAQKQDVHAFGVILLEILTGRSPEDGNVDLALWARTVVREEWTSEVFDVELLPSRGGAEDEMVALLHVALLCVADDPGERPRMAVVAKMIEDIRDRGSKRSRYSASPSQVGHSYESSPSISEDTTRSTNASSS >ONIVA01G32360.1 pep chromosome:AWHD00000000:1:28198713:28203073:1 gene:ONIVA01G32360 transcript:ONIVA01G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVVRSKRRLALPYLHRLLHSGPATPSPNRFLRHASPVPRDPDHSPFLRLPDARVSTLPTGLRVVTQAYPAATRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTTRRPTANALEVEIENMGARLNAYTSREQTTYFADVQGRDVPIALDVLSDILQYPCFPANALQRERGVILREMEEVQGMMDEVIFDHLHAAAFQGHPLGDTILGPVENIKSISKKDLEQYITTHYTCPRMVVSAAGAVNHDEVVDQVREFFTGFSTDPTTVDQLVEANPAIFTGSEVRVEQPEMPLTHFAIAFKGSSWANPSSIPLMVIQSILGTWNRSVGVGNCSGSALARGISNGNLAESMIAFNTNYRDTGLFGICTIAQPDSLYDLSQLIMQEFRRLAFEVSETEVARARNQLKSALLLHIDGSTAVSENNGRQMLTYGRVMPFLELFARIDAVDRDTVMETAKDFIIDKDIALAAVGPLTNLPELSWFRSHTYSDDEFSSRTFLQDAQNN >ONIVA01G32350.1 pep chromosome:AWHD00000000:1:28190547:28196526:1 gene:ONIVA01G32350 transcript:ONIVA01G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIGDETTTQKQKAANLTGNPDRLIRWGWGDETCAISPLLSSARICDWQSIWPLLLASSTISNMVVANGNVDTEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRVFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKCLEKSDNILESSEDENFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRELLHRIPAKTLDQYYSRDASH >ONIVA01G32350.2 pep chromosome:AWHD00000000:1:28190547:28196526:1 gene:ONIVA01G32350 transcript:ONIVA01G32350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIGDETTTQKQKAANLTGNPDRLIRWGWGDETCAISPLLSSARICEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRVFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKCLEKSDNILESSEDENFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRELLHRIPAKTLDQYYSRDASH >ONIVA01G32340.1 pep chromosome:AWHD00000000:1:28186484:28189014:-1 gene:ONIVA01G32340 transcript:ONIVA01G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42690) TAIR;Acc:AT2G42690] MDKSQGVLLSSNVGAGSRPWPELLGSAHWDGLLDPLDLTLRRLILLCGDLCQVTYDSFNSDSHSKYCGTCRFSRSTLLDRTQFPAAGDLSVAAYLYATSDATAFPGSMVYSMSREAWSKESNWIGYVAVSNDAAAAASGQRVIYVAWRGTIRSLEWVDVLKPDLVDHDDILPEGHPGRGRSRVMKGWYLIYSSTDERSPFSKYSARDQMLAAVRELVARYRNESLSVVCTGHSLGASLATLCAFDIVVNGVSKVGDGAHIPVTAVVFGSPQIGNPEFKKQFEEQPNLRALHVRNMPDLIPLYPSGLLGYANVGKTLQVDSKKSPYVKRDTSPGDYHNLQGILHTVAGWNGKDGEFKLQVKRSVALVNKSSGFLKDSNLVPESWWVERNKGMVLGQNGEWQLEGPAEENLPVPPVVTGKIIDDDVAAVATSSSAKEGKKTGKGSKLLSGLIDQLLCLIHVKPELRELLKTTSEKDSRAVASN >ONIVA01G32330.1 pep chromosome:AWHD00000000:1:28177176:28179288:-1 gene:ONIVA01G32330 transcript:ONIVA01G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKPICEKVKPAVAVRIFGGLRYAPPDGLVVNNPLLAAFIRAVYTLYMATTVFLYIFGMVTAIKEYEMLVALSIIVVMQPFFILMWIAAPFLRTVAIVKYAMGLPDSNNNYNRKDVSPSLNKVLGHT >ONIVA01G32320.1 pep chromosome:AWHD00000000:1:28169595:28171133:-1 gene:ONIVA01G32320 transcript:ONIVA01G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRVVVVGAGISGLAAAHRLCGAGGDRFEVAVVEAGDRVGGRILTSEFAGHRVEMGATWVQGVVGSPVYALARDAGALGEEEGRGLPYERMDGFPDRVLTVAEGGEVVDADTVAGPIEELYRGMMEAARAGEAGGGGGVEEYLRRGLRAYQAARSAGGGGGGGKELEEVDEALLAMHINRERTDTSADDLGDLDLTAEGEYRDFPGEHVTIPGGYSRVVERLAAALPPGTVRLGLRLRRLKWGGTPVRLHFADGAPPLTADHVILTVSLGVLKASLGNKDTAGVGAAAIAFDPPLPPFKREAVARLGFGVVNKLFMEVEAVAPSEPEDVAGVQPAAAGFPFLHMAFRGHVSKIPWWMRGTESICPVHAGSTVALAWFAGREAAHLESLPDDDVIRGAHATLDSFLPAAPRWRVRRIKRSGWATDPLFLGSYSYVAVGSSGDDLDRMAEPLPRGPDAAADERPPSPRLLFAGEATHRTHYSTTHAAYLSGVREANRLLQHYRGGANHTT >ONIVA01G32310.1 pep chromosome:AWHD00000000:1:28163895:28164698:1 gene:ONIVA01G32310 transcript:ONIVA01G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAADATAWPPGWSLVRGYFSPATLFLLLNVVIGTIALTSRASHRRRQHHHDEHYKAQHHHDHHDEPQRCHDQYAPPPPASLERTSSVMERLRSFGLYRFRSGDFPPEYNLSAAGANAICDESEKQQAQYTRSRSEPAARPAPPPVEKRGKAENAAAAGAARAKVAVKKSSSSEVRKLERAPAQAQRQVLQVQRAQPPPPRAPAPAPARAVKSAAREEVETAQVGLATASSVDARADDFINKFREQLQLQRLNSLLNYNEMLNRGT >ONIVA01G32300.1 pep chromosome:AWHD00000000:1:28156647:28162881:1 gene:ONIVA01G32300 transcript:ONIVA01G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSALAFGHKRGGISEREPRARVQSKRSSYDRESVTARRGSEAGAGDGGGGGRQRAAKGALEMKEKGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRWGPQFEKATYKNRQRLYLSEQAIVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRQAQLAQMESRPDLVPPDSRPDLILRGHKDIAEFALAMCPAEPYVLSGGKDKSVVWWSIQDHISALGDSSKTESSPGASGSKGKTANDKDSPKVDPRGIFLGHDSTVEDVQFCPSSAQEFCSVGDDSCLILWDARSGTGPAVKVEKAHGGDVHCVDWNLHDVNYILTGSADNSVRMWDRRNLGSGGAGIPVHKFEGHKAAWSPDKASVFGSSAEDGFLNVWDHEKVGNKKNPNAPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEDEVLAELENFKTHLASCAPRS >ONIVA01G32300.2 pep chromosome:AWHD00000000:1:28156647:28162881:1 gene:ONIVA01G32300 transcript:ONIVA01G32300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSALAFGHKRGGISEREPRARVQSKRSSYDRESVTARRGSEAGAGDGGGGGRQRAAKGALEMKEKGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRWGPQFEKATYKNLVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRQAQLAQMESRPDLVPPDSRPDLILRGHKDIAEFALAMCPAEPYVLSGGKDKSVVWWSIQDHISALGDSSKTESSPGASGSKGKTANDKDSPKVDPRGIFLGHDSTVEDVQFCPSSAQEFCSVGDDSCLILWDARSGTGPAVKVEKAHGGDVHCVDWNLHDVNYILTGSADNSVRMWDRRNLGSGGAGIPVHKFEGHKAAWSPDKASVFGSSAEDGFLNVWDHEKVGNKKNPNAPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEDEVLAELENFKTHLASCAPRS >ONIVA01G32290.1 pep chromosome:AWHD00000000:1:28138230:28147390:-1 gene:ONIVA01G32290 transcript:ONIVA01G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGGAWIGGCVLALAATLVVCVLVIRGAGGLKQYHAPAFARKILLSITSGHPQDNLNIVLHPSQLQTPRLQSLGLNVKPPAATSRRVNIQQELYAPSPTISHRGLAIPPLPTTSSPVFPPPIRSYPPLPANKPYPNRPAVSPASIIHPTNHGKAHGVPIAAHSKERHHHSMLVNNTHGNTHAGPVVAPPKGRHHHSLPVNNTRVKGPAYSPSNSPSIHRKHGIPVAAPPKQHSSNLPPSHHRPHKGSFPVISPTPHKADNASATKHGRSGLHHSPAPAPVGLPPSEGNARGNPAYAPRHPHEYHSPSNSPEPGLPPVNPPDSHAFKKPKSLAPAPQSFPPPPLNCMALNCQDPLTNSLPGTTCLCVWPIKVELRLGIALYTFFALVSELAQDIASGVLMKQSQVRVMGANAATEDPEKTVVLIDLVPLGEKFDKATALLVFERFWHKQVNINSMHFGNYDVLYVTYQGLPPSPPTAPGMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIIVIIVLSSVFAFILCSGAALVICFKIRNRNHLTEESPMPPKPAGPGSAVVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDNSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDILRPPGQENLVAWACPFLTSRDGLETIIDPSLGNSILFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDVDVEPAVSAELFNASAHYDTLDASGSFRRYSSSGPLRVEPGTIGVAQASTVLRQPVDKLKQHS >ONIVA01G32290.2 pep chromosome:AWHD00000000:1:28139061:28147390:-1 gene:ONIVA01G32290 transcript:ONIVA01G32290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGGAWIGGCVLALAATLVVCVLVIRGAGGLKQYHAPAFARKILLSITSGHPQDNLNIVLHPSQLQTPRLQSLGLNVKPPAATSRRVNIQQELYAPSPTISHRGLAIPPLPTTSSPVFPPPIRSYPPLPANKPYPNRPAVSPASIIHPTNHGKAHGVPIAAHSKERHHHSMLVNNTHGNTHAGPVVAPPKGRHHHSLPVNNTRVKGPAYSPSNSPSIHRKHGIPVAAPPKQHSSNLPPSHHRPHKGLPPSEGNARGNPAYAPRHPHEYHSPSNSPEPGLPPVNPPDSHAFKKPKSLAPAPQSFPPPPLNCMALNCQDPLTNSLPGTTCLCVWPIKVELRLGIALYTFFALVSELAQDIASGVLMKQSQVRVMGANAATEDPEKTVVLIDLVPLGEKFDKATALLVFERFWHKQVNINSMHFGNYDVLYVTYQGLPPSPPTAPGMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIIVIIVLSSVFAFILCSGAALVICFKIRNRNHLTEESPMPPKPAGPGSAVVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDNSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDILRPPGQENLVAWACPFLTSRDGLETIIDPSLGNSILFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDVDVEPAVSAELFNASAHYDTLDASGSFRRYSSSGPLRVGRTGHDRGSSSEHCGTQRFRIDSE >ONIVA01G32290.3 pep chromosome:AWHD00000000:1:28139061:28147390:-1 gene:ONIVA01G32290 transcript:ONIVA01G32290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGGAWIGGCVLALAATLVVCVLVIRGAGGLKQYHAPAFARKILLSITSGHPQDNLNIVLHPSQLQTPRLQSLGLNVKPPAATSRRVNIQQELYAPSPTISHRGLAIPPLPTTSSPVFPPPIRSYPPLPANKPYPNRPAVSPASIIHPTNHGKAHGVPIAAHSKERHHHSMLVNNTHGNTHAGPVVAPPKGRHHHSLPVNNTRVKGPAYSPSNSPSIHRKHGIPVAAPPKQHSSNLPPSHHRPHKGSFPVISPTPHKADNASATKHGRSGLHHSPAPAPVGLPPSEGNARGNPAYAPRHPHEYHSPSNSPEPGLPPVNPPDSHAFKKPKSLAPAPQSFPPPPLNCMALNCQDPLTNSLPGTTCLCVWPIKVELRLGIALYTFFALVSELAQDIASGVLMKQSQVRVMGANAATEDPEKTVVLIDLVPLGEKFDKATALLVFERFWHKQVNINSMHFGNYDVLYVTYQGLPPSPPTAPGMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIIVIIVLSSVFAFILCSGAALVICFKIRNRNHLTEESPMPPKPAGPGSAVVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDNSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDILRPPGQENLVAWACPFLTSRDGLETIIDPSLGNSILFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDVDVEPAVSAELFNASAHYDTLDASGSFRRYSSSGPLRVGRTGHDRGSSSEHCGTQRFRIDSE >ONIVA01G32290.4 pep chromosome:AWHD00000000:1:28139061:28147390:-1 gene:ONIVA01G32290 transcript:ONIVA01G32290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGGAWIGGCVLALAATLVVCVLVIRGAGGLKQYHAPAFARKILLSITSGHPQDNLNIVLHPSQLQTPRLQSLGLNVKPPAATSRRVNIQQELYAPSPTISHRGPAVSPASIIHPTNHGKAHGVPIAAHSKERHHHSMLVNNTHGNTHAGPVVAPPKGRHHHSLPVNNTRVKGPAYSPSNSPSIHRKHGIPVAAPPKQHSSNLPPSHHRPHKGSFPVISPTPHKADNASATKHGRSGLHHSPAPAPVGLPPSEGNARGNPAYAPRHPHEYHSPSNSPEPGLPPVNPPDSHAFKKPKSLAPAPQSFPPPPLNCMALNCQDPLTNSLPGTTCLCVWPIKVELRLGIALYTFFALVSELAQDIASGVLMKQSQVRVMGANAATEDPEKTVVLIDLVPLGEKFDKATALLVFERFWHKQVNINSMHFGNYDVLYVTYQGLPPSPPTAPGMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIIVIIVLSSVFAFILCSGAALVICFKIRNRNHLTEESPMPPKPAGPGSAVVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDNSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDILRPPGQENLVAWACPFLTSRDGLETIIDPSLGNSILFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDVDVEPAVSAELFNASAHYDTLDASGSFRRYSSSGPLRVGRTGHDRGSSSEHCGTQRFRIDSE >ONIVA01G32280.1 pep chromosome:AWHD00000000:1:28134521:28138320:1 gene:ONIVA01G32280 transcript:ONIVA01G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival protein SurE-like phosphatase/nucleotidase [Source:Projected from Arabidopsis thaliana (AT1G72880) TAIR;Acc:AT1G72880] MAASSGDDAPRPNPLPSALVSNLQSVLAARRPPPPAAEEAGAEAPAPEAAESSGAAPVADEGPAKPAVLLTCAGGIRAPGLAALVDALVAGGRCDVHVCAPESDKPACGYSITIRETITATSVDFKGAKAFEISGTPVDCVSLALSGRLFSWSAPALVISGINAGANCGYEMFHSSAIAAAREALLYDVPSIAISLNWKKDESKDSDFKDAAEVCLPLIHAALEGVEKGTFLRGCLLNIGVPSSPTTNKGFKLTKQSIYRPAQSWEGVSTSRPTPATHFMGMHQSLGIQLAQLGKDASAAGAARRINAQRKIVEVESVASTGKAEAREVVKKLFRAEFTEKQHECLDEDIDLRALENGFISVTPLNIHGNVAPETGAPASDWLSVAVGLDKAKEDSVVTAEEQDAPAVAEEKEAPSAT >ONIVA01G32270.1 pep chromosome:AWHD00000000:1:28130716:28131009:-1 gene:ONIVA01G32270 transcript:ONIVA01G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAASVALACAVVVAAMVLPEAEAGQNCICECVKLCMRTRIPSIEAQCSGKCRETACVRSCEEACARKGFPALPGEGIGACELEPLTPDEAHMLH >ONIVA01G32250.1 pep chromosome:AWHD00000000:1:28113763:28118476:1 gene:ONIVA01G32250 transcript:ONIVA01G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSQGSAAVAAAGVDLCVLDLVPVFAKEMIAGGVAGAFSKTAIAPLERLKILLQTRTNEFSSLGVLKSLKKLKQHDGILGFYKGNGASVLRIVPYAALHYMAYERYRCWILNNCPSLGTGPLVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNSSDQISSGLKRTNFQPKYGGIKDVFRGVYSEGGVRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPEDYKNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQSQQYHDKFGGPQIRGTFQGLMIIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKSLLKIPPREKKMSGHGSN >ONIVA01G32240.1 pep chromosome:AWHD00000000:1:28103489:28105576:-1 gene:ONIVA01G32240 transcript:ONIVA01G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIRTLVGLRPAAEREKERGGGGGKGRKWSRLWRSSSSQRGGGNASASEVYSETSSSADALSSVVAAVVRAPPRDFRLIRQEWAAVRIQTAFRAFLARRALRALRGIVRLQALVRGRRVRKQLAVTLKCMQALVRVQARARDRRARISADGLDSQDMLDERGGRVDPVKEAEAGWCDSQGTADDVRSKIHMRHEGAIKRERALTYAQSHQRCSNHGGRPSSPAVSLKHHGNGATRSNHSWSYLEGWMATKPWESRLMEQTHTENSTNSRCSESVEEVSVGGPKLSDASSVKIRRNNVTKRVAAKPPSMISATSSDFVCDESSPSTSSVTPLSANNSLATERRSDCGQVGGPSYMSLTKSAKARLSGYGSHKPPLQRQRSGDLLHHNNRMAFSSIDVQSTAGSEVSVTSKRLNSLALKGRATRSLDKENERRPSSLL >ONIVA01G32230.1 pep chromosome:AWHD00000000:1:28097841:28102619:1 gene:ONIVA01G32230 transcript:ONIVA01G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component [Source:Projected from Arabidopsis thaliana (AT5G58030) TAIR;Acc:AT5G58030] MIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVRGVLENAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVLQREARFG >ONIVA01G32220.1 pep chromosome:AWHD00000000:1:28091541:28093654:-1 gene:ONIVA01G32220 transcript:ONIVA01G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FRY9] MGDNSAAAAAVAAPRGRFGRICVFCGSNAGNRAVFGDAALQLGQELVSRGIELVYGGGSVGLMGLIAQTVLDGGCGVLGVIPKALMPTEISGASVGEVKIVSDMHERKAEMARQSDAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLALFDKGAAEGFIKADCRQIIVSAPTAHELLRKMEQYTRSHQEVAPRTSWEMSELGYGKTPEES >ONIVA01G32220.2 pep chromosome:AWHD00000000:1:28091541:28093654:-1 gene:ONIVA01G32220 transcript:ONIVA01G32220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FRY9] MGDNSAAAAAVAAPRGRFGRICVFCGSNAGNRAVFGDAALQLGQELVSRGIELVYGGGSVGLMGLIAQTVLDGGCGVLGLEISALPCSSSPMAQVIPKALMPTEISGASVGEVKIVSDMHERKAEMARQSDAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLALFDKGAAEGFIKADCRQIIVSAPTAHELLRKMEQYTRSHQEVAPRTSWEMSELGYGKTPEES >ONIVA01G32210.1 pep chromosome:AWHD00000000:1:28085184:28090560:1 gene:ONIVA01G32210 transcript:ONIVA01G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIASAPPRAVGHGAGPSGLAPPALQNDRQSGVDEGRTSGWSSMDRRRAPPPIASVGTLAKQKAPVGNDASFSKEPVISASNFLGRSSGSSRRPAVSSSRDVMPTDTSEPSRTRATDASPGAFRRTSGPQKSSPVNSAEPKHSSSARHSSNVKNYESALKGIEGLNFDGDERVQY >ONIVA01G32200.1 pep chromosome:AWHD00000000:1:28074374:28082020:1 gene:ONIVA01G32200 transcript:ONIVA01G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G57020) UniProtKB/Swiss-Prot;Acc:Q9LTR9] MAAPNNNDAAAGASASATTSEPAPEDTSIEALARRVQEHMTLASNPTARRHKFWETQPVGQFRDAADSSLPDGAIEPPTPLSEVRADPYPLPAAFEWYTCDLDDDALLTDLYALLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRAWHIGVRARESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPEAPLTPGFRQMELRDVAAVTRLLRAYLAKFVVAPDFDEMDVEHWLLPREDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYATLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL >ONIVA01G32200.2 pep chromosome:AWHD00000000:1:28074374:28082020:1 gene:ONIVA01G32200 transcript:ONIVA01G32200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G57020) UniProtKB/Swiss-Prot;Acc:Q9LTR9] MAAPNNNDAAAGASASATTSEPAPEDTSIEALARRVQEHMTLASNPTARRHKFWETQPVGQFRDAADSSLPDGAIEPPTPLSEVRADPYPLPAAFEWYTCDLDDDALLTDLYALLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRAWHIGVRARESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPEAPLTPGFRQMELRDVAAVTRLLRAYLAKFVVAPDFDEMDVEHWLLPREDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYATLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL >ONIVA01G32200.3 pep chromosome:AWHD00000000:1:28074423:28077084:1 gene:ONIVA01G32200 transcript:ONIVA01G32200.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G57020) UniProtKB/Swiss-Prot;Acc:Q9LTR9] MAAPNNNDAAAGASASATTSEPAPEDTSIEALARRVQEHMTLASNPTARRHKFWETQPVGQFRDAADSSLPDGAIEPPTPLSEVRADPYPLPAAFEWYTCDLDDDALLTDLYALLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRAWHIGVRARESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPEAPLTPGFRQMELRDVAAVTRLLRAYLAKFVVAPDFDEMDVEHWLLPREDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYATLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLRNDDSGEAVML >ONIVA01G32190.1 pep chromosome:AWHD00000000:1:28067391:28073530:1 gene:ONIVA01G32190 transcript:ONIVA01G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEEALRRGVLKHGPGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGLGSRDKLKVPRIKGPSSSTSPSSQTPLLVLPPNKVAEASPSADPEKSSQDVKIPNSMVIEALCEIGDPNGSDVDAICHYIEIDRSYRITESYAAKVSQANKSPSPKKDPAKPLKASQNLGSFAGTSPALEAAAAAAMKVADAEAKSHLANEHMTEAERIFKLAEETESLVTLATEIYERCSRGEILTIMQVAQSNFEFQSVSGNGSGTGSTVLA >ONIVA01G32180.1 pep chromosome:AWHD00000000:1:28045738:28047072:-1 gene:ONIVA01G32180 transcript:ONIVA01G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRTIISLIPDTSSINFGPLEAPAGRRLAVVEGALRPAELTLSLISSRAPIRPAKRRSCLPLFHSGLWGLAISHPVRGFVASSYVENP >ONIVA01G32170.1 pep chromosome:AWHD00000000:1:28044508:28045689:-1 gene:ONIVA01G32170 transcript:ONIVA01G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFGWAGGGQLMHHDDIYLPRSVGCGRPFELDDAFLGACFGAQLQCDGGVGGGDGGGCLQGTSGFGAVAGDPLGLLCSGDVFASVAEGAGGAHDDGLLDAALAFSRNQLGGAACDGSDGGAVSNGAMLSSYSGTTGGNISSGESNNYSGGGGGYDAEVVSPTSTMSAATQSLHPKRKLYDDHHHPAGIAAAAAAPPLAPCPRPTTGAVAAKRRASTSATSITFGHQPHHHHAGATTAGYEPDMEAMAQVKEMIYRAAAMRPVHLGTEAAADKPRRKNVRISSDPQTVAARLRRERVSDRLRVLQKLVPGGNKMDTASMLDEAASYLKFLKSQVQKLETLGTTTTTSKLPQQYYSGNINSSNNHHGFLGFAANNNTISAGYANSNAGNATKLL >ONIVA01G32160.1 pep chromosome:AWHD00000000:1:28033733:28037292:1 gene:ONIVA01G32160 transcript:ONIVA01G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEVSASLFRKCTTASALDGEKKKQKLSEIQSGVEEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNLKSELKRISAPNARQATREELLESGLADTLAASTDQRGRLMMTTERLNQSNDKIKESRRTILETEELGVSILQDLHQQRQSLLHAHTTLHGVDDNVGKSKKILAAMSKRMDRNKWIIGGIIAALVLAILLILYFKLAY >ONIVA01G32150.1 pep chromosome:AWHD00000000:1:28029723:28030249:1 gene:ONIVA01G32150 transcript:ONIVA01G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVRPGGRANGDEHRVAWTSSAGGATPSRHFPSLARDGGGVLQDSARTGNLTRLRGGEGKPLKPMSGFAFGSSPLLNAFSPFCL >ONIVA01G32140.1 pep chromosome:AWHD00000000:1:28013388:28020184:-1 gene:ONIVA01G32140 transcript:ONIVA01G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARASAMGAAPPPHLRSHDLVVVVAVAAAALCLACRGASAGGGGGGVDLLDRARRPEFAAWMAGVRWAIHERPELAFEEIETSRLVRAELDAMGVAYRHPVAGTGVVATVGTGRPPFVALRADMDALPMQEEVQWEHKSKVAMKMHACGHDAHTTMLLGAARILQERRHELQLKASAGTVVLLFQPGEEVGTGARRMVEAGAVDNVEAIFGFHVSVELPTGVVGSRPGPLLAGCGFFEAVITGKGGHAAHPHASVDPILAASTVVLALQGLVSREADPLEAQVVTVTRFLAGDALNVIPESITIGGTFRVFSNEGFLRLKRRIEEVIVAQSAVHRCAAAVDFHAGGRPLLPPTINSAALHAHFQAVAAETLGASAAVLGAMEPCMGSEDFAVFSEAVPASHFYFVGVRNEAEGLVHLAHSPHFRVDDAALPYGAALHASLAMRYLDERRREGGSHPHEEL >ONIVA01G32140.2 pep chromosome:AWHD00000000:1:28013388:28020184:-1 gene:ONIVA01G32140 transcript:ONIVA01G32140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARARASAMGAAPPPHLRSHDLVVVVAVAAAALCLACRGASAGGGGGGVDLLDRARRPEFAAWMAGVRWAIHERPELAFEEIETSRLVRAELDAMGVAYRHPVAGTGVVATVGTGRPPFVALRADMDALPMQEEVQWEHKSKVAMKMHACGHDAHTTMLLGAARILQERRHELQGTVVLLFQPGEEVGTGARRMVEAGAVDNVEAIFGFHVSVELPTGVVGSRPGPLLAGCGFFEAVITGKGGHAAHPHASVDPILAASTVVLALQGLVSREADPLEAQVVTVTRFLAGDALNVIPESITIGGTFRVFSNEGFLRLKRRIEEVIVAQSAVHRCAAAVDFHAGGRPLLPPTINSAALHAHFQAVAAETLGASAAVLGAMEPCMGSEDFAVFSEAVPASHFYFVGVRNEAEGLVHLAHSPHFRVDDAALPYGAALHASLAMRYLDERRREGGSHPHEEL >ONIVA01G32130.1 pep chromosome:AWHD00000000:1:28012375:28012566:1 gene:ONIVA01G32130 transcript:ONIVA01G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKMFRAAAVVVAAAVSCLAGVALAADGPAPSPTSGAAAVSSSVVAAVLCPAVALLFANLRY >ONIVA01G32120.1 pep chromosome:AWHD00000000:1:28008670:28009582:-1 gene:ONIVA01G32120 transcript:ONIVA01G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQPGHYQQRRMVASSKAIKVGPWGGTAGSPWDDGAHRGVRSIALTYGRFLESMRVEYDRNGHPVHGEKHGGGGDGRTSRTAEVKLDYPYEFLTGVGGRCGPVAHGGSTVVRSLTFRTSTGAVHGPFGDASGDGVPFEYPMEGGVVVGFSGRSGWWHLDAVGLHVAALRPETLCDVVQERGAMAYRSFVYGNGGSSSGAHQLQQKRKPFEWCYK >ONIVA01G32120.2 pep chromosome:AWHD00000000:1:28008670:28009535:-1 gene:ONIVA01G32120 transcript:ONIVA01G32120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQPGHYQQRRMVASSKAIKVGPWGGTAGSPWDDGAHRGVRSIALTYGRFLESMRVEYDRNGHPVHGEKHGGGGDGRTSRTAEVKLDYPYEFLTGVGGRCGPVAHGGSTVVRSLTFRTSTGAVHGPFGDASGDGVPFEYPMEGGVVVGFSGRSGWWHLDAVGLHVAALRPETLCDVVQERGAMAYRSFVYGNGGSSSGAHQLQQKRKPFEWCYK >ONIVA01G32110.1 pep chromosome:AWHD00000000:1:28003905:28007841:-1 gene:ONIVA01G32110 transcript:ONIVA01G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGAGEGQGAAVDEATGFEVGIVVPKLYRGAAAGCGEVENCVARLVRELEDVGLIVERVRGVPAEFIKLSAPMGTLGRVAAEMNMKKLTYIGMELQFEWDQVGAFVRQPDGSLFSWRERFACFRHLIYSIVNKTDSDITLSFDDKEFHWTQNESLLTRLEDEGIVKLVFPLHDEIKRKQLLRSWALKWFDFTWQPIDEIYSYFGTKIAIYFSFLGMYTRWLFFPAVFGLATQLIDFGSLQWLVLPAFFFFVISWAVFFLQFWKRKNSAVLARWGINYSFSEYKTMGNELDPLSFSMADDNVQQRKFGAPKEKSIVQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYAITKTEALRYVLTAVYLAAIQYYTRIGGKVSVTLIKYENNQGEQSSADSLVYKVFGLYFMQSYIGLFYHASLHRNIMALRQVLIKRLIVLENLIENSIPYLNYSYKKYRAVHKKKHEKESPAGKSVRLSTRVEKEYLKPSYTASIGEELEDDFLELTLQFGMIMMFACAFPSIFCFAALNNVTEIRADALKLLVMLKRPAPRDAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWKIEPGLAAILIMEHALFLIKFGFSHFVPEEPAWVKANRGRYVAQAQNVCSKQLLRSIAKLDAKLE >ONIVA01G32110.2 pep chromosome:AWHD00000000:1:28003905:28007841:-1 gene:ONIVA01G32110 transcript:ONIVA01G32110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGAGEGQGAAVDEATGFEVGIVVPKLYRGAAAGCGEVENCVARLVRELEDVGLIVERVRGVPAEFIKLSAPMGTLGRVAAEMNMKKLTYIGMELQFEWDQVGAFVRQPDGSLFSWRERFACFRHLIYSIVNKTDSDITLSFDDKEFHWTQNESLLTRLEDEGIVKLVFPLHDEIKRKQLLRSWALKWFDFTWQPIDEIYSYFGTKIAIYFSFLGMYTRWLFFPAVFGLATQLIDFGSLQWLVLPAFFFFVISWAVFFLQFWKRKNSAVLARWGINYSFSEYKTMGNELDPLSFSMADDNVQQRKFGAPKEKSIVQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYAITKTEALRYVLTAVYLAAIQYYTRIGGKVSVTLIKYENNQGEQSSADSLVYKVFGLYFMQSYIGLFYHASLHRNIMALRQVLIKRLIVLENLIENSIPYLNYSYKKYRAVQPIQRCKKSLPSCSKKKHEKESPAGKSVRLSTRVEKEYLKPSYTASIGEELEDDFLELTLQFGMIMMFACAFPSIFCFAALNNVTEIRADALKLLVMLKRPAPRDAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWKIEPGLAAILIMEHALFLIKFGFSHFVPEEPAWVKANRGRYVAQAQNVCSKQLLRSIAKLDAKLE >ONIVA01G32110.3 pep chromosome:AWHD00000000:1:28003905:28007841:-1 gene:ONIVA01G32110 transcript:ONIVA01G32110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGAGEGQGAAVDEATGFEVGIVVPKLYRGAAAGCGEVENCVARLVRELEDVGLIVERVRGVPAEFIKLSAPMGTLGRVAAEMNMKKLTYIGMELQFEWDQVGAFVRQPDGSLFSWRERFACFRHLIYSIVNKTDSDITLSFDDKEFHWTQNESLLTRLEDEGIVKLVFPLHDEIKRKQLLRSWALKWFDFTWQPIDEIYSYFGTKIAIYFSFLGMYTRWLFFPAVFGLATQLIDFGSLQWLVLPAFFFFVISWAVFFLQFWKRKNSAVLARWGINYSFSEYKTMGNELDPLSFSMADDNVQQRKFGAPKEKSIVQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYAITKTEALRYVLTAVYLAAIQYYTRIGGKVSVTLIKYENNQGEQSSADSLVYKVFGLYFMQSYIGLFYHASLHRNIMALRQVLIKRLIVLENLIENSIPYLNYSYKKYRAVQDVRNLFHLVARKNMRKNRQLGSQFDFLELTLQFGMIMMFACAFPSIFCFAALNNVTEIRADALKLLVMLKRPAPRDAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWKIEPGLAAILIMEHALFLIKFGFSHFVPEEPAWVKANRGRYVAQAQNVCSKQLLRSIAKLDAKLE >ONIVA01G32110.4 pep chromosome:AWHD00000000:1:28003905:28007841:-1 gene:ONIVA01G32110 transcript:ONIVA01G32110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGAGEGQGAAVDEATGFEVGIVVPKLYRGAAAGCGEVENCVARLVRELEDVGLIVERVRGVPAEFIKLSAPMGTLGRVAAEMNMKKLTYIGMELQFEWDQVGAFVRQPDGSLFSWRERFACFRHLIYSIVNKTDSDITLSFDDKEFHWTQNESLLTRLEDEGIVKLVFPLHDEIKRKQLLRSWALKWFDFTWQPIDEIYSYFGTKIAIYFSFLGMYTRWLFFPAVFGLATQLIDFGWGINYSFSEYKTMGNELDPLSFSMADDNVQQRKFGAPKEKSIVQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYAITKTEALRYVLTAVYLAAIQYYTRIGGKVSVTLIKYENNQGEQSSADSLVYKVFGLYFMQSYIGLFYHASLHRNIMALRQVLIKRLIVLENLIENSIPYLNYSYKKYRAVHKKKHEKESPAGKSVRLSTRVEKEYLKPSYTASIGEELEDDFLELTLQFGMIMMFACAFPSIFCFAALNNVTEIRADALKLLVMLKRPAPRDAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWKIEPGLAAILIMEHALFLIKFGFSHFVPEEPAWVKANRGRYVAQAQNVCSKQLLRSIAKLDAKLE >ONIVA01G32100.1 pep chromosome:AWHD00000000:1:28002971:28003228:1 gene:ONIVA01G32100 transcript:ONIVA01G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGEKAAGDGQPKPPSRSARFDRLLSGLGGGPLVDIEPDKVKGELRRWARAVATMVRQLSFGAWPEKGGGSSEQQEAGDGGSPG >ONIVA01G32090.1 pep chromosome:AWHD00000000:1:28000790:28002354:1 gene:ONIVA01G32090 transcript:ONIVA01G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPDSLVWELVKKNNSFLVKQFGNGNAKVQFSKEPNNLYNVHSYKHSGLANKKTVTVQPASGKETAVVLSTTKTEKQNKPASLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSAVYRSLQVAKSGVKKKNRQAN >ONIVA01G32070.1 pep chromosome:AWHD00000000:1:27992642:27998845:1 gene:ONIVA01G32070 transcript:ONIVA01G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSKAKLSGVLHKGFKPDKCKTALRMALARIKLLRNRKEVQVRQMRREVAQLLEADQDQTARIRVEHVIREEKFMQAYDLIEVYCELMVARMSIIDSQKTCPIDLKEAIASVIFASMRCSDVTELADVRKHFTSKYGKEFAAAALEVRPDSGVSRLVIEKLSAGAPDTQTKIKTLTSIAEEHNIKWEPKAFEEKLPKQNDDLLHVTSTYPGGNIPTRGSSASNSGMPTSQPAAPSHSYMESSNSHMSTAHSYSSAEVPDNRAPYVTANSNAFPQENRRGSDAPMSPRSQHGPNSFSHDTAGGSNVSRPYSQYGATVPDTDTASRREEFNHSRERKSSVSGSNWNIEFKDATSAAQAAAESAEMASIAARAAAQLASRGNLSAEQNTGAFESPAYTHETTPRKQQAEHLMKDGQRSSNEQSSGAYDPRLISSNDARKDGGRTETSRVGSQNMPTNSLGQSPPYSPHIHSDEYDMPTDPHRSHSPDPQYSDDSSEKESNFGRPEDHQFNLPGERLSEAQLGGHNIKDTESKHATFDQENTDNYYSNYSSSHGTFTHGSSTIWDSQNDKAGPDSSAVVFDQYDSDVGEENLLDPFSSKHTEEPTVEDHKGFSSADWGQQHRNESPVNHSSSTLFSGTEANRKDVSSPHSYDNVPPTFDSDGVSSDEEMTTGMHARSLRSHSRGSDFSESRENIISGKVVHDVNENIEDHVSMSRKNYLNSSGSNAFNKERYSESSPRSDYSWVDGELDRTKIRDLEEERVPHKLEGASSLTTGENKNQLFSLGRQTSTSSADYDEGDPGLNFGRLTPGLRNKLRQPPPYIKDSGNTSLHKVPTAIEKSVHSEEDTSFGNTRNTSTGMARSSFGADYNSELHDQNQSVGASVEARSTMRRNYYGSEGDRGKLSEQSYNAPSSVMNKSSGGVNSSQDLYHEKPGAGARRGTTTKTAKSYFDSDDSEDELAQRKEVQTKWSREQIQSRRTQMTSDTKKETGVRVGVQHADETEAKSPAGSFSRFTEQRRDSPVYSRVPVPRTSPKPVRVEPPLGKGKSQEADLNTVSVTGKEENTESPAETPKESTSKTAPSHVHPKLPTDYDSFAAHFMSLRTNRR >ONIVA01G32060.1 pep chromosome:AWHD00000000:1:27988204:27988689:1 gene:ONIVA01G32060 transcript:ONIVA01G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKYPNEEHVAYATFLSSNPREKVGGVEIGNQFTKVVVNHPLQENEELVRPMKHCKTIGDVHAEGMSIAWPSICLDA >ONIVA01G32050.1 pep chromosome:AWHD00000000:1:27976149:27981711:1 gene:ONIVA01G32050 transcript:ONIVA01G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTAIARPPPMDIEDGWRRLAAGFEKLLRILDGEDMLSFSGAEYSELLQITYKLCYESPAGHAAEMYDRWDKTIRHHIVYQVLPSLQDMQGEPLLKNFVHDWENHKVLMKWLKSVCMYLRLAFTNQRSLPPIMDIGLNLFKNVIEEDRAGKVIKSIQEEEERIQNYLKPWTEARLSKTVLLELVSRQAEWLLDDDKSGFRGILAAENDLLDDGKGNELNLMFRVFSRISGGLLSMAIAFQKHIRDILQQAVGAAHMEKGKEPSNSIVEVLQKYEAHVINNFDNHILFRKALDENFRMICNRNIADFSDGEFFIIFLERLIEQRTCGKLDDDSVEDTLAKVVKLLPYLHSKDYLVELYRNRLLGRLSIGCNIEVETSFITKLKLVLDVSILEDMLEDYSISKELQKFFKDYMSMNPESNTLVDMDTMVLKQGHFPSQQKQHLSLPPDMLNCAEAFEKFYQEFHGQATGNRRGRTLTWIYSLGNCNIVGNFEGKSVEMIVSPMQAALLLLFNEDDRLSYNDIVAKLEIMDNDAKVMLYSLSCGKYSILKKEPRNKTIAPDDIFEFNNNFSVKTGKIKVPLHHVDRGDFRASETMEDVRRYRKQNVDCAIVRIMKDRKTLDHEKLVEECKKLCDPYFKVDDDLIQMRIDHLVAENYLARKEGCTYEYLP >ONIVA01G32040.1 pep chromosome:AWHD00000000:1:27971430:27974779:1 gene:ONIVA01G32040 transcript:ONIVA01G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLEELISCLPPPPATTITHRKMLARRKTMEMAVVSDPAPAAVTNLNYAGATRSSPVAANIRDTPMMRDVLSHLPVKMVRELGPLFASEFWLSTVMEIDDEQLLPQNGEQVDLIERVGSVLSTQDGPFPTVRLTNLCFQPFGVQELHCIDDDESAFIILMSWFNLFNSKKVTKFIFLNRAQPTAKLLFVPENIPKWWRLETLYLCQIRFKDPSGTIDFHLPNLAELGIVNCEFHHDTLMKMVAQCPKLERLSLAFLEFSTKIHFESNSLKRMVLWNYSARDSVRIVAPKLCRLILHNVGTSEPQPHDEHPDPGDHRTMVLSMNSEIDMDLEVLGYIDLNSHVPLSSEGQSCKSVRTLGVQLGFGHDNEFHTMRRLLKYFPSVENLYIQSTKLDNVTIDLTEDRIIDLFEPIEDRKIMMVVYEAFKGSDHELCLASVLLQRLPSLQKMTIFYDREISDLVINKSHSTLQAAMLGATVELNFCACPESSWTLQEALNADHLASKP >ONIVA01G32030.1 pep chromosome:AWHD00000000:1:27960695:27962881:-1 gene:ONIVA01G32030 transcript:ONIVA01G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcriptional coactivator p15 (PC4) family protein (KELP) [Source:Projected from Arabidopsis thaliana (AT4G10920) TAIR;Acc:AT4G10920] MDEETQKKVESTVLEILRGSDMESLTEFKVRTAAADRLGIDLSIPDRKRFVRRVVEGYLESLSQEDEQEQQQEQAGGAGEEGKDEQAEEEEEEEEEEEEERGGGTKREYDDEGDLILCRLSARRRVTLQEFKGKTLLSIREYYFKDGKELPAKGISLTVEQWEAFRDSVPAIEDAIKKLGESSD >ONIVA01G32020.1 pep chromosome:AWHD00000000:1:27951542:27952963:1 gene:ONIVA01G32020 transcript:ONIVA01G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSETDAALFAAVLGHDAAHHLATTPPHLDAPEGSPSSAELQARLHDLVERQGGAWTYGIFWQESRGAGAASGRAARAVLGWGDGHCRDGAGHGEVGAAERSVARKRVLLRLHALYGGGDEDGADYALRLDRVTGAEMYFLASMYFSFPEGSGGPGRALASGRHAWADVDPHPSGSGSAPGWYVRSSLAQSAGLRTVVFLPCKGGVLELGSVVAIRETPEVLRAIQSAMRAVPAPPEDFMRIFGKDLSPGRPSQPMGCDAPWTPRLVVQTTPVRPAKKEVVKAKPAEPPKSLDFSKANVQEQAGGEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPKISKMDKASLLSDAIAYIQELEARLRGDAPVPARADGPAVEVKAMQDEVVLRVTTPLDEHPISRVFHAMRESQISVVASDVAVSDDAVTHTLMVRSAGPERLTAETVLAAMSRGVSVTTPSP >ONIVA01G32010.1 pep chromosome:AWHD00000000:1:27934492:27939991:1 gene:ONIVA01G32010 transcript:ONIVA01G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMGKLRVFVVQEPVVAASCLIAGFGIPYPPSPQDCSSVVSIGHEQSVSLVSCPKLLPRLECHGGGKEDPHRVSATQRTLQATQLPQVRESGLAVKRGKRRRDWV >ONIVA01G32000.1 pep chromosome:AWHD00000000:1:27930314:27931327:1 gene:ONIVA01G32000 transcript:ONIVA01G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSRFLLTIILLILLCNASAGQTIVTKNDIAATPKLIKGHSRKILTDIQDYDYGGANSKHDPRRRPGIGGRSR >ONIVA01G31990.1 pep chromosome:AWHD00000000:1:27927844:27934228:-1 gene:ONIVA01G31990 transcript:ONIVA01G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAASLAAHHHLAGGGLLPTPRASGRNGRSGITISMRAQRKQSGDSGSGKGDGGDGRVSGRRRVWRRRKLTKEDDMLRYKLERVPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTIEVAEEANSYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGSSLVILLGEVEQSYIAEADQKTQDGTSFQNGAVLQAVLLRTLYGLGGFGDTSHHFHDQMPPISRSLIFCKKFTRLNVQ >ONIVA01G31990.2 pep chromosome:AWHD00000000:1:27927913:27934228:-1 gene:ONIVA01G31990 transcript:ONIVA01G31990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAASLAAHHHLAGGGLLPTPRASGRNGRSGITISMRAQSGDSGSGKGDGGDGRVSGRRRVWRRRKLTKEDDMLRYKLERVPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTIEVAEEANSYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPENTRWDLFSERSSPAGSFAAYTIRFGRIWGYLASLP >ONIVA01G31990.3 pep chromosome:AWHD00000000:1:27927846:27931002:-1 gene:ONIVA01G31990 transcript:ONIVA01G31990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSCLQSQAFCVDHILLGEVEQSYIAEADQKTQDGTSFQNGAVLQAVLLRTLYGLGGFGDTSHHFHDQMPPISRSLIFCKKFTRLNVQ >ONIVA01G31990.4 pep chromosome:AWHD00000000:1:27931557:27934228:-1 gene:ONIVA01G31990 transcript:ONIVA01G31990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAASLAAHHHLAGGGLLPTPRASGRNGRSGITISMRAQRKQSGDSGSGKGDGGDGRVSGRRRVWRRRKLTKEDDMLRYKLERVPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTIEVAEEANSYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPYFIKEEGH >ONIVA01G31990.5 pep chromosome:AWHD00000000:1:27927844:27934228:-1 gene:ONIVA01G31990 transcript:ONIVA01G31990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAASLAAHHHLAGGGLLPTPRASGRNGRSGITISMRAQRKQSGDSGSGKGDGGDGRVSGRRRVWRRRKLDQKTQDGTSFQNGAVLQAVLLRTLYGLGGFGDTSHHFHDQMPPISRSLIFCKKFTRLNVQ >ONIVA01G31980.1 pep chromosome:AWHD00000000:1:27920439:27921298:-1 gene:ONIVA01G31980 transcript:ONIVA01G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQERASYHAGETKARAEEKTGRMMGTAQEKAREAKDTASDAAGRAMGRGHGAKEATKEKAYETKDATKEKAYEAKDAASDATGRAMDKGRGAAGATRDKAYDAKDRAADTAQSAADRARDGAGQTGSYIGQTAEAAKQKAAGAAQYAKETAIAGKDKTGAVLQQAGEQVKSVAVGAKDAVMYTLGMSGDNKNNAAAGKDTSTYKPGTGSDYQ >ONIVA01G31970.1 pep chromosome:AWHD00000000:1:27919070:27919744:1 gene:ONIVA01G31970 transcript:ONIVA01G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSVVLRVLVAVAVVAAAGAPRLAVADSPPLQDICVADLRAATAVDGFPCKPTASVVSDDFFCDAIVQAPSTSNPFGVNSTRATVSAFPGLNTLGLSITRTDLAPGGLNPPHSHPRASELVLVLSGEVMVGFTTAANRLFSKVVREKELFVVPRGLQHFQLNVGAGNASFVAMFDSQSPGLVTPTFALFATQPAMPMEVLAKTFLMGEDEVGAIKSKFAGF >ONIVA01G31960.1 pep chromosome:AWHD00000000:1:27910291:27910605:-1 gene:ONIVA01G31960 transcript:ONIVA01G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGMVWEDKLQQSGELGGKAEAPAPAARAAAQRSGSSGHGGYKAGHVQPALDPPSPRVAACGFCNLFGKDKHHQARPRGGASAKSRRR >ONIVA01G31950.1 pep chromosome:AWHD00000000:1:27904035:27909070:1 gene:ONIVA01G31950 transcript:ONIVA01G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloride channel E [Source:Projected from Arabidopsis thaliana (AT4G35440) TAIR;Acc:AT4G35440] MRCLSQFMAPPSVAPCPARVLLVSTPSPPSLSRRRSAGRRCGGGDGGARLRTIRCGAATEEEDGDRRGERVEAAWEEEVAAPGRDLVTLAACLVGLLSGVSVVLFNLSVHEIRDLFWDGIPLRGASWLREESIGEIWQRVILVPVSGGIIVGVFNTLRSSIATNSNDTMSKIKGAFRPFLKAMAASFTLGTGNSLGPEGPSVEIGSSIAKGFGNVFKLEGGKKLSLVAAGSAAGIASGFNAAVAGCFFAVESVLWPTSVDSSSLSNSTPMVILSAVIASVVSEIGLGSDPAFTVPEYDFRSPTELPLYLLLGIFCGLVSTTLSRCTALSMEIVESLQTATGLPKAASPALGGLIVGLLALVYPEVLYWGFENVDILLESRPFTSGLSAAVLVQLIGVKVLATSLCRAFGLVGGYYAPSLFIGAATGMAYGKVMRFTFTGPDSLFQIPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGVSSWIASPQRFSRSNRSKPDSLEVKSSNFKQERSVPSHTQGASVDTDKPITDLCKLESSLCVYDAKHENFQENLTVAEAMKTKYISVSKTTPVVEALNLMLVEKQPFVMIIESNRSLIGLVTLKDIQDFCRTAKTTRVQTEEPVQTYVCGAVKCKMWPVTPQTSLTTVEKIMDSYGVDQLPVVSEHVDRQDRGLLVGFVDKEGIAIARRAVATKDLLSFTSEIKGDR >ONIVA01G31940.1 pep chromosome:AWHD00000000:1:27896483:27896996:-1 gene:ONIVA01G31940 transcript:ONIVA01G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRLLALLRCALRLPTLPRSRPPSSSRVSPPSRLLLHRVADGSMSSSSWRGPGWLSTAPSQIQPSLIPDSPIPTAPSQRRGGVVAREMTGGESEEGEADGNPPCVTLALQSPFTVEPCVVAFPRRCHHIKAPHAWSSFPEAGRNGRSLAKAPSRSGQLPQQQR >ONIVA01G31930.1 pep chromosome:AWHD00000000:1:27889878:27891158:-1 gene:ONIVA01G31930 transcript:ONIVA01G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALEMCAGVGVRGHELKHGRQRHEEVGSEVAGDGVASLGEMDLYGDVLESVVERVPAADLAASARVSREWLRAVRAALRRRPRRLPWLVVHLHGRRRRTAAYDPHSGAWVTVPAARHDTPSHVRLVRGAGGDRVCALSLSGLAVSGDPLGKDVCVALKAPGVWRVDPVFAAVGDRVVALGGACQLALGEGEDASVVEVHESGSWTACGPMPAELRESAAATWLSVAATDQRVYLTDRSTGWASWFDPAKQQWGPTCRLRPDATVSTWGLAPGRGGAERLVLFGAKRCGRAEQAKSRVVIQAWEVDGDGLALSRGAAHDTMPGEMSERLFPRDEDGDEEDEEEMSPSIGVCGNAAGGYVYNAADPAIGAVLYELRDGVGSAVERWEWVPCAPSVEAEPLGRFILACSPVGLDELARGQPAACTTAQ >ONIVA01G31920.1 pep chromosome:AWHD00000000:1:27879546:27882741:1 gene:ONIVA01G31920 transcript:ONIVA01G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASPALRLRPRPRTAAPSPPRCRLSSSAYSNIAVTRIISQFARSLPIQRVNGGRMQHLDALKGHALRRYGNHDGRFHIKRITSFAAMDKQESITSPTTDALPVEETDNSTEDSPASGSSSYFTERGNGKSGFISFQGSSYQMKSVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVAIFVLLIDKVWRPLQQVAPKSYIWSKSRFFRISSVTTMVLSLMIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKIYRLHQLNRAAQLVTALTFSVRGTEATNQTLAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >ONIVA01G31920.2 pep chromosome:AWHD00000000:1:27879546:27882741:1 gene:ONIVA01G31920 transcript:ONIVA01G31920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASPALRLRPRPRTAAPSPPRCRLSSSAYSSLPIQRVNGGRMQHLDALKGHALRRYGNHDGRFHIKRITSFAAMDKQESITSPTTDALPVEETDNSTEDSPASGSSSYFTERGNGKSGFISFQGSSYQMKSVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVAIFVLLIDKVWRPLQQVAPKSYIWSKSRFFRISSVTTMVLSLMIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKIYRLHQLNRAAQLVTALTFSVRGTEATNQTLAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >ONIVA01G31910.1 pep chromosome:AWHD00000000:1:27876541:27878091:1 gene:ONIVA01G31910 transcript:ONIVA01G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrate transporter2.5 [Source:Projected from Arabidopsis thaliana (AT1G12940) TAIR;Acc:AT1G12940] MEAKPVAMEVEGVEAAGGKPRFRMPVDSDLKATEFWLFSFARPHMASFHMAWFSFFCCFVSTFAAPPLLPLIRDTLGLTATDIGNAGIASVSGAVFARLAMGTACDLVGPRLASASLILLTTPAVYCSSIIQSPSGYLLVRFFTGISLASFVSAQFWMSSMFSAPKVGLANGVAGGWGNLGGGAVQLLMPLVYEAIHKIGSTPFTAWRIAFFIPGLMQTFSAIAVLAFGQDMPGGNYGKLHKTGDMHKDSFGNVLRHALTNYRGWILALTYGYSFGVELTIDNVVHQYFYDRFDVNLQTAGLIAASFGMANIISRPGGGLLSDWLSSRYGMRGRLWGLWTVQTIGGVLCVVLGIVDFSFAASVAVMVLFSFFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQYIFFHGTKYKTETGIKYMGLMIIACTLPVMLIYFPQWGGMLVGPRKGATAEEYYSREWSDHEREKGFNAASVRFAENSVREGGRSSANGGQPRHTVPVDASPAGV >ONIVA01G31900.1 pep chromosome:AWHD00000000:1:27871784:27876345:1 gene:ONIVA01G31900 transcript:ONIVA01G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPSPIRRRLGLAAVLMTTWYGPVWTGRPSTTPELVDAAKTTAARIRAASHGPPPLRLGDPTATPSHPLANKNPRRERGDGSAGLPPVA >ONIVA01G31890.1 pep chromosome:AWHD00000000:1:27871211:27871953:-1 gene:ONIVA01G31890 transcript:ONIVA01G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHLAALILAAVVLAASTSSGVVDGRPVHTGPYHVVIRTAAKPSRRLIGLGGNAAICQEVHYKALCGTLTTLPGVMTPQQLLDAALRVAESKAMMAEKRLADVMKSRAVKAEGTSMSSTLDTCKGAYSSLADALQKARDTIKSGGSHDDLMTELSSASTFSTDCGEAFDEFPDLTSPIPGAQRHVNRLVSNCLDLAATIKEN >ONIVA01G31880.1 pep chromosome:AWHD00000000:1:27866655:27867053:1 gene:ONIVA01G31880 transcript:ONIVA01G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTESAWASHVSMPCHHPLSLPSSSSLSLTFLLSAGRPTGGRRSLGRGGRGGGPTAGDAAVGDEVAAATREQAAAPGSDVRGEDGRQLEETGGARRGRWPTDARRHRRDHWTLTLTWKRSPRSPAWTPATTR >ONIVA01G31870.1 pep chromosome:AWHD00000000:1:27861905:27866259:1 gene:ONIVA01G31870 transcript:ONIVA01G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDSEAHSPVVYDDAGVTYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVRIPTLDPGTQMTCSAFSLFTKLVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPEREAMVWKVKSFPGGKDYMCRAEFSLPSITAEEAAPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >ONIVA01G31870.2 pep chromosome:AWHD00000000:1:27861904:27866259:1 gene:ONIVA01G31870 transcript:ONIVA01G31870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDSEAHSPVVYDDAGVTYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPEREAMVWKVKSFPGGKDYMCRAEFSLPSITAEEAAPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >ONIVA01G31860.1 pep chromosome:AWHD00000000:1:27853983:27858657:1 gene:ONIVA01G31860 transcript:ONIVA01G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVANGASGDSSKAAFAEIYSRLKEEMLEDPAFEFTDESLQWIDRMLDYNVLGGKCNRGISVIDSFKMLKGTDVLNKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNLTVHRRIVQYKTAYYSFYLPVACALLLSGENLDNFGDVKNILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADENQKHILFENYGKPDPECVAKVKDLYKELNLEAVFHEYERESYNKLIADIEAHPNKAVQNVLKSFLHKIYKRQK >ONIVA01G31850.1 pep chromosome:AWHD00000000:1:27848086:27850684:1 gene:ONIVA01G31850 transcript:ONIVA01G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSSSATPGTGRVSAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIMAQMVVTTAVVATSPKERPAWPLRVWVAAYNVGNVLSLPLLYWRHRHSSSGARGGTLSDDPEMHGANDPLRNRSYLMNKARAFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLAWNAVVYSLPFLLFLLLCCFVPAVGYALGYNMNSASVGRGASDEQLAALPQWRFKEPADAPRDRDHDDQECCICLAQYKEKEEVRQLPCTHMFHLKCVDRWLRIISSCPLCKQELS >ONIVA01G31840.1 pep chromosome:AWHD00000000:1:27842594:27842989:1 gene:ONIVA01G31840 transcript:ONIVA01G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGSKELLRNEDGFTTEDVVAMDSPNIVALAETPTARTSPPPPLPTTSRPSERASCSPQGHPCSAGEPPGDPWRRGGRGGDSFPLPLPPRLLVVLGGVRLRVKVAEQHPGRVRLHPGRQLPQPRLHPAL >ONIVA01G31820.1 pep chromosome:AWHD00000000:1:27806708:27811734:1 gene:ONIVA01G31820 transcript:ONIVA01G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSAYYDVLGVSTDASAAEIKKAYYLKAKLVHPDKNPDNPDAERRFKELGEAYQILSDPVRKDSYDKHGKEGLPQDNMIDPTAVFGMLFGSDYFEDYVGQFALASVASVEIEEESDNTEARARIQDKIKELQTEREQKLVQSLKDRLQPYVDGMQDEFGDWAGAEAQRLSQAAFGEAMLHTIGYIYARQAARELGKSKMYMGVPFIAEWVRDKGHHVKSQVNAAAGAISLIQLQEGIKKIEGDDKEGQLMKSIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLRENTVSKDVLKVRARGLKKLGTIFQPRNILRYFRAFDALECTLLLFTRNLPEIRPQRGAPGAYVPFSGHARRPYEGTRGLPAGLQIPPVASCFLVQIRVRFRFVIIQFPISSIARITHGSKRVTELETEEEATRRHQPMAEHATGVYGHPYPRVDQYGNPVPPVDQYGNPVPDEPAPRDTATGYVAPPDPAVSTGDYGLAGAEAPHPHESAVMSGAAAATVAPGGEAYTRDGGGVVPPAGEKTFAYEGTVSAAGVTGASGQLQPTTREEGHTTLGETLRRSGKSSSSSSSSSEDDGQGGRRKKKSIKEKIKEKLPGSHKQEEKKQAGHTAPAAGTGTGTGTGTHAAGKHEKKGIVEKIKEKLPGHGHH >ONIVA01G31820.2 pep chromosome:AWHD00000000:1:27806635:27811734:1 gene:ONIVA01G31820 transcript:ONIVA01G31820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSAYYDVLGVSTDASAAEIKKAYYLKAKLVHPDKNPDNPDAERRFKELGEAYQILSDPVRKDSYDKHGKEGLPQDNMIDPTAVFGMLFGSDYFEDYVGQFALASVASVEIEEESDNTEARARIQDKIKELQTEREQKLVQSLKDRLQPYVDGMQDEFGDWAGAEAQRLSQAAFGEAMLHTIGYIYARQAARELGKSKMYMGVPFIAEWVRDKGHHVKSQVNAAAGAISLIQLQEGIKKIEGDDKEGQLMKSIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLRENTVSKDVLKVRARGLKKLGTIFQPRNILRYFRAFDALECTLLLFTRNLPEIRPQRGAPGAYVPFSGHARRPYEGTRGLPAGLQIPPVASCFLVQIRVRFRFVIIQFPISSIARITHGSKRVTELETEEEATRRHQPMAEHATGVYGHPYPRVDQYGNPVPPVDQYGNPVPDEPAPRDTATGYVAPPDPAVSTGDYGLAGAEAPHPHESAVMSGAAAATVAPGGEAYTRDGGGVVPPAGEKTFAYEGTVSAAGVTGASGQLQPTTREEGHTTLGETLRRSGKSSSSSSSSSEDDGQGGRRKKKSIKEKIKEKLPGSHKQEEKKQAGHTAPAAGTGTGTGTGTHAAGKHEKKGIVEKIKEKLPGHGHH >ONIVA01G31810.1 pep chromosome:AWHD00000000:1:27796953:27806524:1 gene:ONIVA01G31810 transcript:ONIVA01G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49400) TAIR;Acc:AT3G49400] MASHYQAATLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIIATSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLSMGTERRKRKPARFEGFVYHEDNGGVDAPKDADFLLDPISNLKKKTLKKRSVDAPKDVDFISDPSNLKKKTSEKDDVDDPKDADFSLDPISNLKKNTLKKVTRPGLDYAVRNGQGNTQNIQTPSYCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVLGLKLVKSDVSGQKIHYHHNNFVNKNNRTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLVLWDLIAALQGFKKSAPTFLETLMHKWVSEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >ONIVA01G31810.2 pep chromosome:AWHD00000000:1:27796953:27806524:1 gene:ONIVA01G31810 transcript:ONIVA01G31810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49400) TAIR;Acc:AT3G49400] MASHYQAATLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIIATSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLSMGTERRKRKPARFEGFVYHEDNGGVDAPKDADFLLDPISNLKKKTLKKRSVDAPKDVDFISDPSNLKKKTSEKDDVDDPKDADFSLDPISNLKKNTLKKVTRPGLDYAVRNGQGNTQNIQTPSYCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVLGLKLVKSDVSGQKIHYHHNNFVNKNNRTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLVLWDLIAALQGFKKSAPTFLETLMHKWVSGLFSDDPHCASIDIPSHSIHNMSKVSSRKLHLLNIVCRKVMLSDQPQYSPGAEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >ONIVA01G31810.3 pep chromosome:AWHD00000000:1:27796953:27806524:1 gene:ONIVA01G31810 transcript:ONIVA01G31810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49400) TAIR;Acc:AT3G49400] MASHYQAATLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIIATSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLSMGTERRKRKPARFEGFVYHEDNGGVDAPKDADFLLDPISNLKKKTLKKRSVDAPKDVDFISDPSNLKKKTSEKDDVDDPKDADFSLDPISNLKKNTLKKVTRPGLDYAVRNGQGNTQNIQTPSYCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLVLWDLIAALQGFKKSAPTFLETLMHKWVSGLFSDDPHCASIDIPSHSIHNMSKVSSRKLHLLNIVCRKVMLSDQPQYSPGAEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >ONIVA01G31810.4 pep chromosome:AWHD00000000:1:27797062:27806524:1 gene:ONIVA01G31810 transcript:ONIVA01G31810.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49400) TAIR;Acc:AT3G49400] MASHYQAATLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIIATSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLSMGTERRKRKPARFEGFVYHEDNGGVDAPKDADFLLDPISNLKKKTLKKRSVDAPKDVDFISDPSNLKKKTSEKDDVDDPKDADFSLDPISNLKKNTLKKVTRPGLDYAVRNGQGNTQNIQTPSYCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLVLWDLIAALQGFKKSAPTFLETLMHKWVSGLFSDDPHCASIDIPSHSIHNMSKVSSRKLHLLNIVCRKVMLSDQPQYSPGAEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >ONIVA01G31810.5 pep chromosome:AWHD00000000:1:27797062:27806524:1 gene:ONIVA01G31810 transcript:ONIVA01G31810.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49400) TAIR;Acc:AT3G49400] MASHYQAATLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIIATSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLSMGTERRKRKPARFEGFVYHEDNGGVTRPGLDYAVRNGQGNTQNIQTPSYCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLVLWDLIAALQGFKKSAPTFLETLMHKWVSGLFSDDPHCASIDIPSHSIHNMSKVSSRKLHLLNIVCRKVMLSDQPQYSPGAEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >ONIVA01G31800.1 pep chromosome:AWHD00000000:1:27791990:27796831:1 gene:ONIVA01G31800 transcript:ONIVA01G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYVIVFDGLPASPSGLLATVVTSSYLSLTSAFFFSRTLDSELPGVLAVIPDVLHKVHTTRSWDFLELERNGAATGAWKDAAKYGVDAIIGNVDTGVWPESASFKDDGYSVPSRWRGKCITGNDTTFKCNNKLIGAGFFNLGFLASGLLQGKPPSQAAELYTPRDYIGHGTHTLSTAGGGFVPDASVFGHGKGTAKGGSPLARVAAYKACYAEGCSSSDILAAMVTAVEDGVNVLSLSVGGPADDYLSDPIAIGAFYAVQKGVIVVCSASNSGPQPGSVTNVAPWILTVGASTMDRDFPAYVTFGGVTSSMTIKGQSLSNSTLPQGQRYAMINAKNANAANVPSENSTLCFPGSLDSDKVRGKIVVCTRGVNARVEKGLVGKQAGGVGMVLCNDAGNGEDVIADPHLIAAAHVSYSQCINLFNYLGSTDNPVGYITASDARLGVKPAPVMAAFSSRGPNPITPQILKPDITAPGVSVIAAYSEAVSPTELSFDDRRVPYNIMSGTSMSCPHVSGIVGLIKTKYPDWTPAMIKSAIMTTAITGDNDSGKIRDETGAAATPFAYGSGHVRSVQALDPGLVYDTTSADYADFLCALRPTQNPLPLPVFGDDGKPRACSQGAQYGRPEDLNYPSIAVPCLSGSATVPRRVKNVGAAPCRYAVSVTEALAGVKVTVYPPELSFESYGEEREFTVRLEVQDAAAAANYVFGSIEWSEESESDPDRKHRVRSPIVAKTTCG >ONIVA01G31790.1 pep chromosome:AWHD00000000:1:27768139:27776708:-1 gene:ONIVA01G31790 transcript:ONIVA01G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIINGPVLPRYAAPATGALTSDAKISGQLLRRVHLRRRACGLQGDHYRAARRFFGFPSERHARSGWVWPVCCSYGSSSDGDGAAAADYDASGEEFVNSSVMEAVELRSVSDGFVIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVVKEMTERMGYAVPIQVNRRIAYNNGLKVVQPTPSESYVSSDQFQCTRLDRPDDQPCFEAQEFDLVRNMLVAAVEERYKDAGAGFEGIVHDDDKKEWKSDEDNSEGDKKAKAVSFKNRAISAGNKFRRSLRRKRRRRVGDHVASIEDIRDVKELEAVQRFHQCLHDEGLLPERHDDYHVMLRFLKARKFDIDKAKHMWSEMLRWRKEFGADNIEEFDYSELDDVLECYPQFYHGVDKEGRPVYIELIGKVDPNKLVQVTTIDRYVKYHVKESEKCLQMRFPACSIAAKRHIDSCSTILDVQGVGLKNFSKDARELIMRLQKINNDNYPETLHRLYIINAGQGFKMLWGTIKSFLDPQTASKIHVLGSKYQNKLLETIDESELPDFLGGKCRCEEHGGCIKSDKGPWKDPDIIKRVLNGEANYGRQILAISSIDGKKICYINPRHLTSKLPGNTSTSGAPPRVEDIPVVDKAVDTCAGPSTSSMAFNSDSFSLRNITMELGGLRNRITAWLIVLIVSFVAVLRSVPSRVTASLSSQAISRENGTHSSVLRRLGELEEKLQELEAKQSQMPPDREELLNGAIHRVDALEAELISTKKMLYDALMRLDELLAYADQQKNIQFRKKRFCF >ONIVA01G31780.1 pep chromosome:AWHD00000000:1:27751598:27753012:-1 gene:ONIVA01G31780 transcript:ONIVA01G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLHCSDKLPFMNVETVLHMKEGLGETSYAQNSSLQASTFASLPLMAIDSFLSRRGMDTLKSLITNSAADVYLSQMPERFAVADLGCSSGPNALCLAEDIIGSIGRICCRSSRPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKAAAKSDEWGRPMVFLSGVPGSFYGRLFPAKSVHFVCSCSSLHWLSQVPSGLLDEMNRPINKGKMYISSTSPLAVPVAYLRQFQRDFSLFLKSRAAEVFSGGRMVLAMLGRQADGYIDRRTTFLWELLSESFASLVAQGLVEEDKVDAYNVPFYAPSIGEIEEEVRREGSFRMDYVQTYEINLSSSGDARRDGRTVSMAIRAIQESMLSHHFGPEIVDALFAKYTELVTASMEREEVKSVQIGVVLTRL >ONIVA01G31770.1 pep chromosome:AWHD00000000:1:27734401:27748849:1 gene:ONIVA01G31770 transcript:ONIVA01G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISEVMGVTAEWAVTMVAMAVGLLVVAYLYEPYRKVWHVPGPVPVPLIGHLHLLAMHGPDVFSVLARKHGPVFRFHMGRQPLIIVADAELCKEVGIKKFKSIPNRSMPSPIANSPIHKKGLFFTRGPRWTSMRNMIISIYQPSHLSSLIPTMESCIQRATKNLDGQKEITFSGLSLSLATDVIGQAAFGTDFGLSKVPVTPDDSNIDKIVADTSVEAKASSEFIKMHMHATTSLKMDLSGSLSILVGMLLPFVQELFRQVLKRIPGMGDYKIDRVNRALRTQMDRIVAERGGAMEHDLAASHQRKDFLSVVLTARESNKSSRELLTPDYISALTYEHLLAGSTTTAFTLSTVLYLVAKHPEVEEKLLREIDGFGPRDRVPMADDLQTKFPYLDQVVKESMRFYMVSPLVARETHEQVEIGGYALPKGTWVWLAPGVLAKDPKNFPEPEVFRPERFDPNGEEERRRHPYAFIPFGIGPRVCIGQKFSIQEIKLSVIHLYRHYVFRHSPSMESPLEFQFAIICDFKYGVKLQGESESESESEITDQMEISEVLGATAEWAVTLVAMAVGLLVVAYLYEPYRKVWHVPGPVPVPLIGHLHLLAMHGPDVFSVLARKHGPVFRFHMGRQPLIIVADAELCKEVGVKKFKSIPNRSMPSPIANSPIHKKGLFFIRGPRWTSMRNMIISIYQPSHLASLIPTMESCIQRASKNLDGQKEITFSDLSLSLATDVIGLAAFGTDFGLSKVPVTPDDSNIDKIAADTSVEAKASSEFIKMHMHATTSLKMDLSGSLSILVGMLLPFLQEPFRQVLKRIPGMGDYKIDRVNRALKTHMDSIVAEREAAMEHDLAASQQRKDFLSVVLTARESNKSSRELLTPDYISALTYEHLLAGSTTTAFTLSTVLYLVAKHPEVEEELLKEIDAFGPRDRVPMADDLQTKFPYLDQVVKESMRFYMMSPLLARETLEQVEIGGYVLPKGTWVWLAPGVLAKDPKNFPEPEIFRPERFDPNGEEERRRHLYAFIPFGIGPRVCIGQKFSIQEIKLSVIHLYRHYVFRHSPSMESPLEFQFAIICDFKYGVKLQAIKRHHAMKRISIIHLDVR >ONIVA01G31770.2 pep chromosome:AWHD00000000:1:27734401:27748849:1 gene:ONIVA01G31770 transcript:ONIVA01G31770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISEVMGVTAEWAVTMVAMAVGLLVVAYLYEPYRKVWHVPGPVPVPLIGHLHLLAMHGPDVFSVLARKHGPVFRFHMGRQPLIIVADAELCKEVGIKKFKSIPNRSMPSPIANSPIHKKGLFFTRGPRWTSMRNMIISIYQPSHLSSLIPTMESCIQRATKNLDGQKEITFSGLSLSLATDVIGQAAFGTDFGLSKVPVTPDDSNIDKIVADTSVEAKASSEFIKMHMHATTSLKMDLSGSLSILVGMLLPFVQELFRQVLKRIPGMGDYKIDRVNRALRTQMDRIVAERGGAMEHDLAASHQRKDFLSVVLTARESNKSSRELLTPDYISALTYEHLLAGSTTTAFTLSTVLYLVAKHPEVEEKLLREIDGFGPRDRVPMADDLQTKFPYLDQVVKESMRFYMVSPLVARETHEQVEIGGYALPKGTWVWLAPGVLAKDPKNFPEPEVFRPERFDPNGEEERRRHPYAFIPFGIGPRVCIGQKFSIQEIKLSVIHLYRHYVFRHSPSMESPLEFQFAIICDFKYGVKLQGESESESESEITDQMEISEVLGATAEWAVTLVAMAVGLLVVAYLYEPYRKVWHVPGPVPVPLIGHLHLLAMHGPDVFSVLARKHGPVFRFHMGRQPLIIVADAELCKEVGVKKFKSIPNRSMPSPIANSPIHKKGGPRWTSMRNMIISIYQPSHLASLIPTMESCIQRASKNLDGQKEITFSDLSLSLATDVIGLAAFGTDFGLSKVPVTPDDSNIDKIAADTSVEAKASSEFIKMHMHATTSLKMDLSGSLSILVGMLLPFLQEPFRQVLKRIPGMGDYKIDRVNRALKTHMDSIVAEREAAMEHDLAASQQRKDFLSVVLTARESNKSSRELLTPDYISALTYEHLLAGSTTTAFTLSTVLYLVAKHPEVEEELLKEIDAFGPRDRVPMADDLQTKFPYLDQVVKESMRFYMMSPLLARETLEQVEIGGYVLPKGTWVWLAPGVLAKDPKNFPEPEIFRPERFDPNGEEERRRHLYAFIPFGIGPRVCIGQKFSIQEIKLSVIHLYRHYVFRHSPSMESPLEFQFAIICDFKYGVKLQAIKRHHAMKRISIIHLDVR >ONIVA01G31760.1 pep chromosome:AWHD00000000:1:27707849:27720855:1 gene:ONIVA01G31760 transcript:ONIVA01G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANVLYAALLVPMVLYLAVTRRRSRRLPPGPAGLPLVGSLPFIDPNLHTYFAGLAAKHGPILSIRLGSKVDIVVTSPELAREVLRDQDSVFANRVMPDAGNAVSFGGVQNIVGNPVGPMWRLLRRLCVHEMLSPSGLANVHDLRRREFRSTLRYLHTKSGEPVDLGAQMFLNTMNVITSAMWGGTIGSESERAAVGSEFRGLVAEVTELLGTPNVSDFFPALAPFDLQGIRGKMERFRSRFDLMFAKIIQQRMKAQQDGGETATDFLECMLKMEKEGGDGKAPFTMDNVKALLLDMVVGGTETTSNTVEWAMAEMLQNPQVLKKAQQELDSVVGRDEVVEEWHLPQLHYLRMVIKETLRLHPALPLMVPHSPSAASTVSGYHVPEGSRVFVNVWAIQRNPLVWNEPLEFNPERFAGDEGHKWDFTGSQFDYFPFGSGRRICAGIAMAEKMTAYSVAMLLQAFDWKLPQGVQPDLSEKFGIVMKKAAPLVAIPTPRSSGGEGHRRRCGREGTAFLYITIVRHRRGGDLPPGPAGLPLIGCLPFLEPNLHAYFARLAEKHGPVFSIRIGSKLEVVVTSPEVAREVLRDQDHVFANRVIPEAGRAIAFGEEDNIVGNPAGPKLRLLRRICVSEMLGPSGLSKMYGLRRREFRSTLRHLYTQSLCGTPVCVGAQMFLTAMNTITNSLWGGKIGSESERTAVGQEFRALIAEITELLGRPNVSDFFPVLAPLDLQGVQKRMRGIRTQFEHMFARIIQTRAKAEQDGGEASSDFLEYMLKLEKEGGDGKTPFTMINVKALLLDMVVGGTETISNTVEWAMAEMILNPRILEKVQQELDQVVGRDSLVEESHIGQLHYLRLVFKETIRLHTPLPLMVPHCPSSTSTIGGYRVPKGTRVFVNVWAIHRNPRVWKAPQEFIPERFSGDDGQKWDFSGKEFDYFPFGSGRRMCAGIAMAERMTIYSLALLLQAFDWKLPEGTQLDMDEKFGVVMKKAKPLVVIPTPRLTKPELYS >ONIVA01G31750.1 pep chromosome:AWHD00000000:1:27697798:27699267:-1 gene:ONIVA01G31750 transcript:ONIVA01G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLQCPDDPAPSMNVEAVLHMKEGVGETSYAKNSTLQKKSMDTVKSLVTESARDVYASLKPERFTLADLGCSSGTNALGMVEEIVRSVAEVCRGSSPPPEFSVLLNDLPTNDFNTIFSRLPEFTGKLKADADADAGDDPMVFLSGVPGSFYGRLFPSKNVHFVCSFSSLHWLSQVPPGLLDETNGPVNKGKMFISSTSPPAVAAAYSRQFRRDFSLFLRSRAAEVVAGGRMVVSMLGREGERHADRNTTLLWDLLSESFAALVSQGVVEQGKVDAYDAPFYAPSIGEIEEEVRRQGSFRMEVARAYEASLSGSGDARKDGRTVSMAVRAIQESMLGHHFGTEIVDALFAKYTELVTATMEREEVKSVQIGVVLTRL >ONIVA01G31740.1 pep chromosome:AWHD00000000:1:27688775:27697352:1 gene:ONIVA01G31740 transcript:ONIVA01G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYDISCFAAGLAGNIFALALFLSPVTTFKRILKAKSTERFDGLPYLFSLLNCLICLWYGLPWVADGRLLVATVNGIGAVFQLAYICLFIFYADSRKTRMKIIGLLVLVVCGFALVSHASVFFFDQPLRQQFVGAVSMASLISMFASPLAVMGVVIRSESVEFMPFYLSLSTFLMSASFALYGLLLRDFFIYALITLKKGTKLIKYSRRGKPKIRAFRLSSDETSLIWFSHKKEKFLRLSSVTKIIPGQRTAVFGRFLHPEKDYLSFSLIFKNGQRSLDLVCKDQAEVEVWFSALEGLISSFRKKSLINEHKDRVSFSEFCYTVTILEGKNDSSIVDMHITHKYHNTEVTYYQDRHSYDSTLDIASNISLSFNSAGYCGTNSFSFRKSDVGFDRLNMIRTSAADSSRVSISSALSSYSQGSGTDDIESLGDVYVWGEVWTDVTPSDGHTSSSCSKVDVLIPKPLESDVVLDVNQIACGTRHVALTTRQGEVFTWGEEFGGRLGHGTDADISRPKLVESLSLTVVDLISCGEFHTCAVTTSGDLFNWGDGSYNVGLLGCGTEVSYWLPKKVSGPLEGLQVLSVACGSWHSALTTSSGKLYTFGDGTFGVLGHGDRETLAYPKEVEALSGFKTIKVACGIWHSAAIVEVTNQTGANVMSKKLYTWGDGDKNRLGHGDKEPRLVPKCVQALLEYNFHQLACGHNMTVALATSGRVFTMGSSSNGQLGNPKSDGKQPCLVQDRLASELVEEISCGASHVTVLTSRSEVYTWGMGANGRLGHGDLKDRKKPCLVEALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHDCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKAAETGSNNSNRRNAVTRRSIDGREKLERPEIRPSRTTAPAESVKYTEVDSLKQKCEAQHEQLQISDKKTKTVVSMATEEYTRCSAVVEFVKFLDNELNGIVHELPSDAAESLKALQNQVQALLREQRSHPSELLNPMDHDGIQLSSGGNALHDFSNHRSGSTRYLFMSQDASSASGSAISITSEPPSHRGMEHHAKVPNDFVPKHDTHGEVQLIEQFEPGVYVTLIQLKDGSKVFKRVRFSKKKFAENQAEEWWRENQERVFKKYSHPTVPQTTSTKTGSSNEEEHHS >ONIVA01G31740.2 pep chromosome:AWHD00000000:1:27688775:27697352:1 gene:ONIVA01G31740 transcript:ONIVA01G31740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYDISCFAAGLAGNIFALALFLSPVTTFKRILKAKSTERFDGLPYLFSLLNCLICLWYGLPWVADGRLLVATVNGIGAVFQLAYICLFIFYADSRKTRMKIIGLLVLVVCGFALVSHASVFFFDQPLRQQFVGAVSMASLISMFASPLAVMGVVIRSESVEFMPFYLSLSTFLMSASFALYGLLLRDFFIYALITLKKGTKLIKYSRRGKPKIRAFRLSSDETSLIWFSHKKEKFLRLSSVTKIIPGQRTAVFGRFLHPEKDYLSFSLIFKNGQRSLDLVCKDQAEVEVWFSALEGLISSFRKKSLINEHKDRVSFSEFCYTVTILEGKNDSSIVDMHITHKYHNTEVTYYQDRHSYDSTLDIASNISLSFNSAGYCGTNSFSFRKSDVGFDRLNMIRTSAADSSRVSISSALSSYSQGSGTDDIESLGDVYVWGEVWTDVTPSDGHTSSSCSKVDVLIPKPLESDVVLDVNQIACGTRHVALTTRQGEVFTWGEEFGGRLGHGTDADISRPKLVESLSLTVVDLISCGEFHTCAVTTSGDLFNWGDGSYNVGLLGCGTEVSYWLPKKVSGPLEGLQVLSVACGSWHSALTTSSGKLYTFGDGTFGVLGHGDRETLAYPKEVEALSGFKTIKVACGIWHSAAIVEVTNQTGANVMSKKLYTWGDGDKNRLGHGDKEPRLVPKCVQALLEYNFHQLACGHNMTVALATSGRVFTMGSSSNGQLGNPKSDGKQPCLVQDRLASELVEEISCGASHVTVLTSRSEVYTWGMGANGRLGHGDLKDRKKPCLVEALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHDCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKAAETGSNNSNRRNAVTRRSIDGREKLERPEIRPSRTTAPAESVKYTEVDSLKQKCEAQHEQLQISDKKTKTVVSMATEEYTRCSAVVEFVKFLDNELNGIVHELPSDAAESLKALQNQVQALLREQRSHPSELLNPMDHDGIQLSSGGNALHDFSNHRSGSTRYLFMSQDASSASGSAISITSEPPSHRGMEHHAKVPNDFVPKHDTHGEVQLIEQFEPGVYVTLIQLKDGSKVFKRVRFSKKKFAENQAEEWWRENQERVFKKYSHPTVPQTTSTKTGSSNEEEHHS >ONIVA01G31730.1 pep chromosome:AWHD00000000:1:27683704:27688091:1 gene:ONIVA01G31730 transcript:ONIVA01G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGAKKRAKLKKKQQGDHPAGSDDGGNNINNKNNAAENGSNDSSRRRDAASDGNHHLPSRPNVPHVDVSEDSMESSEEMVTPRAAASEADEEERKAATSEVPVEVVEAGEEVMVDALPPEAAAGAQEQQGKAEALVVVQEPEVKREELVAKVHPMHDPEPQGEEVLVVEAAAVSAVQEPEVKRDEVVVMETAAPPAVQESETKSGGVLVKDVVEVSRSLGAADTTEVARGPAVAVAAAGQRATWWNCCGVFDAFSGSER >ONIVA01G31720.1 pep chromosome:AWHD00000000:1:27668687:27670478:1 gene:ONIVA01G31720 transcript:ONIVA01G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLKPVAGLLLVLNFCMYVIVAAVGGWAINHAIHTGYFIGSGMALPANFSPIYFPMGNAATGFFVIFAVIAGVVGAAAALAGFHHVRAWSHESLPAAASSGFIAWTLTLLAMGLAVKEIDLHGRNARLKTMESFTIILSATQLFYLLAIHGGR >ONIVA01G31710.1 pep chromosome:AWHD00000000:1:27648717:27657740:-1 gene:ONIVA01G31710 transcript:ONIVA01G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVMQKQLRRLRTLGRGASGAVVWLASDDASGELLAVKSAAGEGGAEQLRREGRVMSGLCSPHIVPCLGSRTATGGEYQLFLEFAPGGSLADEAARSGGRLAERAISAYAADVARALAYLHGNSLVHGDVKARNIMVGADGRAKLADFGCARRTDSERPIGGTPAFMAPEVARGEEQGPAADVWALGCTIIEMATGRVPWSDMDDVFSAVHRIGYTDAVPEIPEWLSPEAKNFLSRCFTRNPSDRPTAAQLLEHPFLASASSDIDETAPKHGWVSPKSTLNAECWESDEDDEVEEGMSQSATKRISALAITCSALPDWDSEDGWIDLQSDPSEVSETPAPMVVTTADFGLWWEEALDAEIDLHFVDVDGDGYVTRTVRARGFIEYDRQLSVRVRGDMPLCPVDCHRSDTVKFGCHCNGNRVINFESAQICLLLPFILQSRAHRLHSVELPRIVSCSPTLEDCIVKCPIGFAATAGLPLGSPQPDYSHTCDTYVSYPSCVVHASMGTIGDGQREESERHREDMEDQELRICSGITTYHVIPVRYQTISTTYRLILVRYHVIPIRYQTISTMNRVIFARYRMIPTRYQNSKDQEIEAMAKQLRRVRTLGRGASGAVVWLASDDDSGELMAVKSASAGGAAAQLRREGRVLSGLCSPHIVPCLGSRAAAGGEYQLFLEFAPGGSLADEAARNGGCLPEPAIRAYAADVARGLAYLHGNSLVHGDVKARNVVIGSDGRARLTDFGCARVMDSAGPIGGTPAFMAPEVARGEEQGPAADVWALGCTIIEMATGRAPWSDMDDILAAVHRIGYTNAVPEVPGWLSAEAKDFLDGCFERNASDRSTAAQLLEHPFVASAAALDRWPEPAKQERASPKSTLHDAFWDSDTDDEDDEMPTGAAERIGALACAASALPDWDSDEGWIEVHDEVSFAAVTPPASDADYFVWAELSDPEMEQFAVAADGVNHVPRNEAEAIESSIRQGSYLHVHLGSGKNEIFHPFDTDGTESGLDAHRLTALQKHQWNLSSRLPHSSWIDRTFGGDLDIGVQLATTC >ONIVA01G31700.1 pep chromosome:AWHD00000000:1:27643475:27644731:1 gene:ONIVA01G31700 transcript:ONIVA01G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVDGRWTRVRTLGRGASGAVVSLAADDRSGALFAVKSAAPAAAAEQLVREGRILSGLRSPHVLPCLGFRAEAGGECQLFLEFAPGGSLADVVARSGGRLDECAIRAYAADVARGLAYLHGMSLVHGDVKGRNVVVGADGRAKIADFGCARTVGSDRPIGGTPAFMAPEVARGEEQEPAADVWALGCTVIEMATGRAPWSDMEDILSAVRRIGYTDAVPEVPEWLSAEAKDFLARCFARNPRERWTSSQLLEHPFLASAGCSVKTGEAAPQWVSPKSTLDAAFWESDTDDEEDDMPASPAERIKALACPCSALPDWDSDEGWIQVLNESSEACDTAVAKVEAEGKGRVLIEALETINESSGGDAECVDPECTVRLITVASIGQQEVFCLGLINDPLVFSVNKSELTKSLFPQIVSF >ONIVA01G31690.1 pep chromosome:AWHD00000000:1:27630013:27631456:1 gene:ONIVA01G31690 transcript:ONIVA01G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVSGRWTRLRTLGRGASGAVVSLAEDGASGELFAVKTAAAAEAAMLRRERGMMSGLSSPHVVPCIGGGDGPDGSYNLFLEFAPGGSLANEVARDGGRLEERAIRVYAADVLRGLTYLHGMSLVHGDVKADNIVIGVDGLAKLADFGCAKTMDSERPVGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSDMDDVLAAVHRIGYTDAVPEVPVWLSAEAKDFLAMCFARNAGDRSTAAQLLEHPFVAFACHEVKAAQPKPRWVSPKSTLDAAFWESETDDEEEVDEITESLCDRIKSLACPVSALPDWDSDEGWIDLLGEQCEACDSEAARESIDVARSAPSKVSSAATVPAAEVVLVGGGCCPSNEADAFDQSIGGDIQAADRSIERRNKVCAVTTQ >ONIVA01G31680.1 pep chromosome:AWHD00000000:1:27622538:27625506:1 gene:ONIVA01G31680 transcript:ONIVA01G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLKGKYHIVKWEDMAFPKDFSGLGFTETRKMNIALLAKWIIKIESDDKSLCRSAGRCGRGGGRRRDGGRRWRCCNREPLLVRAPPPQVVVATASLARILLLLLDMVSEQTPVATAEAELVSSAAVPVKPEEAAAKAQPEDDAPIVEDAKDDDDGDEDDDDDGDEDEDGEHGAVVNKGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIERAKNILFVVSKPHDVFKSPTSESYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMSKPDAAAAAPADEEQEVDETGIEPRDIDLVMTQASSSSPSPSPCHPPSCRCRVSFRVVVAAAASSPSCRPPPPPLPSHLGGLLRRWPACP >ONIVA01G31670.1 pep chromosome:AWHD00000000:1:27619576:27620215:-1 gene:ONIVA01G31670 transcript:ONIVA01G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLPVAFNLALVFVLAIPLLLEARPLASGDQDDENLPVLTTVTAEETTQVMVDYLDLNGSSSDGEDDEAAQGSPPDAALRRPARTPPSPQGRYPPQQQQKPAPCSGGPAGVVGGRRPSAPPAPRGRSPPHWVRSSDQQGPWLLDVFHRLLCALTGLTGQTGSMGDVEVWKTFRHVHLNEE >ONIVA01G31660.1 pep chromosome:AWHD00000000:1:27617247:27619450:1 gene:ONIVA01G31660 transcript:ONIVA01G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQYKRTLVPASLEVPPPATLPVTPVATQPLTPPPPQFVLPPSFFSTYIPDGWFPAVTPQSIVTSSSPCLLAGLRQPGIFL >ONIVA01G31650.1 pep chromosome:AWHD00000000:1:27601321:27612154:1 gene:ONIVA01G31650 transcript:ONIVA01G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPTHAFRPRLRSAKPPRIGLRVPSSPPTHHRSPPAASDENEAGAKEVEVVVMGEPEVEKREMKKGWSIVQNSSSKEGIRQCQATERNDPTTVPRQPLIFFPGPTACGNPRSTTTAGLYPRGCAHRHANRVTPLTRAQHTHPPLPRPAKLAPAAQANTYACGLPLPLPRSAGSLAPGSAAQRTPHSANRPSGPPPTPARRAARADQQCMRMTKRFTAASPTAPGKYAGPCMHAQARSENKFKGYIKKAQRDSAHDNTSTEIGHTSDYRATKGGDNPSPNDKNHDLTLPRFGI >ONIVA01G31640.1 pep chromosome:AWHD00000000:1:27599635:27600666:-1 gene:ONIVA01G31640 transcript:ONIVA01G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPMARDFTATFLLLFSVASLLVISPCAAQQIKTTDTRWSYHLPLPDGVSGAESLAFDGKDGLYTGVSDGRVLKWGGSAAGWTTFAYNANYRKIPLCSSSEVPPEERESICGRPLGIRLFRKTGELYIADAYKGLMKVGPDGGEAQVVATEADGVPFHFLNGLDVDQATGDAYFTDSSSTYTRRFNGEITMNADATGRLLKYDARTRRVTVLKTDLPYPNGVAVSRDRTHLVVAHTVPCQAFRYWLRGTKAGEYELFADLPGYPDNVRRDTKGGYWVALNQERMRLGAAPAAKHLVGVRLNPDGVEVEELTAAKGVTLSEVAEQKGKLWLGSVELDYIGMFA >ONIVA01G31630.1 pep chromosome:AWHD00000000:1:27593663:27599291:1 gene:ONIVA01G31630 transcript:ONIVA01G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G55100) TAIR;Acc:AT5G55100] MDLEIVGRHALLFDDDPTAEVVNSGGSLVPWAAVGADDLLLDRHDVRHLLDRVPPRPRRSYSAALLSAPSLDGVSEAELDRERFLDLTADDFGGEGSQDAVSSGNGTDTGRADYNSVPFSYGSTAGSDDPNNLSTYYCPSFPVPDRLLNKLPPSEKVHQIIARTALFVSEHGGQSEIVLRVKQGSNPTFGFLMPDHHLHSYFRYLVDHPQLLKDGLDADSNKGCKTANSESGHDASSGGALSLLGAVYESGDEDEGMLPPSPKSTVPGKDVVVHEQGHESSVSAIHCNEEARDGQKATAAATVAAKDKSILTKKNPMITGSSLLAARLEKAKDAMMASSTSDVSDTKVVILEPPSFLKRTMEKIVEFIIRNGKEFEGKLIEQDRTTGRFPFLLPSNPYHPYYLKLLEETQESKSRGGSSEPKDRKSSSEHKDRRSSSEQKDRRSPSERKGSNHGKGESKSKKRSSTSKDASSPDRSSAEPSEKQLYDKHKQGKGKFHMIISGAKKEPPRNVTADEAAAIVMAATRGFGPANIQTNTLKDTSGIGQTRGDNGHTSSFGSFSSLQDPDVPSKPVSNSEACTSLTSSGQPKNEGTGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFTSIIKSGGSKMADLSTSADQTNEAGRASPGDLNLSESDPQPSAKEREGSSVPFEREGSNLAKQEKDSDDERNRARKYRKKHHPESDEENYDSEESYKHSRKKHRSEDSRAHTSDVHKHKLKRHSKDLEPRHHRHRDSSSEDEHEHRSSKSRHRHRDDYHYHEDDEHRSSHRHQRDHRSSSKRKKDDDRDKSKQTIVLPEVSQNQEKPPGDTAQSSQATTEVPSELRAKIRAMLLETL >ONIVA01G31620.1 pep chromosome:AWHD00000000:1:27588564:27589601:-1 gene:ONIVA01G31620 transcript:ONIVA01G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDETLAYPAAAGGGQKTAPIGCFAGDDVAATTDGHPTKSYAAVAAEKTLPNGSVEEDEVTVTAAENPAKSYAAVVAEKTSPNGSVAEDEVTVTAAENRAKSYADVAAEKTYPNGNVEEDEVSVTAAVNPAKSYATVAAEKTVPDGSVAEDEVTVTAPVNPAKSYAAVAANAEIEDLRTTNHDLEEKLAAADREKKGRATEIDGLKDTSDKAKQNSVVFQYIASSSDAKVLALREELEDLQKLLQAEKDEFKADKRDSNQLAGKVGSERAVKMRLEEEVIAMKERARARAAAAAAAAEDERVAAPTPGTLQGARVAWPVMAGAAAVGAAAAAVAVVIFLRLKR >ONIVA01G31610.1 pep chromosome:AWHD00000000:1:27577407:27585393:-1 gene:ONIVA01G31610 transcript:ONIVA01G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPLLDEVVAMYFHLVDNPDDARALLDDAHFNAAENDHEIAMVGIQLRHIQHQTNELMTQPMTDAEREAQRVQLEEDYRGIKVDADFLLENRRRLRQVVKMLVFIWTYAIISRALRRFLPAVALTFVAGTAALAVYVELRRGGTVPAFEALGRIFTWLTSFFLLGYRNTLALLAEVAAICEQLVDDPDAAKIMLDSVLSGFAALDGHITTLENLLDFYLRAADEPIMDWPMAEVEREEQRALEELYQDLRADADVLMEHRRRLHRIVKLLVFIRTYGIIKRALRRFLPAAALKFVAGAAAVVVYVEWRRGTVPEFENLGGIFTRLMCFFLLGYRPPVTEPQLWPTKPPPIPRTRSLATPRAHAATRTTAMAENPLFDEVVAIYDHLVDNPDAARIVLDAARSDFAHNDDEIAEVEIQLGYVLQRAGELMTEPMDEVEREAQRVFLVEAYHDLRARVDFLLERRRQLDQVVNLLLFIRTYAIIKRALRRLLPAAALVFVAGTAAVVVYVEWRRGTSAKHGPHADTPNCKNPRTPMEDNDLVDEVLDSVGLHLFNNVDDIKILLDAARADLDENAAHIAEAQARLSHVRRLVGEVATAPMAVEQQQAVRAALEEVLDDFGASSLLLLERGRQLRLLILMLQLLRASVFIVRAARHLPAVLASVTAGSAAALVYAESRRGVPAFRSLARIFAVVMCGFFECYRVGLKRPEDEKNTEPRQKRKNPRTAMEVNNLADELLDSLGVYLINNVDDVKILLDAARADLDDNAAHLAKARARLRNVRRLVREVTASPPMIVDGVEQRHAARVTLERIHDDIRASSLLLRERRHQLDQVVCTLLMIRAYVFVTRAARLIPAVLLSVTPGSAAVVAYAESRRGVPVSRSLARILALAMCGFFECYRLRQGDF >ONIVA01G31600.1 pep chromosome:AWHD00000000:1:27575716:27576522:1 gene:ONIVA01G31600 transcript:ONIVA01G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRCWIRRLLRGSDARVALSRRRRVRGRQGGQIRPPSVRIRSRRPSLDPRRQGGGCGCAEPARARLWRGRTEAKAAWLGRAETVVQLCGSGGAGAKVEAREQAGWCGAGGRRSVGWLAGRPAAAEAARARGATGESRG >ONIVA01G31590.1 pep chromosome:AWHD00000000:1:27571732:27573705:-1 gene:ONIVA01G31590 transcript:ONIVA01G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAHPMVPLVLRNLLPLLRDVDTVQFALDVAHARMRDNAARLAMLVPLLARARRLAPAGVDAEAAELMDQLEEAVVGALEARLQLVQVVTLLVVVRAVATARRRARRLPSVLLAVAALAFAVSGSGVALGPLRVFVMVSTVLLLVLSWPRFPPCRLPSSQTGRHAGLRVTIVWPIKNKKPTSRSARPQLMRGGGGGQSSAWRPVGSGGGGGGCGGGSPLVAGMVVVGVGVPRARYSRRDPLLLVSVVVSLVPAGSRRGAVAGVLLLLRRRIDGVLRFSLPASFAASSMSGS >ONIVA01G31580.1 pep chromosome:AWHD00000000:1:27562738:27564192:-1 gene:ONIVA01G31580 transcript:ONIVA01G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FRQ6] MDAGDAATTRARKPVVLYPSPGMGHLVSMIELGKVFAARGLAVTVVVVDPPYGNTDATGPFLAGVTAANPAMTFHRLPKVEVPPVASKHHESLTFEVTRLSNLGLRDFLAGASPVVLIIDFFCNAALDVADELGVPAYMFYTSGAEILAFFLYLPVLHAQTTANFGEMGEELVHAPGIPSFPATHSVLPLMERDDPAYAEFLKASADLCRTQGFLVNTFRSLEPRAVETIAAGSCAPPGVSTPPVYCIGPLIKSAEVGENRSEECLAWLDTQPNGSVVFLCFGSIGLFSVEQIKEVAAGLEASGQRFLWVVRSPPSDDPAKKFDKPPEPDLDALLPKGFLERTKGRGLVVKSWAPQRDVLAHAAVGGFVTHCGWNSVLESIVAGVPMLAWPLYAEQRMNRVFLEKEMRLAVAVEGYDDDVGEGTVKAEEVAAKVRWLMESDGGRALLERTLAAMRRAKAALRDGGESEVTLARLVESWREAASA >ONIVA01G31570.1 pep chromosome:AWHD00000000:1:27556279:27557640:1 gene:ONIVA01G31570 transcript:ONIVA01G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKLGALALMLPLLLLLSATRVAYGGIQPTPPPASFQAALVRIEPAGINYTRAVQRSRSRLSMLAARAVSNAGAAPGESAQTPLKKGSGDYAMSFGIGTPATGLSGEADTGSDLIWTKCGACARCSPRGSPSYYPTSSSSAAFVACGDRTCGELPRPLCSNVAGGGSGSGNCSYHYAYGNARDTHHYTEGILMTETFTFGDDAAAFPGIAFGCTLRSEGGFGTGSGLVGLGRGKLSLVTQLNVEAFGYRLSSDLSAPSPISFGSLADVTGGNGDSFMSTPLLTNPVVQDLPFYYVGLTGISVGGKLVQIPSGTFSFDRSTGAGGVIFDSGTTLTMLPDPAYTLVRDELLSQMGFQKPPPAANDDDLICFTGGSSTTTFPSMVLHFDGGADMDLSTENYLPQMQGQNGETARCWSVVKSSQALTIIGNIMQMDFHVVFDLSGNARMLFQPPTA >ONIVA01G31560.1 pep chromosome:AWHD00000000:1:27548543:27555155:-1 gene:ONIVA01G31560 transcript:ONIVA01G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVCIAVKKVRITVASPRKADDVEWDDFSFGYRGVVERDAEASEKKSVATAAGAEHGKPGLTPAPTAIFFNSNITLADISLAVEFDFPKRPSARRKRRCLPAAAAVAGGGGHHPAGPPWDTLADGRRRTVLGLLDAHGTTRLSRWRRAAFGTRGASPAAVAGLAVRGGFVEISALLARWRRWRIFDTAPPAMTTGGMQANMTRDRSQPFTNATTKPPAKV >ONIVA01G31550.1 pep chromosome:AWHD00000000:1:27546599:27554140:1 gene:ONIVA01G31550 transcript:ONIVA01G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAANGGRDGKEKKAKNGRDGEDKKKEEDGDAGKKVSFTGLFRYADGTDLLLMAVGTVAALANGVSQPLMTVIFGQVINAFGEATNGDVLHRVNQAVLNFVYLGIATAVVSFLQVACWTMTGERQATRIRSLYLKSVLRQDIAFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFAGGFVVAFVKGWLLSLVMLACIPPVVIAGGAVSKMLAKISSKGQASYSDAANVVEQTIGAIKTVVSFNGEKQAVASYNKLINKAYKAAVEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLVVSKGYSGGDIINILFAVMTGAMSLGNATPCMAAFAEGQSAAYRLFKTIKRKPQIDPDDITGKQLTDIRGDVELKDVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLNWIRGKIGLVSQEPLLFMTSIKDNITYGKEDATIEEIRRAAELANAANFIDKLPDGYDTMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRIMVNRTTLVVAHRLTTVRNADCISVVQQGKIVEQGPHDELVMNPNGAYSQLIRLQETHEEEEKKLDHHISDSRSKSRSLSFKRSISRDSAGNSSRHSLALPFGLPGSVELLEGNDSTVGEQTEQGGDGEVQQKAPIGRLARLNKPEVPILLLATLAAGVHGVLFPMFGVMISNAIKTFFEPADKLKKDASFWGLMCVVLGIISIISIPVEYFMFGIAGGKLVERVRALSFRSIIHQEVAWFDDPRNSRLEFYTLGTDDEISSYSGALGARLSVDALNVRRLVGDNLALAVQVVSTLITGIVIAMIADWKLTLIILCVIPLVGLQGYAQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCSEKRVMTMYDNKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGAQFVRHNKTTFGDVFKVFFALVLATIGISQTSAMASDSTKAKDSAISIFALLDRKSQIDSSSDEGRTLANVKGNIDFRHVSFKYPTRPDVQIFSDFTLHIPSGKTIALVGESGSGKSTAIALLERFYNPESGTILLDEVEIKSLKVNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGDVTEEELIKAAKASNAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNVMVGRTTIIVAHRLSTIKGADIIAVLKDGAIAEKGRHEALMNIKDGVYASLVELRSGSS >ONIVA01G31550.2 pep chromosome:AWHD00000000:1:27546599:27554140:1 gene:ONIVA01G31550 transcript:ONIVA01G31550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAANGGRDGKEKKAKNGRDGEDKKKEEDGDAGKKVSFTGLFRYADGTDLLLMAVGTVAALANGVSQPLMTVIFGQVINAFGEATNGDVLHRVNQAVLNFVYLGIATAVVSFLQVACWTMTGERQATRIRSLYLKSVLRQDIAFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFAGGFVVAFVKGWLLSLVMLACIPPVVIAGGAVSKMLAKISSKGQASYSDAANVVEQTIGAIKTVVSFNGEKQAVASYNKLINKAYKAAVEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLVVSKGYSGGDIINILFAVMTGAMSLGNATPCMAAFAEGQSAAYRLFKTIKRKPQIDPDDITGKQLTDIRGDVELKDVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLNWIRGKIGLVSQEPLLFMTSIKDNITYGKEDATIEEIRRAAELANAANFIDKLPDGYDTMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRIMVNRTTLVVAHRLTTVRNADCISVVQQGKIVEQGPHDELVMNPNGAYSQLIRLQETHEEEEKKLDHHISDSRSKSRSLSFKRSISRDSAGNSSRHSLALPFGLPGSVELLEGNDSTVGEQTEQGGDGEVQQKAPIGRLARLNKPEVPILLLATLAAGVHGVLFPMFGVMISNAIKTFFEPADKLKKDASFWGLMCVVLGIISIISIPVEYFMFGIAGGKLVERVRALSFRSIIHQEVAWFDDPRNSSGALGARLSVDALNVRRLVGDNLALAVQVVSTLITGIVIAMIADWKLTLIILCVIPLVGLQGYAQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCSEKRVMTMYDNKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGAQFVRHNKTTFGDVFKVFFALVLATIGISQTSAMASDSTKAKDSAISIFALLDRKSQIDSSSDEGRTLANVKGNIDFRHVSFKYPTRPDVQIFSDFTLHIPSGKTIALVGESGSGKSTAIALLERFYNPESGTILLDEVEIKSLKVNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGDVTEEELIKAAKASNAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNVMVGRTTIIVAHRLSTIKGADIIAVLKDGAIAEKGRHEALMNIKDGVYASLVELRSGSS >ONIVA01G31550.3 pep chromosome:AWHD00000000:1:27546599:27554140:1 gene:ONIVA01G31550 transcript:ONIVA01G31550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAANGGRDGKEKKAKNGRDGEDKKKEEDGDAGKKVSFTGLFRYADGTDLLLMAVGTVAALANGVSQPLMTVIFGQVINAFGEATNGDVLHRVNQVACWTMTGERQATRIRSLYLKSVLRQDIAFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFAGGFVVAFVKGWLLSLVMLACIPPVVIAGGAVSKMLAKISSKGQASYSDAANVVEQTIGAIKTVVSFNGEKQAVASYNKLINKAYKAAVEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLVVSKGYSGGDIINILFAVMTGAMSLGNATPCMAAFAEGQSAAYRLFKTIKRKPQIDPDDITGKQLTDIRGDVELKDVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLNWIRGKIGLVSQEPLLFMTSIKDNITYGKEDATIEEIRRAAELANAANFIDKLPDGYDTMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRIMVNRTTLVVAHRLTTVRNADCISVVQQGKIVEQGPHDELVMNPNGAYSQLIRLQETHEEEEKKLDHHISDSRSKSRSLSFKRSISRDSAGNSSRHSLALPFGLPGSVELLEGNDSTVGEQTEQGGDGEVQQKAPIGRLARLNKPEVPILLLATLAAGVHGVLFPMFGVMISNAIKTFFEPADKLKKDASFWGLMCVVLGIISIISIPVEYFMFGIAGGKLVERVRALSFRSIIHQEVAWFDDPRNSRLEFYTLGTDDEISSYSGALGARLSVDALNVRRLVGDNLALAVQVVSTLITGIVIAMIADWKLTLIILCVIPLVGLQGYAQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCSEKRVMTMYDNKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGAQFVRHNKTTFGDVFKVFFALVLATIGISQTSAMASDSTKAKDSAISIFALLDRKSQIDSSSDEGRTLANVKGNIDFRHVSFKYPTRPDVQIFSDFTLHIPSGKTIALVGESGSGKSTAIALLERFYNPESGTILLDEVEIKSLKVNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGDVTEEELIKAAKASNAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNVMVGRTTIIVAHRLSTIKGADIIAVLKDGAIAEKGRHEALMNIKDGVYASLVELRSGSS >ONIVA01G31550.4 pep chromosome:AWHD00000000:1:27546599:27554140:1 gene:ONIVA01G31550 transcript:ONIVA01G31550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAANGGRDGKEKKAKNGRDGEDKKKEEDGDAGKKVSFTGLFRYADGTDLLLMAVGTVAALANGVSQPLMTVIFGQVINAFGEATNGDVLHRVNQVACWTMTGERQATRIRSLYLKSVLRQDIAFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFAGGFVVAFVKGWLLSLVMLACIPPVVIAGGAVSKMLAKISSKGQASYSDAANVVEQTIGAIKTVVSFNGEKQAVASYNKLINKAYKAAVEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLVVSKGYSGGDIINILFAVMTGAMSLGNATPCMAAFAEGQSAAYRLFKTIKRKPQIDPDDITGKQLTDIRGDVELKDVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLNWIRGKIGLVSQEPLLFMTSIKDNITYGKEDATIEEIRRAAELANAANFIDKLPDGYDTMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRIMVNRTTLVVAHRLTTVRNADCISVVQQGKIVEQGPHDELVMNPNGAYSQLIRLQETHEEEEKKLDHHISDSRSKSRSLSFKRSISRDSAGNSSRHSLALPFGLPGSVELLEGNDSTVGEQTEQGGDGEVQQKAPIGRLARLNKPEVPILLLATLAAGVHGVLFPMFGVMISNAIKTFFEPADKLKKDASFWGLMCVVLGIISIISIPVEYFMFGIAGGKLVERVRALSFRSIIHQEVAWFDDPRNSSGALGARLSVDALNVRRLVGDNLALAVQVVSTLITGIVIAMIADWKLTLIILCVIPLVGLQGYAQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCSEKRVMTMYDNKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGAQFVRHNKTTFGDVFKVFFALVLATIGISQTSAMASDSTKAKDSAISIFALLDRKSQIDSSSDEGRTLANVKGNIDFRHVSFKYPTRPDVQIFSDFTLHIPSGKTIALVGESGSGKSTAIALLERFYNPESGTILLDEVEIKSLKVNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGDVTEEELIKAAKASNAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNVMVGRTTIIVAHRLSTIKGADIIAVLKDGAIAEKGRHEALMNIKDGVYASLVELRSGSS >ONIVA01G31540.1 pep chromosome:AWHD00000000:1:27526446:27528100:-1 gene:ONIVA01G31540 transcript:ONIVA01G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALRTASCELMNPRSNMSTMEEHLLPVVHRDQVYSSRQDRRKSSDVPNRFVTSFHPSTNSKGNPNTPNHPLPTSGNGNIVTAQNFQRVHSSPSMFTSIKETPCADEFNEQSHAAQHVPSFARQAIVSVILYISIGVLVYITNVEGFKGRSTLKLVDGLYFTIISLCTIGYGDIVPCTTFTKVFTCLFLLIGVRFVDIVLNELLTNVLDKQRTVLLSTMDDNKLNRVFDTYMIDAEKKRSRGRMKVLLALGVVVGTISICTIIVHEVEGLNWIDSFYLSVISVTTVGYGDYGFSTPAGRLSATVCLLVSTLAVAKAFLFLTDLRMDRRNRKTTKWILQKKMDNEPLAADLDHDASVSKSDFLIYKLKEIGKIDDKDIAMISDQFDQLGLAKCGKITLADIIGKL >ONIVA01G31530.1 pep chromosome:AWHD00000000:1:27524298:27529384:1 gene:ONIVA01G31530 transcript:ONIVA01G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPPVAPPPPSPAVAWGRRRARATPPRFALAVSTRDGDPAPPTFERLREQLLQLHAEADLTQSKANSTRVRLVRLTEAAENLKKRAAVSIRMGKENEAVDLLVQKKKLTKALESIKQRIEVLDKLSMKISEAISVKQNMLIEHALHPGMSNGKESNDNIRVFSSKVGDEADETTSSPAKSSEKAFDLQHVVHSGMTGQLEQSELQTSDSFTFSSDLESPNSITNHSSYDAFLDHIGFQLDSLECEIEQYISSQLAEQVDIQKPINAKWQKLSDVLKLVTETRERIAKIVDNTVKEAGSED >ONIVA01G31530.2 pep chromosome:AWHD00000000:1:27524298:27530015:1 gene:ONIVA01G31530 transcript:ONIVA01G31530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPPVAPPPPSPAVAWGRRRARATPPRFALAVSTRDGDPAPPTFERLREQLLQLHAEADLTQSKANSTRVRLVRLTEAAENLKKRAAVSIRMGKENEAVDLLVQKKKLTKALESIKQRIEVLDKLSMKISEAISVKQNMLIEHALHPGMSNGKESNDNIRVFSSKVGDEADETTSSPAKSSEKAFDLQHVVHSGMTGQLEQSELQTSDSFTFSSDLESPNSITNHSSYDAFLDHIGFQLDSLECEIEQYISSQLAEQVDIQKPINAKWQKLSDVLKLVTETRERIAKIVDNTVKEAGSED >ONIVA01G31530.3 pep chromosome:AWHD00000000:1:27524298:27526898:1 gene:ONIVA01G31530 transcript:ONIVA01G31530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPPVAPPPPSPAVAWGRRRARATPPRFALAVSTRDGDPAPPTFERLREQLLQLHAEADLTQSKANSTRVRLVRLTEAAENLKKRAAVSIRMGKENEAVDLLVQKKKLTKALESIKQRIEVLDKLSMKISEAISVKQNMLIEHALHPGMSNGKESNDNIRVFSSKVGDEADETTSSPAKSSEKAFDLQHVVHSGMTGQLEQSELQTSDSFTFSSDLESPNSITNHSSYDAFLDHIGFQLDSLECEIEQYISSQLAEQVDIQKPINAKWQKLSDVLKLVTETRERIAKIVDNTVKEAGSED >ONIVA01G31510.1 pep chromosome:AWHD00000000:1:27512070:27518726:-1 gene:ONIVA01G31510 transcript:ONIVA01G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGRGTGDDHGRETKDAAAAASSSSGKKVPLFSLFRYADRLDVLLMVVGTVGALGNGISQPLMTVLFGNVINSFGANTSGSVLRSVTKVVLNFIYLGIGTSVASFLRERQSARIRSLYLKAVLRQDITFFDTEMTTGEAVSRMSSDTLLIQGALGEKGGKLVELLSSFIGGFIIAFTRGWLLTLVMLTSLPLIAIAGAVSAQALTRVSSKRQTSYSDAGDTVEQTIGSIRTVVSFNGEKKAIAMYRNFIKKSYKATIEEGIITGFGMGSVMCVVFGSYGLAFWYGGKLIIEKGYTGGKIMTILFAVLTGASSLGNATPAVAAVVEGQSAAYNLFKTIERKPEIDSDDNNGMVLEDMNGDIELKDVYFRYPARPEQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQSGEVLIDGISIKKLRLDWIRGKIGLVSQEPLLFMASIKDNIIYGKKDATLEEIKRAAELANAANFIDKLPNGYDTLVGQRGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRMMVERTTLVVAHRLSTVRNVDCITVVRKGKIVEQGPHDALVKDPDGAYSQLIRLQETHRDERHKLPDSRSKSTSLSFRRSRTKDFLSKSNRYSFKSPLGLPVDIHEDGMTSEQQKVDHSDKYFLFGIAGGKLIQRVRTLSFQRIMHQEVAWFDKPSNSSGALGTRLSVDALNVRRLVGDNLALIVQAVATLTTGFAIAFAADWRLALIITCVIPLVGAQGYAQVKFLKGFSEESKEMYEDANQVAADAVGSIRTVASFCSEKRVVAIYNKKCEALRKQGIRSGIVGGIGLSFSNLMLYLTYGLCFYVGAKFVSQGKTTFSDVFKVFFALVLAAVGVSQSSALSTNATKARDSAISIFSIIDRKSRIDSSSDEGAIMENVTGSIDFNNVSFKYPSRPDVQIFSDFTLHIPSQKTIALVGESGSGKSTIIALLERFYDPDSGNISLDGVEIRSLKVSWLRDQMGLVGQEPVLFNDTIRANITYGKHSEVTEEEITAVAKAANAHEFVSSLPQGYDTVVGEKGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTIVVAHRLSTIKGADMIAVLKEGKIAEKGKHEALLRIKDGAYASLVQLRSNSE >ONIVA01G31510.2 pep chromosome:AWHD00000000:1:27512070:27518726:-1 gene:ONIVA01G31510 transcript:ONIVA01G31510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGRGTGDDHGRETKDAAAAASSSSGKKVPLFSLFRYADRLDVLLMVVGTVGALGNGISQPLMTVLFGNVINSFGANTSGSVLRSVTKVVLNFIYLGIGTSVASFLRERQSARIRSLYLKAVLRQDITFFDTEMTTGEAVSRMSSDTLLIQGALGEKGGKLVELLSSFIGGFIIAFTRGWLLTLVMLTSLPLIAIAGAVSAQALTRVSSKRQTSYSDAGDTVEQTIGSIRTVVSFNGEKKAIAMYRNFIKKSYKATIEEGIITGFGMGSVMCVVFGSYGLAFWYGGKLIIEKGYTGGKIMTILFAVLTGASSLGNATPAVAAVVEGQSAAYNLFKTIERKPEIDSDDNNGMVLEDMNGDIELKDVYFRYPARPEQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQSGEVLIDGISIKKLRLDWIRGKIGLVSQEPLLFMASIKDNIIYGKKDATLEEIKRAAELANAANFIDKLPNGYDTLVGQRGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRMMVERTTLVVAHRLSTVRNVDCITVVRKGKIVEQGPHDALVKDPDGAYSQLIRLQETHRDERHKLPDSRSKSTSLSFRRSRTKDFLSKSNRYSFKSPLGLPVDIHEDGMTSEQQKVDHSDSKAIKKTPFGRLFNLNKPEVPVLLLGSIAASVHGVILPLYGIIMPGVLKSFYEPPDQLRKDSRFWALMSVVLGVACLISIPAEYFLFGIAGGKLIQRVRTLSFQRIMHQEVAWFDKPSNSSGALGTRLSVDALNVRRLVGDNLALIVQAVATLTTGFAIAFAADWRLALIITCVIPLVGAQGYAQVKFLKGFSEESKEMYEDANQVAADAVGSIRTVASFCSEKRVVAIYNKKCEALRKQGIRSGIVGGIGLSFSNLMLYLTYGLCFYVGAKFVSQGKTTFSDVFKVFFALVLAAVGVSQSSALSTNATKARDSAISIFSIIDRKSRIDSSSDEGAIMENVTGSIDFNNVSFKYPSRPDVQIFSDFTLHIPSQKTIALVGESGSGKSTIIALLERFYDPDSGNISLDGVEIRSLKVSWLRDQMGLVGQEPVLFNDTIRANITYGKHSEVTEEEITAVAKAANAHEFVSSLPQGYDTVVGEKGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTIVVAHRLSTIKGADMIAVLKEGKIAEKGKHEALLRIKDGAYASLVQLRSNSE >ONIVA01G31500.1 pep chromosome:AWHD00000000:1:27510880:27519107:1 gene:ONIVA01G31500 transcript:ONIVA01G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMPTPKERCYSSDAAYSVSRNLRAEYRAGQLKYHNPAKRAGASLYFTKEVFQSEKGLLLTKKGSY >ONIVA01G31490.1 pep chromosome:AWHD00000000:1:27510115:27510402:-1 gene:ONIVA01G31490 transcript:ONIVA01G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQGQGRMWLGADAAAWKGREECKRRRRMQPGVEVMVSDVAAQPGEPDEAGRSDAGILAMGAPLRKEREGRGEVAGRGRGHGAHHWPTVVAG >ONIVA01G31480.1 pep chromosome:AWHD00000000:1:27499351:27506908:-1 gene:ONIVA01G31480 transcript:ONIVA01G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDSASRALVASSNRSIFGSFKMALAIAIRCFCPPDSCVPLSPTSCYLLTKGILDQYGILLSVSLPRVTRPDGAQAIAATTPTSLAPPKLLIRGPDGCKITKMSIFRQGGRWLTGGSGEAGGQCTWWSGGCKA >ONIVA01G31470.1 pep chromosome:AWHD00000000:1:27495857:27507602:1 gene:ONIVA01G31470 transcript:ONIVA01G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERQSARIRSLYLEAILTQDIAFFDVEMTTGEAASRISADTVLIQDALGEKVGKYIQVLTAFVGGFVIGFIRGWMLALVVMACIPPSIFSFALVSRLRAQISGKTHVSYSYAGNVVEQTIGSIRTVVSFNGEKRAITMYNTLIKKAYKATIMEGIISGFGIGSIFFVVYCSYSLAFWYGAKLVISKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGQSAAYRLFEIINRKPNIDITGTSGIILEDIKGNVELKDVCFSYPARPEQLILDGLCLQVPNGTTMAIVGQSGSGKSTIISLVERFYDPQDGEVLIDGINIKTLKLHWIRGKMSLVSQEPLLFMTSIKDNITYGKENATDEEIKRAAELANAANFIDKLPNAYDTMVGQHGAQLSGGQKQRIAIARAILKNPKVLLLDEATSALDVESERLVQEALNRVMIGRTTLIVAHRLSTIKNADCIAVVHQGKIVDQGSHDELIKDPDGAYSQLIQLQQTHTEEMHDVQYSEVSTSRLKSRSLSLEQSMINDSPRNRRKNSLAKHIGSSGSDGLHKHGLTDEPEDKECGDNKDINKAPIRRLFNLNKPEAPILLLAIITAFVHGLLFPIFSIMMSGGIRTFYYPPHQLRKDSRFWALMCILMAIISLVSIQLEYFLFGMAGGKLIERVRCLSFQSIVHQEVSWFDDPSHSSGSLGAKLYIDALNIRRLVGDNLAILVQCIVTLIAGFTIAFASDWKLTLTIMCPIPLVGLQNYVQLKFLKGFSEDAKVMYEDASQVVTEAIGSIRTVASFCAEKRVIKTYNQKCQASMKESIRSGMVGGLGFSFSYLMVYLTYALCFYVGAQFVHGGKSTFKDVFRVYFALVFTAFGISQTSAMASDSSKAHESAASILAIIDRKSNIDSSIDEGIILEKVNGTIELNHVNFKYPSRPDVQVLCDFTLGIPSGKTVALVGESGSGKSTVIALLERFYDPHSGTISLDRVELKNLKLSWLRDQMGLVSQEPILFNDTIHANIAYGRKGQVTEEEIIAVAKASNAHEFISSLPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADVIAVIKDGSIAEKGQHDSLMRINGGVYASLVDLHSKTT >ONIVA01G31470.2 pep chromosome:AWHD00000000:1:27495857:27507602:1 gene:ONIVA01G31470 transcript:ONIVA01G31470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGRGEDDEREKKKKEGSGNDGDAGKLPFLGMFRYADGVDKALMAVGTVAAMANGMSEPLMTVVFSAVIDCFGGDDVSTVLHRVSKVVLYYIYLGVGTSMASFLQVSCWTMAGERQSARIRSLYLEAILTQDIAFFDVEMTTGEAASRISADTVLIQDALGEKVGKYIQVLTAFVGGFVIGFIRGWMLALVVMACIPPSIFSFALVSRLRAQISGKTHVSYSYAGNVVEQTIGSIRTVVSFNGEKRAITMYNTLIKKAYKATIMEGIISGFGIGSIFFVVYCSYSLAFWYGAKLVISKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGQSAAYRLFEIINRKPNIDITGTSGIILEDIKGNVELKDVCFSYPARPEQLILDGLCLQVPNGTTMAIVGQSGSGKSTIISLVERFYDPQDGEVLIDGINIKTLKLHWIRGKMSLVSQEPLLFMTSIKDNITYGKENATDEEIKRAAELANAANFIDKLPNAYDTMVGQHGAQLSGGQKQRIAIARAILKNPKVLLLDEATSALDVESERLVQEALNRVMIGRTTLIVAHRLSTIKNADCIAVVHQGKIVDQGSHDELIKDPDGAYSQLIQLQQTHTEEMHDVQYSEVSTSRLKSRSLSLEQSMINDSPRNRRKNSLAKHIGSSGSDGLHKHGLTDEPEDKECGDNKDINKAPIRRLFNLNKPEAPILLLAIITAFVHGLLFPIFSIMMSGGIRTFYYPPHQLRKDSRFWALMCILMAIISLVSIQLEYFLFGMAGGKLIERVRCLSFQSIVHQEVSWFDDPSHSSGSLGAKLYIDALNIRRLVGDNLAILVQCIVTLIAGFTIAFASDWKLTLTIMCPIPLVGLQNYVQLKFLKGFSEDAKVMYEDASQVVTEAIGSIRTVASFCAEKRVIKTYNQKCQASMKESIRSGMVGGLGFSFSYLMVYLTYALCFYVGAQFVHGGKSTFKDVFRVYFALVFTAFGISQTSAMASDSSKAHESAASILAIIDRKSNIDSSIDEGIILEKVNGTIELNHVNFKYPSRPDVQVLCDFTLGIPSGKTVALVGESGSGKSTVIALLERFYDPHSGTISLDRVELKNLKLSWLRDQMGLVSQEPILFNDTIHANIAYGRKGQVTEEEIIAVAKASNAHEFISSLPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADVIAVIKDGSIAEKGQHDSLMRINGGVYASLVDLHSKTT >ONIVA01G31470.3 pep chromosome:AWHD00000000:1:27495857:27507602:1 gene:ONIVA01G31470 transcript:ONIVA01G31470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERQSARIRSLYLEAILTQDIAFFDVEMTTGEAASRISADTVLIQDALGEKVGKYIQVLTAFVGGFVIGFIRGWMLALVVMACIPPSIFSFALVSRLRAQISGKTHVSYSYAGNVVEQTIGSIRTVVSFNGEKRAITMYNTLIKKAYKATIMEGIISGFGIGSIFFVVYCSYSLAFWYGAKLVISKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGQSAAYRLFEIINRKPNIDITGTSGIILEDIKGNVELKDVCFSYPARPEQLILDGLCLQVPNGTTMAIVGQSGSGKSTIISLVERFYDPQDGEVLIDGINIKTLKLHWIRGKMSLVSQEPLLFMTSIKDNITYGKENATDEEIKRAAELANAANFIDKLPNAYDTMVGQHGAQLSGGQKQRIAIARAILKNPKVLLLDEATSALDVESERLVQEALNRVMIGRTTLIVAHRLSTIKNADCIAVVHQGKIVDQGSHDELIKDPDGAYSQLIQLQQTHTEEMHDVQYSEVSTSRLKSRSLSLEQSMINDSPRNRRKNSLAKHIGSSGSDGLHKHGLTDEPEDKECGDNKDINKAPIRRLFNLNKPEAPILLLAIITAFVHGLLFPIFSIMMSGGIRTFYYPPHQLRKDSRFWALMCILMAIISLVSIQLEYFLFGMAGGKLIERVRCLSFQSIVHQEVSWFDDPSHSSGSLGAKLYIDALNIRRLVGDNLAILVQCIVTLIAGFTIAFASDWKLTLTIMCPIPLVGLQNYVQLKFLKGFSEDAKVMYEDASQVVTEAIGSIRTVASFCAEKRVIKTYNQKCQASMKESIRSGMVGGLGFSFSYLMVYLTYALCFYVGAQFVHGGKSTFKDVFRVYFALVFTAFGISQTSAMASDSSKAHESAASILAIIDRKSNIDSSIDEGIILEKVNGTIELNHVNFKYPSRPDVQVLCDFTLGIPSGKTVALVGESGSGKSTVIALLERFYDPHSGTISLDRVELKNLKLSWLRDQMGLVSQEPILFNDTIHANIAYGRKGQVTEEEIIAVAKASNAHEFISSLPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADVIAVIKDGSIAEKGQHDSLMRINGGVYASLVDLHSKTT >ONIVA01G31470.4 pep chromosome:AWHD00000000:1:27495857:27496477:1 gene:ONIVA01G31470 transcript:ONIVA01G31470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGRGEDDEREKKKKEGSGNDGDAGKLPFLGMFRYADGVDKALMAVGTVAAMANGMSEPLMTVVFSAVIDCFGGDDVSTVLHRVSKLILN >ONIVA01G31460.1 pep chromosome:AWHD00000000:1:27479441:27486400:-1 gene:ONIVA01G31460 transcript:ONIVA01G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPALAGGGRTVANLLSATEWMLPSPATQVHTISVLPSHSPPSPPHHFAFSNLTTAPKRNGGKGEEEGRPRFEVVRDDLLHPLANGNKARKLDALLPLLRRRGATDVVRAHRCIPLHPALITSCFSNLMVTCGGCQSAHAAATAVHCAEWGMRPHILLRGEQPDIPTGYNLISLMFGNVAYASRSVYAHRDEMLYNHARKVAGTGGTVLWADDISKEDFVLDEDNGCEIGSRRVVIIKEGAGDVQALLGVIRLVEYLYNLSSFHKHENVHVVVDAGTGTTAVGLALGAVCLGLHWRVTAVMLADTLERYKEREKSLISDFKKLCHNNYHEMVGENDIGDSLVEWVERLSPRRFGKVLNGEIALCRQIAQQTGILLDPMYTLAGWEQAVDLCVGDSRTKVMLDYNVLGGEKNMLFRNNATKPNNGTLRYGWQVGLIAINDGLVLRSQISRIFRRYFCGKSYYVDLLDLFNEVEFQTTSGQLLDQITTNEGRKDLNKYRRIVEYKTAYYSFYLPVACALLLFGESLDNYAQVKHILVEMGVYFQSQDDYLDCFGEPEIIGKENYGKSDPACVAKVKDLYNELHLQRVFSEYERESYEKLISAIEAQPNEAVRAVLKSFLHKIYKRSK >ONIVA01G31450.1 pep chromosome:AWHD00000000:1:27473750:27479014:1 gene:ONIVA01G31450 transcript:ONIVA01G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPTPLPAPPSAPPPSGGPARVAFLFLVRAGVPLDFLWDAFFRNGEEGKFSVYVHSAPGFQLDRTTTGSSYFYGRQLARSVKVAWGEPTMVEAERMLFAAALEDPANQRFVLLSDSCVPLYNFSYIYTYLMASTKSFVDSFVDKTEKRYNPSMSPVILKDKWRKGSQWVALTRRHAEVVVGDKLVLQVFRRHCKMVVTKALLGQKPNYRRLGFGLRRKQISINGHENELERRTLTYTAWNQSSDPKDKMTWHPMTFEYESASPEQINSIKGIDHVNYQMEHRTEWCQCNTTSVPCFLFARKFSYSAAMHLLEAGTVGPLKSALLA >ONIVA01G31450.2 pep chromosome:AWHD00000000:1:27473314:27479014:1 gene:ONIVA01G31450 transcript:ONIVA01G31450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKRSASAGSVLALTVAGRRAARARLCLRLAAPLSFLLLLAALLRTQPLPAPPSAPPPSGGPARVAFLFLVRAGVPLDFLWDAFFRNGEEGKFSVYVHSAPGFQLDRTTTGSSYFYGRQLARSVKVAWGEPTMVEAERMLFAAALEDPANQRFVLLSDSCVPLYNFSYIYTYLMASTKSFVDSFVDKTEKRYNPSMSPVILKDKWRKGSQWVALTRRHAEVVVGDKLVLQVFRRHCKMVVTKALLGQKPNYRRLGFGLRRKQISINGHENELERRTLTYTAWNQSSDPKDKMTWHPMTFEYESASPEQINSIKGIDHVNYQMEHRTEWCQCNTTSVPCFLFARKFSYSAAMHLLEAGTVGPLKSALLA >ONIVA01G31440.1 pep chromosome:AWHD00000000:1:27467048:27472043:1 gene:ONIVA01G31440 transcript:ONIVA01G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAATAVNGVLEVEERKAQKSYWEEHSKDLTVEAMMLDSRAADLDKEERPEIWGACMHFLVLFPTKYSSYVVILSLLPPYEGKSVLELGAGIGRFTGELVKTAGHVLAMDFIESVIKKNESINGHHKNASFMCADVTCPDLMIEDNSIDLIFSNWLLMYLSDEEVEKLVKRMVRWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECQALDQDGNSFELSVLTCKCVGAYVKSKKNQNQICWLWQKVDSTEDRGFQRFLDNVQYKASGILRYERIFGEGFVSTGGIVCLFFYLRSPETTKEFVDRLDLKPGQNVLDVGCGIGGGDFYMADKYDVHVVGIDLSINMVSFALERAIGRKCSVEFEVADCTKKTYPDNTFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCKCPGKPSEEFAAYIKQRGYDLHDVRAYGQMLENAGFHDVIAEDRTDQFLDVLERELAKVEKNKNEFVSDFSQEDYDAIVNGWKAKLQRSSAGEQRWGLFIATK >ONIVA01G31430.1 pep chromosome:AWHD00000000:1:27462935:27465249:-1 gene:ONIVA01G31430 transcript:ONIVA01G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALLFVSNEVLHQVYNTYGAVAVQVLTTSPLGVEAFVWFRSSYDAERARSVTNGRNIYDGCCLLDIQHVHPFNGNGVDMTPTKCSTPVPSCANIKSDAKSTSTTLEHVFPATMSPSTPSDESAVVVPPISLTATKENWADMGKAEDKSEKTFHDLCVEIKDMINQILVTCRDIKVESTTSVDITRVVAATSTNTKSVPNTLEVSNEANSISLVDTNELCMVTATKCLTEGNEQMINDDNDDMATEDLVELTEVNSKFTLQKTERVFHASARSKEVILSWGYGSMSTGRSLEKWMASHDNRHFLLVKTIALFGDATNWTLQCEFLSSAVLQCLQPTMKTSDWYTKSCTYSWVSLNSKLINLNEVIPVDMLQLPTSDEEFVIWPRPIGWFATSDQFVDLGLGYSSYHLVRVITTVVSLKKSWLREIIEELSEPGPQGQTLQRQDNKLWESLLLNDHDTLCSLQLIWNPGGIKGIGLGTSQN >ONIVA01G31420.1 pep chromosome:AWHD00000000:1:27456166:27462285:1 gene:ONIVA01G31420 transcript:ONIVA01G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQSWRKAYGALKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIAAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLRDGDPTFREEFLTFTQRVRILQLSNFKDDSTPVAWDYSSWVRTYGLFLEERLECFRVLKYDIEAERLSKQGQGPEKGHSRTRELDSPDLLEQLPALQQLLYRLIGCRPEGAANSNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREASIFVLTPPQSFLSTMEEYVREAPRMVPIKEPLEFPERLLLTYKPEESEEIPEPVSAEEEKPQIEEPAVAVPSTEVVPPPPPKPEVVDTGDLLGLSDPTPSVSAIEESNALALAIIHTGGETSTSGTATLQDKGFDPTGWELALVTTPSTNTNSMAMDSNLGGGFDKLILDSLYDEGTYRQQMQQQQLYGSAAPNPFMASDPFAMSNQVAPPPSVQMASMTQQPQQMPMMMQPNPFGPPLQPQHAGIAQAPNPFLDAGFGPFPASNGMHPQANPFGTAQLL >ONIVA01G31420.2 pep chromosome:AWHD00000000:1:27456166:27462285:1 gene:ONIVA01G31420 transcript:ONIVA01G31420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQSWRKAYGALKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIAAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLRDGDPTFREEFLTFTQRVRILQLSNFKDDSTPVAWDYSSWVRTYGLFLEERLECFRVLKYDIEAERLSKQGQGPEKGHSRTRELDSPDLLEQLPALQQLLYRLIGCRPEGAANSNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQPPQSFLSTMEEYVREAPRMVPIKEPLEFPERLLLTYKPEESEEIPEPVSAEEEKPQIEEPAVAVPSTEVVPPPPPKPEVVDTGDLLGLSDPTPSVSAIEESNALALAIIHTGGETSTSGTATLQDKGFDPTGWELALVTTPSTNTNSMAMDSNLGGGFDKLILDSLYDEGTYRQQMQQQQLYGSAAPNPFMASDPFAMSNQVAPPPSVQMASMTQQPQQMPMMMQPNPFGPPLQPQHAGIAQAPNPFLDAGFGPFPASNGMHPQANPFGTAQLL >ONIVA01G31410.1 pep chromosome:AWHD00000000:1:27453788:27454220:1 gene:ONIVA01G31410 transcript:ONIVA01G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSSSGAGCAAFSAATLSATWMGSGGVDDSAPLGLIVVGGFGWGRHTTGFLSLVRGGGAAPPASLASVDDDEACELVSGADLFIGGDIDDEGEVVRAYLLRLPRRPQPRAAIADARRQRLDGGVVESISA >ONIVA01G31400.1 pep chromosome:AWHD00000000:1:27451028:27451384:-1 gene:ONIVA01G31400 transcript:ONIVA01G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSCSDAIPIDAEAAMDFVLMAARPMPARAKGLGGGRMNRRRGKGFFRGRIWNFSECCVHEVTGGQASTPTALAPRVFLAAREFDRARLRVALLGDEQPSLVLVPRDTSDKILRVTP >ONIVA01G31390.1 pep chromosome:AWHD00000000:1:27449291:27450934:1 gene:ONIVA01G31390 transcript:ONIVA01G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVARSHGAKKRRGASQLGGGCRVARGNEARLRIIFRCVALLVNLVSELTKPTKQATMASEDKFDTLLRRIEEFKRRRVEADQRRSADLLSLKTVVEAWMTKVQKNAEDLQNSATTDMTSMELVAVENAIRAIYIDNRY >ONIVA01G31380.1 pep chromosome:AWHD00000000:1:27429558:27443669:-1 gene:ONIVA01G31380 transcript:ONIVA01G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEADGARWPEPRGDAAGAPPLERGDAPSPRFDSSRALRLLRELGSNVTEDLVVLMPNLLSFLKHDDPAVVNQSIASGTNLFAAVLEEMTLQINKCGRVDAWLEEMWAWTKQFKDAVHNLIHESVPVATKLFAVKFIETWILCFAPQSKSDRMQPTEGRNRRLFDSSRLSQFHPSLNPAVLEADANRALILLVDILQSACAHQGSFLVGTINSLAAIAKNRPVYYERILPVLLGFDPSLEVAKGVHPASLRYSLKTAFLGFLRSPCQAMIESKDTLVRQLRVLSPGEATEQIIRQVEKMTRNIERASRASKDEPSMLDMPYGDVSRKYPAARSSDAFATADGIAKRARFDTSAALNPPFQGASDYSNMQVDNEANVDHSSDPAFLNCDMSPVEKMIEMIGALLAEGERGAESLGILISTVEADVMADIVIETMKHLPETSILLATSNNGQQKKIQSSSSPLTENLPANSHSMPYSTQFALPADGVSMSMSDVPVVSGVHDSKRDPRRDPRRLDPRRTVAPAATSSIHVKGETTGVHQTNNLSNVPYPVSGKVENSLDYSGDLSKNEDVQQTSCQPNQSLPKENSEILDDALELEPKFEVQALADVGFHSSDVDKEMVNPLSPEATLNNELDSVELEVDPFSPVLKASTPEDTTNHDLPVLPSHLELSDDEKILLHKLAIRRIIDDYKKNSVNTRFSLLAHLIAQRHIIYHYHDQGHELAMHVLYQLHSVSVADSPESTAPASKNYENFFISLARSLIHSLPASDKSFSKFLCDAPYLPESMLKLLEDICVSQGNSQQTKDSDGDRVTQGIGTVWSLILARPPLRQDCLDIALKCAIHSQDEVRGKAVRLVTKKLYELTYASERVEQFAIDSLLAIANKHGVETDINFTSLKESSPEFEAGSQETSVSGSHISDAEPSESTCNKTDLVSPKQSAVSVSEAKRHTSLFFALCTKVVKPFSFFQRPILLQHLFNVYGRSPKVVKQVLLSTSKVLNFDYWITTTVCSLRRKIMQCIHWHIPNLILQTLTEDSTPSAELVAAVKHLYETKLKDASILIPLLSSFPKEEVLPIFPRLVDLPPDRFQDALARILQGSAHTGPALTPAEVLIAIHDINPEKDRVALKKVTDACTACFEQRTVDNVPIPLLFMRTVIQALDAFPALVDFVMEILSRLVNKQIWKMPKLWVGFLKLAYQTQPRSFDVILQLPPPQLEIALNKYPNLRTPLCSFVNQRNMHSILPRQILKVLGFINEPHQAPIPFVPAAMQTADATSSLPGATLM >ONIVA01G31370.1 pep chromosome:AWHD00000000:1:27426489:27436241:1 gene:ONIVA01G31370 transcript:ONIVA01G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLYKPSVFDEAFAALGSIAVKSKLMCRLGGKDIAQLHTFVQSAKNNEVWRLASDTDTADCLARDKTN >ONIVA01G31360.1 pep chromosome:AWHD00000000:1:27420655:27425080:-1 gene:ONIVA01G31360 transcript:ONIVA01G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPPPSPPRLPLTAHRLPLPPATSPPASLLLAPRPSPTRALALLFPDSSARLLPSLPPAASLPATAVPSPLAAAACFVLLLPSSHLLFLSAHPSPSSSAAHLRAYSLASGRFSPAPLSFKRQASASGLPLHGLPFGLGVRLAGGVNAVALLSLSAGQIWVLAPGMAADGRTVELHKCAVVELEPSRPVYAMEVAMGRLLLGEAGGLRVFPLQGLMKSGKERQVRKEGAGAAVRKSLHKKNGIRNGFIVPIGHGGSEGGGKREAVSTRKLATLRVKQTSGSYFSFFSSCSSEDHHNSQGAIEVKNVVKVVSIRPLSKDKFLVLDSAGLLHVFSLQNKELLSEATSKRYSGNHTHCLDNAMKVQLFAVFPSSSTKTEIFWISDGGHSIHIMSALDVEPPNSDNGGGDGERESTTIKLTAIEAIFTSEKVQDIVPISKDSVLILGQVSMGFWLKTGSGCDIWKKIRLQSYAQLSCCGTWIIIKWVGYEATSLVLHKEVDQFRDWTLSPWCPGVQRVFSFQVPGPEDFRNQ >ONIVA01G31360.2 pep chromosome:AWHD00000000:1:27421059:27425080:-1 gene:ONIVA01G31360 transcript:ONIVA01G31360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPPPSPPRLPLTAHRLPLPPATSPPASLLLAPRPSPTRALALLFPDSSARLLPSLPPAASLPATAVPSPLAAAACFVLLLPSSHLLFLSAHPSPSSSAAHLRAYSLASGRFSPAPLSFKRQASASGLPLHGLPFGLGVRLAGGVNAVALLSLSAGQIWVLAPGMAADGRTVELHKCAVVELEPSRPVYAMEVAMGRLLLGEAGGLRVFPLQGLMKSGKERQVRKEGAGAAVRKSLHKKNGIRNGFIVPIGHGGSEGGGKREAVSTRKLATLRVKQTSGSYFSFFSSCSSEDHHNSQGAIEVKNVVKVVSIRPLSKDKFLVLDSAGLLHVFSLQNKELLSEATSKRYSGNHTHCLDNAMKVQLFAVFPSSSTKTEIFWISDGGHSIHIMSALDVEPPNSDNGGGDGERESTTIKLTAIEAIFTSEKVQDIVPISKDSVLILGQDEILETSTASKS >ONIVA01G31350.1 pep chromosome:AWHD00000000:1:27404874:27417588:1 gene:ONIVA01G31350 transcript:ONIVA01G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCVSMVLLILLVPCITAQSALTSPSNNTDLAALLDFKAQVKDPNGILASNWTASAPFCSWVGVSCDSSGKWVTGLEFEDMALEGTISPQIGNLSFLSSLVLSNTSLIGPLPTELGRLPRLQTLVLSYNSLSGTIPSILGNLTRLESLYLNSNKVFGGIPQELANLNNLQILRLSDNNLSGPIPQGLFNNTPNLRAIPGSVGSLSKLEMLVLENNLLSCSIPAAIFNMSYLQAIAVTRNNLRGPIPGNESIHLPMLEVFSLSENWFDGPIPSGLSKCQNLDAISLAVNNFTGSVPSWLATMPNLTAIYLSTNELTGKIPVELSNHTGLLALDLSENKLEGEIPPEFGQLRNLRYISFANNQITGTIPESIGNLSDLTTIDLFGNGLNGSVPMSFGNLWNLRRIFVDGNQLSGNLEFLAALSNCSNLNTIGMSYNRFEGSSLPYIGNLSTLIEIFVADNNRITGSIPSTLAKLTNLLTLSLSGNQLSGMIPTQITSMNNLQVLDLSNNTLSGTIPVEICGLTSLVRLYLANNQLVGPIPSTIKLIELDLSQNSLSGSLSADIGKLTAITKMDLSRNQLSGSIPDSVGKLLSIEELYLSANVPSRVIPTSLANLTYLANLNLSFNRLEGQIPEGGVFSNITVKSLMGNKALCGLPNQGIESCQSKTHSSSIQRLLKFILPAVVAFFILAFCLCMLVRRKMNKQGKMPLPSDADLLNYQLISYHELLDDESIVAIKVLNMQQEVASKSFDTECGALRMARHRNLFPPKVSIMLDVAMAMEYLHHHHFEVVLHFDLKPSNILLDNDMVAHVADFGISKLLFGDDNSITLTSMPGTVGYMAPEVTFTVTELFSSKSSQGKKPTDPMFVSELTFRQWISQAFPYELSNVTDFYNKMVIRVVLKIPSKLSEDSIILNTCLASIIELDLLCSRDAPDDRVPMNEVVIKLNKIKSNYYSLCGSNGAHLVLPSEILHNLRKNRALVSPSPLLSISCPSSRSENITEYGSTGKASRKSDVFSYGTMLLEVFTGKKPTDAMFVGELSLREWVNRALPSRLANVVDPGISLYDASGLWTTR >ONIVA01G31350.2 pep chromosome:AWHD00000000:1:27400384:27405994:1 gene:ONIVA01G31350 transcript:ONIVA01G31350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFFFFVVFHLAVLMAALLLASAPAFATADDLSALLAFRARVSDPRGVLRRGNWTAAAPYCGWLGVTCGGHRHPLRVTALELPGVQLAGSLAPELGELTFLSTLNLSDARLSGPIPDGIGNLPRLLSLDLSSNRLSGNLPSSLGNLTVLEILDLDSNNLTGEIPPDLHNLKNIMYLRLSRNELSGQIPRGMFNGTSQLVFLSLAYNKLTGSIPGAIGFLPNIQVLVLSGNQLSGPIPASLFNMSSLVRMYLGKNNLSGSIPNNGSFNLPMLQTVNLNTNHLTGIVPQGFGACKNLQEFILFSNGFTGGIPPWLASMPQLVNVSLGGNDLSGEIPASLGNLTGLTHLDFTRSNLHGKIPPELGQLTQLRWLNLEMNNLTGSIPASIRNMSMISILDISFNSLTGSVPRPIFGPALSELYIDENKLSGDVDFMADLSGCKSLKYLVMNTNYFTGSIPSSIGNLSSLQIFRAFKNQITGNIPDMTNKSNMLFMDLRNNRFTGEIPVSITEMKDLEMIDFSSNELVGTIPANIGKSNLFALGLAYNKLHGPIPDSISNLSRLQTLELSNNQLTSAVPMGLWGLQNIVGLDLAGNALTGSLPEVENLKATTFMNLSSNRFSGNLPASLGLFSTLTYLDLSYNSFSGTIPKSFANLSPLTTLNLSFNRLDGQIPNGGVFSNITLQSLRGNTALCGLSRLGFPHCKNDHPLQGKKSRLLKVVLIPSILATGIIAICLLFSIKFCTGKKLKGLPITMSLESNNNHRAISYYELVRATNNFNSDHLLGAGSFGKVFKGNLDDEQIVAIKVLNMGMERATMSFEVECRALRMARHRNLVRILTTCSNLDFKALVLQYMPNGSLDEWLLYSDRHCLGLMQRVSIMLDAALAMAYLHHEHFEVVLHCDLKPSNVLLDADMTACIADFGIARLLLGEDTSIFSRSMPGTIGYMAPEYGSTGKASRKSDVFSYGVMLLEVFTGKKPTDAMFVGELSLREWVNRALPSRLADVVHPGISLYDDTVSSDDAQGESTGSRSCLAQLLDLGLQCTRDLPEDRVTMKDVTVKLQRIKEVLQA >ONIVA01G31340.1 pep chromosome:AWHD00000000:1:27393805:27396170:-1 gene:ONIVA01G31340 transcript:ONIVA01G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSGASASRIPCPLLSLARARARCLPVPASATACRAASSSAAAAAGDGGALKPWLFVGLGNPGKVYQGTRHNVGFEMIDVIAEAEGISLSSMQFKAMVGKGRIGDAPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVLVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQNRDFPRLRIGIGRPPGKMDPANFVLRPFNRKEQEELDFAFHRGLEAVRIMALEGFNKSATYTLAHMVVILLSGNPELPRTEEVI >ONIVA01G31340.2 pep chromosome:AWHD00000000:1:27393805:27396170:-1 gene:ONIVA01G31340 transcript:ONIVA01G31340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSGASASRIPCPLLSLARARARCLPVPASATACRAASSSAAAAAGDGGALKPWLFVGLGNPGKVYQGTRHNVGFEMIDVIAEAEGISLSSMQFKAMVGKGRIGDAPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVLVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQNRDFPRLRIGIALLSFFNSVTMSENILAALDDHLGRWILPILFSDHLTEKNRKRGLEAVRIMALEGFNKSATYTLAHMVVILLSGNPELPRTEEVI >ONIVA01G31330.1 pep chromosome:AWHD00000000:1:27390198:27391775:-1 gene:ONIVA01G31330 transcript:ONIVA01G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATASSLSLLFAHPHSSNPRPFAGGPHLRRPLRAAPHRARCASDAATTATRHRRPAEENIREEAARLRGPGNDFSAWYVPFPPTPEDDPDERYSLDEVVYRSSSGGLLDVCHDMEALARFPGSYWRDLFDSRVGRTAWPYGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERLGREHLGGMTDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPINGVGCASTGDTSAALSAYCAAAGIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRFYKSGWTDFQPRVAETTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMDAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFTQSKIDYHDRNIKDMLCQYANPPINVKADFASVMDVLQNKLNGKI >ONIVA01G31320.1 pep chromosome:AWHD00000000:1:27386768:27388783:1 gene:ONIVA01G31320 transcript:ONIVA01G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMKRRKRRVTDKWFHMLIRLGHGAVVAHVVEWRRRDETVKHEARQQRLGVKGVLAGEPDEHRVPTHPRVTASEVASSHGSLTGGSTSRREWYNYLQSGGMSLSSSESVLEPNRRLSPVDGNKSMLLDDSLLATMEQLDRGLDSVQQLIPRFLALTINIGKGRNQLSVRGLAEDSIALAQVTDIVEITASPPCVPSTDNRFAKGKWLQTNEDLTFMW >ONIVA01G31310.1 pep chromosome:AWHD00000000:1:27363145:27364965:1 gene:ONIVA01G31310 transcript:ONIVA01G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMELLYVTFIRGYGYAIRRYEDTAFPGKHRYGDTLGLTVGSPTKESLRPGGCGRRRSALWPGAEGDGGAAQRKGMAVRHGGGGRRCCAALRRRRAAVLHGVAALRYDARKRRAELGSGRWRCWWIH >ONIVA01G31300.1 pep chromosome:AWHD00000000:1:27362613:27362997:-1 gene:ONIVA01G31300 transcript:ONIVA01G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSDNGDGALTKCVNQEELHHDEHFSFIYKWKNKISSAGNARLYYHYGYNFEMISSKSELRKAS >ONIVA01G31290.1 pep chromosome:AWHD00000000:1:27360001:27361182:-1 gene:ONIVA01G31290 transcript:ONIVA01G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSCLVDDTNSGGSSTDKLRALAAAAAETAPLERMGSGASAVVDAAEPGAEADSGSGGRVCGGGGGGAGGAGGKLPSSKFKGVVPQPNGRWGAQIYERHQRVWLGTFAGEDDAARAYDVAAQRFRGRDAVTNFRPLAEADPDAAAELRFLATRSKAEVVDMLRKHTYFDELAQSKRTFAASTPSAATTTASLSNGHLSSPRSPFAPAAARDHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPSAGGESKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDVVGFYRSAASAGDDGKLFIDCKLVRSTGAALASPADQPAPSPVKAVRLFGVDLLTAPAPVEQMAGCKRARDLAATTPPQAAAFKKQCIELALV >ONIVA01G31280.1 pep chromosome:AWHD00000000:1:27343972:27348302:1 gene:ONIVA01G31280 transcript:ONIVA01G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSASIHLGVPTPYITSHGSKIARLHMYDWIVLILLVVVDGILNIIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPMIIITGIYFKKRNVYDFHHAILGLLFSVLITAVITDAIKDGAYDNFTTGVLCHGKASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAALVAVSRVDDYWHHWQDVFTGGILGLVVSSFCYLQFFPMPSDENGLWPHAYARHILNPDQLENNAQPTSVDRPNSLPNGSFRSPNGLEMGNTGQGQALDFMEAGRRYQ >ONIVA01G31280.2 pep chromosome:AWHD00000000:1:27344711:27348302:1 gene:ONIVA01G31280 transcript:ONIVA01G31280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSASIHLGVPTPYITSHGSKIARLHMYDWIVLILLVVVDGILNIIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPMIIITGIYFKKRNVYDFHHAILGLLFSVLITAVITDAIKDGAYDNFTTGVLCHGKASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAALVAVSRVDDYWHHWQDVFTGGILGLVVSSFCYLQFFPMPSDENGLWPHAYARHILNPDQLENNAQPTSVDRPNSLPNGSFRSPNGLEMGNTGQGQALDFMEAGRRYQ >ONIVA01G31270.1 pep chromosome:AWHD00000000:1:27338680:27339627:1 gene:ONIVA01G31270 transcript:ONIVA01G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGRHGGGGGEDPLTLTLGSIYSNAPTPPPSLSLVVTPTPPSSSFLVAPPTLLSSPTPVVVPMLLPSPTRPVVFSMEPHFDLVPALPPSSPQVPQSSLSSFSAPGSTRHYRNSPRSSLLAPPLNRRRLNNPDEGQSPRGRGEEANGDNGVLVMATSFPWVTSADLPVLHCTLESMLLKGITSVEGKATCNRCRAEVPIAYDLDAKFREVRDYVAANIHIMDDRAPEHWMCPRLPDCGSCGKKACMWPQIPNEKREINWLFLFLGQMLGCCTLEGLKFFCKNTKNHCTGAKTRVLYCAYIEMCRQLDPQGPFNI >ONIVA01G31260.1 pep chromosome:AWHD00000000:1:27336368:27338549:1 gene:ONIVA01G31260 transcript:ONIVA01G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVENWATFKCGFWGLFGHDPNESFQKFGSIQSSPFGNIYGQNDVYTTILPRFVIVFSTRQGVTIGGRKHTPATSMGLRQQAKQQEKGCHGGNNRPHATSLTVLFVDPALLPSPTPVVILTLSHLPTQLVVSSTQPHFIIIPALSSSLSQVPQPPSPLSVLAQVLMYEYQESPHCVTGTKNHVLYYAYIEMCRQLDPHGLFNNLLRETRCDPVWTQANTCYFCGLIS >ONIVA01G31250.1 pep chromosome:AWHD00000000:1:27319549:27324212:-1 gene:ONIVA01G31250 transcript:ONIVA01G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTLAELIRNVAGCGQGVLEHTWECQAIIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEIVRNLRKVKHPAFDSSFRYSTEMEKKCSICQEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNVCPVCKTAVSILAQSGTAASVLCNLIRSFTCDDQCHRARTGVLANQIAEVIYSVDLPQEYSQDVDLNGLFDLILLMFVAIPVSPKFFLRLPQDY >ONIVA01G31250.2 pep chromosome:AWHD00000000:1:27322663:27326224:-1 gene:ONIVA01G31250 transcript:ONIVA01G31250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRGGGGVVSADGERRRGIRRLLLPRGEGSSSSSPPQPPPLQAEEGRRKGFASAALRGLGCTSAAASQAYAPGAGAAAAAAVRSSADWHGRRRRRGKEKRKERGGGGGGGGGGHLVGGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRGRGGDAERPHRERPCLSRRVTVQEQISSSFMDSPPPPHLDVAPFFGADLLPSGRLRRMRGYRHSPVGLEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEIVRNLRKVKHPAFDSSFRYSTEMEKKCSICQEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNVCPVCKTAVTKT >ONIVA01G31250.3 pep chromosome:AWHD00000000:1:27322663:27326224:-1 gene:ONIVA01G31250 transcript:ONIVA01G31250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRGGGGVVSADGERRRGIRRLLLPRGEGSSSSSPPQPPPLQAEEGRRKGFASAALRGLGCTSAAASQAYAPGAGAAAAAAVRSSADWHGRRRRRGKEKRKERGGGGGGGGGGHLVGGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRGRGGDAERPHRERPCLSRRVTVQEQISSSFMDSPPPPHLDVAPFFGADLLPSGRLRRMRGYRHSPVGLEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEIVRNLRKVKHPAFDSSFRVSSVWHVNKLHSHVNVLQEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNVCPVCKTAVTKT >ONIVA01G31250.4 pep chromosome:AWHD00000000:1:27324930:27326224:-1 gene:ONIVA01G31250 transcript:ONIVA01G31250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRGGGGVVSADGERRRGIRRLLLPRGEGSSSSSPPQPPPLQAEEGRRKGFASAALRGLGCTSAAASQAYAPGAGAAAAAAVRSSADWHGRRRRRGKEKRKERGGGGGGGGGGHLVGGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRGRGGDAERPHRERPCLSRRVTVQEQISSSFMDSPPPPHLDVAPFFGADLLPSGRLRRMRGYRHSPVGLEEEVKNVTGSQ >ONIVA01G31240.1 pep chromosome:AWHD00000000:1:27317519:27326503:1 gene:ONIVA01G31240 transcript:ONIVA01G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHAANLRVLLAGAAFAHLSSPAATARASSPSAPPPPAPPPPPRVRAFASYRASPSPPPPPQPSSPSPSRALASAAAACEQGAKPAICTADELHYVPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAIGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSMRGSELAVADTTSDMSRSSALDEITTQKLNGAGPAKDDSTCLAQNFEVPVVEDKFEVPVVEDKEVIESNASEEPQLVTKLSNTLARLGDTFSGYVKDSRLRSIADGFFNQVSELVPDASLTSSLEEVSEKILGLLELPQTSAISDQISHLSQRLVNILGEGQQNISPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMNYIKQQSPTKDGKLLAIGHSMGGILLYAMVSKCGCEGAEPELVAIVTLASSVDYTTSNSSLKLLLPLADPAEMLRVPAIPLGTLLSTTYPISSRAPYILSLLRSQISSKDMMDPDLLSKLVLNNFCTVPAKVLLQLTTAFRDGGLHNRAGTFFFKQHLHKIKVPILALAGDEDLICPPEAVYETVKLIPQHLVTYKVFGKPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDEVSS >ONIVA01G31230.1 pep chromosome:AWHD00000000:1:27316454:27317279:1 gene:ONIVA01G31230 transcript:ONIVA01G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRPGTGTAAARLGTGKAAVWTGTAALRMGTGMGTAATRLGTGTAVAPTWLGQVGCSPTPMQMAPLSRAAFPNPRRCRPCPQPRRHHPPHCRPPSPSSSTTSSLSASPASSMPPSHSSPPLHHAHSLSRAHGELRPSLLALSPKSRPRRAPPLPPRPLRRAHGEPTDVGMVVVTRMRSQRLPTRSYAPSSPPLLHSTAGDRMRIDPFSPFPCKVNKMTRGKYVRAR >ONIVA01G31220.1 pep chromosome:AWHD00000000:1:27315373:27315723:-1 gene:ONIVA01G31220 transcript:ONIVA01G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDELPTWHGCGRRRMQPPTTSSGAWLWTAEDAASGDELWCGRRRRAPTWCSAWGQWRTWPPATSLAWRMAAEDATASNKPGVGRGSEGRGYRRRAPTWGVDDEGHGCRRRPLT >ONIVA01G31210.1 pep chromosome:AWHD00000000:1:27310350:27315252:1 gene:ONIVA01G31210 transcript:ONIVA01G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAITMDENLGRSGSFRAAMSIFGESINGRKADKNRGTVPAQENLSSEMKQLAQSGLDKLNERKAYVDKERAGAESELSRARAMAKELERQIEQTTAKATSQRSELQAMWAARTRRKGTDAPGAERDARYAEVVKELDQAKKELLRLRLEVRSAAEAKAKAERDIVASACTIQSSLRAVDEMKRRVDEANEEHVLVELARIEAERERREIEAQRGAEAERFAADIEAARARVRALQKEASRAREMEAKLAVTNSDVEVLQAEMELVRAMEKSHAKSDEAAEDAARRKKEEAQDKALLKTAEAELDAAKKELETIKAGSFQFMTSMDRTRTEIMRVAEEIRLLKAKEKKADAQVQQLNTKLLKAKARLEAVTAADERSKAIVSNLVAAMKQLKAETEAARMEEDLTKLEKRCVIAEAENIEKEIATTEGRIKQSVKELDAAKALEAEAMRKLRDTVDSTMQARASSAARRQGTMTISRFEYEYLTGRAALVRVVADKKVAAAQAWVQALKASEKEAAARAEAAEREVREMEARAAQVAAEAEKTAAEQKELEQELYDLNAAAERDGLQCAYPRRRSSRVSATSRRSKPRRSSVSAGAWNPRSPSFTIKRKRKVMPNLLKLIKNKRGGKKNTN >ONIVA01G31200.1 pep chromosome:AWHD00000000:1:27307053:27309030:-1 gene:ONIVA01G31200 transcript:ONIVA01G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGELRLLGTWSSPWVIRVRVALGMKGLSYEYTEEDLSSKSDLLLRSNPVHEKVPVLIHGGRPVCESLVVLEYIDETWGATGTPQLLPADPYDRATARFWTNYVNDTFFPSWKVLFRSTAAEQRAEAFKNVVPRVEALERAFGECSKGKAFFGGDDAGLVDVALGSHLVWIKVVDEVAGANLLDEAKFPGLAAWAERFLAVDAVRQVMPDAGDVLKQYKGFLAKWTAGAGPARPPFLKPHGSELQLQAAQLQPHNISNGTEQSPIAAHSPADSNFAYTA >ONIVA01G31200.2 pep chromosome:AWHD00000000:1:27306053:27309030:-1 gene:ONIVA01G31200 transcript:ONIVA01G31200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGELRLLGTWSSPWVIRVRVALGMKGLSYEYTEEDLSSKSDLLLRSNPVHEKVPVLIHGGRPVCESLVVLEYIDETWGATGTPQLLPADPYDRATARFWTNYVNDTFFPSWKVLFRSTAAEQRAEAFKNVVPRVEALERAFGECSKGKAFFGGDDAGLVDVALGSHLVWIKVVDEVAGSSLLDEAKFPGLAAWAERFLAVDAVREAMPDAGKLLEHYKGFLAKLASPAGST >ONIVA01G31200.3 pep chromosome:AWHD00000000:1:27306053:27306888:-1 gene:ONIVA01G31200 transcript:ONIVA01G31200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGGGGELQLLGTWYSPYAMRAKIALGLKGLSYEYIEQDLFGKSELLLKSNPVHKKVPVLIHAGRPVCESRVVLEYVDEAWPGAAPPLLPADPHGRATARFWATYFDSTFFPPWRALMRATTAEQRAEAFMNAVPQVEVLERAFVECSKGKAFFGGDAVGLVDVVLGGFVVWFKVVDEVAGSSLLDEAKFPGLAAWAERFLAVDAVREAMPDAGKLLEHYKGFLAKLASPAGST >ONIVA01G31190.1 pep chromosome:AWHD00000000:1:27296003:27301565:-1 gene:ONIVA01G31190 transcript:ONIVA01G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVAPGICAGFVANKQLLLPVIRLAATARRDQDCHFQMLGSILYQIAVEPAQENMGRRIPNLKNASATSEAAAAASRHPMAEWLHRFLHGRQWEVLFGSNEKKGTLHETSLIIYISLKNGEDLQTVEAAVYKITLHVKHS >ONIVA01G31180.1 pep chromosome:AWHD00000000:1:27295133:27299975:1 gene:ONIVA01G31180 transcript:ONIVA01G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FRJ7] MDLDLWISKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTVDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFSETMEREVKFFTETEENNQMRGPRTAVPYFL >ONIVA01G31170.1 pep chromosome:AWHD00000000:1:27284778:27293067:1 gene:ONIVA01G31170 transcript:ONIVA01G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGDRARAPKRHKSSAPSRSIDETAELDYTDDVDDDVRDADREVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVMSKLSKTKLPREIIDFIHASTANYGKVKLVLKKNRYFVESPFPEVLKTLLKDDIICRARISPEVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDEHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVGYPLVIFEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYAMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTSYAMKLRKPMIYGSTSHVERTRILHQFKNSSDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEELPNLKYYTLNDQLELLAQVGVFCTCCFLLVNSLRHLNQSLSARDDMIGIEHLEEDSDGKALMKARRSAGSMSAFSGSGGMVYMEYSTGKGKAGASKKPKDPSKRHYLFKKRYQ >ONIVA01G31160.1 pep chromosome:AWHD00000000:1:27281244:27284618:-1 gene:ONIVA01G31160 transcript:ONIVA01G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRAVVEAIHSSRAQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSMASMAQLLGKMPLQFTSKQAAEDMALAAFNRALKLSGPGLQVMGVGFTGSLASSRPKHGEHRFYVSTRTQNCLRTSHVTLSKGLRSREEEDKVSSYFLLKAIADGCKVPATIQSDVQEPELPKESVEQFDEDQELQQVIDGQVWMKVYHFSDSMDKNFNRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPCFEISAINADKPPLSIAEIKRRVEQFRKVGKNVIISNQPYFYKKAELFPGSAFVIGADTAARLVNPKYYGGDYNRMLEILLECKNTGTTFLVGGRNIEGVFKVLEDLDIPVELREMFISIPEEKFRMDISSTDIRKKQGP >ONIVA01G31160.2 pep chromosome:AWHD00000000:1:27281244:27284618:-1 gene:ONIVA01G31160 transcript:ONIVA01G31160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRAVVEAIHSSRAQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSMASMAQLLGKMPLQFTSKQAAEDMALAAFNRALKLSGPGLQGLRSREEEDKVSSYFLLKAIADGCKVPATIQSDVQEPELPKESVEQFDEDQELQQVIDGQVWMKVYHFSDSMDKNFNRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPCFEISAINADKPPLSIAEIKRRVEQFRKVGKNVIISNQPYFYKKAELFPGSAFVIGADTAARLVNPKYYGGDYNRMLEILLECKNTGTTFLVGGRNIEGVFKVLEDLDIPVELREMFISIPEEKFRMDISSTDIRKKQGP >ONIVA01G31150.1 pep chromosome:AWHD00000000:1:27278220:27278558:-1 gene:ONIVA01G31150 transcript:ONIVA01G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPSVFSTVVAAIAIAALLSSLLLLQATPAAASARASKKASCDLMQLSPCVSAFSGVGQGSPSSACCSKLKAQGSSCLCLYKDDPKVKRIVSSNRTKRVFTACKVPAPNC >ONIVA01G31140.1 pep chromosome:AWHD00000000:1:27277478:27277789:-1 gene:ONIVA01G31140 transcript:ONIVA01G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKPNVVLVLVLVLAVVTSPGTVCGASRTAPAAATKCDPLALRPCAAAILWGEAPSTACCAGLRAQKRCLCRYAKNPDLRKYINSQNSRKVAAACSVPAPRC >ONIVA01G31130.1 pep chromosome:AWHD00000000:1:27258878:27277195:1 gene:ONIVA01G31130 transcript:ONIVA01G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKNDFHEIFRVFKLKGEKETFYDVMDKTKYFHSILMPFPVPNCLHADIIAGTSSVLLLCLLSFVCLFGLKKYRYRRISKGTPRIESFLQRNGTLHPKRYTYTEVKKMTKSFAEKLGHGGFGAVYRGKLSDGRQVAVKILKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLHGSKRALIYEYMPNGSLERYAFRKNSKDELALTWEKLFDVAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIISIAGARGTIGYIAPEVYSKQFGTISSKSDVYSYGMMILEMAGARERNIDANSESSSHYFPQWIYEHLDEYCICSSEINGEITELVRKMIVVGLWCIQVAATNRPTMTRVVEMLEGSTSGLELPPKVLLSWVLDIEYGKHTISLTDADMQHSDTNKQPGLQKQRCQQLEPTTCGNVSISYPFYFSDKTGYINGSSNSYCGYPGLAIDCDDGKAPILQLNGAEKYKVNYINVGSITNVSLVDQEVVDDSSGCPRVDHNVTFAQGSWLFFPAGMSLDYLVFFLGCSFPNLFLPPENIDPITCSFIGLIGPSYVLPKDQVPPGSWSQFCQKIYEVPVVKYQSMDPKGDAWRKGGYGQVLRQGFPLSVNDSRRPPNCTQCEESKGRCGFSQTGEFVSCLCLNGRVRSVRCSASDLTAYRRLEGYRRFEGYQRFKGVFKLKGENETFLKKYRHRRISKGTPRIESFLQRNGTLHPKRYTYTEVKRMTKSFAEKLGHGGFGAVYRGNLSDGRQVAVKMLKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLHLSKRALIYEYMPNGSLERYAFRNNSKGELSLTWEKLFDVAVGIARGLEYLHRGCSTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIVSIAGARGTIGYIAPEVYSKQFGAISSKSDVYSYGMMILEMVGARERNIDANSESSSHYFPQWIYEHLDEYCISSSEIDGETTELVRKMVVVALWCIQVVPTNRPTMTRVVEMLEGSTSGLELPPKHICTLNAPPRHLSAFAMSGKLCFLASVLLSMSTVVDLAMAASGGVNIAVYWGQNGSEGTLGETCGTGLYAYVNLAFLSTFGAGRAPVLNLADHCDAPSGTCASLAADIASCQAAGVKVLLSIGGGALGYNLSSPSDARDLAAYLWDNFLGGGATGASRPLGDAVLDGVDFDIESPSRFYDDLARNLASLYTRAPRPPRGGKTYLLTAAPQCPYPDASLAAALATGLFDHVWVQFYNNPPCQYAAPGDASALRSAWAQWTAGLPAATVFLGLPASLDAADSGFVDADTLASQVLPVVEGAANYGGIMLWSRSYDKDSSFSVKLQAALQNRNKPTGAGASSHTKRRIYIIAGVFAGVLLLFLLLITYFLCHKKHHGQQPPVQELTTPPKAEPSQKKQRAQHLKRYSYSEVERMTKTFAHKIGQGNYGDVYKGNLRDGRQIVVKLLKNCRGNDKEFLNEVASIGTISHVNVIPLLGFCLQGTARALIYEYMPNGSLESYAFSNDDSIEENYSLWIYWEKLYEIAIGVARGLEFLHGSGNANIMHLKIKPRNILLDQELCPKISDFGVANLCLWKESKKSAQNARGRDGYDAPEVVSTKFGAVSSKSDVYSYGVMVLEMIRAKRRINVGADTTTKYFAQWLYDHLDQFCNSISDISDETRESVRRIIIVGLWCIQAAPANRPSMSRVVKMLEREQKIH >ONIVA01G31130.2 pep chromosome:AWHD00000000:1:27258878:27277195:1 gene:ONIVA01G31130 transcript:ONIVA01G31130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKNDFHEIFRVFKLKGEKETFYDVMDKTKYFHSILMPFPVPNCLHADIIAGTSSVLLLCLLSFVCLFGLKKYRYRRISKGTPRIESFLQRNGTLHPKRYTYTEVKKMTKSFAEKLGHGGFGAVYRGKLSDGRQVAVKILKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLHGSKRALIYEYMPNGSLERYAFRKNSKDELALTWEKLFDVAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIISIAGARGTIGYIAPEVYSKQFGTISSKSDVYSYGMMILEMAGARERNIDANSESSSHYFPQWIYEHLDEYCICSSEINGEITELVRKMIVVGLWCIQVAATNRPTMTRVVEMLEGSTSGLELPPKVLLSWVLDIEYGKHTISLTDADMQHSDTNKQPGLQKQRCQQLEPTTCGNVSISYPFYFSDKTGYINGSSNSYCGYPGLAIDCDDGKAPILQLNGAEKYKVNYINVGSITNVSLVDQEVVDDSSGCPRVDHNVTFAQGSWLFFPAGMSLDYLVFFLGCSFPNLFLPPENIDPITCSFIGLIGPSYVLPKDQVPPGSWSQFCQKIYEVPVVKYQSMDPKGDAWRKGGYGQVLRQGFPLSVNDSRRPPNCTQCEESKGRCGFSQTGEFVSCLCLNGRVRSVRVFKLKGENETFLKKYRHRRISKGTPRIESFLQRNGTLHPKRYTYTEVKRMTKSFAEKLGHGGFGAVYRGNLSDGRQVAVKMLKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLHLSKRALIYEYMPNGSLERYAFRNNSKGELSLTWEKLFDVAVGIARGLEYLHRGCSTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIVSIAGARGTIGYIAPEVYSKQFGAISSKSDVYSYGMMILEMVGARERNIDANSESSSHYFPQWIYEHLDEYCISSSEIDGETTELVRKMVVVALWCIQVVPTNRPTMTRVVEMLEGSTSGLELPPKHICTLNAPPRHLSAFAMSGKLCFLASVLLSMSTVVDLAMAASGGVNIAVYWGQNGSEGTLGETCGTGLYAYVNLAFLSTFGAGRAPVLNLADHCDAPSGTCASLAADIASCQAAGVKVLLSIGGGALGYNLSSPSDARDLAAYLWDNFLGGGATGASRPLGDAVLDGVDFDIESPSRFYDDLARNLASLYTRAPRPPRGGKTYLLTAAPQCPYPDASLAAALATGLFDHVWVQFYNNPPCQYAAPGDASALRSAWAQWTAGLPAATVFLGLPASLDAADSGFVDADTLASQVLPVVEGAANYGGIMLWSRSYDKDSSFSVKLQAALQNRNKPTGAGASSHTKRRIYIIAGVFAGVLLLFLLLITYFLCHKKHHGQQPPVQELTTPPKAEPSQKKQRAQHLKRYSYSEVERMTKTFAHKIGQGNYGDVYKGNLRDGRQIVVKLLKNCRGNDKEFLNEVASIGTISHVNVIPLLGFCLQGTARALIYEYMPNGSLESYAFSNDDSIEENYSLWIYWEKLYEIAIGVARGLEFLHGSGNANIMHLKIKPRNILLDQELCPKISDFGVANLCLWKESKKSAQNARGRDGYDAPEVVSTKFGAVSSKSDVYSYGVMVLEMIRAKRRINVGADTTTKYFAQWLYDHLDQFCNSISDISDETRESVRRIIIVGLWCIQAAPANRPSMSRVVKMLEREQKIH >ONIVA01G31130.3 pep chromosome:AWHD00000000:1:27258878:27277195:1 gene:ONIVA01G31130 transcript:ONIVA01G31130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKNDFHEIFRVFKLKGEKETFYDVMDKTKYFHSILMPFPVPNCLHADIIAGTSSVLLLCLLSFVCLFGLKKYRYRRISKGTPRIESFLQRNGTLHPKRYTYTEVKKMTKSFAEKLGHGGFGAVYRGKLSDGRQVAVKILKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLHGSKRALIYEYMPNGSLERYAFRKNSKDELALTWEKLFDVAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIISIAGARGTIGYIAPEVYSKQFGTISSKSDVYSYGMMILEMAGARERNIDANSESSSHYFPQWIYEHLDEYCICSSEINGEITELVRKMIVVGLWCIQVAATNRPTMTRVVEMLEGSTSGLELPPKVLLSWVLDIEYGKHTISLTDADMQHSDTNKQPGLQKQRCQQLVYIKVFKLKGENETFLKKYRHRRISKGTPRIESFLQRNGTLHPKRYTYTEVKRMTKSFAEKLGHGGFGAVYRGNLSDGRQVAVKMLKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLHLSKRALIYEYMPNGSLERYAFRNNSKGELSLTWEKLFDVAVGIARGLEYLHRGCSTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIVSIAGARGTIGYIAPEVYSKQFGAISSKSDVYSYGMMILEMVGARERNIDANSESSSHYFPQWIYEHLDEYCISSSEIDGETTELVRKMVVVALWCIQVVPTNRPTMTRVVEMLEGSTSGLELPPKHICTLNAPPRHLSAFAMSGKLCFLASVLLSMSTVVDLAMAASGGVNIAVYWGQNGSEGTLGETCGTGLYAYVNLAFLSTFGAGRAPVLNLADHCDAPSGTCASLAADIASCQAAGVKVLLSIGGGALGYNLSSPSDARDLAAYLWDNFLGGGATGASRPLGDAVLDGVDFDIESPSRFYDDLARNLASLYTRAPRPPRGGKTYLLTAAPQCPYPDASLAAALATGLFDHVWVQFYNNPPCQYAAPGDASALRSAWAQWTAGLPAATVFLGLPASLDAADSGFVDADTLASQVLPVVEGAANYGGIMLWSRSYDKDSSFSVKLQAALQNRNKPTGAGASSHTKRRIYIIAGVFAGVLLLFLLLITYFLCHKKHHGQQPPVQELTTPPKAEPSQKKQRAQHLKRYSYSEVERMTKTFAHKIGQGNYGDVYKGNLRDGRQIVVKLLKNCRGNDKEFLNEVASIGTISHVNVIPLLGFCLQGTARALIYEYMPNGSLESYAFSNDDSIEENYSLWIYWEKLYEIAIGVARGLEFLHGSGNANIMHLKIKPRNILLDQELCPKISDFGVANLCLWKESKKSAQNARGRDGYDAPEVVSTKFGAVSSKSDVYSYGVMVLEMIRAKRRINVGADTTTKYFAQWLYDHLDQFCNSISDISDETRESVRRIIIVGLWCIQAAPANRPSMSRVVKMLEREQKIH >ONIVA01G31130.4 pep chromosome:AWHD00000000:1:27277829:27281281:1 gene:ONIVA01G31130 transcript:ONIVA01G31130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVGMAPATAGAMWQLNFASCLLGLAMTLPMSWQTSGKRNIPHTYTHEAMPPHFPRDSDSDQNVRMPFHGHKSIHKLLGGGQEYNIIPLLCQIAILAMLVIFIWSNAAPLLDRAPPRILEIIISEHAFREMALTVHYKLTYTVSVLYDIACGKDLKRFLLFWTNNQIVYDIKVVGSLLVLSAIGRFLCAHTLPVLYQRYKTEVDHLVAKGSDDIKKFYKKVDSNLLNKIPRGPVKTKDGVTGGVYKKNSMFDTSRPLFFSYICR >ONIVA01G31130.5 pep chromosome:AWHD00000000:1:27277829:27281281:1 gene:ONIVA01G31130 transcript:ONIVA01G31130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVGMAPATAGAMWQLNFASCLLGLAMTLPMSWQTSGKRNIPHTYTHEAMPPHFPRDSDSDQNVRMPFHGHKSIHKLLGGGQEYNIIPLLCQIAILAMLVIFIWSNAAPLLDRAPPRILEIIISEHAFREMALTVHYKLTYTVSVLYDIACGKDLKRFLLVVGSLLVLSAIGSSCSLTSLLYIGFLCAHTLPVLYQRYKTEVDHLVAKGSDDIKKFYKKVDSNLLNKIPRGPVKTKDGVTGGVYKKNSMFDTSRPLFFSYICR >ONIVA01G31130.6 pep chromosome:AWHD00000000:1:27258878:27278585:1 gene:ONIVA01G31130 transcript:ONIVA01G31130.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKNDFHEIFRVFKLKGEKETFYDVMDKTKYFHSILMPFPVPNCLHADIIAGTSSVLLLCLLSFVCLFGLKKYRYRRISKGTPRIESFLQRNGTLHPKRYTYTEVKKMTKSFAEKLGHGGFGAVYRGKLSDGRQVAVKILKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLHGSKRALIYEYMPNGSLERYAFRKNSKDELALTWEKLFDVAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIISIAGARGTIGYIAPEVYSKQFGTISSKSDVYSYGMMILEMAGARERNIDANSESSSHYFPQWIYEHLDEYCICSSEINGEITELVRKMIVVGLWCIQVAATNRPTMTRVVEMLEGSTSGLELPPKVLLSWVLDIEYGKHTISLTDADMQHSDTNKQPGLQKQRCQQLVYIKVFKLKGENETFLKKYRHRRISKGTPRIESFLQRNGTLHPKRYTYTEVKRMTKSFAEKLGHGGFGAVYRGNLSDGRQVAVKMLKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLHLSKRALIYEYMPNGSLERYAFRNNSKGELSLTWEKLFDVAVGIARGLEYLHRGCSTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIVSIAGARGTIGYIAPEVYSKQFGAISSKSDVYSYGMMILEMVGARERNIDANSESSSHYFPQWIYEHLDEYCISSSEIDGETTELVRKMVVVALWCIQVVPTNRPTMTRVVEMLEGSTSGLELPPKHICTLNAPPRHLSAFAMSGKLCFLASVLLSMSTVVDLAMAASGGVNIAVYWGQNGSEGTLGETCGTGLYAYVNLAFLSTFGAGRAPVLNLADHCDAPSGTCASLAADIASCQAAGVKVLLSIGGGALGYNLSSPSDARDLAAYLWDNFLGGGATGASRPLGDAVLDGVDFDIESPSRFYDDLARNLASLYTRAPRPPRGGKTYLLTAAPQCPYPDASLAAALATGLFDHVWVQFYNNPPCQYAAPGDASALRSAWAQWTAGLPAATVFLGLPASLDAADSGFVDADTLASQVLPVVEGAANYGGIMLWSRSYDKDSSFSVKLQAALQNRNKPTGAGASSHTKRRIYIIAGVFAGVLLLFLLLITYFLCHKKHHGQQPPVQELTTPPKAEPSQKKQRAQHLKRYSYSEVERMTKTFAHKIGQGNYGDVYKGNLRDGRQIVVKLLKNCRGNDKEFLNEVASIGTISHVNVIPLLGFCLQGTARALIYEYMPNGSLESYAFSNDDSIEENYSLWIYWEKLYEIAIGVARGLEFLHGSGNANIMHLKIKPRNILLDQELCPKISDFGVANLCLWKESKKSAQNARGRDGYDAPEVVSTKFGAVSSKSDVYSYGVMVLEMIRAKRRINVGADTTTKYFAQWLYDHLDQFCNSISDISDETRESVRRIIIVGLWCIQAAPANRPSMSRVVKMLESSSTKMDLPRKSIE >ONIVA01G31130.7 pep chromosome:AWHD00000000:1:27277829:27280973:1 gene:ONIVA01G31130 transcript:ONIVA01G31130.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVGMAPATAGAMWQLNFASCLLGLAMTLPMSWQTSGKRNIPHTYTHEAMPPHFPRDSDSDQNVRMPFHGHKSIHKLLGGGQEYNIIPLLCQIAILAMLVIFIWSNAAPLLDRAPPRILEIIISEHAFREMALTVHYKLTYTVSVLYDIACGKDLKRFLLFWTNNQIVYDIKVVGSLLVLSAIGSSCSLTSLLYIGFLCAHTLPVLYQRYKTEVDHLVAKGSDDIKKFYKKVDSNLLNKIPRGPVKTKVK >ONIVA01G31130.8 pep chromosome:AWHD00000000:1:27279230:27280973:1 gene:ONIVA01G31130 transcript:ONIVA01G31130.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHFPRDSDSDQNVRMPFHGHKSIHKLLGGGQEYNIIPLLCQIAILAMLVIFIWSNAAPLLDRAPPRILEIIISEHAFREMALTVHYKLTYTVSVLYDIACGKDLKRFLLFWTNNQIVYDIKVVGSLLVLSAIGSSCSLTSLLYIGFLCAHTLPVLYQRYKTEVDHLVAKGSDDIKKFYKKVDSNLLNKIPRGPVKTKVK >ONIVA01G31120.1 pep chromosome:AWHD00000000:1:27252414:27258437:1 gene:ONIVA01G31120 transcript:ONIVA01G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDRSDRCSRCELTSGKCGYNQNGKFLGCLCANGLASISPILSISPTPSAFGGTYGYAPFSCGYTDLDITCSWDGRKETPTIQLNGSDYTVLNIIYDNLTIVLADTDVLLGGSCPRVRHNITFAQADEWLQYNGVNFSF >ONIVA01G31110.1 pep chromosome:AWHD00000000:1:27235116:27235361:1 gene:ONIVA01G31110 transcript:ONIVA01G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMSPLTSRTVETANDNWIWCEVQMSTLRRCWEHEMAYGSDNHAAGDDMDDGSSTSSKSSDPPSSALLGHEAASDGKGQI >ONIVA01G31100.1 pep chromosome:AWHD00000000:1:27217491:27234207:-1 gene:ONIVA01G31100 transcript:ONIVA01G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPLLLLSFLVLIGVHASVSYGSPPLPSTYDPSICSKSSECGGVNISYPFYLSNATDYYTRFSCGYTDLKISCSRDRDGRNETPTILLGGDNYTVLDIIYDSHTIVLADTDALRGGSCPRVGHNVTFGQAYEWLQYTGSPDNLTFFFGCKLNLAPPIDPGLVSVADQYQINCKTFSNGPNGGNSFVFTSGELEAPVESELARRCSQVIVVPVNGSILNSSNQSALPSGGYGQVLNKGFDLAWNSRKDDQCNKCEQSKGQCAYSQNRIFLGCLCADGKVSRTDCTSRSNPKIKIIAGVVGGGLSAVFALGLIATVFFVRKRKHKKVNSSSKLLKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNENRELGDGGFGTVYKGILRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEGLKGVQDLCVMEKDGGKDKKGPDPPLSPDTVHAQWDSRQTTPNTSHYCYMNRAEDHIIYHQTKHLLLLNLSTSSELIRGFSGTSTDKAQDCSGHHQLATTREERSGWTHPDRNVADRLRLAAAMAADEALLQLVGALRCMDLWLVGSNTVLKVEYNK >ONIVA01G31100.2 pep chromosome:AWHD00000000:1:27217491:27231319:-1 gene:ONIVA01G31100 transcript:ONIVA01G31100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLCRRRRRLLLLLLLVAASHGASNDTYDTSMCLQETTTCGDVSISYPFYFSDKTGYINGSSNSYCGYPGLAIDCDDGKAPILQLNGAEKYKVNYINVGSITNVSLVDQEVVDDSSGCPRVDHNVTFAQGSWLFFPAGMSLDYLVFFLGCSFPNLFLPPENIDPITCSFIGLIGPSYVLPKDQVPPGSWSQFCQKIYEVPVVKYQSMDPKGDAWRKGGYGQVLRQGFPLSVNDSRRPPNCTQCEESKGRCGFSQDGEFIGCLCPNGRVRSVRCSASDLTTGSNPKIKIIAGVVGGGLSAVFALGLIATVFFVRKRKHKKVNSSSKLLKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNENRELGDGGFGTVYKGILRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEGLKGVQDLCVMEKDGGKDKKGPDPPLSPDTVHAQWDSRQTTPNTSHYCYMNRAEDHIIYHQTKHLLLLNLSTSSELIRGFSGTSTDKAQDCSGHHQLATTREERSGWTHPDRNVADRLRLAAAMAADEALLQLVGALRCMDLWLVGSNTVLKVEYNK >ONIVA01G31100.3 pep chromosome:AWHD00000000:1:27217491:27226643:-1 gene:ONIVA01G31100 transcript:ONIVA01G31100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCHHGGRVLLPLLLVAAACRGDPTGDTYDTAMCGAQASITCGGVIVRYPFYLSNATRALPKYANSSTFCGYPGLEIICDGGGGGKAVMMLGNDSYTVSRIDYASLTVSLADADVANGTCPVVSHNVTIPPAPSSLHLADTVGMLIFFFRCAFYPAANAPPKPPSIHPLTCGENSEDAPTQSFLLPASPLPPGDLWHRGCSAVYGVPVLGGSLPSDANDPAWRKDGYIASLRKGFQMSWDRSDRCSRCELTSGKCGYNQNGKFLGCLCANGLVDSDACSKISDSTLRLAGSNPKIKIIAGVVGGGLSAVFALGLIATVFFVRKRKHKKVNSSSKLLKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNENRELGDGGFGTVYKGILRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEGLKGVQDLCVMEKDGGKDKKGPDPPLSPDTVHAQWDSRQTTPNTSHYCYMNRAEDHIIYHQTKHLLLLNLSTSSELIRGFSGTSTDKAQDCSGHHQLATTREERSGWTHPDRNVADRLRLAAAMAADEALLQLVGALRCMDLWLVGSNTVLKVEYNK >ONIVA01G31100.4 pep chromosome:AWHD00000000:1:27221669:27242224:-1 gene:ONIVA01G31100 transcript:ONIVA01G31100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLLLLPVVLVLFADADASPSACRNATCGDVPVAYPFWLIDNSSALGCGYPGLGLRCVDNTTLILPFRTHQYRVLDIEYGKHTISLTDADMAHPDTNSSCPHLHANLTIDDNSWLQLASSDSNITFLYNCKSNSSLLSSTSAVKLTGCGAGPEHIGTSYVFLDGWITGEAYDYECETVVVAPVIDAHKKAMVDAHGGLPPADGSFGDVLSAGFELTYSAHSDKCGKCERTKGWCGYRHNETSTTMDFTCFCDEGPTKSHCGSNPKIKIIAGVVGGGLSAVFALGLIATVFFVRKRKHKKVNSSSKLLKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNENRELGDGGFGTVYKGILRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEGLKGVQDLCVMEKDGGKDKKGPDPPLSPDTVHAQWDSRQTTPNTSQ >ONIVA01G31100.5 pep chromosome:AWHD00000000:1:27236369:27242224:-1 gene:ONIVA01G31100 transcript:ONIVA01G31100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLLLLPVVLVLFADADASPSACRNATCGDVPVAYPFWLIDNSSALGCGYPGLGLRCVDNTTLILPFRTHQYRVLDIEYGKHTISLTDADMAHPDTNSSCPHLHANLTIDDNSWLQLASSDSNITFLYNCKSNSSLLSSTSAVKLTGCGAGPEHIGTSYVFLDGWITGEAYDYECETVVVAPVIDAHKKAMVDAHGGLPPADGSFGDVLSAGFELTYSAHSDKCGKCERTKGWCGYRHNETSTTMDFTCFCDEGPTKSHCGWILCIEGFINAEDVSTIH >ONIVA01G31100.6 pep chromosome:AWHD00000000:1:27227745:27231319:-1 gene:ONIVA01G31100 transcript:ONIVA01G31100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLCRRRRRLLLLLLLVAASHGASNDTYDTSMCLQETTTCGDVSISYPFYFSDKTGYINGSSNSYCGYPGLAIDCDDGKAPILQLNGAEKYKVNYINVGSITNVSLVDQEVVDDSSGCPRVDHNVTFAQGSWLFFPAGMSLDYLVFFLGCSFPNLFLPPENIDPITCSFIGLIGPSYVLPKDQVPPGSWSQFCQKIYEVPVVKYQSMDPKGDAWRKGGYGQVLRQGFPLSVNDSRRPPNCTQCEESKGRCGFSQDGEFIGCLCPNGRVRSVRCSASDLTTGKPFVSRFALYLQRPKGAPPISACNIARREVAGKWPPSSDDSSDRLFQQAFSTSFQQTPSSSLWQASSDGEEKGQASVLPLATKTANTSL >ONIVA01G31090.1 pep chromosome:AWHD00000000:1:27217479:27222756:1 gene:ONIVA01G31090 transcript:ONIVA01G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVADAATGRLAIPEISRPPARRRRRDGGGDASASGAAPAEDEDGTEVVRLRTRRGNEIVGVHVRHERASATLLYSHGNAADLGQMYGLFVELSRRLRINLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPNLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWELCKVKYSPLWLTGGGHCNLELYPDYIKHLKKFVSSLGKKSSKPDLKEITMKEGASSKDSEPASSEKPQEAPKCSQISRKSLDSRVGKSKTVDVPEKPRMSSDDVDKFRRRRCLVW >ONIVA01G31090.2 pep chromosome:AWHD00000000:1:27217479:27227025:1 gene:ONIVA01G31090 transcript:ONIVA01G31090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVADAATGRLAIPEISRPPARRRRRDGGGDASASGAAPAEDEDGTEVVRLRTRRGNEIVGVHVRHERASATLLYSHGNAADLGQMYGLFVELSRRLRINLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPNLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWELCKVKYSPLWLTGGGHCNLELYPDYIKHLKKFVSSLGKKSSKPDLKEITMKEGASSKDSEPASSEKPQEAPKCSQISRKSLDSRVGKSKTVDVPEKPRMSSDDVDKFRRRRCLVW >ONIVA01G31080.1 pep chromosome:AWHD00000000:1:27207712:27210809:-1 gene:ONIVA01G31080 transcript:ONIVA01G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASKDQIGRGGGTGDADGGDGAKRKEDALASSRLLDPDFKPSKLSQDRLDKFKELHKKRLQIKEKPKCKGKSRGSTKKNTKVTSDCSIVDKDESIGNVAIDVQHTASAAGTQVA >ONIVA01G31080.2 pep chromosome:AWHD00000000:1:27207528:27210809:-1 gene:ONIVA01G31080 transcript:ONIVA01G31080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASKDQIGRGGGTGDADGGDGAKRKEDALASSRLLDPDFKPSKLSQDRLDKFKELHKKRLQIKEKPKCKGKSRGSTKKNTKVTSDCSIVDKDESIGNVAIDVQHTASAAGTQVML >ONIVA01G31070.1 pep chromosome:AWHD00000000:1:27187744:27198338:-1 gene:ONIVA01G31070 transcript:ONIVA01G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPMAGEGTLAAVMPRSPSPTASAAAGSAAEAPMLIFLYFHKAIRAELEGLHAAAVRLATERAGDVGALAERCRFFVNIYKHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFSQLFALLQLDIQNDDSLRRELASCTGAIQTCLSQHMSKEEEQVFPLLTKKFSYEEQADLVWQFLCNIPVNMMAEFLPWLSSSVSSDEHEDIRSCLCKIVPEEKLLQQVVFAWIEGKTTRKVTENSTKSNSEATCDCKDASSIDHADNHISSHEDSKAGNKKYAESIDGQVERHPIDEILYWHNAIRKELIDIAEETRRMQQSGNFSDISSFNARLQFIADVCIFHSIAEDQVVFPAVDSELSFVHEHAEEERRFNNFRCLIQQIQIAGAKSTALDFYSELCSHADQIMETIEKHFCDEETKVLPQARMLFSPEKQRQLLYKSLCVMPLKLLERVLPWLVSKLSDEEASSFLENMRLAAPSSETALVTLFSGWACKARSEDKSNSGEYLCLTSGEMRCLLDEVDGLEKCRPFCPCASRSNTDASLHPQTENGSRPGKRGNDAESVPGTNGSDLSQTDDTEARPCSKKPCCIPGLRVETGNLAISSSLASAKSFRSLSYNSSAPSLYSSLFSWETDASLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFGDISDALAELSQLHERLTHPHIEVSEAEKNDFNSSDEIDWTRKYNELATKLQGMCKSIRAALTNHVHREELELWPLFDEHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALTQEEQNMMLDTWKQATKNTMFGEWLNEWWKGAPTSSDSSEEASSAPEDSHLQDKIDQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPEPKSEECSEGAGIPGCAPSYRDQEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKISDHTMERKATQEMMCMVCLKVQPVGPNCQTPSCNGLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGSCGSYNTRVIKTDTADCSTPN >ONIVA01G31060.1 pep chromosome:AWHD00000000:1:27178722:27179168:1 gene:ONIVA01G31060 transcript:ONIVA01G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHFVFVPLMAQGHLIPAVDTALLLATHGALCTVVATPATVARVRTHRRLGPTVRLEAVLEEKSGELAFPRMRQVFMLNNTHAIARRVVRSNLAMFLPSGWARAREERMEGYVKSYLDMSWAPIVSRLAATTAATKPATVSVLRRQ >ONIVA01G31050.1 pep chromosome:AWHD00000000:1:27175877:27176238:1 gene:ONIVA01G31050 transcript:ONIVA01G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSSERNPPQLLRLQACDQEMINRLFALITQNTSIRVQQTTAPEAPSGKSILMRSPTAKIESPVFQKAALTEDNPKA >ONIVA01G31040.1 pep chromosome:AWHD00000000:1:27166386:27168001:1 gene:ONIVA01G31040 transcript:ONIVA01G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPTAHHLKPWVPTPTPRSHRVPSLPAVSGGGGGGARPARDRRRSSSSSSHRRGGTTTDAGAVDEEEVVEAYDGGLEDLRAKLMGHIKDVADRLHLPQPKPQPRSPEPETPPAPAPLPPPPPPPPDTSVAAAAAAARPWNLRERKRRPSARGSTAASPTTAWARRADTTRGGGERPPFAVALAAEEIEEDMYALTGGRPRRRPRKRPRVVQRQLDSLFPGLWLTEITADAYKVPDD >ONIVA01G31030.1 pep chromosome:AWHD00000000:1:27160456:27162061:-1 gene:ONIVA01G31030 transcript:ONIVA01G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGDQHGPVIGIDLGTACSCVAVWQNGRAEIVTNEHGGRATPSYAAFTDAERLVGDAAKSQASRNPTNTVFATKRLMGRRFSDASVQDGLKLWPFKVVPGRGDKPMVAASYKGKQKLLAAEEVASMLLSKMKAEAEAYIGGPVKNAVVTVPASFDVLQRRATKHACAVAGLDVLGVIHGPAAAAVAFGIHEIAGDKNVLVFDLGGGHTSVSLLAVASGKIAVRATAGDPHLGGEDFNGRMVEHFVAQFKAEHKKDVGRNARAILRLRAACEQAKRTLSSASWAAIELERLHDGADFYSTITRDQFDELNLDLFCKCLDPIKKCLTGAKMDRSSVDDVVLVGGSTRIPRVRRLIQDLFDGKELRKDISPDEAAACGAATMASLGSDDSLVDLFLFDATPHSLGVAAAGGAMAVMIPKNTPIPVMARENTISIQPNHKKGIVISIFEGERPQASENTLLCEIEPSGGSQQSKARSEALCVCVLQHRCRRRLDGLCEGQGERA >ONIVA01G31020.1 pep chromosome:AWHD00000000:1:27152202:27153162:1 gene:ONIVA01G31020 transcript:ONIVA01G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREERPRDRERERERRAWGFGDSPSVRCLPVARVRLGRAGGWEIHPSIRPVVGAWAGGVAFRFLPTSGARGCRARGSRRKRGRGAGDITRAVQVAVVGSSRRHHMRRRALEVGRRGGRRGGPQAAPMVPCAFFAEVSSGNQPWNRNKDD >ONIVA01G31010.1 pep chromosome:AWHD00000000:1:27151342:27152112:-1 gene:ONIVA01G31010 transcript:ONIVA01G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAAVDDHDRHRAGNSSSPSEDSPAPAPAPAPAPAPPQPSRTRLHSFSFPTLSWGTHRLLRCSKNPASSPPPASPDTPSPDKEKAAHRSTDGVGGGCSPQRGPQRPWNLRTRRSATAAPRPEGSDDAADAAPDRAPSPLAATKKRVFSIVLSKEEIGQDFKAIRGTRPPRRPKKRPRTVQRQLDLLYPGLCLADLTPETYKIEEVRGRSMCSCGWVLFFASL >ONIVA01G31000.1 pep chromosome:AWHD00000000:1:27140026:27140541:-1 gene:ONIVA01G31000 transcript:ONIVA01G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPWEDKRNRGQYSGVLVYCYDAGRGLVHAQTRAAGEGEASPPSSAKNKATSMMAFAVSRALETSNRNNYQGGKAGWARGGSKCMHADAGAAPRHWRGPRVRQLVQVQAQLVAARTRRTQQRPAPRRPSDGESQQPSSGPRCLCILLRATPSTVQVNTRACKCTHGVGIL >ONIVA01G30990.1 pep chromosome:AWHD00000000:1:27137180:27138271:1 gene:ONIVA01G30990 transcript:ONIVA01G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAGVGRDGSFASQKRPRRVSVRMERSRVGDGCCCSCSGRGGVASTTAVRPSTGMVVIVGATGTGKTKLSIDAAQELAGEVVNADKIQLYDGLDVTTNKVSLADRRGVPHHLLGAIRAEAGELPPSSFRSLAAAAAAGIASRGRVPVVAGGSNSLIHALLADPIDAAPRDPFADADVGYRPALRFPCCLLWVDVDDDVLDEYLDRRVDDMVGEGMVEELEEYFATTSASERASHAGLGKAIGVPELGDYFAGRKSLDAAIDEIKANTRVLAARQVGKIRRMADVWGWPIRRLDATATIRARLSGAGRAAEAAAWERDVRGPGLAAMRQFVGRADFNAAAVDQLAARSRRQCLRGGMVAG >ONIVA01G30980.1 pep chromosome:AWHD00000000:1:27117744:27120746:-1 gene:ONIVA01G30980 transcript:ONIVA01G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77420) TAIR;Acc:AT1G77420] MAAILQPPRHAAVVAPRRAGRLARPGCAGGRRAAVAVAAAGGAARDRKPPRLEGASEELRAAAAQCLDWAPARRRVRGAFAPVLPTLDHCLFKMAPKGIQMEENFETNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEGIAKRIAAAGYAVYAMDYPGFGLSYGLHGYIASFDGMVEHVIEQYSRIKGRKEVRGLPHFLLGQSMGGAVALKVHLKQPKEWDGVLLVAPMCKISEDVTPPAPVLKALSILSCLLPEAKLFPQKDIGDLAFRDPSKRKVAEYNAISYTQQMRLRTAVELLKATKDIESQLEKICSPLLILHGAADLVTDPHVSEFLYEKASTKDKTLKLYEDGYHSILEGEPDDRISTAINDIISWLDSHC >ONIVA01G30970.1 pep chromosome:AWHD00000000:1:27116731:27117227:-1 gene:ONIVA01G30970 transcript:ONIVA01G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEESLLAAAAADDARHAQAAGPRRDDDHKAWLLRSIGYSSITIGIIFLISYPLDLSNQSAASEHGMKHGKAMSSCMTAAATSSRLSLSLTTTLDSGLTRIGGASIMLQRSKQLDLVAVDDLQLLGVSHHV >ONIVA01G30960.1 pep chromosome:AWHD00000000:1:27110859:27113859:-1 gene:ONIVA01G30960 transcript:ONIVA01G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-arabinono-1,4-lactone oxidase family protein [Source:Projected from Arabidopsis thaliana (AT5G11540) TAIR;Acc:AT5G11540] MVATFLLPLLLSTLLPAAVPLPPRPPVRCGGSGGAGGDGAGCVLSNAYGAWSSDRADCPVSAVAYPASEADVVAVVADASAKNMPVKVVSGFAHTIPKLACPGNGSSGAASSLLISTARYDGVAVDAAARTVTADAGAPLRDVIDAAEASGLSLTAAPYWEGVSVGGLVSTGSHGSSWWGRGGAVHDHVVALRLVVPAGAADGWAKVVALRRGDALFNAAVVSLGLLGVISKVTLALEPRFKRSISYEYRDDSTFQDDFARHAANHEFADITWYPSQHKAVYRIDDRMPLNATGDGVNDFIGFQSTLIAVSSGIRALETALEASRNVKGKCKMAAAEIAAKRLVGNGLRGAGGRLFTGYPVVGFQGRMQTSGSCARSPPTDTLSACPWDPRYKGLFFYESTAMFSPAARFRDFVLDVKRLRDVDPDSMCGVDAYNGLLVRFVKASEAYLGQPEDTVVVDFNYYRASDGSSPRLSQDVWEEVEQLAFVKHGARPHWAKNRLAAFRGVRGKYPSWDKFGAAKRQLDPRGLFDSRWSDEVVGGEEQLPKADGCALEGRCVCSEDRHCSPSKGYYCRPGLVYSEARVCRYSVSQLV >ONIVA01G30950.1 pep chromosome:AWHD00000000:1:27104937:27108233:-1 gene:ONIVA01G30950 transcript:ONIVA01G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein [Source:Projected from Arabidopsis thaliana (AT1G25420) TAIR;Acc:AT1G25420] MSSLNSLFNRSTFGTKWIKLLRNRRELQLINMRKEMVEHIIREQNILAAYEIVELFCEFVLARVPIVEVQKECPLELREAIASIIFASGRCSDLPELMHLRTLLTTKYGKEFVAAAMELRPDSGVNRTIIEKLSVKAPSAESKLKVLKAIAQEYGLEWDSSNTEAELNKKYEDLLDGSGSSARQGQLPIIENSPVASISRDMPSLSISPVEDTGKYQAAPQSPSSPAGSAVMHAATKSNMVSQEHHRSPADEISCATPSSSDVLEKARAAIAAANRASAAARAAADLVKVKITS >ONIVA01G30940.1 pep chromosome:AWHD00000000:1:27102072:27104279:-1 gene:ONIVA01G30940 transcript:ONIVA01G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYDELSRKGEGARRFKQGLGFSSSDPQSTSFPSKPPSTSSSFLSGFVRAGATPAPAQPTKQPPPPPHEPSRTGRHSRSPSPSRRHRTRSRSPSRSRRHRSRSRERRRRSRSREREREDRRASRRRSRSRSRSRSRSPSRRSGRSSYSEDRHGDRRRDDGGGRRGSSKGRGGREGGKVDYSRLIEGYDRMTPAERVKAKMKLQLSETASKDSTLGNATVGWERFEFNKDAPLDEDDNDVEVANDDASLVKHIGKSFRLSAVQSKHEDTVRDAHENAIFGVPAYPIVDTETTEAEPETNDESEKAKDVEAEPSSSLISDKVLATQSGSWRERAQKLRQNPNA >ONIVA01G30930.1 pep chromosome:AWHD00000000:1:27097838:27103340:1 gene:ONIVA01G30930 transcript:ONIVA01G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCRAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSSFQVSAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIASEKFAVDEYIKAPELLAILFNLGSKLLLHELPSILDGTAKEKAKPQDDSKITHAPKLNSDESWLSFNQEAKLLHNKVSSLFPAYSSMLLPTNSGWPGTRAKLQLVNQNGEPDVLEIKVISTKACASCDKTGDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNGLRGQRLLKSP >ONIVA01G30930.2 pep chromosome:AWHD00000000:1:27097838:27103340:1 gene:ONIVA01G30930 transcript:ONIVA01G30930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCRAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSSFQVSAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIASEKFAVDEYIKAPELLAILFNLGSKLLLHELPSILDGTAKEKAKPQDDSKITHAPKLNSDESWLSFNQEAKLLHNKVRAFAGWPGTRAKLQLVNQNGEPDVLEIKVISTKACASCDKTGDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNGLRGQRLLKSP >ONIVA01G30930.3 pep chromosome:AWHD00000000:1:27097838:27103340:1 gene:ONIVA01G30930 transcript:ONIVA01G30930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCRAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSSFQVSAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIASEKFAVDEYIKAPELLAILFNLGSKLLLHELPSILDGTAKEKAKPQDDSKITHAPKLNSDESWLSFNQEAKLLHNKLVNQNGEPDVLEIKVISTKACASCDKTGDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNGLRGQRLLKSP >ONIVA01G30930.4 pep chromosome:AWHD00000000:1:27097838:27103555:1 gene:ONIVA01G30930 transcript:ONIVA01G30930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCRAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSSFQVSAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIASEKFAVDEYIKAPELLAILFNLGSKLLLHELPSILDGTAKEKAKPQDDSKITHAPKLNSDESWLSFNQEAKLLHNKVSSLFPAYSSMLLPTNSGWPGTRAKLQLVNQNGEPDVLEIKVISTKACASCDKTGDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNEHTGNTRTYTKSGPKPVSES >ONIVA01G30930.5 pep chromosome:AWHD00000000:1:27097838:27103555:1 gene:ONIVA01G30930 transcript:ONIVA01G30930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCRAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSSFQVSAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIASEKFAVDEYIKAPELLAILFNLGSKLLLHELPSILDGTAKEKAKPQDDSKITHAPKLNSDESWLSFNQEAKLLHNKVRAFAGWPGTRAKLQLVNQNGEPDVLEIKVISTKACASCDKTGDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNEHTGNTRTYTKSGPKPVSES >ONIVA01G30930.6 pep chromosome:AWHD00000000:1:27097838:27101910:1 gene:ONIVA01G30930 transcript:ONIVA01G30930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCRAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSSFQVSAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIASEKFAVDEYIKAPELLAILFNLGSKLLLHELPSILDGTAKEKAKPQDDSKITHAPKLNSDESWLSFNQEAKLLHNKLVNQNGEPDVLEIKVISTKACASCDKTGDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNAGATQKPDLSFWRHRTREAMQ >ONIVA01G30930.7 pep chromosome:AWHD00000000:1:27097838:27104261:1 gene:ONIVA01G30930 transcript:ONIVA01G30930.7 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCRAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSSFQVSAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIASEKFAVDEYIKAPELLAILFNLGSKLLLHELPSILDGTAKEKAKPQDDSKITHAPKLNSDESWLSFNQEAKLLHNKLVNQNGEPDVLEIKVISTKACASCDKTGDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNGLRGQRLLKSP >ONIVA01G30920.1 pep chromosome:AWHD00000000:1:27089164:27090072:-1 gene:ONIVA01G30920 transcript:ONIVA01G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLCCSSQFLLAVSFLAAFAAVSNAGKVAVYWGQGAGNGDGTLAETCATGLYDFVNIAFLNVYGSGLTPVLNLAAHCNPDAGTCKSLSSEISSCQQSGVKVLLSLGGERGQYSLSSADDARGVADYLWNTFLGGSSDSRPLGDAVLDGIDFDIEKDGDHYDELAMALSSKCNGACVLTAAPQCPYPDAHLDAAIKTGVFSHVWVQFYNNRQCQYASGDASALQAAWAQWTSGVPSPADVFLGLPTAPAAAPSGGYIDADTLLSQVLPAVEGAAANYGGVMLWNRWRDVTAGYGAKLKGKV >ONIVA01G30910.1 pep chromosome:AWHD00000000:1:27079865:27085133:1 gene:ONIVA01G30910 transcript:ONIVA01G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSTNRFCKKVDLEVLPSRSDVGESSYHKHSLWMAHWARSSISPEPQNGQSCSPLKEIDDVGYSKDCGALPFELMKARVAERLMVGVSHGGVSAGNTRQFSTNMRGVARDVCQEVQCKNVDQMGSSFESSVMQKNVNLYAAKTVVSERYSVHKISDILVGSRKLCGTENLSSEWNHFPMFEINRKIDSILNPRRSALVTSSEKIFVPQKSVKINMSTSNVMSFSSKEYQLHTHQVTDGNRQCKSARGMLSHLDNYTGLNSDHAGKKLKGHLSIEEPCSCSKDDTDSSCSLADEHHARHYIPNSKKSPHRSCKNSSVYSASKMENQFVEGSLLEHKSEVYGACKKKQHLEGVAFHESALHREHEIKSVKTTAITNEGDMDTNGHHVDFGNLLQSDQQYLNKHTEDSAVNLTESCKTPDAIDSAMILKSKDESLAQEKRTNNKLIDNKRKGPCLFEMFTQPTKSNVKCSIDRTSSGKSCGNMTSGLLGAQKQFSTKTDTFYSEAHHASKSTAGFASASMQKDLGYPFSAKTEQLVTSSVKGVSSGSKGNEAVNTSAEHRDFYPKATCVNNQEWSMSKTSSMNLDLVLFQISRLKNPIPNALNESPACPDPSEKWLKRLQHDTSDSHVPCSKKPKVGDGPLAGGTCTVFGQVFDCDSDSTGMINHVKNKLICKGLTDQQSQEGSPMSAKSLNRWIGRWCRGGTPVFHGTSNLERQEAKSGMPSDDLEGQFPSIAAMAMMGRVMNKLRPCELQKRGPSVVWRTEGL >ONIVA01G30900.1 pep chromosome:AWHD00000000:1:27074852:27075301:1 gene:ONIVA01G30900 transcript:ONIVA01G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRSKCPRIVVGEDGRAVWRARARRLPSLLPLHSWIFLLFLSALPRPRGRAAVVAGRWQSGGAGGDLAKDAVRTSDARGATTRNSLREGGRGAAVRLGRRPAGGQERNGDAQQLAGEGRRRTPADGWDGEKRRHVPCRWRGWPTGGR >ONIVA01G30890.1 pep chromosome:AWHD00000000:1:27069703:27072493:1 gene:ONIVA01G30890 transcript:ONIVA01G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQESLVLAGVMHGHNDVVTAIATPIDNSPFIVSSSRDKSLLVWDLTNPVQNVGEGAGASEYGVPFRRLTGHSHFVQDVVSVDNRQIVSASRDRTIKLWNTLGECKYTIGGDLGGGEGHNGWVSCVRFSPNTFQPTIVSGSWDRTVKVWNLTNCKLRCNLEGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYSLDAGSIIHSLCFSPNRYWLCAATQDSIKIWDLESKHIVQDLKPEIPVSKNQMLYCTSLNWSADGSTLYAGYTDGTIRIYKISGFSYAG >ONIVA01G30880.1 pep chromosome:AWHD00000000:1:27064511:27066502:-1 gene:ONIVA01G30880 transcript:ONIVA01G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFALMAGRLLTESTLQSAVQEALAVASVKIVHDQPDLPVHEDVQDGKAKSGVMVECRICQEEVLFLPAILTQQISLLPEYLLELVCHCLQYAHHACIQRWCNEKGDTVCEICLQQFTPNYTAPLKLFRHGRNLISFRRSGERSDNVDTDRSQEHFAQTSDQAAGTSSFDSQNSSPKGVFYCRVVAISLMALLVLRDAISLILGDPEVYSIALFTLLMIRTAGIVIPIYIILVSVTTLLHRYRQHQAVHEATDSEPGGGEGLRPMPPPQHVISIQ >ONIVA01G30870.1 pep chromosome:AWHD00000000:1:27061612:27063845:1 gene:ONIVA01G30870 transcript:ONIVA01G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALALPSEADLPYEEDVLRDPHSIRPWRRYLAARAAAPLQERAVRALPGSYKLWHAYLLERTAAAARAKPHCGEHPANEALNRAFERALATLHRMPRIWEMYLAALLEQRLVTRARRAFDRALRALPVTQHHRVWPLYLRLAALPSCPAETSIRIYRRFLQFEPSRADELVELLVSAGRWQEAADHIVSVLNGGSDVSDNDRALLLKLCDLLAKHADEVAGLKVESVLRGAMRELPDEAGRLRALLAECYARRGLYDKARDVFEEGVTTAATAAEFALVFEAYAQLEQSLVAAKMEKTADEEGDKLFAKMYEAHGNLDSADEVFAKATQASHRSADDLATVWCEWAEMQLRHKRFDKAIALMRQATAEPSAEVKLRAAAAAAAGDDEPAQLKLHKSAKLWSFYVDLEESLGALASTRAAYEGAMAARAATPQMVINYASFLEERGYFEDSFAACETGANLFGHPHSKPIWDTYLERFVARHGGSKAERARELFAEATRRAPPHDRARLFLRHARDRASVYEAYAGRAAELRGVPKVRRVYEQAIESGGLPRRDALALCLRLAALEEALGEAARARAVFVHASGYGDPDADEEFWAKWSGFEVRHGDERTFTDMLRIRRTQKHAKTPGVQVNGELKDEKKKRCADQLGATQSKRQRV >ONIVA01G30860.1 pep chromosome:AWHD00000000:1:27058267:27059610:1 gene:ONIVA01G30860 transcript:ONIVA01G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPDLHPWVVLDRYVKDADLVNMRDPKWAAVKCARKEAYGCGEFGQELVDGLTLYVRRTDGPRDLFSALAVRATDEMLRKVAAPTKIQKSLIRPFFRCQASMNARVTFVCELHAAEENLVVLSASFDHAHHIYYLVYDGIDESLTMIPSLSPHRFVAFHPRPVLRRRATGGYDLALIARYLSRKSREDGDVLLLFTKEKESSCCSDQWMEKKKDMRLPTGPLGFFCPDMFFSFKGNTFWVDLSQGFMCCDTNVLFSGDTVDFRHLSFPPQYLLHDIIKSQELGPMEMYRTMGVSGGSIKFVSINTPATDAAAGKPPGRPCHDATALANTTVAVWTLDQGGLCWKKDVEFRLGNIWSQRDYKQSGLPRMVPVWPFLRPHAHGTLYFLVPKPMTGPSDPQMYHICGLDMCTKKIQLSQYSVRSNILRPAAFPTNAFQHLDESPLATK >ONIVA01G30850.1 pep chromosome:AWHD00000000:1:27051041:27056433:1 gene:ONIVA01G30850 transcript:ONIVA01G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FRE3] MAGIRLTPEEPELPQGTPPRPQLPPAFAGAAGAALAGSGSGGGGLEMASDDERSVAADSWSVRSEYGSTLDDDQRYADAAEVLAAAAASANFPSGASDCCSDKDDQDPSEVEGSMLGLQSYWDASYSEDLANFQEHGHAGEIWFGADVMDTMAIWTKKLCTDIINGGTPSGNDSINCEVDEKQLSNYPVLDVGTGNGLLLQALAKQGFSNLTGTDYSEGAIELAKNLAARDGFSSINFLVDDILETKLDRKFKIVTDKGTLDAIGLHPDGRIKRVMYWESVSNLVEPGGIVVVTSCNHTKDELVQEVEDFSKTKSGKEHLDEGEGNVPQIFRYIDHVRTYPTIMFGGVEGSQVCTVAFQRV >ONIVA01G30840.1 pep chromosome:AWHD00000000:1:27042239:27048127:1 gene:ONIVA01G30840 transcript:ONIVA01G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETPARRSPPHLLLVSAPLQGHVNPLLCLGGRLSSRGLLVTFTTVPHDGLKLKLQPNDDGAAMDVGSGRLRFEPLRVGRLWAPADPRYRAPGDMQRHIQDAGPAALEGLIRRQANAGRPVSFIVANAFAPWAAGVARDMGVPRAMLWTQSCAVLSLYYHHLYSLVAFPPAGAETGLPVPVPGLPALTVGELPALVYAPEPNVWRQALVADLVSLHDTLPWVLVNTFDELERVAIEALRAHLPVVPVGPLFDTGSGAGEDDDCVAWLDAQPPRSVVFVAFGSVVVIGRDETAEVAEGLASTGHPFLWVVRDDSRELHPHGESGGGGDKGKVVAWCEQRRVLAHPAVGCFVTHCGWNSTTEALAAGVPVVAYPAWSDQITNAKLLADVYGVGVRLPVPPTRDALRRCVDEVMSGPEAEAMRLRAREWSDKASAAVANGGSSDMGIRDFADALLSLSPRAASEMSQESAAAAATGMAPAPAKAQPHVLLVSSPFQSHVNPLLRLGRRLAGKGLSVTFTTALRDGIRVFDDGDGGGGGVRVERLRGGGMWEPDDPRLRIPGDMARHVEAAGPAALEELIRREAEAGRPVACVVANAFVSWAVRVAGDVGLPCAILWIQSCAVLSVYYHYVYSLAAFPSGDEADSSGAVTIPGLPELDMDELRPLLIYTSDQEMWRQMLVGDLGSMTEKAPWVFVNTFDELEHEAVAGLRKHIPLIPVGPLVEPDDGGVDDDDVHGCTAWLDAQPRRSVVFLAFGSLVDIGHDEVVEIAEGLASTGRPFLWVLRDGNRALLPKDALIDACGGDRGKVVPWCEQRRVLAHAAVGCFVTHCGWNSTAEALAAGVPMVASPRWSDQRINTRFVVDVYRVGVRAPATPLTREALRLSVEEVTAGPEAEAMAARAAILGEKARAAVGGGGSSDRGVQAFVDRITSGGAEP >ONIVA01G30830.1 pep chromosome:AWHD00000000:1:27033767:27038829:1 gene:ONIVA01G30830 transcript:ONIVA01G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRPPHAAMTPPSSHPRAIPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRTRCGTRHSGLRLSSPDDILIPFTCLSNPCEGQRLQLHRLQAIDCGRYNGGDKERFAVISSLLSFAQVATYVLPTIGSSKGIVRRINTQTFLGFTISLLQPTALPALPTTTPTRATAEASHRAEEASQVWNYEERMTICRTKACVEEKGKLAKFVGRGELKGNGSSLQVEESWSGMASASEANGLCCHSRMTLEVLFFFSSYR >ONIVA01G30830.2 pep chromosome:AWHD00000000:1:27033767:27038829:1 gene:ONIVA01G30830 transcript:ONIVA01G30830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRPPHAAMTPPSSHPRAIPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRTRCGTRHSGLRLSSPDDILIPFTCLSNPCEGQRLQLHRLQAIDCGRYNGGDKERFAVISSLLSFAQVATYVLPTIGSSKGIVRRINTQTFLGFTISLLQPTALPALPTTTPTRATAEASHRAEEASQVWNYEERMTICSPENYVAGRGELKGNGSSLQVEESWSGMASASEANGLCCHSRMTLEVLFFFSSYR >ONIVA01G30830.3 pep chromosome:AWHD00000000:1:27033767:27038829:1 gene:ONIVA01G30830 transcript:ONIVA01G30830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRPPHAAMTPPSSHPRAIPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRTRCGTRHSGLRLSSPDDILIPFTCLSNPCEGQRLQLHRLQAIDCGRYNGGDKERFAVISSLLSFAQVATYVLPTIGSSKGITISLLQPTALPALPTTTPTRATAEASHRAEEASQVWNYEERMTICSPENYVAGRGELKGNGSSLQVEESWSGMASASEANGLCCHSRMTLEVLFFFSSYR >ONIVA01G30830.4 pep chromosome:AWHD00000000:1:27033767:27038827:1 gene:ONIVA01G30830 transcript:ONIVA01G30830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRPPHAAMTPPSSHPRAIPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRTRCGTRHSGLRLSSPDDILIPFTCLSNPCEGQRLQLHRLQAIDCGRYNGGDKERFAVISSLLSFAQVATYVLPTIGSSKGITISLLQPTALPALPTTTPTRATAEASHRAEEASQACVEEKGKLAKFVGRGELKGNGSSLQVEESWSGMASASEANGLCCHSRMTLEVLFFFSSYR >ONIVA01G30830.5 pep chromosome:AWHD00000000:1:27033767:27038829:1 gene:ONIVA01G30830 transcript:ONIVA01G30830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRPPHAAMTPPSSHPRAIPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRTRCGTRHSGLRLSSPDDILIPFTCLSNPCEGQRLQLHRLQAIDCGRYNGGDKERFAVISSLLSFAQTISLLQPTALPALPTTTPTRATAEASHRAEEASQVWNYEERMTICSPENYVAGRGELKGNGSSLQVEESWSGMASASEANGLCCHSRMTLEVLFFFSSYR >ONIVA01G30830.6 pep chromosome:AWHD00000000:1:27033767:27038829:1 gene:ONIVA01G30830 transcript:ONIVA01G30830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRPPHAAMTPPSSHPRAIPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRCCIASKPSTAAGIMEVIRRGSR >ONIVA01G30830.7 pep chromosome:AWHD00000000:1:27033767:27038829:1 gene:ONIVA01G30830 transcript:ONIVA01G30830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRPPHAAMTPPSSHPRAIPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRCCIASKPSTAAGIMEVIRRGSR >ONIVA01G30820.1 pep chromosome:AWHD00000000:1:27028420:27028959:1 gene:ONIVA01G30820 transcript:ONIVA01G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPGAARGAKVVLPDGAVRAVKVPAKAAELMLEAPGHFLADARALRAGGRIAALGADEDLELGGLYAAFPMKRLGAPAAPADMARLAAAVSRDQAAARRSSATAKVAAVVVAPQHDAAMAEEEEDAARPRLDEMAVGDAAAEAEISELKQRISGGRRSRRPTLETIHEESYAPAARC >ONIVA01G30810.1 pep chromosome:AWHD00000000:1:27021703:27026137:1 gene:ONIVA01G30810 transcript:ONIVA01G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVKDQLHQMSTTCDSLLLELNVIWDEVGEPDTTRDRMLLELEQECLEVYRRKVDQANRSRAQLRKAIAEGEAELAGICSAMGEPPVHVRQSNQKLHGLREELNAIVPYLEEMKKKKVERWNQFVHVIEQIKKISSEIRPADFVPFKVPVDQSDLSLRKLDELTKDLESLQKEKSDRLKQVIEHLNSLHSLCEVLGIDFKQTVYEVHPSLDEAEGSKNLSNTTIERLAAAANRLREMKIQRMQKLQDFASSMLELWNLMDTPLEEQQMFQNITCNIAASEQEITEPNTLSTDFLNYVESEVLRLEQLKASKMKDLVLKKKAELEEHRRRAHLVGEEGYAEEFSIEAIEAGAIDPSLVLEQIEAHIATVKEEAFSRKDILEKVERWQNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARTLVNKIPGMVDVLRTKIAAWKNERGKEDFTYDGVSLSSMLDEYMFVRQKKEQEKKRQRDQKKLQDQLKAEQEALYGSKPSPSKPLSTKKAPRHSMGGANRRLSLGGATMQPPKTDILHSKSVRAAKKTEEIGTLSPSSRGLDIAGLPIKKLSFNASTLRETETPRKPFAQITPGNSVSSTPVRPITNNTEDDENRTPKTFTALNPKTPMTVTAPMQMAMTPSLANKVSATPVSLVYDKPEVTLQEDIDYSFEERRLAIYLARQMV >ONIVA01G30800.1 pep chromosome:AWHD00000000:1:27016505:27021020:1 gene:ONIVA01G30800 transcript:ONIVA01G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0FRD2] MATRRALTSVLRSASRLRAASPSPCPRRAPLHPHRRPSPAGFLLNRAAAAYASSAAAQAAPAPPPASGKATGGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDHNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDMQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLPEQSFYMVGGIDEVIAKAEKIAKESAS >ONIVA01G30790.1 pep chromosome:AWHD00000000:1:27008606:27015221:1 gene:ONIVA01G30790 transcript:ONIVA01G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMTVPPWRGWRERERVGKGERKKRKAKIKQEAMVPVPDIMYETDDEDSMASDSMDEVSEEELVVSDMHVVADDVVAGEEVHVVADSMDDEVGEEVLLVVADSMDDEVGEEVLLVARLGSL >ONIVA01G30780.1 pep chromosome:AWHD00000000:1:26996924:27008553:-1 gene:ONIVA01G30780 transcript:ONIVA01G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA LIGASE 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) TAIR;Acc:AT1G66730] MAYLFSSPDSKSLSVATSTLFLSSLASLPSPHSEPPSASAASPLSPVPASVPPTALIPGSRFLVDAFRHAGDFTASYFLSHFHSDHYTGLGPSWRRGLVFCSPLTARLLVSVLSVPPQLVVVLDAGVRVTVDGWCVVAVDANHCPGAVQFLFRSSGPNAERYVHTGDFRFSQSMITEPNLLEFIGADAVFLDTTYCNPKFTFPPQKESLEYVVNSIKRVKEESRASGERVLCLIATYVVGKERILLEIARRCGCKIHVDSRKMEILTLLGIGGEDGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKMKEIMVERGYNKAVGFVPTGWMYETKKEGFAVRTKDSLEIHLVPYSEHSSYNELRDYVKFLHPKRVIPTVGLDGGKLDSKEAFALQKHFAGLVDETANKQEFLMAFHRSSRNATLGPEDAVTGLSQQEGEVQELEEATLLPASLAFERSDSFQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVYNSETPKSEIDLSSDHGSSADASSQQEVPLFSQKPMDHSSKLLNLNAMRMKSNLSKRERKRGSNSADKPKKKGRSTAFKPLTESSGRKQSTITNYFARTMLAASKSDTSDKVTVDANQNNVRNDDQFTEVVESEKQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDMNIVTQNTENEMTDKPSSTGLLRNSSEATPKMPNLCVQSYVAQADSVCISLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENIEALGSSRSKIHEMYKTFGDLGDVAQECRQNQMLLAPPRPLSIRDVFSALRKLREMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKYSNSPVLSLEGIKPQLQELSTEVAEAYNVIPNLDLLIPSLLREGTAFSASSLAMITGTPIPPMLARITNGLTQSLKLFNGRAFTCEYKYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDLVGMIKELCSIEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGQSLLNCSLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLERMNTFFKMACQSSCEGIMLKILDVDAGYSASKRCDSWLKVKRDYVGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEFQSVCRVMSGFSDEFYKEPQPAAALADCRRCAQRPVAGGLPLLDCSACRCATSRSPMLSPVVTHQLDAGSTPPIARDGESMEMMKEFYSGDRILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSRPDRSPEDCSTATDVASLFKAQTRKMEVSSDGQDTSH >ONIVA01G30780.2 pep chromosome:AWHD00000000:1:26996924:27008553:-1 gene:ONIVA01G30780 transcript:ONIVA01G30780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA LIGASE 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) TAIR;Acc:AT1G66730] MAYLFSSPDSKSLSVATSTLFLSSLASLPSPHSEPPSASAASPLSPVPASVPPTALIPGSRFLVDAFRHAGDFTASYFLSHFHSDHYTGLGPSWRRGLVFCSPLTARLLVSVLSVPPQLVVVLDAGVRVTVDGWCVVAVDANHCPGAVQFLFRSSGPNAERYVHTGDFRFSQSMITEPNLLEFIGADAVFLDTTYCNPKFTFPPQKESLEYVVNSIKRVKEESRASGERVLCLIATYVVGKERILLEIARRCGCKIHVDSRKMEILTLLGIGGEDGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKMKEIMVERGYNKAVGFVPTGWMYETKKEGFAVRTKDSLEIHLVPYSEHSSYNELRDYVKFLHPKRVIPTVGLDGGKLDSKEAFALQKHFAGLVDETANKQEFLMAFHRSSRNATLGPEDAVTGLSQQEGEVQELEEATLLPASLAFERSDSFQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVYNSETPKSEIDLSSDHGSSADASSQQEVPLFSQKPMDHSSKLLNLNAMRMKSNLSKRERKRGSNSADKPKKKGRSTAFKPLTESSGRKQSTITNYFARTMLAASKSDTSDKVTVDANQNNVRNDDQFTEVVESEKQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDMNIVTQNTENEMTDKPSSTGLLRNSSEATPKMPNLCVQSYVAQADSVCISLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENIELNIGGSLVVSALEEALGSSRSKIHEMYKTFGDLGDVAQECRQNQMLLAPPRPLSIRDVFSALRKLREMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKYSNSPVLSLEGIKPQLQELSTEVAEAYNVIPNLDLLIPSLLREGTAFSASSLAMITGTPIPPMLARITNGLTQSLKLFNGRAFTCEYKYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDLVGMIKELCSIEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGQSLLNCSLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLERMNTFFKMACQSSCEGIMLKILDVDAGYSASKRCDSWLKVKRDYVGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEFQSVCRVMSGFSDEFYKEMKEFYSGDRILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSRPDRSPEDCSTATDVASLFKAQTRKMEVSSDGQDTSH >ONIVA01G30780.3 pep chromosome:AWHD00000000:1:26996924:27008553:-1 gene:ONIVA01G30780 transcript:ONIVA01G30780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA LIGASE 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) TAIR;Acc:AT1G66730] MAYLFSSPDSKSLSVATSTLFLSSLASLPSPHSEPPSASAASPLSPVPASVPPTALIPGSRFLVDAFRHAGDFTASYFLSHFHSDHYTGLGPSWRRGLVFCSPLTARLLVSVLSVPPQLVVVLDAGVRVTVDGWCVVAVDANHCPGAVQFLFRSSGPNAERYVHTGDFRFSQSMITEPNLLEFIGADAVFLDTTYCNPKFTFPPQKESLEYVVNSIKRVKEESRASGERVLCLIATYVVGKERILLEIARRCGCKIHVDSRKMEILTLLGIGGEDGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKMKEIMVERGYNKAVGFVPTGWMYETKKEGFAVRTKDSLEIHLVPYSEHSSYNELRDYVKFLHPKRVIPTVGLDGGKLDSKEAFALQKHFAGLVDETANKQEFLMAFHRSSRNATLGPEDAVTGLSQQEGEVQELEEATLLPASLAFERSDSFQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVYNSETPKSEIDLSSDHGSSADASSQQEVPLFSQKPMDHSSKLLNLNAMRMKSNLSKRERKRGSNSADKPKKKGRSTAFKPLTESSGRKQSTITNYFARTMLAASKSDTSDKVTVDANQNNVRNDDQFTEVVESEKQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDMNIVTQNTENEMTDKPSSTGLLRNSSEATPKMPNLCVQSYVAQADSVCISLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENIEALGSSRSKIHEMYKTFGDLGDVAQECRQNQMLLAPPRPLSIRDVFSALRKLREMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKYSNSPVLSLEGIKPQLQELSTEVAEAYNVIPNLDLLIPSLLREGTAFSASSLAMITGTPIPPMLARITNGLTQSLKLFNGRAFTCEYKYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDLVGMIKELCSIEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGQSLLNCSLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLERMNTFFKMACQSSCEGIMLKILDVDAGYSASKRCDSWLKVKRDYVGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEFQSVCRVMSGFSDEFYKEMKEFYSGDRILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSRPDRSPEDCSTATDVASLFKAQTRKMEVSSDGQDTSH >ONIVA01G30780.4 pep chromosome:AWHD00000000:1:26996924:27008553:-1 gene:ONIVA01G30780 transcript:ONIVA01G30780.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA LIGASE 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) TAIR;Acc:AT1G66730] MAYLFSSPDSKSLSVATSTLFLSSLASLPSPHSEPPSASAASPLSPVPASVPPTALIPGSRFLVDAFRHAGDFTASYFLSHFHSDHYTGLGPSWRRGLVFCSPLTARLLVSVLSVPPQLVVVLDAGVRVTVDGWCVVAVDANHCPGAVQFLFRSSGPNAERYVHTGDFRFSQSMITEPNLLEFIGADAVFLDTTYCNPKFTFPPQKESLEYVVNSIKRVKEESRASGERVLCLIATYVVGKERILLEIARRCGCKIHVDSRKMEILTLLGIGGEDGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKMKEIMVERGYNKAVGFVPTGWMYETKKEGFAVRTKDSLEIHLVPYSEHSSYNELRDYVKFLHPKRVIPTVGLDGGKLDSKEAFALQKHFAGLVDETANKQEFLMAFHRSSRNATLGPEDAVTGLSQQEGEVQELEEATLLPASLAFERSDSFQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVYNSETPKSEIDLSSDHGSSADASSQQEVPLFSQKPMDHSSKLLNLNAMRMKSNLSKRERKRGSNSADKPKKKGRSTAFKPLTESSGRKQSTITNYFARTMLAASKSDTSDKVTVDANQNNVRNDDQFTEVVESEKQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDMNIVTQNTENEMTDKPSSTGLLRNSSEATPKMPNLCVQSYVAQADSVCISLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENIEALGSSRSKIHEMYKTFGDLGDVAQECRQNQMLLAPPRPLSIRDVFSALRKLREMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKYSNSPVLSLEGIKPQLQELSTEVAEAYNVIPNLDLLIPSLLREGTAFSASSLAMITGTPIPPMLARITNGLTQSLKLFNGRAFTCEYKYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDLVGMIKELCSIEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGQRKLTVFFCSLLNCSLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLERMNTFFKMACQSSCEGIMLKILDVDAGYSASKRCDSWLKVKRDYVGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEFQSVCRVMSGFSDEFYKEMKEFYSGDRILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSRPDRSPEDCSTATDVASLFKAQTRKMEVSSDGQDTSH >ONIVA01G30770.1 pep chromosome:AWHD00000000:1:26985595:26987368:1 gene:ONIVA01G30770 transcript:ONIVA01G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHAAVAGVRLLVRRRRVQLRRRRHVLPLPVDPAGATGGDARGRGVHLRGDGGGGGGGGGAALAARRADLPGATTSHYYRRRLLGQRRDPRRRVLARARRSRRVPQRRPLRRVRPAAPGQVVHGALRVLLPQRRGGGDVAGGHGHRQAGGAVPQPDMTSQTLMIHPSNESARVIHDD >ONIVA01G30760.1 pep chromosome:AWHD00000000:1:26982202:26983264:-1 gene:ONIVA01G30760 transcript:ONIVA01G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTAASPELGPSRFSVASVHRVGILDVCLLNIDRHADNILVKKSPESKCASGDSTPTPLDFLYGSGIAKSVSFSAAVPQPAPLPPHPAASSLPAGLPREEKRRGREREEGR >ONIVA01G30750.1 pep chromosome:AWHD00000000:1:26978580:26981686:-1 gene:ONIVA01G30750 transcript:ONIVA01G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDAICQKYGRYDAEKLHGSGVAGEDPFARLYASVDADLNECLEKAEAAKQEKNRATVVALNAEIRGTKAKLLEEDLPKLQRLALKKVKGLSKEELAIRGDLVTALPDRIQSIPDGSATSSKKTGLWGSSGSRAGTGIKFDSTYDLEWKLIYVGSAEDENYDQLLESVLVGPANVGTYRFVLQADPPDPSKIRKEDIIGVIVLLLTCSYMGQEFIRVGYYVNNDNDDEQLREEPPAKLLIDRVQRNILADKPSVTKFPINFHPETSAGAGQEQQQQQQSGSPENHPNQGSKPNPDQ >ONIVA01G30740.1 pep chromosome:AWHD00000000:1:26972004:26975052:-1 gene:ONIVA01G30740 transcript:ONIVA01G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0FRC3] MAVAAAAREEQPLLLRREEGEEEGEEVGWRRRWGSEAGKLAYLALPMVAVSLTNYAVQVSSNMMVGHLPGVLPLSSAAIATSLASVTGFSLLIGMASALETLCGQAYGAKQYHTLGVHTYRAILTLLVVCIPLSLLWVFMGKILVLIGQDPLISHGAGRYIVWLIPGLFANALIQPITKFLQSQSLIMPMLVASVATLVFHIPLCWLMVFKTGLGYTGAALSISISYWLNVAMLVAYILLSSSCKETRTPPTIEAFKGLDGFLRLALPSALMICLEWWSFELLILMSGLLPNPELQTSVLSVCLTSITLLFTIPYGLGAGGSTRVANELGAGNPEGARSAVYVVLSVAVTEALIVCGTLLASRRLLGRAYSSEEEVISFVAMMVPLVCITVVTDGLQGVMSGIARGCGWQHLGAYVNLGSFYLLGIPMAILLGFVLHMGAKGLWMGIVCGSISQITLLSAITFFTNWQKMAENARERVFSEKPTEPSRYHLVE >ONIVA01G30720.1 pep chromosome:AWHD00000000:1:26936718:26940467:1 gene:ONIVA01G30720 transcript:ONIVA01G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein prenylyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10095) TAIR;Acc:AT1G10095] MALLVCMPALNPINSHMTKTKLLVLSSLIPVNSQTLIWHLRRQNTKGKDPGFATGWGGSGVTQIPQSTEKPLRKLTLEDTRPFGCTSTDFARTEKSEESNGTRSSNSFGSQARGSGAARGGDEVGFLHPTQFQSLEHSQTSNWTFEVPECPQRYLWCKDHKLAISTEILPKLYRAAQHAYSNSAAAKDGSFMETDLMRHSKALLILCPDMLTAWNSRKIVLSVNYDFTKLKDELQLCALILSYSPKNESTWSHRRWVIKKVSEHNQDVSELIEMESVLVKQIAEKSKMNYRAWRHRCWLIPYMTREQSLLLALLDSCHVEDTEGSLDRKSEVHLLWKEELTWNEKLIRRYQGRESLWIHRRFLSQWWMKFLLSSEETECAAGTSLVDLFLVQEIYLLSDCLNAPADEFGEACVQSELAALYILWISKQVPAVKLKLEERLHSLGSLEDVLARACPERSRLWTHLIA >ONIVA01G30710.1 pep chromosome:AWHD00000000:1:26930302:26930732:-1 gene:ONIVA01G30710 transcript:ONIVA01G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPCWTLQKTLVTSVRSQSKIWGNQGALNLRLLHCAGAMLGFLCPMSSGLHLDAAL >ONIVA01G30700.1 pep chromosome:AWHD00000000:1:26927259:26928048:1 gene:ONIVA01G30700 transcript:ONIVA01G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRVKIAVDDPRHRGNVCHLYTDILCQASVLQVLMCCTWGMAIAALSTALFARQHRFCISAFKCTRFLVGFVLAVSVGFLSAVKALGMLWILGSQFPRAE >ONIVA01G30690.1 pep chromosome:AWHD00000000:1:26920190:26924227:1 gene:ONIVA01G30690 transcript:ONIVA01G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT3G18215) TAIR;Acc:AT3G18215] MVREEKLDFVLVPLGLAVLAVYHLWLLYAVLRHPTRTVVGLNAIARKRWVTVMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFLGATAGRSPASPSSSSSGAPLLVYGSKTGEVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPVAGAGAGEGEGEAAAAAAVAREEFAAYVARTVNRGSHSWSLGLRAFYASLALFMWTFGPIPMLACSVLMCGLLYFLDTTRERAAAVAGIHHGHKDSTV >ONIVA01G30690.2 pep chromosome:AWHD00000000:1:26920190:26924027:1 gene:ONIVA01G30690 transcript:ONIVA01G30690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT3G18215) TAIR;Acc:AT3G18215] MVREEKLDFVLVPLGLAVLAVYHLWLLYAVLRHPTRTVVGLNAIARKRWVTVMMANCQPVCRTFLWAQNTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFLGATAGRSPASPSSSSSGAPLLVYGSKTGEVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPVAGAGAGEGEGEAAAAAAVAREEFAAYVARTVNRGSHSWSLGLRAFYASLALFMWTFGPIPMLACSVLMCGLLYFLDTTRERAAAVAGIHHGHKDSTV >ONIVA01G30690.3 pep chromosome:AWHD00000000:1:26920190:26924227:1 gene:ONIVA01G30690 transcript:ONIVA01G30690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT3G18215) TAIR;Acc:AT3G18215] MVREEKLDFVLVPLGLAVLAVYHLWLLYAVLRHPTRTVVGLNAIARKRWVTVMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFLGATAGRSPASPSSSSSGAPLLVYGSKTGEVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPVAGAGAGEGEGEAAAAAAVAREEFAAYVARTVNRGSHSWSLGLRAFYASLALFMWTFGPIPMLACSVLMCGLLYFLDTTRERAAAVAGIHHGHKDSTV >ONIVA01G30690.4 pep chromosome:AWHD00000000:1:26920294:26924227:1 gene:ONIVA01G30690 transcript:ONIVA01G30690.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT3G18215) TAIR;Acc:AT3G18215] MVREEKLDFVLVPLGLAVLAVYHLWLLYAVLRHPTRTVVGLNAIARKRWVTVMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFLGATAGRSPASPSSSSSGAPLLVYGSKTGEVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPVAGAGAGEGEGEAAAAAAVAREEFAAYVARTVNRGSHSWSLGLRAFYASLALFMWTFGPIPMLACSVLMCGLLYFLDTTRERAAAVAGIHHGHKDSTV >ONIVA01G30680.1 pep chromosome:AWHD00000000:1:26913395:26918897:-1 gene:ONIVA01G30680 transcript:ONIVA01G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRWYPPSSTAAQPSPDGGDVNTDDADACPSSRQQRPPSLPQHSAPIHHRRRVINSIDASGEVMEYGSSNDQRMQDMEIWPSDGGGVEEYDPWTAWLYKPHTVSVLLAGACLLIWASGVLHPEITSSHDKVIPIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGMAVVYLVALTFLLFQNYQRDHMVLTAETLFDEFVIAHVLGWWGKAVMIRNQLLLWVLSVGFELMELTFRHMLPNFNECWWDSIILDIMICNWFGIWVGMHTVRYFDGKTYEWVGLSRQPSIMGKKSGCLVCSLVSLIPEFLSNWFILRKLVKFTLSSLVNHFHCGRNPLVVYRLILWWLIAIPAIREYNTYLQDRSS >ONIVA01G30670.1 pep chromosome:AWHD00000000:1:26907850:26913655:1 gene:ONIVA01G30670 transcript:ONIVA01G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FRB3] MAAAAAAAASVASQAQAVLRGRLCDQAVVHSALRSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKAAVRFSFLVYSSAPLSAVFSDAGVVFDEMCQWAIYDFTLWVVSAWTEVIRLNGMLHSDDNCATKASSDDNTEFMIDMLRECGLAHKTIIFVLEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVPSSVDSLQRLMEHLLALPEDSPLPTKYVREYNARITSIFNDKKFKGILSSLTDADATTSHILRFLVVSYMDIDSGLLSMQSFMNALSSMQRQPKMDEYKSVQDAYKTSDKYSHTVCFRAFEHLLDRELISFADNKGRNQALEYRPVKLLISSRELAESLKLNTTCPAVLQKLLDRERYM >ONIVA01G30670.2 pep chromosome:AWHD00000000:1:26907850:26913655:1 gene:ONIVA01G30670 transcript:ONIVA01G30670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FRB3] MAAAAAAAASVASQAQAVLRGRLCDQAVVHSALRSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKAAIRLNGMLHSDDNCATKASSDDNTEFMIDMLRECGLAHKTIIFVLEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVPSSVDSLQRLMEHLLALPEDSPLPTKYVREYNARITSIFNDKKFKGILSSLTDADATTSHILRFLVVSYMDIDSGLLSMQSFMNALSSMQRQPKMDEYKSVQDAYKTSDKYSHTVCFRAFEHLLDRELISFADNKGRNQALEYRPVKLLISSRELAESLKLNTTCPAVLQKLLDRERYM >ONIVA01G30660.1 pep chromosome:AWHD00000000:1:26873002:26876075:1 gene:ONIVA01G30660 transcript:ONIVA01G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEKRVVKLATSPVAASQSCTGGGVVEGQGGDRSRRLHVADGEEGDEAGSASDQRKAGHRRRPEPASARKPQGGLIGWVGSSGTDGSGAQELSRGGSSAQNLGNDNGNGGTGARDLGGDDKDGGSGAWELVDSGVNLEGGSNIMLTGGLPSSFSPSPFLRIVILPCQI >ONIVA01G30650.1 pep chromosome:AWHD00000000:1:26857682:26880484:-1 gene:ONIVA01G30650 transcript:ONIVA01G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGELVTRSSMAAASASASAIACLLFLGFLPSLATAVSFSYSTFSNATQNITLQGSAAIAGDGWIEITTGGNLPSGGTMGRVAYSPPVQLWDAATGEVASFTTRFSFNITPTNLDNKGDGMAFFLVGYPSRMPDTADGGALGLTSRTFDAVMSGDNRFVAVEFDTFNNSFDPSATYDHIGIDVNSIRSVQTESLPSFSLTGNMTAIVDYNGSSSILSAQLVKTWTNGSTTLYNLSTTVDLKTALPEKVSVGFSAATGSSLELHQLHSWYFNSSFQQNPPPAAQPSPTTSGPGLAGVIAGATAGAALFVVLLFAMVVVLVRRCRSKKRREAEEAEEARHVGLAGDDDDDDDDGEPIVEIEMGMGPRQIPYHELVEATKSFAAEEKLGQGGFGSVYRGYLREQGLAVAIKRFAKDSSKQGKKEYRSEIKVISRLRHRNLVQLIGWCHGRDELLLVYELVPNRSLDIHLHGNGTFLTWPMRVKIVLGLGSALFYLHEEWEQCVVHRDIKPSNVMLDESFNAKLGDFGLARFIDHAVGMQTMTAISGTPGYVDPECVITGRASAESDVYSFGIVLLEVACGRRPMSLQDNQKNGIFRLVEWAWDLYGQGDVVSAADERLNGDYDVSEMERVIVVGLWCAHPDPSARPPIRVAMAMLQSSGQLPVLPAKMPVPTYAPPVASVEGLFTSSTGMSSSSATQLSSTTSGYITHTSSSSNTSTSAGSKDSLIANPPAPVAALSFNYPTFASSDNQNIDIQGQASVSVGYIDISANSVGNNVGRVFYKPPVQLWDAATGEVASFTTRFSFNIIAPSDRSKKGDGMAFFLTSYPSRLPVGHEGGENLGLTNQTVGNVSTGQNRFVAVEFDTFVNPFDPNTTNDHIGIDVNSVVSVTNESLPNFSLIGNMTATVDYNNNSRILSVKLWINETTTPYTLSSMVDLKRALPENVTVGFSASTGSAFEQHQLTSWYFKSSSSFEQKLAAKVASPPPPSSPSPPPPSLTPITSHSRRGGVVAGATLGAVMFVILLFAMVAVLVRRRQSKKRREAEDGGWHGSDDDDDGEPIVEIEMGMGPRRFPYHELVDATKSFATEEKLGQGGFGAVYLHRDIKPSNVMLDESFNAKLGDFGLARLIDHAVGIQTMTHPSGTPGYLDPECVITGKASAESDVYSFGIVLLEVACGRRPISLQDTQNNCLFRLVEWVWDLYGQGAVLNAADERLNNEYDTTSMECVMAVGLCRYPSPYRGPGNVGVNNRSQFAEYFGYTAAMLSFRQATSVTKIVSNWSSSPIFITPWKNKVLKCSFKAKKGRILFFLFLAMCNQQMSIEIQLINYLGYCHRINIVLGLGNALLYLHEDWEQCVVHRDIKPSNVMLDESFNTKLGDFGLARLIDHAIGAQTMTHPSGTPGYVDPECVITGKASAESDVYSFGISNGYGTFTAREPFSKRPTSAVEWVWDLYGQGAVLKAADERLNGDYDATDMECVLVVGLWCAHPDRCARPSIRVAMAVLQSNGPLPMLPTKMPVPTYGPPVASSEGQHSSSTGISSSNHSSSPADAKDSTAIRKRQFGKSPANGSKQGNFHSYISNVSKDKEVTKVKRNMIPVQHISTETPNKMRQRAAKDYVSSVTLYHKDETNTPL >ONIVA01G30650.2 pep chromosome:AWHD00000000:1:26848383:26857677:-1 gene:ONIVA01G30650 transcript:ONIVA01G30650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFDLLLFRRHAPGRERVQWRIPQIQTQTGSIGSCVVAPPAGEADQYTVLVSQYCISITLHTIYRRWLASGDGRLFVDHFDDDDDGEPIVEIEMGMGPRRFPYHELVDATKSFATEEKLGQGGFGAVYRGYLRELGLAVAIKRFAKDSSKQGRKEYKSEIKVISRLRHRNLVQLIGWCHGRTELLLVYELVPIRSLDVHLHGNGTFLTWPMRINIVHGLGSALLYLHEEWDQCVVHRDIKPSNVMLDESFNAKLGDFGLARLIDHAVGVQTMTHPSGTPGYLDPECVITGKASAESDVYSFGVVLLEVACGRRPMSLLDNQNNSLFRLVEWVWDLYGQGVVLKAADERLNNDYDATSMECVMAVGLWCVHPDRYARPSIRAAMTVLQSNGPLPVLPSKMPVPTYAPPMASSEGQLSSSTGMSSSSITLLKFRTIYLNLQNTFDTLWFIHVASIVGSHFVKQPAINIVHGLGSALLYLHEEWDQCVVHRDIKPSNVMLDESFNAKLGDFGLARLIDHAVGIQTMTHPSGTPGYLDPECVITGKASAESDVYSFGIVLLEVACGRRPISLQDTQNNCLFRLVEWVWDLYGQGAVLNAADERLNNEYDTTSMECVMAVGLCRYPSPYRGPGNVGVNNRSQFAEYFGYTAAMLSFRQATSVTKIGELELLVQFRTGHLLQSSLPRGRTKS >ONIVA01G30640.1 pep chromosome:AWHD00000000:1:26846683:26847487:-1 gene:ONIVA01G30640 transcript:ONIVA01G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKANMQTAKDNEKRKKCSYMDFSFNITYFNFEFYPLTAITVLLNDLPVVPLLNHRWDSKKIEPNHLFGFFSSDVPLTVTTLLLYRSVVPLLFIIICYYCIFLMDYCFTILYFFIPFLFENFISTKRIELERKVKRTVEDNQQNIRKRIKLERKGKRTVEDNQQNIRLGKELDKMEKTKRSRESNS >ONIVA01G30630.1 pep chromosome:AWHD00000000:1:26845444:26846603:-1 gene:ONIVA01G30630 transcript:ONIVA01G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVSVSAPAPYTCWSAVKKKNWYGPAHLSNLISFSEILHLRFSVLSRRFLRLPSPRLSSFANSREESAAPPPPSLLPPVPLSPSRLSLAGSRGGGSDGFSCSRGPDPGVAVESAATIGGSGDGGGGGVSGRRIRRAILYHLLLTLHAQACPAAAAVTSVLPWADPAEVAAAFFLRSPTPSPSAPSFSLGSGGGDDLPDIAAVPSRPDLPVLLVVYGLFLFFLCDDDVVAVIDFGVFMRGDLSLITIWIWIEIEILFLGRIVMMWDLKRRCATEEKSREKVCSMWVYLCDTEFRLGFGGGGADRTKNHRMHEVTKGKIRIFILVKIQ >ONIVA01G30620.1 pep chromosome:AWHD00000000:1:26839926:26841013:-1 gene:ONIVA01G30620 transcript:ONIVA01G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTQKKPTLKNMIEFPVRFVFIYLYRFYFSGKVARTRPILKANHEQSFCCGYQLYKMEHRKHSEMIKYQQTRADAEDYTAIRKRQFGKSPANGSKQGIFHNYISNVSKDKVIKVKRNMIPVQHISTETPNKMRQRAAKDYVGERVRYGVDHGPRPAGSTTATVYTYFSARGDTDSNTRYFLRFIIFININMNVENARMIYIVKRRE >ONIVA01G30610.1 pep chromosome:AWHD00000000:1:26825684:26830532:1 gene:ONIVA01G30610 transcript:ONIVA01G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPELVKLAFARVQRVEPEHVGKIFGVMLLREPDEDELVQLAYGPEATLLAKIEDTKAALTVIYARCSAAAAHGPPGGGGVGVGGGGGYHQQPQQLFSRPPVPACGGVRHHYSPAAAAAAAFGYQVQSPQYWPDSPPAPPTKAAQQEFAPPGLVVDASAEGPYPLRGGQHVLDDNNFGGGYYYPAGEDAFPNGGGGGGGGGGSPARARRSNGLSTRRPCHYFSKGICKNGQNCHYSHHQVYQDALAGAAINGDVYNHQPGGVTPGSLETLEMEITELLNSRRGQPVSIASLPTLYGEKYGKGLQADGYLTESQRHGKAGYSLTRLLSRLNKIRVIERPHGQHSVVLAEDAAKYMDFRGGGGGGGGDTGSVPASSHQIYLTFPAESTFAEDDVANYFGQYGPVRDVRIPCQERRMFGFVSFQSPETVSTILMRRNPHFICGSRVLVKPYREKSKCVDRTCVDNIKSMVPYCPPRFFEFDQELYTAEYDASRLMRKQLAEKREMLLEMERRRATVRRLESMPPQFAYFDCSIEDASPLHSLQDDSKQLDLMNPSLASPDPLEIVSNSQAPPTQAGNIYDDHESNQIELLPESPFAASAPAGNSISTII >ONIVA01G30610.2 pep chromosome:AWHD00000000:1:26825938:26830532:1 gene:ONIVA01G30610 transcript:ONIVA01G30610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPELVKLAFARVQRVEPEHVGKIFGVMLLREPDEDELVQLAYGPEATLLAKIEDTKAALTVIYARCSAAAAHGPPGGGGVGVGGGGGYHQQPQQLFSRPPVPACGGVRHHYSPAAAAAAAFGYQVQSPQYWPDSPPAPPTKAAQQEFAPPGLVVDASAEGPYPLRGGQHVLDDNNFGGGYYYPAGEDAFPNGGGGGGGGGGSPARARRSNGLSTRRPCHYFSKGICKNGQNCHYSHHQVYQDALAGAAINGDVYNHQPGGVTPGSLETLEMEITELLNSRRGQPVSIASLPTLYGEKYGKGLQADGYLTESQRHGKAGYSLTRLLSRLNKIRVIERPHGQHSVVLAEDAAKYMDFRGGGGGGGGDTGSVPASSHQIYLTFPAESTFAEDDVANYFGQYGPVRDVRIPCQERRMFGFVSFQSPETVSTILMRRNPHFICGSRVLVKPYREKSKCVDRTCVDNIKSMVPYCPPRFFEFDQELYTAEYDASRLMRKQLAEKREMLLEMERRRATVRRLESMPPQFAYFDCSIEDASPLHSLQDDSKQLDLMNPSLASPDPLEIVSNSQAPPTQAGNIYDDHESNQIELLPESPFAASAPAGNSISTII >ONIVA01G30600.1 pep chromosome:AWHD00000000:1:26819718:26834117:-1 gene:ONIVA01G30600 transcript:ONIVA01G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRGWISIASLATNLISTGRAQRCVGSGHGWVAFGDFNASLVNVLTGDEITFHSFPEKHGVVMSKVVFAPNPTPGEFTAAAITGDRRVTYTTRGNSGWTDVELPRLGALGGGDYIADVVYHEKEFGGGKKKKVVYCLTGGGDVLVLRLPAGRRRQRRPASFEPLFDLGGCSFFVGVNNAVALRVDGGGGASGLRGNSVYWSARCSSRAKVFDVESGKSATCFPVVDLETGKSAPGFPGGVEAHKALCWFFLADMRSSSSNTRVGTMAAMAEHLRGWEDLPPDLLVHIAGGFSIQAYTRLRSVCAAWRDALRPPSPSLLVLRDRHAEQRFAAWCVSPRMVSTALHETLAARLSPASRCVGSGDGWVAAHVPGGAVLVNPHTGDEIPLHSFPGGGDNVVVFKVVFAPNPTPSEFTAAAITGGGRVVYTTNGNSGWTDFKCPRLGAHGDGGSIADVVYHDHGGGKKVVYCLTAGGDVHVLRLPAAGQRRTAASLEPLFDKPATTFYPAAAFAPPFDTVRTFADTKNLAVCGDGQLYQIWRDDDANATMFVLRYHPRRRPCWLPAKDLGGHAVFVGKNNAVALRGDDGGGATPAPRANCVYWTDVWTDRAKVFDVVTGESTLCFHGAEGHSVVCWYHLGDVRSSSRFFIKIRIPCFKIVFDRPINVDPMRLERARRHAARLVVVHVAVDGGAGERVLVHLVVRVVAVLAVLADAFGEVVAGTSSGQPVRTPRPRRRPPAATAATPAIGEGVLAGRVVVPAAKVVVVEDVLAAAQRVRALRGGVDDEPGRRELLLRRLSRTMAAMASSRGWGDLPPDLLALIADGLTIKAYTRVRAVCTAWRAAIRAASPSLLVRLDWNRHDAWFLSPRISTALHERLATLLPDRSVCLGSGHGWVAVHDPIFYELQFGLVDPLTGVDIPFSSFPHFAEHKLRVSKVVFAPHPTPTDFTAAAITGYDEGRVITYTAQGNSGWADAGCPRLGDRDSIADVVYHEERGGGGERAVYCLATSGDVHVLRLHDAGGAFEPLFDRGNAAFDAAAAFAPPYDTIRHCTNAKNLVVCDDGDMYQIWRNNTCTRMGPLPGGGEYRVEYNQMFVLRYHPRRRPCWVPVEDLGGRSVFVGKNNSVALRVDGGGGGGGVPGLRANCVYWTDICPARAKVFDMVSGKSTLCFHGVEDHRAICWRFKHHLTVIYYSRPLFDKASRRVFHPAVAFAPPHDMIRASSTNSKNLVVCGDGHLYQTWRCDGVHILVLRYHPRRRPCWLQAKDLGGYSVFVGKNNNAVALRVDDGGGAPGLRGNCVYWIDHCSDEAKVFDMETGKSAPCFPGVGAICWCHMQSSSRNTTSVAASAYQLQKGLVMRESA >ONIVA01G30590.1 pep chromosome:AWHD00000000:1:26814367:26818861:1 gene:ONIVA01G30590 transcript:ONIVA01G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FRA3] MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHSDIKIKDSKTLLLGEKPVTVFGIRNPDEIPWAEAGAEYVVESTGVFTDKEKAAAHLKGGAKKVVISAPSKDAPMFVCGVNEDKYTSDIDIVSNASCTTNCLAPLAKVIHDNFGIIEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRIEKAASYDAIKSAIKSASEGKLKGIIGYVEEDLVSTDFVGDSRSSIFDAKAGIALNDNFVKLVAWYDNEWGYSNRVIDLIRHMAKTQ >ONIVA01G30580.1 pep chromosome:AWHD00000000:1:26809996:26810412:1 gene:ONIVA01G30580 transcript:ONIVA01G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSCAGWASSDGLASRLPLPPKLLRPAATDRDDDEEDDDEDTVLLGIVRHIPCAFDSANRLLSSSPAAVVALSDLLLSHRSRRTGRLLSFSPPPSLRQPALSPFYHRPCTTVGHLSPLCRRVHSRESGEKRKKKK >ONIVA01G30570.1 pep chromosome:AWHD00000000:1:26803609:26806626:-1 gene:ONIVA01G30570 transcript:ONIVA01G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGRCDGCHGDFGLWEPPLTAECSHRFHLHCVVSGADVCPACNARWTNAPSNPPPQPAGGSTTPFGQTTGFPMRVRPWSSCDKCRGVIDHSQPTVTSECSHTFHLRCFSGSVICPACNARWRDTVAVPNPSPAPPSTLFPAGVPAPPPTFSPFWVSQEYDDDEPVEPPVAAHGGGVAPASNGALVVRTHCEHTAVARSVIRDNFAVLVHAKAPSIAVAEATAAARAPVDLVTVLDVSGSMEGYKLTLLKRAMGFVIDKLGPGDRLAVVSFSYNAQRVIRLTRMSDDGKASAKSAVESLAAGGGTNILKGLVEAAKVFDGRRYRGGDRRHAVVQDAFAQCIGGLLSVTVQEARIAITCPHPGVRVRSVKSGRYESLVNGDGRAASVDVGELYADEERRFLVFVDVPAAGAGEDVTELIKVSCTYRDTASRQQMVVAGEHAVVQRPVEVATNMEPSIEVERERFRVEATEDIAAAQEAAERGAHAAAKAILDRRQEALARSAPGLAGDARCAALLSELRELSARVASRREYEQTGRACMLSGMSSHAQQRATSVQLFGAAAPTWSMPMCGSAAPPSLSFGGFGGFATPAMRSMVESSRKRRKGGDGSLSFLDLLQ >ONIVA01G30560.1 pep chromosome:AWHD00000000:1:26786429:26794347:1 gene:ONIVA01G30560 transcript:ONIVA01G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80350) TAIR;Acc:AT1G80350] MVSALAGLQDHLKLARDYALEGLYDTSIIFFDGAIAQINKHLTTLDDALIRTKWLNCKKAICDEVEIVKQLDAQLKAFKEAPGARRSSSPPIRSNNKSFVFQPLDEYPTSSPAPFDDPDVWAPPRDTPNRRPTRGQSSTRKSSQDGAWARGPSKTGTPSRGAKPNGSKGNSGARSSTASSTGGRKGKSSSSKADPVSSDAEEGKSKKGQYEGPDMDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARFYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTTEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKALININLKTVEVATDVDIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFEEALAKVQKSVSPADIEKHEKWQAEFGSA >ONIVA01G30550.1 pep chromosome:AWHD00000000:1:26777333:26781341:1 gene:ONIVA01G30550 transcript:ONIVA01G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80380) TAIR;Acc:AT1G80380] MKLPRYDKSAFGGRGDRADPSTWPEVEGPLEVVLFEGWMLGFKPLPNEVLEVVNKNLEAYYDAWDRFIGSWMVIKIKEPSCVYQWRLQAEIAMRADGKPGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGSNPDHLLVVDIDEKRNPMWGR >ONIVA01G30550.2 pep chromosome:AWHD00000000:1:26777414:26781341:1 gene:ONIVA01G30550 transcript:ONIVA01G30550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80380) TAIR;Acc:AT1G80380] MTPLHAAPHHAAAAAAVVSSPSSAPLLLRAKPYHPKAAACSFTVTATTPSRKAFLSCPDHGQAAAGAAPPRSAPASSPALISSVQDLYDFICSGPLVDRIGYTKEKIAGSIDRWLRCGVQVARLFRLNELHLSEAEKARIYHFYIPVFLWCEDQVTEHRAKYKDGDEIPPLVIGVSAPQGSGKTTLVFALDYLFRVAGRNAATLSIDDFYLTAAEQGKLRERNPGNALLELRGNAGSHDLPFSVETLESLLKLTKEGLKMKLPRYDKSAFGGRGDRADPSTWPEVEGPLEVVLFEGWMLGFKPLPNEVLEVVNKNLEAYYDAWDRFIGSWMVIKIKEPSCVYQWRLQAEIAMRADGKPGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGSNPDHLLVVDIDEKRNPMWGR >ONIVA01G30540.1 pep chromosome:AWHD00000000:1:26768081:26774233:-1 gene:ONIVA01G30540 transcript:ONIVA01G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component family protein / Gaa1-like family protein [Source:Projected from Arabidopsis thaliana (AT5G19130) TAIR;Acc:AT5G19130] MASSAKEEAKPKPRLIVRLGVFLASHHILFSAVCCTAGIIALLFLPSLAKNTYLSENALIPGSANTLFSTEDVQEANRFAKGIEAAIGESRGGTTEIPKFIAQQTKNLGAEVYYHEFLPDSKCFHPLKFFTSMTNNMAAKPNGTYTNFGINTVGIIRAPRGDGKEAIVLVTPYNSQKVTPNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYSAVSSWLNQYHNPMFLSHPVNLDTKIYGANQILYKPDGTAEKAELMAFKRAGTMAAALIFKVGETRKYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNVETFNSLLSSSWLRVIAEVFQNLGSLLRKINPDWKLDVTVPDYVEGTANLASSMYNQALGVPTGSHGAFRDYQVDAVSLEFAPAFHLKNENAKSSFLLRGGRLTEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALAGGSKTKGKLEDECKTKGNADDLQMEGGSWKWLKSARVLLIIQFWAVLVSLLPYYISQIPGAMPIQYAVIWAVLSITILIILYAMFGSPSRAGVEWKLLKATMITSITIGMGLMSIINFATAQLGALILIPMCLFSRPLRAQLEMNFLPRTVLLASNILLTVLGFPPAAFLIMKGLSKGSWTVDIVGDFWLWMEFLWEWSSATYLYVFLVHLPCWLLCIHVLLHPCYQPESKMKQDVLDMCTMIEAQTRPKQWGGPGGLVRLGLGKGLRVSPSKAGPGLGLVG >ONIVA01G30540.2 pep chromosome:AWHD00000000:1:26769148:26774233:-1 gene:ONIVA01G30540 transcript:ONIVA01G30540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component family protein / Gaa1-like family protein [Source:Projected from Arabidopsis thaliana (AT5G19130) TAIR;Acc:AT5G19130] MASSAKEEAKPKPRLIVRLGVFLASHHILFSAVCCTAGIIALLFLPSLAKNTYLSENALIPGSANTLFSTEDVQEANRFAKGIEAAIGESRGGTTEIPKFIAQQTKNLGAEVYYHEFLPDSKCFHPLKFFTSMTNNMAAKPNGTYTNFGINTVGIIRAPRGDGKEAIVLVTPYNSQKVTPNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYSAVSSWLNQYHNPMFLSHPVNLDTKIYGANQILYKPDGTAEKAELMAFKRAGTMAAALIFKVGETRKYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNVETFNSLLSSSWLRVIAEVFQNLGSLLRKINPDWKLDVTVPDYVEGTANLASSMYNQALGVPTGSHGAFRDYQVDAVSLEFAPAFHLKNENAKSSFLLRGGRLTEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALAGGSKTKGKLEDECKTKGNADDLQMEGGSWKWLKSARVLLIIQFWAVLVSLLPYYISQIPGAMPIQYAVIWAVLSITILIILYAMFGSPSRAGVEWKLLKATMITSITIGMGLMSIINFATAQLGALILIPMCLFSRPLRAQLEMNFLPRTVLLASNILLTVLGFPPAAFLIMKGLSKGSWTVDIVGDFWLWMEFLWEWSSATYLYVFLVHLPCWLLCIHVLLHPCYQPESKMKQE >ONIVA01G30530.1 pep chromosome:AWHD00000000:1:26760412:26764520:1 gene:ONIVA01G30530 transcript:ONIVA01G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIKILQTPVTPTARDVHRCTWSAVVALDPLGNFAGDTPEWGQFRKGLHPLEAAKIIEQTNKSIFSLSPDLLLPHGLDLPHLEQEIKKRLLL >ONIVA01G30520.1 pep chromosome:AWHD00000000:1:26742243:26752808:-1 gene:ONIVA01G30520 transcript:ONIVA01G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CcsA [Source:UniProtKB/TrEMBL;Acc:A0A0E0FR94] MSAAQGMAYKLRTDAAPTGAGRRARRSHSSVAAPYRAARLVQGGVSIEGGLVGGCQLTEERVAARPPRAAARDAEPVRPLSTLPESSIGLYDPSRERDSCGVGFVAELSGDYKRATVNDALEMLERMAHRGACGCEKNTGDGAGILVTKDAGFELPQPGEYAVGMVFLPIDEKRRERSKAEFQKVAESLGHVILGWRRVPTDNSDLGESALQTEPVIEQVFLTKSSSSEADFEQQLYILRRLSILSIRAALNLRRGGKRDFYMCSLSSRTIVYKGQLKPCQLKGYYYADLGHENFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLKGNKNWMKAREGLLECEKLGLTKDQFSKILPIVDATSSDSGAFDGVLELLIRGGRSLPEAVMMMIPEAWQNDVNMEPEKKALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVVMGSEVGVVDVPSKDVLRKGRLNPGMMLLVDFENHTVVDDEALKAQYAKAHPYGEWLKRQKIYLKDIVESVPETERVAPGISGSLTQKNEKKEHAGVNGIVTPLKAFGYTVEALEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLETTEKQCNRLALEGPLVSIDEMEAIKKMNYRGWRSKVLDITYPKKSGRKGLEETLDRICTEARGAIKKGYTVLVLSDRGFSSDRVAVSSLLAVGAVHQHLVANLERTRVGLLVESAEPREVHHFCTLVGFGADAVCPYLAIEAIWCLQNDGKIPPNGDGKPYSKEELVKKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIRKCFDGTPSRIEGATFEMLARDALRLHELAFPSRAPPPGSADAKALPNPGDYHWRKDGEVHLNDPLAMAKLQEAARVNSRAAYKEYSRRIQELNKTCNLRGMLKFKDTADMISVDEVEPASEIVKRFVTGAMSYGSISLEAHTALAMAMNKLGGKSNTGEGGEQPSRMEPLADGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPRARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAILQTDGQLKTGKDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMSQLGFRTITEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPAAEIRPGAAQYCVEKQDHGLDMALDNKLIALSKAALEKEVRVFIETPIQNTNRAVGTMLSHEVTKRYHMKGLPAGTIHVKLTGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRDSTFIPEDNIVIGNVALYGATIGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDIDGKFSVRCNHELVDLYHVEEEDDITTLKMMIEQHRLNTGSVVARDILSNFDTLLPKFVKVFPRDYKRVLDNMKAEKAAAKLAKEPKISNGVSVTTKKVQPEQSTNRPTRVSNAKKYRGFISYERESISYRDPNERVKDWKEVAIESVPGPLLNTQSARCMDCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGKKVAIIGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIEIVQRRVNLMAEEGITFVVNANVGSDPLYSIERLRSENDAVILACGATKPRDLGIPGRELSGVHFAMEFLHANTKSLLDSNLEDGRYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTSIVNLELLTKPPSKRAADNPWPQWPRIFRVDYGHQEASSKFGNDPRTYEVLTKRFIGDENGNVKALEVVRVKWEKVDGRFQFKEIEGSNETIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGNFATSVDGIFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLSRNEQDAAEDITPSGAGFVQPVAA >ONIVA01G30510.1 pep chromosome:AWHD00000000:1:26739335:26739724:-1 gene:ONIVA01G30510 transcript:ONIVA01G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAARGMRHDDYATAAAATGGRRRKRCSKNTEAGSVPASVPGRRGAEHSAAAAATGVTVKVVLRRKDAERLIARLNEQSAMGRKARMAEIKSEIMAGDGGRGAAAASASPGRCRDAWTHRLAPIQEN >ONIVA01G30500.1 pep chromosome:AWHD00000000:1:26736784:26737134:-1 gene:ONIVA01G30500 transcript:ONIVA01G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAPRVRRRDEPAAKSWSKGAEAAGELLPVAKAAGGEEKAAAERAVVTVKVVMTRKEAERLAARLREQRARGRNARMAELKNALRAGDGARCGAAAARPGPRGRAQSLAPIQER >ONIVA01G30490.1 pep chromosome:AWHD00000000:1:26731119:26735139:1 gene:ONIVA01G30490 transcript:ONIVA01G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSLGKFDPLFSLRFCEATSAARGGLGFRAPPPRAMAEANQYQILVRLLDGRTRCLRFSTTTVSGAALLDAVSALSRVPAASLRLVTGRLDVSPSSVLASSADGRFPSASALLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWKAEAADRQLEKLAEDFIKKKAKEAGRGGVKAAEVDKYLEKYRKDAESCVNAVEESVRASLGKRKTVPKPRGGEDAKKLKIWLGKKKVQEDESDSDSDSEMDDDEGADTKSIILDDGNSSHGSKSEDEKVDLGSITESPSEGETSGEKSGRSESEENGNGVQESREPTIRSEGECGDFISDGVVEHEIGVVDEHTPENGVSALSEEVLKPDVKAEDNTASASATSHLNDLEAPPVEEPANGNISPLSQEPLDLTIYSSAAELEVLGMERLKLELQNRGLKCGGTLQERAARLFLLKTTPLEKLPKKLFAKPSGGGK >ONIVA01G30480.1 pep chromosome:AWHD00000000:1:26721858:26726921:-1 gene:ONIVA01G30480 transcript:ONIVA01G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPAAAAAAVGNRAGGVDPSIPRFKCQECHRALVVVGVDSFADKLPAQATSAHASSVHGSIMGASRMDNSYVVLSKQNKSHGHGIPPRPPSAAAPHIEPNQPTRAMEGSYIVLPPAAASIYKTSTSEGGGAQLPPPSINSSSLLPGNSFHSNVTVLKRAFEIATSQTQVEQPMCLDCMRLLSDKMDKEIEDVNADIKAYEVCLQHLEQESHTVLSDAGFQKEKLKIEEEEKKLNAAIEEAEKQYSEISSEMKDLEIKSKEFEELEERDAILAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKFEYRIKIHPMGSYPRVTDIHKNTYELYIMLTRFGPVNLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIFLSFNKVENWTKALKYTLCNLKWVLYWFIGNTSFAQPSGSLCAAQSSKR >ONIVA01G30480.2 pep chromosome:AWHD00000000:1:26721858:26726921:-1 gene:ONIVA01G30480 transcript:ONIVA01G30480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPAAAAAAVGNRAGGVDPSIPRFKCQECHRALVVVGVDSFADKLPAQATSAHASSVHGSIMGASRMDNSYVVLSKQNKSHGHGIPPRPPSAAAPHIEPNQPTRAMEGSYIVLPPAAASIYKTSTSEGGGAQLPPPSINSSSLLPGNSFHSNVTVLKRAFEIATSQTQVEQPMCLDCMRLLSDKMDKEIEDVNADIKAYEVCLQHLEQESHTVLSDAGFQKEKLKIEEEEKKLNAAIEEAEKQYSEISSEMKDLEIKSKEFEELEERDAILAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKFEYRIKIHPMGSYPRVTDIHKNTYELFGPVNLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIFLSFNKVENWTKALKYTLCNLKWVLYWFIGNTSFAQPSGSLCAAQSSKR >ONIVA01G30470.1 pep chromosome:AWHD00000000:1:26711288:26717694:-1 gene:ONIVA01G30470 transcript:ONIVA01G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMKHLVQVGEAREAAEEDGGAPSAGPAYRCAYGGGAASPPAVPGLECCWDIFRMTVERCPDNKMLGRREIVDGKAGKYTWITYKEAYDTVIKVGASIRSLGVGKGGRCGIYGANCPEWVISMQACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFIEEKKIGEVLKTFPNATKYLKTIVSFGKVNPEQKEKVEQNGVSIYSWEEFLQLGGEEKYELPTKQKDDICTIMYTSGTTGDPKGVLISNRSIITIISALREDDVYISYLPLAHIFDRVLEEVFIHHGASIGFWRGDVKLLVEDIGELKPTIFCAVPRVLDRIYGGLQDKVSTGGFLKKTLFNVAYKYKQGNMVKGSKHEEAAAMFDKLVFTKVKRGLGGRVRLILSGAAPLSNHVEEYLRVVTCSLVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEVHLESVPEMGYDALSKESPRGEICIRGDTLFSGYHKREDLTKEVLVDGWFHTGDIGEWQSDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQAPGVDSIWVYGNSFESCLVAVVNPNKQALERWAESNGVTGDFATMCEDPKAKEFILGELTKTGKEKKLKGFELIRAVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQNVIDSMYKNMNNFQQQLSMN >ONIVA01G30460.1 pep chromosome:AWHD00000000:1:26705732:26711851:1 gene:ONIVA01G30460 transcript:ONIVA01G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTIGVLTKIDLMDKGTNAVDMLEGRSYRLQYPWIGVVNRSQQDINKSVDMIAARHIEREYFANTTEYKYLAHRMGSEHLAKMLSKHLESVIKSRIPGIQSLISKAIAELEAELHRLGKPIAADAGGKLYTIMEICRMFDGIYKEHLDGMRPGGEKIYYVFDNQFPVALKRLQFDKNLSMENVRKLITQADGYQPHLIAPEQGYRHLIESCLVSIRGPAEAAVDAYGKTIKIKSIESPAYRTLAFMSSFKHELNQFPTLRVEISNAAFESLDRMRNESKKSTNVLAYVNMVCSSLRNSIPKSIVYCQVREAKRTLLDRFFTELGAREIKHISKLLDEDPAVMERRANLAKRLELYRSAQAEIDAVVWAR >ONIVA01G30450.1 pep chromosome:AWHD00000000:1:26696795:26702481:-1 gene:ONIVA01G30450 transcript:ONIVA01G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLGGIRLRRPVLSIDNAEPAGETGMSETKKKAAAVAEEEEELLEEPVSPAGRLFREPHFSCYIVCTLGVAEPVDLPAVRAGIEATLARHPRFCSIQVLDELDKSAKPMWVRTKVNLDDHIIVPDLGPTDTSADPEKAVEDYVSSLSTPSMPMDRSRPLWELHVLGFPTAEAAATVALRMHHSLGDGVSLLSLLIACTRRADDPDAIPALPSSAAGRRRREGPLHALPPRPPLAAGALALAAWALSYLVLAWHTVVDVVCFTLTAASLMGDARTVLKGDEGAEFRPRRFVNRTISLDDVKNIKNAVGCTVNDVLVGLSSAALSRYYFRRTGESEGKKNIKVRTALMVNLRPTPGLHELAKMMESGKNNGVKWGNRFGYMILPFHLAKHDDPLEYVRKATKVTRRKKSSMEAIFTYWSADMVVKLFGIKAAASLCYGMFSNTTLSFSNLAGPSEQILFCGNPIVYISPTSYGHPHALTMHWQSYMNTIKLALAVDETQFPDAHELLDDFTESMRLIREAASRGTDKAQDGP >ONIVA01G30440.1 pep chromosome:AWHD00000000:1:26692599:26695019:-1 gene:ONIVA01G30440 transcript:ONIVA01G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGAVLVVVLAAASVLLAPWAATAQTSSCDDALPPALAGNYSGLACRPVWNNFVLRYAQGKDNVLRVVISSMYSTGWVGMGFSKDGMMVGSSAMVGWVGKTGLSHVKQFSLRGKTPSQVVADEGFLLSKDHDHTVVVQQAKIYLAFQLRFQSPLRRQQVLLAFGNAIPVNDRLTEHQGKTSFTFDFTTGSSSGSSYPDGLKRAHGALNLFAWGVLLPIGAIIARYCRRWDPLWFYLHAGIQLVGFILGLAGIVAGVSLYNKIQADVPAHRGLGIFVLVLGILQILAFFLRPHKDSKYRKYWNWYHHWVGRLALFFAAINIVLGIKVGAAGNSWKIGYGFNLAILLITIITLEVLLWTRWKNNNSSSMPTY >ONIVA01G30420.1 pep chromosome:AWHD00000000:1:26613479:26615633:-1 gene:ONIVA01G30420 transcript:ONIVA01G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQEEGELVVLLVGSAVEERSGGGHKRSPDKAHKSALDAALHMDSKNNHHHHHHDSSVTANGGAGAGEKIGSERFELPRIYISLSRKEKEDDFLIMKGTKLPQRPKKRAKNVDKTLQYVFPGMWLSDLTRGRYEVREKKCVKKRRRGLKGMESMDSDSE >ONIVA01G30420.2 pep chromosome:AWHD00000000:1:26613477:26618187:-1 gene:ONIVA01G30420 transcript:ONIVA01G30420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLEVHAIGRHAASPCALRLKALPALDMMRYQRLSPDCLPLANGGGGGSGSVTRKPASRSCKDDDGGMAVAADSSRLSSYLPSSQLDSKPLRARAPQPSSSSAAAWSPARDHAHAHHNHHHHHHPSDSSDTASPSSNGAGTGGDVLLQWGHNKRSRCRRDASSSANAAPSSSQRRQTASAAGKILRRSSAPAEKLMPPPPPSTTTGSYTRGSNLRSASSFPTRSAAAAAVGDAHHHRSAVEERSGGGHKRSPDKAHKSALDAALHMDSKNNHHHHHHDSSVTANGGAGAGEKIGSERFELPRIYISLSRKEKEDDFLIMKGTKLPQRPKKRAKNVDKTLQYVFPGMWLSDLTRGRYEVREKKCVKKRRRGLKGMESMDSDSE >ONIVA01G30420.3 pep chromosome:AWHD00000000:1:26614582:26618187:-1 gene:ONIVA01G30420 transcript:ONIVA01G30420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLEVHAIGRHAASPCALRLKALPALDMMRYQRLSPDCLPLANGGGGGSGSVTRKPASRSCKDDDGGMAVAADSSRLSSYLPSSQLDSKPLRARAPQPSSSSAAAWSPARDHAHAHHNHHHHHHPSDSSDTASPSSNGAGTGGDVLLQWGHNKRSRCRRDASSSANAAPSSSQRRQTASAAGKILRRSSAPAEKLMPPPPPSTTTGSYTRGSNLRSASSFPTRSAAAAAVGDAHHHRSAVEERSGGGHKRSPDKAHKSALDAALHMDSKNNHHHHHHDSSVTANGGAGAGEKIGSERFELPRIYISLSRKEKEDDFLIMKGTKLPQRPKKRAKNVDKTLQYVFPGMWLSDLTRGRYEVREKKCVKKTRRGKLPRFRASVSQIGWV >ONIVA01G30420.4 pep chromosome:AWHD00000000:1:26616303:26618187:-1 gene:ONIVA01G30420 transcript:ONIVA01G30420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLEVHAIGRHAASPCALRLKALPALDMMRYQRLSPDCLPLANGGGGGSGSVTRKPASRSCKDDDGGMAVAADSSRLSSYLPSSQLDSKPLRARAPQPSSSSAAAWSPARDHAHAHHNHHHHHHPSDSSDTASPSSNGAGTGGDVLLQWGHNKRSRCRRDASSSANAAPSSSQRRQTASAAGKILRRSSAPAEKLMPPPPPSTTTGSYTRGSNLRSASSFPTRSAAAAAVGDAHHHR >ONIVA01G30410.1 pep chromosome:AWHD00000000:1:26605768:26610842:1 gene:ONIVA01G30410 transcript:ONIVA01G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQESPPESPAANEEDAAGAPEGYDGASGSRGPPLRLPPSRAAPSGSGGAAAAAARGKVVRVQKEQQEEEDDEEDHMEVDLDKLPSGTSDPDKLAKMNAILSQFTEDQMNRYESFRRSGFQKSNMKKLLASITGSQKISLPTTIVVSGIAKMFVARIVMTERKDSGPVRPCHIREAYRRLKLEGKIPRRTVPRLFRCSFGGSIYAGIAMAMPLPPPPPPRPPLGRGRLVGVGPAPAPATTSQSNRPVPPLQLPRCRCHRSEGPWRTTAAANGRRRWWSDEDMEEEDDEEGYGYDDGGAPGGSAQELFGEPWFSKLFRAYGYVLPLLLASMLVATGPRAFLMAMALPLAQSAISWVVSFFTTRSRRQQEEESSYGYDYDDDPAFQRREEDDDDGDYYDAGAWQWRSRSHQQSTESGSGFGGWDDLLYDDEEKKEQESSGKKRTPPEPDTAAAAAASDLGLGLRARRGPRRSNGGMSRGRSSSSMRRQSIRQLT >ONIVA01G30400.1 pep chromosome:AWHD00000000:1:26592581:26593744:1 gene:ONIVA01G30400 transcript:ONIVA01G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITTTSSAPEQLLDMPPSSVTANGARAARRRRRLLLFANYAALLGGSVASSLLSRYYFAHGGHNRWVATLVQSVGFPFLLVPVYAGRSPSQPRPFAWFTRRLLAACVVIGVLMGVNNLLFSYSSSYLPVSTSSLLLSTQLAFTLVLAAIIVRHPLNFSNLNAVVLLTLSSVLIALRSSDSGEQPGGGSRARYFVGFAVTLGAAGLFAAYLPVMELVYRKAVSGGFRMAVEVQVIMQAAATALAVAGLAAAGGWKEELARWDLSPAAYWAVLAALVATWQACFMGTAGMVYLTSSLHSGVCMTAVLTANVIGGVVVFRDPFGADKAVATVLCVWGFSSYLYGEYTTQKKVDGDGKVAAASSAAAAASADKIPTGGGAAEGDPVHEAV >ONIVA01G30390.1 pep chromosome:AWHD00000000:1:26579927:26587138:1 gene:ONIVA01G30390 transcript:ONIVA01G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:evolutionarily conserved C-terminal region 8 [Source:Projected from Arabidopsis thaliana (AT1G79270) TAIR;Acc:AT1G79270] MEPKGEPQRHSMQEAMENLKINGSTKTSNVNLPATKGASSSDAISCISSGDAASTVKESEMNQEASVGDQGMYYYGYYYPASFGGYDENGYFVGYNGLEVHPTVVQGDNGSYLCYLPGYENGYTYSPIVPGVIAGVDGQYISKEPYYSTISMQDPSTPGIFAQPVAYGPELVPTYTWDHSFALLDGVQGRPVGVHQTNYPARPKYSSNKLPSSKASRNTKSASDTIKGSSSALDTMSTSANGYPSSKTANKASGASISKGYPLSSKFAVHTNQGKGNLYQSKDISMKESGRSWNSTEKLKARSKLNGYGDCDISDNLTDNSKNSLSPQGGHYGLSSAGGGNDVTPSPVAMSRDAYNLPDFVTKYDQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFKLAQERVAEKGTKCPMFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGSEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLATTLDHPMLKVEKPEETKRPSQFVSTVDLDTAKPDEVVFDKIATELDTAKLSEEQINKVEVEIGTTNSSE >ONIVA01G30390.2 pep chromosome:AWHD00000000:1:26580059:26587138:1 gene:ONIVA01G30390 transcript:ONIVA01G30390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:evolutionarily conserved C-terminal region 8 [Source:Projected from Arabidopsis thaliana (AT1G79270) TAIR;Acc:AT1G79270] MQEAMENLKINGSTKTSNVNLPATKGASSSDAISCISSGDAASTVKESEMNQEASVGDQGMYYYGYYYPASFGGYDENGYFVGYNGLEVHPTVVQGDNGSYLCYLPGYENGYTYSPIVPGVIAGVDGQYISKEPYYSTISMQDPSTPGIFAQPVAYGPELVPTYTWDHSFALLDGVQGRPVGVHQTNYPARPKYSSNKLPSSKASRNTKSASDTIKGSSSALDTMSTSANGYPSSKTANKASGASISKGYPLSSKFAVHTNQGKGNLYQSKDISMKESGRSWNSTEKLKARSKLNGYGDCDISDNLTDNSKNSLSPQGGHYGLSSAGGGNDVTPSPVAMSRDAYNLPDFVTKYDQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFKLAQERVAEKGTKCPMFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGSEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLATTLDHPMLKVEKPEETKRPSQFVSTVDLDTAKPDEVVFDKIATELDTAKLSEEQINKVEVEIGTTNSSE >ONIVA01G30380.1 pep chromosome:AWHD00000000:1:26575751:26576116:1 gene:ONIVA01G30380 transcript:ONIVA01G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERSLQRKRLLNYGSRREKTIYVVRKVAEEKEGELEAADELEVVTMGPRLAVARIAREGGEGAQWRWPRRRLARSTLTAVAPDRSTPSLGRCRRRRQLS >ONIVA01G30370.1 pep chromosome:AWHD00000000:1:26567879:26570058:-1 gene:ONIVA01G30370 transcript:ONIVA01G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >ONIVA01G30370.2 pep chromosome:AWHD00000000:1:26567881:26569130:-1 gene:ONIVA01G30370 transcript:ONIVA01G30370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >ONIVA01G30360.1 pep chromosome:AWHD00000000:1:26563600:26570006:1 gene:ONIVA01G30360 transcript:ONIVA01G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRNKSRNRNGDPEEMEGGGATAPAAPHPAVAPLAFLLGKWRGEGEGSFPTISPFRYGEELLFSHHPSKPVISYTQRTWKAASGEPMHAESGYWRPRPDGSVEVVISQSTGLAEVQKGSFDAEKKTVTLQSELVGNASKVKQITRAFQLVDGELTYVVQMATITTSLQPHLKALLKKI >ONIVA01G30360.2 pep chromosome:AWHD00000000:1:26558956:26563287:1 gene:ONIVA01G30360 transcript:ONIVA01G30360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRVVVLVVAAALLVAVASPGASGKSARFELLRLAPAASLADLARMDRERMAFISSRGRRRATETASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFLLVADTGSDLTWVKCHRAAAAASASPRNASSLPAPAPASPRRTFRPDKSRTWAPIPCSSATCRESLPFSLAACATPANPCAYDYRYKDGSAARGTVGVDSATIALSGRAARKAKLRGVVLGCTTSYNGQSFLASDGVLSLGYSNISFASRAASRFGGRFSYCLTPLVLDHRTRPFYAVTVKGVSVAGELLKIPRAVWDVEQGGGAILDSGTSLTMLAKPAYRAVVAALSKRLAGLPRVTMDPFDYCYNWTSPSGSDVAAPLPMLAVHFAGSARLEPPAKSYVIDAAPGVKCIGLQEGPWPGLSVIGNILQQEHLWEYDLKNRRLRFKRSRCMH >ONIVA01G30360.3 pep chromosome:AWHD00000000:1:26562311:26570006:1 gene:ONIVA01G30360 transcript:ONIVA01G30360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIKPWRILTCSAARRYKDGSAARGTVGVDSATIALSGRAARKAKLRGVVLGCTTSYNGQSFLASDGVLSLGYSNISFASRAASRFGGRFSYCLVDHLAPRNATSYLTFGPNPAFSSRRPSEGIASCKPAPAPTPAPAGAPGARQTPLVLDHRTRPFYAVTVKGVSVAGELLKIPRAVWDVEQGGGAILDSGTSLTMLAKPAYRAVVAALSKRLAGLPRVTMDPFDYCYNWTSPSGSDVAAPLPMLAVHFAGSARLEPPAKSYVIDAAPGVKCIGLQEGPWPGLSVIGNILQQEHLWEYDLKNRRLRFKRSRCMH >ONIVA01G30360.4 pep chromosome:AWHD00000000:1:26558956:26563287:1 gene:ONIVA01G30360 transcript:ONIVA01G30360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRVVVLVVAAALLVAVASPGASGKSARFELLRLAPAASLADLARMDRERMAFISSRGRRRATETASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFLLVADTGSDLTWVKCHRAAAAASASPRNASSLPAPAPASPRRTFRPDKSRTWAPIPCSSATCRESLPFSLAACATPANPCAYDYRYKDGSAARGTVGVDSATIALSGRAARKAKLRGVVLGCTTSYNGQSFLASDGVLSLGYSNISFASRAASRFGGRFSYCLVDHLAPRNATSYLTFGPNPAFSSRRPSEGIASCKPAPAPTPAPAGAPGARQTPLVLDHRTRPFYAVTVKGVSVAGELLKIPRAVWDVEQGGGAILDSGTSLTMLAKPAYRAVVAALSKRLAGLPRVTMDPFDYCYNWTSPSGSDVAAPLPMLAVHFAGSARLEPPAKSYVIDAAPGVKCIGLQEGPWPGLSVIGNILQQEHLWEYDLKNRRLRFKRSRCMH >ONIVA01G30350.1 pep chromosome:AWHD00000000:1:26540757:26549322:1 gene:ONIVA01G30350 transcript:ONIVA01G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase III subunit RPC82 family protein [Source:Projected from Arabidopsis thaliana (AT3G49000) TAIR;Acc:AT3G49000] MVSQHGILLAVAIISDHFGPLVSKVCRCLLRHGALPLQEIVRRLELSPGQVKNSLLVLIQHNCVQAFNAPRGNGDKTVTHYLAIFDNIVHRQRFSKFLSIIRADIPESEALLEGLLQNGSITPAREEIRMNFNKLVFAHYVERCPKPEPFFDPLVDEQSTSSRKRAPKTVEIALSIDKKVVNTAALSDAERFSEIPYIMEDASNANDSPRSSISGAKRKHNALEGDAELDSTIAENEVLWRANFEKFTFCLKKKFCADRKKPKLKVGTHPIWEAFFEASLMERDNNSVTSPINGIMERLGQKEGGTSMTLDHITRVLEELNCSPSSEDPDSFILDLSRIVEASRNEEIESLVRKKYGQEAFTIFRLLVRERGPVETDKIIDTTILDKQIVHGTLYKLWKDDYIDTERILSGTGTGNTQYFVWRVKNTFREQFIDNLCHAALNLRQMGSKDDTKLRNRKNILILALTRHDDSLMLFQDF >ONIVA01G30350.2 pep chromosome:AWHD00000000:1:26540757:26549322:1 gene:ONIVA01G30350 transcript:ONIVA01G30350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase III subunit RPC82 family protein [Source:Projected from Arabidopsis thaliana (AT3G49000) TAIR;Acc:AT3G49000] MVSQHGILLAVAIISDHFGPLVSKVCRCLLRHGALPLQEIVRRLELSPGQVKNSLLVLIQHNCVQAFNAPRGNGDKTVTHYLAIFDNIVHRQRFSKFLSIIRADIPESEALLEGLLQNGSITPAREEIRMNFNKLVFAHYVERCPKPEPFFDPLVDEQSTSSRKPLSDAERFSEIPYIMEDASNANDSPRSSISGAKRKHNALEGDAELDSTIAENEVLWRANFEKFTFCLKKKFCADRKKPKLKVGTHPIWEAFFEASLMERDNNSVTSPINGIMERLGQKEGGTSMTLDHITRVLEELNCSPSSEDPDSFILDLSRIVEASRNEEIESLVRKKYGQEAFTIFRLLVRERGPVETDKIIDTTILDKQIVHGTLYKLWKDDYIDTERILSGTGTGNTQYFVWRVKNTFREQFIDNLCHAALNLRQMGSKDDTKLRNRKNILILALTRHDDSLMLFQDF >ONIVA01G30340.1 pep chromosome:AWHD00000000:1:26532245:26532867:-1 gene:ONIVA01G30340 transcript:ONIVA01G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLKVPITCKKCKSCILQIVSRNKGVKSLTFDDEKSTLTVIGEVDVVVIVDKLRHPKKGKEKREGYMVEVMAVSDEKKEAEEKKKKEEEEKKKKEKEEEEKKKKECAEKLKQCAELQQCCRACRPYYVAVDDHPGYSCTIV >ONIVA01G30330.1 pep chromosome:AWHD00000000:1:26527325:26530448:-1 gene:ONIVA01G30330 transcript:ONIVA01G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCGDAAAAASAAPGLANLLIREGAGLPSRPERGGGGGGGNAAEREEGGANRNGKKEKAGAQRITGWGLLSKKVEAKGRTTYNEVADEIFAELKSITQNGLEFDEKNIRRRVYDAFNVLIAIRVIAKDKKEIKWMGLTNYRYEKIQKLEEVHKELITRIKNKKKLLQEIEKQNITLRNQASQRPAESVNGILLPFLLIKTSRKARVEIEISEDSKFARFDFNGAPFTMHDDVSILEAIRRNNKGRAGLSIHP >ONIVA01G30320.1 pep chromosome:AWHD00000000:1:26524701:26526401:1 gene:ONIVA01G30320 transcript:ONIVA01G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPYRLGKRYCLPDNKCNSRIIVTTRIEHVARACSSASLEEEYYIHRVKPLQFEDAKKLFINAVFGPQQDCPEHLKDIMHKILTRCSGLPLAIVCIGRLLAGYRSPEGAVEMWTRVCNSTGSLMENNPTLDGMRHIITLSYNHLPHHLRACMMYLSLFLEDYVVDKRRLLYRWIAEGLVSEQRGLIPMEVAESYFAELVNRHMIQPSCTETLGMLMGCRVHDMMLDIIVCKALESNFVSFVGGQCRDPSYGSVRRLAIQSDDLGSSIENTNLRHIRSLTTFRPQGHRKLLDRLAEFTLLRVLDLQDCKDLQNKHMKHVCQLFLLRFLSLNGTDTTKLPSQINKLQHLQALWLIGTLLVKVPESLVDLEKLEHLGFKNKHDRTILLRLPRHIRKMKALQSLYRFEFREDDAQLAKEIGDLVQLRVLNVVLNCSNCSEAKVLTELAKSMGRCSQNLCKLFLEDMHFNANNMNFLLELPSPPKFLRVLYIGGTIDRTPDWVQSLTQLVEIVFWWTNLPSDEIYGVLYKLPNLSKIILGKRCCSEDKLVASGTFKFPQLKELMSRPEIH >ONIVA01G30310.1 pep chromosome:AWHD00000000:1:26499199:26518436:1 gene:ONIVA01G30310 transcript:ONIVA01G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALQELTRFVLFKDDDQLAEEIGDLIQLRHFNVFLHFSNGSQAQVLTKLARSICRLCSLHILCVEDLQFKAGNMNFLLELPSPPNFLRELHIGGTIDRIPSWVQSLNHLVLIGLWWSNLTSDEIYGTLYKLPSLSKITLDNCCSDNELVARTVFKFPVLKELTFVPDVSKPQVVRFEEGAMPNLETILLDYKHHTVRIPERILEGVKHLTSLKVVRIQGAHRRMESAAASHADGLVARLGQLVTAEFRLLSGVRGEVDRLRDEVAIINADLRRLSEADESAVDHSVREWMKQARELAYDAEDCIDLFFFLRISLAPRRAGALRRAWRWLLTIRPRARHRLAIDIQDARARAGRLAISLHQQPRHDRNFVVDTALPRSVWFVPASTTPSTALSKLVCIDDQVQHLSDLVNSDQKLTSDNQRDVSLKVFCIVGFAGLGKTTLAMEVCKSLEEEFHCQAMVSVSQVFDAGKDLGRLLKQIIKKVVRVTRDHRGRGLQEEQELRSIDEDDVDELAMMLGDRLDGKRQLINCKSDFIRYLIVIDDVWSISAWEAIMSRMPDNKCSSRIIVTTSIEHVARACSSASLEEEYYIHRVKPLQFQDAKKLFINAVFGPQQYCPEHLVEIMHKILTRCNGLPLAIVCIGRLLAGYRSSEGIEIWTRVSNSISSEMENNPTLEGMRQIITLSYNHLPHHLRACMMYLSIFPEDYTIGKNRLLYRWIAEGLVSEQRGLTIMEVAEAYFDELVSRNMIQPPRVEPYGRTVSCQVHDMMLDIVISKALESNFVSLVDGQCQGTSYGRVRRLSIQSDDIGSAIDYTKFSHVRSLTTFCPKGHRKLLDKLAKFTLRRVLDLQDCKDLQNHHMKHVCQLFLLRFLGLNGTDITELPSQINKLWHLQTLWLFNTLLDKVPESLVDLEKLERVGFSNRCNSKILLRLPRQIRKMKALQRIYSFELREDDTQLAKEIGDLAQLRVLGVILNCSNCSHKQVLTELAKSIGRCSLHELFLDDMNFQANNMNFLLELPSPPKFLRVLYIRGIIDRIPGWVQSLTHLILIELWWINLHSNEIYGVLYKLPSLSKIILGRRCCSDDKLVASTAFKFPLLRELFLFPDEGTPRVFGFEKGAMPKLETLVMNFHGEGSILDGIKHLKSLKEVRLYGWKNYNSQRSVVDQLKAESLSRQKLHQFKSAAASHAGGLVARLGQLVTAEFRLLSGVRGEVDRLKDEVAIMNAVLRRLSEVEEGAVDHFVREWMNQVRELAYDAEDCIDLSLLRVSHAPPRAGALRHGWRRLVTIGPRHRLAGDIRKLLARALAIRERRVRYDIDGQALPRSVWFVPASTMVPSTAHALRPSKLVGIDDQVQHLSDLVKSERLTCDNQPDVGLKVFCIVGFAGLGKTTLAMEVCRSLEEEFACLAMVPVSQVFDAGKDLGRLLKQIIKKVVRVTSGRGLQEEQELRNIDEDDVDELAMMLGDCLDGKRYTHHPFLLARLNQL >ONIVA01G30300.1 pep chromosome:AWHD00000000:1:26487630:26499157:1 gene:ONIVA01G30300 transcript:ONIVA01G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVASYAEGVAQALVGKLGQLVSEEFRLLGGVRGEVGRLRDEVAIMNALLRQHSEADESTVDHFVREWMNQVRELAYDAEDCIDLFLLRISYAPPRAGKLGRAWRWLLTICPRHRLAVDIQDLHARALAISERRVRYSLDGQALHPAVWFVPGASATVSSATHALRRPSQLVGIEDQVQRLSEKLTSDDQSDGSLKVFSIVGFGGLGKTTLAKEVCRSLEENFDCQAFVPVCQAFDAGKDISATAEVVRVKKNRQSDLQEVEDGDGRGEETDEDRLTRHLQDKRYLIVIDDVWTISTWEAILARLPANRCSSRIIVTTRIEHVARACSPASPGEDYIHRVKPLQLQYAKELFVDTVFGPQQHCPEQLAEIMDKILARCCGLPLAIVCIGRLLANYTSPGGIEMWTSVCNSIGSHMESNPTLDGMRQIITLSYNHLPHHLKAYMMYLSIFPEYYVIDKDRLMNRWFAERLVSEKRGLTLREVAQRYFDELLSRNMIEPFYVEHDGTVKEYRVHDMMLEVIVSKAVESNFVTFVVGQCRGMPYGSVRRLSVQSDDLGSGIENANLRNVRSLSTFRPQGHRYLLDRLNEFTLLRMLDLQDCKDLHNQHMKHVCRLFLLRFLGLNGTDITELPNQINRLQHLQTLWLYDVLLDNVPESLVDLEKLERA >ONIVA01G30290.1 pep chromosome:AWHD00000000:1:26483375:26484649:1 gene:ONIVA01G30290 transcript:ONIVA01G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTRQPRSGGRRKRRLAAAGDGGGAAAALMPDDMVREVLLRLPAKAAARFRAVCRPWRATLSDPRFVAAHAARRGALLVAAGAPYRTSRGSGHVDLVGLAGDVVRRTRAEEGVLELSTCGDLACVVGTDRRARVLHPVTGAGAGDPLPHDLAEENKPWAGWRLEERFHAFTHAFGRASSTGEYKVLRVASLSPDLRVEQLVEVLALDHAGRAHAGARWRGMPSPPFHLAGASNAGMAVVAGVVHFLAVDIPLPFLPFEHNDDDDIRPGAIARFDLDTEQWRPLLRGPLNIHQIQQDADLSPPLLTLTELKGFLVTVHRDRSHQSSSMDLWFLINSEEETWVKEYKIQIQLRPREFYAHPLVLDERMIVFCVRPKGRVMVYDLETGKCRDLGVGDCVEVGVYTGCLLSSGSVVVDNDKEQQSH >ONIVA01G30280.1 pep chromosome:AWHD00000000:1:26480485:26480904:1 gene:ONIVA01G30280 transcript:ONIVA01G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAASASASAAAAAAAAVGVEEEGDFWNVGDGVVYVHDQSGALLRTDLLLGVLRLLRGGAAVARARDACRSWRAVLSDDLSDPIVDAALRLQRRQEEPRPPRTRKRNGRREKGDGIPPPRHGRRPRRKPAEFRMVCH >ONIVA01G30270.1 pep chromosome:AWHD00000000:1:26470290:26474283:-1 gene:ONIVA01G30270 transcript:ONIVA01G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHRHRGAVVLVVAAAAMAMAMSAVRGDFAADRAECADKLMALSTCLTFVQDGASGGAAAPTPDCCSGLKAVLAASRKCLCVLIKDRDDPNLDLKINVTKALSLPQLCNAPANISDCPRLLNLPPNSKDAQIFEQFAKQQAAMQGSPSASPGGSSAPAAGAQKSGAAVLRWLGVDGVGGGGARAVALLLFLLSSAVAVAAPLLLVF >ONIVA01G30260.1 pep chromosome:AWHD00000000:1:26439817:26461141:1 gene:ONIVA01G30260 transcript:ONIVA01G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGSAGAKQRRHARAAAVAYVLAASVQNTIKGIHSNQGFTPRKHPREEVEPEEDISPKRQQTTVKFGEASVWVMM >ONIVA01G30250.1 pep chromosome:AWHD00000000:1:26418196:26418810:1 gene:ONIVA01G30250 transcript:ONIVA01G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPVAVGTVALQADPALAEEPPEAPRLGSGRAKPRSEATRWRSRSPVRRLDRSPDPPPPLLSAADGREEGRRRGTAAVVAPAARSGEPVPRSSEPTPGFSEPAAAKLATPSASSSAPSWGEEDGGVEPSAATAAQRCCQIHHHCYSTPPPDPPPLPAATRARAPPMGNSRRRGKGRSRLTAERGGEKPSRTGEGRGEAGELRR >ONIVA01G30240.1 pep chromosome:AWHD00000000:1:26411933:26414963:1 gene:ONIVA01G30240 transcript:ONIVA01G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGEGDPVPGHDDQVEADGWIDYDGAARDFCCTDEDQGGDGGRAADVGDVQDDAGAAPAYLGLGVPDGVQGDPSHIHPAAVDPVLFPAGVQAAAAPSNAQQATYPGLLPGLGSGGTQVVVGLVPVAGAFLGADNAFSSDVIDNMVEGGMWFELDGYLQRFTPRSFSSNDPAILHKILYLICRKGCLKMLLDAKLFEQADSFFASSILPLAAAPASPYARDDDVQARIHVLQQAVELRWKGLKFSPTLVPPTKHHLRLLELPADETAVPKLQQKINDYLRYTPEPGLRSTMWEFAIKCDNRPYKRGNKPDIRCLLCQKLFLHADITQCMKGHLSKHCPMSTQSSLERFHIALKKEGKKKKPVTDDFEKNKRIKIGGTMPNQQGQLALINHIDSSDIDKQIDAGRKVLDKFRSCENEMCKSALEEMEQVLNNISRSARQQTHENRQVAIGMPPLAPVLPSDAAAGHPQLPAAAAAGPQDLPEAGDVLPQPHQPEHHHLQDAPDLPAAGNPLQPEPHLLQVQAPLENPPFAGPPHQPEA >ONIVA01G30230.1 pep chromosome:AWHD00000000:1:26393880:26402101:1 gene:ONIVA01G30230 transcript:ONIVA01G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSSRSRPAGHSGVFPVSGAVGGGGGGGGGGGGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSNTEGSAEDDISNVEDQEPSEIQKWSADFPDMLDVLLAERRVDEALDALDEAERLASDAKLKQTLTATEIAALRRAVSDNRQKLADQLAEAACQTSTRGIELRAAASALKRLGDGPRAHSLLLNAHNQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVVAQALSDSVEVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARGLSVAAVLLRQFRPSLEQALYSNIRRIEESTAALAAADDWILTYPPTGIRPLARSSAANLALQPKLSNSAHRFNSMVQEFFEDVAPLLSLQLGGSTMDDITKIFNSYVNLLISALPGSMEDEANIDGLGNKIVRMAESEEQQLALLANASLLAEELLPRAAMKLSSMNHSSMDDLRKRGSDKQNRMPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDEGETHLSADMYISMDNTVEEPEWAPSLIFQELYAKLNRMASIAADMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMAAFSATGMNPDSVLPGDDWFMDVSQEVVSMISGKGRAANGDREINSPTASVSAHSMSSFRSHGSS >ONIVA01G30220.1 pep chromosome:AWHD00000000:1:26384540:26388656:-1 gene:ONIVA01G30220 transcript:ONIVA01G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIVPAYGCSGNLAAAAAPPEYRCVTRLRHRRLLTFLWLHGFRSTFEAMAEETKVLVSLPRLERLITSSMWDDAIGYICRFLPPSSGSTGQRRRRGSHLSEEAQTLLLFLHMHKSFADVVAGNKAGAAWSDKHRRLYAQSSGLSLYSHAARIRRSILSFVLESLDWGRVREQVAQIVRSLLHSTPELVGFVDLPGGMVKPHNVLPIGFGLRAGCKRSEHHQGYPLQSSSLVAAITQTMFSTITTDTENSGIASATKTGVPASTVLQTLIGTMISPGKKSGISSVTNAGTDMHSSQEDCHTENYCQGFTPRKHPREEVEPEEDISPKRQQTTVKFGEASVSSTTYSVD >ONIVA01G30220.2 pep chromosome:AWHD00000000:1:26384320:26387736:-1 gene:ONIVA01G30220 transcript:ONIVA01G30220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRESLDWGRVREQVAQIVRSLLHSTPELVGFVDLPGGMVKPHNVLPIGFGLRAGCKRSEHHQGYPLQSSSLVAAITQTMFSTITTDTENSGIASATKTGVPASTVLQTLIGTMISPGKKSGISSVTNAGTDMHSSQEDCHTENYCQGFTPRKHPREEVEPEEDISPKRQQTTVKFGEASVSLIGVAEAEGKARLVELSM >ONIVA01G30220.3 pep chromosome:AWHD00000000:1:26384540:26387736:-1 gene:ONIVA01G30220 transcript:ONIVA01G30220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRESLDWGRVREQVAQIVRSLLHSTPELVGFVDLPGGMVKPHNVLPIGFGLRAGCKRSEHHQGYPLQSSSLVAAITQTMFSTITTDTENSGIASATKTGVPASTVLQTLIGTMISPGKKSGISSVTNAGTDMHSSQEDCHTENYCQGFTPRKHPREEVEPEEDISPKRQQTTVKFGEASVSSTTYSVD >ONIVA01G30220.4 pep chromosome:AWHD00000000:1:26388067:26388656:-1 gene:ONIVA01G30220 transcript:ONIVA01G30220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIVPAYGCSGNLAAAAAPPEYRCVTRLRHRRLLTFLWLHGFRSTFEAMAEETKVLVSLPRLERLITSSMWDDAIGYICRFLPPSSGSTGQRRRRGSHLSEEAQTLLLFLHMHKSFADVVAGNKAGAAWSDKHRRLYAQSSGLSLYSHAARIRRSILSFVLSDRTRY >ONIVA01G30210.1 pep chromosome:AWHD00000000:1:26371694:26379685:-1 gene:ONIVA01G30210 transcript:ONIVA01G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGALLGPEAEALVRLRAAAWRLRREVAAATDDDEHWAFAYSMLHRVSRSFAIVIQQLGPDLRNAVCVFYLVLRALDTVEDDTSIPTEIKVPILQEFHRHIYNRDWHFSCGTKDCKILMDKFHYVLTAFLELGSGYQEAIEEITRRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGIGLSRLFHAAGLEDLAPESLSNSMGLFLQKVNITRDYLEDINEIPKSRMFWPREIWSKYVDKLEDLKYENNSVKAVKCLNEMVTNGLIHTKDCLRYMSALKDITILRFCAIPQHSCTLIGLTARIINETNSMADVYTAFYEFSSLLAEKIDDNDPNASLTRKRVNAIKETCKSSGLLKIRGYDLDRPKKNPAMIMMLLLLLVAIFLGALYRRLPFCIQSSTDLRSAPLVIYSISSYERYVQRHEQYCRQTIWIALSIRYCCVWDSGGCPTHAQNADEILLRRGVLLSSPTLPPPSSSAVTAGGSTATIAREGSTSDSGLSGIPPAASPPPPPLPLSWRRNERGEDNERGEDNGDDDDAAPRGVDGASGETTTAADAAVGEGPCLRSSALSGSSKVRPDEPCRRNDTDDLCIFNIDRRPVSIITRQNDWN >ONIVA01G30200.1 pep chromosome:AWHD00000000:1:26370352:26370649:-1 gene:ONIVA01G30200 transcript:ONIVA01G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACGCGRRLTGRRRAGDGMGFLGFLRQQRATGMACVSGGRRARRGDLRPGPAAVAVPALIRHRRWEFEAELTSTAVGRPDWSPAMEAWWCIVRP >ONIVA01G30190.1 pep chromosome:AWHD00000000:1:26369841:26370260:1 gene:ONIVA01G30190 transcript:ONIVA01G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDLLDQSISRHPRTRPHDRLLLPRKECSGSGGVVHVTAVVPHQPATLYRRRSDRTSSATSSLNAASDNSADRVHRAQDTPVSHRSAGTHAVGLLERFHGELSSSNSSSMWSPLHQLLGFSPPPPHDLSRHGGRPEQ >ONIVA01G30180.1 pep chromosome:AWHD00000000:1:26360980:26367834:-1 gene:ONIVA01G30180 transcript:ONIVA01G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit gamma [Source:UniProtKB/TrEMBL;Acc:A0A0E0FR48] MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESSMNSQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYLKLLGGEATVGETEKDVNEFLFGSFDIPLVNLETSLRNYEPSEAPFDISSVSLETKSQPLAEKKTTGKKPTGPASALSGPVPTVDASYEKLLSSIPEFAGFGKLFKSSAPVELTEAETEYSVNVVKHIYDGHVVLQYNCTNTIPEQLLEEVVVFVDASEADEFSEVATKSLRSLPYDSPGQTFVAFEKLEGVLATGKFSNILKFIVKEVDPSTGEADDDGVEDEYQLEDLEITSADYMLKVGVSNFRNAWESMDPESERVDEYGLGARESLAEAVSAVIGILGMQPCEGTDVVPSNSRSHTCLLSGVFIGNVKVLVRLSFGLSGPKEVAMKLAVRSDDPEISDKIHEIVANG >ONIVA01G30170.1 pep chromosome:AWHD00000000:1:26355389:26357095:-1 gene:ONIVA01G30170 transcript:ONIVA01G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FR47] MEATREAAPMAASASSSSPLHIVMFPWLAFGHMIPFLELAKRLARRGLAVTFVSTPRNAARLGAIPPALSAHLRVVPLDLPAVDGLPEGAESTADAPPEKVGLLKKAFDGLAAPFAGFVAEACAAGHGESTPTAAGFSRKPDWIILDFAQNWVWPIAEEHKIPCAMFSIFPAAMVAFVGPRQENLAHPRTKTEHFMVQPPWIPFPSNVAYRRRHGAEWIAAVFRPNASGVSDADRFWEMEHACCRLIIHRSCPEAEPRLFPLLTELFAKPSVPAGLLMPPPPPAAGVDDDDDDVSMDDQHIAMAMRWLDEQPERSVIYVALGSEAPLTVGHVRELALGLELAGVRFLWALRAPPSASSVNRDKCAADADLLLPDGFRSRVAAARGGLVCARWVPQLRILAHRATGGFLTHCGWSSIFESLRFALPLVMLPLFADQGLGVQALPAREIGVEVACNDDGSFRRDAIAAAVRQVMVEEKGKALSRKAEELRDVLGDEGRQEMYLDELVGYLQRYK >ONIVA01G30160.1 pep chromosome:AWHD00000000:1:26345018:26345740:1 gene:ONIVA01G30160 transcript:ONIVA01G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDCTSQFYRHCSAAAVLMCSVPPLAIALCVGWPLRLVVVGGGSPAGRRRFPGRELSRPGSGRRADRGRRRREKEKKERKSDEELICGPKGIFDISRDFFLLLN >ONIVA01G30150.1 pep chromosome:AWHD00000000:1:26344558:26346017:-1 gene:ONIVA01G30150 transcript:ONIVA01G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTICRRSYLCRCFGGPSFSIIVAERLAAAQLTVGATARAGTAQLTAGKAAAPRRGPTANDDKAQRPPDAQRDGKRRHRAHEHGGGGAMSIKLGLSLQTVTEADIFARPLWHTQPYPNSRHPALSMPDSRGCRAAGGDAVLEAKRTATAAARGDQERWHEVAGARRGGNIFVAVTVSPAAETRQSWSSCSTEYMTGH >ONIVA01G30150.2 pep chromosome:AWHD00000000:1:26344640:26346017:-1 gene:ONIVA01G30150 transcript:ONIVA01G30150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTICRRSYLCRCFGGPSFSIIVAERLAAAQLTVGATARAGTAQLTAGKAAAPRRGPTANDDKAQRPPDAQRDGKRRHRAHEHGGGGAMSIKLGLSLQTVTEADIFARPLWHTQPYPNSRHPALSMPDSRGCRAAGGDAVLEAKRTATAAARGDQERWHEVAGARRGGNIFVAVTVSPAAETRQSWSSCRCISN >ONIVA01G30150.3 pep chromosome:AWHD00000000:1:26344151:26346017:-1 gene:ONIVA01G30150 transcript:ONIVA01G30150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTICRRSYLCRCFGGPSFSIIVAERLAAAQLTVGATARAGTAQLTAGKAAAPRRGPTANDDKAQRPPDAQRDGKRRHRAHEHGGGGAMSIKLGLSLQTVTEADIFARPLWHTQPYPNSRHPALSMPDSRGCRAAGGDAVLEAKRTATAAARGDQERWHEVAGARRGGNIFVAVTVSPAAETRQSWSSCRLKYGIYDWALTRQKLSHSLWHLFYTPTRLVKQIRDIILM >ONIVA01G30140.1 pep chromosome:AWHD00000000:1:26338347:26340186:-1 gene:ONIVA01G30140 transcript:ONIVA01G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FR42] MIPFLELSKRLASRGHAVTFVTTPRNAARLGATPPAPLSSSSRLRVVPLDLPAVDGLPEGAESTADVPPEKVGLLKKAFDGLAAPFARFVAEACTAGDGEAVTAAAGFLRKPDWIIPDFAHSWIWPIAEEHKIPYATFFIVTAALVAILGPRRENLTHPRTTAEDYMVQPPWIPFPSNIAYRRRHEAEWMVAAFRANASGVSDIDRFWESEQHPNCRLIIYRSCPEVEPRLFPLLTELFAKPAIPAGLLMFPDTINNDDDASEQSFVPPAIEWLDKQSEKSVIYVALRSEAPLTEDHVRELALGLELANVRFLWALRPPRGDGGSNDGGAAEILPDGFESRVAARGIVCTRWVPQLRVLAHRAVGGFLTHCGWGSTIESFQFSHPLVMLPFIVDQGLIAEAMAARGIGVEVARNDDGLFHRDDVAAAVRRVMVEEEGKVLARKAKELSDIVGDREQQEMYLDELVGYLQLYK >ONIVA01G30130.1 pep chromosome:AWHD00000000:1:26323816:26325393:-1 gene:ONIVA01G30130 transcript:ONIVA01G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FR41] MAATPPPPPPLHIVVFPWLAFGHMIPFLELSKRLARRGHAITFVSTPRNAGRLGAIPPAMSAHLRVVSLDLPAVDGLPEGAESTADVPPEKVGLLKKAFDGLAVPFASLIAEACGGAAGDGEEAAAGFSRKPDWIILDFAQNWIWPIAEEYEIACAIFSIFPAALGAFVGTKQENLAHPRTTTEDYMAQPAWIPFPSTVTYRRHEAEWIAAGFRPNASGVSDADRFWDSERPSCRLIIYRSCPEAEPRLFPLLTKLYTKPAIPSGLLVPPALDDNDIGVYNRSDRSFVAVMQWLDKQPNKSVIYVSLGTEAPITADHMHELAFGLELAGVRFLWALRRPSGINCHDDMLLPSGFETRVAARGLVCTEWVPQVCVLAHGAVGAFLTHCGWGSTVESFHYGQPLVMLPFIADQGLIAQAVAATGVGVEVARNYDDGSFYRDDVAAAIQRVMVEEEGKELAHKAIELCGILGDRVRQEMYLYELIGYLQCYK >ONIVA01G30120.1 pep chromosome:AWHD00000000:1:26314060:26315184:1 gene:ONIVA01G30120 transcript:ONIVA01G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRQGGLPESDAGERRRHHNEDRQSDTVVVAVLSFRQLRTETMGHGGSDLGYEGGSSEPASGFCRRLANGRPTRACGLPTGRCDPLFSFTLFFSNPITWMELSAPDVTLLLDAGQWRGTGVVHVGVGGKEDYGRKSSLFGPTTVTLVGAASPLGHSRGISLSMMDVSSGENHVLILENGRNDALGIVSS >ONIVA01G30110.1 pep chromosome:AWHD00000000:1:26310269:26310844:-1 gene:ONIVA01G30110 transcript:ONIVA01G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGRDGRWRNTRPSRSAERACQRGEGCYAATILLLLVGAGGGGVEQQTAPMRTWLGRDGRWRARALADPPRDPAMTAKAAAPPPSSSSSSVPAVVAWSGRWRGYGRGWGGTGGGGGRALTDAPRDPAMAAKAAAPPPSSSSLSMPAAAAWSVRRRWPPVSPHRAFEAAVPFQAKSTGREKEGKRRGNPGI >ONIVA01G30100.1 pep chromosome:AWHD00000000:1:26307671:26309822:-1 gene:ONIVA01G30100 transcript:ONIVA01G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FR38] MSEAVQAAADASSSSSSSSSSSSSSSSSSSSPLHIVVFPWLAFGHMIPFLELSKRLASRGHAVTFVSTPRNAARLGAIPPALSANLRVVPLDLPAVDGLPEGAESTADVPPEKVGLLKKAFDGLAAPFASLVAEACGGGSAGDGEEAAAGFSRRPDWIILDFAQNWLWPIAEEHEIPCAVFFIIPAAIVTFIGPKQENITHPRTTTEDYMVAPPWVPFPSTLAYRRHEAEWIAAAFQPNASGVSDVDRLLEMERSCCRLIVYRSCPEAEPRLFPLLNKLFARPAVPAGLLLPADIVHDEDAPNTTSDQSFVSAIQWLDKQPNGSVIYVALGSEAPITTNHVRELALGLELSGVRFLWALRPPSGINSQTGTFLPSGFESRVATRGIVCTEWVPQVRVLAHGAIGAFLTHCGWGSTVESFCFGHPLVMLPFVADQGLIAQAMAARGIGVEVARNYDDGSFYRDDVAAAVRRVMVEEEGKVLARKAKEVHSILGDRAREEQYLDEFVGYLQRYK >ONIVA01G30090.1 pep chromosome:AWHD00000000:1:26307438:26314050:1 gene:ONIVA01G30090 transcript:ONIVA01G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLVQGACMVNGVFEARWGDTGGHLLLTLHAAAAGIDNDDEDGGGAAAFAAMAGSLGASVRARPPPPPVPPQPRPYPRHLPLHATTAGTDDDEEDGGGAAAFAVMAGSLGGSARARALHLPSLPNHVRIGAVCCSTPPPPAPTRRRRMALSADLLGLVFLHLPSLPDHIRLRASYLAVNNIAGSYKTFVISDTRAEARSDDDDERLPSGNGTSDGLSVSRLLLSLASSSTEKRAGSQAAFLQRRPLSSEPPPPPLGELALTYQDDGCGSRVDGEHQIKRGRVWGCEAGLQEASPTSGR >ONIVA01G30080.1 pep chromosome:AWHD00000000:1:26301540:26306915:-1 gene:ONIVA01G30080 transcript:ONIVA01G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FR36] MDSVESGVLPHVGSRMAINGVAGAGAGDVDVDVDASAPPPPLHLVMFPWLAFGHLIPFLQLAKRLAARGHAAVTFLATPRNASRLAALPPELAAYVRVVSLPLPVVDGLPEGAESTADVPPEKVELLKKAFDGLAAPFAAFLADACAAGDREGRPDPFSRRPDWVVVDFAHGWLPPIADEHRVPCAFFSIYSAAALAFLGPKAAHDAHPRIEPEDFMSPPPWITFPSTIAFRRHEAAWVAAAAYRPNASGVSDIDRMWQLHQRCHLIVYRSCPDVEGAQLCGLLDELYHKPVVPAGLLLPPDAAGDDDDGHRPDLMRWLDEQPARSVVYVALGTEAPVTADNVRELALGLELAGARFLWALRDAGERLPEGYKARVAGRSVVEAGWVPQVRVLAHAAVGAFLTHCGWGSTVESLRFGGLPLVMLPFIADQGLIARAMADRGLGVEVARDDDGDGSFRGEDVAAAVRRVMAEEEGKVFARNAREMQEALGDGERQDRYVDELAERLRRRRSLS >ONIVA01G30070.1 pep chromosome:AWHD00000000:1:26294069:26300306:1 gene:ONIVA01G30070 transcript:ONIVA01G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWADSAANAEESAPAAAANHGNSRLPRSSYVPPHLRGQAAPAAPAQAGALPSAAAPAVQPSVGQPGVVGGPRWAGIVNGGGGSVGGSRQGFGVGGRGGGGGGGGGAWNSRPGGWDRRDREPDPFANSEAAEVDFEGENTGINFEAYEDIPVETSGHDVPPPANTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGIMRSRPPPRSRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVKVVVAYGGAPITQQLRELERGVEILVATPGRLMDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIVQRVEFVLDADKRSYLMDLLHAQRANGTHGKQALTLVFVETKRGADALENWLYNNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNESNTPLARPLSELMQEANQEVPQWLERYAARSSFGGGGGRNRRSGGGARFGGRDFRRDRGSGGGGYGGGGGGYGGGGYGGGGGGGGYGGGSSYGGGGQGFSSAWD >ONIVA01G30060.1 pep chromosome:AWHD00000000:1:26289472:26292154:1 gene:ONIVA01G30060 transcript:ONIVA01G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPSSDPSKGFQPKFPLRISINRSAEATGEVRRRRRRRRRRARMSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >ONIVA01G30050.1 pep chromosome:AWHD00000000:1:26273254:26278395:-1 gene:ONIVA01G30050 transcript:ONIVA01G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial 28S ribosomal protein S29-related [Source:Projected from Arabidopsis thaliana (AT1G16870) TAIR;Acc:AT1G16870] MLLRSLLRRAAVAATATAAGGGVGVGARATTGRADPPAALASLLVASRSYAKAKGGGKPAGATSNRGKVRAKDPRGVASEEGAAGEFEGGGGGAGGGDDLDVEFELPTDPLPPTYDPALDVGPGGRPLFAFTDTFASFSRRDANAYVDFTLDEWKAMLPEGLPAGMMKEFQETRRCAVMVRESFLDLRDNFRRIVDPAIAAKRKDAKRQIVLDGPRSCGKSIALAMLVHWARTEGWLVFYVPQGKDWSHGGFFYRNTYNDLFDTPIQAAKILQDFLKYNENRLQQLPCQIFEPIPLGEGAGVGMMKGADTVEMPEGSTLYDLIQTGITHSHASVGVVVRLRKELSLVKDVPVLFAIDQYNSWFTFSEFQEPVTVRSCRPIHAKELTMVNAYRPMLHNDMMVGAFSHSTAVGKLRQDLPDVPSDARVMFPRYTVNEAETVCHYYMSNLKCEQFWQQHKNFTHVPDDDVDVY >ONIVA01G30040.1 pep chromosome:AWHD00000000:1:26269004:26269450:-1 gene:ONIVA01G30040 transcript:ONIVA01G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIAIEEMKSGRGRRVVAAIIRSTPARATSDKSAATITVGSILAGSTANGSATINYVVFFSPPPFVVVTLRLPSLSLLDPPHLDPSPRSTAGSAPIGPVADGSAIDNSAVLLGLLRHRGCPLPRHSILCSPVAVDLMPVVSSPHAGH >ONIVA01G30030.1 pep chromosome:AWHD00000000:1:26263459:26263677:1 gene:ONIVA01G30030 transcript:ONIVA01G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTAEGGLGIREGRVTDGAASRRTMNARMSVKLMSLGIDYIILERADPQTRQGAAATGAVATFPRLAEM >ONIVA01G30020.1 pep chromosome:AWHD00000000:1:26243943:26262707:-1 gene:ONIVA01G30020 transcript:ONIVA01G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding;RNA binding [Source:Projected from Arabidopsis thaliana (AT3G11964) TAIR;Acc:AT3G11964] MAPRGDGKKGKGSGKPDQLRPKSKQFKKHSRKEEVAGEGEQQERPAAPDSAAVLAAAAADDGDFPRGGRSLLSRDEVAEARAEADADFEREERRGKRKRKGASSSGAGGDDDLGSLFGGATTGKLPRFANRVTLKNISPNMKLWGVVIEVNQKDIVVSLPGGMRGFVRSEEVHDITSQETRKDSEGSICADVVHVGQLVPCIVLRVDDDNKEGKVNKRVWLSLRLSRIYKGLSLDAIQDGMVLTAQVKSIEDHGYILHFGVSSFSGFMPKADRESAKIESGQLIQCVVKAIDKAREIVHLSSDEDLLSKSIIKDLKGLSIDHLIPGMMVNARVHSVLENGVMLSFLTYFTGTADIFNLSNSFPSGSWKDDYIKNKKVNARILFVDPSTRAVGLTLNQQLLRLKVPSINVKAGEIYDKARVLRMDKRAGLFLEIPSPTPSPGFVSIHDVSDKDVKNVEKKFKEGSMARVRVLGVRHLEGVAIGTLKESAFEGSVFTHADVKPGMVVRAKVVTVEPFGAIVQFSSGVKALCPLPHMSELEHVVKPPKKFKVGVELTFRVLGCKSKRITVTFKKSLVKSKLDVLASYADAKIGLLTHGWITKIEKHGCFVKFYNGVQGFVSRSELGLEPGTEAENVYHVGQVVKCRVVSVVPASRKINVTFLISTNRVIQADTPKVGSIVSGVVERLTPAAVVVSVNGFCKGSILNEHLADHRGQAAQLKNLLKPGHEFSELLVLDVEGQNLVLSAKQSLINCASDIPSEISQMHAGSVFHGYVCNIIEAGCFVRFLGHLTGFSPKDKAVDRSVEKLSNAFYVGQSVRSHILNVNAESARVKLSLQQSMCSSADCSFVQGYFLLDQKITELKYSDPSSSFHDWLNTFAIGNLVEGEVGAIEEYGVILNFQSHPDVVGLIEHHQLGDSSVEVGSSVKGLVIDLSDGVVNISLKSELVCSVSKVGKKKKRHRAAVMDLELHEEVNAIVEIVKESHVVLSIPEYNYAIGFAPLMDYNSQLLPCCYYENGQRITVVVGSMPSSGPTGRLLLLPKASGKNSSVSSSKRAKKKSDFKVGSLVEAEIIDIKPLELLLKFGSNLHGRIHITEVFDDDSNDCPFSELQIGRSVQARIIAEAEHSGKGGKNSKWELSIRPSLLQGGLEDFTPPKAELRHSIGGIVHAYVVKVDREWIWLTVSRDVMAHLFILDSSAEPGELEKFQQRYSVGQAVKGRIIGVNREKRLLRLKALDSQSLPENIGETQKPLSATVEHTKQGDIIGGRIQKILPGVGGLVIQIGPHLHGRVHYTEIVDSWVQEPISGFHEGQFVKCKVLDVSRSSEGSVRVDLSLRSSMCANSNQSRRLFDDSRIRTSRFEKINDLCPGTEVKGYVKSVNSKGCFIMVSRTIEARIILSNLSDEYVENPQNDFPVGLLVHGRVLSSEPQSGKVEVSLRKNTGSKSQKSDDISYSDLHVGDIIAGQVKRVESFGLFVTIQGSELVALCHVSELSDEPVLDIHSCHKAGDKIDEERHRVSIGMKKSYIGPDSTGDTSDDEDDEIVPEEISRNPVMGRDRNHALVLPKPESRASVLPLQVSLDESEGSDQENDNKGQEIANGTEVDDKKSNKRLKEKARKQRELEISALEERALQRDIPQTPDEFEKLVRSSPNSSFVWINYMAFLLDLADVDKARAVAERALRTINIREEEEKLNVWVAYFNLENEYGSPREDAVKKIFQRALQYCDPKKVHLALLAMYERTEQYTLADELLDRMTKRFKTSCKIWLRCIQLSLKQSKDVECIKLIVKRALLSLPQSKRIKFLSQTAILEFKCGVPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDTEIIRALFERVTCLSLPPKKMKFLFKKYLEYEKSQGDEERIEHVKQKALEYVQSSLA >ONIVA01G30020.2 pep chromosome:AWHD00000000:1:26243943:26262707:-1 gene:ONIVA01G30020 transcript:ONIVA01G30020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding;RNA binding [Source:Projected from Arabidopsis thaliana (AT3G11964) TAIR;Acc:AT3G11964] MAPRGDGKKGKGSGKPDQLRPKSKQFKKHSRKEEVAGEGEQQERPAAPDSAAVLAAAAADDGDFPRGGRSLLSRDEVAEARAEADADFEREERRGKRKRKGASSSGAGGDDDLGSLFGGATTGKLPRFANRVTLKNISPNMKLWGVVIEVNQKDIVVSLPGGMRGFVRSEEVHDITSQETRKDSEGSICADVVHVGQLVPCIVLRVDDDNKEGKVNKRVWLSLRLSRIYKGLSLDAIQDGMVLTAQVKSIEDHGYILHFGVSSFSGFMPKADRESAKIESGQLIQCVVKAIDKAREIVHLSSDEDLLSKSIIKDLKGLSIDHLIPGMMVNARVHSVLENGVMLSFLTYFTGTADIFNLSNSFPSGSWKDDYIKNKKVNARILFVDPSTRAVGLTLNQQLLRLKVPSINVKAGEIYDKARVLRMDKRAGLFLEIPSPTPSPGFVSIHDVSDKDVKNVEKKFKEGSMARVRVLGVRHLEGVAIGTLKESAFEGSVFTHADVKPGMVVRAKVVTVEPFGAIVQFSSGVKALCPLPHMSELEHVVKPPKKFKVGVELTFRVLGCKSKRITVTFKKSLVKSKLDVLASYADAKIGLLTHGWITKIEKHGCFVKFYNGVQGFVSRSELGLEPGTEAENVYHVGQVVKCRVVSVVPASRKINVTFLISTNRVIQADTPKVGSIVSGVVERLTPAAVVVSVNGFCKGSILNEHLADHRGQAAQLKNLLKPGHEFSELLVLDVEGQNLVLSAKQSLINCASDIPSEISQMHAGSVFHGYVCNIIEAGCFVRFLGHLTGFSPKDKAVDRSVEKLSNAFYVGQSVRSHILNVNAESARVKLSLQQSMCSSADCSFVQGYFLLDQKYSDPSSSFHDWLNTFAIGNLVEGEVGAIEEYGVILNFQSHPDVVGLIEHHQLGDSSVEVGSSVKGLVIDLSDGVVNISLKSELVCSVSKVGKKKKRHRAAVMDLELHEEVNAIVEIVKESHVVLSIPEYNYAIGFAPLMDYNSQLLPCCYYENGQRITVVVGSMPSSGPTGRLLLLPKASGKNSSVSSSKRAKKKSDFKVGSLVEAEIIDIKPLELLLKFGSNLHGRIHITEVFDDDSNDCPFSELQIGRSVQARIIAEAEHSGKGGKNSKWELSIRPSLLQGGLEDFTPPKAELRHSIGGIVHAYVVKVDREWIWLTVSRDVMAHLFILDSSAEPGELEKFQQRYSVGQAVKGRIIGVNREKRLLRLKALDSQSLPENIGETQKPLSATVEHTKQGDIIGGRIQKILPGVGGLVIQIGPHLHGRVHYTEIVDSWVQEPISGFHEGQFVKCKVLDVSRSSEGSVRVDLSLRSSMCANSNQSRRLFDDSRIRTSRFEKINDLCPGTEVKGYVKSVNSKGCFIMVSRTIEARIILSNLSDEYVENPQNDFPVGLLVHGRVLSSEPQSGKVEVSLRKNTGSKSQKSDDISYSDLHVGDIIAGQVKRVESFGLFVTIQGSELVALCHVSELSDEPVLDIHSCHKAGDKIDEERHRVSIGMKKSYIGPDSTGDTSDDEDDEIVPEEISRNPVMGRDRNHALVLPKPESRASVLPLQVSLDESEGSDQENDNKGQEIANGTEVDDKKSNKRLKEKARKQRELEISALEERALQRDIPQTPDEFEKLVRSSPNSSFVWINYMAFLLDLADVDKARAVAERALRTINIREEEEKLNVWVAYFNLENEYGSPREDAVKKIFQRALQYCDPKKVHLALLAMYERTEQYTLADELLDRMTKRFKTSCKIWLRCIQLSLKQSKDVECIKLIVKRALLSLPQSKRIKFLSQTAILEFKCGVPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDTEIIRALFERVTCLSLPPKKMKFLFKKYLEYEKSQGDEERIEHVKQKALEYVQSSLA >ONIVA01G30010.1 pep chromosome:AWHD00000000:1:26241316:26241885:-1 gene:ONIVA01G30010 transcript:ONIVA01G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPASASPSLPEGEVLADFLVFLDDAAAAAAAAEPYEVEMEEEEEEEPQEVEFAADDGSDDDVGGDLVGNGGLMEDEVDYAVDELVDADSEDGSLDIMADDGDDEAATEEEHATRAAEPPAATARNARMSVKPVKQFGGDYEAINKMIREYLQADKKRRRARRVAAAMLRLRRQRRRPAEDGGAPTWP >ONIVA01G30000.1 pep chromosome:AWHD00000000:1:26234916:26235443:1 gene:ONIVA01G30000 transcript:ONIVA01G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLPEYVQFDGHLLPVAWQEGEVRAEFLAFLDDAAAAAEDEAEPYEVEFAADDGIVDDGGVMEDGVDYVYDDVELVDADFEDGLVSDADLADDDDGGAATAAAEEHAARAAEPPAGNARMSVKPVKQFGGEYEAINEMIREYLQADKKRRRARRVAAAMSRLRRQRGQPTGM >ONIVA01G29990.1 pep chromosome:AWHD00000000:1:26233807:26234271:-1 gene:ONIVA01G29990 transcript:ONIVA01G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSAAASLPEYVQFDGHLLPVAWLEGEVLAEFLAFLDAAAAAAEDAAEPYEVEFEEEEPYEVEFEEEPEEVEFAADDDDDGGLMEDGGRGSEVDDDDSDLFKAYEEEAEQEMALLLPHIMAIPAVMARAAAPATEQETKRHQFVSDQRGWM >ONIVA01G29980.1 pep chromosome:AWHD00000000:1:26220407:26233477:1 gene:ONIVA01G29980 transcript:ONIVA01G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor (CPSF) A subunit protein [Source:Projected from Arabidopsis thaliana (AT3G11960) TAIR;Acc:AT3G11960] MESPTAGGGGGGGEAGAASSSSSSSGPSTSSAAAAATASASASSSAVATRYLAKRVLRGSAVLHVAQGCFRSPDCVDVVLCKENSLELVVIGEDGVLQSICEQTTFGIIKDVGVLNWRCTHFGLMPKIEGKEILVILSDSGKLSLLYFCSEMHRFFAIANIELSKPGNLRHRLGRILAIDRESRFVAVSAYEDEFAFVRVSVDHKLHAPNGEIEEDAKIISTAYNTSSIHGTVWSMCFISTCLDEEYYPVVAMIVNRGSDVNDLALYGLDSHKRTIDRISTYLEAGPLALEISEIPQLYGFALMFRAGDVLLMDLRNPKDISCIHRISLSTSLIGDHVSVEDSCRGLDVDDDVAACALLELRDSGNNMMRDDSYMDIDGTDNKAVVKSRIVCSWNWEPNAMQGHPRLIFCLDDGEFHLLEFSLDMEGVKVLPECVHRGLPCKPLLWMDKGMVVVFVEMGDGMILQLENNRLVHKSAIQNVAPILDLAIADHHGEKQDQMFACCGMCPEGSLRVIRNGVNVEKLLRTDPIYHGVTGLWTLRMKRTDAYHSFLVLSFVEETRILSVGLSFNDICDAVGFQTDVCTLACGLVADGLLVQIHSKCVKLCLPTACAHPEGTLLPSPVCADWYPDVTISVGAVGHNVVVVATSNPCCLYILGVRSLSSFQYELYEIQHVQLHYEVSCISIPQEDWRLDNSSSSCATSGDFRKDFAANIRKFAVIGTHEPSVHIISLEPGEAFQQLAVGHISVNNALGTPISGCIPENVRFVAAARFYILAGLRNGMLLRFESQTSKGHCFPGSFYKESSTPCDDTSLMLIAVRRIGITPVVLVPLHDRANADIIVLGDRPWLLHSARHSLAYSSISFLPASHVTPVSSTDCPNGLLFVSENCLHLVEMVHGKRLNAQKFSIGGTPRKVLYHSDSRTLLVLRTGLTSVSCSSDIVQIDPSNGALLSRFKCEPGETAKCMQIAKIGNDQVLIVGTSKSNGRPMMPNGEAESIKGRLILLSLETIESPRESGSFTAASNLNSSHAGSPFPEFVGYAAEELSSNSMCSSPDEVCCNQIQPELMAGHLRSLVQHTFNGAVLAVHPYLDRYVLAAAGNVLFVFGFLNESPHRIKKYTTSRTRFTITCLKTYASRIAVGDCRDGVLFYSYHENLRKLELIYSDPAQRLVGDVALLSCETAVVSDRRGSISVLSCPRLEVSESPEKNLAVHCSFYMGETAMSIQKVAFKHWLPIDDLTEPVLESVYNCVVASTLLGSIFVMIPLTSEEHQMLQDVQERLSVHPLTAPLLGNDHAEFRRRGIPSGVPPILDGDMLVQFLELTSEQQHDVLNIVSPGKKRQHDISVFQVMRALERVHYALN >ONIVA01G29970.1 pep chromosome:AWHD00000000:1:26213450:26218523:-1 gene:ONIVA01G29970 transcript:ONIVA01G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFLRHADARTPRAFVQLLAAQPPRPSAAAADQCHAAATKLGFLASNLFATTALLAFYCRSRHLPEAQHLFDQMPARTAVTWNTLIHGHARSAAPGLAVAAFARMARAGVSPTASSVSSVLVACVRLEDAAAGATLHSVGLMHGFCSSVVVGTALVDMYAKCHHLGAAQQVFREMEEKNVATFTALVAGFVLSRRPHDAMLLVREMERSGVAPNLMTYSSLLSSFASPEDIDHGKQVHCAVLKKGLEHDQFVLSALVTMYSKCGILEDFVKVQMSVSCQDQVSFNSVISGLSCLGRGKEAFQHFLEMRRHGTDMDVFTFASVLKAIGSSSSLLEGRQVHTLILKIGYDSVVDVQNSLISMYARHGAIGESNGVFISMEAPNLVSWNSLMSGCAQHGHGKEVVEMFEQMRRLHVQPDHITFLSVLTACSHVGLVDKGLEYFNLMKDKGYLVGARTEHYACMVDLLGRAGYLNEAEYLINGMPIKPGASVYRALLSACQIHGNLEIVIRVSKRLIELNPHDSSVHVQLSNAFAGDGRWGNAAEIREAMSEYMSGGSLYDFLHKQHNVLDLPTLLKFAVDLCRGMCYLHQIGIIHRDLKSANLLMDKDHVVKVADFGVAHFQDQGGNMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELITSKIPYNTMTPLQAAVYKGLRPGLPENAHSQLLPPFQGKDLSIPERKKEQPLSEK >ONIVA01G29960.1 pep chromosome:AWHD00000000:1:26207012:26207812:-1 gene:ONIVA01G29960 transcript:ONIVA01G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFQKPTQGQSQQQQCHYQVPTVTVAKNKAKTKCSSSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFATQAAPDSPLASRIRTLLTHKKLKKSMPQPTITFSTAVYHHARSTVPAAATSTSTSGVSPSRSNSSSLNFATNLSNFAMSGGEELQLASSQQQYDQSWALNTSLLPIGDGCDMSGSNACPVASDQDKMKAEKQGSHGMNGIQEQETFDMGNDLCDSLWDLPPICQLSCKY >ONIVA01G29950.1 pep chromosome:AWHD00000000:1:26201304:26202841:-1 gene:ONIVA01G29950 transcript:ONIVA01G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGASVSMDAAASMDMWDWEVLPDQLSSSSHGGGGHGRRVLGAQETEESNLDAAAADMAVDDECKDIGVDVAVPAETKTSQEETMAAKVTEEEEEEEAFQSSDAKVVDGDDDGGGEEEEEEEEEGKKAGAECVVFRVGKLRVNGIGALCSFGVAAAATVCVFLVGGRLQHHHRQQQQHKIQLQLYGDDKRMQQVVQQTSRLNQAMSSVMGGGGSTRANISFGGYYEGF >ONIVA01G29940.1 pep chromosome:AWHD00000000:1:26196319:26211219:1 gene:ONIVA01G29940 transcript:ONIVA01G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAGRGGGEAVAAQRLGGPLHRIQWEGSSRWSGIPATAVAIRRRRLINNTIIQTGSKGRKKAIYEGEEKRTVYRQIGGVEIKIWISPRVGNEAHHDCSGLSGRKLHYKVVTTVTSPYERIVALLPRYSSRQAIKFLGAHSIALLLSATLGTASSMSLCFLYGNVNQSRER >ONIVA01G29930.1 pep chromosome:AWHD00000000:1:26193773:26194148:-1 gene:ONIVA01G29930 transcript:ONIVA01G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSLFSPLRRLWVRAHSERRNRRGMYILYKDVQSCQDEDVHVLWSILIDSHRHPALMKLKL >ONIVA01G29920.1 pep chromosome:AWHD00000000:1:26187089:26192726:1 gene:ONIVA01G29920 transcript:ONIVA01G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival protein SurE-like phosphatase/nucleotidase [Source:Projected from Arabidopsis thaliana (AT4G14930) TAIR;Acc:AT4G14930] MDSSAASAAAAAAAPVVLVTNDDGIDAPGLRFLVGQLVAARRYRVLVCAPDTDRSGVSHSITWRPALRCKRVDIDGATAFAASGTPADCASLGISGKLFDGLVVSGINVGNNCGCHVIYSGTVGGAREAFLYGIPSLAMVASQSSVNDLKVAAEVVMPLINNVMAEIKNGTYPQGSFLNIDIPTDAAHHKGYKITKQGRYMARIGWEQTVYKKPAVESYQTANMDVDSEKDSEVDTSSENDLLFKRVLVRRSYDEEEGDDIDHKCLVDGYITVTPLGALSRAEADVIPYYKACLSRDQDTFVAH >ONIVA01G29910.1 pep chromosome:AWHD00000000:1:26173537:26175152:-1 gene:ONIVA01G29910 transcript:ONIVA01G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSAQAIDEQSRWSLNSKFEMGEELLWLEVEMGGFSTTIHPSPCSPLPSSPYGVKPSAVHLMHRYFAC >ONIVA01G29900.1 pep chromosome:AWHD00000000:1:26162000:26170064:-1 gene:ONIVA01G29900 transcript:ONIVA01G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zeta-carotene desaturase [Source:Projected from Arabidopsis thaliana (AT3G04870) TAIR;Acc:AT3G04870] MAATSRAPSTLAPASFSAAGGSRRRRRCPNPRVRVGVGVRCSLDSNVSDMAVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYESRPFIGGKVGSFVDRKGNHIEMGLHVFFGCYSNLFRLMKKVGADNNLLVKEHTHTFVNKGGTIGELDFRFPVGAPLHGIQAFLRTNQLKVYDKARNAVALALSPVVRALVDPDGALQQVRDLDDVSFSDWFLSKGGTRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVLYDKSPDGETYVKGLLLSKATSREIIKADAYVAACDVPGIKRLLPSEWRQWDTFDNIYKLDGVPVVTVQLRYNGWVTELQDLEKSRQLKKAVGLDNLLYTPDADFSCFSDLALSSPADYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVQKQVLELFPSSRGLELTWSSVVKIGQSLYRESPGNDPFRPDQKTLVKNFFLSGSYTKQDYIDSMEGATLSGRRTAAYICGAGEELLALRKKLIVDDSEKARGCRVVDDDLQKGDEGGGAELDSPRSVDDVVAVLEADYQRAYFLTGNFTPDIYTEDCLFEDPTIKFRGRSRYSQNLDLLVPFFDSPSLELENIEKGLRVETKFIMATWTLRTYLRLPWRPLIVIRGNTTYDLDEEYKVTRHAESWDVSALEAIGQIFVPAPKQTDDI >ONIVA01G29890.1 pep chromosome:AWHD00000000:1:26158864:26160720:-1 gene:ONIVA01G29890 transcript:ONIVA01G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 7 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FR16] MLSSLSAWLVNPRRNPLARLHMNAVASRLRKYGLRYDDLYDPYHDLDIKEALARLPREVVDARNQRLKRAMDLSMKHQYLPADAQVEQDFAIILGSFGSVPHSVCMYAKQTPFRGYLSDMLALVKKESAEREALGALPLYQRTIP >ONIVA01G29880.1 pep chromosome:AWHD00000000:1:26156319:26159832:1 gene:ONIVA01G29880 transcript:ONIVA01G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGRWKRCGVEKGDSYSREAAAGKLSGERGWSRRQGVIGSSDAIAATSCHLGKRMSKQNVMNVEKELKVL >ONIVA01G29870.1 pep chromosome:AWHD00000000:1:26148200:26155814:-1 gene:ONIVA01G29870 transcript:ONIVA01G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQYLQRKGFRVAGLALLEDRTRLSAATTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEQMEVARSLRQNKFRIKLCEVYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGTSKDLTKQINQKEVHWGILEDSLEERMEKAISDSDKTEIESKDADTEDNKARNCFVQRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNRAQLNSLSLPSFNHYMWNSACSQGENGPSQIERVSTSDEARKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTNRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >ONIVA01G29870.2 pep chromosome:AWHD00000000:1:26148200:26155814:-1 gene:ONIVA01G29870 transcript:ONIVA01G29870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQYLQRKGFRVAGLALLEDRTRLSAATTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEQMEVARSLRQNKFRIKLCEVYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGTSKDLTKQINQKEVHWGILEDSLEERMEKAISDSDKTEIESKDADTEDNKARNCFVQRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNRAQLNSLSLPSFNHYMWNSACSQGENGPSQIERVSTSDEARKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSSKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >ONIVA01G29870.3 pep chromosome:AWHD00000000:1:26148200:26155814:-1 gene:ONIVA01G29870 transcript:ONIVA01G29870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQYLQRKGFRVAGLALLEDRTRLSAATTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEQMEVARSLRQNKFRIKLCEVYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGTSKDLTKQINQKEVHWGQRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNRAQLNSLSLPSFNHYMWNSACSQGENGPSQIERVSTSDEARKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSSKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >ONIVA01G29870.4 pep chromosome:AWHD00000000:1:26148200:26155814:-1 gene:ONIVA01G29870 transcript:ONIVA01G29870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQYLQRKGFRVAGLALLEDRTRLSAATTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGTSKDLTKQINQKEVHWGILEDSLEERMEKAISDSDKTEIESKDADTEDNKARNCFVQRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNRAQLNSLSLPSFNHYMWNSACSQGENGPSQIERVSTSDEARKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSSKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >ONIVA01G29870.5 pep chromosome:AWHD00000000:1:26148200:26155814:-1 gene:ONIVA01G29870 transcript:ONIVA01G29870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQYLQRKGFRVAGLALLEDRTRLSAATTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEQMEVARSLRQNKFRIKLCEVYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGTSKDLTKQINQKEVHWGQRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNPCSQGENGPSQIERVSTSDEARKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSSKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >ONIVA01G29870.6 pep chromosome:AWHD00000000:1:26148200:26155814:-1 gene:ONIVA01G29870 transcript:ONIVA01G29870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQYLQRKGFRVAGLALLEDRTRLSAATTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGTSKDLTKQINQKEVHWGQRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNPCSQGENGPSQIERVSTSDEARKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSSKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >ONIVA01G29870.7 pep chromosome:AWHD00000000:1:26148200:26154433:-1 gene:ONIVA01G29870 transcript:ONIVA01G29870.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGTSKDLTKQINQKEVHWGQRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNPCSQGENGPSQIERVSTSDEARKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSSKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >ONIVA01G29870.8 pep chromosome:AWHD00000000:1:26154498:26155814:-1 gene:ONIVA01G29870 transcript:ONIVA01G29870.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQYLQRKGFRVAGLALLEDRTRLSAATTTTTTASSSSHSLSDAALSSYIISF >ONIVA01G29860.1 pep chromosome:AWHD00000000:1:26128647:26130203:-1 gene:ONIVA01G29860 transcript:ONIVA01G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FR06] MATAWPRWRPSSPPMPSSSSSSPSSSFTADPPAEFLCPISGTLMADPVVVPPGQTFERACIQACAALAFSPPAVAADLSSLPPSASSPLVLVPNVALRTAILNWCDRLSLPYPAPLSPDTARDVVRRLMPSPPPPPPRSQAPPPPPPASSVQTRSYYSDDLVQQQQEARREGGSTEERIMAMLGAGPAEQEAAMALLRKTARENREMRRELCTPRLLAALRPMLLSGDAGVQVNAAAALVNLSLEAENKVRIVRSGAVSPLVEVLRSGHPEARDHAAGAVYSLAVEDENRAAIGVLGAIPPLLELFACAGAAHLARREAGMALYHVSLSGMNRSKIARTPGVVRTLLAAAEAARDDRANEADAAALRRIAVMILANLAGCPDGRTALMDGGAVAAVVRLMSSGSAAPGSAEEEYCISSLYGMSRGSLRFRGLARAAGVEAVLTPVAEGAGGVGRDMARRTLRAMRGEDDEAAVTATGLLGRQWDDGSVVSEGLVSIRRPPPRRSSYGAGASGSNTTQF >ONIVA01G29850.1 pep chromosome:AWHD00000000:1:26123845:26125314:-1 gene:ONIVA01G29850 transcript:ONIVA01G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FR05] MAGAAAAATPPEFVCPISGELMADPVIVPSGETFERGCVEACVALGFTPAALPLSVDLAASPPPALIPNANLRKAISSYCDRVGLPRPLAVSPEEARGIVRRLMAMREPGRAGGVNGERFESSSSSSPEFAALGLTLEEAVLVRLLDDEPSRQEGALEALKQTLRGGENGVRRALCTPRLLDGLRRLMGSGHEGVRVSAAACVVNLSLEPANRVQLVRAELVPVLVGLLAAASPELRDHAAGAVYSLSIEERNRIPIGVLGAVPPLLRLLASAADGDRARRDAGMALYYLSLDEMNRSRLARSAGAVAALVGAAGDAALRRPALMVMANLAGCGEGREALIDGGAVAAVAGLMRRATVAPGSTEEEYCLSALHGMSRGNVRFGGLARAAGAGEVLRRVAEGPGGGVRRDVAWRTLRAVGGVAAAATGESLYGGEDAAAAAPWMDDVSVMSEAMAMPQFPRRLVEHAHAHGAPPRSNTTALDRLRQAPNG >ONIVA01G29840.1 pep chromosome:AWHD00000000:1:26120497:26131684:1 gene:ONIVA01G29840 transcript:ONIVA01G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5'-phosphate oxidase family protein [Source:Projected from Arabidopsis thaliana (AT2G04690) TAIR;Acc:AT2G04690] MRRSYRRPGRFRRQQPYPPEEPRKFGRDNSQLTKKGKILRFLQSPTERSTQTKPRKPVMLPPAHLLVVAVGAALLLAFRPPLPALAARPIVAAAGGKPAPTEAAATARWLAAQNTWGVLSNVVSYSDGVPGESHGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGKIDPENPTCAKLTLTGKLKLIDPQSSEADLAKEALFTKHPEMEGWPKNHHFQIFKLEIKNIFLIDWFGGPKPISPTEYLEYEKNRALLKSS >ONIVA01G29840.2 pep chromosome:AWHD00000000:1:26120497:26124008:1 gene:ONIVA01G29840 transcript:ONIVA01G29840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5'-phosphate oxidase family protein [Source:Projected from Arabidopsis thaliana (AT2G04690) TAIR;Acc:AT2G04690] MRRSYRRPGRFRRQQPYPPEEPRKFGRDNSQLTKKGKILRFLQSPTERSTQTKPRKPVMLPPAHLLVVAVGAALLLAFRPPLPALAARPIVAAAGGKPAPTEAAATARWLAAQNTWGVLSNVVSYSDGVPGESHGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGKIDPENPTCAKLTLTGKLKLIDPQSSEADLAKEALFTKHPEMEGWPKNHHFQIFKLEIKNIFLIDWFGGPKPISPTEYLEYEKNRALLKSS >ONIVA01G29830.1 pep chromosome:AWHD00000000:1:26112842:26116832:1 gene:ONIVA01G29830 transcript:ONIVA01G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNLPARADLTSPCLACSVSQARTMAGRHTSHTMEHKLLKAVATGDADLLAQALGIWPTATAEQGDVDQSCCLKGVTAEGSSVLHIAASRGHLKLVVMICTHDISLIKSRNNQLDTPLICAARAGHADVVDYLVRAASAMQEPERSVLRAWNSGGATAMHEAVRNGYTPVLQKLMSSDSGLATMVDDKGVSPLYLAVVSNRPDMVGILIGKSSDGVRSPASYAGPDGKTALHAAVYIGKEMSESLRRWEPTLAEKVDIDGRTALHYAVLTGETGLVELLLDNSSAAYIPDNDGLFPVHVAAIAGKASVTRMLMEMCLNCDELLDNKQRNVLHCAVEYGRLMVVWYICRNPKFTRLLNAGDCEGNTPLHLAVKHGNAIIISCLMMNTRVNLSIINHGGSTPLDVAFNKSTRDYSLSWLSSTSITMCLQACNAYTSRFLNRADKRFLEDKEESSVYTNVSQSILCISVLIAAGSFAAAFTPPGGYIADGEDAGMPLLKEYAEFSSYVAANSMSFYCSTFATCLLVHDSLTNRRRRRYLSLSAGLVFLAVVGMVLTFLVVTTDLTLDSGNSWDDLIFSITVGVFVFLLMFGRVIFVLSILAIPICWRLPMQLWRGNHLHFWQDILKVIAAAIFLVYVSLGFLMTVFSVLEVVLAFFFVEIENVTPSSIEFCSWHGCVIQHGDLIALYPS >ONIVA01G29820.1 pep chromosome:AWHD00000000:1:26111822:26112412:-1 gene:ONIVA01G29820 transcript:ONIVA01G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQEHLPYNFVAEGRIVVAGTRPNSAIADPAVAASQLAFTSVSAAIMIVREIAPEHQWAFPVRIMRGKGVTAKRSMHISVCKLKISSPVASSEANILSVAYSEAFHTLKRYKLLNSGKENVYKKKVKEADVVHSFSEIAEYKNVTLNDCINHCIYASHLETDQYFNAGHMALACPYHLD >ONIVA01G29810.1 pep chromosome:AWHD00000000:1:26105363:26108122:1 gene:ONIVA01G29810 transcript:ONIVA01G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNSEEGIISQTISSSSSSSCHYQCIATLSGNSSYVSGLAVDGDSLYVASSDGHIRLWPLDMAMAMVREESTSSSSQGEVSISTVAVTGSPVKCLAATGDGLVSSHQDGTIRVWRHAGGRRRLALRAVLPTAADCLRALLLPGGGYVEVRRHKRRAWVHHVDAVTALALSPDGESMYSVSWDRSLKAWRLPGLRCAESVAAAHDDAINAVVAAPDGHVYTASADGTVKAWRRRTGQKKLSLVCVMERHGAAVNALALGGGGRVLYSGACDRSVVAWENSAGAGAGGADVRMVATATLRGHARAVLCLAADGDVVCSGSADRTVRVWRRGATAAYTCLAVLDGHGGAVKSLALARGGAGCDRCCACHVEESSSCSCAALVCSGSLDCDVKLWRVTSLFVKLGVLVFCTMLDSYRLFVCFSEEAIKGSKVHHVGRLLGLIFHLFVWLSNFVEFKGAGYIYIKGLLVYGISSNNLEVDTQALGTYGYQAFMLMRATRRREMRQGKHRDEMATLLTN >ONIVA01G29800.1 pep chromosome:AWHD00000000:1:26097575:26098504:1 gene:ONIVA01G29800 transcript:ONIVA01G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLEYSAGGLGLLALAALESLPLRPLLLPAAAASHLPRRLGLATPLHLRHLLAGIVSALFLLSALFSARHHLSLPTLAATALFLLYALAPLAPLRAPLPLPLLDLVLAAAFAQELLLFAHRRPSTAAGIENRYFDLFLVPVAVCLVATLLAAHDPEAAPPRLARAAGLALQGTWMVQMGFSFFTSAVAQGCALHAQSRVDYTIKCRTHDDYHRARSAATLQFNGHLALLVLAGAAAYGAAVSRRNQPPSGYRMLSKEVQMEGMPLHSQFTLDSDEEKEDERITNSAMPVANGSGSHHEITVQTSDSK >ONIVA01G29790.1 pep chromosome:AWHD00000000:1:26091540:26095772:-1 gene:ONIVA01G29790 transcript:ONIVA01G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCFSSSTAAAAAARRFLLPHLFLGRRRHRHDQVRYTILHHPRTHTTAAPPAAAASTIRLSPSQQRQVSLYVDALLDWNQRLTRPLVAAPVFFSGAQRMNLTAVTDEGEVMTRHVADSLAVLPPLERAYRGDLGGMRLVDVGSGAGLPGLILAVARPSWKFTLLESMQKRCLFLEHAVEVMGLSNVDVVCDRAENVGQSPDFREAFDVAVARAVAELKVLAAMATTTSPLVVVLLAVVAAIAVSPVQPAFALPAGLPDIKSLTNPWSAFKNLSGCHFGDERQGLGKLKDYLWHFGYLSYPSSSSLSPSFNDLFDADMELAIKMYQGNFGLDVTGDLDAATVSQMMAPRCGVADVVNGTSTMGGGGGVRGRGLYSYFPGSPRWPRSRTTLRYAITATSQTSIDRATLSKVFASAFARWSAATTLNFTEAASAADADITIGFYGGDHGDGEAFDGPLGTLAHAFSPTNGRLHLDASEAWVAGGDVTRASSNAAVDLESVAVHEIGHILGLGHSSAVDSIMFPTLTSRTKKVNLATDDVAGIQGLYGNNPNFKGVTPPATSSREMDSAGAGELSRPWRRLLDGAAGLLVGLSLAWL >ONIVA01G29780.1 pep chromosome:AWHD00000000:1:26087188:26087469:-1 gene:ONIVA01G29780 transcript:ONIVA01G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYGTSWADQWDYGSDPPPPSSSSGKRSGGGGGGGGKKGMEKTKAAAASGLRKVKEGTAHGFQWIKDKYQQKKSGGKKHGDQQSSEIAGY >ONIVA01G29770.1 pep chromosome:AWHD00000000:1:26082341:26086298:1 gene:ONIVA01G29770 transcript:ONIVA01G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRVVFMDLRWLCIYTVVASMERLAYKGVGSNLVTYLTEVAAAKSVSAWSGVTSMLPLLTAVLTDSCWDRYSTITAASLFYVFVLIGVALVALLRTRVPYSTLIFPLYLISIGQGGYNPSLQAFGADQLDIGDDDDGGDNGTPTTEEQRSKVRSVFFQWWYIGMCSGSLLGNSTMSYVQDTVGWGIGFAVPAAVMAVSVAAFFCCTPLYKKRQPRVVHHKPCRDSVLKALKSPLASVTARKITLPSRDGDDDADIVSELELQEKPLKLADQKQEAAMGEAAAPSVAKIIVRLLPIWTMLLMFAVIFQ >ONIVA01G29760.1 pep chromosome:AWHD00000000:1:26067183:26070105:-1 gene:ONIVA01G29760 transcript:ONIVA01G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKPTARLSRACVMIIVVASVERFAYKGVASNLVTYLTEVVEMSTSAAAKSVSAWSGVTSMLPLLTAVLADSYWDRYSTITVSSLLYVVGLIGLTLWALLHTRMPCSTLFFPLYLISIGQGGYNPSLQAFGADQLDIGDDDDDDDGDNGATAATEEQRNKVKSLFFQWWYFGICSGSLLGNTTMSYVQDTVGWGLGFAVPAAVMAVSVAAFFCCTPLYKQRQPRAVHRKPCRDSVLKALKSLLASVTGARKITLPSRDGDDDTDIVSELELQEKPLKLADQKQEAAMGEAAAPSVAKIIVRLLPIWTMLLMFAVIFQQPMTFFTKQGMLMDHRVGAVFVIPPAMLQSSITVSIILLMPLYDTVVVPLAGLVAGHGKGITVLQRIGVGMVLSIVAMAVAALVEARRLRAAASSSSGGRLSIFWLLPQYVLLGVSDVFTVVGMQEFFYTQVPSAMRTVGIALYLSVFGVGSFVGAFLITALEMVTAGGGGGGHDHGWFSDDPREARLDKYYWFLALLSCVSFVVFTHLCKYY >ONIVA01G29750.1 pep chromosome:AWHD00000000:1:26058966:26064037:-1 gene:ONIVA01G29750 transcript:ONIVA01G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSSSSSAAALPLLPSSHAAAGVGAAAATSVLRGRRRRRGLRRPRGLLGWGALVAFFFVMNWWMFSRLQDPAARSHFRLRRRHSPAANASLSTLEEVSGAGKGKRPHQVMLTRLLALAAHALAEAETRPEPQDLWKEPINATMWRPCSDKRTWEPSEGTNGYIMISANGGINQQRVAICNAVTISRLLNATLVIPKFLYSNVWLDKSQFGDIYQEDYFINYLKSDIRIVKELPVELQSLDLEAIGSLVNDTDVMKEAKPSLYVKKILPILLKNRVVHLVGFGNRLSFDPIPFELQRLRCRCNFHALRFVHKIQETGALLVERLHGHRPHPSPLEDNLLGHFASKSVLKGNKNETSKYLAVHLRFEIDMVAYSMCYFGGGKDEEEELEMYRQIHFPALTELRKMTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKHSTNVYIAAADAFAMTDPGSQFSSLVQGYRMYYGGGDLPTIRPNKRRLASILLKNATMEWNEFETRSFDESSGN >ONIVA01G29740.1 pep chromosome:AWHD00000000:1:26054342:26057594:-1 gene:ONIVA01G29740 transcript:ONIVA01G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAAKEGAYFLQESKHAAGRLAEKLPASAPAPAPAPGSTSPSPDVLPEILRHAVPIKATPPPGEPSLSASSRWAVPRGGAEAAGLSPDALNPLRSYVSLPQATFGPKRWQLPNEQPNYSASTANERRRDRHPPPMDPEKLKAVIAGYSQIGKAFIAATILVFGGSTAVLLYTADKLQLHSVDDVRTKGRVAVQPRADMIKEQIAPLRSWAEEMSRKWHFEGDKDAKEKSIIRELSRALGSRTPPT >ONIVA01G29730.1 pep chromosome:AWHD00000000:1:26044029:26044379:-1 gene:ONIVA01G29730 transcript:ONIVA01G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATCGGSKSIPGQGLRYRTNTFRSRRFHHDSLILNYGKEEAQTRGGKEAQRGGEGEPRTRRGGGAEAAHREEDGASAARLREGEAASGVGRKGKGGAALGFFLRGIGRENLSLSF >ONIVA01G29720.1 pep chromosome:AWHD00000000:1:26035562:26036434:-1 gene:ONIVA01G29720 transcript:ONIVA01G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKCTLRTERMIIIVLITAQHTAIAKPFFSLNAFAQGQPNNKDDQNMGKFYVYNKAQTNNYADQRMRKFYLYNKGNANYGHDRKMKLYLYNKGHTINGIDQKMEKFYLYNKGHANEGDDQTMEKFYLYGKD >ONIVA01G29710.1 pep chromosome:AWHD00000000:1:26033591:26035233:-1 gene:ONIVA01G29710 transcript:ONIVA01G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFYLYNKGHANEGDDQTMEKFYLYNKGHANEEDDQTMEKFYLYNKDQAKDGDDQKMEKNYLYNKDQANDWDDQKMEKFYLYHEGKANYRDDQNMEKFYLYKKGQANDEVDREEHKYIHSHGHGHVHFPEGAKDLYFFEDNLAPGSVLITRILSARQSSIFLHRNNSKHIPFSMKNITDILTMFSPVSATMADGIAATLQACEHTGMVHGEKAKCATSIESLLDVVVSSLGTKLVRALTPGAPMEGVPSLRYIVASATPVPNSQSMLACHDMLYPYKVFFCHTPKQTRLYQVSLVSGESGRPLIDGLLAVCHQNTSDWDTGHPFFHFMDVKPGETTACHFFGRGSIIWVPVPSVKEATQ >ONIVA01G29700.1 pep chromosome:AWHD00000000:1:26021448:26022287:1 gene:ONIVA01G29700 transcript:ONIVA01G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRDSSYSRALNEACAGDTSFVMDIAVREGGDVFRGLSSLVDVGGGHGAAAMAIARAFPHIKCSVLDLPQAISEAPADGTVNFVAGNMFEYIPPANAVFLKYVLHCWGEEDCIKILQQCKKAIPAKGDGGKVIIINAVVGSGEPQDNSLKETQVLFDVYMMGIGGGEREEHEWKKIFFEAGFSDYKIKPILGFISVIEVYP >ONIVA01G29690.1 pep chromosome:AWHD00000000:1:26002581:26003462:-1 gene:ONIVA01G29690 transcript:ONIVA01G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRPDEADPAADFGSHPTDQELVTKYLRRHVDSGGNPWRYVHEADVYAADPDDLTGKYSPAVASDGSRAWYFFTTVRSKSTGGQRRARAVGDGGCWHSEAGAKDVVGGIRSPRPIGRRQFFSFVNKEGPRRVRSGWIMVEIGLKYAQQNASSDELVLCKVYRSPRAPPAAAAANKSMAAPPPTATKSKTEEATPPPDDVKPVVAAAQTPDTKILRAAKEAAAAAATGCKRKADVKSSGARRGKRLCSRCRAETSESDSETAVLDRSPSIEDETADSSEIHGSSDGKFIRFL >ONIVA01G29680.1 pep chromosome:AWHD00000000:1:25998726:26003798:1 gene:ONIVA01G29680 transcript:ONIVA01G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSLLPWISEESAVSSSMDGDLSSTAVSLSDSDVSARQREQSRLPLLAPELFTSAFLLHPVAAAAAASFAALSILVSGVCAAATTGFTSSGGGVASSVLDFVAVGGGAAMDLLAAAAAGGARGLRYTLHRTSSSEEAFCWAYLRPISTMIHPDRTRRGPSLLTNEKNWRRPIGRGLRMPPTTSLAPASECQHPPSPTARALLCPPVLLDRTVVKKYHALDPSLATAGEYLPVRSSGSAAYTSASWTYRHGFPPESTWRRRYLVTSSWSVGWEPKSAAGSASSGREGDMAERRRRPIWDRRSGRRCDGGKGRGEGRRRRVGVRMVKDSGRGMAAFIWGAVTRRNPESELGSARKRSRDVLITQALAGRRRSGVSFGVRIGVGVGVRAGIGVSDGLGRPF >ONIVA01G29670.1 pep chromosome:AWHD00000000:1:25979240:25981861:-1 gene:ONIVA01G29670 transcript:ONIVA01G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQY5] MSRRNAGAMQREGSVKDWEEFDPSPSPKLAYSQSYVAMRGLLTSVASLDLVLMSSSLKSAWAAISSHKHARSLERSRSKGMSLKRAMLQLLVCFMVGIFIGFTPPFSVDLPGKIASENGRLPFDGDAIDRRQMVERQGTKLEPFVAEAESEASSEPQVEEGPPVPAMLDDEADFVEASPIVHSVNDSGIVVRKHLIIITTTSVRPHQAYYLNRLAHVLKDVPPPLLWIVAEWPYQSRETAEILRSSGIMYRHLICNRNTTNIRKIVVCQKNNAIFHIKKHRLDGIVHFADEERAYSADLFEEMRKIRRFGTWPVAIHVGTKYRVVLEGPVCKGNQVTGWHTNQRRGVSRRFPIGFSGFAFNSTILWDPQRWNSPTLESIIVHSGGRGGLQLNTPFQDLESRFIEKLVEDESQMEGLGDNCTRVMVWNFELEPPQVNYPIGWLLQRNLDAVVPIT >ONIVA01G29670.2 pep chromosome:AWHD00000000:1:25979240:25981861:-1 gene:ONIVA01G29670 transcript:ONIVA01G29670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQY5] MSRRNAGAMQREGSVKDWEEFDPSPSPKLAYSQSYVAMRGLLTSVASLDLVLMSSSLKSAWAAISSHKHARSLERSRSKGMSLKRAMLQLLVCFMVGIFIGFTPPFSVDLPGKIASENGRLPFDGDAIDRRQMVERQGTKLEPFVAEAESEASSEPQVEEGPPVPAMLDDEADFVEASPIVHSVNDSGIVVRKHLIIITTTSVRPHQAYYLNRLAHVLKDVPPPLLWIVAEWPYQSRETAEILRSSGIMYRHLICNRNTTNIRKIVVCQKNNAIFHIKKHRLDGIVHFADEERAYSADLFEEMRKIRRFGTWPVAIHVGTKYRVVLEGPVCKGNQVTGWHTNQRRGVSRRFPIGFSGFAFNSTILWDPQRWNSPTLESIIVHSGGRGGLQESRFIEKLVEDESQMEGLGDNCTRVMVWNFELEPPQVNYPIGWLLQRNLDAVVPIT >ONIVA01G29660.1 pep chromosome:AWHD00000000:1:25975718:25976833:-1 gene:ONIVA01G29660 transcript:ONIVA01G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAGYSAGMKRPLAAVAPSCDVDGRNAAAAAAAKRRERRREAKRARAAAAAATGALVPYVAPIDARPIRAVPLAAAAAARRKEADDQAAAAPPAEPAWIRKILLERLGLTYDQPVVFIARKTVTRTDLDPHQNRFRLPIFGVERRLLPMLTVDEAKEANLVENDEEKGVPRPRPRPKKKRRTEKGSVVVAATAAADGELQKPQGTTPETNVEGEDQAPPRPKPVPKKKKRRTEKGSVDVADGEQQQQQKGREKEPRKKAQGKDHGGVPVTVLHLSGAMKELRLVLWDSSHGTIIKGTGYMDFIAGTGLREHDAVQIWAFKRRGFRLFGATVPESRFYLVIVGGSWRPLEAPPPQCMLPPSHAPVEVCA >ONIVA01G29650.1 pep chromosome:AWHD00000000:1:25964713:25966488:-1 gene:ONIVA01G29650 transcript:ONIVA01G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAVGDTLPDGQLGWFDGEDKLQQVSVHGLAAGKKVVLFGVPGAFTPTCSNQHVPGFINQAEQLKAKGVDDILLVSVNDPFVMKAWAKSYPENKHVKFLADGLGTYTKALGLELDLSEKGLGIRSRRFALLADNLKVTVANIEEGGQFTISGAEEILKAL >ONIVA01G29640.1 pep chromosome:AWHD00000000:1:25960913:25964178:1 gene:ONIVA01G29640 transcript:ONIVA01G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRHLLRGHSLDSFLPIRSLIMSSSSSFSSSSPSPPPSSSSSSRGSSSGRWCGVSVAEEDEDDAAVSATTTPPLPPLQKRVLSRSHGSKAKPLGGSVDHLPPVVPPSSKNVRDSGPPSEIDLVKEKFAKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLEPMSADRRARWNKEIDWLLSVTDHIVEFVPSQQASTDGTSMEVMGTQQRRDLLINIPALRKLDAMLLHYFAVVLLPVEQEYLDNFKDEQEFWYVKKDADEGEKGDAPRQGDKWWIPTVRVPPEGLPDASKKWILHQKDLVGQVLKAAMAINADVLTEMEIPGEYIETLPKNGRSSLGDSIYKIITDDHFDPNELLSSVDLSTEHKIVDLKDRIEASVVIWQRKISNKLSWGPGVSLEKREQFEERAQTVLLILKHQFPGVPQSSLDISKIQYNKDVGYAILESYSRTLESLAFAVLSRIEDVLHADAIARDPKRTKSRRRPSLVDIPEIIDNALEEEETVNSIDANSQVTNNSIHWQEQEHEDKGARLRKVHRMVTKKLLHIEKVDNLGGGLKSFSHR >ONIVA01G29630.1 pep chromosome:AWHD00000000:1:25959367:25959588:1 gene:ONIVA01G29630 transcript:ONIVA01G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWRHIGISTMQAARDGKGCHASASGERRDRGKEVGMVALVGIVGAFHAGEAERYIDGGDKGSGVRNKRSDM >ONIVA01G29620.1 pep chromosome:AWHD00000000:1:25951788:25958830:1 gene:ONIVA01G29620 transcript:ONIVA01G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKMVDALLRCSALVALVLHFVVNGCSAVNTEGSALLKFQSRVEEDPHGAMAGWSERDGDPCSWNGVRCVDGRVVILDSDWKCSLPIRSRIIVAKCSRNLKDLSLRGTLGPELGSLSHLRALVLSNNLFDGPIPKEMSDLAMLEILDLSNNNLTGEVPQEIAEMQSIKHLLLSNNNFQWPLIQNSYRNFDQEIDFDVYDERGDVDQRSENGFESDSSSEENTKDNNNLSARLSSQFAARNPTVQLSRRRLLEDTNLAAASANAPVPAVASVPSTGTGSFSAFKEIKVPPPLSPPSSPPMSSGPPQRSKRWLYAIVISSIALLLIGIACMFLLCRNKSVATIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPHYTVYKGTLSSGVEIAVVSTVIATNKDWSKHSEGRFRKKIDLLSRINHKNFINLLGYCLEENPFMRMMVLEYAPNGTLYEHLHVEGFDHIDWNGRMRVIMGVAYCIQHMHELNPSITHPDLHSSAILLSEDGAAKVADMSVWQEVISKGKMPKNDDIVDHHEPVSADPAGNVCSFGLLMLEIISGRPPYSEHKGSLANLAMECIKDDRNISCLLDPTLKTHKENELEIICELIQECIQSDPKKRPGMREVTTRLREVLAISPEAATPRLSPLWWAELEILSVEAT >ONIVA01G29610.1 pep chromosome:AWHD00000000:1:25944020:25951163:1 gene:ONIVA01G29610 transcript:ONIVA01G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G30610) TAIR;Acc:AT1G30610] MAAPPPSATVGLIPNLAGRGVLLPASAPDSSACHGFVVPTRRRRRVSVAPFGWGLARRGRVSDARADGFGAMCAVAGGEAGPGSSELRHVEKELTFSPTFTDYVKIMESVKLDRSKSLESTESDGRSSRRRFTGDGDAPVVRRGDERSGDGRSTSFDRQKGSQRNRGDVNERSMANNDIQNNSIRLVEKKKPGDVEKRRGRQGKVDEYVQRRIVRGEISEDEGNVDKNERKQFTSQLKMKDTRGSMVAHQSERNMHVQSNARKGLQRQSTSMVSHISSPPNSRIILENTKSLVKRGKENFSSPARSIYENNFKYPRERKFTNYDVNADDKFQRYQQTTENSGRGVVVGRFGEGDIDYNKATVSKRYGNRQATSGHDGHPTVSLKRGKPEAIRMQRGENVQTGKFIRRDAKAIDLDDRAAFKTFEVFTDVRNRPRVLQMELEDRIQKLASRLNATDVNTPEWKFSKMIHDAKIKFSDHSILRVVQILGRYGNWKRVLQVVEWLQSRERFKSYKSRYIYTTVLDVLGKAKRPFEALNVFYTMLDQLSSYPDMAAYHCIAVTLGQSGLVKELFDVIDRMRSPPKKFKLSPIQNWDPRLEPDLIVYNAVLNACVQQKQWEGAFWVLQQLKEKNIRPTNTTYGLVMEVMLVCGKYNLVYEFFNKVEKTSIPGALNYKVLINALWREGKIDEAVMAVKGMESRGIVGSASLYYDLARCLCSGGRCKEALLQVEKICKVANKPLVVTYTGLIQTCIDNGSMENAKYIFDEMCKYCSPNNVTCNIMLKSYTEHGMFEDAKDLLENILSGRIRSKVESSQKAIADKFTFNIFMEACAEAKRWNDFEYAFRKMLSSGYHFDERRHLRMVLDAYRNGKEQLLEDVWDYMCQYGRVPPAPMIMERFCLKLRQGDTVAAMSCINTFQESKIRNVSSMSWFNLLNRNGDRLKEDIIIKLLHELNNLVSSSGHSDSLYQNIISSCTEFLSVSTSVEKASSDQQMLPCDQTAGGGWRARRRRLRDVGAATASSPQQKRKLLLSWQSGN >ONIVA01G29600.1 pep chromosome:AWHD00000000:1:25935253:25939830:-1 gene:ONIVA01G29600 transcript:ONIVA01G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQX8] MPRETPPPPPPEGGEVHEVVEGEDGQAEDQEERWARLLPELMSEVVRRVEASGGERWPARKDVVSCACVCRRWRDAAVAVVRPPAESGKITFPSSLKQPGPREFPMQCFIKRNKKNSTFYLYLGLTNATVDKGKFLMAARRFRRGPHTEYIVSLDADDLSQGSNAYMGKLRSDFWGTNFKIYDSKPPYDGAKASSSRSSRRFGSRRISPQVSAGNYEVGQVSYKYNLLKSRGPRRMYCALECPSTQETWENCLKTKFRKPTGNTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAAADPNDPASSKDEETVLLQFGKVDDNIFTMDYRQPLSAFQAFAISLSSFGTKLACE >ONIVA01G29590.1 pep chromosome:AWHD00000000:1:25933230:25934324:1 gene:ONIVA01G29590 transcript:ONIVA01G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGRKRGGSSSAKLPPHAEDPRVAPPPPQAGLTATDGGQEVAMSQFVAQLGIRGGAEEAGQHEPEAQVAGAADGDAGGGGRESQLRRLMIT >ONIVA01G29590.2 pep chromosome:AWHD00000000:1:25933441:25934324:1 gene:ONIVA01G29590 transcript:ONIVA01G29590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGRKRGGSSSAKLPPHAEDPRVAPPPPQAGLTATDGGQEVAMSQFVAQLGMCEASARVAKAFYEAARKRLDSMNQRLRWLEQQMETLEAEVGKASSAD >ONIVA01G29570.1 pep chromosome:AWHD00000000:1:25911869:25918971:-1 gene:ONIVA01G29570 transcript:ONIVA01G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTPTSSGKSEVNSCEPSWWPPDFLAKIESVSLSRKQSVFSDKEPRSNLRSSSWKASQLLWSTGTYSGFIPNGFYSIIPDKKLKENFPTIPSLDDLQTLEADGLKVDIIIVDVERDKKLFMLKQLSGALVKGLNSSPALVIKKIAGLVFDCFKSLDPDVSPARSPTEDNHFFGNKGSQLLGQIKHGSCRPRAILFKVLADAVGLESKLVVGLPDDGGVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIEASSNLSGRSLRNVMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRRGVAEEPRGASSSPEHPLMKTRARSILGGEQHSFQEYAESGVTSRSDGLGGASTSKTRRIRGRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVNEGSPSYVGEDQNNASDCPNNDDTSGGVVATNNGPRNRNGSTQKAMSLPSSPHEYRAQISETINPCDFVSKEKMVLAWNKVLQSSPFLNKPLLPFEEWNIDFSELTIGTRVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNEYMEMGSLYYLIHMSGQKKKLSWRRRLKIVRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMTDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWDGISPVQVVYTVANEGSRLEIPEGPLGKLIADCWAEPQDRPSCQEILTRLLDCEYAVS >ONIVA01G29560.1 pep chromosome:AWHD00000000:1:25909391:25910389:-1 gene:ONIVA01G29560 transcript:ONIVA01G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPPPPNPNLPYREDCWSDGETAALVDAWGRRYVDLNRGSLRQPQWREVAEAVNARPGASARRRPPRTDIQCKNRVDTLKKKYKAERARGTPSSWYFYRDLDMLVGPTLSAAAAAGGGGSAKKPSPPRGLPMMRRRLESPSRSPSPPSPTPAVALPLPNYRQASNLPSAGLLFNKMAAAAAAESDSEDGYNNPNNNYEDDEDDGSQQSASRSVSSRSGGVAAAGAGGGGVSSSKRKRGGGGGGGFGELARAVETFAEMYERMEFAKQRHAEEMERQRIKFLKDLELKRMQAFVDVQLQLAKAKHRKHPDGATEMLMSLAALPFLSTPAYL >ONIVA01G29550.1 pep chromosome:AWHD00000000:1:25900587:25904965:-1 gene:ONIVA01G29550 transcript:ONIVA01G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDLVSSDSESEEEDEARVHSPDRKRAACEADPRTESESFLERERMARLLHRHPHPHPPPSQEVKKGKEKVGEGEMLERAVSAPVDPLIGSRGCTLGAGGESKPGDGGNGGSISVPQDESDSKGLQEGHGQHGLLHSGSGTPDDKWKGILGARPADPAVDKLLHSRDNGKREDEVPMHGPSSVATNEVTGAGDVSMEDGSSTWLSRIKGLNYPLPDENQLRTRQIESDEEFARRLQEQLNKEQPGSQNLEEVDTTLAWTLQEEDAEHARNAVREGQSSSSQRDRSMAHLYSFGRHSPVHSINVLTPIASRRGSQRSFNRPDREQQNMLISQLTRGCFRDENMDLETRMAILDSLEEAFGNFGEEFMSESDDDDYESLIRLDDNNHHRGASDIQINNLPLSVVEGESCSDEPCPICLDCPAAGEYLRRLPCLHKFHKELGIFSEYLPSLPQCIDKWLRMRISCPVCKSEVI >ONIVA01G29550.2 pep chromosome:AWHD00000000:1:25900587:25904965:-1 gene:ONIVA01G29550 transcript:ONIVA01G29550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDLVSSDSESEEEDEARVHSPDRKRAACEADPRTESESFLERERMARLLHRHPHPHPPPSQEVKKGKEKVGEGEMLERAVSAPVDPLIGSRGCTLGAGGESKPGDGGNGGSISVPQDESDSKGLQEGHGQHGLLHSGSGTPDDKWKGILGARPADPAVDKLLHSRDNGKREDEVPMHGPSSVATNEVTGAGDVSMEDGSSTWLSRIKGLNYPLPDENQLRTRQIESDEEFARRLQEQLNKEQPGSQNLEEVDTTLAWTLQEEDAEHARNAVREGQSSSSQRDRSMAHLYSFGRHSPVHSINVLTPIASRRGSQRSFNRPDREQQNMLISQLTRGCFRDENMDLETRMAILDSLEEAFGNFGEEFMSESDDDDYESLIRLDDNNHHRGASDIQINNLPLSVVEGESCSDEPCPICLDCPAAGEYLRRLPCLHKFHKECIDKWLRMRISCPVCKSEVI >ONIVA01G29550.3 pep chromosome:AWHD00000000:1:25900587:25904965:-1 gene:ONIVA01G29550 transcript:ONIVA01G29550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDLVSSDSESEEEDEARVHSPDRKRAACEADPRTESESFLERERMARLLHRHPHPHPPPSQEVKKGKEKVGEGEMLERAVSAPVDPLIGSRGCTLGAGGESKPGDGGNGGSISVPQDESDSKGLQEGHGQHGLLHSGSGTPDDKWKGILGARPADPAVDKLLHSRDNGKREDEVPMHGPSSVATNEVTGAGDVSMEDGSSTWLSRIKGLNYPLPDENQLRTRQIESDEEFARRLQEQLNKEQPGSQNLEEVDTTLAWTLQEEDAEHARNAVREGQSSSSQRDRSMAHLYSFGRHSPVHSINVLTPIASRRGSQRSFNRPDREQQNRMAILDSLEEAFGNFGEEFMSESDDDDYESLIRLDDNNHHRGASDIQINNLPLSVVEGESCSDEPCPICLDCPAAGEYLRRLPCLHKFHKECIDKWLRMRISCPVCKSEVI >ONIVA01G29540.1 pep chromosome:AWHD00000000:1:25859113:25865930:-1 gene:ONIVA01G29540 transcript:ONIVA01G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRASQRRGGAAAGGASPAAEPYNIIPIHDLLAEHPSLRFPEVRAAAAALRAVGGLRPPPYSAWREGQDLMDWLGSFFGFQLDNVRNQREHLVLLLANAQMRLSSADFSDTLEPRIARTLRRKLLRNYTTWCGFLGRRPNVYVPDGDPRADLLFAGLHLLVWGEAANLRFVPECLCYIYHHMALELHRILEGYIDTSTGRPANPAVHGENAFLTRVVTPIYGVIRAEVESSRNGTAPHSAWRNYDDINEYFWRRDVFDRLGWPMEQSRQFFRTPPDRSRVRKTGFVEVRSFWNIYRSFDRLWVMLVLYMQAAAIVAWESQGLPWRSLGNRNTQVRVLTIFITWAALRFLQALLDIGTQLRRAFRDGRMLAVRMVLKAIVAAGWVVAFAILYKEAWNNRNSNSQIMRFLYAAAVFMIPEVLAIVLFIVPWVRNALEKTNWKICYALTWWFQSRSFVGRGLREGTFDNVKYSIFWVLLLAVKFAFSYFLQIRPLVKPTQEIYKLKKIDYAWHEFFGKSNRFAVFVLWLPVVLIYLMDIQIWYAIFSSLTGAFVGLFAHLGEIRDMKQLRLRFQFFASAMSFNIMPEEQQVNERSFLPNRLRNFWQRLQLRYGFNRSFRKIESNQVEARRFALVWNEIITKFREEDIVGDHEVELLELPPELWNVRVIRWPCFLLCNELSLALGQAKEVKGPDRKLWRKICKNDYRRCAVIEVYDSAKYLLLKIIKDDTEDHGIVTQLFREFDESMSMEKFTVEYKMSVLPNVHAKLVAILSLLLKPEKDITKIVNALQTLYDVLIRDFQAEKRSMEQLRNEGLAQSRPTRLLFVDTIVLPDEEKNPTFYKQVRRMHTILTSRDSMINVPKNLEARRRIAFFSNSLFMNIPRATQVEKMMAFSVLTPYYNEEVLYSKDQLYKENEDGISILYYLQQIYPDEWEFFVERMKREGMSNIKELYSEKERLRDLRHWVSYRGQTLSRTVRGMMYYYEALKMLTFLDSASEHDLRTGSRELATMGSSRIGSSRREVGSDGSGYYSRTSSSRALSRASSSVSTLFKGSEYGTVLMKYTYVVACQIYGQQKAKNDPHAFEILELMKNYEALRVAYVDEKNSNGGETEYFSVLVKYDQQLQREVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNRHYGIRKPKILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLADPLKVRMHYGHPDVFDRLWFLGRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTIGFYFNTMMVVLTVYAFVWGRFYLALSGLEAFISSNTNSTNNAALGAVLNQQFVIQLGIFTALPMIIENSLEHGFLTAVWDFIKMQLQFASVFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFIKAIELGVILTLYASYGSSSGNTLVYILLTISSWFLVLSWILAPFIFNPSGLDWLKNFNDFEDFLNWIWFRGGISVKSDQSWEKWWEEETDHLRTTGLFGSILEIILDLRFFFFQYAIVYRLHIAGTSKSILVYLLSWACVLLAFVALVTVAYFRDKYSAKKHIRYRLVQAIIVGATVAAIVLLLEFTKFQFIDTFTSLLAFLPTGWGIISIALVFKPYLRRSEMVWRSVVTLARLYDIMFGVIVMAPVAVLSWLPGLQEMQTRILFNEAFSRGLHISQIITGKKSHGV >ONIVA01G29530.1 pep chromosome:AWHD00000000:1:25854761:25858654:1 gene:ONIVA01G29530 transcript:ONIVA01G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISSLAAAKRFYAAQLGHVDDMAGIGMEEVEMEMEDDGEGMELELEMQLEEATWPDVACPYCYEDHDIASLCAHLEEDHPYEPHTAPCPICFEKITRDMLNHITMQHGYLFKSGRRMRRFVIPESQALSLLSRDLRDAQLQALLGGGHRQRRSNTTATNISADPLLSSFGLGFSTLDSEERSKAPVPIRDDTSIHKDTPAQPWESRIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFED >ONIVA01G29530.2 pep chromosome:AWHD00000000:1:25854761:25858654:1 gene:ONIVA01G29530 transcript:ONIVA01G29530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHITMQHGYLFKSGRRMRRFVIPESQALSLLSRDLRDAQLQALLGGGHRQRRSNTTATNISADPLLSSFGLGFSTLDSEERSKAPVPIRDDTSIHKDTPAQPWESRIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFED >ONIVA01G29530.3 pep chromosome:AWHD00000000:1:25855664:25858654:1 gene:ONIVA01G29530 transcript:ONIVA01G29530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKPCPICFEKITRDMLNHITMQHGYLFKSGRRMRRFVIPESQALSLLSRDLRDAQLQALLGGGHRQRRSNTTATNISADPLLSSFGLGFSTLDSEERSKAPVPIRDDTSIHKDTPAQPWESRIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFED >ONIVA01G29520.1 pep chromosome:AWHD00000000:1:25834579:25853075:1 gene:ONIVA01G29520 transcript:ONIVA01G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGAAPAEGSAASTPPPAPPAAAAAAAAAVSAGSTGASGSGEKTVKRMMKSPYQLEVLEKTYAVEQYPSETLRAELSAKIGLSDRQLQMWFCHRRLKDRKPPTKRQRREEEAAAVPLMAPPPVLPPPALPLSSGELLIGASSPYDEPPLPPVHSRRGAGRSSAVPRLSAPDIGRRYYEPLPVMLPPPPVASMQLMPSELRVIHSVESQLGEPLRDDGPVLGIDFDPLPPGSFGAPIVPEQQKQHVRSYDTKIYSRHDSKLLKASAFFPSVEHPFVPNSIAGKKKSMVGNLPPVHPHAGSRAVHEYQFLPEQPSDRYEGASRSHEGASRSHYYDTPVEASNSRMSSHTPGSHLLRGSDEAAPGYAFQGQMSGSGHLPQSGRREVLPAVPTDYEMIQSNSDLNSVPVEGQYGISQVAGIENSLLPSERRAYHDEDGSRVDRKRKHNEEAKIAKEVEAHERRIRKELEKQDMMKRKREEQMRKEMERHDRERRKEEERLLRERQREQERFLREQRREHERMEKFMQKQSRRAEKQRQKEELRKEKEAARQKAANERATARRIAREYMELMEDERLELMELAAQSKGLPSMLSLDSDTLQQLDSFRGMLTPFPPEPVRLKEPFSIKPWTVSEDNVGNLLMMDKQIDLFDTLASLHQVWKFSITFADVLGLSSVTFDEFVQSLHDYDSRLLGELHIALLKSIIKDIEDVSRTPSVALAVNPAGGHPQIVEGAYAWGFNIRSWQRHLNVLTWPEILRQFALSAGFGPQLKKRNAEDVYYRDDNEGHDGQDVISTLRNGSAAVHAAALMKERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAERIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSEVVLSSAREKIRAFQNVISDSEAEKEANDAERDEDSECDDADDDPDGDDVNIDVGDGKDPLIGVKEQDGVPITTIVDSTKREKEKVDALTQSSDLTTSGKEAPKPSLGKPSSANTSSDSPVRASSEYHEVPPTDSEDKEIDESNQGESWVHGLAEGDYCDLSVEERLNALVALVSVANEGNFIRAVLEERLESANALKKQMLAEAQLDKRRSKEEFAGRVQYNSNMNLKADVNQENATESTPTPFHNVDKHNDGNAGVVDNNNNEIIDHNSNAANASYERNGLGQDIAATPDTLSVQQYAYADKTRSQLRAYIGHRAEQLFVYRSLPLGQDRRRNRYWQFSTSASPNDPGSGRIFFECRDGYWRVLDTEEAFDSLVASLDTRGSREAQLHSMLQRIEPTFKEAIKRKKSAVVEQSAGRYLKNGATEMIRASYRSDFGSPSSNLSGVTSDSAIAYSDSFKIELGRNDVEKTAISKRADVFIRWMWRECNDCKLTCAMEYGKKRCSELMHSCNYCYQIYLAEERHCSSCHKNFKSIHNFSDHASQCKDKLRTDHNWKMQTADHSVPIGVRLLKLQLSTIEASIPPEAIQPFWTDGYRKSWGVKLHSTTSLEEIFQMLTLLEAAIKRDHLSSEFETTSELLNLNTQDNPSQNHVGLSGSAAVLPWVPDTTAAIALRMLDLDSAVSYMQNQKMERNGGDFMKPPSRFVAVKNAQELDPLETTGLDLFDGRWATGSGRRGRGRGSRGGSRGGRGRSRGGRVPRGISISSRIGFKDENEASRKNTRRGRTRGRGRGRGRRTVRSRQPSEGKGRSIPKENLLGSFSMLSNAKAATVEESPRSSGADEWGLENRRPYIDGDENSSGSQLDQSEDNEENGQPMDEEYDEQVPDYSRGYSGGSRPHGMIDDDVSEEEDEDAEGDDDGEEDDADAEMDEDDDIGDDGEDGGDGGDGVEANADEDEGGSSYSSEYSD >ONIVA01G29520.2 pep chromosome:AWHD00000000:1:25834579:25836525:1 gene:ONIVA01G29520 transcript:ONIVA01G29520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGAAPAEGSAASTPPPAPPAAAAAAAAAVSAGSTGASGSGEKTVKRMMKSPYQLEVLEKTYAVEQYPSETLRAELSAKIGLSDRQLQMWFCHRRLKDRKPPTKRQRREEEAAAVPLMAPPPVLPPPALPLSSGELLIGASSPYDEPPLPPVHSRRGAGRSSAVPRLSAPDIGRRYYEPLPVMLPPPPVASMQLMPSELRVIHSVESQLGEPLRDDGPVLGIDFDPLPPGSFGAPIVVEIK >ONIVA01G29510.1 pep chromosome:AWHD00000000:1:25830990:25831627:1 gene:ONIVA01G29510 transcript:ONIVA01G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAYHTYGYNNIFILDMWELSKNGGDEAMLQGYDNGVGHRCGSMRHYKKIASSTPAHQHDNLEY >ONIVA01G29500.1 pep chromosome:AWHD00000000:1:25819078:25823722:-1 gene:ONIVA01G29500 transcript:ONIVA01G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46100) TAIR;Acc:AT5G46100] MPPATPSATRWPKTLTADHLHRLVRAERDPRRALALFDAATARPSSASPPDDSTAAAAAPVLPSRDTVSLLTSRLASASLLPLASSLLSRSRELFPSPGELEPPFLSLLRAYSRAHQPLAALHLFRSAPSALGLPHSARSYTAVLAALVAHSHLSLARSLLADMRAAGFAPTTATYNVLVKAHCSDAAVPIDDAVRVFRNIPKPDACSYNTVIDGLCRRGRLPEARDLFAEMIANGTAPTVVTYTTLIHWLAREACFDDALKLFDEMARRGIMPNVVTYSSLIDGLCKGGRAASAVELLDRMVKERKLPNTITYSSVIDGLCKEGCLGQAMEILDRMRLQGRKPDAGLFGRALEASNYLDEMNFAGIRPNRLTWSLHGRINDAVVTALCSKGEVVRAFQVYQSMRTRGISTKPTTFHLLVECLSKKNNLEKAAHVVRDMLSERCIPERETWDTIVRAYWSKKKGPCAAVAKVGARNWKTGTVSEGRNLMFLCLGDCFLHGLMLLASSVLRGSLARGGITHMDTSGSVRYMYSIVRYMECIRSKSSVSVTRSNI >ONIVA01G29490.1 pep chromosome:AWHD00000000:1:25809639:25813783:-1 gene:ONIVA01G29490 transcript:ONIVA01G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFNDVRAVENNLATAAAVAAAKKQQQQQQQVSQHVNLIKTCPSCGHRAQYEQAAAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDARKLHPLIDEFIPTIEGENGICYTHPERLPGTSGRDVETIGAVRHGRVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTGGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGGGSAATAKDLSVDLVAGNNIKASNAAAEHHHNDGVGGGGHGGNNSSMLKEAAGIVDFYNPAAALIGYSQAAPNNRAAASAHLTMPNFEVHTGGAGFGP >ONIVA01G29480.1 pep chromosome:AWHD00000000:1:25798039:25800851:1 gene:ONIVA01G29480 transcript:ONIVA01G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEGTARQPLLRSFDAVVNCLQAVLDATDAAAASLLRDHRALDDGNTWLGARLDRALASNLIVLIQAHAEKSKVKCQESRPSKAFNGYKQPLISRELTMDFQWVQGTIDSKGTDRGLVKRE >ONIVA01G29470.1 pep chromosome:AWHD00000000:1:25791148:25792102:-1 gene:ONIVA01G29470 transcript:ONIVA01G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLALFAVVLALQQAVAGAATGDCPTTCGDMAVPFPFGIGAGCYHSPGFNLTCDRSSDPPRQMGLCACGHSFAAIIGFGDTGNGKHS >ONIVA01G29460.1 pep chromosome:AWHD00000000:1:25779459:25784632:-1 gene:ONIVA01G29460 transcript:ONIVA01G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT5G01510) TAIR;Acc:AT5G01510] MEEIPACVLSTGRGAVRGLPFHFHSLAIAPEHMLSAAADARFHGGAPRRRRPRLRSCLASPPASGGGGGDCRESEKARRLLVERYQDGVSKRYILDGNSKLQIHQEKNEYMVNTLEEEEEDANSSIPRAIKDFVLPAGFPGSVSDDYLEYMLWQLPTNVTGWICHTLVTSTLLKLLWDKIRLTQAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVGRGFRDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLLGLSIGVFIMDTSGIKSSYPTLALTWLGVRLLHLWFRYQSLSVLKFRTVNLKRARILVRSHVAKHTVPDYVTCNEEENILTWERFLHPQISFGVPMERMLGEEESSDVVDRLLKLYRNEKYILFLNPIGTGGAAFFVTFKEAATSMSVLRSLWQAHWLDKNQLKQDDVFSWLEESVLALEHGFPDFLKQMEIAGWDQNQIILKVPKEPILYTTTSLQHFS >ONIVA01G29460.2 pep chromosome:AWHD00000000:1:25779459:25784632:-1 gene:ONIVA01G29460 transcript:ONIVA01G29460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT5G01510) TAIR;Acc:AT5G01510] MEEIPACVLSTGRGAVRGLPFHFHSLAIAPEHMLSAAADARFHGGAPRRRRPRLRSCLASPPASGGGGGDCREKARRLLVERYQDGVSKRYILDGNSKLQIHQEKNEYMVNTLEEEEEDANSSIPRAIKDFVLPAGFPGSVSDDYLEYMLWQLPTNVTGWICHTLVTSTLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVGRGFRDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLLGLSIGVFIMDTSGIKSSYPTLALTWLGVRLLHLWFRYQSLSVLKFRTVNLKRARILVRSHVAKHTVPDYVTCNEEENILTWERFLHPQISFGVPMERMLGEEESSDVVDRLLKLYRNEKYILFLNPIGTGGAAFFVTFKEAATSMSVLRSLWQAHWLDKNQLKQDDVFSWLEESVLALEHGFPDFLKQMEIAGWDQNQIILKVPKEPILYTTTSLQHFS >ONIVA01G29460.3 pep chromosome:AWHD00000000:1:25779459:25784632:-1 gene:ONIVA01G29460 transcript:ONIVA01G29460.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT5G01510) TAIR;Acc:AT5G01510] MEEIPACVLSTGRGAVRGLPFHFHSLAIAPEHMLSAAADARFHGGAPRRRRPRLRSCLASPPASGGGGGDCRESEKARRLLVERYQDGVSKRYILDGNSKLQIHQEKNEYMVNTLEEEEEDANSSIPRAIKDFVLPAGFPGSVSDDYLEYMLWQLPTNVTGWICHTLVTSTLLKLLWDKIRLTQAVGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVGRGFRDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLLGLSIGVFIMDTSGIKSSYPTLALTWLGVRLLHLWFRYQSLSVLKFRTVNLKRARILVRSHVAKHTVPDYVTCNEEENILTWERFLHPQISFGVPMERMLGEEESSDVVDRLLKLYRNEKYILFLNPIGTGGAAFFVTFKEAATSMSVLRSLWQAHWLDKNQLKQDDVFSWLEESVLALEHGFPDFLKQMEIAGWDQNQIILKVPKEPILYTTTSLQHFS >ONIVA01G29460.4 pep chromosome:AWHD00000000:1:25779459:25784632:-1 gene:ONIVA01G29460 transcript:ONIVA01G29460.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT5G01510) TAIR;Acc:AT5G01510] MEEIPACVLSTGRGAVRGLPFHFHSLAIAPEHMLSAAADARFHGGAPRRRRPRLRSCLASPPASGGGGGDCRESEKARRLLVERYQDGVSKRYILDGNSKLQIHQEKNEYMVNTLEEEEEDANSSIPRAIKDFVLPAGVCVRRLPGIYAMAITNKCNRMDLSHAGYINPSKGGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVGRGFRDPSFRVIQNHFAESGNLGEVAAKEEVWEVGAQLLGLSIGVFIMDTSGIKSSYPTLALTWLGVRLLHLWFRYQSLSVLKFRTVNLKRARILVRSHVAKHTVPDYVTCNEEENILTWERFLHPQISFGVPMERMLGEEESSDVVDRLLKLYRNEKYILFLNPIGTGGAAFFVTFKEAATSMSVLRSLWQAHWLDKNQLKQDDVFSWLEESVLALEHGFPDFLKQMEIAGWDQNQIILKVPKEPILYTTTSLQHFS >ONIVA01G29450.1 pep chromosome:AWHD00000000:1:25772264:25781678:1 gene:ONIVA01G29450 transcript:ONIVA01G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) TAIR;Acc:AT5G58760] MGPTTRARFVHNRRRRRRRGPYAVPDDDDEEEDQQEASSSSSSSDEGEEDAEEEGSGEVDDDEGEAAEPSGKEEEVSPVAAAARSGRKASITISLKKVCKVCKSTGHEAGFKGAVYIDCPRKPCFLCKMPGSDTLHCHTTLTCPHRVAMEHGVIPASRRNTNTSLDYVFQSQVKGKIPMVKPQFLIPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGVWDYVKLHEKITYDSLHSCILNSMKFDTTNDGLLYTASSDGTISSTDLDTGIGSSLLNLNPNGWNGPSTWRMIYGMDFNSDKGLLLVADSFGFLHLLDRRLKARIGDPILIHKKGSKVTSLHCNPAQPEVLLSSGNDHYARIWDTRKLEPNSAFASLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGNLESPSREIVHSHDFNRHLTPFKAEWDPKDHTETVAVIGRYISENYNGIALHPIDFIDTSTGKLLAEVMDPDITTISPVNKLHPRDDILASGSSRSIFIWKPKTESDATEERNREKAKEFVYGSGSRKKSNGKHENSSDDDSDGSCDGKKKKKAKKTRFTHTIKGKGKSKV >ONIVA01G29450.2 pep chromosome:AWHD00000000:1:25772306:25781678:1 gene:ONIVA01G29450 transcript:ONIVA01G29450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) TAIR;Acc:AT5G58760] MGPTTRARFVHNRRRRRRRGPYAVPDDDDEEEDQQEASSSSSSSDEGEEDAEEEGSGEVDDDEGEAAEPSGKEEEVSPVAAAARSGRKASITISLKKVCKVCKSTGHEAGFKGAVYIDCPRKPCFLCKMPGSDTLHCHTTLTCPHRVAMEHGVIPASRRNTNTSLDYVFQSQVKGKIPMVKPQFLIPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGVWDYVKLHEKITYDSLHSCILNSMKFDTTNDGLLYTASSDGTISSTDLDTGIGSSLLNLNPNGWNGPSTWRMIYGMDFNSDKGLLLVADSFGFLHLLDRRLKARIGDPILIHKKGSKVTSLHCNPAQPEVLLSSGNDHYARIWDTRKLEPNSAFASLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGNLESPSREIVHSHDFNRHLTPFKAEWDPKDHTETVAVIGRYISENYNGIALHPIDFIDTSTGKLLAEVMDPDITTISPVNKLHPRDDILASGSSRSIFIWKPKTESDATEERNREKAKEFVYGSGSRKKSNGKHENSSDDDSDGSCDGKKKKKAKKTRFTHTIKGKGKSKV >ONIVA01G29440.1 pep chromosome:AWHD00000000:1:25764115:25768047:-1 gene:ONIVA01G29440 transcript:ONIVA01G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGDGRGRTLGAVIKEKDEELALFLEMRRREKERGAAAAAAAAEQLLLSGDRVDVARDGMLLVDQPPPPRPQPPAEHKAAAYRMTGGFRRAPGGADDFLNSDGGDKNDYDWLLTPPGTPLFPSLEESKKSPASQTGTPKTRPTALKSRLANHPDPPSRTTLPLRATSSNNLNSAATTRRPSSSGGHTSNSSRPSTPTGRPALTNTSKGSRPSTPTSRATVPSKSGPPAPRSSTPTSRSTLTSARSTTPSRTSGPAARTSIPSGRASAPASRSSTPTSRSSIPATRSSTPSSRPSIPAQSKPVSRSSTPTRQPSATSTQHGSLAAPVRSSSISKLTPTMSKSSSPAKTIASTPSRGSSPTVKSRPWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVEPGPAARPRRQSCSPSRGRTLNGSVPSGSSVPAVRRSHLNSGDSVNPVQMGNKMVERVVNMRRLVPPKHDDQRSSLNGLSGKSSNSPDSSGFGRTLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRSRPISVSDSPLATSSNASSEPSVNNNLMCLDSIEIDDELCSDRAGQYRR >ONIVA01G29430.1 pep chromosome:AWHD00000000:1:25758687:25765537:1 gene:ONIVA01G29430 transcript:ONIVA01G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-dependent gravitropism-deficient and yellow-green-like 2 [Source:Projected from Arabidopsis thaliana (AT5G05740) TAIR;Acc:AT5G05740] MLSKHIRLLLTLGSELALLLVARGESETLIGLLLVDPDRALCTEDAGMLVIRGRKLFGMLRRLTLSPLLRCDLLTAGTELPEGTLPFKVLPLEGEQDCRLGRAAGPGSTEEDLELGAPGLPRVAEVGLSGSDVLRFGGASSEKPGISDGFHGLDLTVGELPLEGVDAIVFAGEELLDIVGVSLEIEEDRTGAAKLPCWVEVAEGCLVGVDDLDTGLLCAGMEGLDDGVDDLVAGIDDLEVGVDDLLAGADALPEGIDVLAAGPDVLEGVVDLAEVSVDLEVGVDDLGAGGPDLEGTVARDVGVEGLEPLEVLVSAGRPVGVEGLDELDVCPPEDDGLRVVAAEFKLFDDVALNGRVVLDGGSG >ONIVA01G29430.2 pep chromosome:AWHD00000000:1:25758687:25765107:1 gene:ONIVA01G29430 transcript:ONIVA01G29430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-dependent gravitropism-deficient and yellow-green-like 2 [Source:Projected from Arabidopsis thaliana (AT5G05740) TAIR;Acc:AT5G05740] MQLPAMSCSPSQSSAAAAAAYGCCQRILLASTSLPATGRPARLGLKLRSTHSLQIRNRRFVCQAMTETEPDGDGNGDEEKEELGDDASSPSVDSVTQENGSAESETNADNTKDETVNTEPLSSSDTVQNIDGDATPASDAQENVEVVDVAVGSPLPGMKQQLDESVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGILFKGNLRGQPAKSYEKITNRLQNKFGDQYKLFLLINPEDDKPVAVVVPRQTLQPETTAVPEWFAAASFGVVTIFTLLLRNVPLLQDNLLSTFDNLELLKDGVYGALVTAAIIGVHEIAHILAARDTGIKLAVPYFVPSWQIGSFGAITRIVNIVRNREDLLKVAAAGPLAGFSLGFVLLLLGFILPPSDGLGLVIDPAVFHESFLVGGLAKLILGDALKEGTKLSINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRISSLAIGLLGISALFNDVAFYWVVLIFFLQRGPISPLSEEITEPENNYISIGVAILLFGLLVCLPYPFPFDPSQLTDFDL >ONIVA01G29430.3 pep chromosome:AWHD00000000:1:25758687:25767013:1 gene:ONIVA01G29430 transcript:ONIVA01G29430.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-dependent gravitropism-deficient and yellow-green-like 2 [Source:Projected from Arabidopsis thaliana (AT5G05740) TAIR;Acc:AT5G05740] MQLPAMSCSPSQSSAAAAAAYGCCQRILLASTSLPATGRPARLGLKLRSTHSLQIRNRRFVCQAMTETEPDGDGNGDEEKEELGDDASSPSVDSVTQENGSAESETNADNTKDETVNTEPLSSSDTVQNIDGDATPASDAQENVEVVDVAVGSPLPGMKQQLDESVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGILFKGNLRGQPAKSYEKITNRLQNKFGDQYKLFLLINPEDDKPVAVVVPRQTLQPETTAVPEWFAAASFGVVTIFTLLLRNVPLLQDNLLSTFDNLELLKDGVYGALVTAAIIGVHEIAHILAARDTGIKLAVPYFVPSWQIGSFGAITRIVNIVRNREDLLKVAAAGPLAGFSLGFVLLLLGFILPPSDGLGLVIDPAVFHESFLVGGLAKLILGDALKEGTKLSINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRISSLAIGLLGISALFNDVAFYWVVLIFFLQRGPISPLSEEITEPENNYISIGVAILLFGLLVCLPYPFPFDPSQLTDFDL >ONIVA01G29430.4 pep chromosome:AWHD00000000:1:25758904:25767013:1 gene:ONIVA01G29430 transcript:ONIVA01G29430.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-dependent gravitropism-deficient and yellow-green-like 2 [Source:Projected from Arabidopsis thaliana (AT5G05740) TAIR;Acc:AT5G05740] MTETEPDGDGNGDEEKEELGDDASSPSVDSVTQENGSAESETNADNTKDETVNTEPLSSSDTVQNIDGDATPASDAQENVEVVDVAVGSPLPGMKQQLDESVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGILFKGNLRGQPAKSYEKITNRLQNKFGDQYKLFLLINPEDDKPVAVVVPRQTLQPETTAVPEWFAAASFGVVTIFTLLLRNVPLLQDNLLSTFDNLELLKDGVYGALVTAAIIGVHEIAHILAARDTGIKLAVPYFVPSWQIGSFGAITRIVNIVRNREDLLKVAAAGPLAGFSLGFVLLLLGFILPPSDGLGLVIDPAVFHESFLVGGLAKLILGDALKEGTKLSINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRISSLAIGLLGISALFNDVAFYWVVLIFFLQRGPISPLSEEITEPENNYISIGVAILLFGLLVCLPYPFPFDPSQLTDFDL >ONIVA01G29420.1 pep chromosome:AWHD00000000:1:25756841:25758598:-1 gene:ONIVA01G29420 transcript:ONIVA01G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinase binding protein CGI-121 (InterPro:IPR013926); Has 275 Blast hits to 275 proteins in 139 species: Archae - 0; Bacteria - 5; Metazoa - 98; Fungi - 109; Plants - 42; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G34412) TAIR;Acc:AT4G34412] MKSFPVAGGRSVSLGLFSDVSNSRELLELMQSGKLEPEVAFLNASLVPDVFPVLAAAHKAVVSQGRESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTSYILAARFDASNEEIKAVEKLICGTEIDLAELETRANQPQILKHYKITPQELSISTLPDAIVCRIAARDAL >ONIVA01G29420.2 pep chromosome:AWHD00000000:1:25756843:25758598:-1 gene:ONIVA01G29420 transcript:ONIVA01G29420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinase binding protein CGI-121 (InterPro:IPR013926); Has 275 Blast hits to 275 proteins in 139 species: Archae - 0; Bacteria - 5; Metazoa - 98; Fungi - 109; Plants - 42; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G34412) TAIR;Acc:AT4G34412] MKSFPVAGGRSVSLGLFSDVSNSRELLELMQSGKLEPEVAFLNASLVPDVFPVLAAAHKAVVSQGRESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTSYILAARFDASNEEIKAVEKLICGTEIDLAELETRANQPQILKHYKITPQELSISTLPDAIVCRIAARDAL >ONIVA01G29410.1 pep chromosome:AWHD00000000:1:25751270:25754500:-1 gene:ONIVA01G29410 transcript:ONIVA01G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGAEIKDEMEEAPPLLLDEAARPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPMYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLGVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSVAISKDFETAHVISANRIRLWNKGVDSASFHPKFRSHEMRVRLSDGEPEKPLIIHVGRFGREKNLDFLKTVMDRLPGVRIAFIGDGPYRSELEKMFEGMPAVFTGMMQGEELSQAYASGDVFVMPSESETLGQVVLESMSSGVPVVAARAGGVPDIIPEDQEGKTSFLFTPGDLEDCLGKIQLLLTDKEFRDNMGMTARAEMEKCDWRAASKKIRNEFYNAAIWYWRKKRAELIKPLQWLAQMFLPAPEANRITQH >ONIVA01G29400.1 pep chromosome:AWHD00000000:1:25744273:25748293:1 gene:ONIVA01G29400 transcript:ONIVA01G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRDVCMEVLPPMDHYASRGNWFMARKWSPEENKQFERALAGLDLRCPDWDRVARAIPGRSALEVMNHFRDLELDVQQIENGMVPFPVYGAAAAGGAFTLQWDSAHGVGDFRNAYRFGGGGGGKRHFGRTPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLTDDRPPSPSQSSLISNQSNTSTLTAAVAPFSSTADVKPQNAANASFNSPSRTLGMAGYGMGLQDQGLQCGGPLHDQLAASRSILF >ONIVA01G29400.2 pep chromosome:AWHD00000000:1:25745091:25748293:1 gene:ONIVA01G29400 transcript:ONIVA01G29400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRDVCMEVLPPMDHYASRGNWFMARKWSPEENKQFERALAGLDLRCPDWDRVARAIPGRSALEVMNHFRDLELDVQQIENGMVPFPVYGAAAAGGAFTLQWDSAHGVGDFRNAYRFGGGGGGKRHFGRTPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLTDDRPPSPSQSSLISNQSNTSTLTAAVAPFSSTADVKPQNAANASFNSPSRTLGMAGYGMGLQDQGLQCGGPLHDQLAASRSILF >ONIVA01G29390.1 pep chromosome:AWHD00000000:1:25731968:25737281:-1 gene:ONIVA01G29390 transcript:ONIVA01G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRRDYLLFLIAMGEVAEDIYTQDGTVDVKGNPATKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQESAIAANNVTNWSGTCYITPLLGAFLADAYMGRFWTIASFMIIYILGLALLTMASSVKGLVPACDGGACHPTEAQTGVVFLALYLIALGTGGIKPCVSSFGADQFDENDEGEKRSKSSFFNWFYFSINIGALVASSVLVYVQTHVGWGWGFGIPAVVMAVAVASFFVGTPLYRHQRPGGSPLTRIAQVLVASARKWGVEVPADGSRLHETLDRESGIEGSRKLEHTGQFACLDRAAVETPEDRSAANASAWRLCTVTQVEELKSVVRLLPIWASGIVFATVYGQMSTMFVLQGNTLDASMGPHFSIPAASLSIFDTLSVIVWVPVYDRLIVPAVRAVTGRPRGFTQLQRMGIGLVISVFSMLAAGVLDVVRLRAIARHGLYGDKDVVPISIFWQVPQYFIIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVTHVTTRNGAVGWIPDNLNRGHLDYFFWLLAVLSLINFGVYLVIASWYTYKKTADSPDDKAEHAGAN >ONIVA01G29390.2 pep chromosome:AWHD00000000:1:25731966:25737902:-1 gene:ONIVA01G29390 transcript:ONIVA01G29390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAEDIYTQDGTVDVKGNPATKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQESAIAANNVTNWSGTCYITPLLGAFLADAYMGRFWTIASFMIIYILGLALLTMASSVKGLVPACDGGACHPTEAQTGVVFLALYLIALGTGGIKPCVSSFGADQFDENDEGEKRSKSSFFNWFYFSINIGALVASSVLVYVQTHVGWGWGFGIPAVVMAVAVASFFVGTPLYRHQRPGGSPLTRIAQVLVASARKWGVEVPADGSRLHETLDRESGIEGSRKLEHTGQFACLDRAAVETPEDRSAANASAWRLCTVTQVEELKSVVRLLPIWASGIVFATVYGQMSTMFVLQGNTLDASMGPHFSIPAASLSIFDTLSVIVWVPVYDRLIVPAVRAVTGRPRGFTQLQRMGIGLVISVFSMLAAGVLDVVRLRAIARHGLYGDKDVVPISIFWQVPQYFIIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVTHVTTRNGAVGWIPDNLNRGHLDYFFWLLAVLSLINFGVYLVIASWYTYKKTADSPDDKAEHAGAN >ONIVA01G29390.3 pep chromosome:AWHD00000000:1:25731968:25733895:-1 gene:ONIVA01G29390 transcript:ONIVA01G29390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAEDIYTQDGTVDVKGNPATKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQESAIAANNVTNWSGTCYITPLLGAFLADAYMGRFWTIASFMIIYILGLALLTMASSVKGLVPACDGGACHPTEAQTGVVFLALYLIALGTGGIKPCVSSFGADQFDENDEGEKRSKSSFFNWFYFSINIGALVASSVLVYVQTHVGWGWGFGIPAVVMAVAVASFFVGTPLYRHQRPGGSPLTRIAQVLVASARKWGVEVPADGSRLHETLDRESGIEGSRKLEHTGQFACLDRAAVETPEDRSAANASAWRLCTVTQVEELKSVVRLLPIWASGIVFATVYGQMSTMFVLQGNTLDASMGPHFSIPAASLSIFDTLSVIVWVPVYDRLIVPAVRAVTGRPRGFTQLQRMGIGLVISVFSMLAAGVLDVVRLRAIARHGLYGDKDVVPISIFWQVPQYFIIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVTHVTTRNGAVGWIPDNLNRGHLDYFFWLLAVLSLINFGVYLVIASWYTYKKTADSPDDKAEHAGAN >ONIVA01G29380.1 pep chromosome:AWHD00000000:1:25724890:25729253:-1 gene:ONIVA01G29380 transcript:ONIVA01G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQU0] MGKAAAVGTAVVVAAAVGVAVVLARRRRRRDLELVEGAAAERKRKVAAVIEDVEHALSTPTALLRGISDAMVTEMERGLRGDSHAMVKMLITYVDNLPTGNEQGLFYALDLGGTNFRVLRVQLGGKEKRVVQQQYEEVSIPPHLMVGTSMELFDFIASALSKFVDTEGDDFHLPEGRQRELGFTFSFPVSQTSISSGTLIKWTKGFSINDAVGEDVVSELGKAMERQGLDMKITALVNDTVGTLAGGRYADNSVVAAIILGTGTNAAYVENANAIPKWTGLLPRSGNMVINTEWGSFKSDKLPLSEFDKAMDFESLNPGEQIYEKLISGMYLGEIVRRILLKLAHDAALFGDVVPSKLEQPFVLRTPDMSAMHHDSSHDLKTVGAKLKDIVGVPDTSLEVRYITSHICDIVAERAARLAAAGIYGVLKKLGRDKMPKDGSKMPRTVIALDGGLYEHYKKFSSCLESTLTDLLGDDVSSSVVTKLANDGSGIGAALLAASHSQYAEID >ONIVA01G29370.1 pep chromosome:AWHD00000000:1:25721002:25724629:1 gene:ONIVA01G29370 transcript:ONIVA01G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSGKAYYLHLLLLAILPSLVARRGAAQQGGVDEKQLLLQVKRAWGDPAALASWTDAAPHCRWVYVSCDGGGTGRVTSLSLPNVAVAGAVPDAIGGLTALTVLNLQNTSVGGVFPAFLYNLTAITSIDLSMNSIGGELPADIDRLGKNLTYLALNNNNFTGVIPAAVSKLKNLKVFTLNCNQLTGTIPAALGELTSLETLKLEVNQFTPGELPGSFKNLTSLKTVWLAQCNLTGDFPSYVTEMMEMEYLDLSQNSFTGSIPPGIWNLPKLQYLFLYTNQLTGDVVVNGKIGAASLIYLDISENQLTGTIPESFGSLMNLTNLALMTNNFSGEIPASLAQLPSLVIMKLFENNLTGQIPAELGKHSPFLRDIEVDNNDLTGPIPEGVCDNRRLWIISAAGNRLNGSIPASLATCPALLSLQLQDNELSGEVPAALWTETRLITVLLQNNGHLTGSLPEKLYWNLTRLYIHNNRFSGRLPATATKLQKFNAENNLFSGEIPDGFAAGMPLLQELDLSRNQLSGAIPASIASLSGLSQMNFSRNQFTGDIPAGLGSMPVLTLLDLSSNKLSGGIPTSLGSLKINQLNLSSNQLTGEIPAALAISAYDQSFLGNPGLCVSAAPAGNFAGLRSCAAKASDGVSPGLRSGLLAAGAALVVLIGALAFFVVRDIKRRKRLARTEPAWKMTPFQPLDFSEASLVRGLADENLIGKGGAGRVYRVAYASRSSGGAGGTVAVKRIWTGGKLDKNLEREFDSEVDILGHVRHTNIVKLLCCLSRAETKLLVYEYMENGSLDKWLHGNKLLAGGATARAPSVRRAPLDWLARVRVAVGAARGLCYMHHECSPPIVHRDIKSSNILLDAELMAKVADFGLARMLVQAGTPDTMTAVAGSFGYMAPECAYTRKVNEKVDVYSFGVVLLELITGREAHDGGEHGSLAEWAWRHLQSGRSIADAVDRCITDAGYGDDAEVVFKLGIICTGAQPATRPTMRDVLQILVRCEQALQNTVDGKVAEYDGDGAPFLPIRGGSRRKQLSDTKGIDDGNGSLDSIV >ONIVA01G29360.1 pep chromosome:AWHD00000000:1:25717441:25718399:1 gene:ONIVA01G29360 transcript:ONIVA01G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTGAGADRREDRRQRTGPTKTIKAETEAHNDEGLPDSPVDRVARSLGEALIGGRSRAMIDDDEEAALWSRRNTPVEHPIHRAYDRVAAALREKRSNERLARECLDHYNALHPGDEHDLAPGGDVTLSRSHCSTGACTHGNFVARRRRRQWRRCLAFVLPATRTLFFFEHMSGDDYLGVITCIPMPDEPVGGFLARIPLIRRWATPRRSGRWDCVCKTCRRGLRVTHHWLKRKVIGEFPCGHMVAESVCKMCYHYSDVVHPSPGKFARGYLEHEDEFGHYGRNGLRDYPC >ONIVA01G29350.1 pep chromosome:AWHD00000000:1:25715688:25716137:-1 gene:ONIVA01G29350 transcript:ONIVA01G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAQNSAQDFVDPHNAARADVGVGPVSWDDTVAAYAESYAAQRQGDCKLEHSDSGGKYGENIFWGSAGGDWTAASAVSSWVSEKQWYDHGSNSCSAPEGSSCGHYTQVVWRDSTAIGCARVVCDGDLGVFITCNYSPPGNFVGQSPY >ONIVA01G29340.1 pep chromosome:AWHD00000000:1:25710659:25711759:1 gene:ONIVA01G29340 transcript:ONIVA01G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGVRDGDEEAALWPRPIMLEEDYYSRRRRAREHDRRRAAALREEESNERLARECQDHYNALHPGDEHDLAPGDVTLCSAPCNSTTWTQGNFVARRRSGCLASLFLPSRPRTLFFFEHMSSKDFLGVVTCVPMADEPDGGGLLARVPLCRRWATRRRRSSRWECVCRTCNRGLHVKHPWLKKKVVGEFPCGHLEAASVCKMCFSYSDVVHPSPGKFARGLF >ONIVA01G29330.1 pep chromosome:AWHD00000000:1:25700877:25709866:1 gene:ONIVA01G29330 transcript:ONIVA01G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQT4] MEEEFKDKGLPPTLLHLIPDGREWKVKEADGEGSRNTNLDADEDKELELKLGLPGVQQEERAADSREKIQQQQRESSSEPSIGCFPTHSKPTTSIGTTGAKRGFFATVGATLEGYNQSHRDTEECGKELTLGDENMAGERKKGCCPSPPCSAAAHSSNPQGRGAIPPVVGWPPIRSFRRNLTNGSSFKQSPERQNDEADDKAKPICKKRPLVKINMDGIPIGRKVDLEIYDSYQKLSSAVEELFRGFLEAQKDLSCAESGEQGAEDKIFSGLLDGTGVYTLIYEDNDGDRMLAGDIPWKLRVMKRSELPHDMIGADPVK >ONIVA01G29330.2 pep chromosome:AWHD00000000:1:25702665:25709866:1 gene:ONIVA01G29330 transcript:ONIVA01G29330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQT4] MDCKISNLRSSPMEEEFKDKGLPPTLLHLIPDGREWKVKEADGEGSRNTNLDADEDKELELKLGLPGVQQEERAADSREKIQQQQRESSSEPSIGCFPTHSKPTTSIGTTGAKRGFFATVGATLEGYNQSHRDTEECGKELTLGDENMAGERKKGCCPSPPCSAAAHSSNPQGRGAIPPVVGWPPIRSFRRNLTNGSSFKQSPERQNDEADDKAKPICKKRPLVKINMDGIPIGRKVDLEIYDSYQKLSSAVEELFRGFLEAQKDLSCAESGEQGAEDKIFSGLLDGTGVYTLIYEDNDGDRMLAGDIPWKLRVMKRSELPHDMIGADPVK >ONIVA01G29330.3 pep chromosome:AWHD00000000:1:25700877:25707131:1 gene:ONIVA01G29330 transcript:ONIVA01G29330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQT4] MEEEFKDKGLPPTLLHLIPDGREWKVKEADGEGSRNTNLDADEDKELELKLGLPGVQQEERAADSREKIQQQQRESSSEPSIGCFPTHSKPTTSIGTTGAKRGFFATVGATLEGYNQSHRDTEECGKELTLGDENMAGERKKGCCPSPPCSAAAHSSNPQGRGAIPPVVGWPPIRSFRRNLTNGSSFKQSPERQNDEADDKAKPICKKRPLVKINMDGIPIGRKVDLEIYDSYQKLSSAVEELFRGFLEAQKDLSCAESGEQGAEDKIFSGLLDGTGVYTLIYEDNDGDRMLAGDIPWKLRVMKRSELPHDMM >ONIVA01G29330.4 pep chromosome:AWHD00000000:1:25702665:25709866:1 gene:ONIVA01G29330 transcript:ONIVA01G29330.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQT4] MDCKISNLRSSPMEEEFKDKGLPPTLLHLIPDGREWKVKEADGEGSRNTNLDADEDKELELKLGLPGVQQEERAADSREKIQQQQRESSSEPSIGCFPTHSKPTTSIGTTGAKRGFFATVGATLEGYNQSHRDTEECGKELTLGDENMAGERKKGCCPSPPCSAAAHSSNPQGRGAIPPVVGWPPIRSFRRNLTNGSSFKQSPERQNDEADDKAKPICKKRPLVKINMDGIPIGRKVDLEIYDSYQKLSSAVEELFRGFLEGKKKKNTKPTEHKYFKSFKEPNTFVLNGLYIILKRCFGAQKDLSCAESGEQGAEDKIFSGLLDGTGVYTLIYEDNDGDRMLAGDIPWKLRVMKRSELPHDMIGADPVK >ONIVA01G29330.5 pep chromosome:AWHD00000000:1:25703733:25709866:1 gene:ONIVA01G29330 transcript:ONIVA01G29330.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQT4] MEEEFKDKGLPPTLLHLIPDGREWKVKEADGEGSRNTNLDADEDKELELKLGLPGVQQEERAADSREKIQQQQRESSSEPSIGCFPTHSKPTTSIGTTGAKRGFFATVGATLEGYNQSHRDTEECGKELTLGDENMAGERKKGCCPSPPCSAAAHSSNPQGRGAIPPVVGWPPIRSFRRNLTNGSSFKQSPERQNDEADDKAKPICKKRPLVKINMDGIPIGRKVDLEIYDSYQKLSSAVEELFRGFLEGKKKKNTKPTEHKYFKSFKEPNTFVLNGLYIILKRCFGAQKDLSCAESGEQGAEDKIFSGLLDGTGVYTLIYEDNDGDRMLAGDIPWKLRVMKRSELPHDMIGADPVK >ONIVA01G29320.1 pep chromosome:AWHD00000000:1:25679176:25700624:1 gene:ONIVA01G29320 transcript:ONIVA01G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTQDALPHGRAAKDLNSKPASASGTTRGRGRGRGRGGAGVGAAAAPNGGGGAGAGAGGGGRGRGRGGAGVGAAAAPGGGGGGGGAGGGGRGRGRGGAGVGAAAAPGGGGGGGAAGGGGRGRAGAAAAPGGGGGAVSGGGGGGGGGRGRGGRGGRLAKCELHRHRCGRRRRRLVAVELASSGCVGFIVCGRGAGFFVACGLSVGYFVVSGHGVGCFVVSGHGVGCFVIYGRGVGCFVISGWEVIAPSRVTAAGTARFNGQVDLSQLGMRWTPRHDVEAQIGTLTLWPMVVRDVERRN >ONIVA01G29310.1 pep chromosome:AWHD00000000:1:25668051:25669457:-1 gene:ONIVA01G29310 transcript:ONIVA01G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLLPSSQLPSGQHETSLKENFRKTLRVALAWAARGAKNPSRPRLHSFPLTSPLPELEASGAAASRWLLGPAAQDAATSPGMRGTVRGLRVGGGNGALAVGGLGRRWWAARWRGAVASARPPLGLAAVWSALAAGSSFPWLARRSLCSKSSSPSLWGASRHGEGVGRSTRKTQVAKARLFSVLSHRSDSTWRLKGGVAEVAWVSVLGRGGGVVFLVVDQANSVWGAPPLSTGSFLTGWWQWSVDKENSGCRGNVVPCPDSLKSDSTGKPAGGVAEAAWVSYLAEVVGAVAVLSLARLEAGRWWGVGWVWWKPCAADV >ONIVA01G29300.1 pep chromosome:AWHD00000000:1:25658660:25659613:1 gene:ONIVA01G29300 transcript:ONIVA01G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGQGAGAPAPPVLSLWARGHRFLCRVCVLSDDDETVTVPRLTRDDMWRHYRLEHHRLILPFVCRNCGREIAYASTTRVELPTQEAKMVTSRFGERQPPRRLLGDDGGPSVHGDGFLATAAAAASTARASWRRRRPQRPRRLLLGDGGGPSVHGGGGPSVHGDGGAPNVHGDGFFDGGGPSIDGTTTTKKASKGSYRRQEGGRNPRMHEYNKNGEKFRSARGPGGATSRTRPEAKKAVPKIGDEESPRRSRR >ONIVA01G29290.1 pep chromosome:AWHD00000000:1:25627109:25632065:-1 gene:ONIVA01G29290 transcript:ONIVA01G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQS7] MVGIDLNTVEEEEDEEEGGATGTVTAPAEARAGGAVCLELWHACAGPVAPLPRKGSAVVYLPQGHLEHLGAAPGPAAVAAVPPHVFCRVVDVSLHADAATDEVYAQVSLVADNEEVERRMREGEDGEGEDAVKRPARIPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSLQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSGFINKKKLVSGDAVLFLRGEDGELRLGVRRAAQLKNVSPFPALHNQISNTSSLSEVAHAVAVKSIFHIYYNPRLSQSEFIIPYWKFMRSFSQPFSVGMRFKLRYESEDASERRRTGIIIGSREADPMWHGSKWKCLVVKWDDDVECRRPNGVSPWEIELSGSVSGSHLSTPHSKRLKSCFPQVNPDIVLPNGSVSSDFAESARFHKVLQGQELLGLKTRDGTVNTASQATEARNFQYTDERSCSINMSNNILGVPRLGVKTPSGNPGFSYHCSGFGESQRFQEVLQGQEVFRPYRGGTLSDACIRGSGFRPPDGNHASGAAFKWLAPQGCDHHGITTSVLPQASSPSSVLMFPQTSSKMPGLEYIYGCLDRNENSRHFKIGPTQDMARTDQTLRLWPHLISGKVLDECTRNEKLHSPVGGAEHESNTNKCLNTNGCKIFGISLTEKAQAGDEVDCGNASYHSRLQSLKPQMPKSLGSSCATVHEQRPVVGRVVDISAVNTMI >ONIVA01G29280.1 pep chromosome:AWHD00000000:1:25621311:25623629:-1 gene:ONIVA01G29280 transcript:ONIVA01G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQS6] MAKFFYLIILSFFLSLLVFCTSASPRLTLHTGSSFSVEDYKHTFLTSPNSTFSCGFYPVGTNAFTFSIWLTNTPGKTVVWSANRRSPVNGHGSKVLLHDDGYLVLTDVNGSTVWTSTMSAGEGSTAALLESGNLVVRDSSGTILWESFTSPTDTLLPAQQLTKDTRLVSGYHSLYFDNDNSLRLVYNGPEFSSIYWPNDDYTMFRDGIKVKNNSRLAVLDDKGGFFSSDALTVQASDFGLGIKRRLTLDYDGNLRIYSLDASDGSWTVTWQAIVEMHYVHGMCGKNGICEYLPELRCSCPPGFEMVDPQNWSKGCRPTFSYNCGKERYKFIEIPQTDFYDFDLGFNQSISFEECQNICLSTCSCIAFSYRLTGTGVCYPKGLLFNGYKSPAFPGTLYLKVPYSTNLQASSTQSALTCSPGSQEIATPSDHPRWLYFYIFPGVFGALELIFILTAWWFLSIRNDIQNSAEGGYMMIRNQFRGFTYQELKEATGKFREELGRGSSGIVYRGVLKDKRVIAVKKLIDVTRGEVEFQAEMSVIGKINHMNLVRIWGFCSEGKHKLLVYEYVENESLDRYLFNTMGTERLLVWKDRFNIALGAARALAYLHHDCLEWVFHCDVKPENILLTRDFEAKIADFGLSKLYKREGSSFNFSQMRGTMGYMAPEWATNLPINAKVDVYSYGVVLLEIVAGQRISSHTTREGKVTKLKQFIENVKEALATGDTKCIVDGRLHGQFNSEQAMVMLIVAVSCLEEERSKRPTMHEVVKSLLDCEE >ONIVA01G29270.1 pep chromosome:AWHD00000000:1:25619296:25619532:-1 gene:ONIVA01G29270 transcript:ONIVA01G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPRAADIVRPDSTNDGCNSCSRMPRRPLCWRSSCARSAALRERRTPWPQPLLRGGRAVGRGRAAAGAPLRQRWVME >ONIVA01G29260.1 pep chromosome:AWHD00000000:1:25618561:25619154:1 gene:ONIVA01G29260 transcript:ONIVA01G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQATASEGAATRSGVADGEAARHGGWGNDRGEDGGGVQRGGVHLSPLYLYFLRVFTDEEAAARDGLWKRSGARRLAGEEQRAAANLPVSSSSAAAVWIPPLSCRICTAAAMVLSPPGMARRVGTRKGGRSSGATTVAGHERSGSGGRGRGRRRVVRCTAGGGVTTGDEAGGGRQGGALRRRRLRRRRVYGEERG >ONIVA01G29240.1 pep chromosome:AWHD00000000:1:25606737:25610120:1 gene:ONIVA01G29240 transcript:ONIVA01G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATSAERRRANEKRPAAAASQLRYERPPRESPPLPTSATCRRGRWWWRAWQARRDPHAVPATEEAERGLAIRRGLGAGGMGDEQFGPPSRSQPGSRPPSRRPDQPSHNLEDRNTEDQENTHICYFSHIVHERHSAAAWPPMSCKGSKVSVGESKLCHTTLPLESVMTRLPVSSRATRESCPSIVLLSHTTKPFSSLRTKLPS >ONIVA01G29240.2 pep chromosome:AWHD00000000:1:25606737:25614202:1 gene:ONIVA01G29240 transcript:ONIVA01G29240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATSAERRRANEKRPAAAASQLRYERPPRESPPLPTSATCRRGRWWWRAWQARRDPHAVPATEEAERGLAIRRGLGAGGMGDEQFGPPSRSQPGSRPPSRRPGLDT >ONIVA01G29230.1 pep chromosome:AWHD00000000:1:25597873:25600317:-1 gene:ONIVA01G29230 transcript:ONIVA01G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQS1] MAGLVIGCLAVQLSLASLLLCSSASTAQHTLGTGSSLSVADRSRPFLVSPDGTFSCGFIQAGDDGDNAFSFSVWFTAARDGAVVWTANPDAPVNGRGSTISFRHDGELALADTNGTTVWASRTGGGGRGLTVSLRDTGNLVIEDPSTGRAVWQSFDWPTDTLLPSQRFTKDTKLVAGYFSLYYDNDNVLRMLYDGPEIASIYWPLPGVSIFDFGRTNYNSSRIAILDDAGVFRSSDRLQAQASDMGVGVKRRLTIEQDGNLRIYSLNASTGGWAVTWAALSQPCQAHGLCGKNGLCVYLPSLRCSCPPGYEMIDRRDWRKGCQPMFSVGNCSQPAAPERFKSVVVPQTDFYGYDLMFNGSSITFELCRNQCLSDCQCVAFSYRFDGVGRCFTKGRLFNGYTSANFPGNIYLKVSIDFDESSPLVSARSAAGLTCNPNVSIVTVPAAVYGMAPRNSGKWTYLFVFAGVLGVLDLLFIATGWWFLSSKQSIPSSLEAGYRRVMTSQFRRFTYRELKDVTANFKEELGRGGSGVVYRGVLDGGKVVAVKRLAVDVTMQGDEEFWAEMTVLGRINHMNLVRIWGFCSERKHKLLVYEYVENQSLDRHLFDTAEGGGGMSTTTLAWKDRYKIALGTARGLAYLHHECLEWVIHCDMKPENILLTRDFDAKIADFGLAKLSKRDGGAGVELTHMRGTSGYMAPEWALNVPINAKVDVYSFGIVLLEIVVGSRVADQRTEAGERLQLPQIAQALRHVLDSGDVRSLVDARLQGQFNPRQAMEMVRISLACMEDRNSRPTMDDIAKALTAFDDEDEHPAYRS >ONIVA01G29220.1 pep chromosome:AWHD00000000:1:25594458:25597861:1 gene:ONIVA01G29220 transcript:ONIVA01G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLCLNCPLAVSTNAESTAAFTARGCNGAPLSRREGERKGGEREEEGKNTYQQIYNKIGLACTEEAHERLRGTTGAIGSSTDCLIFGEELNTDYTDCCSKLNYANG >ONIVA01G29210.1 pep chromosome:AWHD00000000:1:25585682:25593419:1 gene:ONIVA01G29210 transcript:ONIVA01G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRQRWLIPPPEGVVVLSHPSRAVAGRKPSPGSFEPGWTAAATIQTEVKSHRALIGIHNVLPNQMFMITGIVITFVTIQIVMNQLMPRRRMDNIMAWTLRGWTL >ONIVA01G29190.1 pep chromosome:AWHD00000000:1:25578948:25581410:-1 gene:ONIVA01G29190 transcript:ONIVA01G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQR8] MAGLVIGYLAVQLSLMSLLLCPSSSTAQHTLGRSSMSVEDHARPFLVSTDGSFSCGFLEAGDNAFTFSVWFTADPNRTAVWSANRDAPVNGRGSRVSFSRDGELALADTNGTTVWSSKTTAGTGNRRGLTVSLRDTGNLVVGDPSTGLAVWQSFEWPTDTLLPSQRFTKQTKLVAGYFSLYFDNDNVLRMLYDGPEIASIYWPLPGLTVFENGRTNYNSTRIAILDDAGVFLSSDQTKAEATDLGLGIKRRITIEQDGNLRMYSLNASTGGWAVTWSALKQPCQAHGLCGKNGLCEYLPSLRCSCLPGYEMVDRRDWRRGCKPTFPVGNCSQGSAPPPSPATAPPQFKFIEVAQTDFFGFDLGYTESITFKQCRDQCMNNCQCTAFSYRLDGRGKCYPKGTLFNGFTSANFPGSIYLKVPLDFNASSPRVSAQRAAGLACGANVTVVTVSADVYGMAPGSNGQWTYFFVFAGVLGVLDILFIATGWWFLSSKQSIPSSLQAGYKMVMTSQFRRFTYRELKGATANFKEELGRGGSGAVYRGVLDGGKVVAVKRLAVDVTMQGDEEFWSEMTVLGRINHINLVRIWGFCSERKHKLLVYEYVENQSLDRHLFDTAEGGGGMSTTTLAWKDRYKIALGTARGLAYLHHECLEWVIHCDMKPENILLTRDFDAKIADFGLAKLSKRDGGAGVELTHMRGTSGYMAPEWALNVPINAKVDVYSFGIVLLEIVVGSRVADQRTEAGEPLQLPQITQALRHVVDSGDVMSLVDARLQGQFNPRQAMEMVRISLACMEDRNSRPTMDDIAKSLTAFDDEDEHPAYHS >ONIVA01G29180.1 pep chromosome:AWHD00000000:1:25568290:25570632:1 gene:ONIVA01G29180 transcript:ONIVA01G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQR7] MARLLCLVILPLISVLPFSYASPLLMLSTGSSLFVEEHKQTFLTSPNADFSCGFYEVGGNAFSFSIWFTNSKNRTVVWSANPKSPVNGHGSKVTLNHEGNLVLADVNGTANWDSKTSSGKGTTAVLLDTGNLVIRDSTGTKLWQSFWAPTDTLLPLQPLTKGTRLVSGYFNLYFDNDNVLRLMYDGPEISSIYWPSPDYSVFDIGRTSYNGSRNAILDTEGHFLSSDKLDIKAADWGAGINRRLTLDYDGNLRMYSLNASDGSWKVSWQAIAKLCDVHGLCGENGICEFLPSFKCSCPPGYEMRDPTNWSRGCRPLFSKNCSKIEEYEFFKLAQTDFYGFDLIINQSISLKECKKTCLDICSCSAVTYKTGSGTCYIKYVLFNGYSSTNFPGDNYIKLPKNMVSKQSDLSCNPTKEIVLGSSSMYGMNDANKNYATYYVFAAVLGALVLIFTGTSWWFLYSKHNIPMSMEAGYRMVSQFRMFTYRELREATGKFKEEIGRGASGIVYRGVLEDKRVIAVKRLMNISHGEEEFWAEMSIIGRINHMNLVRMWGFCSEGQQKLLVYEYVDNESLDKYLFGDVSAERLLAWSQRFKIALGTARGLAYLHHECLEWVVHCDVKPENILLTRDFEVKIADFGLAKLSKRDSTSLNFTHMRGTMGYMAPEWALNSPINAKVDVYSYGVVLLEIVTGSRISSGIKVDGREVELRDFVQVMKHILATGDVKDVIDTRLNGHFNSEQAKVMVEVAISCLEERNSRPTMDEIAKAFLACDDEDNHPAYSW >ONIVA01G29170.1 pep chromosome:AWHD00000000:1:25556643:25559036:-1 gene:ONIVA01G29170 transcript:ONIVA01G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQR6] MAALLYLTILSSLSFHLCSCASPWRTMTTGSHIRAEDHDKIFLLSPDTTFSCGFHQLGTNAFTFSIWYTHTTEKTAVWTANPYSPANGGYSPVNLYGSRVSLGHDGNLVLTDTNGTTVWESKTSSGKHTTVTLLDTGNLVIKDSSNSTVWQSFDSPTDTLLPWQNLTKNIRLVSRYHHLYFDNDNVLRLLYDGPEITSIYWPSPDYNAEKNGRTRFNSTRIAFLDDEGNFVSSDGFKIEATDSGPRIKRRITIDYDGNFRMYSLNESTGNWTITGQAVIQMCYVHGLCGKNGICDYSGGLRCRCPPEYVMVDTTDWNKGCEPTFTIDSKRPHEDFMFVKQPHADFYGFDLGSNKSISFEACQNICLNSSSCLSFTYKGGDGLCYTKGLLYNGQVYPYFSGDNYMKVPKNSSKSTPSISKQQRLTCNLSAPEIMLGSASMYGTKKDNIKWAYFYVFAAILGGLESLVIVTGWYLFFKKHNIPKSMEDGYKMITNQFRRFTYRELKEATGKFKEELGRGGAGIVYRGVLEDKKIVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRMWGFCSEGTNRLLVYEYVENESLDKYLFGERCHESLLSWSQRYRIALGTARGLAYLHHECLEWVVHCDVKPENILLSRDFDAKIADFGLAKLAKRDSTSFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGIRVSSGIVVDERQVEFPEFVQEAKKIQATGNVTDLVDDRLHGHFDPEQVITMVKVALSCLEERSKRPTMDEILKALMLCDDEDDYHPAYSY >ONIVA01G29160.1 pep chromosome:AWHD00000000:1:25549308:25559417:1 gene:ONIVA01G29160 transcript:ONIVA01G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHRKARVCGPDHRPVGGMGEPDGEVEGVCVISRWVEAAGEGPREWPIERRPTSTPASHLPRTTSPFAFLVVSTPHARTRRRRAATPPPVGFPISGNVATAAPMRMLCRARWFSPSPPLPPQASSLPSCQVPAVGTGEAVALAGARNEKPWAQAELFGAMQLIRWPSRLEEICPSQSITRFCHGSKVSVGESKLCHTVLLLESLITRLPVSRRVTVVCLPEDVLLSHTVVPLVSVRTRLPSWPSDTLEP >ONIVA01G29160.2 pep chromosome:AWHD00000000:1:25549308:25561260:1 gene:ONIVA01G29160 transcript:ONIVA01G29160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHRKARVCGPDHRPVGGMGEPDGEVEGVCVISRWVEAAGEGPREWPIERRPTSTPASHLPRTTSPFAFLVVSTPHARTRRRRAATPPPVGFPISGNVATAAPMRMLCRARWFSPSPPLPPQASSLPSCQVPAVGTGEAVALAGARNECALSDAEAMGSSRIVRCNATYPVAITPRRDLPFAIHYKGKPCTWSY >ONIVA01G29150.1 pep chromosome:AWHD00000000:1:25547196:25549583:-1 gene:ONIVA01G29150 transcript:ONIVA01G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQR3] MGKFLCPLFISFISVLLCCAASASPWQTTGTGTSLQVDHGETFLVSPDTTFSCGFYPSGDDTNAFYFSIWFTHATDRTVVWTADSGLPVNGHGSKISLSHEGNLAFTDVNGTTVWESKTGWGKHTTVALLNSGNMVIKASDSEDKIVWQSFDWPTDTLLPSQRLTREKRLVSQSGNHFLYFDNDNVLRLQYNGPEITSIYWPSPDYTAVQNGRTRFNSSKIAVLDDEGRFLSSDGFKMVALDSGLGIQRRITVDYDGNLRMYSLNASDGNWTITGEGVLQMCYVHGLCGRNGICEYSPGLRCTCPPGYEMTDPENWSRGCRPTFSVSCGQQREDFTFVKIPHGDYYGFDLTSNKSISLEECMRICMDSCVCLSFTYKGGDGLCYTKGLLYNGQVYPYFPGDNYIKLPKNVASTSLISKHHGLTCKPNASKVMLVSIDAYRKNSDNIMWAYLYVFATIIGAVELVFIMTGWYFLFKMHNIPKSMEEGYKMITSQFRRFTYRELVEATGKFKEELGKGGSGTVYRGILGDKKVVAVKKLTDVRQGEEEFWAEVTLIGRINHINLVRMWGFCSEGRQRLLVYEYVENESLDRYLFYDSGTRKLLSWSQRFKIALGTARGLAYLHHECLEWVVHCDVKPENILLNRDFEAKIADFGLSKLSKRDSSTFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGTRVSSGITIDEEDIDLMQFVQVVKQMLTSGEVLDTIVDSRLKGHFNCDQAKAMVKAAISCLEERSKRPTMDQIVKDLMVYDDEDYHPAYF >ONIVA01G29140.1 pep chromosome:AWHD00000000:1:25535004:25546119:1 gene:ONIVA01G29140 transcript:ONIVA01G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRPKRRRGGSARSRGRKKQKRLDAIHDVAPVSAPPAGAAVVVVGGGESEDSDAEGPRRSTRVRRAPALLDTSPLPSPRRKRARGGGGVAGSSGGSSRRRSRGRARGEAGVAREIGEEEEEEEDDEAGNVVWRSRLRDRVKGKAKLDKRVRSLWFDEDYEVELKEEEEVVEEEEEEDEEEGDEEEEEEQVRTLVVDLREGAEEETMGEGSGSLPMRGREVRDREINLTIDLNVEEHEAVEGVNVVEEEDGEKGGEADEEKGDAIGPGNDLHEGKHEEVGDEEGLHEKERTEELGSAVLEGRNGDELPCNENNAEDGTGSSHEHEHLLVQNEQTVEESNLCVEQQMELDGSSPSEQLKEVQQDVQTGGAPNVVLPEEAPKEGVRKFPVSEEKQGTMEIKEGRRCGLCGGGTDGRPPKVALHDTVDSDNEAYEGALPSEDPNYDMWDGFGDDPGWLGRLLGPIHDQFGIARVWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRMFLIACNDHRHYFQPQGDKYVELLRKMKIKKMKADIRKVSHDAWRKDIEAEEKWLENCGEDDEFLKREGKRLNRDLSRIAPVYIGGTSENEKAYCGWESVAGLSNVIQSMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPSRSRRQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKKWPSPISGAFLSVIASQTVGYAGADLQSICTQAAINALKRTCPLKEILLSAEKGFEHGRLPLPSILVEERDWLAALAAAPPPCSQREAGIAANDLVSSPLVSYLVPCLLKPLLHLFISLYLDERIWLPSSLLKAFASIKQVIFSSMEKNNVPHTFWSSYLPSLIQQKGIAKRIASILSGYGLIAYQLGNHDSVLNHNEQHEKFDAHRLNSTGSHPKGGLAHKLSGFRALAAGAPRSGQQHLIRCLLHGFVGHTVIHKLDLATMAQEGNGDILSGLTQILLKCLNLGRCIIYMPRIDLWAIDKFHEQEAEDHVLNVGTSKLGSTATKNIKTCSEVWNSLVDQMGSLLASVSISVLATSELKFQDLPSGVRHFFSTHVVDQCLASSEHTIPRFSVNVDSYFTWDEVIDACCLRISHDLVQQHVQLLHDRAHNNHDEQKEVFVPMEISAPGEHRSSGSKEASMLTKYPLNMDKHPSCGVSSREHPTQLGTCSAQQEPPTSNVEDKEDNTEKIDFNEKVATNRSNRIVKDSESLAIMAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLQSITADKSLSGGNNVLKGKEKIPSVRGLVAVGLLAYRGAYASVLEVCSEVRKVLELLVGQVRTKILEKRSRYRYFHILSQVAYLDDIMSSWAYTFQRLHSENRRVKTSPKVTVGKSSTRECQGDSNTAEANILGAPAGCSEAQGTPGQHTDDLEVIPAHCPSEMQENSVQHAPGHLEIHDIVCDLDNDNVTSISSINAVEPDLIHSASLDVHTDSLTPADAVINDGQSCGVDNDGQMSRVINGEENRISNIERPESHTVSVADFNELQRKNAVASSTSTDSAGTSRNMVSSEARGSDNERNTDFPVDDVKLGHLVNPQSQDTMKSLSVLKPPCLYKCCSVCFNAVYKMVHDILSNSVRPSLHCLAVDDMHDLLSSWSVNLLATVRKWYTSQGIVGSEENSGEGHCVCSSDNGCVPRECTCHLESNEDAGTIKDDSYYLSGQPLSFFFKDGVLIPPDITAPTTLHCSYMRLCVCSIPGSISMFNRISS >ONIVA01G29140.2 pep chromosome:AWHD00000000:1:25535004:25546119:1 gene:ONIVA01G29140 transcript:ONIVA01G29140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRPKRRRGGSARSRGRKKQKRLDAIHDVAPVSAPPAGAAVVVVGGGESEDSDAEGPRRSTRVRRAPALLDTSPLPSPRRKRARGGGGVAGSSGGSSRRRSRGRARGEAGVAREIGEEEEEEEDDEAGNVVWRSRLRDRVKGKAKLDKRVRSLWFDEDYEVELKEEEEVVEEEEEEDEEEGDEEEEEEQVRTLVVDLREGAEEETMGEGSGSLPMRGREVRDREINLTIDLNVEEHEAVEGVNVVEEEDGEKGGEADEEKGDAIGPGNDLHEGKHEEVGDEEGLHEKERTEELGSAVLEGRNGDELPCNENNAEDGTGSSHEHEHLLVQNEQTVEESNLCVEQQMELDGSSPSEQLKEVQQDVQTGGAPNVVLPEEAPKEGVRKFPVSEEKQGTMEIKEGRRCGLCGGGTDGRPPKVALHDTVDSDNEAYEGALPSEDPNYDMWDGFGDDPGWLGRLLGPIHDQFGIARVWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRMFLIACNDHRHYFQPQGDKYVELLRKMKIKKMKADIRKVSHDAWRKDIEAEEKWLENCGEDDEFLKREGKRLNRDLSRIAPVYIGGTSENEKAYCGWESVAGLSNVIQSMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPSRSRRQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKKWPSPISGAFLSVIASQTVGYAGADLQSICTQAAINALKRTCPLKEILLSAEKGFEHGRLPLPSILVEERDWLAALAAAPPPCSQREAGIAANDLVSSPLVSYLVPCLLKPLLHLFISLYLDERIWLPSSLLKAFASIKQVIFSSMEKNNVPHTFWSSYLPSLIQQKGIAKRIASILSGYGLIAYQLGNHDSVLNHNEQHEKFDAHRLNSTGSHPKGGLAHKLSGFRALAAGAPRSGQQHLIRCLLHGFVGHTVIHKLDLATMAQEGNGDILSGLTQILLKCLNLGRCIIYMPRIDLWAIDKFHEQEAEDHVLNVGTSKLGSTATKNIKTCSEVWNSLVDQMGSLLASVSISVLATSELKFQDLPSGVRHFFSTHVVDQCLASSEHTIPRFSVNVDSYFTWDEVIDACCLRISHDLVQQHVQLLHDRAHNNHDEQKEVFVPMEISAPGEHRSSGSKEASMLTKYPLNMDKHPSCGVSSREHPTQLGTCSAQQEPPTSNVEDKEDNTEKIDFNEKVATNRSNRIVKDSESLAIMAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLQSITADKSLSGGNNVLKGKEKIPSVRGLVAVGLLAYRGAYASVLEVCSEVRKVLELLVGQVRTKILEKRSRYRYFHILSQVAYLDDIMSSWAYTFQRLHSENRRVKTSPKVTVGKSSTRECQGDSNTAEANILGAPAGCSEAQGTPGQHTDDLEVIPAHCPSEMQENSVQHAPGHLEIHDIVCDLDNDNVTSISSINAVEPDLIHSASLDVHTDSLTPADAVINDGQSCGVDNDGQMSRVINGEENRISNIERPESHTVSVADFNELQRKNAVASSTSTDSAGTSRNMVSSEARGSDNERNTDFPVDDVKLGHLVNPQSQDTMKSLSVLKPPCLYKCCSVCFNAVYKMVHDILSNSVRPSLHCLAVDDMHDLLSSWSVNLLATVRKWYTSQGIVGSEENSGEGHCVCSSDNGCVPRECTCHLESNEDAGTIKDDSYYLSGQPLSFFFKDGVLIPPDITAPTTLHCSYMRLCVCSIPGSISMFNRISS >ONIVA01G29140.3 pep chromosome:AWHD00000000:1:25535004:25546119:1 gene:ONIVA01G29140 transcript:ONIVA01G29140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRPKRRRGGSARSRGRKKQKRLDAIHDVAPVSAPPAGAAVVVVGGGESEDSDAEGPRRSTRVRRAPALLDTSPLPSPRRKRARGGGGVAGSSGGSSRRRSRGRARGEAGVAREIGEEEEEEEDDEAGNVVWRSRLRDRVKGKAKLDKRVRSLWFDEDYEVELKEEEEVVEEEEEEDEEEGDEEEEEEQVRTLVVDLREGAEEETMGEGSGSLPMRGREVRDREINLTIDLNVEEHEAVEGVNVVEEEDGEKGGEADEEKGDAIGPGNDLHEGKHEEVGDEEGLHEKERTEELGSAVLEGRNGDELPCNENNAEDGTGSSHEHEHLLVQNEQTVEESNLCVEQQMELDGSSPSEQLKEVQQDVQTGGAPNVVLPEEAPKEGVRKFPVSEEKQGTMEIKEGRRCGLCGGGTDGRPPKVALHDTVDSDNEAYEGALPSEDPNYDMWDGFGDDPGWLGRLLGPIHDQFGIARVWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRMFLIACNDHRHYFQPQGDKYVELLRKMKIKKMKADIRKVSHDAWRKDIEAEEKWLENCGEDDEFLKREGKRLNRDLSRIAPVYIGGTSENEKAYCGWESVAGLSNVIQSMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPSRSRRQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKKWPSPISGAFLSVIASQTVGYAGADLQSICTQAAINALKRTCPLKEILLSAEKGFEHGRLPLPSILVEERDWLAALAAAPPPCSQREAGIAANDLVSSPLVSYLVPCLLKPLLHLFISLYLDERIWLPSSLLKAFASIKQVIFSSMEKNNVPHTFWSSYLPSLIQQKGIAKRIASILSGYGLIAYQLGNHDSVLNHNEQHEKFDAHRLNSTGSHPKGGLAHKLSGFRALAAGAPRSGQQHLIRCLLHGFVGHTVIHKLDLATMAQEGNGDILSGLTQILLKCLNLGRCIIYMPRIDLWAIDKFHEQEAEDHVLNVGTSKLGSTATKNIKTCSEVWNSLVDQMGSLLASVSISVLATSELKFQDLPSGVRHFFSTHVVDQCLASSEHTIPRFSVNVDSYFTWDEVIDACCLRISHDLVQQHVQLLHDRAHNNHDEQKEVFVPMEISAPGEHRSSGSKEASMLTKYPLNMDKHPSCGVSSREHPTQLGTCSAQQEPPTSNVEDKEDNTEKIDFNEKVATNRSNRIVKDSESLAIMAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLQSITADKSLSGGNNVLKGKEKIPSVRGLVAVGLLAYRGAYASVLEVCSEVRKVLELLVGQVRTKILEKRSRYRYFHILSQVAYLDDIMSSWAYTFQRLHSENRRVKTSPKVTVGKSSTRECQGDSNTAEANILGAPAGCSEAQGTPGQHTDDLEVIPAHCPSEMQENSVQHAPGHLEIHDIVCDLDNDNVTSISSINAVEPDLIHSASLDVHTDSLTPADAVINDGQSCGVDNDGQMSRVINGEENRISNIERPESHTVSVADFNELQRKNAVASSTSTDSAGTSRNMVSSEARGSDNERNTDFPVDDVKLGHLVNPQSQDTMKSLSVLKPPCLYKCCSVCFNAVYKMVHDILSNSVRPSLHCLAVDDMHDLLSSWSVNLLATVRKWYTSQGIVGSEENSGEGHCVCSSDNGCVPRECTCHLESNEDAGTIKDDSYYLSGQPLSFFFKDGVLIPPDITAPTTLHCSYMRLCVCSIPGSISMFNRISS >ONIVA01G29130.1 pep chromosome:AWHD00000000:1:25528446:25529189:-1 gene:ONIVA01G29130 transcript:ONIVA01G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVAVVVLLAMAAVAAAQAPGPAATPAAGATGPPNVTAVLEKGGQYTTFIRLMKETQQDTQLNSQLNNSFNGNGYTVFAPTDNAFNNLKPGTLNSLTQQQQVALVQGHVLPQFYSMDSFQTASNPVRTQASGTDGPYTLNITSTTNSIVNVSTGVVEVTVTNALSTVKPLAVYSVDKVLLPFELFGVKAPAAAPTASTAKPKKGGSTEAASGPAGAEDAEPTGAASARAVGWGVAGLAAVVGCLL >ONIVA01G29120.1 pep chromosome:AWHD00000000:1:25523528:25526770:1 gene:ONIVA01G29120 transcript:ONIVA01G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58740) TAIR;Acc:AT5G58740] MAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCAIQATHVEVGIRGNPPYLNHDLTLPVKTDSSFWTIEDGEMHITLQKREKGKTWSSPIQGQGSLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFTGTCPDPRTFMGGIRSD >ONIVA01G29120.2 pep chromosome:AWHD00000000:1:25524109:25526770:1 gene:ONIVA01G29120 transcript:ONIVA01G29120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58740) TAIR;Acc:AT5G58740] MTSLDMAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCAIQATHVEVGIRGNPPYLNHDLTLPVKTDSSFWTIEDGEMHITLQKREKGKTWSSPIQGQGSLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFTGTCPDPRTFMGGIRSD >ONIVA01G29120.3 pep chromosome:AWHD00000000:1:25524109:25526770:1 gene:ONIVA01G29120 transcript:ONIVA01G29120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58740) TAIR;Acc:AT5G58740] MTSLDMAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCAIQATHVEVGIRGNPPYLNHDLTLPVKTDSSFWTIVISIFCCSMNLDNGYITIQRMVKCTSHCKKERRGKHGHLQYKAKNPGFDFSQAQFTGTCPDPRTFMGGIRSD >ONIVA01G29110.1 pep chromosome:AWHD00000000:1:25513613:25514056:-1 gene:ONIVA01G29110 transcript:ONIVA01G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSSTRPWLRPEPAASVVDVVKVETTTAVAGRGGEAEVVGEEEAAEVRRAVAESPVLVVGRRGCCLIHVVKRLLQGLGVNPAVHEVAGEAALKGVVPAGGEAAALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAGALWL >ONIVA01G29100.1 pep chromosome:AWHD00000000:1:25504729:25505584:-1 gene:ONIVA01G29100 transcript:ONIVA01G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTVVFANMYQRPDMITPGVDAQGQPIDPCQMQEHFEDFYEDIFEELSKFGEIENLNVCDNLADHMIGNVYVQFREEDQAAAAHTALQGRFYSGRPIIVDFSPVTDFREATCRQLGLGRDLRKKLFGHYRKPQRGRSRSPSPSPSPRHRRERHDRDDYRGRDDYSGSGGRRGGSSRHERHDDGGRRRHGGSPPRRARSPVRESSEERRAKIEQWNRERDEKQG >ONIVA01G29090.1 pep chromosome:AWHD00000000:1:25490799:25499606:-1 gene:ONIVA01G29090 transcript:ONIVA01G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSRNENAGESARGFIKRKNAAVAGSYHCANGFASSSSSHASLNPTRRPWDPSFESNVLPNTASYNPSEYHSQTSWPSMEGSSIPSNGFNLMGAHPESAQHGNYAFPTSHISQCFQPTSNTWISQSANGIADGIPQWEYVNGMNNAPGMTETVNGSFREYQNGPSTLCRGPLPYFHQHAGMHAHNLLDHTQVQAPYQQCHNNPVLHGVNHSGNRFHLGPRIPVLFSNSERTFGPPHHPLLANPMNHRNIRILPPEHATIMDFSRLYEVSNVVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLAKSYIVEKLKTSLFVPGSSCMSNKSSESSMENDACIICQEEYQVKECIGTLDCGHRYHEDCIKQWLMVKNLCPICKTTALSTGRRSG >ONIVA01G29090.2 pep chromosome:AWHD00000000:1:25490799:25499606:-1 gene:ONIVA01G29090 transcript:ONIVA01G29090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRNTVCTPQVIDLETEQGHSHIHSESFNRTGNDSSDQGAQHAVRGVGNATNIGLSDMRSYYDAGMNHPHQPVHNLPPNLGVDSGFVFPSSMYNPCMSTTSMNQYVSHTQSFGLPSNQVVLGSMDEGSRNENAGESARGFIKRKNAAVAGSYHCANGFASSSSSHASLNPTRRPWDPSFESNVLPNTASYNPSEYHSQTSWPSMEGSSIPSNGFNLMGAHPESAQHGNYAFPTSHISQCFQPTSNTWISQSANGIADGIPQWEYVNGMNNAPGMTETVNGSFREYQNGPSTLCRGPLPYFHQHAGMHAHNLLDHTQVQAPYQQCHNNPVLHGVNHSGNRFHLGPRIPVLFSNSERTFGPPHHPLLANPMNHRNIRILPPEHATIMDFSRLYEVSNVVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLAKSYIVEKLKTSLFVPGSSCMSNKSSESSMENDACIICQEEYQVKECIGTLDCGHRYHEDCIKQWLMVKNLCPICKTTALSTGRRSG >ONIVA01G29090.3 pep chromosome:AWHD00000000:1:25490801:25494611:-1 gene:ONIVA01G29090 transcript:ONIVA01G29090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRNTVCTPQVIDLETEQGHSHIHSESFNRTGNDSSDQGAQHAVRGVGNATNIGLSDMRSYYDAGMNHPHQPVHNLPPNLGVDSGFVFPSSMYNPCMSTTSMNQYVSHTQSFGLPSNQVVLGSMDEGSRNENAGESARGFIKRKNAAVAGSYHCANGFASSSSSHASLNPTRRPWDPSFESNVLPNTASYNPSEYHSQTSWPSMEGSSIPSNGFNLMGAHPESAQHGNYAFPTSHISQCFQPTSNTWISQSANGIADGIPQWEYVNGMNNAPGMTETVNGSFREYQNGPSTLCRGPLPYFHQHAGMHAHNLLDHTQVQAPYQQCHNNPVLHGVNHSGNRFHLGPRIPVLFSNSERTFGPPHHPLLANPMNHRNIRILPPEHATIMDFSRLYEVSNVVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLAKSYIVEKLKTSLFVPGSSCMSNKSSESSMENDACIICQEEYQVKECIGTLDCGHRYHEDCIKQWLMVKNLCPICKTTALSTGRRSG >ONIVA01G29080.1 pep chromosome:AWHD00000000:1:25488591:25490147:1 gene:ONIVA01G29080 transcript:ONIVA01G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLTAATSSAPRHATTLHTPFFSFFPPKIQSNLARKEERTRRAAPSRVGIIEGKWCTRSLHPAQRAGEAERIGGSVGCGLARSRGSRGGGDGGGGGVQGGGGVRLPVQGGADRGQRRGEVEPAVAVRAGRVQPGDQVHHRRRVRHQDRPRRRQARQGPDLGHRRPREVPRHHERLLPRRGGRAGGVRRDAPHHVRERGAVAQGAPRPHGRQHRRHARGQQGRPAPPPRRPRGGRQGVRRGARDLLHGDVGAGGHQRGGRLHRGARADLPRRQSERARHRRRPRRAAPGADHRRQRQG >ONIVA01G29070.1 pep chromosome:AWHD00000000:1:25487165:25487491:-1 gene:ONIVA01G29070 transcript:ONIVA01G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVGGAERSGDKQEAGLRRSGERADEAEKNEGGAKDTERGKKERWGSGGGGAVGARQPHRSAVVAATARAGRHPLQPPTLMDLNSSDRGGSEKMAATSGRDHQARV >ONIVA01G29060.1 pep chromosome:AWHD00000000:1:25475927:25479591:-1 gene:ONIVA01G29060 transcript:ONIVA01G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFRSKHATQPWQTQPDMAGSPPSLLSGSSAGSAGGGGYSLKSSPFSSVGEERVPDPKPRWNPRPEQIRILEAIFNSGMVNPPRDEIPRIRMQLQEYGQVGDANVFYWFQNRKSRSKNKLRSGGTGRAGLGLGGNRASAPAAAHREAVAPSFTPPPPILPAPQPVQPQQQLVSPVAAPTSSSSSSSDRSSGSSKPARATSTQAMSVTTAMDLLSPLAAACHQQMLYQGQPLESPPAPAPKVHGIVPHDEPVFLQWPQSPCLSAVDLGAAILGGQYMHLPVPAPQPPSSPGAAGMFWGLCNDVQAPNNTGHKSCAWSAGLGQHWCGSADQLGLGKSSAASIATVSRPEEAHDVDATKHGLLQYGFGITTPAVHVDVTSSAAGVLPPVPSSPSPPNAAVTVASVAATASLTDFAASAISAGAVANNQFQGLADFGLVAGACSGAGAAAAAAAPEAGSSVAAVVCVSVAGAAPPLFYPAAHFNVRHYGDEAELLRYRGGSRTEPVPVDESGVTVEPLQQGAVYIVVM >ONIVA01G29050.1 pep chromosome:AWHD00000000:1:25465223:25469058:1 gene:ONIVA01G29050 transcript:ONIVA01G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPPARLPARGADLPRSGGAAAAGTGGRGGGGGRGRRAWWRGRCCRRRKQARAGAARAVAGLLRQDVPGRRPDRRQRHRAAVPRQPRRRPRRAPPLLPRLLRRRVRRVHTDRADGQQRRRGAEGGEGHGGEPEPAAGGVRHGGDGQGRRGEGVPRRRHLRRRPRARRPGLRPPGRWALLRGEEGSEGQQGVPGGQGAGQPPARQLHRGRAPPRVRRQGPRRRRPRGALGRAHRRVRALRPLPGPPLRLRRDAAAGPGDGRAAGEGAAHVVPLHRRQRPRGGALRREHPVPVRPRLLRQPAGEAGTPRLRPGAVPRPAHQAARRGARRRQGAVLPGVRGQHGQDGLRPGQEGQEGGGQASLQPAPVLNVYWSKLGRSHCLDHSITRSHLALQELSFAVNCHISESISSSVLFFSFVGCTSARLCRDC >ONIVA01G29040.1 pep chromosome:AWHD00000000:1:25458715:25462399:-1 gene:ONIVA01G29040 transcript:ONIVA01G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxalase II 3 [Source:Projected from Arabidopsis thaliana (AT1G53580) TAIR;Acc:AT1G53580] MVALLRSCRRLIPHLSACAAAAPSSSSSCAPRARPISRGLRLLPVVLAMAGYSSGSAAEGRRLLFRQLFEKESSTYTYLLADVGDPEKPAVLIDPVDRTVDRDLNLIKELGLKLVYAMNTHVHADHVTGTGLIKTKLPGVKSVIAKVSKAKADHFIEHGDKIYFGNLFLEVRSTPGHTAGCVTYVTGEGDDQPSPRMAFTGDALLIRACGRTDFQGGSSDELYESIFTLPKDTLLYPGHDYKGFTVSTVEEEVAYNARLTKDKETFKKIMDNLNLAYPKMIDVAVPANLLCGIQDPPPSKV >ONIVA01G29040.2 pep chromosome:AWHD00000000:1:25458715:25462399:-1 gene:ONIVA01G29040 transcript:ONIVA01G29040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxalase II 3 [Source:Projected from Arabidopsis thaliana (AT1G53580) TAIR;Acc:AT1G53580] MVALLRSCRRLIPHLSACAAAAPSSSSSCAPRARPISRGLRLLPVVLAMAGYSSGSAAEGRRLLFRQLFEKESSTYTYLLADVGDPEKPAVLIDPVDRTVDRDLNLIKELGLKLVYAMNTHVHADHVTGTGLIKTKLPGVKSVIAKVSKAKADHFIEHGDKIYFGNLFLEVRSTPGHTAGCVTYVTGEGDDQPSPRMAFTGDALLIRACGRTDFQGGSSDELYESVSTVEEEVAYNARLTKDKETFKKIMDNLNLAYPKMIDVAVPANLLCGIQDPPPSKV >ONIVA01G29030.1 pep chromosome:AWHD00000000:1:25455514:25457727:-1 gene:ONIVA01G29030 transcript:ONIVA01G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELAKSFFQSLSRLSRVASKPSPHHRGMAGEMPDADGKPRSASSGFQPSAPPQPQAQQYQYGTFGAPSSAPGEVPQPAVGFPQPAPPPGLRHYPQPPPPSYAVYPPLPPQTYPAAAPYYVQGYQAVQGYIPVVEGRPVRMRRLPFCGLGMGWFLFIIGFFLAAIPWYIGAFVLICVRVHDYREKPGYVACTIAASLAAIAILLGVTRGEEIW >ONIVA01G29020.1 pep chromosome:AWHD00000000:1:25452950:25454158:-1 gene:ONIVA01G29020 transcript:ONIVA01G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASRPRRQCRAPRRLDGGGGGSMDVHPSELLPRSRCTAPRRLDDDEMDVHPSEQELIETYLRPRVVSGDKPPPSSSSCGFIIHEADVYSADPADLTRGFAPAVARSSGDEAWYFFSAVRGLKGGRKARTVDDGAGCWHSEAGAKPVLAASSGRRLGHRQSFSFITKDDDGQRVRSGWLMVELSLDVDEEEQLVLSKVYFSPRAPGAKKPTTAAAMSRHKRKLSTTDIASPPRRQRRHRVVPSSPPEEPNTSPSPAAAPPDQQEGGDDDPDRGSISWWLRRLFGLTATFTEEESIELNPWLKDILRPFPPPLPPTPPPPCPSPRRKLIDMPEIREFIMRGSYLGGGPAPPRYECDHPAMVMTGGDDQQQLDEQRRDDVGDDRAHYDRVDGQLQFERHYLQM >ONIVA01G29010.1 pep chromosome:AWHD00000000:1:25450624:25452133:-1 gene:ONIVA01G29010 transcript:ONIVA01G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQP2] MVAFRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHNGSIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >ONIVA01G29000.1 pep chromosome:AWHD00000000:1:25441096:25452105:1 gene:ONIVA01G29000 transcript:ONIVA01G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuoleless1 (VCL1) [Source:Projected from Arabidopsis thaliana (AT2G38020) TAIR;Acc:AT2G38020] MGYRVELVPIRLDPHVRGLTVPSRSPRQSTSFLTAHLARDAAAASPPAMSSSVSVAAEWDLLSDRFYRRITVYSPLPWSPPSAAAASSSSTSGGGGGGGGSGGVLGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLYSSSGHPIASSPWPPQLPRLHSLAFSSSLNLVALLSDGSLLRFRLPDLKPNPSPTPVPLLPTSSGGVADAAFWGGGVAVLTEDNRVVVTTDIDAADPHPREFADPCVGQDEQVLCMAVVEPQFVMSGSPEVLLAVGDRVLAVDEDDVQTLGLELEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSKIIFEYECDSALPPDQIAWCGLDSVLLYWSEALLMVGPNGDPVLYNYDEPIKLIPECDGVRILSNSNMEFLHRVPDSTTSIFGIGSMSPAALLYDARDHYDKQSAKAYDNYQLISSSLPEAIEACIDAAGHEFDISRQHALLRAATYGLAFCSQFPHERFQEMCKTLRVLNAVRDPQIGMPLTIQQYKLLTAPVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKITASAAIPDTVLLEGLLDKLRLCKGISYAAVAAHADNSGRRKLAAMLIPLLLSIDEQDKALSKAIESGDTDLVYLVLFHIWQKVAVEKSAPLDFFGVINARPLARDLFMAYARHSKHEALKDFFLSTGRLQDAAFLLLKESRELERNPMASKGSPLHGPQVRLIEQAHRLFAETKEHVFESKASEEHAKLLRSQHELEVSTKQAIFVGSSVSDTIKTCIAMGNERAALKVKSEFKVPDKRWYWLKSCALATVGNWDALEKFSKERRPPGGYKPFVEACIDAGQKTEALKHMHGSRWQRKLQKQLHRSKIVMSYLVA >ONIVA01G29000.2 pep chromosome:AWHD00000000:1:25441096:25452123:1 gene:ONIVA01G29000 transcript:ONIVA01G29000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuoleless1 (VCL1) [Source:Projected from Arabidopsis thaliana (AT2G38020) TAIR;Acc:AT2G38020] MGYRVELVPIRLDPHVRGLTVPSRSPRQSTSFLTAHLARDAAAASPPAMSSSVSVAAEWDLLSDRFYRRITVYSPLPWSPPSAAAASSSSTSGGGGGGGGSGGVLGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLYSSSGHPIASSPWPPQLPRLHSLAFSSSLNLVALLSDGSLLRFRLPDLKPNPSPTPVPLLPTSSGGVADAAFWGGGVAVLTEDNRVVVTTDIDAADPHPREFADPCVGQDEQVLCMAVVEPQFVMSGSPEVLLAVGDRVLAVDEDDVQTLGLELEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSKIIFEYECDSALPPDQIAWCGLDSVLLYWSEALLMVGPNGDPVLYNYDEPIKLIPECDGVRILSNSNMEFLHRVPDSTTSIFGIGSMSPAALLYDARDHYDKQSAKAYDNYQLISSSLPEAIEACIDAAGHEFDISRQHALLRAATYGLAFCSQFPHERFQEMCKTLRVLNAVRDPQIGMPLTIQQYKLLTAPVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKITASAAIPDTVLLEGLLDKLRLCKGISYAAVAAHADNSGRRKLAAMLIPLLLSIDEQDKALSKAIESGDTDLVYLVLFHIWQKVAVEKSAPLDFFGVINARPLARDLFMAYARHSKHEALKDFFLSTGRLQDAAFLLLKESRELERNPMASKGSPLHGPQVRLIEQAHRLFAETKEHVFESKASEEHAKLLRSQHELEVSTKQAIFVGSSVSDTIKTCIAMGNERAALKVKSEFKVPDKRWYWLKSCALATVGNWDALEKFSKERRPPGGYKPFVEACIDAGQKTEALKHMHGSRWQRKLQKQLHRSKIINQTHGGGHIHRSNGCLP >ONIVA01G28990.1 pep chromosome:AWHD00000000:1:25429943:25432467:1 gene:ONIVA01G28990 transcript:ONIVA01G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFPPSSATRLQAVDAAAVRSSRVPPRAAAVSPVQRSLGAGCKAAAAARQEGATQDPAISVSSARTQLDLLEQLTTPTSDGIGVENGAPTEPRVQTTIREQLSAVIGDRDGEYTLPLGKKLKEGLKKLNSLTVSQRRNIKRQALLTKVSGRNDSVFFATVGAFVLVPPLAILAIAVLTGYVQLLP >ONIVA01G28990.2 pep chromosome:AWHD00000000:1:25429943:25431440:1 gene:ONIVA01G28990 transcript:ONIVA01G28990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFPPSSATRLQAVDAAAVRSSRVPPRAAAVSPVQRSLGAGCKAAAAARQEGATQDPAISVSSARTQLDLLEQLTTPTSDGIAYVATNHNGSSGVENGAPTEPRVQTTIREQLSAVIGDRDGEYTLPLGKKLKEGLKKLNSLTVSQRRNIKRQALLTKVSGRNDSVFFATVGAFVLVPPLAILAIAVLTGYVQLLP >ONIVA01G28980.1 pep chromosome:AWHD00000000:1:25424010:25429671:-1 gene:ONIVA01G28980 transcript:ONIVA01G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGESGPSASAKDTDAAQRAQEQEPGKQGGATRERRMESLGWLTESAVMPKKHKAIEGVGAASILDLKAQLYRTQEEARKPTAHDAAAAAAASGEFRRAKKRAAPGDPLGAKNSGVDARAHKDKLELKAVKDGSVSYAALEKKAELYEKLSRGEIPDEEDKEKYCVDFFQKSFDHVYEPRQPESQSVIDRAEPENDNDDSMSSAKPVGLGRTGTTIDRDEHKRFVREVHEEVSEARQKASTMKSRRQEQEAARREKLKQAYLKKRLEKLLAEKRATSATDDQPASYSLALSVILSKSAHKFLGPNCISSRLLSCELCVLFPASLRALEAERNGMAKTAPEQKAATPAAAAASPFEFHVYGPRNLSSTTWRDLLRSSWKNANYRRMVIACFIQGVYLLELDRQERRDERTGALAPQWWRPFKYRLAQALVDERDGSVYGAVLEWDRQAALCDYIPFRPAGAPAAVVALRGTLLRAPTFRRDVTDDLRFLAWDSLKGSVRFAGALAALRAAAGRLGAGSVCVGGHSLGAGFALQVGKALAKEGVFVECHVFNPPSVSLAMSLRGFAETAGELWGRVRSWIPYYGGSSSSSSQAADAGGGGESEEAKAMCRWLPHLYINTNDYICCYYNDAAAGTATVAAGGGGGGSASGKAVAAAAAVRGTGGGGARVARMLVASKGPTKFLEAHGLEQWWADDVELQVALNHSKLIDRQLRSLYAAPPAAAS >ONIVA01G28980.2 pep chromosome:AWHD00000000:1:25427372:25429671:-1 gene:ONIVA01G28980 transcript:ONIVA01G28980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGESGPSASAKDTDAAQRAQEQEPGKQGGATRERRMESLGWLTESAVMPKKHKAIEGVGAASILDLKAQLYRTQEEARKPTAHDAAAAAAASGEFRRAKKRAAPGDPLGAKNSGVDARAHKDKLELKAVKDGSVSYAALEKKAELYEKLSRGEIPDEEDKEKYCVDFFQKSFDHVYEPRQPESQSVIDRAEPENDNDDSMSSAKPVGLGRTGTTIDRDEHKRFVREVHEEVSEARQKASTMKSRRQEQEAARREKLKQAYLKKRLEKLLAEKRATSATDDQPAS >ONIVA01G28980.3 pep chromosome:AWHD00000000:1:25424010:25426221:-1 gene:ONIVA01G28980 transcript:ONIVA01G28980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAPEQKAATPAAAAASPFEFHVYGPRNLSSTTWRDLLRSSWKNANYRRMVIACFIQGVYLLELDRQERRDERTGALAPQWWRPFKYRLAQALVDERDGSVYGAVLEWDRQAALCDYIPFRPAGAPAAVVALRGTLLRAPTFRRDVTDDLRFLAWDSLKGSVRFAGALAALRAAAGRLGAGSVCVGGHSLGAGFALQVGKALAKEGVFVECHVFNPPSVSLAMSLRGFAETAGELWGRVRSWIPYYGGSSSSSSQAADAGGGGESEEAKAMCRWLPHLYINTNDYICCYYNDAAAGTATVAAGGGGGGSASGKAVAAAAAVRGTGGGGARVARMLVASKGPTKFLEAHGLEQWWADDVELQVALNHSKLIDRQLRSLYAAPPAAAS >ONIVA01G28970.1 pep chromosome:AWHD00000000:1:25420194:25425264:1 gene:ONIVA01G28970 transcript:ONIVA01G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQELGRALGRHQHPRHARAAAAGPSHRRSRRHRLAARAPASSSSGRHGRRPGRRVVVVAADVVVGVDVQVWQPPAHRLRLLALAAAAGVRGLGGGGGGATVVGDPRPHAPPQLAGGLGEAPEAHGQRHGRRVEDVALHEDALLGERLPHLQREPGAQRVAADAHAPGAEPARGGAQRGERAGEADGALEAVPREEPEVVGDVAAERGRPEERAPQRHDGGGRAGGAEGDVVAERGLAVPLEHGAVDGAVALVDERLGEPVLEGAPPLRRQGAGALVAPLLPVELQQVDALDEARDHHSPVVGVLPACAAQRQQREKPL >ONIVA01G28960.1 pep chromosome:AWHD00000000:1:25410307:25413764:-1 gene:ONIVA01G28960 transcript:ONIVA01G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADARAAPPSCPFPTIRSHGAAVARSHAYDWLALLLLVAVDGLLNAIEPFHRFVGAGMMTDLRYPMKRNTVPIWAVPIVAVIGPMIVFTVVYFRRRNVYDLHHAVLGILFSVLITGVLTDAIKDAVGRPRPNFFWRCFPDGIAVFDNVTTGVICHGDASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLAPLLVAAMVAISRVDDYWHHWQDVCTGGVLGLVVASVCYLQFFPAPSDEKGFWPHAHFRYITERGSENPTQQATEPLDAMETGRGGQ >ONIVA01G28950.1 pep chromosome:AWHD00000000:1:25404049:25404597:1 gene:ONIVA01G28950 transcript:ONIVA01G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGAEAEIAAAVAPAATDECGGKAAAMGGVKQRRGGGGGGGWVKRMMTTTTAVPRRRGHYTPVGVEVELHGSAAAGADEEKPPRRRGGWLRRMMVPRECVHGRQQRWWKLQAGGGGGGGSSSRLAAGLTRSLSRWKTAGSGGWATAVADAVAFRVMYVVEAVVLGLALSCFFCCCGCQI >ONIVA01G28940.1 pep chromosome:AWHD00000000:1:25370430:25374566:-1 gene:ONIVA01G28940 transcript:ONIVA01G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVHWRRAGDPLHMGGEPRARAEAAWAAALPAALVALVRDTATYTRMMHRLRMETPRGPTCQLDPCCCCCTAHVIPPPPPVSRTHATRRDAEASVPPPPASAAVSSRSDGTGQMAAGVTLACAAPPPLRAPRASDGGRRRGVVKGGAGTDTCRSAATAKNHEHGQRRREAAVDPAMSGEYQFQDELAPLFARPGGGAGEMQMLPSSWFADYLQAGTPMQMDYDLMCRALELPVGEDVKREVGVVDVVAAGGGGAPPLTPNTTSSMSTSSSEGVGGGGGGGAGAGAGEEESPARCKKEEDENKEEGKGEEDEGHKNKKGSAAKGGKAGKGEKRARQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSYQDPAVVITTYEGKHTHPIPATLRGSTHLLAAHAQASAAAAAAQQLHHHHGHHGHHGMAPPLPLGSGAAAQFGRSSGIDVLSSFLPRAAAAHHGMTTMGGAAATTTTSHGLNSAISGGGGVSSETTSAVTVAASAQPSSPAALQMQHFMAQDLGLLQDMLLPSFIHGTNQP >ONIVA01G28930.1 pep chromosome:AWHD00000000:1:25359288:25367622:1 gene:ONIVA01G28930 transcript:ONIVA01G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G17160) TAIR;Acc:AT1G17160] MPTLDARLRLPLAGLSPATTSFPGSTPKPGSLSFALRPPSASLSSSASAPAAAAPPIVVVGSANADIYVEVDRLPLVGETVAARAGRSLAGGKGANQAACGGRLAAGPTYLVARVGDDANGRLLEGALADAGGVRLDRVARAPGAPSGHAVVMLMPGGQNSIIIVGGANMEGWASGVGSDDLDLIRRAGVLLLQREIPDWVNVQVAQAAKGAGVPVILDAGGMDAPVPGELLSLVDIFSPNETELARLTGMPTETFEQISRAAGACHKMGVKEVLVKLGSQGSALFIEGGEPIRQPIIPATEVVDTTGAGDTFTSAFAVALVEGKPKEECMRFAAAAASLCVQVKGAIPSMPDRKSVMDLLESVQPPFILNNGARGQIRRIQ >ONIVA01G28930.2 pep chromosome:AWHD00000000:1:25359288:25365837:1 gene:ONIVA01G28930 transcript:ONIVA01G28930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G17160) TAIR;Acc:AT1G17160] MPTLDARLRLPLAGLSPATTSFPGSTPKPGSLSFALRPPSASLSSSASAPAAAAPPIVVVGSANADIYVEVDRLPLVGETVAARAGRSLAGGKGANQAACGGRLAAGPTYLVARVGDDANGRLLEGALADAGGVRLDRVARAPGAPSGHAVVMLMPGGQNSIIIVGGANMEGWASGVGSDDLDLIRRAGVLLLQREIPDWVNVQVAQAAKGAGVPVILDAGGMDAPVPGELLSLVDIFSPNETELARLTGMPTETFEQISRAAGACHKMGVKEVLVKLGSQGSALFIEGGEPIRQPIIPATEVVDTTGAGDTFTSAFAVALVEGKPKEECMRFAAAAASLCVQVKGAIPSMPDRKSVMDLLESVQPPFILNNGARGQIRRIQ >ONIVA01G28930.3 pep chromosome:AWHD00000000:1:25359288:25364208:1 gene:ONIVA01G28930 transcript:ONIVA01G28930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G17160) TAIR;Acc:AT1G17160] MPTLDARLRLPLAGLSPATTSFPGSTPKPGSLSFALRPPSASLSSSASAPAAAAPPIVVVGSANADIYVEVDRLPLVGETVAARAGRSLAGGKGANQAACGGRLAAGPTYLVARVGDDANGRLLEGALADAGGVRLDRVARAPGAPSGHAVVMLMPGGQNSIIIVGGANMEGWASGVGSDDLDLIRRAGVLLLQREIPDWVNVQVAQAAKGAGVPVILDAGGMDAPVPGELLSLVDIFSPNETELARLTGMPTETFEQISRAAGACHKMGVKEVLVKLGSQGSALFIEGGEPIRQPIIPATEVVDTTGAGDTFTSAFAVALVEGKPKEECMRFAAAAASLCVQVKGAIPSMPDRKSVMDLLESVQPPFILNNVSCPPCSLSLHSMMYIWIFAA >ONIVA01G28920.1 pep chromosome:AWHD00000000:1:25353211:25357945:-1 gene:ONIVA01G28920 transcript:ONIVA01G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPLTTPASKAREPPEARRLRVAFPPERAAGEKGGRRGRRGAPLLSLCLSSATSRGSLLSGGGRARADGRRGRFGGWGRDSGLNSLELTHSREVAGLGLRVGLTVIPPEGFIVSSTTLLKMPALRMKRNFDNDVFRDEFDSKPTKSMKISHFQVSELEQSAVLNLPYKDPHDELDLTTQLAGQDIMIMEAASLDDALGGASLLKDLISEVAVSPNMENDMLVNYEDIRSQLNVVNYFDKDEDANSASYNSCTVNCHEESWGSNEGCSLLDIYNPDDAFSFLLDTPSEFLGSFTALYDEVVPIDSLVNISGRCGVFPLTESTTEASIGNEPCRSEGDMLFSNSEVLEWLNPHLAEEDLPNLVDFTELNSHAVSKEQGARKVTLVLDLDETLVHSTTEQCDDYDFTFPVFFDMKEHMVYVRKRPHLHMFLQKMAEMFEVVIFTASQSVYADQLLDILDPEKKLFSRRYFRESCVFTNTSYTKDLTVVGVDLAKVVIIDNTPQLQVNNGIPIESWFSDDSDEALPQLIPFLETLASADDVRPIIAKKFGDKKDIVEIFQ >ONIVA01G28920.2 pep chromosome:AWHD00000000:1:25353211:25357945:-1 gene:ONIVA01G28920 transcript:ONIVA01G28920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPLTTPASKAREPPEARRLRVAFPPERAAGEKGGRRGRRGAPLLSLCLSSATSRGSLLSGGGRARADGRRGRFGGWGRDSGLNSLELTHSSTTLLKMPALRMKRNFDNDVFRDEFDSKPTKSMKISHFQVSELEQSAVLNLPYKDPHDELDLTTQLAGQDIMIMEAASLDDALGGASLLKDLISEVAVSPNMENDMLVNYEDIRSQLNVVNYFDKDEDANSASYNSCTVNCHEESWGSNEGCSLLDIYNPDDAFSFLLDTPSEFLGSFTALYDEVVPIDSLVNISGRCGVFPLTESTTEASIGNEPCRSEGDMLFSNSEVLEWLNPHLAEEDLPNLVDFTELNSHAVSKEQGARKVTLVLDLDETLVHSTTEQCDDYDFTFPVFFDMKEHMVYVRKRPHLHMFLQKMAEMFEVVIFTASQSVYADQLLDILDPEKKLFSRRYFRESCVFTNTSYTKDLTVVGVDLAKVVIIDNTPQLQVNNGIPIESWFSDDSDEALPQLIPFLETLASADDVRPIIAKKFGDKKDIVEIFQ >ONIVA01G28910.1 pep chromosome:AWHD00000000:1:25345586:25355905:1 gene:ONIVA01G28910 transcript:ONIVA01G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQM5] MAMQTMQTEQQQQQRRKGSPEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDTVTRIRNEKARRYLSSMRKKQPVPFSERFPKADPAALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKAEREPSCQPITKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTNFLYPSALDNFRRQFANLEENGGKNGDAVPSDRKHVSLPRTTTVHSAPIPPKDHQNITSQVPQRIPGRTGRGACPVIPFENLSAMGPYNQRRVVRNPVLPPASTNLSAYAYHRKSDSSERELQQELEKDRMRYQPSEHFMDAKVVSHMSHDLRASSYYVSKAKSDVADRAALQSNMMQGIGPFNGIAAVGGNYNKVSTVQYGVSRMY >ONIVA01G28910.2 pep chromosome:AWHD00000000:1:25345042:25355905:1 gene:ONIVA01G28910 transcript:ONIVA01G28910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQM5] MAMQTMQTEQQQQQRRKGSPEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDTVTRIRNEKARRYLSSMRKKQPVPFSERFPKADPAALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKAEREPSCQPITKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTNFLYPSALDNFRRQFANLEENGGKNGDAVPSDRKHVSLPRTTTVHSAPIPPKDHQNITSQVPQRIPGRTGRGACPVIPFENLSAMGPYNQRRVVRNPVLPPASTNLSAYAYHRKSDSSERELQQELEKDRMRYQPSEHFMDAKVVSHMSHDLRASSYYVSKAKSDVADRAALQSNMMQGIGPFNGIAAVGGNYNKVSTVQYGVSRMY >ONIVA01G28900.1 pep chromosome:AWHD00000000:1:25335123:25337857:1 gene:ONIVA01G28900 transcript:ONIVA01G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYVWIKDGYPKDSKFKPSYTRMFE >ONIVA01G28890.1 pep chromosome:AWHD00000000:1:25327663:25328886:1 gene:ONIVA01G28890 transcript:ONIVA01G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATGGAGARGWRRQAEAETGGGVWATVVKVEAATGGEVWAAVVKAAVTESATSDITGSTSTIAGSGVRCGMGGGWRRGCSGGGRQRGCRQRGCRGQREQRRHGSGGGGTWRSVEARPAMWRPARPVEMRSAAWHGGRLGSVESGASRGVWRPAQQRGDAAGSGRPPSFDDRSLGRLGRFWSVQQGSVGDGRLRRGLNACETSWTIVWPSMWGACVDAGESLAVPLASSMMTTPLAPFPFLKALSWRSAICPTNLQVKTLVRLRTSGDGVTRRVLLGGVASGKFLTSMTIDGPFGSKAFFPWHSARPKPLGSASFYGGRHTLRLLLRMKSELLAVGVWRRLATMTRCSLFQRVGAGHVEEVAL >ONIVA01G28880.1 pep chromosome:AWHD00000000:1:25320560:25325091:1 gene:ONIVA01G28880 transcript:ONIVA01G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMPSDEATGQVRLEGDVSDKKEEKTQEQSEASGMPSPQEEEAAIKKKYGGILPKRTPHITKDHDRAYFDSADWALGKQGGSHKPKGPLEALRPKLQPTQQHARARRTPYASADNDECMNLPPEDLIQNGDPIEDKNKEEQ >ONIVA01G28880.2 pep chromosome:AWHD00000000:1:25320560:25325091:1 gene:ONIVA01G28880 transcript:ONIVA01G28880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMPSDEATGQVRLEGDVSDKKEEKTQEQSEASGMPSPQEEEAAIKKKYGGILPKRTPHITKDHDRAYFDSADWALGKQGGSHKPKGPLEALRPKLQPTQQHARARRTPYASADNDECMNLPPEDLIQNGDPIEDKNKEEQ >ONIVA01G28880.3 pep chromosome:AWHD00000000:1:25320560:25325091:1 gene:ONIVA01G28880 transcript:ONIVA01G28880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMPSDEATGQVRLEGDVSDKKEEKTQEQSEASGMPSPQEEEAAIKKKYGGILPKRTPHITKDHDRAYFDSADWALGKQGGSHKPKGPLEALRPKLQPTQQHARARRTPYASADNDECMNLPPEDLIQNGDPIEDKNKEEQ >ONIVA01G28870.1 pep chromosome:AWHD00000000:1:25317928:25319070:1 gene:ONIVA01G28870 transcript:ONIVA01G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGDSDRVNLEPSFYDEAETVAEAAAAAERLEHEKQERETCPTSTSTRDAGIVTIVAQAGDGVNYYRRTIDFTLAVNGGEEAQIVCGVTSLLVKVNWSLMSPVIDLK >ONIVA01G28860.1 pep chromosome:AWHD00000000:1:25306685:25309576:-1 gene:ONIVA01G28860 transcript:ONIVA01G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLANGDELKALQRIDMSSDSNSISSALSQTTPVLRLRVWVLIAIGIGILMAILFIIALWLSMRRKKKTAVGFDGRSHMEIPIVSKDINVDRVDAQSLHDSGTPIMPVQDKYEQMKGVSHLAESRSVDVDAFSQCSSVYNIEKAGSSYSEDYSSSGPGRKGSSSYGYASASPLVGLPELSHLGWGHWFTLRDLEYATNRFAKSNVLGEGGYGIVYKGRLMNGTEVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMSGGILTWENRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDDEFNSKVSDFGLAKLLNSDSSYINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLESVTARDPVDYSKPADETNLVEWLKMMISSKRAEEVVDPNLEIKPPKRALKRAILVGLKCVDPDADKRPKMSHVVQMLEAVQNAYRQDQKRPSSQMGSVDVESQQSAEEISNSADS >ONIVA01G28850.1 pep chromosome:AWHD00000000:1:25299116:25304780:1 gene:ONIVA01G28850 transcript:ONIVA01G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEGQQPHQVSGVSRAQDGVAKVSLGKEHVPGSELWTDGLICAFELIKSHKKLVQHKSWPTIDSMQEKEVPMHMKRHISRNRHHVATMKPEECDVVENPRQTEFANDPSLFKDRPVHVRAILDHKWVPIGWSRIAELVQRVQSDASWESEPAEMTDSEDDYTVADVAAPYWQRPVGPTWWCHVTAGHPSVDAWLNSAHWMHPAIRTALRDESRLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNREEEDIPIVLRSWQAQNFLVTAMHVKGPSSNINVLGVTEVQELLSAGGSQTPRSAHEVIAHLIGRLSRWDDRLFRKYVFGEADEIELKFVNRRNHEDLNLVSIILNQEIRRLATQVIRVKWSLHAREEIIIELLRHLRGNTTRVILDSIRKDTREMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRITHNLAIFGGGGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFVGFVLIGHEAEQHGKVREGLSRHSSSPKSSSASNVDYVLIS >ONIVA01G28850.2 pep chromosome:AWHD00000000:1:25299116:25305273:1 gene:ONIVA01G28850 transcript:ONIVA01G28850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEGQQPHQVSGVSRAQDGVAKVSLGKEHVPGSELWTDGLICAFELIKSHKKLVQHKSWPTIDSMQEKEVPMHMKRHISRNRHHVATMKPEECDVVENPRQTEFANDPSLFKDRPVHVRAILDHKWVPIGWSRIAELVQRVQSDASWESEPAEMTDSEDDYTVADVAAPYWQRPVGPTWWCHVTAGHPSVDAWLNSAHWMHPAIRTALRDESRLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNREEEDIPIVLRSWQAQNFLVTAMHVKGPSSNINVLGVTEVQELLSAGGSQTPRSAHEVIAHLIGRLSRWDDRLFRKYVFGEADEIELKFVNRRNHEDLNLVSIILNQEIRRLATQVIRVKWSLHAREEIIIELLRHLRGNTTRVILDSIRKDTREMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRITHNLAIFGGGGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFVGFVLIGVGILYLGLQNPVTNEKVKVRKLELQDLVSAFQHEAEQHGKVREGLSRHSSSPKSSSASNVDYVLIS >ONIVA01G28850.3 pep chromosome:AWHD00000000:1:25299116:25304780:1 gene:ONIVA01G28850 transcript:ONIVA01G28850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEGQQPHQVSGVSRAQDGVAKVSLGKEHVPGSELWTDGLICAFELIKSHKKLVQHKSWPTIDSMQEKEVPMHMKRHISRNRHHVATMKPEECDVVENPRQTEFANDPSLFKDRPVHVRAILDHKWVPIGWSRIAELVQRVQSDASWESEPAEMTDSEDDYTVADVAAPYWQRPVGPTWWCHVTAGHPSVDAWLNSAHWMHPAIRTALRDESRLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNREEEDIPIVLRSWQAQNFLVTAMHVKGPSSNINVLGVTEVQELLSAGGSQTPRSAHEVIAHLIGRLSRWDDRLFRKYVFGEADEIELKFVNRRNHEDLNLVSIILNQEIRRLATQVIRVKWSLHAREEIIIELLRHLRGNTTRVILDSIRKDTREMLEEQEAVRGRLFTIQDVMQSTVRAWLQPGFALLQDRSLRITHNLAIFGGGGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFVGFVLIGVGILYLGLQNPVTNEKVKVRKLELQDLVSAFQHEAEQHGKVREGLSRHSSSPKSSSASNVDYVLIS >ONIVA01G28840.1 pep chromosome:AWHD00000000:1:25256681:25262575:1 gene:ONIVA01G28840 transcript:ONIVA01G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein [Source:Projected from Arabidopsis thaliana (AT5G46390) TAIR;Acc:AT5G46390] MRLLPYAPSPRPPQRSLATRRTKPGPPCALPFPDALRAAAAATAAAMSISLSLLTGDAVGAERPRGPELCRDGAAAETKEEVRRSEVVTNEQLVEEAWEVVNEGFLPDAGSRPWSPEMWMKKKQDIVQTSIRSRSRAHDIIQKMLANLGDPYTRFLTPSEFSKMSKYDMTGIGLNLREIPDGNGSSKLMVLGLILDGPAHSAGVRQGDELLSVNGIDVMGKSAFDVSSMLQGPKDTFVTIKVKHGNCGPVEPLKVQRQLVARTPVFYRLEKRENEDSAIGYIHIKEFNAVAKKDLVSALKRLQNSGASYFVLDLRDNLGGLVQAGIETAKLFLNKGDTLKVTVHGYYIKMKVIYTAGRDRQVQNTIVAEREPLVTTPLMVLVNNRTASASEIVASALHDNCKAVLVGEKTFGKGLIQSVFELHDGSGIVVTVGKYVTPNHKDINGNGIEPDYRRIPDFNEATEYLSRCRSKELS >ONIVA01G28830.1 pep chromosome:AWHD00000000:1:25253976:25254743:-1 gene:ONIVA01G28830 transcript:ONIVA01G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSPRRPSLRTSARTPPQLKLSRRLLPPHVGTNAVRLPAAPLPSPLSALPPHPFSEHRSPASPPHTPARPRHGSFRVPSRHRRPASSAPLLLPRVVPSLLAEEVVGLALPNLHRVDVGERRGVLEHGVEAAESRGVVLAEERGWLAQHVQAPDDLLGEERRVLLLLVTVVFGPRLIAVGSPWRGARRGAVVGGPELLLLEEVALSFPDPPRGGVVLDLGSATCDGREEDGEGRKKTERKRMDGKGDGNGMVLTS >ONIVA01G28820.1 pep chromosome:AWHD00000000:1:25244919:25251151:-1 gene:ONIVA01G28820 transcript:ONIVA01G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGGGGGGRGAAAGPVPGSARKLVQGLKEIVNRPDAEIYAALRDCGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVNKEALEPKSRGAVNSNSRATRAGADRSGRSSSVQSGSSGADNMSSRSSILGPGVLATNSTQKQTVPSSSVNKDVLHDGSFGAMQPSSGFQNSWCGVPGQMSMADIVKMGRPQVRSSSKPVASTDKAYSGQTSSFSSVVNQNPNKSASTAPPTTFEQRFPVLQDPIPQVKNSSHASADNHETQESDWFQQDGTLPGSQLTVPETSRDISLPVASLESSTLVADDANSYNNSHVGESSSAIPSDRHLEIIDGNNHFNNGLLHNSSAYQSRGNHYDDNDDDDDEAEVSNVDVESASANIQHLSLQTEDLVASKSTEDNPAVIIPDHLQLANADCGHLSFGSFGSGAFSGLLPSKVHKNGVEEVPVPDESPSVDQEDVRNQDNNVALYSSTNGDVEARIGTNMENTDEPSVSQPDILTQGAVDVSSLQYNLPSVSDHVYSNTTQPSTMESSQGDIQVQHLPQFSSLLQANTLHNNLLGSNLPNLRDFDFSPLLSTQLATKYNPPVPTTSLPAISMQETLKPGGFSNAQPTQNLPSVSIPSGPPLPQQLSVHPYPQPTLPLGPFSNLVGYPYLPQNYYLPSAAFQQSFSSNGPFHQSAATTGVPGVSMKYSMPQYKSSLPATSPPQPSSVVSGFGGFGSSNNIPGNFGLNQNVPSAPTTMGFEEALSTQFKDNSQYIALQQNDSSAMWLHGAAGSRAVSAVPPGNFYGFQGQNQPGGFRQGQQPSQYGGLGYSSFYQSQAGLPQEHPQNLTEGTLNSSQTTPSQPSHQIWQHIY >ONIVA01G28810.1 pep chromosome:AWHD00000000:1:25236503:25243100:1 gene:ONIVA01G28810 transcript:ONIVA01G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator/pterin dehydratase [Source:Projected from Arabidopsis thaliana (AT1G29810) TAIR;Acc:AT1G29810] MTRGVAMAHARLLLARYHAMAAPSWPTVSKNLPLLGHGRSHHPMYASQDEIKMSSRRWCHGSPDNQELAKKICVPCNSKDIHAMPEDSAKKMLEQVGGWELATEGDILKLHRAWKVKNFVKGLEFLQLVAAVAEEEGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFILAAKINNLNLEGLLSKKATVQK >ONIVA01G28810.2 pep chromosome:AWHD00000000:1:25236515:25243100:1 gene:ONIVA01G28810 transcript:ONIVA01G28810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator/pterin dehydratase [Source:Projected from Arabidopsis thaliana (AT1G29810) TAIR;Acc:AT1G29810] MTRGVAMAHARLLLARYHVCQTRGRRTHRPAPHQRRVSIPSNTSRAPLTRLFSLACAGDGGPQLAYRIQEPSAAGPWTAEPADNGAVSCAAAEESSPSPRAVAPHQAEKDRLSRAVVGMSSSTAAIGDPELAKKICVPCNSKDIHAMPEDSAKKMLEQVGGWELATEGDILKLHRAWKVKNFVKGLEFLQLVAAVAEEEGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFILAAKINNLNLEGLLSKKATVQK >ONIVA01G28800.1 pep chromosome:AWHD00000000:1:25226838:25232865:-1 gene:ONIVA01G28800 transcript:ONIVA01G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRVGLLLLLLLAAVLLQPLLAAAAAEGVVRIALKKRQVDETGRVGGHLAGEDAQRLLARRHGFLTNDAARAASRKARAEAEGDIVALKNYLNAQYYGEIAIGTPPQMFTVIFDTGSSNLWVPSSKCHLSIACYFHSRYKAGQSSTYKKNGKPASIHYGTGAISGYFSQDSVKVGDVAVKNQLRGSQVLHSWLQSSMAFLGLDLRKSRFPVTDIAKQTHRYNMVRQGLVVDPVFSFWFNRHADEGQGGEIVFGGIDPNHYKGNHTYVPVTRKGYWQAIITQINEKIGATGVVSQECKAVVSQYGQQILDQLRAETKPAKVCSSVGLCTFDGTHGVSAGIRSVVDDEVGKSSGPFSSAMCNACETAVVWMHTQLAQNQTQDLVLQYIDQLCDRLPSPMGESSVDCSSLASMPDIAFTIGGNKFVLKPEQYILKVGEGTATQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGNLKVGFAEAA >ONIVA01G28790.1 pep chromosome:AWHD00000000:1:25222743:25227294:1 gene:ONIVA01G28790 transcript:ONIVA01G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGAHRAALLVLACVVVVVIHGLGEAEALGGGGGFVRAQGTRFVLDGNPYYANGFNAYWLMLLAADPSQRGKVTAALGEAAGHGLTVARTWAFSDGGGGNALQLKYGIKVILSLVDNYDSFGGRKQYVNWARAQGQGIGSDDEFFTNPVVKGFYKNHVKTVLTRKNTITGVAYRDDPTILAWELMNEPRCQSDLSGRTVQSWITEMAAHVKSIDRNHMLEVGLEGFYGASSPSRIAAVNPSGYQLGTDFIANNQVPGIDFATVHSYPDQWLSGKDDQAQLGFMGRWLDAHIADAQAVLRKPLLIAEFGKSWKDPGYSSGQRDALYGTVYAKIYESARRGGATVGGLFWQLLVPGMDSYRDGYEVVFGETPSTTGVITTNSRRLRFLSKAFARARQAQPARGKGRHNGGK >ONIVA01G28790.2 pep chromosome:AWHD00000000:1:25222743:25229175:1 gene:ONIVA01G28790 transcript:ONIVA01G28790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGAHRAALLVLACVVVVVIHGLGEAEALGGGGGFVRAQGTRFVLDGNPYYANGFNAYWLMLLAADPSQRGKVTAALGEAAGHGLTVARTWAFSDGGGGNALQLKYGIKVILSLVDNYDSFGGRKQYVNWARAQGQGIGSDDEFFTNPVVKGFYKNHVKTVLTRKNTITGVAYRDDPTILAWELMNEPRCQSDLSGRTVQSWITEMAAHVKSIDRNHMLEVGLEGFYGASSPSRIAAVNPSGYQLGTDFIANNQVPGIDFATVHSYPDQWLSGKDDQAQLGFMGRWLDAHIADAQAVLRKPLLIAEFGKSWKDPGYSSGQRDALYGTVYAKIYESARRGGATVGGLFWQLLVPGMDSYRDGYEVVFGETPSTTGVITTNSRRLRFLSKAFARARQAQPARGKGRHNGGK >ONIVA01G28780.1 pep chromosome:AWHD00000000:1:25217373:25219633:1 gene:ONIVA01G28780 transcript:ONIVA01G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRMELQEGVVLGGGISFSTGPDDMRLILTLAAAGKRYLRAHRVTVLDLTDACSWCPIMCSSPPPPAAPHRCRTLRPPGPRQRPPRCRHLVLGSGIARCSFPVKDGRVTGDSDSDQPVPTRCKQARDSVRRAKGKGTT >ONIVA01G28770.1 pep chromosome:AWHD00000000:1:25212148:25213553:1 gene:ONIVA01G28770 transcript:ONIVA01G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELREIFQRPWKILLRLQSSKSSMVSTLQGDENEMTWRPSCPADCLVKLVQLGVLVMAWRMQLCLRLYGGPGTSSLRSDESGHDDGGGSNHNDTEGAAMGEATMTLEEQHGLEVASVALRWAYPALDGRIQRWRRRGWEGRCGDGATIMWLHDGGVGLGSTGASATTTYCGLVAGPRQQWQTAVTMTMARTDGS >ONIVA01G28760.1 pep chromosome:AWHD00000000:1:25206952:25208711:-1 gene:ONIVA01G28760 transcript:ONIVA01G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSSSSSRNSNSVNMDSEWSKKENKLFEEALAYYGEGAPDLFHKVSRAMGGTKTADEVRRHYEILEDDLKLIEARRVPFPKYNTQGAWN >ONIVA01G28750.1 pep chromosome:AWHD00000000:1:25200500:25201389:-1 gene:ONIVA01G28750 transcript:ONIVA01G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEAAPCTCGLLYGSCGGGCSLLFPAGAPGDHHHHHYYKQYCGAGDGEYPDVPYGGGGSVDCTLSLGTPSTRRAEAAVAGLPWDQSSLQPSCNGRQEMSGAAAPRTEPSGGAGAAAASAPRRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPTALASDGGVEYAYGYPRQQQQWGCYGPAVAKAASFGMFGDAAGEDGPCLPWGLGVMPSSPAFGAVREMPSLFQYY >ONIVA01G28740.1 pep chromosome:AWHD00000000:1:25195819:25199050:-1 gene:ONIVA01G28740 transcript:ONIVA01G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase/isomerase D [Source:Projected from Arabidopsis thaliana (AT1G60550) TAIR;Acc:AT1G60550] MDAAGRRLARVTAHLLPSSLPLPLASAPTLAPSPAASPASDSYRRVHGDVPSEPPEWRAATDESGKGFVDILYDKAVGEGIAKITINRPDRRNAFRPLTVKELMRAFEDARDDSSIGVIILTGKGTQSFCSGGDQALRDADGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLSRFYTADEADRMGLVNVVVPLADLERETVKWCRKILRNSPTAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >ONIVA01G28730.1 pep chromosome:AWHD00000000:1:25192337:25194899:-1 gene:ONIVA01G28730 transcript:ONIVA01G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQK0] MLRAGGRRLLAPGLRRVLGGGAAAPVAVGGARAYHERVVDHYENPRNVGSFENDDPSVGTGLVGAPACGDVMKLQIRVDESSGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVVTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKLAQKGEEKAAEA >ONIVA01G28720.1 pep chromosome:AWHD00000000:1:25186391:25186948:1 gene:ONIVA01G28720 transcript:ONIVA01G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALSSAFSLLSLPSSSSPAAAAAAAPRSLAVPSRARPRRAVAVVASTATESPKVLELGDAIAGLTLEEARGLVDHLQERLGVSAAAFAPAAVVAAPGAGGAGAAADEAPAEKTEFDVVIEEVPSSARIASIKVVRALTNLALKEAKDLIEGLPKKVKEGVSKDEAEDAKKQLEEVGAKVSIA >ONIVA01G28710.1 pep chromosome:AWHD00000000:1:25181907:25182822:1 gene:ONIVA01G28710 transcript:ONIVA01G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRLVGLAFIVRGSKILVLQLRDTLLNLELKGVEDMNHKEEVILVIVMVAVGLLLVACLVLNFNWKYCCKDGKNKHSKAIGTHGDGHISISSTDGSVRNAPNLV >ONIVA01G28700.1 pep chromosome:AWHD00000000:1:25176362:25176715:1 gene:ONIVA01G28700 transcript:ONIVA01G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPKKTVGDELRGRREDLGGVAGELLSLMLHHHLISPPCTPWQWPRRPQVRASGPQADLGGMPPSFYGYCLVVAFSLPEPWWLPRRLRADLSSVANELMSLMVQHCLLFPLLGPQR >ONIVA01G28690.1 pep chromosome:AWHD00000000:1:25162396:25163043:-1 gene:ONIVA01G28690 transcript:ONIVA01G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQQRRRRHEALTGRRRGGGGGMSRRHGRFRFATGGGDGDEECAGVAVVDQADCTAQSCRSCVAVSLADCIALGCCPCAVVSLLGLAFVKLPLAVARRCARRLRRRQGRLRQKKRVRDLDAAKNAVGGGHQEPLPGGAAAASKGEDGDVVVVAAAAAASPSSDDAENVWLELYQVGRWGFGRLSVSAANPPVRPSYVVATARNADCAADGDVS >ONIVA01G28680.1 pep chromosome:AWHD00000000:1:25158529:25159326:-1 gene:ONIVA01G28680 transcript:ONIVA01G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRDRDHRGGADEDAPGPAAAAVAAVDGEDEVEDDGGGFSFPVPPFAADAFIVPVYPVFGRPMSPPPREAVVEEEEEDEPETATLRVPLGRLLLEEREFRARQRESSGTSPVQPQRRRPDDEGELEGVPPESYCLWAPGGQPSTTPASPRRCRKSGSTGSVLRWRRISERLVRRSQSDGKEKFVFLNAPGGGAPSPHPPKDNDDANGGGSVGKGDAGRHGWSYYSKGGGGGGSGGRRRSYLPYKQELVGLFANVSGLRRSYHPF >ONIVA01G28670.1 pep chromosome:AWHD00000000:1:25145140:25156742:1 gene:ONIVA01G28670 transcript:ONIVA01G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLQALSGKQTPAPKRRRRVHRSSVPLFRSSLHRTRPPARRRRRRAMESRKPPPSALVDNHVVPGDVVLDLAEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPSKYWVESSQKRYIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFEIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGLSRIIFEWHRATKYGGKSLGETILMVEVWCQDLAMGGRRVEFNANKCGTHPTPSKPYYVDKIDTNEQKVVHYQVNCRPARDRNTGSYSVKHHYPSPIVSWIEDLSSFGNVSFSQDPEYADEQSRSSVGQSSASVNLHDMQISVRLTDEFIELAKENTGNNVETCGILGASFRDGTYYVTMLIIPKQEATAHSCQAVSEEEIHAILSEQSLYPAGWIHTHPSQTCFLSSIDLHTQYSYQVMLPEAVAIVIAPTDPTRQVFYGRNCGIFRLTDPGGMGVLRECSESGFHAHRETTDGGPIYETCSKVIFNPNLRFEIVDLRSAP >ONIVA01G28670.2 pep chromosome:AWHD00000000:1:25144185:25152820:1 gene:ONIVA01G28670 transcript:ONIVA01G28670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIPSPPPEPATDGDDAQSHPPPPTPHPATDPPPISPQNPTPPPPPLPASAAASTTPSPNHSGDPSRPIPSQAPAPPPPPTADPSPPLPHDNRTPQPRAAPPPAPALDQPAPPSPPPSLPPSPPAPGSPESMLEREASEADGEPENMTLALALAETETEKAMPPTPPKAAEAAESPTGSPQKESALTIAKLLSGEDHAGTETKPVPEKAAPAATTTTAAVASVGGGGGGGGGGGVGSKRWLLGGVPEKVRRSELRRAELGFRVLAAVFCLVSLSVMAADTTPGWSGDSFRRYNEYRLRSQRRIMMLAKSPSGGQLLLLMEVVCFCNADIGLPPVVSIFSSTFSQ >ONIVA01G28660.1 pep chromosome:AWHD00000000:1:25140227:25143187:1 gene:ONIVA01G28660 transcript:ONIVA01G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G62840) TAIR;Acc:AT5G62840] MQVQAASPATAASSPVAPSPPPPPRAALSPCPRRRELLLLSASLPLPLPLLAPAAASARGLFRMPPPRLANRYFLVRAGESVYEGQGVVRTNPVSKTSVDSGLSPAGLRQAARAALELQRLGACEDDCWIWPSITQRAYQAAEIIAAANEINRSHIVPEYSFLDARGLGAFEGKSLETLPEVTLGARLRIDAIGEILVANCHEGLKNLWLLIALLLVYASDSISPDIKPPPISDGTPNESVADVFVRVTQLMSILETQYSGDTVVIVSPDSDNLSILQAGLIGLDLRRHSSLFFQPGEVRPVDPASIPEYKQPASTVFKCTNPPSCK >ONIVA01G28650.1 pep chromosome:AWHD00000000:1:25137038:25137637:-1 gene:ONIVA01G28650 transcript:ONIVA01G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADTDTYVTEVAFGDAVITTTVTSSGAAVEGWLREVRAAYGPGLIVGLDVEWRPSYGPAQNPVALLQLCVDRRCLIFQLLYADYVPGSLRRFLAGAADCFVGVGVDKDAERLSDDHGLTVANTADLRPLAAQRLGRPELSQAGLQAVVRAVMGADLVKPQRVTMSRWDASCLSNEQIRYACIDAYVSFEVGRRLLRA >ONIVA01G28640.1 pep chromosome:AWHD00000000:1:25123436:25123853:-1 gene:ONIVA01G28640 transcript:ONIVA01G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMARSRRSFPMPHALEDVEERVTFFGHLGFKFVGPDTLVAAMRRGFSPPPFPSHLMARPSRHAWSDGLPPELLAIIVMQLNYLADRACFSFFLL >ONIVA01G28630.1 pep chromosome:AWHD00000000:1:25120133:25121362:-1 gene:ONIVA01G28630 transcript:ONIVA01G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPSRRAWSDGLPPELLAIIVLQLNCLADRACFSAVCRAWRDAAPYADAPQRGVPWLLLPARDAPSFFSLHSGATRRMRLPDGVRGTRFCGVHDGGWAAVAADTWRGFAVVNLFTGVRLPLPEKLRVEVPPGGNHDQFALAAGFTRHHMLIRTVVFSCPPTSPYCIAAAHVSSASNIAFCQPASLSTSWTAYRRDMDIIQDLIFHRGALLQGFHVLTNKEEVLVYAPTAPHRPSAPLKLACTRYSLRPRDDYQPDDALPPTFIATRYLAESRGKLLMVLRHYTGNPVVRRRTRMFRIFELTFGEPAEPRRAATPCWWVEIPELTGRALFLGRSCSRSVDVAQFPMLQEDTIYFLDDANLDLSMVLNNGSTYCNVDMGMYRKGEKIRPGARQFPREFTADCSPPIWLVP >ONIVA01G28620.1 pep chromosome:AWHD00000000:1:25113401:25113766:1 gene:ONIVA01G28620 transcript:ONIVA01G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSEEMAVGMRMRRRFTPIVWDPRGSRHWTLGGGDSSGALHGRKMVQWWRSVWGGGRPDGGSKVEGGGGRCGVHEVTGRRGAWLGLGSLPPTDMEGFFLQRRFLKYREEISLIKGSDGS >ONIVA01G28610.1 pep chromosome:AWHD00000000:1:25109792:25110864:-1 gene:ONIVA01G28610 transcript:ONIVA01G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGVGDEEAEAEAAAAAEVTGRGGARGDVGGGGGGAGEAAAAIYQAGNMVPHELAEFLADPSVRFVGVAVNNDMQRLANDCNLRVACAVDLRYAAAAVLGQPELARAGLKRLALTVMGAHMEKEKNITKSRWGEPTLTWEQVNYACIDAYVSYEIGRRLLSGEPILAAPL >ONIVA01G28600.1 pep chromosome:AWHD00000000:1:25090066:25096911:-1 gene:ONIVA01G28600 transcript:ONIVA01G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidic pyruvate kinase beta subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G52920) TAIR;Acc:AT5G52920] MAQVVAAAGTAAAVAAVGRPLVGGGSGADALRPAVRLSFAPRWCGGSAGAARARRESAVTSVISRAPRLDAEVLPVSADDDADVKEEENFQHLKAIQQLATSANGVWSKPNVRRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQTKDNVIAIMLDTKGPEVRSGDLPQPIMLETGQEFTFTIKRGVGTETCVSVNYDDFVNDVEVGDMLLVDGGMMSLLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENQVDYYAVSFVKDAQVVHELKDYLRSSNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDGIMLSGETAHGKFPLKAVKVMHTVALRTEATMSGGETPANLGQVFKNHMSEMFAYHSTMMSNTLGTSIVVFTRTGFMAILLSHYRPSGTIFAFTDQERVRQRLALYQGVCPVQMEFSDDAEKTFGDALSYLLKHGMVKEGEEVALVQSGRQPIWRSQSTHNIQVRKV >ONIVA01G28600.2 pep chromosome:AWHD00000000:1:25090064:25097385:-1 gene:ONIVA01G28600 transcript:ONIVA01G28600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidic pyruvate kinase beta subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G52920) TAIR;Acc:AT5G52920] MLLVDGGMMSLLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENQVDYYAVSFVKDAQVVHELKDYLRSSNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLERFMAILLSHYRPSGTIFAFTDQERVRQRLALYQGVCPVQMEFSDDAEKTFGDALSYLLKHGMVKEGEEVALVQSGRQPIWRSQSTHNIQVRKV >ONIVA01G28590.1 pep chromosome:AWHD00000000:1:25080963:25088003:1 gene:ONIVA01G28590 transcript:ONIVA01G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDGLVRGEGIHLLSDPLPLQPTHVDESGACQRSRCFFDGRPVVRRRHGGCVLKRISWTGGGGALSLTLTMAANKLKFSPLLALFLLAGIAVTSRAGDIAVYWGQNGDEGSLADACNSGLYAYVMVAFLSTFGNGQTPVLNLAGHCEPSSGGCTGQSSDIQTCQSLGVKVILSIGGGAGSYGLSSTQDAQDVADYLWNNFLGGSSGSRPLGDAVLDGVDFDIETGNPAHYDELATFLSRYSAQGGGKKVILTAAPQCPYPDASLGPALQTGLFDSVWVQFYNNPPCQYANGDASNLVSAWNTWTGGVSAGSFYVGVPAAEAAAGSGYVAPGDLTSAVLPAVQGNAKYGGIMVWNRFYDVQNNFSNQVKSSV >ONIVA01G28580.1 pep chromosome:AWHD00000000:1:25080256:25080681:-1 gene:ONIVA01G28580 transcript:ONIVA01G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPLEPHNGCRTLHALRRPHVVVSAGAVHFLLSQRHCRTGSGSSRSGTTATAATTFSPAANLHRRLRPCIGNAKSTSKSVGSIAPLPIDSSRCGPATPCAAPSSRAKGELRRVTTHDVLTAAFPVGRSPLQQRAPATARR >ONIVA01G28570.1 pep chromosome:AWHD00000000:1:25073524:25076427:1 gene:ONIVA01G28570 transcript:ONIVA01G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHLMTDVMEDNSSWTALSIDHIRISAFNFGIVSEDNDEGKEFLLSLDTVVPDDILERIFTFLPIVSMIRSTAVCKRWHDIIYSSRFLWTHMLPQRPWYFMFTSNESAAGYAYDPILRKWYDLELPCIDKSSCFVSSSCGLVCFMDNDSRNAISVSNPITKDCKRILEPPGAKFPDYSTIAIKVDRSSHNYTITLAKCKQVPEDYVRWDFSLYKYDSQSSSWVTAVEEVFIGWRGGDDSVICDGVLYCLIHSTGILGNLEPRHSIIMYDLIAGPSKASLMQSSIPAPCSLTCGRLLNLREKLVLVGGIAKQNRPDIIKGIGIWELHKKQWQETTLSTSKAMVQLLCLLSIRSRSSGSGPQNAL >ONIVA01G28570.2 pep chromosome:AWHD00000000:1:25073524:25075917:1 gene:ONIVA01G28570 transcript:ONIVA01G28570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHLMTDVMEDNSSWTALSIDHIRISAFNFGIVSEDNDEGKEFLLSLDTVVPDDILERIFTFLPIVSMIRSTAVCKRWHDIIYSSRFLWTHMLPQRPWYFMFTSNESAAGYAYDPILRKWYDLELPCIDKSSCFVSSSCGLVCFMDNDSRNAISVSNPITKDCKRILEPPGAKFPDYSTIAIKVDRSSHNYTITLAKCKQVPEDYVRWDFSLYKYDSQSSSWVTAVEEVFIGWRGGDDSVICDGVLYCLIHSTGILGNLEPRHSIIMYDLIAGPSKASLMQSSIPAPCSLTCGRLLNLREKLVLVGGIAKQNRPDIIKGIGIWELHKKQWQEKQWKWSAKCPVSKRFPLQLFTGFCFEPRLDITT >ONIVA01G28560.1 pep chromosome:AWHD00000000:1:25062949:25063977:1 gene:ONIVA01G28560 transcript:ONIVA01G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNPSVRSNPSLGNGRNGRGAENPHPPNRSAEAPTKKPYAAWAAMMEAAPDEEAGLALPEGERILEVTLISAQGLKPPSGLRRRLLQAYAVAWVDAARRLQTRPDRAGGVDPEWHERLLFRVHEAALADDSRAAVTVEIYAAPAGGWHIGGDSLVGSARFLLGDHGLLSRPVGSPSMFAVGVRRPSGRVHGLLNVAASLVAAPPSPAAAHALRSSPAVSLSGLSTAPIPAGRVLRVLNRSFPTPPPSPTVLTTKKQQIAAKPNKKCADKLDVAVKLNNGADDRSDEEREELREMGGVVFCGPCILPLPRKIHISPSDENLQAFASIFSGGVGITRQSPRH >ONIVA01G28550.1 pep chromosome:AWHD00000000:1:25033200:25038418:1 gene:ONIVA01G28550 transcript:ONIVA01G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVAKQIQQMVRFIRQEAEEKASEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNSMKEDATKQLLRVSHNHHEYKNLLKELVVQGLLRLKEPAVLLRCRKEDHHHVESVLHSAKNEYASKAEVHHPEILVDHDVYLPPSPSSHDSHERFCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEIRKLLFGQVTA >ONIVA01G28550.2 pep chromosome:AWHD00000000:1:25033200:25038445:1 gene:ONIVA01G28550 transcript:ONIVA01G28550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVAKQIQQMVRFIRQEAEEKASEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNSMKEDATKQLLRVSHNHHEYKNLLKELVVQGLLRLKEPAVLLRCRKEDHHHVESVLHSAKNEYASKAEVHHPEILVDHDVYLPPSPSSHDSHERFCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEIRKLLFGQVTA >ONIVA01G28540.1 pep chromosome:AWHD00000000:1:25022136:25027361:-1 gene:ONIVA01G28540 transcript:ONIVA01G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAPSPPKDHPALSPYLDWSNMQAYYGPGILPPTFFSPGIAAGHTPPPFIWGPQPLVPSAFGKPYAAIYPPGGAFSHPFMPLMVSPLSMEPAKSVNSKDNCSNKKMKEIDGAAMSTGSGNSEKTSGDCSLEGFSDGNNQKASGTPKKRSIDDRPKSGVETGGALTPNDRPSEQAALPNLCIPVTAIKPDVSTASDFRVIATPVTEVPTKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRREISRLTESSKKLRLENSALMEKLTETGPDEAQEVPPVKTKAQQARGVENFLSMIDKTGTPRSSGHMDHAIATPKLRQLLGSGLATDAVAAR >ONIVA01G28540.2 pep chromosome:AWHD00000000:1:25022136:25025294:-1 gene:ONIVA01G28540 transcript:ONIVA01G28540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEAVVTQKMGKAPSPPKDHPALSPYLDWSNMQAYYGPGILPPTFFSPGIAAGHTPPPFIWGPQPLVPSAFGKPYAAIYPPGGAFSHPFMPLMVSPLSMEPAKSVNSKDNCSNKKMKEIDGAAMSTGSGNSEKTSGDCSLEGFSDGNNQKASGTPKKRSIDDRPKSGVETGGALTPNDRPSEQAALPNLCIPVTAIKPDVSTASDFRVIATPVTEVPTKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRREISRLTESSKKLRLENSALMEKLTETGPDEAQEVPPVKTKAQQARGVENFLSMIDKTGTPRSSGHMDHAIATPKLRQLLGSGLATDAVAAR >ONIVA01G28540.3 pep chromosome:AWHD00000000:1:25022136:25025294:-1 gene:ONIVA01G28540 transcript:ONIVA01G28540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEAVVTQKMGKAPSPPKDHPALSPYLDWSNMQAYYGPGILPPTFFSPGIAAGHTPPPFIWGPQVSFLSLRVLSYFPVPLQPLVPSAFGKPYAAIYPPGGAFSHPFMPLMVSPLSMEPAKSVNSKDNCSNKKMKEIDGAAMSTGSGNSEKTSGDCSLEGFSDGNNQKASGTPKKRSIDDRPKSGVETGGALTPNDRPSEQAALPNLCIPVTAIKPDVSTASDFRVIATPVTEVPTKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRREISRLTESSKKLRLENSALMEKLTETGPDEAQEVPPVKTKAQQARGVENFLSMIDKTGTPRSSGHMDHAIATPKLRQLLGSGLATDAVAAR >ONIVA01G28540.4 pep chromosome:AWHD00000000:1:25025299:25027394:-1 gene:ONIVA01G28540 transcript:ONIVA01G28540.4 gene_biotype:protein_coding transcript_biotype:protein_coding LFTCIITDFVNETAPNSVGTRTGCLVAKQPALGPTPCITSRHVSPDVVPFPSAPPPHSSPSPQGLLPPVKSKHPAARRRERKRLSTHHLHARAVGFVLLRRRTDLHRTRASIHPRNS >ONIVA01G28540.5 pep chromosome:AWHD00000000:1:25025342:25027394:-1 gene:ONIVA01G28540 transcript:ONIVA01G28540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MV >ONIVA01G28530.1 pep chromosome:AWHD00000000:1:25013750:25016974:-1 gene:ONIVA01G28530 transcript:ONIVA01G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYSEQRPGFEVTKDWNGADQVMIRSPRGASVLVSLHGGQVVSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGTLEQHGFARNRLWAIDDEAPPLNHNDNNGKVFVDLLLKPSEDDLKCWPHCFEFRLRVSLSTDGDLSLVSRVRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPSVIAVLDHEKKRTFIVRKEGLPDVVVWNPWDKKSKTMADFGDEEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVASTNCSDHLDYPCS >ONIVA01G28520.1 pep chromosome:AWHD00000000:1:25011999:25013390:1 gene:ONIVA01G28520 transcript:ONIVA01G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISGEELAVTLAPVAVYWLYSGIYEALGSVRALDRYRLHSRRDEESNNMASKKEVVKGVLLQQAIQVAISLAVLKLTSEKDGGAGDVKAGHASAPAAAPSSSSAAAALLDVAARFGVAMFVLDAWQYFAHRLMHSSRYMYRRFHSWHHRVVAPYAFAAQYGHPVDGVLTEALSGAAAYLASGLPPRAAAFFLAFATVKGIDDHCGLLVPWNPLHAAFANNTAYHDVHHQLSGGRRNFSQPFFVVWDRLLGTHAGYTVTARERNNGGGLEAKPICNDRHVLL >ONIVA01G28510.1 pep chromosome:AWHD00000000:1:25002724:25010136:-1 gene:ONIVA01G28510 transcript:ONIVA01G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGDFRLPPFFNYPPYFTLQPVRETREKQVQLWKDLILDYCRSQKLYIISLEEDFPLFSNPKIERSLSHEAKEVFLAALVYEGRAEWMDKGHKKCLILWLRIQDWANYILNFVKDNGLEDSVMTVEEIRSGIETRGTELAGIDRGVLMRALKLLEQKGKAAIFKGTSADDEDRKRKSKGELGMASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRYAM >ONIVA01G28510.2 pep chromosome:AWHD00000000:1:25006884:25010136:-1 gene:ONIVA01G28510 transcript:ONIVA01G28510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLGDFRLPPFFNYPPYFTLQPVRETREKQVQLWKDLILDYCRSQKLYIISLEEDFPLFSNPKIERSLSHEAKEVFLAALVYEGRAEWMDKGHKKCLILWLRIQDWANYILNFVKDNGLEDSVMTVEEIRSGIETRGTELAGIDRGVLMRALKLLEQKGKAAIFKGTSADDEGVKFSA >ONIVA01G28510.3 pep chromosome:AWHD00000000:1:25002724:25005891:-1 gene:ONIVA01G28510 transcript:ONIVA01G28510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKKRKSKGELGMASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRYAM >ONIVA01G28500.1 pep chromosome:AWHD00000000:1:24994563:24995625:-1 gene:ONIVA01G28500 transcript:ONIVA01G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIFPGHFYPRFYALIFGKTERVLSRLKVRNQFWVEDDSGRYGASWAYSSICGSKEVLLSICSVLTDPNPDDPLVPEIAHTYKYETRQ >ONIVA01G28490.1 pep chromosome:AWHD00000000:1:24966579:24967463:-1 gene:ONIVA01G28490 transcript:ONIVA01G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRTVRIFWDDPDLTDSSGEDEGCGGRRVGSMVRELPPAQLPVAQAGFAPAAALPEQCSGGDGDMGRRVVGGGCTVGVGRRRLTKGGGPGAPSTKFRGVRRRPWGKFAAEIRDPWRGVRVWLGTFDTAEEAARVYDNAAIQLRGPSATTNFSASTNSAGAQDPVAVGYESGAESSPAVSSPTSVLRKVPSLCSLAEDKDDYEAGPCEPATAAGSNLTVLEEEELGEFVPFEDAPVYGGSSFWDFEPESGFLYAEPSSPETPWDAGATSSGEAQDYFQDLRDLFPLNPLPAIF >ONIVA01G28480.1 pep chromosome:AWHD00000000:1:24958450:24960209:-1 gene:ONIVA01G28480 transcript:ONIVA01G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADGGSNLAVLDALDSARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYQPDGSTDSKPGALSKTANNMVIGVALVGTLMGQLVFGYFGDKLGRKRVYGVTLILMAACAIGSGLSFGSSRKAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSSIFLTYNKAPSYKGNHDLSRQMPAADYVWRIVLMIGAFPALATFYWRMKMPETARYTAIIDGNAKQAANDMQKVLSIEIEAEQEKLAKFNAANNYPLLSMEFARRHGLHLIGTTTTWFLLDIAFYSQNLTQKDIFPAMGLISGAAEVNALTEMFQISKASFLVALLGTFPGYWVTVALIDKMGRYMIQLIGFFMMSMFMLAMGILYDYLKTHHFLFGLLYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAAAGKAGAIVAAFGIQKLTYNSQVKSIKKALIILSITNMLGFFFTFLVPETMGRSLEEISGEDGNTGAGGGGAPAAANAGVGVSASDVSRDEKFPASSTEWQTSMHA >ONIVA01G28470.1 pep chromosome:AWHD00000000:1:24955931:24958557:1 gene:ONIVA01G28470 transcript:ONIVA01G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISRASVLVMAIVAMLATLANAQAPAPAPTSDGTSVDQGIAYVLMFVALALTYLIHPLDASSAYKLF >ONIVA01G28460.1 pep chromosome:AWHD00000000:1:24951095:24955777:1 gene:ONIVA01G28460 transcript:ONIVA01G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMATGGLDPAAREAELAHLPGPKLVDHLCTTHRRADYEAVARVLDARDRRLEAALAENEDLRRKCDALLVGQRRPREEEEEEAAGEKPPPGIIAAPEPARRDEEEVEGSSEEGEVRGVDFIDLSSSSSSDDEEKEVEAGRGAGSRVPIIKEAPDDAEGDEDDTLPLSQLWKRRRLGEPGAVKSEKGDGHNPVDSGGNDPPKCTSARTDEASTGEMVSRPGDSMVAAFVQGKGTVQPENVGGEMPRVMLHSPAQVIRSTLQKRKFGKKDGSSAIPGDTTSSQARSTSLAPKREGSAAVPGYTTSSQARNTGLSPKKCRDSTSPDDEMCNALADSVQVGAGSTMPRGPGEQDKGIGAVQRATVLHGTSGIGEQGGKLDSTPTKVRESNRREGGLQNKSIDSKSNDALKHQDKKDGRMVQKGDLSVQSCVPARPIVASVPSVTKNSEKGNSAKGVSQPPKGNDQMNKMSMVESSSKCGYEKVGADVQKCSPLPRQSEEGSVARGVVSFEETGIATVHPLSIRNLSGPELRNLNKGGGELSKKLVVEGSPKYGEKNNDARSEKNSSPLGQSEDVKIIREGASNEEPRVGRLSPSILSNYSTGEQIHSVSKNAELCSPTAKKALFEPGSSCTPLKHTVCPPSSGKSISIQAKGEINLLPSAMSRHWETAAHMIASLRGNMELSMQALCALYRQRKLVIMSTEGQQTGTTGLTKIDAVRAIRLLEFLLDGKLKGPLKRTAKELLSHDATGPTFLEKVALSFSKELFDIYKNKKDPYFC >ONIVA01G28450.1 pep chromosome:AWHD00000000:1:24938561:24944966:1 gene:ONIVA01G28450 transcript:ONIVA01G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPGAVWPELARAWEPAKQGVRPFLSRPRGDVAFSGFGFPPSWREGGLKARILCIKPRSLLGEELCVAEYEWEEIEKIGKIRKTSYYSFTVALTSSKVATILQRVDSRGGDPARRVRRLDASRASPGPRRGGAQLWVGRRRRGRWRRSASEWVKWWATQSASRRTGLKTPAESMAPRTLSPPAPRGGTRARSSLEGRRERTPAAANAEQMRSAAWNDDAIASAPERGRRASLFARDRRPPRVSLQSSPRPATIVRMEDDDE >ONIVA01G28440.1 pep chromosome:AWHD00000000:1:24931983:24938562:-1 gene:ONIVA01G28440 transcript:ONIVA01G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAPRVHLRVWIGGSTVWDSLGFSGISRNKISAQIQGAVVDREEEAFRLFLLSTSVYYWLGKGSFVIWGVLDASSGVDDNVENILRMIGEENESAETEPSDDSGNAFKKSKLSSLVKGFHEEYEYLHKHYQQLIGKLENVGHSSSDSDSSDSDDEGDSSDNNNLKTKVEDALSEENGWKQKLVEDREAKEESLEAEIEKLKQNTEEQAKEISDLKHLLDKAIKDKEATRVELSSDVANLSSENENLKLLVETTEREAGESHKTITLMENEIRTLSVEKQVTEKERDDLKISIVDLENMNGDLSNELQETNEKCTFLSSQLEKAQLAEKEVQTLLSEIEKMKNENLMLSRENDNLKACEQNLEKEELMNSMNIERGAAAEEKEMLVSEHSKCLTELEKAQSSVKELESTNGDLNDKIAVLQNEKNSLSSELQQLEASFKNLGNDLEQKFEQISVMQKNNEELELANSNLQNELAMVQEQKNEAVASTVELGNKLEEQNQQISNLQEAVENLEAAKTDMYNELTVCQEEKNAALLQVQQLEANLKNLESELEQKQSQVSALEQANEELREKISSLERQLEEARSKLQDEIIKLQGEKERALDNLQQSNTSIKTFEEELEKQREHNSILQLANDDLHKSIANLEKELEDTKVSSHAEILALQEQKNKALSDLQQSEISIENFRMELEQGREKISILDLSNEEMKDNNYRLNQQLEEIRTSLHAEIAALHEEKDAAQLELQQSLASARNLETVLEKQTENLSTLQHANDNLKKNNCTLTEQFEVIKIELQEEVKMAHEEKDATLTQLEKSEDSIKNLESELAQLKEELSVQMESNSSLNKQLEEAILKVSNLTAELETVQAETASKINDMETNTKDLVNTIVLLSSQKNKVEEHMKIITEACMEKMSFMKDFEDQVKQKITDREIAIACLQQSLRGIIGSCQRLQYAYGEVSTKASHLEVLRRNHLVQIDTLENKHTEIMEKHRHLGEENTSANKENRKLQNHVQELEAQLQLARQKLRVTEAESKSKEDSYVMAVEKSHREIQYLEQKIQKYSGQINSLEETLVQIKGNAESGTSTLVDQLDQLESHFNKSFSHFSARSFACSEELKLLRNRLQHHLAEQKELVKKNDVLGMRLREKENVLSEMVRSASEANKKMAHLEKTIDEKEEEISARVQEKREAIKQLSNAIIYHKNNSDDLIRYIRNHNRRRLPFCL >ONIVA01G28430.1 pep chromosome:AWHD00000000:1:24920925:24937782:1 gene:ONIVA01G28430 transcript:ONIVA01G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFANGSLAMAGSDGSGTVTARSGDGGPSSTSARDARGWLRPFAATTHEGAPAESRVLAAPREERSAPLTHKSAAVARAVRFQGSSNRNDIRSTGILHLRNAKEPLLKNTELNNTNTELKME >ONIVA01G28420.1 pep chromosome:AWHD00000000:1:24918161:24919356:-1 gene:ONIVA01G28420 transcript:ONIVA01G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSDGSRYGGAGRCALVAELVQMQGMVRQLEAEMGTHGGGGGGGGGAAPMAAGADERCRALVSGLLSSIDRSISIARSCCTEAAAAGRLTQQAGAAPESPPSVDGSAGSDLGADSRCRANAAGPCKKRKTLPKWSKQVKVRSVQDVGPLDDGFSWRKYGQKDILGAKYPRAYFRCTHRHTQGCHASKQVQRADGDPLLFDVVYHGDHTCAHGVRSAAAAIDGQAAASAEQKHQPTPPQEQNAVSVAFTSMAVVNASTSSPFVSPAMSDCQISYELGGGSMAGVRNVPDVELASKTNSSMGDDMEFMFSLDADFLDTYKYSSYF >ONIVA01G28410.1 pep chromosome:AWHD00000000:1:24909938:24910258:-1 gene:ONIVA01G28410 transcript:ONIVA01G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQEAARGTAWDCGSPLYDSFEVARLHHVLESHLMILPPFPPDDDDDAAAQRIMLDDGRRAAEVDDANGAAARKSGGRRRKRRTAGWKAAAAIYRAVACWRRPW >ONIVA01G28400.1 pep chromosome:AWHD00000000:1:24902979:24908367:1 gene:ONIVA01G28400 transcript:ONIVA01G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTSRRDHAAMAVREVLAGDRKVGTVSRSARRRRLELRRLGRTASAVAEDDAAKRVRPASDSSSDSSESAKVAPEPTAEVARWPACVSHGAVSVIGRRREMEDAIFVAAPFLAASKEAAVEGSGVAEEEGKEEDEGFFAVYDGHGGSRVADACRERMHVVLAEEVRVRRLLQGGGGGADVEDEDRARWKEAMAACFARVDGEVGGAEEADTGEQTVGSTAVVAVVGPRRIVVANCGDSRAVLSRGGVAVPLSSDHKPDRPDEMERVEAAGGRVINWNGYRILGVLATSRSIGDYYLKPYVIAEPEVTVMDRTDKDEFLILASDGLWDVVSNDVACKIARNCLSGRAASKYPESVSGSTAADAAALLVELAISRGSKDNISVVVVELRRLRSRTTASKENGR >ONIVA01G28400.2 pep chromosome:AWHD00000000:1:24906217:24908367:1 gene:ONIVA01G28400 transcript:ONIVA01G28400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAANVNETFVDCTQPDRPDEMERVEAAGGRVINWNGYRILGVLATSRSIGDYYLKPYVIAEPEVTVMDRTDKDEFLILASDGLWDVVSNDVACKIARNCLSGRAASKYPESVSGSTAADAAALLVELAISRGSKDNISVVVVELRRLRSRTTASKENGR >ONIVA01G28390.1 pep chromosome:AWHD00000000:1:24878920:24887116:1 gene:ONIVA01G28390 transcript:ONIVA01G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQHVVEVEQGRAAADGRPSVGPAYRSAFARGGFPPPVAGLDCCYDIFRMAVEKFPDNRMLGHREIVDGKAGAYVWKTYKEVFDLSTKIGNSIRSRGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFTEEKKIEQIFKTFPKSAEFLKTIVSFGKVTQEQKENASKYGLEIYSWDEFLSLADQEFDLPVKAKTDICTIMYTSGTTGDPKGVLISNASIICLVAGVDRLLNCVNEQLEQTDVYMSYLPLAHIFDRVVEELFMFHGASIGFWRGDVKLLVEDIGTLKPTILCAVPRVLDRIFSGLQAKIASGGFIKSTLFNLAYKFKQFRMMKGAKHNEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVLQGYGLTETCAGSFVSLPNQMCMIGTVGPPVPNIDVCLESVPEMNYDALATRPRGEICIRGETVFSGYYKREDLTKDVLIDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGLVSAIDSIWVYGNSFESFLVAVVNPNKEALESWAAANGISGDLEALCENPKAKEYILGELSKVGKEKKLKGFEFIKAVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQGTIDNMYRSAK >ONIVA01G28380.1 pep chromosome:AWHD00000000:1:24870514:24875502:1 gene:ONIVA01G28380 transcript:ONIVA01G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPGGRSIDRSHMTKPDDDLKCFYVPIVTMILAFNVLVFIVLPIIDRPPDPVFSVRLVGVEGLDHPDPCHQLQSAAPAVPPVFDLAVDVGGVPPRYRACGGGGGDDTVLRVSYRGIILAWGCVPSFCIVGGEHGRARADGVVVVRAEAGACAAIRDGLRNLIWTERRVLGKVDFDVEGNPGKVSRLEEEVTSLQRRHIRHGLVGDWGGGMKRRKIEGMGSSGDWGRGMKRRKIEGMKHRKSWRHYLVLMAPIIDGPPDPSFSVRLVGVEGLDVDADARLSGPRSSSPAALPGLRRGQGRHRAARLVPRHDGIVLARAPVPSFCIDGKLLEGGGAVGVVVVKAEAAAANAKMRKGLRDLIWTERRVLGKLDFDVEGNLGEQVTRDDLNCKVSSFEGAKGRFESI >ONIVA01G28380.2 pep chromosome:AWHD00000000:1:24870683:24875504:1 gene:ONIVA01G28380 transcript:ONIVA01G28380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPDDDLKCFYVPIVTMILAFNVLVFIVLPIIDRPPDPVFSVRLVGVEGLDHPDPCHQLQSAAPAVPPVFDLAVDVGGVPPRYRACGGGGGDDTVLRVSYRGIILAWGCVPSFCIVGGEHGRARADGVVVVRAEAGACAAIRDGLRNLIWTERRVLGKVDFDVEGNPGKVSRLEEEVTSLQRRHIRHGLVGDWGGGMKRRKIEGMGSSGDWGRGMKRRKIEGMKHRKSWRHYLVLMAPIIDGPPDPSFSVRLVGVEGLDVDADARLSGPRSSSPAALPGLRRGQGRHRAARLVPRHDGIVLARAPVPSFCIDGKLLEGGGAVGVVVVKAEAAAANAKMRKGLRDLIWTERRVLGKLDFDVEGNLGEQVTRDDLNCKVSSFEGAKGRFESI >ONIVA01G28370.1 pep chromosome:AWHD00000000:1:24863028:24869292:1 gene:ONIVA01G28370 transcript:ONIVA01G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 1 [Source:Projected from Arabidopsis thaliana (AT5G53450) TAIR;Acc:AT5G53450] MPVVAAAAAAVAARPTGAGTARPLRGGVSARPCRRRGFRAEASSSASTPAPVAADEGAGAGPCPVVRFEMGDFDVADRVSVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLMSFQAKRRGRRALEVLKKLARRQMMYHSYAMQVHGYVTPGNDVEQDDGSFILVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAVDFHDNDPRNSTLASNDERRKMMIAFDMRCVGFIMAKMVLRELMDSSTFLKFKSFLTKGDDPACLREFLLPILCRNSPSGNIGLQMLDRQWGAGWNLLALLLATKPDKRISCVDALRHPFLCGPKWRINPSVDVIRWGLGSTAVRMAEDYIYGQHQRRRLAYFVELMEVLNPNPRTENWLNILPGHWRLLYCTGRHIGLTLRQPSPRILITDVFLTFAQTSDSIDPVFSLTSDIGFKIMAESDWPHDKSGSEGTLSVTSSARIATGRIYIHEQDGDDSRVASSRSSRRYLRGKWRKVSKMKELPASLPTVNITMDELDVSMSCSSTLNVSSAQKVLQEIRTQTPPEMFDLSKIVCGTYVDARLMILRGVNGSALFFTRSNSRADS >ONIVA01G28360.1 pep chromosome:AWHD00000000:1:24855947:24862803:1 gene:ONIVA01G28360 transcript:ONIVA01G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSLDEKPLLPGATSNDDQREEKVAFAKAQLSELPMPLPHRRNATTATTLQSTAKNGD >ONIVA01G28350.1 pep chromosome:AWHD00000000:1:24849788:24855667:-1 gene:ONIVA01G28350 transcript:ONIVA01G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSSSHRSHRRGGSVERSESEGDEAGGGAGAREEAAAARVSRDPEPERRRSSSGKEVVSSGNGYAEHGRKRKDRVEEMVVDVVSDRWNSGVCEDHLVEKRSKGEVFGPVDVDKLPEKSRGSGDESKRSSRRMVSMDDRAEEVVSKSDSGKRRSDKDLGRRESSGQYRDDRDRERERDREKERDREKEKEWERQKERERERGRDRERDRDREKDRERERERDKERDRERDRERERERDRERERERQKDREREKKDYDSKHERYDDGGAKKNGSKASRGEEDGYSYKRDTEINASATKEKYNNAEKDLDRHSRRKDVSEDKDKWPAENRDGDDRKTLSRYDHSKVRSSKEQRFDDDKYKEKYKDDYERDKRQQDDKCLDERLTRDHESDRADYKSSKDGHRTSESHYRKDVIQEPDHYDDYGSRYKESRGRKRPPEENDDQYDLKPPSAREQRGSADKSSGSGRLDALVERMRHGSKLTDHAKREIPYDERNIRPRTSSGRERTPASRLRDRDADNWPSERLKQKDDLQSRDMQLEISSLQYDRTPRKDAHPSPKHLSERSPTEQRFSGRLSGGRSTDNKAERSGLTKYRERDGDSVERSVHQDRTPAKAPYREPTPSSSSRGGHFSGTSPNHPLPPPPRHRSDDSSFLGLHDDDRRPQSGDRRFHQKRNDMSSGRGHGHAWNNPPSWPSPVANGFVPMQHGAPGFHPPVHQFSAPPMFNLRPQMKLNQPGVSYPMHESVDRFSTHMRPFGWPNPLDESCPPHLQVWNGGSGVFASEPYMYGRQEWDQNRQHSVSRGWETTGDALKGQNELHEREPSVTKKEPDCSATPVPETSTGQYNLNSRIEQKEMDQTLEKNGTKDDLKSSFRNTGGSGGAPLMTSMPSNSGTAIFSKSYLSKISVSRDLVESELYKRCISLLADFGIANTPEVVGTGLVQRALALHKNQTAKIVSPTHSILETDRKTYLPEDNHDDTEMTDHTVSKELLVDNSEPHHGADTMEKELPLRQELAGGIGVTSPASIEPGNVGAPPVIIEPDVGMEDVAPPKIVEPDEGMEDAAPPKIVEPDEGMEDVAPPKIVEPDQGMEEVASPTIKEPDEDIEVMPLGTAEPGKGMEEVAPGGISEPEKGMENLVPPGIAEPVKDGMDDVAAPSAGPAAGLGDGVPEVTVELADSAQEKPPAMGELGDGMEVMPPPVTETSLGKEDSPAVPSSPEVQEIVSGMHASIEKGMEGETDNLIDDNPGDGEVNASSLELDVASGADDCEALVESRVNLSRIPNSPESTH >ONIVA01G28340.1 pep chromosome:AWHD00000000:1:24838533:24847142:1 gene:ONIVA01G28340 transcript:ONIVA01G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQE9] MIFKRSQRSEILSLRRCNAGGGAGVGEDDGDGGERRPKRRRGDEFFPVELLGDVPVAGIPYAAFGFRWCEEAEVASPAAASRAAAAAAAASRPPVVRTSRGRAQVLPSRFNDSVLIDPWKKDKPAKPLVPAKAVQLVPKSEVLYRKGAIADRSLTMSELDENGDDDYEEGHNFVASRKYSMSLSTVTSVHGEPYSYYHRKGLMKRQYEYEYEYEDEDEDEDEEAEEEEEEEEEEEEELSYWRNDFVYGDIVWARLGKRQPVWPGVVVDPAQPAAAQALPPQPRSGAVLCVMLFGWAAEFGDEKKFIWVRQGGIFPFVDYMDRFQGQTELSSCKPGDFQRALEEAFLADQGFFEVPMDGNTTGQPAVCQSFPADLEERYKRALQCESCGNCFPNKDPSMMVYVMEQLACRQCAKILRSKEYCGVCLKSWQHKCGGRWNVTKSAATSRIYETTVISALIVELNRTQICPARRQNLMNIEFLAIVVLARDKNFCSMNGNGMLAAEVKTGSQIEQHQSSSCSTNPAKRSSQKMKKQKLLDLLSEPYDTVNVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGKQDFTSWVCRACETPDQKRECCLCPVKGGALKPTNVDNLWVHVTCAWFQPQVAFASDELMEPAIGILNITPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYRMEIRCLEKNGKQTTNKISYCAHHRAPNPDNVLIIQTPAGTISSKKLVQSNGTVAASRLIRKDLPKDSVSEVEISENLSAARCRFYVKKELKRSREGAIAHRVRGSCQHRWDEIDSLNPPREERDPESFSTFKERLHYLQKTEHTRVCFGRSGIHRWGLFARRGIQEGEMVLEYRGEQVRRSVADLREEQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVSAGEELTYDYLFDPDEADDRKVPCLCQTANCRKFMN >ONIVA01G28340.2 pep chromosome:AWHD00000000:1:24838533:24847142:1 gene:ONIVA01G28340 transcript:ONIVA01G28340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQE9] MIFKRSQRSEILSLRRCNAGGGAGVGEDDGDGGERRPKRRRGDEFFPVELLGDVPVAGIPYAAFGFRWCEEAEVASPAAASRAAAAAAAASRPPVVRTSRGRAQVLPSRFNDSVLIDPWKKDKPAKPLVPAKAVQLVPKSEVLYRKGAIADRSLTMSELDENGDDDYEEGHNFVASRKYSMSLSTVTSVHGEPYSYYHRKGLMKRQYEYEYEYEDEDEDEDEEAEEEEEEEEEEEEELSYWRNDFVYGDIVWARLGKRQPVWPGVVVDPAQPAAAQALPPQPRSGAVLCVMLFGWAAEFGDEKKFIWVRQGGIFPFVDYMDRFQGQTELSSCKPGDFQRALEEAFLADQGFFEVPMDGNTTGQPARYKRALQCESCGNCFPNKDPSMMVYVMEQLACRQCAKILRSKEYCGVCLKSWQHKCGGRWNVTKSAATSRIYETTVISALIVELNRTQICPARRQNLMNIEFLAIVVLARDKNFCSMNGNGMLAAEVKTGSQIEQHQSSSCSTNPAKRSSQKMKKQKLLDLLSEPYDTVNVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGKQDFTSWVCRACETPDQKRECCLCPVKGGALKPTNVDNLWVHVTCAWFQPQVAFASDELMEPAIGILNITPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYRMEIRCLEKNGKQTTNKISYCAHHRAPNPDNVLIIQTPAGTISSKKLVQSNGTVAASRLIRKDLPKDSVSEVEISENLSAARCRFYVKKELKRSREGAIAHRVRGSCQHRWDEIDSLNPPREERDPESFSTFKERLHYLQKTEHTRVCFGRSGIHRWGLFARRGIQEGEMVLEYRGEQVRRSVADLREEQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVSAGEELTYDYLFDPDEADDRKVPCLCQTANCRKFMN >ONIVA01G28330.1 pep chromosome:AWHD00000000:1:24830825:24833749:1 gene:ONIVA01G28330 transcript:ONIVA01G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGCLCILISCMLVLVYASDYRDDIFGRDKANQEGAKAINEKNGFNFPFILFGGLLSMLTYVTPFVVHWSTGSNPNALKIVYTNTDFIKTGMATLAWLSFSLCQLHGANGKIVGAPAVAIYSAGLIIYGTSLVFKWSPSGVMSDAVCHTTMLLMLLIVILLLDVGVFGHLKREHKIANTISALATLTQVASSLLPYGDLCKGCICQDQAPDAKVPRIRNRRKLVVYIRSLEAGFTLYWAGYCIYRYDHTYFRIANITSVILSCIASYISIFEALKPVLGDNDEEALKTVI >ONIVA01G28320.1 pep chromosome:AWHD00000000:1:24824022:24825714:-1 gene:ONIVA01G28320 transcript:ONIVA01G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEQQKQGLPGVNLAVGVVIVGILAFLLLAVVLMAPIINGPPDPNISIRLVGVEGLDPRLPAPVPPVFDLAVDVAGVSPRYHACGGGGGSKLRVSYHDIVLASALVPSFCIDGKLLEGGSAAGVVVVKARGGADGANAMIRGDLRNLIWTERHVLGKVNFDVSGNLGKESGLGDLSFRVSSIEVNFAHVEIADFHMPWTIVGWTNARINRMTIQWISLPLYFDLTKRLNVQYI >ONIVA01G28310.1 pep chromosome:AWHD00000000:1:24818515:24822654:1 gene:ONIVA01G28310 transcript:ONIVA01G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNLVLGAAVLAAAALLFATDIRKSGAMFRRNARKIWAWLDEEMKSASAVSRRSRSTWVSYMLSMEIHNGPPKSKSGPTPPTRRPEIQIVPSPPTSKLIGPPPPPPVQNGASKKEKLGMTKPVIIVGAFLSILLYASPFVVYWSSGSNYDVIRSFYTKFDLLSIALSIISWLMFSLCQRHGVDGKTVGWAPIIVYSIGLVLYCVLLVMRFCPDGTICHTTIALLLGITLLLLLFDMFEPIKREQKTAKVLSGLAALMQLTSEALPYAGMRALCCADPAPAPVIVDLPQASSQAPVVVDPPQAPAQAPVIKNRRKLTIFVRAVDAGVTLFWAVYCAYNYDHSFFWFANVTSAVLSWGSAYVYISWALRPVAGHQAQEGDAEQ >ONIVA01G28300.1 pep chromosome:AWHD00000000:1:24811788:24815545:1 gene:ONIVA01G28300 transcript:ONIVA01G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDREKNAVETIQAKWSAMTPAVGESKAAEYEPTARSSTANINTLMDPLDEPPLERDNNNVETTKTKVGPDPKARPGPSAASHLSCVAVRGGLGVPLTATHERRQEGAQGEGDAKGLRQRGPPHILQLSLVNTRGSPPGSTSAWLDPTTPSPSPPGVGQHKREEGSSGGKGCLVWHRFAPPFMMTTPKSAGDLHDGAPPGDIQVWRDINLVAIDTPPPQWPVAPRAPPDGEHPGCRASTLGLLLLLCRYLLSPLPDATTSINDSNDSGRPMSRWGDRSGRRGTASFSSSSAATPYAGSECLVAVLQHLPASIRIVA >ONIVA01G28290.1 pep chromosome:AWHD00000000:1:24809731:24810576:-1 gene:ONIVA01G28290 transcript:ONIVA01G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDKVDPPRRRFRDKMREIRLNACDIATIFYTGILTGVIFVIVLRAYVTSRTTPNLYLRIVGVEGLDPGASSPPGHGAPPPAFHLAVDVSGVREGYTACVGGMHGWRSSLLRVSYHGMVLAWGIVPSFCIDGQRLRPGGGAASNVAAVYAVAAESAVLREEELHGMVRTEQHVTGKVDFDVEGHVAGLGYLKCKTPFFEGEHKSVVYSCGVYQLLEKCT >ONIVA01G28280.1 pep chromosome:AWHD00000000:1:24802744:24806333:-1 gene:ONIVA01G28280 transcript:ONIVA01G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQE3] MAFEKIKVANPIVEMDGDEMTRIFWQSIKDKLIFPFLDLDIKYYDLGVLHRDATDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFNLKQMWKSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKDEQIDLEVFNFTGAGGVALSMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFALKLEAACVGTVESGKMTKDLALLIHGSSNVTRSHYLNTEEFIDAVAAELRSRLAAN >ONIVA01G28270.1 pep chromosome:AWHD00000000:1:24801485:24801736:-1 gene:ONIVA01G28270 transcript:ONIVA01G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPMGETTPTTYESVGGGENRTRTDLRSREDQGAIQIDKVQDKVDEPAARKVDDRAFDAKQVGHGAGADAGATGTGA >ONIVA01G28260.1 pep chromosome:AWHD00000000:1:24796762:24802056:1 gene:ONIVA01G28260 transcript:ONIVA01G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arp2/3 complex, 34 kD subunit p34-Arc [Source:Projected from Arabidopsis thaliana (AT1G30825) TAIR;Acc:AT1G30825] MILLQSPSRFLLQILQDRVLSGDKGVDIDCHTVEFDDVRYHIQFSMRNPKVMVLSVALPLPPPEAMLYDGLPLGAIEAIKAAYGPVVQILDPPKDGFDLTMKINLTKLPPDEEQRNAVLTQIASVREVVLGAPLKLLLKHLASKTVAPNVDKLVALVHRPNESFFVAPQADKVTLVYPMRFQDSIDIVLATSFLQEFVEARRTSALNNAPSCLWSPVPPLELKGVPSDALNANAVVFPRHVEGKKLDKTVWSLLTFHAYVSYHVKCSEGFMHTRMRRRVETLIQALDRAKSDAEKLKKLVNGGSFKRLSLKNDGN >ONIVA01G28250.1 pep chromosome:AWHD00000000:1:24792449:24796596:-1 gene:ONIVA01G28250 transcript:ONIVA01G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 family protein [Source:Projected from Arabidopsis thaliana (AT4G20310) TAIR;Acc:AT4G20310] MIGGVGRSRRRGRAPPVLPSSAAARRAEHSVSCWYCDCKIYSFNDIIFNLGWRYARYMRAWFSAGVYFSVVALVGISVMLLWDSIGAVYFSGRSFSTWLQNLLASSFGISIMDIAAIIASTVFSIAFHEFGHAVAAASEGIQIEYVAVFVAALFPGALIALNCDQLQNLPLFSMLRIYCAGIWHNVMLCGVCVIMALLLPVVLYPLFVTGGLMITGVPEASPLSGYLSAHNFILSVDGLNITRADEWMKMLTQDNVVQLSSRDLLEGSEGYRATGSRKGYCVPNSWMDASKNLWQINDKLSCPDDLVAFQRMSEKGIGKKEVEDKYCLIAKDVVKLKKCGNGWRGAEDGRSNFACLEDEYCLVPVLGPGISWIEISYARPYSLECLQKERNSSLLHDGNNNPGLGPCQGTFVYAGDLLSAAHSIKLSSYRPRWPLLLFIADVPRILQDGLSCLFRVSAALAVVNCLPVYFLDGEAILETMLSYFSWFTRRQQRNILKVCRFLWTILSIVLFSRTLYSMTLYYGFV >ONIVA01G28250.2 pep chromosome:AWHD00000000:1:24792449:24796596:-1 gene:ONIVA01G28250 transcript:ONIVA01G28250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 family protein [Source:Projected from Arabidopsis thaliana (AT4G20310) TAIR;Acc:AT4G20310] MIGGVGRSRRRGRAPPVLPSSAAARRAEHSMLLWDSIGAVYFSGRSFSTWLQNLLASSFGISIMDIAAIIASTVFSIAFHEFGHAVAAASEGIQIEYVAVFVAALFPGALIALNCDQLQNLPLFSMLRIYCAGIWHNVMLCGVCVIMALLLPVVLYPLFVTGGLMITGVPEASPLSGYLSAHNFILSVDGLNITRADEWMKMLTQDNVVQLSSRDLLEGSEGYRATGSRKGYCVPNSWMDASKNLWQINDKLSCPDDLVAFQRMSEKGIGKKEVEDKYCLIAKDVVKLKKCGNGWRGAEDGRSNFACLEDEYCLVPVLGPGISWIEISYARPYSLECLQKERNSSLLHDGNNNPGLGPCQGTFVYAGDLLSAAHSIKLSSYRPRWPLLLFIADVPRILQDGLSCLFRVSAALAVVNCLPVYFLDGEAILETMLSYFSWFTRRQQRNILKVCRFLWTILSIVLFSRTLYSMTLYYGFV >ONIVA01G28240.1 pep chromosome:AWHD00000000:1:24783864:24791612:1 gene:ONIVA01G28240 transcript:ONIVA01G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQD7] MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKERRGDYLGKTIQVVPHITDEIQDWIERVAMNPVDGKEGPPDVCVIELGGTIGDKIFSSHNDSGILDAGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDILACRSTEPLEENVKAKLSQFCHVPDQRAHEAILKVLDLQFVGKVPREPKLVEWTERASKFDKLKTTVKIAMVGKYTGLSDSYLSVLKALLHASVAMGRKLVVEWVPSCDLEDSAAKETPEAHKKAWKLLKGAEGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIDFACSIMKLPGANSTEFDPDTMSPCVIFMPEVNPEMVPEFEKAGLSFVGKDESGRRMEIIELPSHKFFIGVQFHPEFKSRPGKPSPLFLGLIAAASGQLETLLQPSSNIVNPNPMPRFPIPKKTIYHAKKPLDSLVNGYFANGNVIHT >ONIVA01G28240.2 pep chromosome:AWHD00000000:1:24783864:24791612:1 gene:ONIVA01G28240 transcript:ONIVA01G28240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQD7] MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKERRGDYLGKTIQVVPHITDEIQDWIERVAMNPVDGKEGPPDVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDILACRSTEPLEENVKAKLSQFCHVPDQRAHEAILKVLDLQFVGKVPREPKLVEWTERASKFDKLKTTVKIAMVGKYTGLSDSYLSVLKALLHASVAMGRKLVVEWVPSCDLEDSAAKETPEAHKKAWKLLKGAEGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIDFACSIMKLPGANSTEFDPDTMSPCVIFMPEVNPEMVPEFEKAGLSFVGKDESGRRMEIIELPSHKFFIGVQFHPEFKSRPGKPSPLFLGLIAAASGQLETLLQPSSNIVNPNPMPRFPIPKKTIYHAKKPLDSLVNGYFANGNVIHT >ONIVA01G28230.1 pep chromosome:AWHD00000000:1:24730117:24742074:-1 gene:ONIVA01G28230 transcript:ONIVA01G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24710) TAIR;Acc:AT5G24710] MLRLRAFRPTSDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGVKLEKLAEGDTDSKGKPTEAIRGGSVKQVSFYDDDVRFWQHWRNCSAAAEAPTAVNQQSSAFSAPAPSTRGRHFVVICCENKVIFLDLVTMRGRDVPKQELDNKSLLCMEFLSRSSSSDAPLVAFGSSDGVIRVLSMLTWKLVRRYTGGHKGAISCLMTFMSAAGEVHLVSGGSDGLLILWSADHIHDSRELVPKISLKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLTQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAVAPLPTPAESKEHSAVYIVERELKLLNFQLSNTANPSLGNAGVTSETGRSRNDPLEQLVVKQTKKHISTPAPHDSYSILSVSSSGKYVAVIWPDIPSFAVYKASDWSVVDSGTGKLFAWDTCRDRYALVESALPPRMPLIVKGGSSKKAKEAAAVAAQAAAAAASAASAATVQVRILLDDGTAHVLQRSIDGRSEPVIGLHGGALLGVTYRTSRRISPVTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQYIVISSLRPQFRYLGDVSIPFATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETKKRKEEMKAREAQSRAAAEHGDLALITVEGPKTTTSEKIALRPPMLQVVRLASFQYAPSIPPFIVPKQSKLDGEDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALACLLTMSNSRDVGQETTATDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQADIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSGLVTNLITAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHSQAHGRPSLRNLVIAWNKMLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIVIKKSGAKPGLPNAAQAPTAAIGAPMAQGTPMVQGTPMVQGTPMAEGTTGAQAAPTAQGAPAQTQNPEEAKPSESTAAPDNAEKTATPDNAKPTAAPGNVEATTEPAAAPGNVEGTAAAATDGTSNADATSGAPAPAADSNGADPPAVTPGQVTNGAPSTETPETADKPSSTEPSPAPPIPNVPAV >ONIVA01G28220.1 pep chromosome:AWHD00000000:1:24707695:24710508:-1 gene:ONIVA01G28220 transcript:ONIVA01G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPLGVGTVAGDGVDVRASSPGGLRRIRIHEGGDIGKLPVVEPSSRSGRRIAPLAKEPPSRGVAEPSSRCECHLIKASTRSRVVSNRRGLARRQARQTAVRAPPAVLCVNPRGASPRPAAAEDMGSSRDELVAVYKGGRILYLAYFRTFKRADHPGVTLSPRLSTTPDGDHHGEIVWPAPSRSLLGGCRAEGASRHGVHRRGLRHPSPSARQPPNLYHLLEDSVSGLVDSTIAMQIFDHKSNDNELKFSQKKKDMIESFGKLKVSKVRSEFLKMEKSFMKLIESSDKAIERQIVDSIYSFAQDNQAWLEYKALSDPSSESDNSEVDPSYNPESDGDDLEPGNSGTIQGSNDGDDDDGGGGDDEEEDDDEEDDDDEEENEEDDDEEEDEEEDDDEEEDEEEDDEEEDDEEDEDYDPVHDDDEDDDDEYMNGLANLPPLPPGTEYWNGVTVVNRVSAIRNLNPQFHVLGFGPNLTIHQRVRLWATGDAMGFDFHPTEMLRYVWRMELLLNGQNPGNPLDAVNEPPVPNPGDYDDEGWITDEDMVGGIALDDLGLDSSSSDIGDA >ONIVA01G28200.1 pep chromosome:AWHD00000000:1:24705511:24706653:1 gene:ONIVA01G28200 transcript:ONIVA01G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAGAQFSVASSSVTLVPTSLANDRNRRLIHSGEGRGASWIASAAAAPAVLLKAVNESLKSRFRRQIGFELERLRHRQSHMEMAVSGITAQLSTGLAILSVGAAHDKGKELTVIDVDVNVGGGRVRSNRWDKSRAARSGNPGRYFSASARHPTAVSHRAYASLAPLTGPTRSPARAMTRARPRQPSGTRRRRSRRARTPSPPAAVAAPPSRLAPAQPAEK >ONIVA01G28190.1 pep chromosome:AWHD00000000:1:24704366:24705452:-1 gene:ONIVA01G28190 transcript:ONIVA01G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGITAQLSTGLAILSAGAARDKGKELAVIDVDVGVGGGRVRSDRWDETRAEVRLGVLQRRPVGRPRPYILPELDCSLSSKQYYKVFVALGMSFCLLSLFIISRSVFVDAGVAAIDIETKKRKEEMKAREAKSRAAAEHGDLALITVEGPKTTTSEKIV >ONIVA01G28180.1 pep chromosome:AWHD00000000:1:24699687:24699917:-1 gene:ONIVA01G28180 transcript:ONIVA01G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGADETTRHGPPPPPPAVVKIIETVHIEADSAEFKSIVQRLTGKDAVAGGRRPDRSSTGKADADEDQAQGFAR >ONIVA01G28170.1 pep chromosome:AWHD00000000:1:24695394:24696848:1 gene:ONIVA01G28170 transcript:ONIVA01G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSPSLVCLLVLLPFLSLLLLHRSAFPASCSPLLAHLTASSSWRSSASGFAGGDLREIEFSWNHLPFRQSRPPPARLKIAVFSRKWPVASAPGGMERHAHTLHTALAARGHRVHVFTSPPPHTEAAPPRSADGPQLHFLDGDPGVWRCDEAWKLYEAEAENDPFDVIHSESVAVFHRWARGVPNLVVSWHGISLEALHSGIYQDLARGDDERMSPAFNHSLAQSVYRVLSEVRFFRSYAHHVAISDATGEMLRDVYQIPSRRVHVILNGVDEAQFEPDAALGRAFREDLGLPKGADLVLGVSGRLVKDKGHPLLYEAFSKLVLRHPNVYLLVAGKGPWEQRYMDLGRNAKVLGAVPPEKLKAFYNALDVFVDPTLRPQGLDLTLMEAMQCGKPVLATRFPSIKGSIVVDDEFGYMFAPNVESLLEKLEAVVEEGARRAAQRGRACRDYAKTMFAATKMALAYERLFLCVKNDTFCAYPAEFD >ONIVA01G28160.1 pep chromosome:AWHD00000000:1:24684503:24685784:-1 gene:ONIVA01G28160 transcript:ONIVA01G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFFKVLFGPAAVFLSALVVLSCFKSVPYLSRMSSSELPSYMSYFESPISKCDIFQGEWVPDESSPQYTNLTCSYIQEHQNCMMYGRPDLEFLKWRWKPAGCDLPRFDPDKFLRLVGNKTLAFVGDSLARNHMQSLLCLLSKVATPKDVSVTGKTDPDKILYYEGYNFTIHIFWSPFLVRTEESAESPGVFKLYLDEPDCKWFARVAGFDYVIFSGANWFTRPSLFYENGRLVGGSYVALNITSDLTLRHSHRMAFRTALRAINDIRFRGKAIVRTLSPMSHFEGGAWDKGGDCRRTRPYRGNETAMGGMDLEFYTSQVEEFREAQREAAANGVDMVLMDPTAAMLLRPDGHPSRYGHWPDEKRVLYNDCIHWCLPGPVDAWNDMLLHMLSD >ONIVA01G28150.1 pep chromosome:AWHD00000000:1:24671481:24672863:-1 gene:ONIVA01G28150 transcript:ONIVA01G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHFVLRFLFGPVPVYFSALAILILLTNAQYFGLVGVTVPRATKLASSAPVVSVMKYCDIFRGEWVPDSDAPYYNHKTCYMIQEHQNCLKYGRPDLEFLKWRWRPSGCELPRFDPVQFLQFNRHKSLAFVGDSLARNHMQSLLCLLSQVAYPKDMSANPTTQNKVYHYRGYNFTVSMFWSPFLVKAREPDHDGPAHTGHWSLYLDEPDQSWVSEISRFDYVLVSAANWFSRPSLFYEKRRLVGCSFCSRQYGVPDLTLYYSQRRAWRVALKAINDLESLRGRVIVRMLSPMSHFENGTWDQGGNCKRTQPLRSNETVMEGRDLHFYTAQMEEYRAAEKVAEAKGRRMMLMDATAAMLMRPDGHPSRYGHLPNQKVQLYNDCIHWCLPGPIDIWNDMLFQMLLV >ONIVA01G28140.1 pep chromosome:AWHD00000000:1:24667897:24668307:1 gene:ONIVA01G28140 transcript:ONIVA01G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGAVVKKGHDEGMKMATALLEEFGLPLGLLPLAEVIEVGFVRATGYMWIAQRKKVEHQFKMVSKQVSYDVEITGYVKAKCIKKLKGVKAKELMLWPPVNEITVDNPPTGKIHFKSLAGVTKTFPVEAFAAGQ >ONIVA01G28130.1 pep chromosome:AWHD00000000:1:24661585:24666078:1 gene:ONIVA01G28130 transcript:ONIVA01G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQC7] MAAATSTSSTALAHPKTLNPASKSAAAGSVSFPAAQPPCPLAASAGGRRRRGAVAAKVSSPQVIGATMPSLDFETSVFKKEKVSLAGHDEYIVRGGRNLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLREGSKSFEEARAAGFTEESGTLGDIWETISSSDLLLLLISDAAQADNYEEIFSHMKPNSILGLSHGFLLGHLQSVGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVEALFRRYTEHGMDEDSAYKNTVECITGIISKTISKKGMLEVYNSLTEEGKKQFIEAYSAAYYPCMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPAGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKNAPVNQDLISNFFSDPVHSAIEVCAQLRPTVDISVPADADFVRPELRQSS >ONIVA01G28120.1 pep chromosome:AWHD00000000:1:24653085:24656262:-1 gene:ONIVA01G28120 transcript:ONIVA01G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAPRAVAERWRELHGEDHWKGLLDPLDADLRRSVIGYGELAQATNDAFIREAWSPHAGACRYSRDRFLEKAQASTQLAGLYEVTAFFYATAGAGGVPAPFMVRNRESNWMGYVAVATDAGVAALGRRDVVVAWRGTVRPMEWLNDLDFTLVSAAGVLGAGGRSPAPRVHRGWLSIYTASDPASKYSKLSAREQISDEIKRLMDKYKDEETSITVVGHSLGAAVATLNAADIVSNGLNQHGACPVTAVAFACPRVGDSGFRKLFDELPGLRLLRVCNSPDVVPKYPPMGYADVGVELPVDTRRSPYLKSPGNQAVWHSLECYMHGVAGAQGKRGGFKLEVDRDVALVNKNVDALKEEYHVPPSWSVQRDKGMVRGADGHWKLMDYEGEESSHDK >ONIVA01G28110.1 pep chromosome:AWHD00000000:1:24646172:24646922:1 gene:ONIVA01G28110 transcript:ONIVA01G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRHHGWSAGSAIINTVHEDTRYTGKSTAYDNDFPKVSTVCDRLMLQTYFGLSKSSSGGPKKEQTFSFVDQGTDTPRVGGSIYGVRPSQNFGSLFRGYKEGQGRILTRKMDNSNAPFRAGRRSAGAACLHVLHDSKGYELSTTPNILPHKKIHLHSDDIF >ONIVA01G28100.1 pep chromosome:AWHD00000000:1:24638538:24642783:-1 gene:ONIVA01G28100 transcript:ONIVA01G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQRLPFRRLVLLLVASTALACSVLAGGAVASVVETLGVRRHFGSPKRNTTGQHGAGGRRGGGSARSGLASCNMFQGSWVYDDSLPMYDTAGCPFVEAEFDCQKYGRPDKLYLKYRWRPSSCELPRFNGLDFLSKWRGKKILFVGDSISLNQWESLACMLHAAAPSSRTTYSRGTPFSTVTFQDYGVSVAYYRSTYLVDIVDESIGRVLKLDSISGDAWLGADMLIFNTWHWWTHTGRDQPWDFVQDGGQVMKDMDRLSAFSKGMSTWARWVDSNVDTSKTRVYFQGISPTHYNGADWGEGSRNCAQQTQPVAGSAYPAGPVPAQSAVRSAIAGMSKPVFLLDITLLSQLRRDGHPSGYSGGHPGNDCSHWCLAGVPDAWNQILYASLLA >ONIVA01G28090.1 pep chromosome:AWHD00000000:1:24633262:24639995:1 gene:ONIVA01G28090 transcript:ONIVA01G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPKVSKSPAHVGRTPETPGRGSSSGSPAPGGSASKAVSFARSLGVHFPRSSAQVQPARAPPEVADLVRAIEQLQERESRLRVELLEQKILKETVAIVPFLEAELAAKSSELEKCKDTAARLESENMRLCAELDAAVLEVTSRKQRIVHMEKEMAELKKQQEAAAADADDCSSTASVSHEQPESASSAANPASLVQRGPPIPPPPPPVPPAAFKSKSYSASSRVSLPSTSAPSPSSSTSTSPTYSCSSSDTVTTPRNRKPELSKLPPIPPPPPMPALSVCGRAAVPPPPPPPPPARRTSGAASPAASGPRVTRVPEVVEFYHSLMRRDSRSRDGSGGGETANGGGVAATRDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVTFVKWLDNELSRLVDERAVLKHFEWPENKADALREAAFGYCDLKKLEVEASSFRDDARQPCSTALKKMQALFEKLEHGVYNLARFRDGATGRYSRFQIPCEWMQPDTGIVSQIKLQSVKLAMKYLKRVSSELEAIKGGPDEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRIQRQEQNRHLRRQQKLVARA >ONIVA01G28080.1 pep chromosome:AWHD00000000:1:24609743:24613289:1 gene:ONIVA01G28080 transcript:ONIVA01G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFLLVSVLLATTLTDVASAQRWRQTSGGGKDRWDGLLDPLDADLRRDIIRYGELAQVTSDALIGDPASPFAGASRYAPDAFLRKVRASDPDAYRVTRFVYATSSVRLPDAFMPRPAPSAGAAWSGESNWMGYVAVAADGVAAKAGRRDIVVAWRGTKRAVEWANDLDITLVPADGVVGPGPGWTQPSVHRGFLSVYTSKSFSSPFNKLSAREQVLAEITRLLRAYKNENCSITITGHSLGAALSTLNAIDIVANGYNVRGSSRVPVPVTAIALASPRVGDDQFKRAFDSTPNLSLLRVRNAPDIVPTILPSAFFKDVGAELLVDTRRSPYLKNPAGPAQWHNLECYLHAVAGTQGAGNGAGFSLVVDRDLALVNKEVDALRDEYQVPAAWWVEKNKGMVQNASGRWVLQDHEEGNLAM >ONIVA01G28070.1 pep chromosome:AWHD00000000:1:24604175:24605889:-1 gene:ONIVA01G28070 transcript:ONIVA01G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKGKDEGKVRGKGPTAEEGRAKGATGADTRGEVAGDSAARVIGQRRCGRAVEVGRQTVGARKQLSAGQGSSRCRRLGNGARKRWSEPMPGRRGWRSGGGEEAVVGTNSGAMKLWAALAVGSGLALSSTSRSLDALLSMVSPSLLSILPTRLRRMSRLTRQPIPCPRVSRRGDENANKGEDNQRLKAPHLDPLAEAVPDPRCGRGVSGACAGRATEQGPQILRAPKILIQIVANTWPKTLQKVNRSIFHRIPVQASALSRIAKVPMPQPLRLLSPVPSGLRFCFIANDRLIATPVFNFTIKNLLGY >ONIVA01G28060.1 pep chromosome:AWHD00000000:1:24594957:24601965:1 gene:ONIVA01G28060 transcript:ONIVA01G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRGGFGFSPAVAALYCAALVVAGGLGSRPVLGCYSRIFSFGDSLTDTGNYVRLTAGRKPSSPYGAPPYGRTFFGRPTGRASDGRLVIDFIAQEFGLANVTAIQVGAGPADFPHGANFAIISSTANNASFFARKGLDITPFSLDTQMFWFRTHLQQLTQQLNGGGGGGGSILSDALVALGEIGGNDYNFAFNKGVPRETVRAFVPAVVDKLAAAVEELIGMGARAFVVPGNLPFGCAPLYLNRFRGAAASEYDARTGCLAWFNKFAEYHNRVLTARLDDLRRLHPDVTIVYADWYGAMTSIFQAPGKLGFTNALGSCCGNQSVPCGKAGCTVCEDPSTYVSWDGTHPTEAVYKLIADGVLHGPHASPVPLAKTCPPT >ONIVA01G28050.1 pep chromosome:AWHD00000000:1:24587882:24591682:1 gene:ONIVA01G28050 transcript:ONIVA01G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGSPAIYTSPRQRLPPHQEQSSQIDQKGTLATMFSCDMASRWRELHGSGHWDGLLDPLDVDLRRCLITYGEMIMATYEAFIGEHRSPNAGMCRYRRADLFRRVDVSHPGWYAATRYIYATANADVHGKVLLRPLCREGRATECNWMGYVAVATDEGAAALGRRDIVVAWRGTQRALEWVADLKLAPASAAGILGPEGADGTDPSVHRGYLSLYTSEDQCSELNKQSARMQVLTEIARLMDKYKDEETSITVIGHSLGATLATLNAADIAANSYNTSSLSPSGETRAPVTAVVFGSPRTGDRGFRDAFHRLRDLRMLRVRNRPDRIPHYPPVGYADVGVELLIDTRLSPFLRRHGSESQSHDLECHLHGVAGWHGDHRGFELVVDRDVALVNKFDDCLADEYPVPVRWKVHHNKSMVKGPDGRWVLQDHEPDDDDDDDDDDD >ONIVA01G28040.1 pep chromosome:AWHD00000000:1:24581715:24587519:1 gene:ONIVA01G28040 transcript:ONIVA01G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial RNAediting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G52630) TAIR;Acc:AT5G52630] MPPPWPPAAPPPACARSLADLLVALSAARALPKGQQLHGHLLKAGHLPATASSHAPIAHHLLTFYARCALPGDSLCAFLDLPAPPSPAAWSSLISSFSQNGLPAAAFDAFRRMLAAGVPATDRNIPSAAKAVAAAEDSSRPPLAPHALHGLSAKTPFAGDVFVGSSVLDMYAKCGHLADARRLFDEMPERNVVSWSALICGYADAGMHSAAMEIFRLALEEAVPVNDFTVSCILRVCAAATLFELGAQVHARSIKTALNASPFVGSSLVSLYSKCGLVECAYQVFGEAPERNLGIWNAGLNASAQHGHTTAAFQRFMDMQNAGFRPNSITFLSLITACSHAGLVDEGKRYFSLMKEYRIEPQAEHYAAMVDLLGRVGRISEALGLIESMPMEPPEYVWGALLMACRMFKDADTAAIAAKRLFETGSRSSGAHMLLSSTYAAAGRHMDAALARKAMRDAGVRKETGLSWLEAAGEVHTFVSNCRRHPRSNEIYNVLEKVGEKMEAAGYVADTSAVVKDVDKDEKQATMRYHSERLAIGLGLLIVPEGVPIRVMKNLRVCDDCHNAVKYLSKCTGRIVILRDNRRFHRFEDGEIDLYRFSGWMDGCQVGRLIGREENDRELIFYMLMELQI >ONIVA01G28040.2 pep chromosome:AWHD00000000:1:24581715:24586490:1 gene:ONIVA01G28040 transcript:ONIVA01G28040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial RNAediting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G52630) TAIR;Acc:AT5G52630] MPPPWPPAAPPPACARSLADLLVALSAARALPKGQQLHGHLLKAGHLPATASSHAPIAHHLLTFYARCALPGDSLCAFLDLPAPPSPAAWSSLISSFSQNGLPAAAFDAFRRMLAAGVPATDRNIPSAAKAVAAAEDSSRPPLAPHALHGLSAKTPFAGDVFVGSSVLDMYAKCGHLADARRLFDEMPERNVVSWSALICGYADAGMHSAAMEIFRLALEEAVPVNDFTVSCILRVCAAATLFELGAQVHARSIKTALNASPFVGSSLVSLYSKCGLVECAYQVFGEAPERNLGIWNAGLNASAQHGHTTAAFQRFMDMQNAGFRPNSITFLSLITACSHAGLVDEGKRYFSLMKEYRIEPQAEHYAAMVDLLGRVGRISEALGLIESMPMEPPEYVWGALLMACRMFKDADTAAIAAKRLFETGSRSSGAHMLLSSTYAAAGRHMDAALARKAMRDAGVRKETGLSWLEAAGEVHTFVSNCRRHPRSNEIYNVLEKVGEKMEAAGYVADTSAVVKDVDKDEKQATMRYHSERLAIGLGLLIVPEGVPIRVMKNLRVCDDCHNAVKYLSKCTGRIVILRDNRRFHRFEDGEIDLYRFSGWMDGCQVGRLIGREENDRELIFYMLMELQI >ONIVA01G28040.3 pep chromosome:AWHD00000000:1:24582051:24587519:1 gene:ONIVA01G28040 transcript:ONIVA01G28040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial RNAediting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G52630) TAIR;Acc:AT5G52630] MLAAGVPATDRNIPSAAKAVAAAEDSSRPPLAPHALHGLSAKTPFAGDVFVGSSVLDMYAKCGHLADARRLFDEMPERNVVSWSALICGYADAGMHSAAMEIFRLALEEAVPVNDFTVSCILRVCAAATLFELGAQVHARSIKTALNASPFVGSSLVSLYSKCGLVECAYQVFGEAPERNLGIWNAGLNASAQHGHTTAAFQRFMDMQNAGFRPNSITFLSLITACSHAGLVDEGKRYFSLMKEYRIEPQAEHYAAMVDLLGRVGRISEALGLIESMPMEPPEYVWGALLMACRMFKDADTAAIAAKRLFETGSRSSGAHMLLSSTYAAAGRHMDAALARKAMRDAGVRKETGLSWLEAAGEVHTFVSNCRRHPRSNEIYNVLEKVGEKMEAAGYVADTSAVVKDVDKDEKQATMRYHSERLAIGLGLLIVPEGVPIRVMKNLRVCDDCHNAVKYLSKCTGRIVILRDNRRFHRFEDGVCSCGDFW >ONIVA01G28040.4 pep chromosome:AWHD00000000:1:24582051:24587519:1 gene:ONIVA01G28040 transcript:ONIVA01G28040.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial RNAediting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G52630) TAIR;Acc:AT5G52630] MLAAGVPATDRNIPSAAKAVAAAEDSSRPPLAPHALHGLSAKTPFAGDVFVGSSVLDMYAKCGHLADARRLFDEMPERNVVSWSALICGYADAGMHSAAMEIFRLALEEAVPVNDFTVSCILRVCAAATLFELGAQVHARSIKTALNASPFVGSSLVSLYSKCGLVECAYQVFGEAPERNLGIWNAGLNASAQHGHTTAAFQRFMDMQNAGFRPNSITFLSLITACSHAGLVDEGKRYFSLMKEYRIEPQAEHYAAMVDLLGRVGRISEALGLIESMPMEPPEYVWGALLMACRMFKDADTAAIAAKRLFETGSRSSGAHMLLSSTYAAAGRHMDAALARKAMRDAGVRKETGLSWLEAAGEVHTFVSNCRRHPRSNEIYNVLEKVGEKMEAAGYVADTSAVVKDVDKDEKQATMRYHSERLAIGLGLLIVPEGVPIRVMKNLRVCDDCHNAVKYLSKCTGRIVILRDNRRFHRFEDGVCSCGDFW >ONIVA01G28040.5 pep chromosome:AWHD00000000:1:24584651:24587519:1 gene:ONIVA01G28040 transcript:ONIVA01G28040.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial RNAediting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G52630) TAIR;Acc:AT5G52630] MSSLRGLGNIARRWRELNGVSYWKGLLDPLDVDLRNNIINYGELSQAAYTGLNRERRSRYAGSCLFSRKDFLSRVDVSNPNLYVITKFIYAMCTVSLPDAFMIKSWSKAAWSKQSNWMGFVAVATDEGKEVLGRRDVVVAWRGTIRMVEWMDDLDISLVPASEIVRPGSADDPCVHGGWLSVYTSADPESQYNKQSARYQVLNEIKRLQDMYEHEETSITITGHSLGAALATINATDIVSNGYNKSCPVSAFVFGSPRVGNPDFQKAFDSAPDLRLLRIRNSPDVVPNWPKLGYSDAGTELMIDTGESPYLKAPGNPLTWHDMECYMHGVAGTQGSNGGFKLEIDRDIALVNKHEDALKNEYAIPSSWWVVQNKGMVKGTDGRWHLADHEDDD >ONIVA01G28040.6 pep chromosome:AWHD00000000:1:24585196:24587519:1 gene:ONIVA01G28040 transcript:ONIVA01G28040.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial RNAediting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G52630) TAIR;Acc:AT5G52630] MSSLRGLGNIARRWRELNGVSYWKGLLDPLDVDLRNNIINYGELSQAAYTGLNRERRSRYAGSCLFSRKDFLSRVDVSNPNLYVITKFIYAMCTVSLPDAFMIKSWSKAAWSKQSNWMGFVAVATDEEIVRPGSADDPCVHGGWLSVYTSADPESQYNKQSARYQVLNEIKRLQDMYEHEETSITITGHSLGAALATINATDIVSNGYNKSCPVSAFVFGSPRVGNPDFQKAFDSAPDLRLLRIRNSPDVVPNWPKLGYSDAGTELMIDTGESPYLKAPGNPLTWHDMECYMHGVAGTQGSNGGFKLEIDRDIALVNKHEDALKNEYAIPSSWWVVQNKGMVKGTDGRWHLADHEDDD >ONIVA01G28030.1 pep chromosome:AWHD00000000:1:24558441:24580997:1 gene:ONIVA01G28030 transcript:ONIVA01G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTSGGGAGLLSPPAVVLAVAVVLSAAAAAQALAAPCYLRVFSFGDSLADTGNVAFLSGNDLAACSDGRLIIDFIAEAMGLPFLRPYWGGQTAGDFASGANFAVGGATALGPDFFREKGVPTDDGVVHLEMEMGWFRDLLDMLCAGDMDGCKGMMNQSLFLVGEIGGNDYNYPLMSGVPIEKICSCTPSVIAKISSTITELIGLGAKALVVPGNLPIGCIPTYLMQFESDKKENYEPEIDELENLRKLHPDVAIIYTDYYGAAMEIFLSPEQFGIEDPLVACCGGGGPYGVSASAGCGYGEYQVCDDPSKYASWDGFHPSEAAYKGIAIGLLQGPYTQPPIASITDSCLQIIGLGSSAERKVIYDMMVSSTSGGLSPPAVVLAVAVVLSAAASRALAAPCYPRVFCFGDSLTDTGNIAFLYGNDSRRPSLWPPYGETFFHRATGRSSNGHLIIDFIAEAMGLPFVRPYWGGQTAGNFASGANFAVGGATALSPDFFRERGVPMDDDTVHLDMEMEWFRDLLGMLCTGGDMDGCKGMMNQSLFLVGEIGGNDYNLPLMSGMSIEKIRNFTPSVIAKISSIITELIGLGAKTLVVPGNIPIGCIPMYLMQFESDKKEDYEPKIGCLRWMNEFSQYHNKLLVDELENLRKLHRDVTIIYADYYGAAMEVFLSPERFGIEDPLVACCGGRGPYGVSASVRCGYGEYKVCDDPAKYASWDGFHPSEAAYKGIAIGLLQGSYTQPPIVSITNSCPQIIGLGSSVEHKAMYDLRHGGRRAVSPSSSAAAASFILLLCAVVLLNTHVALCGCYKRIFSFGDSIIDTGNFVYLTGNGPSQFKELPYGMTYFNRPSGRICDGRVLVDFYAQALNLSLLPPSIPEEGSGQFENGANFAVLASTALGPDYFKTKYNFSLPVPYCLDNQLASFKKVLGRIAPGVDATKSLLGESLIVMGEIGGNDYNFWFTARQPRETARQYLPDVIGRIGAAVQEVINLGAKTVLVPGNFPFGCAPEYLQGFQSSNTSDYDATGCIAWFNDFSRQHNQALVQEVARLRSQNPGVRLIYADYYGAALEFFKNPKNYGIGDPLLECCGGDGPYHTGMTCNKTAKVWGSPANFASWDGVHMTEKAYSIIADGVLSKRYADAPLEAEQQQPLSDMGSFSHQKHSISVYLVLVSAVLLLNSTLGLCGCYKRIFSFGDSIIDSGNFVHIAGDHPCPFKEPPFGMTYFKHPSGRISDGRVVIDFYAQALQLPFVPPSLPEKDRGQFPHGANFAVLASTALPPEYFRRRNHTVPMPFSLATQLEWFKQTLQRIAPGDAARRALLGESLILMGEIGGNDYNFWFLDHKPREVAYQFIPDVVASISSTVQELIGLGARTIMIPGNFPTGCVPAYLSAYRSGNPADYDEFRCLRWFNAFSAAHNQALLNEVSRLKAQHPGVRLIYADYFGAALQLFRNPRRFGINDPLLACCGGHGPYHTGATCDRTATVWGDPGSFANWDGVHMTEKAYHVIADGVLNGPFADPPLLHSC >ONIVA01G28020.1 pep chromosome:AWHD00000000:1:24553378:24557424:1 gene:ONIVA01G28020 transcript:ONIVA01G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSTSGRKGGGLLLSPPPAAVVVAVAVLLSAAEAATEEPCYPRLFSFGDSLTDTGNFAFIYGNDSREPALRPPYGETFFHRATGRFSDGRLVVDFIADALGLPFVRPYLSGRTAGDFACGANFAVGGATALSPAFFRARGVPMADIVHLDMEMKWFRDLLKLLCPGDLAGCTGMMNQSLFLVGEIGGNDYNLPLLSGVSITKIRSFTPSVIAKISSTITELIGLGAKTLVVPGNLPIGCVPNYLMIFKSGKKEDYEPETGCLRWMNEFSQYHNKLLIDELEKLRKLHPDVAIIYADYYGAAMEVFLSPEQFGIEDPLTACCGGGGPYGVSGTARCGYGEYKVCDDPQKFGSWDGFHPSEAAYKAIAIGLLRGSYTQPSFATTTNSCPQITELSSSVEYKVLYDL >ONIVA01G28010.1 pep chromosome:AWHD00000000:1:24546265:24550207:1 gene:ONIVA01G28010 transcript:ONIVA01G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQB0] MRPSLMRSASQVLRRRRGYSSASGQPERKVAILGAAGGIGQPLSLLMKLNPLVSSLSLYDIAGTPGVAADVSHINAPAQVKGFMGDDQLGEALEGSDIVIIPAGVPRKPGMTRDDLFNINAGIVKNLCNAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTDVNVPVVGGHAGITILPLFSQATPATNALSDEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFANACLKGLNGVPDVVECSFVQSTVTELPFFASKVKLGKNGVEEVLGLGQLSDFEKEGLENLKGELKASIEKGIKFANA >ONIVA01G28000.1 pep chromosome:AWHD00000000:1:24539315:24546145:1 gene:ONIVA01G28000 transcript:ONIVA01G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKTAAPPPPDAAAFSPDGELFAAVSDRRVQVWRTGGGEIIEGWTDPISAPDDSYSCIACCSVQKKHKKDGNLILVAVGTTNGQVLVLDSTGVIWKNAPHTCKVVSLHFARHGRVLYTAGMDGIICELNSRTGESKDTIKATKKPINSFTLSHDEKFMGVSSKITRLFSVSEKKEILRIPSDVGPVQLMSVSDDGRFLVSHVDNNKEVQVWSCDQNSCTIVSTASLTMQNQPKIVECTRSTSYGDGGIVLAVSKKGVAHVWHLQTLSQNEVLPTKISVKNSLDKKGRIPIISAKLCDTNEDNTVKVHVVFGSPNFLQFKVVELDDTCKDINLVAEYDELAKQDMVSPQERNLEQEAKANSKDAEPVQGKAKKRTSSVLDSTNDTTKEVNPEYNLDEPTMEEKLASLNLLNKSEITEEQPPSLAPPSADSVHVLLKQALRADDHTELLKCLYNRDEKVIVKSVSLLTPADVVKLLKFFVLLIQSRGAKLVCMLPWLQALLCRHMSSIVSQESSLLLLNSLYQLIDARTSTFKSALQLSTTLDYLFSGVSDGETDEEDAVPPIIYEDKDTDDEESEVDAMETDEESQELGDVTDASEHSDGSDIMTD >ONIVA01G27990.1 pep chromosome:AWHD00000000:1:24535113:24535463:-1 gene:ONIVA01G27990 transcript:ONIVA01G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGLAENYHACVGGGGSVLEVSYHGMAVAMGRVPRFCVHGKRAGGERADGVASAKATSVREELRGLIRSERQIVGAAEFSVEGEIKGFGYLRCKALWFRDDKRRSPIPLCQVEA >ONIVA01G27980.1 pep chromosome:AWHD00000000:1:24528567:24532817:-1 gene:ONIVA01G27980 transcript:ONIVA01G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKRRRSPLPPPAPPGFPTERKHHLPELQPPAVAAASPGVAGVPADLGDALLRCGKLLDKLLEHEDGWVFAEPVDARALRLVDYYLRISDPMDLGTVRRRLERRRYADPWAFAADVRLTFNNAMSYNSAGDPVYESAAELSEIFEAGWPSVLAAPPRPPDAERKRRLSGLLPRLPVGAQVTVAEIMKKRDGCLREVNGMMEVDLDRADSATLDELDRMVAEHGAALAGVVKVKQK >ONIVA01G27970.1 pep chromosome:AWHD00000000:1:24524284:24527197:1 gene:ONIVA01G27970 transcript:ONIVA01G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFGRPVWLLGGAGLLAPSVRLRLSLESGHCADIMPDVEAGSVVDPPQERSCCCCACLRGNTRKFLLRTATVVILFLAVRFGFARHSVGAKLAVESVAYVATACLYFCSFSSWKEKTVSGTFLAVVTVVVRRLRRKAAAAATAAEPLPPEKKEDAGRPLVQAERLRAAFRIDGLLREYSHGEIQAMTDDFGCVVGRGGSATVFRGVLDDGTAVAVKRIVCDESVGEADFLSEITIVASVHHYALVGLPGYCLQPGGGRYLLYPFYENRSLDYWLFSGEERRRHLPWATRRHVAVDVAKGLAYLHHECKNQILHLDIKPANILLDGDFRAHVSDFGISMSIGRDLTSVDTRGRGTLGYMAPEMLVNALSAKSDVYSYGMMLFELVGGRRNFELAGSGGASQARAPPDFTKEFLPCVMRDRMEEGRLMEVVDATMARGNGGGAAGVDEEEVEVVVKVAFWCTQHSRDMRPGMTDVVDMLEGRAPIPPPPVRPEFLGDTFLVSCARTAMSR >ONIVA01G27950.1 pep chromosome:AWHD00000000:1:24504284:24509354:1 gene:ONIVA01G27950 transcript:ONIVA01G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPGATASAVGQITASWWNWPDLLHSEGLGGRAASEHRDSGVVEATMWVCGRVPWIPLARPDQRRRRWWQGKSERGGRSGGVDGSSTVLEDQKSEHGVGEDHGSVFGGGSGGMWRRRRMGTTSAPAAVDGEDHGSGGWGRPRWPAVECAAATVAAEGVVLGSGRCGAADGEEVGSGSGWRSRLRRGCGGAARCQYMRGGARRSSEHRWRKGPRPDGPAHDTLFWLGPSMARPDGGRARAGPARQSCRA >ONIVA01G27940.1 pep chromosome:AWHD00000000:1:24503195:24504048:1 gene:ONIVA01G27940 transcript:ONIVA01G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVADRGRRQLRIEDGTGCGSRTAPLLLSPGQLPHHSPFSPSRSGMPLPLHPHSMATPSLSTIRYKKNKKRANDDDDGGEVAAEERSRNSGLRVGARTIE >ONIVA01G27930.1 pep chromosome:AWHD00000000:1:24486613:24494641:1 gene:ONIVA01G27930 transcript:ONIVA01G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATERKNGFLYLREKRRAAAVVLAAVAVLLSASQALAAPCYPRVFSFGDSLTDTGNIAFLYGNDSRRPTLWPPYGETFFHRATGRASNGRLIIDFIDLEIARVALAAADALGLPFVRPYWSGRTAGDFAHGANFAVGGATALSPDFYRERGVHVRDTVHLDMEMNWFRDLLGLLCPDDLADCNDMMNQSLFLVGEIGGNDYNHPLMGGVSIRKIRSFTPSVIAKISSTIAELIGLGAKTLVVPGNLPIGCIPYYLMIFKSGKKEDYEPETGCLMWMNELSQYHNKLLMDELENLRKLHPDVAIIYADYYGAAMEIFFSPEQFGIENPLAACCGGGGPYGVSETARCGHGEYKVCDDPQLYGSWDGYHPSEAVFKAIAIGLLRGSYTQAPLACPQITELSSSVEYKVLYDL >ONIVA01G27930.2 pep chromosome:AWHD00000000:1:24486613:24494641:1 gene:ONIVA01G27930 transcript:ONIVA01G27930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATERKNGFLYLREKRRAAAVVLAAVAVLLSASQALAAPCYPRVFSFGDSLTDTGNIAFLYGNDSRRPTLWPPYGETFFHRATGRASNGRLIIDFIADALGLPFVRPYWSGRTAGDFAHGANFAVGGATALSPDFYRERGVHVRDTVHLDMEMNWFRDLLGLLCPDDLADCNDMMNQSLFLVGEIGGNDYNHPLMGGVSIRKIRSFTPSVIAKISSTIAELIGLGAKTLVVPGNLPIGCIPYYLMIFKSGKKEDYEPETGCLMWMNELSQYHNKLLMDELENLRKLHPDVAIIYADYYGAAMEIFFSPEQFGIENPLAACCGGGGPYGVSETARCGHGEYKVCDDPQLYGSWDGYHPSEAVFKAIAIGLLRGSYTQAPLACPQITELSSSVEYKVLYDL >ONIVA01G27920.1 pep chromosome:AWHD00000000:1:24483229:24485160:1 gene:ONIVA01G27920 transcript:ONIVA01G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNVSSTITCLIKAHYPGTYRPLDKHGKQVPEDEAVVIAHYHNFPAHTRITILKEFLLRFKFADGREEDCARLFYRKAIERFSQALSHEKSEAKRSLEKHIENMRATELQQDGDAPSHDDFDVDDPQLWKAFPPYWIEQKWWDMLCDVWSDENVKKVSAQNSKNRMEGGGVHHTCGSRSVAMHKQAMIIENAGTDVDDIDVFERTHRHAKGKGQYANKKAEQLAVVYNDRVKEGENNQVDKQHVWVQLTKGRKRGRYYDLPGIIDRNHVGNSASAPSGSMETQPLYTQQQVQDIVQQAVTNAVNNAHQELASRIERLEQTVDKDKAETHSHDANGPSSSVVPKFLHALLMNGMNTTEEAPQDGHCGRTWENDDLWRF >ONIVA01G27910.1 pep chromosome:AWHD00000000:1:24455432:24458522:-1 gene:ONIVA01G27910 transcript:ONIVA01G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase protein I -related [Source:Projected from Arabidopsis thaliana (AT2G31040) TAIR;Acc:AT2G31040] MSLPALAAGRAASVRPPRASAASGEAAAAAAADQPAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATPKPRKYWMGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGADTPPTITRLTPVDEDTESGFLSINRAMSLDSVDVDLSKELQAPTRPILKTQVEAAWRGRAIGAEAVNGVASPRWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVQQGDPEVLAAKSREQYLELKQRLQLFTLGIGGIGLVSAYFSYSPEIAASFGAGLIGSVLYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVALVMMYNRWNEILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQESIPAVGNRSCPEEDRPRFYKNLIWGYYQDKMHTQSLRSEYTI >ONIVA01G27910.2 pep chromosome:AWHD00000000:1:24454379:24458522:-1 gene:ONIVA01G27910 transcript:ONIVA01G27910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase protein I -related [Source:Projected from Arabidopsis thaliana (AT2G31040) TAIR;Acc:AT2G31040] MSLPALAAGRAASVRPPRASAASGEAAAAAAADQPAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATPKPRKYWMGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGADTPPTITRLTPVDEDTESGFLSINRAMSLDSVDVDLSKELQAPTRPILKTQVEAAWRGRAIGAEAVNGVASPRWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVQQGDPEVLAAKSREQYLEALVALVWSPPIFPTLLRLLQGLIGSVLYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVALVMMYNRWNEILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQESIPAVGNQPKHGQPKELKKTKISINHGSICHSDSSSHCLEACCCCC >ONIVA01G27910.3 pep chromosome:AWHD00000000:1:24454379:24458522:-1 gene:ONIVA01G27910 transcript:ONIVA01G27910.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase protein I -related [Source:Projected from Arabidopsis thaliana (AT2G31040) TAIR;Acc:AT2G31040] MSLPALAAGRAASVRPPRASAASGEAAAAAAADQPAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATPKPRKYWMGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGADTPPTITRLTPVDEDTESGFLSINRAMSLDSVDVDLSKELQAPTRPILKTQVEAAWRGRAIGAEAVNGVASPRWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVQQGDPEVLAAKSREQYLELKQRLQLFTLGIGGIGLVSAYFSYSPEIAASFGAGLIGSVLYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVALVMMYNRWNEILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQESIPAVGNQPKHGQPKELKKTKISINHGSICHSDSSSHCLEACCCCC >ONIVA01G27900.1 pep chromosome:AWHD00000000:1:24453566:24454081:1 gene:ONIVA01G27900 transcript:ONIVA01G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPSTAAAAAADASGFKLFGKVIQPDGQRGVEESAAAQAPPHPHPPAPPVMEAAAAAGTSQTLQAAGGGGGGGGGEPLPCPRCGSRETKFCYFNNYNVRQPRHLCRSCRRYWTAGGALRRVASASPGRRRPRPSAARSAAAAAASASAASPPAAVPAASEGAESVDSRS >ONIVA01G27890.1 pep chromosome:AWHD00000000:1:24445929:24448524:-1 gene:ONIVA01G27890 transcript:ONIVA01G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEAEAVETAKEWTRLYASGA >ONIVA01G27880.1 pep chromosome:AWHD00000000:1:24437429:24443684:-1 gene:ONIVA01G27880 transcript:ONIVA01G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGADEPSITRWTFEDFEVYYEVRLGIRREPGGDEDGDGDGGGGRGYAPLGSGSAGSTRPSAAHANGGADLAVFEQFERLERKVELRNGAIEAGPPQKSLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFKGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDDLVFVLAATNLPWELDAAMLRRLEKQQEARHAMFEELLPSVPGTMNIPYDVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEGRQEEVPEDELPEVGPVTTEDIELALRNTRPSAHLHVHRYEKFNQDYGSHVLS >ONIVA01G27870.1 pep chromosome:AWHD00000000:1:24435953:24436222:-1 gene:ONIVA01G27870 transcript:ONIVA01G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVGVVVAVIAACVLLVVGMTAPPVDAAAAAAARRLGNGRDAAVTDPALEAMMPAQTTVAPVVADGGDVDVSGSKRLSPGGPDPQHH >ONIVA01G27860.1 pep chromosome:AWHD00000000:1:24433617:24434792:1 gene:ONIVA01G27860 transcript:ONIVA01G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPRPPWSDGLPPELLGVIFEQLSCLADRACFAAVCRPWRTAAAFVDAPQRGLPWLLLPSRDAPSFFSLHSGATRHLTLPEGVRGARLCGAHDGGWVAVAVDPWRGFAAVNLFTGVRVTLPEKLRLEVPYAHGYGPVTVTSHHPMLVRTIVFSAPPASPDCIAAAHVSSASNIAFWQPGMSRHWIASRPEPDVIQDIIYYSGEEKQGFHVLTNREEVLVFAPRAGRDPNALLEMTCASYQMRRRANHLPASFIATRYLVESRGKLLMVVRHCTGNPRVRRRTRMFRVFEMSLLPTGAYWLEIHELSGRALFLRRGCSRAVEVSQFKMLKEDTIYFLDDTNVDMCDSMVMNNGSRYNMGIYRDGKKIRAGSRQFPRVFTADCSPPIWLVP >ONIVA01G27850.1 pep chromosome:AWHD00000000:1:24428551:24428856:-1 gene:ONIVA01G27850 transcript:ONIVA01G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRASIIVAAVIAACVLLVCMTTSSVVDAAAAAPARRLLGSGRDDDAVAAPVVDVAAAAEPIMQQPAQMVAPVVADGDDGCVVPAGSKRLSPGGPDPQHH >ONIVA01G27840.1 pep chromosome:AWHD00000000:1:24409095:24411211:-1 gene:ONIVA01G27840 transcript:ONIVA01G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLPADPTAARCSFDQSRRRPEDLQQEKRMVRTFVNVYGGQESYTKEAVMAAVEECMKKQAEGLLHSLEGIGGRLSQLELYCYKLERSIGELRSDVMDYHSEGTVNFRCLEKNLRQVQKSVQILQDKHEISETPNEFSKLQIAHEFPARANEASAFSTFGRENDHSTQVAKHEVAFMPLQQVNAMQSPAVPVQSSNGYILQQLVPVSLSTQPDQQQPSQAAVYYMQSQNPIKCTESEPSESAVHVIQSQIQNPEARVAVDLSQKSSQVTELYPQPQDQRLHLPAQQVESQAWRTQPLVVQPQQYNIQQVPPQLVQQQTSSPQAQSAPQVAVLYPPYSSQKPASATTEPLLRNMVVHSPYSSPQQKHHEAMPSFYGQGNAVLLPSTDLNIQHQQPQPLQQHGLSSCPPQPSKPNHCSVASYAVQGSGQSYSATFKNPSNCAATVVAVLPQHPASGPMAFHHLGPQVVHNQPFGNMFETASVVGYPRDRVESVSLPVVTAAQPADSVAMADKLNAGSNVTSPREWSG >ONIVA01G27830.1 pep chromosome:AWHD00000000:1:24402476:24408329:1 gene:ONIVA01G27830 transcript:ONIVA01G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G53770) TAIR;Acc:AT5G53770] MARKARPAEPPTPGRKGRKPLPSHAPPTEAAAAAATAAASPAAATASPAADAMEAEAGGVAIVYDALPGLTLAFSPEEEYLEGATADLGGASTSASAAVVEEVEDATAAYSVFRNEITAAGDALVDIPAADFFSLDVSAAVEDEPATPRALSPQPAPEATLSGSLAPAEQPAQGSERAWFRGGRRFRSPMLQLHKEILDFCDFISPSAEEQSSRTAAVKAVSNVEVFGSFRTGLFLPTSDIDVVIFDSRVKTPQVGLYALAKALSQKGVAKKIQVIAKARVPIVKFVERKSEIAFDISFDMDGGPQAADFIKDYVKKFPALRHLCMILKVFLHQRELNEVYTGGIGSYALLTMLITHLQLVWGGKDILGYRKKEHNLGILLIAFFDFYGRKLNNWDVGISCNSARTFFLKTDKNFANPDRAYLLAIQDPMVPDNDIGKNSFNYFKVKSAFSKAYSVLTDANLITSLGPNRSILGTIVRPDSVLLDRKGWNKDATIPDMLTEPWEPLPRQFDSDNDAVYNWHVIDDEPLPRNTRSSSEDTSPSPTQKRKSSKPKQRSRKKAKADSSSGNNAENGFKRGKGLAQCDRSHQSAGSSRRSKGPREYDRFTNTLPQYTQHISRW >ONIVA01G27830.2 pep chromosome:AWHD00000000:1:24402476:24408329:1 gene:ONIVA01G27830 transcript:ONIVA01G27830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G53770) TAIR;Acc:AT5G53770] MARKARPAEPPTPGRKGRKPLPSHAPPTEAAAAAATAAASPAAATASPAADAMEAEAGGVAIVYDALPGLTLAFSPEEEYLEGATADLGGASTSASAAVVEEVEDATAAYSVFRNEITAAGDALVDIPAADFFSLDVSAAVEDEPATPRALSPQPAPEATLSGSLAPAEQPAQGSERAWFRGGRRFRSPMLQLHKEILDFCDFISPSAEEQSSRTAAVKAVSNVEVFGSFRTGLFLPTSDIDVVIFDSRVKTPQVGLYALAKALSQKGVAKKIQVIAKARVPIVKFVERKSEIAFDISFDMDGGPQAADFIKDYVKKFPALRHLCMILKVFLHQRELNEVYTGGIGSYALLTMLITHLQLVWGGKDILGYRKKEHNLGILLVPDNDIGKNSFNYFKVKSAFSKAYSVLTDANLITSLGPNRSILGTIVRPDSVLLDRKGWNKDATIPDMLTEPWEPLPRQFDSDNDAVYNWHVIDDEPLPRNTRSSSEDTSPSPTQKRKSSKPKQRSRKKAKADSSSGNNAENGFKRGKGLAQCDRSHQSAGSSRRSKGPREYDRFTNTLPQYTQHISRW >ONIVA01G27820.1 pep chromosome:AWHD00000000:1:24394022:24395172:1 gene:ONIVA01G27820 transcript:ONIVA01G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKMAYADLGTSHHHPKKALSMGAIRRHGRLTCCQFQPTVVQPHLASNAQVGSATTKLEKHKLRHKALVSSLPMHPHKSCAIAATESSSEEAGDTGSGDPGLPADGSGWLVRTFIAIFLSNGVDNVIWFVFMLLIPDLANVAKEKEITKLVAIKGSSTTMDEVGCTVGDGMGDLGCWLLCLPPLGAARSTHGRTSQLTDRLGDYYEVDPYL >ONIVA01G27810.1 pep chromosome:AWHD00000000:1:24366676:24372521:-1 gene:ONIVA01G27810 transcript:ONIVA01G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWGAARMAACGPWGRNRRVGAGDAFEASEVRRDGRSRMMPACGPWGAGHGGGDPALERELSRDGSHYSISSAILPSLGARSNRRIKLRRFIISPYDRRYRIWETFLIVLVVYSAWVSPFEFGFIPKPTGALATADNVVNAFFAVDIILTFFVAYLDKMSYMLEDDPKKIAWRYSTTWLVLDVASTIPSEFARRILPSKLRSYGFFNMLRLWRLRRVSSLFSRLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLLADRYPVPTSTWIGNYMADFHERSLWIRYVTSVYWSITTLTTVGYGDLHAENTREMIFNIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRNYRDTIQAATSFGVRNQLPPRLQDQMISHISLKYRTDSEGLQQQEILDSLPKAIKSSISQYLFFHLVQNVYLFQGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVVIQVAKSGEVVGEIGVLCYRPQLFTVRTRSLCQLLRLNRTAFLSIVQSNVGDGTIIMNNLIQFLKEQKENSVMAGVVKEIESMLARGNLDLPITLCFAVTRGDDFLLHQLLKRGMDPNESDNDGHTALHIAASKGNEQCVRLLLEYGADPNARDSEGKVPLWEALCEKHAAVVQLLVEGGADLSSGDTGLYACIAVEESDTELLNDIIHYGGDVNRARRDGTTALHRAVCDGNVQMAELLLEHGADIDKQDGNGWTPRALAEQQGHDDIQLLFRSRKAATASGHHHVPSSTTTRVAPAAAAASLIGRFNSEPMMKNMIHEDADLPSRVLPEKLRRKRVTFQNSLFGVISSSQAQRETDHPLSRGGLAATGSPNPSSGSRNAVIRVTISCPEKGNTAGKLVLLPQTLDMLLELGAKKFDFAPTKVLTVEGAEVDEVELIRDGDHLVLVSDEWDAEKMKGKS >ONIVA01G27800.1 pep chromosome:AWHD00000000:1:24356715:24361091:1 gene:ONIVA01G27800 transcript:ONIVA01G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGKGWVERARRGVKTAWFMVAMVASLLMASAPALVAAGDVAVALWLEVRLGCLRCHGLRGHLERYGFRSSLVDIPLVSIARSVVITCVYLMSDASGLSHGPYLGTATCCSLASLLILLIKASVYSPAQEIGPELSPSLADHKLSLKKLSGMPVLFLSSLVFALGHVVVAYRTSCRARRKLLIHGIDPESILAYKNAYPGCYKTPRSPTPYSGKFYSRSDSETKRKSVAHDDRDIPISFLADGDSMFIACQGITVHYKLSDPSSCISSATDTFPEIHHDVISASISPRRQRHDSPPSASTNTRRLLNRSFSHQYHQTSLYAPLLVEPVTSPTLSDDTPVLSVDDGSADVCLKPMGFDLEAGEQGKFAVVLVHGFGGGVFSWRHVTNLLSRQVGCTVLAFDRPGWGLTSRPRRKDWEDKNLPNPYELGSQVDLLISFCSDMGLRSVVLVGHDDGGLLALKAAEKLRASGDSRKVEVKGVVLIGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQVINRRAWFDATKLTTDVLNLYKAPLFVEGWDEALHEVGRLSFSTVLSSKRAADLLRSVEDLPVLVVAGSEDALVSSKSTQVMASRLVNSRLVTISNCGHLPHEECPKALLSALSPFISGLVSSDDSLQRL >ONIVA01G27790.1 pep chromosome:AWHD00000000:1:24343665:24344094:-1 gene:ONIVA01G27790 transcript:ONIVA01G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGFVELGAASGLRAWPSQTTAHGRGMGLDRACLCVAERSTQTHEEKTENTVGMRSHALHATRRTRCHQLLEENCEHMVGPWEAGSIALHTNQTGTSTGQ >ONIVA01G27780.1 pep chromosome:AWHD00000000:1:24337081:24337620:-1 gene:ONIVA01G27780 transcript:ONIVA01G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSDLRLVGAPVPSTTCEEPNDVTTSVEVELRIQCVQATDTSANLVLVVSRRCSSLPTSLSSATTHGEEDGSGNGATNGEKNKGGEATWSGDTSAL >ONIVA01G27770.1 pep chromosome:AWHD00000000:1:24325677:24329515:-1 gene:ONIVA01G27770 transcript:ONIVA01G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ83] MESLALLHAKPGGLPPRAGLRLPLPRARSARVSLPSSPAAPVSLQSPLLLASRSGPTSRDAVVGLGLGCGLLRRRSGASGGGGGGVSCGAQPAAAAAAGAVPAAQPEGKKFLGVEVKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSREALFYTVIFPFIAFFGAFAFVLYPLRNVIHPTALADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTLGPGIDGWEVSLKGMMSLVVLLGLVITSIYWGVNKFVLNDPSLPKSDRKKKKDKPKLGMKESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRIILRKFGWGVAAMITPTVLLLTGVGFFSLILFGQPLTPMLATMGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLGAASSLDKQFSSLAKEDLKRDMSAKEKVDPSLLKAPEADVLVEHTNGTIESEATATESSPSNSSPSN >ONIVA01G27760.1 pep chromosome:AWHD00000000:1:24322865:24324054:-1 gene:ONIVA01G27760 transcript:ONIVA01G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYATAPRARGRRPTTYTGAGPIATLGLTIQFPTARRKPHGDAETPASSVHWEVVVVQDEAAAGGQRREKVEETAIPAMLSPLVPQSGTGTHHHSPRARSYDSHTMSQAPRQLEMRTSRTTPSRSDSSPVITAYGFRNPSTSSPGTVEASCQSPPTTDPNPYRSTPATTFTRGLPGSSITVDRTTAALPSPYTWSRSPPPFGLCGNRGRKKTGRSTPGPEYTITQSGPACAAHRNVPSVPAAVDAPSAWTASDDCHVSVSDWYSKRSGVLFTSSANRNLISRILGREKDGYLNWLDPGGSATSLRPKRRQEEVPRGPSGDGGRRRAACAERSTTTSSRRPLAAKRKELPDAARK >ONIVA01G27750.1 pep chromosome:AWHD00000000:1:24322431:24324612:1 gene:ONIVA01G27750 transcript:ONIVA01G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHHLTGDHHPSPASAATTALGPLLLLPSELLHDILIRLALPELLRVRSVARPLSHVISSPDFRRLYHLSSAASGPGPAAAWLLVFKKLRPRDAALRGFHGPSGRWFRIPVSAILAPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLSAHAARRLPPSPLGPRGTSSWRRFGLKLVADPPGSSQFRFLFAELVNNTPLLFEYQSETDTWQSSEAVQAEGASTAAGTEGTFLCAAHAGPDCVMVYSGPGVERPVFFRPRFPHNPNGGGDRLHVYGDGSAAVVRSTVIDEPGRPRVKVVAGVDLYGFGSVVGGDWQLASTVPGELVEGFRKPYAVMTGLLSEREGVVRLVLISNCRGAWDIVWLSYDRARGEWWWVPVPDWGTKGLNMAGIAVSSTFSRLWPPAAASSCTTTTSQ >ONIVA01G27740.1 pep chromosome:AWHD00000000:1:24314815:24318748:-1 gene:ONIVA01G27740 transcript:ONIVA01G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSGEVLATACFVRVPVARTPPTPYTVVIDLHALAYSNGQRSSGLLNIRSVFQSSSITRRLVPFAPPHPHAASQATAILKWIRLLGAPHHQLQNQVGNKSLRAVERYDENCDVFTNNTPTASNVVHPVVTPNSHPCAENRDASFNTPSNVHMCTGSHEEVSHLSVAELKRKRARDRYAALTPEQKDDRNKKARERRKRKEETQVSAPLGDISNISAVDIMKCQLEVTDSSLLHQGKSEASHLNITPRRLPFTIINNVAHYGPNEVPMNRFTQSSDQNECDHDDDISLGNTFYFITCFFYINLSNIKPLCLHMRSALS >ONIVA01G27740.2 pep chromosome:AWHD00000000:1:24314815:24318304:-1 gene:ONIVA01G27740 transcript:ONIVA01G27740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCQGTRRQDAADALHVEFNFRILLPASGLLNIRSVFQSSSITRRLVPFAPPHPHAASQATAILKWIRLLGAPHHQLQNQVGNKSLRAVERYDENCDVFTNNTPTASNVVHPVVTPNSHPCAENRDASFNTPSNVHMCTGSHEEVSHLSVAELKRKRARDRYAALTPEQKDDRNKKARERRKRKEETQVSAPLGDISNISAVDIMKCQLEVTDSSLLHQGKSEASHLNITPRRLPFTIINNVAHYGPNEVPMNRFTQSSDQNECDHDDDISLGNTFYFITCFFYINLSNIKPLCLHMRSALS >ONIVA01G27740.3 pep chromosome:AWHD00000000:1:24314815:24318304:-1 gene:ONIVA01G27740 transcript:ONIVA01G27740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFCQGTRRQDAADALHGYSHPEMDKIVGGSTPSTTKSGSHEEVSHLSVAELKRKRARDRYAALTPEQKDDRNKKARERRKRKEETQVSAPLGDISNISAVDIMKCQLEVTDSSLLHQGKSEASHLNITPRRLPFTIINNVAHYGPNEVPMNRFTQSSDQNECDHDDDISLGNTFYFITCFFYINLSNIKPLCLHMRSALS >ONIVA01G27730.1 pep chromosome:AWHD00000000:1:24303712:24304151:-1 gene:ONIVA01G27730 transcript:ONIVA01G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKPSR >ONIVA01G27720.1 pep chromosome:AWHD00000000:1:24290029:24291510:-1 gene:ONIVA01G27720 transcript:ONIVA01G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFPFQWPMDPAASSGLDPGFLPPPAAVAPDDGVGYYDPPAGADVDAAALPEFAAAFPPCAPDAAAAVLAMRREEEEVAGIRLVHLLMSCAGAIEAGDHALASAQLADSHAALAAVSAASGIGRVAVHFTTALSRRLFPSPVAPPTTDAEHAFLYHHFYEACPYLKFAHFTANQAILEAFHGCDHVHLIDFSLMQGLQWPALIQALALRPGGPPFLRITGIGPPSPTGRDELRDVGLRLADLARSVRVRFSFRGVAANSLDEVRPWMLQIAPGEAVAFNSVLQLHRLLGDPADQAPIDAVLDCVASVRPKIFTVIEQEADHNKTGFLDRFTEALFYYSAVFDSLDAASASGGAGNAMAEAYLQREICDIVCGEGAARRERHEPLSRWRDRLTRAGLSAVPLGSNALRQARMLVGLFSGEGHSVEEADGCLTLGWHGRPLFSASAWEAAGDGGGDNNNNSNSHVSGSSGSDSNNSGSSNGKSSGARDGSSVCL >ONIVA01G27710.1 pep chromosome:AWHD00000000:1:24282859:24285988:1 gene:ONIVA01G27710 transcript:ONIVA01G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27110) TAIR;Acc:AT5G27110] MRYLYFHGNASQARPIRHHLLAYLDACASRAHLAELHGRLVRAHLTSDSFVAGRLIALLASPAARHDMRYARKVFDGMAQPNAFVWNCMIRGYSSCEAPRDALAVFREMRRRGVSPDNYTMAAVVSASAAFAGLKWRSNGDAIHALVRRIGFTSDVFVMSGLVNYYGAFRSVEEASKVFEEMYERDVVSWTSMISACAQCGHWDKVLKMLSEMQAEGIIPNKVTIISLLSACGQTQAVDEGRWVYNQVGKFGIEADVDIRNALISMYTKCGCLSDALEAFQAMPARYTKSWNTLIDGFVQNHEHKEALRIFEEMLLHGVTPDGITLVSVLSACAQLGELRKGMHVHSYIKDNGICCDNILTNSLINMYAKCGDMAAAERVFQTMTKKDVVSWTVMVCGYVKGHQFTMAFNLFEEMKIAEVVAHEMALVSLLSACSQLGALDKGREIHSYIEEMNVAKDLCLESALVDMYAKCGCIDTASEIFRKMQHKQTLSWNAMIGGLASNGYGKEAVELFDQMLELQDPKPDGITLKAVLGACAHVGMVDEGLRYFYLMSSLGVVPDTEHYGCIVDLLGRAGMLDEAFHFIKKMPIEPNPVIWGSLLAACRVHHRMDLGKVIGQHIVNVAPNDVGVHVLVSNLHAEESQWDDVEHVRGLMGSRGIEKTPGHSSVQGHGCSRVRQ >ONIVA01G27700.1 pep chromosome:AWHD00000000:1:24279374:24280765:-1 gene:ONIVA01G27700 transcript:ONIVA01G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT1G80310) TAIR;Acc:AT1G80310] MASSAGDPLLSGEAGDGRRRFVPSTIRLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFATGLLFGIPMPVQPMKSIAAVALSSAHLTIPQIMSAGLAVAAILLFLGVTGLMTTLYRLLPLPVVRGVQLSQGLSFAFTAVKYIRYVQDFSRSSSASTSVPRPLLGLDGLVLALAALLFIILATGSGDDEDVNRDGTSRRRRSCSRVPAALIVFALGLVLCFVRDPSILQDLRFGPAPLGLVKITWDDFKIGFWEGAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSVGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAIGKLALGLVFGNSFVTILGQFPIGILGVMLLFSGIELAMASRDMGSKEESFVMLVCAGVSLTGSSAALGFISGIVLYLLLRLRDLEWDIRGLLGRWAAGRRQSTNEANEDGAGDA >ONIVA01G27690.1 pep chromosome:AWHD00000000:1:24276987:24278074:-1 gene:ONIVA01G27690 transcript:ONIVA01G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEVDGLDEAAEGVVGLASGGGDVVEPGREAREVGLGGDGPSEREEVVLDLGPKLVVPAAEEGEQGRAVVGE >ONIVA01G27680.1 pep chromosome:AWHD00000000:1:24268787:24269793:1 gene:ONIVA01G27680 transcript:ONIVA01G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRELLEVVLCVVVVEPLRGERVIVDVPGTNGIEAARAWNTCALPHPMDKRQSRSLGLGDSREFRTKRPAFVTLWIWHAEWTPEPSVGGFVRKNVVIHKQVGDESHVDLHL >ONIVA01G27670.1 pep chromosome:AWHD00000000:1:24262727:24263305:-1 gene:ONIVA01G27670 transcript:ONIVA01G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAHTGNLSLAIAPGGGRYLVPEFNPIIGSDAARYSVSRRRGGCAEQDIDYPSQVAHGTATAWSHDSGVLVLG >ONIVA01G27660.1 pep chromosome:AWHD00000000:1:24259067:24261158:-1 gene:ONIVA01G27660 transcript:ONIVA01G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPARPEDVSRACFHSCAARSGKFGNPCKRFCVFSSRELRDFVH >ONIVA01G27650.1 pep chromosome:AWHD00000000:1:24253295:24255588:1 gene:ONIVA01G27650 transcript:ONIVA01G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ70] MDNKPAQERRETWVPGAVIVGAGPSGLAAAACLAARGVPATVLERSDSLASTWRHRMYDRLALHLPKRFCELPLLPFPEEYPTYPSKDQFVAYMEAYAAAAGVAPRFGATVEEAAFDAAVGAWRVRLDGGEVLMARWLVVATGENAEPRVPDFPGMQKFAGCAMHTSEYKSGEQFAGKKVLVVGCGNSGMEVSLDLCRHGAKPSMVVRNTVHVLPREMFGLSTFGIAMALLRWLPVQLVDRFLLTAAHLILGNTGQFGLRRPKTGPIELKNLTGRTPVLDVGTLDHIKSGKIKVVGAVKEMTRQGVRFTDGKEEQFDTIILATGYRSNVPSWLKDAGDLFTREGISKVPFPNSWRGRNGLYTVGFTQRGLLGTSSDALNVAKDIHCQWRERDRSAINVLEISNSSF >ONIVA01G27640.1 pep chromosome:AWHD00000000:1:24231992:24239334:1 gene:ONIVA01G27640 transcript:ONIVA01G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family [Source:Projected from Arabidopsis thaliana (AT2G26900) TAIR;Acc:AT2G26900] MAASTTCPARSMASVSRALRPRPHAAIASAAVRTAARLGGGLGIVCSMPSYGRKEKEEWGLTIAYAPATTAAPALRSCQLLCKAEANISSNLPESIPSEANQYEKIVELLTTLFPVWVILGTIIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYLIKPMLGFAIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTIVGVLAHEYFPKFTERIISITPLIGVLLTTLLCASPIGQVSEVLKAQGGQLIIPVALLHVAAFALGYWLSKVSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRGLPANDKDDFKE >ONIVA01G27630.1 pep chromosome:AWHD00000000:1:24211732:24212824:-1 gene:ONIVA01G27630 transcript:ONIVA01G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALVSPMRSALELKPFNFGDQRLASSPRYLPSGDDALYRCSSPFSPSFGFSSPSPLATSVSLSPSSSASLVDDGDDGGAAADATGQRLQLARLALQYQEVADRYELCLSHLAEAAEEAAALRLENAELRVTNSDLALRLALLSGKHTAAVAVADEIRRLRLGEQKVAAATKERTPEKLAVLPKSISVRSTSYLKLNQQSQAATATSAAPNRKPRTSSNPTNPPNSQRAYDGGKKGDEQKAQPADSGAELEVYNQGMFKTELCNKWEETGDCPYGDQCQFAHGVTELRPVIRHPRYKTAVCRMVLAGDVCPYGHRCHFRHSLTPAERLLLRS >ONIVA01G27620.1 pep chromosome:AWHD00000000:1:24209466:24209996:1 gene:ONIVA01G27620 transcript:ONIVA01G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEEAFPIGFTKGIRSYWRSRKYHSVDSSAAGRGTSNLVRLGGGSGSGSDGGAWAVRLGGMFRTRVKAAAPAATTTAVAKVPARVLGRVRDAYVDAMVGVAKKQAAAALSQPGAGTTEALWQKRVPVRRSRGQSKKQLRQKADELGQRLVMEMYKSVLASRDLSSMLQASRAQ >ONIVA01G27610.1 pep chromosome:AWHD00000000:1:24200196:24200603:1 gene:ONIVA01G27610 transcript:ONIVA01G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPAPVLVLAILAVAASTAAAAAIEGEVKCGGCSPCGGADCPVLYPSPPPPALPPPPPYYYYSPPPPAYYPGSYCPPPPAAYVQFGGGAQSGRGPLYPQDPGFMPSSAPSSHGSRAVRLFTACAASASLWFLW >ONIVA01G27600.1 pep chromosome:AWHD00000000:1:24186433:24186657:-1 gene:ONIVA01G27600 transcript:ONIVA01G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVPVCVQCGTHSNPCRCKVLGPTLGFVAFVVAGVVEWPLGAFVYLFRHRKGRRIMGHPANVVYPRITSAIPI >ONIVA01G27590.1 pep chromosome:AWHD00000000:1:24183624:24184760:-1 gene:ONIVA01G27590 transcript:ONIVA01G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPHQILPDDLVLEIIARSSPATIIRCGAISKPLRRRILHPAFLRRLRVVNAGDDTGNSRCGFVPSLLLGLYRRAKDLCSPLALVPPDTAGAASIATSLALVPPATPINHGANHSACIFGPYLPLSSRRSLIVLRRRCRVIGHQDYLHSGLTVCNPVSGERWVLPPHEVSDETVVLLDVNHNDQAIGTHSFKLLAAQLLVSPARTLIFQVFSSDEREWGTPVACPIYRICLSDGDSNVVVLRGAVYWLCCEYSGYSILSLKRRGDGGEPKASLVNLPESCKSGMHNMCLALSPAAAADDGTSNAALLSVVVHGSDRILVWVRRLRTTARGRSRRWELRHVIRENSIRRPGFSRRMVERKVARAVLRGEWRPRFGGG >ONIVA01G27580.1 pep chromosome:AWHD00000000:1:24181318:24183655:1 gene:ONIVA01G27580 transcript:ONIVA01G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITALQLLPPILGLPATARNGYAVRRPRMMTVTCCRHNQATTVHESRLTSSLSRRDALSYMSSAFIATLLVAGPAEARTSRQENKRKVREKLEKLREKALGPDDKNGAIRKKESLANLLIPPKLVEATI >ONIVA01G27570.1 pep chromosome:AWHD00000000:1:24178544:24179050:1 gene:ONIVA01G27570 transcript:ONIVA01G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPAARAALPRPPRPLRGQAHTDLPLRRRIQHHAYDSARLVGFLALAVTLAALLVLAGVTLTVAFVALVVLSPLLLLTSPLWVPMAAAVFVSGAASIIGWCLAVGAVAAGTWAYRYFTGRHRRPVGAHRVDYDVGAGTASGWMGYYAREYGARPRVHVKDAAPGA >ONIVA01G27560.1 pep chromosome:AWHD00000000:1:24172994:24178036:-1 gene:ONIVA01G27560 transcript:ONIVA01G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ54] MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAAMEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRVGFTFPIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAELCVKALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPRSDALYNVTNSFHYLCKLGVDRFKRQFAHLEEGVAQGDKTSPQLRQHHYGNKGEERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >ONIVA01G27560.2 pep chromosome:AWHD00000000:1:24172994:24178036:-1 gene:ONIVA01G27560 transcript:ONIVA01G27560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ54] MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAAMEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPRSDALYNVTNSFHYLCKLGVDRFKRQFAHLEEGVAQGDKTSPQLRQHHYGNKGEERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >ONIVA01G27560.3 pep chromosome:AWHD00000000:1:24172994:24178036:-1 gene:ONIVA01G27560 transcript:ONIVA01G27560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ54] MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAAMEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRVGFTFPIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAELCVKALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPRERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >ONIVA01G27560.4 pep chromosome:AWHD00000000:1:24172994:24178036:-1 gene:ONIVA01G27560 transcript:ONIVA01G27560.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ54] MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAAMEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRVGFTFPIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPRERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >ONIVA01G27560.5 pep chromosome:AWHD00000000:1:24172994:24178036:-1 gene:ONIVA01G27560 transcript:ONIVA01G27560.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ54] MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAAMEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPRERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >ONIVA01G27560.6 pep chromosome:AWHD00000000:1:24172994:24178036:-1 gene:ONIVA01G27560 transcript:ONIVA01G27560.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ54] MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAAMEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRVGFTFPIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAELCVKALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYRERQFAHLEEGVAQGDKTSPQLRQHLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >ONIVA01G27560.7 pep chromosome:AWHD00000000:1:24172994:24178036:-1 gene:ONIVA01G27560 transcript:ONIVA01G27560.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ54] MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAAMEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAELCVKALTDPYFTGLANSEREPIAQPISKLEERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >ONIVA01G27560.8 pep chromosome:AWHD00000000:1:24172994:24178036:-1 gene:ONIVA01G27560 transcript:ONIVA01G27560.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ54] MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAAMEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPIAQPISKLEERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >ONIVA01G27550.1 pep chromosome:AWHD00000000:1:24150822:24153851:-1 gene:ONIVA01G27550 transcript:ONIVA01G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSPSGLELTMAVPGLSSSGSEGAGCNNNNAGGGCNMRDLDINQPASGGEEEEFPMGSVEEDEEERGVGGPHRPKKLRLSKEQSRLLEESFRLNHTLTPKQKEALAIKLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRCFGSLTEENRRLQREVEELRAMRVAPPTVLSPHTRQPLPASALTMCPRCERITAATGPPAVRPPPSSAAAAAPSPFHPRRPSAAF >ONIVA01G27540.1 pep chromosome:AWHD00000000:1:24133591:24138446:1 gene:ONIVA01G27540 transcript:ONIVA01G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ52] MISGHDFYTVMAAVVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFLAADTLQKLLVLAGLAAWSRLPSRTGAPRLDWSITLFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQIVVLQCIIWYTLMLFLFEFRAARMLIADQFPDTAASIVSLHVDPDVVSLEGGHAETEAEVAADGRLHVTVRRSSVSRRSLLVTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHADFFAMVGGGPPPPTPAAVRGSSFGASELYSLQSSRGPTPRQSNFDEHSARPPKPPATTTGALNHDAKELHMFVWSSSASPVSEVSGLPVFSGGGGGGALDVGAKEIHMVIPADLPQNNGSGKEHEDSTAELHPKVVDVDGPNAGGGAAGAGQYQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLAWSLVAFRWHVSMPAIVEKSISILSDAGLGMAMFSLGLFMALQPSIIACGKSAAVVSMAVRFLAGPAAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLLYYILLGL >ONIVA01G27540.2 pep chromosome:AWHD00000000:1:24133591:24138446:1 gene:ONIVA01G27540 transcript:ONIVA01G27540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ52] MISGHDFYTVMAAVVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFLAADTLQKLLVLAGLAAWSRLPSRTGAPRLDWSITLFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQIVVLQCIIWYTLMLFLFEFRAARMLIADQFPDTAASIVSLHVDPDVVSLEGGHAETEAEVAADGRLHVTVRRSSVSRRSLLVTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHADFFAMVGGGPPPPTPAAVRGSSFGASELYSLQSSRGPTPRQSNFDEHSARPPKPPATTTGALNHDAKELHMFVWSSSASPVSEVSGLPVFSGGGGGGALDVGAKEIHMVIPADLPQNNGSGKEHEEYGAVALGGGGGGENFSFGGGKTVDGAEAVDEEAALPDGLTKMGSSSTAELHPKVVDVDGPNAGGGAAGAGQYQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLAWSLVAFRWHVSMPAIVEKSISILSDAGLGMAMFSLGLFMALQPSIIACGKSAAVVSMAVRFLAGPAAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLLYYILLGL >ONIVA01G27530.1 pep chromosome:AWHD00000000:1:24114647:24116228:-1 gene:ONIVA01G27530 transcript:ONIVA01G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G18580) TAIR;Acc:AT3G18580] MAATASSFLARRLLLTRRVLSSPLRPFSTTDSSSSSSSSSSSDDSRAGSDAGPDPEQQQPPPAGQDQQAAARPRAGDTRPLENGLDPGIYKAIMVGKVGQEPIQKRLRSGRTVVLFSLGTGGIRNNRRPLDREEPHQYAERCSVQWHRVCIYPERLGSLALKHVKTGSVLYLEGNLETKVFSDPITGLVRRIREIAVRSNGRLLFLGNDCNAPKLGEAKGVGYF >ONIVA01G27520.1 pep chromosome:AWHD00000000:1:24108889:24112721:1 gene:ONIVA01G27520 transcript:ONIVA01G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ49] MEASLGALIFTCFLLLICARADDTVSRNRPLSGGQRLISSGGLFALGFFQPVVNNSDDRAPNRWYLAIWYNKISKTTPVWIANRATPISDPNLSQLTASEDGNLALFDQARSLIWATDITNNVNSTVGVILDSGNLVLAPASNTSNFLWQSFDEPTNVWLPGAKLGRNKITGQITRFISWKSSVDPSPGYYTLEIDPNGGDQFIHLWNNSAIYWETGKWIGNMFTGIPEMALYPKEVLSYKFTVNNQESYFVYRTNASIATAMFIMEISGQVKTVVWMESKKDWVPFLALPKAQCAVYFLCGSFAMCTENAVTFCSCLRGFSKQYNGEWRYGNPSGGCMRNTKLQYDGNSSSKTTADEFYALAVAKLPDKAWGLATGTDGCKQACLNNCSCTAYSYAGGCSLWYGDLINLVAPADGSVGHSIHIRLAASEFSSSTKTRKATVIGASTAGAILVTLIVIIGILLILRKRNLSEANKVEGSLVVFRYRFLQHVTKNFSERLGKGSFGPVFKGTLPDGTLIAVKKLDGVSQGEKQFRAEVSTIGTIQHVNLIRLLGFCSERSMKMLVYEFMPNGSLDRYLFGSTPLTLSWKTRYQIALGIAKGLAYLHEKCRSLIIHCDIKPENVLLGADFMPKIADFGLVKLLGRDFSRVLTTMRGTIGYLAPEWISGTAITTKADVFSYGMMLFEIISGNRNADWNRQGEQGAGTFFPVLVAMRLPEGKIQDLLGSELSADANLEEVERACKVACWCIQDDENTRPTMGEIVQILEGLVDVSFPPVPWYLHVLAQRSNFSTEETSH >ONIVA01G27510.1 pep chromosome:AWHD00000000:1:24099143:24100039:-1 gene:ONIVA01G27510 transcript:ONIVA01G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPHESSFSFSRRHFKWPVLGKSSSHGASNAGEDDFIKAEDDEEATMAFSSTCPSFHSEDFVSPPPCKPLKQQQQQQPQQQRRKGRTAVSRLRTALAAALAGRHRQVGLGARLTGTLYGHRRGHVHLAFQVDPRACPALLLELAAPTASLVREMASGLVRIALECERAKGGGACAFPTAAAAPSSSSSSAGGRKLVEETVWRAYCNGRSCGYAVRRECGAADWRVLRALEPVSMGAGVIPAACGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDCGGSGSNNNGGPELSVYLLRV >ONIVA01G27500.1 pep chromosome:AWHD00000000:1:24087383:24087778:-1 gene:ONIVA01G27500 transcript:ONIVA01G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAVKPAGLAKEPAAKLSETATKPAAAKGGVKKAEQKPREPKKKSFGYFHVKSGEEQQAGGSQKLIVATGEMEIVRGSPSYAMLWDYESRLSLPLVTP >ONIVA01G27490.1 pep chromosome:AWHD00000000:1:24079240:24088459:1 gene:ONIVA01G27490 transcript:ONIVA01G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSSAEEVGALLSRSDSSGRRRRSSPVQSASPRPAGCGCGGPRRQSSFRDDVGHAASETYLVTRLTFSLLQYLGLGYRWMSQLLALTIYAILLMPGFLQVLSWFTVGYYYFFSSQVRRSIVYGEQPRNRLDLYIPKDINRPCPVVAFVTGGAWIIGNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLVGQSAGAHIAACALIEQAVKESSGQSISWSVTQIKAYFGLSGGQTFADVLQQAGAQAKLQLYEGKTHTDIFIQDPLRGGRDPLVEDVLSIIHVDDEITQEKIALAPAPRRLVFEWQLQLARRISPF >ONIVA01G27490.2 pep chromosome:AWHD00000000:1:24079240:24088471:1 gene:ONIVA01G27490 transcript:ONIVA01G27490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSSAEEVGALLSRSDSSGRRRRSSPVQSASPRPAGCGCGGPRRQSSFRDDVGHAASETYLVTRLTFSLLQYLGLGYRWMSQLLALTIYAILLMPGFLQVLSWFTVGYYYFFSSQVRRSIVYGEQPRNRLDLYIPKDINRPCPVVAFVTGGAWIIGNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLVGQSAGAHIAACALIEQAVKESSGQSISWSVTQIKAYFGLSGGQTFADVLQQAGAQAKLQLYEGKTHTDIFIQDPLRGGRDPLVEDVLSIIHVDDEITQEKIALAPAPRRLVFEWQLQLARRISPF >ONIVA01G27480.1 pep chromosome:AWHD00000000:1:24074793:24077856:1 gene:ONIVA01G27480 transcript:ONIVA01G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSEALERYKNAITAASSVVGAAMLLRRIVADVLPDTALGALLLLPPPSSRRHCVVIEEFDGAFYNRVFLAAKAYVSTLLAAAPVPLMKASLPRGAGAEQITLAMRPGTAVVDVFDGAELTWRLSSHGGGGGGRRQGGDDAREVFKLSFDGRHKDMVLGAYLPAVMARVAAMSQGQRQAKLYSNEWGKWRPVRLRNASTFATLAMDAALREAVVDDLDRFLGRKEYYERTGRAWKRGYLIHGPPGTGKSSLVAAISNHLRFDVYDLELGGVRSNTELRKLLIRMKNRSILLIEDVDCAVVAAPRREPHGGPDGSNPPSVNRKVTLSGLLNMVDGLWSSSGHERILIFTTTHVDRLDQALLRPGRMDMHVHMGYLGFGAFRELAATYHGVAGDDHPLFPEIEALLREVEVAPAEVAERLLMTDDAGAAIEMVAKLLRDRKAGTEEDGGGYVSQKLHAGTWRRHPRASRRGGGGGAVVATTTRRGVFGDEIGMEISHGQGRRGVRGRGRGRR >ONIVA01G27470.1 pep chromosome:AWHD00000000:1:24069238:24069577:-1 gene:ONIVA01G27470 transcript:ONIVA01G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSRIGAEAPSSSPAAAHDHDRDRGGRRNRASSAVPPHLALPPTPPYVPSAHLQRSSSYLRFATAPPAGCVVAIAHALAYLEIRRGCFRSP >ONIVA01G27460.1 pep chromosome:AWHD00000000:1:24064542:24069025:-1 gene:ONIVA01G27460 transcript:ONIVA01G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASPRREEEGKKKSEGEEETGESVFSLCLRACAFASESAKFRFEEEKGREEKRRALPSLCGVSEQAIGSVPTVQDEDYFTKDPDFVLEPPASSDRESTPASDNATSPDSDGTNSAGPARSRIALQLDQRSLHFSVTAWVLIVALIGILPLTPRQLQYKGYRLSLLGTTCTTGYALFAFYRLPRAGNMHAAQIFHHVASSKDFIPFMYCLMFVMSKLHLKLICWALEHVARFLRRHFTNSSLYRRQRNPMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTVNPYIHRYTPFLHDPINAGMRWWFR >ONIVA01G27440.1 pep chromosome:AWHD00000000:1:24055413:24057499:1 gene:ONIVA01G27440 transcript:ONIVA01G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALAMTMDEGGDPVKRETTTAMESDGNGGGHMSIHPRLKRWIGDMFVDSEVSMVTLSISKYIGLIF >ONIVA01G27430.1 pep chromosome:AWHD00000000:1:24054091:24055397:1 gene:ONIVA01G27430 transcript:ONIVA01G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTRRRRRSWWTRTVAAVVTDEGGDPVECDGGHGGLGQQRRRRWTRTATQWSVTAAMVVTDEAAGSHNDERGRRLNGVQWLR >ONIVA01G27410.1 pep chromosome:AWHD00000000:1:24048860:24053045:1 gene:ONIVA01G27410 transcript:ONIVA01G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase [Source:Projected from Arabidopsis thaliana (AT1G26160) TAIR;Acc:AT1G26160] MDGRMITRRYGMFERMHPWPSDRTPSSRAVVILPTPSRGKGEERRPAAAAYSSGDPIPLIRSLPPPPPPQAPIHSAPRALPPMGVTTRLPAPPGGGLQRRAPRGILPASLPVERPARRRLAPSVRAASGIPGPGGSPVPRRTTPAPADAAAAAPPSAAASSASSAIDFLTLCHRLKTTKRKGWINHSIKGPESIADHMYRMALMALIAGDLPAVDRERCIKIAIVHDIAEAIVGDITPSDGIPKAEKSRREQKALNEMCEVLGGGPIADEIKELWEEYENNSSIEANLVKDFDKVEMILQALEYEKEHGKVLDEFFLSTAGKFQTEIGKSWAAEVNARREQRCGKQK >ONIVA01G27400.1 pep chromosome:AWHD00000000:1:24044302:24048781:1 gene:ONIVA01G27400 transcript:ONIVA01G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPGAGGGSGDAGFVRADQIDLKSLDEQLERHLGRPAERAASQHGGSGSRRGESARLGLGEEPPQAPHHQRRREDWEIDPAKLVIRGVIARGTFGTVHRGVYDGQDVAVKMLDWGEDGHRSEQEISSLRAAFAQEVAVWHKLDHPNVTKFIGAIMGARDLNIQTEHGHFGMPSNICCVVVEYLAGGALKNFLIKNRRRKLAYKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKSRTVKIADFGVARIEASNPSDMTGETGTLGYMAPEVLNGHPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPTDQPQGCFSCFGRHRGP >ONIVA01G27390.1 pep chromosome:AWHD00000000:1:24038582:24042241:-1 gene:ONIVA01G27390 transcript:ONIVA01G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G60230) TAIR;Acc:AT1G60230] MAALPLLRWGASSLRGGHSSAPPSSRLFSALRRPPAAARCEPGSRVMLKGMDYPELENWVRSQGFRPGQAMMLWKCLYGNNVWAHCYDELAGLNKDFRKMLTDHADLKALTVKDILNASDGTRKILFSLEDGSVIETVVIPCTSGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGSITNVVFMGMGEPLHNIDNVLKASAIMVDEQGLQFSPRKVTVSTSGLVPQIKRFLQESNCALAVSLNATTDEVRNWIMPINRKYNLSLLLGTLREEIRLKKKYKVFFEYVMLAGVNDSVDDAKRLVDLVRGIPCKINLISFNPHSGSQFKPTPDEKIIEFRNILIQDGLVVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQV >ONIVA01G27380.1 pep chromosome:AWHD00000000:1:24034918:24036956:-1 gene:ONIVA01G27380 transcript:ONIVA01G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ36] MGETPRTPAPDRPPPPVPVRVPVPEPQPEPETPQASPSPPPAPDPPTPLLFPESAPSTPREEYHTPPPSLDEARDEALVPHQEGVVDVNGGSEAAAKSPQLSPVRLQVSPSPHRLLPPAPGSPAVNGEDGAAGTAQGRRPGRPQLHLATDRLFRTPSQGSLAMSSPSPSPTPPSPLTPAPATTAPAPTPTAKSKSGQNTPKHKEALKPPATPVATAIAIPFNPAEEAMTSPLRIGNGKAARLDHQHGPVAGAAENGGDVPPEVAAVAAVGERRTTSVALRVATAVLSLVSFALMVSARTSGWAGDHYGRYEQYRYAVGVNIVVCIYSIAQAFGEIRRLVLAYLLMSASSAAASRNDLWMSSFGKDPFNKKINSAVWFSFIAFIGLATNSLISTANLFSMI >ONIVA01G27370.1 pep chromosome:AWHD00000000:1:24031215:24035105:1 gene:ONIVA01G27370 transcript:ONIVA01G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLATATAHHPAGPSRRRLHHRRGAMFSVSCWRIGAIASLVAAAAATTVLLNFSLPSSPHVPATDFTGKLSAAISPPPPPSSPPPAPATPPPPPPAPPPPAARPRRREPSYWRMAPEEALRYAKKEIMAAGPVIDDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRFAVADPAKAHLFYLPYSSQQLRISLYVPDSHNLRPLAAYLRDFVKGLAAKYPFWNRTRGADHFLVACHDWGSYTTTAHGDLRRNTVKALCNADSSEGIFTPGRDVSLPETTIRTPRRPLRYVGGLPVSRRGILAFFAGNVHGRVRPVLLKHWGDGRDDDMRVYGPLPARVSRRMSYIQHMKNSRFCLCPMGYEVNSPRIVEALYYECVPVIIADNFVLPLSDVLDWSAFAVVVAEKDVPDLKKILQGITLRKYVAMHGCVKRLQRHFLWHARPLRYDLFHMILHSIWLSRVNQIELHE >ONIVA01G27360.1 pep chromosome:AWHD00000000:1:24028055:24028234:-1 gene:ONIVA01G27360 transcript:ONIVA01G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTAIHNSGEESVAAGVACDRTHNRGRTREDSQKKNERREKKIRAKLSFYVVSLLSID >ONIVA01G27350.1 pep chromosome:AWHD00000000:1:24022228:24023937:-1 gene:ONIVA01G27350 transcript:ONIVA01G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNDDEQTAPTSTATEPARPTVGITGQLVKQVRLNKYHNDVASMAPHDQELLLELRGSSSSTDRAGLDLVAVIDVSGSMDGDGIDKAKTALQFVIRKLSDLDRLCIVTFCTNATRLCPLRFVTAAAQAELKALVDGLKADGNTNMKDGLETGMSVVDGRSLAAGRAVSVMLMSDGYQNHGGDARDVHLKNVPVYTFGFGASHDSNLLEAIARKSLGGTFNYVADSANLTGPFSQLLGGLLTIIAQDLELTVTRFHGEATIKRVVWVDAGTYPQTTASDGSSVTVSFGTLYSAEARRVIVYLALADKTASPPYDANVCLAQYRFTFQAQQVTSNPDLITIKRRPSAAPGAARKPQPVENELARRQHADMIRAARDMAEANKMEDARNKLEEARKALEENFNQAANPTVAMLLEELRQLRGLMERQDLYNKEGRPYAASSLASHDRQRVATRGQADGVRLYTTPHMDTYLKQAEQFEKNPDEAPPPAEADVVPDVPRDMAAGDRRTLSAALRVAAAVLSLAAFVLMASVRTSGWDSDRYDLYEQYYRLLHDHLPVASKHHAFLTCNKSRC >ONIVA01G27340.1 pep chromosome:AWHD00000000:1:24012014:24019342:-1 gene:ONIVA01G27340 transcript:ONIVA01G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDELVLSEPFVYDGVHTVTFVKHDQGPNWRASQKIVLHDPVGMGGGGESWTVSVFLLEGDFINMPPEEDLPQAGPQLNPATDDDEDPNDGHIWQMGHPPADQGDWDDLVQQQNAADEQVEDAWGQDHPMGQIMEANPEGIIHLAAANPGHENVMVPFVPATNKGKKVQESGQDAQVQRFLARLEKIAQSKYPRSPYYYPMKGINEKIDLLCKERNSLSQFLASHSIPAALYEPSSFKTLVLPKKTMFDFSPQVDKQAASWALDFHKSNSPPQSQAHEDMEILDVMPLVAQLPSNPVCQTSAPLMLPKAPIKKRDGKTLLYNPYRRQSARLHLNKGDSEQKVDPRMGIGKPRGKSARKLKELAGIAKIFDDTSIKESDFNANVYDDIHFDSSPSAISLLQKMGVDMCGLAPKEVAESSLEGQRRKKMPRPDMEEK >ONIVA01G27330.1 pep chromosome:AWHD00000000:1:24004500:24006556:1 gene:ONIVA01G27330 transcript:ONIVA01G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKAMKAVSSVVGIDAISMDMASRKMTVIGTVDPVDVVSKLRKASWAAYIESVGPAKEPEKKEEKKEEAKKDAGGGGGDGKKEGGDGKKEEGGDGKKEGEGKKEGDGGGDKKEGEGKKEGDGGGGDKKDGDGDGKKEGDGKAAKKEEGGGGDGGGKKPAAVAPMPMPMPLHHLPPQYFNMEYMNQYHRPPPPPPAYPYVPPPQYYYVRNMSMEENPNSCAIC >ONIVA01G27320.1 pep chromosome:AWHD00000000:1:23986043:23987840:1 gene:ONIVA01G27320 transcript:ONIVA01G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRRWLLSPLAVEVDNGHGGGSKPSRDEVASTTARREGGRWRHDSGGLRALSPWRLTIAAATLAKRRYLGSALRRVTVFKGVIVAVPVQHWARLLPRTPYNIDRARATVCPSQAVNPPLIIGPPPHGTNNPPQANFQSYYQQDHCCALLLAPFSVLRNHQFG >ONIVA01G27310.1 pep chromosome:AWHD00000000:1:23966686:23967165:-1 gene:ONIVA01G27310 transcript:ONIVA01G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLPPPQWRAAASPRHCWFDASLLDWLGCDCERGGTGNDAASSASRWENNGEVEVEGAVALEDEEDEVGGRTEVSVVVEEDTIGETELRSGVMRWCEGREQIKVWSMAGARRLTIASTSLPPALGQGGLPGPIPSHLRAPSGYRDACTREREERKKE >ONIVA01G27300.1 pep chromosome:AWHD00000000:1:23966181:23966497:-1 gene:ONIVA01G27300 transcript:ONIVA01G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNCDAPIVAAGGGVPRRGDGWGRCLRLRTVATRRRRPGAASARSSTLQGGDAGRGQWTMRRRRQLVAACCDEAAAKRQCRVGAVTAGNGVRRGRLVGTAH >ONIVA01G27290.1 pep chromosome:AWHD00000000:1:23963687:23964979:1 gene:ONIVA01G27290 transcript:ONIVA01G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLEVVMGFVGGLEVREDGGIMRPPAGKVDGDDDEVAKLMTSTSTTSIGCAMDLAVPSSAASTSGCPRSGCNELVHEKSDAGELSVPVTAGGDGRRSSSSYYLPSSCRRSGTRLGRPPRQPNKEGMHPQIRAKLNAGNQTHPNLPRTI >ONIVA01G27280.1 pep chromosome:AWHD00000000:1:23959744:23961790:1 gene:ONIVA01G27280 transcript:ONIVA01G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit l [Source:Projected from Arabidopsis thaliana (AT4G12800) TAIR;Acc:AT4G12800] MATAYAPMASQLMKSSLVCSKPRGLSGASLTRRPRFTVKAIQSEKPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVAILSVCLTMYGVASFGEGEPSTAPTLTLTGRKKEADKLQTADGWAKFTGGFFFGGISGVLWAYFLLYVLDLPYFFK >ONIVA01G27270.1 pep chromosome:AWHD00000000:1:23955488:23959117:1 gene:ONIVA01G27270 transcript:ONIVA01G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEQFDESAAEKADAAMVVAPPSNFGMVDTGVYRSGFPDPASFGFLRGLGLRSVVYLCPEPYMETNAEFLKAEGIRLFQFGIEGNKDPNVSIPVDAIMGALRHRTGCLVGCFRKLQNWCLSSVFEEYHRYAAGKSRLSDLKFIESFDVNCMTDCLLRLIYHYHGCLQKSKRLAYSER >ONIVA01G27270.2 pep chromosome:AWHD00000000:1:23955488:23959117:1 gene:ONIVA01G27270 transcript:ONIVA01G27270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILEQFDESAAEKADAAMVVAPPSNFGMVDTGVYRSGFPDPASFGFLRGLGLRSVVYLCPEPYMETNAEFLKAEGIRLFQFGIEGNKHRTGCLVGCFRKLQNWCLSSVFEEYHRYAAGKSRLSDLKFIESFDVNCMTDCLLRLIYHYHGCLQKSKRLAYSER >ONIVA01G27260.1 pep chromosome:AWHD00000000:1:23948335:23951502:1 gene:ONIVA01G27260 transcript:ONIVA01G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast RNA binding [Source:Projected from Arabidopsis thaliana (AT1G09340) TAIR;Acc:AT1G09340] MAATASLKSSLLLPSPISDFSSAALSISTQARRRSWQPRGARMQVAAAADSKNILVMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDAEYAEFSSKVLHLKGDRQDFDFVKTSLAAKGFDVVYDINGREAVEVAPILDALPNLEQYIYCSSAGVYLKSDLLPHFETDAVDPKSRHKGKLETESLLETRDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPVPGAGNQITQLGHVKDLATAFVLALGNPKASKQVFNISGAKYVTFDGLARACAKAGGFPEPEIVHYNPKDFDFGKKKAFPFRDQHFFASIEKATLELGWKPEYDLVEGLTDSYNLDFGRGTFRKAADFTTDDMILGKKLVSV >ONIVA01G27250.1 pep chromosome:AWHD00000000:1:23944171:23947697:1 gene:ONIVA01G27250 transcript:ONIVA01G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPALTTSPASPYIRSLQQIRHSHRCSLFLSNMKMPLLLLIAIVVVSLSRGNGEQTDLTRETFPAGFVFGTASSAYQVEGNALQYGRGPCIWDTFLMQPGVTPDNSTANVTVDEYHRYMDDVDNMVRVGFDAYRFSISWSRIFPSGLGKINKDGVDYYHRLIDYMLANNIIPYVVLYHYDLPQVLHDQYKGWLHPRIVRDFVRFADFCFKTYGHKVKNWFTINEPRMMANHGYGDGFFPPGRCTGCQPGGNSATEPYIAAHNLLLSHAAAAIQKGKIGILLDFVWYEPLTDKEEDHAAAHRAREFTLGWYLHPITYGHYPETMQNAVKERLPNFTREQSEMIKGSADYIAINHYTTYYVSHHVNKTSISYLNDWDVKISYERNGVPIGKQAYSNWLYVVPWGIYKAVMHVKEKYKDPIIIIGENGIDQPGNETLPGALYDFFRIQYFDQYLHELKRAIKDGARVTGYFAWSLLDNFEWRLGFTSKFGIVYVDRSTFTRYPKDSTRWFRKMIKSEV >ONIVA01G27240.1 pep chromosome:AWHD00000000:1:23943590:23944154:1 gene:ONIVA01G27240 transcript:ONIVA01G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPMAEYKGNIREIKVVWGFRDKEYIQRRRSYEWVEGGPVEDWWKIAGHGQQLDLNPVFPNLKAISSLSCPLVQTVFNPSPD >ONIVA01G27230.1 pep chromosome:AWHD00000000:1:23938577:23941253:-1 gene:ONIVA01G27230 transcript:ONIVA01G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPVVAAAAPAPARSRWPLEDAATLIDSVETFIFDCDGREADRRSARDARHARSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAYVAYLQSIDFPKDKKVYVIGEDGILKELELAGFQYLGGPSDGDKKIELKPGFYMEHDKDVTTIPTSTRHLFHMSRMVVGDSVSTASSVCCATPVDLQSAKRRPMCTIFLYTLILDMAKSGVEFEAINLSSKSMNLHQLDSSDIVLSLQKARGLFGRFSILRKLRCSKIPSTWMVPRGSLASPYMLPLSLYLPISFVPWITFFRNKKSRSNAWGII >ONIVA01G27230.2 pep chromosome:AWHD00000000:1:23938577:23941253:-1 gene:ONIVA01G27230 transcript:ONIVA01G27230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPVVAAAAPAPARSRWPLEDAATLIDSVETFIFDCDGREADRRSARDARHARSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAYVAYLQSIDFPKDKKVYVIGEDGILKELELAGFQYLGGPSDGDKKIELKPGFYMEHDKDINLSSKSMNLHQLDSSDIVLSLQKARGLFGRFSILRKLRCSKIPSTWMVPRGSLASPYMLPLSLYLPISFVPWITFFRNKKSRSNAWGII >ONIVA01G27220.1 pep chromosome:AWHD00000000:1:23932124:23934001:-1 gene:ONIVA01G27220 transcript:ONIVA01G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINSQFWPLFFLFFLAWQLPRGVFTCTPADRSALSGFSDSLDAGIAAWPGGCGGAVNSSSDCCRWPGVHCRLFGASELRVVRLDLAGRGLAGGLNLQGGSLARLEKLSFLNLSLNSLHGPIPPELLLRMPRLRVLDLSHNSFTGELGDAAASSDPGYSELVHLDVSFNSLSVLRDGVFRRLPRLRNFSAESNLLARTVPHTLSSCSELEHLNMENNSLHGALDLNFTRLPRLRAVRLGWNLLRGRIPASLSHCRHLRVVNLRRNFLSGPVPSAFRRLQSMAFFNVGNNSITGIAQALQVLQDCRALSVLILTMNFHGEEMPAGGDGGDTGGVRGFPRLQLLGIASCALRGAVPPWLRVSAHLTVLDLSWNRLTGTVPPWLGGFDALYRIDLSGNALTGDIPLALTRLKSLAAGDDMKASQQKLRLSDYGVRLYNWHVDRGELWYDANIPPSLDLSQNGLAGAIPPEIGDMRQLNILNLSCNALSGPIPARLASLASLQALDLSHNELAGEIPASLTGLTFLSCFDVSYNRLRGVIPNVSQFSTFPCSSFAGNPGLHGEYCDGDALGRVGTGANGWWSYDTVGEIFGLPFLLGFADGLVVTVLFAYVMSPYQRTLQGKVGVARN >ONIVA01G27210.1 pep chromosome:AWHD00000000:1:23919635:23920660:1 gene:ONIVA01G27210 transcript:ONIVA01G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSIGEPPLLVSAEADTGSDLVWVKCSPCNGCDPPPSPLYDPAQSSSSGELPCSSQLCQALGRRRIISDQCSDDPPLCGYRYVYGLSGDHYTQGVLGTETFTFGGGYVANNVGFGCSDTISGSQFGGTAGLVGLGRGTLSLVSQLGAGRFAYCLAAEPNVDSTILFGSLAVLDTSAGDVSSTPLVKNPKRDTPYYYVNLLGISFGESRLLIEDGTFAISSDDGSGGVIFDSGTTYTLLKDAAYQVVRQAVTSEIQSAGYEVVSAGGGNNKLDTCFAANQQAVAQMPPLVLHFDDGADMSLNGRNYLTTFPNEGLVCMAIMSSSDVSIIGNIMQANFRLSS >ONIVA01G27200.1 pep chromosome:AWHD00000000:1:23917269:23918597:1 gene:ONIVA01G27200 transcript:ONIVA01G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAGRVAAAALLSLPVFAVLLLISPVVAVSIGDGDVGFRASLIRTAESRNLSLAAERSRRRLSVYTSGTGTKAPVTKSQKGGKYIMQFSIGEPPLLIWAEVDTGSDLMWVKCSPCNGCNPPPSPLYDPAQSSSSGKLPCSSQLCQALGRGRIISDQCSDDPPLCGYHYAYGHSGDHSTQGVLGTETFTFGDGYVANNVSFGRSDTIDGSQFGGTAGLVGLGRGHLSLVSQLGAGRFAYCLAADPNVYSTILFGSLAALDTSAGDVSSTPLVTNPKPDRDTHYYVNLQGISVGGSRLPIKDGTFAINSDGSGGVFFDSGAIDTSLKDAAYQVVRQAITSEIQRLGYDAGDDTCFVAANQQAVAQMPPLVLHFDDGADMSLNGRNYLKTSTKGPSEVLVCMAIKSSSDSEVSIIGNIMQANFRLLHDLDSMTLSFQATDQC >ONIVA01G27190.1 pep chromosome:AWHD00000000:1:23888821:23893254:-1 gene:ONIVA01G27190 transcript:ONIVA01G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKILRGNNPISFPVPAFVFALSLLVLKRSVGYEPIVGLEFCNPLFVSQSGPKMHRFSLYWRTCCAKV >ONIVA01G27180.1 pep chromosome:AWHD00000000:1:23886809:23888201:1 gene:ONIVA01G27180 transcript:ONIVA01G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDLLLKLGFRFNPSQEEVITYYLPRLIAGHPPKDTEGYIHRADVYGADEPRDLAGKYAPVARSPNGDRFFFTGCKRVKGKFSRSAGGGTWVSQSSKDLKNREGIKIGEVKNFRFKKGGNNTDWLMEEYHLCGKEAGGVVEPVVCRIYVSPRAAPDSVAHQESAALPPPQELVPPPQELAPPPYPAAQAPPQAPAPPRQVPVITQQQAPPQKRPAAPVAEPPCATKKMKGAVSAKPMAPQSSVTASAAPPRCAVAPSQHHPPFQTYPTDPFEPPAPAASVTQPSVPATPEQGPAYVPDPADIGMEMDELMSFLDSTPVDGILPSQLYEYDELAKELEDALQGGGEEDGNDNPPRCATPPPPRPASWPAMAPPPPPHPPILTFPKDPGGCDKQSQGGYRVLLKDMGDDQIDQQWLKVSLKDYHHLMKSCKL >ONIVA01G27170.1 pep chromosome:AWHD00000000:1:23870442:23870621:-1 gene:ONIVA01G27170 transcript:ONIVA01G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTAIHNSGEESVAAGVACDRTHNRGRTREDSQKKNERREKKIRAKLSFYVVSLLSID >ONIVA01G27160.1 pep chromosome:AWHD00000000:1:23864615:23866324:-1 gene:ONIVA01G27160 transcript:ONIVA01G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNDDEQTAPTSTATEPARPTVGITGQLVKQVRLNKYHNDVASMAPHDQELLLELRGSSSSTDRAGLDLVAVIDVSGSMDGDGIDKAKTALQFVIRKLSDLDRLCIVTFCTNATRLCPLRFVTAAAQAELKALVDGLKADGNTNMKDGLETGMSVVDGRSLAAGRAVSVMLMSDGYQNHGGDARDVHLKNVPVYTFGFGASHDSNLLEAIARKSLGGTFNYVADSANLTGPFSQLLGGLLTIIAQDLELTVTRFHGEATIKRVVWVDAGTYPQTTASDGSSVTVSFGTLYSAEARRVIVYLALADKTASPPYDANVCLAQYRFTFQAQQVTSNPDLITIKRRPSAAPGAARKPQPVENELARRQHADMIRAARDMAEANKMEDARNKLEEARKALEENFNQAANPTVAMLLEELRQLRGLMERQDLYNKEGRPYAASSLASHDRQRVATRGQADGVRLYTTPHMDTYLKQAEQFEKNPDEAPPPAEADVVPDVPRDMAAGDRRTLSAALRVAAAVLSLAAFVLMASVRTSGWDSDRYDLYEQYYRLLHDHLPVASKHHAFLTCNKSRC >ONIVA01G27150.1 pep chromosome:AWHD00000000:1:23854636:23858997:1 gene:ONIVA01G27150 transcript:ONIVA01G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRLMSTTAATDSLTASTPQPRRRRRQRHIYARRNKCALLLRLSPIVFVRVATRGRGDASRMGACCCCFPVYKPARENPMRSTRESLIQHKPRPTTPYHPPPPPFITYTDKGMNAVERFKTGFENFRNTIYEYFSDALRVRRKRPELFERLKTGQSPKYMVFSCADSRVCPTLTFGLQPGEAFTVRNIASMVPAYDKRGQCSIGSAIEYAVVVLKVECIIVIGHSCCGGIKELLSLKEDRPNTFHFVDDWVKIGLAAKKKVERENMLLPFDDQCTVLEKKSAVLSVCQGKTIEGNTEVARCPLRLCLRQLRDVGSLKWAFVEVKLMPVYGSRTSSARLMSMKLEWEGTLSSTYIHTVSRKLDLRHRHAWHGLNHDLHPHSPWA >ONIVA01G27150.2 pep chromosome:AWHD00000000:1:23854636:23858997:1 gene:ONIVA01G27150 transcript:ONIVA01G27150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRLMSTTAATDSLTASTPQPRRRRRQRHIYARRNKCALLLRLSPIVFVRVATRGRGDASRMGACCCCFPVYKPARENPMRSTRESLIQHKPRPTTPYHPPPPPFITYTDKGMNAVERFKTGFENFRNTIYDKRPELFERLKTGQSPKYMVFSCADSRVCPTLTFGLQPGEAFTVRNIASMVPAYDKRGQCSIGSAIEYAVVVLKVECIIVIGHSCCGGIKELLSLKEDRPNTFHFVDDWVKIGLAAKKKVERENMLLPFDDQCTVLEKKSAVLSVCQGKTIEGNTEVARCPLRLCLRQLRDVGSLKWAFVEVKLMPVYGSRTSSARLMSMKLEWEGTLSSTYIHTVSRKLDLRHRHAWHGLNHDLHPHSPWA >ONIVA01G27140.1 pep chromosome:AWHD00000000:1:23843901:23852289:1 gene:ONIVA01G27140 transcript:ONIVA01G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ09] MSTAAAAAAAQSWCFATVTPRSRATVVASLASPSPSSSSSSSSNSSNLPAPFRPRLIRNTPVFAAPVAPAAMDAAVDRLKDGFAKFKTEFYDKKPELFEPLKAGQAPKYMVFSCADSRVCPSVTMGLEPGEAFTVRNIANMVPAYCKIKHAGVGSAIEYAVRALKVELIVVIGHSRCGGIKALLSLEDGAPDSFHFVEDWVRTGFPAKKKVQTEHASLPFDDQCAILEKEAVNQSLENLKTYPFVKEGIANGTLKLVGGHYDFVAGNLDLWEP >ONIVA01G27130.1 pep chromosome:AWHD00000000:1:23837578:23838045:1 gene:ONIVA01G27130 transcript:ONIVA01G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASGEQPASNWDWSDYDAAFTEEADGAIAALQDTGGDGLATKQLLERYHASPLAHTILAQWYFARADQQKPTNHLSRAAWMAPRCLHIAFALALVLIEMGSFDEADMVCAHSLLVPDLTDPVHNFISPKEQVDAIITSKAPEYRLGRGAIWAF >ONIVA01G27120.1 pep chromosome:AWHD00000000:1:23828905:23829651:1 gene:ONIVA01G27120 transcript:ONIVA01G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPRDRTVEEAAAFSGDESDGEFEFPFVSRETDAGGVADELFADGRIRAFYPVFGRVLDDVAVTPAAAAAEERRPPLGRLFLEEGRTSSVGSTSSSSSSTDIAELDGVSPDSYCVWVPGSSPASSPSRPPRKSGSTGSIARWRRISELVVGRSHSDGKEKFRFLSAPSSPARDHPKPKPTTKGGAAAATKLHTELDTIAAGHRLSYSPNHKAHGGATRRTFLPYRQDLMGIFANVNGLSRTHHRPF >ONIVA01G27110.1 pep chromosome:AWHD00000000:1:23801250:23807603:-1 gene:ONIVA01G27110 transcript:ONIVA01G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMQAEVEEMRAALLHGHGGGAAAGWRPSAGDADVKRAAGGDGGAAGPRTVCVTGGISFVGFAVVDRLLRHGYTVRLALETQEDLDKLREMEMFGEDGRDGVWTVMANVTDPESLHRAFDGCAGVFHTSAFVDPGGMSGYTKHMASLEAKAAEQVIEACVRTESVRKCVFTSSLLACVWRQNYPHDRRFPTIIDENCWSEESFCRDNKLWFALGKTAAEKTAWRAARGRDLKLVTVCPALVTGPGFRRRNSTASIAYLKGARAMLADGLLATASVETVAEAHVRVYEAMGDNTAGGRYICYDHVVKRPEEFAELERQLGIPRRAAAEAAAQDSGDRPARFELCRQKLARLMSTRRRCTYDDYYSVAFD >ONIVA01G27110.2 pep chromosome:AWHD00000000:1:23801250:23807603:-1 gene:ONIVA01G27110 transcript:ONIVA01G27110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMQAEVEEMRAALLHGHGGGAAAGWRPSAGDADVKRAAGGDGGAAGPRTVCVTGGISFVGFAVVDRLLRHGYTVRLALETQEDLDKLREMEMFGEDGRDGVWTVMANVTDPESLHRAFDGCAGVFHTSAFVDPGGMSGYTVSAPNSVPNLKILATTTPTYKHMASLEAKAAEQVIEACVRTESVRKCVFTSSLLACVWRQNYPHDRRFPTIIDENCWSEESFCRDNKLWFALGKTAAEKTAWRAARGRDLKLVTVCPALVTGPGFRRRNSTASIAYLKGARAMLADGLLATASVETVAEAHVRVYEAMGDNTAGGRYICYDHVVKRPEEFAELERQLGIPRRAAAEAAAQDSGDRPARFELCRQKLARLMSTRRRCTYDDYYSVAFD >ONIVA01G27100.1 pep chromosome:AWHD00000000:1:23797246:23800924:1 gene:ONIVA01G27100 transcript:ONIVA01G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIRDDLLRGIYAYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVDTAVREVQALILSPTRELAAQTERVMLAIGDFINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLGRGFKDQIYDVYRYLPPELQVCLISATLPHEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRSNNFTVSAMHGDMPQKERDAIMGEFRSGATRVLITTDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >ONIVA01G27100.2 pep chromosome:AWHD00000000:1:23797265:23802704:1 gene:ONIVA01G27100 transcript:ONIVA01G27100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIRDDLLRGIYAYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVDTAVREVQALILSPTRELAAQTERVMLAIGDFINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLGRGFKDQIYDVYRYLPPELQVCLISATLPHEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRSNNFTVSAMHGDMPQKERDAIMGEFRSGATRVLITTDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >ONIVA01G27090.1 pep chromosome:AWHD00000000:1:23790579:23791193:1 gene:ONIVA01G27090 transcript:ONIVA01G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARPYRFPVPAEGGEPTRRRSAAQSCGTCGASAVASCVALCCCPCAVVGCLTLALVKAPYAAGRRCVARLAAGKRRRMIRPGVATTPRKTNRVWDLDDGQLQEWRPAGADGAVRAERKQDRREPGRTGGAASAAPPGDASVDAISAVGEGSGRARPRVDAAEKTWVEIYQLGHWGFGRLSFSQPQVIRGDTGGNDGVAASRQ >ONIVA01G27080.1 pep chromosome:AWHD00000000:1:23779155:23780645:1 gene:ONIVA01G27080 transcript:ONIVA01G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FQ01] MAVGEGEQQPLHILFFPFIAHGHLIPVADMAALFAARGVRCTILTTPVNAAVIRSAVDRANDASRGTGSPEISITLFPFPDVGLPPGVESVPGISSKAEQEKIAEAFLRFREPFDRFLAEHHTDAVVVDSFFHWSSDAAADHGVPRLAFLGSSLFARACSDSMLRHNPVEASPDDPDAVVSLPDLPHRVELRRSQMMDPREREGEWAFLQLVNAADQRSFGELFNSFREMEPDYVEHYHTKLGRRAWLLGPVALAAGKGMAERQDTDTDSGRLSPDEERCLRWLDGKAAGSVVYISFGTIARLLAAELTEIARALQLSGKNFLWIITREDTDASEWMPEGFADLMARGERGLVVRGWAPQVLVLNHPAVGGFVTHCGWNSVLEAVSAGVPMVAWPRYTDQFYNEKLIVEMLKVGVGVGAREFASFIDHRSQVIAGEVIAEAIGRVMGEGEEGEAMRKKVKELREKARSAVKEGGSSYDDAGRLLDELMARRSSGTS >ONIVA01G27070.1 pep chromosome:AWHD00000000:1:23774852:23775166:1 gene:ONIVA01G27070 transcript:ONIVA01G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARDGIGGAATANPRTDPAAAAGRPLPRGIPLPSLPNPDPAEGRGVDGGAAGGERGSGGRPPSLAGSSSPPLPVLPSPDPAEGRGVSGGAAEGEHRCRWRMA >ONIVA01G27060.1 pep chromosome:AWHD00000000:1:23766889:23767326:-1 gene:ONIVA01G27060 transcript:ONIVA01G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDAARRARRPTRWHGSGAPAVEEAEAAAWIRSGGGGGGGRGGRLDDGGDMDLEGVDDDNSTTAARQWDLEGVDDDDSTTAAARRRPRLSSPPMPSVVVLASETATDDIFPPPPSHFSSAHPLASPLPHRRLDSVARPVSYKPV >ONIVA01G27050.1 pep chromosome:AWHD00000000:1:23764240:23764783:-1 gene:ONIVA01G27050 transcript:ONIVA01G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAEAALRPWLAAEPRELRVELGLLYSRVVAASLGDAMPGSFLAMVDLVAVTEVKLVASRWSAPGLDAVVRGVTRRQACPKSRRGEEE >ONIVA01G27040.1 pep chromosome:AWHD00000000:1:23761819:23763294:1 gene:ONIVA01G27040 transcript:ONIVA01G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FPZ7] MAIKDEQQPLHILFFPFLAPGHLIPIADMAALFAARGVRCTILTTPVNAAVIRSAVDRANDSFRRNNGGLAIELTVVPFPDVGLPPGFESGTALTTQDDRDKFFLGIRLLHEPFDRYLSEHHVDAAVVDSFFRWAADAAAEHGVPRLGFLGTSVFARACTNSMLRNNPLETAPDDPDAVVPLPGLPHCVELRRSQMMDPKKRPDHWEKFQSIDAADQRSFGEVFNSFHELEPDYVEHYRTTLGRRVWLVGPVALANKDVAVRGTSELSPHADGYLRWLDAKPRGSVVYVSFGTLSSFSPAEMRELARGLDLSGKNFVWVINGADADASEWMPEGFAELIAPRGERGLTIRGWAPQMLILNHPAVGGFVTHCGWNSTLEAVTAGVPMVTWPRYADQFYNEKLITEVLEVGVGVGSMDFASKLENRRVIIGGEVVAGAIGRVMGDGEEGEAIRKKATELGVKARGALEKGGSSYDDVGILMDELMARRGSVNV >ONIVA01G27030.1 pep chromosome:AWHD00000000:1:23755916:23757259:1 gene:ONIVA01G27030 transcript:ONIVA01G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRMCGRAGEPAVRKGPWTLEEDLILVSYISQNGEGSWDNLARSAGLNRNGKSCRLRWLNYLRPGVRRGSITPEEDMVIRELHSRWGNRWSKIAKHLPGRTDNEIKNYWRTKIHRKPRGRSQLLQEPREDAMGMGMSTTTSEAASTSASSGQSQASPGVWDEYMQASSFPHPELVSFAADHHLEMAGVGEVAAAAAAQFVPTEFGFNDGFWNFVDNFWETMPVSDVV >ONIVA01G27020.1 pep chromosome:AWHD00000000:1:23753599:23753940:1 gene:ONIVA01G27020 transcript:ONIVA01G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQMATVKIASLPLMLPLLLRHLKYDQNTKPDPNLTCIAVAPPTAWRWRHMKCRMVHGANRRRDAIYAIQIPVTPNGNVELTCHDAGLHHGHKILGSLESFKDVFFFPLTYT >ONIVA01G27010.1 pep chromosome:AWHD00000000:1:23751330:23752381:-1 gene:ONIVA01G27010 transcript:ONIVA01G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FPZ4] MAARLHLRLGPRLRGFASSFAPLLAAHPRALPLSRMGSVAPLAAARARRGFGSAVATAPPAEDEDFATAADLQFEPPLKVVKYPDPILRARNKRINTFDDNLRSLTDEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKMSKR >ONIVA01G27000.1 pep chromosome:AWHD00000000:1:23745058:23745603:-1 gene:ONIVA01G27000 transcript:ONIVA01G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCESGGRRSGVERWRQAGESAAAASGRARGTPAKPRVTLPASDGVVAARATFSTHTHRSEWAAAVKPPPSAVAKLILRSPSSSKLHQVTSYLDSRVST >ONIVA01G26990.1 pep chromosome:AWHD00000000:1:23738403:23738808:-1 gene:ONIVA01G26990 transcript:ONIVA01G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPLLLSRRRLEVHDAEHAGELGGVSIVLEKKTFLVERFDKYVKTLGSGIHVLAPLVDHIAYVHSLKEEAIPIPDQSAITKDNISIQIDGVLYVKVITRSLP >ONIVA01G26980.1 pep chromosome:AWHD00000000:1:23735487:23735879:-1 gene:ONIVA01G26980 transcript:ONIVA01G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAATATQAAQPEGCLLPREAAKATSSVPAGRRRSSATKKGPVLAMTPFMATMAVPQKKKGDTSDAHSHHSLPTNAAEAETPSSSSETRARPSTKHSTSVPVPTQSTASGGEGGIASRRKGSAPGRSST >ONIVA01G26970.1 pep chromosome:AWHD00000000:1:23715873:23720977:-1 gene:ONIVA01G26970 transcript:ONIVA01G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPTGRCGRVGRASVSASADPPLAPPTPVVAGDAPLPPPIHHRCPCFTEACRAYPPPTLLHPLTPLPPSFFFLNFGAGPPPRRTGSMMLDDSNISPRKKTFTTRKNTMLSISRNINPADQEMLKTYKRRRVNRASIPQLLDEVLMEIFIRLPAKTLARFKSVCKAWHTIISEPFFIRSHLRHSAFKHKQEPSFFVILHALDNVVEITFSNNVPVFRWKDGQGNACLVHAMDFHESDTLRQATGLGLDPRTNMYKVARYFYRSVDHTIGTYDAAMEVFSIGQDAFWRETSEVPPYPVRSMESPIHSKGYLFWNIDERFLKGQSRGFLCFSLEDETFSLIPHPCPYLPLNHGTSLVSELDGELCVGLFISGQQQLWMYNGNQWDQRFSINVPGPNDLYIPLDILPHDQLLLQRGPHLYHHNHQSSEDIKEVARMDQLNYQSPPGEPYVYMVIPYMESLVRAYLTKNFLFNLKQLYEEHHMSLRLHVWRDTDKLSYKKMGIPKGKIFIINPKVCLLHQLKS >ONIVA01G26970.2 pep chromosome:AWHD00000000:1:23715873:23719729:-1 gene:ONIVA01G26970 transcript:ONIVA01G26970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISRNINPADQEMLKTYKRRRVNRASIPQLLDEVLMEIFIRLPAKTLARFKSVCKAWHTIISEPFFIRSHLRHSAFKHKQEPSFFVILHALDNVVEITFSNNVPVFRWKDGQGNACLVHAMDFHGEYQIINKMSHCDGLVLFPTDTKLYVINLTTSDVLRLPDNQESDTLRQATGLGLDPRTNMYKVARYFYRSVDHTIGTYDAAMEVFSIGQDAFWRETSEVPPYPVRSMESPIHSKGYLFWNIDERFLKGQSRGFLCFSLEDETFSLIPHPCPYLPLNHGTSLVSELDGELCVGLFISGQQQLWMYNGNQWDQRFSINVPGPNDLYIPLDILPHDQLLLQRGPHLYHHNHQSSEDIKEVARMDQLNYQSPPGEPYVYMVIPYMESLVRAYLTKNFLFNLKQLYEEHHMSLRLHVWRDTDKLSYKKMGIPKGKIFIINPKVCLLHQLKS >ONIVA01G26970.3 pep chromosome:AWHD00000000:1:23719737:23720977:-1 gene:ONIVA01G26970 transcript:ONIVA01G26970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPTGRCGRVGRASVSASADPPLAPPTPVVAGDAPLPPPIHHRCPCFTEACRAYPPPTLLHPLTPLPPSFFFLNFGAGPPPRRTGCLLRHGLEYRKEYDAGRQQHQPKKEDIHDT >ONIVA01G26960.1 pep chromosome:AWHD00000000:1:23711373:23713162:1 gene:ONIVA01G26960 transcript:ONIVA01G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIHDLYMLRQSSRAIQTSITEITARLAKVTELVLALRAGGDVGNYDDDALSAAHAVDSRGAVVTRDGATFSAIAPVRGYGGGTGDDDALFTAIGPIGGGATALSCSAIFSVVVCIRGGGSDVTPHSSPVSLGTINSTVAMEDYRVASSPFPSTTTAAARDLTTASFSGGCDYAERCSTTRSIACGANGVGSRQATHAGKEGTAAAAQREQHARQSPGAPPPTRAAGGHCQYQIWRYLGPFHYWIRLSHVSDEAMDRTNPRGLHLGVMSSGRMLIDVNPPTLLGDEGETNEGARSEVSIDNQRGAADIGCSSTLCAGMSCVRHITMAQLVPTSTRHGTCGIMVDTYTGLVPAVSWLIPTGFRPGTCGIMVDVSGTN >ONIVA01G26950.1 pep chromosome:AWHD00000000:1:23705494:23706027:1 gene:ONIVA01G26950 transcript:ONIVA01G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHQRRNQFGHGDSSLRKELTVGTPHVGAAVALHPTWVRMANMECTWQELVAKLEEEPERSLAAVVGDAGAVDADAGVKTVFYAAPNGVVELVPPPADQGPAVDAPPDVILPVWYL >ONIVA01G26940.1 pep chromosome:AWHD00000000:1:23702640:23705218:-1 gene:ONIVA01G26940 transcript:ONIVA01G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPRAQPRQGTSLLQKRLEIETMTDNMSELAVNPKAKPVRNTSFRVEKVEVDRTVKIEAKRAELECKTKLYEHIKEAAHDSGANVLRQMKAIEKEISELTSQEEIEEKKRKGG >ONIVA01G26930.1 pep chromosome:AWHD00000000:1:23698528:23701451:-1 gene:ONIVA01G26930 transcript:ONIVA01G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FPY4] MFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKMSKRLLVYEESCLSFPGIYANVVRPDNVKIDAQDVTGAKIKVKLSGLSARVFQHEFDHLQGILFFDRMSLDVLESVREGLKDLEKKYEESTGLVSPESIENYKGRKDLISFSR >ONIVA01G26920.1 pep chromosome:AWHD00000000:1:23692183:23696558:1 gene:ONIVA01G26920 transcript:ONIVA01G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVKGLGLLLLLVLLALCSTIDVCDARRGKHWRPRSSPSSSLLRNKGKGKKGSSNRQHGSNRPSPKPPLSPPPSPGNGKGYQSPYQPSPSPSPNAPVSPSPVNGSGHASPKSPTPSCGKGNQPPSRPTPTSPQGAVFNVVDFGAKGDGVSDDTKAFEAAWAAACKQGASTVVVPSELEFLVGPISFSGPYCKPNILFQLDGTIVAPTSAKAWGSGLLQWIEFTKLNGVSIQGNGIINGRGQQWWTYSDIDDDEDDDTQYDVEFERMPQVKPTALRFYGSFNVVVAGITIVNSSQCHLKFDSCQGVMVHDVTISSPENSLNTDGIHLQNSKDVSIHHTNLACVTQQKQKNIVNMYFLVVYDAGDDCVSIQTGCSNINIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMTGVRIKTWQGGLGLVQDVRFSNIQVSEVQTPIIIDQFYCDERTCSNQTSAVAVSGVQYENIRGTFTIKPVHFACSDSSPCSGITLTGVQLRPVQIPHYRLNDPFCWQAFGELYTPTVPPIACLHLGKPAGNNLQSYHDLC >ONIVA01G26910.1 pep chromosome:AWHD00000000:1:23691372:23694991:-1 gene:ONIVA01G26910 transcript:ONIVA01G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHSLTAVKLEMTLRAVDNSDTSYYNVETTIESQCLHQSLTCGILSNSTSYCWKYPRHHHFGMLNERI >ONIVA01G26900.1 pep chromosome:AWHD00000000:1:23681292:23683502:-1 gene:ONIVA01G26900 transcript:ONIVA01G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAPKPLVYFLLRRAGLRQHTVDVDGAGTVISFWMPEGKVPKDRGTVRDVAPEGAAAADSGKQQKAAAKPAGNGKERPAVVLVHGFAAEGVVTWQFQAGVLAKHYDVYVPDLLYFGGSTSPSTDRSPGFQAECLAAALRKLGVERCTVVGFSYGGMVSFKMAESHPDLVTSLVVSGSVIAMTDSISEASLERIGVKSSAELLLPETVKGLKALLSIATHRKLWFPDRIHRDYLEVMFTNRKERAELLEGLVVSNKDATVPVLPQKILLLWGENDNIFNIELAMTMKEQLGEKAMLQSISKAGHLVHIERPCVYNQHLKEFLAYVNAESPKETA >ONIVA01G26890.1 pep chromosome:AWHD00000000:1:23678290:23680159:-1 gene:ONIVA01G26890 transcript:ONIVA01G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTENYDPYYPDRPVVDQYIPVCAKHPGLGSKPAFIWADDQAGGGSGVSPRETLTYSELDSAVQRMAAGLLEVLRRGDTVLVLASPGIRLVKLMFACQRAGLVAVPVIPPDPSVIGTPAEGPAHRHLLRAVSQARPRAAVADAGYVGAVTRSSISALMSLNWVSVDRLERWPAVASTVAATGDGVYEGCGPRETYLVQYTSGATGDPKPVVVTAGAAAHNARAARKAYDLRPGSVVVSWLPQYHDCGLMFLLLTVVSGATRGRSEHGTRPLQLQTLRNLILVNEPIYKSPVDEFLEEFGPAGLRASSISPSYGLAENCTFVSTAWRGTEVTLPSYKKLLPSARLPQPSLLTEAPDVEIVVVDAETGKLVEDGVEGEIWLSSPSNGSGYLGDSPASREVFGARLQGRAGPCFVRTSDLGVVHGTERYLYVLGRTTDAIVVADSQRRVPAHYIETAAFESSPGRLRGGCIASFTTLPVSPSPVVVVAELQNGGGGGDMAGICHGIRQAVWREVGVTVARVVLAESGGVPKTTSGKLQRGAARAKLLAGKLPKVFEARYAGLEPAAGVLMVKESASRWARLQSPL >ONIVA01G26880.1 pep chromosome:AWHD00000000:1:23674298:23675478:-1 gene:ONIVA01G26880 transcript:ONIVA01G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPAEVDGEDRGWLCAMDTYASCCVPLPSKEVDAVKKRGIRRATEMERKASRPVAGEEAAGDRQERGRAALRRRRRRQPDEEKEAAKDGETRWQPDAGEILVVDVEVTEGLDDGGEVDGNGGVLANGVGEEERSSVERPRRGARRPRAHRRGRRKSSASTRETSRSFLPWITPDTPRHRRHLILPSRRAVPPSPPSPSTSPPLSSPSVTLTSTARTSPASGCRVSMGRRGRRGRREGAGIDGARREKRRTAP >ONIVA01G26870.1 pep chromosome:AWHD00000000:1:23648656:23654575:-1 gene:ONIVA01G26870 transcript:ONIVA01G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFYSGVLIFSVLLISLWTVTPVLSHSELDYGRRAKNEKTPNDGNDMSKEIGKGEEQHVNQEAADAAVVLKTKEEIAKRTAEHIQSTIGSSRVAIHEKEELLEKTAEVMSHMAGEVSDQLSKVAKEHTKIAVGSIATALKFKQEVLKQAAQRVKDVSEDVHMATKAKQEILQNVAHDMGKVAGDMATSMAKMAEVAAGVAGGAAAGVATGIAGGFAGGARVHVSGGIHANIHISASASAHAKASAAASAGVGAKASKSVSGNVGNNAEEYAGANGNVHGKAKAGISAGFGISAGAKVAAGIGANAGVGGDAQTNAKASVGAGIGISGGAKVAGGIGAKAGVGADANANAKAGIGAGVGISGGAKVGADIGAKAGVGGNANAKAGVGAGVGISGGAKVGAKIGAKAGVGGDVNAKAGIGAGVGISGDAKVGADIGAKAGVGGDAYTKAKAGVGAGVGISGGAKVASGIGANAGVGADANANAKAGIGAGVGISGAAKVGADIGAKAGVGGDVNAKAGIGAGVGISGGAKVGADIGAKAGVGADANVNAKAGIGAGAGISGGAKVGADIGAKAGVGADANAKAGIGAGIGISGGAKVGADIGAKAGVGADANANAKAGIGAGVGISGGAKVGTDIGAKAGVAGYTYTKAKAGVGASVGISGGAKVGAEIGAKAGVGADANANEKAGIGAGVGISGHAKVGAGIGAKAGIGANANANAKAGIGAGVGISGGAKVGADIGAKAGVGADANANAKAGIGAGAGISGGAKVGADIGAKAGVGGGAIAKAGIGAGVGISGGAKVGADIGAKAGVAGDAGIGAGIGISGGAKVGADIGAKAKVGGDANAKAGAGVGISGDAKVGADIGAKAGVGGDVYTKAKAGVGADVGISGGAKVAGGIGTNARVGADANANAKAGISAGVGISGGAKVGADIGAKAGVGGDVNAKAGIGAGVDISGGAKVGTDIGAKAGVGADANANAKDGIGAGAGISGGVKVGADIGGKAGVGAHANAKAGIGAGVGISGGAKVGADIGAKAGVGADANANAKAGIGAGAGISGGAKVGADIGAKAGVGADANSKAGIGAGVGISGGVKVGADIGAKAGVGADANANAKAGIGAGVGISGGAKVGADIGAKAGVGADAYTKAKAGVGAGVGISGGAKVGADIGAKAVVGADANANAKAGIGAGVGISGGAKVGGGIGANAGVGGDAKANANAGVGANAKAGVGARIGGSIGAKADVGGDAKANVDAGAAISKDAKIDVGISKEDKINASIGGNAGANANASVGAGVGLGIGAGITGGAKVGGGIGANAGVGGDAKANVDAGINAGAGISKDAKIGANISKEDNISAGIGGNAGVNANAGIGAGAGLGIGVGISGGAKVGGGIGANAGVGGDAKANADTGLNADAGISKDVKIGASISKENKINAGVGGNAGANANAGVGISAGLSGGAKVGGDIGANAGVGGNAKANADAGLNAGAGISKEDKISAGIGGNAGANVNAGVGASIGIGIGAGISGGAKVGGGIGANAGVGVDAKANADAGLNAGAGIAKDDKIGASISKEDKSSAGIGGNAGANANAGVGVGANLGIGASISGGAKVGGGIGANGGVGGDAKANADANASGGGGAVGGQAGANANANANAGANVGIGASKHIGFGFGAGGSFHFHASAKAHANANAAISGSEGSNIGAGASASKSVGAGIGAGVGVHTGMNIGFHGGIGGNANVGSNAGIGASGKENNGVEEEKSKSAEASTNKEYGSAN >ONIVA01G26860.1 pep chromosome:AWHD00000000:1:23646727:23647425:-1 gene:ONIVA01G26860 transcript:ONIVA01G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSEHEEDAGDVGGGCSSPPTPPHRVLTSAAPETIRCRYHECLRNHAAASGGHVVDGCGEFMPASTEEPLACAACGCHRSFHRRDPSPGRAGAARLLQLHLPASINSRAPPALLLPPAAAASKQGLPFPGYGTPSGGTGTTTASSSDERLRPSPVQPRRRSRTTFTREQKEQMLAFAERVGWRIQRQEEATVEHFCAQVGVRRQALKVWMHNNKHSFKQKQQQENRQEQQQ >ONIVA01G26850.1 pep chromosome:AWHD00000000:1:23636316:23648220:1 gene:ONIVA01G26850 transcript:ONIVA01G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTAGGGGANNNPNKSLEVNPAPGDSVSSLSFSPKANHLVATSWDNQVRCWEIQPGGQCQAKASISHDQPVLCSAWKDDGTTVFSGGCDKQIKMWPLLSGGQPMVLSGHEAPVKELAWIPQMNLLVSGSWDKTLRYWDVRQPQPAHVQQLPERCYALSLSYPLMVVGTADRNVIVFNMQNPQAEFKRIVSPLKLQTRCLAAFPDQQGFLVGSIEGRVGVHHVDDGQQGKNFTFKCHRDGNDIYAVNALNFHPVHHTFATSGSDGGFNFWDKDSKQRLKAFNKCPSPITCSTFNQDGSIFAYAVCYDWSKGAEKHNPSTAKTNIFLHSVQESEVKGKPRVNKK >ONIVA01G26850.2 pep chromosome:AWHD00000000:1:23647537:23649603:1 gene:ONIVA01G26850 transcript:ONIVA01G26850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIFLGAGSRSGWRCRGQVCNGDRPRRRVCCFPRPVLTTDSGRLRAHSILLGRDTGTGIETSISVSFCITTNTGICSNITTNFSTS >ONIVA01G26840.1 pep chromosome:AWHD00000000:1:23631548:23633819:1 gene:ONIVA01G26840 transcript:ONIVA01G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSVSSSRAPQWTARQNEQFERALAVYDRDTPERWHNIARAVAGKSADEVKLYYDLLVEDVKRIETGKVPFPAYRCPPPAIAG >ONIVA01G26830.1 pep chromosome:AWHD00000000:1:23629224:23629544:-1 gene:ONIVA01G26830 transcript:ONIVA01G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTSGENGHRRPNKEVEGTSVAQRQSSDGVDAVFDGEANARIWEEKGNGSARGDGGLNDHSAREIERGRNKITPD >ONIVA01G26820.1 pep chromosome:AWHD00000000:1:23627586:23627867:1 gene:ONIVA01G26820 transcript:ONIVA01G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKASSSSSASAASSEWTKQQNKQFERALAVYDTDAPDRWHNVARYMGGAKSAEEVRRHYERLQADVEHIEAGGVPFSLGYGATPQAGRR >ONIVA01G26810.1 pep chromosome:AWHD00000000:1:23618359:23620645:1 gene:ONIVA01G26810 transcript:ONIVA01G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase (CBS) family protein [Source:Projected from Arabidopsis thaliana (AT1G47271) TAIR;Acc:AT1G47271] MDLHGIRGKVALNPVLKLPQGELVVFTDQFEPGIGRRTSAGLQHFLQELLRLKMQGITKALRFHGKQLKLTVLQHMNKGIFSWATLISRIQTESPTVIIPHIGLENIRVREILNAKGEAKAGAVYWCCTSHFVHEAIKHMTAHNVGALVVLKSGDEKQLAGIVTERDFARKILLPGRPSEETRVGDIMTEEDKLITVSSNTNILQAMELMTERHIRHVPVFDEKVVGMITIGDVVKTIVDQQHQEVKQLKKYIRGDYY >ONIVA01G26800.1 pep chromosome:AWHD00000000:1:23615294:23615830:-1 gene:ONIVA01G26800 transcript:ONIVA01G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQATALVLSFGSYHLGVHSRGSDIDVLVVGPSYVDRDFFGALAATLAETAAVAELQPPARARRARASDQDEVPRRAGGPRVRWRVGRRPRWTRAARASGTYGRRDAAGKGERHGQDSAGGAPAMDLRSPLPPRRRPPVLLSRRSPPHPSHAMPREKSERERGGRERRGKKRADVDV >ONIVA01G26790.1 pep chromosome:AWHD00000000:1:23612564:23614119:-1 gene:ONIVA01G26790 transcript:ONIVA01G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pectinesterase 11 [Source:Projected from Arabidopsis thaliana (AT2G21610) TAIR;Acc:AT2G21610] MPMPLYGVVNSPCRSHCRAAATLLVSVSLLCSCFAIAMPSVVVTVDQSGKGDHRRIQDAIDAAPANDSSRTVIRIKPGVYRRVGNQEKVVVDKPYVTLTGTSATSTVIAWNESWVSDESPTVSVLASDFVAKRLTFQNTFGDSAPAVAVRVAGDRAAFYGCRFVSFQDTLLDETGRHYYRGCYVQGATDFIFGNGRALFDKCHLHSTSPDGAGGAFTAQQRSSESEETGYSFVGCKLTGLGAGTSILGRPWGPYSRVVFALTYMSSTVRPQGWDDWGDPSNQRTAFYGQYQCYGDGSKTDGRVAWSHDLTQAEAEPFITKAWVDGQQWLR >ONIVA01G26780.1 pep chromosome:AWHD00000000:1:23609040:23611041:-1 gene:ONIVA01G26780 transcript:ONIVA01G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:laccase 11 [Source:Projected from Arabidopsis thaliana (AT5G03260) TAIR;Acc:AT5G03260] MASAASSLPLLVSSLLLALFALGAHADVKRYQFDIVMSNVSRLCHEKAMVTVNGSYPGPTIYAREGDRVIVNVTNHVKHNMTIHWHGLKQRRNGWADGPAYVTQCPIGSGGSYVYDFNVTRQRGTLWWHAHIAWMRATVHGAIVILPAAGVPYPFPKPDDEAEIVLGEWWHADVETVERQGSMLGMAPNMSDAHTINGKPGPLVPFCSEKHTYALQVKSGKTYLLRIINAAVNDELFFSIAGHNMTVVEIDATYTKPFAASTVQLSPGQTMNVLVSADQSPGRYFMVAKPFNDVPIPADNKTATAILQYAGVPTSVVPALPQTMPATNSTGSVAAFHDKLRSLNSPRYPADVPLAVDRHLLYTIGLNIDPCETCLNRSRLAASLNNITFVMPRTALLQAHYYGQKGVFAADFPDRPPARFNYTGVPLTAGLGTSLGTRLSKIAYNATVELVLQDTNLLSVESHPFHLHGYNFFVVGRGVGNFDPAKDPAKYNLVDPPERNTVGVPAGGWTAIRFRADNPGVWFLHCHLEVHTSWGLKMAFLVEDGSGPDESVLPPPKDLPKC >ONIVA01G26770.1 pep chromosome:AWHD00000000:1:23598187:23598768:-1 gene:ONIVA01G26770 transcript:ONIVA01G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFTAARQAAPPPPPWWSGRFASLSSMATSLPVRAFAYFDDGGGHRRTAAATRECRTNSRRPLYDNDDKPRILELATRVLDELVEMCSSSEPLWVRGVETDRDILNYDEYVCLFHHDHCGYGDRMAGWSVEAYSVGSTRQGKSFSKSHMCVTKRAFGFLTTTFQKVKKSQPITAFIKTTAQPNTPLDCV >ONIVA01G26760.1 pep chromosome:AWHD00000000:1:23588226:23596336:-1 gene:ONIVA01G26760 transcript:ONIVA01G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAAAAAPPRHRERRRHRRKASSTDAAAAAYGDVFGGPPQFAAAFDGVPADYGEVFGGVAASCSIPYLDLPPAAARDDGAGAGAYGEIFGRFDFGDFAEPYEDLLAEAVALAAEIASSSESSRSSVRKESGQLDADPSILHQHYSNVGYDQHFDEDEFSPISSPPDSGKQFSMSYNKATRGRPDDIVKMTTCMVEPPISYVVDSRNISNKSAMDQVVVVDCDTFANGEKGSMGLTFPSSSSLKSASSDSVADQNLHTPICHPISKNDCEDEDYHKRLSTHSASSEEVPSPDYPFLRVSNNSLHTQPIKVQPPLLAPSKLLNKKESKANGEKGSTGLTFPSSSSVKSASSDPMADQNLHTPTCHPISKTDCEDEDYHKRLSTHSASSEDVPSPDYPFLRVPNNSLHTQPIKVQPPSKLLNKKESKANGDSEVSTNSAAAAAAIKEAMEFAEARLKAAKELMERKGDSFKLRKKPGHHRGTKSTELKESMAPEEVRVYDEKLTMRRIVKEEKTYEETALVNKNGDSSAVNLTHCDHNEKGVLQPRKPQHTAQSGSKLEQLGKWTSGAEFYELISPDQKCKTNSVTCEGDNVQTTNPSSKLGQFEKGKGETTSGDFVGCGKSWDGGDIAELRMEHVNLREYAIGSTEDGCKAPTAPEISFSNEKPTYQESTETHFKECVGAQNYQERYGDDGAFEISCVDSSKLHAPEIPGASLESCISGGHCNGNKSPSDASTKETTSLGESNKENNNIEALEVPCADEMQSQILQEYHEFRNENIDEKKASQVKVSKLEESVEYYETPNFQKSSSIAHGETETVEKEKMFSFSDELRPQNKNIGITEAPPESLIHKEIKKFGTEEKAYITLEGDVVQKSGSLEREANITLESASANENEEAEEANAFVEGINVMETHVSTYGTSVEDSDQIQDSENRMDGMGDLVSHGNEEAAKDPWLDNSEKSQVEEIFSHEEGQLSVEGGIDGGPNDAYAGVNAINDGNGNDSETKVIIDDGTDFNTKMSTCSKELNASFLESSASMQHLSQIDKSIAAQTSDESTPLENLGEDCREREFPEENSTALEQGQAIGSKMEGDDKDKLSKLNVKDQKYFHLDSYIVPKFTENTTLNFVQKLIDETPDGQRIEGRENVKKTLRETEKEVLHRLDEDKEIYKMEREKEQAKERSRRELEEEKERERERAKDRLAVQRATKEAHERAFAEARAKAERIALERITLARQRASAEAREKEEKATAEAATEKASREARLKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKDSFKSTNQDNQLDKQFQKTASNNYERSTDSSNQVIEFESALRHKARSEREHRTAERAAKALAEKNMRDMLAQREQAERHRLAEYLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQSVPLTDLITATAVKKAYRRATLCVHPDKLQQRGATIRQKYICEKVFDLLKEAWNKFNSEER >ONIVA01G26750.1 pep chromosome:AWHD00000000:1:23584090:23584581:1 gene:ONIVA01G26750 transcript:ONIVA01G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTRMGSAEVGVVSRDRGCESWSIVAEGESRKGEQGALHGEGEDRRRSRREEVRVCGFALGQGRRNARRGEGAGRGGVGERRGGVDAWMTAAAWMREPVVEAARTWRRKGFRRRGSVEGEPTSSGDEDEQERRSAAMQHGDGGGRAARCGKREGGFRREENF >ONIVA01G26740.1 pep chromosome:AWHD00000000:1:23582533:23584163:-1 gene:ONIVA01G26740 transcript:ONIVA01G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDSQPLSLDTTPTSADPIRVCIIGPQSGQRRWTNSYCACKWVAWLDIGSCQTTSSGVAAAVVPPLAHHYTSPTPVASSPLRDMEQQTTSYEELDGGFCAYYCGTASLVPLLAVASISLVNNR >ONIVA01G26740.2 pep chromosome:AWHD00000000:1:23582659:23584163:-1 gene:ONIVA01G26740 transcript:ONIVA01G26740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDSQPLSLDTTPTSADPIRVCIIGPQSGQRRWTNRSRRRRCASLGTPLHLPDTRRVQPAP >ONIVA01G26730.1 pep chromosome:AWHD00000000:1:23561855:23562488:-1 gene:ONIVA01G26730 transcript:ONIVA01G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPMRTEDGSSQFLPGHAAFSGVPQVNMPMFPTALHLRGMYQISINENAQSQGPSFFEMLGQGDWLFSQPPIMQPQTTGMYTADQMMGYAGSTQSYREPCVARVGGRQRHNTR >ONIVA01G26730.2 pep chromosome:AWHD00000000:1:23561855:23562488:-1 gene:ONIVA01G26730 transcript:ONIVA01G26730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPMRTEDGSSQFLPGHAAFSGVPQVNMPMFPTGMNDQWHGAFTYNTTLHLRGMYQISINENAQSQGPSFFEMLGQGDWLFSQPPIMQPQTTGMYTADQMMGYAGSTQSYREPCVARVGGRQRHNTR >ONIVA01G26720.1 pep chromosome:AWHD00000000:1:23553751:23555749:1 gene:ONIVA01G26720 transcript:ONIVA01G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dihydroflavonol 4-reductase [Source:Projected from Arabidopsis thaliana (AT5G42800) TAIR;Acc:AT5G42800] MGEAVKGPVVVTGASGFVGSWLVMKLLQAGYTVRATVRDPSNVGKTKPLLELAGSKERLTLWKADLGEEGSFDAAIRGCTGVFHVATPMDFESEDPENEVIKPTVEGMLSIMRACRDAGTVKRIVFTSSAGTMYFVSKSLAEKAAMEYAREHGLDLISVIPTLVVGPFISNGMPPSHVTALALLTGNEAHYSILKQVQFVHLDDLCDAEIFLFESPEARGRYVCSSHDATIHGLATMLADMFPEYDVPRSFPGIDADHLQPVHFSSWKLLAHGFRFRYTLEDMFEAAVRTCREKGLLPPLPPPPTTAVAGGDGSAGVAGEKEPILGRGTGTAVGAETEALVK >ONIVA01G26710.1 pep chromosome:AWHD00000000:1:23539627:23542233:1 gene:ONIVA01G26710 transcript:ONIVA01G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAAGQAGGGGPAAAAAAAGEYWSEALKSFLDHIPVSLDGSVPDAIDSMYRSGVAGAVIVDDVRTSFGKFVDRDIGFVEFPSLVLWAIEEFDKLGSGAGDKNSDFLTSLKQHPQIAETKIAWLAKSFLWEPFFPVRSHDTLFHAMLLFSKHRRINVVPVVELMNSSVIGFVTQNAVMELLLSSSGLEWLDKIADKQLSEFRFANTTKPVSVYSDQTLADALHILSKEKIGVAVVDRKTSCLIGSIQCSDLYQLLDDSSLFRNRKTLSAEEFVKLKSKDEDISTENSSASGGQNVLSLRTGQRITAGLPVTNRKSDTLKQAMEKLTASRSSCSFIVDEHGRVEGVVTARDIISVFSPPCMDSRIDGGTFFSAALAQTGCRVEHGQMIQNS >ONIVA01G26700.1 pep chromosome:AWHD00000000:1:23534228:23538697:1 gene:ONIVA01G26700 transcript:ONIVA01G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSDEDSEISDSEIDEYADKFYARLVAGEFKVKDGQSYSCPFCSGKKKKDFNINNLIQHASGVGAASNRQAKDKATHRALAKHLKNGLTKSSGQQSQTAVVEPQPLPNRDEKFVWPWMGVLVNVPTEWKDGRQIGRSGNHLKEQLSRFCPLKIIPLWNFRGHSGNAIVEFGKDWHGFRNALAFEDYFGKEGYGKRDWKEKQNQGSNLFGWVARAEDHTSPGLIGDHLRKNGDLKTINDLENEGARKTDKLVANLANQIEVKNRHLQELEVTYNERTTSLEKMMGQREQLLQKYNEEIRKMQQLAQRHSQKIIDENQKLRSELESKMSELNTRSKELDEIAAKSDYDRRIIDQEKQKNAIKSSHLKLATLEQERADENVLKLVREHKREKEAAVKKILKLEQQVDAKQKLELDIQQLKGKLEVMKHMPGDEDSALKNKIDELSEELQEKMDELDAMESLNQTLVIKERKSNTEMQDARKELENGLLDLLDGQSHIGIKRMGELDLEAFSKACRKMSSEEDAEITAAILCSKWQAEIKNPDWHPFRFVLVDGQEKEIIEDDAKLQELKEEHGEDIYRLVRDALCEINEYNPSGRFPVGELWNFKDKRKATLKETVQFVLRQWRANRRKR >ONIVA01G26700.2 pep chromosome:AWHD00000000:1:23534816:23538697:1 gene:ONIVA01G26700 transcript:ONIVA01G26700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSDEDSEISDSEIDEYADKFYARLVAGEFKVKDGQSYSCPFCSGKKKKDFNINNLIQHASGVGAASNRQAKDKATHRALAKHLKNGLTKSSGQQSQTAVVEPQPLPNRDEKFVWPWMGVLVNVPTEWKDGRQIGRSGNHLKEQLSRFCPLKIIPLWNFRGHSGNAIVEFGKDWHGFRNALAFEDYFGKEGYGKRDWKEKQNQGSNLFGWVARAEDHTSPGLIGDHLRKNGDLKTINDLENEGARKTDKLVANLANQIEVKNRHLQELEVTYNERTTSLEKMMGQREQLLQKYNEEIRKMQQLAQRHSQKIIDENQKLRSELESKMSELNTRSKELDEIAAKSDYDRRIIDQEKQKNAIKSSHLKLATLEQERADENVLKLVREHKREKEAAVKKILKLEQQVDAKQKLELDIQQLKGKLEVMKHMPGDEDSALKNKIDELSEELQEKMDELDAMESLNQTLVIKERKSNTEMQDARKELENGLLDLLDGQSHIGIKRMGELDLEAFSKACRKMSSEEDAEITAAILCSKWQAEIKNPDWHPFRFVLVDGQEKEIIEDDAKLQELKEEHGEDIYRLVRDALCEINEYNPSGRFPVGELWNFKDKRKATLKETVQFVLRQWRANRRKR >ONIVA01G26690.1 pep chromosome:AWHD00000000:1:23525712:23533470:1 gene:ONIVA01G26690 transcript:ONIVA01G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPAGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDTSFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIGCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >ONIVA01G26690.2 pep chromosome:AWHD00000000:1:23525987:23533470:1 gene:ONIVA01G26690 transcript:ONIVA01G26690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPAGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDTSFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIGCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >ONIVA01G26690.3 pep chromosome:AWHD00000000:1:23525712:23533470:1 gene:ONIVA01G26690 transcript:ONIVA01G26690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPAGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDTSFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIGCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >ONIVA01G26690.4 pep chromosome:AWHD00000000:1:23524883:23533470:1 gene:ONIVA01G26690 transcript:ONIVA01G26690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPAGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDTSFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIGCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >ONIVA01G26690.5 pep chromosome:AWHD00000000:1:23526533:23533470:1 gene:ONIVA01G26690 transcript:ONIVA01G26690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPAGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDTSFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIGCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >ONIVA01G26690.6 pep chromosome:AWHD00000000:1:23528731:23533470:1 gene:ONIVA01G26690 transcript:ONIVA01G26690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPAGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDTSFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIGCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >ONIVA01G26680.1 pep chromosome:AWHD00000000:1:23521999:23522514:-1 gene:ONIVA01G26680 transcript:ONIVA01G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31 [Source:Projected from Arabidopsis thaliana (AT1G75350) TAIR;Acc:AT1G75350] MALSLSTSFLPTQAAAAATRTTLRSLVPSQRMRCSMRKKGLHPEIYEDAKVYCNGELVLVTGGTKPEYTVDVWSGNHPYYVGDTSAMVVMDSQIEKFRKKWGHIKEYWPEDQWREMHPDGDPEFDPEEEGSAGAS >ONIVA01G26670.1 pep chromosome:AWHD00000000:1:23503990:23511472:1 gene:ONIVA01G26670 transcript:ONIVA01G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICNGRQPRLSLPHLPLSASTASVRSASVAPPPSGRYRIRPPPVAAQSPLPPPPPSRRRPNPPSCRPAPAPVSPLSLSNPSPVDPRPPPQPTPSSAQTPISVASSTSGASIHDHDHDHAARRSGHRCCPSAGEVCAASASTGIAAAVPPPRKSSRLASTATYTESRRRPSAAERTTPATPSGSPRLLPTGTAH >ONIVA01G26670.2 pep chromosome:AWHD00000000:1:23503990:23508003:1 gene:ONIVA01G26670 transcript:ONIVA01G26670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICNGRQPRLSLPHLPLSASTASVRSASVAPPPSGRYRIRPPPVAAQSPLPPPPPSRRRPNPPSCRPAPAPVSPLSLSNPSPVDPRPPPQPTPSSAQTPISVASSTSGASIHDHDHDHAARRSGHRCCPSAGEVCAASASTGIAAAVPPPRKSSRLASTATYTESRRRPSAAERTTPATPSGSPRLLPTGTAHW >ONIVA01G26660.1 pep chromosome:AWHD00000000:1:23494499:23498935:-1 gene:ONIVA01G26660 transcript:ONIVA01G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKSPIPVTTRRCRCRTQRFRPTRMCLRPMMMRMTTKSPIPVMPEVGESPQQHKKKNMEASAKGESHKHSRRNTDIDRQFKEKAFYFFLGKEAFLKLADSKASALDAKIKQLTLAQVRVSLQGRGLEKELIKLLSGLLKHGESFKEY >ONIVA01G26650.1 pep chromosome:AWHD00000000:1:23489484:23495873:1 gene:ONIVA01G26650 transcript:ONIVA01G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMKGGRGRTSKFAGLKGLLWKAGIAGDEEVKPRGNDIHRRWLRRNLPSHCLLRSLRRCQDESRLNRGGAAIFIWRAEHGRDPDSETDFSFKDANKLCSLVRKQQALAKKKRKWLRSLIPREDGLIKPIKRPKFLKDAYLAESYVRSDETRPAMNKIIEDHLPQYLANLRDENDMSQLTHILTNSFSYRSNSLNIATPISPKMLSSINQALNVLGTLTIQSLVAMKRKLDEISFTPKFSFVPRISRKAHMVTVIRKQCNKMISRVGESGDLRKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRPILCQGSNDEMLFKTTVRRVIYQMRHQMPLRQKIDFTEQRKGAEVEAVLNVSSSLRALVYHCTGGQTDDQLMNCGSECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMANPVGLS >ONIVA01G26650.2 pep chromosome:AWHD00000000:1:23489484:23495873:1 gene:ONIVA01G26650 transcript:ONIVA01G26650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMKGGRGRTSKFAGLKGLLWKAGIAGDEEVKPRGNDIHRRWLRRNLPSHCLLRSLRRCQDESRLNRGGAAIFIWRAEHGRDPDSETDFSFKDANKLCSLVRKQQALAKKKRKWLRSLIPREDGLIKPIKRPKFLKDAYLAESYVRSDEVSCEKVIAHVEKCFDFQSDGYSHHIVQDGLQFFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANIVTHNRVSFEKTRPAMNKIIEDHLPQYLANLRDENDMSQLTHILTNSFSYRSNSLNIATPISPKMLSSINQALNVLGTLTIQSLVAMKRKLDEISFTPKFSFVPRISRKAHMVTVIRKQCNKMISRVGESGDLRKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRPILCQGSNDEMLFKTTVRRVIYQMRHQMPLRQKIDFTEQRKGAEVEAVLNVSSSLRALVYHCTGGQTDDQLMNCGSECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMANPVGLS >ONIVA01G26650.3 pep chromosome:AWHD00000000:1:23489484:23495873:1 gene:ONIVA01G26650 transcript:ONIVA01G26650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMKGGRGRTSKFAGLKGLLWKAGIAGDEEVKPRGNDIHRRWLRRNLPSHCLLRSLRRCQDESRLNRGGAAIFIWRAEHGRDPDSETDFSFKDANKLCSLVRKQQALAKKKRKYLAESYVRSDEVSCEKVIAHVEKCFDFQSDGYSHHIVQDGLQFFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANIVTHNRVSFEKTRPAMNKIIEDHLPQYLANLRDENDMSQLTHILTNSFSYRSNSLNIATPISPKMLSSINQALNVLGTLTIQSLVAMKRKLDEISFTPKFSFVPRISRKAHMVTVIRKQCNKMISRVGESGDLRKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRPILCQGSNDEMLFKTTVRRVIYQMRHQMPLRQKIDFTEQRKGAEVEAVLNVSSSLRALVYHCTGGQTDDQLMNCGSECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMANPVGLS >ONIVA01G26640.1 pep chromosome:AWHD00000000:1:23484877:23485068:-1 gene:ONIVA01G26640 transcript:ONIVA01G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAKVKWRRLGANEVVRSHPLALSPSSSRRFLLPYRRPHRVVLHAAELIGTPAKGVGSLVG >ONIVA01G26630.1 pep chromosome:AWHD00000000:1:23473896:23474699:-1 gene:ONIVA01G26630 transcript:ONIVA01G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAAAAAAADDAGRPGAAAARLRVMWRVVRAAEALALAVLLSRSLPLLPVAAGAAGAVLRVGASFLLHPCSVFLLANGIVVLLVALSRRDRPSSSSSSSSSSSSCSDDHDDVHDQFLSFAGAHLPPLPAAITGAAAADQEEGAVFEDKQAVHVTPARAAPRRSRSEKVGRGRRPTRAASPELRRSESERCRRRRRSLSSSSASLADWGMEEDDGGEKEEEEFRRAVEAFIAKQQTRFHREESFVLVAGAGAGDETSAAAAAVEVK >ONIVA01G26620.1 pep chromosome:AWHD00000000:1:23463680:23470788:1 gene:ONIVA01G26620 transcript:ONIVA01G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTASRICTLLSILFVSCMLASAANDGLIRIALKKRPIMESIYGELVPKSGTVDHEVALGYSGVRMNSAEEGFYDPVTEAINHVRVHQQRMLRDIEAAAMEGRLKHFWSYRGFRERGSLKNGTQNHPLALKNFLNAQYFGEIGVGCPPQNFTVVFDTGSSNLWVPSAKCVFSLACYFHRKYESRSSSTYMENGTPASIHYGTGSIHGYYSQDQVTIGDLVVNNQEFIEATHEPGLTFLAAKFDGILGLGFKEISVEGADPVWYNMIQQSLVTDKVFSFWLNRNANDINGGEIVFGGADESHYKGDHTYTRVTRKAYWQFEMGDFLIGGRSTGICVDGCAVIADSGTSLIAGPIAAIAQIHAHIGATGVANEECKQVVARHGHEMLELLQDKTPPAQVCSKIGFCKSDGAHGISDGIESVLGETHKSADEVSDATCNACEMAVTWMQSEFVQNHTKEGKLEYANQLCGNMPSPVGSYVDCRHIGHLPNVAFSIGGRAFELTPEQYILKFGEGFLAHCMSGFTALDIPPPIGPLWILGDVFMGAYHTIFDYGKMRVGFADSA >ONIVA01G26610.1 pep chromosome:AWHD00000000:1:23460834:23461142:1 gene:ONIVA01G26610 transcript:ONIVA01G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVGKVGEWIRRRMMPRRSKSKAAGSRSRSEGDGGEALPAPPQRKLRARALPAALRWRPRGRLLAVLYEKVVYHLLWLVESIVVVARLCFFVMRFGLKQL >ONIVA01G26600.1 pep chromosome:AWHD00000000:1:23454087:23459038:1 gene:ONIVA01G26600 transcript:ONIVA01G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLSSEMRRTVLGLTLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIFSKEIPVDRVGSRSLAQTMHEREQPSFPPQDKHTNREPGKTLGHMALSKSSEPDNMSQGSSVCNVDRAGSVHSGEDGSTGHGRKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSRENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGREPVDYSRSGNEVNLVEWLKIMVANRRAEEVVDPILEVRPTVRAIKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFANKVERTGSSTSDRSQS >ONIVA01G26600.2 pep chromosome:AWHD00000000:1:23454087:23458987:1 gene:ONIVA01G26600 transcript:ONIVA01G26600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLSSEMRRTVLGLTLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIFSKEIPVDRVGSRSLAQTMHEREQPSFPPQDKHTNREPGKTLGHMALSKSSEPDNMSQGSSVCNVDRAGSVHSGEDGSTGHGRKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSRENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGREPVDYSRSGNEVNLVEWLKIMVANRRAEEVVDPILEVRPTVRAIKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFANKVERTGSSTSDRSQS >ONIVA01G26600.3 pep chromosome:AWHD00000000:1:23454880:23459038:1 gene:ONIVA01G26600 transcript:ONIVA01G26600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLSSEMRRTVLGLTLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIFSKEIPVDRVGSRSLAQTMHEREQPSFPPQDKHTNREPGKTLGHMALSKSSEPDNMSQGSSVCNVDRAGSVHSGEDGSTGHGRKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSRENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGREPVDYSRSGNEVNLVEWLKIMVANRRAEEVVDPILEVRPTVRAIKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSTEFANKVERTGSSTSDRSQS >ONIVA01G26590.1 pep chromosome:AWHD00000000:1:23451531:23452161:-1 gene:ONIVA01G26590 transcript:ONIVA01G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKADMAPSWLGREGAALAAPHRRPADEVKLSVEEAVAPAEVVAAQTEEANEVAAVSGGGGAKDGGGKGRDWQGVGDDCDYGLRQRGRRSSGCDEEGEVRGSNGSRMEGGGKDGDGGQDLVTTEADLAPWRTDLVWGELAAVAALRSSQ >ONIVA01G26580.1 pep chromosome:AWHD00000000:1:23451029:23451502:-1 gene:ONIVA01G26580 transcript:ONIVA01G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAAAGRQRTTRWLVDGNGATDCCGNGVRSRWRWSQQWLDGGEGAAASQRRGGRRQQRLRSRTASRGHGRWPYLSQCGSDWRGRRPPWHREVHPMAVEADKAREAPPAARKPDWRERRSRWREAGLAREVRPVVEEAGAAR >ONIVA01G26570.1 pep chromosome:AWHD00000000:1:23450596:23450973:-1 gene:ONIVA01G26570 transcript:ONIVA01G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGLRRTKAGRPGGHRCSGPTCQQRLSGGGALVRDERQVKTQPSLGRTDKLWFIPPPEGVVVPSHPSRVKAQSWLFCVPERTDGGGGFPSLLSLETSFRHPLIETTRKIGASTL >ONIVA01G26560.1 pep chromosome:AWHD00000000:1:23443707:23445818:1 gene:ONIVA01G26560 transcript:ONIVA01G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLGLLVLLLAFCGGAEHHGAEAAGDASARGASARRGLATVSVAKPSYPTVTTPMSASTSPSTMPMSSSPSAFPSLATAGGGGGGGGGGSWCVASQSASPTALQVALDYACGYGADCSAIQPGGSCFNPDTVHDHASYAFNSYYQKNPVATSCDFGGTATITNTDPSKLISVPTQQHLNPCGHNFLTSLLVFHPCRFRVVPVFSLERWWSEHAAPAVPDHPAAANPDDADADHPDDTNTDHAGHRDADLRWIHDPS >ONIVA01G26550.1 pep chromosome:AWHD00000000:1:23430613:23437315:1 gene:ONIVA01G26550 transcript:ONIVA01G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycerol-3-phosphate acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G06520) TAIR;Acc:AT1G06520] MVLPTILPKIAAHWLFTFYRAARKLRGHAFQYCRSSSATTTKPPPPSPVSPAAKCTVVFPDAADKAVVFGFDGALMRSAALFPYFMLVACEGGSLLRALLLLCAFPLVWALGERSDAGVRVMAFVTFFGLRPRDMDLVARAVLPKFYMEGLNAQVYSRLWLPARRKVVVTGAPRVMVEWFLKEYMAADVVVGGELHVVRVGRGRYFTGMLCGPGGSAAPALKHKALQAEALGTDNNAMADVAVVGNASQLDHPCFPYCKEVYVVNRESTKTARLPRDRYPKPLIFHDGRLAFLPTPSAALAFFLFLPLGVILSVIRISIGILLPYKISFGAGALFGVRYRTSGLRAPEPGVKRRGVLYVCTHRTLVDPIMLTAALQKPVPAVTYSLSRLSEVIAPIKTVRLTRDRERDAETMSRLLEHGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTTLYGTTASGHKWLDPVVFFANPQPAYRVDFLGAVPREWTRAGGRAGAEVANWVQRRLGEALGYECTGLTRRDKYMMLAGNDGVVAK >ONIVA01G26540.1 pep chromosome:AWHD00000000:1:23401790:23405322:1 gene:ONIVA01G26540 transcript:ONIVA01G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:urophorphyrin methylase 1 [Source:Projected from Arabidopsis thaliana (AT5G40850) TAIR;Acc:AT5G40850] MALALRPPRFQPLPAPIPASTASTTALAARPSSSAHAIICAAAASPFTEATSSSRYRRDAWSYAASDGDSSSSSAAAAAAAASSGRRDDEIALQLPELRRLLEALRASRGRGAEGEGGGGGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVIPGITSASGIAAELGIPLTHRGVSTSVRFLTGHSRNGGPDPLYVAENAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRMVFAMLKDLVNEVKSEDLVSPTLIIIGKVVALSPFWIDSSKQGAQSIENLYAAETKR >ONIVA01G26540.2 pep chromosome:AWHD00000000:1:23401790:23405322:1 gene:ONIVA01G26540 transcript:ONIVA01G26540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:urophorphyrin methylase 1 [Source:Projected from Arabidopsis thaliana (AT5G40850) TAIR;Acc:AT5G40850] MALALRPPRFQPLPAPIPASTASTTALAARPSSSAHAIICAAAASPFTEATSSSRYRRDAWSYAASDGDSSSSSAAAAAAAASSGRRDDEIALQLPELRRLLEALRASRGRGAEGEGGGGGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVIPGITSASGIAAELGIPLTHRGVSTSVRFLTGHSRNGGPDPLYVAENAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRMVFAMLKDLVNEVKSEDLVSPTLIIIGKVVALSPFWIDSSKQGAQSIENLYAAETKR >ONIVA01G26530.1 pep chromosome:AWHD00000000:1:23393621:23401235:1 gene:ONIVA01G26530 transcript:ONIVA01G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTAGQVSFLLGLFPVLIAWIYSEVLEYRKSSSMKVHSDSNLENGTVKEDDKTVLLEGGLSKSPSTKFRINSTKANLIRFITMDESFLLENRAVLRAMAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHDKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSLARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSVMAMKIVSCFLAVILIWEIPGVFELLWSPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGTIISISLVAGYLWYEYIYKLDKITYNKYHPYTSWIPITWLGKITLETYISQIHIWLRSNMPNGQPKWLLSFIPGYPLLNFMLATAIYLLISYRVFELTGVLKSAFIPSRDNNRLYQNFVAGIAISVF >ONIVA01G26530.2 pep chromosome:AWHD00000000:1:23393621:23401098:1 gene:ONIVA01G26530 transcript:ONIVA01G26530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTAGQVSFLLGLFPVLIAWIYSEVLEYRKSSSMKVHSDSNLENGTVKEDDKTVLLEGGLSKSPSTKFRINSTKANLIRFITMDESFLLENRAVLRAMAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHDKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSLARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSVMAMKIVSCFLAVILIWEIPGVFELLWSPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGTIISISLVAGYLWYEYIYKLDKITYNKYHPYTSWIPITWLGKITLETYISQIHIWLRSNMPNGQPKWLLSFIPGYPLLNFMLATAIYLLISYRVFELTGVLKSAFIPSRDNNRLYQNFVAGIAISVCLYFLSIVLLKIPIV >ONIVA01G26530.3 pep chromosome:AWHD00000000:1:23393621:23401235:1 gene:ONIVA01G26530 transcript:ONIVA01G26530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTAGQVSFLLGLFPVLIAWIYSEVLEYRKSSSMKVHSDSNLENGTVKEDDKTVLLEGGLSKSPSTKFRINSTKANLIRFITMDESFLLENRAVLRAMAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHDKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSLARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSVMAMKIVSCFLAVILIWEIPGVFELLWSPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNAGYLWYEYIYKLDKITYNKYHPYTSWIPITWLGKITLETYISQIHIWLRSNMPNGQPKWLLSFIPGYPLLNFMLATAIYLLISYRVFELTGVLKSAFIPSRDNNRLYQNFVAGIAISVF >ONIVA01G26520.1 pep chromosome:AWHD00000000:1:23376562:23381878:-1 gene:ONIVA01G26520 transcript:ONIVA01G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FPS6] MQQDQRKKSSTEADFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNDKARRYLSSMRKKEPILFSQKFPSADPLALDLLQKLLAFDPKDRPTAEEALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYINGTERTTFLYPSAVDQFRKQFAHLEENGGNGPVIPMDRKHTSLPRSTIVHSTPIPAKEQPRIGPSRDKPSDEPYSNPREFDRFSGNAPRTSQAPQRVPTARPGRVVGPVLPYENGATKDSYDARRLAMNSGYPPQQQIPQAYGYYQIPGKSACSEPSQAERYTLHQQAYTCANSATVTDVALDMRAPPFHLSGGPKSDSSERLAAETNLYTRSLNGLAATAAGVAASAHRKVGVVPYGMSRMY >ONIVA01G26510.1 pep chromosome:AWHD00000000:1:23368477:23369835:1 gene:ONIVA01G26510 transcript:ONIVA01G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FPS5] MASFLSRAAAVSLLAIVLVLIAATSTSPAATAARFPEEARPTRSGYLNVTSTNSLYFAFYEATDPVTTQPAAVPLLVWLQGGPGCSSLIGSFAELGPYLLLDSTSALARNDNRWNRRFGVIFIDNPLGAGFSAPASGDDIPTDERTIAAHLLAALQSFMALDPAFRARPLFLTGESYAGKYIPAAASHILDANAKLTDDRRVNLQGIAIGNGMTHPVAQVTVHADQAYFAGLINAEQKAKVEEMQDKTVSLIKSKKWAAARRERNRIIAFLKNATGVATPFNYAREKGYPTRPLRDFLNTGEAKAALGARSDVEWARCSEAVSAALADDIMRSARGDVEAVFLAPDGVRVLLFQGVFDLHSGPASVEAWVRELAWPGLGAFLAAERAVWRLGDEQLAGYVQRSGALANAVIVGAGHMAAGDNRPAAQAMIEGWVLQTGPFDGSGQRVVGSLF >ONIVA01G26500.1 pep chromosome:AWHD00000000:1:23365266:23365621:1 gene:ONIVA01G26500 transcript:ONIVA01G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVTANADEGGSGGGHGRGRQLLRAAAVVDGVVDADEGGGDDDGGGRGHRRRQQRRSDVRMSTLRLSVAYRECLLPVMPSMFRF >ONIVA01G26490.1 pep chromosome:AWHD00000000:1:23357166:23362774:1 gene:ONIVA01G26490 transcript:ONIVA01G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYGISERSSCPLPVLSLSIFLLLRACLLARLLSLPVGAFVRDLRLSERGGEIVLREGTAARSWVHLGWTFPTGTAVLSTCFLQMPALRMNKMLSTDYLGTQELHSFCKTTEILEDSQSQEIALDRTAVGSTLLSHQNVCSTSEVSGGNFGIAEVSFLQDEYDAETTGVLPPSFLSCGSRSMLPISVPSSSSSSSLETVLFSDSTYSDLQVKETNHNTTAMDENNEFLQLILSSNDEGYNAGSEFQVWDVLDFYFSESFSAVQFDSLMGFTNDVSSSHHDCMNLVDMVERPVALLSLNDTEEQNNSTDEFPDDTSSYLQMKPSDSETESNYASRDVAVTEYVDEKPLSRGLPDLMDVDSPGRLSKSARSKQITLVLDLDETLVHSTLDHCDNVDFTLQVFFNMKNHTVYVRQRPHLKMFLEKVAQMFELVIFTASQRIYAEQLIDRLDPDGRLISHRIYRESCIFSEGCYTKDLTILGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVGVEDVRPIISKTFHHTLEQN >ONIVA01G26490.2 pep chromosome:AWHD00000000:1:23357197:23362774:1 gene:ONIVA01G26490 transcript:ONIVA01G26490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNEFLQLILSSNDEGYNAGSEFQVWDVLDFYFSESFSAVQFDSLMGFTNDVSSSHHDCMNLVDMVERPVALLSLNDTEEQNNSTDEFPDDTSSYLQMKPSDSETESNYASRDVAVTEYVDEKPLSRGLPDLMDVDSPGRLSKSARSKQITLVLDLDETLVHSTLDHCDNVDFTLQVFFNMKNHTVYVRQRPHLKMFLEKVAQMFELVIFTASQRIYAEQLIDRLDPDGRLISHRIYRESCIFSEGCYTKDLTILGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVGVEDVRPIISKTFHHTLEQN >ONIVA01G26480.1 pep chromosome:AWHD00000000:1:23352673:23354319:1 gene:ONIVA01G26480 transcript:ONIVA01G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVARLVARSARSSAEHNCSRRKLASTARCGGGGGTKEEILKVPQSSAATMAEPAAGDAATRSRTASQVATGIAQGERPQGQNLGAEEMASGECENGLLLCYRLLRLSPIFRLLPVLLPPPR >ONIVA01G26470.1 pep chromosome:AWHD00000000:1:23297617:23346278:1 gene:ONIVA01G26470 transcript:ONIVA01G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGMEVGVSLEAGKPAAAPWGMLYYGVPALLVLGALYRAAERCWLGPRRVAGALQGQGLRGTAYRFPAGDLPENARRSKEARAKPMPPCHDIVPRDILSNKFGHFEKFTLKSLGKLIALGLASYEGEKWARHRRILNPAFHLEKLKRMLPAFSTCCSEMIDRWDSKLAVSDGPFELDIWQEFQNLTGDVISRTAFGSSFMEGRRIFQLQEEQADRIIKTIQYIYIPGYLYFPTENNRRMKENSREIEGLLRGIIEKRSRAVENGELSGDDLLGLMLKSNMDSGEPSNLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLSMHPEWQHRAREEVTMILHEVLRLYPPAVTLSRRTFKEIQIGGITYPAGVGLELPIILIHHNTDVWGKDAHEFKPERFADGISKATKTNQQAFFPFGWGPRICIGQNFAMLEAKMALCVILQNFEFQLSPSYTHAPYASVTLHPQHAMVLGAWLMSPASVPWSLLAYGVLGLVLLWQAGRLLHSLWWRPRRLELALRAQGLRGTRYRFLTGDLGEHGRLNREAWARPLPLRCHDIAPRVAPFLHNAVREHGSACFTWFGPTPKVTITDPDLAKDVLSNKFGHFEKPKFPTLTKLFSDSLANHEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVSKWMESLGSDGSYEVDVWPEMQILTGDVISRTAFGSSYLEGRRIFQLQAEQTERLLKCMQKIVIPGYMSLPTKNNRKMHQIKKETDSILRGLVDKRMQAMKEGECTKDDLLGLLLESNMRHMEEDGQSNHGLTIEEVIEECKLFYFAGMETTSVLLTWTILLLSMHPEWQDRAREEILGLFGKNKPEYEGLSRLKIVTMILYEVLRLYPPAVTFTRKTYKQMEIGGVTYPAGVIVELPVLLIHHDPNIWGSDAHEFKPDRFAEGISKASKNPGAFLPFGWGPRICIGQNFALLEAKMALCMILQCFKLELMPSYTHAPYSMVTLRPMHAMVLGAGLRCPASVPWSSLAYGLLGLVLLWQGGRLLHRLWWLPRRLELALRAQGLRGTRYRFLTGDLGEHGRLNREAWARPLPLRCHDIAPRVAPFLHSSVREHGKACFSWFGPIPKVTIANPDLAKDVLSNKFGHLEKHKFQGLTKLLSDGVASHEGEKWVKHRRILNPAFHLEKLKRMLPAFAACCTELVDKWEGLAKGGDEPYEVDVWPEMQSLTGDVISRAAFGSSYLEGKRIFQLQGEQIELIVATMNKIHIPGYIHLPTKSNRRMKQIAAEIEGMLKRIIAKRESALKAGEASSGDDLLGLLLESNLDHSKGNGGAASSGISIDDVIGECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLHVFGSRAPDYDGLSRLRIVTMVLYEVLRLYTPLTALQRKTYKPMELGGVRYPAGVVLTLPLLCVHHDKDVWGADADEFRPERFAEGISKASREAPAFFPFGWGPRICIGQNFALLEAKMGLSMILQRFSFDLSPSYTHAPFPVGLLQPEHGAQYITSTRRYVHTYDEIETMEIVDGASPPLHPWSLLLYALGALAALWWALRALDRFWLRPRRLGRALRSQGLRGTDYRFPSGDLKEFARLLAAALAAPMPPLSHDVASRALPFELAAIKQHGNVCVTWFGPEARVIVSDPKLFREILANKNGRFGKQKSILWVQNLLADGLTSHQGEKWVAHRRIMNHAFHLEKLKRMLPAFAACSSELISRWQDSVGADGAQEIDVWPEFQNLTGDVISRSAFGSSFSEGRRIFQLQSEQARNVMKMAKALYFPGYRFLPTELNRRTKANAREVRELLKGIITKRESAMKDGHAVNDDLLGLLLETNIKESQEAGSSKPTMTTKDIIEELKLLYFAGSDTTAVLLTWTMVLLSMHPEWQDRAREEVLRVFGKNSPDFEGINHLKVVTMILHEVLRLYPPILLLGREAYEETELGGVTYPPGVTFALPIAGIHHDPDVWGEDVGEFKPERFAEGVSRASKDSPALVPFSWGPRICVGQNFALLEAKMALSMILQRFSFGLSPSYTHAPFPIPTLQPQHGAQIKLTKL >ONIVA01G26470.2 pep chromosome:AWHD00000000:1:23297617:23313678:1 gene:ONIVA01G26470 transcript:ONIVA01G26470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGMEVGVSLEAGKPAAAPWGMLYYGVPALLVLGALYRAAERCWLGPRRVAGALQGQGLRGTAYRFPAGDLPENARRSKEARAKPMPPCHDIVPRDILSNKFGHFEKFTLKSLGKLIALGLASYEGEKWARHRRILNPAFHLEKLKRMLPAFSTCCSEMIDRWDSKLAVSDGPFELDIWQEFQNLTGDVISRTAFGSSFMEGRRIFQLQEEQADRIIKTIQYIYIPGYLYFPTENNRRMKENSREIEGLLRGIIEKRSRAVENGELSGDDLLGLMLKSNMDSGEPSNLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVVLSMHPEWQHRAREEVTMILHEVLRLYPPAVTLSRRTFKEIQIGGITYPAGVGLELPIILIHHNTDVWGKDAHEFKPERFADGISKATKTNQQAFFPFGWGPRICIGQNFAMLEAKMALCVILQNFEFQLSPSYTHAPYASVTLHPQHAMVLGAWLMSPASVPWSLLAYGVLGLVLLWQAGRLLHSLWWRPRRLELALRAQGLRGTRYRFLTGDLGEHGRLNREAWARPLPLRCHDIAPRVAPFLHNAVREHGSACFTWFGPTPKVTITDPDLAKDVLSNKFGHFEKPKFPTLTKLFSDSLANHEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVSKWMESLGSDGSYEVDVWPEMQILTGDVISRTAFGSSYLEGRRIFQLQAEQTERLLKCMQKIVIPGYMSLPTKNNRKMHQIKKETDSILRGLVDKRMQAMKEGECTKDDLLGLLLESNMRHMEEDGQSNHGLTIEEVIEECKLFYFAGMETTSVLLTWTILLLSMHPEWQDRAREEILGLFGKNKPEYEGLSRLKIVTMILYEVLRLYPPAVTFTRKTYKQMEIGGVTYPAGVIVELPVLLIHHDPNIWGSDAHEFKPDRFAEGISKASKNPGAFLPFGWGPRICIGQNFALLEAKMALCMILQCFKLELMPSYTHAPYSMVTLRPMHAMVLGAGLRCPASVPWSSLAYGLLGLVLLWQGGRLLHRLWWLPRRLELALRAQGLRGTRYRFLTGDLGEHGRLNREAWARPLPLRCHDIAPRVAPFLHSSVREHGKACFSWFGPIPKVTIANPDLAKDVLSNKFGHLEKHKFQGLTKLLSDGVASHEGEKWVKHRRILNPAFHLEKLKRICCRRFLRAAKS >ONIVA01G26460.1 pep chromosome:AWHD00000000:1:23282273:23294720:-1 gene:ONIVA01G26460 transcript:ONIVA01G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSVPWRSMVYGLLGLALLWQVHRLLVRLWWQPQRLERALRAQGVRGTSYRFLTGDLKDYGRLSKEAWARPLPLRCHDIAPRVAPFVHRTIAEHGKACLSWFGPIPKVTIADAEIAKDVLSNKMGHFEKLKFPVLSKLLADGVANYEGEKWAKHRRILNPAFHLEKLKLMLPAFSACCEELVGRWAASLGSDGSNEIDVWPEMQSLTGDVISRTAFGSSYLEGRRIFQLQAEQQELFMGAIQKISIPGYMSLPTKNNRRMYQIKNEVESIIRDLVQKRMHAMKDGERTKDDLLGILLESSTRHADENGHSGPGMTIEEVMEECKVFYFAGMETTAILLTWTMVVLSMHPEWQHRAREEVLSLFQKNKLDYEGLSKLKTVTMILYEVLRLYPPGIGFVRQTYKEMEIGGVKYPAGVMIELPLLFIHHDPDIWGSDVNEFKPERFAEGISRASNDHGAFFPFGWGPRICMGQNFALLEAKMALCMILQRFEFELAPSYTHAPHIVLMLPVPYASAFLSPHRATGERPAREEALPPPLLMLMMLGAASQWILAAAAAAAVAALLWLAVSTLEWAWWTPRRLERALRAQGIRGNRYRLFTGDVPENVRLNREARKKPLPLGCHDIIPRVLPMFSKAVEEHGKPSFTWFGPTPRVMISDPESIREVMSNKFGHYGKPKPTRLGKLLASGVVSYEGEKWAKHRRILNPAFHHEKIKRMLPVFSNCCTEMVTRWENSMSIEGMSEVDVWPEFQNLTGDVISKTAFGSSYEEGRRIFQLQAESAERIIQAFRTIFIPGYWFLPTKNNRRLREIEREVSKLLRGIIGKRERAIKNGETSNGDLLGLLVESNMRESNGKAELGMTTDEIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLHHFGRTTPDYDSLSRLKIVTMILYEVLRLYPPVVFLTRRTYKEMELGGIKYPAEVTLMLPILFIHHDPDIWGKDAGEFNPGRFADGISNATKYQTSFFPFGWGPRICIGQNFALLEAKMAICTILQRFSFELSPSYIHAPFTVITLHPQHGAQIKLKKI >ONIVA01G26450.1 pep chromosome:AWHD00000000:1:23276727:23280426:1 gene:ONIVA01G26450 transcript:ONIVA01G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGIGTGTGAALPFGEASPWSLLGGAVAALLLVWAAQMLEWAWLAPRRMERALRAQGLRGTQYRFLHGDLTEDLRLVTAARSKPVPMDRPHDFIPRVAPLLHRALEEHGRVSFTWFGPMPRVTITDPDLVREVLSNKFGHFEKTKLATRLSKLLVGGLVILHGEKWVKHRRIMNPAFHAEKLKVELNILELEINLVTLTFLRMLPAFSASCSELIGRWENAVAASVGKAELDIWPDFQNLSGDVISRAAFGVRHHEGRQIFLLQAEQAERLVQSFRSNYIPGLSLLPTENNRRMKAIDREIKSILRGIIEKRQKATKNGEASKDDLLGLLLQSNMDYYSDEDGKSSKGMTVEEIIDECKLFYFAGMETTAVLLTWTMVALSMHPEWQDRAREEILQVFGRNKPDINGVSRLKVVTMVLHEVLRLYPPVVMMNRRTYKEIELGGVRYPAGVMLSLPVLFIHRDAAAWGHDAGEFDPGRFAEGVARACKDPGAGAFFPFSWGPRICIGQNFALLEAKVALGMILQRFAFELSPAYAHAPYTVLTLHPQHGVPVRLRRL >ONIVA01G26440.1 pep chromosome:AWHD00000000:1:23274827:23276522:-1 gene:ONIVA01G26440 transcript:ONIVA01G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGAAAHVDATQAGVHASWRGSTCFGRWYTAGALNGGEDVWLQIPEIPHRAIGPEEGEADPKTDPPKCSVISGPGTENIFRPIKHPMLMHTGYNQRGPWMRKVSTLAPDKRGDRIQTCGRHERMHVFNVSRGSKLINDSVNRSEHPKASLPVIRPPKPIHAYALPAASKGD >ONIVA01G26430.1 pep chromosome:AWHD00000000:1:23268955:23269692:-1 gene:ONIVA01G26430 transcript:ONIVA01G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDPTAFTGNPSFAYGHEADGYIANGPLGGQCNYRVPVSPAFGAPSGMTSPQLRTSLGGFEFQPSKVCPRNFIIFDQTDDKGRIMCHPALVSKLNPSATNAFPSYPEAICRSSGQDNGNLEEVSSSFKEDTREIDALLSSDEESDEDDVKSTGRTPDSFESDSLDSSSPPRSRKMHHSSSQSSVFHGSMDTVTHERMRNMVTVLRGIIPGGDQLDTASVIEEAVRYLKFLKMEAKKLGVEVSDN >ONIVA01G26420.1 pep chromosome:AWHD00000000:1:23266108:23268279:1 gene:ONIVA01G26420 transcript:ONIVA01G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTAAGAPGLENLVCEKVMVCVAEGNTLRWRGRAYAVAVTSASRWSRRTSERRPAKAACERAERRKKHRE >ONIVA01G26410.1 pep chromosome:AWHD00000000:1:23265364:23266100:1 gene:ONIVA01G26410 transcript:ONIVA01G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGGEVSEDTWGGEGRAAGGEAMEAAGSGQRRHLEVEVRRAAPGSRPPCRAPPREPYGLHAARVMVSRDVHLSTSSFVRLYLPPPAAGDKRLPVVVYFHGGGFMIGSAASPGYRRCLNDLAAACPAVAVSHPLPAAYEDSTAALAWVLSVADPWLAAHGPLSRVFLAGDSTGGNIYHHLAMCHGLTSQHLSCRLKGIVMIHPWFWGKEPIGGEAATGGAEGAMGVRVPRRGGRRG >ONIVA01G26400.1 pep chromosome:AWHD00000000:1:23259517:23260111:1 gene:ONIVA01G26400 transcript:ONIVA01G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCIQRTARRWETTPPPSGGQKKIGALPLLMEHPHHSKIKPCTARHIPRHLTLENSMPTPFLASSRHCPPEPVNHHHTGDVASYTLQARSAMRSSSLRQIWPLQGRCHQI >ONIVA01G26390.1 pep chromosome:AWHD00000000:1:23257984:23259689:-1 gene:ONIVA01G26390 transcript:ONIVA01G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKMEEELSPIALPFSGCSKPSPITSHLPLVSDFRLYSPPKFEVFVLCGVLPTSPGALFCLTGGQG >ONIVA01G26380.1 pep chromosome:AWHD00000000:1:23247752:23250715:1 gene:ONIVA01G26380 transcript:ONIVA01G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGGGGDRHGPYHQHGHLGRGEGADYVYSSSDMESFFFSQPGGVGIGGGGGGVVGAGGADEIMPYSSITDYLQGLLDPSGLARHLDVACPSSQDTAVKQELSVDVTSHDSQGTGGVAGEGVAQATPNSSASFSSSDGEAEGGKSSRRCKKGQAKAEEEDDKDEEDAENSKKPNKPKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRGGGGGVGIVGGHHHHHLFMPGVHGLPPSHLMPAGFHPELMGLMHHHPAMAAAAANPSMYFPGVAASAPPPPAVAGGGAMPPNDHPPLQQHHFTDYALLQDLFPSTMPSSNP >ONIVA01G26380.2 pep chromosome:AWHD00000000:1:23247752:23251080:1 gene:ONIVA01G26380 transcript:ONIVA01G26380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGGGGDRHGPYHQHGHLGRGEGADYVYSSSDMESFFFSQPGGVGIGGGGGGVVGAGGADEIMPYSSITDYLQGLLDPSGLARHLDVACPSSQDTAVKQELSVDVTSHDSQGTGGVAGEGVAQATPNSSASFSSSDGEAEGGKSSRRCKKGQAKAEEEDDKDEEDAENSKKPNKPKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRGGGGGVGIVGGHHHHHLFMPGVHGLPPSHLMPAGFHPELMGLMHHHPAMAAAAANPSMYFPGVAASAPPPPAVAGGGAMPPNDHPPLQQHHFTDYALLQDLFPSTMPSSNP >ONIVA01G26370.1 pep chromosome:AWHD00000000:1:23242858:23243100:-1 gene:ONIVA01G26370 transcript:ONIVA01G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSLKPKPKVKGARKGSPAADEEQSTAAAAVRFVKEWTTWTMKKTKVAAHYGFIPLIIVVGMRSEPRPSLAQLLSPV >ONIVA01G26360.1 pep chromosome:AWHD00000000:1:23233301:23241906:1 gene:ONIVA01G26360 transcript:ONIVA01G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adaptin family protein [Source:Projected from Arabidopsis thaliana (AT5G11490) TAIR;Acc:AT5G11490] MAPTAPTAAKSASPSQPSGKSEVADLKQQLRQLAGSRAPDADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVLKKMCYLYVGVHARNHPDLALLTINFLQRDCHDQDPTIRGLALRSLCSLRVPNLVEYLVSPLATGLKDPSAYVRMIAAVGAAKLYHISATACLDADLPAALKALMLSDPDAQVFGLLVCWPTAGSLFKSVIGGQVVANCMHALQEIWTLEAAKSEEAAREIETLYSKPVVFYLLNRIKEFSEWAQCLVLELVSNFLPSDNNEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSSDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKEYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYILESLVENWEEEHSPEVRLHLLTAVMKCFFKRPPETQMALGATLTAGLSDTHQDVHDRALFYYRLLQYDPAVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEYSEDLTNLAVGAETTENTISAQRYQESDNDLLLSTSDKEDNATATSNGSSASTYNAPSDLSGPSLLSSQIPSETSLINPGAPTYSSQSNFSLDDLLGLGVPQASAPPPAPALTLNSKPVLDPGTFQKKWGQLALSLSQECSLSPQGAALLMNPQSLIRHMQNNYIQCIASGGQPPNYKFFFYAQKDGATAFFLVECIVNTASAKAQIKVKADDGTAAEAFSTLFQSALSKFGLS >ONIVA01G26350.1 pep chromosome:AWHD00000000:1:23227117:23233162:-1 gene:ONIVA01G26350 transcript:ONIVA01G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRGNGSSAFLLRAEREKTHLAAAAAAYGDGREGRRAASPPEVDAAQLLAMDTTKRPLRLTAPSQDRGPIWIIVINHASPVYSRAAGPREPRIMRSSATHVAGHPWDFRQAYRLAATVSHPLLLLATVLLLDADPRLHMPELAYAAAEEKKRVVNCVSIQRPPIEDIIHASAYIGPVQLCFHVHGTPAGIAEISLRDTTLAPIDDQDVKGHFGSGEEGSQQY >ONIVA01G26350.2 pep chromosome:AWHD00000000:1:23227117:23233162:-1 gene:ONIVA01G26350 transcript:ONIVA01G26350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRGNGSSAFLLRAEREKTHLAAAAAAYGDGREGRRAASPPEVDAAQLLAMDTTKRPLRLTAPSYVFFVAFFVLGQTSRQDRGPIWIIVINHASPVYSRAAGPREPRIMRSSATHVAGHPWDFRQAYRLAATVSHPLLLLATVLLLDADPRLHMPELAYAAAEEKKRVVNCVSIQRPPIEDIIHASAYIGPVQLCFHVHGTPAGIAEISLRDTTLAPIDDQDVKGHFGSGEEGSQQY >ONIVA01G26340.1 pep chromosome:AWHD00000000:1:23220019:23221119:-1 gene:ONIVA01G26340 transcript:ONIVA01G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPFVDKSPHRRLDGLGAGGWLKQRLAQILVRSTCTTNTTTTTTTTSSTAFVSLDKTNADKHQEPPPPPSPYFCTPCTYQRPKLDAPGRPRRRRRSASLVHISIDCTGGAGATSGRRSVHSDAPLLPYSSSLPTKDGRKQSRARRKARSSPSTSRRHCPSSSWGRARLPRGAPGQYSSSSSTVTDDELAPFSTDEECGEEADTRTLFSSLSFSSDSTSEFYHTNSSSSLARKGHKNAPARRPPARRASARITSDPADAFRPVVSVAATKKQHNDMKKKEETAIKRQLGTDDDTAAGAGMAVVKRSSNPYADFRSSMVEMVVERRICSVPEMEELLGSYLSLNSPQHHPAILAAFEDVWEAVFGEE >ONIVA01G26330.1 pep chromosome:AWHD00000000:1:23214443:23215912:-1 gene:ONIVA01G26330 transcript:ONIVA01G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEVEEKLGGIYDGKFEQRLIQVIVPSILQYVCTACLPYGRSSWCSSPRHPIGGRWEELAAWVSDNHHAICKGGGGGRGGKGHDSKAMSTPIFFIPDAPFHAQLEFNPGFLSFMRFRGLLWRFLLIHKCNRYSLWWEHFFLHNDEVVLVFQIISSEVVAAGLVSFLVFDGVSPRFD >ONIVA01G26320.1 pep chromosome:AWHD00000000:1:23201921:23203744:1 gene:ONIVA01G26320 transcript:ONIVA01G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHTELALGLIGCCGGDGQQQTAPFVAKTYQMVCDPRTDALVRWGRDNNSFVVVDPAAFSQLLLPCFFKHGNFSSFVRQLNTYGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGEGGGGGGGASCSFSGGAGEHQVAAAAASVGMSGEEEDAAEDVLAKEAALFEEVQRLRHEQTAIGEELARMSQRLQATERRPDQLMSFLAKLADDPNAVTGHLLEQAAERKRRRQHLPSHEPTVCPLPPAPPPQPPQPLLALAGAAAMDGTYWWTTEHHHQMKPMTVLPSLEPPTASCGVHQVPELGGGGVMGLTTDGEAKVEPPFPFCLLGQAFF >ONIVA01G26310.1 pep chromosome:AWHD00000000:1:23193098:23197559:1 gene:ONIVA01G26310 transcript:ONIVA01G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G16060) TAIR;Acc:AT3G16060] MNGGGRRRYSSEQLMFDVPANAGGGAGKWGQRGGVRRGDGEIFVSVEPTTPARLRGGEAAAAAAGESPGQRQQLSPGLLDLHAFDTELISDFQVPGIGMYDGAQKFGYGNGGFDDSDPTFAPNKQMSKSTVFAESNFLKAFPEKEKAAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEQQSNSLTVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGYQLFVSFFEIYGGKLFDLLNERSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGNATRSTGTTGANEESSRSHAILQLAIKKRVDGNDSKPPRLAGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGSNTKKDLSLAAAPLRESSPSLLASAVPSFSSAEVMNDITERSNFGWTKQQYVKEHQAPTFVDRMQKVKEDTEFSLSNGGYFKEQRTKGSVPVGIAEVPDTVYQQGRQPTRKARDLTSDNNMRNSIAYPIIRRVVPDEDEHLNELLQEEEDLVSAHRKQVEETLDMIKEEMNLLVEADQPGNQLDDYITRLSGILSQKAAGIVDLQARLAQFQRRLNENNVLLYAQCP >ONIVA01G26300.1 pep chromosome:AWHD00000000:1:23190573:23190890:-1 gene:ONIVA01G26300 transcript:ONIVA01G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDIATKAAAGAQDSGSGGDTPPSTDGGCGREGRSVAARVRRAAAQEEVAFVAAATNLEELNGTVEEVDGAEELVAPEKGRRLMANTEKERQRSREASGRHCGE >ONIVA01G26290.1 pep chromosome:AWHD00000000:1:23180374:23181957:-1 gene:ONIVA01G26290 transcript:ONIVA01G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLLLRAVVVVAMEHFNDWDLQAVVRSCSFPQSEPPRVGVGVPAAPGAGGAPVVVAPPARAPDGPDQMARASASALYDLEYLDLDHKPFLLPGSSSSRAVARARGEDDGKGRHEVMISFPAAAAASTSGAQPRSPSGRKPGIRTPRPKRSKKSQLKKVVYEVPVADGGVSSDLWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKMVERSPAKPGMLVVTYMAEHCHPVPTQLNALAGTTRHKSAPTGDDDKPTSPGPAAGRAAAGEGVVKCEDVDGNELSAMAADGGAEDTAAAVDDDGELWPEGMGLELDEFLGPMDDDVFEFDHVLEDDGVLGRRLSL >ONIVA01G26280.1 pep chromosome:AWHD00000000:1:23172059:23177821:1 gene:ONIVA01G26280 transcript:ONIVA01G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASDLESKAKAAFVDDDFELAAELYTQAIEASPATAELYADRAQAHIKLGNYTEAVADANKAIELDPSMHKAYLRKGAACIRLEEYQTAKAALELGYSFASGDSRFTRLMKECDERIAEELSEVPVKKAEDGAAAPSVASFVEEKDDAANMDNTPPMVEVKPKYRHDFYNSATEVVLTIFAKGVPAENVVVDFGEQMLSVSIEVPGEEPYHFQPRLFSKIIPEKSRYQVLSTKVEIRLAKAEQITWTSLDYDKKPKAVPQKIIPPAESAQRPSYPSSKSKKDWDKLEAEVKKEEKEEKLEGDAALNKFFRDIYSDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEY >ONIVA01G26270.1 pep chromosome:AWHD00000000:1:23167055:23168224:1 gene:ONIVA01G26270 transcript:ONIVA01G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEERGDRQERDDGEGGEEERRERGGVGGLVDRAKGFVAEKIAKIPKPDATLDRVSFKGVSRECITLHSHLDVNNPYSHRIPICELTYTFKSGDKVIASGTMPDPGWIAASGTTNLELPVKVPYDFIVSLIKDVSGDWDIDYVLEVGITIDLPVVGSFTIPLATEGEMKLPTFRDLLF >ONIVA01G26260.1 pep chromosome:AWHD00000000:1:23164831:23165034:-1 gene:ONIVA01G26260 transcript:ONIVA01G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLPGNSTAGGKPQLVRSYKHIVDGFTAIAVSKKPDFRRCFQDGIACLHCWFKQEGGWQPCLIRPW >ONIVA01G26250.1 pep chromosome:AWHD00000000:1:23161459:23161797:1 gene:ONIVA01G26250 transcript:ONIVA01G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAASPIHAAAAKDPRRRHRSAPPPPILAARPPPLPAPRPPSNRAAGQPQRHCQPRAALPPTIRVAASTDLRRRPRMDEDGFDDLSAWASQPSASGPATASSTGAGFDLNS >ONIVA01G26240.1 pep chromosome:AWHD00000000:1:23147780:23157747:-1 gene:ONIVA01G26240 transcript:ONIVA01G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWLCYRARGFGSSRVWLWLLLALVLLNCSLVLSASPYLVGMGSFDITGPAADVNMMGYANTEQIASGIHFRLKSRAFIVAEPNGKRVVFVNLDACMASQIVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEQSIVEAHNNLRPGKIFVNKGDLLDAGVNRSPSAYLNNPAEERSKYEYNVDKEMTLIKFVDDELGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQMGLPKQSAHANSDDLRSLHKTSVLPRRVSTIIPEPNEITDDLIQLASSYEASGGRRLAGSSITRRIRSTQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTNLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRVIGNRQFLKARDLFDSASEEIQGKIDYRHTYLDFSKLEVKVSTSAGGQQTVKTCPAAMGFAFAAGTTDGPGAFDFRQGDVKGNPFWKLVRNLLKTPGKDQVECHSPKPILLDTGEMKEPYDWAPAILPVQMIRIGQLVILCVPGEFTTMAGRRLRDAVKTVLTSGNSEFDKNIHVVLAGLTNSYSQYITTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLAMAMIANKEVPTNFQPPDMLDKQIGLLPGVVFDSTPLGVKFGDVSSDVPDGNNNWVPVYDDDDWSLRFKWSRPARLSSRSFATLEWTVPEDAAAGVYRLRHFGASKPMFGSVRHFTGTSRAFAVR >ONIVA01G26240.2 pep chromosome:AWHD00000000:1:23147780:23157747:-1 gene:ONIVA01G26240 transcript:ONIVA01G26240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWLCYRARGFGSSRVWLWLLLALVLLNCSLVLSASPYLVGMGSFDITGPAADVNMMGYANTEQIASGIHFRLKSRAFIVAEPNGKRVVFVNLDACMASQIVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEQSIVEAHNNLRPGKIFVNKGDLLDAGVNRSPSAYLNNPAEERSKYEYNVDKEMTLIKFVDDELGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQMGLPKQSAHANSDDLRSLHKTSVLPRRVSTIIPEPNEITDDLIQLASSYEASGGRRLAGSSITRRIRSTQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTNLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRVIGNRQFLKARDLFDSASEEIQGKIDYRHTYLDFSKLEVKVSTSAGGQQTVKTCPAAMGFAFAAGTTDGPGAFDFRQGDVKGNPFWKLVRNLLKTPGKDQVECHSPKPILLDTGEMKEPYDWAPAILPVQMIRIGQLVILCVPGEFTTMAGRRLRDAVKTVLTSGNSEFDKNIHVVLAGLTNSYSQYITTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLAMAMIANKEVPTNFQPPDMLDKQIGLLPGVVFDSTPLGVKFGDVSSDVPGNSTFNKGSTVNATFYSACPRNDLLTDGTFALVEKLDGNNNWVPVYDDDDWSLRFKWSRPARLSSRSFATLEWTVPEDAAAGVYRLRHFGASKPMFGSVRHFTGTSRAFAVR >ONIVA01G26230.1 pep chromosome:AWHD00000000:1:23142697:23144121:1 gene:ONIVA01G26230 transcript:ONIVA01G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLRLLAARRFRRRAVSTITATAPATPCGGGGWYGEDEGPFFDLDLSCCSASAPASSAESGSESEDYSSCAGESDFVISLQRSRSASPSYEERVFYVGGGSGWARAAAAAAAPAHLKFCASEPSDAASRYGSGRRGKLRTLSFGSAKAAFYGGRASFSRSSNSARSARLFAAFGYGSPDQGDEANRRTPSRDVIRRYLSKISRQLRRVAPSAGADLRLRKSRSASAAQTAACQSPPPRRDDSLLEQQDGIASAIAHCKESLHRVRLAIAAVTERSTKLAVPIGFEFSRRSRGLRRSFALNFAEEGEMKKKKKTREPSSTNCLLKASRKENAVVQRAREFSFINLSSSLVRVSELSSFFCVVLGAGKELLLGSFSHSPPHVQAWSCQLCMSLVVTPLFHILLLATRPVIRHLKSTAFFSLTRSNRVPHARFLRT >ONIVA01G26220.1 pep chromosome:AWHD00000000:1:23139141:23140863:1 gene:ONIVA01G26220 transcript:ONIVA01G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKLGVKLRDGRRSQDDLDSSKQNFRMQLPGIKHTFYSREMIHQNSDVVRAVGAAVPAVLGFKKQKFMKLCSNFCGSLADAFSELSWTDGQTLVRDLQERKGKTL >ONIVA01G26210.1 pep chromosome:AWHD00000000:1:23138060:23138344:1 gene:ONIVA01G26210 transcript:ONIVA01G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAESSCSCHGGGGPRRCRGGGDHSARPCQRERAKEEDGKPFAAESQPSAADDASEDSPSASAPMAQGPRRTPWRRWWHHGRGSMGAASAAAR >ONIVA01G26200.1 pep chromosome:AWHD00000000:1:23133835:23135802:-1 gene:ONIVA01G26200 transcript:ONIVA01G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSDPISDNLVVFSRMARCSVSRVVLLLLCCMHLSAAAAGAAVYNVESYDARPDGRTDASRALASAWSAACRSPEPATVYVPDGEFFVSHSAFAGPCSGGRMTVQIDGTLVAPFGYTGSASSGGEWIVFDHVDGLTVSGGTLDGRGESLWACKAAGHGGCPDGATSMKVLNSRDVVISGVKSVNSELYHVVIDGCEGVAVQDARIVAPGSSPNTDGIHVQSSSAVTITGASIQTGDDCISVGPGTSNLRVEHVSCGPGHGISIGSLGKESEEGGVENVTVSGAAFVGTENGLRIKTWGRAARSGAYVRGVVFEHALMRDVSNPIIIDQSYCPNDGGQGCPHQVAVKFDCSASKPCSGLGLQDIKLTFDGGKPAEATCQHADGTASGVLMPPSCL >ONIVA01G26190.1 pep chromosome:AWHD00000000:1:23128674:23133723:1 gene:ONIVA01G26190 transcript:ONIVA01G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSIIASAVGVGVGVGVGIGLVSSRLTGLATGGGGGGATAAEVEAELRCLVVDGRDVGVSFDDFPYYLSEQSKLALTSTAFVHLSPTILPNHIRVLSASSRTILLCGPSEAYLQSLAKALANQFSARLLLLDVIDFACKLHHKYGGPSNTQTRERSMTEAAFDRVSSLVGAFNLFRKKEEPTGTGPLSRETGILDLRTSTCCPHNTPSVRVQLSLVPPEKDHDPESSKYLASVKPCWSLNEKVLIQSLYKIIVSASEISPVILYIRDVDDLLGSSEKAYCMFQKMLKKLSGRVIVIGSQFLADDEDREDIEESVCALFPCILETKPPKDKALLEKWKTQMEEDSNNNNNQVVQNYIAEVLAENNLECEDLSSINADDDCKIIVAYLEEIITPAVSYHLMNNKNPKYRNGNLVISSESLSHGLRIFQESNDLGKDTVEAKDETEMVVPDNEYEKKIRPTVIPANEIGVTFDDIGALADIKECLHELVMLPLQRPDFFKGGLLKPCKGVLLFGPPGTGKTMLAKALANAAGASFLNISMASMTSKWYGESEKCIQALFSLAAKLAPAIIFIDEVDSMLGKRDNHSENEASRRVKNEFMAHWDGLLSKSNERILVLAATNRPFDLDDAVIRRFEHRIMVGLPTLESRELILKTLLSKETVENIDFKELAKMTEGYTSSDLKNICVTAAYHPVRELLQKEKNKVKKETAPETMQEPKEKTKIQENGTKSSDSKTEKDKLDNKEGKKDKPADKKDKSDKGDAGETTLRPLNMEDLRKAKDEVAASFASEGVVMNQIKEWNELYGKGGSRKREQLTYFL >ONIVA01G26190.2 pep chromosome:AWHD00000000:1:23128674:23133865:1 gene:ONIVA01G26190 transcript:ONIVA01G26190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSIIASAVGVGVGVGVGIGLVSSRLTGLATGGGGGGATAAEVEAELRCLVVDGRDVGVSFDDFPYYLSEQSKLALTSTAFVHLSPTILPNHIRVLSASSRTILLCGPSEAYLQSLAKALANQFSARLLLLDVIDFACKLHHKYGGPSNTQTRERSMTEAAFDRVSSLVGAFNLFRKKEEPTGTGPLSRETGILDLRTSTCCPHNTPSVRVQLSLVPPEKDHDPESSKYLASVKPCWSLNEKVLIQSLYKIIVSASEISPVILYIRDVDDLLGSSEKAYCMFQKMLKKLSGRVIVIGSQFLADDEDREDIEESVCALFPCILETKPPKDKALLEKWKTQMEEDSNNNNNQVVQNYIAEVLAENNLECEDLSSINADDDCKIIVAYLEEIITPAVSYHLMNNKNPKYRNGNLVISSESLSHGLRIFQESNDLGKDTVEAKDETEMVVPDNEYEKKIRPTVIPANEIGVTFDDIGALADIKECLHELVMLPLQRPDFFKGGLLKPCKGVLLFGPPGTGKTMLAKALANAAGASFLNISMASMTSKWYGESEKCIQALFSLAAKLAPAIIFIDEVDSMLGKRDNHSENEASRRVKNEFMAHWDGLLSKSNERILVLAATNRPFDLDDAVIRRFEHRIMVGLPTLESRELILKTLLSKETVENIDFKELAKMTEGYTSSDLKNICVTAAYHPVRELLQKEKNKVKKETAPETMQEPKEKTKIQENGTKSSDSKTEKDKLDNKEGKKDKPADKKDKSDKGDAGETTLRPLNMEDLRKAKDEVAASFASEGVVMNQIKEWNELYGKGGSRKREQLTYFL >ONIVA01G26180.1 pep chromosome:AWHD00000000:1:23120120:23120496:1 gene:ONIVA01G26180 transcript:ONIVA01G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTESLAKLPIVGNPDSGLFALHFWGRGLPPNTSYFTPSRVVGDMFSSSRSPHDMVATTLQPKPGSGQPSSLPQAPPPLRFEAPV >ONIVA01G26170.1 pep chromosome:AWHD00000000:1:23095546:23097862:-1 gene:ONIVA01G26170 transcript:ONIVA01G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAIRECPPVLRPNWRVIWYCGFVNGMEFGNDVRIRMDGGVHGESEQSSGEAALPRLLIEVPSQVIDGFDCVGGGGDATATATLSEQSKELEMLGEKDVVISIPAPVYAPRSVSVSAAYEHEGAQIPYSVSLSMPASPSGFHFSQFGMAAAKAKAKAVHRDEARVAPAETRFDDAHPPATRFHSQPILHLSKNDETTRRCDSTRDKRFDQFKTFSGRLERQLSTLRGRPAQEHMTNGEGAPEPNIAEEETEQVPGADRYFDALEGPELETLRATETTVLPKDEKWPFLLRFPISAFGMCLGVSSQAMLWKTLASAPPTSFLHVSPVVNHVLWWVPRPVTEVHHGVWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFYFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKILGEFDYGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGAAIATITYATEVTNVLTRALSIGLSGIATVTVAGLLVTTMFHAFVLKDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKEYVLSISKPPSSDSDSSVSSKATTVTDPSVTRVKAEP >ONIVA01G26160.1 pep chromosome:AWHD00000000:1:23094183:23094763:1 gene:ONIVA01G26160 transcript:ONIVA01G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEARSDTWPCLAGVCVRRKPAWVAWVLAVAVVTDDGITGESLARPWAGMTTTPLGVVPLLGGVHQEPFAHNGSHRWTPAMPPKPYKSSCLTRPSLPCFRSLLYRGCSAFLFGCCWVESELLHCKGATKLGNDDTVLQSLYMIVDASCVQEMVLW >ONIVA01G26150.1 pep chromosome:AWHD00000000:1:23084663:23092838:-1 gene:ONIVA01G26150 transcript:ONIVA01G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPGMKLIALHRILFISHKKRKILFSECTGLSGTAGRIPGRTAEEVEKFWTIKHQDT >ONIVA01G26140.1 pep chromosome:AWHD00000000:1:23076357:23082203:-1 gene:ONIVA01G26140 transcript:ONIVA01G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAGDALLDAPPRRLHAAALLRLQARLLALATASMEDVAGKVILVTGLIRNRRAGWEASLRDVAARAREIGSPDVLVVPSQGGLLAWGRRSGALGLGRRRRVWRAAVAALLPSPLSFGSVLVVSSSELWCPADDSGHGEGAVRVSEDPNCPGVTCVDDGGGGRVGGVELANFSLTSYLPSELSLPYNQLAGQIPVAITTLQKLAALLAHNLLSSYIPAEIGRRWRCGGDLGGGLNLGEKGRMGEFPRDPAFPLLRFLEGSDYCCP >ONIVA01G26130.1 pep chromosome:AWHD00000000:1:23075526:23076310:-1 gene:ONIVA01G26130 transcript:ONIVA01G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTNPMLSIPSHLSLPNLEVPIPARPVLILATGGGCSSHRRHPRVLDGGVGQKEVFGVVQVPYQPTVPLDPSGPLNSGNLEADLLY >ONIVA01G26120.1 pep chromosome:AWHD00000000:1:23074571:23074882:1 gene:ONIVA01G26120 transcript:ONIVA01G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTPQPSKDSTTKVWKQTGQQKRGASRKVRRFASPEEELKDEVRTTETSESSMFRRDQGGGISTKNRRASREHPLQLRRSLPTLPPTQRRAGTSRAIEQLDN >ONIVA01G26100.1 pep chromosome:AWHD00000000:1:23047952:23053267:-1 gene:ONIVA01G26100 transcript:ONIVA01G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:polypyrimidine tract-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) TAIR;Acc:AT5G53180] MASGQPQFRYTQPPSKVIHLRNLPWDCTEEELVELGSPFGKVVNTKCNVGANRNQAFVEFVRGKNVYLQYSNRQEIVNSKSSGEAAGNVLLVSMEGVLPDAVSIDVLHLAIVTEFHGILAGYCIRNTCVFSAFGFVQKIATFEKASGYQALIQFCDTETASSAKAALDGRCIPSYLLPELDVPCTLRINYSAHTVLNVKFQSHRSRDYTNPYLPVAPSAIDGSGPDGKKQEAESNVLLASVENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNSGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLTFSRHTDLNVKVNNERGRDYTGGNTAPTSNQPSILGPQPVYSGAYNNAPSSATGAVVPPGTTLTPPGAPSHPYTSSEPLPQTPAVPSGGAPLYTSQGILQGPPGVPPAQFPGYGSPQFPPGSAQAQMHQQHPVQGSQQMPGQMNHQPPLGSFMQYPGDGGRPVQDAPGQQAMPFHGHGGGQHLPPGYGGQPQFQQGPRPPMPPQQFPMYGDQQFPPGTGPQMMMPFAGQGGGQQHPFRPYNSH >ONIVA01G26100.2 pep chromosome:AWHD00000000:1:23047952:23053267:-1 gene:ONIVA01G26100 transcript:ONIVA01G26100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:polypyrimidine tract-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) TAIR;Acc:AT5G53180] MASGQPQFRYTQPPSKVIHLRNLPWDCTEEELVELGSPFGKVVNTKCNVGANRNQAFVEFVRGKNVYLQYSNRQEIVNSKSSGEAAGNVLLVSMEGVLPDAVSIDVLHLVFSAFGFVQKIATFEKASGYQALIQFCDTETASSAKAALDGRCIPSYLLPELDVPCTLRINYSAHTVLNVKFQSHRSRDYTNPYLPVAPSAIDGSGPDGKKQEAESNVLLASVENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNSGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLTFSRHTDLNVKVNNERGRDYTGGNTAPTSNQPSILGPQPVYSGAYNNAPSSATGAVVPPGTTLTPPGAPSHPYTSSEPLPQTPAVPSGGAPLYTSQGILQGPPGVPPAQFPGYGSPQFPPGSAQAQMHQQHPVQGSQQMPGQMNHQPPLGSFMQYPGDGGRPVQDAPGQQAMPFHGHGGGQHLPPGYGGQPQFQQGPRPPMPPQQFPMYGDQQFPPGTGPQMMMPFAGQGGGQQHPFRPYNSH >ONIVA01G26090.1 pep chromosome:AWHD00000000:1:23043139:23046561:1 gene:ONIVA01G26090 transcript:ONIVA01G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRWWLLLAVAAAAAVVVGAGAQETCSDMVPAAPRRGAWMSLASFGGGGGDGRTLSTAAFQAAVASIERRRAPGGALLYVPPGVWLTGPFNLTSHMTLFLARGAVIRATQDTSSWPLIEPLPSYGRGRELPGGRYMSLIHGNGLQDVVITGDNGTIDGQGSAWWDMWKKGTLPFTRPHLLELMNSSDVVVSNVVFQDSPFWNIHPVYCSNVVIRNVTVLAPHDSPNTDGIDPDSSSNVCIEDCYISTGDDLIAIKSGWDEYGMAYGRPSSHITIRRITGSSPFAGFAVGSETSGGVEHVLAEHLNFFSSGFGIHIKTNTGRGGFIRNVTVSDVTLDSVRYGLRIAGDVGGHPDDRYDRNALPVVDGLTIKNVQGQNIREAGSFKGIATSAFSRICLSNVKLNGGAAVRPWKCEAVSGAALDVQPSPCTELTSTSGMSFCTNSL >ONIVA01G26080.1 pep chromosome:AWHD00000000:1:23030588:23037045:-1 gene:ONIVA01G26080 transcript:ONIVA01G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 11 [Source:Projected from Arabidopsis thaliana (AT5G53170) TAIR;Acc:AT5G53170] MSALQASLLLRPLPSPLPPRRRLPLPSSSASFPRAGHHRRLPLPLRALASEGPQPAPSPAPDPPPPELPAAPEAEEVVGTAAAEGGGKVEEEELEDLVEKGRAWVLALAAAVVAAARRFFDWVVSGDWMSWWPFWRPDRRLQRLIDDADANPADPAKQSALLHELNKFSPEDVIKRFEQRSHAVDSRGVAEYLRALILTNGIADYLPDEQSGRSASLPALLQELKQRVSGNEDKPFMNPGISEKQPLHVVMVDPKATGRSTRFAQEIFSTVLFTIAVGLMWVMGAAALQKYIGSLGGIGASGVGSSSSYSPKELNKDIMPEKNVKTFKDVKGCDDAKKELEEVVEYLKNPSKFTRLGGKLPKGILLTGSPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPVSSDVDVNAIARSTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEFAKDRIIMGTERKSMFISDESKKACLFKLLYFILRELILTAYHESGHAIVALNTQGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLHTATELAQYMVSNCGMSDAIGPVHVKERPSVEMQSRIDAEVVKLLREAYGRVKRLLKKHEKQLHALANALLERETLTADEINKVVHPYQEEPQLSFQEEDFALT >ONIVA01G26070.1 pep chromosome:AWHD00000000:1:23025622:23029454:1 gene:ONIVA01G26070 transcript:ONIVA01G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61680) TAIR;Acc:AT3G61680] MVASVAAAHAAAASAGGRRARREPASMHAGIRRSRSEPHLRCSRRGGAAGAALTTSRSIGVFPFQFGAAPLRTPPLPDGGGDGSRLLTVAGPDDEPDDAPGPEMPAARRRPDAHWLDRLLELRSRFHDPTKRHSSDNNGLIFQDDDDDDDVYHLDGDDGCGVNYEDDDEQVDDRWDRESFSKLLARAPLGEARLFAQLAFLCNMAYVIPEIKVEELKKYYGLRFVTSSLEKKAEAGEIRSKLDVDSTRPRAAPACEAAAATTSGPQPRRPIRSHLAYEVAASAASYVHARARGLLSFGGAGGQQPRAEEGGHGRLYNSGVAAYVAASTVTAVVAAEDEARQEAARDLRSPLSSPCEWFVCDEADARTRCFVIQGSDSLASWKANLLFEPTMFEETGVLVHRGIYEAAKGIYEQLMPEIAAHLAAHGERARLRLTGHSLGGSLALLVSLMLVARGVVGPEALLPVVTFGAPSVFCGGHRVLDALGVGEGHVRSVAMHRDIVPRAFSCRYPGHAVALLKRLNGVLRNHPCLNNQRMLYTPMGTTYILQPDGAASPPHPFLPEGAALFRLDPDGRAERPARHVVASALRAFLNSPHPLETLSDLSAYGSEGAILRDHESSNYFRALNALTRVPRRRKQPEIVWQLPGVERLQQYWWPGIAGTVFPAAAPVSVRNKELVSEA >ONIVA01G26060.1 pep chromosome:AWHD00000000:1:23012148:23019680:1 gene:ONIVA01G26060 transcript:ONIVA01G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLLLRTQSGLPVLARAFPSCLCLRVPARRRRGAPPLTAAKVDVADAVGRRVRSGGAAVPKRRRSRRDAEEEEEEGLAFSRVVTGRGRGVREEGVAEGEAPEFDAAKSGDESGGVDGSYLSDTRFDQCAISPLSLKAVKDAGYERMTQVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLSALPNSRRDQLRPSINLLVMCPTRELAIQVAVEAKKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHVENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIERIIASVPKERQTLLFSATVPEEVRQISHIAMKKNYKFINTVKDGDEETHAQVSQMFMIAPLDLHFSILYDVLKKHVAEDADYKVIIFCTTAMVTKLVAEILSQLRLNIREIHSRKSQSARTKVSDEFRKSRGLILVSSDVSARGVDYPDVTLVIQVGVPADRQQYIHRLGRTGRKGKEGQGLLLFAPWEKYFLSSIKDLSISEATVPSVDSSTQTIVKDAVRKVEMRSKECAYQAWLGYYNSNKTIGREKSRLVKLAEEFSQSMELSVPPAIPKQILRKMGLNNRVFRPFATAAARAAVDAADEIEIVRRGEMMSGGPSDATHRKRRRRRGPKGSGVDGPSIPRAVTTNGAGPEEEEVVEGKAMELDAGMSAAEVGGVVGSHLSETRFDQCPVSPLSLKAIKDAGYEKMTQVQEATLPIILQGEDVLAKAKTGTGKTVAFLLPAIELLSTLPRSPSINLLVICPTRELANQVAAEARKLLKYHRSLGVQVVIGGTKLPQEQRSMQSNPCQILVATPGRLKDHLENTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMKRGYKFINTVKEGDEETHSQVSQMYMVAPLDLHFSILYNVLKKHIAEDADYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKDGLGLLLLAPWETYFLNSVQDLSVSQAVVPTIDSSIQTGVKDALGRVETKSKESAYQAWLGYYNSNKAISRDKSRLVRLAEEFSQSMGLAIPPAIPKLILRKMGLNNVPGLRSV >ONIVA01G26060.2 pep chromosome:AWHD00000000:1:23012148:23019680:1 gene:ONIVA01G26060 transcript:ONIVA01G26060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLLLRTQSGLPVLARAFPSCLCLRVPARRRRGAPPLTAAKVDVADAVGRRVRSGGAAVPKRRRSRRDAEEEEEEGLAFSRVVTGRGRGVREEGVAEGEAPEFDAAKSGDESGGVDGSYLSDTRFDQCAISPLSLKAVKDAGYERMTQVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLSALPNSRRDQLRPSINLLVMCPTRELAIQVAVEAKKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHVENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIERIIASVPKERQTLLFSATVPEEVRQISHIAMKKNYKFINTVKDGDEETHAQVSQMFMIAPLDLHFSILYDVLKKHVAEDADYKVIIFCTTAMVTKLVAEILSQLRLNIREIHSRKSQSARTKVSDEFRKSRGLILVSSDVSARGVDYPDVTLVIQVGVPADRQQYIHRLGRTGRKGKEGQGLLLFAPWEKYFLSSIKDLSISEATVPSVDSSTQTIVKDAVRKVEMRSKECAYQAWLGYYNSNKTIGREKSRLVKLAEEFSQSMELSVPPAIPKQILRKMGLNNRVFRPFATAAARAAVDAADEIEIVRRGEMMSGGPSDATHRKRRRRRGPKGSGVDGPSIPRAVTTNGAGPEEEEVVEGKAMELDAGMSAAEVGGVVGSHLSETRFDQCPVSPLSLKAIKDAGYEKMTQVQEATLPIILQGEDVLAKAKTGTGKTVAFLLANQVAAEARKLLKYHRSLGVQVVIGGTKLPQEQRSMQSNPCQILVATPGRLKDHLENTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMKRGYKFINTVKEGDEETHSQVSQMYMVAPLDLHFSILYNVLKKHIAEDADYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKDGLGLLLLAPWETYFLNSVQDLSVSQAVVPTIDSSIQTGVKDALGRVETKSKESAYQAWLGYYNSNKAISRDKSRLVRLAEEFSQSMGLAIPPAIPKLILRKMGLNNVPGLRSV >ONIVA01G26060.3 pep chromosome:AWHD00000000:1:23012148:23019680:1 gene:ONIVA01G26060 transcript:ONIVA01G26060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLLLRTQSGLPVLARAFPSCLCLRVPARRRRGAPPLTAAKVDVADAVGRRVRSGGAAVPKRRRSRRDAEEEEEEGLAFSRVVTGRGRGVREEGVAEGEAPEFDAAKSGDESGGVDGSYLSDTRFDQCAISPLSLKAVKDAGYERMTQVQEATLPVILQGEDVLAKAKTGTGKTVAFLLPAIELLSTLPRSPSINLLVICPTRELANQVAAEARKLLKYHRSLGVQVVIGGTKLPQEQRSMQSNPCQILVATPGRLKDHLENTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMKRGYKFINTVKEGDEETHSQVSQMYMVAPLDLHFSILYNVLKKHIAEDADYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKDGLGLLLLAPWETYFLNSVQDLSVSQAVVPTIDSSIQTGVKDALGRVETKSKESAYQAWLGYYNSNKAISRDKSRLVRLAEEFSQSMGLAIPPAIPKLILRKMGLNNVPGLRSV >ONIVA01G26050.1 pep chromosome:AWHD00000000:1:23006566:23010184:-1 gene:ONIVA01G26050 transcript:ONIVA01G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAANERSIAIPGPGDDQPAAQPAALAGGGDEDEGAWKTAQKWLNRFVRVVAFVERTGNAVGTLAFTWATVVVLGGYSTDLREDFWYALAIVFLEAYSSWSFMGESDLYYEFVLVPNLVVYNAANRVIGGLRVFSRQNKSDDKFLFKTTGGIRVLKLSSTLELLYFLNAVIVMLCLSVILTVVLTHVFPKKRFPIIWLLKRANRPGSGRRVVAIVLRLTPLAAILALGCSLVLLYGAPPVTVLASVLLLFMTFVLCQQLIAVREKIKRPAPLQRPTAAGEASPPPPSFAQRARLVLANTMLVVCPPLMVAFLTATFGFLGLYVVLTAVALGNFQIPAAVARVAISSARLAGRVDRVSTGNVNLVPSLKIFYGLVLAQGALYIVACLTDPFSVLLRRWLARRCKLGTRSVDLYYEHAYDAWMEDGLLAMEDANIVSFAVDSLSAPAEPSRSRERVLAGVTVLHCFLRQRRGSKARLASSKIITSTNAIATLIGMLGWGAEEDRQIRLFAAKVIGEVAGELRIARFPGTVQLISSLLDAPSCSKKEQDSGGSTQTKAAAGNVNTDSTCCCCFPKPSCPRRIKNLWSAPDEEPLDDDEDALPIMGMLILEKLASDPENCAEIWRATNLVSKVIGFIACSSNEAQRNRRPITASSLKLVAKLAGAKGEIGVTLRRKISDHPFLVSSLAGILEDDGAGTEEWAPAMDILAKLCVNADTRQEVGEIAAIITRLVQEFFPSQRDQQASSTQDDRQLRLAAGEALATLATESPGNCSAILKEFKGKYCDLVNDLKNMISARDEDGCRCAASLLQNLCAHSGDELRHLGFSDHLASALKVILEKILNTKGKQLEVLIGLAAQIHNAMPACFKDALESLANNTAEALVQKMVDTLNSSKKPSPECPRMRRAIVELAISIVETRTLPYGYAADFRKKGMVEALSKVKRTPSKVERYRLFFGDAGVVLERGLPLPDMVATAKGLIETASPSPGV >ONIVA01G26040.1 pep chromosome:AWHD00000000:1:22995234:23001791:1 gene:ONIVA01G26040 transcript:ONIVA01G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGGGGVEEDQAGSSSLCPPAAEAEAAAAAAAIARAARPPRPGRDKRLGVRHPLKHRRFRAGGKAAVAAGAREVGEATTVAEATATGPPKGSDEDDEARYICGGWKRSSNLQLGLMLFRLLIGTDLSFARLPSIVDNICSCIQACCDDGRMSCGYSSFRGRRANMEDFYDIKSSKVDDNQINLFGIFDGETYRKTDSDFLDAETNINREDGSTASTAIFVGNHIYVANVGDSRTVMSKAGKAIALSSDHKPNRKDERKRIENAGGVVTWSGTWRVGGVLAMSRAFGNRFLKRFVVAEPEVQEQEIDDDLEFLILASDGLWDVVSNEHAVAFVKAEEGPEAAARKLAEIAFARGSTDNITCIVVKFLHAKMAVDSASSGERS >ONIVA01G26030.1 pep chromosome:AWHD00000000:1:22990709:22992847:-1 gene:ONIVA01G26030 transcript:ONIVA01G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAPLAPMPRVKLGTRGLEVNMPACSIVNSYFPVFPFVRFALNRPVTVSKLGFGCMGLTGAYNSPLDDDAGAAVIAHAFRRGVTFFDTSDVYGPLANEILLGKALKQLPREQVQVATKFGIRRGADGVRAVCGRPEYVRACCEASLGRLGVDYIDLYYQHRVDTTIPIEDTIGELKKLVEEGKVRYIGLSEASPDTIRRAHAVHPITAVQMEWSLWARDIEPEIVPLCRELGIGIVPYSPIARGFFGGRGVTEQLSAESNLQGHPRFSAENLEKNKQLYLKTEELAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIDSLKVNLTDDDLKEISSQVREEDVAGGRQYTSFAHYTWKYADTPKK >ONIVA01G26020.1 pep chromosome:AWHD00000000:1:22986724:22987638:-1 gene:ONIVA01G26020 transcript:ONIVA01G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPTFAVAAALAPPPPRGGGGGGGEFDHFVVVDFEATCERGRRIYPQEIIEFPAVLVDAATGRLVSAFRAYVRPRHHPRLTDFCRELTGIAQGDVDAGVGLAEALLRHDEWLRAAGVVECGGRFAVVTWGDADCRTMLEQECRFKGIAKPAYFDRWVDLRVHFEAAFGGGGRRVKLQEAVRAAGLEWVGRLHCGLDDACNTARLLVELLRRGVPISITGSLPAAPPPLEQARKQQQQQEMQQLLVPCGAAVCCYCGVASTGGVMAMRGSTQRRCFYGCGNWTAVSGATCPFFLMGGVVDCPIN >ONIVA01G26010.1 pep chromosome:AWHD00000000:1:22983592:22987621:1 gene:ONIVA01G26010 transcript:ONIVA01G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT5G11450) TAIR;Acc:AT5G11450] MAAAALLSPPPSPSPSPTPSSLHPRQALRFAVGTGGGGRARATSTGTRRRAALVPCSSSVSARGPASGGDGLALERRRLLLSGLVSSFVLVLPVSDSHAVAEMDEDVKMATLVDPINAYSFLYPVELPGKKFTFKWVESRKPERYSSAAPLSPDARQRIVSERVDMIHNVVISVSIGPPNSRFPPSKDKSKWDPKDVADWILAEKSSLKVTTGQRMTESSVLDAHSSDVDGEPYWYYEYLVRKSPTQSAPEPNLFRHNVACTAERDGYLYSLNASTLSKQWESMGPSLQKTVASFHLLPPTENYVPPYQDPWRFW >ONIVA01G26000.1 pep chromosome:AWHD00000000:1:22980148:22982193:-1 gene:ONIVA01G26000 transcript:ONIVA01G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSKELLDLPSEPKPPSLIESILVGRREQQQHKEGKRKAGPPTDPLPKSQVLGRVKDFLGEMAKANEKLHLDVKNKRPEEYDIEALTGNEKEYIEMDLLLGVADLHSEKAVEVAEATMNGFPPSGRSFACSSSDSEDDSDGSDEDSGDETNMSSKDADEPEAQASKGKKPNKRQKIVVLN >ONIVA01G25990.1 pep chromosome:AWHD00000000:1:22970114:22980402:1 gene:ONIVA01G25990 transcript:ONIVA01G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADPFLAVSSPAHLLPRTLGPAAPPGTAASPSAARGALLDGISRPLKGSKELVEQARMAMKAVGDIGKLYGGDGAGVAAAAADGKNNQLGRRPAPDRKRFRLKTKPPANKPVQNVDYTELLNIEDPDEYFLTLEKLERADKEIKRLRGEVPTEGTYNNRGIEPPKLRPGLLRRKSVHSYKFSTSSDAPDAIEAPASQTETVTESQTTQDDVHGSAHEMTTEPVSSRSSQDAIPDISAREDSFVGKDNSFTLNYLLSAFKDLDEDEEENLLRKTLQIKEISIGKVCLPDFNVPGDTLASNTTEQKNPMSDHALERTAPGSNLARISQLEKRIFVGDALEDKHADLSKDDESDGSPESLLCKQSPVRRSSDAVGLMINEGSTAMETPSPSIKSPEHVLESESNPPDGVTTDEQPTENSPIGVDRDSELVKEKGTSSRHSVSLEEDVMPIDCTVSPPDHLEGGSTEVLTNITSRNVSPLHHGDGNSEHQEIDGGDVAQDNPIHTLETPPEDTPQNQSEIHRGSIEKLAVDKSNALSPSQGKQHRGKNKKQPSKRGKRETDNPIHTSETPPMDTNPQNQSEIHRGSIEKLAVDKSNALSPSKGKEQRGKNKKQPSKRGKRKTDNLIHTPEIPPEDTDNTVHTPEIPPEDTYPQNQSEIHRGNTEKLAVDINNALSPSKGKEQRGNNKKQPSKRQKRAAGEAGDLETHAPNFEPEIQPHVQDTDVEQQPACTSQSPSPSNGTSKNEVRKRNKKQDLNRRKSLADAGLTWQAGVRRSTRIRSKPLQHWLGERFIYGRIHGTMATVIGVKSFSPSQEGKGPLRVKSFVPEQFSDLLAESAKY >ONIVA01G25990.2 pep chromosome:AWHD00000000:1:22970114:22980402:1 gene:ONIVA01G25990 transcript:ONIVA01G25990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASADPFLAVSSPAHLLPRTLGPAAPPGTAASPSAARGALLDGISRPLKGSKELVEQARMAMKAVGDIGKLYGGDGAGVAAAAADGKNNQLGRRPAPDRKRFRLKTKPPANKPVQNVDYTELLNIEDPDEYFLTLEKLERADKEIKRLRGEVPTEGTYNNRGIEPPKLRPGLLRRKSVHSYKFSTSSDAPDAIEAPASQTETVTESQTTQDDVHGSAHEMTTEPVSSRSSQDAIPDISAREDSFVGKDNSFTLNYLLSAFKDLDEDEEENLLRKTLQIKEISIGKVCLPDFNVPGDTLASNTTEQKNPMSDHALERTAPGSNLARISQLEKRIFVGDALEDKHADLSKDDESDGSPESLLCKQSPVRRSSDAVGLMINEGSTAMETPSPSIKSPEHVLESESNPPDGVTTDEQPTENSPIGVDRDSELVKEKGTSSRHSVSLEEDVMPIDCTVSPPDHLEGGSTEVLTNITSRNVSPLHHGDGNSEHQEIDGGDVAQDNPIHTLETPPEDTPQNQSEIHRGSIEKLAVDKSNALSPSQGKQHRGKNKKQPSKRGKRETDNPIHTSETPPMDTNPQNQSEIHRGSIEKLAVDKSNALSPIKARNKEETIRSSHQSDKKEQQVRQVIWKHTHQTLNQRFNLMCRTQMLSNSQLVQASHHHRVMMRVLLGKRVLDEAHEYAMATVIGVKSFSPSQEGKGPLRVKSFVPEQFSDLLAESAKY >ONIVA01G25990.3 pep chromosome:AWHD00000000:1:22970114:22980402:1 gene:ONIVA01G25990 transcript:ONIVA01G25990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASADPFLAVSSPAHLLPRTLGPAAPPGTAASPSAARGALLDGISRPLKGSKELVEQARMAMKAVGDIGKLYGGDGAGVAAAAADGKNNQLGRRPAPDRKRFRLKTKPPANKPVQNVDYTELLNIEDPDEYFLTLEKLERADKEIKRLRGEVPTEGTYNNRGIEPPKLRPGLLRRKSVHSYKFSTSSDAPDAIEAPASQTETVTESQTTQDDVHGSAHEMTTEPVSSRSSQDAIPDISAREDSFVGKDNSFTLNYLLSAFKDLDEDEEENLLRKTLQIKEISIGKVCLPDFNVPGDTLASNTTEQKNPMSDHALERTAPGSNLARISQLEKRIFVGDALEDKHADLSKDDESDGSPESLLCKQSPVRRSSDAVGLMINEGSTAMETPSPSIKSPEHVLESESNPPDGVTTDEQPTENSPIGVDRDSELVKEKGTSSRHSVSLEEDVMPIDCTVSPPDHLEGGSTEVLTNITSRNVSPLHHGDGNSEHQEIDGGDVAQDNPIHTLETPPEDTPQNQSEIHRGSIEKLAVDKSNALSPSKGKEQRGKNKKQPSKRGKRKTDNLIHTPEIPPEDTDNTVHTPEIPPEDTYPQNQSEIHRGNTEKLAVDINNALSPSKGKEQRGNNKKQPSKRQKRAAGEAGDLETHAPNFEPEIQPHVQDTDVEQQPACTSQSPSPSNGTSKNEVRKRNKKQDLNRRKSLADAGLTWQAGVRRSTRIRSKPLQHWLGERFIYGRIHGTMATVIGVKSFSPSQEGKGPLRVKSFVPEQFSDLLAESAKY >ONIVA01G25990.4 pep chromosome:AWHD00000000:1:22970114:22980402:1 gene:ONIVA01G25990 transcript:ONIVA01G25990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASADPFLAVSSPAHLLPRTLGPAAPPGTAASPSAARGALLDGISRPLKGSKELVEQARMAMKAVGDIGKLYGGDGAGVAAAAADGKNNQLGRRPAPDRKRFRLKTKPPANKPVQNVDYTELLNIEDPDEYFLTLEKLERADKEIKRLRGEVPTEGTYNNRGIEPPKLRPGLLRRKSVHSYKFSTSSDAPDAIEAPASQTETVTESQTTQDDVHGSAHEMTTEPVSSRSSQDAIPDISAREDSFVGKDNSFTLNYLLSAFKDLDEDEEENLLRKTLQIKEISIGKVCLPDFNVPGDTLASNTTEQKNPMSDHALERTAPGSNLARISQLEKRIFVGDALEDKHADLSKDDESDGSPESLLCKQSPVRRSSDAVGLMINEGSTAMETPSPSIKSPEHVLESESNPPDGVTTDEQPTENSPIGVDRDSELVKEKGTSSRHSVSLEEDVMPIDCTVSPPDHLEGGSTEVLTNITSRNVSPLHHGDGNSEHQEIDGGDVAQDNPIHTLETPPEDTPQNQSEIHRGSIEKLAVDKSNALSPSQGKQHRGKNKKQPSKRGKRETDNLIHTPEIPPEDTDNTVHTPEIPPEDTYPQNQSEIHRGNTEKLAVDINNALSPSKGKEQRGNNKKQPSKRQKRAAGEAGDLETHAPNFEPEIQPHVQDTDVEQQPACTSQSPSPSNGTSKNEVRKRNKKQDLNRRKSLADAGLTWQAGVRRSTRIRSKPLQHWLGERFIYGRIHGTMATVIGVKSFSPSQEGKGPLRVKSFVPEQFSDLLAESAKY >ONIVA01G25980.1 pep chromosome:AWHD00000000:1:22963338:22966264:-1 gene:ONIVA01G25980 transcript:ONIVA01G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEFAANGGIHGSLTGISIGVGVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQQTLVAHRCLLCFVVHDTIKAPPLSEVKVMKSATRLSVVTTTVFYMLCGCMGYALPDNLLTGLGFYESFWLLDVANVMHLVGAYQVFVQPIFVFVERWASCRWPDSAFIAKELHVGPFVLGVRLPHHRRRHAPSFLRQRGGLSPRHNHSSPPKSKDFSRRLGPHQPTTRSNTHITPPVNTTPCKTSPKPPMLHRIAALRPPPPPSPWRAAAAAAGYASKSTTLPQKQQRVRDHAFDGIMEVQKRVRRFLALHSLLLYAAAPTALAGGGGGAVSVPFSRLGALARRQLRLAPLDAGRFLLRHPHAFHLFLHPVHRVLHARLTPRAVAALRLEADAVASSLPASIVRIRKLLLLAPPHHRLRLEHIRLLRRDLGLPDDFAESIIQAHPALFRLTPDQFVEFVPSPSDPPGLSVAAVERAREQHYREHRNPGAGEEDVRFAFPTRFPPGFKIGKYFRIAVWKWQRLPYASPYADVSGHDLRSLEARRRMEKRAVAAVHELLSLTVEKRTTLERLALFRDALAVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELVEPNEIYAARRKLEELLMLNPQKANLDRMFTSMGRGWDELGGGRRGGAELREEFLGEASDRRTNTKVDGEYGGDSGDDSGVESLYIE >ONIVA01G25970.1 pep chromosome:AWHD00000000:1:22946348:22957386:-1 gene:ONIVA01G25970 transcript:ONIVA01G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPAKEANLFKVIVKSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFAVAHHLSSNSSKAIEVLEAYEGTLEDDYPPENERYEHSEMLLYKISLFEECGMLDRALEEMQKKESKIVDKLSFKEQMACILLKLGRFEEAEKTYRSLLFMNPDNYKYFIAVQKCLGLYSENGQYSTDDVDRLCELYSSLKEEYGWSSAVKRIPLDFLEGEKFQEAADNYVRPLLTKGVPSLFSDLSPLYEQPGKANILEELFLKLERSIRTSGCFPGSSHTEPPSTLLWTLFLISQHYDRRGQYDIALDKIDEAISHTPTVIDLYSIKGKILQHAGNFSAAAALADEARSMDLADRYLNSECVMQMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYYRQGDLGRALKNFLAVEKHYADMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDSPSKSSTEENDEMSKLPPAQRKKLRQKQKKAEARAKREAEEKQEDETTSSHTSKSGKKQNARPVDLDPHGEKLVKIENPLAEGTKYLKLLQNNSSDSLETHTLSFELNMRKQKILLAFQAVKQLIKLDENSPDSHRCLIRFFHKINNLPSPGTDSEKLIWNVLEAERPDLRAAAAEMMYLLEPDKKLEAIKLIEDSVNSTASGNSVLGPVNEWKIQDCIDVHKLLETIFGDQDVANSWKARCAEYFPYSTYFEGIKSASAAYCSVANSLEDSSENGIVANAQMKSADGETCTLNGTVHIVDELSNLSIR >ONIVA01G25960.1 pep chromosome:AWHD00000000:1:22910216:22915575:-1 gene:ONIVA01G25960 transcript:ONIVA01G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FPK9] MKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERKGEYLGKTVQVVPHITNAIQEWIERVAMVPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEENVKEKLSQFCHVPAANIVTLYDVSNIWRIPLLLRDQKAHEAILKVLNLDSFARGPKLDEWVARATLFDALQDTVRIAMVGKYTGLSDSYLSVLKALLHASVDCRRKLVVDWVASTDLEDSTAIEAPDAYKAAWDLLKGANGVLVPGGFGDRGIQGKILAAKYARENNVPYLGICLGMQLAVVEFARSVMNLPEANSTEFDPNAKTPCVIFMPEGSKTHMGGTMRLGSRRTFFEVADCKSAKLYGNVSYVDERHRHRYEVNPDMVPEFENAGLQFVGKDETGRRMEIIEIPNHRYFVGAQFHPEFKSRPSKPSPLFVGLIAASSGQLDRLLQGSCNGHVVSTKHSLSNGAYTSTVHQNGHAKKLANGLSNGTYYPNGNGVHA >ONIVA01G25950.1 pep chromosome:AWHD00000000:1:22907156:22907872:1 gene:ONIVA01G25950 transcript:ONIVA01G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLPLRLAPTLAGGGGGAIPHRVLRGACTAAPASRPPPDPLSPSDLDAVSALLPRLVSAGNVPAAGRLLTAALLLPGSTERLPFSPLAAHLASMPTLTPAFALLTAIRHHPLRPSPLPLATPLLGHLLSRRRAREAASVVRWLCRPDSPQRPDSATFAVAVAGLCRLEDPRNALAALREMAVDGIRTSAKLRESVRDAMLQDARIEEAWALEAAMKQPDSGKLAELVQKLLEEWED >ONIVA01G25940.1 pep chromosome:AWHD00000000:1:22899216:22902560:1 gene:ONIVA01G25940 transcript:ONIVA01G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVFSASARRLLSTVADGAGAGSAAELPVSIGHLRSLARAGRLADIDAALAPHLASHSVAAVSALSSVGLPDRASALLATIRNPTAAHLNALLAPLLRRHRLVGLVPTLLAAHPSVPRDDATEGIHAKALCIATGADSALHLLQRESPPPSLQLFTSVIDSYYKQRKPHRAEQLWREMVEDHGIVPDAAAHNVRITYKAATGTVEEVKELIRAMREDAGLQPDIVSHNGLMRAMARHGRVDKMMEVYKRLEKGSASAAAEGKSAPDCATYTCVVAALCKAGRWSEADDVFYEAVKRRKLADLGTARVLVRGLKEAGKGRAARRVVIGLRKKFPDRFDGPWKDLEELAGIAGEDEEGDVEGEDDEQPPATTTTTAALNTSPILELGTDWEINGIDRSGLDAQVAEAYSANLVQIASPRTLRPVLVLTPVTADEVRAYVVCCRDHGLTVRARSGGHDYEGLSYCSLRPSGDGEGAARFAVVDVAALQAVRVDAARGVARTKAGATRAVCRIGAAGLPPPPPVSSGSRS >ONIVA01G25930.1 pep chromosome:AWHD00000000:1:22895550:22898456:1 gene:ONIVA01G25930 transcript:ONIVA01G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWRAAGGARAVLRRLSAAAEAAAKQDGRVFAASYSGSSGGVNAPFGLGQYANLLRAQAFASRGVALNFHQLIRNAGISTTRNLLAADDAMVPVSSPLTPPLGDGEQTDKKGAIVKRLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAAHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGVMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKEKQLMPHRLIEVSPRWARKRKEEAGAAA >ONIVA01G25920.1 pep chromosome:AWHD00000000:1:22886897:22893025:-1 gene:ONIVA01G25920 transcript:ONIVA01G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:floral homeotic protein (HUA1) [Source:Projected from Arabidopsis thaliana (AT3G12680) TAIR;Acc:AT3G12680] MSDPFYPHGHGGAAGGEGAAAAGYSSYEVDLIAARYGGRPLANPSSAAADLDARLAGARRSMGVLYHQPIMGSHSTVEQIEALYSSNTMTKRPRLESSLPIYPQRPGEKDCAFYMMTRTCKFGGSCKFDHPQWVPEGGIPNWKEAANVEESYPEQQGEPDCPFFMKTGKCKFGSKCKFNHPKEKVNALASGKTNDFYAKTGKCKFRAMCKFNHPKDIEIPSSQNEPESAVTVEGETDIGSAADSVSAKMQTPVAAAQEFNSKGLPMRPGEVDCPFYMKMGSCKFGSTCRFNHPDRLVLNFPLPLGQTILPTPESMLLNSSANFMQGFDFHAAHMPVGPGPVTYPQRPGATVCDFYMKTGFCKFADRCKFHHPIDRSAPDPSANWEPAEESVQLTLAGLPRREDAVVCAFYMKTGVCKFGMQCKFDHPPPQEAIAKVSNSGS >ONIVA01G25910.1 pep chromosome:AWHD00000000:1:22875771:22880277:1 gene:ONIVA01G25910 transcript:ONIVA01G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADRIRVRAAALALDGGGGGAVRDKPDAKADVFADLGSPVSPLRARASVATSSSSSSGSAKSPAPSNAGALALAGGRSHSGELTAESTPPRLPGHRRCGSGPLIFSGGSSGGSGGGGGDRGSTASSPMTNALPAGNICPSGRVPVAAAAPPPPRSRPDVLGSGTGNYGHGSIMRGGGGMAPARSSIDSSSFLGHAPRSPATFPAASSASSGSLQDVTRLGNEWYKKGKHAEALRHYDRAVALCPESAACRGNRAAALAGLGRLADALRDCEEAVRLDPANGRAHSRLAGLCLRLGMISKARRHLTQAGHLHQSDPSEWEKLQEVEMHQGRSIDARKVGDWKSALREADAAIAAGADSSRLLLAIRSEALLRLHKLEEADSTLASLLKLDSVLLYRMGANPSGMLAESYVSIVRAQVDMALGRFDAAVEAAENARFIDPGNAEVGMILNNVKLVAKARAQGNELYKAAKFSDASIAYSEGLKYEPSNPVLYCNRAACWGKLERWEKAVDDCNEALRIQPNYTKALLRRASSYAKLERWADCVRDYEVLRKELPADTEVAEALFHAQVALKTTRGEDVSNMKFGGEVEMVTSVEQLRAAIGSPGVSVVYFMSIMNQQCTLITPSVNSLCSECPSLNFLKVNVEDSPMVAKAENVRIVPTFKIYKDGVKVKEMICPSLHVLRYSVRHYAVSSS >ONIVA01G25900.1 pep chromosome:AWHD00000000:1:22843476:22851206:1 gene:ONIVA01G25900 transcript:ONIVA01G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVTTTVQEVTGPRPLQDFELLEQAGSGGPGLAWRIYTARPREGAPSAPYPVVSVWVLDKRALAEARARAGLTKAAEDAFLDLARADAARLVRLRHPGVLHVVQALDETKAAMAMATEPVFASVANALGCLDNVGKVPKELKGMEMGLLEVKHGLLQVSETLDFLHNNAHLAHRAISPETVFITSNGSWKLGGFGFALSVDQATGSLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKVGSACDIFSFGCLAYHLVARRPLLDCHNNVKMYMNSLTYLTSEAFSNIPADLVVDLQRMLSVDVASRPSAMAFTGSSFFRNDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKGDFELSTLSALVPVFTSASGETLLLLVKHADLIIHKATQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVPLSRQLDMKLLKQSVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKEGILEILQTLRRCTAVDHTAPTLMCTLGVANAIFKQCGVEFAAEYVIPLVFPLLTAHQLNVQQFAKYILFVKDITSKIEEKRGVTVTDNGNTEVKVSPSLANGIHSEPLSRGVGQTEQIQAAKGAAWDEDWGPTKKASTPSQSSDSNARMKQPSDPFDFSTQTKQPSALPFDFSTQQPKPSSAIPQVTPATISPAPSLPSLQSLAPSSGPQTSGSCVPVDIEWPPRKNTSSDFNAPLSVSEQSKSGGLSSDGLADIDPFADWPPKASSGASISAVGHLSSTSQSISGLSTGNIGFSGNSGSLGQMKTNQMSWSAKPNTTNLMAVNSTGNYLNQGNSALGFGNPIGGRSTGSLSSSTTQGPPRLAPPPSASVGRGRGRNQGQSALSRASQCATTPPGLMGLVQMDPR >ONIVA01G25900.2 pep chromosome:AWHD00000000:1:22843476:22851638:1 gene:ONIVA01G25900 transcript:ONIVA01G25900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVTTTVQEVTGPRPLQDFELLEQAGSGGPGLAWRIYTARPREGAPSAPYPVVSVWVLDKRALAEARARAGLTKAAEDAFLDLARADAARLVRLRHPGVLHVVQALDETKAAMAMATEPVFASVANALGCLDNVGKVPKELKGMEMGLLEVKHGLLQVSETLDFLHNNAHLAHRAISPETVFITSNGSWKLGGFGFALSVDQATGSLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKVGSACDIFSFGCLAYHLVARRPLLDCHNNVKMYMNSLTYLTSEAFSNIPADLVVDLQRMLSVDVASRPSAMAFTGSSFFRNDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKGDFELSTLSALVPVFTSASGETLLLLVKHADLIIHKATQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVPLSRQLDMKLLKQSVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKEGILEILQTLRRCTAVDHTAPTLMCTLGVANAIFKQCGVEFAAEYVIPLVFPLLTAHQLNVQQFAKYILFVKDITSKIEEKRGVTVTDNGNTEVKVSPSLANGIHSEPLSRGVGQTEQIQAAKGAAWDEDWGPTKKASTPSQSSDSNARMKQPSDPFDFSTQTKQPSALPFDFSTQQPKPSSAIPQVTPATISPAPSLPSLQSLAPSSGPQTSGSCVPVDIEWPPRKNTSSDFNAPLSVSEQSKSGGLSSDGLADIDPFADWPPKASSGASISAVGHLSSTSQSISGLSTGNIGFSGNSGSLGQMKTNQMSWSAKPNTTNLMAVNSTGNYLNQGNSALGFGNPIGGRSTGSLSSSTTQGPPRLAPPPSASVGRGRGRNQGQSALSRASRTPHSNVSSEQPPILDLL >ONIVA01G25900.3 pep chromosome:AWHD00000000:1:22843476:22851638:1 gene:ONIVA01G25900 transcript:ONIVA01G25900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVTTTVQEVTGPRPLQDFELLEQAGSGGPGLAWRIYTARPREGAPSAPYPVVSVWVLDKRALAEARARAGLTKAAEDAFLDLARADAARLVRLRHPGVLHVVQALDETKAAMAMATEPVFASVANALGCLDNVGKVPKELKGMEMGLLEVKHGLLQVSETLDFLHNNAHLAHRAISPETVFITSNGSWKLGGFGFALSVDQATGSLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKVGSACDIFSFGCLAYHLVARRPLLDCHNNVKMYMNSLTYLTSEAFSNIPADLVVDLQRMLSVDVASRPSAMAFTGSSFFRNDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKGDFELSTLSALVPVFTSASGETLLLLVKHADLIIHKATQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVPLSRQLDMKLLKQSVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKEGILEILQTLRRCTAVDHTAPTLMCTLGVANAIFKQCGVEFAAEYVIPLVFPLLTAHQLNVQQFAKYILFVKDITSKIEEKRGVTVTDNGNTEVKVSPSLANGIHSEPLSRGVGQTEQIQAAKGAAWDEDWGPTKKASTPSQSSDSNARMKQPSDPFDFSTQTKQPSALPFDFSTQQPKPSSAIPQVTPATISPAPSLPSLQSLAPSSGPQTSGSCVPVDIEWPPRKNTSSDFNAPLSVSEQSKSGGLSSDGLADIDPFADWPPKASSGASISAVGHLSSTSQSISGLSTGNIGFSGNSGSLGQMKTNQMSWSAKPNTTNLMAVNSTGNYLNQGNSALGFGNPIGGRSTGSLSSSTTQGPPRLAPPPSASVGRGRGRNQGQSALSRASRTPHSNVSSEQPPILDLL >ONIVA01G25890.1 pep chromosome:AWHD00000000:1:22831369:22832650:-1 gene:ONIVA01G25890 transcript:ONIVA01G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNHLRRICLLPAEGWPLTLRSPPSSAATILRRTCRQRPPQHPPPPKETPPWRRRRLAATCRGAVLLTTSATTRNQVGEARRLEWGKGSGVAQDPSTVASPLRLRGLA >ONIVA01G25880.1 pep chromosome:AWHD00000000:1:22824046:22825887:-1 gene:ONIVA01G25880 transcript:ONIVA01G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G53270) TAIR;Acc:AT1G53270] MSSAAVASPRETVSPPMSLGKVGVAVVGGGRRRSRYRLETRGLSYVLPARGAAARLFLGGGGEERLLLRGVTCEAPPGEVVAIVGPSGAGKTTLLSVLAGSADPARVVAGEVLVNGRAMDAARFRRVSGHVPQDDALFPMLTVEESLVYSARLRLRGAGGGNGGAAVARARELMAELGLRHVAGSRVGRVSGGERRRVSIGVDLVHDPAVLLLDEPTSGLDSGSALHIVKMLRDMAAAHGKTVVLTIHQPGFRILELLDRVVLLADGAVRHHGSLGFLEARLAASGHGIPPHVNVLEYAMECIDSLKPEVTTADASHADAASGSSRRRASSAPYANSAAAEVCILSARFAKTVLRTPQLFAARMAQSLLAGAFLGTVFLGAGDLQSRLGFFAFTLTYVLSSTTEGLPVFLQERRILERETSRGAHRVASYVASNAAVFLPLLLASALLYAAPVYWLAGLAREPGRFAYFSLVVWLVMLTANSFVACFSALAPSYIVANSLIAGLIGCFFLFSGYFVASKNIPRYWVFMHYASLFKYPFEAFLVNEYGGARGGAECLAEVGGGLCVLDGATLLRQQGMRASMRWSNVAVMLGFVVGYRLLCFVFLWFRCHRMRR >ONIVA01G25870.1 pep chromosome:AWHD00000000:1:22813188:22815962:-1 gene:ONIVA01G25870 transcript:ONIVA01G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTKSPPALVPPAGPTPGGSLPLSSIDKTAAVRVSVDFIQVFPSSAEAAKDQAASVAAMREGFARALVHYYPVAGRIAEPVPGEPEIDCTGEGVWFIEAEASCSLEEARNLERPLCIPKEELLPRPPPEVRVEDTVLLAQITKFTCGGFAVGICFSHLVFDGQGAAQFLKAVGEMARGLPEPSLKPIWARDAIPNPPKPPLGPPPSFTAFNFEKSVIEISLDSIKRVKDQVASETNQKCSTFDVVTAMMFKSRTLAIDFAPDADVRLGFAASTRHLLNNVLPSVDGYYGNCVYPGGLAKTSQEVKDASLVEIVTAIREAKDVLSTRFLDWMSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEAQHSKVFGEELQKLA >ONIVA01G25860.1 pep chromosome:AWHD00000000:1:22810681:22812137:-1 gene:ONIVA01G25860 transcript:ONIVA01G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAAVAAAAAAAEPTVSKSAPELVPPAGPTPRGALPLSSIDKTAAVRVSVDFIQVFPPATGGPSADGQDAAVARMRDGFARALVPYYPVAGRIAEPAPGDVVVDCTGEGVWFVEATASCSLADVNNLERPLLIAKEHLLPRPPPEEKLEDLILMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGEMARGLPAPSVPPVWDRDAIPDPPKPPPRGPPPSFTAFNFVTQVVDVSPESIARIKEDFSASPTGGGQACSTFDAVTAVVFRCRALAASSLPDDAEVRLGFAASTRHLLAGVLPAVDGYYGNCVYPVGIARHRGAVRGAALAEVVGVVREAKEALAARFTDWLGGGGGGDHYDVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTLNDDVNIVASVIYLRPPAPKRGIRLMLRCVEEPHAAAFADELAKFA >ONIVA01G25850.1 pep chromosome:AWHD00000000:1:22808460:22808678:1 gene:ONIVA01G25850 transcript:ONIVA01G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSKPCGGLKTEWPELVGLTIEQAKAKIKADRPDLQVEVLPVGTIILGVVVPNRVILWVNTVAEIPKIG >ONIVA01G25840.1 pep chromosome:AWHD00000000:1:22806389:22806592:-1 gene:ONIVA01G25840 transcript:ONIVA01G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSETPKTEWPELVCRTIKEAKEKIKADRPDLKIEVVPVGTIVTQEFDENRVRIWVDTVAKTPTIG >ONIVA01G25830.1 pep chromosome:AWHD00000000:1:22796244:22801943:-1 gene:ONIVA01G25830 transcript:ONIVA01G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAEAAAAAPRPLQAAAIGVCAETGFWDALRRLKLDVLGTDDSPIPITGYYTPRQYEKIASLFRICPESILPPSANSFGDRNNCPVPGTLLNTNNMRGFQNLDRALLLKAEAKKILHDIKSGKVEENPALLLRFLVISFADLKNWKVYYNVAFPSLIFDSKITLLSLKLASQVLKQEEATSLSNAFTEWRKSSETTVVPFFLINISPDSSATIRQLKDWKACQGNGQKLLFGFYDHGNRGFPGWALRNYIAFVSLRWKIEKVHFFCYREKRGRPDIQQSLVGEASFPAPHGWDEPVYVPEAIGWEGETAGKESKEMKPKEIDLSSINPASQDEEKQLMHLKLMGWRHFPVNLDKLAGVRCLLLGAGTLGCEVARLLMTWGVRKLTVVDDGCVSMSDLVKQSLYTDKDCGVPRVTAIVPHLKERCSAVEVEGIQMGIPKLEYNISASKISSITDDCKRLQTLVDSNDVVFLLNETWEGMWLPTLLCADKNKIAITVLLGYDNYLVMRHGAGPGTKSGGMDEGIAQIENLSTQDALGRQRLGCCFCSDTTSLVNSDHNGALDQQSAVILPGLTSVASGKAVELFARMLHHPDEIHAPGDIAGTDTEHQLGLLPHQMQGSLSKCVLSTVLCNSSSNCIACSNAVLSEYRRRGFDFVTQAITCPTYLKDLTGISDLKKPFASKISASIPVSKTSASIPVNLEKLSSARCLLLGAGTLGCDVARILMDCGVRKLTVVDSGRVVVSNLARQSLYTSDDRDSPKASAILGRLRERCPSVDAKGIKMEIPMPGHPVSPNEAVSVLEDCKRLQELVSSHDAVFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLVMRHGAGPGTNCGSPDVVAAADTLSAEDVLGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASITSGCAADLFTRMLHHPDGIHAPGEIAGTSSEGPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYRRRGMDFVMQVINEPTYLEDLTGLTDLMKSAAYSQVEWIDEVDDDDEMDI >ONIVA01G25820.1 pep chromosome:AWHD00000000:1:22795458:22795973:1 gene:ONIVA01G25820 transcript:ONIVA01G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEKQQLAGDGDGIACGDRSKEEAEERKKQRAHANAHAFVCSLSLLLAATMALMLLSYGGSPLWLASELAAVLCLLLYLWAYHVTQNLAAGAGAAVPVEALVFAFPLVFGAGFLAALLAVAVAPVAGVLVMGADVAGVSALFGFCLAEYLRHNEAHAAVDGAAPASVET >ONIVA01G25810.1 pep chromosome:AWHD00000000:1:22791190:22795321:1 gene:ONIVA01G25810 transcript:ONIVA01G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin ear-binding coat-associated protein 1 NECAP-1 [Source:Projected from Arabidopsis thaliana (AT3G58600) TAIR;Acc:AT3G58600] MSSTGEQSQAKAEAEEAVELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGTLKVVSKGEECIIKLEDKNTGELYARAFLREDEPHPVEPVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERPQAYDFQAALHDHMKYPCVHYLKHDIYLNKKKTAEEMVQHYEKSSSVDYSLKEGETLVLQLKNKESGAKIKSAFFEQGLNKLSFNEKANSKEAPVSLKLPPPPPSPVSPTDSGIAASPFKAEFPSQDQPAADTGADTTPFKAEFPSSHEQPAADNVASSPPPKAEAAPQEQPTAAEKAPQESVDDDFGDFQAAG >ONIVA01G25810.2 pep chromosome:AWHD00000000:1:22791190:22795321:1 gene:ONIVA01G25810 transcript:ONIVA01G25810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin ear-binding coat-associated protein 1 NECAP-1 [Source:Projected from Arabidopsis thaliana (AT3G58600) TAIR;Acc:AT3G58600] MSSTGEQSQAKAEAEEAVELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGTLKVVSKGEECIIKLEDKNTGELYARAFLREDEPHPVEPVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERPQAYDFQAALHDHMKYLNKKKTAEEMVQHYEKSSSVDYSLKEGETLVLQLKNKESGAKIKSAFFEQGLNKLSFNEKANSKEAPVSLKLPPPPPSPVSPTDSGIAASPFKAEFPSQDQPAADTGADTTPFKAEFPSSHEQPAADNVASSPPPKAEAAPQEQPTAAEKAPQESVDDDFGDFQAAG >ONIVA01G25800.1 pep chromosome:AWHD00000000:1:22781902:22787428:-1 gene:ONIVA01G25800 transcript:ONIVA01G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G15010) TAIR;Acc:AT3G15010] MDPYPKKRKPDENGAAVASSPAAGAAALGLTRDDLLRLVEPLSRDQLADIAATAALASGVALDAVRAAADRDPALRKLFVRGLGWETNSDSLRAIFSAFGDLEEAVVITDKSTGRSKGYGFVTFRHADSAVLALKEPSKKIDGRMTVTQLAAAGAAGGASGGAAGAGGAPAADVSLRKIFVGNVPADMPSERLLAHFAAYGEIEEGPLGFDKQTGKFRGFALFVYKTPEGAQASLVDSVKVIDGHQLVCKLAIEGKKGKQSQQQQQQSGPGGAQPPQMLQGGPPDMPGSGLGLGGPQMGAQYGGPGSLSSYGAFGGVGAGLGGPNPYANLPSSMGGGGAGLGSLGNQMPSGMGGAGAGAYGPGGLGGGSFGGSSQFGAGGMGAYGGLGMGGASSLYRMQQGSGGLPSGGYGEGNYPLPGPGFRGQEGGMSPGPGGRAPMYPNVPPYF >ONIVA01G25790.1 pep chromosome:AWHD00000000:1:22779410:22782977:1 gene:ONIVA01G25790 transcript:ONIVA01G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSAAAMANKPSLAVAAASRQRWALATSLCALLCLSLVVSAGLLLLGSTRPFRRPLFAAPQQQQLQQQREVVGEAPWERYVKLAQAASPGGARDRAPDLGGDEGAEGDDDDAISTAPAPAPSPAAEEGGDEESCDLFQGRWVRDGAAAGGYPLYEAAECPFLSDQVTCRRNGRPDAEYEQWRWEPRGCGGGGGGGGGGGSREAALALALEQCRNRRVVFVGDSLNRNMWESLACLLYTAVPDRSRSRVLDVASDYRIFRAMDYNCSVEFFWSPFLVTLETKQDRTRALKLDQLPATLEKLRGADVLVFNTGHWWTHTGNLRAWDHLEADGKPVEMGGEEAFNQALGTWASWVDQNVDSARTRVFFRSISPEHKSENWCYNQTSPITDETKIVPWFPRSLVSIVERNIRSTRTPVTYLNITRLSELRVDAHPSVYTITREGKPLSTEQRQQPLVYADCSHWCLPGLPDTWNLLLLASLARSPVNVH >ONIVA01G25780.1 pep chromosome:AWHD00000000:1:22776753:22777016:-1 gene:ONIVA01G25780 transcript:ONIVA01G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSCSGGLIDWCACADDLCEGDAKNAHLMSLDVAAERLRLFKADLLDYGSVAAAIAGCDDVFHVACPVLLSAPNPGAHISLFSAK >ONIVA01G25770.1 pep chromosome:AWHD00000000:1:22769019:22775567:-1 gene:ONIVA01G25770 transcript:ONIVA01G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MAQLLAATTTTTTPPCGGLLTARASASSPGCFLRALPRSRAMASASGADAAAAAVAPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALSVRTLLGHRLPLDPSEAKLEWYQIVEGEHALWDGVSQPYRETIRAFLVYFHNEILRRSAEMFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRKEVVNKDCNSCSALPSRIKRVFYMSSEGSNLLHEVFPEANRTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKVLLLNGSHDRETTGLPASGFVTAITDSLNRTYGYPDKSLKNLPKDYVNALLVPKGGQIPLDIKNLSSKGIFHVVTVDSIHDGKTGIIFDPHSLIQALTSLISDRRFVEPDLLTENVESLNK >ONIVA01G25770.2 pep chromosome:AWHD00000000:1:22769019:22775567:-1 gene:ONIVA01G25770 transcript:ONIVA01G25770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MAQLLAATTTTTTPPCGGLLTARASASSPGCFLRALPRSRAMASASGADAAAAAVAPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALSVRTLLGHRLPLDPSEAKLEWYQIVEGEHALWDGVSQPYRETIRAFLVYFHNEILRRSAEMFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRKEVVNKDCNSCSALPSRIKRVFYMSSEGSNLLHEVFPEANRTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKVLLLNGSHDRETTGLPASGFVTAITDSLNRTYGYPDKSLKNLVSSVTVPLNRFSAIDWRNYASYHLLALEDGNIPQISPKDYVNALLVPKGGQIPLDIKNLSSKGIFHVVTVDSIHDGKTGIIFDPHSLIQALTSLISDRRFVEPDLLTENVESLNK >ONIVA01G25760.1 pep chromosome:AWHD00000000:1:22766683:22768423:-1 gene:ONIVA01G25760 transcript:ONIVA01G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRWLCLILAAAAAAAASGAPAPEDDPGMPMAARHRCWMARVGRTYADAAEKARRFEVFRANAERIDAANRAGDLTYTLGLTPFADLTADEFRARHLMPDADVDEPATARVLFEQEEKAAKQHLPPSRPPAVWGSKDWRDLGAVTPVQDQDKNNCNSCWAFAAVAATEGLIKIETGNVTPLSAQQVLDCTGGDNTCKGGHIHEALRYIATASAGGRLSTDTSYRPYDGEKGTCAAGSGSASSSSVAVVIRGVQKVTPHDKDALRAAVERQPVAADMDSSDPEFRGFKGGRVYRGSAGCGKKRNHAVAVVGYGTASDGTPYWLLKNSWGTDWGENGYMRIAVDADCGVSSRPAYPFV >ONIVA01G25750.1 pep chromosome:AWHD00000000:1:22758929:22760264:-1 gene:ONIVA01G25750 transcript:ONIVA01G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPVSRHLRVALLALLVVAVAAAAAARGDQPSRGHSMAARHERWMARFGRAYADAAEKARRMEVFAANAERVDAANRAGGDRTYTLGLNQFSDLTDDEFARAHLGYSWAPPPPSHRHGHRAENGTAAAAADDTDVPDSVDWRARGAVTELATGNLVSLSEQQVLDCTGGANTCSGGDVSAALRYIAASGGLQTEAAYAYGGQQGACRAGGFAAPNSAAAVGGARWARLYGDEGALQALAAGQPVVVVVEASEPDFRHYRSGVYAGSAACGRRLNHAVTVVGYGAAADGGGEYWLVKNQWGTWWGEGGYMRVARGGAAGGNCGIATYAFYPTMDS >ONIVA01G25740.1 pep chromosome:AWHD00000000:1:22756286:22760231:1 gene:ONIVA01G25740 transcript:ONIVA01G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMSAASSPSCLLLLPRPTKPTPPLLPSTSSCRRPPRCRIRSLRPENPAPPAAGVARAVASSSSPMEMEAAQTQACGGGEGQQEAMRLLFVEMGVGYDQHGQDVTAAAVRACRDAITSNSIPAFRSGSIPGVNTEQMKLQIKLGVPRPTQHLLDVERVKAVFPYGKIISFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYVGY >ONIVA01G25730.1 pep chromosome:AWHD00000000:1:22749507:22751279:1 gene:ONIVA01G25730 transcript:ONIVA01G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDELTTKPIEIKFSSCRGVTFEPKPSPASPFAIAAAAAAACPAKPPPAAPSTGRWIWLPLLSSSRYSSFSRIIPAKPDGGVGRSQSRASSHFCDLDVAGDEEEDDGVSVFDGHDEEMAVAAAAAADVEDDLKGKKKPSVSAAAAPATARRSRLAVILFDQGLFTVYKRLFVLCVALNAAAVALAASGHFPYAERRAAVFAMGNILALTLCRSEAALRVVFWLAVALLGRPWVPVVAKTGVTAILQSLGGVHSGCGVSSVAWLAYALVQALRRRDEMPPEIVAVASAILFLLALSCAAAFPLVRHLHHNVFERTHRFAGWGALALLWTFVVLSAGYDREARSYVPLAGAVLAGREDLRLAAAITFFTALPWLTVRRVPVTVTAPSTHAAILTFQGGVRAGLLGRISRSPLSEWHAFGIISDGRRTHAMLAGAVGDFTRGLVADPPTHLWVRGVHFAGLPYLIGMYRRATMVATGSGICVFLSLLMQPSTTTATELSLVWVAKGVEANYGEEIRAAVAAAAGGKSMAGRVVVHDTAVMGRPDVRELAVAAARRWGAEVVVVTSNPEGSRDVVSGCRKAGIPAFGPIWDS >ONIVA01G25720.1 pep chromosome:AWHD00000000:1:22745135:22745407:-1 gene:ONIVA01G25720 transcript:ONIVA01G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGGGWQRLKRRLNRMWCRYARFSQLRPKHNFRDPMVLRLHPYVPPEALVECACGGGWWLTTSSVQWLQHIPLMLSFLQGMVAGSFG >ONIVA01G25710.1 pep chromosome:AWHD00000000:1:22739548:22739730:-1 gene:ONIVA01G25710 transcript:ONIVA01G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEQLMIGDDAVHGEEAYALWGDGEKFNATQHDLSRGGGGGDRDGPERLSILGARGVTI >ONIVA01G25700.1 pep chromosome:AWHD00000000:1:22723483:22728635:1 gene:ONIVA01G25700 transcript:ONIVA01G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGDARIVVVAVAVAAFVLVGVAAEGKGEGGGGGGVGVCFERIFSFGDSLTDTGNFLLSVPEDFPDPARSLPYGQTFFGRPSGRYSDGRNLLDFFAEAFGLPFVPPYLAGGDFRQGANFAVGGATALNGSFFRDRGVEPTWTPHSLDEQMQWFKKLLTTVSSSESELNDIMTKSLFLVGEVGGNDYNHLIVRGKSLDELHELVPKVVGTITSAITELINLGAKKLVVPGNFPIGCVPLYLSIFPSQKEDYYDEKTGCIKWLNEFTEYHNRLLQEELEKLRNLYPDVSIIYADYYGAALNIFLAPLQFGFTVPLNSCCGSDAPYNCSPSILCGHPGSVVCSDPSKYTSWDGLHFTEATYKIIIQGVLGSYANPPLSETCRGGAYKVSQLHQCTDNPTNTVTYDSMSSFI >ONIVA01G25690.1 pep chromosome:AWHD00000000:1:22710863:22712171:1 gene:ONIVA01G25690 transcript:ONIVA01G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRNRLRKTKAHVSSRRRAAGKRASERRSPLGSPMEFGSGGRDARARRQLQAAGRAAAYLGGGFLLLSAASSAAVRSLRSLSDANQRKFAAPCGACEGKGTYACRLCRGSSTIEWSPLHDPVFVNPCLCPTCDGTRVQRCLNCLGKGYA >ONIVA01G25690.2 pep chromosome:AWHD00000000:1:22710863:22712164:1 gene:ONIVA01G25690 transcript:ONIVA01G25690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRNRLRKTKAHVSSRRRAAGKRASERRSPLGSPMEFGSGGRDARARRQLQAAGRAAAYLGGGFLLLSAASSAAVRSLRSLSDANQRKFAAPCGACEGKGTYACRLCRGSSTIEWSPLHDPVFVNPCLCPTCDGTRLAVLCGDFLDLWSRPFELLCCCDHAAMDGAALLELPWKRLRLKGQCVLAFGLSLATGISARINCHDS >ONIVA01G25690.3 pep chromosome:AWHD00000000:1:22710863:22712171:1 gene:ONIVA01G25690 transcript:ONIVA01G25690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRNRLRKTKAHVSSRRRAAGKRASERRSPLGSPMEFGSGGRDARARRQLQAAGRAAAYLGGGFLLLSAASSAAVRSLRSLSDANQRKFAAPCGACEGKGTYACRLCRGSSTIEWSPLHDPVFVNPCLCPTCDGTRVQRCLNCLGKGYA >ONIVA01G25680.1 pep chromosome:AWHD00000000:1:22703445:22710658:1 gene:ONIVA01G25680 transcript:ONIVA01G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEAPVPPESARMGSPPPSHSPSPPPLQGDPSLPTDAPPPEPSPQPHHATPIPPPSPGQATEGWPRAEEPQPPIGGTPGAAGPPAPPSFFPSLELGTSAAPPALEAAAAGAGQPGSPSPCPPAEPAAEFYPGSAASSPSSSSYETAEDDSPASPPPTPPPLVLCASPDSSYTESSPARDRFGDEEGSHAAPEPPPPPTPLEGGPDASSAEPAAMASRAREPMGSRTAPEPPTPPLESGQEGFQQQQPHPPPTTHPECDSSEPAELPLPPTSPAEIAYTSPDSVEVDVVAVSPEEAPGSTVAMEVMYGETDTSAVSVSPVLGSAETDAAEIDVIAGMQEEAPGSTVAMEVMYRENDTAAVSVSPVLESREPDVAEGDVVAGVQEAHGSKFSMEVMYRETDAAAVSVSPVLESGEPDKAENDAVEEMQEEAHGSRFAMEATCGEPDASAVSVSPVLESVEPDVTEIDVVSEIQEEAPDSTLAMEVTSGETDTAAVSAFPVLDGGDPDAAEKNVVAGMQEEEAPDSTLAIEVTSGETDTAAVSVSPVLEGVDPDAAEIDVVAGMQEEAPGSTLAMEVTYRETDATAASVSPVLDSGDPDAAEVDVVVGMQEQAAGSALAMLVTYGETDTSAVSVSPVLESGEPDAAQIDVVAGMQEEAPGSMLAMEVTYREVDAATVSVSPVLESVEPDAAKIDVVARMQEEAPGSKFAMEVMYGETGTAAVSVPLVLESREEGSLQESMQRPSSPTMNIEQESMQRPFSPTIGTETSSPEMAPAGSENCKVSWLPLPPPTPLGESMPSLPVAAAPKVLLVMPEEAVESVPSSEALDVEKPASITQAEPSSPNTPPPGFENFKSSWLPLPTTPPPVETTDVLPDVVVTKAVEAPIEEVSRPLPALEVTNMESDTVLSILPTTVLPTEGTEGLLQQPLLGPPSPVVQSEPCLQNEMAPPGFENFKSSSEPCSAEEIAPPGSDNFKSSSEPCLPEEMAPPGFENFKSSSEPCSQEEMAPPGFENFKSSWPPLPTLPQTVPDAAAADALAATVEEAAGPPPALELEAMDVDMDAIHPPPLPFDSGVESLQKPLPRAPSPIMQEAPCSPDRAPPGFETYKSSQLLLPSPSLAQTTNVRQDQSVTEPVSVIEEAPQLLHSVEVMGVHMDAVPPLLPSSESGADGLSPQQFAQPPPAEKDTTTCLPDMVHSGCDNSEPSQLLSLPAVISPVQTPDGLADVPAIDRVAVALEESPQRPLVSGEMEAGTVPIRSSPLKNISEGSLPQLESESHSPTSQAADSLLDASDSKSVAVASEEMSQLPLASQATTTDLVSTTAMQPQSEGIVDESLQPQHPPSSTAHDSPCLQDSVPLVPPPPSPYLNKEVGQMVCGSCRILLAYFRGAGYVHCTCCQTMNYVLEAHEVGKVHCGHCATLLMYPFGAPAVKCSLCLFVTEIGERNVRRRLSIEQPTRTNSSGLAEA >ONIVA01G25670.1 pep chromosome:AWHD00000000:1:22687874:22692132:1 gene:ONIVA01G25670 transcript:ONIVA01G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSSSSGGRGGRRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAPTRAVAAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHVANLLSSEPSDVKLGIGGFSMGAAAALHSAACYAHGRFTNGVAYPVTLSAVIGLSGWLPCSRTLKSKMDSSQTALRRAGALPILLSHGRADEVVTYRNGEKSADFLRGSGFQYLNFKPYNGLGHYTIPEEMDDVCKWLSSRLGLDRSRG >ONIVA01G25660.1 pep chromosome:AWHD00000000:1:22683488:22694251:-1 gene:ONIVA01G25660 transcript:ONIVA01G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVGSAKACTWTEKLYKQAIFRVHQASKTTCWEETWGLHLRLILFNGSVWAKRNRHLCRCNKSSYCLQWMVASVRNQVKGCACCKSGCRKLYCECLQVTDTDIQRFDDNGDSSGTSGYSSGTIMGQYKFDDGLEIRRHNHIIDMAALILKVLILNEEQQNELLTTKHAQDGLTLKILS >ONIVA01G25660.2 pep chromosome:AWHD00000000:1:22684722:22694251:-1 gene:ONIVA01G25660 transcript:ONIVA01G25660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVGSAKACTWTEKLYKQAIFRVHQASKTTCWEETWGLHLRLILFNGSVWAKRNRHLCRCNKSSYCLQWMVASVRNQVKGCACCKSGCRKLYCECLQVTDTDIQRFDDNGDSSGTSGYSSGTIMGQYKFDDGLEIRRHNHIIDMAALILKVLILNEEQQNELLTTKHAQVCDN >ONIVA01G25660.3 pep chromosome:AWHD00000000:1:22683488:22694251:-1 gene:ONIVA01G25660 transcript:ONIVA01G25660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVGSAKACTWTEKLYKQAIFRVHQASKTTCWEETWGMVASVRNQVKGCACCKSGCRKLYCECLQVTDTDIQRFDDNGDSSGTSGYSSGTIMGHDDGLEIRRHNHIIDMAALILKVLILNEEQQNELLTTKHAQDGLTLKILS >ONIVA01G25660.4 pep chromosome:AWHD00000000:1:22694249:22696162:-1 gene:ONIVA01G25660 transcript:ONIVA01G25660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRSTRAVSYERRRSRVSPYPSNGKGCARRSEESAAAAAAAAAKQAAEWEDVRCPVCMDHPHNAVLLVCSSHEKGCRPFMCDTSYRHSNCFDQYRKASKESSKDSGASAAAAPECSECQQPIKLSCPLCRGPVSHWTKDYDARKYLNVKVRACTKESCEFRGAYGQLRRHARENHPTVRPTQVDPERQRDWHRMEQQRDLGDLFSMLRSGLSAREDGIGVSEGEEDISERALHSPSITMVFIVRTGRSILHYREAFPGHHRRRTILLLGEAFGRESSPLGGASGSGDGDTTARENDEGDDDVTLSTEASAGSQHDGEVDGDPAH >ONIVA01G25650.1 pep chromosome:AWHD00000000:1:22677061:22677444:1 gene:ONIVA01G25650 transcript:ONIVA01G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELCPGPYGTGAEPHGGPCGPWNTVKSSPNAYYPCSDANIEKNDAFVSSVDLPYRVVVSASVLPNSHPDYTIDRASASFVTRRLNDWDWGPVSNGGNRRLATRIESSVQQAAMSLCQFRFDFRNSD >ONIVA01G25640.1 pep chromosome:AWHD00000000:1:22670634:22671275:-1 gene:ONIVA01G25640 transcript:ONIVA01G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPLAPPPPSRRRRRRLFCPATPEPASFAAGVGSGLEGARSGGAQALCLVPAASDVASSARAATAAASGLLCRCLADAAWPTPLRLDLAAGGPDPLTATPALLPLAVGVAGAASTRRRPSACFPSGPQPPGVGGGRMPRRHRPCRYLALSAAAQAAARWGRRGREGRRRGGFGSPPVSPWSGATRGSSLKERLLYLLLGFAKVGASGGERN >ONIVA01G25630.1 pep chromosome:AWHD00000000:1:22664840:22668860:1 gene:ONIVA01G25630 transcript:ONIVA01G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLQTLAPALRRAASASASAAASGAAGPASLARAAPLSTAAAAAAFRRTSPLLSGDKPATVEDVMPIATGLEREELAAELKGEKRFDMDPPVGPFGTKEAPAVIESYYNKRIVGCPGGEGAHSSKLF >ONIVA01G25620.1 pep chromosome:AWHD00000000:1:22659660:22660513:1 gene:ONIVA01G25620 transcript:ONIVA01G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHLQTLAPALRRAASASATGPASASAAGPASLAGAAPLSTAATAAFPPPQSKTASRLGLFSMPGGDTRQPSYGDRLMESQQLPQDYRANVPRSGDTMSRIAGGENPSYFGTPSHIFDEHKQSLVKGKRDFVHVLLKRNKTFVTVTDVRGNRKTGASAGCLEDKKGRSRLSKYAIEATAEHVGRAARKMGLKSMVMKVKGTVFFNKKKKVILSFREGFRGERVREQSPVVFIHDVTQLPHNGCQLPKQRLV >ONIVA01G25610.1 pep chromosome:AWHD00000000:1:22656149:22659056:-1 gene:ONIVA01G25610 transcript:ONIVA01G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methyltransferase-2 [Source:Projected from Arabidopsis thaliana (AT5G25480) TAIR;Acc:AT5G25480] METAVPWKVLEFYSGIGGMRYSLAASGARAEVVEAFDINDVANDVYELNFGHRPYQGNIQTLTASDLDKYKAQAWLLSPPCQPYTRQGLQKHSADARAFSFIKILNLMKNMCFPPQMLFVENVVGFEVSDTHDQLIEVLSDLNFNTQEFILSPLQFGIPYSRPRYFCLAKREPVSFQNPSDNSKLLRTPTFLTLVRAGHNRCNPDEDELELVCKPISDFLETRSLNVADQDSSVNGSDGCTPSGIISQDYVVPLNLIERWGSAMGSLLATSNNLKRISKEDLEISSLKELGLRFFTPREVANLHSFPSSFHFPNHISLRQQYAMLGNSLSVAVVGPLLRYLFAET >ONIVA01G25600.1 pep chromosome:AWHD00000000:1:22652504:22654906:-1 gene:ONIVA01G25600 transcript:ONIVA01G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPQLRAATSRPLSWRSNCTAAAASASQPSEPLSAHFTNGRPLSRAPAVVRDLSSVLRAILAASPSSHSRAYPLLKSAAFDARLAPDALVDAVLSAVGGPGSRQATALLSRLLASLCRAGRAGAAAAAYASMEARGVTPDAKSRTDLLAVTARSASAADALALLAEMRGKGRPLDAWMFDVVMRACFKEGMYDDAVRLFDEMPASEIEPDQRVCSVAIASLCKLRDANRALLVLRKMQDAGFVPWDFTFNSVVDVLVKGGRMEEALHIKDELLAAGKKMSVVLATTLMHGYCLQREVRKALDIFEETLRDGLVPTDVTYTVLIRGCTEEGMPEKAYELCRQMRDHGLLPSTNEFNMVIKGLLNDKLWKDAVSLFKEMADSGIPDAFTYNILIHWLCQRRKIREALNLWEKMNETGVKPYIVTYHSLLLCYCVNGCMDEAVKLYTEMPGKGFTPNVVTYTTLMKGHINKAAFDKAYALLAEMKQNGVSCNDYTYNTLINGLCVVGRVCEVGEMLKRFETEGFVPTAMTYNSIINGFIKAGMMGSAFAVYQQMCAKGIPPNIVTYTSFIDGYCKTSCCDLALKMLNDVRCKGLRPDIAAYNSLIYGFCQEGNMSHALQVLVLMLKDGLLPNISVYNSFITGYKNLKMMEEALRFYEKMIKEGIDLDTATYTTLIDGFSKDGNVTFALKLYSEMVAKGNIPDHITFTALTHGLCRNGDIDDARKLLDEMNRLDIRPNVLMYNMLINGYLRNGKLQEAFRLHDEMLEGKIMPDDTTYDILVGMKSLGSDSPIDAENPNLSSTG >ONIVA01G25590.1 pep chromosome:AWHD00000000:1:22644333:22648989:1 gene:ONIVA01G25590 transcript:ONIVA01G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHMASLLLLPTAAPAWPTASSWQRMWVHPGPSFPHRMMIRHPLLSSLHAARLMPCKCTAPSSPIAKHHFEEQINTTLRNIHSVEFYSSNLHEVALRFRLLRQQGYWVSPDEFNKFKCEDGSFKSDINNDPKGLLSLYHAAYLLTHNERALKEAILFATHHLELLSGSLEFPLAEQVKRALQIPLPRTLKRVEALNFIFEWWKDVSSDINLDYTRDRVVECYFCAYVVYYEKEYARARMMLAKKIMLISLLDDTYDVHATLEEARKFNEALQRWDKNAVSLVPEGLKRFFLSIMSNFRDFEDELEPHEKYRNAYNIKAFQILSNNYLQEAEWFHQKYIPSFTEHAAVSLVTGGAIELPVSIIVGMGDIATKDAFDWALSCADAGRAFGEVSRFMDDLAVSQNGREKMDVANAVECYMKEHGVTSDVAEAEISEMVEGAWRTLNQARFEDRVYLPFVQRIANVSMSIALLFHGKRDGYTNSHELKDMFESHFVNPIPLDHLDTIEDM >ONIVA01G25580.1 pep chromosome:AWHD00000000:1:22639074:22639948:1 gene:ONIVA01G25580 transcript:ONIVA01G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASLPLPHASLPLSQPDIAPPPPELTGVCAAARTAAVGRWEAEVRKWRPEAAGPAPGRGWLEVVGRAVGSGKASAMESLRIAVGAVKHGGGPVAAAALGAAVARRLPSALATLGAAAVPIGAGGTRRCGGGRRLPSAPVALGAAAVQEDDGSYRPRGCGGPGGRWLLPVPTALGAAAAQDSGSLSTVNPMKWKPIPSVRVWLAISG >ONIVA01G25570.1 pep chromosome:AWHD00000000:1:22604677:22605957:1 gene:ONIVA01G25570 transcript:ONIVA01G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLNVVARRFPPLSPAGAALLPCLRRDEQEGELGSAHTATTSPYVSPSISVHVQLVTFNIDTNTLTDRHSNIVWIKTVLFSGNWSIIPDSSCLNASKTSGSNLKPLLFEVNKFREQ >ONIVA01G25570.2 pep chromosome:AWHD00000000:1:22604677:22605957:1 gene:ONIVA01G25570 transcript:ONIVA01G25570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILLNVVARRFPPLSPAGAALLPCLRRDEQEGELGSAHTATTSPYVHVQLVTFNIDTNTLTDRHSNIVWIKTVLFSGNWSIIPDSSCLNASKTSGSNLKPLLFEVNKFREQ >ONIVA01G25560.1 pep chromosome:AWHD00000000:1:22600938:22602325:-1 gene:ONIVA01G25560 transcript:ONIVA01G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINLGNKVEAWCGDPSMGGWRLGVVVWGNGHQYNIRWDGGDVVSGRIRRVSVRPPPPHLEIPADLEAGDLVEALDDRMWKLAELVRAGNGDGDGEFTVKIVGSPSAITVPPSMVRVRQVLTDGDIWVATYKGEEIPGAREPTARPNAAANRRAPAAAAKHRPAADQFAPPPAPSHQWAKIKRSRHATDHDAAGEVRRVEANSKRIRAMEEEEGELLVGYGNVEVVRANEPPPTAVFVNKQQEMSDEETDDDAKSVSSAGSGSSSNSESSSDGSSSESDNGDRAAPRSPPGDAQVAANQPPPPPPSQPQCQPHIKEERADDDDVRTKSRAATAMKPRPAVAPIMQRRLANEQPPPPVAAAAAAVGEQIHRLEVDAYGALMRVFHATGALTWEKEELLTQLRLQLHVSSDEHLQLIRALNGGRRRLPKPEN >ONIVA01G25550.1 pep chromosome:AWHD00000000:1:22596837:22599540:1 gene:ONIVA01G25550 transcript:ONIVA01G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ras-related nuclear protein 4 [Source:Projected from Arabidopsis thaliana (AT5G55080) TAIR;Acc:AT5G55080] MVIAPLPSMASLALSILRSPPNLTLTVVAFALPNQQTVDYPSFKLVIVGDGGTDSLLFEYIASGLGKGVVGKGGLCKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEVSAKSNYNFEKPFLYLARKLAGDGNLHFVETPALAPPDVTIDLAAQQQHEAELAAAAAQPLPDDDDDLIE >ONIVA01G25540.1 pep chromosome:AWHD00000000:1:22590147:22593162:1 gene:ONIVA01G25540 transcript:ONIVA01G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVTVLVLLLACAAARAAAAVVTDGLLPNGNFEEGPPKSDLVNGTVVRGANAIPRWETSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASIRQRLAVTRGAYYAVTFSAARTCAQAEQLNVSVSPEWGVLPMQTIYGSNGWDSYAWAFKAKMDEVALVIHNPGVEEDPACGPLIDGVAIRALYPPTLAKGNMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPAWMIMSSKAVKYVDAAHFAVPQGARAVELVGGKESALVQEVRTVPGWTYRLSFAVGDARDGCAGSMVAEAYAARASIKVPYESKGTGGYKRAVLEFAAIANRTRVVFQSTFYHTMTDGSLCGPVIDDASLVGLRKKTAGRRLLL >ONIVA01G25530.1 pep chromosome:AWHD00000000:1:22577429:22580492:-1 gene:ONIVA01G25530 transcript:ONIVA01G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPRLCALALPFLAVAACLDVPSHGTPKLTNKCGHCKNKLDFGKEFVCCSDCSDPTILIKHSKMGYCKSGAELSMQLKPHEIYHWVAGPWMKCSSPCDGGVRYRDVACYGNLSDATIKHYPVDDASCSADEMPARQEACNEQSCGVDMSEQTNSRKNGMSGWLVALILLLGLGAIGGIVFTSYTYYLRRTSGRNGFVYVMMEAYS >ONIVA01G25520.1 pep chromosome:AWHD00000000:1:22572337:22574792:-1 gene:ONIVA01G25520 transcript:ONIVA01G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGTQQFVHRYCLDHWRSVKEGTAFSHCTTCKAQFHLRVECLEDNLCRKMMFRLFVARDVFLVFLAVQTVIAAIGGMAYLLDKDGQFRNSFSDGWEHILSNHPVPFYYCVGVVVFFVMVGFIGLIVQCSSFNTNDPCLAGCRNCCYGWGILDFPASIEACLALAVIFVIVFAILGVAYGFFAATVAVQRILQRHYHILTKRELTKEYVVEDLRGCYTPPKMDPEQEQRLRTLQLIMVAF >ONIVA01G25520.2 pep chromosome:AWHD00000000:1:22572876:22574792:-1 gene:ONIVA01G25520 transcript:ONIVA01G25520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGTQQFVHRYCLDHWRSVKEGTAFSHCTTCKAQFHLRVECLEDNLCRKMMFRLFVARDVFLVFLAVQTVIAAIGGMAYLLDKDGQFRNSFSDGWEHILSNHPVPFYYCVGVVVFFVMVGFIGLIVQCSSFNTNDPCLAGCRNCCYGWGILDFPASIEACLALAVIFVIVFAILGVAYGFFAATVAVQRILQRHYHILTKRELTKEYVVEDLRGCYTPPKMDPEQEQRLRTLQLM >ONIVA01G25510.1 pep chromosome:AWHD00000000:1:22564886:22571433:-1 gene:ONIVA01G25510 transcript:ONIVA01G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASRASLRRAAAMSAYYNVRGSARPRRGYYSGRPTPPPHHHHDAGAELVSGDSHHSAVRAANDSLRRGGGRGPPPSPYGYAPPQPPPALYGAVPYNYGHPQQPGPPYGAVPYNYGPPPPQPQDPQYGYATPNPYVQGHPQPYWRVPTNAGFRPPYAGFRPGAPQQSPRLAEYRRRWRFTQHRPPRQAERFKVLSYNILADYLAQEHQFLYERIPSFIMDWNWRKEKLVFEFGLWSPDILCLQEVDKFTDLEQEMATRGYNGIWKMRTGNATDGCAIFWRTARFQLRYQEDIEFNKIDLRDNVAQICVLESVIPGNVQTESSPNHPQQAKQIVVCNTHVLYNPKRGDIKLGQVRTLLDRVYALSKTWNDAPVIICGDFNSTPKAIFSTLPFYIKDSYLVHCISWTFYYMLTCNKSPLYNFMLEQKLNLSGLVKSNISGQQTSTAQGLYTGPNTARFYPPFHTTNSKEGSISYPNDHKPQPEARNVTENSRLSGREPTLTDTASDSFLNPESSTNPHEQELMGCVKGPTKEAYTSDAEAHTNTTNGEETSVVNNSSEGYGVIKKSSVEETNVTNFSSASTTVNDETLQSDSSEIVDRSQLLPSYESSESKDSWEELAGGSNNSSNTLANFPGHVISGKATCDFERNNVQSDTLFDISKVRPDEKEQDSEPLSTQNNCMRSESKSNCFSDPLKFADTLHQMSNLRLEEENNTEPTQLTSPLEPLQHTDCAFSDTCDVQCTPEVINRLSKSHSCSNELGNCSCAFENDGASNEVLCSDVNADPSIFKEFSGVNEFLLEDEDQLQTTSDGSPSAQQVITSDKRYYDYDPYRWTPDEIKAATGNEDCTFVEHNLKVRSVYTDVEDFEGTKDANKEPLVTSYNRKFMGTVDYIWASEDLQTVQVLDTFPKEILKQTIGFPTKKWGSDHIALVCELAFTK >ONIVA01G25500.1 pep chromosome:AWHD00000000:1:22560292:22563142:-1 gene:ONIVA01G25500 transcript:ONIVA01G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:A0A0E0FPF2] MMSGGGYSALDDPKASGSVPAATGPDPPTIKFADSNLQIFPPSEAKGKISGAYRPPTDADDTFSSSKSGGGGRGGGGGGAGSDDAGQGGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTEKTSENPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLGLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAMNLRAHIVNSGERWFLIVAGIFLLQLGLAVLLKLYFFTITV >ONIVA01G25490.1 pep chromosome:AWHD00000000:1:22554752:22558072:1 gene:ONIVA01G25490 transcript:ONIVA01G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11340) TAIR;Acc:AT5G11340] MGAGEGDAAAGNKEKGGGGGVDRTSLDGVRDKNVMQLKKLNTALFPVRYNEKYYHDTIASKEFSKLAYYSDICVGAIACRLEKKEGGAVCVYIMTLGVLAPYRSLGIGSKLLNHVIDLCEKQNIPEIYLHVQTNNDDAIAFYKKFGFEITKTIEKYYKNITPPDCYVLTKFIGQAATKK >ONIVA01G25480.1 pep chromosome:AWHD00000000:1:22545589:22553411:1 gene:ONIVA01G25480 transcript:ONIVA01G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGRDEEVAIDAVGAGGVDVGGETSKLIDQDCMAILDVLARRIGVRRCGSVPEAEITHAPRPPSASVLRPNHKNLTSSSSSSLSHRGLLLLPGSHAPPASTSPPSSPAAATLHSELQVTIIRMDGSNENIQFSWGKKRAKGGIKMDTQFYDSFTFDNVKYSLYDNVYLFKSGESEPYIGKIIKIWQQNQAKKVKILWFFLPDEIRKHLSGPVMEKEIFLACGEGVGLADINPLEAIGGKCTVLCISKDERNRQPSPRELAMADYIFYSCTLSEQLPEKIAGVEGNLLLNSKVEQVTSCSDQEVHGVDQKMLNVPVPLPQSTVMEDESPVAAVSLPPSVFKEENVASAIPFPQPVVKEESAAAAIPPPHVALKEESVSKSTENITKPAQKVLPGERPPKRVKFSENFTVQNVPLDVPERPSRTGPLELAGRQADRSKWFKIPWDTRLRNADEQGTLVYIQNLDIQFAAADIEELIRDALQLNCIAKPINHPTYDDPNNGKAYAIFKTKSAADSAISKINSGLVVGGRPLYCSKGLLKVPKPSETLLGHLTINNIRMGIRQREEQKKAVSTSHCSQPNTMEYDLALDWMLVRAKQETKFRTLHKKHKDERKTFASKMGK >ONIVA01G25470.1 pep chromosome:AWHD00000000:1:22542373:22542645:-1 gene:ONIVA01G25470 transcript:ONIVA01G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDREGDGDLGEECEGGGERPRRRQRQGALVEEAASQRAEDGVEEARRDHPRRRPDLADAVPSLSTLAAEDDDPFLLAGFISMPLDFS >ONIVA01G25460.1 pep chromosome:AWHD00000000:1:22538408:22541698:1 gene:ONIVA01G25460 transcript:ONIVA01G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSSSWARALVQISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTALVHHPESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSSQATWPAKA >ONIVA01G25450.1 pep chromosome:AWHD00000000:1:22535102:22535848:-1 gene:ONIVA01G25450 transcript:ONIVA01G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFYHRQRHRRKRSTRLRIRCEEKPSPLHMAGEGRGRVVSRLSCGARMASPPPGDDEAARALALEQCERDFNAVIDRMLNLNLDGDDAAASSAASPEPPAPQAAPAPEVAAAAAVDGAARGDRGYWVETMMRELWAAASMDDARERGARVLDAFGAAVGAGAAARLDAASRQIGFLKRAVLFHHRLRTAQEKAQRELRWQLDDYREQVRRLEASNYALSLHLRQADLRRGGGGGGAMPHGPGNPEIF >ONIVA01G25440.1 pep chromosome:AWHD00000000:1:22519201:22527004:-1 gene:ONIVA01G25440 transcript:ONIVA01G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREVHRMASLRREGSMWRSGGDVFSRSSSRFQDEEDDEEALRWAALERLPTYDRVRRGILAVSSEDGGAGGEKVEVDVGRLGARESRALIERLVRAADDDHERFLLKLRERMDRVGIDYPTIEVRFENLEVEADVHVGNRGLPTLLNSVTNTVEAIGNALHILPNKKQPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDKDLKVSGKVTYNGHGMHEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDHDIDIYMKASAMGGQESSVVTDYILKILGLDICADTVVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVTSRKDQGQYWCRRDRPYRFVPVKQFADAFRSFHVGRSIQNELSEPFDRTRSHPAALATSKYGVSRKELLKATIDRELLLMKRNAFMYIFKAVNLTLMALIVMTTFFRTSMRHDRDYGMIYLGALYFALDTVMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGVYVFITYYVIGFDPSVSRFFKQYLLLLALNQMSSALFRFIAGIGRDMVVSHTFGPLSLLAFAALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGHSWSQILPGENVTLGVSVLKSRGIFTEAKWYWIGLGALLGYTLLFNLLYTVALSVLSPFTDSHASMSEDALKDKHANLTGEVVEGQKDTKSRKQELELSHIADQNSGINSADSSASRKGMVLPFAPLSISFNDVRYSVDMPEAMKAQGITEDRLLLLKGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWLRLPSEVDSEARKMFIEEVMDLVELTSLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGIDGVSRIKDGYNPATWMLEVTSSAQEEMLGVDFSEIYRQSELYQRNKELIEELSTPPPGSTDLNFPTQYSRSFITQCLACLWKQNWSYWRNPSYTAVRLLFTIVIALMFGTMFWNLGTRTKKQQDLFNAMGSMYAAVLYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIELPYIMVQTLIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGYLIPRPKIPVWWRWYCWICPVAWTLYGLVASQFGDIQHVLEGDTRTVAQFVTDYFGFHHNFLWVVAVVHVVFAVTFAFLFSFAIMKFNFQRR >ONIVA01G25440.2 pep chromosome:AWHD00000000:1:22519201:22527004:-1 gene:ONIVA01G25440 transcript:ONIVA01G25440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREVHRMASLRREGSMWRSGGDVFSRSSSRFQDEEDDEEALRWAALERLPTYDRVRRGILAVSSEDGGAGGEKVEVDVGRLGARESRALIERLVRAADDDHERFLLKLRERMDRVGIDYPTIEVRFENLEVEADVHVGNRGLPTLLNSVTNTVEAIGNALHILPNKKQPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDKDLKVSGKVTYNGHGMHEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDHDIDIYMKASAMGGQESSVVTDYILKILGLDICADTVVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVTSRKDQGQYWCRRDRPYRFVPVKQFADAFRSFHVGRSIQNELSEPFDRTRSHPAALATSKYGVSRKELLKATIDRELLLMKRNAFMYIFKAVNLTLMALIVMTTFFRTSMRHDRDYGMIYLGALYFALDTVMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGVYVFITYYVIGFDPSVSRFFKQYLLLLALNQMSSALFRFIAGIGRDMVVSHTFGPLSLLAFAALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGHSWSQILPGENVTLGVSVLKSRGIFTEAKWYWIGLGALLGYTLLFNLLYTVALSVLSPFTDSHASMSEDALKDKHANLTGEVVEGQKDTKSRKQELELSHIADQNSGINSADSSASRKGMVLPFAPLSISFNDVRYSVDMPEAMKAQGITEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWLRLPSEVDSEARKMFIEEVMDLVELTSLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGIDGVSRIKDGYNPATWMLEVTSSAQEEMLGVDFSEIYRQSELYQRNKELIEELSTPPPGSTDLNFPTQYSRSFITQCLACLWKQNWSYWRNPSYTAVRLLFTIVIALMFGTMFWNLGTRTKKQQDLFNAMGSMYAAVLYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIELPYIMVQTLIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGYLIPRPKIPVWWRWYCWICPVAWTLYGLVASQFGDIQHVLEGDTRTVAQFVTDYFGFHHNFLWVVAVVHVVFAVTFAFLFSFAIMKFNFQRR >ONIVA01G25430.1 pep chromosome:AWHD00000000:1:22515167:22517651:-1 gene:ONIVA01G25430 transcript:ONIVA01G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAAASPFAAPHEMMARPAAAAPEATMMDLGQLLRLTDFDKIANLGDGASGVVAKVLLRGCGSSAVFALKTAHYCGGGAEVEVEALRRVAAGAGPSGSWSPHVVRCHAVFRCAGGEPAMLLELMDAGSLGRVLAARRCGEGRRGLPEPALAEVAARCLAGLAHLHSRGVVHLDLKPDNLLASARGDVKIGDFSVSRIFLGGAGERLRVPVAAGSTAYLSPERFEPNAHAGPRGACAADVWALGVTVLELFLGRCPILPVGERPSWERLKKAICNGEAPSLPASAAASAELRGFVASCLHKDPRRRATVAQLLAHPFVARRDGEACRRQLREIIVETIAGSRTYGTRRSLAAAGIYSAPASLAYLAAEASPVHPLAARNKARAAAALVQAWRACRQPHHGRAYHGSPPRAPAHRPHPSLSSASPTPASAAAVAVASTSAAGGGGGGGEAEYEVSDDHRAARERHECVVQELLQRRRTFAMAVPTNDFAVRARLRRLGEPVTLFGEREMESQDRLRALMVCLEADGHLDRLVRAQEKEQGGASAEEEEELAATDCRWRTARLRPARTEICARQWQRTPVTPVAPRPQPDRSRGQPGAAPIANPAAPPPLLLLVHSRHHCRRCTER >ONIVA01G25420.1 pep chromosome:AWHD00000000:1:22483378:22506880:-1 gene:ONIVA01G25420 transcript:ONIVA01G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMLLQRAAQQQQQQQQQQLHRDGSHLFNGIASGFSGNDLLMRHNPAIANAMAVKIYEERLKLPSQRDSLDEASIKLQQRYGEKYGQVLDPNQASLLKAATCGQSSGPILPGGIGDLSSTLQQVQARSPRLPIPEQNIKTRINPILTNRDVISDGSLLGLQGSNHGGRNFMLKGWSLMQKPFLQSPQQFQQLQFLTPQQQLLLQTHQNMASLPANDVETRRLWMLHNNKNMAIHLDGQINNNSGHIIPNIGSPDQIGGSRNKIDMLIAKIAHLQQLQQQGHSQQQQLQQSTISHQQAQSLNQLHHQQAQSVGSMLDGSIPNSFGLANRASKKRKKIVSSSGRANSSGTSNNVGSSSSSAPSTPFTHTPGDEMSMPQLKYNGGKSKSLSMFGYDDTKSLISPTNPLGDVDQLQEDGSLDENVESFLSQEDMDPQETMGHCMDASKGFGFIEVAKARASTNKVDCCHFSSDGKLLATGGHDKKVVLWFTDDLNIKATLEEHSMIITDVRFSSIMTRLATSSFDKTIRVWDANNPEYSLHTFIGHSTSVVSLDFHPNKEDIICSCDSDGEVRCWSIDNGSCVNCVRGGAIQLRFQPHHGKYLAVVSEKMISILDAETLHIYRSDLQGHLKNIHSVCWDATGGYLASVSEDSIKSLELWDIREKNIVTINNAHDGMIPSLAASNASGLIASQWFEGLEQSKLVEQGQEAESWATAKAFQAEGKVSSDPVARTNEKHSDVAASYIETQHMKAREQQQQQQQQPPQQRQQQPQHIQMQQMLLQRAAQQQQQQQQQQQQQQQQQQQQQQQQQQQQRRDGTHLLNGTASGLPGNNPLMRQNQSTANVMATKMYEERLKLPSQRDGLDEVSIKQRYGENAGQLLDSNEALLKASASGQSSGQILHGTVGGLSGSLQQVQSRSPQIPGPAQSIKTEMNPILTPRSAGPEGSFIGVQGSNQAGNNLTLKGWPLTGLEQLRSGLLQQKSFVQNQQQLQQQIHFLTPQQQQQLMLQAQQNMASPTSSDVDSRRLRMMLNNRNVGQTNSGGDIIPNIGSPSLSGGDVDILIKKKIAQQQQLLQQQSNSQQHPQLQQPAVSSQQSQSSNQFLQQEKPGIGTMPVDGGMPNSFGGVDQTTKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQQNGGSAKPLVMFGSDGAGSLTSPANALADVDRLLEDGSLDENVESFLSQDDMDPRDSLGRSMDASKGFGFAEVAKARASATKVTCCHFSSDGKLLATGGHDKKTSYSLRTFTGHSASVMSLDFHPNKEDMICSCDGDGEVRSWSINNGSCLTFVKVFKGGATQMRFQPQKGKYLAAASEKAIYILDGETQLACRNPLQGHTKNIHSLCWDSTGDNLASVSEDSVRIWSFAPGHDGEFVNELNCSGNKFQSCSLELWDIREKNAMTVHSAHDGLVAALAASSATGKVASAQFEGVGQLVNESRSS >ONIVA01G25420.2 pep chromosome:AWHD00000000:1:22483378:22506880:-1 gene:ONIVA01G25420 transcript:ONIVA01G25420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMLLQRAAQQQQQQQQQQLHRDGSHLFNGIASGFSGNDLLMRHNPAIANAMAVKIYEERLKLPSQRDSLDEASIKLQQRYGEKYGQVLDPNQASLLKAATCGQSSGPILPGGIGDLSSTLQQVQARSPRLPIPEQNIKTRINPILTNRDVISDGSLLGLQGSNHGGRNFMLKGWSLMQKPFLQSPQQFQQLQFLTPQQQLLLQTHQNMASLPANDVETRRLWMLHNNKNMAIHLDGQINNNSGHIIPNIGSPDQIGGSRNKIDMLIAGHSQQQQLQQSTISHQQAQSLNQLHHQQAQSVGSMLDGSIPNSFGLANRASKKRKKIVSSSGRANSSGTSNNVGSSSSSAPSTPFTHTPGDEMSMPQLKYNGGKSKSLSMFGYDDTKSLISPTNPLGDVDQLQEDGSLDENVESFLSQEDMDPQETMGHCMDASKGFGFIEVAKARASTNKVDCCHFSSDGKLLATGGHDKKVVLWFTDDLNIKATLEEHSMIITDVRFSSIMTRLATSSFDKTIRVWDANNPEYSLHTFIGHSTSVVSLDFHPNKEDIICSCDSDGEVRCWSIDNGSCVNCVRGGAIQLRFQPHHGKYLAVVSEKMISILDAETLHIYRSDLQGHLKNIHSVCWDATGGYLASVSEDSIKSLELWDIREKNIVTINNAHDGMIPSLAASNASGLIASQWFEGLEQSKLVEQGQEAESWATAKAFQAEGKVSSDPVARTNEKHSDVAASYIETQHMKAREQQQQQQQQPPQQRQQQPQHIQMQQMLLQRAAQQQQQQQQQQQQQQQQQQQQQQQQQQQQRRDGTHLLNGTASGLPGNNPLMRQNQSTANVMATKMYEERLKLPSQRDGLDEVSIKQRYGENAGQLLDSNEALLKASASGQSSGQILHGTVGGLSGSLQQVQSRSPQIPGPAQSIKTEMNPILTPRSAGPEGSFIGVQGSNQAGNNLTLKGWPLTGLEQLRSGLLQQKSFVQNQQQLQQQIHFLTPQQQQQLMLQAQQNMASPTSSDVDSRRLRMMLNNRNVGQTNSGGDIIPNIGSPSLSGGDVDILIKKKIAQQQQLLQQQSNSQQHPQLQQPAVSSQQSQSSNQFLQQEKPGIGTMPVDGGMPNSFGGVDQTTKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQQNGGSAKPLVMFGSDGAGSLTSPANALADVDRLLEDGSLDENVESFLSQDDMDPRDSLGRSMDASKGFGFAEVAKARASATKVTCCHFSSDGKLLATGGHDKKTSYSLRTFTGHSASVMSLDFHPNKEDMICSCDGDGEVRSWSINNGSCLTFVKVFKGGATQMRFQPQKGKYLAAASEKAIYILDGETQLACRNPLQGHTKNIHSLCWDSTGDNLASVSEDSVRIWSFAPGHDGEFVNELNCSGNKFQSCSLELWDIREKNAMTVHSAHDGLVAALAASSATGKVASAQFEGVGQLVNESRSS >ONIVA01G25420.3 pep chromosome:AWHD00000000:1:22483733:22506880:-1 gene:ONIVA01G25420 transcript:ONIVA01G25420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMLLQRAAQQQQQQQQQQLHRDGSHLFNGIASGFSGNDLLMRHNPAIANAMAVKIYEERLKLPSQRDSLDEASIKLQQRYGEKYGQVLDPNQASLLKAATCGQSSGPILPGGIGDLSSTLQQVQARSPRLPIPEQNIKTRINPILTNRDVISDGSLLGLQGSNHGGRNFMLKGWSLMQKPFLQSPQQFQQLQFLTPQQQLLLQTHQNMASLPANDVETRRLWMLHNNKNMAIHLDGQINNNSGHIIPNIGSPDQIGGSRNKIDMLIAKIAHLQQLQQQGHSQQQQLQQSTISHQQAQSLNQLHHQQAQSVGSMLDGSIPNSFGLANRASKKRKKIVSSSGRANSSGTSNNVGSSSSSAPSTPFTHTPGDEMSMPQLKYNGGKSKSLSMFGYDDTKSLISPTNPLGDVDQLQEDGSLDENVESFLSQEDMDPQETMGHCMDASKGFGFIEVAKARASTNKVDCCHFSSDGKLLATGGHDKKVVLWFTDDLNIKATLEEHSMIITDVRFSSIMTRLATSSFDKTIRVWDANNPEYSLHTFIGHSTSVVSLDFHPNKEDIICSCDSDGEVRCWSIDNGSCVNCVRGGAIQLRFQPHHGKYLAVVSEKMISILDAETLHIYRSDLQGHLKNIHSVCWDATGGYLASVSEDSIKSLELWDIREKNIVTINNAHDGMIPSLAASNASGLIASATAKAFQAEGKVSSDPVARTNEKHSDVAASYIETQHMKAREQQQQQQQQPPQQRQQQPQHIQMQQMLLQRAAQQQQQQQQQQQQQQQQQQQQQQQQQQQQRRDGTHLLNGTASGLPGNNPLMRQNQSTANVMATKMYEERLKLPSQRDGLDEVSIKQRYGENAGQLLDSNEALLKASASGQSSGQILHGTVGGLSGSLQQVQSRSPQIPGPAQSIKTEMNPILTPRSAGPEGSFIGVQGSNQAGNNLTLKGWPLTGLEQLRSGLLQQKSFVQNQQQLQQQIHFLTPQQQQQLMLQAQQNMASPTSSDVDSRRLRMMLNNRNVGQTNSGGDIIPNIGSPSLSGGDVDILIKKKIAQQQQLLQQQSNSQQHPQLQQPAVSSQQSQSSNQFLQQEKPGIGTMPVDGGMPNSFGGVDQTTKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQQNGGSAKPLVMFGSDGAGSLTSPANALADVDRLLEDGSLDENVESFLSQDDMDPRDSLGRSMDASKGFGFAEVAKARASATKVTCCHFSSDGKLLATGGHDKKTSYSLRTFTGHSASVMSLDFHPNKEDMICSCDGDGEVRSWSINNGSCLTFVKVFKGGATQMRFQPQKGKYLAAASEKAIYILDGETQLACRNPLQGHTKNIHSLCWDSTGDNLASVSEDSVRIWSFAPGHDGEFVNELNCSGNKFQSCVFHPSYPYLLSLELWDIREKNAMTVHSAHDGLVAALAASSATGKVASVSHDRFVKLWK >ONIVA01G25410.1 pep chromosome:AWHD00000000:1:22479303:22482386:1 gene:ONIVA01G25410 transcript:ONIVA01G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68185) TAIR;Acc:AT1G68185] MAAGAEAEADEEELEPLFDYSRVQPTMAFSFDDTDIEKSDIFVHCNKRRKVADGDGDANADEKGDKGEQKAAKAAAVVDLGEEDWLPPPPPPKPKSTVTDESEQSSVLKELRYKPVHGSILLQKQAMAKFAESADDFLEKLAQTARQKVEARIPTEHIDLDKSPERHEAREKVVVTVQDKAGHHQFRLYKDEKFGKLFRAYAKKVNLSVADLTFAFDGDKVDAESTPEDLGLEDEDMVEVLHKTR >ONIVA01G25400.1 pep chromosome:AWHD00000000:1:22471050:22478750:1 gene:ONIVA01G25400 transcript:ONIVA01G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid permease BAT1 [Source:Projected from Arabidopsis thaliana (AT2G01170) UniProtKB/Swiss-Prot;Acc:Q9ZU50] MSASRSPAWYVSWPRVRPLVHRRRGEARFLRAAALAARAAHHTTTPHTVTRLTRRDLPSNSNLALLLSPARAQASERSAAMTWNKAPAADAEAGGGGDTGHARLRELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLSFGGPATMTFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYMASKYVVIAFHAAILLSHAAINSLPITWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLNPENDAGGYAIAEVFYLAFKSRYGSGIGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSALISLCMALPSLGSLVAFQAMVSIATIGLYVAYALPILFRVTLARKHFVPGPFNLGRCGVAVGWAAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFLLVLSSWLLSARHWFKGPITNLDG >ONIVA01G25400.2 pep chromosome:AWHD00000000:1:22471050:22478750:1 gene:ONIVA01G25400 transcript:ONIVA01G25400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid permease BAT1 [Source:Projected from Arabidopsis thaliana (AT2G01170) UniProtKB/Swiss-Prot;Acc:Q9ZU50] MASKYVVIAFHAAILLSHAAINSLPITWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLNPENDAGGYAIAEVFYLAFKSRYGSGIGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSALISLCMALPSLGSLVAFQAMVSIATIGLYVAYALPILFRVTLARKHFVPGPFNLGRCGVAVGWAAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFLLVLSSWLLSARHWFKGPITNLDG >ONIVA01G25400.3 pep chromosome:AWHD00000000:1:22468960:22478750:1 gene:ONIVA01G25400 transcript:ONIVA01G25400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid permease BAT1 [Source:Projected from Arabidopsis thaliana (AT2G01170) UniProtKB/Swiss-Prot;Acc:Q9ZU50] MAEEGQRGGYSRLAGDEEVATASGGGGGDYDERKLRLLGYEPQLKRNLSLLSNFAVSFSIVSVLTGITTLFGTGLQFGGPATMVYGWPIAGAMTLVVGLAMAEICSAYPTSGGLYFWSARLCSHRRWGPFASWLTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYMASKYVVIAFHAAILLSHAAINSLPITWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLNPENDAGGYAIAEVFYLAFKSRYGSGIGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSALISLCMALPSLGSLVAFQAMVSIATIGLYVAYALPILFRVTLARKHFVPGPFNLGRCGVAVGWAAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFLLVLSSWLLSARHWFKGPITNLDG >ONIVA01G25400.4 pep chromosome:AWHD00000000:1:22475958:22478750:1 gene:ONIVA01G25400 transcript:ONIVA01G25400.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid permease BAT1 [Source:Projected from Arabidopsis thaliana (AT2G01170) UniProtKB/Swiss-Prot;Acc:Q9ZU50] MSFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGNNGGGYMASKYVVIAFHAAILLSHAAINSLPITWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLNPENDAGGYAIAEVFYLAFKSRYGSGIGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSALISLCMALPSLGSLVAFQAMVSIATIGLYVAYALPILFRVTLARKHFVPGPFNLGRCGVAVGWAAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFLLVLSSWLLSARHWFKGPITNLDG >ONIVA01G25400.5 pep chromosome:AWHD00000000:1:22468960:22471045:1 gene:ONIVA01G25400 transcript:ONIVA01G25400.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino-acid permease BAT1 [Source:Projected from Arabidopsis thaliana (AT2G01170) UniProtKB/Swiss-Prot;Acc:Q9ZU50] MAEEGQRGGYSRLAGDEEVATASGGGGGDYDERKLRLLGYEPQLKRNLSLLSNFAVSFSIVSVLTGITTLFGTGLQFGGPATMVYGWPIAGAMTLVVGLAMAEICSAYPTSGGLYFWSARLCSHRRWGPFASWLTGCRPRKEEPHFSFFFFLRLGGART >ONIVA01G25390.1 pep chromosome:AWHD00000000:1:22464596:22466770:1 gene:ONIVA01G25390 transcript:ONIVA01G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTALHLIAVAVAAVVAAAAAPAPASASASAAGAFSEVPPETPCAAAIVSVAPCLAHVAVVAPPARPAPAPTEACCAAFLRGVSPSGGGGEGCFCHLLRDPLLLGFPVNTARLGALLPTCAAANANASAAAAVEAATLFADTCRDLKSLPEMRFLPDPPPTPTISPAAVPGSMPPTTEERSTPVPVPPQDRSGSETSTPSRNFLVVLLALTAAAAADLIQL >ONIVA01G25380.1 pep chromosome:AWHD00000000:1:22459538:22460020:1 gene:ONIVA01G25380 transcript:ONIVA01G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSQMLRLLLLQVAILAAVLATPSLGRVIGGSKCKCLMCVCDVDPHPLPPSRHHPPPPEEPEPEPTPVYHHYPPPTTPAYSLPPPAQPYGQYPYPYPSQGQAGAAYWPPSTPAEMYPQVRGYIRSAAAHRRRPGHGGLSSRLVVVSVLLVSGLMPLLV >ONIVA01G25370.1 pep chromosome:AWHD00000000:1:22456834:22458666:1 gene:ONIVA01G25370 transcript:ONIVA01G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGRGRGRRRMMQGSSYYAVLGVHPGASAAEIRAAYHRLAMKWHPDKITSGRVDPEEAKSRFQQVHEAYQVLSDEKRRALYDSGMYDPLDDDQEEDVEGFHDFLQEMVSLMATVGREEPVYSLDELRSMLDGMMQDFASSELPSPSGGFFAGAPSSPFADTGAAQQQRGVGSASARARAHAHPQVVGNSACLSRMAFSSY >ONIVA01G25360.1 pep chromosome:AWHD00000000:1:22440345:22448278:-1 gene:ONIVA01G25360 transcript:ONIVA01G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSAIFMLFLALGSLAIVVHGGRTHAVVVVEPAKKSAAGGGVVQPQFDPITICSPSNFCIPEAWSSCYRCIVKPDDNPPFRTIDECNSNCPVPPANA >ONIVA01G25360.2 pep chromosome:AWHD00000000:1:22440345:22445914:-1 gene:ONIVA01G25360 transcript:ONIVA01G25360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGEREVKRCELRSRGVGEGPPAALEGRAAQEAEDSSNMKFSAIFMLFLALGSLAIAVHGGRTHAIIEPAKKSTAGGVAQPQFDPITICSPSNFCIPEAWSSCYRCIVKPDDNPPFRTIDECNSNCPVPPANA >ONIVA01G25360.3 pep chromosome:AWHD00000000:1:22447844:22448278:-1 gene:ONIVA01G25360 transcript:ONIVA01G25360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSAIFMLFLALGSLAIVVHGGRTHAVVVVEPAKKSAAGGGVVQPQFDPITICSPSNFCIPEAWSSCYRCIVKPDDNPPFRTIDECNSNCPVPPANA >ONIVA01G25350.1 pep chromosome:AWHD00000000:1:22437286:22438272:-1 gene:ONIVA01G25350 transcript:ONIVA01G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLPLLLLLLAAAASARHVITFTPARGVSPASLAWDPTAQHFVVAGGGEAVLSVSDAGVTESIASHRGASAVAVDDRRRRLLVASPGSVSAYDLRTPRPHARLFSATLPDPAAPPGGVAVDPHSGAAFLTVGARIYKVSPDGDLAALPPSPAYGGPDPLASLAAHVSRGFLLVGQPSTGRLLRVDMEDGAARAVSGALTPPSPAAVAVRSDGTVAVGGGATLRLVGSNDGWSSCAERDEAEPDGGAAAAVAAVAVRERRRVYALVAAADAEKAAAWRIEEVSWKKEGEGEMVVGFVFVGVALAIFMFWRFQMRQLAGSMNKKIR >ONIVA01G25340.1 pep chromosome:AWHD00000000:1:22435411:22435818:-1 gene:ONIVA01G25340 transcript:ONIVA01G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLLSKASSAVAACARRVSRATRRLLRARLLRRGGGGGGGETGKPDGGGGGGGEGLWRRAILMGERCEPLSFPGAIHYDSRGRRLSQPRRAKAKPAAATAALLCRSSDAVDEAVAAANNSKAARYVAVSLLRD >ONIVA01G25330.1 pep chromosome:AWHD00000000:1:22431867:22434875:1 gene:ONIVA01G25330 transcript:ONIVA01G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILYQCKEFLKIQKFRRTASYAGFYCFTALMTYAYTSNTTRAGISRGDQFYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYMAHLLSHGQLDGSG >ONIVA01G25330.2 pep chromosome:AWHD00000000:1:22433349:22434875:1 gene:ONIVA01G25330 transcript:ONIVA01G25330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILYQCKEFLKIQKFRRTASYAGFYCFTALMTYAYTSNTTRAGISRGDQFYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYMAHLLSHGQLDGSG >ONIVA01G25320.1 pep chromosome:AWHD00000000:1:22425414:22426315:-1 gene:ONIVA01G25320 transcript:ONIVA01G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVKWRPPPPTSVMGEIAAAGRGAEDTQPSEDKADKGKGTQTTAAGTGLGEERAGGDQGEERPGDTNGGGNVLGAQTAAVAATNLDKETGGGGEHNDSGDVRAAQEVAHCGAWPTTKSRVSTRGLAMDEVAKTTAGTPMVVTVEPAEQTATAIDEPVSEPVVAADESVAAANEPMEGTVAADDPTVREGIVAPTRLISPEKGDGPEREGGPPERGGMGRGPRIEASRRSASSNMGSMVS >ONIVA01G25310.1 pep chromosome:AWHD00000000:1:22417948:22430821:1 gene:ONIVA01G25310 transcript:ONIVA01G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0FPC3] MISPDAARNVVGIIGNVISFGLFLAPVPTFWRICKRKDVEEFKADPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLLVEGTYLLIFFLYSPNKKRLRMCAVLGVELVFMLAVILGVLLGAHTHEKRSMIVGILCVFFGSIMYFSPLTIMGKVIKTKSVEYMPFFLSLVCFLNGVCWTAYALIRFDIYVTIPNGLGALFGAIQLILYACYYRTTPKKTKAAKDVEMPSVVVSGTGAAAAAGGGNTGGGSISVTVER >ONIVA01G25310.2 pep chromosome:AWHD00000000:1:22417948:22420719:1 gene:ONIVA01G25310 transcript:ONIVA01G25310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0FPC3] MISPDAARNVVGIIGNVISFGLFLAPVPTFWRICKRKDVEEFKADPYLATLLNCMLWVFYGIPIVHPNSILVVTINGIGLVVEGTYLFIFFLYSPNKKRLRMLAVLGVELVFMLAVILGVLLGAHTHKKRSMIVGILCVFFGSIMYFSPLTIMGKVIKTKSVEYMPFFLSLVCFLNGVCWTAYALIRFDIYVTIPNGLGAIFGAIQLILYACYYRTTPKKTKAAKNVEMPSVISGPGAAATASGGSVVSVTVER >ONIVA01G25310.3 pep chromosome:AWHD00000000:1:22427622:22430821:1 gene:ONIVA01G25310 transcript:ONIVA01G25310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0FPC3] MISPDAARNVVGIIGNVISFGLFLAPVPTFWRICKRKDVEEFKADPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLLVEGTYLLIFFLYSPNKKRLRMCAVLGVELVFMLAVILGVLLGAHTHEKRSMIVGILCVFFGSIMYFSPLTIMGKVIKTKSVEYMPFFLSLVCFLNGVCWTAYALIRFDIYVTIPNGLGALFGAIQLILYACYYRTTPKKTKAAKDVEMPSVVVSGTGAAAAAGGGNTGGGSISVTVER >ONIVA01G25300.1 pep chromosome:AWHD00000000:1:22410673:22416152:1 gene:ONIVA01G25300 transcript:ONIVA01G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C3HC zinc finger-like [Source:Projected from Arabidopsis thaliana (AT1G48950) TAIR;Acc:AT1G48950] MGWNRKPIPLQAARLFLSLAIPRKRKQRRPLLSSPHDASPLAADPLVSRHPSMAAGGGGGGGDIGADSERRLKKAMDKLYHFPKPKAGTGPGSSKPSSASTSSALSIGRAGRAAGAGGRRFGMVRGSRLPSQLTSMSAISPPPPCRPWDRADLMRRLATFKAMTWFAKPKVISPVNCARRGWINIEPDVITCEACEARLLFSTPSSWAPQQVEKAAAVFSLKLDNGHKLLCPWIDNICDESLALFPPTPPPVLVENYHEGFSSLLRLSALPRISCSSLESMKKRSPQLEQFLLKPFSSSVVLKGGFILTEDSTIKDLDDTFQDADTYYQALKIISLCGWEPRLLPYAVDCGTKSHSDANSSSTLTQPGLINNSMEDRVVVYSPNEVDGSTVIADARQAYQHYDPLSVVLDCQFCGACVALWPFSLVERPLQLFKLISDSSRQDEQTEGHAGRVSGAGPSKTANIGFNFTIAGGPPPTRQNFRPRVSLPVVSRHLKADLSSHGHFISSGSDNHMVPVTLHASGLTKRKRSMDESHMLEGNNTISTDADTTTNGADHQRENSVNGTSNLVANPEHQQGGSHSDTSRVTSTGEVSNEESETGHAAIKSHTSTDELGQHGSDPKSLPVEDSSNAHDLAKTCTNNSRPVQAATLTKSSNDGEKGASQPSGSQGLYDKLNEFDPMKQHRTFCPWICPDGGETLPGWRLTLSALLSQDKRIDEDSQVEPQISLLSEEDDPVTSKAFHDTTFKEVEDTSGREGLTSQNCIGQSWVPQNQICWRDG >ONIVA01G25300.2 pep chromosome:AWHD00000000:1:22410673:22416152:1 gene:ONIVA01G25300 transcript:ONIVA01G25300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:C3HC zinc finger-like [Source:Projected from Arabidopsis thaliana (AT1G48950) TAIR;Acc:AT1G48950] MGWNRKPIPLQAARLFLSLAIPRKRKQRRPLLSSPHDASPLAADPLVSRHPSMAAGGGGGGGDIGADSERRLKKAMDKLYHFPKPKAGTGPGSSKPSSASTSSALSIGRAGRAAGAGGRRFGMVRGSRLPSQLTSMSAISPPPPCRPWDRADLMRRLATFKAMTWFAKPKVISPVNCARRGWINIEPDVITCEACEARLLFSTPSSWAPQQVEKAAAVFSLKLDNGHKLLCPWIDNICDESLALFPPTPPPVLVENYHEGFSSLLRLSALPRISCSSLESMKKRSPQLEQFLLKPFSSSVVLKGGFILTEDSTIKDLDDTFQDADTYYQALKIISLCGWEPRLLPYAVDCGTKSHSDANSSSTLTQPGLINNSMEDRVVVYSPNEVDGSTVIADARQAYQHYDPLSVVLDCQFCGACVALWPFSLVERPLQLFKLISDSSRQDEQTEGHAGRVSGAGPSKTANIGFNFTIAGGPPPTRQNFRPRVSLPVVSRHLKADLSSHGHFISSGSDNHMVPVTLHASGLTKRKRSMDESHMLEGNNTISTDADTTTNGADHQRENSVNGTSNLVANPEHQQGGSHSDTSRVTSTGEVSNEESETGHAAIKSHTSTDELGQHGSDPKSLPVEDSSNAHDLAKTCTNNSRPVQAATLTKSSNDGEKGASQPSGSQGLYDKLNEFDPMKQHRTFCPWICPDGGETLPGWRLTLSALLSQDKRIDEDSQVEPQISLLSEEDDPVTSVRKLFMTPPSKKLRIHRAEKG >ONIVA01G25300.3 pep chromosome:AWHD00000000:1:22410673:22416152:1 gene:ONIVA01G25300 transcript:ONIVA01G25300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:C3HC zinc finger-like [Source:Projected from Arabidopsis thaliana (AT1G48950) TAIR;Acc:AT1G48950] MGWNRKPIPLQAARLFLSLAIPRKRKQRRPLLSSPHDASPLAADPLVSRHPSMAAGGGGGGGDIGADSERRLKKAMDKLYHFPKPKAGTGPGSSKPSSASTSSALSIGRAGRAAGAGGRRFGMVRGSRLPSQLTSMSAISPPPPCRPWDRADLMRRLATFKAMTWFAKPKVISPVNCARRGWINIEPDVITCEACEARLLFSTPSSWAPQQVEKAAAVFSLKLDNGHKLLCPWIDNICDESLALFPPTPPPVLVENYHEGFSSLLRLSALPRISCSSLESMKKRSPQLEQFLLKPFSSSVVLKGGFILTEDSTIKDLDDTFQDADTYYQALKIISLCGWEPRLLPYAVDCGTKSHSDANSSSTLTQPGLINNSMEDRVVVYSPNEVDGSTVIADARQAYQHYDPLSVVLDCQFCGACVALWPFSLVERPLQLFKLISDSSRQDEQTEGHAGRVSGAGPSKTANIGFNFTIAGGPPPTRQNFRPRVSLPVVSRHLKADLSSHGHFISSGSDNHMVPVTLHASGLTKRKRSMDESHMLEGNNTISTDADTTTNGADHQRENSVNGTSNLVANPEHQQGGSHSDTSRVTSTGEVSNEESETGHAAIKSHTSTDELGQHGSDPKSLPVEDSSNAHDLAKTCTNNSRPVQAATLTKSSNDGEKGASQPSGSQGLYDKLNEFDPMKQHRTFCPWICPDGGETLPGWRLTLSALLSQDKRIDEDSQVEPQISLLSEEDDPVTSVRKLFMTPPSKKLRIHRAEKG >ONIVA01G25290.1 pep chromosome:AWHD00000000:1:22405150:22408587:-1 gene:ONIVA01G25290 transcript:ONIVA01G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT1G18550) TAIR;Acc:AT1G18550] MPVSTRASAAGGQAWSSAAPAPASAPGHGGARREILTNHHHHGLKEKMRALTLFYEQHKQQLASSQGGGLRRSRRSIQYAVGEVGGDENGRNAEEEDDVGRKRHDAVPVAVLRENMAPPEERAPPPPPAPPPKSSHVVVFSRQADPTEKENVGHGGIATMSCPIKKAAPALPAPAARKLSLGGGMAARLKAAGEAGAGNGDAAGSRIMVFVRLRPMSRKEKDAGSRSCVKIVNKKDVYLTEFASETDYLRLKRVRGRHFCFDSSFPDATTQAEVYSTTTSDLVEGVLQGRNGTVFCYGATGAGKTYTMLGTMESPGVMVLAIKDLFTKVRQRSHDGNHSIQLSYLEVYNETVRDLLSPGRPLLLREDKQGTVAAGLTHYRAYSTDEVMKLLQQGNQNRTTEPTRVNETSSRSHAILQVIVEYRSIDGGSIVTRVGKLSLIDLAGSERALATDQRTQRSIEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQILKDSLGGSCNTVMIANISPSNLSFGETQNTLHWADRAKEIKTKALTTANEEVLRVTDSETDQAKLVLELQKENSELRQQLARQQQKLLTVQAQTLASNASPQQSPAPSAQISTPCSTQRKVKRSILAGNCFNTPDSKRPAAENAQVRDLQRKVKAMEAEIEKMKKEHLLQLKQKDEFIRDLINRKTSNVPEAATCERRVATRASVRKAQKDAAAAGELRSPSHRFTSPVPTAKKRTFWDIGGNSPSTLAVNGRKTRSHVAAETPKGTSMLLQPGFARQRAIH >ONIVA01G25280.1 pep chromosome:AWHD00000000:1:22397277:22405979:1 gene:ONIVA01G25280 transcript:ONIVA01G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamete-expressed 3 [Source:Projected from Arabidopsis thaliana (AT5G16020) TAIR;Acc:AT5G16020] MAQAARLNLRMQKEVKLLLNDPPHGVSLNLSGDESALSSLLSFEARIQGPDETVYSKGVFVLKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNIATVLTSIGLLLSDPNPDDGLMAEISREYKYNRQVFDINARSWTEKYANPSAIGASGWSSVDVSTLAPNIQEEKLSLEPLPKASNKNCDGSRKKMRLLSQKLSLKSVPEENTTTGKKDLVTNHLSSTARPTDPTACLSDVSGKLNDTSESISVIADSAVTSKKEYQGTRRNLQLLGQGLPVTSEGPSKNSNGSVEDKLPNHLLASASSNTKHPVMGSSDNALEKSSAKRIGESLDNLYKASEGDGTNVRSLGQKLSLKSAKPESKSNVQKENMAPNHLPSLSGFSNLDKRQSHVISGGNSIGHTNLVQQNSNNENVLPSTQLIPSKECNQGRKKLHLLSKSLSLKSELPADKTIEKEYMQTDCSQNNRKPNELPLSAPVLKNQILGTIDPQKDARQSKSSIQQNTTPVERIVVSDSEDSADECERPSRSRLSLMRRRLAGKPRS >ONIVA01G25280.2 pep chromosome:AWHD00000000:1:22397277:22405979:1 gene:ONIVA01G25280 transcript:ONIVA01G25280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamete-expressed 3 [Source:Projected from Arabidopsis thaliana (AT5G16020) TAIR;Acc:AT5G16020] MAMDALHRLCLLVCVLIGAGCSRSPRHQRLPATTTTTAGNVQRRPPGVSGALGSPLIGHDGRLIACSEKKSLVAFERNGSIAWMVTLDHTCKEGISPVAERDEIYLVAEDNKVIKITPKKLHTADPPSEVFFSYNATPGRSEEIIGLSISGSSSSLFLTIRNRGLFAFSLHAELQWSAGPVADLVSRLGCKTNIAGCYFNSPPVVDRCEGTLYVSNTEGQLYSLYIKSGQYRWIQDLGSLDKVMNIVPGNNGLLYIVLPRKSIVMGLDVLTGNISWQQTIGPLSNEKILPPVDSNGWISVGSLDGTLYSISPNGDIRRFPERTTPGSVIHASPVLDCSGFSVYVSQTIMEAKSNQTIGDSTSLSVMKSSSTLLTLLTPANGTIHWTGNYPGELSDFLSSTDLNDFALDETIVLRLFSAARIGSTTQCYTRSMFLFSGDRNICLVLFHFIVIVTVAIVNCFCCIFWRKKKLQKNGLKKFLEKRRSLHTKRKILGKRISELEQKTVHDASSNEALGQLGETVNAKECIERKLSTSYSLGRDVLGLKHDSILPLNSTKYKSHSFRNSREESITVFNTFSGTSSSENGTSSCSGDSEGCSDCSYGDEMLGTNFQSAAQEAGPSNYADTADQVLQDECASDIKSTNPHKEEYLMEAMQDKAPSKRMYLKRRRTFPSSKQNI >ONIVA01G25270.1 pep chromosome:AWHD00000000:1:22394858:22397550:-1 gene:ONIVA01G25270 transcript:ONIVA01G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGELRRTVGDPGRGRPQIGAQREHVRSLPAASTATSSGGHNGKGLPPPPRLRPAIASSRVSFRRGFLEARGGKKLRVSCLRRILAVSACSGEADSDAGAAPAESTFDLNLPRRSLLVQFTCNACGERTKRLINRVAYERGTIFLQCAGCQVYHKFVDNLGLVVEYDLREENGMNTDTET >ONIVA01G25260.1 pep chromosome:AWHD00000000:1:22393023:22393661:-1 gene:ONIVA01G25260 transcript:ONIVA01G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVKVEGWWRSMANHDSPSFIGQDVREVRVEGLVLVLLVEAHLEGPDLEPLPFPPRPLPLPLLLLELSRQTRRHLNSEPSWTSAISSPSSMLNIMLLVSSTRLTRRRCMSVLILVARYPTPEKSRSRTSYGTSSFTTPRTSIADAARDAAVVTALLYDSMALS >ONIVA01G25260.2 pep chromosome:AWHD00000000:1:22393023:22393811:-1 gene:ONIVA01G25260 transcript:ONIVA01G25260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLDLPVPGGPYRSGQDVREVRVEGLVLVLLVEAHLEGPDLEPLPFPPRPLPLPLLLLELSRQTRRHLNSEPSWTSAISSPSSMLNIMLLVSSTRLTRRRCMSVLILVARYPTPEKSRSRTSYGTSSFTTPRTSIADAARDAAVVTALLYDSMALS >ONIVA01G25260.3 pep chromosome:AWHD00000000:1:22393023:22393661:-1 gene:ONIVA01G25260 transcript:ONIVA01G25260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVKVEGWWRSMANHDSPSFIGQDVREVRVEGLVLVLLVEAHLEGPDLEPVVAVPATAVAVAVAAAGVVAADEAPPELGALMDVGHLLALLHAEHHALGLVDAADPEALHVGVDPGGEPSVSSMMTVCWDDMRDRRYPTPEKSRSRTSYGTSSFTTPRTSIADAARDAAVVTALLYDSMALS >ONIVA01G25250.1 pep chromosome:AWHD00000000:1:22392146:22395416:1 gene:ONIVA01G25250 transcript:ONIVA01G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAIESYKRAVTTAASLAASAMLVRGVVNELVPYEVRDLLFSGVGYLRSRMSSQHTVIIEETEGWTNNQLYDAVRTYLATRINTDMQRLRVSRVDETKSMMFSMEEGEEMADVHEGSEFRWRLVCRDNSSSSNGNGNGRGGNGNYRLEVRSFEMSFHKKHKDKALNSYLPHILATAKKIKDQDRTLKIYMNEGESWFAIDLHHPSTFTTLAMDHKQKQSVMDDLERFIKRKEYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCTLELQQREEGQESSKSNPSEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYKERLDPALLRPGRMDMHVHMGYCCPESFRILASNYHSIDNHATYPEIEELIKEVMVTPAEVAEVLMRNDDTDVALEGLIQFLKRKKDVGKEGKAENVEQVVKAEETEKGMMKKNDVPENQDPQDASK >ONIVA01G25240.1 pep chromosome:AWHD00000000:1:22383368:22383622:1 gene:ONIVA01G25240 transcript:ONIVA01G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQPRRRRDPPVKAVVLGMRLGGLPHGVRCPVLRREAWRADGRSYQEATTARSSTSTFVATRFYCNTSKNGEAATSATPISAF >ONIVA01G25230.1 pep chromosome:AWHD00000000:1:22368242:22371893:-1 gene:ONIVA01G25230 transcript:ONIVA01G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEGNTGGGGGGGDPQRLKRIGAAAYDYENDSRWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPDLIVEPMSSTSSSQSTKPAARSSATPSNENVRARDSGSSARSTAASQPSQTERTANSLRLDGRTIHFSINAWVLVVASLGILPILPKHIASKAYRLSLLGTICSSAYSLYVTYGKPRAWNMAAIQPWLQSIIVAKDFVHLMFSLMMFTSNVHYKIALLPVLCWALDHVARFLRRNFARSSLYRQLLKLMYHAPVTSGYHQSAWARIGRAVNPYIHRYAPFLNTPISAVQRWWLR >ONIVA01G25220.1 pep chromosome:AWHD00000000:1:22366765:22367607:1 gene:ONIVA01G25220 transcript:ONIVA01G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSSFMASLLVGGYAYIDKTDGAWMNLKTDRALRQRRAPIGGVAGGVAGGPGRVGGGAGVESGDGGGGDRGGRRGGGVLDGDGDDPCPRATSADASEPSEADPADPAPRATSADASEPSEADPAATAASASSAGRFDAMRCREGRGSRSPPSSNLHPAAAQRQSPASPRHRLAATRRAAKRRLGCPPTPLSHLAVGAAWLPLSLVKRKKKKRGTKQRLKGQEGHFSYPAPHVRAPKRLKPNRIATKWYGEHDKVRRWHKGSLKLFSGIEADTIFFNGT >ONIVA01G25210.1 pep chromosome:AWHD00000000:1:22366219:22366677:1 gene:ONIVA01G25210 transcript:ONIVA01G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQRSGRGVAGDAAAVGEAKGNNGGRGAEPPHLSHPRRVGLVPPPPVAGVSARLCHHRRRRGAATTAAAASLLDEDVGNNAATTTTTTTGPRRRRRRSALRGGRRPGRRGGWGKDYARVAAVSGNAQQHGSVYWKHVAAAVLASLDLART >ONIVA01G25200.1 pep chromosome:AWHD00000000:1:22363761:22364510:-1 gene:ONIVA01G25200 transcript:ONIVA01G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQRERPREDRVHEETRGADHAHPSVPHAAAAASATATATATRTMSLHAGGVVVVDGKEKGKKEEREGKGKGKAPAAAEAVRGRARLRGEQLRQLHEIFLRFDLDGDGSLTKLELAALLRSLGLRPAAGDEIHALIAAIDADGNGTVEFDELASSLADLILGPCRPSVAVDQAELAEAFRAFDRDGNGFISAAELARSMARMGHPICYAELTDMMREADTDGDGLISFEEFTAIMAKSALDFLGLAAL >ONIVA01G25190.1 pep chromosome:AWHD00000000:1:22349755:22356335:1 gene:ONIVA01G25190 transcript:ONIVA01G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPKCAWDKLQAINLDATNMTGNIPVWLGNMTNLTDFSVSGNQLSGPVPLGLGALTKLTVLYLGQNNLTGIISEDHLANLRNMVILDLSYTYLKVVVGSTWTPPFKLIRAQLASCQLGPGFPALFKHQKGINYIDVSNAGIADAIPSWFWDEISYASYVDMSHNQIDGELPAKLEAKTWQELHLNSNQLKGSIPKLLRNITKLDISRNSLSAPLPSDFQAPELAALVLFSNHIPGSVPLSICDLQSLAILDLSNNLLVGELPQCRVASLGISTLLLENNSLSGEFPSFLRSCMKITFLDLARNNFHGSLPKWIGDLSSLVIFRLRSNMFSGQIPSEITELEDLQYLDLAKNNISGIIPQSLATLKGMSSENQDPRQTGLNGPFVQTSERFGEVMEFEWYDDSLFVAIKGRELPYSSQLKYMVSIDLSSNNLVGNLPEEVGSLIGLINLNLSFNQLTGNIPYQIGVLQSLESLDLSHNQLSGEIPQTLSNLTSLGELNLSYNNLSGRIPSGPQLNTLHTDDPASMYIGNTGLCGHPLPNNCSENETPHGHPIREGNNGWLTEMSFTLGIIVGFLLGLWLVFCALLFKKTWRIAYFRLFDNLYDRAYVFVVVSWALWFRKASASSKTKKIERLFVMGFWSHHHHPMAWPPSLGLPPGDHTPPPRPANDTTAGGGDPTQPPPAKSRKTSHRTAIDSLGEDLLLDIFLRLPTLASLVRAALTCRAWRAAVASSPSFRRRFRDLHPPPLLGVFCDPRGHGLPVFLPSHGRDRDVLAAIRGGDFLLTRRLRDLDDGGAPLRWRVSDCRGGYLLLVNSHGPADDRFHQYALSDQRFGGQQCGCGRCHRPRRIFANFPRPTLDLLGRGSHLVWLCHDESRVQATVFSNGTNGWCYLPWVDIEARASPVAPHDGNKHWLKPGMQANGLIFWPFKNKEHMLVLDTSTMEFTVHEFPVFSEVQQDCSFVVGETKDDGPCIVCVVGTTVSVWMRKFDEKGVERWRFADSILSSEEANQLGILGGLKVVTINDGFVYLATTEMIFSLCIETKKIEKLFPMSFRSRHLHPYIMAWPPSLVGNYGKFAAPRLSHQCLTAN >ONIVA01G25190.2 pep chromosome:AWHD00000000:1:22346338:22352462:1 gene:ONIVA01G25190 transcript:ONIVA01G25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPKCAWDKLQAINLDATNMTGNIPVWLGNMTNLTDFSVSGNQLSGPVPLGLGALTKLTVLYLGQNNLTGIISEDHLANLRNMVILDLSYTYLKVVVGSTWTPPFKLIRAQLASCQLGPGFPALFKHQKGINYIDVSNAGIADAIPSWFWDEISYASYVDMSHNQIDGELPAKLEAKTWQELHLNSNQLKGSIPKLLRNITKLDISRNSLSAPLPSDFQAPELAALVLFSNHIPGSVPLSICDLQSLAILDLSNNLLVGELPQCRVASLGISTLLLENNSLSGEFPSFLRSCMKITFLDLARNNFHGSLPKWIGDLSSLVIFRLRSNMFSGQIPSEITELEDLQYLDLAKNNISGIIPQSLATLKGMSSENQDPRQTGLNGPFVQTSERFGEVMEFEWYDDSLFVAIKGRELPYSSQLKYMVSIDLSSNNLVGNLPEEVGSLIGLINLNLSFNQLTGNIPYQIGVLQSLESLDLSHNQLSGEIPQTLSNLTSLGELNLSYNNLSGRIPSGPQLNTLHTDDPASMYIGNTGLCGHPLPNNCSENETPHGHPIREGNNGWLTEMSFTLGIIVGFLLGLWLVFCALLFKKTWRIAYFRLFDNLYDRAYVFVVVSWALWFRKASASSST >ONIVA01G25180.1 pep chromosome:AWHD00000000:1:22318266:22321069:1 gene:ONIVA01G25180 transcript:ONIVA01G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPTDTNSFWFHHKSQNKLMSSDMAFLPGKFYAFTKAEGLHVLDLKITTMASAIFHMQTLESF >ONIVA01G25170.1 pep chromosome:AWHD00000000:1:22290938:22292469:1 gene:ONIVA01G25170 transcript:ONIVA01G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWDAIALPRVGRNIEGRGRADDNLVSDSILACYSQVENGILSNPFCRVALSSPEAVSDHGGWRWPRIIHGDYTDMLQDVDERLLPSETAPNFKIFEYE >ONIVA01G25160.1 pep chromosome:AWHD00000000:1:22287972:22289228:-1 gene:ONIVA01G25160 transcript:ONIVA01G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPPSPWGDLPADLLGLVLLRLPSLPDRVRLRAVCRSWRAGAARGRHPRLPPPLPWLALRDGGLVDLDGEPIRCPTPIPRHGVVGHLAVDNLAFLIHRDGGCSLLNPLSSSASAATAAITPLPWLNLAAVDGAIGQPGVFIGIGAYVNVYSKSVLSSPLDSSPDPLVAVVTSGGRHVAVAPCKRRGVVTIVSGLMAPQIPGLNPTRFSDIAFLGGNLYTLTNAEGLLVLDLGSNGVDDPPNASHRRCIADDPNQHEYYIDGSTKNKSLVLRYLVGSNGRLLMVRRWMNCRQQYYAGDMDKTRGFEVFAAVISDGHGQWVKVDSLGDQAIFLSSECSKSVTASQCADGIQQDCIYFMHRIYDNPTKECHGPCVDPLGDSGVYNMRDGTINLLRPRAVMSELRWKRQYLTWFFPSDE >ONIVA01G25150.1 pep chromosome:AWHD00000000:1:22273834:22274178:1 gene:ONIVA01G25150 transcript:ONIVA01G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGDSKVKTLLCLPGLATVMPLGAVNLLEGVAIRVLVQLYSKGILQV >ONIVA01G25140.1 pep chromosome:AWHD00000000:1:22261190:22264560:1 gene:ONIVA01G25140 transcript:ONIVA01G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHDEVPLEAVVVDSIFSHIQAGGAAEVAVGSAGRSRRWDAADEWSGGAAGDQDDGHCATAGSSGDEGDDDTDEEERIPSGGAGARAQLLAHSC >ONIVA01G25130.1 pep chromosome:AWHD00000000:1:22258959:22261070:1 gene:ONIVA01G25130 transcript:ONIVA01G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSTPRAEERADEKWAIQNFIRASDYITLLHVCPPARLRSLRLGGFQLALAFRELCNGIAEAKVEIVVREGKVGETVVVTVNQLAATTLVVGLHDKIPQLN >ONIVA01G25120.1 pep chromosome:AWHD00000000:1:22246132:22257089:1 gene:ONIVA01G25120 transcript:ONIVA01G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSSSCCLVLITMITVAMITANSYVHAQRGSGGGGKCIAAERAALLSFKAGITSDPAKLLKSWRGDDCCSWSGVRCSNETGHVVGLDLRAAFFLSNETFVWCFSGVAPNGMLGEISSSLLALKHLKHLDLSGNYLGGVGLPMPSFLGSFKSLTYLNLACMNFDGRLPPQLGNLSRLQHLNLATYQENTMRPGDVSWLQHLGLLRFLDMSGLNLTSNGDWVRLVTGLSYLKVLQLGGCGLSLPHEPTAHSNISSLEILDLSSNRVYTINPAYWFWDVRTIRELQLGRNQITGPFPAAIGNMTSLEVLTLGGNYITGVKSEMMKNFCNLWWLELWSNEINQDMAEFMEGLPRCTKSSLHVLDLSATNITGGIPSWMNHWRNLRSLQLSANKLEGLIPLEIGKMTNLSTLYLDNNQLNGSVSEEHFAGLASLEDIDLSYNSIHITINSDWVPPFSLYQALFARSKMGPHFPLWLKGQSNVYFLDISDAGITDNLPDWFWTVFSNVQYLNISCNQISGTLPATLEFMTSAMTLDLNSNRLTGLLPQLPQYLRTLDISNNSLSGPLPLIFGAPMLTQLVLSINKINGTIPSYICELKYLEVLDLSDNFLVGKLPRCSNGSEAKQELNMSPDSTQMQLSALILYNNDLSGKFPEFLQHSQELTLLHLAHNKFVGELPIWIAEKLPRLSYLQLRYNLFSGSIPVQLTKLENLRYLDLAYNRISGSIPPTLGGLKAMIQGNSTKYTNPLVWNYYRPRNPNDFNDGYYVKYHNSLLVVVKGQELYYTSTLIYMVGLDFSCNNLGGDIPEEITSLVGLKNLNFSHNHLTGNIPEKIGLLRYVESLDLSFNMISGEIPSSLSDMASLSYLNLSFNNLSGRIPSGNQLQTLGDPDFIYIGNYYLCGPPLSRNCSGPESSPISEVWLLLTLPWRPQSRCVGVLFRDAITTAAASPKAPASTNGCIAAERDALLSFKAGITRDPKKRLSSWLGENCCQWSGVRCSNRTGHVIILNLSNTYLYYDDPHYYKCAHVDFPLYGYISSSLIFSGNVLGESMPEFLGSFQSLTHLNLARMGFYGRVPHQLGNLSNLQFLDITSEFYDHPPMHTADISWLARLPSLKYLDMSYVNLSSVVDWVRPVNMLSRLEVLRLTGCWIMSSSSTGLTNLTSLETLDLSENTLFGTVIPNWVWSMKTVKMLNLASCQLSGSFPDGLGNLTLLEGLNLGGDSYLGSNSFEGTLPSTLNNTCNLRVLYLDNNLIGVGIKDLMDKLPSCTWNKLEELDLSYNDITGNLDWLGSQTSLISLYLSWNKFSGHLPLVIREMTNLITLFLDNNDISGVISKQHLSGLLSLEEIDMSFNPLKVVLDESWSPPAELSKVYFASCQLGPEFPVWIKSLNNCYSIDVSSSGIKDELPNWFWNLVSDVTNVNISHNRIRGKLPDSFQGMLTKQLILASNQLTGRLPSLPEDLYYLDISRNLLSGPLPFNFGGANLDTLILFSNHINGSIPQSLCKMHNLRALDLADNFLVGELPHCLPTELKPSTGGSFIHSTSLNIHILLLSKNQLSGEFPMLLQSCQSITILDLAWNKYSGKLPEWIGEKLPSIVILRIRSNKFSGHIPGGFTKLDHLRYLDIANNSFSGTIPQSLPCLKGMINEPENLETWFLFGVALENGFGAFDVFGLFHYSISFVLQGQQLEYSKGLVYLVGLDFSSNKLSGHIPKGIGSLVELVNLNLSWNQLAGNIPDQIGELHQLTSLDLSYNQFSGEIPSSLSNLTFLSYLNLSYNNLSGRIPRGHQLDTLNADDPSLMYIGNPGLCGYPLAKNCPENGTSQGQTVKRHHDGSFCAGLSVGFVIGVWMVLASLLFKKSWRFSYFHHFDRQYDRLNVFLTVTSAIYLQKATRFKDGRS >ONIVA01G25110.1 pep chromosome:AWHD00000000:1:22235003:22237643:1 gene:ONIVA01G25110 transcript:ONIVA01G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCSSSASAGHRDTTMLCDGGDGGGGSGLRLFGVQVHVAAGGGGGGGGGGLPMKKSYSMDCLQLAAAGAAPGSLVSPSSSSSSSMLLSIDEGGLERASNGYLSDGPHGRIVQERKKGVPWSEEEHRLFLVGLEKLGKGDWRGISRSYVTTRTPTQVASHAQKFFLRQSSIGKKKRRSSLFDMVPICENGARVSEQLSGEGAAAAAAASTSLSLMNTHETSSDRVAAIDLNSTEEDDTVGTSGRPFFPVVLMEQQQQASHGHGHHHHCTPLDLELGMSVSSTPSIGT >ONIVA01G25100.1 pep chromosome:AWHD00000000:1:22221971:22226810:1 gene:ONIVA01G25100 transcript:ONIVA01G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAGAMSLLLKKVCELLMAELNLDKKLTKSIGDLRTELTMMHGVVRWIGEVPPEQLDGQVRLWARQVREISYDMEDAVDAYLVRVADGEPEAAKQNRRLSESLKRAARLFTKGRALHQIAGAVEEAQGRGKSLSDLRQKYGGLKLHGAGEGCAAIDPRLTALYVEVAKLVGVDKARDELSELLLSSSGGSMQQQQQLRTVSVFGFGGLGKTTLARAVYESIREQFDCAAFVSVSRNPNITKIFRKLLFELDREQYSDINDLDRDDVQLIDELRSFLQSRRYTLLSFECVLYENNLSSRIITTTRKINVSKACCSSGDDKIYEMKRLSDDDSKKLLYTRIFTHENNCPHELKQVSTDILKKCDGVPLAIITIASLLAGNNNRPIKTKDQWHNLLNSIGRGLTVGEGVDDMQKILSFSYYDLPPHLKTCLLYLSIFPEDYEIERDRLIWRWIAEDFVQCENNWDNLFEVGESYFNELINRSMVEPVGIDFEGRAQACRVHDMMLDFILSLSKEENFITIIDDSEHRTSWQHKNDNKIRRLAIQNTCRMAEEATASSMSQVRSFTLFRPGVNSMPSLSLFQVLRVLDLEGCDLSKFSKLNLRHVGKLSHLRYLGLRRTYIAELPTEIGNLKVLQTLDIRGAHGIRELPPAITGLRQLMCLRLDWDTRLPRNGGLATLTSLEEMTGLRVRRDSADGVVRELRCLKKLRVLRLQWGEMEHGAGRAVVGALGELQGIQSIEIYAYGGGGGGVGNVGDGWVPPACLRRFVSNGPTSAFSALPAWVRCSPLPRLAFLDVWVDRVRRGDIGVVGELPALQSLRLRATGRIDARPAVERFAVRAGAFPCAAACALLHFVTAPSMFPRGAMPRVRRLSFSLRAWDFAAGGDGGGGGGLRLGLRDLGMQNLPSLEDVRVEVWYKNTGDGGGSAVTRKVEEALRRVAAVHPNRPAINIRRRKMTTGSAQSDSSTLSI >ONIVA01G25090.1 pep chromosome:AWHD00000000:1:22216199:22219869:-1 gene:ONIVA01G25090 transcript:ONIVA01G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVDNDDPSHLIAAAEKKAAAAAASPKPAAQAKLPTKPPPPAQAVKESRNYGAPAREGAGRNGPGRGSGGFGGGRIGQRRDFGEGDTNGVEGGYGASGFGDGIVRREEGEHRPSERGHGPRQPYRGGGRRGGYTGGEAGDESGRAPHRAYERRSGTGRGYGMKREGAGRGNWGTVTDEALAQESGEAVSIEVSVTVTEENKQEDVPQSDEVEKHKEGESNEEEEKEPEDKEMTLEEYEKVLEEKRKALLSLKVEERKVVVDKELQSMQQLSVKKDSDEVFIKLGSDMDKKKENVERDERTRKSLSINEFLKPAEGERYYSPGGRGRGRGRGRGRGDRGGFRDGYSSRGPVAAPRIEDQAQFPGLAGRLVH >ONIVA01G25080.1 pep chromosome:AWHD00000000:1:22202945:22208691:1 gene:ONIVA01G25080 transcript:ONIVA01G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACFGGVVEGRQGMMVSSTQQQQLQLQRKGKAVAEKGGAAGAAAAEKVVVAVRAATREISKTALMWALTHVVQPGGSILLLVVVPSHSSGRKFWGFPLFAGDCASGNKTMLDQKDISELSSQMMDKLKNVYDPNKINVKTKVVSGSPPGVVAAESKRAQASWVVIDKELKHEEKHCVEELQCNIVVMKRSQPKVVRLNLVGSPDKDSKVSCSLPPMLDSSVGKTTTDVKEPRTSIRGPAVTPNSSPDLETTFESTEAGTSSVSSSDPGTSPYSASDTNGSMKKEAPATKDRVQHLDINISDSDSETLSPPASFSLQPWMVDILQGSASSRTHGKGPRKARTATADALLENISKLDLLNEISSMRSRSDLNFRGNVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGLCVEDRRRLLVYEYICNGSLDSHLYGRNKETLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDYEPLVGDFGLARWQPDGDMGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPFLEEYAIDELIDPRLGDRYCENEVLRILEGDMVVDSGSVSAPSSDSGSRSWRMLNEQQNCRDWSPARQDSHRVVEGKNSYDALRAAWDRNKQSVSHRY >ONIVA01G25070.1 pep chromosome:AWHD00000000:1:22164604:22168459:-1 gene:ONIVA01G25070 transcript:ONIVA01G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYIMPGAVSKADSTILANRSISSQNSGLFLFEGRRGMAAENGHCGSGIAAIMGIGKAVPAHVFPQKSFPDYYFDISNSNHMVDQKAKFTKIYSIPPATNTNFVKNIIRAKQQGLAAIEESLSLAILSLSLLITITQAKCAYSVTCTRPTTCCAAYNSTSLTLRQELANHGVPRLGAEAARAAIADWGGRASDITHLVFVTSTSGCLPGADFELLGLLGLPPSTKRAMVYQAGCYGSGTALRLAKDLAENSPGARVLVVCSEVIALVLRGPSESHVGNLVGQVIFGDAAGAVVVGSCPAAAAGERAMFEIVSASQEVVPGTRDAVVSELREEGIVFTLHRDVPRQIGDSIGRLVERALLAQQQPANAAIGAADAAAPDLNGMFWVVHAGGREILDRMESKLGLGKEKLEASRAVMAQYGNTRSSCVVLVMEEMRRRSEERGLRTAGEGLDMGMLVGFGPGLTVETIVLRALPIN >ONIVA01G25060.1 pep chromosome:AWHD00000000:1:22136768:22161945:-1 gene:ONIVA01G25060 transcript:ONIVA01G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPASSPTILAAFGLVGLVLAWQAGLQLHRLWWRPRRLEKALRARGLRGSRYRFLTGDLAEESRRRKEAWARPLPLRCHDIAPRVEPFLHGAVGVGAAHGKPRITWFGPTPEVHVADPELARVVLSNKFGHFEKVSFPELSKLIPQGLSAHEGEKWAKHRRILNPVFQLEKLKSILFLYLIIEMSSENVQLMLPVFSACCEELISRWMGSIGSDGSYEVDCWPEFKSLTGDVISRTAFGSSYLEGRRIFELQGELFERVIKSIQKMFIPGYMYLPTENNRKMHQMNKEIESILRGMIGKRMQAMKEGESTKDDLLGILLESNTRHMEVNGQSNQGLTIKDIMEECKLFYFAGADTTSVLLTWTMLLLSMHPEWQDRAREEILGICGKNKPDYDDLSHLKIVTMILYEVLRLYPPFIELTRKTYKEMEIGGITYPAGVIINLPVMFIHHDPEIWGSDVHEFKPERFSEGISKASKDLGAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELATSYTHAPHTIISLHPMHVSNGSWRVAVDVGSGLIANNPRGVRSPLRPRPRVAGRPPAPPPVVAAAAAGEGAAGAGLRGSRYRFLTGDLAEESRRRKEAWARPLPLRCHDIAPRIEPFLHDAVVRDEQHYGKLCITWLGPTPEVHVTDPELAKVVMSNKFGHFEKISFPELSKLIPQGLSAHEGEKWAKHRRILNPAFQLEKLKLMLPVFSACCEELISRWMGAIGSDGSYEVDCWPELKSLTGDVISRTAFGSSYLEGRRIFELQGELFERVMKSVEKIFIPGYMYLPTENNRKMHQINKEIESILRSMIGKRMQAMKEGESTKDDLLGILLESNMRHMEENSQSSQGLTIKDIMEECKLFYFAGADTTSVLLTWTILLLSMHPEWQDRARKEILGLFGKNKPEYDGLSHLKIVTMILYEVLRLYPPFIELKRRTYKEMKIGGVTYPAGVIINLPVLFIHHDLEIWGSDVHEFKPERFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELAPTGWLMWAPASAPVLVVFGLLFGLALAWQAGRLLHRLWWRPRRLEKALRARGLRGSSYRFLTGDLAEESRRRKEAWARPLPLRCHDIAPRIKPFLHGTVVREQHGKRRQPCITWFGPTPEVNITDPELAKVVLSNKFGHLERVRFKEVSKLLSQGLTYHEGEKWVKHRRIINPAFQLEKLKLMLPAFSACCEELISRWIGSIGSDGSYEVDCWPEMKSLTGDVISRTAFGSSYLEGRRVFELQGEQFERAMKCMQKISIPGYMYLPTENNRKMHQINKEIESILRGIIGKRMQAMKEGESTKDDLLGILLESNTKHTEENGQSSQGLTIKDIMEECKLFYFAGAETTSVLLTWTMLLLSMHPEWQDRAREEILGLFRTNKPDYDGLSHLKIVTMILYEVLRLYPPFIELGRKTYKEMEIGGVTYPADVSIKIPVLFIHHDSDIWGSDVHEFKPERFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELASSYTHAPHTMVTLHPMHGAQIKVRAI >ONIVA01G25060.2 pep chromosome:AWHD00000000:1:22136768:22161945:-1 gene:ONIVA01G25060 transcript:ONIVA01G25060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPASSPTILAAFGLVGLVLAWQAGLQLHRLWWRPRRLEKALRARGLRGSRYRFLTGDLAEESRRRKEAWARPLPLRCHDIAPRVEPFLHGAVGVGAAHGKPRITWFGPTPEVHVADPELARVVLSNKFGHFEKVSFPELSKLIPQGLSAHEGEKWAKHRRILNPVFQLEKLKLMLPVFSACCEELISRWMGSIGSDGSYEVDCWPEFKSLTGDVISRTAFGSSYLEGRRIFELQGELFERVIKSIQKMFIPGYMYLPTENNRKMHQMNKEIESILRGMIGKRMQAMKEGESTKDDLLGILLESNTRHMEVNGQSNQGLTIKDIMEECKLFYFAGADTTSVLLTWTMLLLSMHPEWQDRAREEILGICGKNKPDYDDLSHLKIVTMILYEVLRLYPPFIELTRKTYKEMEIGGITYPAGVIINLPVMFIHHDPEIWGSDVHEFKPERFSEGISKASKDLGAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELATSYTHAPHTIISLHPMHVSNGSWRVAVDVGSGLIANNPRGVRSPLRPRPRVAGRPPAPPPVVAAAAAGEGAAGAGLRGSRYRFLTGDLAEESRRRKEAWARPLPLRCHDIAPRIEPFLHDAVVRDEQHYGKLCITWLGPTPEVHVTDPELAKVVMSNKFGHFEKISFPELSKLIPQGLSAHEGEKWAKHRRILNPAFQLEKLKLMLPVFSACCEELISRWMGAIGSDGSYEVDCWPELKSLTGDVISRTAFGSSYLEGRRIFELQGELFERVMKSVEKIFIPGYMYLPTENNRKMHQINKEIESILRSMIGKRMQAMKEGESTKDDLLGILLESNMRHMEENSQSSQGLTIKDIMEECKLFYFAGADTTSVLLTWTILLLSMHPEWQDRARKEILGLFGKNKPEYDGLSHLKIVTMILYEVLRLYPPFIELKRRTYKEMKIGGVTYPAGVIINLPVLFIHHDLEIWGSDVHEFKPERFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELAPTGWLMWAPASAPVLVVFGLLFGLALAWQAGRLLHRLWWRPRRLEKALRARGLRGSSYRFLTGDLAEESRRRKEAWARPLPLRCHDIAPRIKPFLHGTVVREQHGKRRQPCITWFGPTPEVNITDPELAKVVLSNKFGHLERVRFKEVSKLLSQGLTYHEGEKWVKHRRIINPAFQLEKLKLMLPAFSACCEELISRWIGSIGSDGSYEVDCWPEMKSLTGDVISRTAFGSSYLEGRRVFELQGEQFERAMKCMQKISIPGYMYLPTENNRKMHQINKEIESILRGIIGKRMQAMKEGESTKDDLLGILLESNTKHTEENGQSSQGLTIKDIMEECKLFYFAGAETTSVLLTWTMLLLSMHPEWQDRAREEILGLFRTNKPDYDGLSHLKIVTMILYEVLRLYPPFIELGRKTYKEMEIGGVTYPADVSIKIPVLFIHHDSDIWGSDVHEFKPERFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELASSYTHAPHTMVTLHPMHGAQIKVRAI >ONIVA01G25050.1 pep chromosome:AWHD00000000:1:22131638:22134532:-1 gene:ONIVA01G25050 transcript:ONIVA01G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLHVCCIQLAIVLFLLAQTECSNGISAHNPNETGIITRCITTERSVLLAFRAGLSDPANLLPSWEGDDCCRRKGVGCSKRTGSVIKLDLQGPGCDNSTIKQWPASAGVPVVSAQLEISQPIPLGLYRDDTTAPRWSLSTYHTTICLERYHLGINYEHSMTSHQSILVTRVFAVLLCPRVCSQTEPVPFVQKDQEDENEKVFFFLAMGIGYVLGIWTILCIFLFQRKWRAICFSFYDSMYDRVYVQVAVTWASFKFYKEKWAETN >ONIVA01G25050.2 pep chromosome:AWHD00000000:1:22131638:22133661:-1 gene:ONIVA01G25050 transcript:ONIVA01G25050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIVVIDLSGNNLVEMIPSNLKNLCNLEELLLHAININGSIAEFFERLPDCSRSKLRTLSLPMSNLTGSLPAKLEPFSNLTWLDLSGNKLTGPLISLERLSLTDNSIAIVVNSTWVPPFSLTYVQLRSCILGPKFPMWLRWQIRISDLDISNTSISDKVPDWFWKMSSSVQFLNIRNNQISGLLPSTMEFMAALAMDLSSNQLSGPIPKLPINLTGLDLSRNHLSGPLPADFGAPGLETLLLFDNYISGTIPSLCEFQFLWLVDISGNKLTGSNPDCSFNTSTKNTSLNIVNLSLGNKLSGKFPSFLQNCQQLIFLDLANNQLSGPLPVWIGEKLPSLAFLRLRSNMFYGHIPIELTKLVNLQYLDLAYNNISGSLPEFFVNFKGMVITRGNDGGIYSPFFSTSMSYGGAEIMDGFNDSFKVVTKGQEQLYTREIVYMVNLDLSCNNIIGKIPEEIGTLVALKNLNLSWNAFSGNIPDKIGALLQERYHLGINYEHSMTSHQSILVTRVFAVLLCPRVCSQTEPVPFVQKDQEDENEKVFFFLAMGIGYVLGIWTILCIFLFQRKWRAICFSFYDSMYDRVYVQVAVTWASFKFYKEKWAETN >ONIVA01G25050.3 pep chromosome:AWHD00000000:1:22131882:22133661:-1 gene:ONIVA01G25050 transcript:ONIVA01G25050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIVVIDLSGNNLVEMIPSNLKNLCNLEELLLHAININGSIAEFFERLPDCSRSKLRTLSLPMSNLTGSLPAKLEPFSNLTWLDLSGNKLTGPLISLERLSLTDNSIAIVVNSTWVPPFSLTYVQLRSCILGPKFPMWLRWQIRISDLDISNTSISDKVPDWFWKMSSSVQFLNIRNNQISGLLPSTMEFMAALAMDLSSNQLSGPIPKLPINLTGLDLSRNHLSGPLPADFGAPGLETLLLFDNYISGTIPSLCEFQFLWLVDISGNKLTGSNPDCSFNTSTKNTSLNIVNLSLGNKLSGKFPSFLQNCQQLIFLDLANNQLSGPLPVWIGEKLPSLAFLRLRSNMFYGHIPIELTKLVNLQYLDLAYNNISGSLPEFFVNFKGMVITRGNDGGIYSPFFSTSMSYGGAEIMDGFNDSFKVVTKGQEQLYTREIVYMVNLDLSCNNIIGKIPEEIGTLVALKNLNLSWNAFSGNIPDKIGALLQVESLDLSHNDLSGEIPNSLSALASLSHLNLSYNNLSGKIPSGNQLRTLDDQPSIYIGNPGLCGPPLSKSLFTN >ONIVA01G25050.4 pep chromosome:AWHD00000000:1:22132063:22134532:-1 gene:ONIVA01G25050 transcript:ONIVA01G25050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLHVCCIQLAIVLFLLAQTECSNGISAHNPNETGIITRCITTERSVLLAFRAGLSDPANLLPSWEGDDCCRRKGVGCSKRTGSVIKLDLQGPGCDNSTIKQVLRGNISDSLLDLHHLQYLDLSCNNFSGLQVPEFLSSLHSLRYLSLSRSGFIGTIPPHLGGVSRPITQRFVW >ONIVA01G25040.1 pep chromosome:AWHD00000000:1:22124275:22126610:-1 gene:ONIVA01G25040 transcript:ONIVA01G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCEAATLDGFQPRMPLAVKRARIRRESHPGWVMLDCRVGDMSGDDDLGAITVAKGTTSTGDGITVAFSAAAPPAISRLLFALHPNKNRQTTDSSDSDSDESDSSARGRVIAAHGDSVLLSCIFNVRDPITPYVASLREELFIYQPARAVDLTRLPPCYHGVINIDGSRNTGTLCRSNGEFVVAHLGGMTSVGDYGGSGPPIPRPVAAELCKYADQDLCWWETDLVVPFGDSLCWVDYLRGILLCDVFSPIPDFRYVRLPVNPYPGSCDQELAIRGSMHMYRSVCVTKNGGMKFVDVASEDTWFSAGNDIESCPTPFTITSWTLTSDRLSWIKDASLDANVFFSLASNEHLPQIVPEFPLVDMEDPNVIYFALPLEEGSNDKAAFVALDMVRRTLGLRNTYTLRSTLKPGDDNSSTTSCNLFGNEPFLPFEFSNYLNLDAAYNSLGRGSRAGLDHLIPGIPQGDEEHDRGESTGRVAQSCDGLCGHAARGGIGNDACSTEGAKTYYTLQDDKAIHSASTGGRVLAQKIGPKTPLPTVQGSYFT >ONIVA01G25030.1 pep chromosome:AWHD00000000:1:22121365:22122185:-1 gene:ONIVA01G25030 transcript:ONIVA01G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESGFGSERHNLNNCSRVGPRVATRVASRVGDTGGGTLAAAAALPHLSPPPRRRLSEWPAKPEAREDSGGGARGDDHHQSRRRHPRWRPAGATPTSKPALRRRWRQGRRRPASSGGGGGGEGEGRRAGEERGRGRRPEVGARGADVDGGRRCGGAHPRSSGWLRGGWSSGGAEADMEVGPWLGAGAGAAAFPASAQAQMVVQRELVTVGRLPRCRSAPSPFLELPPFFVGSF >ONIVA01G25020.1 pep chromosome:AWHD00000000:1:22117285:22120380:-1 gene:ONIVA01G25020 transcript:ONIVA01G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADQKPFNMTAPPRLLAQGATATTAVLLFFLVFQAQSASPFDRANTTTTPGAVGGICVPSERKALTSFKNSFLDPSGRLSSWRGEDCCQWKGVRCDSTTGHVIELDLRNTFVTEDWDWCGGLNEGGGHRLTLQTDEMSPSIVELQHLRYLDLSNNEFKGTSLPSFIGSLNNLRYLNISFTCFGGTTPSQLGNLSNLHYLDIRSSIYESVSDLSWLLGLPLLRYLDMSEVDLSSVRNWVHAVNKLPALQVLVLSSCGLNSTVSTLPNSNLTNLEVLDLSDNPFCSPLQHNWFWDLTTLKKLVLSDCGWSIGPIPDALGNMSTLEVIVLSSNYDFYPSNSYLLGNIPTTLKNMCNLQVFDLHGINIYAPISELMERLPKCSWNKLHEMDLQDANLTGELPFWIGNLTSLSYLDLSQNMIGGSIPGGVEKLTSLKYLDLSRNMLVGHLPIGMGYLTGLTFLDLSQNRLVGHLPVGIGSLTGLTILDLSQNRLVGHLPVGMGNLTGLTILDLSQNRLIGNIPVGIGALGNLTELSFFQNRLTGVLSEHHFANLKRLEFLDLSGNSLKLDFKEGWIPPFRLTTGYFTSCDLGPQFPTWIRWVTDKANQPTLDISNTGINDDLPSWFWTFLSNVYRLDLSMNQLRGSLPEKLPANLTIPILKVLRLHYNQISGTIPACFCQFSGLEEINLSHNQLTGEIPQCSIDTFGSSFSVIDMSNNNLSGKFPSFLRKATSLSFLDLSYNKLSGNMPTWIAERMPSLEVLILRSNMFCGNLSMNFCELNQLHFLDIAHNNISGSLHSSVRNLTAMKYSESDGTNYAGASISISIKNQERNFTFQRTNYIVLIDLSYNSLTGHIPIEISELQGLQSLNLSGNQLNGKIPDNIGALRRLESLDLSYNELVGEIPSSLSDLTFLSSLNMSYNNLSGRIPSGQQLQTLNNLYMYIGNPGLCGPPLPTNCSTNQTNQIVHGEHDDASHDTIYLYLSTSAGFAVGLWAVFCTFLFKKAWRIAYFQLNDQIYDKIYVQMAIRKAALIRKF >ONIVA01G25010.1 pep chromosome:AWHD00000000:1:22105408:22108323:-1 gene:ONIVA01G25010 transcript:ONIVA01G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKLLGHAILLAPLYLLFLQSPSTTTAAPPPSWGSHRCITGERDALLSFKAGITDPGHYLSSWQGEDCCQWKGVRCSNRTSHVVELRLNSLHEVRTSIGFGGGELNSTLLTLPHLMHLDLRVNDFNGARIPEFIGGLKNLLFLYLYGANFSGLVPPNLGNLSKLIHLDLNSMSNYGSVYSTDLAWLSRLTKLQYVDISGVNLSTAVNWVHVVNKLSSLVTLNLRFCELQNVIPSPLNANLTLLEQLDLYGNKFSSSLGAKNLFWDLPNLRYFDMGVSGLQGSIPDEVGNMTSIIMLHLHDNKLTGTIPATFRNLCKLEELWLSTNNINGPVAVLFERLPARKNMQELLLYENNLTGSLPDQLGHLSNLTTLDISNNMLSGEIPTGISALTMLTELLLSFNSLEGTITESHFVNLTALNHLDLCDNSLTMVFQQGWVPPFKLDIVDLRSCMLGSDFPEWLRSQNSVYVLDISNTGITGSLPHWFWITFSKTQHLVLSNNQISGMLPPRMFRRMEAETMDFSNNILVGPMPELPRNLWSLDLSRNNLSGPLSSYLGAPLLTCQNLLLLDLGHNQFYGNLPTWIGEKLPTLAFLSLRSNFFSGHIPPQIANLTELQYLDIACNNMSGSIPESFKKLRGMTLSPADNDSLSYYGSNSEGIDEIDLDVFPNTLPVITKGQQLEYLTGIMYMVNFDLSCNSLTGQVPAEISKLVALKSLNLSYNLLSGIIPNSIGGLHALESLDLSDNEFSGEIPASLSFLTSLSHLNLSYNNLTGKVPSGYQLQTLDDQPSIYIGNPGLCGPPLSKSCSETNASPADTMEHDNGSDGGFFLLAVSSGYVTGLWTIFCAILFKKEWRVVCFSFSDFLFDWIYVRVVMCWASLARKRRAVTLSGSI >ONIVA01G25000.1 pep chromosome:AWHD00000000:1:22087254:22105257:-1 gene:ONIVA01G25000 transcript:ONIVA01G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGPQLQTLNNQIYIYVGNPGLCGPPLLKNCSINGAKQRSLPSSLKARLLDVLLLSNNQLTGSIPHSICQLSVRRLDLSKNQLTGDLEEMQCWKETDNTSSRPGTNPESQFVSSLVSLVLNNNEFTGEFPQFLQSASQLVFLDLSYNRFFGRLPEWLPGKMPGLQIVRLRSNMFSGHIPKNFTHLDSLRYLDIAHNNISGTIPEDVGNWKIMTVTTPVWEGISFTLEESIDVIMKDQQREYPFRIYNQMVNIDFSCNSLTGHIPEEIHLLIGLTNLNLSRNQFSGAIPNQIGDLKRLESLDLSYNEFSGQIPSSLSALTSLSYLNLSYNNLSGTIPSGPQLQVLDNQIYIYVGNPALCGPPLPKKCSANESQQSAHKNINHMDFLYLGMGIGFVVGLWTVLCTMLMKRNWMIAYFRIIDKIYDKCIHVLIALALLLFIPIISKEASANANSTGGCIPSERSALISFKSGLLDPGNLLSSWEGDDCCQWNGVRCNNETGHIVELNLPGGSCYPMSPWDPLEPGLGGNIGPSLLGLKQLEHLDLSCNNFSGTLPEFLGSLHKLRYLDLSWSTFVGTVPPQLGNLSNLRYFSLGSNDNSSLYSADVSWLSRLSSLEYLDMSLVNLSAVVDWVSVVNKLPSLRFLRLFGCQLSSTVDSVPNNNLTFLETLDLSLNNFNKRIAPNWFWDLTSLKLLDISDSGFYGPFPNEIGNMTSIVDIDLSGNNLVGMIPFNLKNLCNLEKLNVAGTNINGNITEVFNRLPRCSWNKLQKLFLPDCNLTGSLPTILEPLSNLSKLELGYNNITGPIPLWIGELSNLTMLGLSSNNLDGVIHEGHLSGLESLDWLILSDNNHIAIKVNSTWVPSFKQITDIELRSCQLGPKFPTWLRYLTDVYNLDISNTSISDKVPDWFWKAASSVTHLNMRNNQIAGALPSTLEYMRTIVMDLSSNKFSGLIPKLPVSLTSLDFSKNNLSGPLPSDIGASALVSLVLYGNSLSGSIPSYLCKMQSLELLDISRNKITGPISDCAIDSSSANYTCTNIINISLRKNNLSGQFPSFFKNCKNLVFLDLAENQFSGTLPAWIGEKLPSLVFLRLRSNSFSGHIPIELTSLAGLQYLDLAHNNFSGCIPNSLAKFHRMTLEQDKEDRFSGAIRYGIGINDNDMVNYIENISVVTKGQERLYTGEIVYMVNIDLSSNNLTGEIPEEIISLVALTNLNLSWNSLSGQIPEKIGSLSQLESLDLSHNVLSGGIPSSIASLTYLSHMNLSYNNLSGRIPAGNQLDILEDPASMYVGNIDLCGHPLPNNCSINGDTKIERDDLVNMSFHFSMIIGFMVGLLLVFYFMLFSRRWRNTCFVFVDGLYDRTYVQVAVTCRRLWRRN >ONIVA01G24990.1 pep chromosome:AWHD00000000:1:22076327:22076668:-1 gene:ONIVA01G24990 transcript:ONIVA01G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNTARLLPLHGPSWANTKFIAIISDSASLPAATTYIPCARPTSLEEVRLGPSVSGLSITTTVPNHVAEAFVVGASATCATVELGAPPQTRRRRRQPLLSSVLVAWFLIKIA >ONIVA01G24980.1 pep chromosome:AWHD00000000:1:22075689:22076789:1 gene:ONIVA01G24980 transcript:ONIVA01G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FP78] MEDFAHLEAQSFRSGYEIWLISKHYPTHSHLRNHATNTELSNGCLLLLRVCGGAPNSTVAQVAEAPTTNASATWFGTVVVIDNPLTDGPNLTSSRLVGRAQGMYVVAAGKDALSLMMAMNFVFAHDGPCNGSSLAVFGAMFSSLAAWPPAGRRRLLLAPRGAADARFPPPPAPPARSRV >ONIVA01G24970.1 pep chromosome:AWHD00000000:1:22071076:22074465:-1 gene:ONIVA01G24970 transcript:ONIVA01G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLALLLRGAAMILWLLISQTPSTCCVHARCVTGERDALLSFKASLLDPSGRLSSWQGDDCCQWKGVRCSNRTGNIVALNLRNTNNFWYDFYYADGLNLLRGGDLSLLGGELSSSLIALHHLRHLDLSCNFFNGTSIPVFMGSFKNLRYLNLSWAGFGGKIPSQIGNISSLQYLDVSSNYFFHEQNTFFMSSTDLSWLPRLTILRHVDMTDVDLSSVRDWVHMVNMLPALQVLRLSECGLNHTVSKLSHSNLTNLEVLDLSDNEQIYTPLQHNWFWDLTSLEELYLSEYAYLAPAGPIPDRLGNMSALLVLDLSYSSIVGLFPKSLENMCNLQVLRMDGNNIDADIGEFMQRLPMCSWNSLEKLSLDYTNMSGTFPTTLIRKMSNLSVLLLFGNKLVGELPAGVGALGNLKILALSNNNFRGLVPLETVSSLDTLYLNNNKFNGFVPLEVGAVSNLKNLFLAYNTFSGPAPSWIGTLGNLTILDLSYNNLSGPVPLEIGAVNLKILYLNNNKFSGFVPLGIGAVSHLKVLYLSYNNFSGPAPSWVGALGNLQILDLSHNSFSGPVPPGIGSLSNLTTLDLSYNRFQGVISKDHVEHLSRLKYLDLSDNFLKIDIHTNFSPPFKLRNAAFRSCQLGPRFPLWLRWQTDIDVLVLENTKLDDVIPDWFWVTFSRASFLQASGNKLHGSLPPSLEHISVGRIYLGSNLLTGQVPQLPISMTRLNLSSNFLSGPLPSLKAPLLEELLLANNNITGSIPPSMCQLTGLKRLDLSGNKITGDLEQMQCWKQSDMTNTNSADKFGSSMLSLALNHNELSGIFPQFLQNASQLLFLDLSHNRFFGSLPKWLPERMPNLQILRLRSNIFHGHIPKNIIYLGKLHFLDIAHNNISGSIPDSLANFKAMTVIAQNSEDYIFEESIPVITKDQQRDYTFEIYNQVVNLDFSCNKLTGHIPEEIHLLIGLTNLNLSSNQFSGTIHDQIGDLKQLESLDLSYNELSGEIPPSLSALTSLSHLNLSYNNLSGTIPSGSQLQALDDQIYIYVGNPGLCGPPLLKNCSTNGTQQSFYEDRSHMRSLYLGMSIGFVIGLWTVFCTMMMKRTWMMAYFRIIDNLYDKAYVQVAISWSRLMRKNQDAA >ONIVA01G24960.1 pep chromosome:AWHD00000000:1:22069797:22073521:1 gene:ONIVA01G24960 transcript:ONIVA01G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSMAHPPRRRRGAINCAAASNPSTRESASSALAPRSAEQAIGGGAGGTRRASACHSLPTRSGIQEGAPRTVASSAGPAFFRRGSAGWRWASIPSRCSTVCLTGARCIK >ONIVA01G24950.1 pep chromosome:AWHD00000000:1:22057568:22061927:1 gene:ONIVA01G24950 transcript:ONIVA01G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLTMAREMKMSTGENLQAGLLSRTPPFGLRLWVVLGISIWAAILFVLGCICFFLIYWRKRGNRFGDTAEPEIPDITKEIAVDEARNGVAAENVQRQESYTLSLKERQTNKGSRKMLAHFLSCKSSGSHNLVGCSSMYQNDKAQCSYSSDEGTSGHNEREYSQYATMSTSPQIGLPEFSHLGWGYWFTLRDLEDATNGFSDDNIIGEGGYGVVYHGRLINGTDVAIKRLFNNIGQAEKEFKVEVESIGHVRHKNLVRLLGYCIEGSYRMLVYEYINNGNLDQWLHGARSQHGVLTWEARMKIILDIAKALAYLHEGIEPKVIHRDIKSSNILIDKDFTGKLSDFGLSKLLRAGKSHITTRVMGTFGYVAPEYANTGQLNEKSDVYSFGVLLLEAVTGRDPVNYGRPTDEVHLLEWIKLMASSRRAEEVVDPAMEAKPTKRQLRRALVVALKCVDPKADKRPTMGSVVRMLEADDVALSSRQDRRSPMGRCGDGDGSGRREPSGTSARYDTAFV >ONIVA01G24940.1 pep chromosome:AWHD00000000:1:22044849:22049074:-1 gene:ONIVA01G24940 transcript:ONIVA01G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEPLWHGVRHGGGGGDARQQHHHHHQLSHGFGAKDASASSPWSSSDGLWTDGLVCAFEFVRGGGGAHGFVTPANLCRSKCCSLLQSKDLAVQDRRRSLAAKIGDNGDEPRPPTVAPAESLVAGGLLFELLGQSVGDPARDEEDIPIVLRAWQAQNFLITALHVKGSAPNVNVIGVTEVQELLSACGSTGTAPKNIQEVIAHLASRLARWDDRLWRKYVFGAADEIELKFVNRRKQEDLKLLCMIFNQDIRRLATQVIRVKWSLHAREEIIFELLKYLGGSTTKSLLEAIKKDARQMIEEQEAVRGRLFTIQDVMQSTLRAWSQEKSLRITHNLTIFGGCGLVLSIIAGLFGINVDGIPGAENTPYAFALFSALLFLVGLLLIIVGIVYFGLQKPISDEQVQVRKLELQELVSMFQHEAETHARVKEGVLRSDLPPRAADLICDDNGDSRLLVCDC >ONIVA01G24930.1 pep chromosome:AWHD00000000:1:22041234:22042093:1 gene:ONIVA01G24930 transcript:ONIVA01G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0FP73] MAAATMALSSPALAGKAAAKVFGEGRITMRKSAAKPKPAASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >ONIVA01G24920.1 pep chromosome:AWHD00000000:1:22032799:22035547:-1 gene:ONIVA01G24920 transcript:ONIVA01G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGTPHASSSNTETSGVQETTAVASKCVPTIHFVRASSGDTDHHRLSLFSPPAYCTSYYPPLPPQPGSLATNQIVARLMAQMNYEEGAGLGKYGHGIIDPIKPTIKYGKGGIGKFESPYDSDSNYDMGPPAEPELERGTGEAEPEAIIDAEEVRAMDTLQREREAYAAARARERHHEKVRAYNMRGQCPPKHDTAAADDWEGITSGYTAIKRALKVVRGQSESGKLTLGGLIHEFAGVKAKFPEEYRTNRMPYKAISFAAPLLHSRLSRQYNASEYGGTEPLLNRTLVMVEALKDMLGADTSAAYPRLIHDLVMAPPLDAWRWRAEEPKPMLRFINRWKGLLPQATMDSILDEVILPELVAAADVFRLTTWSSKPSVCVGMWIPHLGHARLRIVYIIISRRLRDRLCGGISDYDYRLALPWKKVFDPMSWDEHIKRHVLPHMRKALHDLEISVRMTWLQNNNFFPLVMRWASIVPVKYMVPLLIQGFFKKWMYANYRYLMGERPRLDEAMAWSMEAIPTSQFQSSVVAVVDV >ONIVA01G24910.1 pep chromosome:AWHD00000000:1:22030913:22032305:-1 gene:ONIVA01G24910 transcript:ONIVA01G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVDGDTAAAAAAGGGVVVVFDFDRTIIEWDSDDWVITKLGASDAFRRLRPTMRWNPLMDRMMVELHAQGRSADDIRDCLKSAPLDAHVLSAITTASALGCDLRVASDANAFFIETVLEHHGVLGCFSEISTNPARVDGNGRLRISPFHDPDDSSPHGCSLCPENMCKGKIIERIQATANGKRHFIYIGDGRGDYCPSLKLGEGDYVMPKENYPLWNLISSNKQLLKAEVHPWNNGEELEQTLLKLVNKLITPPAQPSQFEYKCDMSNPVSTEVGQALRVPH >ONIVA01G24900.1 pep chromosome:AWHD00000000:1:22027958:22030435:1 gene:ONIVA01G24900 transcript:ONIVA01G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPALHHEPLLSRSHRRLPPSPPPPPLPSRLAAAHPSAPSPSPRDDERLRLRAEELRSYAAALQGCAASRALRRGKALHARLLRSGPRPDAFLHDSLLNMYCKCGRLADARSVFDGMPHRDVVAWTAMVSAITAAGDAGAALRLFAEMSEEGVVPNGFALAAALKACTVGSDLGFTPQVHAQAVKLEGLFDPYVSSSLVEAYVSCGEVDVAERALLDSPVRSDVSWNALLNEYARDGDYAKVMLVFDKLVESGDEISKYTLPTVLKCCMELGLAKSGQAVHGLVIKRGLETDRVLNNCLIEMYSKCLSAEDAYEVFARIDEPDVVHCSLMISCFDRHDMAPEAFDIFMQMSDMGVKPNQYTFVGLAIVASRTGDVNLCRSIHAHIVKSGFSRTKGVCDAIVGMYVKTGAVQDAILAFDLMQGPDIASWNTLLSGFYSGNNCEHGLRIFKELICEGVLANKYTYVGILRCCTSLMDLRFGCQVHACVLKSGFQGDYDVSKMLLDMYVQAGCFTNARLVFDRLKERDVFSWTVVMSTYAKTDEGEKAIECFRSMLRENKRPNDATLATSLSVCSDLACLGSGLQLHSYTIKSGWNSSVVSSALVDMYVKCGNLADAEMLFDESDTHDLVEWNTIICGYAQHGHGYKALEAFQEMIDEGNVPDEITFVGVLSACSHAGLLDEGRRYFKLLSSVYGITPTLEHYACMVDILAKAGKLAEAESLINEMPLTPDASLWKTILGACRMHGNIEIAERAAEKLFESQPDDISSCILLSNIYADLKRWNDVAKLRSMLVDRGVKKEPGCSWIEINGKLHVFLSQDGCAKY >ONIVA01G24890.1 pep chromosome:AWHD00000000:1:22024148:22027608:1 gene:ONIVA01G24890 transcript:ONIVA01G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSIVGAAGASRAELQKELRIWAVSSISVFQNQQFFGVLLNMLVNPPLPVYSLLSADDEILVRDVLYDALILVDYSFINKGAGVDQADSSLLPLYVSRLLITHDAINDARSKGDQGRAMSFMNAFFTSNIPTYFAKCATSQVGFNQLSKPAAITPQALLKWLVDLEDKGFKVFGENVSRIRERLMYDEVKNGYQSRMTHSDADLFFIDKLSGGEVMDTRAGEDEEAVEMETADNAFMAAAQSMKAMANGMRKRKDCGAEDANVVKFVKYKVEDSSVKDYFLSANNGMSSGSEVENPQSDDEMEETD >ONIVA01G24890.2 pep chromosome:AWHD00000000:1:22023923:22027608:1 gene:ONIVA01G24890 transcript:ONIVA01G24890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGTHPPVSPPPRPLPSSKTPHAPRPFRTPPPFPNQTPSPSPLTLLPSKPRVRVSAPLLPTGFRIHPPPPPPPAMAAGSIVVDFPSMGAACCFSSLESLLRDSTSRFLAAVSAAPDPDLTNFRSLFSRVLNTYPDPPLEAVWFFSALSFHDNPGDLRSLLHLLSAFTASSRSAAKPLALLAPVVSELYHSAKPRREAEALVEAVLSYISICSSRAAPAAGDGAGAGADAGSLLPAFGELVKVWSVRHSRDRCPFQVLFPLVGEDARRELMREGCSVAFLAGAVVAEAFLLRLCLKVQGAAGASRAELQKELRIWAVSSISVFQNQQFFGVLLNMLVNPPLPVYSLLSADDEILVRDVLYDALILVDYSFINKGAGVDQADSSLLPLYVSRLLITHDAINDARSKGDQGRAMSFMNAFFTSNIPTYFAKCATSQVGFNQLSKPAAITPQALLKWLVDLEDKGFKVFGENVSRIRERLMYDEVKNGYQSRMTHSDADLFFIDKLSGGEVMDTRAGEDEEAVEMETADNAFMAAAQSMKAMANGMRKRKDCGAEDANVVKFVKYKVEDSSVKDYFLSANNGMSSGSEVENPQSDDEMEETD >ONIVA01G24880.1 pep chromosome:AWHD00000000:1:22016557:22023808:1 gene:ONIVA01G24880 transcript:ONIVA01G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0E0FP66] MNGEAVVPEPAAAASSPAQASPSPPEWRFSQVFGERSAGEEVQDVDIISAIEFNKSGQHLATGDRGGRVVLFERTDAKDNACRKEAEKVDYSVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGALFLLSTNDKTIKFWKVQEKKVKKVSEMNLSPSNASANGRPAGSNASGSSAYLPNGGISKPVGLNSLRLPVVVTSQETSLSASCRRVFTHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIIDGSIRLVDLRQSALCDTHSQVFEQHEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDINMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFGCGTGSNEATTLEATRNPTRRQLQNPTRPARSLSTLTRVVRRGAESTGIEANGNSYDLSTKLLHLAWHPSENLIACAAANSLYMYYA >ONIVA01G24880.2 pep chromosome:AWHD00000000:1:22016557:22023808:1 gene:ONIVA01G24880 transcript:ONIVA01G24880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0E0FP66] MNGEAVVPEPAAAASSPAQASPSPPEWRFSQVFGERSAGEEVQDVDIISAIEFNKSGQHLATGDRGGRVVLFERTDAKDNACRKEAEKVDYSVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGALFLLSTNDKTIKFWKVQEKKVKKVTSQETSLSASCRRVFTHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIIDGSIRLVDLRQSALCDTHSQVFEQHEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDINMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFGCGTGSNEATTLEATRNPTRRQLQNPTRPARSLSTLTRVVRRGAESTGIEANGNSYDLSTKLLHLAWHPSENLIACAAANSLYMYYA >ONIVA01G24870.1 pep chromosome:AWHD00000000:1:22008462:22011200:-1 gene:ONIVA01G24870 transcript:ONIVA01G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAQLRSKAAQASELVSKHGCAYYKEVMEKNKQHVVQPPTVEKCQELSKQLFYTRLASLPGRYEAFWKEFDGVKQVWKNRKELKVEDLGIVTLFGVELYAWFCVGEIVGRGFTITGYKV >ONIVA01G24860.1 pep chromosome:AWHD00000000:1:22005880:22007838:1 gene:ONIVA01G24860 transcript:ONIVA01G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein [Source:Projected from Arabidopsis thaliana (AT4G23950) TAIR;Acc:AT4G23950] MDGGLREVSLSVVFSVWCLLFLLRSQFLHSQTDPSDFYDDVEDGMRENYCKVMPLEAYIFPTEYNASAAAPTCQPSLHPPDQPQQETDHRSLEPFNNTTGGKSSAEAAALDELDEFRSRILQGKAENGRVPDGATPAAHRLEPSGAEYNYAAASKGAKVLAHNREAKGAANILGGDKDRYLRNPCSADDKFVDVELSEETLVRTIGLANLEHYSSNFRDFELYGSPSYPAPAEEWELLGRFTADNAKHAQRFVLPDPRWTRYLRLRLATHYGSGFYCILSYLEVYGIDAVEQMLQEIISGSGADTDASAAAKAEEGGDGGTLRNDTAQVNARLDGVGGGGGSAAGRNDSAGDGAGAKNNGSRMTVAGDGKPAAAGRFHGDAVLKIMMQKMRSLELGLSTLEDYTKALNHRYGAKLPDLHTGLSQTTMALDRMKADVRDLVEWKGNVAKDLGELKEWRSNVEEMRSIQETMQNKELAVLSISLFFACLALFKLACDRVLFLFTRKGAAAAERMCGASKGWILVLASSSFTTFLVLLYN >ONIVA01G24850.1 pep chromosome:AWHD00000000:1:21998109:22000694:-1 gene:ONIVA01G24850 transcript:ONIVA01G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTFSVECFYIVFEDEIHQTIVENNLFKPGDRVAIGASGGKDSTVLAYVLSELNHRHKYCLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIISYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEILDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGSPQQQGCQSKEHKLCKACVLLDGLNRGLPKLGVGRTKGVAGGDSDCEQQAKRPERSRSSLQGKHGNIDF >ONIVA01G24840.1 pep chromosome:AWHD00000000:1:21981462:21982964:1 gene:ONIVA01G24840 transcript:ONIVA01G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSLLATALLLLLLAIAGGPNAAAVAEAVRYQTLVATPLSPHPYTATAVEDDGLFQGSLAADEGGAAASTVGLRVVHRDDFAVNATAAELLAHRLRRDKRRASRISAAAGGAAAANGTRVGGGGGGSGFVAPVVSGLAQGSGEYFTKIGVGTPVTPALMVLDTGSDVVWLQCAPCRRCYDQSGQMFDPRASHSYGAVDCAAPLCRRLDSGGCDLRRKACLYQVAYGDGSVTAGDFATETLTFASGARVPRVALGCGHDNEGLFVAAAGLLGLGRGSLSFPSQISRRFGRSFSYCLVDRTSSSASATSRSSTVTFGSGAVGPSAAASFTPMVKNPRMETFYYVQLMGISVGGARVPGVAVSDLRLDPSTGRGGVIVDSGTSVTRLARPAYAALRDAFRAAAAGLRLSPGGFSLFDTCYDLSGLKVVKVPTVSMHFAGGAEAALPPENYLIPVDSRGTFCFAFAGTDGGVSIIGNIQQQGFRVVFDGDGQRLGFVPKGC >ONIVA01G24830.1 pep chromosome:AWHD00000000:1:21979777:21980350:-1 gene:ONIVA01G24830 transcript:ONIVA01G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACITNPSSSRSTTRGRGQEKPNTARIPSDAVQLGLCAQPTMVPETAVSSRSHVVFAHVDVLQHGEANEEALALLIDYLSSGNSPDAEGAPELATSRSVSFARTPWSPQSCDMCSNARTWSTSWRGLGPTPLTSFGAPEMELGGPNWREGR >ONIVA01G24820.1 pep chromosome:AWHD00000000:1:21976734:21978630:-1 gene:ONIVA01G24820 transcript:ONIVA01G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEVGGMTYGEVVDYFNSLQDPPAQEHIDCIIPYLISLLPAPFVPAPPDAASADDSEDDHFSFTSSDDYSAAEDARSFPSPPPGDGEEDHISRLADDLLSEIISRLSTKEAARTMALSTRWRRVWAKTSLLVDDAHLRDADNEVSLVRAISRCVDAHPGPVRAARITHVAFYHHEYALRRLVASLADKNVEDLILFNRPWPLNMPLPDDIFRCAYLRRLYLGAWMFPEVAAAALVNLRELGLFHCIIPDRDFDALLSLCSKLEVLSLAMSYNCPSRLRIKSPSLRAAVEWMSSLDEIVVDGAPCLERLHHAIPVAERTPIKIVSAPRLEVLGILDLQLHELQIGGTTIRPGMWMFVKSSAKLPSLKILAVKVCLAIEREIKLLMTLLKCFPHLETLHIKSIPPCASPEIANCADVWESLGSCECLKSHLKTVSIQGFHTERYEVLCLKYLILEGEVLETVAFFCEDKVCFAAKDDEAAEIELMFPKNLVQDRWSFQSAIDLSLDDPFFYAVES >ONIVA01G24810.1 pep chromosome:AWHD00000000:1:21971223:21975542:1 gene:ONIVA01G24810 transcript:ONIVA01G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCLPTKQVGRSTHSLNPREAVALAAETSCENVDLPDFVNIATGTPFTVNEVEALYDLFRKLSNSIIKDGLIHKEEFHLALFRNKKTNLFVDRVFDLFDQKGNGVIEFDEFVRSLSVFHPDAPEEQKAGFAFKLYDLRQTGFIERHELKEMVLALLDESDLNITSDAVEMIVDRTFDQADTKGDERIDQEEWNEFVKNNPYVLRNMTLPYLKDLTMVFPSFVIHSEVSEADMVA >ONIVA01G24810.2 pep chromosome:AWHD00000000:1:21971223:21975542:1 gene:ONIVA01G24810 transcript:ONIVA01G24810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCLPTKQVGRSTHSLNPREAVALAAETSCENVDLPDFVNIATGTPFTVNEVEALYDLFRKLSNSIIKDGLIHKEEFHLALFRNKKTNLFVDRVFDLFDQKGNGVIEFDEFVRSLSVFHPDAPEEQKAGFAFKLYDLRQTGFIERHELKEMVLALLDESDLNITSDAVEMIVDRGPHHGIPKFCDSLRSQRSRHGCLT >ONIVA01G24810.3 pep chromosome:AWHD00000000:1:21971223:21972992:1 gene:ONIVA01G24810 transcript:ONIVA01G24810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHFLQVNRQEDYRSTDTEAGAAARREGYNKRRPETTTEKSGRRGTAGAELQSRPRIHLLRRQLTGSLLHLLELY >ONIVA01G24800.1 pep chromosome:AWHD00000000:1:21929020:21940517:1 gene:ONIVA01G24800 transcript:ONIVA01G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FP56] MDEQQPLHILFLPFLVPGHLIPIADMAALFAARGVRCTILTTPVNAAVVRPAVDRANDDALRGDAGGAPAIDIAVVPFPDVGLPPGVESGTALASEEDRGKFVHAIQRLREPFDRFMAEHHPDAVVADGFFTWSVDAAAEHGVPRLVFLGTGVFARSCQESMVRHNPVEACPDDDPDAAVSLPGLPHRVEMRRSQMIDPKKRPDHWAYFKMMNDADQRSYGEVFNSFHELETDYVEHYRTALGRRAWLVGPAAFASKDFAARGAAELSPDADGCLRWLDAKPHGSVAYVSFGTLSSFSPAEMRELARGLDLSGMNFVWVINGTADDTDASGQWMPEGFPELISPHGDRGLTIRGWAPQMLILNHPAVGGFVTHCGWNSTLEAVSAGVPMVTWPRYADQFFNEKLIVEVLKVGVSVGSKDFASNLENHQVIGGEVIAGAVRRVMGDGEEGAEAIRKKAAELGVKARGALEKGGSSHDDVGRLMDALMARRTSVDIWYVELYLT >ONIVA01G24790.1 pep chromosome:AWHD00000000:1:21925730:21927320:-1 gene:ONIVA01G24790 transcript:ONIVA01G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSFVEATSTAAATANDTTAPAKPAGAMPQLKLLVDKRSHRVLYAKAHKDAVDFLIGLLHADADVSLEEFGDSLCPPIPCAGELLTLLDSDFAVVTDRPLLYVQVTRLRCGGFVFSTQICHNLVDAAGIMQFLQAVGELAEGAERPAGCHVSKTRQKYCQGTFGEWFERV >ONIVA01G24780.1 pep chromosome:AWHD00000000:1:21905516:21906139:-1 gene:ONIVA01G24780 transcript:ONIVA01G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQPDDLRGEEDWRKNKLAFPIAGSEPHLTWAAAVRRMDSRLIHQLERRGVAMKEGCGGRADTSFSREQAESRKGEEGHVA >ONIVA01G24770.1 pep chromosome:AWHD00000000:1:21899070:21902278:1 gene:ONIVA01G24770 transcript:ONIVA01G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKSPIDEALLHGKHEEALLHGKHDHVEQQLLPTSGGSFCITGASFGRSCLNLSNVISGIGMLSMPYALSQGGWLSLALFAMVGAICFYTGKLIYRCMRADRCVRSYPDIGYLAFGRYGQTAIGLVMYVELYLVAISFLILEGDNLDKLLPGTKVKILGYQVHGKQLFVLVAAAIILPTTWLKNLSMLAYVSAVGLVSSVALTVSLVWANVADKGFHMAGSSILNLSRLPTALSLYFVCFAGHGVFPTVYSSMRARKDFPKVLLISSVLCSLNYTVTAVLGYKIYGEDVQAQVTLNLPTGKLYTRIAILTTLITPLAKYALVIQPVTTAIEEKLSMTTAAAAVAADAENNRLTRVLTSTAVVFSTTVLACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLKIYMPRGGVGRFEVAAIVGILVIGVCVAVIGTYTSLHQIIGTF >ONIVA01G24760.1 pep chromosome:AWHD00000000:1:21894215:21894960:1 gene:ONIVA01G24760 transcript:ONIVA01G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRALKPPRHHRHLSAAVANSSRVAPPLWTTPTCAAISSGSASTWATSATGSFAPNPAGSPSSPCTRGSRPQSRLQPHLTSALAASALRRHHHRLRRRVAKPGHHSVSPIHHRNTISSTQSGRRLSPATSNLAVAAVRSAASGRTSADPPSSPKVAGAPLPPLKVSPSLGLPSSFAGRRRSRLTASSLPPLSSPEERRRSRPSVRASRPLPLVDVPR >ONIVA01G24750.1 pep chromosome:AWHD00000000:1:21872546:21875154:1 gene:ONIVA01G24750 transcript:ONIVA01G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAMNMITAAFRRYLGCFSAGSTHRRRRGAAEPVLAGSLTLPAAAGGDNAARRLDVVAPAPSRPDGVTVSGEDFIGSLPGHVRSAIVSRLPVADAAARTGVLSSSQWNGLWSSTPLVFQDSDLILAANFTSVAPVAAAVSRVIENHPGPFHTVTLTSYFPESERDTFAGWIRVVAAKGVRDLTLHNIPWSGLHVLPADLLQCCAALERLRVCVWRFPATAGVLHPGGGDGDGAVPPSFPRLRELVLNRSAIEEADLENVVACSPALRTLVLAFSRGAPGRVRLASGSLRCVVLCQSLVDELAVVAAPLLERIVLRWCTSGTHHGHLMRIRISRASSIKAIGYLKPTCHRLQIDATVIKPGITASPDEVAVPSVKILGLQVRFGVAAEATMVSCMLRCFPNVETLHIMPIEDPQSTQLDDFEFWEEINSVECVRSSIKKVVFHGFSWKNSEIAFINSIAEGGLVLEKICIFQSRHGAAPDDDELNAKLSMVASLNIGLGRTEIIFSGEDPTWCYRAAADLSRADPFDCCR >ONIVA01G24740.1 pep chromosome:AWHD00000000:1:21860455:21867792:1 gene:ONIVA01G24740 transcript:ONIVA01G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYDSSSDLEHMDTGAKVPAQRTGACWRSCSQIYDGTLKGWSFQEVELLHMDHRENQAFHGGAQDVHGKGHVIGVDQREQLNLRAADEQPVKVISLSVALAQDELPQARQEDGFAGPQGQLLRWLAKERDSVAHHGGAGEDLGREGGGTAHATEVHNDEILDIVIAFQGTDPIPEAVVAVEAGDNPSLGMGEHHWRQAGGTQGREELGWELADAGSIRWGSGVGGGWRRRKPIEDPQSTQLDDFEFREEINSAECVRSSIKKVVFHGFSWKNSEIAFINSIAEGGLVLEKICIFQSRHGTAPDDDELNAKLSMVASLNIGLGRTEIIFSGEDPTWCSRAAADLSRADPSNERRFMLTSLQLIHHQFHFILSPFNSTYRHTTLRINPEIPPPPHCARCQIPSVSITMFSLLSLAHKLCPRFISPNTGERHTSTNDGQDQITVLPNNLLSEIVSRLPIIDAIRTTTLSHGWSRIWHSVPLSLDHSQIRCAGENILVDLSNNAMVARVSSILSSHPGPFNSIHLTCSSMGSHNDALKSWFKAFADKHLKELAFLNLHYPNDIMVPTDLFRCKSLKRLYLGGVQLPANTGIIPCSHTFHELWEICLYRCILHEWDIENLLTCSPKVEKLSLVNSACGWPLRLHIRSHSLRCMLHWASSLEELAMVSTPCLERLILWRDDALEWSDCKKIKICSMPKLQVIGYLNPADHVLQIRDTLIKNDMKANAATVVPSVEVLAMTIRFGVHEEERMVPCFLKCFPSVKILHVKVGILIVFPL >ONIVA01G24730.1 pep chromosome:AWHD00000000:1:21858912:21859369:-1 gene:ONIVA01G24730 transcript:ONIVA01G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPNSESNLINLSKAIPSVKTQAIKLTFGSKEQENKLQSLLKLFSNSMILLVHRHLVFSLISILCFPFYASAHNLQSSCSTIYMEWFSVILHSLKPSWEQLDC >ONIVA01G24720.1 pep chromosome:AWHD00000000:1:21854062:21855724:-1 gene:ONIVA01G24720 transcript:ONIVA01G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNQRLREILAYLPPPPTSSNTSAGPPDGAGAGAVLTPSSSSRARDGDRISQLPAKLLQDVVSRLPAANGARTTALSRPWARIWGFVPLVLDDTLFVIPTMPQAGAGAGAVTGRISSRSHHATVVVDKMLRAIDSHPGPFRSVRLTSTNFHRHDRLGHWIRAMGRKGGVEDLVLVHPGGVARAVTLPPEVLTCTSMVRLAVARCGLPPYADVDLPRLRELVLCEGHFRAANELGRMLAGCPKLESLTLINPNDMPYSAMEVVSSTMKILVLCIFHTKVLDLLDAPSLERLIIWRPMLVMSPYTFMIKITRAPILRAIGYLDTILHVVQIGGTIIEAGTKISLSLTIPSVETLAIKLSFGSKEQENRLLSFLKIFPNVKTLYVMSHPPCTSSCVFHDERDVDFWMKNLGSILCVHSQLTKFTFYNLHGVVLGDLPFIRAVMGTARLLKEMRLFPCDKIFFNR >ONIVA01G24710.1 pep chromosome:AWHD00000000:1:21848249:21849689:1 gene:ONIVA01G24710 transcript:ONIVA01G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASILFFHPRLFSIICLTCISMGSHDDALRSWFRAFADKHLEELAFLNLHYPNDVMLPNTGIVPCTHTFRELQEICLHCCILHEWDVKNLLTCSPKVENLLLISSSCGWLLRLHIRCRCLRCLLHWASSFEDLVLVFTPCLERLILWKDDALYWRDKWHDASALYTVVVPSVKVCATTIRFVGVCKDEQMVPGFLKCFPSVKILHVKGTSLCRCKNAWNYQIASDLSLYDPFGYVISEITSA >ONIVA01G24700.1 pep chromosome:AWHD00000000:1:21845032:21847203:-1 gene:ONIVA01G24700 transcript:ONIVA01G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPGMKEGTRESNLISPSLAIPSVESLAIKLMFGSKDQENRLLSFLKLFPNVKTLYMCPPPQHDRLLGFSTPDLKIFEDEWH >ONIVA01G24690.1 pep chromosome:AWHD00000000:1:21844517:21845010:-1 gene:ONIVA01G24690 transcript:ONIVA01G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCGGANGINDGKRETISKRRLLGRADLVLTTISRGVVSGSGAPLTNGGEDGVCGQGKGGRTWWFAWTQFSSGHNVGQGFCDMILRALYPLRAIEGRRNVELGLIWASCGERIKRQITSPFN >ONIVA01G24680.1 pep chromosome:AWHD00000000:1:21838303:21840685:1 gene:ONIVA01G24680 transcript:ONIVA01G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIVRQILGWFPGHPASGNGATLSAAEGYGSEDRVSALPDDLLRNIVARLPVKDAARTAALASRWRRVWSSTPLVLRDADLLLSAGEAAGVAAVGRALAGHPGPFRVVHIEHCMFAFHRPELAEWARLVAAKGVEDLVFVNIPVHYFDVPLPADILRCAALRRLFIGNWNFPATSGFPRGADVFPHLLELYIWNTVMSARDLNHLLACSPVLQSLALALNSHPNRVRLCSQSLQCVLLFLSKAEEVAVVDAPLLQRLVLWKISTSYDTDDELPVKIRLACAPELQVLGYLEPRAHQLQIGETIIKADTKVIPSSMVPSIKVLALRVNFCVQEEIAMLSDIDDEFTGQPHAKFWQEVYPVECINSHVKKIVFHDFHGDKCELEFIDFIARTAQELQALLLMLTSKTYGPVVDVDEVNSQLGVLSFASEECITSLLGPKVQMVHIFHRSLDLSVDDPFL >ONIVA01G24670.1 pep chromosome:AWHD00000000:1:21829894:21830373:1 gene:ONIVA01G24670 transcript:ONIVA01G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACAVSTGDCGRETMRRWIRLPLYAPCAWIQLWRRGAGGASADPVPPSSRVDPARMKIMGMRQDGGNLRGGELVLRHPWSSGGHPRRRWHRACLSPSFHLLHRRKERPPPVVSVLNLETTESHLFSIRRIQKEKKAARINNIINQLFFLVLVGRCPDI >ONIVA01G24660.1 pep chromosome:AWHD00000000:1:21826278:21828589:1 gene:ONIVA01G24660 transcript:ONIVA01G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRALMTMEKVLVQMEKDGVDSRMLDLGADCMCKLLVASLPDPPVYPDALLSANDDDSSGDGTGAEDRIGALPDDLLGGVVSRLPIKDAVRTAALSSRWRRIWLSAPLVLVDGHLLPPGEEAGQLPLDASGAVAAAVSRVLEAHPGPFRYVELTSSAMGARARRGDLARWLHLLAVKGVRELVFVNRRRPLDVALPATVFALAPLSRLYLGTWKFPDTAALPRGAGFPHLRELGLYCVAMEDRDLDFVLANSPVLECLGIYYSQRQIVLLRLASHSLRCVQICMCIAEDIAVVDAPRLERLLIWEMFEDDNHATRLSIGHAPNLQLLGYLRPGIHVLENGNTIIKAGTKASPRTIIPSINVLALKVRFEVRNEAKLLPSFLRCFPNVEKLHVKSEKSDEPVGRLNLKFWQEAGRIECLQSCIKYVVFHGYRGDRSELTFLKYILGSGQVLQEMVIVVANGMFSTQDEVGEKLVKPLSSVKMVSGDCNITVVEGTVHDEEDSCCLRDAFDFSIDDPFDRYL >ONIVA01G24650.1 pep chromosome:AWHD00000000:1:21821866:21825582:1 gene:ONIVA01G24650 transcript:ONIVA01G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRRGRGDGDGAAMARWWGSPRRRRRGGRALRGGRLRLRRRVTESPDPVAPLARATGDGLGRRRCRSGIGGWPVTGFSGVGSSATTASGRRRLTFVGGGSSPALGGARSGAGCRRPGRGLPICCDFAGDGDGASISLLLFLQIRFPSSLVVLLPVLTGGAAPAPLFLGAPPFLG >ONIVA01G24650.2 pep chromosome:AWHD00000000:1:21815364:21822021:1 gene:ONIVA01G24650 transcript:ONIVA01G24650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRHGLRVALARRLGGETLAAAAPPPPSSVLRHRRSSSPAKTGDALGWRRRGHPPRLHLAPAWAWRQWRWDGEVAGMGTARRWRGGGALHGDGGAAAGLSAEVGSGSGDG >ONIVA01G24640.1 pep chromosome:AWHD00000000:1:21813114:21815202:1 gene:ONIVA01G24640 transcript:ONIVA01G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIMRAIFACIPGMRSPASPGGCLSAAFGGGDDDGEEGEGEGEDRISRLPDAVLSSIVSRLPVKDGARTAVLSPRWRRVWASTPLVLDDADLLPDDDGPQIFWRDVADAVSRVLAAHPGPFRCVRLTNACSYAGSRGAAALSRWLGVLAAKGVQELVLVFLHAWPVRVEPPADVLRVSSLRRLYLGLWRSFPDTEHLRPGADVFPNLVELGICRTDIKAKDLDRLLQCSPALETLAFVVSYNTQPNVRVRSRSLRCVLFWMSIAEELAVVVAPRLERLILWNGCPCTRRVRRDFRTRVKIGYTPELKVLGYLEPRIHVLEIANTVIEAGTKPSPGTMVPTVKVLAMKVRFGVRQEAKMLLSFLRCFPNVETLNIMDVAPVECLKAHIKKVVFKNFRGERSELAFLRFVLERAQILQTLVVVLTDGDGDHASQEELGNRLKPLIYSTHRASKCAEFFIFVRCGGTSWSFRTASDLSRSDPFDC >ONIVA01G24630.1 pep chromosome:AWHD00000000:1:21804227:21806773:1 gene:ONIVA01G24630 transcript:ONIVA01G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLNPRVVGCGERTLVLSHGYGGSQAIWDRVLPHLAETNKVVLFDWDFSGGGGDGEKAAAEEEEEYTFEGFADELVALMEEMGVSGAVYVGHSMAGMIGCIASINRPGLFTHLVLVGASPRYINSDDYEGGFDEPEIDAMLATISSDFLSWAKGFVPLIVGAAADNPSAAETLARTFFAMDPRVADALARMIFLGDNRGVLGRVAAPCTLVHASGDPAAPPCVGRYMEGRIGRAALVTVDSAGHFPQLVAPDEMLRILDAVLAEEEEAAAKGGGVAIVMEERGSLAAVGEVEVKGDIDVAT >ONIVA01G24620.1 pep chromosome:AWHD00000000:1:21798573:21799707:-1 gene:ONIVA01G24620 transcript:ONIVA01G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVGALLAAASCLPAPRAPTCPTTCCMLRLPRATVTHRLAQQRSSRCVRTSAGAVGSRIDQLLKSHKLNVGPGRRITCTFLARCEDPAKEKDMGVVGREGVKRQYESVFRKMTQGFAEMGRRRGVGVVRMKEGLGEGDPTVQAARDKEEEATRDIDTGLEEEKDGGSMNPTNIRIKKEGGEKR >ONIVA01G24610.1 pep chromosome:AWHD00000000:1:21793542:21794024:1 gene:ONIVA01G24610 transcript:ONIVA01G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT5G19590) TAIR;Acc:AT5G19590] MATPTAQTAALLAAAAALFLLASAALPVVRSDAGGDGDVTAYDELRHRGFPLGLLPANVRGYTLDSGSGDFAVDLASSCRIVLPAGSYLASFSDRLTGRLDDRRISGLSGIRVRAFFRWWSITGIRADGDELVFEVGSVSAKFPARHFNASLECPAKADS >ONIVA01G24600.1 pep chromosome:AWHD00000000:1:21785308:21786322:1 gene:ONIVA01G24600 transcript:ONIVA01G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGLKKAFRWFPHSNEDHHHLEEDEGSSERRGLLRSHLEQVVPVTDLEDEPNASSSAVKEPKTVALKVSMHCHCCARKVEKQILKMEGVVSFKVELENKKVTVVGNVNPMEVLESICKVMKSAQILAAA >ONIVA01G24590.1 pep chromosome:AWHD00000000:1:21776944:21784339:1 gene:ONIVA01G24590 transcript:ONIVA01G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTTPADAQPEQAHAAEKPPAAPLTPEEAEAEAETAGSGDDEEYVSDPDDALLPEMRRREASDDEGSEEGRARIGSDRGDGDDGDGQGAAEVYEDEAYEDDDEEYYDDLAEEEVGEGFEEEYDGRAEPPKEVAGAQGEDGEKGDVEGEAAVEGDGEEKKEQEPFAVPTSGAFYMHDDRFQEESRGRRRRMFGGRKLWDAKDDQAWVHDRFEEMNLHEEHYEDKRMSRGRFRGRGGGGRTRGTGHGFARGGKYRGYNEDINNNHQNRPQKVVRGRGPRRYEAVAKNNRDVVGFQRKQPARSRESAASASAVRESGQTLNAQSEMAPPKKNVVNSSLNSASPPFYPSGASNPDFSVPAQRRDNMQAGGSNKVFPSSMKMDDNAKVQSGPAVRRDYGARDRFQHADGPVRQSPRSGGTSLNSSGFAASTVNHGQSSVVRTQGGNGIPSNNQSTSSLHQNPRAPTHQQSHTSVVHQKSGQVQTQSAMRIPTQQLNHRTGNPSTTQHLPVRSTESVENGLYPSSNKSNASSGAGKTNSQEAGRGSFMYGGAQVIGAAGAIGLAQGEQNFPGTPALLPVMQFGSQHPGGVGVPTVGMALPGYVAQQQMGMGNNEMTWLPLLTGAAGAFGGSYPPYIALDPAFYSRSSGQTSSSVPSRESIANKGASPPRNDIVNEEVDQRQNKPRRQILRDELQSVKHHAIFLSP >ONIVA01G24580.1 pep chromosome:AWHD00000000:1:21775695:21776172:1 gene:ONIVA01G24580 transcript:ONIVA01G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSARARPLEFTLNPEPLNVKEHVFISFFANSGATAILVFYGKHISFFVYMVEPAAMWRPSNLVITIAAPTLLSASSSLHKSFTVTAT >ONIVA01G24570.1 pep chromosome:AWHD00000000:1:21774364:21774903:-1 gene:ONIVA01G24570 transcript:ONIVA01G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTATRAHAPPRLRFSFIASSIHGAAVSSSVLAKLAASMPVTREIIAGAGAGSAAAAAAVLALLVAAAGGDELAAAVGSSSSIMPPCFHACFDQCVQREEYWFCQFSCYRRCGAGAIAIAIAAGRFSGTGDCEHACALSMCGQIDPGSKMMAVCRDTCGKSYAAAGCRRRPTSLTAAV >ONIVA01G24560.1 pep chromosome:AWHD00000000:1:21772476:21773455:-1 gene:ONIVA01G24560 transcript:ONIVA01G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAVAVLLLAVAAAPLFAAASAHGRGYLGAKSWPPDGSLSSSSSGEAAAADVDDGHTDWKCYSSCMSKCCHRHDDDDDDKANAKANATAGAAAVGLDDDYKCKKQCLGNCFKDVPAVCYHKCVDDWCAKLPPYSSAKVQCYKKCGDKCFHHGPKPGPKPKPKPSPPKPKPGPKPKPPKPGPKPKPPKPGPKPKPKPPKPGPKPKPKPPKPGPKPKPGPPQPWWPIPFPKPPCPPGADGSSSAVDDKNN >ONIVA01G24550.1 pep chromosome:AWHD00000000:1:21726396:21729758:-1 gene:ONIVA01G24550 transcript:ONIVA01G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMSSAYPHHWLSFSLSNNYHHGLLEALSTTSAPPLGEEGPAEGAPKMEDFLGGLGGGGGAVAAAPAAAPEDQLSCGELGSIAAGFLRRYPAPENAGGVTIAMATDAAAELADPARRTAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVANYETELEEMKSMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVDSILNSDLPVGGGAATRASKFPSDPSLPLPSPAIPPSEKDYWSLLALHYHHHQQQQQQQFPASAFDTYGCSSGVNVDFTMGTSSHSGSNSNSSSSSAIWGTAAGAAMGRQQNGGSSNKQSNSYSGNNIPYAAAAAMTSGSALYGGSTGSNGTWVASNTSTAPHFYNYLFGME >ONIVA01G24540.1 pep chromosome:AWHD00000000:1:21717814:21728619:1 gene:ONIVA01G24540 transcript:ONIVA01G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIKESSNQEVRKMEAPLEKPLLFLKKKRKQSRNPHNEVNM >ONIVA01G24540.2 pep chromosome:AWHD00000000:1:21717840:21728619:1 gene:ONIVA01G24540 transcript:ONIVA01G24540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCRVMDFISSSSVS >ONIVA01G24530.1 pep chromosome:AWHD00000000:1:21708668:21712420:1 gene:ONIVA01G24530 transcript:ONIVA01G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSAWCPRSRSGTARLRLQMVDVDRHSALAASVTSPPAAPPPLLVSSLTSPPLGSTLVSSGTASTLASASNGRTMTMVCVRQPPAAPCASSLPQPLSVFTPDIRPRHSSQLPRCSRRRRRRLSRRSSAFPPPPSRAVRRHCRGQESGAGAVTAGREERGRRSSGAAGDGRRGSGDAGEGRRSAGRGGREEGRAAGSPAAAPLRWGDRRRGPRRGGYLLIVAIVVGLDEAVKLGDAVLLTSSSSSSCAGAAADAVAAPLHSPGRSLAAAPLRWPYACLPPPLCSAARPLAATFARLPRLLPQRLHAQHAQLGISAGVAVAAYGEARFDAFGVMLQLVAVAAEATRLVLIQILITSKGMSVNPITSLSHRAVLPRVLDAAMVMKYLEKKTIVWTEE >ONIVA01G24520.1 pep chromosome:AWHD00000000:1:21704377:21708330:1 gene:ONIVA01G24520 transcript:ONIVA01G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMSSAYPHHWLSFSLSNNYHHGLLEALSTTSAPPLGEEGPAEGAPKMEDFLGGLGGGGGAVAAAPAAAPEDQLSCGELGSIAAGFLRRYPAPENAGGVTIAMATDAAAELADPARRTAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVANYETELEEMKSMTRQEFVLSLRRKSSDFSRGASIYRGVTRHHQHGRWQARIGSVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVDSILNSDLPVGGGAATRASKFPSDPSLPLPSPAMPPSEKDYWSLLALHYHHQQQQQQFPASAFDTYGCSSGVNVDFTMGTSSHSGSNSNSSSSSAIWGTAAGAAMGRQQNGGSSNRQSNSYSGNNISYAAAAAMASGSALYGGSTGSNGTWVASNTSTAPHFYNYLFGME >ONIVA01G24510.1 pep chromosome:AWHD00000000:1:21698210:21698500:-1 gene:ONIVA01G24510 transcript:ONIVA01G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTLGSGGGNRQIRAASAGSGGMVAGRGEGVYNDGGDGDGDIRVSTKEAAGMVTAMGWRRAKTADLAAGRPNLAPPRWIRMVRASLRGLVWLAGW >ONIVA01G24500.1 pep chromosome:AWHD00000000:1:21691250:21693791:1 gene:ONIVA01G24500 transcript:ONIVA01G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRLRPSLLAARGPRCGLAAASPARNGKGPVSLSSQRTQGPSFDQCQKFFGWESSHHRIPHRPTTSSADASGQPLQSSAEAHDHQALSIVSVSLLAVENLSDVSPLFLTGLLEAVVAALFMNIYIVGLYQLFDIEIDKPTLPLASVEYSPATGVALVSAFAAMSFGLGWAVGSQPLFRLFSLALFL >ONIVA01G24490.1 pep chromosome:AWHD00000000:1:21682500:21687380:-1 gene:ONIVA01G24490 transcript:ONIVA01G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAAGGASPAPEAPPPPPRGWISGLVSGAGRILASVLGPDSPAAASGSATTTSATSPSASSSPASSRHPDHGNSPLFFPKANKLNKSENEAIMKDYSEASLAIISEIEPKDAIMQLLKQETYSRSECNALVKIIQERVVDSNLNGVDAGGLALPINWKTGRQANIGYSSLSPKGLLPATSIPPVQDHVFDNSAGAGASTTIAHDRGPFAHATDKIQSVLKRSCSVATDTPDPEDSRRVRPKINGNSLEISNFKQVDVIRTHSGDDNKLSDVPLFGTNNLIYSNIVSIVGSADEKIGIPNKPSAGDDNKNYDSEFLNPCTNKDLKNSFPLKVEPLDVCIPFEQQMMDLSHQKHELGVPLENGSKNRRRRAPNTQRITPARSPAKGSRRKSNDVTVKSETDLLEQSKGSHDVTVKSEIDLLEQSKLALMEQSPDLGDIPVKRPVGRPRKAK >ONIVA01G24480.1 pep chromosome:AWHD00000000:1:21654948:21666739:-1 gene:ONIVA01G24480 transcript:ONIVA01G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRLRPSLLAARAPGAASLPPLRRACVSNHHAIDITCLCFGKITFYHLYVLSIEMVNGQFLCPAKGPKVLPSVNVRNSLVGNPPTTGYHIDQQLVPLTLRDNLYNLQLKQMIHQALSIVSVSLLAVENLSDVSPLFLTGLLEAVVAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYSPATGVALVSAFAAMSFGLGWAVGSQPLFLALFISFILGTAYSINTFVFRRPAVFTRPLIFATAFMTFFSVVIALFKDIPDIEGDRIFGIKSFSVRLGQKKVVGHAILAAILWNRSRSIDLTSKTAITSFYMFIWKLFYAEYLLIPLVR >ONIVA01G24470.1 pep chromosome:AWHD00000000:1:21621517:21623400:-1 gene:ONIVA01G24470 transcript:ONIVA01G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRMNAAGDESPFPFGAMQAPGPGAYVGFDHGAAAVAAAQRAGMLQHHHHHMYDGLDFAAAMQFGGGQDAPPHPQLLALPPSMAAPPPPPMPMPLQMPMTMPMPGDVYPALGIVKREGGGGGQDAAAGRIGLNLGRRTYFSPGDMLAVDRLLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEYHAKASVVAASGKQQRFCQQCSRIVTLWVYVHVLDRFHVLTEFDEAKRSCRKRLAEHNRRRRKPAAAATTAVAAAKDAAAAPVAAGKKPSGGAATSYTGDNKNVVSMSAAKSPISSNTSVISCLPEQGKHAAAAARPTALTLGGAPPHESSAPQIGAMLHHHHHHQQDHMQVSSLVHINGGGGGGSNNILSCSSVCSSALPSTATNGEVSDQNNDNSHNNGGNNNNMHLFEVDFM >ONIVA01G24460.1 pep chromosome:AWHD00000000:1:21608914:21615222:1 gene:ONIVA01G24460 transcript:ONIVA01G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVTSGSKMLTIEVRDRILAVLERDLEVKVDKLGSSVHSAESEKMEFLGMEFQAVPPSVLHPPMSEKAKRARKMYLKRKAAKAQELKNARETRRKKLGLKILSHLFKKVRRGHEFEFDFQIESEVQQLFKEWAEEVVTEYFKSPEHCRYWHRLLTSGDFLSLARVRDQLPPELVDSYDKFQKTLDRFLMPMRDCGTSDEEERLAEEEERQYEKRTVQDLTELKMRVNAPIELVRKAVKLAGFTNSMGRPRPIKLLICLDDTDIINWYAGVGRRWLDFFCCCRNFKMVKTVVSYHLRFSCFLTLAEKHECTKRQAISHYSKDLKVTNDDGVAEVHFPTEREIRMMGDKNLSDPKPVDGALTMIFVRLAVDDTTYPCVAHFCAETDTVIYRIRLLQNRLNVDPLNEKKWVQGLSAIHESLNKKCLPLCPMHASDLLLGKITLQDIDCTRFVDVE >ONIVA01G24460.2 pep chromosome:AWHD00000000:1:21608914:21615222:1 gene:ONIVA01G24460 transcript:ONIVA01G24460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVTSGSKMLTIEVRDRILAVLERDLEVKVDKLGSSVHSAESEKMEFLGMEFQAVPPSVLHPPMSEKAKRARKMYLKRKAAKAQELKNARETRRKKLGLKILSHLFKKVRRGHEFEFDFQIESEVQQLFKEWAEEVVTEYFKSPEHCRYWHRLLTSGDFLSLARVRDQLPPELVDSYDKFQKTLDRFLMPMRDCGTSDEEERLAEEEERQYEKRTVQDLTELKMRVNAPIELVRKAVKLAGFTNSMGRPRPIKLLICLDDTDIINWYAGVGRRWLDFFCCCRNFKMVKTVVSYHLRFSCFLTLAEKHECTKRQAISHYSKDLKVTNDDGVAEVHFPTEREIRMMGDKNLSDPKPVDGALTMIFVRLAVDDTTYPCVAHFCAETDTVIYRIRLLQNRLNVDPLNEKKWVQGLSAIHESLNKKCLPLCPMHASDLLLGKITLQDIDCTRFVDVE >ONIVA01G24460.3 pep chromosome:AWHD00000000:1:21608914:21615222:1 gene:ONIVA01G24460 transcript:ONIVA01G24460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVTSGSKMLTIEVRDRILAVLERDLEVKVDKLGSSVHSAESEKMEFLGMEFQAVPPSVLHPPMSEKAKRARKMYLKRKAAKAQELKNARETRRKKLGLKILSHLFKKVRRGHEFEFDFQIESEVQQLFKEWAEEVVTEYFKSPEHCRYWHRLLTSGDFLSLARVRDQLPPELVDSYDKFQKTLDRFLMPMRDCGTSDEEERLAEEEERQYEKRTVQDLTELKMRVNAPIELVRKAVKLAGFTNSMGRPRPIKLLICLDDTDIINWYAGVGRRWLDFFCCCRNFKMVKTVVSYHLRFSCFLTLAEKHECTKRQAISHYSKDLKVTNDDGVAEVHFPTEREIRMMGDKNLSDPKPVDGALTMIFVRLAVDDTTYPCVAHFCAETDTVIYRIRLLQNRLNVDPLNEKKWVQGLSAIHESLNKKCLPLCPMHASDLLLGKITLQDIDCTRFVDVE >ONIVA01G24450.1 pep chromosome:AWHD00000000:1:21595436:21606702:1 gene:ONIVA01G24450 transcript:ONIVA01G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLDDEEEECAIGEQRASPELCRIGLRRSPSIPRASRAAVVRPAASAASITLHTFSSLLKQKSPKTSPTKPRGSMAVSMREVDAVFQGAGQKDGLEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETERFLSYFKPCIIPEEGGIASGFRHTEINEREHVTRLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDSNHEGKCDVGSVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDSAFSSNVNKGQTVPVDFDVLTRELLDSTKCYLLDCGSEIYVWMGRETPLEERKRAGSAAEELLREGNRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKGLAKAAPVKEEPQPQIDCTGNLQVWRVNGTEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKKSVQDEKTTAISVASKMVESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSTGYKKFVSENGIEDDTYSENGVALFRVQGSGPENMQAIQVDTAATSLNSSYCYVLHDGDTLFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYPSQKIAKDQESDPHLFSCTFSKGVLKVREIFNFTQDDLMTEDVFILDCHSCVFVWVGQRVDTKMRAQALSVGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERRLSIVKDGVKPKLDKPKRRPTTSSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAIRKPSPKSPSSDPTKPPQRAASIAAISASFERPRPTLIPKSIKASPDVNKPQVEASKPKPEANGKDSTPSKDSPTVTPTIQEDLKEGQPENEEGLPVYPYERLRTSSINPVTDIDVTKRETYLSAAEFRERFGMTKEAFAKLPKWKQNRLKIALQLF >ONIVA01G24450.2 pep chromosome:AWHD00000000:1:21596305:21606702:1 gene:ONIVA01G24450 transcript:ONIVA01G24450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKKVWGVQQLNPMPHARSATGEHTFSSLLKQKSPKTSPTKPRGSMAVSMREVDAVFQGAGQKDGLEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETERFLSYFKPCIIPEEGGIASGFRHTEINEREHVTRLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDSNHEGKCDVGSVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDSAFSSNVNKGQTVPVDFDVLTRELLDSTKCYLLDCGSEIYVWMGRETPLEERKRAGSAAEELLREGNRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKGLAKAAPVKEEPQPQIDCTGNLQVWRVNGTEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKKSVQDEKTTAISVASKMVESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSTGYKKFVSENGIEDDTYSENGVALFRVQGSGPENMQAIQVDTAATSLNSSYCYVLHDGDTLFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYPSQKIAKDQESDPHLFSCTFSKGVLKVREIFNFTQDDLMTEDVFILDCHSCVFVWVGQRVDTKMRAQALSVGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERRLSIVKDGVKPKLDKPKRRPTTSSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAIRKPSPKSPSSDPTKPPQRAASIAAISASFERPRPTLIPKSIKASPDVNKPQVEASKPKPEANGKDSTPSKDSPTVTPTIQEDLKEGQPENEEGLPVYPYERLRTSSINPVTDIDVTKRETYLSAAEFRERFGMTKEAFAKLPKWKQNRLKIALQLF >ONIVA01G24440.1 pep chromosome:AWHD00000000:1:21589285:21591131:-1 gene:ONIVA01G24440 transcript:ONIVA01G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 5 [Source:Projected from Arabidopsis thaliana (AT5G20590) TAIR;Acc:AT5G20590] MPPAAALLPWRRLVVPLLAVVSVPFVLPLALPFLLRSSSSRQPLSVHRLDWLPPLSPLPRTPDPSTPPPPPLTLPLAPPTTPPPPPSTLPMAPPTRSSPAASPPPPEKDEGGGTTCDLYDGEWARDEAARPLYAPGTCPYVDEAYACASNGRPDAAYTRWRWAPRRCRLPRFNATDFLATLRGKRLMLVGDSMNRNQFESLLCILREAIPDKTRMFETHGYRISKGRGYFVFKFVDYDCTVEFVRSHFLVREGVRYNRQKNSNPILQIDRIDKSASRWRKVDVLVFNTGHWWTHGKTARGINYYKEGDTLYPQFDSTEAYRRALKTWARWIDKNMDPAKSVVFYRGYSTAHFRGGEWDSGGSCSGETEPTLRGAVIGSYPRKMRIVEEVIGRMRFPVRLLNVTKLTNFRKDGHPSVYSKAAAGKKVSRRKQDCSRWCLPGVPDAWNELIYASLVLEPKPRSWKHH >ONIVA01G24430.1 pep chromosome:AWHD00000000:1:21577316:21589549:1 gene:ONIVA01G24430 transcript:ONIVA01G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQLGGGGVNPLRVRKATTAVIVPWLFVPSGSSQLDTCDGCHCFSSLSLDPPPPKRGGKDRGRERPPLEMGEADEARGEGQPRQRRGRSVGPSLAPVPPPLLHGRRGRVDLATPPPSPAVLFAMGKGGKERKREREIKNPTNHTSLTASPPTAHPLPSTKPNRAIIAALSLSLRRAIIAASPVSSAPPPSPLHCAVSAPPLHPRLPTAPSPRLRAASPPAPPPSLASSPRRRPSLPHRAAAPRLPTTPPPLASPPRRLRASVPLHHRRPELPGSSSSDLLHPRRSLPSASPGHATDLLRATAGTPTPALPTTNKSNEPYLPHGLTSHRAPAPIHETESRYHRRSLALPASRHHRRLAGLLCAAALASPLRRLRAASPPAPPHRAVSAPPRRLSTRAAAVPRLLTAPSSLASPPCRRPSPPHHAAAPRLSTAPSPRLRAATSPSPGVARVVVLRSPSPAPISPVRVARPRHRSPPCHRWNTHARAADDEQLWLAAHTHFIEHVLSVLDFLSALYCGQINKARYSERHSQSYKISVGSPLCPECLVVWDNKLNFHLADIIFTYTAPVVIHDHTASPFCPSRKIALTAINLGKAPTSAQNTPCNDAQRKREETNAKQREYRAKKKAEANKVISPLIFTSQTPLSQTELLAKEKKDERNRKQREWRARSALKKAEEISKIDMISSFHASGTPGRHQRLQSCFLLDTFFPAAALLYTDGWPSLRKLVSFVTLSSRTGNRILPITSSTILIFRG >ONIVA01G24420.1 pep chromosome:AWHD00000000:1:21566171:21575910:-1 gene:ONIVA01G24420 transcript:ONIVA01G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G79950) TAIR;Acc:AT1G79950] MPVYRIRGVDVDFPYDAYDCQITYMDRVLESLQQVTPQSPPIPPRGGGGGGGGGGSQQPPYGSQPSGSQHSGGSASQSSRYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHEEVSKLRGRQQNNACHYLCKKRWCRHHNSVAEFMRNNSELGSEACDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNGIPWDNAVLIFDEAHNLESICADAASFDLLPNNLSSCIAEAQECIQLCSAKRTFENSADKQFDPENYAILKALLLALEKKISEVVIDSKELGHTKPGNYIYEFLSELNITSETSKKLIDTIDGASLLLEEGNSAETGPGMKAKATVCRLETIRDILDIIFRGGGQSHAKYYRFHVNECQQNSGDALKVLGKVSRTLSWWCFNPGLAMEEFLKLDQIWVGVVPVGPSGHPLNSSYRTRETLKYKQELGITIVNFARIVPDGLLVFFPSYSMMDKCINCWKDRNHENSSDEHTIWQRICKHKQPVIEPRQSSNFPNAIEDYAAKLRDSSTTGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKRDYLDKLGSASNKNSKGRNGMYNKRQELSIRLLDVSLDIAMTMEQLFICYKKYGEVVQGLTRFFRDKVSIDSSKPNETDFNDNIVLLADKHKPQETISALAVTTANENQRTALSVNPTTKRSNYIKFAQITPANRSTLSMKHGCSSTSQLLYSGDKLSTDAQVIDLAADVATSHLAGYRFKSLGPKKAKVMVGSKDVCFDDGSPKLQHNVESRALAGCLGEQSTASSKKSNITHAPGNSGAIHEKSGGQESNAGPAFLKLAREKLSTAEYRDFVEYMKALKLKTMHIKDSLDAIAKLFSSPERLPLLEG >ONIVA01G24420.2 pep chromosome:AWHD00000000:1:21566171:21575910:-1 gene:ONIVA01G24420 transcript:ONIVA01G24420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G79950) TAIR;Acc:AT1G79950] MPVYRIRGVDVDFPYDAYDCQITYMDRVLESLQQGKNALLESPTGTGKTLCLLCSALAWRRTFGEFLRGGGGGGGGGGGGSQQPPYGSQPSGSQHSGGSASQSSRYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHEEVSKLRGRQQNNACHYLCKKRWCRHHNSVAEFMRNNSELGSEACDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNGIPWDNAVLIFDEAHNLESICADAASFDLLPNNLSSCIAEAQECIQLCSAKRTFENSADKQFDPENYAILKALLLALEKKISEVVIDSKELGHTKPGNYIYEFLSELNITSETSKKLIDTIDGASLLLEEGNSAETGPGMKAKATVCRLETIRDILDIIFRGGGQSHAKYYRFHVNECQQNSGDALKVLGKVSRTLSWWCFNPGLAMEEFLKLDQIWVGVVPVGPSGHPLNSSYRTRETLKYKQELGITIVNFARIVPDGLLVFFPSYSMMDKCINCWKDRNHENSSDEHTIWQRICKHKQPVIEPRQSSNFPNAIEDYAAKLRDSSTTGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKRDYLDKLGSASNKNSKGRNGMYNKRQELSIRLLDVSLDIAMTMEQLFICYKKYGEVVQGLTRFFRDKVSIDSSKPNETDFNDNIVLLADKHKPQETISALAVTTANENQRTALSVNPTTKRSNYIKFAQITPANRSTLSMKHGCSSTSQLLYSGDKLSTDAQVIDLAADVATSHLAGYRFKSLGPKKAKVMVGSKDVCFDDGSPKLQHNVESRALAGCLGEQSTASSKKSNITHAPGNSGAIHEKSGGQESNAGPAFLKLAREKLSTAEYRDFVEYMKALKLKTMHIKDSLDAIAKLFSSPERLPLLEG >ONIVA01G24420.3 pep chromosome:AWHD00000000:1:21566171:21575910:-1 gene:ONIVA01G24420 transcript:ONIVA01G24420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G79950) TAIR;Acc:AT1G79950] MPVYRIRGVDVDFPYDAYDCQITYMDRVLESLQQVTPQSPPIPPRRRGIGNPSIPFDLSDGGELAGSIRQGKNALLESPTGTGKTLCLLCSALAWRRTFGEFLRGGGGGGGGGGGGSQQPPYGSQPSGSQHSGGSASQSSRYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHEEVSKLRGRQQNNACHYLCKKRWCRHHNSVAEFMRNNSELGSEACDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNGIPWDNAVLIFDEAHNLESICADAASFDLLPNNLSSCIAEAQECIQLCSAKRTFENSADKQFDPENYAILKALLLALEKKISEVVIDSKELGHTKPGNYIYEFLSELNITSETSKKLIDTIDGASLLLEEGNSAETGPGMKAKATVCRLETIRDILDIIFRGGGQSHAKYYRFHVNECQQNSGDALKVLGKVSRTLSWWCFNPGLAMEEFLKLDQIWVGVVPVGPSGHPLNSSYRTRETLKYKQELGITIVNFARIVPDGLLVFFPSYSMMDKCINCWKDRNHENSSDEHTIWQRICKHKQPVIEPRQSSNFPNAIEDYAAKLRDSSTTGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKRDYLDKLGSASNKNSKGRNGMYNKRQELSIRLLDVSLDIAMTMEQLFICYKKYGEVVQGLTRFFRDKVSIDSSKPNETDFNDNIVLLADKHKPQETISALAVTTANENQRTALSVNPTTKRSNYIKFAQITPANRSTLSMKHGCSSTSQLLYSGDKLSTDAQVIDLAADVATSHLAGYRFKSLGPKKAKVMVGSKDVCFDDGSPKLQHNVESRALAGCLGEQSTASSKKSNITHAPGNSGAIHEKSGGQESNAGPAFLKLAREKLSTAEYRDFVEYMKALKLKTMHIKDSLDAIAKLFSSPERLPLLEG >ONIVA01G24410.1 pep chromosome:AWHD00000000:1:21562663:21563403:1 gene:ONIVA01G24410 transcript:ONIVA01G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDNISKKAKLRKSIQLFLSRNLKKIPPIHIPSSAIPAKITSNRLLSTCRFPRTPSLHGGGGGGGDHRTTDDDDGSNGRDQAATLSDVDRFLFDNFRSLYIHDGDNHQQRRQPPPSPGKFTQPAAAETSSSRSESVAEDARGTSSGDEDDNSSSTAIMLFSVDPYTDFRRSMQNIIEMHHGEEPQPLDWDFLEELLFYYLQLNEQSVHKYILKAFADLTAGAHVSCPARGKPQWADKSVRSRKHY >ONIVA01G24400.1 pep chromosome:AWHD00000000:1:21557133:21557330:-1 gene:ONIVA01G24400 transcript:ONIVA01G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVYLLLFTAFFLSGLMQLSTATQTAPAPAAAPARVIDAKAIDQAIAYLLMFAALFVTYFSH >ONIVA01G24390.1 pep chromosome:AWHD00000000:1:21552273:21552461:-1 gene:ONIVA01G24390 transcript:ONIVA01G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVYLLLFTAFFLSGLMQLSMAQGAAAAPATRVIDAKAIDQAIAYLLMFAALFVTYFSH >ONIVA01G24380.1 pep chromosome:AWHD00000000:1:21543157:21546217:1 gene:ONIVA01G24380 transcript:ONIVA01G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEIDVGQNVKVDDLLDALLVEKESNPDAKTRPANSITVFDVDSMNASNTMLEVRLFLAAVFSFSSFTSSSNYVIRECLGSVLDLKTVATIDWSMKTPRLQYYTSSMVDDVFTRLGEDIKVKPWAHTVYGKNGIPDVQLDKIDYSFIPDLKKKHKHKAPNAKRYKIGKLGKKEKCPFEEQNDSFVRVPNMRNMLASHLDDLDLPTDMAIKVDHIIEKYQLSWRNAVEDITSKEMRHCAQELEELFGLEARNTINKDESSKRVVVDLGTFKFTEDAFVDVFQPHGWMSNWVVQALAIIAEYRKLLASEIWFHKDNLAMVDLTETDSEKSDEERDTGTRTYIETDGRANGEESAGDSDRKGDASIKEESDATASDSDARKRKHSETESEIDGRANARAPRTASASRDSIPKKTRI >ONIVA01G24370.1 pep chromosome:AWHD00000000:1:21536811:21537622:1 gene:ONIVA01G24370 transcript:ONIVA01G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNREAKAASTHVSTDQEEVALLEFAAKLAKKQSFKDNKSDFFKRLAKMTNDHFIPLDLNNQYVPLSNSKFTCSGARLIGHDGLFTSLFTASEATGTGAPSVSKKRKYMTPKDLGSSLKYLAEHGCKDIEHYIFTVALEDPAALKGFLAMKSYEDKRKHLIGLWKKGIVKVPAVEGINPELLPPDFQ >ONIVA01G24360.1 pep chromosome:AWHD00000000:1:21530476:21533437:-1 gene:ONIVA01G24360 transcript:ONIVA01G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGQNEPNFVWSEHAGQGELIESVRVLPRKVHLHAGTDEPLNVTFMRAPSSALLKIDVPLMFIGEDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALKLLQSPEQPICSIIGSRAPDQKKSK >ONIVA01G24350.1 pep chromosome:AWHD00000000:1:21519690:21522113:1 gene:ONIVA01G24350 transcript:ONIVA01G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSLVPQTSSESIAQKMGFFRVPDLLVKLSSKCLIELDAVRSPTSPLDLIFFPGLGAKSPRSSFLGDRVGLGLVDSLTDDSSTPLGSRKVLLGSEMRITDNVTSKNSFTAPVEAGVVDQKDESMCDDLKGSFMSLDDIVNSEDYTRVVCRGPNPRTTHFFGDHVLEFEGEQLMPDESKSEESLPPRLEEGMMSFCYFCGEKLEEGKDIYVYQGDKAFCSMECRENFMEDEMEEGEPDLSAPPSSPVANDGCIFQLIQ >ONIVA01G24340.1 pep chromosome:AWHD00000000:1:21510183:21510711:-1 gene:ONIVA01G24340 transcript:ONIVA01G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREQEEEKYLQWRSSLVEKLAGIELNLERVKFRMSVEIPPSDDFRAMKKSWENFYASELLSSRNPVRKIAKRPDTILVRGVPSRWFAETRISSKASTLVTHTIIESC >ONIVA01G24330.1 pep chromosome:AWHD00000000:1:21485001:21509433:1 gene:ONIVA01G24330 transcript:ONIVA01G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEREAALLARVAANHLYLGQFEALRAALLSLRRRADPGLAAGFLRAVVAAGGRVPGVLWSAPPACPSPSHLAWLTALELAALPSTPNPEALRLKAEFLVLLQHVADDPAATGAEARGTLSRLLDLGVARLKREVEGGGEAGVGAEEVAVSQEDLTGLWGVFLDKAELFDALCSGVSRQVALDSGLGTDVLLWLRRSVQLAHLDAMKSLVAAGDLEGATGHLRFLCLDHGVEEDEYKIALGDLVRRGWPKPSNYGGTWFESHDRIIKMFGSALQSSTPQLVQLIQLILDDILSEEIEDHGASDANWMPLPFKKFMETLSLERGAYLDDKTMLNRAITSCKKDLYHYSRISGKHVLEVVMETVLSLIKREQLQEAANAISMFPLLQPLVAVLGWDILKGKTALRRKLLQLFWTSKSQALRLQEYPHYRTETDETSCEEYLCDLLCFHLDVACFVSSVNSGRQWNLRNSLLFTQQEQDSDVNSTEILDPFVENLILERLAVQTPIRVLFDVVPGIKMHDIELMHMRYALQSAALSLGEMEKSAIDGNEHHYQIALSYLREMQSFMEAIKSTPRKIYMVSIVLSLLHMDDSIKLSEVAPSECSVSHECFDSNVESEEKNTVTSFVGLLLDILRHNLMLDMDNQSSMGLSPAGRQALEWRFKHAKHSIEDLDWRLSVLQRLPPLSERQWSWKEALVLLRAAPSKLLNVANYDIGEEAVQRFSLPAEDKASLELAEWVAGAYRIALVEDAVNRATDNSNATQELDILSFRAQLGPLTTILLCIDVAATSARSGDMCRFLLDEATSLLSEIFPGSSPKVGPNYWDQIQEVALISVIKRILQRLRDILDLQRPLGLLHQMIDDAFKGKRQFLNGKLHNVARAIVDEDSDGTYSKESIKIEKRDILSSEKGIILGHGLRILKQASRTDQTASTVVENNAEHKGSTSRYLGPVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYERPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVFPPRTGQGWACIPLLPTLSKINSENRSQSAQGWSAHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDSELYSNVKDAKQAPEIKRSFYEFALEQSERYPTLNRWIQMQCNLHRVSESAVSAETDNEVTLHQPKGKFSSKRAREPDSDAESEIEDIVISGKSTSNSLESPKCDEAKLEPTTFISFDWDNEGPYEKAVERLINEGKLTDALALSDRCLRNGASDKLLQLLIEQREEISLGARQSRAYGSHNFGSDTWQYCLRLRDKKLAAQLALKYLHNWDLDAAANVLTLCICHLPENDPMWSEVLRMKQSLQRYGHIMTADDHYTRWQEVEVDCEDDPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLRTVGNLSDDDVARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLQSASLILKEFPSLRDDKLIVTYAKKAISINVNSTPREPRLTISGSRAKQKKVAAPAKTNFVQSFGNFQREARKAFSWVPRDSGTKTPPKDILRKRKSSGSGGERSSWEATPGVQEERAPEYPSEGQERLPFVSAPEEWVLTGDPDKDNATRACHRYESSPDITLFKALLSLCTNDSIAGKGALEICITQMRDVLSSLQLPLNASMDNIARAYHATETYVQALSYAKNLLKKLVGTSDLSSSSERSRDVDDISVDTGSSSAGSQNIDGLSDLLPLADLWLGRAELLQSLLGSGIIASLDDFADKESSTNLRDRLVSDERYSMAIDAFPVWVAWGHALVRMEHYSQARVKFKQALQQYKGDATHFVLEIISTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSERSRQSKGPMDSQFESVGSYLEDGPRSNLDGIRYAECIHYLQEYARPEMLAFMFRHGHYAEACSLFFPSNQPTDEGETSLSSIPRNDPLTTDYGTIDDLCDLCLGYGAMTVLENTILTITQSPTYQGSAMTQYMNAILTRICNYCETHRHFNYLYNFLVLKGDHVASGLCCIQLYVNSMSQEEALKHLGHAKSHFEEALSVRDRTTEATKLVPRTARNKSASEKMTREMIMKFSTRVSYQMDVVKALNSVDGPQWKTSLFGNRTDAETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLLSNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQCS >ONIVA01G24330.2 pep chromosome:AWHD00000000:1:21485001:21509433:1 gene:ONIVA01G24330 transcript:ONIVA01G24330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEREAALLARVAANHLYLGQFEALRAALLSLRRRADPGLAAGFLRAVVAAGGRVPGVLWSAPPACPSPSHLAWLTALELAALPSTPNPEALRLKAEFLVLLQHVADDPAATGAEARGTLSRLLDLGVARLKREVEGGGEAGVGAEEVAVSQEDLTGLWGVFLDKAELFDALCSGVSRQVALDSGLGTDVLLWLRRSVQLAHLDAMKSLVAAGDLEGATGHLRFLCLDHGVEEDEYKIALGDLVRRGWPKPSNYGGTWFESHDRIIKMFGSALQSSTPQLVQLIQLILDDILSEEIEDHGASDANWMPLPFKKFMETLSLERGAYLDDKTMLNRAITSCKKDLYHYSRISGKHVLEVVMETVLSLIKREQLQEAANAISMFPLLQPLVAVLGWDILKGSMHKKLVNDCDSFYWQTSCEEYLCDLLCFHLDVACFVSSVNSGRQWNLRNSLLFTQQEQDSDVNSTEILDPFVENLILERLAVQTPIRVLFDVVPGIKMHDIELMHMRYALQSAALSLGEMEKSAIDGNEHHYQIALSYLREMQSFMEAIKSTPRKIYMVSIVLSLLHMDDSIKLSEVAPSECSVSHECFDSNVESEEKNTVTSFVGLLLDILRHNLMLDMDNQSSMGLSPAGRQALEWRFKHAKHSIEDLDWRLSVLQRLPPLSERQWSWKEALVLLRAAPSKLLNVANYDIGEEAVQRFSLPAEDKASLELAEWVAGAYRIALVEDAVNRATDNSNATQELDILSFRAQLGPLTTILLCIDVAATSARSGDMCRFLLDEATSLLSEIFPGSSPKVGPNYWDQIQEVALISVIKRILQRLRDILDLQRPLGLLHQMIDDAFKGKRQFLNGKLHNVARAIVDEDSDGTYSKESIKIEKRDILSSEKGIILGHGLRILKQASRTDQTASTVVENNAEHKGSTSRYLGPVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYERPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVFPPRTGQGWACIPLLPTLSKINSENRSQSAQGWSAHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDSELYSNVKDAKQAPEIKRSFYEFALEQSERYPTLNRWIQMQCNLHRVSESAVSAETDNEVTLHQPKGKFSSKRAREPDSDAESEIEDIVISGKSTSNSLESPKCDEAKLEPTTFISFDWDNEGPYEKAVERLINEGKLTDALALSDRCLRNGASDKLLQLLIEQREEISLGARQSRAYGSHNFGSDTWQYCLRLRDKKLAAQLALKYLHNWDLDAAANVLTLCICHLPENDPMWSEVLRMKQSLQRYGHIMTADDHYTRWQEVEVDCEDDPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLRTVGNLSDDDVARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLQSASLILKEFPSLRDDKLIVTYAKKAISINVNSTPREPRLTISGSRAKQKKVAAPAKTNFVQSFGNFQREARKAFSWVPRDSGTKTPPKDILRKRKSSGSGGERSSWEATPGVQEERAPEYPSEGQERLPFVSAPEEWVLTGDPDKDNATRACHRYESSPDITLFKALLSLCTNDSIAGKGALEICITQMRDVLSSLQLPLNASMDNIARAYHATETYVQALSYAKNLLKKLVGTSDLSSSSERSRDVDDISVDTGSSSAGSQNIDGLSDLLPLADLWLGRAELLQSLLGSGIIASLDDFADKESSTNLRDRLVSDERYSMAIDAFPVWVAWGHALVRMEHYSQARVKFKQALQQYKGDATHFVLEIISTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSERSRQSKGPMDSQFESVGSYLEDGPRSNLDGIRYAECIHYLQEYARPEMLAFMFRHGHYAEACSLFFPSNQPTDEGETSLSSIPRNDPLTTDYGTIDDLCDLCLGYGAMTVLENTILTITQSPTYQGSAMTQYMNAILTRICNYCETHRHFNYLYNFLVLKGDHVASGLCCIQLYVNSMSQEEALKHLGHAKSHFEEALSVRDRTTEATKLVPRTARNKSASEKMTREMIMKFSTRVSYQMDVVKALNSVDGPQWKTSLFGNRTDAETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLLSNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQCS >ONIVA01G24330.3 pep chromosome:AWHD00000000:1:21485001:21509433:1 gene:ONIVA01G24330 transcript:ONIVA01G24330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEREAALLARVAANHLYLGQFEALRAALLSLRRRADPGLAAGFLRAVVAAGGRVPGVLWSAPPACPSPSHLAWLTALELAALPSTPNPEALRLKAEFLVLLQHVADDPAATGAEARGTLSRLLDLGVARLKREVEGGGEAGVGAEEVAVSQEDLTGLWGVFLDKAELFDALCSGVSRQVALDSGLGTDVLLWLRRSVQLAHLDAMKSLVAAGDLEGATGHLRFLCLDHGVEEDEYKIALGDLVRRGWPKPSNYGGTWFESHDRIIKMFGSALQSSTPQLVQLIQLILDDILSEEIEDHGASDANWMPLPFKKFMETLSLERGAYLDDKTMLNRAITSCKKDLYHYSRISGKHVLEVVMETVLSLIKREQLQEAANAISMFPLLQPLVAVLGWDILKGKTALRRKLLQLFWTSKSQALRLQEYPHYRTETDETSCEEYLCDLLCFHLDVACFVSSVNSGRQWNLRNSLLFTQQEQDSDVNSTEILDPFVENLILERLAVQTPIRVLFDVVPGIKMHDIELMHMRYALQSAALSLGEMEKSAIDGNEHHYQIALSYLREMQSFMEAIKSTPRKIYMVSIVLSLLHMDDSIKLSEVAPSECSVSHECFDSNVESEEKNTVTSFVGLLLDILRHNLMLDMDNQSSMGLSPAGRQALEWRFKHAKHSIEDLDWRLSVLQRLPPLSERQWSWKEALVLLRAAPSKLLNVANYDIGEEAVQRFSLPAEDKASLELAEWVAGAYRIALVEDAVNRATDNSNATQELDILSFRAQLGPLTTILLCIDVAATSARSGDMCRFLLDEATSLLSEIFPGSSPKVGPNYWDQIQEVALISVIKRILQRLRDILDLQRPLGLLHQMIDDAFKGKRQFLNGKLHNVARAIVDEDSDGTYSKESIKIEKRDILSSEKGIILGHGLRILKQASRTDQTASTVVENNAEHKGSTSRYLGPVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYERPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVFPPRTGQGWACIPLLPTLSKINSENRSQSAQGWSAHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDSELYSNVKDAKQAPEIKRSFYEFALEQSERYPTLNRWIQMQCNLHRVSESAVSAETDNEVTLHQPKGKFSSKRAREPDSDAESEIEDIVISGKSTSNSLESPKCDEAKLEPTTFISFDWDNEGPYEKAVERLINEGKLTDALALSDRCLRNGASDKLLQLLIEQREEISLGARQSRAYGSHNFGSDTWQYCLRLRDKKLAAQLALKYLHNWDLDAAANVLTLCICHLPENDPMWSEVLRMKQSLQRYGHIMTADDHYTRWQEVEVDCEDDPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLRTVGNLSDDDVARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLQSASLILKEFPSLRDDKLIVTYAKKAISINVNSTPREPRLTISGSRAKQKKVAAPAKTNFVQSFGNFQREARKAFSWVPRDSGTKTPPKDILRKRKSSGSGGERSSWEATPGVQEERAPEYPSEGQERLPFVSAPEEWVLTGDPDKDNATRACHRYESSPDITLFKALLSLCTNDSIAGKGALEICITQMRDVLSSLQLPLNASMDNIARAYHATETYVQALSYAKNLLKKLVGTSDLSSSSERSRDVDDISVDTGSSSAGSQNIDGLSDLLPLADLWLGRAELLQSLLGSGIIASLDDFADKESSTNLRDRLVSDERYSMAIDAFPVWVAWGHALVRMEHYSQARVKFKYGKLCLQALQQYKGDATHFVLEIISTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSERSRQSKGPMDSQFESVGSYLEDGPRSNLDGIRYAECIHYLQEYARPEMLAFMFRHGHYAEACSLFFPSNQPTDEGETSLSSIPRNDPLTTDYGTIDDLCDLCLGYGAMTVLENTILTITQSPTYQGSAMTQYMNAILTRICNYCETHRHFNYLYNFLVLKGDHVASGLCCIQLYVNSMSQEEALKHLGHAKSHFEEALSVRDRTTEATKLVPRTARNKSASEKMTREMIMKFSTRVSYQMDVVKALNSVDGPQWKTSLFGNRTDAETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLLSNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQCS >ONIVA01G24330.4 pep chromosome:AWHD00000000:1:21485001:21509433:1 gene:ONIVA01G24330 transcript:ONIVA01G24330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEREAALLARVAANHLYLGQFEALRAALLSLRRRADPGLAAGFLRAVVAAGGRVPGVLWSAPPACPSPSHLAWLTALELAALPSTPNPEALRLKAEFLVLLQHVADDPAATGAEARGTLSRLLDLGVARLKREVEGGGEAGVGAEEVAVSQEDLTGLWGVFLDKAELFDALCSGVSRQVALDSGLGTDVLLWLRRSVQLAHLDAMKSLVAAGDLEGATGHLRFLCLDHGVEEDEYKIALGDLVRRGWPKPSNYGGTWFESHDRIIKMFGSALQSSTPQLVQLIQLILDDILSEEIEDHGASDANWMPLPFKKFMETLSLERGAYLDDKTMLNRAITSCKKDLYHYSRISGKHVLEVVMETVLSLIKREQLQEAANAISMFPLLQPLVAVLGWDILKGSMHKKLVNDCDSFYWQTSCEEYLCDLLCFHLDVACFVSSVNSGRQWNLRNSLLFTQQEQDSDVNSTEILDPFVENLILERLAVQTPIRVLFDVVPGIKMHDIELMHMRYALQSAALSLGEMEKSAIDGNEHHYQIALSYLREMQSFMEAIKSTPRKIYMVSIVLSLLHMDDSIKLSEVAPSECSVSHECFDSNVESEEKNTVTSFVGLLLDILRHNLMLDMDNQSSMGLSPAGRQALEWRFKHAKHSIEDLDWRLSVLQRLPPLSERQWSWKEALVLLRAAPSKLLNVANYDIGEEAVQRFSLPAEDKASLELAEWVAGAYRIALVEDAVNRATDNSNATQELDILSFRAQLGPLTTILLCIDVAATSARSGDMCRFLLDEATSLLSEIFPGSSPKVGPNYWDQIQEVALISVIKRILQRLRDILDLQRPLGLLHQMIDDAFKGKRQFLNGKLHNVARAIVDEDSDGTYSKESIKIEKRDILSSEKGIILGHGLRILKQASRTDQTASTVVENNAEHKGSTSRYLGPVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYERPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVFPPRTGQGWACIPLLPTLSKINSENRSQSAQGWSAHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDSELYSNVKDAKQAPEIKRSFYEFALEQSERYPTLNRWIQMQCNLHRVSESAVSAETDNEVTLHQPKGKFSSKRAREPDSDAESEIEDIVISGKSTSNSLESPKCDEAKLEPTTFISFDWDNEGPYEKAVERLINEGKLTDALALSDRCLRNGASDKLLQLLIEQREEISLGARQSRAYGSHNFGSDTWQYCLRLRDKKLAAQLALKYLHNWDLDAAANVLTLCICHLPENDPMWSEVLRMKQSLQRYGHIMTADDHYTRWQEVEVDCEDDPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLRTVGNLSDDDVARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLQSASLILKEFPSLRDDKLIVTYAKKAISINVNSTPREPRLTISGSRAKQKKVAAPAKTNFVQSFGNFQREARKAFSWVPRDSGTKTPPKDILRKRKSSGSGGERSSWEATPGVQEERAPEYPSEGQERLPFVSAPEEWVLTGDPDKDNATRACHRYESSPDITLFKALLSLCTNDSIAGKGALEICITQMRDVLSSLQLPLNASMDNIARAYHATETYVQALSYAKNLLKKLVGTSDLSSSSERSRDVDDISVDTGSSSAGSQNIDGLSDLLPLADLWLGRAELLQSLLGSGIIASLDDFADKESSTNLRDRLVSDERYSMAIDAFPVWVAWGHALVRMEHYSQARVKFKYGKLCLQALQQYKGDATHFVLEIISTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSERSRQSKGPMDSQFESVGSYLEDGPRSNLDGIRYAECIHYLQEYARPEMLAFMFRHGHYAEACSLFFPSNQPTDEGETSLSSIPRNDPLTTDYGTIDDLCDLCLGYGAMTVLENTILTITQSPTYQGSAMTQYMNAILTRICNYCETHRHFNYLYNFLVLKGDHVASGLCCIQLYVNSMSQEEALKHLGHAKSHFEEALSVRDRTTEATKLVPRTARNKSASEKMTREMIMKFSTRVSYQMDVVKALNSVDGPQWKTSLFGNRTDAETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLLSNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQCS >ONIVA01G24320.1 pep chromosome:AWHD00000000:1:21462176:21466655:1 gene:ONIVA01G24320 transcript:ONIVA01G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKPNTASRGTPYSLSLYAYICIRAPPILYTYGYTNPFPKRIQNLKRTYHAAASARAIAFPSNTQVRISHGGGDAGRARAAAGRPLQGQGEPAAGGGPAVRRGGAGGAEGHALPRRPVPRARRDAAGAARVAGGEVLRAGAGLGCGVLRGELLVRPPRRRHHRQPLHPAGHQLRHPRRHPAGHRPLFVLRAAAGVRGDGELEEPRGGAGGDVVAAGGVHRRRQGEGVRRPAAVHAARLHVGLLHRRPAGGARAPQAGDPGGFHVAAGDHRVHGRHGDRNHAAAAQGLPRHDALHHQDRHRLRPPLHLPQHAPVAVAEHGSWRLLPHLPRLHRASEATAAEAVLGVSDVSSVSGRRRMRLLVSDQRPQAWHPYSWYSEAWHQPELDITAQVPAGVRRRRHEGRVRVRDARLSGRRRRRQELRGDEERAHRRQQGDGRLRPHEPHRLLHLLLHHHRCVLEDGGELPRRVPDGDVERGDVGVHGAGAGRAGAAVPAHAAGGAGGHHHQLHARAGQAPRDPAPVRGGQGRLRRLRRRAPRRRLLHHDHRPRRRRGHLRAQGAPARGAPVDEQARPRVLRLRRRRRRRRPRLLRRRAVPRRGDRARHPRPPGRRLAGLLRQLRVPPGEDRAVGGGRGEGRRRRGPALRRPRHRRRDGDRQPRDRDAAGGARRAGEEGDEDGGDEPEDGGGGEAGAVRARGARRRELDVPLQWRRRGRVPVHAPGLQARRRPSGVDLDSRGRWIGTRVSICTSHMRMCAVLYVLLTHCDLKSTRISFHKYYGTIIAYHDHITIIRKVAHAHARTLISLYY >ONIVA01G24310.1 pep chromosome:AWHD00000000:1:21453281:21459073:1 gene:ONIVA01G24310 transcript:ONIVA01G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITLGSTKYNFSARSNSAWANVGGWSSKETKKERRKKESIFLGWLLVPAAQQQANLTSLPLSSPPCDPRTTQPNPTPPQPKPKPREGREIPPPPQPPPPATSDLGCVLRRRRRRRRKEEKMFYGAMVWDPWLIVSQIVCLQCLYYLALGLLMALLVGTRVPRLTLLYLFDFATLTPRTTTGWCVIAAFLLAAAAGAAFMLYVIERAKKCLDFAATLYIIHLFICIVYGGWPASVTWWVVNIAGLAIMSLLGEYLCIRRELKEIPISRLRASV >ONIVA01G24300.1 pep chromosome:AWHD00000000:1:21439022:21440844:-1 gene:ONIVA01G24300 transcript:ONIVA01G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRMREYGWEEGARQAASIYASQTDRGSIAISIRIFPYKLPHGTPVPTHPDLAAAASPAPHPKLSQWVDPAASAAPPRELATADTTAQVHPGSFTKAVLAASDAEVVIGEVKRVVVRDGRVIGVEVKGRGVVDADAVVFALGPWSGGEVYICGITKDEEVPNDPATITGDPDSIAALHEIAGRVSSQLKREEGAEVVAEQACYMPCTSDGLPVIGEMPGVKGCYVATGHGGWGILNAPATGAALAELILNGSASIVDLSPFSPARILNNRTRFSLTSAKLPDAMFCLNVNRLEQEDAILPQDLLQDKVVAFNKVLHSDGHIFETIQILGHL >ONIVA01G24290.1 pep chromosome:AWHD00000000:1:21429935:21431081:-1 gene:ONIVA01G24290 transcript:ONIVA01G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTGRVVALGAVAVVVALTFLAGGADADCYDFCFKDCMARENNMVDYCSYACDKTCQPDKPTLYSSSSSSRLAGDMECQLSCALGSCHRLLPDGKGAVEACFGQCYDGCKTTAAAMLPRPLRAGHYVLSSPPDDVDHDPDHRYEFSSPPDDVDHDPDQVFASPPDDIDRHVFAAPPDVLAALPGEPDHA >ONIVA01G24280.1 pep chromosome:AWHD00000000:1:21428168:21428407:-1 gene:ONIVA01G24280 transcript:ONIVA01G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAHAAAAMPGLELGLSQDGHIGVLSAWSLSQFYHQVGGAGATGQLPHPHHHHQHHQQQQEDGEDDRDDGESDEESGQ >ONIVA01G24270.1 pep chromosome:AWHD00000000:1:21424668:21424960:-1 gene:ONIVA01G24270 transcript:ONIVA01G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAAAAMSTRTPAASTSPVMEVAATGTCPPVTPRTAAAAAAFLGRLEVAAATVRRWRRLVVRRRWRRREYGGGGEL >ONIVA01G24270.2 pep chromosome:AWHD00000000:1:21424668:21424960:-1 gene:ONIVA01G24270 transcript:ONIVA01G24270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPAAAAMSTRTPAASTSPVMEVAATGTCPPVTPRTAAAAAAFLGRLEVAAATGTCPPATPRSTAVAAPRGTPEYGGGGEL >ONIVA01G24260.1 pep chromosome:AWHD00000000:1:21423259:21424971:1 gene:ONIVA01G24260 transcript:ONIVA01G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTTNHSAKSILLLALLLVPHLAAASSGDALISQRRRLLDYHGGGGGHGGGDVYVDPSYTFPNARLRDAYVAMQAWKRAVLSDPHNVTGTWIGPDVCAYEGVFCAAARDDPHLVVVASVDLNHADMAGHLPDELGLLADLAVLHANSNRFCGAVPSTLERLHLLHELDLSNNRLVGAFPDVVLRLPSLRYLDLRFNDFEGPVPAELFDRPLDAIFLNSNRLRFRIPDNVGNSPASVLVLANNDFGGCLPASVANMSGTLDEIILMNTGLKSCIPPELGMLTGLAVLDVSHNSLMGAIPGELARLENIEQLDLGHNRLTGDVPEGICHLPHLQNFTYSYNFITGEPPVCMHVKAFDDRRNCIPGRPDQRPAEQCQFQNTHHVNCDAFRCKKFVLPSPPPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPSPSPSPPPPSPPPPSPPPPSPVYYSSPPPPYYEVSPEDRYLSPPPPPAYHEAPPPPYYEVSPEDRYLSPPPPPAYQETPPPPPQYEVSPEDRYLSPPPPSPVKWKLPVYEYSSPPPPAATWKP >ONIVA01G24250.1 pep chromosome:AWHD00000000:1:21412338:21412550:-1 gene:ONIVA01G24250 transcript:ONIVA01G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLRACVLLSTVFFFSGLMQLSMAQAGSPAAATVTATTARVIDVKAVDQAVAYLLMLAALFVTYLAH >ONIVA01G24240.1 pep chromosome:AWHD00000000:1:21401790:21408881:1 gene:ONIVA01G24240 transcript:ONIVA01G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGDCGNGKAAGGGGGGGGGGGGLVVPEIKFTKLFINGQFVDAASGKTFETRDPRTGDVLAHIAEADKADVDLAVKAAREAFEHGKWPRMSGYERSRVMNKLADLVEQHADELAALDGADAGKLLTLGKIIDMPAAAQMLRYYAGAADKIHGESLRVAGKYQGYTLREPIGVVGVIIPWNFPTMMFFLKVSPALAAGCTIVVKPAEQTPLSALYYAHLAKLAGVPDGVINVVPGFGPTAGAALSSHMDVDSVAFTGSAEIGRAIMESAARSNLKNVSLELGGKSPMIVFDDADVDMAVSLSSLAVFFNKGEICVAGSRVYVQEGIYDEFVKKAVEAAKNWKVGDPFDAATNMGPQVDKVQFERVLKYIEIGKNEGATLLTGGKPTGDKGYYIEPTIFVDVKEQMTIAQEEIFGPVMSLMKFKTVEEAIEKANCTKYGLAAGIVTKNLNIANMVSRSVRAGTVWVNCYFAFDPDAPFGGYKMSGFGRDQGMVAMDKYLQVKTVITAVPDSPWY >ONIVA01G24230.1 pep chromosome:AWHD00000000:1:21381150:21390395:1 gene:ONIVA01G24230 transcript:ONIVA01G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGGDSKGFEVPKLEIKFTKLFINGRFVDAVSGKTFETRDPRTGEVIAKIAEGDKADIDLAVKAAREAFDHGPWPRMSGFARGRILHKFADLVEQHVEELAALDTVDAGKLFAMGKLVDIPGGANLLRYYAGAADKVHGETLKMARPCHGYTLKEPVGVVGHIVPWNYPTTMFFFKASPALAAGCTMVVKPAEQTPLSALFYAHLAKLAGVPDGVLNVVPGFGPTAGAAISSHMDIDKVSFTGSTEVGRLVMEAAAKSNLKPVSLELGGKSPVIVFDDADLDTAVNLVHMASYTNKGEICVAGSRIYVQEGIYDAFVKKATEMAKKSVVGDPFNPRVHQGPQIDKEQYEKILKYIDIGKREGATLVTGGKPCGDNGYYIEPTIFTDVKEEMSIAQEEIFGPVMALMKFKTVEEAIQKANSTRYGLAAGIVTKNIDVANTVSRSIRAGAIWINCYFGFDPDVPFGGYKMSGFGKDMGMDALEKYLHTKAVVTPLYNTPWL >ONIVA01G24220.1 pep chromosome:AWHD00000000:1:21374709:21379405:1 gene:ONIVA01G24220 transcript:ONIVA01G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRSRKRARQDWDGAGAPPPPAERAVVARGGASPPWRDDDRDGHYVFDLGENLNRRCTFGRVLECWDRETHEYVAIKVVRSIRKYRDAAMIEIDVLNRLAENERYRSLLPYWPAVSRFKDGLTIAIIYALISMLIKQLSFFAHKDMHELRLIHTDLKPENILLVSSEYIRVPGSKKNSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHSSIVSTRHYRAPEIILGKLVIF >ONIVA01G24220.2 pep chromosome:AWHD00000000:1:21374709:21379405:1 gene:ONIVA01G24220 transcript:ONIVA01G24220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRSRKRARQDWDGAGAPPPPAERAVVARGGASPPWRDDDRDGHYVFDLGENLNRRCTFGRVLECWDRETHEYVAIKVVRSIRKYRDAAMIEIDVLNRLAENERYRSLLPYWPAVSRFKDGLTIAIIYALISMLIKQLSFFAHKDMHELRLIHTDLKPENILLVSSEYIRVPGSKKNSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHSSIVSTRHYRAPEIILGKLVIF >ONIVA01G24210.1 pep chromosome:AWHD00000000:1:21367363:21374370:-1 gene:ONIVA01G24210 transcript:ONIVA01G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWEDEPSGGSREPSEPSGCTDLIMPPLRPESPPELLDRLLLHDEEEETTSYVASAHPAPIEYGSDDDAGLTRFVDDMYSCVELDDVVDKETVCPGQKPAPHQLAPPARSMRGLFRAGKHPSSVPAHVTTHPSSSSCSLRQCSSSFKFRGAARHAHAKAKHTEAYKEFVASGYDYAQLLRYPLRLFPKTSYHCIKKSIYCDHHEYLTFTTSETASTIGMNEPNDMLQIFSLKLSSNESYPISVYGIFAVRDELDRLRNYVFNRTRDNPVLIEQDSITLPLCSPCRGMYILSYALFEVDLWVKAESEGGGSDDKQLLSEYAEIENRGLKDPMIYGRIPSDRCLLDVDCMFLLNSVEAVIQVFTKDDSDNPHRVRFTAFSSGFDHEIVLYDDRLCKKGKLFQNVVAVKYKEKLVIRLEFEGSTFRWTFQDGAVAAVSSPDDSVSKLFDVVVQIIQQETKDKLCWKFTNNGICSTKSAYKEVYKREISNAHQEDLDEEDTNRRMSHNHNGSITFEGELLAFQLAMEIARFLKFTFSQIVPSSRGHLQEKKLSRRTRALELKANLEPNLYEKVNWIPRELNKLADKLAKEAKSQLCLNPTYSCQNISHIALSQQEMLC >ONIVA01G24210.2 pep chromosome:AWHD00000000:1:21367363:21374370:-1 gene:ONIVA01G24210 transcript:ONIVA01G24210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWEDEPSGGSREPSEPSGCTDLIMPPLRPESPPELLDRLLLHDEEEETTSYVASAHPAPIEYGSDDDAGLTRFVDDMYSCVELDDVVDKETVCPGQKPAPHQLAPPARSMRGLFRAGKHPSSVPAHVTTHPSSSSCSLRQCSSSFKFRGAARHAHAKASIACSVLHQLIKLRNAEHTEAYKEFVASGYDYAQLLRYPLRLFPKTSYHCIKKSIYCDHHEYLTFTTSETASTIGMNEPNDMLQIFSLKLSSNESYPISVYGIFAVRDELDRLRNYVFNRTRDNPVLIEQDSITLPLCSPCRGMYILSYALFEVDLWVKAESEGGGSDDKQLLSEYAEIENRGLKDPMIYGRIPSDRCLLDVDCMFLLNSVEAVIQVFTKDDSDNPHRVRFTAFSSGFDHEIVLYDDRLCKKGKLFQNVVAVKYKEKLVIRLEFEGSTFRWTFQDGAVAAVSSPDDSVSKLFDVVVQIIQQETKDKLCWKFTNNGICSTKSAYKEVYKREISNAHQGKIKEPTQVCMEAKAMIKTYTNFLSNDLMQEDLDEEDTNRRMSHNHNGSITFEGELLAFQLAMEIARFLKFTFSQIVPSSRGHLQEKKLSRRTRALELKANLEPNLYEKVNWIPRELNKLADKLAKEAKSQLCLNPTYSCQNISHIALSQQEMLC >ONIVA01G24210.3 pep chromosome:AWHD00000000:1:21367363:21374370:-1 gene:ONIVA01G24210 transcript:ONIVA01G24210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASWEDEPSGGSREPSEPSGCTDLIMPPLRPESPPELLDRLLLHDEEEETTSYVASAHPAPIEYGSDDDAGLTRFVDDMYSCVELDDVVDKETVCPGQKPAPHQLAPPARSMRGLFRAGKHPSSVPAHVTTHPSSSSCSLRQCSSSFKFRGAARHAHAKAKHTEAYKEFVASGYDYAQLLRYPLRLFPKTSYHCIKKSIYCDHHEYLTFTTSETASTIGMNEPNDMLQIFSLKLSSNESYPISVYGIFAVRDELDRLRNYVFNRTRDNPVLIEQDSITLPLCSPCRGMYILSYALFEVDLWVKAESEGGGSDDKQLLSEYAEIENRGLKDPMIYGRIPSDRCLLDVDCMFLLNSVEAVIQVFTKDDSDNPHRVRFTAFSSGFDHEIVLYDDRLCKKGKLFQNVVAVKYKEKLVIRLEFEGSTFRWTFQDGAVAAVSSPDDSVSKLFDVVVQIIQQETKDKLCWKFTNNGICSTKSAYKEVYKREISNAHQGKIKEPTQVCMEAKAMIKTYTNFLSNDLMQEDLDEEDTNRRMSHNHNGSITFEGELLAFQLAMEIARFLKFTFSQIVPSSRGHLQEKKLSRRTRALELKANLEPNLYEKVNWIPRELNKLADKLAKEAKSQLCLNPTYSCQNISHIALSQQEMLC >ONIVA01G24210.4 pep chromosome:AWHD00000000:1:21367363:21374370:-1 gene:ONIVA01G24210 transcript:ONIVA01G24210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASWEDEPSGGSREPSEPSGCTDLIMPPLRPESPPELLDRLLLHDEEEETTSYVASAHPAPIEYGSDDDAGLTRFVDDMYSCVELDDVVDKETVCPVWESATMASDLADLLHISWPHLPDQCGGEAPILCSRARDDAPLIFLVLAAAVFLLFQVQIIQQETKDKLCWKFTNNGICSTKSAYKEVYKREISNAHQEDLDEEDTNRRMSHNHNGSITFEGELLAFQLAMEIARFLKFTFSQIVPSSRGHLQEKKLSRRTRALELKANLEPNLYEKVNWIPRELNKLADKLAKEAKSQLCLNPTYSCQNISHIALSQQEMLC >ONIVA01G24200.1 pep chromosome:AWHD00000000:1:21358739:21366212:1 gene:ONIVA01G24200 transcript:ONIVA01G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGRGKGMLVGGSSSGGGGGGGRVEEGRVAREKARIPYTIPPPLDRPSPNYTVVDLKGTQVQVRNRWRKSVLDYRLWCYNTSDARYEFGHPYRRCCALPPKGHFLVYMHYKGKWLCALIEGISGYLNGYITRSTGKIDDLRIRENGYLGVTKSEFIEHAKKLPWDGAYVTAHQAKCGDNALLKSFLILHRYVDGQAPMPSKDEVREAGEVMILHFPEAAKSDNLFLDKIHKNFRASPAHVFGKRQIEKARSWSKTCGDVKSIFQGDGAQSEIAEKGRRDHDATEKSSKKDVTEAEAKEFLEEVRLIHRTFDKAENLFLLKDMPVHLSIDGPIDVAWTENELRRSREHGLDDPSWGFLTERSPKFPPTNNNEQNQPTLIENAYMTFSECDEYSGSPDHQEEMNEESVGYKVTSARAGVPFFCQTASEFEEMRIIPVFESLKKDVLSSFQLDPELTEPHRLIKHLIAYHESGHAIVALHTQGAHPIHQATVLPHGLSLGMVTQVASPGNTSISKQKILARIDVCFGGAVAEELLFGEHTVTAGAKNDLFTAKELAQKLTSDTVDRMHLEKWPSVQMQATINAEVLKLLGESRERVKRLLKKHEMQLQAVANALLEKEILNADEIIKVVQPYKREPQITFQDKELAVNWS >ONIVA01G24200.2 pep chromosome:AWHD00000000:1:21365554:21365990:1 gene:ONIVA01G24200 transcript:ONIVA01G24200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCICRLPPEARGGGGGRRRGCGLGSNGCPSSSGGATPVTALMWVVVKGAAVEAIVVKTDLDEADLPDEAGLSRGARGRGMGRRAATRGGALSGIRSNSA >ONIVA01G24190.1 pep chromosome:AWHD00000000:1:21341471:21344702:-1 gene:ONIVA01G24190 transcript:ONIVA01G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKPEAGEGEATNCHRRSAAGQEEEEEESKRPRDGNDEEEELLTELSRYRRYWTDLWSDDSGDIDRRTEIGPMRYTEESPRFAMLLDLLEVFSFEVTELKGILRWPIDVFGLISVRDSLDRNRNYIFERTRNNCQTLTAKDSSLVLTGPSRAILLMDPIEFEIELRVKGTSPSEDKILSAEAFGYNGTAQRHRCGSLRSMLLSGARSTLEFKYAHIPVALEATIKVRITGGLTDFCGKFIAHTAGIKEDVVLLDSGEEMVAISHDGAFHFSRSVVAVEGNGVLTVGVHARQSGDENNSCDYKNFIPRCWGHQLARHSTGN >ONIVA01G24180.1 pep chromosome:AWHD00000000:1:21337074:21343341:1 gene:ONIVA01G24180 transcript:ONIVA01G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLSFMNAYLAEDCNPVRCWVITAAVAFVTLIGIPGIKPSLLEKFGARLWKSFLKPDDVATLESMAAALQDAVNGLRPSRAGIG >ONIVA01G24170.1 pep chromosome:AWHD00000000:1:21323269:21325148:1 gene:ONIVA01G24170 transcript:ONIVA01G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNX7] MDDIGGRFQRRAHHNFRNVPITSNEEGWHIISLDMPESPSVQILIDQRNAYLIAIRNGAGQWFNFSDTPAPDIFNAQPILYLKADYSHLLQDWDEVTVGPPSVLDSYYRLLNFNNGLPRDHPLLHVQRRAIARLAVMFCEAARLRSVRALVSHQMGLYMNGTITSLITRKRITSWDLISGFALHCWSREQDGIGGYLQTELDKLRRIGIYAANHVAGEPDGELLLILYRQDVFANLQQPAQQQQ >ONIVA01G24160.1 pep chromosome:AWHD00000000:1:21320829:21321504:-1 gene:ONIVA01G24160 transcript:ONIVA01G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIEFEIELGVKGTSPSEDKILSAKAFGYNGTAQRHRCGSLRSMMLSGARSKLKFKYAHIPVALEATIKVRITGGSTDFCGKFIAHTTSINEHVILLDSGEEMVAFSHDGAIDFCRSVVAVEGNGGALIVDVHARQSGDENISCASKKFIPFIAIEL >ONIVA01G24150.1 pep chromosome:AWHD00000000:1:21317378:21319423:-1 gene:ONIVA01G24150 transcript:ONIVA01G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plus-3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G61040) TAIR;Acc:AT1G61040] MPDNNNLEDLLLQAAGRTTGAGKGGGARGPSSGQGQRWSSQQQQRGRRGSSYSGGSGSDDDGGGGGDGGDSDDSDAAPTLSRKRPPSGSQVPLKKRHQPEKGGGRGGGGGGWKEGDDDDDDDDDDELDEGRRSGDDSDSAPSVGSDLYKDEDDKEQLEKMSELDREMILAERGARIDDYKLKQLARASSSSSKADKSRRDSSPPPPPSRARSSARTDRSAAKSALDELRAKRMRQQDPEGYRNRFKDLIPQSGSPPRRRAGSPPSDGSNDGDNRGRITDETRDDEFDESPSRLDPLKFDDVKSITLRRSKLVKWFMEPFFEDLVSGCFVRLGIGKSKSGTPDYRLCIVRNVDANDPDRKYKLEGYTTCKYLNVVWDNEANAARWQMTQVSDSFPSEEEFKKWLQVAEKNGVRVPTRQEVLEKKEAIQQAYNFVYSARTVQKMLKEKKSAIRRPINVAAEKDRLRNELDMAIARRDEAEAERIRSKLNQLQKMSKPISNNEKAAKLEAMNKKNRAENFKNASEMKPVNTSLKVGEAGYDPFSRRWTRSRNYYAAKPEGESAEVPNGNDGSAVAGDEDTKNGPRGGSAATAAALVAAADAGKLVDTNAPVDLGTESNLLHNFELGISLAGLEEFGGPKGLFDGYMARKQKIEATMGYKVPDNDGRRHALTLTVSDYKRRRGLL >ONIVA01G24140.1 pep chromosome:AWHD00000000:1:21313502:21319911:1 gene:ONIVA01G24140 transcript:ONIVA01G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSRVAFVLVDGIGDVSIPSLRGRTPLEAAAAPGLDAVAAAGVAGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKSNFATLDESTGIIVSRRADRHFEEEGPILCAALDGLKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLHLKAEPLDDSEEAKNTAAVVNELSKEITRILVSHPINAQRSAEGKNIANVVLLRGCGIRIEVPAFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPLDTPPRVFVPGEDEYKSGKENGYDFGFLHIKAIDDAGHDKAVKLKVLGLEAVDRAIVQLARLLWEAEKSGKYQYFLCVTGDHSTPVEYGDHSFEPVPFVLCRLRDFVGAMGEDNVINFPLDDFPLPSVKSGEDVAENIDVADRKSDQRKAFSGDSVFEFNEIAAARGCLGRFPGSEMMGIIKKFIKAKND >ONIVA01G24130.1 pep chromosome:AWHD00000000:1:21306261:21311115:-1 gene:ONIVA01G24130 transcript:ONIVA01G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLKLVMMESHATPDDLTGDKGAAQILHRQLFDAHQPNLLDEDDMHIFGSKPMADPLDLVCCNTCKKPIKASQYAVHAERCSSGKVNPNDSMGTGIDDDCGTKKPPKKGRKIKLTNQKVHIKLKAKSQSENKNIANGFELDNVLANKVQPIGSTIDQRLKSSANNPALTSVPQGHHRDAPVPLATKMYHSQGNYRLRLELGQLYRQSCSEHSGSYSIPNSSQENGLMVSHLSPRDNSSLNVAQKSFVPQTKSMDQLLASTPESCPVIPQQVAASVPNRQATKSQKAEVQVSAVKNEMARSRCSKDAVRHSKNTGNKKAHQQRNGTVDCWCSGSICEELFFSNEKTGPRNDLGLDNLITGSFWSVNS >ONIVA01G24120.1 pep chromosome:AWHD00000000:1:21304797:21305657:1 gene:ONIVA01G24120 transcript:ONIVA01G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGLELSLACAAPSPAADFGEEEEELRRPPSPRRRRQQPTMAALYAELAALLPGLRSRASREEIVEAAAKQVKVLEDTVAVLEAYRAVQVGGAAAAEEVSVSYRETVCFAARLPAARRPGALTRVLEVFDRRGVEVLAATLAGGGRAAMVTVTAAAAAPDIAEMIKADIASIE >ONIVA01G24110.1 pep chromosome:AWHD00000000:1:21303487:21304064:-1 gene:ONIVA01G24110 transcript:ONIVA01G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATRHASTAPAPTTLLPRAPAATFGFSSSSMPFLYWCWCDVMVYVIAVGGLCLAVVVFATAGVGRRGWAAWGCKRPGQSSSLGEDGAADELKEQLQGALQENGQLKRELQQYTSEKKASAKTTDAADAAAEQAEQWRKATETAMAAAAV >ONIVA01G24100.1 pep chromosome:AWHD00000000:1:21299638:21300480:1 gene:ONIVA01G24100 transcript:ONIVA01G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNX0] MTAGGGGGEGGKEEGHSASEAASIVLRIVTVGLSLASAITTAASTQCVRGDDGRVAATDSYSDYHSFRYAAAADLVSAVLQGVAIYLEAVRKEEAARVVELIDKLVQALTSSSAALLLAVADITSCGLPRSSRSRGGGRRQGGGLCSQPGRFCGQVRVSSAFSLLAATSFSVSVYTRHAAKRAAAVTPPPTTKKKKPQSSRRPPARTPPPERRESPSPPRGRPRTPPPPPGSPKKPATPDVVVRPPRPRPPTTPPRPYVGCPRLTIPCSCENPELCSAFF >ONIVA01G24090.1 pep chromosome:AWHD00000000:1:21290794:21291678:1 gene:ONIVA01G24090 transcript:ONIVA01G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNW9] MAGGGGGEEEGHSASEAASIVFRIVTVGLSLASAITTAASTQCVRGDDGRVAATDSYSDYHSFRYAAAADLVSAVLQGVAIYLEAVRKEEAARVVELIDKLVQALTSSSAALLLAVADITSCGLPRSSRSRGGGRRQGGGLCSQPGRFCGQVRVSSAFSLLAATSFSVSVYTRHAAKRAAAVTPPPTTKKKKPQSSRRPPARTPSPERRESPSPPRGRPRTPPPPPGSPKKSATPGAVVVEQPGPPTDHREAGELVMVDVVVRPPTTPPRPYVGCPRLTIPCSCENPELCSAFF >ONIVA01G24080.1 pep chromosome:AWHD00000000:1:21287412:21289684:1 gene:ONIVA01G24080 transcript:ONIVA01G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: rRNA processing (InterPro:IPR013730); Has 898 Blast hits to 687 proteins in 142 species: Archae - 2; Bacteria - 28; Metazoa - 200; Fungi - 99; Plants - 63; Viruses - 0; Other Eukaryotes - 506 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G03180) TAIR;Acc:AT4G03180] MKRPPPREDGAGSGGGDGAKKGKGRWGGGGRRRNEQRLGSGGGGALSLAAFANAKSRNTGYNPALIKKQKEFYKNAKLISKYKRSKKQQNQSSNPPPFPIPKEGGDDANNASKLHSKKKKRIAPSLNEEYEKKRAEDEKAKKEREAIIQAKREERERSEARRRDLREKMFKKTRSGQPVMKYRIQHLLETALESSNK >ONIVA01G24070.1 pep chromosome:AWHD00000000:1:21284266:21285569:1 gene:ONIVA01G24070 transcript:ONIVA01G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTETTILVPTPLYSSASSHSNPESIAEAEADANADYEEGGALVLPPEVDPLEATHPTTGSKPNQTGKTGEEVSRWLLMVALPSGNWTISLGAEEGVEDARHGLAGDDIGQPSTRRVLESSLHSAGSSCYVRTGDCAVVVRGVEQYLYIVVATASHGGGSLHLYGAPSPPIPPPRHPTSWRPPARGRFAAAGDAASSTPFVTGRRPHLLRRHCRLVAYRLLLSPSSLGLSREEKKRARERKEGKREGDDVATLTCGTYVGPTLTQPPHRTKPGSKPPKDLK >ONIVA01G24060.1 pep chromosome:AWHD00000000:1:21276886:21282869:-1 gene:ONIVA01G24060 transcript:ONIVA01G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:conserved oligomeric Golgi complex component-related / COG complex component-related [Source:Projected from Arabidopsis thaliana (AT5G11980) TAIR;Acc:AT5G11980] MDVLDAGHRATAESSSSSSSAAAADMSGASVLPLAGAAYQPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYGAFIAASEALSFVRAQLEGFDRHLEALIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVIQGLAVEVKKTIQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREAWLSGILDDLDQRNVYGYLTGMVGYHRTHLFDVVNQYRAIFNNDKSGSDENYDGGLLFSWAMHQISNHLTTLQVMLPNITEGGSLSNIREQCMYCAMGLGLVGLDFRGLLPPIFEKAVLNLFSKNMGTAVENFQVVLDSHRWVPMPSVGFVANGVVDETSDDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKNVLAEEVVKGLQAVSDSLVRYNAMRMLRGNESSLFLSLCQAFIEVAYPYCSACFGRCYPNGAILITERRSTFDAVSQLLTVPARSNSSGISIERRQSGSIERKQSGAIERKQSEGIERKQSIDESAGTTATDNGTPANGPPPVANDDSGTSSAPAQPDGQTTAAAST >ONIVA01G24050.1 pep chromosome:AWHD00000000:1:21266183:21269296:-1 gene:ONIVA01G24050 transcript:ONIVA01G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT3G62970) TAIR;Acc:AT3G62970] MGGAHFPGEGEVVAGEGDAVVPLRDVGKMEHGCEHYRRRCKIVAPCCGEVFACRHCHNDATASGDRHTICRQDVEKVVCLLCDTEQPVSQVCINCGVNMGEYFCDVCKFYDDDTEKGQFHCYDCGICRVGGKENYFHCAKCGSCYAVALRDNHQCVENSMRQNCPICYEYLFDSLKGTRVLDCGHTMHMECFSEMVEHNKYTCPICSKTALDMTHHWALLDQEIEATIMPPVYRYKVWVLCNDCNKVSEVDFHVIGHKCSHCNSYNTRSTSRPADLSGSSSPSTSDSSENNL >ONIVA01G24040.1 pep chromosome:AWHD00000000:1:21263031:21264910:-1 gene:ONIVA01G24040 transcript:ONIVA01G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGLAMGEYCRSCVAHVKESWVQCWNAITSTVLKCILTQPQARNTMADNENTKEPKSTEYPLRM >ONIVA01G24040.2 pep chromosome:AWHD00000000:1:21263257:21264910:-1 gene:ONIVA01G24040 transcript:ONIVA01G24040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGLAMGEYCRSCVAHVKESWVQCWNAITSTVLKCILTQPQARNTMADNENTKVKNK >ONIVA01G24030.1 pep chromosome:AWHD00000000:1:21255612:21255923:-1 gene:ONIVA01G24030 transcript:ONIVA01G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASERAVVIFTLSSCCMCHTVTRLFCDLGVNALVHELDQDPRGKEMERALLKLLGRGPPVPVVFIGGKLVGGTNKIMSLHLGGELIPMLKNAGALWL >ONIVA01G24020.1 pep chromosome:AWHD00000000:1:21244602:21244916:-1 gene:ONIVA01G24020 transcript:ONIVA01G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAKLSMEKAVVIFTASNCPMCHTVVSLFSDLGVGAAVHELDRDPLHGRDMERDLARRLGRSPPVPAVFIAGKLVGSTDRVMSLHLAGKLVPMLKAAGAIWL >ONIVA01G24010.1 pep chromosome:AWHD00000000:1:21231249:21236896:-1 gene:ONIVA01G24010 transcript:ONIVA01G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMFGFSRSRMRIGRSKGHSTDPLDSSKSPSGLVKHLSLPNGDDQITTSVSGRVDDLAFRCSSDTYDLDDRALVSSQNWAVLSTEGSRPSPRFAHAAALVGSKMVVFGGDSGDQLLDDTKILNLEKLTWDSVAPKVRPSPNRRPSKLPACKGHCLVQWGNSVILVGGKTEPASDRLAVWTFNMETEVWSLMEAKGDIPAARSGHTVTRAGATLILFGGEDTKGKKRHDLHMFDLKSSTWLPLNYKGSGPSPRSNHVAALYEDRILLIFGGHSKSKTLNDLFSLDFETMVWSRVKIHGPHPTPRAGCSGVLCGTKWYIAGGGSKKKQTWAFDVVEYKWSDFSMVPLYHRDKIVLVAFGGNRKEPSDKVEILVVLQNEHSFSRRSAPDVDPLLYEYSPSTKELAGHLNNCAPLYSNSSVARHSLASTVEHPPRRELLSEPLLQNPNLGASLHRQFHQSEACSLAQKLQKPIDDDKYDDTDDCSSCQASTPKEYRSKRTGTDAQIDMARILSSKEENLETTGSSARRIARCSSDISHLYNTKIADLIKRSSALEDQLATALVSKEQAEKNLSSVINSREQLERRLANKEKEAEMLKEKIAGLELAQEESNNLSNTVHADNELHSTRRVLAGEQSRAFQLQDSLLALM >ONIVA01G24010.2 pep chromosome:AWHD00000000:1:21231556:21236896:-1 gene:ONIVA01G24010 transcript:ONIVA01G24010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMFGFSRSRMRIGRSKGHSTDPLDSSKSPSGLVKHLSLPNGDDQITTSVSGRVDDLAFRCSSDTYDLDDRALVSSQNWAVLSTEGSRPSPRFAHAAALVGSKMVVFGGDSGDQLLDDTKILNLEKLTWDSVAPKVRPSPNRRPSKLPACKGHCLVQWGNSVILVGGKTEPASDRLAVWTFNMETEVWSLMEAKGDIPAARSGHTVTRAGATLILFGGEDTKGKKRHDLHMFDLKSSTWLPLNYKGSGPSPRSNHVAALYEDRILLIFGGHSKSKTLNDLFSLDFETMVWSRVKIHGPHPTPRAGCSGVLCGTKWYIAGGGSKKKQTWAFDVVEYKWSDFSMVPLYHRDKIVLVAFGGNRKEPSDKVEILVVLQNEHSFSRRSAPDVDPLLYEYSPSTKELAGHLNNCAPLYSNSSVARHSLASTVEHPPRRELLSEPLLQNPNLGASLHRQFHQSEACSLAQKLQKPIDDDKYDDTDDCSSCQASTPKEYRSKRTGTDAQIDMARILSSKEENLETTGSSARRIARCSSDISHLYNTKIADLIKRSSALEDQLATALVSKEQAEKNLSSVINSREQLERRLANKEKEAEMLKEKIAGLELAQEESNNLSNTVHADNELHSTRRVLAGEQSRAFQLQVEVFHLKQRLQMEGRAGTPKNPPV >ONIVA01G24000.1 pep chromosome:AWHD00000000:1:21224147:21231806:1 gene:ONIVA01G24000 transcript:ONIVA01G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSINLRGFAGNAGKNIMQGIGGFVFGNERSESKEDSYVERFLDRISNGTISDDRRSAMTELQSLVAESRSAQMSFGAMGFPVLLNVLKEDREDVELVRGALETFVSALTPIETSQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQMLLKETIGFDPLIAILKIRRGSAFNFTQQKTVNLLGALDTVELLLMGGGSSGEPGKDANKTTNQTALAQKNILDHLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLASKQVGEDPHVQPALSAIFSIILRTSVAQEFVAADYVFKCFCEKNPGGQALLASTIAPHPNQAHATFGATTDMPFGSILLQALVSSDDNGDMEACCRASSVLSHIIKDNLQCKDRVLQIQLETPAPSLGRTEPLLHRIVTCLSIAASAEGENGQSGQPEESYIQPVILRLLIVWLVDCANAVDCLLESAVHLNYIIELASSKRYSACVRGLAAVVLGACVLYNASREKGRDAFAVADAISQKIGLSTYFLRFDELRKRFAHSSSGQQNRKQLSRSSANSMSDFQEIEEEEINKGDQHPVLSEIFDSHFVSFLSKLETDIRDNIMDIFSRTKTATAIIPAELEQKNGEVDGEYIKRLKSFVEKQCNEMQDLLGRNAMLAEELVRTGGGNSADSLQKPSSGRERVQIESLRQELEGATRRIEALRAEHTQIEAEASNQRNLAAKLESDLKSLSDAYNSLEQANFRLDSEVKALRQGGSAPYPDVEAIKAEAKEEAEKESEAELNDLLVCLGQEQSKVEKLSTRLAELGEDVDTLLQGIGDDTALPDDDDDEDEDDE >ONIVA01G23990.1 pep chromosome:AWHD00000000:1:21221799:21222406:1 gene:ONIVA01G23990 transcript:ONIVA01G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMGGGRGRAARMTPRRSVDRLTVEFIDTNSSNHINRLISDHHGCRRVGRRAPRRRGRLSTSTPWRAGCRSEPSTSSPANARPENSVELYCPDAAPHLAHASIAFRVGQQEGNDGLLPLLERFKRDAALSALGIRTAGGGAVVDAAGGGAPDGGDGRWRR >ONIVA01G23980.1 pep chromosome:AWHD00000000:1:21195267:21198698:1 gene:ONIVA01G23980 transcript:ONIVA01G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGCFGDGGIGGDRRGGSSDLFPSLTYPIGCSLPSRTDPPSAAMGGADPVTSSPPSHIPSLLSGWSERRFQDGGRRLTCGSADEEAMAVGSCKSGLPINFGIFGTGGYLGSYGGLDGYDNTLPGIQIRHCEFVIPNTIEVVHGAALGTMASLVLE >ONIVA01G23970.1 pep chromosome:AWHD00000000:1:21179691:21180710:-1 gene:ONIVA01G23970 transcript:ONIVA01G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYWSTTQTSGNPPLAAASLLSSRADASSSRRVPRRRRRLRLLSPSGAHAASPLVAHRGVGTSPAASHPSRAPPSFLPSVGVASLSSPVPAPPPFLPAVHAGQSEAVFSKPRSTTSAISSGDSKAGGLVRQPSSLSCQARLYW >ONIVA01G23960.1 pep chromosome:AWHD00000000:1:21156140:21158408:-1 gene:ONIVA01G23960 transcript:ONIVA01G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLRGAAGRVRAPPTPAPPRPHHLPPAPPSPAGAGGAAAQDRLRVFQDDVTTQPKDAPGVLKERDPSYDEMLKHMVGRITTKPGGKPEMGEAFVVDPYNRPLPRVRTSRPEPGEGGHRQLPPGTINIAHVHEIIQLYQGKSSNHPGPMSVDEIASKFRVEASVVQNIVQFISLPQEEHVTKKEEY >ONIVA01G23950.1 pep chromosome:AWHD00000000:1:21148554:21153865:-1 gene:ONIVA01G23950 transcript:ONIVA01G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVSHPVKSASEESKVLVVENGKMVDVQDKEITMEGLCSISSYDQWARIPVSGPLPKPRYKHAAAVVQEKMYVFGGNHNGRYLGDMQVLDFKSLSWSKLEAKIQSEEPSDLTGTASLPPCAGHALVPWGNKILCLAGHTREPTESLSVKEFDPQTCTWSTLRTYGRSPSSCGGQSVTLVGGTLVVFGGEGDGRSLLNDLHVLDLETMTWDEFETTGTPPSPRSEHAAACYADRYLLIFGGGSHSTCFSDLHLLDMQTMEWSRPEHQGITPEPRAGHAGVTVGENWFITGGGNNKKGVPETLVLNMSTFVWSVVTGLEGRAPPTSEIYALKSSRKSGVPSGQLNEPETNGLASVAENSSRGVIFEIEELQDEKTIKRADTSKTLLQAVKGEKSHIEEKLDQEELQSSRLKQELANVETKNELDLVRNQLSAEEARASQLENEISDLQQRLQKMETLEKESESLRLEKDAESDDSSSGSNQRPADKGFWRWNG >ONIVA01G23950.2 pep chromosome:AWHD00000000:1:21148554:21153865:-1 gene:ONIVA01G23950 transcript:ONIVA01G23950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVSHPVKSASEESKVLVVENGKMVDVQDKEITMEGLCSISSYDQWARIPVSGPLPKPRYKHAAAVVQEKMYVFGGNHNGRYLGDMQVLDFKSLSWSKLEAKIQSEEPSDLTGTASLPPCAGHALVPWGNKILCLAGHTREPTESLSVKEFDPQTCTWSTLRTYGRSPSSCGGQSVTLVGGTLVVFGGEGDGRSLLNDLHVLDLETMTWDEFETTGTPPSPRSEHAAACYADRYLLIFGGGSHSTCFSDLHLLDMQTMEWSRPEHQGITPEPRAGHAGVTVGENWFITGGGNNKKGVPETLVLNMSTFVWSVVTGLEGRAPPTSEIYALKSSRKSGVPSGQLNEPETNGLASVAENSSRGVIFEIEELQDEKTIKRADTSKTLLQAVKGEKSHIEEKLDQEELQSSRLKQELANELDLVRNQLSAEEARASQLENEISDLQQRLQKMETLEKESESLRLEKDAESDDSSSGSNQRPADKGFWRWNG >ONIVA01G23940.1 pep chromosome:AWHD00000000:1:21143580:21150487:1 gene:ONIVA01G23940 transcript:ONIVA01G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAVTEVDATNEEGEEEEQVVNPWEVSAGKGGIDYDKLVDQFGCQRLDDALVARVARLTARPPHRFLRRGLFFAHRDLNEILDLYEKGEKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTVEETKRLARENAKDIIACGFDVERTFIFSDFNYVGGAFYENMVKVARCVTYNKVVGIFGFTPEDHIGKVSFPPVQAVPSFPSSFPHLFSGNDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDPNSAIYVTDSAKEIKTKVNKYAFSGGRDSIELHRKLGANLDVDVPIKYLNFFLEDDNELEHIKKEYKEGRMLTGEVKQRLVAVLSELVARHQRARALVTEEMVDVFMAARPLPNMFG >ONIVA01G23930.1 pep chromosome:AWHD00000000:1:21131458:21133113:-1 gene:ONIVA01G23930 transcript:ONIVA01G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lumazine-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G20690) TAIR;Acc:AT2G20690] MSPPTMTTAAAATATAMAAGVLPRPRPHFLRRGILPSPASPLPFASRVSSAAPLLHRLPPPRFSLSPIPKTLSSPSHVPVRSLFTGIVEDVGTVRRIGPPPAPPSGGGGEAPGVDLEVETSTLLAGTQLGDSVAVDGACLTVAAIDAAASTLTFGVAPETLRRTSLGGRSAGDAVNLERALTPASRMGGHFVQGHVDGTGEIAAFRAEGDSLWVTVRAPPEILRLLVPKGFVAVDGASLTVVNVDEEGGWFDFMLVRYTQDNIVLPNKKVGDKVNLEADILGKYVEKLLAGRLEAMSKAQEREGNESGS >ONIVA01G23920.1 pep chromosome:AWHD00000000:1:21129276:21129602:1 gene:ONIVA01G23920 transcript:ONIVA01G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLEMGGGGVNRSGGGASGSGGGATSGGVWSVRRRQPTSPVAGDGGERRMADLGFRSRSGAFSVGVKGGAESGHCAGGVHSAGSPR >ONIVA01G23910.1 pep chromosome:AWHD00000000:1:21118312:21122957:-1 gene:ONIVA01G23910 transcript:ONIVA01G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREESGNGGGGGATPAADYRSSDSRSSSRRSTRFKEDNEYVEITLDVKGDDTVAIQSIRNGADMPEVALLARGLAQQPPPSAAPGPGGLSSRLKAVRTELRRIASWKFPSGVLSGGGDAPGNGNDRRPRLDRSMTGAARALRGLQFLNSSAVTNGWPEVEKRFERLAVDGFLLRSRFGQCIGMVGSEEFAVQIFDSLARRRGITAQLLTKDQLREFWEQLSDPGFDAKLQTFFDMVDKNADGQITEEELKEVLTLTASANKLSKILERVDEYTALIMEELDPDQLGYIDISNLESLLLLPPSQAPSKLVTHSSNISQLISQKLVPTHDRNPLRRGLRRLSYFMEDNWKRVWVMALWLAINAGLFTWKFMAYKRHPTFDVMGYCVCVAKGGAETTKFNMALILLPVCRNTITWLRSRTKLGAVIPFNDNINFHKVVAGGVVVGVALHGVTHLTCDFPRLLHASDAAYEPMKKYFGQTRIPDYWWFVRGVEGITGVIMVVLMAIAYTLAHPWFRRSKLSDSNPLKRLSGFNMFWYSHHLFVIVYIAFVVHGVCLYINRTWWKQTTWMYLAIPILLYAGERIFRALRSHGFTTVRIEKVAIYPGNVIAIHMTKPHGFKYKSGQYIYVNCGEVSPFEWHPFTITSAPDDSYLSMHIRPPMNGQSGLLRADCMSMEHHSRFPKLLIDGPYGAPAQDYWKYDVLLLIGLGIGATPLISIVKDVLNHIYDDPESAASPHTTNGGGAAAAARRAFMTKRVYFYWCTREEGSFEWFRGVMNEVADRDAGRELIELHNHCTSVYEEGDARSALVTMLQALHHAKNGVDVVSGTRVRTHFARPSWRDVFKRVAVNHQGQRVGVFFCGDQALTPELRRLAQDFSHKTTTKFVFHKENF >ONIVA01G23910.2 pep chromosome:AWHD00000000:1:21118312:21122957:-1 gene:ONIVA01G23910 transcript:ONIVA01G23910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASREESGNGGGGGATPAADYRSSDSRSSSRRSTRFKEDNEYVEITLDVKGDDTVAIQSIRNGADMPEVALLARGLAQQPPPSAAPGPGGLSSRLKAVRTELRRIASWKFPSGVLSGGGDAPGNGNDRRPRLDRSMTGAARALRGLQFLNSSAVTNGWPEVEKRFERLAVDGFLLRSRFGQCIGMVGSEEFAVQIFDSLARRRGITAQLLTKDQLREFWEQLSDPGFDAKLQTFFDMVDKNADGQITEEELKEVLTLTASANKLSKILERVDEYTALIMEELDPDQLGYIDVHTLTLKSSTYLKKYIYISEFDIVKNKNLCNSESEIVQSTRVAVSAILNSDTVHGHLPFPKISNLESLLLLPPSQAPSKLVTHSSNISQLISQKLVPTHDRNPLRRGLRRLSYFMEDNWKRVWVMALWLAINAGLFTWKFMAYKRHPTFDVMGYCVCVAKGGAETTKFNMALILLPVCRNTITWLRSRTKLGAVIPFNDNINFHKVVAGGVVVGVALHGVTHLTCDFPRLLHASDAAYEPMKKYFGQTRIPDYWWFVRGVEGITGVIMVVLMAIAYTLAHPWFRRSKLSDSNPLKRLSGFNMFWYSHHLFVIVYIAFVVHGVCLYINRTWWKQTTWMYLAIPILLYAGERIFRALRSHGFTTVRIEKVAIYPGNVIAIHMTKPHGFKYKSGQYIYVNCGEVSPFEWPPMNGQSGLLRADCMSMEHHSRFPKLLIDGPYGAPAQDYWKYDVLLLIGLGIGATPLISIVKDVLNHIYDDPESAASPHTTNGGGAAAAARRAFMTKRVYFYWCTREEGSFEWFRGVMNEVADRDAGRELIELHNHCTSVYEEGDARSALVTMLQALHHAKNGVDVVSGTRVRTHFARPSWRDVFKRVAVNHQGQRVGVFFCGDQALTPELRRLAQDFSHKTTTKFVFHKENF >ONIVA01G23900.1 pep chromosome:AWHD00000000:1:21112840:21116533:-1 gene:ONIVA01G23900 transcript:ONIVA01G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPASPPPAMPEDAAPPQPQPEPAVPAGEEAAPSLERKEELLPVEEKISELDESQSQLMGRLRGLKEDLLNWRSSLDTQVTKYKSELSDIKTALNSEIEQLRSDFQELRTTLKKQQEDVSNSLKNLGLQDATDNDGNKGSGEENASEGVSDTLGNLKLDDTPKNHDESSDANDEKNETIAEDGTVDKTVKAESASDE >ONIVA01G23900.2 pep chromosome:AWHD00000000:1:21112840:21116533:-1 gene:ONIVA01G23900 transcript:ONIVA01G23900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPASPPPAMPEDAAPPQPQPEPAVPAGEEAAPSLERKEELLPVEEKISELDESQSQLMGRLRGLKEDLLNWRSSLDTQVTKYKSELSDIKTALNSEIEQLRSDFQELRTTLKKQQEDVSNSLKNLGLQDATDNDGNKGSGEENASEGVSDTLGNLKETIAEDGTVDKTVKAESASDE >ONIVA01G23890.1 pep chromosome:AWHD00000000:1:21105591:21109975:-1 gene:ONIVA01G23890 transcript:ONIVA01G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongation factor Ts family protein [Source:Projected from Arabidopsis thaliana (AT4G29060) TAIR;Acc:AT4G29060] MTPVVHCSVGNISLFHIGSFRPSHEIQIRRFRSTERYSRVPSRRLLQPQRAFNLISIYKRSSWSSARRPRTLSAATVGTDVTVEDPNPPPSGETSEESSEDTAPDTAEASEQAEASTSSIPKAGRNIRKSEMPPLNDEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLVEANKETGRISLTMRTGGDYVKPKTETPKAASGGRNTTATTSRGSPRQTRERDEAKSMGETNYVQGQFLDGVVKNSTRAGSFVTLPDGSEGFLPREEEAVALFTLIGHSALEVGQQVRVKVLNVVRGQVTLTMKEGEDDEEDLASLNTQLKQGWSRGTNAFELAFRRNKEISAFLDQREKIIVPDVQEAAVASVGTELDAEVGIEQSPGKEPETGNAESVAIDSSITEVKETDSIAAVEKDSEISKTESVETASSVVISEDDSTVDGKLVEPTASVSATETEIKEDSSEGSVTTEPTEAASTEFVTAVVEESAPTASSVETSEDDSTVDDKLVEPTASVSATEAESKEDSSEGSVASTESVTAVVEESAPVSSVAIEVPAPEASEASAQEIIEDSTTVEGAADDQTVESDSPPPEGVELSSNGAPDSSIAEDKPDEPEESLIVEEVPVTASSESEDKEPAAVPEEVAASSEKTADVAVAGAEASTATATISPALVKQLREATGAGMMDCKKALAESGGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYISLDDVPEEVMKKETELEMQREDLLSKPEQIRSKIVEGRVKKRLGEYALLEQPFIKNDKVTISEWVKQTIATIGENMKVNRFVRYNLGEGLEKRSQDFAAEVAAQTAAKAPPAAPPKDDKPEETAETEEKKPAVAISAALVKQLRDETGAGMMDCKKALAETGGDIQQAQEFLRKKGLSSADKKSSRLTAEGLIGAYIHDNRIGCMIEINSETDFVARNEKFKELVNDLAMQVVACPQVEYVSIEDIPESVVIKEKEIEMQREDLQSKPENIREKIVEGRISKRLGVLALLEQPFIKDDSKTVKDLVKETIATLGENIKVRRFTRYTLGEN >ONIVA01G23880.1 pep chromosome:AWHD00000000:1:21102241:21104839:1 gene:ONIVA01G23880 transcript:ONIVA01G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLAPLLLLLLAPLPPPAAAAEPEEARCPREWLPPFVAAAAAALRPSCRASAERCPAEEINGEELVKELSGKEECTAVLFYASWCPFSQRMRPVFDDLSSMFPRIKHLAVEQTNAMPAVLSRYGVRSFPSILIACGPYAYWPVGSKELDSLVNVYTAVTGQEPIAYLGPRKWSAARTGSTQHVKLWKSSIIEALKSEPYLAFSILFICLKILIWSKCRLMGGAMNSRVWASSLASMSFGERSSPRAAVLD >ONIVA01G23880.2 pep chromosome:AWHD00000000:1:21102241:21110677:1 gene:ONIVA01G23880 transcript:ONIVA01G23880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLAPLLLLLLAPLPPPAAAAEPEEARCPREWLPPFVAAAAAALRPSCRASAERCPAEEINGEELVKELSGKEECTAVLFYASWCPFSQRMRPVFDDLSSMFPRIKHLAVEQTNAMPAVLSRYGVRSFPSILIACGPYAYWPVGSKELDSLVNVYTAVTGQEPIAYLGPRKWSAARTGSTQHVKLWKSSIIEALKRIWVQYFRHANLGILAKLTQLLECVPHAVDLRKIWSKCRLMGGAMNSRVWASSLASMSFGERSSPRAAVLD >ONIVA01G23870.1 pep chromosome:AWHD00000000:1:21090299:21090667:-1 gene:ONIVA01G23870 transcript:ONIVA01G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARFLAVLLLVLAVAAASLAGSGDRHLQLGMLSTGGECRGTVGECLEGGDVDGEEGELGSASGEAHRRVLAGRGYISYQSLRRDSVPCSRRGASYYNCRPGASANPYHRGCSRITRCRG >ONIVA01G23860.1 pep chromosome:AWHD00000000:1:21082229:21082627:-1 gene:ONIVA01G23860 transcript:ONIVA01G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAVRSVAISLFVVALAVAAFACVPCTRKGASYYNCVPGAPPSPYNRSCEHITRCHG >ONIVA01G23850.1 pep chromosome:AWHD00000000:1:21071026:21073313:1 gene:ONIVA01G23850 transcript:ONIVA01G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAARRLAVAAAAVALLAVTVVTAAALSDAEASYIAGRQLLSLKEGGGNGELPDDFEFDIHVDVTFANDRLRRAYVGLQALRQAIYSDPKNFTGGWVGADVCSYFGITCAAALDDPAVTVVAGVDLNGGDIAGYLPAELGLMTDLALFHVNSNRFCGVIPKSFSRLALLHELDVSNNRLVGGFPEVVLDMAVLKYLDIRFNDFEGELPPQLFDKGLDAIFLNSNRFVGRIPDSIGGSTATVVVLANNHFVGCIPRSVGRMKGTLNELLLLNNRLDGCIPPEIGELADAEVVDVGGNMLVGLLPEELAKMGKLEQLDVSRNLLAGAVPEPVCKLPSLANFSFAYNYFSVEPPACVPAETAMVELDDKGNCLGGGRPEQKTSLECAPLLKRPIDCRTNTCSALPSSSKPAPKKITPTPPTLAPPPLISPKEETPSPSPYIEPPKSSPPPVKFPPPPLSSSTSEIPTTTSTSELSTASTGELPSPTVKIPTTACTGELSTPTSQIPSTASTRELSTATGKIPSTPHTNPLSTATSKIPSTPRTSLLSSSTSKIPSTPSTCELSTATGKIPSTTCTSPLSTATGKTSSTPSTSPLSTSTSKTSSTPSTSPLSSSTIKIPTTAHTGELSTPTDKIPTTTRAGELSTATSKIPTAPGNSELSITTSKISSTSCAGQFSTSTYGIPTTTCTSKLTASSCKVSSPTGACKFSTSTSTFTPTSFSNDLTASTSTFPTTTCLIPTTTSRPIASATGTIVASSSP >ONIVA01G23840.1 pep chromosome:AWHD00000000:1:21014887:21026232:-1 gene:ONIVA01G23840 transcript:ONIVA01G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEKKDPPSCGSRDSQPIPCTDDPPLSESPTTDLGCHDPRDEDADHPAPPMGKGDIDEDSDDDGYADEETTCPGQNFTKEQAKEIIRKWLETYDERNREFMVACEEFQKQGGDETSASCPVFPLKPLPETTDFCTPKSLCYHREYKTNDTSETASTIGWLEPKEMLQIFSLRLSSSMSYPISVYGIFAVRDYLDPLRNYVFNRTRDDPVIVEQDSFTLPLCSPCRGMYVVEYALFEVDLWVKMEGGVSNDKQLLSAYVEIFARGVFNKEMSGRILSDHCHVKFTAFCSGFDNEILLFNGKLCKDKSFQHIVAMKSKGKLVVHLEFEGSLFCWTFNNGRDFSGPVERSCRIGVPCIPPHREDSDDIFASTNSDNMNMLIGDIDEDSDDDGYVDEETTCPGQNFTKEQEKEIMRKWLETYDKKNREFMVACEEFQKQPGDETSASRPVFPFKPLPETTDFCITKSLCYHREYKTNDTSETASTIGWREPKEMLQIFSLRLSSSLSYPISVYGIFAVRDYLDPLRNYVFNRTRDDPVIVEQDPFTLPLCSPCRGMYVIEYALFEVDLWVKMEGGVSNDKRLLSAYVEIFARGVFNKEMSGRILSDHCYLDTHYMYLSRSIEAVIQVSTEIDSNDPRHVKFTAFCSGFDNEILLFNGKLCKDKSFEHIVAMKSKGKLVVRLEFEGSLFCWTFNDGDLGAVNSPDDSVLKQFHVRVVFSPKQCVPPTYNSFFLWRRSKSVQKA >ONIVA01G23840.2 pep chromosome:AWHD00000000:1:21014889:21026232:-1 gene:ONIVA01G23840 transcript:ONIVA01G23840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEKKDPPSCGSRDSQPIPCTDDPPLSESPTTDLGCHDPRDEDADHPAPPMGKDSDDVFASANSHNMLIGDIDEDSDDDGYADEETTCPGQNFTKEQAKEIIRKWLETYDERNREFMVACEEFQKQGGDETSASCPVFPLKPLPETTDFCTPKSLCYHREYKTNDTSETASTIGWLEPKEMLQIFSLRLSSSMSYPISVYGIFAVRDYLDPLRNYVFNRTRDDPVIVEQDSFTLPLCSPCRGMYVVEYALFEVDLWVKMEGGVSNDKQLLSAYVEIFARGVFNKEMSGRILSDHCHVKFTAFCSGFDNEILLFNGKLCKDKSFQHIVAMKSKGKLVVHLEFEGSLFCWTFNNGRDFSGPVERSCRIGVPCIPPHREDSDDIFASTNSDNMNMLIGDIDEDSDDDGYVDEETTCPGQNFTKEQEKEIMRKWLETYDKKNREFMVACEEFQKQPGDETSASRPVFPFKPLPETTDFCITKSLCYHREYKTNDTSETASTIGWREPKEMLQIFSLRLSSSLSYPISVYGIFAVRDYLDPLRNYVFNRTRDDPVIVEQDPFTLPLCSPCRGMYVIEYALFEVDLWVKMEGGVSNDKRLLSAYVEIFARGVFNKEMSGRILSDHCYLDTHYMYLSRSIEAVIQVSTEIDSNDPRHVKFTAFCSGFDNEILLFNGKLCKDKSFEHIVAMKSKGKLVVRLEFEGSLFCWTFNDGDLGAVNSPDDSVLKQFHVRVVFSPKQCVPPTYNSFFLWRRSKSVQKA >ONIVA01G23840.3 pep chromosome:AWHD00000000:1:21014887:21026232:-1 gene:ONIVA01G23840 transcript:ONIVA01G23840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEKKDPPSCGSRDSQPIPCTDDPPLSESPTTDLGCHDPRDEDADHPAPPMGKGDIDEDSDDDGYADEETTCPGQNFTKEQAKEIIRKWLETYDERNREFMVACEEFQKQGGDETSASCPVFPLKPLPETTDFCTPKSLCYHREYKTNDTSETASTIGWLEPKEMLQIFSLRLSSSMSYPISVYGIFAVRDYLDPLRNYVFNRTRDDPVIVEQDPFTLPLCSPCRGMYVIEYALFEVDLWVKMEGGVSNDKRLLSAYVEIFARGVFNKEMSGRILSDHCYLDTHYMYLSRSIEAVIQVSTEIDSNDPRHVKFTAFCSGFDNEILLFNGKLCKDKSFEHIVAMKSKGKLVVRLEFEGSLFCWTFNDGDLGAVNSPDDSVLKQFHVRVVFSPKQCVPPTYNSFFLWRRSKSVQKA >ONIVA01G23840.4 pep chromosome:AWHD00000000:1:21026232:21026541:-1 gene:ONIVA01G23840 transcript:ONIVA01G23840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPQRGGGDLGFQAAALRQQFPDRRRDFSGPVERSCRIGVPCIPPHREGTGLLRAAAPPAQPW >ONIVA01G23830.1 pep chromosome:AWHD00000000:1:21003947:21006039:1 gene:ONIVA01G23830 transcript:ONIVA01G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:one-helix protein 2 [Source:Projected from Arabidopsis thaliana (AT1G34000) TAIR;Acc:AT1G34000] MSLAPSIPSIKVKVGGVAVSPPRHRACRSSFAVIRSSKAEGAPRRPAAPPLSPPPKTPTLSTPPTLSQPPTPVKPAAPSSSPPPSQDPEPKQAAAPVAVAAPAAAGAVTLENQRKVAKDLQDYFKQKKLDEADQGPFFGFLGKNEISNGRWAMFGFAVGMLTEYATGSDFVQQVKILLSNFGIVDLD >ONIVA01G23820.1 pep chromosome:AWHD00000000:1:21000804:21002961:1 gene:ONIVA01G23820 transcript:ONIVA01G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKDEEKPVKVSPWNQYRLVDREAEQVYHLASRKDQAPGKCAPFICFGRAAAGLEGASPPKLSSGNTSGSSSEESSASANEGTNGSLTGNEKKGCLKSNSRRDSLEHCIVVSEGEEPRESLEEVQTLKSGMERRKVQWTDTCGKELFEIREFEASDEGLSDDDMENEGFRKSEVLLARYACAGDFSSIRLICTR >ONIVA01G23810.1 pep chromosome:AWHD00000000:1:20997192:20998958:-1 gene:ONIVA01G23810 transcript:ONIVA01G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTDAAPRLDEADRTLYSTFCGAANSLSQLYTQAMAQQKLSFQAGERHSLEKLHQWILRKHEEESRLTAADIMSHIQHELDYGGNDPHVSPRVHQHSANPFANSSIQPSAGSYGQATVGFAPRPSISDQSKNTIFSNALSSPVRRSLQSYHLTQGSGNGGRNAETNSAGQNRETNSGGSNDTSMDMVSDSAGNEYY >ONIVA01G23800.1 pep chromosome:AWHD00000000:1:20993345:20994964:-1 gene:ONIVA01G23800 transcript:ONIVA01G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPIAKAAHELPSLYRLALKTPEILVGAGKSGQADNEALDLFDGMPPKNQVAWNKALGMLVGAGRIERALSFFHEMPGKNAASYATMIGGLSRAGAASVARRLFDDLPLGKHNVFTWTAMVSCHVRNGEPREAVQLFAAFYGELYERGVLPNAHTLSSLLKACVALQSLAMATQLHALALKLLEEENTDNTFVWNGLIDVHAKVGALCDAEKVFDGMRFKDASSWTIMMDGYSRHKLIDKALYLFRSMKNKDAFTWNVIISCLWQNRRGEDALRLFIDLLRLGDRDNGGAKPDASIYTTVLHICSVLSLLTLGRQVHARAVKSGLSRSHVFVGNSLMNMYSCSGSTADLEKVFDEMAARDVVSWNTAIQGLGQNGRGRRALAFAERALALGLYNGSTFVAILASCSHAELVAEGMAYFDAMEVEYGVERAFEHYVGAIDLLGRAGRLRDAHGLLLGMPFAPSALAWTTLLHCCLAHKNCSIGSVAARELRALQPDGGGWNYERLLRGCGGSGGGGGGGGEAGGGKSSEHLPGCSWVV >ONIVA01G23790.1 pep chromosome:AWHD00000000:1:20989086:20989277:-1 gene:ONIVA01G23790 transcript:ONIVA01G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAPPSIEAALIGDKRRGNSTARRDRAGRSGAEEEGGVRGRSGRGEFEEDCLGDREEEEGF >ONIVA01G23780.1 pep chromosome:AWHD00000000:1:20987126:20993338:1 gene:ONIVA01G23780 transcript:ONIVA01G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTAEEEAALKAGVAKHGTGKWRTILRDPEFTALLRLRSNVDLKDKWRNLSVTAGGYGSRERARVALKGGKRGPKALAEPMDADEKNPDIDDNAIIDAQPLAVVVEPMQLESTPEKEKSVARLDDLILEAIKKLKEPSGSNRTTISSYIEEQYWPPEDFQRLLSTKLKALVATGKLIKVNQKYRIAPSSNSSGGKSIKVYSTGEMNIENNNVRQLSKPQVDAELDKMKSMSKEEAAAFAARAVAEAEAAIAEAEEAARAAEAAEAEADAAKAFLDAVVTTMQNRNHASAVY >ONIVA01G23780.2 pep chromosome:AWHD00000000:1:20987126:20991160:1 gene:ONIVA01G23780 transcript:ONIVA01G23780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPRSKIQDRMAGLFTRQAAEYAAARPVYPKDLFVKLASLTAHHRVAWDVGTGNGQAAIGVAEHYDSVVATDVSAEQLRRAVPHPKVRYHHTPDAGADDDDLVAALGGEGRVDLITVAEAAHWFDLPAFYGVARRLLRKPGGVIAVWGYNYRVSPVEDMMARFLHTTLPYWDSRARYVIDGYRDLPFPFDGVGLGKEGEPAGFDMEHEMSFPGLVGMLRSWSAVATARQRGVDLLDERAVRRLEGEWGGASLVRKVTFKAFLLAGTVRADDPA >ONIVA01G23770.1 pep chromosome:AWHD00000000:1:20984115:20987048:1 gene:ONIVA01G23770 transcript:ONIVA01G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLWRVRLSSFLAGTATASAAGFFFIYKDHLLARAAIARQVEDIKETSEKHYESLNQRVSALESRNELGDTKAASD >ONIVA01G23760.1 pep chromosome:AWHD00000000:1:20981326:20983950:-1 gene:ONIVA01G23760 transcript:ONIVA01G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAMAAVAAAAPRGLLFPISPSPSQPRRVPALARAGRSQRRHAALARPARRHLDEAMSAGFVRSLNAPPDEDGAGSGGRYDPRPGDFAVGVVVSGTEARLDVAVGADRLATLLAKELLPLYRADLPAFAEAAPPRPGSVGVVASPASGEGDRKPGERGGGRTLVPPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWHRTRQGLRAFLPKFELMDRINTFSDLKNKVGCSIRVCITRLDEETNDLIISEKKAWEMTYLKEGTLLQGIVRKIFPYGAQIRIAGTNRSGLLHISNISRGSVLSVSDILKIDDEVKVLVVKSNVPDKIALSISDLESTPGLFLSDKARVFSEAEEMAERYREQLPADSKNAKLDADLPGGTIPFDDEATLYANWKWFKFLEDGKLGAVTTEHNGS >ONIVA01G23750.1 pep chromosome:AWHD00000000:1:20956032:20959571:-1 gene:ONIVA01G23750 transcript:ONIVA01G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNS4] MEAAAERSAGAGAAATAAPESGGGGAGERRSRFRRICVYCGSAKGRKASYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPFLSFIDMAVSEGFIAEDARRIIISAPTARELVLKLEEYVPEYEVGLVWDDQMPHSFAPDLETRITSS >ONIVA01G23750.2 pep chromosome:AWHD00000000:1:20956032:20959571:-1 gene:ONIVA01G23750 transcript:ONIVA01G23750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNS4] MEAAAERSAGAGAAATAAPESGGGGAGERRSRFRRICVYCGSAKGRKASYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPDSDPSWIHVFRGMLVFYHAGFPLLLSNAHSLLLALTALNIYQVGLLNVDGFYDPFLSFIDMAVSEGFIAEDARRIIISAPTARELVLKLEEYVPEYEVGLVWDDQMPHSFAPDLETRITSS >ONIVA01G23750.3 pep chromosome:AWHD00000000:1:20956032:20959571:-1 gene:ONIVA01G23750 transcript:ONIVA01G23750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNS4] MEAAAERSAGAGAAATAAPESGGGGAGERRSRFRRICVYCGSAKGRKASYQDAAVELGKELVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPFLSFIDMAVSEGFIAEDARRIIISAPTARELVLKLEEYVPEYEVGLVWDDQMPHSFAPDLETRITSS >ONIVA01G23740.1 pep chromosome:AWHD00000000:1:20948684:20953815:1 gene:ONIVA01G23740 transcript:ONIVA01G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT3G28700) TAIR;Acc:AT3G28700] MFRSPAAAAASALLRRLGPRVSGGGGGASTHRRFAPPVSTSILSRYSSTPTSSPSPPPPPPPPPSDAAADGDNRAESGEPGSSLSITIDRSGLYNPPEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGTSGDFITSPEVSQMFGEMTGVWAMCLWEQMGQPDKVNLIELGPGRGTLLADLLRGSSKFVNFTKALNINLVECSPTLQKVQYNTLKCEDEPIGDKTRTVSKLCGAPVHWHASLEQISYARSVLYVFSAVPTIIIAHEFYDALPIHQFQKASRGWCEKMVDLAEDSSFRFVLSPQPTASLLFLSKRCGWASSEELEKVEHIEVCPKAMEITEQIADRISSDGGGALIIDYGKDGIVSDSLQAIRKHKFVHILDNPGSADLSAYVDFASIRHSAKEASDDISVHGPMTQSQFLGSLGINFRVEALLQNCATDEQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGTPVPFE >ONIVA01G23740.2 pep chromosome:AWHD00000000:1:20948684:20953815:1 gene:ONIVA01G23740 transcript:ONIVA01G23740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT3G28700) TAIR;Acc:AT3G28700] MFRSPAAAAASALLRRLGPRVSGGGGGASTHRRFAPPVSTSILSRYSSTPTSSPSPPPPPPPPPSDAAADGDNRAESGEPGSSLSITIDRSGLYNPPEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGTSGDFITSPEVSQMFGEMTGVWAMCLWEQMGQPDKVNLIELGPGRGTLLADLLRGSSKFVNFTKALNINLVECSPTLQKVQYNTLKCEDEPIGDKTRTVSKLCGAPVHWHASLEQKASRGWCEKMVDLAEDSSFRFVLSPQPTASLLFLSKRCGWASSEELEKVEHIEVCPKAMEITEQIADRISSDGGGALIIDYGKDGIVSDSLQAIRKHKFVHILDNPGSADLSAYVDFASIRHSAKEASDDISVHGPMTQSQFLGSLGINFRVEALLQNCATDEQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGTPVPFE >ONIVA01G23730.1 pep chromosome:AWHD00000000:1:20938315:20939879:1 gene:ONIVA01G23730 transcript:ONIVA01G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPVSHRSSCTVTDADEDDLPTQWNKWRRAAALSRRTRRAPRVAVPASPTCACGGRMRRVPKPRPMPTAVDERADGACGGLAPVLPPAAAAAAWYPYDLMCTECDGETGWRRRKPACWAADWKAARPRQRWRPYPSFADVAAALGDLRLGAGDDDDHSVCSLEPLTERSER >ONIVA01G23720.1 pep chromosome:AWHD00000000:1:20932487:20937930:1 gene:ONIVA01G23720 transcript:ONIVA01G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF572) [Source:Projected from Arabidopsis thaliana (AT1G17130) TAIR;Acc:AT1G17130] MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDVEGEKYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYTVESGASRNFEPWREEDEVADREKRKRDEEEMGDAMRALENRAMDSKQDMDILAALEEMRSMKSRHAGVTVDQMLEILKRSAHDKEEKTVAELDEEDEKLIKSITFRNSQDYVKRIEDDDDDDEDLVIPGQLSSTSKMNGSSQSVSNATDVLTKTSGSDNANKEGNKIWPSKMPKFIVKPKSTPTDPIKKQKTETTAAPNNGKAPVAEEKSEPAQNNVLQSLCQNYDSDESE >ONIVA01G23710.1 pep chromosome:AWHD00000000:1:20929909:20930784:1 gene:ONIVA01G23710 transcript:ONIVA01G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKVINKHYPDDFDPSKIPRRRQHKKQMVVRMMLPMTVRCAACGEYIGRGTKFNSRKEDVAGERYLGAVQVFRFYFRCSRCSAEIVFRTDPASAGYALESGATRPSYEPWPAAAAEAGREEHGGGDAMTALEGRCRDARREMGVDAALEEMRSLKSRRAGVTPEQLLESLRRRGEALAELEEDDEKLISSIAFGNAKERSLRRINDGDDEDDEEDFFESSLARAAMATTSHQAKKRPPPPPRDAVKSLVVSKKRRPESMDQAAWPPSLGKTTASNGALQVLCCNYDDEE >ONIVA01G23700.1 pep chromosome:AWHD00000000:1:20924312:20924614:-1 gene:ONIVA01G23700 transcript:ONIVA01G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAIRRCRGRGLSSPLPRSLPNSHSAWLSGRPARSARILALSLRLSPARAAPTPPPPLLFTLLLLDFPSTTTRRAASPMPPCALAAGGSARRRLPPRR >ONIVA01G23690.1 pep chromosome:AWHD00000000:1:20919959:20924236:-1 gene:ONIVA01G23690 transcript:ONIVA01G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGKRREEEGRRRRKGKGKGAGEMVLQEEEEDAAPAMGCWIRIPRRLGGGCMSSRSKVDSSTTTSGGGGGGSARVGGESKSANDGCRDHSVQPMASGSTTSSNTGSISPSSIVGEELKLAFQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWAIRMRIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLVDPRLEGNFSIRGAQKTAQLACACLNRDPKARPLMSQVVEVLKPLLNLKDMASSSYFFQSMQQERAASLGNPIGSQSMKAQGTFARNGQQPMRSLSYGPHASPYRQSPRPNNGKLQ >ONIVA01G23680.1 pep chromosome:AWHD00000000:1:20915434:20919440:-1 gene:ONIVA01G23680 transcript:ONIVA01G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKGGRAGRSVKAHEQGDQIKMGGVTSSTSSSSPATAAGGSSYSAEAAQALCCACVGQSTVAVEEAWGRYDAVLGPGCHFVPWCVGRRVAGYLSLRVQQLDVRCETKTRDNVFVTVVASVQYRALADRAYDAFYCLTNAHAQIQSYVFDVIRASVPNMNLDEVFGQKKEVARAVEEELARAMTMYGYEIVQTLIVDIVPDEVVRRAMNDINAAARLRVAAAERAEADKIQQVKRAEGEAEAKYLAGVGVARQRQAIVEGLKRFVPNEKDVMDMVLVTQYFDTIRDIGATSRSSTVFIPHGPSAVRDMAAQVRDGLLQATAAAGGGAATLKAL >ONIVA01G23680.2 pep chromosome:AWHD00000000:1:20915434:20916754:-1 gene:ONIVA01G23680 transcript:ONIVA01G23680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSTSSSSPATAAGGSSYSAEAAQALCCACVGQSTVAVEEAWGRYDAVLGPGCHFVPWCVGRRVAGYLSLRVQQLDVRCETKTRDNVFVTVVASVQYRALADRAYDAFYCLTNAHAQIQSYVFDVIRASVPNMNLDEVFGQKKEVARAVEEELARAMTMYGYEIVQTLIVDIVPDEVVRRAMNDINAAARLRVAAAERAEADKIQQVKRAEGEAEAKYLAGVGVARQRQAIVEGLKRFVPNEKDVMDMVLVTQYFDTIRDIGATSRSSTVFIPHGPSAVRDMAAQVRDGLLQATAAAGGGAATLKAL >ONIVA01G23670.1 pep chromosome:AWHD00000000:1:20909260:20911258:-1 gene:ONIVA01G23670 transcript:ONIVA01G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLYTDIGKKTRDLLYRDYGTHHKFTLTTCTPEGVTITAAGTRKNESVFGELQTQLKNKKLTVDVKANSESDLLTTVTVDEFGTPGLKSILSLVVPDQRSGKLELQYLHEYAGINASVGLNSNPMVNLSGVFGSKELSVGVDVAFDTATSNFTKYNAALSLTNSDLIASLHLNNHGDTLIASYYHLVKHHSNTAVGAELSHSFSRNESTLIFGSQHSLDPHTTVKARFNNYGMASALVQHEWRPKSLITISGEVDTKAIEKSTKVGLSLVLKH >ONIVA01G23660.1 pep chromosome:AWHD00000000:1:20906187:20908526:1 gene:ONIVA01G23660 transcript:ONIVA01G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCVEVEESTVAMRERFGKFDGVMEPGCHFVPWFLGLQARGPLSLRLRQLEIRCPTKTKDNVYVTIVTCVQYRALADKASHAFYTLINTRSQIQAHVFDVLRTSIPKLALEEVFDKKKEIAEALEEEVAEAMAPYGYEVMRALVVDVEPEEAVRRAMGESRAAADRAVAERAARAGRAEADAEAARLAGVGAARHRQAVVDGLRACVVAFCAAVPGATPREVMDMVLVAQYLDTVREIAAASASGCSAAAAVPFLPHGPAAARDAVAQIRDGLLQAVQPPAAAAASSVAAVGLPSPLPVASVCEGITEEQ >ONIVA01G23650.1 pep chromosome:AWHD00000000:1:20901849:20902809:-1 gene:ONIVA01G23650 transcript:ONIVA01G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQQQQQRDGDYEPVYEWLDAGAHYLLRVNVPEFKKEELQVHVDPAGRLTVRGQHGGLRLNKVFQLPPTCNLDAITGRLEASVLVLTVPKKPATTAAAAAAALPPKANQEEEKETKKADEHDVAGKPPPPPPKTDSDQSERRTQLSAREKKEEPPKATAPAAAPPQPAARERHDEEEKARAEHKARLSREADRRIEAARARLAAQQAASRPAPAPAPEPEKTAAWWKERAAEEGMKLAEAIGKNKEVVATAVAAFALGVFVSTKLFSRNN >ONIVA01G23640.1 pep chromosome:AWHD00000000:1:20900494:20901205:1 gene:ONIVA01G23640 transcript:ONIVA01G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRRWLPLRARRAARPSTTTIGAAAIGNRFDFPPPFFTQNLLVLPKIPKSSGLREAAPTGCEGLREAEGDEQFVLNGFTAANLNFDDMATVTPNGLLMFTNGTNQLKGHAIFPVPLQFHRAPNSTAMQSFSTAFVIGIIGAFEDQGSGRWQQQGGIISITNYRVGLK >ONIVA01G23630.1 pep chromosome:AWHD00000000:1:20898121:20899511:-1 gene:ONIVA01G23630 transcript:ONIVA01G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHRVLEYLVEHRDGGDLREQVWLDGAAAGYVVRLDIAGFSKDEVDVRVNGATGRVTVLGQRPAAAAAAGPHVRLRRVIQLPPTADSDRVAARFVGTMLFLTVPKKRPAAATGVVMATTMEVAETETEKKKERAARWDSGSVVAAAAAAAAFALGVVVSHGILLYTRNG >ONIVA01G23620.1 pep chromosome:AWHD00000000:1:20889175:20889675:-1 gene:ONIVA01G23620 transcript:ONIVA01G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCVPKGSRSAKVEPRDVDLQPEVKWHDGAAGYVARLDLAGFRKEEFRVQVDGAGRVTVRGQRPAGHVRLHREFQLPPAADVDRIAARFDGATLCLTVPKRPAGGAAEVVMATMEDARVEAEMQMEMEKERARWDRGSAIAAAVAAFALGVVVSHRIFATRNGSA >ONIVA01G23610.1 pep chromosome:AWHD00000000:1:20880820:20887516:-1 gene:ONIVA01G23610 transcript:ONIVA01G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNQ7] MAARCGRRRRPWWWSSSCRCSPLLQLALIISSCLLLWPHQGVALAPSRAAATDTLAVGRPLSGGRRLVSKGGRFAVGFFQPDNALQRWYMGIWYHKTREHTKLWVANRQAPLTDPESSQLSISSDGNMVLLDRATRSPVWSTNITGIAAAANSTVGVILNTGNLVLADASNTSAVLWQSFDHLDNTWLPGSKLRRNKLTGEATRLVAWKGSNDPTPGMFSLELDAGGGGASQHLRLAWNGSHQYWSGGGGNWTTAPEESGPEGQSPYTFLYVDAENESYVVFEVKDEALLSRIVVGVAGQIMLWGWVESAATWVLFWSEPTLCDVYSLCGSFSVCTDGAVPECGCLQGFVERQPRQWLYGDQTAGCARITGLQMPCGGGGQASGKTTKRDDTFFTMPKANSLPTGGVAAPSATASAHDDCELACLGNCSCTAYSYNGSCTLWYGDLINLRGANGSGTDGYSISIRLGVASDLSGTGNTKKMTIGLVVAGVVAAAVTLAVLVAVLVMRSRRAKALRRLEDSSSFLTVFTYRDLQLVTNNFSDKIGGGAFGSVFKGALPGDATPVAVKKLEGVGQGEKQFRAEVSTIGMIQHVNLIRLLGFCTDRTRRLLVYEHMPNGSLDRHLFGSGSGHGGGVLSWKTRYQIALGVARGLHYLHDKCRDCIIHCDVKPENILLDGAFAAKVADLGLAKLMGRDDSSRVLTTTRGTVGYLAPEWIAGTAVTAKADVYSYGMMLFEIVSGRRNVEQRRRQAEAADDDEYDRGAGGTVEADFFPLTAVKMLFDVDGDLRDAVDGNLGGEADMGEVERACKVACWCVQDAESARPTMGMVVKALEGLVDVNFPPMPRLFMVGLSTGSSHT >ONIVA01G23600.1 pep chromosome:AWHD00000000:1:20869246:20872485:-1 gene:ONIVA01G23600 transcript:ONIVA01G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPPPESGPPPGPPGAMRVARRLAVEVVDARDLVPKDGLGTSSAFAVVDFDGQRKRTRTVPRDLSPQWHERLEFAVHDPAAMHAEALDVSLYHDRRFNPSGGGGGGGGKNHFLGRVRIYGSQFSRRGEEGIVYFPLEKRSLLSWIRGEVGLKIYYYDEAPPPPEDRPPEGGGGDNAPPPEVPPEAPRDLPDIPAPTEAAVEVQQPPVHPPVIIVEEAPPPMHHPMMPPMHGPHGPMMPPAVHGPHGPMAPPMHQHQHPQPQPEPEPEPEPDGGEAGYPPEVRKTRMATERVRIARHLSGGLGPEYYATSPRVISGRFVSTGDAVEPVQSSYDLVEPMRYLFVRVVKVRGIRACEGPYVKIQAGPHTLRSRPGRDVSGTGNPEWNQVFAINHAKPEPTLEISVWDGGAPSPIEAFLGGVCFDLSDVPVRDQPDGPLAPQWYRLEGGEPGMVTGDIMVAVWIGTQADEAFPEAWNTDAPYAAYTRSKVYQSPKLWYLRASVIEAQDLRVPAPPPGLPFDVRVKIQVGFQSARTRRSVASRSSGSAFAWEEDLMFVVSEPLDESLVVLVEDRSMIKEPALLGHATIPVNSVEQRLHERQLVASRWFSLEGGTSDIGIGPGGGPPGFYSGRLHLRLCLEGGYHVLDEAAHVCSDYRPTAKQLWRPPVGVLELGIIGACGLLPMKTKGGAKGSTDAYCVAKYGKKWVRTRTVTDSLNPRWNEQYTWQVYDPCTVLTVAVFDNWRMFAFAGAGDEQRQDYRIGKVRVRVSTLESNRAYTASYPLLVLLRSGLKKMGEVQLAVRFTSPAHLPDTWATYTSPLLPRMHYLRPIGVAQQEALRAAAVRVVAAWLERSEPPLGREVVRHMLDVDAHTWSVRRAKANWFRIMGVLAWAVGLARWLDGVQRWRSPPTTVLVHVLYLVLVWYPELAVPTASLYVFLIGVWYYRFRPRGPAGMDARLSQADTVEADDLEEEFDAVPPPEVLRARYERLRTLAGRVQRVMGDVAAQGERVQALVSWRDPRASRIFVGVCLAVAVALYAMPPKMVAVAGGFYYLRHPMFRDPMPPAAVNFFRRLPSLSDRML >ONIVA01G23590.1 pep chromosome:AWHD00000000:1:20856858:20860559:-1 gene:ONIVA01G23590 transcript:ONIVA01G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNQ5] MYGWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCENLDDVKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMMCQATEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCEKLGGATAEIMCDLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDVDQVRGVMEKYPPYQAIFAKISYGESQMLDKAFYEEEVRRLCLSFEQQFHYAVFFAYIRLREQEIRNFMWISECVAQNQKNRVHDSVVFIF >ONIVA01G23580.1 pep chromosome:AWHD00000000:1:20845465:20849514:-1 gene:ONIVA01G23580 transcript:ONIVA01G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGRKAISPLSPIQPAPSFLLPPNPPYATLRSYIPNRPFLTFVPTLIASFPRRFYNLNHWVPFGVCYAMKRAGSKGVLESSSSSSKKTTRRQKKPPTSSLEELELPNSAMNKIQEVHDIARDVFSTATPGFVPSPLAEANLTKLLDTLKLEDFGLDASMPYFRADPQGHPKVTYVHFGDDSLNFSFGVFCLPQSAVIPLHDHLGMTVFSKILHGSMHIKSYDWVKTPNGKNRICREIDGAHFAKVRTNTIYDDSSKTTVLYPESGGNLHCFTAETACAVLDVMGPPYSSVEGRDCSYYGVCPSPRGVSRRITDELSDWLRKEHCTFNMNAVLVKPSHSQCVFVAFGLNQKGEYSLSRPLSARPPQEHVGNLCHGFLVAGGTHARAPHCHVKDGRGRRETSLSIVALHARRAHRPGEEGRWMLAGSMGKVVGLEKLAGFLRPTMPEKGEGRATDGGRGPALSWGRSRPRLLLRQIRTARRPSLPGRFHAAELALPRSRYQRPSLLTSPSSLPCQSALAADLDRLPQLVRRFLPLLPPSRPLDRFAAWCHAARAAARPPRRSSPLGLPRRSLHY >ONIVA01G23580.2 pep chromosome:AWHD00000000:1:20845465:20849514:-1 gene:ONIVA01G23580 transcript:ONIVA01G23580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGRKAISPLSPIQPAPSFLLPPNPPYATLRSYIPNRPFLTFVPTLIASFPRRFYNLNHWVPFGVCYAMKRAGSKGVLESSSSSSKKTTRRQKKPPTSSLEELELPNSAMNKIQEVHDIARDVFSTATPGFVPSPLAEANLTKLLGAHFAKVRTNTIYDDSSKTTVLYPESGGNLHCFTAETACAVLDVMGPPYSSVEGRDCSYYGVCPSPRGVSRRITDELSDWLRKEHCTFNMNAVLVKPSHSQCVFVAFGLNQKGEYSLSRPLSARPPQEHVGNLCHGFLVAGGTHARAPHCHVKDGRGRRETSLSIVALHARRAHRPGEEGRWMLAGSMGKVVGLEKLAGFLRPTMPEKGEGRATDGGRGPALSWGRSRPRLLLRQIRTARRPSLPGRFHAAELALPRSRYQRPSLLTSPSSLPCQSALAADLDRLPQLVRRFLPLLPPSRPLDRFAAWCHAARAAARPPRRSSPLGLPRRSLHY >ONIVA01G23570.1 pep chromosome:AWHD00000000:1:20834512:20836842:-1 gene:ONIVA01G23570 transcript:ONIVA01G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFTFFRDEVQKASEKVHRHHANDDEAGLFLSLGLSLGSSPDACQCHASKKDEADAGNGGGDGYLALALRCAPAAGEPMVHPKRQRATTNSSSSSSICGEYGGGAAAATVPAGHDDDDRSCMITAASTANRPGRVVLRTRCSAPTKTAKGNPWPRGYYRCTGAPGCPVKKQVQRCNHDTSVLVTTYDGVHNHPITPYAAALPPSSSSSSSAAAAMLASSSSSSTWSELQRAMPAAQSSWSQRNYPIQADVVAKAIWDPKFQATVAAAVASYVRDREQSARVAGGKGAGELFNLAPPC >ONIVA01G23560.1 pep chromosome:AWHD00000000:1:20830204:20832820:-1 gene:ONIVA01G23560 transcript:ONIVA01G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPDETVKFPSCDAYFDTIQSKKKLPLSLQESLTAAFAQIPVSSFPDVPNGRVIEIPGDTSVLDAVRILSKHNIRAAPVLNPECGAPADWKGRYLGIIDYSAIILWVLENAEIAAVALSAGSATAAGVGMGAVGAVGVAALGATGPAAVAGLTAAAVGAAVAGGLTAEKGVAKDGLTAADHLGEDFYKVLLQQEPFKSTTVRSIVESYRWSPFVPITLDTSMLTVLLLLSKYRLRNVPVIEPDKPIIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSLDEVITVNSGDLILEAFKCMKDNKIGGVPVVEGPNKKLVGSVSIRDIRFLLLRPDLFSNFRQLTVMEFMKTIGSTVSDSGNGLVKPPLTCSPDASLGSVIDSIASRITHRIYVVDGDFEVVGVVTLRDVISCFIYEPPGYCDNYLASAMDKLEEKGAGSVDTS >ONIVA01G23560.2 pep chromosome:AWHD00000000:1:20830202:20832232:-1 gene:ONIVA01G23560 transcript:ONIVA01G23560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPDETVKFPSCDAYFDTIQSKKKLPLSLQESLTAAFAQIPVSSFPDVPNGRVIEIPGDTSVLDAVRILSKHNIRAAPVLNPECGAPADWKGRYLGIIDYSAIILWVLENAEIAAVALSAGSATAAGVGMGAVGAVGVAALGATGPAAVAGLTAAAVGAAVAGGLTAEKGVAKDGLTAADHLGEDFYKVLLQQEPFKSTTVRSIVESYRWSPFVPITLDTSMLTVLLLLSKYRLRNVPVIEPDKPIIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSLDEVITVNSGDLILEAFKCMKDNKIGGVPVVEGPNKKLVGSVSIRDIRFLLLRPDLFSNFRQLTVMEFMKTIGSTVSDSGNGLVKPPLTCSPDASLGSVIDSIASRITHRIYVVDGDFEVVGVVTLRDVISCFIYEPPGYCDNYLASAMDKLEEKGAGSVDTS >ONIVA01G23550.1 pep chromosome:AWHD00000000:1:20821580:20827629:1 gene:ONIVA01G23550 transcript:ONIVA01G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKSPIDEALLHRQEDLRDEVDYDMEHLLLPTGSNMEQHLLLPTGGGSFCMTGASFGRSCLNLSNIISGIGMLSVPYALSQGGWLSLTLFTMVGVICLYTGNLIDWCMRVDRCVRSYPDIGYLTFGSYGRMAIGLVIYVELYLVAISFLILEGDNLDKLLPGIVVEILGYQVHGKQLFVLAAAAVILPTTWLKNLSMLALNYAVTAVLGYLIYGEDVQAQVTLNLPTGKLYTRIAILTALITLLAKYALVIQPVTIAIEEKLSATTDAEINRLTRVLTSTAVVISTVVLACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLKIYMSRGGVGCFEMAAIIGILVIGVCVAVVGTYTSLQQIIGTF >ONIVA01G23540.1 pep chromosome:AWHD00000000:1:20815191:20817187:-1 gene:ONIVA01G23540 transcript:ONIVA01G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNP8] MAMAGGGSNSLPSPSCGDDKKRRVCYYYDPGISTVDYGEGHVMVPHRVTMAHNLVAAYGMLGDMHRLRTAPATAAELADFHDEGYLALLQDLTPDGCGGDDGVGDMARARGIYAVEGKGGGRGVDNPVFDRLWDYCLRYSGGSLAAARALGSGTADIAINWSGGMHHACRGGARGFCYVNDIVLAIRELLAHFRRVLYVDIDVHHGDGVQAAFEASNRVMTVSFHQHGGGFFPGSGAVADVGKKGPGRYCALNVPVSVGIGDEEYHRLFEPIMARVMEVFQPEAVVLQCGADSLAGDRLGELNLTTRGHAQCVSFIRSFNLPLLLLGGGGYTINHVASCWCNETAVAIGKEIPNDIPKHGFDVFYKNQEYKLHYKLETKHLHRNRNTANSIDGIRMAAMENLSKLKLEAAASVQFEERRHRSINVGDLYYDPREQEEEEESPTARLHRKLYFEPTGDQESLYTKHRCNVQPGESSSRKQRKLK >ONIVA01G23530.1 pep chromosome:AWHD00000000:1:20810476:20814695:-1 gene:ONIVA01G23530 transcript:ONIVA01G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKSPIDEAPFYGKHEQEDLGTIAYSARAPSSVRNMLPPAAGGFTKPSASTPATSWTAACASIANLPSQGRWLSLALFTKPYASTPATSWTTACTSIAELPDIGHLTFSGYGLTAIGLIIFVILLGDNLDKLLPGTVVEILGYQVHGKQLFVLAAATVILPMTWLKNLSVLAYLGGRAGLVGCVEQVLLISSVMCSLNYTVTTVLGYLSYGEDVQVKVTLNLPTGKLYTKIAILTTLITPLAKYALVIQPITMAIEDKLSAMMAAVADNRNNGLTRVLTSSRRCQHDGAGVHFALLRQPHVVHRVLAERRHCHVVPVPELPQDLHAPRRSCPLRRGSDCWDTGHWSVRHHRWHLHFPSPDYRHILIGHPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYVIYNNDDMEECRIKGHLNGMMRYI >ONIVA01G23520.1 pep chromosome:AWHD00000000:1:20799161:20799577:-1 gene:ONIVA01G23520 transcript:ONIVA01G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPSASSCTSSSTSLPSAFSSSRPTTSTSSFRHGGGDPSVPGAREAIVRTRDGHYHPPDDVAQEPQHARLCLAAGLVSSVALTVSLLWAGVVDKGFHVALESERVAHCPQPLLPLLRRPWFLPNRLILDEVQERFS >ONIVA01G23510.1 pep chromosome:AWHD00000000:1:20797323:20802653:1 gene:ONIVA01G23510 transcript:ONIVA01G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPSASSCTSSSTSLPSAFSSSRPTTSTSSFRHGGGDPSVPGAREAIVRTRDGHYHPPDDVAQEPQHARLCLAAGLVSSVALTVSLLWAGVVDKGFHVALESERVAHCPQPLLPLLRRPWFLPNRLILDEVQERFS >ONIVA01G23500.1 pep chromosome:AWHD00000000:1:20787495:20789535:1 gene:ONIVA01G23500 transcript:ONIVA01G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVILSTTWLKNLAGLGRRGRQVLPHGGKQPLESEQVAHHLPTTLSLYFLVYFIGHGVFPTVHSLMKSKKDFPKVLLISSVLCSLNYAVTTLLRYLIYGEDVQAQVTLNLPSGKLYTRITILTTLITLLEKYGLEIQLIATVIKEKLSLTTAAATDAENNRQTRVLTSTVVVVSTVVLACTVLFFSYLMSFNGSSLNVTIAVLFPCLSYLKIYMP >ONIVA01G23490.1 pep chromosome:AWHD00000000:1:20787096:20787297:1 gene:ONIVA01G23490 transcript:ONIVA01G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSKMIYGTIRWFTTWKATPSHTSAVPYALSQGGWLSLVLFTMVGANCFYTGNLIDHCMRANR >ONIVA01G23480.1 pep chromosome:AWHD00000000:1:20784485:20785087:-1 gene:ONIVA01G23480 transcript:ONIVA01G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMHRHTCGWRWPSARKSPTTYRSTITRSTRELQGNWRLDVLYKSQEYKLHYTLETKHRNRNTANSKDGIRMAAMENLSNLKPEPAASKQFEERRHRSIDVGALNYDPREQEERLMERNYTAQVQCAARGVE >ONIVA01G23470.1 pep chromosome:AWHD00000000:1:20776688:20784478:1 gene:ONIVA01G23470 transcript:ONIVA01G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDRAQVEQLLRYVVEEVPDDAEKKRSFKFPFIACEIFTCEIDVILRTLVEDEQLMELLFSFVKSDHPHSTLLSGYFSKVVICLMLRKTAPLMAYVQGHPEIVVQLVDLIGITSIMEVLIRLIGADETIYSNYGDTLQWLENTDVLEMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFRHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSGAENVLPTTYGCLRPPLGKHRLKISVSDQMEYRKEGTQDLCQSGPPNWIGSGKPNWIGAAIGPADWASHETAEKELIRQSAIKRSVDLFFEYPYNNFLHHHVENIIVSCLEGKRTELVEHVLNDCDIVGKILAAEKLSSLSTESTGPTIPSDGKSIPKIGNIGHMTRIANKLIQLGSSNGTIQIHLQENSEWVDWQTDVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDMEENQGTLERDDEDVYFDDESAEVVISSLRLGDDQDGSLFTNSNWFTFDGERGITDRLAAVPSSSPNSEEISPDTEETDDGEVVIGTEDHMDTVNLANGPIEEAEDAAEFTKHPATSTEDEQLQNAEGIERHLDVSNGDAEASTEAAEAAPVSSAPSSDEVQTERTADEPTGSSDSGNSVSEVLPDPEDSSIDPANTAVSSEQTVDNEDVELPTKEVPSVDVETKTDEIKANE >ONIVA01G23460.1 pep chromosome:AWHD00000000:1:20769404:20774372:1 gene:ONIVA01G23460 transcript:ONIVA01G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT2G34670) TAIR;Acc:AT2G34670] MGCTHSKVEDEEAVRRCKDRRKLMKQLVRRRVELAAAQTAYLQSLRNTGATLRQFAEVESALSQQPPAGIAVHPSPPPPPPPPPPPPPVPVPPAYSVTSSVPPYSMTSSLPPSPRPPPPLPFSPIVIRRKKRDGELDEDDSTDDDDDTDSCSTPLPPPPPPGVEWEYLEPFAMRPLNFPSSLADRIDKEAASQVTMDDDNWVETNTEFDGYDDESVSGNVEGIVSSVQLNQAKSRALVDDNSSMVSWVTKDSDTSAMAWRSKKSLAGIAKEIDEYFLKAAASGSDIVILLDSSGGQPDPSELEANRVKLSTKGQEKCLFASNHFQRITFVVLGAGKNSKSAKVFSTISWSWSFKSAQANRQSSMHSSDASGYGYHGKTLEKLYEEEQKLYKLVKDEEFARLQYRKNTSLLQRLESGDHDKLHAEKVRDNIEELQARIISLEEAVGLTCLSISKLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQANLLGNLPGNEPTTDTHCQATSQLEVEVSAWHSSFCNLITLQRDYVTILNQWIKLTDCLPDNDGFMKSSSGIRSLCAELQRALTGLPEKVAAEAIKTFLSVIHTIVVQQTEERQLKKKSDQIESKFHTQLEKHSNNATQNSGQPTLAKLDTFKKQVEEEKARYLNSVRTSRAMTLNNLQTSLPNVFHALMGFSGVCVQAFEGISRCSEIVASHSGAVSPAISS >ONIVA01G23450.1 pep chromosome:AWHD00000000:1:20757995:20761092:1 gene:ONIVA01G23450 transcript:ONIVA01G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAAAAAVGAMRPWNYVVTAHKLTVVARSCVGNFTAPDHLDLSLTVQQLSGNVPVLRLEYLLTHQGLQPMLDAPVYGRIATIELFRPCENRSGRDASDHIGRPTNKGQTDELNRDYNITWMTAVEMLDDYVYIGADNCYNLFTELKRRVGRLLVIGQYHLGDQVNRFHQGPLVMQDPGSEVDQIPTFIFSESRIDSTQ >ONIVA01G23450.2 pep chromosome:AWHD00000000:1:20757995:20761235:1 gene:ONIVA01G23450 transcript:ONIVA01G23450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAAAAAVGAMRPWNYVVTAHKLTVVARSCVGNFTAPDHLDLSKCTRIEIGVSAYSSGSSGRGEMLLTTLDALQTRDRDYNITWMTAVEMLDDYVYIGADNCYNLFTELKRRVGRLLVIGQYHLGDQVNRFHQGPLVMQDPGSEVDQIPTFIFSESRIDSTQ >ONIVA01G23450.3 pep chromosome:AWHD00000000:1:20757995:20761092:1 gene:ONIVA01G23450 transcript:ONIVA01G23450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAAAAAVGAMRPWNYVVTAHKLTVVARSCVGNFTAPDHLDLSSGRDASDHIGRPTNKGQTDELNRDYNITWMTAVEMLDDYVYIGADNCYNLFTELKRRVGRLLVIGQYHLGDQVNRFHQGPLVMQDPGSEVDQIPTFIFSESRIDSTQ >ONIVA01G23440.1 pep chromosome:AWHD00000000:1:20756693:20757355:-1 gene:ONIVA01G23440 transcript:ONIVA01G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1118) [Source:Projected from Arabidopsis thaliana (AT1G74730) TAIR;Acc:AT1G74730] MAAVQAAISTCCSSSCLSRPPPPFARRRRFKVTAMAPQKKVNRYDDKWSKQWFGAGIFAEGSEEVEVDVFKKLERRKVLSTVEKAGLLSRAEELGVTLSSLEELGLLSKAEDLGLLSLVEAAAAASPDALASVSLPLLVAAIAAVVLVPDDSAALVALQAVLAAVLLAAAAGLFVGSVVLAGLQESD >ONIVA01G23430.1 pep chromosome:AWHD00000000:1:20749453:20749830:1 gene:ONIVA01G23430 transcript:ONIVA01G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSLLSALALAFHAVNTGVAVYRSRADAAAVALVLASSLALALLFLCLRLYEGAPPAEAARRRWLRRAVWLLSAALTAAFTRRVAGAMPPAGAVLVWAMSAATAGGGFYALVVVDDGRDLGAK >ONIVA01G23420.1 pep chromosome:AWHD00000000:1:20744320:20749271:1 gene:ONIVA01G23420 transcript:ONIVA01G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEDDAAAVLTNGGAGAAEVRRRRDQAKEILSKQAVKIATKAEEHERFIFKVTHLLGVLGFGTFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVIFTIRWWNPQTFAAMHPEGRAARVTWPYVGDKSYLWTWLFAVPLAAYTLWQLMYFLIVNVLRRQRLLKDPEGALKESTESKQHLVETQRASWRQEPATHMHVVFQILKVCASTWNGGSFILEVMPRQVVQKEKKKLEMKPMEEANSSQNAEESQGDLSANGQHSSEHS >ONIVA01G23420.2 pep chromosome:AWHD00000000:1:20744320:20749271:1 gene:ONIVA01G23420 transcript:ONIVA01G23420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEDDAAAVLTNGGAGAAEVRRRRDQAKEILSKQAVKIATKAEEHERFIFKVTHLLGVLGFGTFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVIFTIRWWNPQTFAAMHPEGRAARVTWPYVGDKSYLWTWLFAVPLAAYTLWQLMYFLIVNVLRRQRLLKDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRPLMYILLQALFTVATMALTVPIFLSFQMHVVFQILKVCASTWNGGSFILEVMPRQVVQKEKKKLEMKPMEEANSSQNAEESQGDLSANGQHSSEHS >ONIVA01G23410.1 pep chromosome:AWHD00000000:1:20734024:20735621:1 gene:ONIVA01G23410 transcript:ONIVA01G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYPSLLSLSESPAEYRQVGGGRYAGEDVVVDDDDMAAVADAVSSYLSFDMDDVEYYTPEVGFHSKQHNPPPVAAAPLEAGGGREQSRREAAVNLGKMDRGPAPVSGGAATGGVPRSKNGSKIAFKTRSEVDVLDDGYRWRKYGKKMVKNSPNPRNYYRCSSEGCRVKKRVERARDDARFVVTTYDGVHNHPAPLHLRPQLPPPGGYSIAGAPAVVAPHGRLGLEEAEVIALFRGTTATSLLLP >ONIVA01G23400.1 pep chromosome:AWHD00000000:1:20711531:20730727:1 gene:ONIVA01G23400 transcript:ONIVA01G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30990) TAIR;Acc:AT4G30990] MATPSYAAVKCLNTSSSSRKRFVFKSFSQRVEEIDIDVYRSLHEVKAEPSSGSSFFLDALVEWRELNTADDFISFYEETIHLVQTLPQIVLHCEKIFSGLLKRINMKARLSLEPILMLVAALSRDILEDFLPFLGRHANAILALLSDGGDRDPEIMEQVFTSWSYVMMYLQKYLVKDVVQVLRITAPLRFFPKDYVREFMAESVSFVLRNAPNGQQIEGVRNVLLEAAKNPSPVHIDGITALLCHVMRGTYTRLHSRAGKIMEFLLSKSNLTTIQEKFPDDKANILKLVKSLVIILGNLSFISPFYDPVFKLTDLSMLVFVRKLLTKGPKIIQTFESQILRAASLGMTGECDPQNLLDLFSIFAVNLSSPNKDLRVLTLRILSYFGKMDQRLGTDEERPHKRQKTEDSGDDTIDMKYANVLDTLLAVESTPISVSTSRKIAIFVSRIQMSLSSKMVHEDYIPLLLHGIIGILYNRFSDLWPPALDCLAVLISKHKELVWDQFIQFIATHQSNGPSVKNQDKLEATIQPQSIFDCFSIYLSTNYDCTPLETVATLLLQSLQKISDVAESRSRHLVPLFLTFMGYDNSNITSVDSYISNKCKGKQWKTILKEWLNVLRLMRNARSLYQSKILQEVLTKRVLDESDPDIQSKALDCLLNWKDEFLTPYSKSLKNLIDSKTLREELTTWAVSYDSLSIQKDHRSSVVPLVIRVLTPKLKKFKLLGSRKSLIPGNLRLEIFGSQSDNLLGNISDIVEASTEICLENLTWKKANGFLHLVEEIFGTFGMAHISPVLDVLLLIVVRLLESCMRNLRSMNEEDYPSKQSNDPDDECSMTLEAGNSMSLKEHSKDLPSADHNKQRVEKAKMSYFWNCRDYSLCPKKTNLGLDMTHYSTTNLDKVVSSYDEAINYVGQVQYLICGNPHQLPHCHAGKCLNQAIEGFKYGSNDFGEKFWNIFFTSVKPLIDCFRQEASSSEKPSSLFSCFMAMSQSPKLASLLGAHNLVPAIFSILTVKKASGSITSYALEFIENLIKLDTDLEQHGDHSLKKILVPHMDVLLHSLNDFVSYRRELHRKSGTWLGQRELRLFKLLMKYITDPSSAEHVLDLILPFFSKKDLNPDECLEALRVVGGILANLRCGVSAKILNALNPLLATAGLELRLCICDIYVGLSFHEPSVSTLAMLVRDLNAVSTSELGEVDYDTRIKAYDTIQPQSFLDMREEHVGAILSHCVYDMSSEELIFRQSASRALQSFLDFSASIMNNESKHCIETENNSNGIWTKGSIHQILEKTYLHNMGVAMSKDISIQKEWIILLREMVYNFNHVPSLNSFIPLCKEDLEEDFFHNITHLQAGKRSKALSLFKQRIKDTEFSEDVTMKVFVPLFFNMFFDVKAGKGEQVRDVCLDTLSSIAAKVQWEHYRTILMRCFRELSLKPDKQKIILRLICAVLDSFHFMKPAHDVSRNSDAMNEDSDSSLTFSSTIVSSEKQHYLQKIVFPQVQKLIGADPEKVNVSINLVALKILKLLPVDYFESQLSSIIHRICNFLKNRLESIRDEARSALAASLKELGIGYLQFVVKILRAILKRGYELHVLGYTLHYLLSKTITSDMNGRLNYCLEDLLAVVESDILGDVAEQKEVEKIASKMKETKKRMSLETLKLISQCVTFKTHSLKLISPISSHLQKHLTPKLKTKLEMMLHNIALGIECNPSTETFDLFVFVYGLIKDTITAGESQCKENAGSGHGQENTRRNKLLGLHDSGLQNSYIITKFAVALLRNRLKSIKLHKNDEELLSKLDPFVKLLAECLSSKHESVLSISFRCLALLIKLPLPSLKDNANLIKNVLMDIAQRAGNSNGHLVTSCLKLLADLLRGFRISLSDDQLQIIVHFPIFVDLQTNPSPVALSLLKAIVKRKLVSPEIYDIVVRIGELMVTTQTESIRQQCIQILLQFFLNYPLSEKRLQQHIDFFLTNLSYEHPSGREAVLEMLHDILTRFPQRIVDDQGQTFFLHLVVALSNEQHQNVSSMILRAIQKLLGRIGDQGKNSIFEYTLSWYTGEKQNLWSASAQVIGLLVGDRSLGIGKHLNNILANAKQIMECSVIASGGQVDLADETCLPFWKESYHSIAMMERLLARFPELYFKQNMEEIWMIVCKLLIHPHSMLRNISSSLVASYFSFVEKSKREKKFDGKSSLLIQPSMLFLIAVSLMKQLRAELSDTTANNLIVQNLSYSVCNLHTLVKQTTSPHQFWSSLSSSDHSAFLEGFELFGSTKAKNAFLLCTSSSTDVNGSNLDGGEELTSLLVSSLLKRMGKIAMQMQDTQMKTVFNCFSMISSALGAEVSLSYANLFLGPLYKVCEGFAGKVISDDVKQLADSVRDKLCDLIGTEKFVEVYNSVRKGLKQKRESRKQSEKLIAAVDPARHAKRKLRIAAKHREHKRRKIMTMKMGRWLR >ONIVA01G23390.1 pep chromosome:AWHD00000000:1:20707874:20709460:1 gene:ONIVA01G23390 transcript:ONIVA01G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAKWGDLPENVLLGVTACLPCRADRVRMACVNRQWRAAVREGPRPPSPAPQLPPLPPQLPWLIFPSSATPSFYSWIGRTSHPLPLPPDVRVARFCGSSDGGWFVLALDSSHRYALYNLNSGHRVELPPGIVSPSGKQFPLVARFATLSASPPTPSSSPRPYMLAAVVLVSRLLDVAFWVQGSQCWFPHRGPRLNQPQDVVYYNGGFYFVTADEGVVVYWPGYGRLTNNQMRMRRVEYNMLRRDDYLEDMGFIGGNGSITRYLVESRGQLLMVARYIYNEGGTEVLRVFRFHVMPLTPAAAISGRPRATWLPVDYLEGRMLFVGKGCSRSFEAARFPGFEDAIIYFLDEGFVHDTTASAAVQERPRYSFTDMGRISGRFSFNAMMICQGKM >ONIVA01G23380.1 pep chromosome:AWHD00000000:1:20705233:20705618:1 gene:ONIVA01G23380 transcript:ONIVA01G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIGTCAAIALLVLVAFVASSGAAADQPRCCVDFHSWGGNTGCGPGQNDACNSWCQSQCRGGECKPRGDRHFCHCFC >ONIVA01G23370.1 pep chromosome:AWHD00000000:1:20702859:20703895:1 gene:ONIVA01G23370 transcript:ONIVA01G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRCAVRFLVSIKAIASAVRRGVVHATSHMTASRLARLRNLTAVSDMSEKDGEVDLRGGAAAKTRWAEPARAAANRMMGVSAELAGQEAVMSVIGKNHVSDTVKAVDRAWRSLIANM >ONIVA01G23360.1 pep chromosome:AWHD00000000:1:20702144:20702380:1 gene:ONIVA01G23360 transcript:ONIVA01G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDANPSVARSASLSLTTLSASSCSPPNTAAASMSSPSRRTSVVMASWIWPATRGKKKAGDDVVDRPSTMWWISRQR >ONIVA01G23350.1 pep chromosome:AWHD00000000:1:20693685:20697540:-1 gene:ONIVA01G23350 transcript:ONIVA01G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRSRRSAAAITRPPSHGGPAWRRMIAWIQLLKVFVQRYGEVARWRTAFLLFLALLAERGLRRAYLSWKESRLRPRAAAAVTLQAAFRGMAARRELSLRRRAARAAAAALIQARWRARAAAREHAAAVICQCAWRRRAARRRLARLRIEQERAAEVCRLREMVDVLQQAVEDAEVRVIAEREAAKKAIAEAPPVIKEIVVQVVDTDKVDSLAAEVARLKDLLGAEMKATFDAKKAVAEAELRNEKLARLLGVEEVKNRQLQESLKRMEMKASDLEEENQKLRGAVASVPYVRLPSNVNRDDPDLEPTPENEDENENEKAVYCEVKPMIVDRGVDIHENSSQLPGLNDPEAEKQQQELLIKCISEDLGFSIGRPIAAYLIYRCLIHWKSFEEDRTTVFDRIIQKISAAIEARDNNETLAYWLSNSCTLLLLLQKTLKINGAAALARQRRRASPLKTPQENQAPNHPDRSPVPDGRLVGGLGEVCQVEAKYPALAFKQQLTALLEKVYGIIRHNLKKELSPLLGLCIQAPRTFVVSPRGSGAQGTDLAQQASMAHWQSIIKILTNSLNVLKSNYVPPFLICKLFTQLFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCFWLTEEYAGSSWDELKHIRQAVALLILEEKHSKSLKEITDEYCPALSMQQLYRISTMYCDDKYGTLGIPPEVVSSMRTKMVQQGSNSPSTQDDINSFLLDDDFSIPFSIDDIAKLMVHIDIADMDLPPLIQENKGSPHLMP >ONIVA01G23350.2 pep chromosome:AWHD00000000:1:20693685:20697801:-1 gene:ONIVA01G23350 transcript:ONIVA01G23350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATFDAKKAVAEAELRNEKLARLLGVEEVKNRQLQESLKRMEMKASDLEEENQKLRGAVASVPYVRLPSNVNRDDPDLEPTPENEDENENEKAVYCEVKPMIVDRGVDIHNSSQLPGLNDPEAEKQQQELLIKCISEDLGFSIGRPIAAYLIYRCLIHWKSFEEDRTTVFDRIIQKISAAIEARDNNETLAYWLSNSCTLLLLLQKTLKINGAAALARQRRRASPLKTPQENQAPNHPDRSPVPDGRLVGGLGEVCQVEAKYPALAFKQQLTALLEKVYGIIRHNLKKELSPLLGLCIQAPRTFVVSPRGSGAQGTDLAQQASMAHWQSIIKILTNSLNVLKSNYVPPFLICKLFTQLFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCFWLTEEYAGSSWDELKHIRQAVALLILEEKHSKSLKEITDEYCPALSMQQLYRISTMYCDDKYGTLGIPPEVVSSMRTKMVQQGSNSPSTQDDINSFLLDDDFSIPFSIDDIAKLMVHIDIADMDLPPLIQENKGSPHLMP >ONIVA01G23340.1 pep chromosome:AWHD00000000:1:20685933:20688371:1 gene:ONIVA01G23340 transcript:ONIVA01G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDARARSGDGAGAGPGASGATTSYMSIDGEENGGAGGAKVWVLVLLFSLIVLMLLPSAVRRGGPWGGGGGGGGGGGGYQRGRGGGISLKSGWDVVNLCLVAFAILCGLLGRAGDGESSSGSASTAAAAGAASEGGRREHQVSPSLAAAPAAEAVGEEPSTAEVWASLNTSAAASYGGNNHYGSTGIRRLKSSSSYPELRLDSDGVWGLTSPEAAWRFYDDAELYRTRRPERSWDVDAPQKRTTTTPEVKTIPVDTYEVRRKSLPPKEERRRRRGSIERLPSMAEIAEEERPHPQPQQPVETVTPTPPPLARSRRWNPEMLEVVLEQESRVEETTMPPPPPPLTRSRRWNSERLDAVLDQVARVDETAMPPPLTRSRRWNSERLDLDAVLDQVARVEEETVMPTQTLARSRTWNPEMLDAALEQQQDTVMPPPTLTRSRRWNSERLDAVLEEMRVEEVAPAATPPPPARPRRRSRSVESLPRTEELEAEIVVEEIKYPLPSSSPAMFPPGTPPPPPPLPPATAPRSKKKRSGSVGGAKDLASAIATFYQKKRKSIGIKSKKKHHHNHHHHHHLSDGHYSSPSSDASASPDSTVRTTNPAPAPRRPPPPPPPPPPSSIFYNLFKKGGSKSRRIHSVAPPQPPPPPPPTTRRSRKPPQPPSRPAPPPPPPPQQQPPFYPRRAVVYYTYPLPPPSPPLPPPPPPPPPPMSEGEEEAPPSVTASPAPAYCASPDVNAKADKFIERFRAGLTLEKINSYREKWQRQIQDDSLSAMAVAEEEGEFMVIGSLFDDDDEEDIISLPETPATATAVAVGF >ONIVA01G23330.1 pep chromosome:AWHD00000000:1:20661330:20664001:-1 gene:ONIVA01G23330 transcript:ONIVA01G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPMELLPRPSNQRVAIAGEDRISELPDDVLVSILEKLGNTRQAVATSVLSRRWLHLPWRIRRPFLSIFQFLPRSARAGGEDGFKNLQQRDLDRAIPPFVRAAMAFLASPTATHCLALRFLLTDEEDDELVYAVRHLLLAAGAAADAGGGGARCSSVQLDVRATADTTEAQMRDGARRRLLLTGLVARPRGLLITKLRLERLWLTAADVAAVLGACARLVHLTLHGCRAGRGEGAALAIDGAPELRELVVRGCGYRRVELRRAPKLVRITLESWSSTTTTAPFRLAAAPCLREISLVNSCTRRSSQRFRLSELLASATNLDCLSLNFRNEEIWIQPEDSNRFVAAFGRLTTLSLCRIFDECDLLWTLYLLKAAPSLRKFSIGVQKHSCQYGGSEVKQRQILFPEKRNMFWIDCNFQHYHLAQLEICGFEANDKYMIFTRLIMEQAKNLKVVILSDEKTCDECDFQDDGTSSTGSSYPKNKEEKRLIQKQLTEGISSPVRVLVL >ONIVA01G23320.1 pep chromosome:AWHD00000000:1:20651489:20652852:-1 gene:ONIVA01G23320 transcript:ONIVA01G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSAAGLSPSRAVATATTMTAYAVLARGMARELVVPHDLCAVVSWATTLVHARLGPRPAERRTAIINRVDEDGRHDSCFADAHAYLATKIDPRALGRFRLSGVGGGGERGRWNSLSMVPATRCPTSSRAWSSGGLPSPRKAARRRERELKIYMNESWSWRGIVHHHPATFDTVAMDPGLKRSIVADLDRGRSTTALPIGRAWKRGYLLYGPPGTGKSSLVAAIANHLRFNLYDLDLFQIAQQSLTLSGLLNFIDGLWSTSGEERVVVFTLHHQLQGTPRRGAAPAGADGHACSPAWTPRRPRCRRFCCAASDDVDAALRALVEFLQEKKRAMCRSHQEQSSLKVQSLR >ONIVA01G23310.1 pep chromosome:AWHD00000000:1:20650460:20674286:1 gene:ONIVA01G23310 transcript:ONIVA01G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGAFSKLTVLNFGGILAGRELSWTIFLLPEFDLSRKKVQSKADEAANRDGGHMQELHKVNKEEEECAIMEEQPYYRVEEDGEKIKLEEIKGAESVERINFEERITKEEKNEAMKSSNEEVVSLVTGWKNRIEEWDVDVDENDKDTRKLTPKRDLAKVDKADKYSDLRSPICCILGHVDTGKTKLLDCIRCTNVQGGEAGGITQQIGATFFPIENIRERTKELKAGAALHVPRFLVIDTPGHQSFSNLRTRGSSLCDIAILVVDIMRGIQAQTIESLNILKRHKANFIIVLNKVDRLFGWKRCPNAPIKKALKQQAEGVKMEFDARLTDFKMQGINTILYYRNKEMDCTYKNIVPTCAISGEGIPDLLLLSMQWAQKKMKERLIFSNNIECTVLEVKVTEGHCTTIDVVLANGFLREGDQIVTCGMQGPIVTHIRALLTPHPMEELRIKCPYQHHKEIKASQGIKISAPPGDDQEKSVNKAMAEMVVLMNRIDKNTSSLGSLEALIEFLKSPAVNIPVYDFNIGPIHRKDVMKASVMLEKKKEYAAIFAFDVKVMPDAHEIANESDVIYHLFDQFTTYIEGLREIEKDEKIVEAVFPCVLKIIPDCVFNLKDPIVLGVDVLEGVAKVGTPLCLPSNGFACIGNIASIQNSSKQVDVARKGEKVAIKITGSTPDEQKKCFGRNFGIDDELVSFMTRKSIDLLKENHRGDLTLKEWELVRTLKHIFRGHRQGVPRVPPSRRDAGREDGVAEDGSTGAPAATTVIPGCAAARAVGAAGSKWRKKGTSGREAPYEEDSGRVRSAFGLDAVLSYTPQVVMVFTENDNKGREDGTHTQGHNLSLTWPPPKDDIRGDGHDEAGHRIARDAAAATGARSVDVAGRNPRKEKSGRAAPPDAVDLGKQDPSGVSSPALAPSCLSEDGNFHRENVEMQAERANGWRRKERKAAVEASEVEVKRPGNQKGHGLLDQSGDSVGNNHVLISSSNHERKKRPMYNSEAAKEKNRVQSSSNDRFHRLNNDKIKECSSAEKVPKLSDVNTKEKSEIDQLTKGAILANEDQYEWDDLFFDDGGSKFLTVENKCEVEGVEASDKNDMGTRGLAHPAKVEQARKDMGLRSPVCCFLGHSGTGKTKLLDCILRTNVPESEAGGITQQFGVTFFPMENIRERIKELKADAVLYIPGLLLIDTPGHETFRNFRCIGSRLCDIAILVVDVILGLQMQTLESLDLLKRHKIDFIIALNKVDNIDGWKQYPNASFEKALALQSESVRMGFEKRLSDIVSQLNAQGIVSGLYYINKDKDDKFKNIVPTSSIRAQKMNERLTIRDKIECTILDVKFIEGHGTTIDVVLSSGVLHLRDQIIMCGSQGPIKTHIRALLTPNKMKELQVKSPYQHHKEIKHNNICTGTCLYVVRPGDDQQDVVNNVLSDIATSSNWIDKSKEGIYVQASSLGSLKAITEFLKSPAMNIPVGTPLCIPSKGFIRIGKIASIQNSHKQVDVAREGEKVAIKIVGSNQDEANNSFGRTFGLDDELVSYITKESIDVLKEHHREIADEVMLSSLPEADDVLVPILHKLEDTQQAVATTVLYPSIIRNYIASEEFQFETQFCSFYIEKFYSVCEKHSCYFDEELKMKPRGGYSFSQRRGVCPGKI >ONIVA01G23310.2 pep chromosome:AWHD00000000:1:20650460:20674286:1 gene:ONIVA01G23310 transcript:ONIVA01G23310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGAFSKLTVLNFGGILAGRELSWTIFLLPEFDLSRKKVQSKADEAANRDGGHMQELHKVNKEEEECAIMEEQPYYRVEEDGEKIKLEEIKGAESVERINFEERITKEEKNEAMKSSNEEVVSLVTGWKNRIEEWDVDVDENDKDTRKLTPKRDLAKVDKADKYSDLRSPICCILGHVDTGKTKLLDCIRCTNVQGGEAGGITQQIGATFFPIENIRERTKELKAGAALHVPRFLVIDTPGHQSFSNLRTRGSSLCDIAILVVDIMRGIQAQTIESLNILKRHKANFIIVLNKVDRLFGWKRCPNAPIKKALKQQAEGVKMEFDARLTDFKMQGINTILYYRNKEMDCTYKNIVPTCAISGEGIPDLLLLSMQWAQKKMKERLIFSNNIECTVLEVKVTEGHCTTIDVVLANGFLREGDQIVTCGMQGPIVTHIRALLTPHPMEELRIKCPYQHHKEIKASQGIKISAPPGDDQEKSVNKAMAEMVVLMNRIDKNNVIYHLFDQFTTYIEGLREIEKDEKIVEAVFPCVLKIIPDCVFNLKDPIVLGVDVLEGVAKVGTPLCLPSNGFACIGNIASIQNSSKQVDVARKGEKVAIKITGSTPDEQKKCFGRNFGIDDELVSFMTRKSIDLLKENHRGDLTLKEWELVRTLKHIFRGHRQGVPRVPPSRRDAGREDGVAEDGSTGAPAATTVIPGCAAARAVGAAGSKWRKKGTSGREAPYEEDSGRVRSAFGLDAVLSYTPQVVMVFTENDNKGREDGTHTQGHNLSLTWPPPKDDIRGDGHDEAGHRIARDAAAATGARSVDVAGRNPRKEKSGRAAPPDAVDLGKQDPSGVSSPALAPSCLSEDGNFHRENVEMQAERANGWRRKERKAAVEASEVEVKRPGNQKGHGLLDQSGDSVGNNHVLISSSNHERKKRPMYNSEAAKEKNRVQSSSNDRFHRLNNDKIKECSSAEKVPKLSDVNTKEKSEIDQLTKGAILANEDQYEWDDLFFDDGGSKFLTVENKCEVEGVEASDKNDMGTRGLAHPAKVEQARKDMGLRSPVCCFLGHSGTGKTKLLDCILRTNVPESEAGGITQQFGVTFFPMENIRERIKELKADAVLYIPGLLLIDTPGHETFRNFRCIGSRLCDIAILVVDVILGLQMQTLESLDLLKRHKIDFIIALNKVDNIDGWKQYPNASFEKALALQSESVRMGFEKRLSDIVSQLNAQGIVSGLYYINKDKDDKFKNIVPTSSIRAQKMNERLTIRDKIECTILDVKFIEGHGTTIDVVLSSGVLHLRDQIIMCGSQGPIKTHIRALLTPNKMKELQVKSPYQHHKEIKHNNICTGTCLYVVRPGDDQQDVVNNVLSDIATSSNWIDKSKEGIYVQASSLGSLKAITEFLKSPAMNIPVGTPLCIPSKGFIRIGKIASIQNSHKQVDVAREGEKVAIKIVGSNQDEANNSFGRTFGLDDELVSYITKESIDVLKEHHREIADEVMLSSLPEADDVLVPILHKLEDTQQAVATTVLYPSIIRNYIASEEFQFETQFCSFYIEKFYSVCEKHSCYFDEELKMKPRGGYSFSQRRGVCPGKI >ONIVA01G23310.3 pep chromosome:AWHD00000000:1:20650460:20672958:1 gene:ONIVA01G23310 transcript:ONIVA01G23310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGAFSKLTVLNFGGILAGRELSWTIFLLPEFDLSVDRLFGWKRCPNAPIKKALKQQAEGVKMEFDARLTDFKMQGINTILYYRNKEMDCTYKNIVPTCAISGEGIPDLLLLSMQWAQKKMKERLIFSNNIECTVLEVKVTEGHCTTIDVVLANGFLREGDQIVTCGMQGPIVTHIRALLTPHPMEELRIKCPYQHHKEIKASQGIKISAPPGDDQEKSVNKAMAEMVVLMNRIDKNSDGVYVKDVMKASVMLEKKKEYAAIFAFDVKVMPDAHEIANESDVIYHLFDQFTTYIEGLREIEKDEKIVEAVFPCVLKIIPDCVFNLKDPIVLGVDVLEGVAKVGTPLCLPSNGFACIGNIASIQNSSKQVDVARKGEKVAIKITGSTPDEQKKCFGRNFGIDDELVSFMTRKSIDLLKENHRGDLTLKEWELVRTLKHIFRGHRQGVPRVPPSRRDAGREDGVAEDGSTGAPAATTVIPGCAAARAVGAAGSKWRKKGTSGREAPYEEDSGRVRSAFGLDAVLSYTPQVVMVFTENDNKGREDGTHTQGHNLSLTWPPPKDDIRGDGHDEAGHRIARDAAAATGARSVDVAGRNPRKEKSGRAAPPDAVDLGKQDPSGVSSPALAPSCLSEDGNFHRENVEMQAERANGWRRKERKAAVEASEVEVKRPGNQKGHGLLDQSGDSVGNNHVLISSSNHERKKRPMYNSEAAKEKNRVQSSSNDRFHRLNNDKIKECSSAEKVPKLSDVNTKEKSEIDQLTKGAILANEDQYEWDDLFFDDGGSKFLTVENKCEVEGVEASDKNDMGTRGLAHPAKVEQARKDMGLRSPVCCFLGHSGTGKTKLLDCILRTNVPESEAGGITQQFGVTFFPMENIRERIKELKADAVLYIPGLLLIDTPGHETFRNFRCIGSRLCDIAILVVDVILGLQMQTLESLDLLKRHKIDFIIALNKVDNIDGWKQYPNASFEKALALQSESVRMGFEKRLSDIVSQLNAQGIVSGLYYINKDKDDKFKNIVPTSSIRAQKMNERLTIRDKIECTILDVKFIEGHGTTIDVVLSSGVLHLRDQIIMCGSQGPIKTHIRALLTPNKMKELQVKSPYQHHKEIKHNNICTGTCLYVVRPGDDQQDVVNNVLSDIATSSNWIDKSKEGIYVQASSLGSLKAITEFLKSPAMNIPVGTPLCIPSKGFIRIGKIASIQNSHKQVDVAREGEKVAIKIVGSNQDEANNSFGRTFGLDDELVSYITKESIDVLKEHHREIADEVMLSSLPEADDVLVPILHKLEDTQQAVATTVLYPSIIRNYIASEEFQFETQFCSFYIEKFYSVCEVRRGIER >ONIVA01G23310.4 pep chromosome:AWHD00000000:1:20650460:20672958:1 gene:ONIVA01G23310 transcript:ONIVA01G23310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGAFSKLTVLNFGGILAGRELSWTIFLLPEFDLSVDRLFGWKRCPNAPIKKALKQQAEGVKMEFDARLTDFKMQGINTILYYRNKEMDCTYKNIVPTCAISGEGIPDLLLLSMQWAQKKMKERLIFSNNIECTVLEVKVTEGHCTTIDVVLANGFLREGDQIVTCGMQGPIVTHIRALLTPHPMEELRIKCPYQHHKEIKASQGIKISAPPGDDQEKSVNKAMAEMVVLMNRIDKNTSSLGSLEALIEFLKSPAVNIPVYDFNIGPIHRKDVMKASVMLEKKKEYAAIFAFDVKVMPDAHEIANESDVIYHLFDQFTTYIEGLREIEKDEKIVEAVFPCVLKIIPDCVFNLKDPIVLGVDVLEGVAKVGTPLCLPSNGFACIGNIASIQNSSKQVDVARKGEKVAIKITGSTPDEQKKCFGRNFGIDDELVSFMTRKSIDLLKENHRGDLTLKEWELVRTLKHIFRGHRQGVPRVPPSRRDAGREDGVAEDGSTGAPAATTVIPGCAAARAVGAAGSKWRKKGTSGREAPYEEDSGRVRSAFGLDAVLSYTPQVVMVFTENDNKGREDGTHTQGHNLSLTWPPPKDDIRGDGHDEAGHRIARDAAAATGARSVDVAGRNPRKEKSGRAAPPDAVDLGKQDPSGVSSPALAPSCLSEDGNFHRENVEMQAERANGWRRKERKAAVEASEVEVKRPGNQKGHGLLDQSGDSVGNNHVLISSSNHERKKRPMYNSEAAKEKNRVQSSSNDRFHRLNNDKIKECSSAEKVPKLSDVNTKEKSEIDQLTKGAILANEDQYEWDDLFFDDGGSKFLTVENKCEVEGVEASDKNDMGTRGLAHPAKVEQARKDMGLRSPVCCFLGHSGTGKTKLLDCILRTNVPESEAGGITQQFGVTFFPMENIRERIKELKADAVLYIPGLLLIDTPGHETFRNFRCIGSRLCDIAILVVDVILGLQMQTLESLDLLKRHKIDFIIALNKVDNIDGWKQYPNASFEKALALQSESVRMGFEKRLSDIVSQLNAQGIVSGLYYINKDKDDKFKNIVPTSSIRAQKMNERLTIRDKIECTILDVKFIEGHGTTIDVVLSSGVLHLRDQIIMCGSQGPIKTHIRALLTPNKMKELQVKSPYQHHKEIKHNNICTGTCLYVVRPGDDQQDVVNNVLSDIATSSNWIDKSKEGIYVQASSLGSLKAITEFLKSPAMNIPVGTPLCIPSKGFIRIGKIASIQNSHKQVDVAREGEKVAIKIVGSNQDEANNSFGRTFGLDDELVSYITKESIDVLKEHHREIADEVMLSSLPEADDVLVPILHKLEDTQQAVATTVLYPSIIRNYIASEEFQFETQFCSFYIEKFYSVCEVRRGIER >ONIVA01G23310.5 pep chromosome:AWHD00000000:1:20650460:20672958:1 gene:ONIVA01G23310 transcript:ONIVA01G23310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGAFSKLTVLNFGGILAGRELSWTIFLLPEFDLSVDRLFGWKRCPNAPIKKALKQQAEGVKMEFDARLTDFKMQGINTILYYRNKEMDCTYKNIVPTCAISGEGIPDLLLLSMQWAQKKMKERLIFSNNIECTVLEVKVTEGHCTTIDVVLANGFLREGDQIVTCGMQGPIVTHIRALLTPHPMEELRIKCPYQHHKEIKASQGIKISAPPGDDQEKSVNKAMAEMVVLMNRIDKNNVIYHLFDQFTTYIEGLREIEKDEKIVEAVFPCVLKIIPDCVFNLKDPIVLGVDVLEGVAKVGTPLCLPSNGFACIGNIASIQNSSKQVDVARKGEKVAIKITGSTPDEQKKCFGRNFGIDDELVSFMTRKSIDLLKENHRGDLTLKEWELVRTLKHIFRGHRQGVPRVPPSRRDAGREDGVAEDGSTGAPAATTVIPGCAAARAVGAAGSKWRKKGTSGREAPYEEDSGRVRSAFGLDAVLSYTPQVVMVFTENDNKGREDGTHTQGHNLSLTWPPPKDDIRGDGHDEAGHRIARDAAAATGARSVDVAGRNPRKEKSGRAAPPDAVDLGKQDPSGVSSPALAPSCLSEDGNFHRENVEMQAERANGWRRKERKAAVEASEVEVKRPGNQKGHGLLDQSGDSVGNNHVLISSSNHERKKRPMYNSEAAKEKNRVQSSSNDRFHRLNNDKIKECSSAEKVPKLSDVNTKEKSEIDQLTKGAILANEDQYEWDDLFFDDGGSKFLTVENKCEVEGVEASDKNDMGTRGLAHPAKVEQARKDMGLRSPVCCFLGHSGTGKTKLLDCILRTNVPESEAGGITQQFGVTFFPMENIRERIKELKADAVLYIPGLLLIDTPGHETFRNFRCIGSRLCDIAILVVDVILGLQMQTLESLDLLKRHKIDFIIALNKVDNIDGWKQYPNASFEKALALQSESVRMGFEKRLSDIVSQLNAQGIVSGLYYINKDKDDKFKNIVPTSSIRAQKMNERLTIRDKIECTILDVKFIEGHGTTIDVVLSSGVLHLRDQIIMCGSQGPIKTHIRALLTPNKMKELQVKSPYQHHKEIKHNNICTGTCLYVVRPGDDQQDVVNNVLSDIATSSNWIDKSKEGIYVQASSLGSLKAITEFLKSPAMNIPVGTPLCIPSKGFIRIGKIASIQNSHKQVDVAREGEKVAIKIVGSNQDEANNSFGRTFGLDDELVSYITKESIDVLKEHHREIADEVMLSSLPEADDVLVPILHKLEDTQQAVATTVLYPSIIRNYIASEEFQFETQFCSFYIEKFYSVCEVRRGIER >ONIVA01G23300.1 pep chromosome:AWHD00000000:1:20642771:20643461:1 gene:ONIVA01G23300 transcript:ONIVA01G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFSLSPLGYLDPVGVGRGDGDGAATARSWAWRWGATVSALRGSSDGRRRRQIWSPRLAGVTGDGLGRRRRRSTDLAGGWLAAAMVAGVAAMKLATTAADCGACRDAGPRQEPRCHEGGVAPSSMLRL >ONIVA01G23290.1 pep chromosome:AWHD00000000:1:20636470:20646924:-1 gene:ONIVA01G23290 transcript:ONIVA01G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQCEDCGGDDLKKPKLAGHFRSCAQPTGQETVQGHAQCISGAEKYGPPKGQNKASMEIFPSCAGNHLASAATSRQAEGEDRISPLPDDILLLILQELAARVEAIRTCSLSRRWRWLPWLLLEPRISVKKFIPSGVELWMVDKRALDRVAGRFCRAVSRFLAISDATRWLKLMLEFFKNCMNPLFETLSFGIAVDSPRVFGALTKLELRHLQLRSADVAVLLSACTRAAVHLAVFDCDGRRPRRGAGDRRRTGVVGAQVLVVLRSIPKLTRLSVDSWFPDGAPVSLGSVPILADLSLIHGADIQLEDPRPLQGSFSKLTVLNLGDGQYELLWMIFFLQAAPFLQNFNLSIQKDMRSRHGRQQETPYCETTCSEFKHKHLKSLKIAGFKVEEKYMEFVRMVMELAMALQTIILTDEESCNYYRPTPTGSRYPKGDREKSSIVKQLMDGITSKRRPLVGGEEAAAAAAASTMVWFQCEDCGDDLKKPKLAGHFRSCSAYRLSCIDCGEFFTQETVQGHTQCISEAEKYGPKGQNKASNNAQGKQDKPKPNADVDINVGLSTYPPWFCSLCKTTTTSKQTLLSHADGKKHRAKAKAYHASQKQANGVEQTPKETVGAPVTESAQVNNERSTENERGVDNDAAKRKRANDTTSEEPDNTKRPNNLSVNSGEVVQSSNEEAETKAKSKGTKDELVSSANLKGSKKQKIKWKKIITKVLQTNPDGVLKLKKLQKLVTKELLECGLTEDKEQMHAILMDKISSSSRFSVDGKRIRLVAKD >ONIVA01G23290.2 pep chromosome:AWHD00000000:1:20636470:20646924:-1 gene:ONIVA01G23290 transcript:ONIVA01G23290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQCEDCGGDDLKKPKLAGHFRSCAQPTGQETVQGHAQCISGAEKYGPPKGQNKASMEIFPSCAGNHLASAATSRQAEGEDRISPLPDDILLLILQELAARVEAIRTCSLSRRWRWLPWLLLEPRISVKKFIPSGVELWMVDKRALDRVAGRFCRAVSRFLAISDATRWLKLMLEFFKNCMNPLFETLSFGIAVDSPRVFGALTKLELRHLQLRSADVAVLLSACTRAAVHLAVFDCDGRRPRRGAGDRRRTGVVGAQVLVVLRSIPKLTRLSVDSWFPDGAPVSLGSVPILADLSLIHGADIQLEDPRPLQGSFSKLTVLNLGDGQYELLWMIFFLQAAPFLQNFNLSRRPLVGGEEAAAAAAASTMVWFQCEDCGDDLKKPKLAGHFRSCSAYRLSCIDCGEFFTQETVQGHTQCISEAEKYGPKGQNKASNNAQGKQDKPKPNADVDINVGLSTYPPWFCSLCKTTTTSKQTLLSHADGKKHRAKAKAYHASQKQANGVEQTPKETVGAPVTESAQVNNERSTENERGVDNDAAKRKRANDTTSEEPDNTKRPNNLSVNSGEVVQSSNEEAETKAKSKGTKDELVSSANLKGSKKQKIKWKKIITKVLQTNPDGVLKLKKLQKLVTKELLECGLTEDKEQMHAILMDKISSSSRFSVDGKRIRLVAKD >ONIVA01G23290.3 pep chromosome:AWHD00000000:1:20635631:20647023:-1 gene:ONIVA01G23290 transcript:ONIVA01G23290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQCEDCGGDDLKKPKLAGHFRSCAQPTGSPASTAASSSPRRPSRATPSASPRLRSMVPRDRTKHPTMHRASKTSQSQMLMLISMSGCRHIPLGSAAFARRQPLASKHSYHMQMARSTGQKQKPIMLLRSKQMELNKLPRKLLVHL >ONIVA01G23290.4 pep chromosome:AWHD00000000:1:20635610:20638931:-1 gene:ONIVA01G23290 transcript:ONIVA01G23290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGDDLKKPKLAGHFRSCSAYRLSCIDCGEFFTQETVQGHTQCISEAEKYGPKGQNKASNNAQGKQDKPKPNADVDINVGLSTYPPWFCSLCKTTTTSKQTLLSHADGKKHRAKAKAYHASQKQANGVEQTPKETVGAPVTESAQVNNERSTENERGVDNDAAKRKRANDTTSEEPDNTKRPNNLSVNSGEVVQSSNEEAETKAKSKGTKDELVSSANLKGSKKQKIKWKKIITKVLQTNPDGVLKLKKLQKLVTKELLECGLTEDKEQMHAILMDKQMKAMVASHNSEQMGGADVGINNGWNRQLLYLPGGQPQDSSYRGFAFPSSSVLPSR >ONIVA01G23280.1 pep chromosome:AWHD00000000:1:20628926:20635848:1 gene:ONIVA01G23280 transcript:ONIVA01G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVTVPPVRTASAVEDDAVAPGRGEEGGEASAVGSPCSVTSDCSSVASADFEGVGLGFFGAAADGGAAMVFEDSAASAATVEAEARVAAGARSVFAVECVPLWGHKSICGRRPEMEDAVVAVSRFFDIPLWMLTGNSVVDGLDPMSFRLPAHFFGVYDGHGGAQVANYCRERLHAALVEELSRIEGSVSGANLGSVEFKKKWEQAFVDCFSRVDEEVGGNASRGEAVAPETVGSTAVVAVICSSHIIVANCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIELHKNDETPKNDTRFCTKKKGEEKSQHKWSGDRYLKPWIIPVPEITIVPRAKDDECLVLASDGLWDVMSNEEVCDVARKRILLWHKKNGTNPASAPRSGDSSDPAAEAAAECLSKLALQKGSKDNISVIVVDLKAHRKFKSKS >ONIVA01G23280.2 pep chromosome:AWHD00000000:1:20628926:20635848:1 gene:ONIVA01G23280 transcript:ONIVA01G23280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVTVPPVRTASAVEDDAVAPGRGEEGGEASAVGSPCSVTSDCSSVASADFEGVGLGFFGAAADGGAAMVFEDSAASAATVEAEARVAAGARSVFAVECVPLWGHKSICGRRPEMEDAVVAVSRFFDIPLWMLTGNSVVDGLDPMSFRLPAHFFGVYDGHGGAQVANYCRERLHAALVEELSRIEGSVSGANLGSVEFKKKWEQAFVDCFSRVDEEVGGNASRGEAVAPETVGSTAVVAVICSSHIIVANCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEITIVPRAKDDECLVLASDGLWDVMSNEEVCDVARKRILLWHKKNGTNPASAPRSGDSSDPAAEAAAECLSKLALQKGSKDNISVIVVDLKAHRKFKSKS >ONIVA01G23260.1 pep chromosome:AWHD00000000:1:20602187:20606470:-1 gene:ONIVA01G23260 transcript:ONIVA01G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G33060) TAIR;Acc:AT4G33060] MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAVRNFVQLCLEGYYDGTLFHRVIKSFLVQGGDPTGSGTGGESIYGAPFADEFHTRLRFNHRGLVACANAGTPHSNGSQFFISLDRCDWLDKKNTIFGKVTGDSIFNLLALADIETDKDDRPVYPQKILSVEVLWNPFDDIVPRQLKKTEPTAKGDIEGKSKKKAVKQLNVLSFGDEVEEEENEAASSVKDKIKSIHDVLDDPRFLKGEAPDEQLTKEQEDKKKETVQSVREALVSKKSDFRELEHDSETDDYPDDENEEDFDNRMRSQILRKRRELGDIRSSETSKKTDKAHRKDKELPVHRSDDDNDDDNEDHQLTKSRKFSMKKKGIGSEASAERMSKGDANLQLLNPAEQEKHLQKQKRRRLQGREDETLAKLQKFKASFLSKNPATGNTEKKTDEEDYTGWHSNRLTFEPDSSKDGMTRKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREREWAGRSLT >ONIVA01G23250.1 pep chromosome:AWHD00000000:1:20584308:20600470:-1 gene:ONIVA01G23250 transcript:ONIVA01G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFSALASEIASRTISFIVAKYQKQTTMDKTIRLHQLLLRARTIIEEADGRHISNQAMLLQLRQLRNAMYEGHYVLDTFKGQTEVNKPFNNLSKIQVSIESLESTIGDLKEFVVFLMDCPRFLREPYNTYLFMERCMFGRHVEKDRIIDFLMQPSSLSLEILPVIGPREIGKRTLVEHVLNKEMVQKHFSHIIRLSSDDLNNIENDSTSKGHNLISFTERSLLVVELEHEADLVAWGRFRSSLSKINIMIKVLLISCVQKVSTSGTTGALKLKRMRSDEFWNFFRTLCFGSENPYEHQLLLSMALKMAKLAKGDFVCANIVSRLLRANFSTEFWSHMLDRMIKGQRLHFHLFGEHANDRVGKNRSHIVFDIADGTVILANKIYTSTTSLEDTGVLKITVENLLNKTAIIPTEGNFEVLRWQSPIAPYYSYLGNCRKGIVSLTDKEKWIRYQIRCGSMFSGVNTGLRVLGMGSFATSTSTAIYEKRKRSNRLHLFHWLTIPIRYQIRCGAVFSRVNTGLRVLGMGSLATSTSTAIYEKRKRSKIAYTYSIDYIRCSILRVSTIIEEADSRYISNQGRVLQLRRLREVVYEGHHMLDTFKGHTMVGHSFNLKKIQVTVGSLESTIGDMKEFVVFLMDCPRILRQPYITYLFMERCMFGRHKEKAHIISFLMQPSNSTLEVLPVIGPREIGKKTLVAHVCNEEMVKKHFSCIIRLSGNDLNSLENGNAMKRHNLMSFSERCLLVVELEHDTDLVAWKRLYSLFSKINHLNKVILISCLQKVSRLGTTPTLQLKEMHPDEFWYFFRTLSFGSENPDEHQVLLPIAIKIATLMSGDFVCANVLSRLLRANLSAEFWSHILRFLNKSVQWHFHVFGEHPLYCLRKGLSYYISNYNDGTFILCSSSYSTTRNLVECGTRKIASEDISLKGVAAPSEGSFELVKWRSPIPPYYSYLVKECVVQKASEVVPEDRGLKRKRRYRKQNTMDKMIRLHRLLLRAFTIIEEVDGRYISNQGMLLQLRQLRIVMYKGHYVLDTFKGHAKVSRSFNLNKIQVIIENLESRMEVLPIIGPQEIGKKTLVEHVLNEEMVKRKFSCIICLNSDGLRNLLGDGSPIEQNNLIYSNGKCLIVVELQHDNDLIAWRRFQSSLSMIKFVSKVILISSVQGVSRLGTTQALRLKKMRKDEFWYFFKTLSFGSTNPDEHRELIPIAMKIAVLINRDFIGAHVFSRLLRTNRNAQFWRRMLLFLNKEIECNLHVFGEHVSDIVGSNRPYYVLSNRDDAPHIWCTSSNTIPGHLVDWGSMIITMEGIMSESVALPTEGNFQVIRWQSPIAPYYSYISNCEIRKVSQRNALRETEEEGHHGQVATYILYQLHLRAHTIIEEVDGRYASNQTNQGMLWQLKNVVYEGHHVLDTFRQHPEERKTFNLNKIHQTIESL >ONIVA01G23240.1 pep chromosome:AWHD00000000:1:20547064:20550636:-1 gene:ONIVA01G23240 transcript:ONIVA01G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRESCTPDARGTPWKGRLRSHHPALPTSPSLLPSSSAKNQKKKKREQEAQSFKRRAAPKKAKDTGKCGSRSQDAAEKPLLPAPPRRSPRLAGNPPALESMVRGKQSAIVPFRRSLRLRHNQNSQNAFSMDQNHESSSRWSQKNTVVKLSMRMVSHKDSQKIFCQDSQGIPPRIRVPDLSCKKTQKEELNSNCCEKLARKRKRGTEERMSSSKRQSHKDPKSLSLKCQESTPTNKPRNTSHKKGENNSSSMPQPKFCDGRLMNAERNNKELNGSERRETQCGLNNWTEEQDMALRKAYFTARPSPNFWKKVSKMVPGKSAEECLSRVHADLSTPTPIAPRPRTSKMKFSPLGHFTLSDPKHPNVLEPSFRRRTAKQKSLAAQKTVRHLLKKQCLTDQTQEADHFSIFETSPTVLPVEFSFEDSPGTPNSSGKKLLARLETVKNVGINPAEPSPAVLKPIKNVILHEKYVDRLSRREGTTRPRKKAAGSKALDSVKTQQAGGVKAARNALITEATDFISHFKKMQANPLAHVVEDDEDDEIDGIECDTSNH >ONIVA01G23240.2 pep chromosome:AWHD00000000:1:20547062:20550636:-1 gene:ONIVA01G23240 transcript:ONIVA01G23240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRESCTPDARGTPWKGRLRSHHPALPTSPSLLPSSSAKNQKKKKREQEAQSFKRRAAPKKAKDTGKCGSRSQDAAEKPLLPAPPRRSPRLAGNPPALESMVRGKQSAIVPFRRSLRLRHNQNSQNAFSMDQNHESSSRWSQKNTVVKLSMRMVSHKDSQKIFCQDSQGIPPRIRVPDLSCKKTQKEELNSNCCEKLARKRKRGTEERMSSSKRQSHKDPKSLSLKCQESTPTNKPRNTSHKKGENNSSSMPQPKFCDGRLMNAERNNKELNGSERRETQCGLNNWTEEQDMALRKAYFTARPSPNFWKKVSKMVPGKSAEECLSRVHADLSTPTPIAPRPRTSKMKFSPLGHFTLSDPKHPNVLEPSFRRRTAKQKSLAAQKTVRHLLKKQCLTDQTQEADHFSIFETSPTVLPVEFSFEDSPGTPNSSGKKLLARLETVKNVGINPAEPSPAVLKPIKNVILHEKYVDRLSRREGTTRPRKKAAGSKALDSVKTQQAGGVKAARNALITEATDFISHFKKMQANPLAHVVEDDEDDEIDGIECDTSNH >ONIVA01G23230.1 pep chromosome:AWHD00000000:1:20542527:20543924:-1 gene:ONIVA01G23230 transcript:ONIVA01G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLSVVASDIISRLISSLITKYSNQSTADHKLERLQWLLLRARTIVEEAHGRQISNQGMLLQLRQLMQSMYQGYYILNAFQEQHITGKRRRSSSSLKLKKLQAAMEDLEAAIDDSKKESVVFLLGCPCLPQRPYDTHLFREKCMFGRHEEKELIREFLLQPCDSPLRVLPIIRPREVGKNALIEHVCNEESVREHFSRVVRFKSDDLNNEENQESFFKTSELVASSTMSLVVVELVNDDISDETWRGFCSSIANGCSKMIVISRSETISRLGTTQALKLKRLKRHEFWYFFRTIAFGTADPEEHPELLRISRRIATQIKGASIAANIFARLLRANLNVKFWSYTWRFIKKAVELQLLACGGSWDIVSNSRHYYLSGHSDGPLHLCNDGYKTVAAGCLVNDELPRIIADDMLIRTNAFSEGSFDILRWKSPIPPYYCYIANCVVKKAPQVVQPKDKSLKRRRGI >ONIVA01G23220.1 pep chromosome:AWHD00000000:1:20540556:20541129:1 gene:ONIVA01G23220 transcript:ONIVA01G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAISVVRQNSNGGYHWKRPMNAHHRQEAENSTAFLMNSNVKGSEEVPELMLTEPLQLECLCRPQP >ONIVA01G23200.1 pep chromosome:AWHD00000000:1:20527144:20534445:1 gene:ONIVA01G23200 transcript:ONIVA01G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGPLSPDEHRATSPPGIMHHQPAMTIVVAVDRDRNSQLAVKWVVDHLLTGASNIILLHIAVHPPAANHGFAMAEATHGALEAEMREIFVPFRGFCTRNGVHVSELVLEEADVSKALIEFITVNKIQSIALGASNRNAFTKKFKNADVPSSLMKGAPDYCNIYVVAKGKSVNVRLAKCGVDGGCGGGGGYEGDSSIRSLYTRRCSRGKLPPATPSPDSSRRSVDSRTLPELTTRPPFRERSLPSSSSKPVVLSSRAAPDCGGGGVDGSYRSTRRSVSNESFVGDLDFGQSSRFSSMDFCDSLDMSSLSASPRESSSPLSAPQREVEVEMRRLRLELKQTMDMYNAACREAINAKQRTKELQLLKLEEARRLEEARHAEEAALAMAEMEKTKCRAAMEAAEAAQRLADLEAQRRRNAEVRARREADEKVRALDAISSHDFRYRRYNIDDIELATERFSDELKIGEGGYGPVYRASLDHTPVAIKVLRPDAQQGRKQFQQEVEVLSCIRHPNMVLLLGACPDYGCLVYEYMDNGSLEDRLFRRGGTPPIPWSQRFRISAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPAVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVLTARPPMGLTHHVEKAIDAGTFAQMLDVTVKDWPVDDAIGFAKLALKCTEMRRRDRPDLATVILPELNRLRNLGHAYEARMSAAAADAAAHAQDNVGSPTVVGASWRTAES >ONIVA01G23200.2 pep chromosome:AWHD00000000:1:20527144:20528175:1 gene:ONIVA01G23200 transcript:ONIVA01G23200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGGATVSAPFGCCRAAWGAAAAGAGAEGRSRRVVPRAVEPRRRGRWMVRCVATEKHKDAAARRGGVEVEFADEEDYVKGGGGELLYVQMQASKSMDSQSKISSKSNITPLT >ONIVA01G23190.1 pep chromosome:AWHD00000000:1:20524040:20525073:-1 gene:ONIVA01G23190 transcript:ONIVA01G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRIEGWSLLLVLVPASSVALVLRAPMRFAVAAVLEFWAMALELRALLLRVVPLKLQVPLFRVMALELSVLLLCAAAVARTAALLQRLLLFVCHNLLQYYESIAIIGLLQRQKSLPDCQATSMSMEAKEICFLRLVSLYPVRDGLLP >ONIVA01G23180.1 pep chromosome:AWHD00000000:1:20500022:20505927:-1 gene:ONIVA01G23180 transcript:ONIVA01G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPVPQGPWWSWGIGEQCKWWLDRMEDGEKSNGGGDGGLRFSLQFDPGKLGLDRALLGLPVSALLGHLLGWSSQGASMAVAEGGGEGETAAGVAALASAFAVYLVATYASDHRRQPQQPRRPLRLRKRDLSPLNSSARPRALPTPDDGLRILSSNEECLETVIHGASVGAGDDEPEIVARVVMPPAADDDMAAGANAGGGGGGGDRSEKKEREEEEDEEVERLKELWLSLMEREQRLQLRQAELDELREQDATARELDRRAAAAAAVEARMLELKAASLREENRRLEEARASELDAVRGKLARAREKLAELRARVEREREEAAREAAALRARASALERSGAEREVAAAAEAAALRDRVAGMEKDGAEREGALAAEAEAARRRMAELEKNVEEREAAMAAEAAALRAANAGLEEENMELALRLQEAEQTASTVNLVIEAVVPDVAGRERRRQRFCRGSSASGMGMKAAPAGAEDVVKEAKYLRETNERLTRQIEQLHADHCAHVEELVYLKWVNACLRYELRTHDGDDGAGRISARDLSKSMSFRSSEKAKELMLKYGTHGLDGFDPSIFSPLHESVYGDGDGDDFEQRRPNGDVVVDEAPRSPSTAVAMAAAAAGAESPSRRGNKLKFLGNIKKLLPTSKKGHGRGDRRSSRKQSAAAEAEPPRDEHLEKALQWLSSHDVLDDDDSYESTPLSSCERTPLSSVTTAGSTHARSTGGAAGETAAAAASRLLEAETARARSDVGASSYGREAPSRYHALRPYHPGAGAGNVGGDGPRASPEKRELRRRSEELRSPASMFAGARDNRMHQLQSNA >ONIVA01G23180.2 pep chromosome:AWHD00000000:1:20500022:20505927:-1 gene:ONIVA01G23180 transcript:ONIVA01G23180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPVPQGPWWSWGIGEQCKWWLDRMEDGEKSNGGGDGGLRFSLQFDPGKLGLDRALLGLPVSALLGHLLGWSSQGASMAVAEGGGEGETAAGVAALASAFAVYLVATYASDHRRQPQQPRRPLRLRKRDLSPLNSSARPRALPTPDDGLRILSSNEECLETVIHGASVGAGDDEPEIVARVVMPPAADDDMAAGANAGGGGGGGDRSEKKEREEEEDEEVERLKELWLSLMEREQRLQLRQAELDELREQDATARELDRRAAAAAAVEARMLELKAASLREENRRLEEARASELDAVRGKLARAREKLAELRARVEREREEAAREAAALRARASALERSGAEREVAAAAEAAALRDRVAGMEKDGAEREGALAAEAEAARRRMAELEKNVEEREAAMAAEAAALRAANAGLEEENMELALRLQEAEQTASTVNLVIEEDVVKEAKYLRETNERLTRQIEQLHADHCAHVEELVYLKWVNACLRYELRTHDGDDGAGRISARDLSKSMSFRSSEKAKELMLKYGTHGLDGFDPSIFSPLHESVYGDGDGDDFEQRRPNGDVVVDEAPRSPSTAVAMAAAAAGAESPSRRGNKLKFLGNIKKLLPTSKKGHGRGDRRSSRKQSAAAEAEPPRDEHLEKALQWLSSHDVLDDDDSYESTPLSSCERTPLSSVTTAGSTHARSTGGAAGETAAAAASRLLEAETARARSDVGASSYGREAPSRYHALRPYHPGAGAGNVGGDGPRASPEKRELRRRSEELRSPASMFAGARDNRMHQLQSNA >ONIVA01G23170.1 pep chromosome:AWHD00000000:1:20497307:20497751:-1 gene:ONIVA01G23170 transcript:ONIVA01G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVSIHYFIRHMCRHAAARGSSAFSAALSASSSAAPVLPVVVEKASAEHSAEMKRLISELPLFTLVVFPKSSRARCRHPLLLCAVSGEEGDCRRRTEG >ONIVA01G23160.1 pep chromosome:AWHD00000000:1:20487428:20496219:1 gene:ONIVA01G23160 transcript:ONIVA01G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDLKGLAGGDEERERTMAQLHEACKAWGFFWVENHGVEAALMEEVKSFVYRHYDEHLEKKFYASDLAKNLHLNKDDGAELLAGCISTNLGLAGAAGVVDAFAPPFVGTKFAMYPPCPRPDLVWGLRAHTDAGGIILLLQDDAVGGLEFHRGGREWVPVGPTRRGRLFVNIGDQVEVLSGGAYKSVVHRVAAGAEGRRLSVATFYNPGPDAVIAPATAAAPYPGPYRYGDYLDYYQGTKFGDKAARFQAVKKLFS >ONIVA01G23160.2 pep chromosome:AWHD00000000:1:20487353:20496219:1 gene:ONIVA01G23160 transcript:ONIVA01G23160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPLPTQLNRALRSRRAACSQAPPGLLTSFTGSPVENHGVEAALMEEVKSFVYRHYDEHLEKKFYASDLAKNLHLNKDDGAELLAGCISTNLGLAGAAGVVDAFAPPFVGTKFAMYPPCPRPDLVWGLRAHTDAGGIILLLQDDAVGGLEFHRGGREWVPVGPTRRGRLFVNIGDQVEVLSGGAYKSVVHRVAAGAEGRRLSVATFYNPGPDAVIAPATAAAPYPGPYRYGDYLDYYQGTKFGDKAARFQAVKKLFS >ONIVA01G23160.3 pep chromosome:AWHD00000000:1:20495003:20496217:1 gene:ONIVA01G23160 transcript:ONIVA01G23160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDLKGLAGGDEERERTMAQLHEACKAWGFFWVENHGVEAALMEEVKSFVYRHYDEHLEKKFYASDLAKNLHLNKDDGAELLAGCISTNLGLAGAAGVVDAFAPPFVGTKFAMYPPCPRPDLVWGLRAHTDAGGIILLLQDDAVGGLEFHRGGREWVPVGPTRRGRLFVNIGDQVEVLSGGAYKSVVHRVAAGAEGRRLSVATFYNPGPDAVIAPATAAAPYPGPYRYGDYLDYYQGTKFGDKAARFQAVKKLFS >ONIVA01G23150.1 pep chromosome:AWHD00000000:1:20482271:20483856:-1 gene:ONIVA01G23150 transcript:ONIVA01G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLDVPRSLRLPAPKAQQQMDEFWRDRQKEIETTKDFSEHAIPMARLKKIVSSQKGNMMMTFDMPAFLSKMCELFVQELAVRAWASAQSHNRCIILDTDIAKAIASTESYDFLVDILRNHRVKHKSTPCSTLTTKRCRLVDQPSTSHMPYQHQLPQFAPTYTPAIPITPSLMPPISHYIPFQYPSLSQEVSPMMASAPIVNRSMLLIHNIARGLSLQGNNISMFANNNIPDNIVGFSSPAVLASMMSPALLEVAGTSLNPPNSHSICTMNMINSSDPSGSSVGDINVANQASLAPSGHFNPAILRESSCPSFLHSNNNDTIVSIPEGVDISGTMDVASDVAAIVINGQEEHERETNVEHHQQNEIYESIDIGIINASVADGNKCSISWDELGMADDSLLDKFLEEFQVRNDGVLCTGIELHEDPFLGDVMLEIPSTSNANK >ONIVA01G23140.1 pep chromosome:AWHD00000000:1:20480304:20481304:-1 gene:ONIVA01G23140 transcript:ONIVA01G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKCGYIRKAVAAARGGITTTVEFDLSALSGGANAFDKAAHYGANFQLYVRNMAALLCASAFLDMQPPLARRPAASTRPRLAPAPSPLDLLWMVQINPMSRCDLPIVTQAESRSTSGRTRSCRRPTPPKPSRRRPGHGAAAVCRPYPHPIPASPPPPVRPRQVPRPLLLIRRRRPRVHREERAPRQSHAAAARSGGRTNGKEDAEMVLADQEELAAPSASAGGGGHEGRRRREWTGGEGRGGASGGATGAGSGAGAGADAEVC >ONIVA01G23130.1 pep chromosome:AWHD00000000:1:20478738:20480125:-1 gene:ONIVA01G23130 transcript:ONIVA01G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHTHRAFLLCNYLLLGRPAVVPWWRLATGAGRRPAVGGDSAWVQWRWQASPAATRQLLSLVAPSPQLSRSAVHPAAGSRHLGRGQVELICQI >ONIVA01G23130.2 pep chromosome:AWHD00000000:1:20477783:20480125:-1 gene:ONIVA01G23130 transcript:ONIVA01G23130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHTHRAFLLCNYLLLGRPAVVPWWRLATGAGRRPAVGGDSAWVQWRWQASPAATRQLLSLVAPSPQLSRSAVHPAAEESPHAQASPAPPPVQPQNEEQDITVGSGTASNSTSRASTSIRSEEPQNEPIVLHLDNLRCNCTFVLEVFVLACCGHTQDEKAWSATALISQKWGRNVYQINHQVGIEMTT >ONIVA01G23120.1 pep chromosome:AWHD00000000:1:20472035:20478613:1 gene:ONIVA01G23120 transcript:ONIVA01G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNJ0] MAASAVAVAFVMAVAAAAASAAVTYDRKAVVMWPDLIEKAKDGGLDVVQTYVFWNGHEPSPGQYYFEGRYDLVHFIKLVKQAGLYVNLRIGPYVCAEWNFGGFPVWLKYAEMQKFTTKIVEMMKSEGLFEWQGGPIILSQIENEFGPLEWDQGEPAKAYASWAANMAVALNTGVPWIMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPTMWTEAWTAWYTGFGIPVPHRPVEDLAYGVAKFIQKGGSFVNYYMFHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLREPKWGHLKQLHKAIKLCEPALVAGDPIVTSLGNAQKSSVFRSSTGACAAFLDNKDKVSYARVAFNGMHYDLPPWSISILPDCKTTVFNTARVGSQISQMKMEWAGGFAWQSYNEEINSFGEDPFTTVGLLEQINVTRDNTDYLCVDVAQDEQFLSNGENPKLTVMSAGHALHIFINGQLSGTVYGSVDDPKLTYTGNVKLWAGSNTISCLSIAVGLPNVGEHFETWNAGILGPVTLDGLNEGRRDLTWQKWTYQVGLKGESMSLHSLSGSSTVEWGEPVQKQPLTWYKAFFNAPDGDEPLALDMSSMGKGQIWINGQGIGRYWPGYKASGNCGTCDYRGEYDETKCQTNCGDSSQRWYHVPRSWLSPTGNLLVIFEEWGGDPTGISMVKRSIGSVCADVSEWQPSMKNWHTKDYEKAKVHLQCDNGQKITEIKFASFGTPQGSCGSYSEGGCHAHKSYDIFWKNCVGQERCGVSVVPEIFGGDPCPGTMKRAVVEAICG >ONIVA01G23110.1 pep chromosome:AWHD00000000:1:20455114:20461725:1 gene:ONIVA01G23110 transcript:ONIVA01G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNI3] MSHRRRSNAGDPPPPEGTGGSSTAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVQGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSKKSVL >ONIVA01G23110.2 pep chromosome:AWHD00000000:1:20455114:20461725:1 gene:ONIVA01G23110 transcript:ONIVA01G23110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNI3] MSHRRRSNAGDPPPPEGTGGSSTAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMLFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVQGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSKKSVL >ONIVA01G23110.3 pep chromosome:AWHD00000000:1:20455171:20461962:1 gene:ONIVA01G23110 transcript:ONIVA01G23110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNI3] MSHRRRSNAGDPPPPEGTGGSSTAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVQGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSKKSVLSSMVKVGQTIRQNKKGTDHSMANLCADILNLIKSCQIVIKLPLCPVMEGSYCHEFSSKHTVSPLALSINCSRQNA >ONIVA01G23110.4 pep chromosome:AWHD00000000:1:20455171:20461725:1 gene:ONIVA01G23110 transcript:ONIVA01G23110.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNI3] MSHRRRSNAGDPPPPEGTGGSSTAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVQGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVKHC >ONIVA01G23110.5 pep chromosome:AWHD00000000:1:20455114:20461725:1 gene:ONIVA01G23110 transcript:ONIVA01G23110.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNI3] MSHRRRSNAGDPPPPEGTGGSSTAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVQGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSKKSVL >ONIVA01G23110.6 pep chromosome:AWHD00000000:1:20455171:20461725:1 gene:ONIVA01G23110 transcript:ONIVA01G23110.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNI3] MSHRRRSNAGDPPPPEGTGGSSTAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMLFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVQGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSKKSVL >ONIVA01G23110.7 pep chromosome:AWHD00000000:1:20455171:20461962:1 gene:ONIVA01G23110 transcript:ONIVA01G23110.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNI3] MSHRRRSNAGDPPPPEGTGGSSTAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVQGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVKHC >ONIVA01G23110.8 pep chromosome:AWHD00000000:1:20459005:20461725:1 gene:ONIVA01G23110 transcript:ONIVA01G23110.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNI3] MVKVGQTIRQNKKGTDHSMANLCADILNLIKSCQIVIKLPLCPVMEGSYCHEFSSKHTVSPLALSINCSRQVLLIAFNLSATSVVNVRSPPVVLKQHFAS >ONIVA01G23110.9 pep chromosome:AWHD00000000:1:20459005:20461962:1 gene:ONIVA01G23110 transcript:ONIVA01G23110.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNI3] MVKVGQTIRQNKKGTDHSMANLCADILNLIKSCQIVIKLPLCPVMEGSYCHEFSSKHTVSPLALSINCSRQNA >ONIVA01G23100.1 pep chromosome:AWHD00000000:1:20445638:20450445:-1 gene:ONIVA01G23100 transcript:ONIVA01G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPLSSEPHALEQRAVVVTNKHGEKLVGVLHHTGSSKIVVLCHGFISTKNDSLILDLTAALTKKGISVFRFDFSGNGESEGEFEYGNYRKEADDLHSVVSYLCKEKYDVTAIVGHSKGGDVVTLYASIYDDVRLVINVSGRFDLEKGIEERIGEGSIDRINKEGYLDVKDKSGNVQYRVTKESLMERLSTDIRAVSMSLTKECRFFTVHGSADETIPVEDAYEFAKHIPNHKLQVIEGANHNYTAHREELADAVMSVAAAGDVGGEMRDDKDGAATGTPGVGGHQCRAAPNPEAHIQKLCSVRPKVQRAREP >ONIVA01G23100.2 pep chromosome:AWHD00000000:1:20448099:20450445:-1 gene:ONIVA01G23100 transcript:ONIVA01G23100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPLSSEPHALEQRAVVVTNKHGEKLVGVLHHTGSSKIVVLCHGFISTKNDSLILDLTAALTKKGISVFRFDFSGNGESEGEFEYGNYRKEADDLHSVVSYLCKEKYDVTAIVGHSKGGDVVTLYASIYDDVRLVINVSGRFDLEKGIEERIGEGSIDRINKEGYLDVKDKSGNVQYRVTKESLMERLSTDIRAVSMSLTKECRFFTVHGSADETIPVEDAYEFAKHIPNHKLQVIEGANHNYTAHREELADAVVDFITSN >ONIVA01G23090.1 pep chromosome:AWHD00000000:1:20442721:20445420:-1 gene:ONIVA01G23090 transcript:ONIVA01G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSSSSQDSSVPSAQEERVVVTNKHGEKLIGLLQHMGSNKIVVICHGFTASKNDSIIVDLANALTKKGVGIFRFDFSGNGESEGEFQYGNYRKEADDLHSVISHLNQEKYDVKAIVGHSKGGDVVVLYASIYDDVRTVVNLSGRFHLEKGIEERLGKEFMNIIDKEGYIDVKTNSGKVLYKVTKESLMERLTTDMHKACLSISKECRFFTVHGSADEIIPVEDAYEFAKHIPNHKLHVIEGANHCYTAHRKELSDAVVDFITSSEDGDNSSA >ONIVA01G23080.1 pep chromosome:AWHD00000000:1:20440159:20443254:1 gene:ONIVA01G23080 transcript:ONIVA01G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASKPDTASPPEAPSAKKGVFMRRIFPFLLAANIFIGVYVFAKTYKRDQEKKNAQSAAAAAAVAALSSPAAPAAETVDPTPTPPPKRVLPPIPEDEQRQVYKWMLEEKRKIKPRNAAEKNKINEEKVLLKEFIRAESLPRL >ONIVA01G23070.1 pep chromosome:AWHD00000000:1:20430609:20434517:1 gene:ONIVA01G23070 transcript:ONIVA01G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcineurin B-like protein 10 [Source:Projected from Arabidopsis thaliana (AT4G33000) TAIR;Acc:AT4G33000] MESGYGFRFSDDDVESASSLTVGERLCAAFLPFVAIAEAVFFALTDCLADLLPPSTATAASRHRRSAASSYLAAVAKKWNNHQNQQRGRVGIGCTSLTLRQLARLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPLEDKINCKYTSFRHCLLKFMYRVAFRLYDLRQTGFIEREEVMQMVIAILTESDMKLSEELLEAIIDKTFEDADADRDGKINQQEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFVFNTADSGAKE >ONIVA01G23070.2 pep chromosome:AWHD00000000:1:20430609:20434517:1 gene:ONIVA01G23070 transcript:ONIVA01G23070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcineurin B-like protein 10 [Source:Projected from Arabidopsis thaliana (AT4G33000) TAIR;Acc:AT4G33000] MESGYGFRFSDDDVESASSLTVGERLCAAFLPFVAIAEAVFFALTDCLADLLPPSTATAASRHRRSAASSYLAAVAKKWNNHQNQQRGRVGIGCTSLTLRQLARLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPLEDKINFAFRLYDLRQTGFIEREEVMQMVIAILTESDMKLSEELLEAIIDKTFEDADADRDGKINQQEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFVFNTADSGAKE >ONIVA01G23070.3 pep chromosome:AWHD00000000:1:20430609:20434611:1 gene:ONIVA01G23070 transcript:ONIVA01G23070.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcineurin B-like protein 10 [Source:Projected from Arabidopsis thaliana (AT4G33000) TAIR;Acc:AT4G33000] MESGYGFRFSDDDVESASSLTVGERLCAAFLPFVAIAEAVFFALTDCLADLLPPSTATAASRHRRSAASSYLAAVAKKWNNHQNQQRGRVGIGCTSLTLRQLARLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPLEDKINFAFRLYDLRQTGFIEREEVMQMVIAILTESDMKLSEELLEAIIDKTFEDADADRDGKINQQEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFVFNTAVED >ONIVA01G23070.4 pep chromosome:AWHD00000000:1:20430609:20433821:1 gene:ONIVA01G23070 transcript:ONIVA01G23070.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcineurin B-like protein 10 [Source:Projected from Arabidopsis thaliana (AT4G33000) TAIR;Acc:AT4G33000] MESGYGFRFSDDDVESASSLTVGERLCAAFLPFVAIAEAVFFALTDCLADLLPPSTATAASRHRRSAASSYLAAVAKKWNNHQNQQRGRVGIGCTSLTLRQLARLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPLEDKINFAFRLYDLRQTGFIEREEVMQMVIAILTESDMKLSEELLEAIIDKTFEDADADRDGKINQQEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFVFNTAVED >ONIVA01G23060.1 pep chromosome:AWHD00000000:1:20400904:20403307:-1 gene:ONIVA01G23060 transcript:ONIVA01G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYGQQPTGDRLESATLVQSMESTKDTIVRRITESAATVDSADVQAAAAKLTAAVEYRFDVAKADVDRIMAGRGRDEVDQRDGGPRGWRSEEDHGDDVAGCGKEDQDGDGAAGHGEEVHGSGGPRRWLCVGGGPRWWLWLGRRSPAAAARQGRSEKDHGGDATGRGEDDQGGGGPRGWRGKGAARRTTAATRQDAARRTRVVAVRQDVVTRTTAATRQDAVRRSTAAAVRQGAARWPTAVVARQGLARMSTAARLSQDVARGP >ONIVA01G23050.1 pep chromosome:AWHD00000000:1:20396704:20397985:1 gene:ONIVA01G23050 transcript:ONIVA01G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTPPSPSFLFLQIRLSSSSVVLLPVLSGGAAPAPLILVIAGELLGMVGVACGVGFRRSISLFVGRAVGFAAVGFLCVRVGAACLASSATMTLNRRPGNATRVWRWSIFERVSEGFCSTRGCWVVMETLLKLLAFGKLGNDDLFLPVSCSINRDCSVFGPGETPIIGSSKKNIVGKKHQDCRPKRLSIVKKERRRAISQQHKPLRKEPSTRSATAKHGLLSLGLNLRLEPDCLPSYLWWPHHPSTQLPPNTITYTWSPHGPQTNTPLLANPCSTMGFKESPLLLLPSVGNPHWPSDHHIHPHHGAPRLD >ONIVA01G23040.1 pep chromosome:AWHD00000000:1:20390399:20391739:1 gene:ONIVA01G23040 transcript:ONIVA01G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLCDNMVEEILVRLPEEQHLRRASAACRRYGDIIRRPGFATRHRELHSPLLSGGVVLHGVRRRCPRVDGTAAHVRGSYAFFLPAGAGDASASSSLELDLAGLLPSPTDRELAYLLSTGAADPDAARRSVFIVHSSSSAGLLLCCRGYVNPVHYYVCDPVARRCVALPELPWPPAFDKSGMLSVAAGGGGGFQVVLFEKRGFAHGGGHLDLEVFSSDSGEWAAMRIPRPQDLAGFRCFAPPHLRHDGAAAYWLGFEPRDRAVVYGAADHSIRLIPVPRRVHDPSALNRFVGERRGGALLRYAHFDAAEFEVWDTDDATPTRWALVHRAALKDVVARSPRAVAAKFVHGRIVRHIHHHDANWNWCSSFKLIGFDPVHDDDVFLFGATNGSGCVAAYSLTLGKLSLRCKIDTADGSSSLCGCDMFPYVRASTTSPRADIPGMVCTNA >ONIVA01G23030.1 pep chromosome:AWHD00000000:1:20380144:20387029:1 gene:ONIVA01G23030 transcript:ONIVA01G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNFSSVISEISTSDTASPQSLPPVAATRSRGRPYEGWTLKELIGEVRRLRRAASSAPPRPAGVLDLDGAPNQGDARASDTVALSPPSPCESQEQPHHLGKVLSPHPLIHVPKIVNVAHDIVVNFCNAIRAPEAFKLVAAAENVKPHLLKIDHDDNLLFLQFKAALEREQQVHNVNHEIAISLDKYTNCPLSGTEIAELTQPLRRYIVSKIYFSY >ONIVA01G23020.1 pep chromosome:AWHD00000000:1:20370449:20372361:1 gene:ONIVA01G23020 transcript:ONIVA01G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVIAECRPKPLFATGPFLSAVGGGGGGVDRISGLPDDLLFVILSKLPVRDAVATSALSPRWKSLWSSVPLRLDDAGLLHRRDGTRLGREGVAATVSAVLAAHPGPVPAASVGCCLSSDDQGYQLGGWLRALAAKGVRQLCLMGAPWSPRAALPSAVFSCSSLRRLFLGSVQCNWDLIPDHACFPELREIQICNALMKSQDLSLVLAVCPALETVEILASRNKIPTVRMSSHTIRNTLLWKSVAKEVNVLDTPCLSRVVLWQDLLLPHSRYNSKVTISRATKMRIFGYLDTGINTLVINETTVKVNTNISFKTLIPSVKVLGLSVHFGVRKEALMSISFLRCFPEVETLHITSKTDKASEAEQFSFWGKVDPVECVTSHLKKLVFHGMPWCPGNLEFLKFIVEGAYLLEKVLIVLPKGTYTSMHSVITKLKLAPLTSASWASHICKMEVVQSSQGTLSYQRASDRSVDDPLDYSL >ONIVA01G23010.1 pep chromosome:AWHD00000000:1:20363939:20367836:-1 gene:ONIVA01G23010 transcript:ONIVA01G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDTDKLQSLQINPNKETNLVAVFKNDYVDDEDDEDMDPQVTLGFIEEPEGPEDWHLLLPQHFPNKAGGVPAWLDPVNLPSGKSRCCDFCGEPLRFVLQVVFHLYDKLQVYAPIQCKETAYHCTLFVFMCPSMACLLLDQHEQGKDRAGEKVFSRCRKSSYCSKKHQELHWRAKHKNECHQIYGSHNASAIMPDAGKVFAGNIWPEYMVVNETEKVSCFASCENRSELLMEQGQSEEDDMTASLMDQFEVDDDNRCWASFLERISIEQDQVLRYCRESTAKPLWAVYSGSLTNAAMPSCIYCNGPLCYEFQVGQIMPQLLHYFHVENEPDSLDWATIIVYTCKGSCDQNVSYVEEFVWVQLSPATTRTNQSTCPPAGL >ONIVA01G23000.1 pep chromosome:AWHD00000000:1:20351846:20356822:-1 gene:ONIVA01G23000 transcript:ONIVA01G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) TAIR;Acc:AT2G45280] MEIADLPIATSHRANLLAAGYSSLAALSAASPPRLARDLSIEVHEAEEILKVAVGANKSKGADGPSTSSVLKGAQNAWDMLSDEQSRRHINTGSADLNNILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDILEYFPHCHDKAPAGQEKLKPESFLADIYYFRICSYTEQIAVINYLEKFLGEHKDVRIVIIDSVTFHFRQDFDDMALRTRVLSGLSLKLMKLSKAYNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWNGNERYGYLDKSPSLPVASAPYAVTIKGVRDAVNSNSKRVRFLVMPSCVNWVLVLEQ >ONIVA01G23000.2 pep chromosome:AWHD00000000:1:20351846:20356822:-1 gene:ONIVA01G23000 transcript:ONIVA01G23000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) TAIR;Acc:AT2G45280] MEIADLPIATSHRANLLAAGYSSLAALSAASPPRLARDLSIEVHEAEEILKVAVGANKSKGADGPSTSSVLKGAQNAWDMLSDEQSRRHINTGSADLNNILGGGIHCKEVTEIVTGLINTSSLIQVVSQESVKLNWGMLYTILNANSDEDFTTKDLTFVRIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDILEYFPHCHDKAPAGQEKLKPESFLADIYYFRICSYTEQIAVINYLEKFLGEHKDVRIVIIDSVTFHFRQDFDDMALRTRVLSGLSLKLMKLSKAYNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWNGNERYGYLDKSPSLPVASAPYAVTIKGVRDAVNSNSKRVRFLVMPSCVNWVLVLEQ >ONIVA01G22990.1 pep chromosome:AWHD00000000:1:20336239:20339534:-1 gene:ONIVA01G22990 transcript:ONIVA01G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLVAAAAIVVVVLVLAGAGAAGAAGAGEVRALLDLAAGLDPTGRLLPSWAPGRDPCGREGGGGFEGVACDGATGAVANVSLQGKGLAGTLPPAVAGLTALTGLYLHYNRLTGALPRELAALSRLTDLYLNVNNFSGPIPPEIAAMPSLQVVQLCYNQLTGGVPTQLGLLKRLTVLELQSNHLSGAIPASLGDLPQLVRLDLSFNNLFGSIPVRLALLPRLLALDVRNNTLTGSVPSELAKLQGGFQYANNTDLCGTGLPALRPCTPADLISPDMPQPFSAGISPQITPGSSDGHGHCSGTHCPPSTKALAAVVVVAVILLAVSGAGMFAFSWYRWRKQRVVAGSPVAVGGQCSTDAAGKDSFRKSASSTLVSLEYSNGWDPLADGRGGIGFSQEVAQSFRFNMEDVESATQYFSELNILGKNGNFAATYRGTLRDGTSVVVKRLGKTCCKQEEAEFLKGLKLLAELQHENIVGLRGFCCSRARGECFLVYDFVPNGSLSQFLDIDADDVARSNGRVLEWSTRISIIRGIAKGIEYLHSTRANKPPLVHQNISADKVLVDYTYRPLISGSGLHKLLVDDLVFSTLKASAAMGYLAPEYTTTGRFSEKSDVYAFGVIVFQILTGKSKIMQLPLESSNDEDLIDGNLRGCYSAAEAAKLAKIASACTSENPDHRPTMEELIQELCTF >ONIVA01G22980.1 pep chromosome:AWHD00000000:1:20323183:20325819:1 gene:ONIVA01G22980 transcript:ONIVA01G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDDVIYSLIRNWDNDSSSSWIELLDHAIVSPASCFVPWKRTELDKEAVAGGEAAQRLLKKVVGGGGAWMNRAAGSCSIKNHVMSERRRREKLNEMFLILKSLVPSIDKVDKASILSETIAYLKELERRVQELESGKKVSRPAKRKPRSETIISGGGGGGGAGAVKEHHHWVLSESQEGTPSDVRVIVMDKDELHLEVQCRWKELMMTRVFDAIKSLRLDVLSVQASAPDGLLGLKIRAKYASSAAVVPAMISETLRTAVAGY >ONIVA01G22970.1 pep chromosome:AWHD00000000:1:20297618:20304690:1 gene:ONIVA01G22970 transcript:ONIVA01G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAASVSASPNRVTQPRRVAEDEAVEVVADAGSRWIRVPQTRRGRIRQSAVGRQGEEAAALSSWRPAAREGDGDAVVGGRREAAAASVRGGRGEGGQGRRPVVVTELTADQLLLQRSEQLRELYNSLLSGESADQQRRRPVTALSPEDLGNVEWYYVVCMTYAFRPGQCVPGKSFASNGCAWLCNAQSADSKAFPRKLLAKNASIQVCTYSTCMLRQVKLMFVRHIYTVYYF >ONIVA01G22960.1 pep chromosome:AWHD00000000:1:20265472:20266163:-1 gene:ONIVA01G22960 transcript:ONIVA01G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGRLLRRRQEAYTSTPATYVATSLPSDIVPVQDGYIIAAWRPKPPRRPHLTLLGDIVSMPDNYFVVSWRLAPPR >ONIVA01G22950.1 pep chromosome:AWHD00000000:1:20264964:20265431:-1 gene:ONIVA01G22950 transcript:ONIVA01G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSPPEGLHLHASCLLNRRVTSSPCWTPLRRRLDAYTPTPATFAAVGLIPPRRPPSPPPGGVVPVVDGYFAIAWRLAPPCRPPTSLPDDIVPVQDGYFIINWRLASPRRLLSPLCQILQFFNMMIIRLISRTRTRLQ >ONIVA01G22940.1 pep chromosome:AWHD00000000:1:20258004:20260464:-1 gene:ONIVA01G22940 transcript:ONIVA01G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSPGPAHRTVTDLSVRPSCLVSPVAVRANGNTHENAEKGARRGRWTFWRQELRRQSCVSIVASQAATVALHYKASKPEQQTTCAAEETSMRWRGMGWRPAEEGSSSGTSSTQITCAGGSRRCRRRAQPPYWGSRTPTQRTHGRGMARRAASEWSSSGPTPLALAPAEATTSGERWSAMEAERCLSPALAPNRGCGDVVATRMDVRWCGDSMHRSCPARHLAKQVAGGVLAGLGPGQAQWGQRVAAAQEGRR >ONIVA01G22930.1 pep chromosome:AWHD00000000:1:20256645:20256884:1 gene:ONIVA01G22930 transcript:ONIVA01G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWARQARRGRSAAVAPGKRGLELTPTRFNRAGPRRLSSPMMATAATMETRYRRRQRRSRSDELPSTATTEPRPPAPDS >ONIVA01G22920.1 pep chromosome:AWHD00000000:1:20231292:20240676:1 gene:ONIVA01G22920 transcript:ONIVA01G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAEQLLLQRSEQLRELYSSLLSGECADQQRRRRPVTALSPEDLGNMEWFYMVCMTYAFRPGQWYTSLIYSIISKSFASNGCAWLCNAQSADSKAFPRKLLAKNASIQTIVCVPFMNGVLELGTTDPVPEEPNVVNRITTAFWEFQLLACSDEPISSGTPSSPSSPLTKETGDANTVLIDDLFLAHSAAGGDQEDHQLGNDLGQQQAATAMEIDDDMIYSLIRNWDNDSSSSWIELLDHVVVSPASCFVPWKRTELDKQAVAGGGEAAQRLLKKAVGGGGAWMNRAADSSIKNHVMSERRRRENLNEMFLTLKSLVPSIDKVDKASILAETIAYLKELERRVQELESGKKVSRPPKRKPCSDGAVKEHHHWVLSESQEGTPSNVRVIVMDKDELHLEVHCRWKELMMTRLFDAIKSLRLDVLSVQASAPNGLLGLKIRAKVVSLT >ONIVA01G22910.1 pep chromosome:AWHD00000000:1:20222157:20223111:-1 gene:ONIVA01G22910 transcript:ONIVA01G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWGKEKAENCGNSVQVRGDDATPEMRHGDRRRGRFCLGVVNMGLGISGSKVAQAELLAGSQRRE >ONIVA01G22900.1 pep chromosome:AWHD00000000:1:20205145:20205651:-1 gene:ONIVA01G22900 transcript:ONIVA01G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTARRSLNTGRSICSTASPTSALGRPRCCCNDVGLRWWSAARSRTPPLRVSSFRCRSVSGSATGLTRAPHLGLELVSEERHHVEHGHRACLLVVDSSPAVNAWMLLSSLPQRWSNPRSLRSSSGRVRCYIAKSQRFQCSGGWEHRCPAPFLLVLCLCSQREETKKW >ONIVA01G22890.1 pep chromosome:AWHD00000000:1:20175698:20192607:-1 gene:ONIVA01G22890 transcript:ONIVA01G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stomatal cytokinesis defective / SCD1 protein (SCD1) [Source:Projected from Arabidopsis thaliana (AT1G49040) TAIR;Acc:AT1G49040] MASRIFEYFVVCGMGPEIRTLDGVKGYHGVDDMYMPAFLDQLPPSSHALYPPPPPQLPTCVLPAGVRIYSSGLDANDFSTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDILEAYQIPANSFADKCICFVSHSPSFQVLRDALEEIFVLCFSPAGCSKPLWDIISHLVSNVPLPTPGKNRVLFAIENCLLSVEAPPKEWLPHADISFQPLVQCLDVDKLIQLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPLRWQHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSTVTMDGVVVVDLEYNRITTSEEIPPIPETEHNFLRGEILKLLQPNDNASPSGFNTQAFLKKRSRATNQPVESMSMIMQFIETQGFLDYLERCNNAEENTNNLLDKLQDATGRGQNPLAIFPSHVADPEIITIADSETGGSEPGKRFCYKRFPTNARTEEQEEKRKSILAVASGASKQVPNSPSIPTIGGGPKVESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAITRANSRNDMLTIRDALEVSAEMYKKDPNNVQDYVQRHLLSLPVWEELRFWDGYFEYLMENCSNKSTNYVTLVTAQLIVMATHMAGLGLSDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRIGYWGVATGKGQQPPSYGMASPRALDVSDESQQPAEASGLGRNWVQSMFSRDRSLRASSFNRTNEVKVGATAGKTDLPAAQKKIQTNMRTLRGHTGAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTLLVEHGMPQPCKSVVHSKQKLGLPRNEAKISMLPRTIRAISSDRGKIVSGADDQSVIVWDKQTFKLLEELKGHDAPVSSVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCQSAVLCMEYDDSTGILAAAGRDVSMRMTGETIITGSDDWTARVWSLTRGTCDAVLACHAGPILCVEYSPSDKGIITGGIRCVKNLTLHSASVLSISASDHWLGIGAADNSMSLFHRPQERFGGFSNTGSKVAGWQLYRTPQKTAAMVRCVASDLDRKRICSGGRNGLLRLWDATTSI >ONIVA01G22880.1 pep chromosome:AWHD00000000:1:20169854:20170937:1 gene:ONIVA01G22880 transcript:ONIVA01G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRRKASSSLAGPLAAQRRERTGEPGAAAAAAAKNSAVTLASADDDSHHVPATRHGDVAGLIGVVVPFGSMESYDRLRLIGEGACGAVFRARHVATGETVAVKIAHKNGGGGGGDEALLREAEMLAACAGNPAVVRLREVARHPETSKLHLVMDYVGPSLADLLTHRLDGALTEAEAQGVMRQLLAGVGQMHARGVIHRDVKPGNVLVGAADGRVRICDLGLGGPASAAPPRTQLVGTLWYMSPEQYLDGGEYGPAVDMWALGCVMAELLTGETLFPADTEYHQVVLVAGVLGVADEAMDGLPLGVTTRPSQLQRKVPEEKLSPAGFDRAQRLYAAGDRLTAAAALDMPWFSKKLS >ONIVA01G22870.1 pep chromosome:AWHD00000000:1:20161408:20165886:1 gene:ONIVA01G22870 transcript:ONIVA01G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVWCSLDSMPADIAAGIHQPPPPDLHDPSFWPAFADCAASFIAGGGGDNACFDELMAGGSSGDTRMVAMDDGDDGSGFLVGDAEAEHLMLSSSSPSSLSSGRSLSIDSAGSMSSFSLDAAAALAMSTLAVPHPYPPPVAHGMFASGAGGGGGGGAVDDHEDAIMRAMMAVISSASASPSSSGGSASSPTPFSRDSGAHHQPAGQPAMAAPQHPRGGNGGHVVVKSSSSSGGLAVPMDQKPGGGGRGRQQEEAAAASATNSSQLYHMMSERKRREKLNDSFHTLRSLLPPCSKKDKTTVLINAAKYLKSLETEITELEGTNTKLEKHIAGGGGAVDAAMRARRAQQRAKVQISKAADSQSQQLVNLTVMVMVECDVVELVLHILECLRWMKEISVLSVYADTYSPQLLLKAIANIKLQIVGGDWNEASFHEAMTKAANDATISCAPLAITAAQ >ONIVA01G22860.1 pep chromosome:AWHD00000000:1:20143848:20152319:1 gene:ONIVA01G22860 transcript:ONIVA01G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT HAIR DEFECTIVE 3 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNF0] MDACFSTQLIDGDGVFNVSGLENFMKEVKMGECGLSYAVVSIMGPQSSGKSTLLNHLFRTNFREMDAFKGRHTTKGIWMAKAHNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSKTPLENLEPILREDIQKIWDGVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVASLRDRFQQSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKIASFTADEEWQQFEEAVQHDYVPGFGKKISNLLDRCLSEYDMEAIYFDEGVRTSKRHQLESKLLQLVNPAYQNLLDHLRTRTLEAFKESFDKSLEKEGFAVAARDCTKVFLEKFDKGSEDAAIQQVKWDPSKIKDKLKRDIEAHVASVRAKKLSELCSKYEGQLTKALAEPVEALLDSASEETWPAIRKLLQRETKSAVSGFESAMASFELDEVTQKELLSKLESHGKSVVESKAKEEAARVLIRMKDSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLDEDGDNIENTLSLALVDTARPGTTDRSIQSFDPLASSSWERVPEEKTLITPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLKNPLYLGVIFVVFLVGKAMWVQLDIAKEFQNGFLPAVLSLSTKFVPTIMNILKRLADEGQRPAAPERQREMELQPKSTRNGSHSNVTSAGSSSITSSESGPEYSSPIAH >ONIVA01G22860.2 pep chromosome:AWHD00000000:1:20143939:20152319:1 gene:ONIVA01G22860 transcript:ONIVA01G22860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT HAIR DEFECTIVE 3 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNF0] MDACFSTQLIDGDGVFNVSGLENFMKEVKMGECGLSYAVVSIMGPQSSGKSTLLNHLFRTNFREMDAFKGRHTTKGIWMAKAHNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSKTPLENLEPILREDIQKIWDGVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVASLRDRFQQSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKIASFTADEEWQQFEEAVQHDYVPGFGKKISNLLDRCLSEYDMEAIYFDEGVRTSKRHQLESKLLQLVNPAYQNLLDHLRTRTLEAFKESFDKSLEKEGFAVAARDCTKVFLEKFDKGSEDAAIQQVKWDPSKIKDKLKRDIEAHVASVRAKKLSELCSKYEGQLTKALAEPVEALLDSASEETWPAIRKLLQRETKSAVSGFESAMASFELDEVTQKELLSKLESHGKSVVESKAKEEAARVLIRMKDSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLDEDGDNIENTLSLALVDTARPGTTDRSIQSFDPLASSSWERVPEEKTLITPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLKNPLYLGVIFVVFLVGKAMWVQLDIAKEFQNGFLPAVLSLSTKFVPTIMNILKRLADEGQRPAAPERQREMELQPKSTRNGSHSNVTSAGSSSITSSESGPEYSSPIAH >ONIVA01G22860.3 pep chromosome:AWHD00000000:1:20144893:20152319:1 gene:ONIVA01G22860 transcript:ONIVA01G22860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT HAIR DEFECTIVE 3 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNF0] MDACFSTQLIDGDGVFNVSGLENFMKEVKMGECGLSYAVVSQTTKGIWMAKAHNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSKTPLENLEPILREDIQKIWDGVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVASLRDRFQQSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKIASFTADEEWQQFEEAVQHDYVPGFGKKISNLLDRCLSEYDMEAIYFDEGVRTSKRHQLESKLLQLVNPAYQNLLDHLRTRTLEAFKESFDKSLEKEGFAVAARDCTKVFLEKFDKGSEDAAIQQVKWDPSKIKDKLKRDIEAHVASVRAKKLSELCSKYEGQLTKALAEPVEALLDSASEETWPAIRKLLQRETKSAVSGFESAMASFELDEVTQKELLSKLESHGKSVVESKAKEEAARVLIRMKDSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLDEDGDNIENTLSLALVDTARPGTTDRSIQSFDPLASSSWERVPEEKTLITPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLKNPLYLGVIFVVFLVGKAMWVQLDIAKEFQNGFLPAVLSLSTKFVPTIMNILKRLADEGQRPAAPERQREMELQPKSTRNGSHSNVTSAGSSSITSSESGPEYSSPIAH >ONIVA01G22850.1 pep chromosome:AWHD00000000:1:20122184:20123071:-1 gene:ONIVA01G22850 transcript:ONIVA01G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAYVPASKPVPVAAARAANGVANGGGGGVGGGGGGGAARPPPMVPGRVPPPPMYRPKPMQAPARRRRSRRGWCCACCLWMTLVVVGLVFLGAIAAGVFYVAYHPQLPTFAVTSLRLAALNVSDSDAVTSRIEFTVTARNPNDKIAFAYGDIAAAFAADGADVGDGTVPGFVHPAGNTTVIKGDASAAAATVDPLVANGLRSRKSHAMSVEMDSKVGFQIGRFKSKRINVRVLCAGFTAALAKNTPSAPPIVVAAAPSPVRSVVKASSSSSSSSSSTTDAKCKLRVKIWIWTF >ONIVA01G22840.1 pep chromosome:AWHD00000000:1:20115622:20117748:1 gene:ONIVA01G22840 transcript:ONIVA01G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMMCCSGSSPQSTRRRGGVLGRDDAAGPRRGDEVDEVGGVGARREEVEPATAAAHRADAEGVAGGAVGDAPPPPPRRPPSGPAAVALCSTAKKKKRGKEKKVDLLIMETIYNKKIFYTLVELSATLVCADVQMEYPIFLGKVKKKNFTPKSHHSVGLFIV >ONIVA01G22840.2 pep chromosome:AWHD00000000:1:20115622:20117748:1 gene:ONIVA01G22840 transcript:ONIVA01G22840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMMCCSGSSPQSTRRRGGVLGRDDAAGPRRGDEVDEVGGVGARREEVEPATAAAHRADAEGVAGGAVGDAPPPPPRRPPSGPAAVALCSTAKKKKRGKEKKIWHYTLVELSATLVCADVQMEYPIFLGKVKKKNFTPKSHHSVGLFIV >ONIVA01G22840.3 pep chromosome:AWHD00000000:1:20115622:20117748:1 gene:ONIVA01G22840 transcript:ONIVA01G22840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMMCCSGSSPQSTRRRGGVLGRDDAAGPRRGDEVDEVGGVGARREEVEPATAAAHRADAEGVAGGAVGDAPPPPPRRPPSGPAAVALCSTAKKKKRGKEKNIH >ONIVA01G22830.1 pep chromosome:AWHD00000000:1:20115595:20115990:-1 gene:ONIVA01G22830 transcript:ONIVA01G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERVVGAEGDGGRPGRRTAGRRRRRIAHGAAGDALRVGAVRGGGGGLDFFASRSHAAHLIDLVTSPWPGRVVASKHAAASSRALRRAARTAHHQRHRGSRAPRL >ONIVA01G22820.1 pep chromosome:AWHD00000000:1:20115208:20115549:-1 gene:ONIVA01G22820 transcript:ONIVA01G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIRALRDMDDDEVFAYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVTTPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQGVTHCRLSRPSTPPPRRPRWRKKERKR >ONIVA01G22810.1 pep chromosome:AWHD00000000:1:20112051:20114633:-1 gene:ONIVA01G22810 transcript:ONIVA01G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxylate reductase 2 [Source:Projected from Arabidopsis thaliana (AT1G17650) TAIR;Acc:AT1G17650] MAAMAAASLLCARAAAAAPTLRLRGGGRGARLVFSCSASSSSPSGEGGFSGKVGFLGLGIMGAPMASNLINAGCDVTVWNRTRSKCDPLLSLGAKYEPSPANVASSCDVTFAMLADPESAVEVACGANGAAQGMAPGKGYVDVSTVDAATSKLIGKHITSTGASFLEAPVSGSKKPAEDGLLIFLTAGDESLYNRVASLLDVMGKSRFFLGDVGKGADMKLVVNMVMGSMMVSFSEGLLLSEKVGLDPNTLVEVISQGAISAPMFSLKGPSMVKAAYPTAFPLKHQQKDLRLALALAESVSQSIPTVAAANELYKVAKSLGLADQDFSAVIEALKAKEQSK >ONIVA01G22800.1 pep chromosome:AWHD00000000:1:20098168:20114591:1 gene:ONIVA01G22800 transcript:ONIVA01G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 4 [Source:Projected from Arabidopsis thaliana (AT2G26140) TAIR;Acc:AT2G26140] MAWRRVLSQVARNRSAYAICNEIIASNPSRILRGDTIAGGTLRNLHERYQSSYVGSFARRMRQMDSPSEASLLKEIYRSDPERVIQIFESQPSLHSNPSALAEYVKALVRVDRLEDSTLLKTLQRGIAASAREEENLGSVSENLGSVSAVRSAGQVTKDGILGTANAPIHMVTAETGQFKEQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGDSEVTSGASSDFQQATAVARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEKEVKCFVENAYNNAKNILIKHNKELHALANALLEHETLTGAQIKNILAQVNNKQQQEHAIEAPQKTPAVPSSPAASAAAAAAAAAAAAQQAAAKAKGEIAGIGS >ONIVA01G22800.2 pep chromosome:AWHD00000000:1:20106014:20114591:1 gene:ONIVA01G22800 transcript:ONIVA01G22800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 4 [Source:Projected from Arabidopsis thaliana (AT2G26140) TAIR;Acc:AT2G26140] MAWRRVLSQVARNRSAYAICNEIIASNPSRILRGDTIAGGTLRNLHERYQSSYVGSFARRMRQMDSPSEASLLKEIYRSDPERVIQIFESQPSLHSNPSALAEYVKALVRVDRLEDSTLLKTLQRGIAASAREEENLGSVSENLGSVSAVRSAGQVTKDGILGTANAPIHMVTAETGQFKEQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGDSEVTSGASSDFQQATAVARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEKEVKCFVENAYNNAKNILIKHNKELHALANALLEHETLTGAQIKNILAQVNNKQQQEHAIEAPQKTPAVPSSPAASAAAAAAAAAAAAQQAAAKAKGEIAGIGS >ONIVA01G22800.3 pep chromosome:AWHD00000000:1:20098170:20103713:1 gene:ONIVA01G22800 transcript:ONIVA01G22800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 4 [Source:Projected from Arabidopsis thaliana (AT2G26140) TAIR;Acc:AT2G26140] MAWRRVLSQLARSRPASTIYNELITSRPSWLLRGDVNGGGTLKNLNERYQSSFVGSLARRVQNLDVPSEASLLKEIYKSDPERVIQIFESQPWLHSNRLALSEYVKALVKVDRLDDSTLLKTLRRGMAVSGGEGERVGSSSALKSAGQATKDGILGTANAPIHMVTSETGHFKDQIWRTFRSFALTFLVISGIGALIEDRGISKGLGLSQEVQPIMDSKTKFSDVKGVDEAKAELEEIVHYLRDPKRFTHLGGKLPKGVLLVGPPGTGKTMLARAVAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMRMTLNQLLVELDGFKQNEGIIVIAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMLKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGARPVHKATIVPRGRTLGMVSQLPEKDETSFSRKQMLAWLDVSMAGRVAEELIFGDSEVTSGASSDFQNATKMARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEQEVKSLLENAYNNAKTILTKHSKEHHVLAQALLEHETLTGAQIKKILAQANSTQQQQEHAVEAPRKTPAAPSSPAASAAAAAAATAAAAAKQAAAKAKGVAGIGS >ONIVA01G22790.1 pep chromosome:AWHD00000000:1:20085614:20086006:1 gene:ONIVA01G22790 transcript:ONIVA01G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPPHHPPCAACAHQGRPSCPAGCPLAPYFPADRPERFEYANLLFGVDGILRRLEAAGPDTVTRRATMASIVFVSDARAADPVHGAYGVIRNLQQELASVKAEIAAIRQKQQQAQQPPPALEDGPTN >ONIVA01G22780.1 pep chromosome:AWHD00000000:1:20077891:20083007:1 gene:ONIVA01G22780 transcript:ONIVA01G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECHRDGRVPPTYVKGEGDVDHTARTSPDSPCNPHPLGGHYCRARTTCPAGLVGLNDIDHGVRQVWADTRHGIAGGEHERVHADTFALAPQPHKQHRPAYHAAHFDNNDILLGTHAAIDFYEVDASAAVVSAAAAADATRWPLDDEMVEDDYLDDPPAIDAAAYVTWIRQRSHNIKRKKHLDLVVLGGLVLASYHLLDDALIDLAAAMSTAPERHGDGRAPPADDKREGDVDSSMSFRNRRDCHHRQNCCMFAPFRKNLVANKGIPEAKGVGVRQVTSKELEQEHHCHACPARMDATLVCLPQCLLVTHFLDGKPQSLHAVLRKAFMAFAGLDQGEVINHEAEDVHHDFFPLQDHPALNSPCNPHPLGGHYCRSHTTCPAGLFGLNDIDHGGRQVWADTCLFAGVPAGYPFPKRKATQLACSSLTRPGGGDQLGCRMCTTTSSPSKPNWRSTLPATPTHWGCRCCRARTTCTAGFIGLNNIDHSGRQVWADTWYGVAGAEHERVHAETFALAPQPHQQHRPADHTARFDYSDPFLGTPCGHRRLRGGRSRRRGSPASKAWGSWSTRSSIGGSRTVQVQQQQQPASNFQDNILLLNGDLIANAGREETIVYEADLDALAVDLVDDRSVGG >ONIVA01G22770.1 pep chromosome:AWHD00000000:1:20077169:20077861:1 gene:ONIVA01G22770 transcript:ONIVA01G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLQGAEDGVLAGVPARVILHGDEKVRLLNAQHLFGTRNIRCFVQATLPEKRDDLMSSIKYEAQLWARNPQSGATGVMWHLERKVERELAKLSKLRQKLEMCKNLAAKKSILEAKGVGVRQVTSKEQEQERQYQACPARISAWTLRLFVHRSACEIPISQTESRIACMQFFGRAFMAFVGQIQEEAINHDAEDAHYDFFPLQAHLVLDSPRNPHPLGRPPLTRSHNVHG >ONIVA01G22760.1 pep chromosome:AWHD00000000:1:20075373:20075886:-1 gene:ONIVA01G22760 transcript:ONIVA01G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRGGKPTTTPAAGPASPAPTTATAGSPAGVVLANSSLAGYLPSELSLPYNQLADQIPVAITASSRRSPGSQPPLRPDFGGDWAAVEVWLEISEEGSSTVMHIAACEGHAEIVELLLQRGVDAVAAGEIAMAARSLGRRPPHCHASRDLTTPAAGHRLPPGHCRS >ONIVA01G22750.1 pep chromosome:AWHD00000000:1:20073185:20073778:1 gene:ONIVA01G22750 transcript:ONIVA01G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAGAADGEAGGCRARSRGGHVAPTALTAGNGVGEVGGSRGQCVLVCGGGGLIVAPSVRPCPRGAPRFLLAGGSVKALPQFPGERQRRFTSRPCCGYRLENLFMRISGRGVGVSSRDFYFHTNAK >ONIVA01G22740.1 pep chromosome:AWHD00000000:1:20072128:20072655:1 gene:ONIVA01G22740 transcript:ONIVA01G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPWRPFVADISPVAVADDDDPPAACAACAHLGRACPTGCPLARCFPAAGNQPADGRLFRNAFRLFGVGNVVGFLLAAGGDPGKRRDAAVSVAYEADARADDPVRGAHGVVMDLERELDCLKAELATAQSALARHRQYAQPPPPPPPPPPDALDRARALNYFCHGCCGDVDGAA >ONIVA01G22730.1 pep chromosome:AWHD00000000:1:20069383:20070117:1 gene:ONIVA01G22730 transcript:ONIVA01G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEAGPSSSGHSSPTSNTSTTPPPQPPPPPPHPQACAACKHQRRRCTPECRLARYFPANQPARFRNAHRLFGIKNILRVMASASEELRDDAMKSVVYESDAWVIDPVGGAAGIVKGLSQELARLKAELDAVKGLIELHRRAAAQQQQQPPVAVASNGGFLPSPPPPPQGQQQLLFLPPPPPTMMLQDGHCDDETVEDDYLVDPPAVDAAAATSTAPERHGDGHAPTANVKEEGNVDHTSSTR >ONIVA01G22720.1 pep chromosome:AWHD00000000:1:20055659:20060391:-1 gene:ONIVA01G22720 transcript:ONIVA01G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSATAGRRSPFSALLLYVTFALVILLLLTSYSPSIPHRGRSLHRRLKLHPRNPSLSGAGAGAPTGGNGAPQQQQNHHHAAPFDPEIAELERRLEDKEWEREHYRILHGDGGGREADEHMREWEEFLREDEDFINDDERFNLGDRIRALFPKIDLAPRDGFASLDELTRWNLEQSRADQLHRSAREMELYDKNGDGVVSYGDFRAQHNESSGEVNSLGFPWWKEEHFNASDADGDGFLNKTEFNEQRDKDGDGKLNFEEYFHGLHDHIHGYDDENENADISHIGNNTVAKERFSKLDKDSDGFISEHELEPVLDKLHLSERYYARQQAAHAISEADKDHDGRLTLDEMIENPYAFYGSVFLSDDEDYFHDEFR >ONIVA01G22710.1 pep chromosome:AWHD00000000:1:20027594:20032519:1 gene:ONIVA01G22710 transcript:ONIVA01G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHHLQQQQQQQEEEGGGLFLVEEAAEAADQQQESSMSNLTSSASTAPPPPPPSSGNNGNNSNKRKRSLPGNPDPEAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGSKEAVRKKVYICPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALTEESAKAIGGIPAAMAAPGHHHHHQLLFSPPPVMAHHQELAALQEQQQQQHHQDVMQSPHQHQQQLVQQNCGYAVKPEMAPWPTTMPYDHHHPLLQPLCNANAAATAQSSATSAPPTTPQLPAAAAAAHLSATALLQKAAQMGATIGGAGTGAAGAHYAHMASPAGAGAPAGGSATFGLGLSCLNTHQDGGGGGGNGLIPAGMMGHLARTASHGRSGEDVAGAGGGGGDGMTRDFLGLRAFSHRDILLAGFDSSCMGHVNAAAGMAGYEPPPPHHGQPQHQHQQQQQQQQGGSNEPWHGMGSHS >ONIVA01G22700.1 pep chromosome:AWHD00000000:1:20017101:20020001:1 gene:ONIVA01G22700 transcript:ONIVA01G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATYNPPASAGSPDSKTATARGGGACPCPDADFAYILAHNSRLDRIFFKKSYVNRNSSISISMSIWVGKGRGEKNHHLLKKFLVSLNE >ONIVA01G22690.1 pep chromosome:AWHD00000000:1:20008297:20016487:1 gene:ONIVA01G22690 transcript:ONIVA01G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSPVPPAAAPASLAAAPPTTDVLSRRRAHLDSASYRALSRLFSHCLHLHPPRHAARPDAEVEPAAAAAIPPGGSGGLPHGDSPPPADVGGDRGKNLEVEVALGNHAPHETPSTSASPDAAVNPTTDPGVVPQGTEEGRVAGVERVEGVEEVVFAGGTSGEADADGDELGAGAGLMGDDEALRSMQACLDGEDSELVIEMVGNDNEQLQLDAMMNNLSGLIDDASACVMSAQSCGVSGDKLQSDDRVAEEVKELGAGIGNDRSVCSLDHGSLDGGGGFEEGEIEGDTQNLDADDSGNSELQDDVELEEDFDSRRIEEDGSCGHDLKSNLHLIPQKGNGDTARNMLCNSKGDSQMHVARAQAVSYDEVLDWNETPLPDDKALKHGNTRKRTLTEERKAKKTKTKRIKRALQREAEGVKRLKLQPVIKPKVVKVCHFYLHGKCQQGNLCKFSHDTTPLTKSKPCTHYARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVIPTAEGPSTPDAKKSNASSVPEKANCQEQTSRQKTSTVYSGEPATSVPIKHHSILKNLAGISGNAQKDVVSTEKHKNPTGGPHQNFGRPQPADGKKLDKHNGHRSAPLLDEKDSSKQANLHPCSEPKKNSLPTTAAVPSSHTWMLFSDEPVPMRLCSIFCIPHTSFIAVTPSCKLQLLMWTYFAELGANIYCQILKIGNYQMNALLFNPQAYNSKSQFLIFISLQQQEANSAAKGSMPVCIRQLVLSMFLNKDLNWKVGVYLFVWDYENAKRHMT >ONIVA01G22690.2 pep chromosome:AWHD00000000:1:20008297:20016487:1 gene:ONIVA01G22690 transcript:ONIVA01G22690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSPVPPAAAPASLAAAPPTTDVLSRRRAHLDSASYRALSRLFSHCLHLHPPRHAARPDAEVEPAAAAAIPPGGSGGLPHGDSPPPADVGGDRGKNLEVEVALGNHAPHETPSTSASPDAAVNPTTDPGVVPQGTEEGRVAGVERVEGVEEVVFAGGTSGEADADGDELGAGAGLMGDDEALRSMQACLDGEDSELVIEMVGNDNEQLQLDAMMNNLSGLIDDASACVMSAQSCGVSGDKLQSDDRVAEEVKELGAGIGNDRSVCSLDHGSLDGGGGFEEGEIEGDTQNLDADDSGNSELQDDVELEEDFDSRRIEEDGSCGHDLKSNLHLIPQKGNGDTARNMLCNSKGDSQMHVARAQAVSYDEVLDWNETPLPDDKALKHGNTRKRTLTEERKAKKTKTKRIKRALQREAEGVKRLKLQPVIKPKVVKVCHFYLHGKCQQGNLCKFSHDTTPLTKSKPCTHYARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVIPTAEGPSTPDAKKSNASSVPEKANCQEQTSRQKTSTVYSGEPATSVPIKHHSILKNLAGISGNAQKDVVSTEKHKNPTGGPHQNFGRPQPADGKKLDKHNGHRSAPLLDEKDSSKQANLHPCSEPKKNSLPTTAAVPSSHTWMLFSDEPVPMRLCSIFCIPHTSFIAVTPSCKLQLLMWTYFAELGANIYCQILKIGNYQMNALLFNPQAYNSKSQFLIFISLQQQEANSAAKGSMPVCIRQLVLSMFLNKDLNWKVGVYLFVWDYENAKRHMT >ONIVA01G22680.1 pep chromosome:AWHD00000000:1:20005816:20006076:-1 gene:ONIVA01G22680 transcript:ONIVA01G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKEETIVYEADPDALTVDLVDDPLVKEFRDKLVDEVLTIDAGDMEALFEEHQENPELERTLMLISQSDQDPPMATPHETLITFL >ONIVA01G22660.1 pep chromosome:AWHD00000000:1:20003024:20003486:1 gene:ONIVA01G22660 transcript:ONIVA01G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGCLCGCCEDAGESLTVLLAGSTMARPWVSFPSLEALSWHSFISSQISPGENLVPIFGRAAAASHVVSSLGASLRRSSNASMTVDGFAFLGLLLFCGGRHALRLFLLMKSKLLADGVRRRLATMTCCSLFQGVLVLAV >ONIVA01G22650.1 pep chromosome:AWHD00000000:1:20000284:20000661:-1 gene:ONIVA01G22650 transcript:ONIVA01G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTPPPPCAACAHQGRPSCPAGCPLAPYFPADRPERFEYANLLYGVDGILRRLEAAGPDPGTRRATMASIVFVSDARAADPVHGAYGVIRNLQQELASVKAEIAAIRQKQQQAQPPPPPEDGH >ONIVA01G22640.1 pep chromosome:AWHD00000000:1:19992060:19998501:1 gene:ONIVA01G22640 transcript:ONIVA01G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGGGGGARWRRGRGWRRLGFEGAAVAARVVAAARVGGGGARRWGRSEGEAKAASSARQPALSLGQSEVIEIKLCLLRILHMFSMDGDFAPLLELIKLHRMYGLLLVMDVPWTVGDHCDVGVIGLTKCSGTHGLLPCFDTFLLVSIQRDNLRTSLGFHDMATIMSSAVFASMRLNATNQSYLHQCGYDIFFLHPVPITLATAYKMQRGDNLSITSKMPVLCYGVLN >ONIVA01G22640.2 pep chromosome:AWHD00000000:1:19992060:19996397:1 gene:ONIVA01G22640 transcript:ONIVA01G22640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGGGGGARWRRGRGWRRLGFEGAAVAARVVAAARVGGGGARRWGRSEGEAKAASSARQPALSLGQSEVIEIKLCLLRILHMFSMDGDFAPLLELIKLHRMYGLLLVMDVPWTVGDHCDVGVIGLTKCSGTHGLLPCFDTFLLVSIQRDNLRTSLGFHDMEEQDLQDEASKEVNNDRSRCRRPPITGLGFHPKGERVHKTTPPRRKRHPQASMSPILEDQTGLSPRTPSKGVGPPRSQSKSVKSCRCLAHRLTRCSSFDSALTQKLSTEEEKHTAPNSALR >ONIVA01G22640.3 pep chromosome:AWHD00000000:1:19992060:19996397:1 gene:ONIVA01G22640 transcript:ONIVA01G22640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGGGGGARWRRGRGWRRLGFEGAAVAARVVAAARVGGGGARRWGRSEGEAKAASSARQPALSLGQSEVIEIKLCLLRILHLGQLEIIAMLEQDLQDEASKEVNNDRSRCRRPPITGLGFHPKGERVHKTTPPRRKRHPQASMSPILEDQTGLSPRTPSKGVGPPRSQSKSVKSCRCLAHRLTRCSSFDSALTQKLSTEEEKHTAPNSALR >ONIVA01G22640.4 pep chromosome:AWHD00000000:1:19996632:19998501:1 gene:ONIVA01G22640 transcript:ONIVA01G22640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARNSWFRGTKPHDYGNHLQDTTSAERGALGRAAEQLDNWQQRSTGSSDGERKGGRKPPLLLRLCRRHREERDITRENKGMRGGXRRGHNHVFRSFRINEAECNKSKLLGKLKHQCGYDIFFLHPVPITLATAYKMQRGDNLSITSKMPVLCYGVLN >ONIVA01G22630.1 pep chromosome:AWHD00000000:1:19988073:19989925:1 gene:ONIVA01G22630 transcript:ONIVA01G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRLRRRGHDGRTEGGVCGRRMGWLLGLGFGRKMVAIAGWCCTAASSVAPSCGHNTMPPSAPVAGQPLPPLAAHQSLVPPPLCPPPFTGATFPVARQIGGKVKQRKAEFQEPGAMISWKRREQHLKNTGGRSTRNILQALKVPAEH >ONIVA01G22620.1 pep chromosome:AWHD00000000:1:19986668:19987733:-1 gene:ONIVA01G22620 transcript:ONIVA01G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRSTSSGAAKKPSPRNPRCAACKFLRRACSPEECRLAPHFPASQPERLQSVERCWSWLTRAEYLDDILSSIVYEAEAWGRDPVWGPTGVVGALELEIGTARADLAVLQGQDQDQPPAVATLPEPELVVHPPTMAQDESKPSSTTSSSSGTTTTTTKKKNKPRCAACRYLSRSCWPECLLAPYFPAGQPPAQFGNVHRLFRLNNVLRMMEETRREERDDLMAAIVYEADAWARDPRYGVAGVVRSLTNELARVRLDEIAFHLLGTDETYDGYKEEDALAVDPVSGAQAGIGVLGGSGADLQENTGILLGADEPGPGELAVDPIKDVFDIDRLLAVDDDLSSGTQP >ONIVA01G22610.1 pep chromosome:AWHD00000000:1:19984576:19985040:1 gene:ONIVA01G22610 transcript:ONIVA01G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGPTLLFISTDGDASSLSLLAIPPPSSSSLTGGLPLRPELFGREARVIWSRWLVAAITVASVGGGGGGGRSTAMGLKEGSDGDDVDGRSSRGRRRRLLGTFADTSFSAGGREEAGGGGGDEIYVKKPAAAVKKLAVTVTTRDETSWQCRCCL >ONIVA01G22600.1 pep chromosome:AWHD00000000:1:19981202:19984270:1 gene:ONIVA01G22600 transcript:ONIVA01G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPQTPRARRAAHPNSHMASSSSSSSLCRLLIPRPTTRRFSGGGGEGGMAAAAPVKREVKPEAGEGWGGGDLGVVPPPPRPMEGLGEAGPAPFVAKTYEMVADAATDAVVSWGPGGSGASFVVWDPHALAAGVLPRFFKHANFSSFVRQLNTYGFRKVTPDRWEFANEAFLAGQKHLLKNIKRRRVSKPLVDSQLRNKASVVFGQPEAPGEVVSLKRDRAALRAEVIMLKQQYNACKSQLIAMEEMVRNIERRQQQTIGFFAKVLTNPAFVQQVLLNYVNKNGLRGAAKRQRLMENEEQHADSPLNKGMEAASVMEADVSPGSTGCGTVGKVETTPMCNFQNIENMCDDVWEELDALPETGMEQEEKAGIGSFDVEEFVGRPCGWVDDCPYLVEPMQFVEH >ONIVA01G22590.1 pep chromosome:AWHD00000000:1:19975706:19979096:1 gene:ONIVA01G22590 transcript:ONIVA01G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKTLARAGSSLLGRLLASPSPLRAGLPPPSLLSRIQPLVPPPPPPQPRPETTVEAYEAQAVARLSSLPGEISFPCGLPSLRFIIEDGKDPVANEPLELLPKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRISV >ONIVA01G22580.1 pep chromosome:AWHD00000000:1:19964760:19969506:-1 gene:ONIVA01G22580 transcript:ONIVA01G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQRKNSKKVKDSNGSSSKKDKDSRGKNIFDSAKGGLGALAGTLQTAKNDAGEKAENLQDDVKTTIGAILRRGSGVLEKAKEIGGHSEASQSKELEQGSEEQGKKDMEALTKVMDKVKEVKSNPEVVEKLDKVKEDISSLAHALHLGKHDKEHESEEKAKEGETAKSADEGASASKSEDSGVVVQAVEEIQAVVTAVQQQLHTEGAAAETPNEAAAAETSAEGEKPEESKRDVEKDDPSKRLDFKGFFAMIFERCCNPGNKKKD >ONIVA01G22570.1 pep chromosome:AWHD00000000:1:19960407:19965504:1 gene:ONIVA01G22570 transcript:ONIVA01G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQESTFSSASTAAQVNACALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTHGFQSLGILQSLRKLWQYEGIRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVGQPGNALGNGGRQPAYGGIKDVFKTVYKEGGARALYRGVGPTLIGILPYAGLKFYIYEDLKSRVPEDYKRSVVLKLSCGALAGLFGQTLTYPLDVVRRQMQVQNKQPHNVNDAFRIRGTFQGLALIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDMMKNLLRVPPRERLYQSSGNA >ONIVA01G22560.1 pep chromosome:AWHD00000000:1:19937459:19938915:-1 gene:ONIVA01G22560 transcript:ONIVA01G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPRRRIRGRKHRLVKLPSARASDDRQSSLVVAAQQPSDPSIHPSILPPPIYGRANHAASQSPTAQLHSSPNKLTAFSFIIFLHSSPPPSSAEQLGREQQVDVVFVFFKEKPMTLRPLNTERSFLLSSPKPHSPRDACSPPVRSPSSTRLLACRKLPSSSKPMATGAGVLERSLSFKNWEPTAAEEAAVAAPPPHDEAASRCINGARPGILLLQQSPKAKQGDAATSPAQAALIEFISPKPRSELDQAATKVQKLFKGHRTRRNLADCAIVVEELWWKAYDSACLNIKSISFFDEAKQETAASRWSRAGKRIAKVGKGLSKNEKAQKLALQHWLEAVSPSSWNYRSHLFALV >ONIVA01G22550.1 pep chromosome:AWHD00000000:1:19928324:19933635:1 gene:ONIVA01G22550 transcript:ONIVA01G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbamoyl phosphate synthetase B [Source:Projected from Arabidopsis thaliana (AT1G29900) TAIR;Acc:AT1G29900] MATSLSSAPTQLRPSPSPSHHRLLHRSSLLPFPRRHHHRRRRCGALSIARASASAKDGVTVRRFPAAPTEGGRLAGVRKIMILGAGPIVIGQACEFDYSGTQACKALAEEGYEVVLVNSNPATIMTDPDLAHRTYIGPMTPPLVERIIAAERPDALLPTMGGQTALNLAVSLADSGALDRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECISIAEDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRAGLAASHTQQVLVEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPVLTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCAPIKELDWDWEKLKYSLRVPNPDRIHAIYAAFKKGMRIQDIHEISFIDKWFLTELKELVDVEQFLISRGLDQLSKDDFYQVKRRGFSDTQIAFATSSSETDVRLRRLALEVAPTYKRVDTCAAEFEANTPYMYSSYEYECESVPTNKKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVTNVIDLERPDGIIVQFGGQTPLKLALPIQQYLEDKKLVSASGTGLVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALSIASEVGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAIEIDVDALADSVGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSAKCLDIIRSWTTKLAKRLNVCGLMNCQYAITTSGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGVTLPELGYTQEVVPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQKLPLNGTVFLSLNDLTKRHLAEIGRGFRELGFNIIATSGTAKVLQLEGIPVEPVLKIHEGRPNARDMLKNGQIQVMVITSSGDALDSKDGLQLRRLALAYKVPIITTVDGARATIDAIKSLKNKSIETLALQDYFQTTDASQNLQAAQSAS >ONIVA01G22540.1 pep chromosome:AWHD00000000:1:19922527:19923465:-1 gene:ONIVA01G22540 transcript:ONIVA01G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTVTHPAAAAALNHGRHLLRRLAVSSPTIVHAAWVTALVAVCLALCITHSRKPLPSSSSSSKAARRERGASSSTRRRSAPGDEGSSGGVGGSSAKAAATAAAAATATTAAKVSPTPSDVAAKANGRVGETQAAAAAAEGAAVPVTVIDVGTHGPIAPAFPAPDPLPPRRSLSAKHMRLAERLGSRIRSTRWGRDDHDDDDDEDAGGDPAAAAADEGGTTLWTKTIILGERCRVGDDDDEDGGGGAVVRWRSYRPRQPRSLPMTRSNSFAGVGSRSLQLQGGGGASRPPPAADVPFHLGRTASLPAKDEL >ONIVA01G22530.1 pep chromosome:AWHD00000000:1:19914478:19919626:1 gene:ONIVA01G22530 transcript:ONIVA01G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKYRLGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYMIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISERKIATSIEALCRGYPCEFQSYFHYCRSLRFEDLPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQITSVPPPTEEGRRSGWSDDPTRRQVPPTGINAGSLSKQKSPVRPDMSTSKDALFSSSTMLGRSSGSLRRPVVSSSRELQSSEAEPSRSRTPDASPGTFQRSAPPRRSSQMLDYSDPRHSSSGRHAANKNYESTIRGIQGLNFDANDRIHY >ONIVA01G22530.2 pep chromosome:AWHD00000000:1:19914478:19919381:1 gene:ONIVA01G22530 transcript:ONIVA01G22530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKYRLGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYMIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSVAF >ONIVA01G22520.1 pep chromosome:AWHD00000000:1:19863986:19874551:-1 gene:ONIVA01G22520 transcript:ONIVA01G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLLLFLSPVVVLSSSAWTARGEDEAVAVASPEVNPLYYNCSLSGGRYGQNTTYEDNLKALAARLVGVARVSNFASHTVGSAPDAAYGIALCRGDYTGDECANGLRKAFENAVENRLFCDRFRDATIYYDQYMLRFSGEDFRANLTNAPAWVAWNMNNVTGAGGAAKFGGRRRVQYYRDIRTPTGQWFHVVRAPPRYARYATGEAGFGELDVGETSVGMVEQQCSSSPVMYALVQCTPDLLPADCRSCLAGIASQMPRWFSSNTSYRLGGRILGVRCNLRYEVDRFFLESNETIKIHMPKPKVIQESERQKKLAKLETEIIDEIGPLFSRYTLQQIKEATRDFSNEIGKGGFGHVYKGKLPSGTDVAVKRLAVSSSGQGFDQFMNEIKLMATLQHRNLVRLLGFCIQNEENILIYEYMENGSLDDVFSDPERKSRLLDWSTRLRVIDSIAQGLLYLHRLAKQNTCIVHRDIKANNILLDASMNAKISDFGIAKIFCPNLMESATTKGCGSFGYIAPEVLLTGTFSDKSDVYSLGVLILEIISGTKVNSACFFQQGRSDNLLTCAWQLWDAQRYKDLVDRSLISAGENIEDAVLIRYVQMALLCVQANPEHRPNIDKIVAMLSNTEALDVPKEPPAYYNVQVPTSSNHSGAVTPTVFYTSISS >ONIVA01G22510.1 pep chromosome:AWHD00000000:1:19843920:19846934:1 gene:ONIVA01G22510 transcript:ONIVA01G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGPMLADPTLMVRADQRGPPHMVLVDRYVALVDDIQEVIDEIGGVSFDVSLKAVLDALPECSDGQEWDEQEQSAIRAEITRHQAEVLEPAFAIFRDRKACRPAAPGTMRKEPMASRCDSGSYYHEGLDGIDPHFSHLTGGAIMNSLTIGVSWPPSHDLWSYPLFTFISSCHDCLLVLYFGNYRPGISSPGCYLVLNTWANSVAIVLPLRTTCVTTMSHCSIGTEVAILRHNDYYNYVLVELFPHQDSRTHLASNKATLFLWWSPSSGPLADGQWIQKEVLLPIPATSNQDKDDATQPPTYSFRANMVFAVSTTSLCWVDLRTGILVCDHIDKLNTGTDDDDDHLLFRFIPLPEECVMKPGLLCRKRPAEEHRTMISTSKGLPIGDTVLTTWILKFPLTNHWTWEKYSTPSLYVGDLLNGLPVLKESKDDGKTQHIANCPVCSIDKQNHLVTSLTITKYERTHENGQWGVMGLYEVSIDMDDNVVGKLAAESLNIEVAEEEVVDKDDEYWEWVFPDEVGEDEYQHGLDLSC >ONIVA01G22500.1 pep chromosome:AWHD00000000:1:19836854:19838014:1 gene:ONIVA01G22500 transcript:ONIVA01G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPGAGALSAGQRRHRSQSDSSVTGVSASPDGSAKGAAEVGLRRLLVIGGSSGCRSNAAQPGFSLGQNWRGGRRVVERRGPEPALRGGGSMKLAARGASVRCGGSYALPFVHEVVLSWWTAIFSQGVHRAGSGYAFGCRLAEFGHA >ONIVA01G22490.1 pep chromosome:AWHD00000000:1:19793984:19799434:-1 gene:ONIVA01G22490 transcript:ONIVA01G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGKEVEERGKVMGPLFPRLHVNDAAKGGGPRAPPRNKMALYEQFTVPSHRFSGGGGGGGALASARGSLAARSTSAASQSQVYGCDMPLFEPFNVPSNGPGHSVEKMNSNSVNRQINGSRKDSGMLSTQPKGIDKYGSGSRAECTPQQRVEKGIKSSSGRKLADDDEFIVPSVFSARFPQYSTKERAGVQEESTPLVALSPHKSPPAVSKSPTKCYNTVSKNLERINVSDVKSRGSQKDKETGPAQTLKNVEVEHFSSFEASKDMFGSKHAKVCPKTGTINDLDEPHLENSEHQATSRNGSSVKFQNPPVRRNTISAKPSPGIENTYGHCNLPQGGLKETGTKRKRLEAQDNAEKIDDLSDSSVECITAWEISPDEIVGAIGAKHFWKARRAIINQQRVFAAQVFELHKLVKVQKLIAASPHVLIEGDPCLGNALLASKKKMAEENLKAQPVLVATNDDVQPSLQEPELSKENSEENPPSPRDTAPVSGHHDQTAKIGASKSNLRATPVASDNRQNNWGVQLQPPQNQWLIPVMSPSEGLVYKPYSGPCPPAGSILAPFYANCTPLRLPSTAGDFMNSAYGVPIPHQPQHMGAPGTPTMPMNYFPPFSVPVMNPVALASAVEQGRNPSMPQPYGNFEQHSRMSCNMSHPSGIWRFHASRDSEAQASSASSPFDRLQCGGSGPVSAFPTASAQNTQPQPSSGSRDNQTNVIRVIPHNNSQTASESAARIFRSIQMERQQDDS >ONIVA01G22480.1 pep chromosome:AWHD00000000:1:19790541:19791506:1 gene:ONIVA01G22480 transcript:ONIVA01G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVEVTFLDGDDDSDDDDRWYYSPRREAVLCIGDDLALVDVTFPVDDMDTNPWFRGIAQLCRGHRLRAPLVVGLVALRGRAPPYNWCPWDASGVRGHPRDPRNPIRCVAICVGGSHALVYQPCCDRGSSKYTGGVLPFSEGGCKMARLRAFLRDRRVTVACVGAREAAEKLAEEWEVDVARPVELTDLFARAFGKVAGVDAVKPPKEPEPDRRWMTTSALLRAEAKAEAAAAKEDHYSYSKRGKRQLAEVVKGLSMERMAHVALGPEMRLAPWPEKAADADWGSCYLEKSDWKYAARDAYLCFEIAAVCLQKLGAPVGN >ONIVA01G22470.1 pep chromosome:AWHD00000000:1:19788838:19789080:1 gene:ONIVA01G22470 transcript:ONIVA01G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0FNA2] MVANGDAPARGSAAAAASLRRRRTTSSGTGGGGASTMLQFYTDEAAGRKMSPNSVLIMSIGFIAVVALLHVFGKLYRTST >ONIVA01G22460.1 pep chromosome:AWHD00000000:1:19785333:19787057:-1 gene:ONIVA01G22460 transcript:ONIVA01G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIMGKVGGYWFKQNAGKEINNIGDDINSISSSIGDGAKWMVNKIKGKMQKPLPEFLKEYDLPVGLFPQDATNYEFNEETKKLTVYISSACEVGYKDSSVLRFSTTVTGYLEKGKLSEVEGLKTKILIWTKVTAVRTEATKVHFAAGMNKARNRDAYEVVRDGVGIDKF >ONIVA01G22450.1 pep chromosome:AWHD00000000:1:19783825:19784316:-1 gene:ONIVA01G22450 transcript:ONIVA01G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPGNGHLPASTDLPDHSSSASDSEAEAEADYRPISGAATDSDTDTDPDPAPHHRLGSIGNGVSELDLDSGGDDDHCEGADGEDTVAEEEEGLGLGEEATRAFSEDERRRRAPLPAGAAARIVDAMRGVEFPGAPPPWAGSVPEDQWLDRLRSLRAGAGHPH >ONIVA01G22440.1 pep chromosome:AWHD00000000:1:19780394:19783002:-1 gene:ONIVA01G22440 transcript:ONIVA01G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYALYWWADLDNLTNLQPRYGCDDPTYPYYFKLRCENCGEVSAKATCVSLGEVVDLPNGRSTANLVQKCKLCGRDASIVMIPGQGTPLTNEQSQKGDRTCLMVFDCRGCEPIDFAFGNGWKAESLEGTSFDIDCSEGEFADYDEKGECPVGVGKLRSEFRVVKKQESRGKTKYV >ONIVA01G22430.1 pep chromosome:AWHD00000000:1:19772123:19777695:1 gene:ONIVA01G22430 transcript:ONIVA01G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FN98] MAPLPELGEPDKNFHNLWVDYDDEEAERLPPHPGFHKWTVRKPHGRKKARAWREFRCSIPGAGPNSFYCHQAQVRFTGRLRDGTQFVSSRENGIPLMFILGQEDVMHGFNFAVSSMLPGEKAVFTIPTELAVTKTGSPASIPSNIPSNQTLWFKIELINLFTVTDIFEDEGILKKTVKIGVPERRQIHWNDVDSVFVKYNACLKDGTSVSKSEGLKFRLADGMDAFFSPFSFFHSDLKTIYVSIYYRIRILIDLINTGFFCPVFAHAVKTMKEGEEAILTVKPKYAFGALGRPSLGGEAIVPPDATIYVYLQFVSWTRHARDDWIISKNDLSIGNFQTIYTQNQAQALVKGGIINGPDEAVMTSEVGEIASVVSSHHPSMQSVLSDQISRGLHFTLSIVLRIINAINLLTVLNAVCLLASSLATKAPYILHVQC >ONIVA01G22420.1 pep chromosome:AWHD00000000:1:19728342:19733605:1 gene:ONIVA01G22420 transcript:ONIVA01G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FN97] MVKASIKPYFIAIVVQLIYTGMFVISKAAFNHGMNTYIFIFYRQAVGSLILLLTALLQRKNAQPVMTLGVLIKLFFCALIGITLGVNLYHISLKFTSATVASAVDSSLPAITFFLAALLSVALCLAGVFTIAFFAGPSISPINHHRAFASYAGSKTVVPRGVWIKWTFLMVVANMCWSLWIIFQAAVQKECQDKMVVTVTQCLFSTVQSFVVAVVAERDFSRWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFMFTIFCSSFFLGEIVHLGSILGGILLVGSLYTMLWGKSKEGNETDDVTDDDIEKSTQIYPGEQEHTTTD >ONIVA01G22410.1 pep chromosome:AWHD00000000:1:19705822:19711758:-1 gene:ONIVA01G22410 transcript:ONIVA01G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFAVLVLATALHGVVGQEASTAAWRSAPADKADDTGIIYVSDGSYVDTGDNRRISDEEEGWQRRYTTLRSFPSGVRNCYALPTVAGAKYLVRVVSVYGNYDGKNSSSAVQFDMHLGANHWVTVNNPTGAFNEAMFVAWASWAPVCLVNTGSGTPFVNTVELRMLSSELYPTVMANQSMKLVERVNMGSNISILRYPYDRYDRRWWLMRSDPTWKNLTTASTIKESSDYAVPLPIIETAIEVISNKTAIEQASVGTVSNDAKLIITRQYRAPMEYKVFMHFADFQNTEQRQFNVSINEQESFLVRPSYLVANTLHILCKANGGVCTMTLTANSDSMLGPMLNAFEVYTIISRDNPTTFPRDFDTIMAIKIEYGIIKNWMGDPCFPVQFAWDGVKCSNVSGNNTARIISLDLSHSNSQGKISSNFTLLTALEYLNLSCNQLNGPIPESLHRNNTGSFIFSYDSDGDMCKKIVNPSQARNKSKRAVILTIVVVLVPIMAIAALILVYLIWRQKRKPNNDPPREIKQENASTSRNDDQDVLKKVENRPFTYNELEKLTNNFEQFIGQGGFGPVYYGCLEDGTEVAVKMHSDSSSHGLAEFFTEVKSLTKVRHRNLVCLVGYCCEKDHLALVYEYMAQGSLYDHLRGNNGVSEDLNWRTRVRVAVEAAQGCNLPIIHRDVKTSNILLSQNLQAKIADFGLSKSYLSDTQTHISITPAGSVGYMDPELTENSDVYSFGVVLLEIATGESPIIPELGHIVHRVKNKIATGNISLVADARLRGAYEVSSMWKVVDTALLCTTDIGAQRPTMATVVVLLKESLALEDTRADSAFS >ONIVA01G22400.1 pep chromosome:AWHD00000000:1:19693394:19693921:1 gene:ONIVA01G22400 transcript:ONIVA01G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMLQRRNLELSGEIDGRQRRHFMPRRRTTAMVDGATSTGLHVITNIEKGAMSMWYTRSRVSCELWFAGVAGDFIEQLRVPTGGREDGGVQGGWKQSLMGEVRVVEEESVVYLVGKLDGDVTSTYSRSRPHCTAPCPGDIEQNRWDNTDGASSGLEWLPEVD >ONIVA01G22390.1 pep chromosome:AWHD00000000:1:19692891:19693300:1 gene:ONIVA01G22390 transcript:ONIVA01G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVQHHPRLLLLQAIDQWLTGWTGIDDGGARSESDKTVVDLPPRCTILGEDDETKLVAQQLIRIRLEAVGGRKTLLLGHGSSS >ONIVA01G22380.1 pep chromosome:AWHD00000000:1:19668205:19678259:-1 gene:ONIVA01G22380 transcript:ONIVA01G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLCLIAVFLAGTTIRGAVGQEDGFLSIDCGLDAADSGYKDTKTGIVYVSDGPYVDGGENHRVAADQESGFGRPEKTVRSFPSGERNCYALPTVAGAKYLVRVVTVYGNYDGKNSSSSTLQFDLYLGVNYWDTVHADASDVYEALFVARASWAPVCLVKTGSGVPFVSRVDLRLLGSELYPGLTANQSMNLYIRHNMGSNIYIIKYRDDRYDRFWWQGNSNPLWKNISTSSAVAVNSNFAEPLAVMQTAVEGIRTNTTVEISWESKTASEFIVAVHFADFQNSQLRQFNISISNRLGRDNYSPPYLATAGLMGWTGWSSDGKYDISLEATAASKLPPILNAVEAYIPIDHANPMTLPKDFDIIMSIKLEYRIKKNWTGDPCFPAAWDGVKCSNPSANTSRIISLDLSNSNLHGTISSNFTLFTALEYFFDSDRDTCNKSIHDVNPSQQKSKANRSAILAMSVVVPVMAIVVLVLACLIWRQKRKNNISADVPPRESEPDIVPASRKNYGDTLQTVENRRFTYKDLEKITNKFSQFIGQGGFGLVYYGRLEDDTEVAVKMRSELSSHGLDEFLAEVQSLTKVHHRNLVSFVGYCWEKDHLALVYEYMSQGTLYDHLRGNNGVRETLSWRTRVRVVVEAAQGIDYLHKGCSPPIIHRDVKTQNILLGQNLQAKIADFGLCKTYLSDTQTHISVAPAGSAGYMDPEYYHTGRLTESSDIYNFGVVLLEIVTGESPMLPGLGHIVQRVKKKIDAGNISLVADARLRGAYDVSSMWKVVDIALLCTADIGAQRPTMAAVVVQLKESLALEEARADSGFKSTGATSDTAISMSEFGPLAR >ONIVA01G22380.2 pep chromosome:AWHD00000000:1:19668205:19678259:-1 gene:ONIVA01G22380 transcript:ONIVA01G22380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLCLIAVFLAGTTIRGAVGQEDGFLSIDCGLDAADSGYKDTKTGIVYVSDGPYVDGGENHRVAADQESGFGRPEKTVRSFPSGERNCYALPTVAGAKYLVRVVTVYGNYDGKNSSSSTLQFDLYLGVNYWDTVHADASDVYEALFVARASWAPVCLVKTGSGVPFVSRVDLRLLGSELYPGLTANQSMNLYIRHNMGSNIYIIKYRDDRYDRFWWQGNSNPLWKNISTSSAVAVNSNFAEPLAVMQTAVEGIRTNTTVEISWESKTASEFIVAVHFADFQNSQLRQFNISISNRLGRDNYSPPYLATAGLMGWTGWSSDGKYDISLEATAASKLPPILNAVEAYIPIDHANPMTLPKDFDIIMSIKLEYRIKKNWTGDPCFPAAWDGVKCSNPSANTSRIISLDLSNSNLHGTISSNFTLFTALEYFFDSDRDTCNKSIHDVNPSQQKSKANRSAILAMSVVVPVMAIVVLVLACLIWRQKRKNNISADVPPRESEPDIVPASRKNYGDTLQTVENRRFTYKDLEKITNKFSQFIGQGGFGLVYYGRLEDDTEVAVKMRSELSSHGLDEFLAEVQSLTKVHHRNLVSFVGYCWEKDHLALVYEYYHTGRLTESSDIYNFGVVLLEIVTGESPMLPGLGHIVQRVKKKIDAGNISLVADARLRGAYDVSSMWKVVDIALLCTADIGAQRPTMAAVVVQLKESLALEEARADSGFKSTGATSDTAISMSEFGPLAR >ONIVA01G22370.1 pep chromosome:AWHD00000000:1:19640860:19641711:-1 gene:ONIVA01G22370 transcript:ONIVA01G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQIPFFVIERLYEAVAGVQGTRQSLLNLLAVMYIGNEEPIKWPSGDWDVHHLLHLYYECFVPNRSTPPPRGRRSARSGRQAVTTTRAPRAIPCATKMRHAGVKFVTRRSRPAPADAGETTTYDVVFDDRGGVMEIPAILIDDARRPLLANLIAFEQSQGGEVARLLSSYLIVTAHDVELLRRRGVVENLLDNDEEAARFFNRLGNIDPVDYDTQVFAGLYENVTCYCGMWRNRHMAGLRRNYFASTWLAISVVVAAFVVVLAATQTYFTVFPSNK >ONIVA01G22360.1 pep chromosome:AWHD00000000:1:19631708:19641920:1 gene:ONIVA01G22360 transcript:ONIVA01G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FN89] MQRANRRRQRSRSGRGGRIPGGERAVDAYSRLQVHFTGKRLDGTLFTSTIEDGVPLTFILGQENVMQGFSMAVSSMQAGEKAVFTIPPELAGTKSRCPANIPANLPPNQALQFDVELISLITITDILDNEGILKKDYQAWMNYNACLEDGMSVSMSEGVEFNLAEGFFCPAFARAVETMTEGEEVVPIVKPEYGFGERGRPSIGDEAAVPPDATLYVYLQLMSWKTVRHIGQNGTILKKTLRRGNLEGQHTENQAVVGVRLIGKLHDGAVFDQRGHQGDEPFEFVVDEEQVSDGLEEAVLTMWEGEVSLFTIPPQCLQDQHVVVPPGSSVTYEIELLSCKCDKHPWLMSQAESVEAAVEKEKEECATQLQRFEQAYHRYREVLEYDPGNVKAQEMSGQAFPEASLVIDTAAMHRGLEPFRPKEQHSIGWTTTTGAISSMRLKQGHKYRGGMIFVPPIARPGANVPTSRSPATQATRVVNTNRVTTNIENQINSGTTRKSLGSCFRSAAGAPLQPDLRHRPGSAAGAPLQPNLRHRPGSAAVAPLSLSEGATAAAAEVVTPETKEVRAKASVDEAVTLATVVSKDVSFREESNFLDNLKDGERKVLAELRAKVEEAIVEGKLFDDGKVEAKKKATTIPTTTTARHSRRSSLTCYLDGADREGHPVIEVSNGNAGPGRDSASLVGWKHGEVGLRSGEHDDEGGDDDGDGQPRAGKIVAAEPRHVPVAPHPAVARHVLVQPREHLRVVVHRVDVAQAVEEPRRLLVVVQQVLHHAAPPEQLDIVRRHDELAHQRHVAAQEPGHLAALALLERDQMEKVVDVPVAGRPLDWLLIADIHDGEKVEEGLPCALHAGDGFIEPLDDEEGDLVLHQEQVGGDQREALADIAERVSRLLGVPLEEELKDTRRPDIEGIGVRWYEDLRDTTSSRQKTKIILIQAP >ONIVA01G22360.2 pep chromosome:AWHD00000000:1:19631708:19641920:1 gene:ONIVA01G22360 transcript:ONIVA01G22360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FN89] MQRANRRRQRSRSGRGGRIPGGERAVDAYSRLQVHFTGKRLDGTLFTSTIEDGVPLTFILGQGTKSRCPANIPANLPPNQALQFDVELISLITITDILDNEGILKKDYQAWMNYNACLEDGMSVSMSEGVEFNLAEGFFCPAFARAVETMTEGEEVVPIVKPEYGFGERGRPSIGDEAAVPPDATLYVYLQLMSWKTVRHIGQNGTILKKTLRRGNLEGQHTENQAVVGVRLIGKLHDGAVFDQRGHQGDEPFEFVVDEEQVSDGLEEAVLTMWEGEVSLFTIPPQCLQDQHVVVPPGSSVTYEIELLSCKCDKHPWLMSQAESVEAAVEKEKEECATQLQRFEQAYHRYREVLEYDPGNVKAQEMSGQAFPEASLVIDTAAMHRGLEPFRPKEQHSIGWTTTTGAISSMRLKQGHKYRGGMIFVPPIARPGANVPTSRSPATQATRVVNTNRVTTNIENQINSGTTRKSLGSCFRSAAGAPLQPDLRHRPGSAAGAPLQPNLRHRPGSAAVAPLSLSEGATAAAAEVVTPETKEVRAKASVDEAVTLATVVSKDVSFREESNFLDNLKDGERKVLAELRAKVEEAIVEGKLFDDGKVEAKKKATTIPTTTTARHSRRSSLTCYLDGADREGHPVIEVSNGNAGPGRDSASLVGWKHGEVGLRSGEHDDEGGDDDGDGQPRAGKIVAAEPRHVPVAPHPAVARHVLVQPREHLRVVVHRVDVAQAVEEPRRLLVVVQQVLHHAAPPEQLDIVRRHDELAHQRHVAAQEPGHLAALALLERDQMEKVVDVPVAGRPLDWLLIADIHDGEKVEEGLPCALHAGDGFIEPLDDEEGDLVLHQEQVGGDQREALADIAERVSRLLGVPLEEELKDTRRPDIEGIGVRWYEDLRDTTSSRQKTKIILIQAP >ONIVA01G22350.1 pep chromosome:AWHD00000000:1:19628687:19629433:1 gene:ONIVA01G22350 transcript:ONIVA01G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLRCRRRRRKKDFGAMKLSDLGKPDEDLFVWRGDTSRLESFGSEHGRGRGRGSPGFAKWTVQEAPGERFDWLEMKGFYCCEAQEEKSEEEE >ONIVA01G22340.1 pep chromosome:AWHD00000000:1:19626767:19628064:-1 gene:ONIVA01G22340 transcript:ONIVA01G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASLEASVEATLDAISGDQWRARLQPFTIFRVPAYVRDGNRTAYEPRLVSIGPYHHGGAALRAMEDHKWRYLHDLLSRRAGDGAAAVVTASALVAEMRTLEPRARACYSERPVGMDSSDDFVRMLLLDGFFILEFFFKWHTKEADSLCDVGWGLTLVAADLLLMENQIPFFVLERLYEAVAGMQPDKESLFNLLIEYISDEEPIRRPSGDWDVHHLLHLYYECFVPKRPRPRLPESARKAPAAPTRTILRASELREAGVTLVRRSAARDREIDDMKRPLLVNLMAFEQTQAGEEPRLLTSYVALMGQLIVTARDVELLRRRGVLESLLADDEEAARFFSRLDEGAAMDFSRQAFAGLYEDVRGYCGSWWHRNRAALRRDYFGSPWSAISVVVAAIVVFLAATQTYFTVFPAK >ONIVA01G22330.1 pep chromosome:AWHD00000000:1:19616386:19622689:-1 gene:ONIVA01G22330 transcript:ONIVA01G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSELWLLWAVFSASLVFLYLTIRRRSGAGAGGKPPLPPGPTPLPLIGNLLDLRGGVIHDKLAALARVYGPVMMIKLGLNDAVIISSRDAAREAFTRYDRHLAARAIPDTFRANGFHERSAVFLPSSDERWKALRGIQGTHIFTPRGLAAVRPVRERKVRDIIAYFRDHAGEELVIRQAIHTGVLNLVSSSFFSMDIAGMGSETARELREHVDEIMTVFAQPNVSDYFPFLRRLDLQGLRRSTKRRFDRIFSILDDIVERRLVDRGERGGEGGASSNSSKSKHQYDGGDFLDALLELMVTGKMERDDVTAMLFEAFVAGGDTVAFTLEWVMADLLRNPPVMAKLRAELDDVLGGKDQSAIEEHDAARLPYLQAVLKESMRLHSVGPLLHHFAAEDGVVVGGYAVPRGATVLFNTRAIMRDPAAWERPEEFAPERFLAREGKAPVDFRGKEADFIPFGSGRRLCPGIPLAERVMPYILALMLREFEWRLPDGVSPEELDVSEKFMSVNVLAVPLKAVPVKRTAPPCPRTIPRATELLEAGVTFVLGEAAAPEYRFGVTFDRRRGVMEIPAMEIDHMKRPLLTRCGEVPGLLTSYVALMSRLIVTARDVALLRRHRILESLLADDEEAARFFARLGDCGAINYKEQAFAGLYEDVRRYCDSPWHRYRAVLYRDYFASPWSVISLVVAALVVFLTAAQTYFTVFPAKN >ONIVA01G22320.1 pep chromosome:AWHD00000000:1:19605323:19610760:1 gene:ONIVA01G22320 transcript:ONIVA01G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAPASLALFRWTTRPVTLQPIAVSRPRERSGDDCRLQRARSSFLRRVLSSSLRAAALASPAPKGVNVPARPRSAGLLPFAPLCPRHHHRPTARGRPRGAASPPALVRHPPPVRRLAGPPSKPDPHFPIPSATFPHPTPPHAVAVPPLPASSAHLSLASVSQLPPQSPRLPVVRRRPRRRATADY >ONIVA01G22320.2 pep chromosome:AWHD00000000:1:19605385:19610760:1 gene:ONIVA01G22320 transcript:ONIVA01G22320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTSEMGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDSGVISPTLGFNIKTIKYHKNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPSEIAKVLNLEAMDGSRHWQIVGCSAHTGEGLLQGFDWLVQDIASRIYVLE >ONIVA01G22320.3 pep chromosome:AWHD00000000:1:19605832:19610760:1 gene:ONIVA01G22320 transcript:ONIVA01G22320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTSEMGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDSGVISPTLGFNIKTIKYHKNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPSEIAKVLNLEAMDGSRHWQIVGCSAHTGEGLLQGFDWLVQDIASRIYVLE >ONIVA01G22320.4 pep chromosome:AWHD00000000:1:19605323:19606849:1 gene:ONIVA01G22320 transcript:ONIVA01G22320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAPASLALFRWTTRPVTLQPIAVSRPRERSGDDCRLQRARSSFLRRVLSSSLRAAALASPAPKGVNVPARPRSAGLLPFAPLCPRHHHRPTARGRPRGAASPPALVRHPPPVRRLAGPPSKPDPHFPIPSATFPHPTPPHAVAVPPLPASSAHLSLASVSQLPPQSPRLPVVRRRPRRRATAVICPLGCSIHEAAEVRGTAATRHYGDHAATGCLLPITGGEARQRRGVP >ONIVA01G22320.5 pep chromosome:AWHD00000000:1:19605323:19606176:1 gene:ONIVA01G22320 transcript:ONIVA01G22320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAPASLALFRWTTRPVTLQPIAVSRPRERSGDDCRLQRARSSFLRRVLSSSLRAAALASPAPKGVNVPARPRSAGLLPFAPLCPRHHHRPTARGRPRGAASPPALVRHPPPVRRLAGPPSKPDPHFPIPSATFPHPTPPHAVAVPPLPASSAHLSLASVSQLPPQSPRLPVVRRRPRRRATAVICPLGCSIHEAAEVRGTAATRHYGDHAATGWSPRGRRRGAIGRWHREPMTPDQPA >ONIVA01G22310.1 pep chromosome:AWHD00000000:1:19601244:19602679:1 gene:ONIVA01G22310 transcript:ONIVA01G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEHTIDMIRDRKRTMLETEDIGVSLLQDLHQQRGRLIHAHDILHNVDDNIGKSRRIIGAMVRRMDRNKWIIGFIIALLVLAILVILKFCGIIIVASPPDWTRNTRSTVEEGGRPPFRRTAGGHLISASSSLPYMYWTRRFRRGFLSPRTELVGFLGGALEELKDEAAVQ >ONIVA01G22300.1 pep chromosome:AWHD00000000:1:19584243:19587694:1 gene:ONIVA01G22300 transcript:ONIVA01G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFEGYERQYCEISASLARKCTAASALQGEKLKQKASEIKSGIDGAEALIKKMDLEARNQQPSVRAGLLAKLREYKSDLNNLKGALKRVTTGNAQQGSREELLESGMAETLGVSADQKSRLLRITEKQNKTTDRIRDSHRTMLETEDLGVSLLQDLHQQRERLIHAHGTLDNVDDNIGKSRRIMGAMVRRMDRNKWIIGFIIALLVLVILVILYFKFVH >ONIVA01G22290.1 pep chromosome:AWHD00000000:1:19578027:19582818:-1 gene:ONIVA01G22290 transcript:ONIVA01G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASSSRVLLAAVAVLAAALAGAGAALDDPAGLLRRAKEAEFAGWMVGLRRRIHENPELGYEEFSTSELVRRELDALGIPYRHPFAVTGVVATVGTGGPPFVALRADMDALPMQESVEWEHKSKVRGKMHGCGHDAHVAMLLGSARILQEHRDELKGTVVLVFQPAEEGGGGAKKMIDDGAVENIEAIFGVHVADVVPIGVVASRPGPVMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVSQASVQRCNAVVDFLDKDHPFFPPTINSAGLHDFFVKVASEMVGPKNVRDKQPLMGAEDFAFYADAIPATYYYFLGMYNETRGPQAPHHSPYFTINEDALPYGAALQASLAARYLLEHQPPTTGKAKAHDEL >ONIVA01G22280.1 pep chromosome:AWHD00000000:1:19572810:19572995:1 gene:ONIVA01G22280 transcript:ONIVA01G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSAAALIAFAVVAAAALATVASAADAPAPAPTSGAVAAVSAPLSVCCVAGLLLAFLRH >ONIVA01G22270.1 pep chromosome:AWHD00000000:1:19566400:19566904:1 gene:ONIVA01G22270 transcript:ONIVA01G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSKALAILAVLAVAAISAVSAADAPAPSPTSAAGAAAMPLAAALVASAAAFLFAAILSSECSSSATDY >ONIVA01G22260.1 pep chromosome:AWHD00000000:1:19555474:19560398:1 gene:ONIVA01G22260 transcript:ONIVA01G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARLRLVLPPLAALLLFAHLAVAVARPRWEEEGSNLRLPSERGVAAAMADDAAEAAEGTRWAVLIAGSNGYYNYRHQADVCHAYQIMKRGGLKDENIIVFMYDDIAHNPENPRPGVIINHPQGGDVYAGVPKDYTGKEVNVKNLFAVLLGNKTAVKGGSGKVLDSGPNDHIFIFYSDHGGPGVLGMPTYPYLYGDDLVDVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPNDINVYATTASNADESSWGTYCPGEYPSPPPEYDTCLGDLYSVAWMEDSDVHNLRTESLKQQYNLVKERTSVQHTYYSGSHVMEYGSLELNAHHVFMYMGSNPANDNATFVEDNSLPSFSRAVNQRDADLVYFWQKYRKLAESSPEKNEARKQLLEMMAHRSHVDNSVELIGNLLFGSEEGPRVLKAVRATGEPLVDDWSCLKSMVRAFEAQCGSLAQYGMKHTRSFANICNAGISAEAMAKVAAQACTSIPSNPWSSTHRGFSA >ONIVA01G22250.1 pep chromosome:AWHD00000000:1:19538761:19546796:1 gene:ONIVA01G22250 transcript:ONIVA01G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10910) TAIR;Acc:AT1G10910] MEAAASLPLPASRFLSPPPHPTPAAAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYGAIRENPTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGFQMDSVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSGKKCVLSMENHGIGFLLMAYNDVQYLVPNNTLSVYYGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLTRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHHSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >ONIVA01G22250.2 pep chromosome:AWHD00000000:1:19538761:19546796:1 gene:ONIVA01G22250 transcript:ONIVA01G22250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10910) TAIR;Acc:AT1G10910] MEAAASLPLPASRFLSPPPHPTPAAAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYGAIRENPTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGFQMDSVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSGKKCVLSMENHGIGFLLMAYNDVQYLVPNNTLSVYYGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLTRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHHSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >ONIVA01G22250.3 pep chromosome:AWHD00000000:1:19538761:19546796:1 gene:ONIVA01G22250 transcript:ONIVA01G22250.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10910) TAIR;Acc:AT1G10910] MEAAASLPLPASRFLSPPPHPTPAAAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYGAIRENPTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGFQMDSVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSGKKCVLSMENHGIGFLLMAYNDVQYLVPNNTLSVYYGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLTRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHHSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >ONIVA01G22250.4 pep chromosome:AWHD00000000:1:19538761:19546796:1 gene:ONIVA01G22250 transcript:ONIVA01G22250.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10910) TAIR;Acc:AT1G10910] MEAAASLPLPASRFLSPPPHPTPAAAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFGWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYGAIRENPTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGFQMDSVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSGKKCVLSMENHGIGFLLMAYNDVQYLVPNNTLSVYYGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLTRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCILVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHHSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >ONIVA01G22240.1 pep chromosome:AWHD00000000:1:19528373:19531649:1 gene:ONIVA01G22240 transcript:ONIVA01G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNISSKRRKRQLHKNHIPNQKPRTSNPGSERMTTTTTAATRRWWKRRGGGGGDGAEDGDDLIPMDIQDQEEMVRSLEQKQAQQSRRWRRVFAGFLLGYAAFLVYSGFHHAAAPWELRYHAYFMEDLSSPMVVVADWIAALACLFSIKGLLHSWKKWMWYSFYVSILVALFWTYYLLRLPRIRWDVAWLPFGPLIASALSLYVDHSMLESMQDINTLRSYMYNYKAL >ONIVA01G22230.1 pep chromosome:AWHD00000000:1:19524861:19528284:1 gene:ONIVA01G22230 transcript:ONIVA01G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Phosphorylated adapter RNA export protein, RNA-binding domain (InterPro:IPR019385); Has 110 Blast hits to 110 proteins in 51 species: Archae - 0; Bacteria - 3; Metazoa - 56; Fungi - 0; Plants - 36; Viruses - 0; Other Euka /.../ - 15 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G20430) TAIR;Acc:AT3G20430] MEGGDSVLDAVLDEEALDYDGDDVEMADADDAVEEAQAQEDPVAITAPTAAATGGGGGGGGGEGDGGVGQAGKNKNKKKKKRKKSARTKNKGKPDGPPKIADINRFVNETCKRLKEKKSYLVWNAVGCLGVSAISDLVREVEAIQKCGGQIVADGSRFRTGGGILWNILKSREPKAYKEIMAKGRELEKQFRYKQGRPQTSRNEDASSQGSALIDEDIEPHGEKEVSDDPERLIDAEKSPHVPDNNKAERKPLADRIRVPVAYDDLFEEGEIHEGDAP >ONIVA01G22220.1 pep chromosome:AWHD00000000:1:19519250:19523464:1 gene:ONIVA01G22220 transcript:ONIVA01G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:seryl-tRNA synthetase / serine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT5G27470) TAIR;Acc:AT5G27470] MLDINLFRTEKGGDPELIRRSQRNRSASVELVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKEDASALIQSTEEIKKRLAAKETEVQEAKGTLDAKLVTIGNIVHESVPVSDDEANNLIVRTWGERRLEGNLKNHVDLCKMLDIVALEKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQFDEELYKAIIIVKQSGNDTFSLIKCNFSGMRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKNSEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIDFLPFKLDSKQVARLQIK >ONIVA01G22210.1 pep chromosome:AWHD00000000:1:19502589:19516589:1 gene:ONIVA01G22210 transcript:ONIVA01G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT5G56730) TAIR;Acc:AT5G56730] MDLLPPATEPPAGGGGGGGGAPAPGRRLRRGVGFRSLKMVSVSMDEPLPAEPVGVAYGRLANGLAYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVRHFYHKWYHLSNMAVFAVGDFPDTQAVVEMIKEHFGQKAPPSCPPPVIPDFPVPSHVEPRFSCFVESEAAGSAVVVSCKMPADRIKTVKDYRDSLAESMFHCALNQRLFKISRRNDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARVRLHGFSEREISIARALMMSDIESAYLERDQMQSTTLRDEFLQHFLHEDPVVGIEYEAQLQKTLLPHISSAEVVKFAANFSTISSCVIKIVEPHAHASLEDLKAVVLKVNTLEQDNAIPPWDEEQIPEEIVSQSPEPGSILDKVEHPGIGATEMILSNGMRICYKCTDFLDDQVVFTGFAYGGLSELSEDEYTSCSMGSTIAGEIGIFGYRPSVLMDMLAGKRAEVGTKVGAYMRSFSGDCSPSDLETALQLVYQLFTTKVEPREEEVKIVMQMAEEAIYAQERDPYTAFANRAREINYGNSYFFKPIRISDLKKVDPIRACEYFNNCFKDPSAFTVVIVGNIDPSISVPLILQYLGGIPNVGNAVQPLTRDDLKGLPFKFPETIIREVVRSPMVEAQCFVQLGFPVVLKSTTMTEDIHYVGFLSKLLETKIMQVLRFKYGQVYSVNVGVFLGGNKPSRSGDIRGDISVNFSCDPDMSSKLVDFVLEEISFLQNEGPSEEDVLTILEIEQRAHENGLQIQDEGRLKVREALTPQSMQMALQRVVPFPCRKQFTVVILMPKSSCWNSFKALLTWSPGGFSRDAKVVALNESVAGSVKSVFKPWEQRLDTSGGFLESNEGDPELLVFIPFTSDVKIKSISFVGGADGTSPSRMRALVSELYLFCYLTHLFVPLNRMFINREGIDFNDAQNMQPVQEWELAENLQGVLEYQTRYSRFQGVANLTLHFPENFGGDTTKIYYIGLRGEATQNKRDVVATIVYEIMPNPSDHKTKSETGGGFSHVE >ONIVA01G22200.1 pep chromosome:AWHD00000000:1:19498913:19499128:-1 gene:ONIVA01G22200 transcript:ONIVA01G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHIACAPPGPPSDNSAIFAASLHAVPFPHPNYTRTTSTPTTTHPGRVSSRRRRREARELELELGSGSFG >ONIVA01G22190.1 pep chromosome:AWHD00000000:1:19495610:19498855:-1 gene:ONIVA01G22190 transcript:ONIVA01G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3531) [Source:Projected from Arabidopsis thaliana (AT4G29400) TAIR;Acc:AT4G29400] MLPALLPGCLAAPPPPPPLVTTSSTASPSAHLRTLRLPSGLAVSRRAGFGEAARAAATERGAIEEEEEEEGFPEWGNGDEDEYDHDPEIGDIMGEYFDDPNKAQSRMEERIKKKRHKIVQAKTGSPNPMKVVFNKFDFSNSYIWFEFYHALLPKDVTLICDALRSWHIVGRLGGCNSMNMQLSQLPLDCQRPTYDALEGANTTPTSFYNIGDLEIQDNIARVWVDIGIHEPLLLDILLNALTTINSDHVGIKQVQFGGSEFQNWSEDLKTEEAGYSVHKI >ONIVA01G22180.1 pep chromosome:AWHD00000000:1:19493925:19494314:1 gene:ONIVA01G22180 transcript:ONIVA01G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGKKEMAPSKEDGAAAKGGARSYARCFSGLEFSVGPGSLRDADAGKLKSQIKKWAKAVVAYARQISFGSPRAAARSSSSRRAMSSTRGRDGHAEGGGGGGAATARSATFPSKSDLGEANNDEIVPAT >ONIVA01G22170.1 pep chromosome:AWHD00000000:1:19487250:19489702:-1 gene:ONIVA01G22170 transcript:ONIVA01G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAENRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPATNASKPATVQMRGQPVAQQSSCCS >ONIVA01G22160.1 pep chromosome:AWHD00000000:1:19482237:19486687:1 gene:ONIVA01G22160 transcript:ONIVA01G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWAQPRKRPNQHTRTPPNPHPSTFFHLHLLLLLLCPFPLPPPPLPPSSTQARRPPASAEEEQQPPQALAVGVGEEGESRAVSRFIPGGCVVLSSWTGKRGRSWIDQWRRWEEGGWGERGEEAAGMGSCGAGAADSEWPDGVTGRDAEVGALVWVRRRNGSWWPGQILGADELPENCVVPPRSSGTPIKLLGRPDGSIDWYNLEKSKRVKPFRCGEYEECIEKAKAQARQHKRAYNEGKYVRREDAIMHALELERARFPNEDDTDEHDTSGLLFESQNSYCAKSKNINELNKKSSRTARDLYDIEEESAKGLSQALTLYKQPQNVSSSSTRYASSSRKKHKASNDFEDDTVQGSQRMRDLTEIGSKKHSSYVLNGHRDLPLLESASFGYSLSGTNGIKGDQQSHSATKRKRSNIGQAYENSRKKDRRRPLSKLCKDSAVAVPAYSHWDPSGHCSAQYSGGKMSNAFEPSRGKFGFPLDVNNYSYSSGTSSVETLLDASCANHDGVAKVIPVKEAEVSCMPGFLNNDCSDGDEYFDTPLVMEEDALEEDHLHKYESCASVKGQISKPRKQTAEYTELVIPSPHGHRSSKKKSMSFVSQRTRENHKDRTLLAQHGRTVKGQALDTDAVEVDARVSSAFCKPPALKNNMQLAIVPADGCASTLEQQYYGSGPEHDESSETISNRSQSEKGAPSSPYYEPLQVIPPEQKPGLEPSSPHVVKPIKNARTDYKVYDVELAAQGSYKGHRVPLVSLMSKWNGKPIVGYPITVEVLKDSSSAASRNDLRPATSSLNNLLKRSEPAEPRQARSSHSSRPASRPKPSGKKKISEHDTDKSRRPHTKKSATSPRKMRRLSSFASSRRDGASRKPVVGKISGPTIACIPLRLVFSRINEALSFPVRSENPT >ONIVA01G22150.1 pep chromosome:AWHD00000000:1:19478481:19479989:-1 gene:ONIVA01G22150 transcript:ONIVA01G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLVFSIRLRGGSGRGGDVPTCGKGEAPRLTLFLRKRRNTGNEEVVPVLCTQPPVYTVDDLLSHHAGPVELVGATRTPLSSVRTGLMLESAEPLERCRIWAYAARSGCPPAALATGPRRGGRRRRVGRRQDIGCPRSGGKEGGREERDEKGERKREGGAGATGTAFAGGGNVSGTRPGSPAHSGSRLRVAQGERHGSHGRRKSPCDLKYSR >ONIVA01G22140.1 pep chromosome:AWHD00000000:1:19467146:19470145:-1 gene:ONIVA01G22140 transcript:ONIVA01G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme family protein [Source:Projected from Arabidopsis thaliana (AT1G51730) TAIR;Acc:AT1G51730] MADYEQEQEMEVEALQAILMDDIKEIDPSESGLSTTARCFQIVLSPQDDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGMKSEDLASLKEKLEQEATENLGMAMVYTLVTSAQDWLSEKYGQNAGDGESEENEAEEEEVIVPHGEAVTVESFLAWRDRFEAELALQRAKLMPESALTAPKEKKLSGRQYFESGRHTMKGASTTADEEEEEEEDIDFDEDFDDDEEDMLEHYLAEQSGKSAA >ONIVA01G22130.1 pep chromosome:AWHD00000000:1:19460685:19467773:1 gene:ONIVA01G22130 transcript:ONIVA01G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G51720) TAIR;Acc:AT1G51720] MDELNLLRQHQHQHQHHLVVRGLGEEIDLEIGPGDDPSFPGAALVGVTPGAHDPADDHKSLLIPCSQPAAEGQPQPTPPQVEEHDGLLRLPGQTKKKKKVVKKWREEWADTYKWAYVAVHDNTSRIFCTVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQTASKENAQPPEIERPVYVKALSKTAASILESILKRDPHEAEFIQSIQEVVHSLEPVLVKNSQHVQILERLLEPERCFIFRVPWVDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMTLSVAKFLAFEQTLKNALSQYKLGGAAGGSDFDPKGKSESEIMRFCQSFMDELYRYLGPDQDFPAEDVGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARVVLADMNKELKGLRCVISGSGKIAMHVLEKLLSCEAIPVTVSDSKGYLLDTEGFDYMKYSVLRNIKAQQRSLKEYLKSYPNAKYIDDAKPWSEKCDVAFPCASQNEIDQAEALAIINSGCRVLIECSNMPCTAQAVDILRTAKVVVAPAKATAAGGVAVGELELNPEFSLMQWSVEDFENKIQDAVKQTYDRSIKAAQDYGIMKENSESLVHGANICAFLNIAQAMTDQGCV >ONIVA01G22120.1 pep chromosome:AWHD00000000:1:19456885:19457643:-1 gene:ONIVA01G22120 transcript:ONIVA01G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDGAGGGGEQLTVLGAWGSPFLVRVRLALNLKGLSYEYVEVDLAGKSDLLLAANPVHAKVPVLLHAGRPVCESMLIVEYLDEAFPSSSSSAAAATTILPAADDPYARAVARFWAAFVDGELLSGWMGIYDGGKTGEERAAALARTRAALDALEGALRERAGGRWFGGERVGLVDVSLGGFVPAMLASEPTTGVRIVDADRTPLLAAWVERFCALEEAKAAMPPLERLIAAGKKRYADLQAAAAAAASE >ONIVA01G22110.1 pep chromosome:AWHD00000000:1:19450996:19451783:-1 gene:ONIVA01G22110 transcript:ONIVA01G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAVALAPLGVHTRTRSLALQKRQGGEYLELRGRRLEKLPPPPPPPPRRRASATAPDVPAAESAEAEGSFGGGGVLELEAMERVQGLSTVQSCLADQAPGITGGVLDPLAAKHATGTVADRRPPLHKDKPTGGTKKPHTSSGGGRMCGSVNSCIEYRHQVSCHRADARFTAPAGPFGDILLTCRPACQGGEK >ONIVA01G22100.1 pep chromosome:AWHD00000000:1:19417834:19422668:-1 gene:ONIVA01G22100 transcript:ONIVA01G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLPLLLVLYKTTHHHENLLVAASSRDSTLASRARTAPSIDSHIHPSAYLSLAILAARCAHRGGIEFDLVVMEAAAAMEAGRKLAARHPHGRSRTAHNMSSSSLRKKSDAALVRKVPVAPLRPLLANLQEVFLATKLAVLFPAVPLAIAAQCFRFDQVWVFALSLLGLIPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALLKGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGARQPYDRNQSDVSTALLFLAVLCHSAPLLLRYAVAAGEHSVSATSAAASLDLSRACSFVMLASYVAYLFFQLKTHRQLFEPQEVDGGDAGDDDEEPALGFASALFWLALMTAVISVLSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVLVAWIMGVQMDLDFKLLETGSLFMAVLVTAFTLQDGTSHYLKGILLLLCYIVIGACFFVARQPADQDVS >ONIVA01G22100.2 pep chromosome:AWHD00000000:1:19418408:19422668:-1 gene:ONIVA01G22100 transcript:ONIVA01G22100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLPLLLVLYKTTHHHENLLVAASSRDSTLASRARTAPSIDSHIHPSAYLSLAILAARCAHRGGIEFDLVVMEAAAAMEAGRKLAARHPHGRSRTAHNMSSSSLRKKSDAALVRKVPVAPLRPLLANLQEVFLATKLAVLFPAVPLAIAAQCFRFDQVWVFALSLLGLIPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALLKGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGARQPYDRNQSDVSTALLFLAVLCHSAPLLLRYAVAAGEHSVSATSAAASLDLSRACSFVMLASYVAYLFFQLKTHRQLFEPQEVDGGDAGDDDEEPALGFASALFWLALMTAVISVLSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVLVAWIMGVQMDLDFKLLETGSLFMAVLVTAFTLQDGTSHYLKGILLLLCYIVIGACFFVARQPAGHANSNGALLDVPTGSMSVQAA >ONIVA01G22100.3 pep chromosome:AWHD00000000:1:19417834:19422668:-1 gene:ONIVA01G22100 transcript:ONIVA01G22100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLPLLLVLYKTTHHHENLLVAASSRDSTLASRARTAPSIDSHIHPSAYLSLAILAARCAHRGGIEFDLVVMEAAAAMEAGRKLAARHPHGRSRTAHNMSSSSLRKKSDAALVRKVPVAPLRPLLANLQEVFLATKLAVLFPAVPLAIAAQCFRFDQVWVFALSLLGLIPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALLKGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGARQPYDRNQSDVSTALLFLAVLCHSAPLLLRYAVAAGEHSVSATSAAASLDLSRACSFVMLASYVAYLFFQLKTHRQLFEPQEVDGGDAGDDDEEPALGFASALFWLALMTAVISVLSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLVPLSVLVAWIMGVQMDLDFKLLETGSLFMAVLVTAFTLQDGTSHYLKGILLLLCYIVIGACFFVARQPADQDVS >ONIVA01G22100.4 pep chromosome:AWHD00000000:1:19418408:19422668:-1 gene:ONIVA01G22100 transcript:ONIVA01G22100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLPLLLVLYKTTHHHENLLVAASSRDSTLASRARTAPSIDSHIHPSAYLSLAILAARCAHRGGIEFDLVVMEAAAAMEAGRKLAARHPHGRSRTAHNMSSSSLRKKSDAALVRKVPVAPLRPLLANLQEVFLATKLAVLFPAVPLAIAAQCFRFDQVWVFALSLLGLIPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALLKGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGARQPYDRNQSDVSTALLFLAVLCHSAPLLLRYAVAAGEHSVSATSAAASLDLSRACSFVMLASYVAYLFFQLKTHRQLFEPQEVDGGDAGDDDEEPALGFASALFWLALMTAVISVLSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLVPLSVLVAWIMGVQMDLDFKLLETGSLFMAVLVTAFTLQGILLLLCYIVIGACFFVARQPAGHANSNGALLDVPTGSMSVQAA >ONIVA01G22090.1 pep chromosome:AWHD00000000:1:19414498:19419925:1 gene:ONIVA01G22090 transcript:ONIVA01G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPELMDDLVDEILLRLPPDEPACLARASAVCKRWRRLLSDRAFLLRRRRRAPPPLLGFLHNLDDADHPDRFVPTTALPIPPPPPELHCGMALDCRHGCALLDAYPSRIDLVVWHPMTGHLLRRLPRPDVPYLFYYNAAVLCAAAAAGCDHLDCHEGPFRVVVVGTEEDNEAWATVYSSESDEWSPPTSARLARGPEFCVEGPPPSSEIRSTSPSFGIGVVKFDMKRHRLSLIDPPAELDDGFVLMPLDNDGVLGLAAVEDHSLLSVWSMDVSLDHGHGVANWEKCRVIELDSLLPNLDHSTPVLPIGFVEGANIIFLRTDAGVFTLELRSMRVTKVCKNGFFYAVVPYTSFYIPGVHLQQQHSGPQISNGRAWGSQAANMRRGSSEQPRERAPLHQQRRAGRPVNSQRPTGDMAVLADWHLKCGLHGDPNDSQGFSRVKAVTSTAMNREPVSSSLKSRSIWTPMIQATSTLSGTCNPIMIWQFVQPDESRTTTGSVLGETTLIVCMGCTFLFLSAKMMAPACSAALPTMGRRMMLMKLTERPHDCEVGSMVPTTYSERTEMTAVMRASQKSALANPSAGSSSSSPASPPSTSCGSNSWRCHDEAAGAGEVEGRRGRGGGDGVLAGGDGVAEEERGGVAEDGEEEEGGADVGLVAVGWRAPRLTTPPQKREVPRTRRRLERTEPSREHLTTSIFPLSSANSAMISSVAFPHVAFSSPPTAPHAYTIINTPQQQVQLLHIQCLGCVW >ONIVA01G22090.2 pep chromosome:AWHD00000000:1:19414498:19419925:1 gene:ONIVA01G22090 transcript:ONIVA01G22090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPELMDDLVDEILLRLPPDEPACLARASAVCKRWRRLLSDRAFLLRRRRRAPPPLLGFLHNLDDADHPDRFVPTTALPIPPPPPELHCGMALDCRHGCALLDAYPSRIDLVVWHPMTGHLLRRLPRPDVPYLFYYNAAVLCAAAAAGCDHLDCHEGPFRVVVVGTEEDNEAWATVYSSESDEWSPPTSARLARGPEFCVEGPPPSSEIRSTSPSFGIGVVKFDMKRHRLSLIDPPAELDDGFVLMPLDNDGVLGLAAVEDHSLLSVWSMDVSLDHGHGVANWEKCRVIELDSLLPNLDHSTPVLPIGFVEGANIIFLRTDAGVFTLELRSMRVTKVCKNGFFYAVVPYTSFYIPGVHLQQQHSGPQISNGRAWGSQAANMRRGSSEQPRERAPLHQQRRAGRPVNSQRPTGDMVRSSGRASRLASQDCSVLTHVLVDHYSDRCTCRVKAVTSTAMNREPVSSSLKSRSIWTPMIQATSTLSGTCNPIMIWQFVQPDESRTTTGSVLGETTLIVCMGCTFLFLSAKMMAPACSAALPTMGRRMMLMKLTERPHDCEVGSMVPTTYSERTEMTAVMRASQKSALANPSAGSSSSSPASPPSTSCGSNSWRCLEEEVGDVGRQHDEAAGAGEVEGRRGRGGGDGVLAGGDGVAEEERGGVAEDGEEEEGGADVGLVAVGWRAPRLTTPPQKREVPRTRRRLERTEPSREHLTTSIFPLSSANSAMISSVAFPHVAFSSPPTAPHAYTIINTPQQQVQLLHIQCLGCVW >ONIVA01G22090.3 pep chromosome:AWHD00000000:1:19414498:19419925:1 gene:ONIVA01G22090 transcript:ONIVA01G22090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPELMDDLVDEILLRLPPDEPACLARASAVCKRWRRLLSDRAFLLRRRRRAPPPLLGFLHNLDDADHPDRFVPTTALPIPPPPPELHCGMALDCRHGCALLDAYPSRIDLVVWHPMTGHLLRRLPRPDVPYLFYYNAAVLCAAAAAGCDHLDCHEGPFRVVVVGTEEDNEAWATVYSSESDEWSPPTSARLARGPEFCVEGPPPSSEIRSTSPSFGIGVVKFDMKRHRLSLIDPPAELDDGFVLMPLDNDGVLGLAAVEDHSLLSVWSMDVSLDHGHGVANWEKCRVIELDSLLPNLDHSTPVLPIGFVEGANIIFLRTDAGVFTLELRSMRVTKVCKNGFFYAVVPYTSFYIPGVHLQQQHSGPQISNGRAWGSQAANMRRGSSEQPRERAPLHQQRRAGRPVNSQRPTGDMVRSSGRASRLASQDCSVLTHVLVDHYSDRCTCRVKAVTSTAMNREPVSSSLKSRSIWTPMIQATSTLSGTCNPIMIWQFVQPDESRTTTGSVLGETTLIVCMGCTFLFLSAKMMAPACSAALPTMGRRMMLMKLTERPHDCEVGSMVPTTYSERTEMTAVMRASQKSALANPSAGSSSSSPASPPSTSCGSNSWRCHDEAAGAGEVEGRRGRGGGDGVLAGGDGVAEEERGGVAEDGEEEEGGADVGLVAVGWRAPRLTTPPQKREVPRTRRRLERTEPSREHLTTSIFPLSSANSAMISSVAFPHVAFSSPPTAPHAYTIINTPQQQVQLLHIQCLGCVW >ONIVA01G22090.4 pep chromosome:AWHD00000000:1:19414498:19419925:1 gene:ONIVA01G22090 transcript:ONIVA01G22090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPELMDDLVDEILLRLPPDEPACLARASAVCKRWRRLLSDRAFLLRRRRRAPPPLLGFLHNLDDADHPDRFVPTTALPIPPPPPELHCGMALDCRHGCALLDAYPSRIDLVVWHPMTGHLLRRLPRPDVPYLFYYNAAVLCAAAAAGCDHLDCHEGPFRVVVVGTEEDNEAWATVYSSESDEWSPPTSARLARGPEFCVEGPPPSSEIRSTSPSFGIGVVKFDMKRHRLSLIDPPAELDDGFVLMPLDNDGVLGLAAVEDHSLLSVWSMDVSLDHGHGVANWEKCRVIELDSLLPNLDHSTPVLPIGFVEGANIIFLRTDAGVFTLELRSMRVTKVCKNGFFYAVVPYTSFYIPGVHLQQQHSGPQISNGRAWGSQAANMRRGSSEQPRERAPLHQQRRAGRPVNSQRPTGDMVRSSGRASRLASQDCSVLTHVLVDHYSDRCTCRVKAVTSTAMNREPVSSSLKSRSIWTPMIQATSTLSGTCNPIMIWQFMMAPACSAALPTMGRRMMLMKLTERPHDCEVGSMVPTTYSERTEMTAVMRASQKSALANPSAGSSSSSPASPPSTSCGSNSWRCHDEAAGAGEVEGRRGRGGGDGVLAGGDGVAEEERGGVAEDGEEEEGGADVGLVAVGWRAPRLTTPPQKREVPRTRRRLERTEPSREHLTTSIFPLSSANSAMISSVAFPHVAFSSPPTAPHAYTIINTPQQQVQLLHIQCLGCVW >ONIVA01G22080.1 pep chromosome:AWHD00000000:1:19408686:19409864:-1 gene:ONIVA01G22080 transcript:ONIVA01G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPSGNRQREDLGGIAINGSSSGRRRGGAHHPGARRVPRRVCWYKVAALLRAAGHRVDAPDLGAAGQRGLGVGGAPASSFADHARPLLDAVRALPDGERAVLVGHSFGGMSVALAAETFPDKVAAAVFVAAFLPDCANPPSHPIDTYQESDWMDTVIDPSHAPPSILFGPEFLKKKLYQLSSPEDYTLAKSLVRASSLYVDELRRRAAFREDRYGAVRKVYVVVENDMAIVQEHQRWMVANAEVAEVRVMDAGDHMAMLSAPEELAGHLADVANTYI >ONIVA01G22070.1 pep chromosome:AWHD00000000:1:19403516:19404563:-1 gene:ONIVA01G22070 transcript:ONIVA01G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYFTGHGGWCWYRVATLLRATGHRVHAPDLAASGADARRLRDDDAPTFDDYSRPLLDAVRALPDGERAVLVGHSFGGMSVALAADTLPDKVAAAVFVAALMPDCASPRPDVIEKLPLTDWVDCATDEEHAPPSVLFGPEFMRRKLYQLSPEEDITLSRSLVRVSSYYVDDMRRQPPFGEDRYGAVRKVYVVCGQDQAIVEAYQRRMIAGCPVEEVREIAGADHMAMFSAPVELAGHLADVANTYT >ONIVA01G22060.1 pep chromosome:AWHD00000000:1:19400908:19401408:-1 gene:ONIVA01G22060 transcript:ONIVA01G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAAARIPVLSSPALVNDMARQPFLSPVHADDVVAGDCGADKKQKTYIAQNFEGMTMIMHDEVAQQVNDKAEQALIVPQVGMDFDFIPFTITMFYFHLQCVTLMISYMLFENDWSLDIIFQITQFSSFHF >ONIVA01G22050.1 pep chromosome:AWHD00000000:1:19396869:19400168:-1 gene:ONIVA01G22050 transcript:ONIVA01G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKGNPDRWRQDGEGDRGGGGKGSGDRRSRKKITRVSRGASPCASSSGCGSRREQAELGLLLVQMEPCGHAVGSLARPRVANLNRVCTVRGLQPVCTEFFSKFVSFLLPTVALLSSEFRLSKFPKPSIISTQRLSHRSIMEWEEDGEEAAGSGGQRGGAPLYAVGDEVEVRMDDPGFHGAFYEATVSARLPCSGRYEVMYSTLVEGGGGRGRGRGRRGGGPLRETVAACDVRPRPPPPPAPPPLAEDGAAPGRELNVFDMVEAYHREGWWPGVVSAAWPARGRKAAAAMYTVSFPSCREEAKLPASLVRRRRAFVRGRWMDARDVVPRVPQYDEGSNVEVMLDTGKHRAAWVTATVIKMVSSKNYVVRLKNKEGSVNIVDYCYIRPQPTFDRKKFEYELEPSAEVEVNLGGAWSLGVISDVGSCGYGVRLKGHDSSEEEDYMLVLRALLRPYCKQDDQELMPCTAKIISLLPHLQCEQGLQRLESPDLVNSFLLEKYDAPHSPLVVGEK >ONIVA01G22040.1 pep chromosome:AWHD00000000:1:19394881:19396581:1 gene:ONIVA01G22040 transcript:ONIVA01G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26690) TAIR;Acc:AT2G26690] MVRDAVDYRGCPADRSATGGWVAAALVLGIELCERLSTMGIAVNLVTYLTGTMHLPSAAAANVVTDFMGTSFLLCLLGGFLADSFLGRYLTIAVFALVQSIGTALLAASTLVTHLRPPPGEQPTPVQMAVLYACLYLIALGTGGLKSSVSGFGTDQFDDRDGRERAAMGLFFNRFFFFISLGTLLAVTVLVYVQDHVGRSWAYGICSAAMLAAIAVFLSGTRRYRYKRSSGSPIVHILQVLVAAARKRGVVKRPPTAAELYEDDRPEHARIAHTAQFPCLDMAAVVAGEEDNEVAGPGGPAAPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRVGAGFEIPAASLTVFFVGAIMLTLAVYDRVFIPLCRVLTGKQGFTNLEKIGIGLALSILGMAAAALCEKKRLAVAVAATTGNSTPISVFLLTPQFLLVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFFSSVLVSLVKGATTWLGDTIDRSRLDYFYWLLAVLSVLNLAAYLVCAKWAATAAATSPEQQQQHTAVADADEKC >ONIVA01G22030.1 pep chromosome:AWHD00000000:1:19378315:19390561:1 gene:ONIVA01G22030 transcript:ONIVA01G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFWVDASSDFDGGAGSITTKELRTMMHILGLNPTEAEQQDIIGEVDTDGSSSSFDFHEFLRLIVR >ONIVA01G22020.1 pep chromosome:AWHD00000000:1:19349706:19354720:1 gene:ONIVA01G22020 transcript:ONIVA01G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDDAVKCLRIGKAAADAGDSARAVKFLSKAKRLDPSLPIDHLLDPLLNQDDPPSSSASSSSPQAPPPPPPRSSAAAASAEEATGSDGLRERKQSLRERKQKGKKKEEDESAGERSYTSEQLEVVRQVKKHTRDYYQILGLEKDCTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDAESRKRYDLVGSDEPVTYNRRAASTARAYNGFYEDEFDPDEIFRNFFFGGMAPATTRQFGQFGTFHFRTGGMHHGHGAQNSGGSTLRMLIQLLPVLLLLLLNFLPSSEPVYSLSRSYPYEHKFQTTRGVTYYVKLPNFEEQYPHQSTERATLERHVERDYFSILSQNCRVEVQRRHWGLSYETPHCDMLRKFEATAQGTGLITVFNNLGP >ONIVA01G22020.2 pep chromosome:AWHD00000000:1:19349706:19354524:1 gene:ONIVA01G22020 transcript:ONIVA01G22020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKDDAVKCLRIGKAAADAGDSARAVKFLSKAKRLDPSLPIDHLLDPLLNQDDPPSSSASSSSPQAPPPPPPRSSAAAASAEEATGSDGLRERKQSLRERKQKGKKKEEDESAGERSYTSEQLEVVRQVKKHTRDYYQILGLEKDCTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDAESRKRYDLVGSDEPVTYNRRAASTARAYNGFYEDEFDPDEIFRNFFFGGMAPATTRQFGQFGTFHFRTGGMHHGHGAQNSGGSTLRMLIQLLPVLLLLLLNFLPSSEPVYSLSRSYPYEHKFQTTRGVTYYVKLPNFEEQYPHQSTERATLERHVERDYFSILSQNCRVEVQRRHWGLSYETPHCDMLRKFEATAQHTRTLAMV >ONIVA01G22010.1 pep chromosome:AWHD00000000:1:19338425:19338670:1 gene:ONIVA01G22010 transcript:ONIVA01G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATGVAADEVNRRASGGGRREAGDRVFFLIDRMTQRDGVLIMEHYMYRPLSSILTLPIYGNMTGNHDREKEREPVIEET >ONIVA01G22000.1 pep chromosome:AWHD00000000:1:19332144:19333312:-1 gene:ONIVA01G22000 transcript:ONIVA01G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide deformylase 1A [Source:Projected from Arabidopsis thaliana (AT1G15390) TAIR;Acc:AT1G15390] MEAHLRPLSAAALLLSPAAPLPTAVAASARRASPGGRRWSSVRASAGGGGWLSGLLGGKGGGGAPTAMTVTPGTVKAGDPVLHEPAQEVAPGDIPSEKVQGVIDRMVAVMRKAPGVGLAAPQIGVPLKIIVLEDTQEYISYAPKKDIEAQDRRPFDLLVIINPKLKTTSKRTALFFEGCLSVDGYRALVERHLDVEVSGLDRNGRPIKVEASGWQARILQHECDHLEGTLYVDTMVPRTFRIVDNLDLPLPVGCPPIGAR >ONIVA01G21990.1 pep chromosome:AWHD00000000:1:19328469:19329474:-1 gene:ONIVA01G21990 transcript:ONIVA01G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein [Source:Projected from Arabidopsis thaliana (AT5G20110) TAIR;Acc:AT5G20110] MAAHHGSSRKTKAEQWLFGGRWRRTVKETKHPVASEAKPPAPTFPTAIQKDGDICLEKSRVHLPGLGQREIINIAPGRKSMPEVEINMKEVREIIDIAPGRKSMPEVEINMKEVVSVLGVKVMAADMSPFMQLHAFRCAKRSHDSLDKFSSRQLAHDVKKEFDKVYGPTWHCIVGTSYGSFVTHARGCFLYFSMDKIIVMLFKTKIRKVLASS >ONIVA01G21980.1 pep chromosome:AWHD00000000:1:19327991:19331300:1 gene:ONIVA01G21980 transcript:ONIVA01G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLYDQKEVLKYSTTSLCSSGSIFWMSVGPAYVRVLTNVPFSMTSSFSPVVYNLVPLKQVNLHLPESENWYLQNTVPNVCMPLKSSIPFFRAWGFK >ONIVA01G21970.1 pep chromosome:AWHD00000000:1:19320193:19323366:-1 gene:ONIVA01G21970 transcript:ONIVA01G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRKERAAEARASTSKPSPAPAPAPAVQVQRRPAGGGRWTSRRISFYASRAFFLLIILQIPLFRVPCRAGTCTTPIQVTSSQLVSNEIFPPSVVKALLFPGAIASNLTKSMTFPRWNDLFDIYNLTEAKTASAVIDLQRLEILAGSYFCAAGALVGVINPGRMTLFGTLLVIWGLVKEALFGKPVNSDPTQSVHVYPTILIALICAFLSITYNVKKTVRNSQSVSITKPLQSSAKSKLK >ONIVA01G21960.1 pep chromosome:AWHD00000000:1:19317138:19318885:-1 gene:ONIVA01G21960 transcript:ONIVA01G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate-glutamate racemase family [Source:Projected from Arabidopsis thaliana (AT1G15410) TAIR;Acc:AT1G15410] MFAGLQPFHLLVPYPCHLIVERLSPNVLKTNSVVDPSEPKILDASSSASRTGQYSATTHLSGTIGVMGISASSSLRFLQKLVHWSTRDGEEAPPFLVCNDPLLKKELMSSQNSQRPSDCNTALGKLRLRRLLLEKSGVCCIAMPCNTLHAYHDEISQGCSVPSLHIGDCVVKELKSANLKPVEYGSNVCVGILCTDNTLNAKCYLNKLESQGFEVLLPDKASLEHTVLPAIGAFRRGDMEGARNLLRISLQVMFVRAVNTIILASDDFVGILPDDDPLLKKCIDPMDALVRETIMCARTDRLRP >ONIVA01G21950.1 pep chromosome:AWHD00000000:1:19313404:19315343:1 gene:ONIVA01G21950 transcript:ONIVA01G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26695) TAIR;Acc:AT2G26695] MAMRKPGDWSCRSCQYVNFCKREACQRCGEAKLGVERTDYAAMGGGWEVKPGDWCCRCCAVNNYASRGSCFKCGAAKNDSAAAVAQGWGFSVASQAGWKNGDWICPRMECNVQNYANRTECFRCNFPRYYGVD >ONIVA01G21940.1 pep chromosome:AWHD00000000:1:19280335:19284421:1 gene:ONIVA01G21940 transcript:ONIVA01G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid-associated phosphatase 38 [Source:Projected from Arabidopsis thaliana (AT4G27800) TAIR;Acc:AT4G27800] MALLSPRVPRLPLASASASAAGAGLRCCVGGGRAGSAAWCHASAAGSVASSSSELEAIRWGTAKLQGAREEMEDEVVLRPGSLLDGFSFAAVFDGHAGFSAVEDELYKECAAALDGGAVLSTKNLDAITDSIQRAFATVDANLSTWLEQMDKEDESGATATAMFLRNDVLVVSHIGDSCLVVSRGGRPQAVTNFHRPYGNKKASLEEVKRIRAAGGWIVDGRICGEISVSRAFGDIRFKTRKNEMLVKGVKEGRWTEKFISRINFKGDLIVSSPDVSLVELGPDVEFVLLATDGLWDYIKSSEAVALVRDQLRQHGDVQVACEALGQIALDRRSQDNISIVIADLGRTNWKELPAQRPNLFLELTQAVATVGAVSLGIYISSLLALQ >ONIVA01G21940.2 pep chromosome:AWHD00000000:1:19280335:19284421:1 gene:ONIVA01G21940 transcript:ONIVA01G21940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid-associated phosphatase 38 [Source:Projected from Arabidopsis thaliana (AT4G27800) TAIR;Acc:AT4G27800] MALLSPRVPRLPLASASASAAGAGLRCCVGGGRAGSAAWCHASAAGSVASSSSELEAIRWGTAKLQGAREEMEDEVVLRPGSLLDGFSFAAVFDGHAGFSAVEDELYKECAAALDGGAVLSTKNLDAITDSIQRAFATVDANLSTWLEQMDKEDESGATATAMFLRNDVLVVSHIGDSCLVVSRGGRPQAVTNFHRPYGNKKASLEEVKRIRAAGGWIVDGRICGEISVSRAFGDIRFKTRKNEMLVKGVKEGRWTEKFISRINFKGDLIVSSPDVSLVELGPDVEFVLLATDGLWDYIKSSEAVALVRDQLRQHGDVQVACEALGQIALDRRSQDNISIVIADLGRTNWKELPAQRPNLFLELTQAVATVGAVSLGIYISSLLALQ >ONIVA01G21930.1 pep chromosome:AWHD00000000:1:19260637:19274887:-1 gene:ONIVA01G21930 transcript:ONIVA01G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G46560) TAIR;Acc:AT2G46560] MGEPDELPPLPLALHPPHLIPPAPAADPRALSFLPDLGGLPWVAYGAASFLVVSHLPSPPREGSSSSGTGGGGDDDGPFFRQAIDLRAPVSAVSWCRRGGGELAAAAGSSVSVFQPAPSSSPGSFVWVLRWAITETFAVAAVAWTGSGDGILLAGEGVAMWARAESSWKLAWRCSPQVAQSLASATHFLQGSVATAAAAPSSEGGVPPVLVIVNDAKVGVEKVELAHPKPVSMIQWRPRSLFVSDQSEVRREILMTCCLDGTLRLWSEDEAAKSKKHRVLQRSFSVIAVIEMSNTLNGVLGVDITVKWAIETGSVVLRDEDDNFTLFSDQGRHGTYLNADMFSAVICKGSSVFPTCLDGEYPTCVSATPLNNTVLSLQQHGSGTASCYHIATGYSDGTVKLWKMSFADNPLHTEKESHIWQLVGTFGADRGPITAISLSNCGRIATVGRNVQKNTTSIHIWKAVKLMGDGSFLLEDALTLQGPVVGLDWLSLGDGRFLLAVYLLNELHIYSHKHPSFKNVLHTVNSKEKHLWSCIALSHSPHDIASFLWGPKATGVLVHKNHLALFSSWLVRRANESSTQICDCPAADIHELPCTKHFNEDIFGRFSLSENYSNTMLLQKHSAHCSNDLWNLLDIAAKMSGPLASYHPRALIQSLYSGQWKRANTVLQHLVQSMQANKILNTLLECSFCGKSCHNIPECPLSESFTDMTSNDISNRGLLWGDNQRSTAFSLLSPSNSYPRMEDINTTTSTSQSSEINKLLATNVSISAISDMERTQIVALSDLLGKITDQSHASPYKSLDEAGRRFWVAVQFERLYALRRSEDPSSAEVFHVDSASIAWALQSDCQDDLLNSVLPAEPSWSEMRNLGMGLWYTNVSQLRTKMEKLARLQYLKSKDPKDCALLYIALNRTKVLVGLFKISRDEKDKRLYEFLSRNFQEEKHKSAALKNAYVLMGRHQWELAIAFFLLGGDTSSAISVCAKNLQDEQLAIVICRLLEGSGGPLERNLIANVLLPEAVDKGDHWLSSLLEWMLGNYSQSVNQLLDCHLKSLIEESSIPGDTNVFADPGVGQYCAIIATKSSFRNCVGEAQSANLSKLSLAMASCALNRCGLPLEALEYLCCNSGIEGKDNTSLDGGDKKIVYGILNPFHASSNWLSASVVSDVESNLKITMASKYLSRVLRNQSLCSRCSLPLTKDKVLQEFNSNHVNELSRDVKAALQVFDKKFSLQVADIAEKILTFCCNDGIFFLAYVLLWGSISSDVGTGTCGLEGCAFHPIDYMFMVSSKESCKFLTQYVVSCCFICSALNMDCANTTPCTIKVGKYIMASLSHFLSTSRLLLKQDNTRTFVLGRTSAMLTVMDLLEYNMEFSFSWLCHDIKALLTMTSPVIGACVNRESFQVLLDQLLQAVHDKIHGVSIGTDGGTVNGLLCNIQQEKSENQSLPIDEKWHLIGISLWTRLSSFMKQFLTEFVEKERIELETSASDVEFKDLISSVVAKFLMGSLHFVSSLEKVLKKSNSSVLFWLSQPRSNNNSHEQFSSIFQLADSENIEVFFDTLWEISAHPVDICTAFVDEEVNCFPLNSISLTRSWKAMTEATLVESENNFAQRSEENRDNFSSKNNEKIQRSIDNTPYGVEVTLEPKRKGLIADFERPRELVRRNGELLEAICLNSINEQQGAIATNRKGLVFFNWNDKQDNKNLAEYIWAGSDWPLDGWAGCESTPTSTSFSPSVGLGRRKGSHLSSGGPTISLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDFVDPPATLENIHSRALSRHPSLPLLLVGSSNTHVYLWEFGKDSAMATYGVLPAANIPPPYALASISAVQFDYYGQRFATAALDGTVCTWQVEVGGRSNVHPTESSLCFNTHASDVAFLTASGSVLAAAGCSSNGANVVIWDTLAPPSTCQTSIMCHEGGVRSLSVFDRNIGCGSISPLIVTGGKSGDVTLHDFRFISTGKTKHHRSSNEHDVKASSTSMHDTKSGTSNGVSNSGMIWHIPKAHTGSVSSVSTIPNTSLFLTGSKDGDVKLWDAKSSQLVFHWQKLHERHTFFQPTSRGFGGVVRAAVTDIQVLPNGFVSCGGDGSVKLVQKVGAVLVTTSVHVAKVHGRSTEACCKIVNFSDHQLPSWRWTNVQAVVHSRLT >ONIVA01G21920.1 pep chromosome:AWHD00000000:1:19258814:19261632:1 gene:ONIVA01G21920 transcript:ONIVA01G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVASFRRGGVGIAGMSSSTLEEVQMQETLIFSDTIKDLKMLKSQLYSAAEYFELAYTQEDDKQEVMNNLKEYSVKALVNTVDHLGSISFKVSSLIDQRFDEVDDTNLRVSCIHQRAQVSQACMDKEGLSQQSLVITAPKYHKRYILPAGDGSMPNAVPNFSEMRKAKNRAAQMQQVFSAAAASQAKAKEKQPSFSKLRSIARAPSQRARSSSPAQRPPSENTIPTKRADKRSESPIPRTTPLTRSGSLPQKPSLLKTSSVRVQMHTSEHKKLASVRSQADRNDDKEGEQTPKKGKKFLKSLLSRRKSRKEEPLPCYFDDY >ONIVA01G21910.1 pep chromosome:AWHD00000000:1:19250500:19252164:-1 gene:ONIVA01G21910 transcript:ONIVA01G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHECCYYGGSTGGDWLNPLAAIPPPCSSSSSSWSSQLLLLSDHDDVLLHSAGDHGGAVAGIGGACMTADLVNLNGFDHVVIRDEEMEMAAGYLPVAASAAAAADVDHYMYQQFQLEPDQFVSTLPAVAVAVAVAATAGGGSHDDELLRMPFTDIDLDAFADARDVVVGVGEPKPSPQHTLDAAIALPAVGGGGAHHFGTQDDDVKFDVTKQRNDAALAGDDSLSMVIVESYEMGMRRHAAEQEQEQKPKIITSAATTLTPLPLPPPPPPPPRVTRSRRDGSSAATAGGKTRLDHIGFEDLRRYFYMPITKAAREMNVGLTVLKKRCRELGVARWPHRKMKSLKSLILNEMGSKGMSAAAMRRELEALENCCALMERNPAVELTERTKKLRQACFKENYKRRRAAAVDVLDLDHCFSFAAGHCHHHHHQQLALPPPPAAAADHRRRDFFGY >ONIVA01G21900.1 pep chromosome:AWHD00000000:1:19245215:19247867:1 gene:ONIVA01G21900 transcript:ONIVA01G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARAAASAARAALRPAPLAGRVLGSPLPTPLAPARAARILRRSAAAASAGLETLMPLHSAVAGARLRSCIAADSSCWSSLSQGLKKRI >ONIVA01G21900.2 pep chromosome:AWHD00000000:1:19245215:19247866:1 gene:ONIVA01G21900 transcript:ONIVA01G21900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARAAASAARAALRPAPLAGRVLGSPLPTPLAPARAARILRRSAAAASAGLETLMPLHSAVAGARLRSCIAADSSCWSSLSQGLKKRI >ONIVA01G21890.1 pep chromosome:AWHD00000000:1:19236968:19241132:-1 gene:ONIVA01G21890 transcript:ONIVA01G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQHPAPGAEFVADRDAARAEVERALGGYSFRDGGALLLEDALTHSVHPRDEAGGRARHQRLEFLGDAALGLAFATIFYRDDPGLDQGDLTVLRSANVSTQKLARVAVRRRLYPLLRRYNCAPQDHEVSRFTKSVEGLYSGDPIEGPRVLADIVEAIVGAVYLDSKLDLEVLQKVAKLLCEPIITKKALLEDPESMLNELGGEHREDLEIKILAWRKVANVVDDGREQAITTSGLGNGSEDEVGKLRTIRIEEA >ONIVA01G21880.1 pep chromosome:AWHD00000000:1:19233111:19234294:1 gene:ONIVA01G21880 transcript:ONIVA01G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTEATATTTVSGGSGRAAPAALCLQAARGAWSRTSKVLDVDAASPTAAPSLGSSVGVPFLWEEAPGRPKVVVFAPEHFAPPPPLAPAADERAPVSHGGEAAPASGGDRGDGDKARHVVVPLKLPPRLQAAAAAAAAADSSLSPNTVLHGPYGGNKPPRPLTRSGSTASHRRKPSAVGVSLWRKATAAARGKKHDYDAAALDASCRSPASSSSSSSSSSSSSMSYFADDDHRRKADGHGDPEPEADGEECGAKSTVRITRFKRNKSLPSVNTSHLWILTWNSKKYF >ONIVA01G21870.1 pep chromosome:AWHD00000000:1:19210782:19225072:1 gene:ONIVA01G21870 transcript:ONIVA01G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARACLVVALLLLVALFSPSEATSSTSLRRRQVRSLLKRLNKPPLATFQSLDGDIIDCVHISNQPAFDHPLLKDHTIQMRPSIQPSGLYGEATRPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVTTFGKKTHGGSPHPHSHLGGVTDGHHYGVAYATGDANYYGTKVTINVYPAMYGDDKTRLFIYWTRDAYNTTGCYNLACSGFIQTNPQFVIGGSLSPVSIYGSTQYEYDYLVWKDPAGGNWWLQLQGNYVGYWPSSIFTLLQTGVADTVEWGGEVYSPQITAPMGSGHFPEEGFGKATYSRAIQVVDSSNHLKPPNGVGLIAPLPNCYNIMTGSSSTTSWGTYIYYGGPGCPQNSQIEVIRAKKLQQEVNAFLSRFTYEATPLGQMEDMEGYTKNTKTIVQDEESYTRKTRGYTLRASNSSARCKQRSLIIKRKPQIYMIPMAAARTWRRGGACLVVVALLILLVAIVSPSEATSSTSLGRRQVRSLLKRLNKPPLATFQSPDEDTIDCVHISRQPAFDHPLLKNHTIQNGEKCPDNTIPIRRTKEEDVMRATSVTTFGKKTHNGSPHSHLAGVTDGHHYGVAYATGDAKYYGTKVTINVYPAMYGDDKTRLFIYWTRDAYNRTGCYNLACSGFIQTNPQFVIGGSISPVSIYARGNWWLQVQGKYVGYWPSSIFTHLRTGVADTVEWGGEVYSPRITTPMGSGHFPEEGFGKATYSRAIQVVDSSNHLKPPKGVGLIAPLPNCYNVIAGSSSTTSWDTYIYYGGPGCPRNSQIEVM >ONIVA01G21870.2 pep chromosome:AWHD00000000:1:19210782:19225072:1 gene:ONIVA01G21870 transcript:ONIVA01G21870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARACLVVALLLLVALFSPSEATSSTSLRRRQVRSLLKRLNKPPLATFQSLDGDIIDCVHISNQPAFDHPLLKDHTIQMRPSIQPSGLYGEATRPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVTTFGKKTHGGSPHPHSHLGGVTDGHHYGVAYATGDANYYGTKVTINVYPAMYGDDKTRLFIYWTRDAYNTTGCYNLACSGFIQTNPQFVIGGSLSPVSIYGSTQYEYDYLVWKDPAGGNWWLQLQGNYVGYWPSSIFTLLQTGVADTVEWGGEVYSPQITAPMGSGHFPEEGFGKATYSRAIQVVDSSNHLKPPNGVGLIAPLPNCYNIMTGSSSTTSWGTYIYYGGPGCPQNSQIEVIRAKKLQQEVNAFLSRFTYEATPLGQMEDMEGYTKNTKTIVQDEESYTRKTRGYTLRASNSSARCKQRSLIIKRKPQIYMIPMAAARTWRRGGACLVVVALLILLVAIVSPSEATSSTSLGRRQVRSLLKRLNKPPLATFQSPDEDTIDCVHISRQPAFDHPLLKNHTIQNGEKCPDNTIPIRRTKEEDVMRATSVTTFGKKTHNGSPHSHLAGVTDGHHYGVAYATGDAKYYGTKVTINVGNWWLQVQGKYVGYWPSSIFTHLRTGVADTVEWGGEVYSPRITTPMGSGHFPEEGFGKATYSRAIQVVDSSNHLKPPKGVGLIAPLPNCYNVIAGSSSTTSWDTYIYYGGPGCPRNSQIEVM >ONIVA01G21860.1 pep chromosome:AWHD00000000:1:19204674:19209540:-1 gene:ONIVA01G21860 transcript:ONIVA01G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGFKSSGSHNNNGKFGGAADFESNGDYRSGGRGIEPPRTSWTVDLTVETTPATMDPAASGNDVAGTVGSTTTFLRSGEPSSLASADDDRSDGEEIQGGGFGGFWWMVGLGGNDGLQRPKSPQIPQSQQPLDKYGGAPSSNADDRVLGPLSSELANYQFLNSLMLSKKSFFDQDFESLCAHAGPSTAGLHASQSLIKKATVVTGNGEEGGGRGRLSVGARRVVVIGGGNIVEEAHDKEGLPTLTLLGGRRAANPSSAGFVLDAEEAAPRRGMWAQTAVVCSGEDGKKKEGRRWKNGGVGWKT >ONIVA01G21850.1 pep chromosome:AWHD00000000:1:19198096:19201455:1 gene:ONIVA01G21850 transcript:ONIVA01G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMSTATTTPAVLRLSTDMAMSECAATMPATTAHHHHHHAVAYFGLSCALAVLHRRSGGGGGGCDLGAGPRRCRWSRRRELALRERVGELEREVEELRRRRGEDARANEKVAGIFAAHEQRWFAERKGLRRQVHAVVAAARAREAAHGEAVAELTRQLEEQEQRAAEAAEQEAGRREEAEGKLRAAEEAAERAGKEAQEHAAELRKHRAALAELATARRELEAELARAARRGDAAEAELGEALERRDEAASTAEELSAECARLRRDAEHKDKILSAMLRKSKIDMEDREMLVREVKMCKARRKQAELEADRWRKMWESRHRRGSRSSARCAASADHPGCSDKLTSPDAAVAPCAHDTKILFVDRVEDADAAKKCRHTAAPPPPTTKDPTTVECVDRYPSHVDDKPVVEEYQDLQEWFKMETEKYTSMIRRRHSAEVEAFTEQLRLKDEKLEAFRWRAASMDAEASRLRSRLQELEARLSEQEQRRAALEALLVARDDENRSLMERLAASDDQGRALDVVVVDGGGGGCEHRAPRSPEGAEAADARLAVAEIKSLEPVSPGGDTNKVFDMEETEARDVEISVQNDVSAAVSPDELQLVEHDDHRAIAPARNSYTCEIEEEEDEEKELPSSSSSSSLALVVAAPPEQRTTASKMDIQALAVSYKIKRLKQQLLVLENLAAAAAGGKDTVTTATNPSTNTAAATAAGGGGGGGGRQQYPRSYQMMVSFLSKHVKRYQSLEDKIDDLCTRMEESKRGGGRERHHRRRRQGRESEEDGSGDREQSAALARFLEETFQLQRYMVATGQKLLEMQSRIAPSLERAAGNGGGNDGVDMGRFMDVVGALLRDVQRGLEVRIARIIGDLEGTLTFHGILHTTF >ONIVA01G21840.1 pep chromosome:AWHD00000000:1:19171686:19174066:-1 gene:ONIVA01G21840 transcript:ONIVA01G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDREFYQFSDQLRLQTASFSGLSLGDSIWSSPSDRRNEPAFDGEYHHFSSPSPAKNAIANINGVAGNLDGPGLIGSGKLAFGATKADRYNSVNLPVDNNNNNKSYGGAAKINNNNVNAFGFNKMGGYNNSSNGGGNYGGNGGDVKSYFNKSVGRPASNNNNNNSNGGGGYYGKKGGDGAGGKKKHAKNSDSGAQASDKRFKTLPASEALPRDEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVKVATRKIYDPLEEDAFRPILHHYDGPKFRLELSVAEALSLLDIFADKDDA >ONIVA01G21830.1 pep chromosome:AWHD00000000:1:19166986:19171016:1 gene:ONIVA01G21830 transcript:ONIVA01G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYRALIAAGATAVCCLVCAVWAFSSSSSSSASKKHQRQRQQRPLSPGCCGCARCGCRAAAVNGEMAVGGEQKKAPGPSPAAAAAAAAAGASMMEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTVEQHNITPPNGWKAYYAATKAIMNVNTEFYNIIREGSLPAMSHFWLNADYVKCIHATGELFTGYNAVMDSWGLLFNWGQDGGQGIAFQLRDVRARVLGEVAWVNMKAHVDVDPGHFHVTNVYEFRNGRWYMVHHHSSLMADPAPHNLFA >ONIVA01G21820.1 pep chromosome:AWHD00000000:1:19146083:19148259:-1 gene:ONIVA01G21820 transcript:ONIVA01G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRTSSEIELAMVGYFNFSDEASEICRQFLTNIKNAQSNYISMGCFLATISDSVAATDGAVATTAAPPPSSCGATRSATRSSFRRVHDMYSSILRGIKSSHRKVARKLKVVRAIRKISQECLVVACGAAAAASVALAAHRLFFGLLVGCPTRSSQPHSGRRHSSPPLRRRHHSAPSLRLERGRERMREKGNEDDRQAPAMVAWHRVAVGERREERGSGVGGEEEAGAPAARAERRGRRRHGGAAREDGRRGGERGARRQGKEGCRGRCSERPASARPFPAGRRSEGGQAASGGWGRRSGGWGRRGGKWGSCAGHEVVGRRLGRQPAAAATMEGEAVARAGVAVEAELARGKRWLAAEGRERHKEEESLLALVLLVMPMASRRRIAVGHSGGVVACSVDARL >ONIVA01G21810.1 pep chromosome:AWHD00000000:1:19145537:19145969:-1 gene:ONIVA01G21810 transcript:ONIVA01G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSTSTEGGVLYKAFHPTPCVRAGFAWLREREEKQTSCWADRGKKAGLREREKGNRICTFQL >ONIVA01G21800.1 pep chromosome:AWHD00000000:1:19115619:19117286:-1 gene:ONIVA01G21800 transcript:ONIVA01G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTMASTMSLALLVLSAAYVLVALRRSRSSSSKPRRLPPSPPGWPVIGHLHLMSGMPHHALAELARTMRAPLFRMRLGSVPAVVISKPDLARAALTTNDAALASRPHLLSGQFLSFGCSDVTFAPAGPYHRMARRVVVSELLSARRVATYGAVRVKELRRLLAHLTKNTSPAKPVDLSECFLNLANDVLCRVAFGRRFPHGEGDKLGAVLAEAQDLFAGFTIGDFFPELEPVASTVTGLRRRLKKCLADLREACDVIVDEHISGNRQRIPGDRDEDFVDVLLRVQKSPDLEVPLTDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPRILKKAQEEVRRVVGDSGRVEESHLGELHYMRAIIKETFRLHPAVPLLVPRESVAPCTLGGYDIPARTRVFINTFAMGRDPEIWDNPLEYSPERFESAGGGGEIDLKDPDYKLLPFGGGRRGCPGYTFALATVQVSLASLLYHFEWALPAGVRAEDVNLDETFGLATRKKEPLFVAVRKSDAYEFKGEELSEV >ONIVA01G21790.1 pep chromosome:AWHD00000000:1:19101142:19101372:-1 gene:ONIVA01G21790 transcript:ONIVA01G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSTRPHYRDISSSAHRPVAGGGRRLELQSVVTDASRAIVVVPNTTPPSPATTTASLPTPPSTPRTATTPVQRH >ONIVA01G21780.1 pep chromosome:AWHD00000000:1:19100540:19106708:1 gene:ONIVA01G21780 transcript:ONIVA01G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREAAESPNPRSAPRRAAAAAAKDASSFLSPRFRSAAAQAGWDEESLLHAALVVEDTPVRESRRRRRASTTSSSAGGGGSAGSNTRKRRSWRQPPGSIPPVVFLLDDDEKKPDTTADGKKEVKEEEKKTVVVGEKEACSEKAAATSELPCMDRLREELSCAICLEICFEPSTTPCGHSFCMKCLKHAAAKCGKRCPKCRQLISNSRSCTVNTVLWNTIQLLFPSETEARRTSIASSSETNDDLAQQISQRSNSMAQGGIRSSSSNGIGYITQRSTRSSATNNRSFTTTGSRRSTFVAQEGSSTATGRGFVRASQLVPSARVVSVRSHQSDDAALAYRLQQEEFMTAFESEGERQPPRSSSSTVSAARANLRAMASRAIRLRARGWPV >ONIVA01G21770.1 pep chromosome:AWHD00000000:1:19100505:19100986:-1 gene:ONIVA01G21770 transcript:ONIVA01G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAAEITCWRIIGKRSALRMRREYLKAVLRQEIGFFDTEVSTGEVMHSISGDVAQIQEVMGEKVATAPSPLNSGRRSTTATAPPHPPAGERRGRERIKRVDREKG >ONIVA01G21760.1 pep chromosome:AWHD00000000:1:19092038:19093285:1 gene:ONIVA01G21760 transcript:ONIVA01G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFLMKCFQKSDGSDFLQEDLSNCPVSKLCIILEHAMSYEGSSELHALALKSLVDISSRQPKLVSSRYVNRLLWLRTLLGHVDADAREATSRLLGITSSALSSTAALDLLSELTSTFDQNRPSRFENYHGLLCAIGYITAGCLKESYLILGYSRAGFLGG >ONIVA01G21750.1 pep chromosome:AWHD00000000:1:19086739:19089665:1 gene:ONIVA01G21750 transcript:ONIVA01G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAPEIVYPLYLAAASDSQESVTKRGEELLKRKASAVNLEDSNLMKKLFTLFNGTASPENIAAELKVAPAHSSLRVRLMGVFCRSIAAANAFPYTLQCIFGCIYGNGTTSRLKQLGMEFTVWVFKHAANDQLKLIGPVILSGILRSLDGSSTTEADSSSRDIKIFAYQAIGLLATRMPNLF >ONIVA01G21740.1 pep chromosome:AWHD00000000:1:19085407:19086358:1 gene:ONIVA01G21740 transcript:ONIVA01G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSAAAAAQTDAEREEALDRMLTRLALAEDARLAPLLARVLPYAITSLASATASVRKLVMEILSHINKRVKHRPEISLPMLDLWRIYTESTSSTIVRNFCIVYIEMAFERLLSEDKGSIAPDLLINISNVTEQHQGIILRLVVK >ONIVA01G21730.1 pep chromosome:AWHD00000000:1:19078616:19085098:-1 gene:ONIVA01G21730 transcript:ONIVA01G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEPLNLMVRMVARAFYDDVSLARDPKSARGDNCGLAVVVLEALGSFFEEEKLVRRCHRKQSPKGVNIYNDVSATAGDVHPFTKGGEKAKMHTHSYCCLDYAQVYDVVRYRIHRMRKKLKDGLDDRDTVQHYVCPNCKRSDMDDYFHCEHCKGELRPESEKLTLDEIVCGGGNAIKHTHDKLKDMQQRMEEQLKPLIAVLDRVKDLPFPSFMSLQDWERATIEASANGAVGSSQNSEGRYSSKPMPFLGETEVEVNFLGSTGAQEGVESGMESIKPQPSWMNRKRTVLAGEHKEENNNTANLDQSSEAKSDKKQLSEEDEMKSIQEAYAKAYYEAIQKRQEDEGKRAIQEESLACISDQPFASDAQFERRLGAKSKRDDGGESGDDGIELKVRQPTGNIEEVYKFADLNVETQELVEKNCIPPAE >ONIVA01G21720.1 pep chromosome:AWHD00000000:1:19072659:19076278:-1 gene:ONIVA01G21720 transcript:ONIVA01G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMEQLNRLVRMVARGFYEDVSLEEDQSKPNGSGSCGIVVVVLDALTRQQWVREEDLARSLMIPFNRLRQITHFLEQQKLVRRYYRKEAIHDASISTASPSHVSHDAHLVPTNVAGKLKMIMQPYCCLHYGQVYDVTLYRIHEMKKKLKDELDGNYMIQNYVCPNCERRYSSLNALDLVSHIDNNFHCKHCNEELSQDFGDLAWGGRGGDGDNARRDRHAKLKDFLQRMEHQMERLISQLNKSHEHQKGSASCIDADEEIFEFRVQDARPIPSFVIRKDINHTEDKEEQL >ONIVA01G21710.1 pep chromosome:AWHD00000000:1:19067658:19068314:1 gene:ONIVA01G21710 transcript:ONIVA01G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPARRLMDSRAAQLAAPLPDSYSAETFEDLLRELGVDPSIHTIVRSAGRWMDPAAAAARVPVRFHRLLQRLGIDPNSDARSIRDMLQEFYRVVYHGEVYWAGRVIRPRPSSMPTPVLGRRRRAADGDAPMQPPSKYARVHAVSRDVLLGLALTKACDARQEECAVCLSDFEEKDRLRTMPCNHSFHENCLFRWLRDSCLCPLCRYALPKQQQVQSC >ONIVA01G21700.1 pep chromosome:AWHD00000000:1:19065343:19066951:-1 gene:ONIVA01G21700 transcript:ONIVA01G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVKRTLVVPPPPTCETEEVPLTVFDLVAPTYHVTVLFAFSPPNPTTRALLDALSAMLPHFPLLTARLERRGARRRPFFVTGRGGAGALVVEAEAR >ONIVA01G21690.1 pep chromosome:AWHD00000000:1:19057257:19064793:-1 gene:ONIVA01G21690 transcript:ONIVA01G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVKWQKETFPGIEIDTSQPPVVFKTQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKDGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQVVALGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDNVRGNGVDQASHNLTVATRNTFGELDQSVRPVAPLLFLQTLRKKYPQFAQQQNNVYMQQDAEECWTQLVYTLSQTLTSETSEPPAGQMKELFGIDLVSRVHCAESGEESLERESVYSLKCHISHDVNHLHEGLKHGLKSELEKASPSLGRTALYTREYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDFCSDELKQKLQAPRQMLRDAENAKFGLKAQGKASSSKENEKQLTGIYDLIAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPSIRKEEEILKLSGGGDWHMAYICLYKARVI >ONIVA01G21680.1 pep chromosome:AWHD00000000:1:19043722:19051787:1 gene:ONIVA01G21680 transcript:ONIVA01G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEVKDNEVYEEDLVDYEEEVENGADGGAAAANASADVVKKRLNSFSLGYSPILFGSTPVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVGALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPAISIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >ONIVA01G21670.1 pep chromosome:AWHD00000000:1:19019558:19025733:1 gene:ONIVA01G21670 transcript:ONIVA01G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEVKDNEVYEEDLVDYEEEVENGTDGGANAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGLQQIDPVAGQVGALVLCHTRELAYQICHEFERFSKYLPEVKVAVFYGGVHIKKHKDLLKNDCPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLDSLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDVMLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADSYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >ONIVA01G21660.1 pep chromosome:AWHD00000000:1:19018172:19019032:-1 gene:ONIVA01G21660 transcript:ONIVA01G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETLNAVYEQGRNGKAIRFSNLFFKNKMSFRKHVGKFKEQEITKTVKLNGTKPDHPPG >ONIVA01G21650.1 pep chromosome:AWHD00000000:1:19013812:19017691:-1 gene:ONIVA01G21650 transcript:ONIVA01G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARRSTAASDGGGGSSAAGSDGEDDRYLSASSALGTPSSLATLLPSSDLWDHQMDLLLLDDPVAGFPKAHQLTRLHPPPEPPRPDPPAPAAAGDAVLPRPPEPDPTQVDNLDDNHLFDDMVQEMEHILLNSGEPHESASFTDYRANNSSQAHHFRDGSTTASTSGTDDAYVYPLPHHPSKIDWVEVVGAKQRTGDVSFGERMVGVREYTVYLLKVKSGEDDWEIERRYREFYALYQQLKLLFAEKGFSLPPAWRNVEKESSKLFGNASPDIVNERSSLIQDCLCSLLVSSYPFGTPTPLVSFLSPGSPAYEYSLLKTLIPRSLQRLSSDSHSKGSSCNGTSHKDSASMGKTISLVVEDRPWKSTRQLLELQHYNCAGCHRHLDAGRTMLQEIVQTIGWNKPRFCAYTGQLFCASCHTNDTAVLPAKVLHHWDFSLYPISQLAKAYLDSIYDQPMLCVSAVNPFLFAKVPALLNIMSIRKKIAAMLPCVQCPFRNSIFRGLGARRYLLDGNDFFALRDLVDLSKGAFAALPVKVQTISNRILLHITEQCLVCYDSGVPCAARQACDDPLALIFPFQEDEATKCGSCGSIFHKQCFRKISICPCGKGAASKGRKIVALEQAVRDDASRPSTQLIQPSSFSSSSGFFSDIISKARPDKLWKPRNSSPESDSEATCRW >ONIVA01G21650.2 pep chromosome:AWHD00000000:1:19014079:19017691:-1 gene:ONIVA01G21650 transcript:ONIVA01G21650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARRSTAASDGGGGSSAAGSDGEDDRYLSASSALGTPSSLATLLPSSDLWDHQMDLLLLDDPVAGFPKAHQLTRLHPPPEPPRPDPPAPAAAGDAVLPRPPEPDPTQVDNLDDNHLFDDMVQEMEHILLNSGEPHESASFTDYRANNSSQAHHFRDGSTTASTSGTDDAYVYPLPHHPSKIDWVEVVGAKQRTGDVSFGERMVGVREYTVYLLKVKSGEDDWEIERRYREFYALYQQLKLLFAEKGFSLPPAWRNVEKESSKLFGNASPDIVNERSSLIQDCLCSLLVSSYPFGTPTPLVSFLSPGSPAYEYSLLKTLIPRSLQRLSSDSHSKGSSCNGTSHKDSASMGKTISLVVEDRPWKSTRQLLELQHYNCAGCHRHLDAGRTMLQEIVQTIGWNKPRFCAYTGQLFCASCHTNDTAVLPAKVLHHWDFSLYPISQLAKAYLDSIYDQPMLCVSAVNPFLFAKVPALLNIMSIRKKIAAMLPCVQCPFRNSIFRGLGARRYLLDGNDFFALRDLVDLSKGAFAALPVKVQTISNRILLHITEQCLVCYDSGVPCAARQACDDPLALIFPFQEDEATKCGSCGSIFHKQCFRKISICPCGKGAASKGRKIVALEQAVRDDASRPSTQLIQPSSFSSSSGFFSDIISKARPDKLWKPRNSSPVILMGSLPDTST >ONIVA01G21640.1 pep chromosome:AWHD00000000:1:19001078:19007014:1 gene:ONIVA01G21640 transcript:ONIVA01G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGTAPAAPRYAPDDPSLPKPWRGLVDGTTGYLYYWNPETNITQYEKPLPPEDQLPPPPPLPPPPPRSGRGDRDRDRRDRSRSRTPPRRDHRDRDRDRDRRHDDHRSAPSHHHPLPAAAAVAADDPSTEAYRHRHEITVVGDNVPAPITSFETGGFPPEILKEIQRAGFSSPTPIQAQSWPIALQCQDVVAIAKTGSGKTLGYLLPGFMHIKRLQNNPRSGPTVLVLAPTRELATQILEEAVKFGRSSRISSTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRISLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPPRRQTLMYTATWPKEVRRIAEDLLVHPVQVTIGSVDELVANSAITQNVELITPSEKLRRLEQILRSQDSGSKVLIFCTTKRMCDQLARTLTRQFGASAIHGDKSQSEREKVLSHFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVAYTFFCDQDSKYAADLIKILEGANQRVPRDLADMASRGGRGGRKRNRWATRSDRGGSHSELDSRYGGRDGLSGSSGRLDSSRSSRRHDYGDDGRSRRSGRGRSRSRSRSDSDRYSRSPKRSRRHSRSRTRSRSRSRSRSYTRNRRASRSRSRSPGASRRHERSATGSGSALPDSGHGERKRTPEADPSRNHTNHSDPKDDRHPEDGKVGKVDLDRSPTPQDKSGPYSPAYNGKTSRSVSPGNQVEGNNKAAEVSKNPDSSSPPHHGKTREDEEEGMIDEDGEIADDPRANATVQNGGDN >ONIVA01G21640.2 pep chromosome:AWHD00000000:1:19001078:19007014:1 gene:ONIVA01G21640 transcript:ONIVA01G21640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKRLQNNPRSGPTVLVLAPTRELATQILEEAVKFGRSSRISSTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRISLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPPRRQTLMYTATWPKEVRRIAEDLLVHPVQVTIGSVDELVANSAITQNVELITPSEKLRRLEQILRSQDSGSKVLIFCTTKRMCDQLARTLTRQFGASAIHGDKSQSEREKVLSHFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVAYTFFCDQDSKYAADLIKILEGANQRVPRDLADMASRGGRGGRKRNRWATRSDRGGSHSELDSRYGGRDGLSGSSGRLDSSRSSRRHDYGDDGRSRRSGRGRSRSRSRSDSDRYSRSPKRSRRHSRSRTRSRSRSRSRSYTRNRRASRSRSRSPGASRRHERSATGSGSALPDSGHGERKRTPEADPSRNHTNHSDPKDDRHPEDGKVGKVDLDRSPTPQDKSGPYSPAYNGKTSRSVSPGNQVEGNNKAAEVSKNPDSSSPPHHGKTREDEEEGMIDEDGEIADDPRANATVQNGGDN >ONIVA01G21640.3 pep chromosome:AWHD00000000:1:19002189:19007014:1 gene:ONIVA01G21640 transcript:ONIVA01G21640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRGTPCGGSTLACSRFPPRDCPWRKGAPMAADLLLCIQSLEKAPHMDGGPLLGPGHGGRTVHRTCGQLLEGLRTQAVNIQRAGFSSPTPIQAQSWPIALQCQDVVAIAKTGSGKTLGYLLPGFMHIKRLQNNPRSGPTVLVLAPTRELATQILEEAVKFGRSSRISSTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRISLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPPRRQTLMYTATWPKEVRRIAEDLLVHPVQVTIGSVDELVANSAITQNVELITPSEKLRRLEQILRSQDSGSKVLIFCTTKRMCDQLARTLTRQFGASAIHGDKSQSEREKVLSHFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVAYTFFCDQDSKYAADLIKILEGANQRVPRDLADMASRGGRGGRKRNRWATRSDRGGSHSELDSRYGGRDGLSGSSGRLDSSRSSRRHDYGDDGRSRRSGRGRSRSRSRSDSDRYSRSPKRSRRHSRSRTRSRSRSRSRSYTRNRRASRSRSRSPGASRRHERSATGSGSALPDSGHGERKRTPEADPSRNHTNHSDPKDDRHPEDGKVGKVDLDRSPTPQDKSGPYSPAYNGKTSRSVSPGNQVEGNNKAAEVSKNPDSSSPPHHGKTREDEEEGMIDEDGEIADDPRANATVQNGGDN >ONIVA01G21640.4 pep chromosome:AWHD00000000:1:19001078:19002133:1 gene:ONIVA01G21640 transcript:ONIVA01G21640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGTAPAAPRYAPDDPSLPKPWRGLVDGTTGYLYYWNPETNITQYEKPLPPEDQLPPPPPLPPPPPRSGRGDRDRDRRDRSRSRTPPRRDHRDRDRDRDRRHDDHRSAPSHHHPLPAAAAVAADDPSTEAYRHRHEITVVGDNVPAPITSFETGGFPPEILKEVERMSYAASRTPRPNKSGGLREDVVDGVDRVAKGG >ONIVA01G21630.1 pep chromosome:AWHD00000000:1:18973284:18985244:-1 gene:ONIVA01G21630 transcript:ONIVA01G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTAAAADVIDLSSDDDDEVPVPSTSAAAAAAARRVAPSTSPRDVTPYALVDVKPALLYPLQPPGVVVGGSGALVPVKEELPVLTPVPLLAAGYSPSTPSTKVTLPAPRLCRQFWKSGDYVVAQRNPDADAPGGRNRLRINPRFLHSNATSHKWAFGAIAELLDNAIDEVNTGATFVRVNEFTNPRDGSSSLLIQDDGGGMDPEALRRCMSFGFSDKQSDALIGQYGNGFKTSTMRLGADVIVFTQNQNNWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDISTASYTQMLRHDQKLFSSNLAILLKWSPFASEAELLKQFDDIGEHGTKIIVFNLWFNDDGDMELDFNSDKKDILITGAHRKVNTNKADKVATQNYVSTRLRYSLRAYTSVLYLHIPDNFRIVLRGHDVESHNVINDLMYPECVLYKPQIAGLAELSAITTIGFVKGAPEIDVQGFNVYHKNRLIAPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLESRLKEMTYEYWDLHCHRIGYDNKKLPKSSRALNRANQMNAGSSPPIVPRQLLAADIPTSSCAVPTFMAPALRQKQMGLKRNIDALGSKTDSADQDGSHLDVSQRRRFNEYRTLTLENDKLRGECLQYEESAKQLALKEQKLRSQIALERKKYEELLQELRSLDVKTEK >ONIVA01G21630.2 pep chromosome:AWHD00000000:1:18973284:18985244:-1 gene:ONIVA01G21630 transcript:ONIVA01G21630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTAAAADVIDLSSDDDDEVPVPSTSAAAAAAARRVAPSTSPRDVTPYALVDVKPALLYPLQPPGVVVGGSGALVPVKEELPVLTPVPLLAAGYSPSTPSTKVTLPAPRLCRQFWKSGDYVVAQRNPDADAPGGRNRLRINPRFLHSNATSHKWAFGAIAELLDNAIDEVNTGATFVRVNEFTNPRDGSSSLLIQDDGGGMDPEALRRCMSFGFSDKQSDALIGQYGNGFKTSTMRLGADVIVFTQNQNNWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDISTASYTQMLRHDQKLFSSNLAILLKWSPFASEAELLKQFDDIGEHGTKIIVFNLWFNDDGDMELDFNSDKKDILITGAHRKVNTNKADKVATQNYVSTRLRYSLRAYTSVLYLHIPDNFRIVLRGHDVESHNVINDLMYPECVLYKPQIAGLAELSAITTIGFVKGAPEIDVQGFNVYHKNRLIAPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLESRLKEMTYEYWDLHCHRIGYDNKKLPKSSRALNRANQMNAGSSPPIVPRQLLAADIPTSSCAVPTFMAPALRQKQMGLKRNIDALGSKTDSADQDGSHLDVSQRRRCLQYEESAKQLALKEQKLRSQIALERKKYEELLQELRSLDVKTEK >ONIVA01G21620.1 pep chromosome:AWHD00000000:1:18969908:18972180:1 gene:ONIVA01G21620 transcript:ONIVA01G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26610) TAIR;Acc:AT3G26610] MLIAGAILCLVASTAPAPALSAGRTTFSVSSFGAAGNGIADDSEIDGDVLAPPGMGYWPKARRPLQWLNFKWLDGFTIQGTGTVDGQSTLLRSVSPANVSQHWYISGVKPTLIRFYSSFNVSVRNIRITNSPQCHLKFDSSGGIKVKNITISSPGDSLNTDGIHLQNTRDVDIRSSSIGCGDDCISIQTGCSNVHMKNINCNPGHGISLGGLGKDNSLACVSDVFAEHINVENALYGVRIKTWQGGKGTVRNVTFSNVRVANVATPIAIDQFYCDAGGGGARCGNRSDAVGITGVAYRRVAGTYTYQPVRLACSDARPCTGVSMADVRLSPASAAGAGGLRQPLCWKSYGEAMGMIEPTGIACLQRSNGFVMPLTKPFNYTC >ONIVA01G21610.1 pep chromosome:AWHD00000000:1:18965547:18966098:1 gene:ONIVA01G21610 transcript:ONIVA01G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFQEKHNSVANFLEEMNSVLMSLVKKQRINDPSMMNWSLQLKDAIDEVEFTRTARIVKESSLLFFSEGVTAVAPWARAMGGGGGSGRVDAPTLCCDQERCPTGWRRRQEREKRRKNPNPRLACSPERGKGSVLTGEKVAAGGESRRRRLRVAPAVASSLLRRLLWRVFWAGAQGKRRDGPG >ONIVA01G21590.1 pep chromosome:AWHD00000000:1:18960181:18960933:1 gene:ONIVA01G21590 transcript:ONIVA01G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVEAEVAQALVAAAEAESLSLSLSLSLSLGAGLHNGAEGWTAAHRGGRAWLGELSAETEGARGRAVDGSVARGGGSGEHLVVSGSSFPTVAARKELGHARPTWPLLRPPSSSRWTWPLQAASGGGGKETEEGGGCGGGGGHSCSPPTPANRFPLLVSCHRPPLRVTSTAAVLALLAIATTFLLTSHARRSSAHPRAQLPAFAFAASAFIIGCTSDLLLHHQRPSNLRLRCRPPAPTLPPTSPPLRAAL >ONIVA01G21580.1 pep chromosome:AWHD00000000:1:18947085:18951487:-1 gene:ONIVA01G21580 transcript:ONIVA01G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLLLLALLCLCGGAARDPRTAVARQECAPGGAVSGPALADNFVPAMDDLNSNVSANGFGTSAVGTTAGLNPNAVFGLGQCYRDLSPVDCKLCFAEVRSLLPKCYPSAGGRLYLDGCFGRYANYSFFSETLGPDDAVTCGVVGGGGAGGGNYTGANPRGFADAVRAALANVTGVAASAAVPGGGDGYAVGSASAGGATAFALAQCWGSLNATACGQCLRAAAAAAARCAPAAAEGRALYTGCYLRYSTRLFWNLNSTAGSGSSRHNDVVWILLGSSLGAFVIVFIVVFLAWKKKIFRNKKRSKSFIDIYGDGVPVRIAQSSLNFKYEELRKATNYFDPANKLGQGSYGAVFKAILLDGKQVAVKRLFLNTRQWVDQFFNEVELISQVRHKNLVKLLGCSVNGPESLLVYEYYFNKSLDLFLFDASRSRNLTWNLRVDIIQGIAEGLSYLHEESETRIIHRDIKASNILLDDKFKPKITDFGLARAFGEDRTHLTTGVAGTLGYMAPEYLAHGHLTEKADVYSYGILVLELVTGQRCSGSIGSHGGHFLLTKVWNHYKNKAVEMIADRSIYEDTIRDEVMHVVQIGLSCTQANAGDRPTMTKVVELLRSHRHDVEIILSDPPFLDVEAFEDIKQGEQSRLLSARSAHSVSGSSRSYLSGR >ONIVA01G21570.1 pep chromosome:AWHD00000000:1:18944266:18944610:-1 gene:ONIVA01G21570 transcript:ONIVA01G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRGRGDGDDAVTAWSWAQRWGATVSALHGSSDGRRGDQIRLQIWSPRLAGVIGDGLGCRRHRSADPAGGWLAAAMVADVAATKLATTAARAATPALVKNLAATREARRPR >ONIVA01G21560.1 pep chromosome:AWHD00000000:1:18943490:18944237:-1 gene:ONIVA01G21560 transcript:ONIVA01G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMMPATCASRCTSDPRHLGRIWTAGVWQRWLATVADDGGGWTVVAGRGCPWRWRWLTVAASNGGGCGSGGGGCRRWYLWRRWLKAIIWLTVCRRRRRYVEAGKRSGGGEGGVRVRASRNRGRKPCRAIWLADNGDAVWRRSPPWRRCFSILLSFPYHILRVKTLLRFRTSGGGDPRRILLGDTALEKPLHARILSLVYKLASNFSPRS >ONIVA01G21550.1 pep chromosome:AWHD00000000:1:18924473:18927334:1 gene:ONIVA01G21550 transcript:ONIVA01G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRSEHIWIELLKGSRKRGSLGFLAVGASSYLGKNIISVLPSQQILFFPQGVVMSFYGIAGLFISAYLWCTILWNVGSGYDRFDRKEGVVCIFRWGFPGIKRRVFLRFLMRDIQSIRIQVKEGLFPRRILYMEIRGQGAIPLTRTDEKFFTPREIEQKAAELAYFLRIPMENWWNTRQSQTLLTAIQEKRVLERFMELEDLFILDEMIKEKPNTHVQNPPIGIRKEIIQLAKIDNEVTDFFVGFHSTRGWELLIRWVYNDLGWVPNELIFTIFEQMTRSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEVVLRIPYDMQLKQVLANGKKGGLNVGAVLILPKGFELAPPDRISPELKEKIGNLSFQSYRPNKKNILVIGPVPGKKYSEIIFPILSPDPAMKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSTGVVRKILRKEKGGYEISIVDASDGRQVIDLIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >ONIVA01G21540.1 pep chromosome:AWHD00000000:1:18901802:18903742:-1 gene:ONIVA01G21540 transcript:ONIVA01G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGAVHVVAISAAPWGMGSCRLFFFPLKLDHGWEDNGSQQCMSLLLTPHHGDWGLPILFFPLKHDRGWEDKKMKQTAMDETTTIYEPEQVFKQNKPQKQSTQICSIHALYDLIATFSIPQREAVHKCGFGGLLKMHRINVHSILCMWITNQFDTKAEAFNIQGSYLSLTSRDAEHLLDLPSQGEEIFEPPKTKNMDLFDEFKTASKQGAHIKLSSLQ >ONIVA01G21530.1 pep chromosome:AWHD00000000:1:18887146:18892122:-1 gene:ONIVA01G21530 transcript:ONIVA01G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAHGLASKTNRTIPTPLQTLHSPHAPVRGAPPRTAAAPSPPFAATAERRHRRSPPQSPDSLKKIMWVGSIGDAEEWWVSVGFNAAAARKVASLFSQRGKKGPNQDSVILCQCFSKQ >ONIVA01G21520.1 pep chromosome:AWHD00000000:1:18863137:18865335:-1 gene:ONIVA01G21520 transcript:ONIVA01G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high affinity nitrate transporter 2.7 [Source:Projected from Arabidopsis thaliana (AT5G14570) TAIR;Acc:AT5G14570] MEKKTKLVEEEDGCYYYDYGGYGDGVVDDEGRATELRPMALSRPHTQAFHLAWMSLFACFFAAFAAPPILPAMRPALVLAPSDASAAAVASLSATLVGRLAMGPACDLLGPRRASGVASLVCALALALAAVFASSPAGFVALRFVAGLSLANFVANQHWMSRIFAPSAVGLANAVAAGWANVGSAAAQVVMPVAYDAVVLRLGVPVTVAWRVTYLLPCAMLVTTGLAVLAFPYDLPGGGGGRCPGGGGGRRRSFWAVVRGGVGDYRAWLLGLTYGHCYGVELIMENVAADFFRRRFRLPMEAAGAAAACFGAMNAVARPAGGVASDEVARRFGMRGRLWALWAVQSAGAALCVLVGRMGAAEAPSLAATVAVMVACAAFVQAASGLTFGIVPFVCKRSLGVVSGMTASGGAVGAIVTNRLFFSGSRYTVEEAISCTGITSLLCTLPVALIHFRRQGGMFCGPSATIDGDGDVDDDDDYMLLK >ONIVA01G21510.1 pep chromosome:AWHD00000000:1:18856616:18857083:1 gene:ONIVA01G21510 transcript:ONIVA01G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSNWMAAATRRSMVEDEDYGGGRRVRRLGQRGQRMSSLLQAVCEHRSVDLCEGDDSPRRLGTFSSSPTPHAAASTSSPPLSFPNADLVLRLDPCPDYEVDFDVSEDHHSSIDLHVSSASLLHSHYFGALLSDRWSPAPTSIARREERRRQRG >ONIVA01G21500.1 pep chromosome:AWHD00000000:1:18840564:18856105:-1 gene:ONIVA01G21500 transcript:ONIVA01G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNWVHLSASSCYCKYITAENPCPLARLRPRRGGGTFDDVSILAIDSVVFEVLATNGATHLGGEARTSTTTTLSEKGKREAAVEREREQVNSHTKEVPDFCQTTPFLSSLLYPIQPLLSSPSPAGFRFASPHLAPSTCARIPTPSAITPRIGLRGNQSSVSWPKPWLWKMDGVDKDKGNGKVHFRDSSPQEAFRTYKRRRQPRPEPQQQPQPQPQSEPEPQQQPQPQPQPQPEAEAEAEAKAADVLARQVTETFWKSRDIGWKHGIMIDENRQHWKCMYCHLTRYGGGVSRLKRHLAGDLDVKMCPKVPADVSEKIREHLRKKRERRKKRAAQNRDNCVTAKSTSDDIKSGKDPLPVDSEVLTVVDTVLEEVTNQTNHDNQDLTYPKATMLLRGIRDIGWEHAVDLDGNKRRWKCKWCSLCRSGGVTTLKAHLTDSSCPNIPKEISKKVLNFIEEKRAARHLFNSAAKSPFNVKFDEDAVNLSEIQVEGTPPLTNDRQPLGNSLHIQTSECTINEFEKVAAGSNQQGAEHSNQLLNHGEQLMKSSDQPEEHCTLEHGRCQVLDNNKQQTMDNKTDNPEHKEVLKHPKKTRFNIRKHIVIVDESARHWRCRYCGLDGYGKTSRLHFHLAAVFRHPKCPSVPKEVFAKARHHIHLKRRLNVKKAGQQARSRPHILGQSSQQQQNNNPVLSNYPTRLRDNAWEHSLIHDKEKGHWKCKWCSLEGYHGITRLKWHLVGWQNRPQCLNVPEDVAKTIRDKMISREKQKEGRLNLDVIDSCNMPCSSESLQFDQENFAEGKGSSEDFNQAERQSNTLNTVCNTTHPPQNSNNYQGLQENGLYSSKNKSEKQTERYDCWSHWRYVLDGLMHLPGALEGPGIQSCIRDVLLYGSAEFGTVGDKVEMDSNRKVSSDGNIAKCQSVLVDVLKSENFALLCNVLGRTVHQDEQRTKYFDFTMIDSRMKNGDYGRAPLLFKHDLKMLWEDLKMAGQDIIDLANNLSSLTETERGSDDSEENLKGAAATNLEPMNMVKSNALVLSTSQGFNQLDQPDPMDVCDEQNGTNCNECGKVAKIDSILTCKRCMLAFHVSCIEPPVPSTSTGSWCCKTCSTICNESAEVGMALVHYEPNRLHGHCVACKDLEFCRPPRCEETASERAPADNSRAIVIPSAEPVEDVELSDIDVRGLCKMCGNPEEKDKRFLVCGHTHCLYKYYHISCLKATQIASDKQLDKPCWYCPSCLCRVCHSDRDDDLTILCDGCDEAYHLYCITPRRTSIPKGKWYCSSCAIERAKEGMARHEKRMLKLHRKDDPGLQGMRYEMVDMILAAAEMLSDDEQQGT >ONIVA01G21500.2 pep chromosome:AWHD00000000:1:18840564:18856105:-1 gene:ONIVA01G21500 transcript:ONIVA01G21500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNWVHLSASSCYCKYITAENPCPLARLRPRRGGGTFDDVSILAIDSVVFEVLATNGATHLGGEARTSTTTTLSEKGKREAAVEREREQVNSHTKEVPDFCQTTPFLSSLLYPIQPLLSSPSPAGFRFASPHLAPSTCARIPTPSAITPRIGLRGNQSSVSWPKPWLWKMDGVDKDKGNGKVHFRDSSPQEAFRTYKRRRQPRPEPQQQPQPQPQSEPEPQQQPQPQPQPQPEAEAEAEAKAADVLARQVTETFWKSRDIGWKHGIMIDENRQHWKCMYCHLTRYGGGVSRLKRHLAGDLDVKMCPKVPADVSEKIREHLRKKRERRKKRAAQNRDNCVTAKSTSDDIKSGKDPLPVDSEVLTVVDTVLEEVTNQTNHDNQDLTYPKATMLLRGIRDIGWEHAVDLDGNKRRWKCKWCSLCRSGGVTTLKAHLTDSSCPNIPKEISKKVLNFIEEKRAARHLFNSAAKSPFNVKFDEDAVNLSEIQVEGTPPLTNDRQPLGNSLHIQTSECTINEFEKVAAGSNQQGAEHSNQLLNHGEQLMKSSDQPEEHCTLEHGRCQVLDNNKQQTMDNKTDNPEHKEVLKHPKKTRFNIRKHIVIVDESARHWRCRYCGLDGYGKTSRLHFHLAAVFRHPKCPSVPKEVFAKARHHIHLKRRLNVKKAGQQARSRPHILGQSSQQQQNNNPVLSNYPTRLRDNAWEHSLIHDKEKGHWKCKWCSLEGYHGITRLKWHLVGWQNRPQCLNVPEDVAKTIRDKMISREKQKEGRLNLDVIDSCNMPCSSESLQFDQENFAEGKGSSEDFNQAERQSNTLNTVCNTTHPPQNSNNYQGLQENGLYSSKNKSEKQTERYDCWSHWRYVLDGLMHLPGALEGPGIQSCIRDVLLYGSAEFGTVGDKVEMDSNRKVSSDGNIAKCQSVLVDVLKSENFALLCNVLGRTVHQDEQRTKYFDFTMIDSRMKNGDYGRAPLLFKHDLKMVERERGSDDSEENLKGAAATNLEPMNMVKSNALVLSTSQGFNQLDQPDPMDVCDEQNGTNCNECGKVAKIDSILTCKRCMLAFHVSCIEPPVPSTSTGSWCCKTCSTICNESAEVGMALVHYEPNRLHGHCVACKDLEFCRPPRCEETASERAPADNSRAIVIPSAEPVEDVELSDIDVRGLCKMCGNPEEKDKRFLVCGHTHCLYKYYHISCLKATQIASDKQLDKPCWYCPSCLCRVCHSDRDDDLTILCDGCDEAYHLYCITPRRTSIPKGKWYCSSCAIERAKEGMARHEKRMLKLHRKDDPGLQGMRYEMVDMILAAAEMLSDDEQQGT >ONIVA01G21490.1 pep chromosome:AWHD00000000:1:18827250:18830505:-1 gene:ONIVA01G21490 transcript:ONIVA01G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASSLLVAVVVLVLVAAAEAAAANETSSSATAALRAGRELRRYRRVQALLRRVNKPAVRTIESPDGDLIDCVAAHLQPAFDHPRLRGQRPLRGPPERPRGWRPRPGPNDTAAGDAGVQLWASSAGGASCPEGSVPIRRTTEADVLRASSVRRFGRAPTARVRRDSVSGGHEHAVGYVAGEEYYGAKASINVWAPKVSTPEEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTTDAYQTTGCYNLLCSGFVQTNSRIAMGAAISPTSGYKGGQFDISLLVWKLVGYWPSFLFSHLASHASMVQFGGEVVNTRADGGAHTATQMGSGHFAGEGFGGASYFRNLEVVDWDNSLVPLAAGFHVTADHPDCYDIQGGVNAVWGNYFYYGGPGKNVKCT >ONIVA01G21480.1 pep chromosome:AWHD00000000:1:18811002:18823730:1 gene:ONIVA01G21480 transcript:ONIVA01G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLIGKLGAALAKEAATYGASLLCQEVSALKRLFAQIRDVKDELESMEAFLHGAERFKDIDETTGNYVKKIRGLAFEIEDAVDEFTYKLEDKHGGFTGKMRKRIKHVKAWRRLALRLQDIKQRLENADRRKVRYDLSVIGSSGHSKSPEQSFQFAREEDLVGIEINKELLMQWLAGDSEQGCKIATVWGMGGVGKTTLVSHVYKTVKLDFDVSGFVTVTNSYQFDDLLKKISTEFEIPIDADNIAVGSLVESIHHHLQGKRYILVLDDVWQPDVWFKLRNAFPTESTGRFIFTTRMQEVALLATKKCTIELAPLDAHCSWQLFCKEAFWNADNKTCPEELQDIALMFVDKCAGLPIAIACIGRLLSCKHPIYSEWEHVYKDLELQLTNNVILDADIVLKVSLEDLQRNLKNCFLHCTIFPESYAFNRKRLIRHWIAAGYIQEVGSKTVEEVAEGYLNELVNRSLLQVVERNLSGRVRRCRMHDIIRLLALRKSKEEFFCQVHKGSEACSIENTRCLSIQNASIQHLSGSSAPCL >ONIVA01G21480.2 pep chromosome:AWHD00000000:1:18810912:18825784:1 gene:ONIVA01G21480 transcript:ONIVA01G21480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSCGGGSRVKVVGQVERVDGATLSYAEFVDRFMRPNLPVVLTGLTSSWRSCHDWTLAAAADRRAPDLDFLARSFPSPLVQVADCSSREFSDQKRFEMSMREFVDHWAASSSNGDSDGSLLYLKDWHFVKEYPGYVAYTTPTFFADDWLNMYLDSHPIHRDSDIANHTNEINCADYRFVYMGPKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQSHFVFDRNLRSSVYNINDDVSEKQFPEFNNTKWLECTQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNGYNLHWVWNLLHEDYKVAKDYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFVFITRFALANIVELYHIQNPKDTDFISAETANHFVYNLMSIRDVASKMVSTEAFNTENICNISEQNRSAFSDIIKILEEESFRRLLVALSKAYNYIDRGQKDCLKMKDSSQKGCLSVTCLKPDCNVVGDIISFMREIHGPMDLESWILDQLTTVECSHPLHSGRIAKHDIPITILRQKNKNHWEKWRILLKCSLHAGSLEHYRGRPPRFSLLQPFLTAECGLSIALTPHAPISKGQTVSCCNPAEMNSRSLALKHRFFSGSLTSRCVASSTAYVQAPACSSCMYSPSSSLFYPLLLSVIAMFSYRVIC >ONIVA01G21480.3 pep chromosome:AWHD00000000:1:18810912:18821679:1 gene:ONIVA01G21480 transcript:ONIVA01G21480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSCGGGSRVKVVGQVERVDGATLSYAEFVDRFMRPNLPVVLTGLTSSWRSCHDWTLAAAADRRAPDLDFLARSFPSPLVQVADCSSREFSDQKRFEMSMREFVDHWAASSSNGDSDGSLLYLKDWHFVKEYPGYVAYTTPTFFADDWLNMYLDSHPIHRDSDIANHTNEINCADYRFVYMGPKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQSHFVFDRNLRSSVYNINDDVSEKQFPEFNNTKWLECTQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNGYNLHWVWNLLHEDYKVAKDYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFVFITRFALANIVELYHIQNPKDTDFISAETANHFVYNLMSIRDVASKMVSTEAFNTENICNISEQNRSAFSDIIKILEEESFRRLLVALSKAYNYIDRGQKDCLKMKDSSQKGCLSVTCLKPDCNVVGDIISFMREIHGPMDLSSAPEVASLSLLWR >ONIVA01G21480.4 pep chromosome:AWHD00000000:1:18810912:18822523:1 gene:ONIVA01G21480 transcript:ONIVA01G21480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSCGGGSRVKVVGQVERVDGATLSYAEFVDRFMRPNLPVVLTGLTSSWRSCHDWTLAAAADRRAPDLDFLARSFPSPLVQVADCSSREFSDQKRFEMSMREFVDHWAASSSNGDSDGSLLYLKDWHFVKEYPGYVAYTTPTFFADDWLNMYLDSHPIHRDSDIANHTNEINCADYRFVYMGPKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQSHFVFDRNLRSSVYNINDDVSEKQFPEFNNTKWLECTQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNGYNLHWVWNLLHEDYKVAKDYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFVFITRFALANIVELYHIQNPKDTDFISAETANHFVYNLMSIRDVASKMVSTEAFNTENICNISEQNRSAFSDIIKILEEESFRRLLVALSKAYNYIDRGQKDCLKMKDSSQKGCLSVTCLKPDCNVVGDIISFMREIHGPMDLLSFTIFKIQKALGSIETANHFVYNLMSIRNVP >ONIVA01G21480.5 pep chromosome:AWHD00000000:1:18810912:18817900:1 gene:ONIVA01G21480 transcript:ONIVA01G21480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSCGGGSRVKVVGQVERVDGATLSYAEFVDRFMRPNLPVVLTGLTSSWRSCHDWTLAAAADRRAPDLDFLARSFPSPLVQVADCSSREFSDQKRFEMSMREFVDHWAASSSNGDSDGSLLYLKDWHFVKEYPGYVAYTTPTFFADDWLNMYLDSHPIHRDSDIANHTNEINCADYRFVYMGPKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQSHFVFDRNLRSSVYNINDDVSEKQFPEFNNTKWLECTQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNGYNLHWVWNLLHEDYKVAKDYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFVFITRFALANIVELYHIQNPKDTDFISAETANHFVYNLMSIRDVASKMVSTEAFNTENICNISEQNRSAFSDIIKILEEESFRRLLVALSKAYNYIDRGQKDCLKMKDSSQKGCLSVTCLKPDCNVVGDIISFMREIHGPMDLANSPADIHFYSVEI >ONIVA01G21480.6 pep chromosome:AWHD00000000:1:18817937:18825784:1 gene:ONIVA01G21480 transcript:ONIVA01G21480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLIGKLGAALAKEAATYGASLLCQEVSALKRLFAQIRDVKDELESMEAFLHGAERFKDIDETTGNYVKKIRGLAFEIEDAVDEFTYKLEDKHGGFTGKMRKRIKHVKAWRRLALRLQDIKQRLENADRRKVRYDLSVIGSSGHSKSPEQSFQFAREEDLVGIEINKELLMQWLAGDSEQGCKIATVWGMGGVGKTTLVSHVYKTVKLDFDVSGFVTVTNSYQFDDLLKKISTEFEIPIDADNIAVGSLVESIHHHLQGKRYILVLDDVWQPDVWFKLRNAFPTESTGRFIFTTRMQEVALLATKKCTIELAPLDAHCSWQLFCKEAFWNADNKTCPEELQDIALMFVDKCAGLPIAIACIGRLLSCKHPIYSEWEHVYKDLELQLTNNVILDADIVLKVSLEDLQRNLKNCFLHCTIFPESYAFNRKRLIRHWIAAGYIQEVGSKTVEEVAEGYLNELVNRSLLQVVERNLSGRVRRCRMHDIIRLLALRKSKEEFFCQVHKGSEACSIENTRVSIKRLPKIVFDLFNLRFLGLRKTYIEYLPKELSRLQNLEVLDAYDSKLLILPVEVATLRKLKYLYVVRVPEGSSDRVLAFDGLQVPMGICNLIDLLALQLIEASTEVLHHIGCLTKLRTFAIGKVRTEHCADLCDAIMRMTHLVRITINSADEEEVLRLETLRLPSTISKIELGGMLSKESISRLISTSSNLVNLTELNLGFSKFNEDSFACLLNLHNLVELYLSKAYDGRELIFHATSFPKLKLLSVWDAPNLRKIAIQQGALQSLVRLFLADCPELRDVPDGIKHLRTLEYLRIKGCSDELRRKLEIKGKSNKRNEDRMKISHESWILDQLTTVECSHPLHSGRIAKHDIPITILSIWGLSSSNSSSSRRQKNKNHWEKWRILLKCSLHAGSLEHYRGRPPRFSLLQPFLTAECGLSIALTPHAPISKGQTVSCCNPAEMNSRSLALKHRFFSGSLTSRCVASSTAYVQAPACSSCMYSPSSSLFYPLLLSVIAMFSYRVIC >ONIVA01G21480.7 pep chromosome:AWHD00000000:1:18817937:18823730:1 gene:ONIVA01G21480 transcript:ONIVA01G21480.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLIGKLGAALAKEAATYGASLLCQEVSALKRLFAQIRDVKDELESMEAFLHGAERFKDIDETTGNYVKKIRGLAFEIEDAVDEFTYKLEDKHGGFTGKMRKRIKHVKAWRRLALRLQDIKQRLENADRRKVRYDLSVIGSSGHSKSPEQSFQFAREEDLVGIEINKELLMQWLAGDSEQGCKIATVWGMGGVGKTTLVSHVYKTVKLDFDVSGFVTVTNSYQFDDLLKKISTEFEIPIDADNIAVGSLVESIHHHLQGKRYILVLDDVWQPDVWFKLRNAFPTESTGRFIFTTRMQEVALLATKKCTIELAPLDAHCSWQLFCKEAFWNADNKTCPEELQDIALMFVDKCAGLPIAIACIGRLLSCKHPIYSEWEHVYKDLELQLTNNVILDADIVLKVSLEDLQRNLKNCFLHCTIFPESYAFNRKRLIRHWIAAGYIQEVGSKTVEEVAEGYLNELVNRSLLQVVERNLSGRVRRCRMHDIIRLLALRKSKEEFFCQVHKGSEACSIENTRVSIKRLPKIVFDLFNLRFLGLRKTYIEYLPKELSRLQNLEVLDAYDSKLLILPVEVATLRKLKYLYVVRVPEGSSDRVLAFDGLQVPMGICNLIDLLALQLIEASTEVLHHIGCLTKLRTFAIGKVRTEHCADLCDAIMRMTHLVRITINSADEEEVLRLETLRLPSTISKIELGGMLSKESISRLISTSSNLVNLTELNLGFSKFNEDSFACLLNLHNLVELYLSKAYDGRELIFHATSFPKLKLLSVWDAPNLRKIAIQQGALQSLVRLFLADCPELRDVPDGIKHLRTLEYLRIKGCSDELRRKLEIKGKSNKRNEDRMKISHSSAPEVASLSLLWR >ONIVA01G21480.8 pep chromosome:AWHD00000000:1:18817937:18821680:1 gene:ONIVA01G21480 transcript:ONIVA01G21480.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGSLIGKLGAALAKEAATYGASLLCQEVSALKRLFAQIRDVKDELESMEAFLHGAERFKDIDETTGNYVKKIRGLAFEIEDAVDEFTYKLEDKHGGFTGKMRKRIKHVKAWRRLALRLQDIKQRLENADRRKVRYDLSVIGSSGHSKSPEQSFQFAREEDLVGIEINKELLMQWLAGDSEQGCKIATVWGMGGVGKTTLVSHVYKTVKLDFDVSGFVTVTNSYQFDDLLKKISTEFEIPIDADNIAVGSLVESIHHHLQGKRYILVLDDVWQPDVWFKLRNAFPTESTGRFIFTTRMQEVALLATKKCTIELAPLDAHCSWQLFCKEAFWNADNKTCPEELQDIALMFVDKCAGLPIAIACIGRLLSCKHPIYSEWEHVYKDLELQLTNNVILDADIVLKVSLEDLQRNLKNCFLHCTIFPESYAFNRKRLIRHWIAAGYIQEVGSKTVEEVAEGYLNELVNRSLLQVVERNLSGRVRRCRMHDIIRLLALRKSKEEFFCQVHKGSEACSIENTRVSIKRLPKIVFDLFNLRFLGLRKTYIEYLPKELSRLQNLEVLDAYDSKLLILPVEVATLRKLKYLYVVRVPEGSSDRVLAFDGLQVPMGICNLIDLLALQLIEASTEVLHHIGCLTKLRTFAIGKVRTEHCADLCDAIMRMTHLVRITINSADEEEVLRLETLRLPSTISKIELGGMLSKESISRLISTSSNLVNLTELNLGFSKFNEDSFACLLNLHNLVELYLSKAYDGRELIFHATSFPKLKLLSVWDAPNLRKIAIQQGALQSLVRLFLADCPELRDVPDGIKHLRTLEYLRIKGCSDELRRKLEIKGKSNKRNEDRMKISHSSAPEVASLSLLWR >ONIVA01G21470.1 pep chromosome:AWHD00000000:1:18806848:18807942:-1 gene:ONIVA01G21470 transcript:ONIVA01G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G55140) TAIR;Acc:AT3G55140] MGASCSSPFPPPPPPAESNAPSASAMHHPPHPAAGGGGPVMPYADADRTLRALAGAAEGFGRRAIGGLHGPLYRVTSLDDDGHGTLRQACRAHGPLWIVFDVSGDIHLRTYLRVTSHKTIDGRGQRVRLLGKGLQLKECRHVIVCNLQIEGGRGHDVDAIQIKPSSADIWIDRCSLADCDDGLVDITRGSTDVTVSRCRFSRHDKTMLVGADPSHTGDRGIRVTVHHCFFDGTRQRHPRVRFGRAHLYNNYTRGWGIYAVAAGVEAQVASQCNVYEAGAERKAVFRYVPERAADREEAEAGWVRSEGDAFLNGARPCLVDGGDAAVFRPEEYYERWTMEAASPVLKEVVQLCAGWQPVPRPPGE >ONIVA01G21460.1 pep chromosome:AWHD00000000:1:18803145:18804935:1 gene:ONIVA01G21460 transcript:ONIVA01G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40400) TAIR;Acc:AT5G40400] MSVSRIPPSTLTSLLPRALNPRVAVVDLVAGHLTASDAPDEARPIEDELSRLLPYLGSDELTAVVLRAGHSHPLPTLRFLLALPPPAQPSPTHLAFLAGSLASSRLFSQALDALSHLLRLHPGHDALPTLLRSSATAPHPSLPGLLVKALLRHARLRDALRAALRATAAGAPPDAAAFNALLAALSRAGRFDDLWAARAVMARAGVRPNAHTFNILVAALCRGEDAERAQGFLEELEEQGFEPDVVTYNTLLSGYCRRGRLQDALHLFDVMPYRRVQPDLVSHTVVMDALCKAGRVRDARRMFDRMIQSGLSPDAVAYSVLIAGYCNEGRLREARFLLMEMVGCGFSSEGFALKVVVESHVKFSKLLTCLNMVAPIRKHGVVIPSQSYSCLIGALCEDMRPNAARGLLHWMIEDGHSPSLAMYNMIIECFCQCDIVEEALDVKVEMISREVRLDFNTYRALITCFCRLGRSLDGESIMAEMIESGFQPNEAICSALVCGFCKEGALNRAELILRAFVLDFHVHCNESYNALMRAYCETTSSKESLELQNRMLELGFVPNSVTCRSLILGLSKSIDLVSSDDGFSCISSKDNGGNAE >ONIVA01G21450.1 pep chromosome:AWHD00000000:1:18801946:18802974:-1 gene:ONIVA01G21450 transcript:ONIVA01G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38150) TAIR;Acc:AT4G38150] MMSLQIPARSGGLRRLLLLGGADRIRRPYSTGDRRRRVIREARQEEEDEAFLRTLNFSADPENNPPPPPPPPPRRPGGAPDDSSPHAAFPTDILRRAAGKQPLPPPQQPIGESLMEKLKLGDAAATSAAGNSGERPQPEREPTKPPPPEHEAAQPEDVDEIFRKMKETGLIPNAVAMLDGLCKSGLVQEAMKLFGLMREKGSIPEVVVYTAVVEAFCKARKLDDAVRIFKKMQGNGVIPNAFSYWSLIQGLCKGGRLDDAVAFCVEMFEAGHSPNATTFVGLVDEVCKAKGVEEAEKLVRSFQDRNFAIDEKSIREHLDKKGPFSPVIWEVIFGKKKSGRPF >ONIVA01G21440.1 pep chromosome:AWHD00000000:1:18781406:18781702:1 gene:ONIVA01G21440 transcript:ONIVA01G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERVKGMVKGFDATNGFSFITPDDGSEDLFIHQSSLKFDGYRSLNDDDVIELSVGSGDDGRTKAVDVTATGSDAHTGGSRTSCSHIPTAGRPLVVS >ONIVA01G21430.1 pep chromosome:AWHD00000000:1:18781130:18781309:1 gene:ONIVA01G21430 transcript:ONIVA01G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRREAGTTAGWRAYAKREKGGGRWPALLAVTCGGRGETCKCWAGCLEYPELPESRSP >ONIVA01G21420.1 pep chromosome:AWHD00000000:1:18776681:18799153:-1 gene:ONIVA01G21420 transcript:ONIVA01G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAMNISCIGLNYSSATAASAVQNIMPMCHGIVKISGIVFCAVGVSVLALYQGPDLKSFIKHHLFPHTNRVGTHSSRNWILGIFLQFLATLMWALWAVLQGPLLEEYPSKLLNTTLQIVFSAVQSFFMALVLERDFSRWKLGFDIGLVAIIYCHNKRSADGCGPVYCSLGEEDRRGGYQQSRSIWDVVCDARNAPATATSCPWLLLARAGAEAEQQRRHRFATCSDHHHHQDYLFQQTVPMGTRAAFVVAFLIRSLYGGMQIVTKFAFNEGMSTSVFVFYRHVIAILFLVPVAFVLERKTAPPLTFKVISGAINIYSLGLSYASATSSSAIFNLLPAVAFILALLMKMESLNLKRINGIAKVSGVVLCIVGVIILAFYQGPELKSFNHHHLFRTSTVYAAATSHPATTWILGIFLTTLSTTCWALWTVLQGPMLEVYPSKLLNTTIQIVFATIQCFFIALAIERDFSRWKLHLDMGLIAVIYSGVLVSGVAYYMQVWVIDKSGPVFLAMTMPITLLVTIMLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKSEQAAISKQQMGLLHAIKAVFPDCAQRHAIAILFLVPVAFVVERIAGSVNIYGLGLSYSSATSSSAISNLLPVVAFFLAVLMGMESLNLKRIHGIAKVFGVLFSIVGVIILAFYQGPELKSLNLQHLSSRNVVPTGSTAYTTKAWTSGIFLTVLSTTSWALWTVLQGLMLEVYPSKLLNTTIQMVFATIQCFFIALAVERDFSRWKLGLDAGLIAVIYSGALVSGLAYYMQVWVIDKSGPVFLAMTMPITLIVTIVLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKAEQAIASKEEATLPVQATQV >ONIVA01G21420.2 pep chromosome:AWHD00000000:1:18776681:18799153:-1 gene:ONIVA01G21420 transcript:ONIVA01G21420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKLKMCHGIVKISGIVFCAVGVSVLALYQGPDLKSFIKHHLFPHTNRVGTHSSRNWILGIFLQFLATLMWALWAVLQGPLLEEYPSKLLNTTLQIVFSAVQSFFMALVLERDFSRWKLGFDIGLVAIIYCHNKRSADGCGPVYCSLGEEDRRGGYQQSRSIWDVVCDARNAPATATSCPWLLLARAGAEAEQQRRHRFATCSDHHHHQDYLFQQTVPMGTRAAFVVAFLIRSLYGGMQIVTKFAFNEGMSTSVFVFYRHVIAILFLVPVAFVLERKTAPPLTFKVISGAINIYSLGLSYASATSSSAIFNLLPAVAFILALLMKMESLNLKRINGIAKVSGVVLCIVGVIILAFYQGPELKSFNHHHLFRTSTVYAAATSHPATTWILGIFLTTLSTTCWALWTVLQGPMLEVYPSKLLNTTIQIVFATIQCFFIALAIERDFSRWKLHLDMGLIAVIYSGVLVSGVAYYMQVWVIDKSGPVFLAMTMPITLLVTIMLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKSEQAAISKQQMGLLHAIKAVFPDCAQRHAIAILFLVPVAFVVERIAGSVNIYGLGLSYSSATSSSAISNLLPVVAFFLAVLMGMESLNLKRIHGIAKVFGVLFSIVGVIILAFYQGPELKSLNLQHLSSRNVVPTGSTAYTTKAWTSGIFLTVLSTTSWALWTVLQGLMLEVYPSKLLNTTIQMVFATIQCFFIALAVERDFSRWKLGLDAGLIAVIYSGALVSGLAYYMQVWVIDKSGPVFLAMTMPITLIVTIVLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKAEQAIASKEEATLPVQATQV >ONIVA01G21420.3 pep chromosome:AWHD00000000:1:18776683:18791217:-1 gene:ONIVA01G21420 transcript:ONIVA01G21420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVTKFAFNEGMSTSVFVFYRHVIAILFLVPVAFVLERKTAPPLTFKVISGAINIYSLGLSYASATSSSAIFNLLPAVAFILALLMKMESLNLKRINGIAKVSGVVLCIVGVIILAFYQGPELKSFNHHHLFRTSTVYAAATSHPATTWILGIFLTTLSTTCWALWTVLQGPMLEVYPSKLLNTTIQIVFATIQCFFIALAIERDFSRWKLHLDMGLIAVIYSGVLVSGVAYYMQVWVIDKSGPVFLAMTMPITLLVTIMLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKSEQAAISKQQMGLLHAIKAVFPDCAQRHAIAILFLVPVAFVVERIAGSVNIYGLGLSYSSATSSSAISNLLPVVAFFLAVLMGMESLNLKRIHGIAKVFGVLFSIVGVIILAFYQGPELKSLNLQHLSSRNVVPTGSTAYTTKAWTSGIFLTVLSTTSWALWTVLQGLMLEVYPSKLLNTTIQMVFATIQCFFIALAVERDFSRWKLGLDAGLIAVIYSGALVSGLAYYMQVWVIDKSGPVFLAMTMPITLIVTIVLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKAEQAIASKEEATLPVQATQV >ONIVA01G21410.1 pep chromosome:AWHD00000000:1:18773280:18776526:1 gene:ONIVA01G21410 transcript:ONIVA01G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHGFLFFLLFSLQHLHTIISLLDSPGGSLASLPEWQPMPCNSVSVNPLCNSYLYVTPEGRNLSKVASDFSGNASLFQRITRLSGSEDLLVNVPCVCEAINSTMTGLFHDSNYTVKAGETGDFINSKTFSGLALNVGDGHNPGREEKLIIHLPCGCSSTAPEGVLSYAVQDGDTLGNIASLFRSSWKDILDLNPRVANPDFIKPGWILFIPMGVAGPSNKKVRGLPIIIAASISVAMLLLCVLTIILRLKRRSTLPSVEAPAHKMEKIPSNTSIAALESRFYPSMRINEIDPFQTERPVIFSLIAIEDATSTFDEKRKIGEGGYGSVYLGFIGTHEIAVKKMKASKSKEFFAELKVLCKIHHINVVDLIGYAAGDDHLYLVYEYVQNGSLSEHLHDPLLKGHQPLSWTARTQIAMDSARGIEYIHDHTKTCYVHRDIKTSNILLDNGLRAKVADFGLVKLVQRSDEDECLATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALVRDNKEANKTKSLISIMRKAFKPEDLESSLETIVDPYLKDNYPIEEVCKLANISMWCLSEDPLHRPEMREVMPILAQIHMASIEWEASLGGDGEVFSGVSNGR >ONIVA01G21410.2 pep chromosome:AWHD00000000:1:18773280:18776526:1 gene:ONIVA01G21410 transcript:ONIVA01G21410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHGFLFFLLFSLQHLHTIISLLDSPGGSLASLPEWQPMPCNSVSVNPLCNSYLYVTPEGRNLSKVASDFSGNASLFQRITRLSGSEDLLVNVPCVCEAINSTMTGLFHDSNYTVKAGETGDFINSKTFSGLALNVGDGHNPGREEKLIIHLPCGCSSTAPEGVLSYAVQDGDTLGNIASLFRSSWKDILDLNPRVANPDFIKPGWILFIPMGVAGPSNKKIDPFQTERPVIFSLIAIEDATSTFDEKRKIGEGGYGSVYLGFIGTHEIAVKKMKASKSKEFFAELKVLCKIHHINVVDLIGYAAGDDHLYLVYEYVQNGSLSEHLHDPLLKGHQPLSWTARTQIAMDSARGIEYIHDHTKTCYVHRDIKTSNILLDNGLRAKVADFGLVKLVQRSDEDECLATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALVRDNKEANKTKSLISIMRKAFKPEDLESSLETIVDPYLKDNYPIEEVCKLANISMWCLSEDPLHRPEMREVMPILAQIHMASIEWEASLGGDGEVFSGVSNGR >ONIVA01G21400.1 pep chromosome:AWHD00000000:1:18769998:18771398:1 gene:ONIVA01G21400 transcript:ONIVA01G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64310) TAIR;Acc:AT1G64310] MRRSRSSAATATAPDAHTFACVLRACADCSRPDVARVVNGIVVCVGMSSHPVVGSALVSAYAKLGPVGDARRVFDGLPEPDLVLWNCMMSGYGYRGMWNDGLDLFSAMRKAGERPDGYSMVSLVSSFWNHEARAFGQAIHGMCIKGGYDSGHHVRSALVSMYFRCGCMDSGHSLFGNLLDADLVTWSSLITGLLHICKYEESFGLFRQMCNSGRRPDSILVASLLSACASMVNISYSKEIHCYAFRVGAHTDIRVSSSLMDAYAKCGFADLAYGVFFQMPDKNLVMYNTVISNLGSHGFAMKAIEVLDEMVSDKLKPDSATFSALLAACCHAGLLEEGWKLFRRMRDEFNMAVKTEHYVYIVRLLATFGQLKEAYGLIQTMPVPADSGVWGALLWGCCVHRDSSLGRIVADKLFELYPDKASYRVMLSNLYASQEMWWDAEEVREELWNEDVHKNTGISWVGNARK >ONIVA01G21390.1 pep chromosome:AWHD00000000:1:18751648:18753408:1 gene:ONIVA01G21390 transcript:ONIVA01G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRGDQSRFGVRRKISGISCDGSSSGGRRKILPKLMKMPSDEISSVAQQKAGDTYPQQKGTEEKTPILSKRDLLTEETVINRCGRDRAPSDKKCGIPKRSCSVSREDNTPLETGMIDNVRSTPGGDKGPIQTNRSGEDQVSKDQENSNSGNLSLQDLMDEDLRQLEVIAGEEKIQTRTDDAEGFTQSRTKKSKKKKKKSLVVATR >ONIVA01G21380.1 pep chromosome:AWHD00000000:1:18747911:18749137:1 gene:ONIVA01G21380 transcript:ONIVA01G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQINNASSGATKAMAKTLLIGSIYEAGCGAGLGTIAFDADDPELHVSGTGHRRWSHRPRLMPELVTGGGILHGTRHVGAHRRRLRPPSSTPHAGASRQRAASSTIHITSELITSGRVARVPRHLRLTLELVTSGSILHPTPKLIAVVASSVIHAPRRSSSQTAASSSVHAKSELVGGGRVLLAPRLSTPATAPSPTVVTTHVHQL >ONIVA01G21370.1 pep chromosome:AWHD00000000:1:18746105:18750541:-1 gene:ONIVA01G21370 transcript:ONIVA01G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPIVLQLLIILGNGMAMAPMVMVAAQPWHTCGASNYTADSMYQLNLDGMSASLFPEGSGGGIFVRGSSGADPDKVYAVALCRGDVDDAPACSSCFNAAFRRAMQLCPRSKDAAIYYDECLLRFSDTDILNMDSIRRLNTSEIVDGALVLMNLTSEPMLPGWDDDSRPTATTNFTGFLKTMLTDVVGQVLSTRRHYAAIRMEMDDGSSSSTTAVPREFYCLAQCAPDLIEDICYSCLTNFSDRAVRYEEVLHWRAHVELRVVGVECYCSKSSTAASLAATTNAKTQDKVLAIALVAPLLALFICVIVSFILTRHIRGNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQRQEKILVYEYLPNKSLDFFIFDETRRALVDWNKRLAIINGIAQGLLYLHKHSRLRIIHRDLKAGNILLDHEMNPKISDFGLAKIFSTNDTEGNTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILETVSGKRTSSFHRHGDFINLLGHAWQMWKDETWLQLVDTSLVIESHTPEMARCINIALLCVQENAADRPTMSEVVAMLTSESLTLPEPKYPAFYHMRVTKEEPSTVIMASSANGITLSVVDGR >ONIVA01G21360.1 pep chromosome:AWHD00000000:1:18729983:18731816:-1 gene:ONIVA01G21360 transcript:ONIVA01G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESVAACSPKPKLRRGLWSPEEDEKLFNHISRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEELIISLHKILGNRWSQIASQLPGRTDNEIKNFWNSCLKKKLRQRGIDPATHKPLNDGGAGAEEEHHDDGDKQQQQQLMDDVDDCFAIGGGGSSDSLAPPHSPAVSFDPLSVTNVPTTMMQSSSSPYGAAGGEHSSFRSDTLCDYGGSGGGVDVVSDAGTYSAYTGDSSSNSNSTAWTCSSVVVGGAGELPPPPLLPHMDMFGRVDAEPPPYPPFDVPARFSPWHHHHHHHHEPTLPTPPQRLDGGGGAAASFPIRSLSRDMPESCFDLGRGALDDEFGVDFL >ONIVA01G21350.1 pep chromosome:AWHD00000000:1:18708074:18708352:1 gene:ONIVA01G21350 transcript:ONIVA01G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISMAGLYPGQSANEHRRIVEREINEEGATLETEDVARAAVFLASDEAKYVNGHNLVVDGGYTVGKAPNMPAS >ONIVA01G21340.1 pep chromosome:AWHD00000000:1:18675895:18686484:-1 gene:ONIVA01G21340 transcript:ONIVA01G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSSNSLPYSTDQGGYSTHDTLVLLGIGFFATAVSILMIVLCECLCCRRRRRGGGTVVYVAARPFFLGGGGDSGGGLSASAVATLPSFVYRREEWAEAPAPAPRGGGRGRGDGSGSGRGGGGWAQCAVCLSIVQEGEAVRQLPACKHLFHVGCIDVWLHSHSTCPLCRASVEPLGKETPLKDQAPPVQGVDAWESRQGASRECRFDRLQAFEPLRKARSEAGVTEYFDERNEQFRCAGVFVIRRVIEPQGLVVPRYSNTPALAYIIQGKGYVGLTFPGCPATHQQQFQLFEQRQSDQAHKFRDEHQKIHEFRQGDVVALPASVAHWFYNGGDTPAIVVYVYDIKSFANQLEPRQKEFLLAGNNQRGQQIFEHSIFQHSGQNIFSGFNTEVLSEALGINTEAAKRLQSQNDQRGDIIRVKHGLQLLKPTLTQRQEEPRQYQQVQYREGQYNGLDENFCTIKARVNIENPNRADYYNPRAGRITLLNNQKFPILNLIGMGAARVNLYQNALLSPFWNINAHSVVYIIQGSAQVQVANNQGRTVFSGVLHQGQLLIIPQNHAVIKKAEHNGCQYVAIKTIPNPMVSRVAGKNSILRALPVDVIANAYRISRDEARRLKNNRADEIGAFTPRFPQKSQRVTMLLVNLYSRLTASTGVVGVDDDDDGSGGYGVLDGACGGTLAVFCVLAVSVVVWKACAFVAMAAALLAIGWRVVAPPRRVGRVGAGASTPECGLTAAAIDALPASEYERPLGVGGGGDPACSVCLEDVRGGETVRRLPACGHLYHAACIDAWLRSRTTCPLCRSDLYPRRGGTASGRARPRLMTHESLLPPLPSNMRSEAGTFVIRRVIQPQGLLIPRYANTPGMVYIIQGRGSMGLTFPGCPTTYQQQSQQFLFQGESQSQKFIDEHQKIHQFRQGDIVALPTGVAHWFYNDGDTPVVALYVYDINNSANQLEPRHREFLLAGKNNRVQQVYGRSIQQHFGQNIFNGFSVELLSEALNINTVTTKRLQSQNDQRGEIIHVKNGLQLLKPTLTQRHEQEQAQYQEVQFSEKPQTSSRWNGLEENLCTIKTRLNIENPTRADSYDPRAGRITSLDSQKFPILNIIQMSATRVNLYQNAILTPFWNVNAHSLMYVIQGRAQVQVVSNLGKTVFDGVLRPEQLLIIPQNYVVLKKAQHEGCQYIAINTNANAFVSHLAGVDSVFRALPVDVVANAYRISREEARRLKNNRGDEYGPFPPRLQQQIYPEFSNESKGETSE >ONIVA01G21330.1 pep chromosome:AWHD00000000:1:18673654:18674283:-1 gene:ONIVA01G21330 transcript:ONIVA01G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRYPRSSAASSTAAAAAGDDVDGFRVFYGIAVVCLSIFLFCALAASVSVWKACAYAAMAALVLSVVGCFAPKRWVRRRRGGAEADAERAAAGATAPAGARRRPAADALARAPANAPPAFVHECPLESGGAACAVCLEDVRGGETVRRLPACGHLFHVECIDMWLHSPHRTCPMCRCVVSPPVREAAKAAAEEVVSPESTADDVLPPV >ONIVA01G21320.1 pep chromosome:AWHD00000000:1:18671256:18672747:-1 gene:ONIVA01G21320 transcript:ONIVA01G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVFARISAATLRGGGEGEQGGGRGRRACYGIAASFAAVLLFCVLAVVGSVWKASVLAGLVLLAFGVADYLAPASWCRRRGRTNTRAAEREAPPGASSSSTFGLEKAAVDALPTFAYASGGAGAAQGGGGGGGDLEAGNGEPCSVCLEELHAGEMVREMPACKHLFHVECIDMWLHSHRTCPMCRCDLSPPREVAAKEATAAEMAAPPGDDALPPEWDRVARRGIFPLDAGWMNPADLPGYSRPSARASGASDEVGHITPLTMREQRQREGGNGMRAQLPASGCECGGGSGKKGRWRARRLEAATTRRGDGGEPEGWRRQQRKEGAAASLKAGDGGNGKKGRWQSPE >ONIVA01G21310.1 pep chromosome:AWHD00000000:1:18616786:18619621:-1 gene:ONIVA01G21310 transcript:ONIVA01G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVVSKSAPVVVRPSEPGTLTADKILLSPLDKPVATIPVTVLLAFDHPINDATADTIKMALAQALVHYYPIAGRLSCKDDDDGGDFYIDCTGEELGVTFVAASANCTMEEIMGVVDDQPPDDETASPTTFITVCCGCSSSSCHRVRGGRRRALAMPNPAVLLFAVNARKYLGAKDGYYGCCTAMHMAVSKTGTVANGDIMELVGIIRRAKEQIPEQLKADDGDMMLRTMVGEKQVNGYESLLYLTSWRNIGFEDVDFGSGKTARVMTYPPRMLSMMPRIAPICFMLKATEEGRRCATKMQHLQLQSFVRAFSIRHLSADRVFSITIRGSLTTKRASPNVPRMTALSSHSKTR >ONIVA01G21300.1 pep chromosome:AWHD00000000:1:18606039:18607382:-1 gene:ONIVA01G21300 transcript:ONIVA01G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVSKSAPVVVRPSQPPVKTTSGSKIVLSPMDKPSSMMPTTVLLAFDHPTIQSECTAETIKRGLAQALVPYYPIAGRLSCDDDGDFYIDCTGEELGVTFVAASANCTMEELMFCVDDQPPDAETAVVQQLAFNCTPDDLHHRLLWMQVTTLSCGGFVVGVTWNHGLADGFGMAQFIQAVGELTRGLPSPSVVPVRLDDDNNATQAIPPFAMAVYQFMSSSSHKASIDHTFNNITVPSSLIDHIRFRGRRTNDDVTVFEAVAAVLWQCRTRAVMKNPEVPAVLLFAVNARKYLGAKDGYYGNCSTMHVAVAKSGAVANADINDIVDIIRRAKERIPEQLKMTGGSDMTMLRELADDHLLDGYESLLYLTSWRNIGFEDVDFGSGKTARVMTYPQRVVLSMSMMVKAMPICVMLKATEQGARVMSACVTAHHVDAFHDEIAKLNATA >ONIVA01G21290.1 pep chromosome:AWHD00000000:1:18576536:18584685:1 gene:ONIVA01G21290 transcript:ONIVA01G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAGLVYQLFQHEMFPWTFSVLALFPFLLLVLHYLATNLTTPTTCKETRNHHPPPPSPPRLPIIGHLHLIGDLLHVSLRELAHRYGPDLMLLHLGQVPNLIVSSPRAAEAVLRTHDLVYASRPYSLIADILLYGPSDVGLSPYGEQWRQSRRIITTHLLSNKKVRSYRVAREEEVHKVMAKVHELSTKGMAVDMTELFSTFSNDLICRLVSGKNFQGDEGRNKLFRFNLEDYYPGLARLKAVSRVMCAKAWNTRKLWDELLDEIIDERLSKQKCEHDRGNGDQDEKNFDMFQAGTETSSVVLVFTMAELMKKLHLMAKLQAELRTNISKQGQELITERDLTNMTYLKAVIKETLRLHPPTPLLLPHLVVADCDIDGYMVRSGTRVIVNAWAIGRNSESWEAAEEFLPERFVDGGSAANVDFIGTNFQFLPFGAGRRICPGINFASASMEIILANLLYHFDWDVPAEVAVDKDGIDMAEAFGLSVQLEEKLLLLPVEYKDSMH >ONIVA01G21290.2 pep chromosome:AWHD00000000:1:18576536:18584685:1 gene:ONIVA01G21290 transcript:ONIVA01G21290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAGLVYQLFQHEMFPWTFSVLALFPFLLLVLHYLATNLTTPTTCKETRNHHPPPPSPPRLPIIGHLHLIGDLLHVSLRELAHRYGPDLMLLHLGQVPNLIVSSPRAAEAVLRTHDLVYASRPYSLIADILLYGPSDVGLSPYGEQWRQSRRIITTHLLSNKKVRSYRVAREEEVHKVMAKVHELSTKGMAVDMTELFSTFSNDLICRLVSGKNFQGDEERGITREHLKAILVDMFQAGTETSSVVLVFTMAELMKKLHLMAKLQAELRTNISKQGQELITERDLTNMTYLKAVIKETLRLHPPTPLLLPHLVVADCDIDGYMVRSGTRVIVNAWAIGRNSESWEAAEEFLPERFVDGGSAANVDFIGTNFQFLPFGAGRRICPGINFASASMEIILANLLYHFDWDVPAEVAVDKDGIDMAEAFGLSVQLEEKLLLLPVEYKDSMH >ONIVA01G21280.1 pep chromosome:AWHD00000000:1:18573592:18574291:1 gene:ONIVA01G21280 transcript:ONIVA01G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGAPLGWQLAVARVEELDEGRVLPASAQRSSKQAPGPDREGEKGRRHSSGLSGKEKCLGSEVPFDLAVPSLALAENKGILMWWSYEGSPAELARVLDSTEFEAQKFWHGYRNCAGGGRIRLPSIVPIRPKGVYSPWTAHKAGKCVSMRMT >ONIVA01G21270.1 pep chromosome:AWHD00000000:1:18546014:18549348:1 gene:ONIVA01G21270 transcript:ONIVA01G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLVLLGDSITELSFAAGGWGAALADHFARKVYASCLYVHLLHQNSGRRAHGFDQISGGRSGWWRRPWRAPPPTPTRRPSSPCSSAPTTPACQIDRHSMRSHMHVPLDEYQANLRAICGYLKTSGFWTKMQQFPDWRNSALCDGLHYTPFGNKILLDCVLETLESIGFSQGSLQPDLPLCSMISTL >ONIVA01G21250.1 pep chromosome:AWHD00000000:1:18507984:18508268:-1 gene:ONIVA01G21250 transcript:ONIVA01G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVACERVQNPTLRLGDSSMLPPPALDLAGTVSLVLPLCSSVALGAASGGPELKGARSGRPELKRTRSDYPELAPNKSKDEGKRSIGWRQRQ >ONIVA01G21240.1 pep chromosome:AWHD00000000:1:18500369:18500917:1 gene:ONIVA01G21240 transcript:ONIVA01G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVVCTTVTPTSSAGSDQVVAGGGEAARRPVAPAVMEERKRKRKESNRLSAQRSRARKQQQLDELAGQVAALRARNGALGLAAREAARRCAAVRAENELLRARSVELAARLDSLTDLAQCLHDAAAASSFAAAPPMMMAGAATAFPTGAVGAAAGFMMPQLPPPLLDATTMGMHCNYYY >ONIVA01G21230.1 pep chromosome:AWHD00000000:1:18481766:18482095:1 gene:ONIVA01G21230 transcript:ONIVA01G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKRKREEYSDSEQRSRSRARRRRRLAAQAAALRSENGAVEVAAREAVGRCAAVDAENELLRAREAELAARLRSLIDLQQAQRMHEATPSSPPPPPPRPSTSVDLNH >ONIVA01G21210.1 pep chromosome:AWHD00000000:1:18465564:18466511:1 gene:ONIVA01G21210 transcript:ONIVA01G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein (DRT102) [Source:Projected from Arabidopsis thaliana (AT3G04880) TAIR;Acc:AT3G04880] MAAAAADRRFKIFAAADAFGQPLKDAVVAHLRAHPSVADVVDLGVDKYYAAAAAVARSVIATPTSSSDPALEARGVVVCGTGAGVAIFANKYPGVYATHCATAADAANTRSINACNVLALSGLATPPDAAAAIADAWLATPFRAPCPASGDAPWPDDIQRFFDSAPAEMAAIPDISSASVPDSACAICCLRKGMEFEPVGIMPGGEMRIVRESPTSAYVRFKAGSVEPAHHHTFGHDLVVISGKKKVWNLTKKESYDLVDGDFLFTPAGDVHRVKYFEDTEFFIRWDGHWDIFLDEDLDAARSAIDAELGAATAK >ONIVA01G21200.1 pep chromosome:AWHD00000000:1:18456738:18463448:-1 gene:ONIVA01G21200 transcript:ONIVA01G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / protein phosphatase 2C ( PP2C) family protein [Source:Projected from Arabidopsis thaliana (AT2G40860) TAIR;Acc:AT2G40860] MGVEVPPEESNRCVRGCCRSAAIPLHLPPSSFSLLSPIAKGSESTVYEARLGGERVAAKKPVLSTSDDLDKFHYQLQLLWWVLPIELDHPGLARLVAAHARPPNYLMFFDFFEPPNLADKIHVEEWNPSVQQVVTIATDLAKALQYLNILGIVHRDIKPANILIDKDFHPHLADFGLAMYQKDIKHVSVENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKDIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAIVSQGLRPALALPESGAPPSLLSLIQRCWDSDPQQRPSFKNITEELKIIEKHIAVNSCSLASPANKSQNGNTEVHHYQEALSWLNQGELFAKGNKLDSTVDHWSDIFDQSSKYCPTLSWGSFATCGRRETMEDTHFMLPHMSEEKDLHAFGIFDGHRGSAAAEFSVRAVPGFLKQFNSNTSPTDALTEAFVRTDIAFREELILHQKSKRITQKNWHPGCTAVTALIVRNKLFVANAGDCRAILNRAGEPFPMTRDHVASCPKERERIVKEGTEVKWQIDTWRVGAAALQVTRSIGDDDLKPAVTAQPEVIETILSPDDEFLVMASDGLWDVMSNEDVLSIIKDTVKEPGMCSKRLATEAAARGSKDNITVIVVFLRPVSTAERIY >ONIVA01G21200.2 pep chromosome:AWHD00000000:1:18456738:18463448:-1 gene:ONIVA01G21200 transcript:ONIVA01G21200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / protein phosphatase 2C ( PP2C) family protein [Source:Projected from Arabidopsis thaliana (AT2G40860) TAIR;Acc:AT2G40860] MGVEVPPEESNRCVRGCCRSAAIPLHLPPSSFSLLSPIAKGSESTVYEARLGGERVAAKKPVLSTSDDLDKFHYQLQLLCELDHPGLARLVAAHARPPNYLMFFDFFEPPNLADKIHVEEWNPSVQQVVTIATDLAKALQYLNILGIVHRDIKPANILIDKDFHPHLADFGLAMYQKDIKHVSVENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKDIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAIVSQGLRPALALPESGAPPSLLSLIQRCWDSDPQQRPSFKNITEELKIIEKHIAVNSCSLASPANKSQNGNTEVHHYQEALSWLNQGELFAKGNKLDSTVDHWSDIFDQSSKYCPTLSWGSFATCGRRETMEDTHFMLPHMSEEKDLHAFGIFDGHRGSAAAEFSVRAVPGFLKQFNSNTSPTDALTEAFVRTDIAFREELILHQKSKRITQKNWHPGCTAVTALIVRNKLFVANAGDCRAILNRAGEPFPMTRDHVASCPKERERIVKEGTEVKWQIDTWRVGAAALQVTRSIGDDDLKPAVTAQPEVIETILSPDDEFLVMASDGLWDVMSNEDVLSIIKDTVKEPGMCSKRLATEAAARGSKDNITVIVVFLRPVSTAERIY >ONIVA01G21190.1 pep chromosome:AWHD00000000:1:18452840:18456146:1 gene:ONIVA01G21190 transcript:ONIVA01G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein [Source:Projected from Arabidopsis thaliana (AT3G14770) TAIR;Acc:AT3G14770] MMNALGLSVAATSTGSPFHDVCCYGAGIAGNIFALVLFISPLPTFKRIVRNGSTEQFSAMPYIYSLLNCLICLWYGLPFVSYGVVLVATVNSIGALFQLAYTATFIAFADAKNRVKVSSLLVMVFGVFALIVYVSLALFDHQTRQLFVGYLSVASLIFMFASPLSIINLVIRTKSVEYMPFYLSLSMFLMSVSFFAYGVLLHDFFIYIPNGIGTVLGVIQLVLYGYFRKGSREDSLPLLVTHT >ONIVA01G21180.1 pep chromosome:AWHD00000000:1:18451406:18452462:-1 gene:ONIVA01G21180 transcript:ONIVA01G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAPSAVLTWRTGGLFLTRSDIDHFCACGYLDGGSKSNGSRRRRREPDAAVVFAPPLGYRLRQQLHFRRQALGGWGEKAGAARRCRGSAGQRRRRWRRWVVAEAAGRRSQCGCGLEQDEDGGGRGGDSFGGDGGRRC >ONIVA01G21170.1 pep chromosome:AWHD00000000:1:18417246:18417626:-1 gene:ONIVA01G21170 transcript:ONIVA01G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVGKGTSEGFRRSGEEAVDTGGWGMEAVATRSMSPNLVVVGSSGRWSGGVAGVGGDIADADGWGMEAVAAVSMSPNSWRPDLAASGQVALEESGKRPHTPIGMEAAVVGSASSKLVEVGSDS >ONIVA01G21160.1 pep chromosome:AWHD00000000:1:18397715:18398407:-1 gene:ONIVA01G21160 transcript:ONIVA01G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSASQAKALFKATLIGLAILSMVTWVPHMYSCIKMFLVSVPSAASTLATPRCLFIFSNIIVIFLASELKLSEGESFGESPIRTNHGSDASIRYRVEAFTPATKSNDVIVHHVVEEQVSTVIVHDDSLQQLDQCEQVVASSSMSMDKESRRDNNNNKLAIDANVGDGESEEVEEQGGAISQGKVIEEEMIEEEDVGLPTDELNRRVEDFIARFNMERQLEARMLVCSY >ONIVA01G21150.1 pep chromosome:AWHD00000000:1:18346406:18347098:-1 gene:ONIVA01G21150 transcript:ONIVA01G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQEIVKISLLALGILFMATWVPHMYSFMRALFMVYLPSFALAIVAPKCLFVFSNIIVVFLVGESKFGHPKVDKENVSAVVEGDMVVHDKEGEEEVVVDLVIPAITGDDQCEELEDEEFVVLEEQVDALLSSDGVEIDQIGDEGHDLAVGEVVISDMMRKEEEGVVDQLVLEDEEVLVEVERYRHEAEEAVEERDDLLPADELNRRVEEFIARFNMERQLEARMLVCCC >ONIVA01G21140.1 pep chromosome:AWHD00000000:1:18329649:18332519:1 gene:ONIVA01G21140 transcript:ONIVA01G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDWPYPLLSRDIDARHRARQMSSSRPSGLSVFSTRTCPMWGLDERWMIGLLMACVGAGDRYARSAYEYFNEAFDLLKEDNVQWCPYTDEETQRCAPTGLSTLCLRDSSYWLMKKMLVYDIAVEAYSPQRVMRQFGLYQEVLVPLGETVPPKIHLPKRKGDASVRRNIFTKMTPWVEEWSRATLDIVNESILYDHRTYALYMRWYTAQTRVRLVTIADPDIPEMTDVDTLYPMQSALVTHLTELYAETTSLWEKLRDNIAGSREDMMSALDRMRQKCKRIMRAASCRHASDVHRLTGHRFADPLLKRPSTSSRPSTFRPSTSARPSASTRPRLAARPVELSMIIRPNNEGSTQVVRSTPTTIGGSQWHQGFTSVPDSTTVISSIPHASTTGQWQGGFASFAGSSQMVPPMHTETGSSQFQGGFSGSPINTHANVHHFVIY >ONIVA01G21130.1 pep chromosome:AWHD00000000:1:18327605:18327934:1 gene:ONIVA01G21130 transcript:ONIVA01G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATICENIPNPQPQAARAKRNHGLGTRDRCPERWRTLEKRNRNGPAHWACGTEAHTHNSRAAPRWCAGPIGPNSVPHIGRATPFRSRISNLWDGRRSRATPPLPQRSLN >ONIVA01G21120.1 pep chromosome:AWHD00000000:1:18284977:18285159:1 gene:ONIVA01G21120 transcript:ONIVA01G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDKLDLILRRMEEFERRRVEADQRRRAEYQSLKAALESWMPEIQKNAEDLQFLVGDE >ONIVA01G21110.1 pep chromosome:AWHD00000000:1:18233710:18239119:1 gene:ONIVA01G21110 transcript:ONIVA01G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHRILPPATAQTPQLHRQPLFPPPNRGEGELATRGRRPPLHSAPVAATSALLGVSNSPKFSLSIGGPATNVDALSTWPQRRSQTDQSSIPWAVAIAGRAVMHHRCLREKIRLKNAMRSLWSIKGVMSLMNLNDGSTVMAKAFSPVLNLANLAEEVQIAYWRRINLKKAEEMSPTAKLGDTMLQLVQPHSGPKAGGHQRRLSLHDHVVETTTWGKTQKLLQHRRPVTLSTARHCLRSSRPCDPKNERRERKLGGRTPTVTCHAIAMVAYLFT >ONIVA01G21110.2 pep chromosome:AWHD00000000:1:18233710:18239911:1 gene:ONIVA01G21110 transcript:ONIVA01G21110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHRILPPATAQTPQLHRQPLFPPPNRGEGELATRGRRPPLHSAPVAATSALLGVSNSPKFSLSIGGPATNVDALSTWPQRRSQTDQSSIPWAVAIAGRAVMHHRCLREKIRLKNAMRSLWSIKGVMSLMNLNDGSTVMAKAFSPVLNLANLAEEVQIAYWRRINLKKAEEMSPTAKLGDTMLQLVQPHSGPKAGGHQRRLSLHDHVVETTTWGKTQKLLQHRRPVTLSTARHCLRSSRPCDPKNERRERKLGGRTPTVTLYLGVTGK >ONIVA01G21110.3 pep chromosome:AWHD00000000:1:18233710:18237174:1 gene:ONIVA01G21110 transcript:ONIVA01G21110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHRILPPATAQTPQLHRQPLFPPPNRGEGELATRGRRPPLHSAPVAATSALLGVSNSPKFSLSIGGPATNVDALSTWPQRRSQTDQSSIPWAVAIAGRAVMHHRCLREKIRLKNAMRSLWSIKGVMSLMNLNDGSTVMAKAFSPVLNLANLAEEVQIAYWRRINLKKAEEMSPTAKLGDTMLQLVQPHSGPKAGGHQRRLSLHDHVVETTTWGKTQKLLQHRRPVTLSTARHCLRSSRPCDPKNERRERKLGGRTPTVTCHAIAMVAYLFT >ONIVA01G21100.1 pep chromosome:AWHD00000000:1:18223643:18223873:-1 gene:ONIVA01G21100 transcript:ONIVA01G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEESGAVPDLDREAEDRQLVEAYDARADGVARSGWEGRSGGASGRRMGMGTGTGDAAAEAPATGRGGKGGGGG >ONIVA01G21090.1 pep chromosome:AWHD00000000:1:18223637:18228082:1 gene:ONIVA01G21090 transcript:ONIVA01G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIRTVSSLGEVNGALQEMGINTIDQAHQVQFRLHKQTSLKEATEIKMMIQTGRHGFRLVNPELLDCKFDARVKLEEWYNTMLDACMAQCDHELFSLEASIAELKDLMLSTDDQIPHIGPEVHHRNRGVQQMLYPNPPFPIDPDYEFGTPQQRVPYQAAYTTGAERNDAVSRDKRAQRAVWNTNLRLLEVKKSALEKKKTELERCLKAEFKKVNEQQSDLGVGYANYQSPYQA >ONIVA01G21090.2 pep chromosome:AWHD00000000:1:18223637:18227717:1 gene:ONIVA01G21090 transcript:ONIVA01G21090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANIRTVSSLGEVNGALQEMGINTIDQAHQVQFRLHKQTSLKEATEIKMMIQTGRHGFRLVNPELLDCKFDARVKLEEWYNTMLDACMAQCDHELFSLEASIAELKDLMLSTDDQIPHIGPEVHHRNRGVQQMLYPNPPFPIDPDYEFGTPQQRVPYQAAYTTGAERNDAVSRDKRAQRAVWNTNLRLLEVKKSALEKKKTELERCLKAEFKKVNEQQSDLGVGYANYQSPYQA >ONIVA01G21090.3 pep chromosome:AWHD00000000:1:18223523:18227717:1 gene:ONIVA01G21090 transcript:ONIVA01G21090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANIRTVSSLGEVNGALQEMGINTIDQAHQVQFRLHKQTSLKEATEIKMMIQTGRHGFRLVNPELLDCKFDARVKLEEWYNTMLDACMAQCDHELFSLEASIAELKDLMLSTDDQIPHIGPEVHHRNRGVQQMLYPNPPFPIDPDYEFGTPQQRVPYQAAYTTGAERNDAVSRDKRAQRAVWNTNLRLLEVKKSALEKKKTELERCLKAEFKKVNEQQSDLGVGYANYQSPYQA >ONIVA01G21090.4 pep chromosome:AWHD00000000:1:18223523:18228082:1 gene:ONIVA01G21090 transcript:ONIVA01G21090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANIRTVSSLGEVNGALQEMGINTIDQAHQVQFRLHKQTSLKEATEIKMMIQTGRHGFRLVNPELLDCKFDARVKLEEWYNTMLDACMAQCDHELFSLEASIAELKDLMLSTDDQIPHIGPEVHHRNRGVQQMLYPNPPFPIDPDYEFGTPQQRVPYQAAYTTGAERNDAVSRDKRAQRAVWNTNLRLLEVKKSALEKKKTELERCLKAEFKKVNEQQSDLGVGYANYQSPYQA >ONIVA01G21080.1 pep chromosome:AWHD00000000:1:18184876:18185400:1 gene:ONIVA01G21080 transcript:ONIVA01G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSSGMACPRRAYRRQNGGRTGTTTGAIVSTGLGEARGARSYRGMEERRSSMSRGWSR >ONIVA01G21070.1 pep chromosome:AWHD00000000:1:18174209:18176875:-1 gene:ONIVA01G21070 transcript:ONIVA01G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERMESTILPRLALLLEHAERTIPPEQKQMRTDMEQWACRLRSAFYDIEDILDLADYNRLENKDNPPAARSGDSRPVEAVGGGGPAEWMRGPVLEGSGLDPIGDMQRLLLGLQPSKREKRRRMASRPGSSEKRRGTWWSRVGRYPVKEGVDFVPNSQGEIGDEFLFVPDSGSDEEEVVDGDSCEVREVDFVPDSQPQDADSVEKIGVGEDQSRGDVAMPNPPSDPHCRRRFFTNSIFKGLLKDLAQEEKARRSYFEKATRQEEDDKAAREKDDKTTREDKSMKASDDDEKDRAIRASGDDKGNSQCVGLDWRDHGQVDDSELW >ONIVA01G21060.1 pep chromosome:AWHD00000000:1:18170149:18170861:-1 gene:ONIVA01G21060 transcript:ONIVA01G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLLLDSNILLMHNRDFYNIIFLLHNWEFFSNIFLMHNRDFYSSYNRDLYGKFLIEVRKFIFLHFYWR >ONIVA01G21050.1 pep chromosome:AWHD00000000:1:18168374:18169430:-1 gene:ONIVA01G21050 transcript:ONIVA01G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKRPETMATARIRSAAVAAAWRRSTEAVAARMRFTAVTWLRSTEAAAVRLRPAVEAAAAAARLLPVAAAAARMLLAAAAPARLRLAAAAAAPLLLAAAVAVPMRPATTAVVRMRPAVLAWMRYGWSPLLQSSPSCYQDSEFFATIFGLSHWLAGFGEVLPLCLTALTMQGLSFRVEHRLDLQSWFAGLQYELLRFNDELRGNLLRSPVMLTPKSTASQQTSHMCRFRGGNRRGFPVCQAECTSIEALGCSRRGIAVVPCRFAPLLLSFIRKFASFFQLTSLVKDGPTLMFILGDVSGIQFP >ONIVA01G21040.1 pep chromosome:AWHD00000000:1:18166555:18167079:-1 gene:ONIVA01G21040 transcript:ONIVA01G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGLRQRGGEAWPSEEEAAAQVEGVIGNEEARHDRARRRRHGRRGSLAARRQGTNDEEEPTARAEGVIGSGVGGGRRPHDDDGTYRARDDDDYRRPHCYPSPLLSSRRRWRCRRRGRWRRGWRGRASAAAQPEGMCGSLPLLSLSSTLLRRRQRGRRQPPQEEGEPAGGAHL >ONIVA01G21030.1 pep chromosome:AWHD00000000:1:18166525:18166938:1 gene:ONIVA01G21030 transcript:ONIVA01G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRSPLLLEVSAAGWLPLFLRRLSSSSLPTAEESRAEGEEREGAAHPLRLRCRRRPSPPPAPPSTPPPASPPTPGGEERGGVAMRPAVVVVVACAVGAVIIVRPTPSAHATTDDPLRPRCRLLLVVCALPPRCQ >ONIVA01G21020.1 pep chromosome:AWHD00000000:1:18158870:18160274:1 gene:ONIVA01G21020 transcript:ONIVA01G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTKSRKYVYLICLDALLKERDASHTGIGGPGSQTRLGHRLSLPIILRFRFYTSRGSSQAGPLQGHRGPRIPSWSGIQAPLRTFSEDINLVRLDTLLEARGETYKGKGGPGSRGGPGSRLPSALILRCISCVTARQWLTIIRMEQTRPRPPLS >ONIVA01G21010.1 pep chromosome:AWHD00000000:1:18121695:18121979:-1 gene:ONIVA01G21010 transcript:ONIVA01G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATDEGRRERSSSVSPRGGAGSLGRSTVPSLPWHTCARGGARARRQLHHREALMVAGGREMLPSGGGARDLGREMPVLGSGGQAGLALALAP >ONIVA01G21000.1 pep chromosome:AWHD00000000:1:18101092:18101541:1 gene:ONIVA01G21000 transcript:ONIVA01G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGARPSGETTSSVANSGGRSVGGDGHGRRRGAAAAAARVPLRRLFAFADWADAALMAVGAAAAAANGMAKPLMTFVVGDVIHAFGSAGANSSRRHDGDDDVVARVTKVRCARVRPLSSPSLLCAPLCCESATCDAKNVHFFFLSRNG >ONIVA01G20990.1 pep chromosome:AWHD00000000:1:18084387:18085058:-1 gene:ONIVA01G20990 transcript:ONIVA01G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPAVRYYVPCEEADDIHDLGEEEQFCPLPLSPPYSPAQPSPPPTSPPASPTLLPTSPLSDDHADHTTDSLITFASTVDVAADDDFYFDFDFDFDADDDAMDEKHAEYLAECALKHYNGDAANEAKYELVAASATASGFLDCRGAFHFHVSFFARAAGAGAAEAAPRFFLAELHHRIAMLPTTCVVSLNNDDEIQMDPQPSGCFDDEPFDVIIKHPKGLNK >ONIVA01G20980.1 pep chromosome:AWHD00000000:1:18081800:18082544:1 gene:ONIVA01G20980 transcript:ONIVA01G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDETELPLLGEDEGKEEKPLVSVEPVLAGIREGKGETTASVGIGARGRHRLLRRERKHVPTLTASAAATALVPPTTGAEGGIFLCGKEGRRKHHRRVRRSARRRRPRSARALCGARRGGGTTSPAAYRALFRRSLSPPTTLSLPPSRIVMPPLPQPHQSPFTRLPLVPSDSRSSTMSPMAKCIMQLMWPCPDAGKKSTCAATVAAKFISSRR >ONIVA01G20970.1 pep chromosome:AWHD00000000:1:18077370:18078107:-1 gene:ONIVA01G20970 transcript:ONIVA01G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPVRYYVPFEEADDRSHDLEDEEPYCPPPLSPPYSPAQSPPPPTSPAYHDDHADHTTNSCTFTSAGGAYSYTITSANVGDYFYLDDDADAMDEEQPDEPENRPDPLVVLQQAESLAECALQHYNGDAANEVKYELVAATATATASDFMDCWDAFYYHVNFFARAAAGADDQAAPRFFFAELRHRTAMLPTCLVSLDNDDEIQMDPQPLCCFDDVPFGVVIKHPKGWKMIDRDNTLISSPSV >ONIVA01G20960.1 pep chromosome:AWHD00000000:1:18072605:18077139:1 gene:ONIVA01G20960 transcript:ONIVA01G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTIKRQPDIDVCDTKGIILEDITGDVELKDVYFSYPTRPEYLVFNGFSLQIPSGRTMALVGESGSGKSTVISLVERFYDPQSGEVLIDGIDIRRMNLGWIRGKISLVSQEPVLFSSTIRENIAYGKEDQTLEEIKRAVELANAAKFVDKLPNGLETMVGERGIQLSGGQKQRIAIARAIIKNPRILLLDEATSALDMESERVVQDALNRIMLERTTIIVAHRLSTVKNADVISVLQQGKMVEQGSHVELMKKPEGAYAQLIHLQGAQQDAEVHNDDPDMIIRSDSGSRSINVKPRSQSTSFRRSITKGSSFGHSGRHPIPAPLDFPDPMEFKDDLGMEETTDKVPRGQKKASISRLFYLNKPEAFVLVLGSVTAAMHGLMFPIFGILISSAIKMFYEPPSELLKDSRFWASMFVVVGASAFVLIPTEYFLFGLAGGKLVERIRSLTFRSVMHQEINWFDKPEHSSGSIGARLSVDALNVKRLVGDNLALNVQTVSTVISGFTIAMVANWKLALIITVVVPLVGFQAYAQMKFLKGFNKNAKLKYEEASQVATDAVGGIRTVASFCAEQKVIEAYEKKCESPVRQGIREGVVGGLGFGFSFLVFYFTYALCFYVGAKFVHQGVATFPEVFRVFFVLVLATSGISRTSAIGADSTKANESAVSIFEILDRKSKIDSSSEEGVVIASVRGDIEFHNTVALVGESGSGKSTAIALLERFYDPDTGKILLDGVDLKTFKVSWLRLQIGLVAQEPVLFNDTIHANIAYGKQEQASQEEIMAAAEAANAHQFISALPDGYSTVVGERGIQLSGGQKQRVAIARAIMKDPKVLLLDEATSALDAESERVVQEALDRVMVGRTTVVVAHRLSTIKGADIIGVLKNGAIVEKGGHDELMRIKDGTYASLVELSSSSR >ONIVA01G20950.1 pep chromosome:AWHD00000000:1:18047332:18059117:-1 gene:ONIVA01G20950 transcript:ONIVA01G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTATSLSPSPRPFPLLGFVAFFSSSNRRVGPFQKRRFILRPASFVGEEEEEEERGRGAEWARRHFGGGCVAAAAARVVVCCSSRTNMATIQDIGVSAAINILSAITFLLAFAFLRLQPINDRVYFPKWYLKGARESPSHGGAFVRKFVNLDMRSYLKVLSWMPAALKMPEDELISHAGLDSAVYLRIYLIGLKIFAPITVLAFIILVPVNWTNITLQSSKVQHSDIDKLSISNIPVGSKRFAAHLTMAYVFTFWTCYVLLREYEIVATMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDHYLTHQVVYNANKLDKMVKEKKKMQNWLDYYQLKYERNTFQRPTTKTGFLGCFGSKVDAIEYYTSEIERIEKEETDERGKIMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVYLTIRRLIIAVAFFFLNFFYVLPIAFVQSLANIEGIEKAAPFLKPLIEMRTIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSVSSLERRSAFKYYIFLFFNVFLGSIIAGSALEQLKTFLHQSANEIPRTIGEAIPMKATFFITYVMVDGWAGVAGEILRLKPLIIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAVVTPFLLPFILIFFGLAYVVYRHQIINVYNQEYESAAAFWPSVHGRIIVALIVSQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFYKYCKNRYEPAFVEYPLQDAMRKDTLERAREPGFDLKGYLMNAYIHPVFKGDEDDEKFSISDEPEAEQVLVATKRQSRRNTPVPSKYNGSESPSLAEICPGLSP >ONIVA01G20950.2 pep chromosome:AWHD00000000:1:18047332:18058463:-1 gene:ONIVA01G20950 transcript:ONIVA01G20950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIAAPMLELMTNMATIQDIGVSAAINILSAITFLLAFAFLRLQPINDRVYFPKWYLKGARESPSHGGAFVRKFVNLDMRSYLKVLSWMPAALKMPEDELISHAGLDSAVYLRIYLIGLKIFAPITVLAFIILVPVNWTNITLQSSKVQHSDIDKLSISNIPVGSKRFAAHLTMAYVFTFWTCYVLLREYEIVATMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDHYLTHQVVYNANKLDKMVKEKKKMQNWLDYYQLKYERNTFQRPTTKTGFLGCFGSKVDAIEYYTSEIERIEKEETDERGKIMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVYLTIRRLIIAVAFFFLNFFYVLPIAFVQSLANIEGIEKAAPFLKPLIEMRTIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSVSSLERRSAFKYYIFLFFNVFLGSIIAGSALEQLKTFLHQSANEIPRTIGEAIPMKATFFITYVMVDGWAGVAGEILRLKPLIIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAVVTPFLLPFILIFFGLAYVVYRHQIINVYNQEYESAAAFWPSVHGRIIVALIVSQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFYKYCKNRYEPAFVEYPLQDAMRKDTLERAREPGFDLKGYLMNAYIHPVFKGDEDDEKFSISDEPEAEQVLVATKRQSRRNTPVPSKYNGSESPSLAEICPGLSP >ONIVA01G20950.3 pep chromosome:AWHD00000000:1:18047332:18059117:-1 gene:ONIVA01G20950 transcript:ONIVA01G20950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTATSLSPSPRPFPLLGFVAFFSSSNRRVGPFQKRRVDCQPAQLLPTPAINILSAITFLLAFAFLRLQPINDRVYFPKWYLKGARESPSHGGAFVRKFVNLDMRSYLKVLSWMPAALKMPEDELISHAGLDSAVYLRIYLIGLKIFAPITVLAFIILVPVNWTNITLQSSKVQHSDIDKLSISNIPVGSKRFAAHLTMAYVFTFWTCYVLLREYEIVATMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDHYLTHQVVYNANKLDKMVKEKKKMQNWLDYYQLKYERNTFQRPTTKTGFLGCFGSKVDAIEYYTSEIERIEKEETDERGKIMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVYLTIRRLIIAVAFFFLNFFYVLPIAFVQSLANIEGIEKAAPFLKPLIEMRTIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSVSSLERRSAFKYYIFLFFNVFLGSIIAGSALEQLKTFLHQSANEIPRTIGEAIPMKATFFITYVMVDGWAGVAGEILRLKPLIIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAVVTPFLLPFILIFFGLAYVVYRHQIINVYNQEYESAAAFWPSVHGRIIVALIVSQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFYKYCKNRYEPAFVEYPLQDAMRKDTLERAREPGFDLKGYLMNAYIHPVFKGDEDDEKFSISDEPEAEQVLVATKRQSRRNTPVPSKYNGSESPSLAEICPGLSP >ONIVA01G20940.1 pep chromosome:AWHD00000000:1:18043289:18046691:1 gene:ONIVA01G20940 transcript:ONIVA01G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation UFD1 family protein [Source:Projected from Arabidopsis thaliana (AT4G15420) TAIR;Acc:AT4G15420] MDFELRKAREKLEREQRERVQRAKDKADRERRAKAEAARRRDALEASHRERRLDAARAQEEAQQKMEEVMQLGKGISFSHMFEALRYDGPGDKIKLPPSSFKELSDEGALDKGPMYFRLSKVRDSVPGARQDNDADEATCCGVLEFTAREGSAELTPHVWNNLFRGDSPDVPLIEVRYVSLPKGTYAKLKPEGVGFSDLPNHRAVLETALRNHATLSENDFVVVNYGQLQYKLKVLELKPASSVSVLETDVEVDIEGPDSVLDNIENQHVLVPLETGKVESGVVEEGKFRYYKFLVDEGMGEKVASRHANIEVKIETDTSGGDTDIYVSRHPLVFPTQHRHEWSSHEMGSKVLILKPRDASLSSGTYSIGVYGFKGTTKYQLSVAIKDVLNGQRIGEQASASSSVDVDSVVCKNCKRYISNRTSLLHEAYCVRHNVVCMHDGCGVVLRKEEATDHVHCNKCGQAFQQREMEKHMKVFHEPLQCPCGVVLEKEDMVQHQSSTCPLRLIVCQFCGDTVQAGGEPLDARDRLRNMCEHESICGSRTAPCDSCGRSVMLKDMDIHVIAVHQKS >ONIVA01G20930.1 pep chromosome:AWHD00000000:1:18027283:18041120:1 gene:ONIVA01G20930 transcript:ONIVA01G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAREAADGGDINGGGGGGGERSSSPVAAAAGNSDVGRVAVRRMFAFADGADAALMAVGAAAAVANGMAQPLMTFIFGDVINAFGSTSSPDVLAKVTKVILNFVYLGIGAGFVSTLQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDAIGEKSGKCIQLLSTFFGGFIIAFVRGWLLALVLLSCIPPIAVAGAFVSRLMTRISTRMQEKYGDAGNIAEQTIGAIRTVASFNGEKQAINTYNKFIRKAYESTLQEGVVNGLGLGTVMAILFCSYGLAVWYGSKLIVNRGYNGGIVIMNFIYLGVGAGLVSALQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMNTGQLVERMSGDAFLIQDAIGEKAGKCIQLLSTFFGGFIIAFVRGWLLALVMLSSIPPVAVAGAIMSRLMVKLTIRMQAKYGDAGIVVEQTIGAIRTVVAFNGEKKAINTYNKFIKKAYESALQQGVINGLGLGSIISVFFSSYGLAVWYGSRLIVERGYNGGIVINVIMAIMISAMSLGHATSSITALAGGQGAAYRLFRTIERQPDIDACCTTGDIFEDVKGDVELKNVYFSYPSRPEHLVFDGFSLQVPSGTRMALVGESGSGKSTVISLVERFYDPQSGEVLIDGVDIRRINLGSIRRKIGLVSQEPVLFAGTIRENITYGKEDPTLEEINRAIELANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARVIIKNPRILLLDEATSALDMESERVVQEALNKVMLERTTIIVAHRLSTVKNADMISVLQHGKLVEQGSHEELMKKPEGSYCKLIHLQETRQEAVAPNDDPDMIIRNDFDSRIINSKTRSQNISFRKSTSKSSSFGHSGTHPFTSTCDLSDPMEVHDDQHIKETTDKMSNCQEKASILRLFSLNKPEAFVLALGSITAAMHGVIFPVFGILVSSAIKMFYEPRSELLKNSRLLGSMFPVLGISTFLLIPTEYFLFGLAGGKLVERIRSLTFKSVMYQEISWFDKPENSSGSIGARLSTDALNVKRLVGDNLALNFQTLSTIISGFTIAMVANWKLTLIITVVVPLVGFQAYAQMMFLKGFNKNAKSKFEDATQVATEAVGGIRTITSFCAEQKVMNAYEKKCASPIIQGIRDGVVGALGFGFSFLVFYFAYALCFYVGAKFVHQGTATFAEVFRVFFVLVLGINEISRTSAIGSESRRVNESNVCFKYPLRPNVQIFKDLSLSIPSGKTAALVGESGSGKSTVISLLERFYEPDAGRILFDGVELETLKVSWLRLQIGLVAQEPVLFNDTIRANIAYGKQGDASEEEIIAAAEAANAHQFISGLPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEVTSALDSESERVVQEALDRVVVGRTTVVVAHRLSTIKGADIIGVLENGTIVEKGRHEELMQIKGGIYSSLVELSSSSM >ONIVA01G20930.2 pep chromosome:AWHD00000000:1:18027283:18041120:1 gene:ONIVA01G20930 transcript:ONIVA01G20930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAREAADGGDINGGGGGGGERSSSPVAAAAGNSDVGRVAVRRMFAFADGADAALMAVGAAAAVANGMAQPLMTFIFGDVINAFGSTSSPDVLAKVTKVILNFVYLGIGAGFVSTLQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDAIGEKSGKCIQLLSTFFGGFIIAFVRGWLLALVLLSCIPPIAVAGAFVSRLMTRISTRMQEKYGDAGNIAEQTIGAIRTVASFNGEKQAINTYNKFIRKAYESTLQEGVVNGLGLGTVMAILFCSYGLAVWYGSKLIVNRGYNGGIVIMNFIYLGVGAGLVSALQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMNTGQLVERMSGDAFLIQDAIGEKAGKCIQLLSTFFGGFIIAFVRGWLLALVMLSSIPPVAVAGAIMSRLMVKLTIRMQAKYGDAGIVVEQTIGAIRTVVAFNGEKKAINTYNKFIKKAYESALQQGVINGLGLGSIISVFFSSYGLAVWYGSRLIVERGYNGGIVINVIMAIMISAMSLGHATSSITALAGGQGAAYRLFRTIERQPDIDACCTTGDIFEDVKGDVELKNVYFSYPSRPEHLVFDGFSLQVPSGTRMALVGESGSGKSTVISLVERFYDPQSGEVLIDGVDIRRINLGSIRRKIGLVSQEPVLFAGTIRENITYGKEDPTLEEINRAIELANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARVIIKNPRILLLDEATSALDMESERVVQEALNKVMLERTTIIVAHRLSTVKNADMISVLQHGKLVEQGSHEELMKKPEGSYCKLIHLQETRQEAVAPNDDPDMIIRNDFDSRIINSKTRSQNISFRKSTSKSSSFGHSGTHPFTSTCDLSDPMEVHDDQHIKETTDKMSNCQEKASILRLFSLNKPEAFVLALGSITAAMHGVIFPVFGILVSSAIKMFYEPRSELLKNSRLLGSMFPVLGISTFLLIPTEYFLFGLAGGKLVERIRSLTFKSVMYQEISWFDKPENSSGSIGARLSTDALNVKRLVGDNLALNFQTLSTIISGFTIAMVANWKLTLIITVVVPLVGFQAYAQMMFLKGFNKNAKSKFEDATQVATEAVGGIRTITSFCAEQKVMNAYEKKCASPIIQGIRDGVVGALGFGFSFLVFYFAYALCFYVGAKFVHQGTATFAEVFRVFFVLVLGINEISRTSAIGSESRRVNESVFSVFKILDRKSKIDSSNDEGVVIASVRGDIEFQNVCFKYPLRPNVQIFKDLSLSIPSGKTAALVGESGSGKSTVISLLERFYEPDAGRILFDGVELETLKVSWLRLQIGLVAQEPVLFNDTIRANIAYGKQGDASEEEIIAAAEAANAHQFISGLPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEVTSALDSESERVVQEALDRVVVGRTTVVVAHRLSTIKGADIIGVLENGTIVEKGRHEELMQIKGGIYSSLVELSSSSM >ONIVA01G20930.3 pep chromosome:AWHD00000000:1:18027283:18041120:1 gene:ONIVA01G20930 transcript:ONIVA01G20930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAREAADGGDINGGGGGGGERSSSPVAAAAGNSDVGRVAVRRMFAFADGADAALMAVGAAAAVANGMAQPLMTFIFGDVINAFGSTSSPDVLAKVTKVILNFVYLGIGAGFVSTLQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDAIGEKSGKCIQLLSTFFGGFIIAFVRGWLLALVLLSCIPPIAVAGAFVSRLMTRISTRMQEKYGDAGNIAEQTIGAIRTVASFNGEKQAINTYNKFIRKAYESTLQEGVVNGLGLGTVMAILFCSYGLAVWYGSKLIVNRGYNGGIVINVLMSVMMGAMSLGHATSSITALAGGQGAAYRLFRTIERQPDIDACCTTGDIFEDVKGDVELKNVYFSYPSRPEHLVFDGFSLQVPSGTRMALVGESGSGKSTVISLVERFYDPQSGEVLIDGVDIRRINLGSIRRKIGLVSQEPVLFAGTIRENITYGKEDPTLEEINRAIELANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARVIIKNPRILLLDEATSALDMESERVVQEALNKVMLERTTIIVAHRLSTVKNADMISVLQHGKLVEQGSHEELMKKPEGSYCKLIHLQETRQEAVAPNDDPDMIIRNDFDSRIINSKTRSQNISFRKSTSKSSSFGHSGTHPFTSTCDLSDPMEVHDDQHIKETTDKMSNCQEKASILRLFSLNKPEAFVLALGSITAAMHGVIFPVFGILVSSAIKMFYEPRSELLKNSRLLGSMFPVLGISTFLLIPTEYFLFGLAGGKLVERIRSLTFKSVMYQEISWFDKPENSSGSIGARLSTDALNVKRLVGDNLALNFQTLSTIISGFTIAMVANWKLTLIITVVVPLVGFQAYAQMMFLKGFNKNAKSKFEDATQVATEAVGGIRTITSFCAEQKVMNAYEKKCASPIIQGIRDGVVGALGFGFSFLVFYFAYALCFYVGAKFVHQGTATFAEVFRVFFVLVLGINEISRTSAIGSESRRVNESVFSVFKILDRKSKIDSSNDEGVVIASVRGDIEFQNTAALVGESGSGKSTVISLLERFYEPDAGRILFDGVELETLKVSWLRLQIGLVAQEPVLFNDTIRANIAYGKQGDASEEEIIAAAEAANAHQFISGLPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEVTSALDSESERVVQEALDRVVVGRTTVVVAHRLSTIKGADIIGVLENGTIVEKGRHEELMQIKGGIYSSLVELSSSSM >ONIVA01G20920.1 pep chromosome:AWHD00000000:1:17995636:17996155:1 gene:ONIVA01G20920 transcript:ONIVA01G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPFSSPSPCCGERWRPAGKARRRHRAAADGRGRKRKTRRWRSELGSEWPATSYGEHDGEGELVGSLTATNTAPYTSAFSTSASAATVVADVLPLLCAPPPIVGPARHRARRPPPPLDLCQPPRVAVRVDYRRHMTSNRQMERMPGRVLVADEQPSGRKG >ONIVA01G20910.1 pep chromosome:AWHD00000000:1:17992175:17992803:-1 gene:ONIVA01G20910 transcript:ONIVA01G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRRTTMVAGRAASILKPYSRRASDKEEDNCALDPVAAVVGGGKNKTRLLPPLNGSAEDGGKGSNDLGGFHLFSDAAVDGIDFSTTVGGTVGVDPAVGDARLVGAWAILETVKEMDPAVASTTMTTDGLLQLPPLSQIRQAAGRGHLVVAARLEHGLRWGQR >ONIVA01G20900.1 pep chromosome:AWHD00000000:1:17976436:17979646:1 gene:ONIVA01G20900 transcript:ONIVA01G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEWPYPLLNKEIDARHRAKKISDGNSCSSQAVLIPRTAGWCGIDPRWKPRCINGFHFLTLLLRFMQTDIAGELVRDAKTLWEKFRDGIVSTNQEVMAAVDSLRRKGTSQGIPTIPQLSADFIGQGGFTSLGGPTQSVPLHAPTYGTNPWQGQSMDYGGSFRPKLMSGFRPYTAS >ONIVA01G20890.1 pep chromosome:AWHD00000000:1:17967234:17968235:-1 gene:ONIVA01G20890 transcript:ONIVA01G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39820) TAIR;Acc:AT4G39820] MAEAAAAASNSTPASPPPPPAAAAAAAALGLPDLSVPYDLATRGQWKSLLSNLDDASHPRHRLLLSALSALSLAKLRRYADAAALIASLRPDPGCPPPPFLLRLLHALLPLFLPADRPLALDRLYTLLSSVRARPDASHPEWRRRESLVASLLAADHLAHREFDVALALLASVAALDPGNPAVLSRLGYAHLQIGNLAAAAAAFRHVESVAGGDPAHASLLARNRALECVVAKDYAAAVREYERCIEADAADAVAVNNKALCLMYSRDLGDAIKVLEAALEGHPTAALNETVVVNLCSMYELAFVNHADVKRSLTDWIARVAPDDFDPSCTRM >ONIVA01G20880.1 pep chromosome:AWHD00000000:1:17963615:17970668:1 gene:ONIVA01G20880 transcript:ONIVA01G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPTLPPVVVVVVLLLLVVVLPATTCGADAGGGGEAEEFQIPRDGRVLELDDGNFDAAVRAAGLLFVDFYAPWCGHCKRLAPQLDEAAPVLAGLSTPIVVAKVNADKYKKLGSKYGVDGFPTLMLFDHGTPTEYTGSRKADLLVENLKKLVAPDVSVLESDSVIKSFVEDAGMGFPLFLGFGVDESLIVEYGAKYKNRAWFSVAKDFSEDMMVFYDFDKVPALVSVNPKYREQSIFYGPFDDGAFLEDFIRNSLLPLVVPMNRETVKMLNDDGRKVVLMILQDDESDENSPRLIKVLRSAASANRDLVFGYVGVNQWEEFTETFDVKSSELPTMIVWDKKEEYEIVEGSERLEEGDYGSQISRFLEGYRAGRTIKKKVGGRSPTLLGVNAVYILVFLVAVLVLLMYFSGQGEEDQRPRQRAHED >ONIVA01G20870.1 pep chromosome:AWHD00000000:1:17935049:17954804:-1 gene:ONIVA01G20870 transcript:ONIVA01G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSTEVVVPAGAGAGAGAGRWRRDALAHTLGSRRLPEGVADAGERVPDAVAPGVMPFIRAADEVEQDSPRVAFLCRRYAYNKVQRMDPSSVQRGVRQFKTYMSVKLDQDDTQVMGNDAKEIQRFYKSYCAELSRISEKRNFEEVARRYQVASALYEVLRDVTNNKVDSEVMKIAKVIEEKSVHFKNYKYNIIPLNFPGSSEAIVELHEIKGAIDALNSIDGLPMPHMSSMHTDGNKSIRDLLDWLSLAFGFQKSNVENQRENLVLLLANIGTRTAGQDHPLTVTQNKQQLMLLHIGLYLLIWGEASNVRFMPECLCYIFHHMARQLHKMIEENNFQSPPGFEEEGSFLKTAIEPIYKVLQKEAHKSKGGTAGHSTWRNYDDLNEHFWSEKCFARLNWPWDLTADFFYQGRTTSTKPKTNFVEVRTFLHIFRSFNRMWMFFILAFQAMLIVSWSSSGSLSALADATVFRSVLSVFITAALLNFIKVTLDIVLTFQAWGNMDWIQIVRYLLKFFVAIAWIIILPLAYSSSIRYPSGAGKLLNSWVGNWHNPSVYNVAIIIYIVPDILAAFLFLLPQLQNIMERSNWRVIGLIMWWIQISPIIGPTKFLLNQGVGNYEWHEIFPFLPHNLGVVITIWAPIVMVYFMDIQIWYAIFSTAFGGVSGALSHVGEIRTLGMLRARFKSMPEAFNKSHATAHREVPTALHMAMTSKEGDYHELIEKIRLDQARFNAVIECYESLVLILKNLLLDNNDQKIVDAIDKTVLDSVENNTLLEDFHMAEIGKVSNTLAKLLHLLSNESTDGTAERKIINALQDFMEITTRDFMKDGQGILKDENERKQRFTHLDMDMIKESFWKEKFVRLHLLLTMKDSAMDVPTNLDARRRITFFANSLFMKMPKAPQEVLYSSHELNKKNEDGISILFYLQKIYPDEWKNFLERIGVDPENEEAVKGYMDDVRIWASYRGQTLARTVRGMMYYRRALELQCYEDMTNAQDGEESARSKAIADIKFTYVVSCQLYGMHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVPLPNGKMEKQYYSVLVKGNDEEIYRIRLPGKPTDIGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLIKHGKSEPTILGVREHIFTGRVRFHYGHPDVFDRIFHLTRGGISKASKVINLSEDIFAGFNSTLRQGNVTHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFYRMLSLYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPQIKNIKPFENALATQSIFQLGMLLVLPMMIEVGLEKGFGRALGEFVIMQLQLASVFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKFAENYRMYSRSHFVKALELLILLVVYLAYGISYRSSSLYLYVTISIWFLVFCWLFAPFVFNPSCFEWHKTVDDWTDWWHWMSNRGGIGLAPEQSWEAWWISEHDHLRNGTIRSLLLEFILSLRFLIYQYGIVYHLHIVHGNRSFMVYALSWLVIAIVLVSLKVVSMGREKFITNFQLVFRILKGIVFIVLISLVVILFVVFNLTVSDVGASILAFIPTGWFILQIAQLCGPLFRRLVTEPLCALFCSCCTGGTTCKGRCCARFRLRSRDVLRKIGPWDSIQEMARMYEYTMGILIFFPIAVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQNGIIGKGIKSFIEDTHAGIKISPQDNNFLGLHDRLALVSLVTLVFLLGIMIPQVPYNNAVNYGPNGYGGRDQNNKPSTPMRSHLLTMTPKILTIGIADEHFGAVVRRAGRNITEIILASGARIKISDRDNWNIRSYSGSRVYDNAQGVSQFREVIKSREETRGIII >ONIVA01G20860.1 pep chromosome:AWHD00000000:1:17928245:17934438:-1 gene:ONIVA01G20860 transcript:ONIVA01G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FMP8] MGRRGSSWCRWWVALLVLAVAADAVGCTSVSYDDRSLVIDGQRRIILSGSIHYPRSTPEMWPDLIKKAKEGGLDAIETYIFWNGHEPHRRQYNFEGNYDVVRFFKEIQNAGMYAILRIGPYICGEWNYGGLPAWLRDIPGMQFRLHNEPFENEMETFTTLIVNKMKDSKMFAEQGGPIILAQIENEYGNIMGKLNNNQSASEYIHWCADMANKQNVGVPWIMCQQDDDVPHNVVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKELHSVLKSMEKTLVHGEYFDTNYGDNITVTKYTLDSSSACFINNRFDDKDVNVTLDGATHLLPAWSVSILPDCKTVAFNSAKIKTQTSVMVKKPNTAEQEQESLKWSWMPENLSPFMTDEKGNFRKNELLEQIVTSTDQSDYLWYRTSLNHKGEGSYKLYVNTTGHELYAFVNGKLIGKNHSADGDFVFQLESPVKLHDGKNYISLLSATVGLKNYGPSFEKMPTGIVGGPVKLIDSNGTAIDLSNSSWSYKAGLASEYRQIHLDKPGYKWNGNNGTIPINRPFTWYKATFEAPSGEDAVVVDLLGLNKGVAWVNGNNLGRYWPSYRAAEMAGCHRCDYRGAFQAEGDGTRCLTGCGEPSQRYYHVPRSFLAAGEPNTLLLFEEAGGDPSGVALRTVVPGAVCTSGEAGDAVTLSCGGGHAVSSVDVASFGVGRGRCGAYEGGCESKAAYEAFTAACVGKESCTVEITGAFAGAGCLSGVLTVQATC >ONIVA01G20850.1 pep chromosome:AWHD00000000:1:17917356:17919423:-1 gene:ONIVA01G20850 transcript:ONIVA01G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRGDAVAPGGGAAALSGDAALPGMEKDGDAVESPAVDLEAMVGSMENAGDGGLQWLKSACIYAALAALVPSKIRAKMGSSRMARTSWDSSTLTAVRVAAVGEDLGDVAAVLLPNAGKVPGQRRDVNIIHGGEAAEEERRRVGARGEAAEEWHGWSRTEDGELDVPAAESGLREDTTDDGVIEDGEDKLGELDLDSGRSLGGGGLVVEVEGVRVAALVGLGVLDGGHEGVGDGGLVGVEAKEEEAETEGSLSRETTKQRSRALSWMRGE >ONIVA01G20840.1 pep chromosome:AWHD00000000:1:17891003:17914665:-1 gene:ONIVA01G20840 transcript:ONIVA01G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRAFTMRPEGYSGEDGGEYSEESELVPNSLAPIVPILRAANEIEEENQRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLVDGLKTKKPEEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLFDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVMHSDTVRSLMRKIFENYIYWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNSHQYKNTIIPIRQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEAMVIVAWSPSGLPSAIFDPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLTFSFYVEHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPGHDSQPKRHEHEEDKINKFSDIWNAFIHSLREEDLISNRVVDRIHDRIEDSIRRQSLRTDEDIEPIKTQIANLLQDIMEIITQDIMKNGQGILKDENRNNQLFANINLDSVKDKTWKEKCVRLQLLLTTKESAIYVPTNLDARRRITFFANSLFMKMPKAPQVRSMMSFSVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVRGMMYYRRALEIQCIQDKTDIVKLEHRRTVESSQQGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDPKDKACYLNILNLMYPSLRVAYIDEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRSKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >ONIVA01G20840.2 pep chromosome:AWHD00000000:1:17891003:17914665:-1 gene:ONIVA01G20840 transcript:ONIVA01G20840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRAFTMRPEGYSGEDGGEYSEESELVPNSLAPIVPILRAANEIEEENQRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLVDGLKTKKPEEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLFDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVYGSQMHSDTVRSLMRKIFENYIYWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNMARDLYDIISDRRQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEAMVIVAWSPSGLPSAIFDPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLTFSFYVEHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPGHDSQPKRHEHEEDKINKFSDIWNAFIHSLREEDLISNRVVDRIHDRIEDSIRRQSLRTDEDIEPIKTQIANLLQDIMEIITQDIMKNGQGILKDENRNNQLFANINLDSVKDKTWKEKCVRLQLLLTTKESAIYVPTNLDARRRITFFANSLFMKMPKAPQVRSMMSFSVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVRGMMYYRRALEIQCIQDKTDIVKLEHRRTVESSQQGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDPKDKACYLNILNLMYPSLRVAYIDEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRSKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >ONIVA01G20840.3 pep chromosome:AWHD00000000:1:17891003:17914665:-1 gene:ONIVA01G20840 transcript:ONIVA01G20840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRAFTMRPEGYSGEDGGEYSEESELVPNSLAPIVPILRAANEIEEENQRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLVDGLKTKKPEEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLFDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVMHSDTVRSLMRKIFENYIYWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNSHQYKNTIIPIRQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEAMVIVAWSPSGLPSAIFDPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLTFSFYVEHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPGHDSQPKRHEHEEDKINKFSDIWNAFIHSLREEDLISNRVVDRIHDRIEDSIRRQSLRTDEDIEPIKTQIANLLQDIMEIITQDIMKNGQGILKDENRNNQLFANINLDSVKDKTWKEKPFCFCISVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVKLEHRRTVESSQQGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDPKDKACYLNILNLMYPSLRVAYIDEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRSKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >ONIVA01G20840.4 pep chromosome:AWHD00000000:1:17891003:17914665:-1 gene:ONIVA01G20840 transcript:ONIVA01G20840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRAFTMRPEGYSGEDGGEYSEESELVPNSLAPIVPILRAANEIEEENQRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLVDGLKTKKPEEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLFDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVMHSDTVRSLMRKIFENYIYWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNSHQYKNTIIPIRQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEAMVIVAWSPSGLPSAIFDPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLTFSFYVEHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPGHDSQPKRHEHEEDKINKFSDIWNAFIHSLREEDLISNRVVDRIHDRIEDSIRRQSLRTDEDIEPIKTQIANLLQDIMEIITQDIMKNGQGILKDENRNNQLFANINLDSVKDKTWKEKPFCFCISVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVKLEHRRTVESSQQGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDPKDKACYLNILNLMYPSLRVAYIDEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRSKSILMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >ONIVA01G20840.5 pep chromosome:AWHD00000000:1:17891003:17914665:-1 gene:ONIVA01G20840 transcript:ONIVA01G20840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRAFTMRPEGYSGEDGGEYSEESELVPNSLAPIVPILRAANEIEEENQRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLVDGLKTKKPEEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLFDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVYGSQMHSDTVRSLMRKIFENYIYWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNSHQYKNTIIPIRQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEAMVIVAWSPSGLPSAIFDPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLTFSFYVEHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPGHDSQPKRHEHEEDKINKFSDIWNAFIHSLREEDLISNRVVDRIHDRIEDSIRRQSLRTDEDIEPIKTQIANLLQDIMEIITQDIMKNGQGILKDENRNNQLFANINLDSVKDKTWKEKPFCFCISVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVKLEHRRTVESSQQGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDPKDKACYLNILNLMYPSLRVAYIDEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRSKSILMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >ONIVA01G20840.6 pep chromosome:AWHD00000000:1:17891003:17914665:-1 gene:ONIVA01G20840 transcript:ONIVA01G20840.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRAFTMRPEGYSGEDGGEYSEESELVPNSLAPIVPILRAANEIEEENQRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLVDGLKTKKPEEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLFDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVYGSQMHSDTVRSLMRKIFENYIYWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNMARDLYDIISDRRQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEAMVIVAWSPSGLPSAIFDPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLTFSFYVEHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPGHDSQPKRHEHEEDKINKFSDIWNAFIHSLREEDLISNRVVDRIHDRIEDSIRRQSLRTDEDIEPIKTQIANLLQDIMEIITQDIMKNGQGILKDENRNNQLFANINLDSVKDKTWKEKPFCFCISVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVKLEHRRTVESSQQGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDPKDKACYLNILNLMYPSLRVAYIDEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRSKSILMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >ONIVA01G20840.7 pep chromosome:AWHD00000000:1:17891003:17914665:-1 gene:ONIVA01G20840 transcript:ONIVA01G20840.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRAFTMRPEGYSGEDGGEYSEESELVPNSLAPIVPILRAANEIEEENQRVAYLCRFTAFEKAHTMDPNSGGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLVDGLKTKKPEEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLFDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVMHSDTVRSLMRKIFENYIYWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNMARDLYDIISDRRQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEAMVIVAWSPSGLPSAIFDPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKYTLFWILLLICKLTFSFYVEHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPGHDSQPKRHEHEEDKINKFSDIWNAFIHSLREEDLISNRVVDRIHDRIEDSIRRQSLRTDEDIEPIKTQIANLLQDIMEIITQDIMKNGQGILKDENRNNQLFANINLDSVKDKTWKEKPFCFCISVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVKLEHRRTVESSQQGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDPKDKACYLNILNLMYPSLRVAYIDEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRSKSILMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >ONIVA01G20830.1 pep chromosome:AWHD00000000:1:17882953:17889847:1 gene:ONIVA01G20830 transcript:ONIVA01G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: chloroplast; EXPRESSED IN: 17 plant structures; EXPRESSED DURING: 9 growth stages; CONTAINS InterPro DOMAIN/s: Barren (InterPro:IPR008418); Has 467 Blast hits to 447 proteins in 202 species: Archae - 0; Bacteria - 4; Metazoa - 147; Fungi /.../; Plants - 39; Viruses - 1; Other Eukaryotes - 108 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G32590) TAIR;Acc:AT2G32590] MPAADPATALAPAPTPPPARGTAAGPRLLLLQSPPPAFPLGSNDDQLERARARAAARAASVRRRSLAASLAPRAAAQQQHDLLNRDQVMDLFHNCIKLASENKINQKNTWELGLIDHLSEIIQAGEEDDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGDEADSEEGSNPKHSQEGTNKKDADRRISPTSTLESSFDSLNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLSYNLGVYDSCRVLFDSFEAPDKCILSDMQTEMAELIGLSFAKEQIEQMIIHMPLCNDISPTLSNIVYQFDDENRRPPHEAISRQIPVMEDQVVDGNDVANDDITQNDMQNDCGTWDFGGCDDQESVYDEHCDPMDHSSMNGQEETDEYTFESAEGLDVNERIDKIADFLSFGMGFSAKTNAWAGPEHWKYRKAKDLDPVPTKPDDSDAPKKTKKKRGKDEPDIDFSKALEHDMPNIFAPPKNSKSLLLPANRATSNNKLPEDCHYRPESLVKLFLLPDVLCLARRRKKPLGGSRENTDDFIPSEPWDGDDFCNDHVDEGNGDTDVEDAVDLITKPRQVNKIDIQYDKVSKQVDVHALKEVLWNHINTSADTDDLEDKDTESPLCLSKVLQDLPSCNPDAAATEISPHLYFICLLHLANEHSLTLRDRPTLDEIDIYIPASSLVK >ONIVA01G20820.1 pep chromosome:AWHD00000000:1:17864573:17880551:-1 gene:ONIVA01G20820 transcript:ONIVA01G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRRSGRPDVSYGNSDGIAHNRSVILGTIHGYYKEALAVLPLDDLPELAPRLVGAGVCFGFGDPTTNIIANTFSSFLPDKPDPDHRAKSTSARQASRRHRQQQGRMPPATPPPLRRRHEPSPSDRWRASSPSSHLTSATSPPGMRSATCASPTPTSSSPSASSSLIAATTPRMNASSARQPDVDDFIAGSFSLASHLEFVTQTVLADRSYVLSVEKILLLSGMLKKKPRMLDKSDNPMIFADERRLRNCHTDANASGDANCEKVPGGLTISLRAVLLDKIHAKYLKAISRLPMQDVRARYHRALVNGGYCYGPFSCVTNIIERKGGNPAGMSVPTRLQRMHRFILSPKLMYSFPLNLYLRKIEAALRKKGYLYDLQVICVANERVGSQMNFLDFKSPYSHVNFLARPKVGSGLKLFFAEFSNDDDDVSFCCTVSRKSKHGTRIMHPAHPIENYCGGDMDFTEMAHGTHELTNARIISCGKCAGNRVGMCGDDYIYFDPTRDAKFAQCMNRTASRANISWSDILKAAMCGFHSKFQKLTQITKSTFRLHEAAMESINLLIVDDAAKINECDLIIPLRLPVTHILMLGDDFNLQPSKVRENARFTMSPFKRLLNLGFRKHMLTEQYAIHPSIWQFRNEKFYEGRITNGATVISPEYNKKFKGLKFPNYCFIDVTGTDGSSCKEKNTIELATIQYMLEIISKQYYKDLNLRLEDTEVIDVGVLCLCGSNISEIKSSLRQKYASHNKINVHIESADSFQGETYQVVILSMLFKDENTILQIEKINAAITKARHCLWMFGEAASVSARGGVFRELVDDMIERKCILKWNTIATSQSKYALESDDFHGSSSASSNETIHQVASNFRIKSQPQLPIKKDQEIKTVFNTVKNGKLPVAHFRMSENFFSLRPGEIGHYDYQKPYLHPVSSLLASHAVMIIGSAMTMTEVNKRRENSALSI >ONIVA01G20820.2 pep chromosome:AWHD00000000:1:17864573:17880551:-1 gene:ONIVA01G20820 transcript:ONIVA01G20820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRRSGRPDVSYGNSDGIAHNRSVILGTIHGYYKEALAVLPLDDLPELAPRLVGAGVCFGFGDPTTNIIANTFSSFLPDKPDPDHRAKSTSARQASRRHRQQQGRMPPATPPPLRRRHEPSPSDRWRASSPSSHLTSATSPPGMRSATCASPTPTSSSPSASSSLIAATTPRMNASSARQPDVDDFIAGSFSLASHLEFVTQTVLADRSYVLSVEKILLLSGMLKKKPRMLDKSDNPMIFADERRLRNCHTDANASGDANCEKVPGGLTISLRAVLLDKIHAKYLKAISRLPMQDVRARYHRALVNGGYCYGPFSCVTNIIVNTLWYDSTFPAVEKLEVDMICTSTFVRVESRSLRGLIKLLLTCIPEISEHDAMIYLLKNNLKVAKQLKWQERKGGNPAGMSVPTRLQRMHRFILSPKLMYSFPLNLYLRCRLPLNHYCLATLLPSSNCISAKSLETIVDLSTDALDMFIAFKEKFVTQQGFFHRKIEAALRKKGYLYDLQVICVANERVGSQMNFLDFKSPYSHVNFLARPKVGSGLKLFFAEFSNDDDDVSFCCTVSRKSKHGTRIMHPAHPIENYCGGDMDFTEMAHGTHELTNARIISCGKCAGNRVGMCGDDYIYFDPTRDAKFAQCMNRTASRANISWSDILKAAMCGFHSKFQKLTQITKSTFRLHEAAMESINLLIVDDAAKINECDLIIPLRLPVTHILMLGDDFNLQPSKVRENARFTMSPFKRLLNLGFRKHMLTEQYAIHPSIWQFRNEKFYEGRITNGATVISPEYNKKFKGLKFPNYCFIDVTGTDGSSCKEKNTIELATIQYMLEIISKQYYKDLNLRLEDTEVIDVGVLCLCGSNISEIKSSLRQKYASHNKINVHIESADSFQGETYQVVILSMLFKDENTILQIEKINAAITKARHCLWMFGEAASVSARGGVFRELVDDMIERKCILKWNTIATSQSKYALESDDFHGSSSASSNETIHQVASNFRIKSQPQLPIKKDQEIKTVFNTVKNGKLPVAHFRMSENFFSLRPGEIGHYDYQKPYLHPVSSLLASHAVMIIGSAMTMTEVNKRRENSALSI >ONIVA01G20820.3 pep chromosome:AWHD00000000:1:17864573:17880551:-1 gene:ONIVA01G20820 transcript:ONIVA01G20820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRRSGRPDVSYGNSDGIAHNRSVILGTIHGYYKEALAVLPLDDLPELAPRLVGAGVCFGFGDPTTNIIANTFSSFLPDKPDPDHRAKSTSARQASRRHRQQQGRMPPATPPPLRRRHEPSPSDRWRASSPSSHLTSATSPPGMRSATCASPTPTSSSPSASSSLIAATTPRMNASSARQPDVDDFIAGSFSLASHLEFVTQTVLADRSYVLSVEKILLLSGMLKKKPRMLDKSDNPMIFADERRLRNCHTDANASGDANCEKVPGGLTISLRAVLLDKIHAKYLKAISRLPMQDVRARYHRALVNGGYCYGPFSCVTNIIVNTLWYDSTFPAVEKLEVDMICTSTFVRVESRSLRGLIKLLLTCIPEISEHDAMIYLLKNNLKVAKQLKWQERKGGNPAGMSVPTRLQRMHRFILSPKLMYSFPLNLYLRKIEAALRKKGYLYDLQVICVANERVGSQMNFLDFKSPYSHVNFLARPKVGSGLKLFFAEFSNDDDDVSFCCTVSRKSKHGTRIMHPAHPIENYCGGDMDFTEMAHGTHELTNARIISCGKCAGNRVGMCGDDYIYFDPTRDAKFAQCMNRTASRANISWSDILKAAMCGFHSKFQKLTQITKSTFRLHEAAMESINLLIVDDAAKINECDLIIPLRLPVTHILMLGDDFNLQPSKVRENARFTMSPFKRLLNLGFRKHMLTEQYAIHPSIWQFRNEKFYEGRITNGATVISPEYNKKFKGLKFPNYCFIDVTGTDGSSCKEKNTIELATIQYMLEIISKQYYKDLNLRLEDTEVIDVGVLCLCGSNISEIKSSLRQKYASHNKINVHIESADSFQGETYQVVILSMLFKDENTILQIEKINAAITKARHCLWMFGEAASVSARGGVFRELVDDMIERKCILKWNTIATSQSKYALESDDFHGSSSASSNETIHQVASNFRIKSQPQLPIKKDQEIKTVFNTVKNGKLPVAHFRMSENFFSLRPGEIGHYDYQKPYLHPVSSLLASHAVMIIGSAMTMTEVNKRRENSALSI >ONIVA01G20820.4 pep chromosome:AWHD00000000:1:17868025:17880551:-1 gene:ONIVA01G20820 transcript:ONIVA01G20820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRRSGRPDVSYGNSDGIAHNRSVILGTIHGYYKEALAVLPLDDLPELAPRLVGAGVCFGFGDPTTNIIANTFSSFLPDKPDPDHRAKSTSARQASRRHRQQQGRMPPATPPPLRRRHEPSPSDRWRASSPSSHLTSATSPPGMRSATCASPTPTSSSPSASSSLIAATTPRMNASSARQPDVDDFIAGSFSLASHLEFVTQTVLADRSYVLSVEKILLLSGMLKKKPRMLDKSDNPMIFADERRLRNCHTDANASGDANCEKVPGGLTISLRAVLLDKIHAKYLKAISRLPMQDVRARYHRALVNGGYCYGPFSCVTNIIERKGGNPAGMSVPTRLQRMHRFILSPKLMYSFPLNLYLRKIEAALRKKGYLYDLQVICVANERVGSQMNFLDFKSPYSHVNFLARPKVGSGLKLFFAEFSNDDDDVSFCCTVSRKSKHGTRIMHPAHPIENYCGGDMDFTEMAHGTHELTNARIISCGKCAGNRVGMCGDDYIYFDPTRDAKFAQCMNRTASRANISWSDILKEAKGMEAGVMGGGRRSRWDFEDLCHGRLRDKHVQWTFGLSSVPSKLNYLRLQCVDFIQSFRSSLKLPKFVERKFLEDFCIKHAKVIISTT >ONIVA01G20820.5 pep chromosome:AWHD00000000:1:17864573:17867997:-1 gene:ONIVA01G20820 transcript:ONIVA01G20820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESINLLIVDDAAKINECDLIIPLRLPVTHILMLGDDFNLQPSKVRENARFTMSPFKRLLNLGFRKHMLTEQYAIHPSIWQFRNEKFYEGRITNGATVISPEYNKKFKGLKFPNYCFIDVTGTDGSSCKEKNTIELATIQYMLEIISKQYYKDLNLRLEDTEVIDVGVLCLCGSNISEIKSSLRQKYASHNKINVHIESADSFQGETYQVVILSMLFKDENTILQIEKINAAITKARHCLWMFGEAASVSARGGVFRELVDDMIERKCILKWNTIATSQSKYALESDDFHGSSSASSNETIHQIHAQCIPVWEQWSPCTNTKERGVLGRRKQQPEVASNFRIKSQPQLPIKKDQEIKTVFNTVKNGKLPVAHFRMSENFFSLRPGEIGHYDYQKPYLHPVSSLLASHAVMIIGSAMTMTEVNKRRENSALSI >ONIVA01G20820.6 pep chromosome:AWHD00000000:1:17868025:17880551:-1 gene:ONIVA01G20820 transcript:ONIVA01G20820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRRSGRPDVSYGNSDGIAHNRSVILGTIHGYYKEALAVLPLDDLPELAPRLVGAGVCFGFGDPTTNIIANTFSSFLPDKPDPDHRAKSTSARQASRRHRQQQGRMPPATPPPLRRRHEPSPSDRWRASSPSSHLTSATSPPGMRSATCASPTPTSSSPSASSSLIAATTPRMNASSARQPDVDDFIAGSFSLASHLEFVTQTVLADRSYVLSVEKILLLSGMLKKKPRMLDKSDNPMIFADERRLRNCHTDANASGDANCEKVPGGLTISLRAVLLDKIHAKYLKAISRLPMQDVRARYHRALVNGGYCYGPFSCVTNIIVNTLWYDSTFPAVEKLEVDMICTSTFVRVESRSLRGLIKLLLTCIPEISEHDAMIYLLKNNLKVAKQLKWQERKGGNPAGMSVPTRLQRMHRFILSPKLMYSFPLNLYLRCRLPLNHYCLATLLPSSNCISAKSLETIVDLSTDALDMFIAFKEKFVTQQGFFHRKIEAALRKKGYLYDLQVICVANERVGSQMNFLDFKSPYSHVNFLARPKVGSGLKLFFAEFSNDDDDVSFCCTVSRKSKHGTRIMHPAHPIENYCGGDMDFTEMAHGTHELTNARIISCGKCAGNRVGMCGDDYIYFDPTRDAKFAQCMNRTASRANISWSDILKEAKGMEAGVMGGGRRSRWDFEDLCHGRLRDKHVQWTFGLSSVPSKLNYLRLQCVDFIQSFRSSLKLPKFVERKFLEDFCIKHAKVIISTT >ONIVA01G20820.7 pep chromosome:AWHD00000000:1:17868025:17880551:-1 gene:ONIVA01G20820 transcript:ONIVA01G20820.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRRSGRPDVSYGNSDGIAHNRSVILGTIHGYYKEALAVLPLDDLPELAPRLVGAGVCFGFGDPTTNIIANTFSSFLPDKPDPDHRAKSTSARQASRRHRQQQGRMPPATPPPLRRRHEPSPSDRWRASSPSSHLTSATSPPGMRSATCASPTPTSSSPSASSSLIAATTPRMNASSARQPDVDDFIAGSFSLASHLEFVTQTVLADRSYVLSVEKILLLSGMLKKKPRMLDKSDNPMIFADERRLRNCHTDANASGDANCEKVPGGLTISLRAVLLDKIHAKYLKAISRLPMQDVRARYHRALVNGGYCYGPFSCVTNIIVNTLWYDSTFPAVEKLEVDMICTSTFVRVESRSLRGLIKLLLTCIPEISEHDAMIYLLKNNLKVAKQLKWQERKGGNPAGMSVPTRLQRMHRFILSPKLMYSFPLNLYLRKIEAALRKKGYLYDLQVICVANERVGSQMNFLDFKSPYSHVNFLARPKVGSGLKLFFAEFSNDDDDVSFCCTVSRKSKHGTRIMHPAHPIENYCGGDMDFTEMAHGTHELTNARIISCGKCAGNRVGMCGDDYIYFDPTRDAKFAQCMNRTASRANISWSDILKEAKGMEAGVMGGGRRSRWDFEDLCHGRLRDKHVQWTFGLSSVPSKLNYLRLQCVDFIQSFRSSLKLPKFVERKFLEDFCIKHAKVIISTT >ONIVA01G20820.8 pep chromosome:AWHD00000000:1:17864573:17867997:-1 gene:ONIVA01G20820 transcript:ONIVA01G20820.8 gene_biotype:protein_coding transcript_biotype:protein_coding MESINLLIVDDAAKINECDLIIPLRLPVTHILMLGDDFNLQPSKVRENARFTMSPFKRLLNLGFRKHMLTEQYAIHPSIWQFRNEKFYEGRITNGATVISPEYNKKFKGLKFPNYCFIDVTGTDGSSCKEKNTIELATIQYMLEIISKQYYKDLNLRLEDTEVIDVGVLCLCGSNISEIKSSLRQKYASHNKINVHIESADSFQGETYQVVILSMLFKDENTILQIEKINAAITKARHCLWMFGEAASVSARGGVFRELVDDMIERKCILKWNTIATSQSKYALESDDFHGSSSASSNETIHQVASNFRIKSQPQLPIKKDQEIKTVFNTVKNGKLPVAHFRMSENFFSLRPGEIGHYDYQKPYLHPVSSLLASHAVMIIGSAMTMTEVNKRRENSALSI >ONIVA01G20810.1 pep chromosome:AWHD00000000:1:17851125:17851871:-1 gene:ONIVA01G20810 transcript:ONIVA01G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKKRFPTLAQVAKMASLLLLFLLLPLVPSSLRQPYLYLLFNALVVALGVEAGFLAAISSGPRDDKLLPKPAAAAAALRLSHSNRPGEVDVAAAATNGRHHHVNGSSSSSAVIVAASPSLTPKAAELEAAAGSRDVVVIAGGAMPAAAAAKKSKKMRRCPSRASLFFIGGGDGEDVVVHEEEEGCWTKGGGGGGGEQMMSKQELFTKAEAFIGNFYKQLKMQREESWKKLQDLYHHHHHHYKTTAL >ONIVA01G20800.1 pep chromosome:AWHD00000000:1:17829538:17843057:-1 gene:ONIVA01G20800 transcript:ONIVA01G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTHTYPAPSHGPRQERGVCIGNPLVWDPNPTPPALCPTRRPHPLFALNPNPAPHRPRRRRVETAPPHLSLSLSSRYWSLAPRRRAATVISQGGRRGRVPCRPRPAPPEPAPPSRSPSAEALLPPRIEGGLLLSGGSSPVVETASSSSSVLLEVARIRTWIDFLPRVICNLLGHRRPPMMGSSVPPQNLGPPMPMQFRPVIHQQQPPQFMQPGQQFRPVGQAMPGANIGMPGQMPHFQQPTQHLPHSGQVPPASQAYQPSSVPPPIVQSWGTAPGQNVPPVQPGHQPMSSSATMPSINSSETSSSDWQEHTSADGKKYYYNKKTRQSSWEKPAELMTPLERADASTEWKEFTTQEGRKYYYNKVTKQSKWTIPDELKIARELAEKASNPRPDQETETTAGAPSGPTSNSVEPSSVPANQSSTTIMGAPSTLDAAANSVPPGAGPSHNMENTSSSSNTAMQNGGPSTVVTPVISTEIPSVASDAGISRANNEYPSLASTADTQNGASAEELEEAKKTMAVPGKINVTPVEDKTSEEEPVTYANKLEAKNAFKALLESSNVESDWTWDQAMRVIINDKRYGALKTLGERKQAFNEYLNQRKKLEAEERRIKQRKARDDFLAMLEESKELTSSTRWSKAITMFEDDERFSAVERPREREDLFESYLMELQKKERTRAAEEHKRHIAEYRAFLESCEFIKTSTQWRKVQERLEDDERCSRLEKIDRLEIFQEYIRDLEKEEEEHKRIHKEQVRRQERKNRDEFRKMLEEHVAEGMLTAKTRWRDYCAQVKDSSVYLAVASNISGSMPKDLFEDVMEELEKQYQDDKARIKEAVKSGKIPMTTSWTLEDFETAVTEDDTLKGITNINMKLIFDDQVERLREKEVKEAKKRQRLGENFSDLLYSIKEISASSTWDDSKALFEDSQEYKALDSETYSRELFEECVVHLKERLKEKERLREEEKARKEKEREEKERRKEKEKKEKERKEKERDKEKEREKDKGKDRSRKDEMDIDAVDADSHGSKDKKREKDKEKKHKRRHHDTADDVSSERDEKDDSKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDSSRRNGTRDDLEDGELGEDGEIH >ONIVA01G20800.2 pep chromosome:AWHD00000000:1:17829538:17841843:-1 gene:ONIVA01G20800 transcript:ONIVA01G20800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMQPSGPPQQSRPPMMGSSVPPQNLGPPMPMQFRPVIHQQQPPQFMQPGQQFRPVGQAMPGANIGMPGQMPHFQQPTQHLPHSGQVPPASQAYQPSSVPPPIVQSWGTAPGQNVPPVQPGHQPMSSSATMPSINSSETSSSDWQEHTSADGKKYYYNKKTRQSSWEKPAELMTPLERADASTEWKEFTTQEGRKYYYNKVTKQSKWTIPDELKIARELAEKASNPRPDQETETTAGAPSGPTSNSVEPSSVPANQSSTTIMGAPSTLDAAANSVPPGAGPSHNMENTSSSSNTAMQNGGPSTVVTPVISTEIPSVASDAGISRANNEYPSLASTADTQNGASAEELEEAKKTMAVPGKINVTPVEDKTSEEEPVTYANKLEAKNAFKALLESSNVESDWTWDQAMRVIINDKRYGALKTLGERKQAFNEYLNQRKKLEAEERRIKQRKARDDFLAMLEESKELTSSTRWSKAITMFEDDERFSAVERPREREDLFESYLMELQKKERTRAAEEHKRHIAEYRAFLESCEFIKTSTQWRKVQERLEDDERCSRLEKIDRLEIFQEYIRDLEKEEEEHKRIHKEQVRRQERKNRDEFRKMLEEHVAEGMLTAKTRWRDYCAQVKDSSVYLAVASNISGSMPKDLFEDVMEELEKQYQDDKARIKEAVKSGKIPMTTSWTLEDFETAVTEDDTLKGITNINMKLIFDDQVERLREKEVKEAKKRQRLGENFSDLLYSIKEISASSTWDDSKALFEDSQEYKALDSETYSRELFEECVVHLKERLKEKERLREEEKARKEKEREEKERRKEKEKKEKERKEKERDKEKEREKDKGKDRSRKDEMDIDAVDADSHGSKDKKREKDKEKKHKRRHHDTADDVSSERDEKDDSKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDSSRRNGTRDDLEDGELGEDGEIH >ONIVA01G20790.1 pep chromosome:AWHD00000000:1:17825312:17827375:1 gene:ONIVA01G20790 transcript:ONIVA01G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRAALAAALAGTAAVAAKLSGISVRVSHPPQDGAATTTRGHLGLVRAHPGLRDLNAALAGSSSGRDALFLDAAHALAAGALRAPTFTGRYLREYAKKLAAAESTVVYRVEMGLALVHARDGRFDQALADVARLVADRPGDPHLRITSAALRLLHGRMGTPAEWLNGLPEDAGWRVAFEVVFAMPGSSPLYTQGAADRVVMLLAAMLAEAVLVKNFEQGEWSVADKLAISLLLTALRLFVSKYSRFPYFTRPKSTPPPPSSGGREIKPVNLQPVFLVECSQAMLASLLRARPLCGERLQEARATAERALADAEAEGDDLAAVDVNLLLAFLAARDGDFDDALRRYKAAVQKDPSDSRPYELAAALCAINGDAAESEAWRRDGKQHGRATVAVAVAELELPALLDELVVAAALGSGILTTLDLERGGRRRLVLVAAWREVDARLAAAVLDDDLTLPERVQLRLLRRLLRGETQLLLDTASHGLMKNSTQLGTRWTS >ONIVA01G20780.1 pep chromosome:AWHD00000000:1:17805896:17807001:-1 gene:ONIVA01G20780 transcript:ONIVA01G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGSTGLKAVTATRGDVMARQRRTGREALMRRRWRHDWCGARRGAGDSDGDTSGAGLGAAQPTATATARGGSFLYFLGDHLWFGKQ >ONIVA01G20770.1 pep chromosome:AWHD00000000:1:17794471:17799679:1 gene:ONIVA01G20770 transcript:ONIVA01G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITYLEEFTTEEFDRTNISPDTMPKKNAPRCDSLMQCNLHSASRDQLEPRSNNHLRPPVVGCNEILLFGNHDRTNLLQLKGGHLRFCTWRYGGQLKAIQLLDGSNYVEWRNNVFINLAIREDPPEEPQPAEELNIIGEEYDNLMWAYNKKLANWEKSNRMCLIYVRGAISPEVIGEIIDSNDIKTYLANIEESFEFAPETHANTLVSEMITSHYDGKSGIRKHILEMTHMENQLRSMDMEISDGFLVHLIMRSLGPNYDPFKINYNTQKEKWTIQELISHSVEEEERQRAEKQKIKDQLNLTNAFDKGKKVYQGESSNKNSEPEGEQNTSPYCHFCASDGHWLRNCTHFTVSLVKKGIPYRPNGSKEGSEHSE >ONIVA01G20760.1 pep chromosome:AWHD00000000:1:17786511:17790659:1 gene:ONIVA01G20760 transcript:ONIVA01G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G32520) TAIR;Acc:AT2G32520] MATPQLLLRRAFSSSSFLSSPFRRPPLHPARSFVPPRAAMASSAPPFHMVQIQRDDTTFDAYVVGKENAPGIVVLQEWWGVDYEIKNHAVHISQIGEGYRALIPDLYRGKVALDVAEAQHLMEGLDWPGAVKDIQASVKWLKANGSPKVGVTGYCMGGALSIASGVSVPEVDAVVAFYGTPPSELADASKAQAPIQAHFGELDSFVGFADVTAAKSLEEKLKSSGVPHEVHIYPGCSHAFMNTSPEAVKRRKEMGLTDENQAAIDLAWSRFSTWMGRFLGSA >ONIVA01G20750.1 pep chromosome:AWHD00000000:1:17781353:17784612:-1 gene:ONIVA01G20750 transcript:ONIVA01G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTARRLALLGLCLGLLLLAPSHLASAAAVEDGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDASIGQVVQLESLNVSVLGGASQTVDLQTLYNIEGWDAYALAFQATDEQASLEFRNPGMEDDPTCGPILDNVAIKKLFTPDKPKDNVVSNGDFEEGPWMFPNTSFGVLLPTNLDEQTSALPGWMIESNRAVRFVDSDQYTVPQGKRAIELLSGKEGIISQM >ONIVA01G20730.1 pep chromosome:AWHD00000000:1:17775101:17776049:-1 gene:ONIVA01G20730 transcript:ONIVA01G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEAELWWLARAAIPALVAHMMRHVFDQNGIKGAVAAVAKLPDNAVSISQDARKLDLFNLDIFLSFLPVLAGLLTSKAER >ONIVA01G20720.1 pep chromosome:AWHD00000000:1:17766513:17773049:-1 gene:ONIVA01G20720 transcript:ONIVA01G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPIHQEQYKTMSSRRGGGGRRGRGRGRGRSALAENDMDFHETSALSSPSTTSDKEDNIEFTSHQPCLVSQAAEHVSNTLLNPKINHRSDAIFGDQMYLPERVMRQFGLRQYIPPPFRPTLQALHRISRRGRERENWEETHHEYIQEWEARRQRIFPESEQYDPSSYEEYLHWYSGVTRRYLVPSISDDVEAGPSLQPDDSIDLQYQAKAPMIRKAVDKLHGMVKKAKMAMTSTADTTTQALVFEFLHGFQDVLHDLGEIKENGGSATSPHVESAASQDMPLLLLEAEQNIVDADQEAQHQEEEELHMVDDATMTLEPMDEEDNGFNNVICPCPSLELEEHSHSATPAIDECDTATPAPGSAIPQQSTSVDQDGHLENPN >ONIVA01G20710.1 pep chromosome:AWHD00000000:1:17761692:17765671:-1 gene:ONIVA01G20710 transcript:ONIVA01G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRGGHGHAPAAPPRAGNQAVSLREESSGKTRADAASLLRVQHLQRLAAWAGGEAGAGPLGALLGRRLAANAEAAGIPLAASTFLCQRSGCETVLQPGFNCTIRIKNNKRKAKRCKKLNTCQNSISYLCHFCGDQNLIRGSGKNIMKGLLSSRKPVGMDVTSIKLKGDSNNKRLVTIKEGFEYSQAAVSQLESTSGLKQQNLEKNEYEESPVPNLLDESMEKEVACSSVELNQSASATDQENVSQKIVVTITSEKEFPVGSSFVTPRKNKLVDVTDHKDSAELVKTRSIQNKKGEMPSSVTGKAPTMPTKSAPKDRVKNKSVASGSAQMSGSSRKRARKGWTTLKQIAEKEELERKEKMGNFVIPFFMQ >ONIVA01G20710.2 pep chromosome:AWHD00000000:1:17761692:17765671:-1 gene:ONIVA01G20710 transcript:ONIVA01G20710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRGGHGHAPAAPPRAGNQAVSLREESSGKTRADAASLLRVQHLQRLAAWAGGEAGAGPLGALLGRRLAANAEAAGIPLAASTFLCQRSGCETVLQPGFNCTIRIKNNKRKAKRCKKLNTCQNSISYLCHFCGDQNLIRGSGKNIMKGLLSSRKPVGMDVTSIKLKGDSNNKRLVTIKEGFEYSQAAVSQLESTSGLKQQNLEKNEYEESPVPNLLDESMEKEVACSSVELNQSASATDQENVSQKIVVTITSEKGMHETEPVSANKIAICQPDFPSKAEFPVGSSFVTPRKNKLVDVTDHKDSAELVKTRSIQNKKGEMPSSVTGKAPTMPTKSAPKDRVKNKSVASGSAQMSGSSRKRARKGWTTLKQIAEKEELERKEKMGNFVIPFFMQ >ONIVA01G20700.1 pep chromosome:AWHD00000000:1:17757331:17757786:-1 gene:ONIVA01G20700 transcript:ONIVA01G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLPVAIFKLIDDIVIPYIEQCLLCSAWLGNFFLASFIRIGAGYLQEPAPIVPYESRF >ONIVA01G20690.1 pep chromosome:AWHD00000000:1:17753089:17754084:-1 gene:ONIVA01G20690 transcript:ONIVA01G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGVGCQEQHFDLRFGFAGLYNQREPREERGEGERGAGLPVAKVWRRRRHIVFPVDAHSGRSVVGREEERDGRNSSGKSLAPAGLGGGGGGLTQGGREGWRKGKDREATREEIDRRRRRPDMGGEREEEELKARMAKRGGVRRLFIGGGRRFMKVGSPVEDEEGTATVA >ONIVA01G20680.1 pep chromosome:AWHD00000000:1:17746528:17747760:-1 gene:ONIVA01G20680 transcript:ONIVA01G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPTPRRPRSWSDIPADIAGLVLRLLPAHADRARFAAVCPQWRATARQRPLPPPPPLPLLALPDGTFYSPPYDEPFRFPGFGFAGYKATCGSRLVFPRDDGCFLVNPFTGATVTLPALSSVRLRPPNAVAKYDQQGTAYPVTWMHIRGSEHLHISKLILCLPSLVAAIVGDGHISQILVCKPGGLSWSVRAYDMVRNFQDMAFYQGKLYAIANDDEDLLVVNISQDQSTGDPQVSKIGQAIKGEPFHSVWHEFGTMDILANKKLYLVESHGSLLMIRRKIWCWSKQASDTDPEASRPIVAGPNEFEVFKADFEQSRWVKMTTLGDEQVLFLGRRCSRAMSVSQYGMSGDQIFFLDDEEENLKQYYYSTEITSFCVCDMRDGQVDSPLPKASWKRCDEMRPVAWLFPQD >ONIVA01G20670.1 pep chromosome:AWHD00000000:1:17740412:17744968:1 gene:ONIVA01G20670 transcript:ONIVA01G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0FML3] MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELRADRSYFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >ONIVA01G20670.2 pep chromosome:AWHD00000000:1:17740412:17744968:1 gene:ONIVA01G20670 transcript:ONIVA01G20670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0FML3] MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELRADRSYFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >ONIVA01G20670.3 pep chromosome:AWHD00000000:1:17740412:17744967:1 gene:ONIVA01G20670 transcript:ONIVA01G20670.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0FML3] MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELRADRSYFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >ONIVA01G20670.4 pep chromosome:AWHD00000000:1:17740412:17743999:1 gene:ONIVA01G20670 transcript:ONIVA01G20670.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0FML3] MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELRADRSYFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >ONIVA01G20660.1 pep chromosome:AWHD00000000:1:17729936:17739240:1 gene:ONIVA01G20660 transcript:ONIVA01G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPRAGDGAAAAAAKEAPAVSYLQACVELDDWWLERVEGEEGKVRVVGSNTTTSRAGRRFTSASIKTRHASGDLETEDGIIIMIARPPNISKMHLNGFPDEVSKHFSLGFPVQWENIINANMAEMNKQPQSPLKSTEYYIEKFLRGNLKYSMGLFSWDGLNIYQGSRSDADRFPSERLSNSSNGRPTVEDPTANTDCNVNFMGTLATSEEFCTGRMDMPEEPRATPSETCGNDQENNQHLCMLMNTCENGNKVQHGTSSVGPSVVPAEKYVRSKAEQDALLVNDSTSHVSSVLGDCATPKCGKSFTHLGTKDALETNEGMNPQFGVPQGSEGSTVRRLRNGKVIVISTSASTKKVYRRARMQDNTFSENVIPNKNVTCPTGLISQENVGSVAVTAAAKLQIHDTPRKDCGAENTLKPGDVIQCRECGYRILYKKRTRRSMFNP >ONIVA01G20650.1 pep chromosome:AWHD00000000:1:17706370:17710654:1 gene:ONIVA01G20650 transcript:ONIVA01G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESEAAPGTGKLVCVTGASGYIASWLVRLLLARGYTVRATVRDTSDPKKTLHLRALDGANERLHLFEANLLEEGSFDAAVNGCDCVFHTASPFYHNVKDPKAELLDPAVKGTLNVLGSCKKASIRRVIVTSSMAAVAYNGKPRTPDVVVDETWFSVPEICEKHQQWYVLSKTLAEEAAWKFSKDNGFEIVTVNPAMVIGPLLQPSLNTSAEAILKLINGSSSTYPNFSFGWINVKDVALAHILAYEVPSANGRYCMVERVVHYSELVQIIREMYPNIPLPDKCADDKPSVPIYQVSKEKIKSLGLELTPLHTSIKETIESLKEKGFVTFDSSNL >ONIVA01G20640.1 pep chromosome:AWHD00000000:1:17701787:17703352:1 gene:ONIVA01G20640 transcript:ONIVA01G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSRFLRRAALTTAMAGAAATLALSKAEQCGVSVRLSRPSPHRGADDATRCGHLGLVRAHPGLRDLNAALTTTTTSGGSADASFFLDAAHALAASALRVPTITGGAIRLMVSDRIPKKLAAAESKGDSKAAVHLRLELATLHAREGRLDEALAAAVQLARDNPGDIRPRLAAAALCCLHGRSGTAFEWLKSVPESARRFKTSDRFVTIVVYAMPGSSPQRVEEGVDGMVVDVAAAIAEDTLSMKLEEGEWSTLERLELAVLGRLLRRFVSKRFAAAAYPEFKSWTWTRPPPPPINATESQLNKALVLCSQAMLAPVLGARPLCGERLREVRAVADAEAEADASAAVVDVNLLLAFLAIRDGRFDEAMQRYRAAEARDPSDRRAYELAAALCSIAGHAAEERDAWLRGEERHCDRGRGATAGRGGGLQLQALLDEQVVAAALGLGGDRTARDPHRGRVLAAAWREVDAGLAAALRDGDHLTMAERAQLRGLRCVLRAKMQPLLDTAANSTGPDNSPQQRSH >ONIVA01G20630.1 pep chromosome:AWHD00000000:1:17663317:17663652:-1 gene:ONIVA01G20630 transcript:ONIVA01G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDFVRGYLNHTSGANDGTWREDGQLQDGGGGGFGTARGCSRDQARWAEMAVDDGARIFVEAVPRRLQATAIWRHGGGHTKIGGVANESKGRAAGIGVTAAERRGAGGDEL >ONIVA01G20620.1 pep chromosome:AWHD00000000:1:17642510:17643955:1 gene:ONIVA01G20620 transcript:ONIVA01G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FMK7] MLLLKAVYRVVVNNFLALAAAAVAAVLLRRPDELAARLRAVSSPLHAATAAVLAAGVARLVRARRARGVYLVEYGCFRPRPCYRAPFATCLEHAHLMPYLVDEESVAFAIRLLERSGLGDETCVPDAYHYMPPDRSLRASRDESELVIFSAVDDVFARSALTPADIDVLIVNCSIFTPTPVFADMVVNRYKLRAEVQNVNLSGMGCSAGLVSVGLAKNLLQVSPPGTNVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSPDHARFRLGRVVRTVTAARDSDYRCVFQEEDEQGNTGIRLSKDLATTAGHALKSNIAAFGPLVLPASEQLLVAISFLKRKLKQLSGHAGKVRLYRPDFRTAFEHFCIHAGGRGVIDEVQHGLGLSDDDVEASRMTLHRFGNTSSSSVLYELAYLEAKGRMKRGDRVWMISFGAGFDCNSVAWECVKPAPDADGPWVDCIHRYPVQLPEIAKDV >ONIVA01G20610.1 pep chromosome:AWHD00000000:1:17636958:17637338:-1 gene:ONIVA01G20610 transcript:ONIVA01G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLSGAEVREGSEEGSPLLEMEECGCQWEEGGDSVGVVVRGRGRGGVTLVRGGGTRLTVGARGDGIICCQGHRQGGVRGGVTLVCSACAKGGMRVATVMEEKEQRVHGAVHKGEGVRERERDG >ONIVA01G20590.1 pep chromosome:AWHD00000000:1:17587921:17592810:-1 gene:ONIVA01G20590 transcript:ONIVA01G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 6 [Source:Projected from Arabidopsis thaliana (AT3G49500) TAIR;Acc:AT3G49500] MRSPRGGAAAQPSGRRGDTTAAAAGDLVTTQVSLGGFDAGVAAGDLADFLEHEVGLVWRCRVKTSWTPPDSYPDFALPTAPASASAAAAPPRYDRVPPHAFVHFARPEGARRAADLAGETRLILRGKPLRVASAPDSSLRVSRRSSIAPFRFPDVRLEVGALPSPGAFLAAWRGPDAGLDLSVDPFDGCCRLVFTRDTAFTFPGFREVAAIRCDVKLEFPVRDVLEVRLYRLDCSLLLRLAAAPLVHYRTADDDFHEPVPFDLLDDDDPWIRTTDITPSGAIGRCGVYRISFSARFWPKMDRALDYMRERRVAIVDCGGGWGPRRGLTVRDELEFGEPMQDVFFCLQHAEGLKFPLLFMVNALVHKGIINQHQLTPEFFSLLGRSEENVNVAALRDFWGDKFPVFDACGRLKKALNRVARNPKLLCSKVGDDHAEVRRLVITPTRAYCLPPEVERSNRVLRHYHEVADRFLRVTFMDEGMQVLNNNVLNSFTAPIVKDLMSNFFQQKTTVYKRVRMLLTEGFHMCGRKYSFLAFSSNQLRDKSAWFFAEDRKTTVEAIRKWMGRFTSKNVAKHAARMGQCFSSTYATVTMRPDEVDESFDDVVHNEYIFSDGIGKITPDLALEVAERLQLTDNPPSAYQIRFAGFKGVIAVWQGHGDGTRLFLRPSMRKFESNHLVLEVVSWTKFQPGFLNRQIIILLSSLNVPDSIFWQMQETMLSNLNNILSDRDVAFEVLTTSCADDGNTAALMLSAGFEPRTEPHLKAMLLAIRSAQLQDLLEKARIFVPKGRWLMGCLDELGVLEQGQCFVRATVPSLNSYFVKHGSRFSSTDKNTEVILGTVVIAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPQKGERPHANEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYSPPEAKQLPRQVSQHDIIDFFLKNMISENLGRICNAHVVHADLSEYGAMDEKCIHLAELAATAVDFPKTGKLAIMPPHLKPKVYPDFMGKEDGQSYKSEKILGRLYRSIQEASNGDVVSQEVCTPNDLPYDIDLEVPGASDFLASAWQCKCSYDAQLSALLSQYRVRTEAELVTGHITFLVKNSSKKQGDIKDRLKTAYSALRKEFKSTFESIASDQCEIGDDEKNLLYEMKASAWYQVTYHPKWVEKSRGILGPDGEEIPASLSFAWIPVDYLARIKLRCHGKVRVEGQKPVERLAAYISERI >ONIVA01G20580.1 pep chromosome:AWHD00000000:1:17570979:17586742:1 gene:ONIVA01G20580 transcript:ONIVA01G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFAQLATTSDDDDDDAPAADAPPKAKPPPPPSSSSGPKKPKQRRLASAAADDDDDSEEDEEEEEEEAKAEEAREEENTEAVPIGEPVKITGRGKKQRKHYTSFEYEGNTFELEDPVLLTPEDSKEKPYVAILKDITETEGSLSVTGQWFYRPEEADKKGGGSWKASDTRELFYSFHIDDVPAESVMHKCVVHFIPQHKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTIDRIGQLSDIEPADAPGDNNDQLSNKRGLRKRPVLPIDVSRDDALAGKSEQFGKAETPGSDKLKNYATLVKYKAVTGDQYRDRWLDKLVDTIPLTSKESAGASHADPGGATKSSTNGSSAKEDNEKSYSPDVIVSIMASLERSTYEALGSDFQKYNQKLRQLLFNIKNSPVLRNRLMNKELDPPVLLTMSPDELKVGLTAAERTSEPEESRKLQMTDARCVRCAEKEVGVSDIIHAGHGDRYQVEDFQAAGPRLECNACGHSWFSSRDAITTLTVDTPTSAGGSVGTAPWATAKFDVMEKQLTSPRDHQPDKPLADALHKSAAPYMPTLEKQKSFGKHKPDEPSSAPAAGHE >ONIVA01G20580.2 pep chromosome:AWHD00000000:1:17570979:17586742:1 gene:ONIVA01G20580 transcript:ONIVA01G20580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFAQLATTSDDDDDDAPAADAPPKAKPPPPPSSSSGPKKPKQRRLASAAADDDDDVEEDDLELEEEEEDEKDLEEMRRNEEEERREETQTRRRRRRRGRKPKRPAEESEEDEEEEEEEAKAEEAREEENTEAVPIGEPVKITGRGKKQRKHYTSFEYEGNTFELEDPVLLTPEDSKEKPYVAILKDITETEGSLSVTGQWFYRPEEADKKGGGSWKASDTRELFYSFHIDDVPAESVMHKCVVHFIPQHKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTIDRIGQLSDIEPADAPGDNNDQLSNKRGLRKRPVLPIDVSRDDALAGKSEQFGKAETPGSDKLKNYATLVKYKAVTGDQYRDRWLDKLVDTIPLTSKESAGASHADPGGATKSSTNGSSAKEDNEKSYSPDVIVSIMASLERSTYEALGSDFQKYNQKLRQLLFNIKNSPVLRNRLMNKELDPPVLLTMSPDELKVGLTAAERTSEPEESRKLQMTDARCVRCAEKEVGVSDIIHAGHGDRYQVEDFQAAGPRLECNACGHSWFSSRDAITTLTVDTPTSAGGSVGTAPWATAKFDVMEKQLTSPRDHQPDKPLADALHKSAAPYMPTLEKQKSFGKHKPDEPSSAPAAGHE >ONIVA01G20580.3 pep chromosome:AWHD00000000:1:17570979:17586742:1 gene:ONIVA01G20580 transcript:ONIVA01G20580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFAQLATTSDDDDDDAPAADAPPKAKPPPPPSSSSGPKKPKQRRLASAAADDDDDVEEDDLELEEEEEDEKDLEEMRRNEEEERREETQTRRRRRRRGRKPKRPAEESEEDEEEEEEEAKAEEAREEENTEAVPIGEPVKITGRGKKQRKHYTSFEYEGNTFELEDPVLLTPEDSKEKPYVAILKDITETEGSLSVTGQWFYRPEEADKKGGGSWKASDTRELFYSFHIDDVPAESVMHKCVVHFIPQHKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTIDRIGQLSDIEPADAPGDNNDQLSNKRGLRKRPVLPIDVSRDDALAGKSEQFGKAETPGSDKLKNYATLVKYKAVTGDQYRDRWLDKLVDTIPLTSKESAGASHADPGGATKSSTNGSSAKEDNEKSYSPDVIVSIMASLERSTYEALGSDFQKYNQKLRQLLFNIKNSPVLRNRLMNKELDPPVLLTMSPDELKVGLTAAERTSEPEESRKLQMTDARCVRCAEKEVGVSDIIHAGHGDRYQLECNACGHSWFSSRDAITTLTVDTPTSAGGSVGTAPWATAKFDVMEKQLTSPRDHQPDKPLADALHKSAAPYMPTLEKQKSFGKHKPDEPSSAPAAGHE >ONIVA01G20570.1 pep chromosome:AWHD00000000:1:17567224:17568017:1 gene:ONIVA01G20570 transcript:ONIVA01G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGAVSSGVMGTVTGWEDPCGHGLPGAWGRESRKSFDSGTRRERKISGALLHRLISHRLLSTTSLAHAASSPPPMSSMPPPPSGRTINHLPLLFGFLDVDGDFVPVEAHHRCDADAPHEDRRRAPKWRKRLLMFNTFKTDVSDVVAKCFKIDLIVFHHFNS >ONIVA01G20560.1 pep chromosome:AWHD00000000:1:17555339:17556151:1 gene:ONIVA01G20560 transcript:ONIVA01G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQGQIPFVQRLAFVFRSVSKSLERLGAAPPTKSFWTRNYLLKLQNSLDIAWQSLSLMERTFHRLESKQVDKVASLAAVAAAATDAANRDRLESKQVDEVSANKACVHSAVIIFLAVSNERAAYGALSAYRSSLEICRANMTVFNDMHISVNDKLLDVAGAGEKLQANIRIASGMTRILAIFLGPWIQRINGAEGIPPTMRIAFSFCSEHMWSIKTCADTPFLLLGCGAFSLRRRLKLSMAAMRCLQLQSSEASETLYGWDAWDALPSI >ONIVA01G20550.1 pep chromosome:AWHD00000000:1:17554187:17554599:1 gene:ONIVA01G20550 transcript:ONIVA01G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLQVLADDIQDDAGNVTREGTSVLFKVLSAFAFRDISLTKIESRPHRHRHPIQFVDGANVGTAKHFEYMFYIDFQASMAEVRAVGDTGVHLLPPRARQLPHGHDAMGVFLLIVTR >ONIVA01G20540.1 pep chromosome:AWHD00000000:1:17551804:17552726:-1 gene:ONIVA01G20540 transcript:ONIVA01G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAMAEADEVGGDSCGGQGRRQRHTEVTSTVDKDEGSGGRNGQRRQRRRTRTCATMAARPWTWMLRRRQRRVARLGACGDFVNLKICRPSLPEVLIGVGPLSYQIKVDFGAFLRNKYPEFGMLSFLNHCYSYANLNSCKIPFPNTGTINISRRWMRQ >ONIVA01G20530.1 pep chromosome:AWHD00000000:1:17537134:17550913:1 gene:ONIVA01G20530 transcript:ONIVA01G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGVVQHRWEMDIGPTLALPFNVQAVPEKINWEDHISKNSAEWGWQMAVCKMFDERPVWPRQSLYECLIDDGLQISQSQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRMFQRIDFRMPPELRNLPRKERRPEKWTEMCKLEVMPSKSFIFLQLFELKDDFIQAEIRKPSHQPTCSHLTGWFCKPMIKTLRLQLSIRLFSLCPNEDAKNFLRNAYELIERSKKQEALCRSQQLKENKEASDRHNGTEDQAGGNNSDSEDAEDDEEEDKESDGYESPPMADDVPDFTLEDPYTSGEGFSNGYLEEMLRNFPLHEDGQNKPGDAPNNTEASDGEFEIYEQPSDDEESSDG >ONIVA01G20510.1 pep chromosome:AWHD00000000:1:17521319:17528701:-1 gene:ONIVA01G20510 transcript:ONIVA01G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase 10 [Source:Projected from Arabidopsis thaliana (AT2G44520) TAIR;Acc:AT2G44520] MWRGGATAASAARALRSRLIPDTSHHPATALAPIASPRSSSSSSSSSSSGPTIAAAVPAVAEATAAAAAVSRQAGSVSDALRHYGRCYFELSKARLSALVVATSGAGYVLGSGNMVDIAGLCCTCAGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRISPAHAAMWATSVGVAGTALLAWKANGLAAGLAASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASSELSLNAMILPAALYYWQIPHFMALAYLCRNDYLAGGYRMFSFADPTGKRTAWVSLRNCLYMLPLGFFAYNWGLTSEWFSLEASLLTLGLTIGALSFVLEPTPKTARRMFYGSLLYLPAFMAGLLLHRLPNEQKEHNVTQTSEITGILYGAEQQDEERARQKREDRKPSRIHSRPPVAYASVAPFPFLPVPIYVSTQGHEL >ONIVA01G20500.1 pep chromosome:AWHD00000000:1:17506693:17512861:-1 gene:ONIVA01G20500 transcript:ONIVA01G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLMLQYARFTYPLEYHIVLNKSIGTSQTAVMARVSIRGRTPLERDRQYTGYGWDTEGAEHTTYPGLNEIGIGLHTPHLQLAGFVRALDMLYRSTFAELQRVRTRVATLERSILPRFCQGYHTPEFMYGEDAMLAPARTYLHPSGITSRELYPPPTLTLLLFLTITDKSVSARNIPI >ONIVA01G20480.1 pep chromosome:AWHD00000000:1:17491396:17492546:1 gene:ONIVA01G20480 transcript:ONIVA01G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWGWGRAARLSNDQNRGQCTCCCRCVDETVGSVASSVHREDELLDDGRRGSEVVVEKEAPGVDVEAGCGEGGCEGDDMEAILRRPVHEGEQEMTCTDIVAQVLTKSSTFLRNVGLQQPVAAPKSISPQMQELQAQLEAEKEESAGLRQKVQRLEAQAEESEAKAQKQAEEIENLKKAITDTQKSAADTQNLIRQMIAFGQTQVTHQTTPP >ONIVA01G20470.1 pep chromosome:AWHD00000000:1:17490385:17490606:1 gene:ONIVA01G20470 transcript:ONIVA01G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLVDKQPVNEDGISVPKSLVDQIRRQRDLEVEEDDLYNDDDDDDTLGQYDSENECTIMIPNDDGEYSDVE >ONIVA01G20460.1 pep chromosome:AWHD00000000:1:17481662:17483230:-1 gene:ONIVA01G20460 transcript:ONIVA01G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLQCSDYCRDCNPSQVGTSTTYYNASMSISYNPLSCDHPLCGAGDNHDQQVLAECMDGTCTFKVDSLDNNGGWVQGILGSDRISISDHFFFLFDTNIIFGFATVDHSKYTLDQYGSSGVVGLGLGKYSLPQQISVTRFSYCLPSWVKNELFSPPYVLFGSNAVLQGDMTPFLPGFPKYYLKLEGISYGIVRLDIFGSNAAAADQYHQQAQFRRGPYLPDAQFYAMSVESATFPLMLPSRAYELLEKEFEQDNPLLIKSRLQPMNTCYKGSVDDIADNATITLHFHGGVDLQLSRNATFMEITSMNGDQEERYVCLIVDKTVDGTAVLGLSPQLDHNIGFDLENKQISIYRKIC >ONIVA01G20450.1 pep chromosome:AWHD00000000:1:17470582:17470990:1 gene:ONIVA01G20450 transcript:ONIVA01G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGYRQVVGGIPHRRSSGPDPPPPGTPVPFLVTLHLRLAACGAQSTSTSSVAASSVSLLAEPGHCFIIGNCFLSGLLLTKPSSRAGGWDQG >ONIVA01G20440.1 pep chromosome:AWHD00000000:1:17455716:17456747:-1 gene:ONIVA01G20440 transcript:ONIVA01G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWASLPADLLFSISSHLREPEDFVRFRAVCPQWRAAVSHEEHAFFQPWIMASRWLEDEYSENFVFYSLSTLKTIKVRVPNMKGKHVAASGSGHLVAIDNDDDLSAVLVNPLSRKTMALPRLPEFFHDNGTHGWVTGEGVITVVLYNWMSESMALWYHGGGITMKGWAIVPGRKLWLRMPYYLRMLAAHGDQMEMHLTDLDRDNDNSVVLLQETQKVELLGGCWHGSDELFKATTPYHHEWFSLYRMVKQEEIPVHDIKNAIVVQSRDSCTRTYMIPASCDFAALCSRNAFYYLRKQFDNGGSYYALYKNCLASEELTFVKRLPEDWKLSDEWFMPTLKIGTL >ONIVA01G20430.1 pep chromosome:AWHD00000000:1:17451082:17451342:-1 gene:ONIVA01G20430 transcript:ONIVA01G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDEQCAENKGGAALKQRHPSDGAVAWTVAAAYAKEPCAEAETVTVAAGKVATTACFFFERESLICH >ONIVA01G20420.1 pep chromosome:AWHD00000000:1:17433283:17433726:-1 gene:ONIVA01G20420 transcript:ONIVA01G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWASLPADLLFSISSHLREPEDFVHFRAVCPQWRAAMSHKEHAFFQPWIMASRWLEDEYSENVLFYSLSTLKTIKVHVPDMKSRRVATSSSSHLIAIDKDDDLSAVLINPLSGKTTALPRLPKFFHNNGAHGWITGEGVITVVLNN >ONIVA01G20410.1 pep chromosome:AWHD00000000:1:17432704:17433270:-1 gene:ONIVA01G20410 transcript:ONIVA01G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWYRGGGITMKGWAVVPRWKLRLRMSHYLRKLAAYGDQMEMHLIDLGGDNEDNVVLLQETKKVELLGGCWPRSDEEIPVHDIGNAMVVQSRDSCTRTYMIQASRDFAALGSRNAFYYLWKQFDAGGSYNALFKKCLASEVLTFVKRLPEDWKLSDEWFMPSLKY >ONIVA01G20400.1 pep chromosome:AWHD00000000:1:17416311:17420202:1 gene:ONIVA01G20400 transcript:ONIVA01G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA processing protein-related [Source:Projected from Arabidopsis thaliana (AT5G61330) TAIR;Acc:AT5G61330] MAPGTTLAPKRRKAEASPSPSPSPMGDSSDGGYSDSDLHDAEESFYSARSGSEDDRQVSSSNDDDDSEEEEQEEREMDEEEDEEDDDDEEMNEEDDEDEGEEMNELEKEYRTLQTNQQNILETLKQHRDDDVSKGQAVKNQKVLWDKALEMRFLLQKAFSTSNKLPKEPIRSMFCDHNQEIEQAYLDLLNSSKQTLGSMMELQEALLERNRATKDVTDTELNGEDDEWSEVQKLQKRITPSRNSEIDKWQRKTQVTTGAAALKGKLHAFNQNISDQVTSYMRDPSRMINRMHLRKSTLGVFGEEVGEHENNKEENNTEGDPELVDDSEFYQQLLKEFLESCDAGASESAFYALKKQQHKKRKLVDRRASKSRKIRYHVHEKIANFMAPVPMVIPPMAPKLFENLFGMGNQKSTTA >ONIVA01G20390.1 pep chromosome:AWHD00000000:1:17410955:17414721:1 gene:ONIVA01G20390 transcript:ONIVA01G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G30480) TAIR;Acc:AT1G30480] MLGGLYGDLPPPSSSADDDKPSAAGWSSAAKMAPPTLRKPPATFAPPPSTLPAPAAQPPPPPTLPVETTTSTSFQPALVAVQSTVMEEYDPARPNDYEDYRKDKLKRAKEAEVRKELERRRREEEERERERELREREGRDALNISGEEAWKRRAAMSGSAAPRPSSSPPHGDGFAIGNSSSSGLGLGAGGQMTAAQRMMARMGWKEGQGLGKQEQGITAPLVAKKTDRRGGVIVDENSSKQEKKPKSVNFDGPPTRVLLLRNMVGPGEVDDELEEEVASECSKYGTVLRVLIFEITQADFPAEEAVRIFILFERAEEATKAMIDLEGRFFGGRVVRATFFDEERFGKNQLAPMPGEVAGFD >ONIVA01G20380.1 pep chromosome:AWHD00000000:1:17410636:17410836:-1 gene:ONIVA01G20380 transcript:ONIVA01G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKTERSGLIEEDDYDDARRGRAAAMGAMGAARGEPPVEEVSWQGQPRVLGGGEETAAGMRKKN >ONIVA01G20370.1 pep chromosome:AWHD00000000:1:17386301:17397066:1 gene:ONIVA01G20370 transcript:ONIVA01G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSQKGQARGAIYITGRAGCNLNGDAKRSAWCIASLFIQGRNRGQEDPLSQILLLLEPHQNSNSTVMV >ONIVA01G20360.1 pep chromosome:AWHD00000000:1:17361495:17364654:1 gene:ONIVA01G20360 transcript:ONIVA01G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQHANAVFCQSRFPPIGIHRRLQVGCGGFRRERQKRNKNKEKERNSFSRLDRRDAKRMCEFLPFFFLGLRLFSSAVDPRDQFILNAPEVVAAQTERFEAMENGSWQRHISINRQVSSSSVTAQGLRAMVASYYAKRCSCGFFLAKLLLMGFALVQFSAAVASVVLAALRLSKQDYVDPADQGSSDHKSIKGSLNLFYSLVLVQGAADLLAQAIFAVADIQLVLKIMEAYQLGPLGKQMVNHYMLVTYLRCSSGNVREAMNMDLVSFAMELVRSNSIADRLVGVRVLDSILRVPKYRALALMRLRASADTVGGVVSMLGLTNNTREEVNTRGHAAGVILELSRDLLLESFPAMLPIVSSLIVAADNSGNDVTVSMEFTWFGVKILNKIMDNPDNCNKVADADGQVIASIVNLTAVTGDDRSLSIVSSSAVRDEEIILEAVQVLHKLVSAAGDSGRVLRCKVSDNVYVLRNISKILQHPRSQVKLLVEAIGVLACLALDETGREEIASSPQIIRKLVSFLVPRSQMISEISADRRQLAKPNAEALVMLAMDNQSIVWKIQEELKPQDMQKLVEMLSADSTGFKTNVAKLSGILHANSRAEHAHLQKTIINTALPALLKAIKSEVEKLEDPVLYAGEHANNFQEWRTKQGALLESFVGLSVQICTSIHASDFNEALRSANVTAYMVMQKLRKILDLYKSPAIEFPGIRRVAVELIIWMKQCSSHCNEVFFQCEMDKALKEVAGTEERLEMFKIFYYGVGIVKHSEPISSLVNLALGL >ONIVA01G20350.1 pep chromosome:AWHD00000000:1:17352221:17352522:1 gene:ONIVA01G20350 transcript:ONIVA01G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHATAASTTTNVAAEVLGLPEAKLNWMILRWAFIDKAISSFGALALAWATIVLLGGFSTLIKQKDFWFVTIISLMEAASFL >ONIVA01G20340.1 pep chromosome:AWHD00000000:1:17335125:17335439:-1 gene:ONIVA01G20340 transcript:ONIVA01G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGMPPRDDKRGEARKSGGEVRGSPSSFRSSGESLTPAVASGMTTSRLNSSCPMAALLAACDRGVHALRLNHAARTASSHRPDPDGGTSGGDVGRSRNCSWH >ONIVA01G20330.1 pep chromosome:AWHD00000000:1:17320371:17331663:1 gene:ONIVA01G20330 transcript:ONIVA01G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G23180) TAIR;Acc:AT1G23180] MLPPFAAAPPPAQHVAPAFPNLPRSRRRHLLGLQLLLRRHRPVSVGHHHHHHHHHRRRRRLLLAGAYAPGDGGAGQDVDSSESTSSTGSAYIGLFVRMLGLDNDPRDREHAVYTIWQYSLGGRKCIDEIMQFHGCVALIVSLLRSDSVRACEAAAGLLRNITSVKLYRDVAIESGAMEEIFSLLCKSTITPEMLEQSLCTIWNFSIEENLRYKILSSGMLTRMVRFLDDEDIKVKEAAAGIISNLALSHSNHGALVEAGVIPKLVQLLQNKEDDYKIIRKEAKSSLLALSTDEYYHTLIIEEGLVRVPLVGSAVYKAFRPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVGEKETEPDEAKVNAMIGRSNQQFLARIGAIELDDEGNEQSGGSQRNDLYTILPWVDGVARLVLIIGLEDASAIAKAAKAIGDASKNEHMRSSFKEAGAVKPLLQLLNHTDVPVRKAAVYALEKLSVSSIVCEQIKTDGGLKLLAGIVKDPNTPVEQLEKIIIMLSRIFNTGISMVAVPDSSASNGSEDTMHSEKSNGSCGDIDGGTNGTSFAYLKQEETSSVSIIDFDVISRLTRVLREASPSLQEQVASVLEHLAAFDQHATAMTAARIESVIEAVLEMGVIHGTMGDPENFDELPTVVIEQVSRAVSATVRLLTKLLDFDIFVRSINTEKCIALLRKMLKSSIPLQSKDWLAACLIKLQSTAGLSGHESVSSIDMEITIYETIPRLVDQMMTSFSFENKRNAVIELNKIVSGGVMEYTRAIATAGGIFPLVKMIEEGDGDALEASLAILYNLSMDPENHPAIIAAGAVPLLKRIVVAESSHWNRALQLLRTLPV >ONIVA01G20330.2 pep chromosome:AWHD00000000:1:17320371:17330227:1 gene:ONIVA01G20330 transcript:ONIVA01G20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G23180) TAIR;Acc:AT1G23180] MLPPFAAAPPPAQHVAPAFPNLPRSRRRHLLGLQLLLRRHRPVSVGHHHHHHHHHRRRRRLLLAGAYAPGDGGAGQDVDSSESTSSTGSAYIGLFVRMLGLDNDPRDREHAVYTIWQYSLGGRKCIDEIMQFHGCVALIVSLLRSDSVRACEAAAGLLRNITSVKLYRDVAIESGAMEEIFSLLCKSTITPEMLEQSLCTIWNFSIEENLRYKILSSGMLTRMVRFLDDEDIKVKEAAAGIISNLALSHSNHGALVEAGVIPKLVQLLQNKEDDYKIIRKEAKSSLLALSTDEYYHTLIIEEGLVRVPLVGSAVYKAFRPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVGEKETEPDEAKVNAMIGRSNQQFLARIGAIELDDEGNEQSGGSQRNDLYTILPWVDGVARLVLIIGLEDASAIAKAAKAIGDASKNEHMRSSFKEAGAVKPLLQLLNHTDVPVRKAAVYALEKLSVSSIVCEQIKTDGGLKLLAGIVKDPNTPVEQLEKIIIMLSRIFNTGISMVAVPDSSASNGSEDTMHSEKSNGSCGDIDGGTNGTSFAYLKQEETSSVSIIDFDVISRLTRVLREASPSLQEQVASVLEHLAAFDQHATAMTAARIESVIEAVLEMGVIHGTMGDPENFDELPTVVIEQVSRAVSATVRLLTKLLDFDIFVRSINTEKCIALLRKMLKSSIPLQSKDWLAACLIKLQSTAGLSGHESVSSIDMEITIYETIPRLVDQMMTSFSFENKRNAVIELNKIVSGGVMEYTRAIATAGGIFPLVKMIEEGDGDALEASLAILYNLSMDPENHPAIIAAGAVPLLKRIVVAESSHWNRALQLLRTLPV >ONIVA01G20320.1 pep chromosome:AWHD00000000:1:17318961:17319779:-1 gene:ONIVA01G20320 transcript:ONIVA01G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPISLLISLYTLSQRRTGEVGRGGDGEDGGRRSGAVWLVPPYLFVTMHLIILVIWKLSDHKHFQVQQQQLKDPCPHLHPAVPMAAVLPAAAEDAPSLVVKPKEKFNTAAAVYGGESCVTTESDKDASTAASYTSDTRQSLAPPPQEHSVLEREFSLPLPGVAATALDSGRDDDDLDAMWNAIMQKTRSATAPAMAATHSPPLPLHSANAAAQSAACSSTAVASPLHSADHHALDRQLRPPAPLRRRRCHLAPLCRPPSSPSLPRPMPHVRL >ONIVA01G20310.1 pep chromosome:AWHD00000000:1:17312176:17314548:1 gene:ONIVA01G20310 transcript:ONIVA01G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQAATTTTTAAAAAAWTREDDKAFENALAACAAPPPADGGAPDDDWFAALAASVPGARSAEEVRRHYEALVEDVAAIDAGRVPLPRYAGEESAAPPDGAGAAAAASKDGGHRRDERKGGGGGYDGGKSCSKAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVTAGDQVAAQQGAPITGHQATGNPAAAALGPPGMKHHHHHHPGGAPPPMPMYSAAPMGHPVAGHMVPAAVGTPVMFPPGHAPYVVPVGYPAPPAKMHQ >ONIVA01G20300.1 pep chromosome:AWHD00000000:1:17262171:17274684:-1 gene:ONIVA01G20300 transcript:ONIVA01G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASACTRPIMNSLLSKLSNMLSDMCDRLMRVHEDIESIRRELGAMNDQLQSLADEEELDPQRKGWRDRVRELVYDADDCIDAFIIHQLQPGRGDDADAGGIIHKILDEMKNLKARYQIASQIQKLKSRVAEESERCKRWPIPSPNSSALVKVDPRLAALYVEANRLVGIDSPREKLIELLMAERRDDGKAQGIKVVSIVGFGGLGKTTLANQVYNKIKGRYDCSVLVSVSQTPNLRNVLRSILKGVRSHTYGVSDDDDEQQLIDRLREYLKDKRYLVVLDDVWSIEDWNIIKCGFLENNYSNGVMTTTRNESLAVACCQEYNGQVYRIKPLNELDAKMLFLRRTFGSEDACPEQLKDNAKYILKKCGGVPLAIISVASLLASQEVVSKEKWDYIQNSLRFELEENSSLQWMKHVLNLSYNNLPHALRPCLLYLGMFPEDSVIKKDDLVRQWIAEGFISDKYSRDLEEVAESYFNDLANRSMIQLTEFSEGRKCRVHDLMLDFIVSKSIDENFLTIVHGEENTKGLLKAHRVSLQFNSRQEDSFFESWSGDEYILEPERMGLMHVRSLSFHGYVDSMPYLLTLKVLRVVDLMGYVSDDLDLTSICSLHRLRYLRIRRVECKLPRQIQSLQHLKTLELGQHVDIPSDISHLSSLQHLVISKNTLLPDGIGKMTAIRTLKVFNLWKNSERNIQDLGSLTNLRELKVYCSNDSGSFEDERQRKVDLLLSSLDNRGSCKNLRYLCFSKYSDDFIYTPDAFVSWSPPLSLQRLHMFPCPFYTVPEWIAQLSELTSLELNFEPMNPTDYGRGFEILTGLRYLVHLILRVQRVNLDRNIIFSSTAFPVLTCFGFSCRGPYLTFEQGALPKLQKLDIFTGVISATYAAEECRALVGIEHLQGLEQVNVGLPCWHHWPHEVKEAEDALRSAIKRNPRDPNIQIRQYGDTEDMLQDEWTMTNCSVA >ONIVA01G20290.1 pep chromosome:AWHD00000000:1:17251403:17256974:1 gene:ONIVA01G20290 transcript:ONIVA01G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSELTSCKETKLHSAPHSWLPLEKGKLSKFAGHSTSSIESLMKMPEPAVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEPISDLLSSCGKCSQEFKLLDFVSQISTGSHEISYDDESDVFWGSPVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESHLEVIDMSRNGISSIGMRAISKFSLTGRLPYLSADAILEMLDFANKFCCNGLKDACERKLASFICSRQDAIDFMECALELGCSILAAACLQVLLNELPECLNDEQVVRIFSCASKQQRSTMAGNASFSLYCLLSEVSMSINATSDVTVTFLEKLVDSASDSRQKQLALHQLACTRLLRKDYAEAERLFNAAFTAGHLYSVVGLARLASMRGNKHFSLKLLDSVMSSRWPLGWMYQERALYLEGDNKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDHRAALCDVQAILTLAPDYRMIGGRVSAKQLRMLVMENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASQHEQLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNSRTGAYEEMTKLIEKARSNASAYEKRSEYCDRELTKSDLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHVGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >ONIVA01G20280.1 pep chromosome:AWHD00000000:1:17241259:17245231:1 gene:ONIVA01G20280 transcript:ONIVA01G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLRAASVLRRAAAPALGGGRAAPLPHKNLPAVCLNGYSTLLAPANEVLIPQELLSSKTVWTPNRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYNQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFDDLEVPSHKTKNIVQYIGQMEGTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRNAINRIVERMHTPISR >ONIVA01G20270.1 pep chromosome:AWHD00000000:1:17221801:17222532:1 gene:ONIVA01G20270 transcript:ONIVA01G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTTTPAVAVADPALLGGVQDFLPFFLPSTRGANGGGEQDVSAARGEEETAASARMRHASCRAGSGRAAARMARRGRSVTAEALQDGVTAGATSDGGGGRFLGAVVGKCAAARGGGVTVGSGDRRGGGRFLGAQDPEHEVVIITAFWLLHGRLAAGNPSTFGRQLRRLGSSAAAQPLGRSHLVRVRLNRSKPQQWRRRGCAPSFEKGPEALSSVEAKPSSSCQNLLLFQLAQVVLHCLAGVPE >ONIVA01G20260.1 pep chromosome:AWHD00000000:1:17195843:17196359:-1 gene:ONIVA01G20260 transcript:ONIVA01G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISRLGSSCAIVSYTHPQPSCSPSSLLCWRIPARQEKRWRRSGAGRRRRTTNQRLGRRVPSSGALTLSRHAPARRETVLKRGKI >ONIVA01G20250.1 pep chromosome:AWHD00000000:1:17185357:17187889:1 gene:ONIVA01G20250 transcript:ONIVA01G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACSIARIINLGDLAMRPKKLCRLLIKLLSDLLLKESGKYEYVHPPPMETQAADLPELSQDMLMEIFALLEIPDLVRAGSVCQSWRSAYTSLRDMGQYKQQTPCLLYTTESSGEKVSCLYSLVEKRAFRLTLPGTPLHKRLPIGSSHGWVVTADELSELHLVNPITGQQIALPPVITIEQVKPIFNDIGVVQGYKIGWYCAEKDYGDPYGEPSPILTPSELRDHLYYKAFVFPDPLTRSFIVVVIHYPFCQLSFARVGDDKWTWLPHNTRYRDCVYHDGLLYALTSHGQIDAFDITASVVTRKVIIKHMKGISESMYIIRAPWGDLLQVWRTVDAAEQQDGDDDTLCYETEDGIVPVMRTKEIKVFKVDMAANKLVQINSLPYHVLFLGHNQSICLRAEEYPQLRANHVYFTDDHVDLLMLIKNGPRDIGVFDLENRRRKKTISPIWSSWPSPVWITPSIAKADGWCVPCGVGTPVMGGRELERRGGLMACSLT >ONIVA01G20240.1 pep chromosome:AWHD00000000:1:17179815:17180111:1 gene:ONIVA01G20240 transcript:ONIVA01G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWSASAYTCRGPRETIRATRNIVGGEIDGDLHVEDGDGLAVESGDGVVVEGGRRRPLSRGTCLDSTQREKPVSTEFIEVVVFVCAGWETLPLAQKK >ONIVA01G20230.1 pep chromosome:AWHD00000000:1:17178550:17179248:1 gene:ONIVA01G20230 transcript:ONIVA01G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRMWTTTPAVAVADPALLGGVQDFLPFFLPSTRGANGGGEQDVSAARGEEETAASARMRHASCRAGSGRAAARMARRGRSVTAEALQDGVTAGATSDGGGGRFLGAVVGKCAAARGGGVTVGSGDRRGGGRFLGAQDPEHEVVIITAFWLLHGRLAAGNPSTFGRQLRRLGSSAAAQPLGRSHLVRVRLNRSKPQQWRRRGCAPSFEKGPEALSSVEAKPSSSCQNWLLFQ >ONIVA01G20220.1 pep chromosome:AWHD00000000:1:17176746:17177138:1 gene:ONIVA01G20220 transcript:ONIVA01G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWSASAYTCRGPRETIRATRNIVGGEIDGDLHVEDGDGLAVESGDGVVVEGGRRRLLRRNIERRRSRRAMNLETGAQRRDLRWELRRRRDDGRQNKHGSNGRRGQGHRGRHHVRGQGVVIGGGFKRGE >ONIVA01G20210.1 pep chromosome:AWHD00000000:1:17174241:17174711:1 gene:ONIVA01G20210 transcript:ONIVA01G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVADPALLGGVQDGLVLVILAARGMGQTVQTRGANGGRRRAGRAARGEEGTAASARMRHASCRTGSGRAAARMARRGRSVTAEALQDGVTAGATSDGGGGRFLGAVVGKCAAARGGGVTVGSGDRRGGGRFLGAQDPEHEVVIITAFCTAASPP >ONIVA01G20200.1 pep chromosome:AWHD00000000:1:17167431:17168627:1 gene:ONIVA01G20200 transcript:ONIVA01G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPAAKRRRRCCSLPPELNDDVIGEILLRLPPGDPALLVRCSLVCKPWRRLLSSDPVFLRRHREFHLRRRTPRPLLGFLFNQLGEDPGVAWFAPTSSLRRLPHPHHCDWYALDARHGLVLFSTMLSRDAAEHELVVWDPMKGRRWRLDFPGYLEDFNWSASVLCAADGCDHRHCHGAPFLVAVVSTGRYCNTSAAIYSSETGAWGDAIALEREHPDPDDAVKVGKPGVQVGNAIYFPCVRSAQILECDMSGHTLAMFDSPAAGRGWPDNGLLMTAESGGGGGLGFAFARRSMLHLWSREPTGDGAMAWSPLRGINLEPLLTVLIRRPPEHHSVTPNLVGFADGVGVIFAEIDGDVFTIEESSRRGKKVYRREDIHTIFPYTSFYTPRGGINFDPLP >ONIVA01G20190.1 pep chromosome:AWHD00000000:1:17162154:17167030:1 gene:ONIVA01G20190 transcript:ONIVA01G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14850) TAIR;Acc:AT4G14850] MRRAAAAAAVAPADPQLLAAAFESAIASRSPRLGRAAHARALRLIAPALSPFICAHLVNLYSKLDLPAAAAAALASDPHPTVVSYTAFISGAAQHGRPLPALSAFAGMLRLGLRPNDFTFPSAFKAAASAPPRSTIGPQIHSLAIRFGYLPVDPFVSCAALDMYFKTGRLKLARHLFGEMPNRNVVAWNAVMTNAVLDGRPLETIEAYFGLREAGGLPNVVSACAFFNACAGAMYLSLGEQFHGFVVKCGFEMDVSVLNSMVDFYGKCRCAGKARAVFDGMGVRNSVSWCSMVAAYAQNGAEEEAFAAYLGARRSGEEPTDFMVSSALTTCAGLLGLHLGRALHAVAVRSCIDANIFVASALVDMYGKCGCVEDAEQIFYETPQRNLVTWNAMIGGYAHIGDAQNALLVFDDMIRSGETAPNYITLVNVITSCSRGGLTKDGYELFETMRERFGIEPRTEHYACVVDLLGRAGMEEQAYEVIQGMPMRPSISVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMFASAGRFQAISGPNTDTHTQTNKKPLPKDPGCSWVTWKNVVHVFRAKDTKHEMYNEIQALLSKLRKQMQAAGYMPDTQYSLYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISGIVGCGIKHGTYVLA >ONIVA01G20190.2 pep chromosome:AWHD00000000:1:17162154:17167030:1 gene:ONIVA01G20190 transcript:ONIVA01G20190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14850) TAIR;Acc:AT4G14850] MRRAAAAAAVAPADPQLLAAAFESAIASRSPRLGRAAHARALRLIAPALSPFICAHLVNLYSKLDLPAAAAAALASDPHPTVVSYTAFISGAAQHGRPLPALSAFAGMLRLGLRPNDFTFPSAFKAAASAPPRSTIGPQIHSLAIRFGYLPVDPFVSCAALDMYFKTGRLKLARHLFGEMPNRNVVAWNAVMTNAVLDGRPLETIEAYFGLREAGGLPNVVSACAFFNACAGAMYLSLGEQFHGFVVKCGFEMDVSVLNSMVDFYGKCRCAGKARAVFDGMGVRNSVSWCSMVAAYAQNGAEEEAFAAYLGARRSGEEPTDFMVSSALTTCAGLLGLHLGRALHAVAVRSCIDANIFVASALVDMYGKCGCVEDAEQIFYETPQRNLVTWNAMIGGYAHIGDAQNALLVFDDMIRSGETAPNYITLVNVITSCSRGGLTKDGYELFETMRERFGIEPRTEHYACVVDLLGRAGMEEQAYEVIQGMPMRPSISVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMFASAGSWVTWKNVVHVFRAKDTKHEMYNEIQALLSKLRKQMQAAGYMPDTQYSLYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISGIVGCGIKHGTYVLA >ONIVA01G20180.1 pep chromosome:AWHD00000000:1:17160016:17160801:-1 gene:ONIVA01G20180 transcript:ONIVA01G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKLPLLLVLAVVTAAVSSAWLPSPASAASDAAAGGEYCRDSLSGLLACRDFMFGGAAAASPACCAAYSAAFDADPFCLCYIADGVYGRSTGYDVNVTHALEIPVSCGLATPPIELCNTQGLVLPPYEPSSPQQPPSAGKLAESPAATPAQSPTAAPSLPQAPKPSSPPPFTSPSPLPPPPPPPTSHGARGATMGIGTVAAAVAMTTFLALLSLLIVVV >ONIVA01G20170.1 pep chromosome:AWHD00000000:1:17155477:17156019:1 gene:ONIVA01G20170 transcript:ONIVA01G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGGGVSPRGWLALARTRAPAIAGGFLARGAPGGRGGVVFPDGSWLSRAPGVARVARGKGWRAWTRGRRRRNQPSRERNPRAFLASCRVEAETAEAPRRVPVLAAEFLASSWWRKKMEARVFGGKKRAELRELLARWWWREKMILTASSWRRRGGVIWGILGEKVEEEDDYDGDFLVV >ONIVA01G20160.1 pep chromosome:AWHD00000000:1:17153627:17153932:1 gene:ONIVA01G20160 transcript:ONIVA01G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTTVTSCSLKRPRRLRSLQPPPAALHHRDAPSLRRLRLLQSSACRCLPAHCVVVACSRRTSSARNKRRRLRSLQAAAAAACSPPVGLGMGNSWDGPDG >ONIVA01G20150.1 pep chromosome:AWHD00000000:1:17147650:17151774:1 gene:ONIVA01G20150 transcript:ONIVA01G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIIGSLSYSRYIVQAPWGGLLLVWRSVEDIEEDYEADLPADHATFVRYTREIKIYSVDTMGKKHVEINSLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDDEAWLFGFKNKRRDIGLFDLKHNSREELVSPQLWSNFPAPVWITPSFTKLNFA >ONIVA01G20150.2 pep chromosome:AWHD00000000:1:17147650:17151774:1 gene:ONIVA01G20150 transcript:ONIVA01G20150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVNPITREQIALPSVTTMEHVNPIFNESGALHKYEFSLHTATRVSYAEPSIFALGELRDYIYSKAFVFTDTFTGGCIVVLIHEPAGQISFARVGDDKWTWHPSHSHYSDCIYIDGLLYALTAQGEIHTLDLSGPTITMKTIIGSLSYSRYIVQAPWGGLLLVWRSVEDIEEDYEADLPADHATFVRYTREIKIYSVDTMGKKHVEINSLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDDEAWLFGFKNKRRDIGLFDLKHNSREELVSPQLWSNFPAPVWITPSFTKLNFA >ONIVA01G20150.3 pep chromosome:AWHD00000000:1:17147650:17151774:1 gene:ONIVA01G20150 transcript:ONIVA01G20150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLCKYSSRRRISPCKRGSICSNYPMAPCSTIARIMNLGDLARCPKNLCNLLFRVVQSKLLAPLHSRLLKEVQKDDGDQPSMAESVVANLPELSQDILMEIFALLEIPDLVHAGSVCNSWLSAYNELRSLGIYKLSQTPCLLYTSESAGDSVVCLYSLVEKREYKITLPEPPIRSRFLIGSSLGWLITADDLSEMHLVNPITREQIALPSVTTMEHVNPIFNESGALHKYEFSLHTATRVSYAEPSIFALGELRDYIYSKAFVFTDTFTGGCIVVLIHEPAGQISFARVGDDKWTWHPSHSHYSDCIYIDGLLYALTAQGEIHTLDLSGPTITMKTIIGSLSYSRYIVQAPWGGLLLVWRSVEDIEEDYEADLPADHATFVRYTREIKIYSVDTMGKKHVEINSLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDDEAWLFGFKNKRRDIGLFDLKHNSREELVSPQLWSNFPAPVWITPSFTKLNFA >ONIVA01G20140.1 pep chromosome:AWHD00000000:1:17144064:17144258:-1 gene:ONIVA01G20140 transcript:ONIVA01G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISVVFAGPRHRIVAVVRCGLGDIDRGRTAMMTYEACRSVASRRTGTGCDDALDYLNFDRCR >ONIVA01G20130.1 pep chromosome:AWHD00000000:1:17141550:17143352:1 gene:ONIVA01G20130 transcript:ONIVA01G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSTAAAGVLLVLLVAAAGGGAEAAATTCVASLLELSPCLPFFKDKAATAAPEGCCAGLSSIVKGEAVCLCHIVNHTLERAIGVDIPVDRAFALLRDVCRLSPPADIISTCANEKGGVPPLYSCPAPSA >ONIVA01G20120.1 pep chromosome:AWHD00000000:1:17137204:17138382:-1 gene:ONIVA01G20120 transcript:ONIVA01G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKWKGGGALLLLAAGLLLVAVAAAAAEEEGRRDPKEELRWCKKQCRWEAGQDQRQLRECEEQCLQRQQEDDDDDENTHGGGGKECRRECRGYRDEPWRKQECMRQCEWRRHEQHHHGGGHGGSRPDCREQCEHQQDWWEKQRCLMDCRHRRQEVDADDDNHHGRDPCYKQCRHHHDQWKKQQCMEECRYHQRQQDAAVDVDEEDDNHGGDRCRKQCQHHHDQWKKQQCIQDCRYHHRQEDDVVEEEDGHGDQQCRKQCQHHHDQWKKQQCMQDCRQRRRQEEEEAAVDEEEDHNYGGEREQHCRKRCQHHHDQWKRQQCMQDCRYRRQEEDDVVDDDNHHGGGGGHGGDHCRRQCQHHREWHERQRCMRDCHERRHGWATVAAEAILQAV >ONIVA01G20110.1 pep chromosome:AWHD00000000:1:17127706:17135442:1 gene:ONIVA01G20110 transcript:ONIVA01G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPISGGGGGERFCHAAQVVGADGEMDGEAMARFAAGAGLLGRGLSYAVVSIVGPQGSGKSTLLNQLFGTSFTEMDALKGRSQTTKGIWIAKAVGIEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINFPRKTTLLLVIRDKTKTPLEYLTQALKEDIQKIWNAVRKPEVYKEAALSEFFNVEVTALSSYEEKENLFKEQVGQLRQRFIHSIAPGGLAADRRGVIPASGFCLSALQIWKVIRENKDLNLPAHKIMVATVRCEEIADEKLKSFISDKGWLELETAANSGLVPGFGKKLNAILDFYLSEYDTEAMYFDEDVRTAKRQQLESEILKHTYDAFKKMLEHLHHVVLNKFKSDLEQSLRSGEGFAASARYCVQSSMAEFDAGLREWDTTKVRSKLEQHIEAHATSVRGTKLAELKANYEKKLLDTLAGPVQSILETGEKDSWACIRRLYRHATESAILAFSASLSEFELDQTTIRKMVMELREHARSIVEEKAREEAGNVLMRMKERFSTVLSRDKDSVPRTWKGNEDIRAITREARLAALRLMSVMAAVRLDDKPDKIDRALTTALLDGGPLSQKRSIEFTSDPLASSTWEEVSEKNTLITPVQCKSIWRQFNAETEYAVAQAISMQEAHRRSNNWLPPAWTVLLLAILGYNEFIFLLRNPLYLLGLFVAFVVSYAAWLQYDITAYFRHGTLSGLLTITSGFLPTIMDIITAVINMSHNQKSSSHPPRHRPPLHPQSFRNQAQQQSQAQVQYQAPSSLSSSSSVGSNSDDES >ONIVA01G20110.2 pep chromosome:AWHD00000000:1:17127706:17135442:1 gene:ONIVA01G20110 transcript:ONIVA01G20110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPISGGGGGERFCHAAQVVGADGEMDGEAMARFAAGAGLLGRGLSYAVVSIVGPQGSGKSTLLNQLFGTSFTEMDALKGRSQTTKGIWIAKAVGIEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINFPRKTTLLLVIRDKTKTPLEYLTQALKEDIQKIWNAVRKPEVYKEAALSEFFNVEVTALSSYEEKENLFKEQVGQLRQRFIHSIAPGGLAADRRGVIPASGFCLSALQIWKVIRENKDLNLPAHKIMVATVRCEEIADEKLKSFISDKGWLELETAANSGLVPGFGKKLNAILDFYLSEYDTEAMYFDEDVRTAKRQQLESEILKHTYDAFKKMLEHLHHVVLNKFKSDLEQSLRSGEGFAASARYCVQSSMAEFDAGLREWDTTKVRSKLEQHIEAHATSVRGTKLAELKANYEKKLLDTLAGPVQSILETGEKDSWACIRRLYRHATESAILAFSASLSEFELDQTTIRKMVMELREHARSIVEEKAREEAGNVLMRMKERFSTVLSRDKDSVPRTWKGNEDIRAITREARLAALRLMSVMAAVRLDDKPDKIDRALTTALLDGGPLSQKRSIEFTSDPLASSTWEEVSEKNTLITPVQCKSIWRQFNAETEYAVAQAISMQLSGLLTITSGFLPTIMDIITAVINMSHNQKSSSHPPRHRPPLHPQSFRNQAQQQSQAQVQYQAPSSLSSSSSVGSNSDDES >ONIVA01G20100.1 pep chromosome:AWHD00000000:1:17108027:17115785:-1 gene:ONIVA01G20100 transcript:ONIVA01G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDISCQGRKASKTPRHVVVVFLVAVAEFPPPSRALGINRREKFLRALSHPPTPHRGDDDEEEMLPGVELARRRRVHYHGDGAAAGAGFGEHHHGHYNYFHQQHHRQAVASAEAGAGAGGEVSPAVAARIRLEEKLRGAAAAPSSSLSRWGRRFRDRDGSTTSRQQNNQQEQQIQLPAEPRPTPKPSMTMLEAPSTRKAPRREMRRTLSKADLCAVCLDEVRERHQRVTRLPCSHKYHSECVLPWLAIQPDCPCCRTQVPSVDSLFVHEKVPTQRLTLSFKPFNLREAKNTRHIIAPATASTAARYYISASTKPPNAMIAMLPDGAGAQNGDGSRCSKVRCGMGSCSESSDYVFGFACRCNPGWSRYHLGNLQFPFLPCVIPNCTINYSCQNGSSSPLPSPSPPPPPPPPPAMPSLTNLSIYDPCLLQYCGDGGSCERSSEFGHRCACHDGFQNLLNDTSYPCYRQCSLGSDCSGLGISMFNGSQPGTAPPAPFSFTVKKSGAAASSLLPAGGGVLLHLLLVLVSSMIG >ONIVA01G20100.2 pep chromosome:AWHD00000000:1:17108027:17111743:-1 gene:ONIVA01G20100 transcript:ONIVA01G20100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSRVRLVLVMVSLQAMIAMLPDGAGAQNGDGSRCSKVRCGMGSCSESSDYVFGFACRCNPGWSRYHLGNLQFPFLPCVIPNCTINYSCQNGSSSPLPSPSPPPPPPPPPAMPSLTNLSIYDPCLLQYCGDGGSCERSSEFGHRCACHDGFQNLLNDTSYPCYRQCSLGSDCSGLGISMFNGSQPGTAPPAPFSFTVKKSGAAASSLLPAGGGVLLHLLLVLVSSMIG >ONIVA01G20100.3 pep chromosome:AWHD00000000:1:17113181:17115785:-1 gene:ONIVA01G20100 transcript:ONIVA01G20100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDISCQGRKASKTPRHVVVVFLVAVAEFPPPSRALGINRREKFLRALSHPPTPHRGDDDEEEMLPGVELARRRRVHYHGDGAAAGAGFGEHHHGHYNYFHQQHHRQAVASAEAGAGAGGEVSPAVAARIRLEEKLRGAAAAPSSSLSRWGRRFRDRDGSTTSRQQNNQQEQQIQLPAEPRPTPKPSMTMLEAPSTRKAPRREMRRTLSKADLCAVCLDEVRERHQRVTRLPCSHKYHSECVLPWLAIQPDCPCCRTQVPSVDSLFGKMGPDN >ONIVA01G20090.1 pep chromosome:AWHD00000000:1:17103520:17108209:1 gene:ONIVA01G20090 transcript:ONIVA01G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVQLLLLRLPLAAVFPPKPVTTKTLAVAGMSKAEAAAAGDAAKPDPEMGVAGEKEVVAEKAPARRKVAAEEEDPRLRWAFVRKVYCILALQFAVTAAIAVVAWAVRPIPRFFAAGSLASWLVYLAILLCPFIVLWPMLKYREKHPVNLLLLGLFTLCESLTIAVCSSTFLGKVVLQAAILTAVAVICLTIFTFWAAHRGHDFTFMYPFLAASLLVLLAYLIIQICFPLGRAGMTIYGCLATVLFSAFIVFDTNQLIKRHTYNEYVIATISLYLDVINLFMAQLSFSI >ONIVA01G20090.2 pep chromosome:AWHD00000000:1:17108037:17108780:1 gene:ONIVA01G20090 transcript:ONIVA01G20090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSTRRRWSSTPPPAGRREEAAAPDFLTVNENGAGGAVPGCDPLNMLIPRPEQSDPSEPATGEEEKHQFWKPSWQAQRWPNSDDLSQLPPSPQYWRRQAAFIQATINDGEN >ONIVA01G20080.1 pep chromosome:AWHD00000000:1:17095199:17095489:-1 gene:ONIVA01G20080 transcript:ONIVA01G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGAAVAHARTDGLLATFNPMTGKKYELGYCTSSDEPEPRAHRCSWHGWVLGKEGDDEVFLLNPTKGRIMHLPPMTRGPFFGSISFTSVLGSPD >ONIVA01G20070.1 pep chromosome:AWHD00000000:1:17083837:17089745:1 gene:ONIVA01G20070 transcript:ONIVA01G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPKNDAEAFDQPDPNDERLPEEKLNTMAVRIAVMNGCGALAFAWATVVLLGGYATLIKQKDFWFVTIIVFMEATCPGKPTLTSDRLIADTPLDSDNICVRCRYRRPSSFTAGTDLKLFTGMRRHQSHREL >ONIVA01G20060.1 pep chromosome:AWHD00000000:1:17079785:17082988:1 gene:ONIVA01G20060 transcript:ONIVA01G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPKTSHRRCDRGQRRRRQLPSAPTRTPKNATTIHDIADGVKDGGGGGGTRDLPSRMRSRTETATVAPERSHADGVKDSGGCGCRFRALPSRMGSRIVTAAGAPEPSSLEENHD >ONIVA01G20050.1 pep chromosome:AWHD00000000:1:17073247:17080426:-1 gene:ONIVA01G20050 transcript:ONIVA01G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTTVAAAVIDHLHEGLGSHCRVRVGALGSCRRRLCPRSHLRWEVLGAAAAAILDAIRNIVNSSERRGVFGWQPRGRHPEGRLALSAVGSHGGGGSSGDSGGAWPLSSLSLWLSSPSPPSPWRSGGGEGRLQPATTGTTAGGEGGIGSSEEAWG >ONIVA01G20040.1 pep chromosome:AWHD00000000:1:17059684:17072001:1 gene:ONIVA01G20040 transcript:ONIVA01G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGTRASQQQEEAAGIENGGGKDQLPEDTSKLNITVLWLAIYFRLMDGFGKLALAWATVVLLGGFSTLIKPKDFWFVTIIVVMQITRVFGGSQKPEDQLFIRVPLSMLHRDELTHVGLGSWRKNTIKNGEARKGSSSVRQNQRNVLVRMFCPKRHVKMTIFQTTLTLMEAAALVACIFISFRRLLKQDYVDPKDKVKDDHKNIRGSLNVFYGLALAHSMSYYILIVAFQFLVAPLVRLVHSSYKLHDWGMDAVSEYTAKKLWAFIRYNVREALEMNLITFGKELARSDSIDDQLKGVRILDYIIRWEKQHLSRAGVLDIYKSEKYKGRVLTSIRASTETLERVVNMLGLNLKSLEEEETRGHAASIVLELAPYLLFENLPAMPQLIASLLTTGRERINEGPHDINSVELTCYGVKILERLVENPDNRRSVADANVVLSKIVELLNFRDDCKVPVPDETENRSQEEIVEASLNVLHKLVSTTGETGEALRSTISKNSEIMSNIRKILYQHDNKNSSLSVHAVKILSCLAMHETAREVIGSSCQIVRKLVSSVLPRPMDIVQDGNNGSTVADSAAQALVLLSTGSEYNRATILDKINLEELVGMLFDASMEQRIMIAHLLKHLRTYSGPGYGNQLKKVIDGSLPKLLEEIKVAVQKLDNPEFPDGQPSHDLKELKAEEGKLLDSFIGLSSQICHSLQAIDFDKALQSANLTMDTYAQMLKMVLSVCKSPTTDFPGIRRVTISQMKWLIKNNEDYVGVFKRYEMDKALKEVAETATELESFELFCSGSGVGKHDEPISSAVRSTLALLAGDSA >ONIVA01G20040.2 pep chromosome:AWHD00000000:1:17059684:17070660:1 gene:ONIVA01G20040 transcript:ONIVA01G20040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGTRASQQQEEAAGIENGGGKDQLPEDTSKLNITVLWLAIYFRLMDGFGKLALAWATVVLLGGFSTLIKPKDFWFVTIIVVMQITRVFGGSQKPEDQLFIRVPLSMLHRDELTHVGLGSWRKNTIKNGEARKGSSSVRQNQRNVLVRMFCPKRHVKMTIFQTTLTLMEAAALVACIFISFRRLLKQDYVDPKDKVKDDHKNIRGSLNVFYGLALAHSMSYYILIVAFQFLVAPLVRLVHSSYKLHDWGMDAVSEYTAKKLWAFIRYNVREALEMNLITFGKELARSDSIDDQLKGVRILDYIIRWEKQHLSRAGVLDIYKSEKYKGRVLTSIRASTETLERVVNMLGLNLKSLEEEETRGHAASIVLELAPYLLFENLPAMPQLIASLLTTGRERINEGPHDINSVELTCYGVKILERLVENPDNRRSVADANVVLSKIVELLNFRDDCKVPVPDETENRSQEEIVEASLNVLHKLVSTTGETGEALRSTISKNSEIMSNIRKILYQHDNKNSSLSVHAVKILSCLAMHETAREVIGSSCQIVRKLVSSVLPRPMDIVQDGNNGSTVADSAAQALVLLSTGSEYNRATILDKINLEELVGMLFDASMEQRIMIAHLLKHLRTYSGPGYGNQLKKVIDGSLPKLLEEIKVAVQKLDNPEFPDGQPSHDLKELKAEEGKLLDSFIGLSSQICHSLQAIDFDKALQSANLTMDTYAQMLKMVLSVCKSPTTDFPGIRRVTISQMKWLIKNNEDYVGVFKRYEMDKALKEVAETATELESFELFCSGSGVGKHDEPISSAVRSTLALLAGDSA >ONIVA01G20040.3 pep chromosome:AWHD00000000:1:17059684:17061204:1 gene:ONIVA01G20040 transcript:ONIVA01G20040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGTRASQQQEEAAGIENGGGKDQLPEDTSKLNITVLWLAIYFRLMDGFGKLALAWATVVLLGGFSTLIKPKDFWFVTIIVVMQITS >ONIVA01G20030.1 pep chromosome:AWHD00000000:1:17055808:17058547:1 gene:ONIVA01G20030 transcript:ONIVA01G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMFYLTLRDDSLFMGGEMGDQAFLNYLIRSLYKKAKEIADAEDEKSKTSDASLVDKYNSSKRSVSLVQKHKESKKEKKKRSRSNERKKKGKGTINHPWKPCDREKDLTAGRQNVNLDPENMAQGLSSRFSSGAVQRNFKDDHFSDMHFRKTSWRLADVEWELHYHWRGDLYYFTTMGDRP >ONIVA01G20020.1 pep chromosome:AWHD00000000:1:17054352:17055065:-1 gene:ONIVA01G20020 transcript:ONIVA01G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSLFSFLSSPLLSCSLLVRSPAAEEGETAGKPSRAPPPREALPPPHPTATTTLTCEAAGCWPLLPPLLVFTPMITKEGRLTTVGELIPVEGGAAEIERCGGDVEAWSERGEPGLPAVGQQRAPTCDPKTVSSRVPSHREIAWDDRARRWRQPRRTGPTAAGLSMVMAPLAGSPSHPVITGIFDGGGSSSMAKTAKISSRGGGDRRRRGGSMAMREKAPEAFRWRSCPATLSGRS >ONIVA01G20010.1 pep chromosome:AWHD00000000:1:17051053:17051501:1 gene:ONIVA01G20010 transcript:ONIVA01G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAPTRWRYEVGAHGDGVPLMLWLQGGGWPGSVARASGRGQIHGRLGRIWPVTVQVCSKSFTPLLANILPSMLLLPFYGRSASILGHWKIRGKGRCGAFVELTTSR >ONIVA01G20000.1 pep chromosome:AWHD00000000:1:17047347:17050163:1 gene:ONIVA01G20000 transcript:ONIVA01G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLAVKKICVALGNEAINQATSKFKKFVSQITELQGSMGRIKRELRLIHQFLSRMDVRNRNNETYEIWVEEIRMLAHGIEDTVDDYLQLVSHKHDTGWSTYLKKGFTRPNILLSLNKIALSIKDAEANLMHLFQAKDRWVSMVGDGNNPSNDSSNYIVERSQHLASISRSLGEEDLVGVNQNRETLEEWLADDLLERSVITLHGMGGLGKTALAANAYMREKEKFQCHAWVSISQSYCIKDVLKCLITELSRNVKKTNWGNITDMDTGGFREELKRFLKLQKCLIVLDDVWAPEVINDLFGAHVPNLKGSRILVTTRIDDVAQLAFPDRRITLEPLCEKESWELFCRTAFPRETNHECNAELLHLIDQIVSKCKGVPLAIVSIGRLVFVRDKTKEELRRIHDQLDWELTNNPSLEHVRNILYLSYIYLPTQLKSCFLYCSLFPEDHLLKRKALIRWWIAEGFISKRGRSTMEEVAEGYLQELVNRNMLQLIDRNSFGRIKSFRMHDIMHELAVDLCRRECFGVAYDEDNRRWEHEDRDERRLVVHKLNKDIDQEISCAHSLRSVITLDNSMISSSSILCLVVDNCRYMSILELSGLPISTVPDAIGDLFNLRHLGLRGSNVKFLPKSIEKLTNLLTLDLFRSSILELPRGIVKLTKLRHLFAEKQTDRHRRLFRWCTGVSIPRGLENLTSLQSLQALEAQDESVRCLGELRQMRGLRLWKVKASLCERLYESLLQMKCLSYLSITASDEDDVLQLDGLNPLPPSLHKLRLSGRLAHTMLGAESPLFQEDAGGRNLYSLRLFWSQLKEDPLPSLSRLLNLTELHFTRAYNGEKLVFLTRWFPKLKVLRLRDLPNLKRMDIQQGAMVSLERLRLINLSSMEEVPLGIEFLMPLKYLSFEEITVDFLLSLRQSRIGGMRWWHTLREENDMEAGVRT >ONIVA01G19990.1 pep chromosome:AWHD00000000:1:17034588:17045362:1 gene:ONIVA01G19990 transcript:ONIVA01G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGDEAEKEGKRRRGRGRSSRRSRDASSDPDPDSASSPSPSSASSPSRSPDRRSRSRSSGSKRRKASSSSRRHRHHHHKSSGRSRRSRDDDDERRRRRRRRDEERGRRGGDASESSGSGSEEPDRAEEAREIVRDILGDFPAVAGELRQLLQMIDNGEGIDISGISDKPLVKRLKKLFRSLKLRESSNGAYLLPPKSVPTLDVVGSALVASSELADNENKNPASPTRQEQPSSNFDVQNKNDSTPEEPAKNDADEQPPKRRVIGPAMPSRELLAAAAEMTEALRSRDAEVEADDGFLIGPPPPAMVAEAASANEAERFEEVTRILAADENSPYDVVGINWKMSSDNIKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPEKRGVIDEKIKKKEEMEQFEVELKAMREAAEWRRLQGVSLEGDDELLAVPKQAQAPKRDEWMTTLPPERKPGVPMHSTTSFSMNGKEGRGDTSVWTDTPLERAQKAQQNYLEAYNKAKAIADADEEKIKTSDASLVDTYNSSKRSVSLVQKHRESKKEKKKQKQRDKEEWEGNHPWKPWDREKDLTAGRQNVNLDPENMAQGLSSRFSSGAVQRNFL >ONIVA01G19980.1 pep chromosome:AWHD00000000:1:17023431:17031752:1 gene:ONIVA01G19980 transcript:ONIVA01G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEFVDNVIAVIKESVKTFTYESLNNIARLINGISALLLTLLPGKANMLEGISGWELRPSFRGPRLPRWMESGVSSFNEFIHELSVDSDGESVTDSIPGDDENEDFICPSSPLSQSSRLSHTSSFSRRDRRLRRPIRYAISWILWPARFLVSLLVILFNAIKFRIMQTSNKPPESPHISRNFRSRRGLHIKDQVLQRTTDRRRGVVEDLHLAIEIFIESVFDIVHKGAHYVLSPSEVWKKLFCWIHGSSSPVVDVPTANVGSDNPAPTERKTIYRHPLNTDSRTCEDVITELGYPFEAIKVVTSDGYVLLLERIPSWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHVDKNISSYKYWKYSVNEHGTKDMPAIVEEIHKIKTSELGKSRPHISEETEDQNDKIKNLEVQASQDDERDNQPYKLCAVCHSLGGAVMLMYVVTSRIAQKPHRLSRLVLLSPAGFHEDSNVVFSMVEKLILFVGPVLAPFIPGLYIPTRFFRMLLNKLARDFNNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVALHLAQIKRAKRFQMYDYGSPVANMEAYGTPEPLDLGAHYGLIDIPVDLVAGQRDRVISPSMVKKHYKLMRKAGVEVSYNEFEYAHLDFTFSHREELLSYVMSRLLLVSDPGKGRIKQTSVRLRKLKKAQSEIENDSDSKEHNGIDNVVTDEQNGHVHAA >ONIVA01G19970.1 pep chromosome:AWHD00000000:1:17023196:17023654:-1 gene:ONIVA01G19970 transcript:ONIVA01G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTNSCSIIARRFANGSRGFGAPRRLLEASAKREGEGEARKAEEIRREGDDGAQRTGTETAKPKEGGGLGRFGPTYFRSRIVWKGKIKVGNNLVRGIHRPRGCEHDMWAMLGDHGPRAGCLIGSCCVTWKVSLSRGALFDPLYATAKGRL >ONIVA01G19960.1 pep chromosome:AWHD00000000:1:17012281:17014883:-1 gene:ONIVA01G19960 transcript:ONIVA01G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSAPLGDITNVSVDDLRRCHQGSNHALLQPVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNMDHTGSPFSCILQGATQNSHTLPANTAVNITFLVCLFSCICLHPLLPRVVQDEAARSRMKQFTVMNKFKTKALLVS >ONIVA01G19960.2 pep chromosome:AWHD00000000:1:17012281:17014883:-1 gene:ONIVA01G19960 transcript:ONIVA01G19960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSGLTQTTTNYVKAPLGDITNVSVDDLRRCHQGSNHALLQPVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNMDHTGSPFSCILQGATQNSHTLPANTAVNITFLVCLFSCICLHPLLPRVVQDEAARSRMKQFTVMNKFKTKALLVS >ONIVA01G19960.3 pep chromosome:AWHD00000000:1:17012281:17014883:-1 gene:ONIVA01G19960 transcript:ONIVA01G19960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKKEARKMKARVYKQLKEDEYSGLTQTTTNYVKAPLGDITNVSVDDLRRCHQGSNHALLQPVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNMDHTGSPFSCILQGATQNSHTLPANTAVNITFLVCLFSCICLHPLLPRVVQDEAARSRMKQFTVMNKFKTKALLVS >ONIVA01G19960.4 pep chromosome:AWHD00000000:1:17012281:17014883:-1 gene:ONIVA01G19960 transcript:ONIVA01G19960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLQEDGAKLSALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSGSDQQHMITPRRLPFTVINNVAHYDNMDHTGSPFSCILQGATQNSHTLPANTAVNITFLVCLFSCICLHPLLPRVVQDEAARSRMKQFTVMNKFKTKALLVS >ONIVA01G19950.1 pep chromosome:AWHD00000000:1:16998200:17000474:-1 gene:ONIVA01G19950 transcript:ONIVA01G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENLNYYHSLSGIRKRKVPLIHRVQNNIHLAEKNAYDPVLISIGPYHHGTPSLAAAEKDKWASLDYILKLNQERNLQDYLHVLSSLPDRARNTYSEEIEMDEDKFLQMLLLDGCFIIVSLYGTAGLFVRKQEGIYELLVSDDSTSRLLTDNIGECIEGVLFNYPKSIKEFERPVDFHHLVHLCHMYFRSRAEEHQHCAGIQYFGYFRKKYFKVGNQLEENHWVGTDKQNFSLHGEPQILRWRRAEQYHDAGIEFKKRPFDHKSPHSLLDINFVHGVVEIPFLMIDDKTSFLFRNIVAFEQSCPQFGNYFTAYVCFVSQLLSLPKDVTILAKRGIIVHQMHSDDEVSVLFTKLGKNVDFDVNGKYYLRHLCHVMEEHYQSRLNR >ONIVA01G19940.1 pep chromosome:AWHD00000000:1:16984250:16988718:-1 gene:ONIVA01G19940 transcript:ONIVA01G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLISSLLSSASSLLAILRGPSSALYPHSCYPTASADLQRLKRLLLRIQATLDDAEEQGMQDNYVKLWLKELKDLARDAEDVLNDYRYELLQCQIRECQVDCPRKRKHLDTDEKDDDSINERINEITNRFEEISRDRAALQLRPEDGQKIAGRSDWLKSQPTSHLLDESLVFGRIDEKENIVESVLSQSMKPGIVVLPIVGMGGIGKTTVAQMVYNDVRVREHFEHSGWIHVSPTFDVLRLTTAITESLTKRNCGFTQLSLVHEVLLKELDGKKLFFVLDDVWSECESSWHDLIRPLSYALTVIILHFAFGKQCVNEKSSLVQIGKKILQKCGGLPLAVKSVGCLLRSKTDEHTWMEILESELWELDEKDNIFPALRLSYYWLPTRLKPCFLLCSLYPTYLGFTKDEMIDLWIAQGYVYSTGGKTRQEVGDEYFNELHARSLIETSSGQLLREAHYFDELIGRSVIDSLYENVERLRNIEPSYYASSLNLFPMDSMKSFSSERIDNCQLSKYIDMKQSLIETYLERSSKSIQRFKLHDVIFDLAKSFTSRERCTAMLGTLCNLPNELHQLHASQASGILSFHEPRSLQTLVLNCCFSASFHELSSFVHLRALVLNSNQDVTNMICSIGNLKHLRYLSLNCYLQELPESVSRLYSLETLVISNLRILRATNFHNLVSLKSLHVYFEFLDGSLDQFCKLDMLDTLCLKRCSNLTYLTLHVGSLINLQRLKLIGIPNIWNLDHASFKYRRNNSITRYQEATFPSLEELELDKLCKLEDWYGIQHSDCPKLQRLTIRDCTKLRTVPCFIALKKLVISNCALMSLQFSVSNVTSKLQTIDIRDCLCLSTLVGLQNLSDLMSLYIARCPRLLVLPSESMICKPHYTFIADCPKLKLWCEKYEFNYFQVTRKMQISDVQLITEYGVENFMTVEHLTIDKCSEIGQNLLSSTKSWLPSKLRFLQFSSGTFSGVLNFHKGLSMLLRLEIRSCTKLESLIGLHKLYGLRELVLIECPLLDISTETKFPYRLSSLIIRGCHQILSLHLNSPAVLRELEISDCQGFMYIGRLSDFTDLESLKLLHCPLLQLRELMPVFPETAMICSCPRLKKWCEWHEIEYMEIQENPEDLYGKQ >ONIVA01G19930.1 pep chromosome:AWHD00000000:1:16971156:16979175:-1 gene:ONIVA01G19930 transcript:ONIVA01G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVSSLLSSTSSLLAILRSPLSDLYPRSGHPTASADLQRLKRLLSRIQATLEDAEEQGLQDNYVKLWLKELKDLALDAEDVLDDYRYELLQSQVQELQGDYPRKRKHMDNDEEDNDSIDERINEMINRFEEISRDRDALKLRFEDGHKIVDRGNWMKSRPTSHLIDESLVFGRIDEKEDIIKSVLSHQDMEPSGIVVLPIVGMGGIGKTTIAQMVYNDSRVRKHFEHSGWIHVSPTFDVHKLTIAITESLTMKNYGFTQLSLVHGVLLEEVQGKKLFFVLDDLWNECESSWQDFLSPLRHAQTVTILVTTRSKEVARLVQTVQLYHLGCIPDKDCWLLFQHYAFGNQHESEQSILVQIGRKILQKCGGLPLAVKSLGCLLRSTMDEHAWMEILESELWELDEEDNIFPALRLSYYWLPTRLKPCFLLCSLYPRNLGFTKDDIIQLWVAQGYIYSTNGKTCREIGNEYFNELHARSLIETYRKPVCWDINKRKLCLSRFTYNERQGFSSKKRERQGFSSRNEGRQGLSSKEKAREAMFYLKNKLQYETYLKKPSTSIGRFKLHDVIFDLAKSFTRGEQCTAMFGTTCMPPVADLKLQFPNPSFMYLRSLILNSNHDVSGMVYSIGNLRHLRYLSLNCKMRELPESVCRLHSLETLIISSLRILKSSNFQNLFSLRCLHVSFDFMDGSLDQFSDLYCLGTLCLKHCCNITYLPLHIRSLLNLQHLQLVGISNIRRLDHASFRYNKSNSTWQPDALFPSLESLELENLCNLEDLCGLQNSDCSKLQSLTVRNCSKLSRIPCFTSLRNLVISKSVVKIIQFSLDNMLSNLQTIDIRDCLHLSTLVGLQNLSCLMSLYISHCPQLLILPSENMPCKPCHAFVADCPKLKQWCEKHEFNYFQVTRKMHISDVRLITEYGVKNFVGVQHLTIENCTQIGQNLLSSTKSWLPSNLRFLQFSSCTFSGVLNFHKGLSMLSGLEIRNCAKLESLIGLNYLNNLRGLVLVECPLLDMSTGTKFPDLLSSLIIRGCHQLLSLHLCNPAVLTELEISDCRGFMYIGGLRNFRDLESLKLLHCPLLQLRDLMPAAPETAVICCCPRLKKWCEWHDIEYKVNQRSDILFVSMMLIHSDIFVPD >ONIVA01G19920.1 pep chromosome:AWHD00000000:1:16968993:16970403:1 gene:ONIVA01G19920 transcript:ONIVA01G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKAALAALLLFMVVAANGEVGHGGHAAVPLRRSLGLGWMTGLKGGPPTGMQPSSIRPAATGEGERRLSSEGEKFIHTLPAFKRPPIPPTSN >ONIVA01G19910.1 pep chromosome:AWHD00000000:1:16953268:16954794:1 gene:ONIVA01G19910 transcript:ONIVA01G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLVSWSDGLPPEILLIVLAHIHCLADRVSFAAACRNWRSTSKLADAEADKPAPPPPRRHVPWLLAPSPVERPTITSLVSGLTRRISLPAGLRGARFFGSYTGGWLAAALTMCGEHLVANVHSGETVSLPSRVVSQVTCTRKTTTVAIIRAVALSAAPNSAGCLAAAFVCGTSNLAFCRVGGPSKSNVWTGMEVFPGTIDDMIFFEGRFHALTTCELMTVFSISPSPESDLPDGRVEICHRSFFMPMRIETVMPHLRESADMSRYLVVSRNKLLMVVRYYVTAHTAAAAIAGVGVSHARTMLFKVFQMEKFCSHGGFWEEIEDLDGRVLFLARCCSRAFEVSEIHGFEGGSIYFLDDINFHLSLVIKDKADYPCADVGMYAISPTDGVARPGMQLAAGMRPSIYSTKHHLLRIMDCKGIGRIYRYVSTALEGSGLMPPPSGSDTASTSSSASAAATNKRRMREASWELSGASGLHHFQGSLLRCGWSHSFSIWIESAGALLGLEVE >ONIVA01G19900.1 pep chromosome:AWHD00000000:1:16906035:16913962:-1 gene:ONIVA01G19900 transcript:ONIVA01G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTADSGDNGEKMILLISSDGERFELSEAAASHSTKTSAPKASKEEELKSFDAKFVNVDKTMLFGLILAANYLNVKDLLDLTCQHAVDLIKDMTLEQVREVFNIINDFTL >ONIVA01G19890.1 pep chromosome:AWHD00000000:1:16896921:16897508:-1 gene:ONIVA01G19890 transcript:ONIVA01G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FMC2] MAAANKIPLLLAAAAALSLAASAVAAAPGPRRRPVRMRLYMHDVIGGPGQTAIRLIWGAGPPHASMPGRAFGDTVAVDDLVTEGPSIASAAVGRAQGTYMLSSQREAVLVVAITVALTSAGGPYNGSNLVIAGRDRVRDETRELAVVGGTGALRGAAGYVLWRTAKVWSEIHMALELDVHASVPATADDDAVATE >ONIVA01G19880.1 pep chromosome:AWHD00000000:1:16879849:16881574:-1 gene:ONIVA01G19880 transcript:ONIVA01G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKDGASKLPLATSSNHQMKSKASKYIHQPSKGIVIREGPPKKATVVSSTSTEVLYKGIFTELHKTANGRRYFNQHDPPRFNIWPLQLEERVDKVRSTDDIALGSVCIFHFYMTTCLEVTIDVLYLMIVLLLPVNKLITIRIGRFPPLELLPCLLVLHLRCLLSIVH >ONIVA01G19870.1 pep chromosome:AWHD00000000:1:16868954:16871412:1 gene:ONIVA01G19870 transcript:ONIVA01G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTGPSPPSASRRQSQDFSTVHTGQDDSPAMDSTAQTHLKDLTHGVSRYPRKKEISIDPANSIAKRVRGLDGRPIIANKKFDYDRRTFVENKKDFDSEATFPEQYTVIDIQSLDRENTIVHIDDVTIDAFVEHYGHTKSVVDGNAYIERASVVSMLIYENMMHESAGHRYLCHDMLQGVEKCIKLAMDSDVHYPTWTDFNVTNWDISIRYLARKKDRTSSGLFIIKFIEYWNGEDIDEYRRKLAAILYNSPSNKIQNHVQAISEEI >ONIVA01G19860.1 pep chromosome:AWHD00000000:1:16863093:16865895:-1 gene:ONIVA01G19860 transcript:ONIVA01G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVQIHRILCKQIAREYDEHTGAFNINGTMHEITIEDVDHILGVPSEGVELVEVPQAIQADVDDPKEKEKNEALQATKAALFALYKDKRETKITLSALRDSLNLNKSCDDHFKRQFVLYTIGLILCPTTERFVHLDYLNLLINIADIKRTNWASLTLNHLKRSIVSFQHDKVNLKGNQILLLIVNDIKLPIEATKEYTAKDHSGTDANQPSNMDNTKAQTTDMVDVQLQLKSMNEHLIILRKEIQGKISIDCVHEISKRQLEKGYISRITNQIAQNEQIFIPINRNKNHWYLAVVHVKQRQIQLLDSSPSTGLSFYRSSCGLFMLKCMEHWNGSKLTTKFKQGDVDIFRRKLAAILVGSTSNDNTDIPTYNK >ONIVA01G19850.1 pep chromosome:AWHD00000000:1:16859926:16862122:1 gene:ONIVA01G19850 transcript:ONIVA01G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKGGNSFNSGKKYYGVLVNSALREGVICPCPRIAPYRIGTLIWTPEVAGAVRLRLPPAPSFLPSPHAPASARLKSPTQGRSETLAPDSSPLLLSSLRCTRVTECAAAMVTTTVRRSGAHELSAGGAARCERRACGGTGAPKRGLGVQARARKDGACAGARGRCCMLPGGGCTASLCLDVLRWFRAPIRVIGLDEFCDFGTVRDLPAPPPLTTGEVACHRHCAPVVST >ONIVA01G19850.2 pep chromosome:AWHD00000000:1:16859926:16862122:1 gene:ONIVA01G19850 transcript:ONIVA01G19850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKGGNSFNSGKKYYGVLVNSALREGVICPCPRIAPYRIGTLIWTPEVAGAVRLRLPPAPSFLPSPHAPASARLKSPTQGRSETLAPDSSPLLLSSLRCTRVTECAAAMVTTTVRRSGAHELSAGGAARCERRACGGTGAPKRGLGVQARARKDGACAGARGRCCMLPGGGCTASLCLDVLRWFRAPIRVIGLDEFCDFGTVRDLPAPPPLTTGEVACHRHCAPVVST >ONIVA01G19840.1 pep chromosome:AWHD00000000:1:16857507:16858042:1 gene:ONIVA01G19840 transcript:ONIVA01G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKATRPPSPASPSLVLRPPAKPRGRKDDGGGASFLSPRRGRGAARNRPDLCRLAGSGEEEVGGGAVPGGVTAGEVRLRDGWIWPDDDRRWWEHPWMRRPVLWAMEAAVGGDGVTARRPAEAHATGAKASETAMAMEVMSVEAETCQRRF >ONIVA01G19830.1 pep chromosome:AWHD00000000:1:16854643:16854849:1 gene:ONIVA01G19830 transcript:ONIVA01G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSDPTLATEIAEVAAAKGYAAVDASVSGGDRGACKATLSIFAGSDAAVVTRLTPLFKLMGNALYMG >ONIVA01G19820.1 pep chromosome:AWHD00000000:1:16853197:16853477:-1 gene:ONIVA01G19820 transcript:ONIVA01G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLRVDAVVREEETACGRYGAPPPLVVAGGGSLPWRGRSLRLCRYAGGVGRKMRTEREELGSIWRSLEATSSATEKAWFNGAVASFAR >ONIVA01G19810.1 pep chromosome:AWHD00000000:1:16851681:16852715:-1 gene:ONIVA01G19810 transcript:ONIVA01G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACAWDALPEHLQERILSLLPLTALLPFTLVAVTAGARVRSYTLDSADPSPRWDPRGDLPLPFALLGNAAGDRRQLFVLGRGPDAILVFDLATGQWTVLPAAMPLGLTTAHLFVFGGRLFLVGGVERFGVVERVLVWRLEGSEAAAEWTEVGAMPEEVFDELVAGRHGSFWHFQAADRMGIVCLYNAVDGRLVMFDAADGGWTRLSRVSGLDVEESRRWFGHVVEPRVELLLG >ONIVA01G19800.1 pep chromosome:AWHD00000000:1:16841617:16841847:1 gene:ONIVA01G19800 transcript:ONIVA01G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPATAVPVAASPSFFISPSSSSLFSPSLFFSRSRASGAKNYSSPLGGGLLISGMQRELRGDGWIGLAVFSSGRI >ONIVA01G19790.1 pep chromosome:AWHD00000000:1:16830350:16840890:-1 gene:ONIVA01G19790 transcript:ONIVA01G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPADAADTVIAVDAAVGTAPPDLEGGEGAVAERDGRPSRSIGRGRGEGTAVAAVSAAPAFSAPCQRDRRDWGRGSGASGWERGGEGKRHRWKIIMFDGVTRTADQILEKYLSNSDRPYYQGGQSIYFDGWKGFGTSAILADIAELARRKKSMDYEIVLHVDCSVWESRRTLQRMIAKELNLGGSTMALFDKQDGDDDFSGIEKSSRAEIDEVAKLIFQAVKDRSCLLIVHNGSDDEIDFLRFGVPVLERRNTVLWTFRGRFRLEPAIKDKVKNADLFLSIDQEGCGIIEGDSAWEIADRLYHRMRLEYLPTRHNHDFWFPQYFGSQIQARDYRWVSVMPRNSDEYCQITRIQALDLEAMQVQQLERLFLLGCANLTRVKWIDPSNPPLKLLCIDTRGKAARAMDGVCQGSHLFTQQEHEAHPSTHVVATDARFLRGFRAGGYGNTIAFGRYVPSQHFHLHISDTVNDKPVLPRAKEKDASSRDGLIPGFPYLDVIDKVFNNDGEDGCSVPYCKHPVPSRLPYRNCRRRKQFGNRTGPLCHPRDKPPAVVDCEKDVWDKLEWNGDGMEASRSLFSPRHSRYYKKDLPRGSVLR >ONIVA01G19780.1 pep chromosome:AWHD00000000:1:16819579:16826692:-1 gene:ONIVA01G19780 transcript:ONIVA01G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Melibiase family protein [Source:Projected from Arabidopsis thaliana (AT3G26380) TAIR;Acc:AT3G26380] MGRGAPSSHPPPWRRLLRYALLCALLPPWGTSEANEQLAEFPPRGWNSYDSFSWIVDENTYMQNAEILAEKLLPHGYEFAVIDYLWYRKYVHGAYTDSYGFDNIDEWGRPFPDLQRFPSSRIDKGFSQLANKVHGMGLKFGIHLMKGISLQAVNGNTPILDIKTGKPYVEDGRQWTARDIGLTHRTCAWMPHGFMSVDCIFGMDYSPKEIITISELLAELDRPIILSISPGTEVTPALAKNISQHVNMYRITGDDWDNWKDVSSHFDVSSSFAAANKIGAIGLRGRSWPDLDMLPFGWLTNAGVNQGPHRQCELTSDEQRTQIALWSMAKSPLMYGGDLRHLDNDTLSIITNPTLLKINHYSINNMEFHHVHSERTSKEDKHSSRFISEDLVHVPKIDGVSLGLTACSDDKANGWYMFSQHGKSDHICRNYGMQDDKNISFCLGKTIPLLTSDDIIVHNEEYQTKFHLANMDSDDACLDASGSQRRTSSDSKFPMFSRCRWHAMQMWELNEKGNLISSYSRLCATVESNNKGVVTTGAVARAWIATGSKGEIYLAFFNLDSMSRKITARISDLEKVLGSTFIRKDTCSCTEVWSGRNFGRVEEEISAVVKSHGSMVFEITC >ONIVA01G19780.2 pep chromosome:AWHD00000000:1:16819579:16826692:-1 gene:ONIVA01G19780 transcript:ONIVA01G19780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Melibiase family protein [Source:Projected from Arabidopsis thaliana (AT3G26380) TAIR;Acc:AT3G26380] MGRGAPSSHPPPWRRLLRYALLCALLPPWGTSEANEQLAEFPPRGWNSYDSFSWIVDENTYMQNAEILAEKLLPHGYEFAVIDYLWYRKYVHGAYTDSYGFDNIDEWGRPFPDLQRFPSSRIDKGFSQLANKVHGMGLKFGIHLMKGISLQAVNGNTPILDIKTGKPYVEDGRQWTARDIGLTHRTCAWMPHGFMSVNTDIGAGKAFLRSLYQQYADWGVDFVKVDCIFGMDYSPKEIITISELLAELDRPIILSISPGTEVTPALAKNISQHVNMYRITGDDWDNWKDVSSHFDVSSSFAAANKIGAIGLRGRSWPDLDMLPFGWLTNAGVNQGPHRQCELTSDEQRTQIALWSMAKSPLMYGGDLRHLDNDTLSIITNPTLLKINHYSINNMEFHHVHSERTSKEDKHSSRFISEDLVHVPKIDGVSLGLTACSDDKANGWYMFSQHGKSDHICRNYGMQDDKNISFCLGKTIPLLTSDDIIVHNEEYQTKFHLANMDSDDACLDASGSQRRTSSDSKFPMFSRCRWHAMQMWELNEKGNLISSYSRLCATVESNNKGVVTTGAVARAWIATGSKGEIYLAFFNLDSMSRKITARISDLEKVLGSTFIRKDTCSCTEVWSGRNFGRVEEEISAVVKSHGSMVFEITC >ONIVA01G19770.1 pep chromosome:AWHD00000000:1:16811372:16812006:-1 gene:ONIVA01G19770 transcript:ONIVA01G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDNLALSVVCERSPGTAVEAASGRPPKAGSERARRQTMSRLYDELGALLPNLPPRASTTRIVEEAIACVGELRARTAELEAYSAVAAAAGRAARDGPAEVVASGKTSCFAVRLRAARARPGALTRVLEVFQRHGVAVLAATVARDGEETAVTVTTAAVAPRVLETIKAEIICAA >ONIVA01G19760.1 pep chromosome:AWHD00000000:1:16805914:16806250:-1 gene:ONIVA01G19760 transcript:ONIVA01G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPGYRGRAVARWRPARNQAAETLPKWLLALPFLEMVDRGLELMLIGLWRCAQRHHPSHDAELELPRDGRTPRK >ONIVA01G19750.1 pep chromosome:AWHD00000000:1:16791755:16793805:1 gene:ONIVA01G19750 transcript:ONIVA01G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATLLLAVSGLASGLVVPADERCHSTDNAVLGIDIGATYSCVAGSRLTPSWVAFTDGGGRLVVGEAAKEQAVGSPGRAVHDFMRLLGKKLGDDDVQREMTRLPYAVVDMEGKPHVRVEAADGDVRVLSPEEIAAAVLAKMKKTAEAHLGRTVSSAVVAVPVYFNDAQRRAISDAGDIAGLDVMRIVSEPIAAAVAYGLDNVRSNGKRVVVFDLGGENLDVTALVADDGFFDVLATNGDGYLGGEGFDQRVVNHFVDLIKRKHGRDITGDGRAMHRLRRECERAKHALSAQHQVRVEIEALLDGGVDLSETLTRAQFEELNDDLFARTMAPLRKTMADAGLEKGDIDEIIHVGGSTRIPKVQQLIRDYFDGKKEIVKGEGSTAKDNTLFGRLVLTGIPPASVWNWGWRWRPIQVTVKVDELGDIHVEATDKGGSGKSERLSIVSGEGHEHGRLSKEEIDRMIREVAEDLVEEERIVKERVDALNMLETYIVKNTAVTGGETDCEAKARAASEWLDGNPAAEKEDYEEKLKELEDACGPFMAAVHETSGLGHDEL >ONIVA01G19740.1 pep chromosome:AWHD00000000:1:16786920:16798390:-1 gene:ONIVA01G19740 transcript:ONIVA01G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGESVPSWVELLLSTQFFTTCSSHLISPRNECNFFCIDCQTPQASFCYYCRLSHHSSHHVIQIRRSSYHDVVKVSELEDILDISDVQTYVINSSRVVYLTERPQLRSCGVSNTKLSSSQTYKCEICSRTLLDDFRFCSLGCNFAAIKRDNEKNVAQNGIASNANDVKIGTNNGSTNAGSANEISSDANNYRNEIPSSTRRVLGALALPSQPVHRAAVAGDVPAVLPLDEVDEVVHDALVEALAAEVPVAVGGEDVEEAVVGHERGDVQILAAEVEHHDALAIAPHVVQTVRHGGGDRLTDNAHYVEPGDVAGVADSPALRVVENPLVFTMKGEFVPPWLELLLSTQFFNTCTSHHNSPRNECNLFCIDCQAPEAAFCYYCRSCHHSSHRVIQIRRSSYHDVVKVSELEDILDISNVQTYVINSARVVFLNERPQLRGCGVLAIKSSPSSLSSYNCETCSRVLLDAFRFCSLGCNLIGIKNDVETGLANDGIAHNDKDIEIDGSNGTANTNGTGKGIEICGNNGTIANTGNEDEICSDASKNKEILSSTRVVRHRRKGIPRRAPFF >ONIVA01G19730.1 pep chromosome:AWHD00000000:1:16774824:16776261:-1 gene:ONIVA01G19730 transcript:ONIVA01G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMDNAIRFMFILSVVYGAAYAKKSEAKVASAPGLAAAVNTVFDITELGAVADGKTDSTKAVQDAWDAACGLAGSQKVVIPKGEFMTGPLNFSGPCKGYVTVQIDGTMFGSNDIPKYNKGNWIEILHIDNVLINGSGTLDGQGAAVWKDECKILPNTLVLDYVKNGTVSGLKLVNAKFFHINVYMSKGVTIKNVTITAVANSPNTDGVHIGDSSEISVSDSTIATGDDCISVGPGSSRISIQGITCGPGQGISVGCLGRFKDEKDVTDVTVRDCVLRNTSNGVRIKSYEDVLSPITVSRLTFENIRMDGVANPVIVDQKYCPEKDCPEKKGSKTVTIKNVTFRNITGTSNTPEAVSLLCSDQLPCSGMELLDVNLKYDGKDNKTMAVCTNAKGISKGSLQALACL >ONIVA01G19720.1 pep chromosome:AWHD00000000:1:16771638:16773664:-1 gene:ONIVA01G19720 transcript:ONIVA01G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAREIFVTALLVNQIRVLECKKALLEEQESFIVQQLRTLDIMLSDLNMLYGLPGDGKSLQGEVGLCVEPDAENDDGEVAWKLPVHPLTELPCQRRRPLEEVALGPLIEPRGQPPDPCPRRAYCRCAPRRMAALAAFMLLRRRRRVHRCSEEGGYVG >ONIVA01G19710.1 pep chromosome:AWHD00000000:1:16771531:16774028:1 gene:ONIVA01G19710 transcript:ONIVA01G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFVPLRDTCPFRRFANRLRPSLFTTPVNAPAPAKEHECCERGHAAWRASAVGTTRAWVGGLTPWLDERPKGYLFKRSSPLAGEFRKWVDWELPCYLTVIILGIRLNTKPNLTLPGRTRKGANRQQQQELLVSVEAQAERSAHLSY >ONIVA01G19700.1 pep chromosome:AWHD00000000:1:16760788:16761276:1 gene:ONIVA01G19700 transcript:ONIVA01G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDELVERRRTATAPCDAQDGHRLPDVAPAAGGTREPEHARLIARRKESTYRRTAFGNREGSNPLLAARRGCSAALLARRHSVEQAAGADACVIGIRKDLRQRQQVPPRAEIGHTIQGKLASTHTSEYLTPAGTQDDRRRSRLSTTLVGNWKEERSVGLRQD >ONIVA01G19690.1 pep chromosome:AWHD00000000:1:16743230:16758265:-1 gene:ONIVA01G19690 transcript:ONIVA01G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNEHLVGVTGDDHERFLLRIKNRFDRVGLELPTIEVRAEGLAVEAEAYTWRSPAAPTVFTSMGNTLLALANAMHVLPITWKTKYTILHETNAIIKPCRFCGIRKKHIAESLVWKVSGRVTYNGHGMEQFVPERTAAYISQEDLHAGEMTVRETLAFSARCLGTGDRQDLLNELTRREKEANVTPEHDIDMFMKDETSVENRSFPLDFLALLPSHTTVASESLCSLSSHHPLGPREKVKQGLICCHRVKIMDYGICKWRREQNSNKLYNAGEMLIGPARALFMDDISTGLDSSTAFQIVNFLRQMVHILGETAVISLLQPSQEMYDLFDDIIFLSEGHIVYQGPKEKAVDFFESLGFICPHRKAIADFLLEVTSRKDQQQYWSREDEPYRYFTVERFSEAFHTGQTITKVLEVPLERNLSSLSALETSKYGVRKRKLVKAIFSREFRLLRRNPSVYILTVLSFVAMTVFWHNNMRHDSVDDGGIYLGVLFFFVAETMFGNMCDLGGTIMKLPLFFKQRDVFYPAWAYTFPTWILKIPITLIQVTIWVTMTYYPIGFDRNIGRLAKHYFLLLALSQMSSSLFRLLAGVTRNMFAAKIFGTFTMLLLLLLSGFVLPGSSESLGASVLKSRGLFLETKWYWVGLGALVGYTFLFNCRYTSSVSRRATLPFMPLSLTFNDIRYSVDMPKEKKVCAGTEDRLEILKGVSGAFRPGVLTALMGFSGAGKTTLMDVLAGRKTGGYTEGTINISGYPKKQETFSRMFVENVMELLELTSLQDAHVGLAEENGLSSEQRRRLTIAVELVANPSIIFMDEPTSGLDARGAAIVMRTVRNLVDTGKTIVCTIHQPSIDIFESLDEGIECVNRIKDGYNPATWMLEVTSTVQEQMSGIDFSEIYKKSELYQRNKALIEEISRAPANSGDLLFPNKYSQNFLKQCLICLWKQNLLYWRNIHYTGRRFFVTTVIALLFGTVFWNLGMKRTKPQDLFNSMGSMYSAVLVLGIQNASGIQPVIAMERIVFYRERASGMYSALPYAFAQVAIELPYVFVQTLIYGVLVYTMIGFEWTIAKFFWYLFFMYFTLLYFTFFGMMTVGIAPNGVIAAKIPIWWRWYYWICPVAWTLYGLGASQFGDVEEKLDTGETVAKFMRSCYGFKHEFLEMVAIVTMACPVAFAFLFGISLKNINFQKR >ONIVA01G19680.1 pep chromosome:AWHD00000000:1:16734724:16740529:-1 gene:ONIVA01G19680 transcript:ONIVA01G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73740) TAIR;Acc:AT1G73740] MEHGGHVLLSCTTPPVDKRPCHPPSLSCSPIPPSPEGMAATARPAPRPLPLPLPWSPLLPRFTQYNSPDDPPRGLRGARRLTLSPSPARRRARSLHLRCRLSDSASDPDPLRVAFACGGAGGHVYAAIALADELHASLPSSASLFLGAPPPSLESDAAASAPYPFAPIPRCLPHAVLDAALHLRRFRPHVLVATGGAPALPACLAALLLGVPFVIQDQDAGPAPATRLLAPLARRIFLGFNAPVRLLPKRKCAVYGNPVRMSIRKCRISKADAMASFFPRIGTVGEEGVEVLLVLGGTEGSPEINVALLNMYYEMLRERRNRYIIWQTGPEGFCEMESLVRSHRRLLLTPFLHELDKAYAAADVVVSRAGSMSCTEVLVTGKPSILIPLPTMVDDHQTKNAYIMADVMGAKVITEDELDSSSLRSIIDEVFGDEKLMSDMSQKALSAARPNASTDIIRHICSLGHV >ONIVA01G19670.1 pep chromosome:AWHD00000000:1:16728779:16732560:1 gene:ONIVA01G19670 transcript:ONIVA01G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSGGVVKHILLARFKEDVAPERLDQLIRGYAGLVDLVPSMKAFHWGTDVSIENMHQGFTHVFESTFESTEGVKEYIEHPAHVEFANEFLPVLEKTLIIDYKPTIILRI >ONIVA01G19660.1 pep chromosome:AWHD00000000:1:16715172:16722510:1 gene:ONIVA01G19660 transcript:ONIVA01G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETPAAGRSGVLKHIVLARFKEEVTPERLDHLIRGFGGLVNLVPSMKAFNWGTDVSIENMHHGFTHVFECTFESTEGVKEYIEHPAHLEFAKEILLAMEKTLIIDYMPTAVNNS >ONIVA01G19650.1 pep chromosome:AWHD00000000:1:16693158:16695261:-1 gene:ONIVA01G19650 transcript:ONIVA01G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FM96] MSTTFSDFDPITERRHVERQRQERRRVMVAAGAASVILIIIVMGGAAVAYNASFGDGGSSSSSGSASGGGAQPSLHGVSKIIKAMCAQTDYKDACEKSLAKAAANISASSSSSPKDVVRVSVAVIGDAIEKAFDKSSAIVSDDPRVKAAVADCKEIYENAKDDLDRTLAGIDAGGVDGLTKGGYQLRVWLSAVIAHQETCIDGFPDGDLKDKMRDAMESGKELTSNALALIGKASSFLAALHLPASSAASHRRLLSFAFDEDVTKQPEVNRSSGNSLRRLLSFAFDENAPKQPKGNDDDVLVWVNRQERRLLKAKFQNKLKPHVVVAKDGSGKFKTINDALAAMPKKYTGRYVIYVKEGVYEEYVTITKKMANVTMYGDGSKKTIITGNRNFVDGLTTYKTATFNAQGDGFMGVALGFRNTAGAAKHQAVALLVQSDKSIFLNCRMEGHQDTLYAHSKAQFYRNCVISGTVDFIFGDAAAVFQNCVLVLRRPLDNQQNIATAQGRADRREATGFVLQHCRFAAESALGDASRPAVRSYLARPWREYSRTLIMNSDIPAFVDKAGYLPWSGDFGLKTLWYAEYGNKGAGAATAGRVSWPGYKKVISKKEATKFTLQNFLHAEPWIKPTGTPVKYGMWA >ONIVA01G19640.1 pep chromosome:AWHD00000000:1:16689395:16692763:1 gene:ONIVA01G19640 transcript:ONIVA01G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FM95] MASTCSSSIPQLILLLSLTVFLLANAHPMAPPSPPRKAAAPPTAAKGVAGISPVLVSTLRETLDAIKNVASIISSFPIGGILGGGDLRLSSAIADCLDLLDLSSDELSWSMSTTSSSSYQPTNAGAATSSHVGTGDARSDLRSWLGGALGNQDTCKEGLDDTGSVLGSLVGTALQTVTSLLTDGLGQVAAGEASIAWSSSRRGLAQGGGAPHWLGARERRLLQMPVGPGGMPVDAVVAKDGSGNYTTVSAAVDAAPTESASRYVIYVKKGVYKETVDIKKKKWNLMLVGDGMGVTVISGHRNYVDGYTTFRSATVAVNGKGFMARDVTFENTAGPSKHQAVALRCDSDLSVFYRCGFEGYQDTLYAHSLRQFYRDCRVSGTVDFVFGNAAVVLQDTLYAHSLRQFYRDCRVSGTVDFVFGNAAAVFQNCTLAARLPLPDQKNSVTAQGRLDGNMTTGFAFQFCNVTADDDLQRALAGGGNQSSAAAAAAATQTYLGRPWKQYSRVVFMQSYIGAVVRPEGWLAWDGQFALDTLYYGEYMNTGPGAGVGGRVKWPGFHVMTSPAQAGNFTVAQFIEGNMWLPPTGVKYTAGLTS >ONIVA01G19630.1 pep chromosome:AWHD00000000:1:16682809:16683000:-1 gene:ONIVA01G19630 transcript:ONIVA01G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLRTPVGRGESGAAAHRQSGDAEAPVHGALMPCTALVGRDGRRVARRRSGVAEAPACAAS >ONIVA01G19620.1 pep chromosome:AWHD00000000:1:16677522:16679877:1 gene:ONIVA01G19620 transcript:ONIVA01G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSDDHSLPPGFGTRPWLVQGSRGDTLTFVDVSDVSLHETVVPEVRGKTCLGGMHGDWLLMLHESTADCFLLRISTNPRTKIQLPPLHQPLNFLSTIKMLESPDSPKCTILIASSPEVEEESYLLYCRPGEDEWTKLVSPFNDIHLSAFMCNYEGKICSACSNLVVIDMVDGKIQLQRVGTIKDEEKYARGSGCYHVVESCGKLFLLWIEELGCFGNDGLLTAIDVFCLDLELMSWERVESIGSDRTFLISENYTFSCPSIEGVLQGNCVYLVWSSCDSERLYKFCLDDMTISFQQILPQSTKPWCRAFWTVPASIESILPMEISDKPNSLLSTKLSKDILLNDLDEHDGLGNSLCLWEHLPVDLLELIVSNLSLVDRIRFPTVCMAWSKVSNPIEQAKVWPWLMHISKQDGMCRLFDPLRGEVYNMQVSIFDTNEDRHIFRSSKDGWVFTSAGIYGHDIFIINPFTEDIVEPPMFERRYHYNGVSFSSPNPMCPNCYFFGINSSLSGKFLNIHKWRHEETEWIEQRFEYDVPFPVGYNNPVMFCGKFYCLGRKGNLGAFDPTSNTWEILDKPEPIHVEMGLLENDHRGREFCYLVDLEGELISVLLHNASEAPRVFKLDLTKMSWVEVEDIGGGALFLDHRTSHGVGSPDGGHGNRIYFPRYSVDRKPVSYDMDNKMYYPSFYGHIEPLNCVWVVPNLHKNESTSED >ONIVA01G19610.1 pep chromosome:AWHD00000000:1:16662900:16665335:-1 gene:ONIVA01G19610 transcript:ONIVA01G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPWLMPPLFSRKGSGTSRASLYRGQIAPMPARLSSGDEVFADLTGSDIPLFLFRHIALSRKLSSHRGGVWVSLRHDGEHELEDRGVVAE >ONIVA01G19600.1 pep chromosome:AWHD00000000:1:16653444:16656453:-1 gene:ONIVA01G19600 transcript:ONIVA01G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPASNSKATQLHTILKFSYILIKLFFIPVMAAVCVLIVVASILLKGLLHHLGQRKRSIGAMADNATKRQARRIASVAISLGHKRDLRLNSPLAQPNSDTEAGRLTFSPC >ONIVA01G19580.1 pep chromosome:AWHD00000000:1:16627278:16631069:1 gene:ONIVA01G19580 transcript:ONIVA01G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLFALTLGHGARPEAPLPRRGTSLRAEVAALLHWKSTLKGFSQHQLGTWRHDIHPCNWTGITCGDVPWRQRRHGRTTARNAITGIALPGAHLVGGLDTLSFRSFPYLASLDLSDNGHLSGTIPPGISSLLMLSSLNLSSNQLTGNIPPSIGDLGRISSVDLSYNNLTGEIPPALGNLTKLTYLSLLGNKLSGNIPWQLGKLHDISFIDLSLNLLVGPIPSLFGNLTKLTSLFLVSNHLSGPIPDELGEIQTLQYLDLQQNN >ONIVA01G19580.2 pep chromosome:AWHD00000000:1:16628113:16628839:1 gene:ONIVA01G19580 transcript:ONIVA01G19580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKILYIYLNQHTGTIPVEFGMLSSLVELDLSENHLTGSIPSSSTFFLWGNHITGSIPQEIGNLVNLQQLDLSVNFITGPVPSTIGNMSSLNYILINSNNLSAPIPEEFGNLASLISFASYENQLSGPIPPSLGKLESVSEILLFSNQLSGQLPPALFNLTNLIDIELDKNYLVGPLPDLCRGKKLEILHLSHNNLNGSMPKTLRDCISLRSLGISYNKMDGDITDALGVYPHL >ONIVA01G19580.3 pep chromosome:AWHD00000000:1:16627278:16631069:1 gene:ONIVA01G19580 transcript:ONIVA01G19580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDDIISATDNFDEKHCIGEGAYGRVYKAELEDKQVFAVKKLHPDDEDTVHDEERFQIEIEMLAKIRHRSIVKLYGFCCHPRYRFLVCQYIERGNLASILNNEEVAIEFYWIRRTTLIRDVAQAITYLHDCQPPIIHRDITSGNILLDVDYRAYVSDFGIARILKPDSSNWSALAGTYGYIAPELSYTSLVMEKCDVYSFGVVVLEVLMGKHPGDIQSSITTSKYDDFLDEILDKRLPVPADDEADDVNRCLSVAFDCLLPSPQERPTMCQVYQRLAI >ONIVA01G19570.1 pep chromosome:AWHD00000000:1:16609781:16613259:1 gene:ONIVA01G19570 transcript:ONIVA01G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLLLLALPAAAGGLAADGQALLAFKAAVLRDPEGALADWDASTAADPCAWNGVSCGAGSGAGGADRRVVALSLPRKGLVGSLPASPLPASLRHLNLRSNRLFGELPAPLLSAAAGLQSVVLYGNELYGSIPPELGDLPYLQILDLSSNSLNGTLPPAILRCRRLRSLALGWNNLTGALPQGFARGLSALEHLDLSHNHFSGAVPEDIGNLSRLEGTVDLSHNQFSGQIPASLGRLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFVGNPGLCGPPLKNPCSPDAMPSSNPFVPKDGGSGAPGAGKNKGLGKVAIVAIVLSDVVGILIIALVFFYCYWRAVSSKEKGNGGAAGSKGSRCGKDCGCFSRDESATPSEHTEQYDLVPLDQQVRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPSIVTLRAYYWSYDEKLLIYDYIPNGSLSAAIHGKPGTMTFTPLPWDGRLKIMQGVAKGLSFLHEFSPKKYVHGDLRPNNVLLGSNMEPYISDFGLGRLANIAGGSPFTQSDHAGIEKAQSQQSDASVSPLVGKRSCYQAPEALKTLKPSQKWDVFSYGVILLEMITGRSPVVLLETMQMDLVQWVQFCIEEKKPSADVLDPSLARDSEREDEMIAALKVALACVQANPERRPSMRHVAETLDHLNGSG >ONIVA01G19560.1 pep chromosome:AWHD00000000:1:16591856:16598585:1 gene:ONIVA01G19560 transcript:ONIVA01G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding Calponin homology (CH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G48460) TAIR;Acc:AT5G48460] MGLDGLVVVSDPYLQRRFSQADLRALQAQYAALRDAAPSGRLRLRDLPAALSSAGVGAGKGGDAEKENSAPAAAQLTEEEWASVLKAVARADERPHQGVGFELFLRVYAEMQLRLKGAAAGKKAGGGGGGIARSSSSSAAAFLTAATTTLLHTISESEKASYVGHINAYLAEDPFLNTALPIDPATDHLFHLTKDGVLLCKLINLAVPGTIDERAINTKKLLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLILGLISQIIKIQLLADVNLKSTPQLVELVEDSKEMEELMSLSPEKILLRWMNFQLKKGGFQRTVTNFSSDIKDSEAYACLLNVLAPECSAKPSPMSVKDLLHRARLVLEHADRMGCKRYLTPKDIVDGLPNLNLAFVAHIFQKRNGLSKQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEVIDKIAPGSVNWKMANRPPIKLPFRKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDNDILIWANKKVKDSGKHSRMESFKDRSLSSGIFFLNLLGAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCSIFLLPEDILEVNQKMMLTLTASIMYWHLKKPTSFSLDTENGSSCETSSISTSDDSASESSFDDSGAR >ONIVA01G19550.1 pep chromosome:AWHD00000000:1:16581364:16582740:1 gene:ONIVA01G19550 transcript:ONIVA01G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAVAAAARRAAPPPLLPPVRLSRSPCYPHQVFLPLQPYPGHRPPALLTAYANAGLPAAASRLFDEMPARDAVAWNARPGTAAYAFRGMATARFAPTAATLCTMALRPGCCVENSRSFMDAFLILGRIELNGIALTCALTACSATANLMYSMLVQCKAVRHGSTSQTILCNALIDMYAKCGRTMAARIVFDQMVCRNVVSWSSMIDAYSCHGHGEAALGLFKRMKKVAPVVLPNEVTFLAVLSACGQSGLVDEGGAMLHLMKRQYGINPGPEHYACFIDLLGRAGQNDEAWYLYCSFIITRSELSGSICVAMLNACKANMDVLRGNKVALHLLEVDPENPGSHVLISNFHAVARQWSESDEPRRIILDKGSLGVQLIDFNSWY >ONIVA01G19540.1 pep chromosome:AWHD00000000:1:16568421:16578625:1 gene:ONIVA01G19540 transcript:ONIVA01G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G16210) TAIR;Acc:AT5G16210] MGLRHFGPFEICNVSTSDTPAVCSRADVSARLDPLRRSPPLSSSSLPFQKSHAFASLVSSEFPTRPTGLSRDPPTTACGGSAPRRRRLIDRVGRRRPPPPPDPEVMEATGAGAGEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRSFFSDPALFPPDLVARASSAPPGADPQSLLEEKIAAQEKLALTDYDLRLAREDLSRLKLELQKQKESSPDGSNATDALTNEGSSHHDKRDAKISTLGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWINSSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNESLGAEKDALIKSREVANSQIAALRKSLEAAHKDIKEKEKTVQDLKQSLDVQRKELNDCRAEITSLKMHIEGTRSSKRLSSGDTDGLIPANSMEEIVVLSSEHDNLKGSESITSKLASEVSLAEGKKKDHENMESSLEGSPGPEAEVSCSTAENSGYGTSGEDKSGTNTCFEDLSVNGNLHGSGNSQGDSDSISVYLTDDKVHTEKVESPYKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLTLLLPLFPNLDKYYKVEELMFQLVCDPSGAVVNVALKELVPAVVRWGDKLDQILRILLAHILASAQRCPPVSGVEGAIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAISTCPFAADPSTGTMPESYFSKSCLKLYAAGDTEWSAFEWMHTECLPDLIKLACLLPAKEDNLRTVIRKYLLDVSGRYGIDYLEHVMLPVFLVAAGDIDSSDFTYFPLATQSRVRGLRPKTSIAEKLGIVCVLPLLLSGILGYPSKRQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAVRMFEEHHVAVFNIVWEMVVISDANLKTNAAALLKALVPYISVKVASTHVLPALITLGSDQNLTVKYASIEAFGAVAQHFKNDMVVDKIRIQMDAFLEDGSHEATVSVIRALAVAIFKLTSAPPTGNDIERRREIANVFCEALRAVDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGKLESLGKVMGAHLGIASSMSSFFGESSLLVKKESGEQHDTAATTPSQPTPQTQQENTRFGRIMLGGFGDMLRGKAKGSDEPT >ONIVA01G19540.2 pep chromosome:AWHD00000000:1:16568421:16578625:1 gene:ONIVA01G19540 transcript:ONIVA01G19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G16210) TAIR;Acc:AT5G16210] MGLRHFGPFEICNVSTSDTPAVCSRADVSARLDPLRRSPPLSSSSLPFQKSHAFASLVSSEFPTRPTGLSRDPPTTACGGSAPRRRRLIDRVGRRRPPPPPDPEVMEATGAGAGEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRSFFSDPALFPPDLVARASSAPPGADPQSLLEEKIAAQEKLALTDYDLRLAREDLSRLKLELQKQKESSPDGSNATDALTNEGSSHHDKRDAKISTLGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWINSSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNESLGAEKDALIKSREVANSQIAALRKSLEAAHKDIKEKEKTVQDLKQSLDVQRKELNDCRAEITSLKMHIEGTRSSKRLSSGDTDGLIPANSMEEIVVLSSEHDNLKGSESITSKLASEVSLAEGKKKDHENMESSLEGSPGPEAEVSCSTAENSGYGTSGEDKSGTNTCFEDLSVNGNLHGSGNSQGDSDSISVYLTDDKVHTEKVESPYKQKSSDKMALETIKIVSDALPKIVPYELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLTLLLPLFPNLDKYYKVEELMFQLVCDPSGAVVNVALKELVPAVVRWGDKLDQILRILLAHILASAQRCPPVSGVEGAIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAISTCPFAADPSTGTMPESYFSKSCLKLYAAGDTEWSAFEWMHTECLPDLIKLACLLPAKEDNLRTVIRKYLLDVSGRYGIDYLEHVMLPVFLVAAGDIDSSDFTYFPLATQSRVRGLRPKTSIAEKLGIVCVLPLLLSGILGYPSKRQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAVRMFEEHHVAVFNIVWEMVVISDANLKTNAAALLKALVPYISVKVASTHVLPALITLGSDQNLTVKYASIEAFGAVAQHFKNDMVVDKIRIQMDAFLEDGSHEATVSVIRALAVAIFKLTSAPPTGNDIERRREIANVFCEALRAVDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGKLESLGKVMGAHLGIASSMSSFFGESSLLVKKESGEQHDTAATTPSQPTPQTQQENTRFGRIMLGGFGDMLRGKAKGSDEPT >ONIVA01G19530.1 pep chromosome:AWHD00000000:1:16563822:16568435:-1 gene:ONIVA01G19530 transcript:ONIVA01G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAHQVPAHIKPGPSFHLLCRCDFGGPVVRRQGRRRGAMRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTAQTLKAIPLITKIRHERHKKHITERQKQGKTKQRERDAKELEQDIQMLPKKDTMLSTQKTKVVVKVSQQQTEENLMEE >ONIVA01G19530.2 pep chromosome:AWHD00000000:1:16563822:16568143:-1 gene:ONIVA01G19530 transcript:ONIVA01G19530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTAQTLKAIPLITKIRHERHKKHITERQKQGKTKQRERDAKELEQDIQMLPKKDTMLSTQKTKVVVKVSQQQTEENLMEE >ONIVA01G19520.1 pep chromosome:AWHD00000000:1:16555741:16562645:1 gene:ONIVA01G19520 transcript:ONIVA01G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT1G18370) TAIR;Acc:AT1G18370] MGVSRPPSTPASKIERTPMSTPTPGGSTRVKEEKIFVTVRVRPLSKKELALKDQVAWECDDNQTILYKGPPQDRAAPTSYTFDKVFGPASQTDVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVNDIYRHIENTPERDFIIKISAMEIYNEIVKDLLRPESTNLRLLDDPEKGTIVEKLEEEIAKDSQHLRHLISICEEQRQVGETALNDTSSRSHQIIRLTVESRLREVSGCVKSFVANLNFVDLAGSERAAQTHAVGARLKEGCHINRSLLTLTTVIRKLSSDKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPAQTHVEQSRNTLFFATCAKEVTNNAKVNMVVSDKQLVKHLQMEVARLEAELRTPDRASSSEIIIMERDRKIRQMEKEMEELKKQRDNAQLKLEELQKKMGDNQPGWNPFDSPQRTRKCLTYSGSLQPSNKMKIRSSIRQSATAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQAEIRGMQSVRSDRDVDMITDEGNGSDLKEEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVGTQCNETTPKSNRAKKKKRMLLPLGVSNINRPNLIRAPCSPLSSSRPLEPEVENRAPEGDTVSHEGSERATPTKSEDTGDVSSRDETPRYRRSSSVNMKKMQKMFQNAAEENVRNIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKTNDMEEDSEENAGSLQDGPDSWDRLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDSTISLASSIKALRNEREFLARRMGSRLTEEERERLFIKWQVPLEAKQRKLQLVNRLWTDPNDQAHIDESADIVARLVGFCEGGNISKEMFELNFAVPASRKPWLMGWQPISNMIREKTQLW >ONIVA01G19510.1 pep chromosome:AWHD00000000:1:16545873:16547549:-1 gene:ONIVA01G19510 transcript:ONIVA01G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIRVPRSQRAKRELLKHAPKLVETGKKTLILHGTKTSAVLNSVLADLFHLKRDNAVKYSKKNDNIRPFESGGETSLEFFSLKTDCSLIVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENYKSIESYVYDKKLAPKLGSKPFFAFIGEHFESVEELKHLKEVLLDLFKGEVVENLNLAGVDRVFVCTAISPTTVYMMHCALRLKRSGTSIPRIELVEVGPSMDLVVRRHRYPVESLKKEAMKTADHAKKMKNVTKDPVHGKLGKVYIPDQQIAKMSLSNDVKGLKRERREAKKNKDHSKKQKINPE >ONIVA01G19500.1 pep chromosome:AWHD00000000:1:16540397:16543082:-1 gene:ONIVA01G19500 transcript:ONIVA01G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G51160) TAIR;Acc:AT1G51160] MFSFGGGSSLTSVVPDATPAPAAPPGTGTGANAQVLYVFNRGGVCLLYREWHRPLRTLDPTQDQKLMFGLLFSLRSFTAKIDPTTAEKGNLGVPLLPGQGCSFYSFKTNTYKLNFLESPSGIKLILITHPRTGDQRDTLKHIYNLYVEYVVKNPLYAPGTPIKLYRS >ONIVA01G19490.1 pep chromosome:AWHD00000000:1:16529599:16533776:1 gene:ONIVA01G19490 transcript:ONIVA01G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAVELGRRHGGDHRFYDASRVRRGYHHHGLPKARWAPAVHQEKAAEEPSPSPARAPVPPPGVAGNLERFVAAVTPFVPAQFPSKMQRAARGWRGCGVDAEREEAPHFFLRDVWEAYREWSAYGAGVPLVLDGCDGVVQYYVPYLSAIQLYGDPAVLRLSSGPRHIMDDSDGEYHDSSSDASSDYELGRVKHLTQEGFSSDDGESGDLHGRLLFQYLEFDSPFCPRFPGLRTLRSCDLSPRSWISVAWYPIYRIPTGPTLKDLDACFLTFHRLSTGPEEDNGGAVKYWGPGSKPTIPLSVFGMASYKFSNSIWSSTDGDRQLASFLQQAASDWLRDSRTSHPDYQFFASRGAYNR >ONIVA01G19490.2 pep chromosome:AWHD00000000:1:16529599:16533776:1 gene:ONIVA01G19490 transcript:ONIVA01G19490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAVELGRRHGGDHRFYDASRVRRGYHHHGLPKARWAPAVHQEKAAEEPSPSPARAPVPPPGVAGNLERFVAAVTPFVPAQFPSKMQRAARGWRGCGVDAEREEAPHFFLRDVWEAYREWSAYGAGVPLVLDGCDGVVQYYVPYLSAIQLYGDPAVLRLSSGPRHIMDDSDGEYHDSSSDASSDYELGRVKHLTQEGFSSDDGESGDLHGRLLFQYLDLSARFPGLRTLRSCDLSPRSWISVAWYPIYRIPTGPTLKDLDACFLTFHRLSTGPEEDNGGAVKYWGPGSKPTIPLSVFGMASYKFSNSIWSSTDGDRQLASFLQQAASDWLRDSRTSHPDYQFFASRGAYNR >ONIVA01G19480.1 pep chromosome:AWHD00000000:1:16513450:16522469:-1 gene:ONIVA01G19480 transcript:ONIVA01G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G25380) TAIR;Acc:AT1G25380] MSEGRGGNGARVMVREAACNAIAGGSAGVISATVLCPLDVIKTRLQVYGLPSNLSSTAPPGFQHILKNEGLPGLYRGLSPTIVALFPTWAVTFSVYNHLKGLLHSQGDNTGELSVQANILAASCAGIATAVATNPLWVVKTRLQTQGMRTGVVPYTSIWSALRRIAEEEGIRGLYSGLLPSLAGVTHVAIQLPVYENVKLYFAKRDNTTVDKLSPGKLAICSSGSKVAASIITYPHEVVRSKLQEQGRARHGAVHYTGVIDCIKQVYQKEGIPGFYRGCATNLLRTTPNAVITFTSYEMINRLMHQLLPH >ONIVA01G19470.1 pep chromosome:AWHD00000000:1:16499344:16506758:-1 gene:ONIVA01G19470 transcript:ONIVA01G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT1G68410) TAIR;Acc:AT1G68410] MSSPSPSSEAAAAHHHHHQRRQHAGAAGGSGLVPLAALIKEEARAERPMGSGSRICARDEEDGGGGAGAEGGRRWRRPLLRYGCAAQSKKGEDFFLLRTDCARPSTSSSSSSSLASSPPHTFAVFAVLDGHNGNAAAIYTRDNLLNHVLSAMPRGLSREEWLHALPRQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGAVSLLTVDHRLEENVEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDALSSEAAAKCCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDMIPPDQTIRHPSPPKKINKLKSLIFRKKTKDHPNKLTKQLSAAGMVEELFEEGSAMLSERLGNDSSGRRTSSSLFTCAICQVDLEPSEGISVHAGSIFSSSSSKPWEGPFLCSDCRDKKDAMEGKRPSGVKVL >ONIVA01G19460.1 pep chromosome:AWHD00000000:1:16490567:16490803:-1 gene:ONIVA01G19460 transcript:ONIVA01G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMERWWWRPQIRRRRGGRRWAAHAGILRLPLAFSGTPLASAGIGGEENGRIWLSCPDPARPRVGMGILQVPSVCR >ONIVA01G19450.1 pep chromosome:AWHD00000000:1:16487978:16488693:1 gene:ONIVA01G19450 transcript:ONIVA01G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMELAPGDARFAKLAKELDDKAPPKAAAAPPAASEDQAAASSGKPKYKHDFYNGASEVVVTVFAKSVAAEHVSVDFGEQMLSVSVEAPYHLQPRLSGASILPSKIEVSLAKADEQVTWTSLEYTSKANNKLAATATTTTRKKVDWDKLEAEVKKEEEEEEEEVDTATPVLNRFFQQMYGNGDEDMRRAIMKSYVESYVLSTDWKDVGSKKIEASAPEGMELHKWEY >ONIVA01G19440.1 pep chromosome:AWHD00000000:1:16484098:16484826:1 gene:ONIVA01G19440 transcript:ONIVA01G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVQTVQCDQPSKQQLTEAAEAAAAAAAAASLRIFGYEVGGGGGGGGVAAAAGARREAADVVVGAGRRFECQYCCREFANSQALGGHQNAHKKERQQLKRARLQLAVAAAGTPAGMGFAAAQAPAPPPGHVIAVGHHAGSVASYALPRWVYLAAHHLQPPAVGLPFHATVQAAAGACHGGGDVETRLLRVAAAGRGSSTAGSSAHSYEVCAPAAPADDSDEEASAMGLDLHLSLAPASSA >ONIVA01G19430.1 pep chromosome:AWHD00000000:1:16475175:16476754:1 gene:ONIVA01G19430 transcript:ONIVA01G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTPSEPLSPRSFALADTVRNAHHPHGASGDPTLIASVVTTTSTAARKAITALLAKHATIDASSSSKSSSFAADATPSELATSLAQALAPLASHLYLPASTSHMVI >ONIVA01G19420.1 pep chromosome:AWHD00000000:1:16472751:16474280:-1 gene:ONIVA01G19420 transcript:ONIVA01G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSPPPAAAQPPRPPTRAAESGEEGGDPGKDEVAAPPKGDSALPRSGSGFGSGNAAAPDGPAKSPMKPKKRVTAPPSSDHGSRENLAAGSPSNEVPAPQGDDAAQEDDLPESPPKSKKNRKKKKKALRAGDSGKVAAPDDPAEPTRPQQDVGEVDGRLAESEQEVPPNAGKAKKKASAQARKKPSAKQHAAAQEEEDGDLMAEAEEEVAPRQGDEEDGKGPLPQRKSKRVAALSGSICPPDPKRAKIVDAQKPGFGRKWNGNDEIMILEALVDQIRSGGNVPQEPGHPLFHELVQRLEGRTFNHSDVREKVRSLKRRYNDVVLSGLAITKDHDLQLHELSCEIWGRSVAHAGDDKQRCLARDEQSSLARDEQKSFAGDEEKSLARDEQSSLARDEQKSFARDEKSLARDEEKSLASDEQRSFDDMCKQFPLLAKEIKVLMEGQPAIMELFPRLDSDQVVAIEKKLENLRWIDMKRKKKMAVKMAKIRKGLIYKLEGAVILADGNMIR >ONIVA01G19410.1 pep chromosome:AWHD00000000:1:16461905:16464742:1 gene:ONIVA01G19410 transcript:ONIVA01G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta-adaptin [Source:Projected from Arabidopsis thaliana (AT1G48760) TAIR;Acc:AT1G48760] MASAPPAAPAPAPSLVDTLFQRSLDDLVKSLRADPSAAGESAAVARALSEIHREIRAPDAATKAVALQKLTYLSSLHFAPVASHPLAFPAIELIASPQLPHRRLAYLAASLSLHPASLSLLPLATHQLHKDLSPSTSSAANHHVTALALQLLASPAAAAAPDLPVHLAHDLVPHLFRGSPRAIAAAARVIAASPSAAVPVLFKPLAACLASPDPRASAAAAAAFCELSAPPADAAPFLPLAPDLYNLLTTSRSNWALIKVLKVFARLAPLESRLAARIVDPVCQLLARSAAMSLTFECIRTVLTALPAHDAAVRLAIGKAKEFLAAEDDPNLRYLGLLALGMLGPAYASTLHECRGVIAQSLGDADSNIRREALHLMMGLIDDSNVTDIAGMLVSHASKSDPEFANDILGAVLSACGRNVYELVSDFDWYVSLLTDMGRNLHCAQGDEIGRQLVDVGLRVQDARPELVHSSRTLLIDPALLGNHLLCPVLSAAAWVSGEYVNFTKDPVELVEALLQPRTSLLPMSVRAVYIQAVLKVITFCCNLYVERLSDSSKEVSVALNGLSMDQTVSGGSDAPIGSSNEQITVPRMMEKDPFSLKLVVHMINLIETTVGPLVECNEVEVLERARNLIGFVYSLREIQELKESKFDDDKHSRVKELVKNMQTVLSHEIGPVSLNAQEKISLPDDLVLNENLAELVDIISEDDTTLSSSIVFYPRSCGSVETRDEPALSLGSSSLLSEHRKRHGLYYLPTGKAEDGPVDYPHANDPLLPASSESALDDKLKTIQPVTGGKKPKAVKSRPKVVKLDGEDFLSSMVASASVPKEDSLSGAVRGVLLGRDLKPSSSQKASDKAYEGIINKMDSGESSSQWKNNVDADFVGHPTSSSRPSIQQSHDKESTNPLESDGKEARKHRRSRSGHRQGKHKHRERHSTQPDVPQAPIIQDFLL >ONIVA01G19400.1 pep chromosome:AWHD00000000:1:16455926:16456306:-1 gene:ONIVA01G19400 transcript:ONIVA01G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMAVASNAQHGTSSPPPDGSINETMTHHCSPSPAVATSGVGIDLTIAETSSALQAALSPLAGYIDSTPVLQHSMPPHPKLLQPDPSMASHLSTRMVMKAAYCARQAQATTTKPCHTVGFTAFLP >ONIVA01G19390.1 pep chromosome:AWHD00000000:1:16451980:16460646:1 gene:ONIVA01G19390 transcript:ONIVA01G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKMEGPSAPAMRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSPNVLEEAMSGTVTVRPLPVGSSATGKVDKQSAHFYGVTISEEQAQSGIVVRVTSAAQSKFKLLFFEQEINGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKSGTHIFAVYGDNFFKPASYTIEAMCAKSYEDTTQRLKEIESKILEKRNDLRQFETEYRKALARFQEVTNRYTQEKEAVDDMLRERDDIHSSFTTERTMVNSVGAGSSSSRYPTESPENGNIDGKDKSSKKKWFNLNLNRSDKKA >ONIVA01G19390.2 pep chromosome:AWHD00000000:1:16452058:16460646:1 gene:ONIVA01G19390 transcript:ONIVA01G19390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKMEGPSAPAMRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSPNVLEEAMSGTVTVRPLPVGSSATGKVDKQSAHFYGVTISEEQAQSGIVVRVTSAAQSKFKLLFFEQEINGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKSGTHIFAVYGDNFFKPASYTIEAMCAKSYEDTTQRLKEIESKILEKRNDLRQFETEYRKALARFQEVTNRYTQEKEAVDDMLRERDDIHSSFTTERTMVNSVGAGSSSSRYPTESPENGNIDGKDKSSKKKWFNLNLNRSDKKA >ONIVA01G19380.1 pep chromosome:AWHD00000000:1:16438683:16440762:-1 gene:ONIVA01G19380 transcript:ONIVA01G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPKFRRDRTLDGKYFRNSILSPPLRPRYSLLPLSNPFLPHPRAAEYRLLPIASASSSFTLTAAALPPPHRLRVVLLLTHRRRVLLLPITRHCPPLPRRSPLSAPPSIPRRSPSPSPLRRLNSRRQIRRRGELGNAVVVRSSSTAPTPVVSSCPPTPAHPPSLDIPASYPNFRQRQRSRTT >ONIVA01G19380.2 pep chromosome:AWHD00000000:1:16438545:16440722:-1 gene:ONIVA01G19380 transcript:ONIVA01G19380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFARGTRYYRSRTPSCHTPAPPNIASSPSPPRRPPSHSPLLHCLLHTASALSSSSPTAVASSSSPSPAIARHCLADLLSQRHHQSRGGHHPRVPFAGSTVVVRSADGVNSATVVQLSIPNTCRAVLSTAAASSSFPPPPRCCASLTCHRCRRRVRLPITHASSFASPISSLRAAINLATATVAAMQPSSSDPPPLPQRRLCRPVRQHLRTLRRLIFLRATQTSDRGRGAGPHELLNIDDQHVSISIQDVGRGDFVLLFSIDWSLVDVSNFIYSVSTT >ONIVA01G19370.1 pep chromosome:AWHD00000000:1:16432283:16436560:1 gene:ONIVA01G19370 transcript:ONIVA01G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPSATRSLLPSPRYTSLRASSLLPVVATMVCPHRHRGGSPFPLEPCLDYPDCAGVVCPFPGLAAAHAILDDASGYIASKPSTAAGILEVMRRPEDRFSGLMGAAFDPPTIGSSKTIVRRVHTQTFLSFVMAISLLQPTTLLALPTTTPTRATTEASHRADEASHFQFHLLFLPPCNGLGGFYIIGNHLCQVFNKFSDRTLYGLYIFKDIIVNHLWIGLRVKFVSYGVLMHKSVLHLQFYTIIVLHDIAVHSDYFQWIMYEALQCKIVPRQLNPLDRYSKSLCTYRVYDYSATKYWPIPIIT >ONIVA01G19370.2 pep chromosome:AWHD00000000:1:16432283:16436560:1 gene:ONIVA01G19370 transcript:ONIVA01G19370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPSATRSLLPSPRYTSLRASSLLPVVATMVCPHRHRGGSPFPLEPCLDYPDCAGVVCPFPGLAAAHAILDDASGYIASKPSTAAGILEVMRRPEDRFSGLMGAAFDPPTIGSSKTIAISLLQPTTLLALPTTTPTRATTEASHRADEASHVWLGFNLMLHFFQFHLLFLPPCNGLGGFYIIGNHLCQVFNKFSDRTLYGLYIFKDIIVNHLWIGLRVKFVSYGVLMHKSVLHLQFYTIIVLHDIAVHSDYFQWIMYEALQCKIVPRQLNPLDRYSKSLCTYRVYDYSATKYWPIPIIT >ONIVA01G19370.3 pep chromosome:AWHD00000000:1:16432283:16436560:1 gene:ONIVA01G19370 transcript:ONIVA01G19370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPSATRSLLPSPRYTSLRASSLLPVVATMVCPHRHRGGSPFPLEPCLDYPDCAGVVCPFPGLAAAHAILDDASGYIASKPSTAAGILEVMRRPEDRFSGLMGAAFDPPTIGSSKTIVRRVHTQTFLSFVMAISLLQPTTLLALPTTTPTRATTEASHRADEASHFQFHLLFLPPCNGLGGFYIIGNHLCQVFNKFSDRTLYGLYIFKDIIVNHLWIGLRVKFVSYGVLMHKSVLHLQFYTIIVLHDIAVHSDYFQWIMYEALQCKIVPRQVLLFFV >ONIVA01G19370.4 pep chromosome:AWHD00000000:1:16432283:16436560:1 gene:ONIVA01G19370 transcript:ONIVA01G19370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPSATRSLLPSPRYTSLRASSLLPVVATMVCPHRHRGGSPFPLEPCLDYPDCAGVVCPFPGLAAAHAILDDASGYIASKPSTAAGILEVMRRPEDRFSGLMGAAFDPPTIGSSKTIAISLLQPTTLLALPTTTPTRATTEASHRADEASHVWLGFNLMLHFFQFHLLFLPPCNGLGGFYIIGNHLCQVFNKFSDRTLYGLYIFKDIIVNHLWIGLRVKFVSYGVLMHKSVLHLQFYTIIVLHDIAVHSDYFQWIMYEALQCKIVPRQVLLFFV >ONIVA01G19370.5 pep chromosome:AWHD00000000:1:16432283:16436560:1 gene:ONIVA01G19370 transcript:ONIVA01G19370.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPSATRSLLPSPRYTSLRASSLLPVVATMVCPHRHRGGSPFPLEPCLDYPDCAGVVCPFPGLAAAHAILDDASGYIASKPSTAAGILEVMRRPEDRFSGLMGAAFDPPTIGSSKTIVRRVHTQTFLSFVMAISLLQPTTLLALPTTTPTRATTEASHRADEASHFQFHLLFLPPCNGLGGFYIIGNHLCQVFNKFSDRTLYGLYIFKDIIVNHLWIGLRVKFVSYGVLMHKSVLHLQFYTIIVLHDIAVHSDYFQWIMYEALQCKIVPRQVLLFFV >ONIVA01G19370.6 pep chromosome:AWHD00000000:1:16432283:16436560:1 gene:ONIVA01G19370 transcript:ONIVA01G19370.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPSATRSLLPSPRYTSLRASSLLPVVATMVCPHRHRGGSPFPLEPCLDYPDCAGVVCPFPGLAAAHAILDDASGYIASKPSTAAGILEVMRRPEDRFVVISSQAISLLQPTTLLALPTTTPTRATTEASHRADEASHVWLGFNLMLHFFQFHLLFLPPCNGLGGFYIIGNHLCQVFNKFSDRTLYGLYIFKDIIVNHLWIGLRVKFVSYGVLMHKSVLHLQFYTIIVLHDIAVHSDYFQWIMYEALQCKIVPRQLNPLDRYSKSLCTYRVYDYSATKYWPIPIIT >ONIVA01G19360.1 pep chromosome:AWHD00000000:1:16424537:16427950:-1 gene:ONIVA01G19360 transcript:ONIVA01G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSSSPCMIASLRSSHRCRLSPSATATSPPRLRTLPPRRCRRNPSSSRQAAAISMAPANPRHRLIAPIHAAAAAGGGGGGAGATSPSGLPSLVGIAHLLVSLGIVLASDKFLKQAFAAASIKFPSALFGMFCVFSVLVVLDAFAPALAKGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFLIIFGGWFASLMVAGYTALTVRKIVQTRLIPAEPMSKPSPFATLEFWAWGAVFVASFAVAYVNPTALGTTARTCLPFLLASTVLGYMVGSGLPSGVKKVLHPIICCALSADLAAIAYGYLSRSGVDAVLGDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAILGRVIGLEPSLTISILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLSDARKIARIYVYRHIFCDHVSVVIRSKRVILYPSVLTTTKLAPTFHEEAAALQQPAGEQGTDSVVLLELAFDHFVTQRTKCL >ONIVA01G19360.2 pep chromosome:AWHD00000000:1:16424537:16427950:-1 gene:ONIVA01G19360 transcript:ONIVA01G19360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSSSPCMIASLRSSHRCRLSPSATATSPPRLRTLPPRRCRRNPSSSRQAAAISMAPANPRHRLIAPIHAAAAAGGGGGGAGATSPSGLPSLVGIAHLLVSLGIVLASDKFLKQAFAAASIKFPSALFGMFCVFSVLVVLDAFAPALAKGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFLIIFGGWFASLMVAGYTALTVRKIVQTRLIPAEPMSKPSPFATLEFWAWGAVFVASLPSGVKKVLHPIICCALSADLAAIAYGYLSRSGVDAVLGDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAILGRVIGLEPSLTISILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLSDARKIARIYVYRHIFCDHVSVVIRSKRVILYPSVLTTTKLAPTFHEEAAALQQPAGEQGTDSVVLLELAFDHFVTQRTKCL >ONIVA01G19360.3 pep chromosome:AWHD00000000:1:16424537:16426594:-1 gene:ONIVA01G19360 transcript:ONIVA01G19360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGYTALTVRKIVQTRLIPAEPMSKPSPFATLEFWAWGAVFVASFAVAYVNPTALGTTARTCLPFLLASTVLGYMVGSGLPSGVKKVLHPIICCALSADLAAIAYGYLSRSGVDAVLGDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAILGRVIGLEPSLTISILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLSDARKIARIYVYRHIFCDHVSVVIRSKRVILYPSVLTTTKLAPTFHEEAAALQQPAGEQGTDSVVLLELAFDHFVTQRTKCL >ONIVA01G19360.4 pep chromosome:AWHD00000000:1:16427118:16427950:-1 gene:ONIVA01G19360 transcript:ONIVA01G19360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSSSPCMIASLRSSHRCRLSPSATATSPPRLRTLPPRRCRRNPSSSRQAAAISMAPANPRHRLIAPIHAAAAAGGGGGGAGATSPSGLPSLVGIAHLLVSLGIVLASDKFLKQAFAAASIKFPSALFGMFCVFSVLVVLDAFAPALAKGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFLIICMHITTLLSLHAFCYFFLPPDHRAYLLRLCFLRILHSTTSYLIC >ONIVA01G19350.1 pep chromosome:AWHD00000000:1:16410364:16413849:-1 gene:ONIVA01G19350 transcript:ONIVA01G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYVEAQRQARPDLADAYADLADLYQRKLWHQLTLKLDHFLQLPAAQTGDTIIQLYNNFISDFETKINLLKLAHFAVIASRQYPDKDAAISFLEGVTAKLRETRERRIDEPVLYVKMQIAAINLEKGDQKECKKLLDEGKSTLDSMTDVDPTVHANFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCKVHNAALSAQPALVQNERKLLEKINILCLMEIIFTRPSEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLETLADSRCVKRLVQ >ONIVA01G19340.1 pep chromosome:AWHD00000000:1:16406710:16407510:-1 gene:ONIVA01G19340 transcript:ONIVA01G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSISMSRRLFKGLTINPALASGMTCQHHQLQQHAPVSGTAKGKAKLKSGQQLKRNTIGAKKGGAPYTGGGGGGGRGRREAIERITQIAESCLNASTPLRHLSPKERLREAKREELGLISKERQRELDLAKAKAKSKGTREGDGGRVLMGPPGLDYISLGLVDEDAIPKYELTVEDGRRLAKQYSQVLMRRHRARQTAESSLLSLKKEAIAALPEKLRAAAMIPDMTPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR >ONIVA01G19330.1 pep chromosome:AWHD00000000:1:16402161:16404539:-1 gene:ONIVA01G19330 transcript:ONIVA01G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68300) TAIR;Acc:AT1G68300] MASPSAPGPKLQKAMVAVDESEFSHHALEWALRNLAPTIAPPLLVLTVQPLLPLGYVSAASFGSPLGTPVVAPELIKAMQEQQQQLSQALLDKAKQICAQHGVAVETMIKVGDPKEMICQAAEESKVDLLIVGSHSRGPVQRLFLGSVSNYCMHHSKCPVLVVKKQE >ONIVA01G19320.1 pep chromosome:AWHD00000000:1:16386908:16387903:-1 gene:ONIVA01G19320 transcript:ONIVA01G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSEGCTIRPCLQWIKTPEAQANATVFLAKFYGRAGLLNLLAAGPDHLRPAVFRSLLYEACGRIVNPIYGSVGLLWSGQWQACQAAVEAVLKGDPVVQVSSEAAAAAQATPPLRAYDIRHVAKDAEADAAANLLRVARGGRTRFKRASSSSNSKHGAKLAGAAAAKRAASPSSSSPTHETEPEAVVVVDDHDDDHHHPALSHEAHEEESAGSHDHDDDDHVDDGDNNDMAIADVTPPRAGSEDTEVETGSHVSQAEQSPVPVEHEEGEEEEVGLELTLGFQPLVVRASRRPSSAEARCDLSGLSAESSRIGLRLELPA >ONIVA01G19310.1 pep chromosome:AWHD00000000:1:16383204:16383475:1 gene:ONIVA01G19310 transcript:ONIVA01G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGKPRGMSAKKLKELVESDFDCDFYSDVESSSSYCSILFLQKMGVNMCGLSLDEVAKGKLGGDKMEKLPRPAEDDE >ONIVA01G19300.1 pep chromosome:AWHD00000000:1:16378479:16379459:1 gene:ONIVA01G19300 transcript:ONIVA01G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGNRPRGVKEDGAHKRSGRWQADRGVQHTWTAGFEHRGSIGRIKTGQALLHCSRTVSAGIANQLTNILRDREKCQYNGFRKLCLMINSKQLSPL >ONIVA01G19290.1 pep chromosome:AWHD00000000:1:16356110:16364862:-1 gene:ONIVA01G19290 transcript:ONIVA01G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIGQSVGIANLPADVSAALAPDVEYRLREIMQEAIKCMRHAKRTVLTADDVDSALSLRNVEPVYGFASGDPLRFKRAVGHKDLFYIDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGVQPAIPENPPVDAIVAPTENKRTEHGKDDGLPVDIKLPVKHVLSRELQMYFDKIAELTMSRSETSVFREALVSLSRDSGLHPLVPYFSYFIADEVTRSLGDLPVLFALMRVVQSLLHNPHIHIEPYLHQLMPSIITCMVAKRLGHRLSDNHWELRDFSANLVGSVCRRFGHAYHNIQTRVTRTLVQGFLDPQKSLTQHYGAIQGISALGPSAIRLLLLPNLETYMQLLEPELQLDKQKNEMKRKEAWRVYGALLCAAGKCLYDRLKLFPNLLSPSTRPLLRSNKRVVTNNPNKRKSSTDLSTSQPPLKKMTTDGAMNSMTSAPMPGTMDGFSTQLPNPSMTQTSSSGQLVESTASGVIRRDQGSNHTQRVSTVLRLAWKEDQNAGHLLSSLYEVFGEAIFSFVQPPEISWLLACFCLTACLLPAGCCGAACRLPCLLLPRCCLPAWPPARRAAALRSRAAARCLASPARRAAAAPALALSAVARSAASRLLCR >ONIVA01G19280.1 pep chromosome:AWHD00000000:1:16355832:16357384:1 gene:ONIVA01G19280 transcript:ONIVA01G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGAEGSIGRGMALAIPSPPAYPREFRSAECETQRPRRPRDGDRAQAEQRCDLRDAKAVCGLFSCVN >ONIVA01G19270.1 pep chromosome:AWHD00000000:1:16344945:16348379:-1 gene:ONIVA01G19270 transcript:ONIVA01G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLRPSPPPPWAIPRRSSGERTKPCRSRSRSRTGTSKQTFPVPLLVGKVGRRPFPVQCSIVRCCLSSTDAIHSTSDDIHEDNGHGHFLMKSTSDLQKVISSCFGKACLLSSVMLVLPPSCFAEPCEPEYSLPNMPLLFAIAMIGATVGGLLARQRRGELKRLNDQLRQINAALRRQAKIESYAPSLSYAPVGSKIPESEVIVDPQKDRLISYLRAGKNYLRNQTPDKAFPEFKAAFDLAQSLGDHVEEKKAARGLGASLQRQGKYKEAIKYHSMVLNISKLTGEDAGVTEAYGAIADCYTELGELEKAGKFYDKYIARLEND >ONIVA01G19260.1 pep chromosome:AWHD00000000:1:16329354:16344176:1 gene:ONIVA01G19260 transcript:ONIVA01G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQAMKTFLCKNCEHKQHQCFICGALEPSDGPTAKVFLCNNATCGHFYHPKCVARQLHSKNKNEASELEMKIANGHSFTCPSHWCFHCKGLEDRTQEELQFAVCRRCPKSYHRKCLPREISFEDSENEDAITRAWELSKRILIYCLDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMTSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCLMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSGKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKEKETTEKTSCDVREVILSDDRPVKTQAGYGQVKAKEYHRSDSLPVKKQAETNYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNAQNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGNVYSLQSLRDSDRFYRTQRSEERNTNSLESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHLRTHVGHADGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFLAPSAQRSSVMDMYALGPSASGSSVMDKYAPPLEDTNYSARGVPQYPYRQLGSSGGGWPRS >ONIVA01G19260.2 pep chromosome:AWHD00000000:1:16329371:16344176:1 gene:ONIVA01G19260 transcript:ONIVA01G19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQAMKTFLCKNCEHKQHQCFICGALEPSDGPTAKVFLCNNATCGHFYHPKCVARQLHSKNKNEASELEMKIANGHSFTCPSHWCFHCKGLEDRTQEELQFAVCRRCPKSYHRKEISFEDSENEDAITRAWELSKRILIYCLDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMTSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCLMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSGKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKEKETTEKTSCDVREVILSDDRPVKTQAGYGQVKAKEYHRSDSLPVKKQAETNYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNAQNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGNVYSLQSLRDSDRFYRTQRSEERNTNSLESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHLRTHVGHADGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFLAPSAQRSSVMDMYALGPSASGSSVMDKYAPPLEDTNYSARGVPQYPYRQLGSSGGGWPRS >ONIVA01G19260.3 pep chromosome:AWHD00000000:1:16329371:16344176:1 gene:ONIVA01G19260 transcript:ONIVA01G19260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQAMKTFLCKNCEHKQHQCFICGALEPSDGPTAKVSLQQQNTQRKEISFEDSENEDAITRAWELSKRILIYCLDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMTSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCLMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSGKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKEKETTEKTSCDVREVILSDDRPVKTQAGYGQVKAKEYHRSDSLPVKKQAETNYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNAQNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGNVYSLQSLRDSDRFYRTQRSEERNTNSLESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHLRTHVGHADGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFLAPSAQRSSVMDMYALGPSASGSSVMDKYAPPLEDTNYSARGVPQYPYRQLGSSGGGWPRS >ONIVA01G19260.4 pep chromosome:AWHD00000000:1:16329371:16344176:1 gene:ONIVA01G19260 transcript:ONIVA01G19260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQAMKTFLCKNCEHKQHQCFICGALEPSDGPTAKVSLQQQNTQRKDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMTSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCLMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSGKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKEKETTEKTSCDVREVILSDDRPVKTQAGYGQVKAKEYHRSDSLPVKKQAETNYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNAQNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGNVYSLQSLRDSDRFYRTQRSEERNTNSLESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHLRTHVGHADGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFLAPSAQRSSVMDMYALGPSASGSSVMDKYAPPLEDTNYSARGVPQYPYRQLGSSGGGWPRS >ONIVA01G19260.5 pep chromosome:AWHD00000000:1:16329371:16344176:1 gene:ONIVA01G19260 transcript:ONIVA01G19260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQNLQMDQLLRDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMTSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCLMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSGKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKEKETTEKTSCDVREVILSDDRPVKTQAGYGQVKAKEYHRSDSLPVKKQAETNYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNAQNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGNVYSLQSLRDSDRFYRTQRSEERNTNSLESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHLRTHVGHADGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFLAPSAQRSSVMDMYALGPSASGSSVMDKYAPPLEDTNYSARGVPQYPYRQLGSSGGGWPRS >ONIVA01G19260.6 pep chromosome:AWHD00000000:1:16329354:16330899:1 gene:ONIVA01G19260 transcript:ONIVA01G19260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLW >ONIVA01G19250.1 pep chromosome:AWHD00000000:1:16311137:16311481:-1 gene:ONIVA01G19250 transcript:ONIVA01G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVCVYDRALVDPEGKRRVVGAVAAPATVANGGFIRAPRKPTNHSKFTGGRAFRELASGKGACSGGPAASTTGGKGRHKFKHDEIKAYYLELEAGADDAVDELGSL >ONIVA01G19240.1 pep chromosome:AWHD00000000:1:16304110:16309832:1 gene:ONIVA01G19240 transcript:ONIVA01G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) TAIR;Acc:AT5G56580] MRGKKPHKELKLSVPAQETPVDKFLTASGTFKDGELRLNQRGLQLISEETADEPQSTNLKVEDVQLSMDDLEMIQVIGKGSGGIVQLVRHKWVGTLYALKGIQMNIQEAVRKQIVQELKINQATQNPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAFLPFFLVQPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCAFISSCIQKDPAERMSASELLNHPFIKKFEDKDLDLRILVESLEPPMNISE >ONIVA01G19230.1 pep chromosome:AWHD00000000:1:16296837:16298862:1 gene:ONIVA01G19230 transcript:ONIVA01G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGSSSQPPPVLLLRPTPHQPPSLAVSRGDGGVVSDAEQGDLVHEMVEPMSKKNAPLFTGPPPERPENPVIRDPLFGKALPTPTDCFTLAAALARPRANGHHPGEPCAAPSLVWVETFCCLDHGRRRRRIAASA >ONIVA01G19220.1 pep chromosome:AWHD00000000:1:16260601:16262608:1 gene:ONIVA01G19220 transcript:ONIVA01G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLRAWMAGRRAEERLTTRRGDGRDGRSGAAAAGSGLPAVGSGASMTDLAGASPRATTMGAEGGGGGWRLAAAGEGGRHVCRTQWRWRGHGGGGCGGWRAGRHSWRPALVEAVDGDAAGSYGGRRAREAAVAATAEAWPMMAQAGDRRRLHGATERPGAVVKPVGGGNGEAMAAVRRTEATTMRPSTHGRVRGRGDPFFSLTLSLYNPTTWMDVKHGEGGDSCLMAGPRRGVGAEAARRRGLDGGSAKMACTNNRQRPSLWAGNGDACRRRFPLGALPCFLLFPSGFFMSKPCLGYLARTAAALLASFFFLEASFRSPIALGCCVHVLFKQ >ONIVA01G19210.1 pep chromosome:AWHD00000000:1:16256732:16257070:-1 gene:ONIVA01G19210 transcript:ONIVA01G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARRGWGVGALARAFLVLLLLLLAAATTTTIGFGCRGAEAIRVIPPHGPAPGSARSSRGHGHRRSHGNAARVVDAAMPVVGTRPVPALSPAADEESKRRIPSCPDPLHNR >ONIVA01G19200.1 pep chromosome:AWHD00000000:1:16249760:16250385:-1 gene:ONIVA01G19200 transcript:ONIVA01G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSEWRGRMSNHCLDGTCSRQSVGRIVNDASVALGTAPGSQEESSVLRNALHTLRQRCRKLVARLGCRADNAIEPARRAEEQEGQEKEQEGEEEGDDEEEEEEEEEEGEGRRGASGARALLAIGHTTVVAGGRGISTTAQESIYLCVEVHSYQPHAPR >ONIVA01G19190.1 pep chromosome:AWHD00000000:1:16219056:16223058:1 gene:ONIVA01G19190 transcript:ONIVA01G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPFTDDLLAEILLRLPSAASLQRAALASKCWLAVASGPDFLRRFRARHTSSPLLGLFVSHGSSGLPVFHPAATVRSDPDLGAAVLGGDFSLIRVGDGEDPRWQLRDCRNGRLLLCGCRSVAVYDPVSRRRVSIRRPQDDPFSDAYIADCLLHGRGDNGAASFRVVSVQRHGRRMRAAEYNSGTREWSFHPWVENMRRPRRGQAMHAAGIIFWKCEDNFVILLDTLTMEFSMLGLPVSLFQPSKYAIGEMEDGVCCLVCLDGTMDNVHMQVWLLMEEDGGGRRWELEKEMPVSEVLDRHSLVRQVRTVASGLVLVSWDDRYPQFAIDLKNMKVMAEFRCSGETYLFQTPWPPALLVDSEVQPADLAIPLQSAEYVEPLQMIATQNMMKHVNLAAERTDVVNSEGPLDLVLEPHGPLDAQQAMAAEAETLVVTADLKLVRSTEAQNQSVAEKPEIMKGPEVPVSKRSMSRLEKRRGERYESALHKAMERKARYMGGVEQLSTSLGRNYRRSEKPIVVDSSYERYYQCRQRREKPIVVDSRYGKYYQRRQRRPAAGVQGR >ONIVA01G19180.1 pep chromosome:AWHD00000000:1:16216548:16217141:-1 gene:ONIVA01G19180 transcript:ONIVA01G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISSISLLSIPSHASIADASPTTPCLTKDGGVEQLGGAEPQWDGEVGRRRHWWRRERQAWWLRGLELGHRPPEPPRHEVFSNGLNIPDIINEHLGAEPTLPYLSPDLRGAKLLVGANFASASVSILNDTGIQFVNIVRMSRQLQFFAEYHRRGCARWSARRGHGGS >ONIVA01G19170.1 pep chromosome:AWHD00000000:1:16193383:16193859:1 gene:ONIVA01G19170 transcript:ONIVA01G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPHTTSGTSPFPRNSSTAAEMIVTEQEHLQPRHRRSRKRDRPPPTPPSGNIKAAPAPLPEGGGHGHEEEARDEDVDRFYALLDEVREMRELWRRNGDCVATKRTSVDGGQKKQDRQQLWRPTFVMEDFAFELKGSQVVQPEKKVDSAPNLDLSLSM >ONIVA01G19160.1 pep chromosome:AWHD00000000:1:16181609:16190921:1 gene:ONIVA01G19160 transcript:ONIVA01G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRLVVVLLLALLVAGAARAAEQAAGEDGIRGGAGADHQEAAGITGGLSRRSFPAGFVFGTAASAYQVEGMALKDGRGPSIWDAFVKTPGEIANNATADVTVDEYHRYKEDVNIMKSMGFDAYRFSISWSRIFPTGTGKVNWKGVAYYNRLINYMLKIGITPYANLYHYDLPEALEVQYGGLLNRKIVEAFADYAEFCFKTFGDRVKNWMTFNEPRVVAALGYDDGNFAPGRCTKCTAGNSATEPYIVAHHLILSHASAVQRYRHKYQHIQKGKIGILLDFVWYEGLTNSTADQAAAQRSRDFHVGWFLHPIIYGEYPKSLQVIVKERLPKFTADEVHMVKGSIDYVGINQYTAYYVRDQQPNATTLPSYSSDWHAAPIYERDGVPIGPRANSDWLYIVPWGLYKAVTYVKEKYGNPTMFLSENGMDDPGNVTIAQGVHDTTRVAYYRSYITKLKEAIDDGANCIGYFAWSLLDNFEWKLGYTSRFGLVYVDFRTLRRYPKMSAYWFRDLVRSKN >ONIVA01G19150.1 pep chromosome:AWHD00000000:1:16172389:16179447:1 gene:ONIVA01G19150 transcript:ONIVA01G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G66670) TAIR;Acc:AT1G66670] MEAAAAMAALPASPCSSSSSPSSLFLAPNPCCWKAAPRATVRASASAARRTLSSAWELPGPSAARPAARKPRLEELDTTNMLLRQRIVFLGSPVDDMSADLIISQLLLLDAEDKTKDIKLFINSPGGSITAGMGVYDAMKFCKADISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKILSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWNASGPTRKIMKNLPSEEKLIQNVQVETMGSSRRSQQLEFWYGGNLSGS >ONIVA01G19150.2 pep chromosome:AWHD00000000:1:16172389:16178263:1 gene:ONIVA01G19150 transcript:ONIVA01G19150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G66670) TAIR;Acc:AT1G66670] MEAAAAMAALPASPCSSSSSPSSLFLAPNPCCWKAAPRATVRASASAARRTLSSAWELPGPSAARPAARKPRLEELDTTNMLLRQRIVFLGSPVDDMSADLIISQLLLLDAEDKTKDIKLFINSPGGSITAGMGVYDAMKFCKADISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKILSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWNASGPTRKIMKNLPSEEKLIQNGNGSASGDDGKFKEISTA >ONIVA01G19150.3 pep chromosome:AWHD00000000:1:16172389:16178263:1 gene:ONIVA01G19150 transcript:ONIVA01G19150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G66670) TAIR;Acc:AT1G66670] MEAAAAMAALPASPCSSSSSPSSLFLAPNPCCWKAAPRATVRASASAARRTLSSAWELPGPSAARPAARKPRLEELDTTNMLLRQRIVFLGSPVDDMSADLIISQLLLLDAEDKTKDIKLFINSPGGSITAGMGVYDAMKFCKADISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKILSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWNASGPTRKIMKNLPSEEKLIQNGNGSASGDDGKFKEISTA >ONIVA01G19140.1 pep chromosome:AWHD00000000:1:16169414:16171169:-1 gene:ONIVA01G19140 transcript:ONIVA01G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGYLLVSRGSVGTSGVVIVAAAPEKRETGGWIWRQRKRGYWRESERIEAAAVLPFSLVAVFPSCNYRPRAPATVASQATERHPPLSRLACWPPAALPRPEREKRRKRRVMTWITLTCGDHVGPTLTQPSRIPHLCKGTRRASRERWRDQQAECTVMIEVAHCDDKVLGRHRVSLH >ONIVA01G19130.1 pep chromosome:AWHD00000000:1:16166894:16168782:1 gene:ONIVA01G19130 transcript:ONIVA01G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDSLSDMCSLTETKEALKLRKKRPLQTVNIKVKMDCEGCERRVKNAVKSMRGVTSVAVNPKQSRCTVTGYVEASKVLERVKSTGKAAEMWPYVPYTMTTYPYVGGAYDKKAPAGFVRGNPAAMADPSAPEVRYMTMFSDENVDSCSIM >ONIVA01G19130.2 pep chromosome:AWHD00000000:1:16166879:16168782:1 gene:ONIVA01G19130 transcript:ONIVA01G19130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDSLSDMCSLTETKEALKLRKKRPLQTVNIKVKMDCEGCERRVKNAVKSMRGVTSVAVNPKQSRCTVTGYVEASKVLERVKSTGKAAEMWPYVPYTMTTYPYVGGAYDKKAPAGFVRGNPAAMADPSAPEVRYMTMFSDENVDSCSIM >ONIVA01G19120.1 pep chromosome:AWHD00000000:1:16142073:16142558:-1 gene:ONIVA01G19120 transcript:ONIVA01G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPSSFLSSSPSLTFIISLSSGVLPVNEEERLEERGEGDGWSSRARGLISVAILTGAQAQGEERE >ONIVA01G19110.1 pep chromosome:AWHD00000000:1:16136656:16141281:1 gene:ONIVA01G19110 transcript:ONIVA01G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNSGEPSTAPQPNRWYELRLGSSCRDPSPTAKFCTLRYEFKPASIDKTQAGSLQKTKDNRVTVEFHNNQPGKPKVTFEGSQEEYKDNDGVLFFDGETFRLERLHRAVKRLRHVRVPGESSAATSATTGMGESHSPPLPKVGKSPAMSKPAVHSVPVEVERIDIGEPENPGLRNNNRSTTYQPVTTNPFSFSPDPNDQEENLDILGDDDNGSPNNMSSGQGASVRGFDINIPNQLDIDDEIADVDVSDEADEGLNAAEALRAQVNAEGQQDEQETSSSSGSSSSSSSSGSGSGSGSSSSDSDGSDGDSASSGGDVDI >ONIVA01G19100.1 pep chromosome:AWHD00000000:1:16130417:16134534:1 gene:ONIVA01G19100 transcript:ONIVA01G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPAASLAARSAVGAALAAVIAARAVRRRSLDGSGGAAGFVVMAIHLACGYRYGALMLAFFFTSSKATKIGADRKRRIEDDFKEGGQRNWIQVLANSLIATILVIILATMTGGQDQCLDSHESKVITGIIGGIIGHYCCCNGDTWSSELGVLSDEQPRLITTLKPVRKGTNGGVTLQGLLAATAGGLIIGLTFVFVGLLTVECSFDVALRQLLVIPISATAGLLGSLIDSVLGATLQFSGYCSVRKKVVSKRGPTVTKISGMTILDNDAVNAVSVLLTTAVTAYACIRIF >ONIVA01G19090.1 pep chromosome:AWHD00000000:1:16125433:16127228:1 gene:ONIVA01G19090 transcript:ONIVA01G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPESSELPYGSMKFWAAHRVTNNDHEEEDAYGDPVDPLEWDDYARRNGTHVSYRGIVADFNRVPIDFNTNAGYSPSLELMGLVADDYSLPDPGDEEDKDDDWNTDKKAPPLASHDKNAYWNNDDDGSSGYLPPRKKQK >ONIVA01G19080.1 pep chromosome:AWHD00000000:1:16123887:16124253:-1 gene:ONIVA01G19080 transcript:ONIVA01G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNGAGSGGEAGDGGQELEAAAAMVAATPAISGAGYWPGENQGRKWSAVVAAARPVAAGSGGGRTERRRREAAPAALRRRMGGGKRGEREGFAMYATNLVALSMAQI >ONIVA01G19070.1 pep chromosome:AWHD00000000:1:16115050:16115310:1 gene:ONIVA01G19070 transcript:ONIVA01G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAQQLIGRRSGAVVVVVMDSLGMAASSEPKACEALRVRETRAGLASARFPREPLVKIRANPFPLPLLSLFLSLLLFFKAWTSA >ONIVA01G19060.1 pep chromosome:AWHD00000000:1:16101697:16102422:-1 gene:ONIVA01G19060 transcript:ONIVA01G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCARDLSGDPPESTRLRIGDDIAWSDVGGVYDRDDSLKENTNPKCILKNHLPGAHNGGSQRFSGNLKPTAAPIIGISGKLGQGGKNRHHPPAMFPKKVAVTGGGGRNPKAAVPEHEPTSPKVSCIGKVLSDRERARRGRRPAGRMVPAGGCCPGLGGLFRRSHSRKKNAVECVDQSPPPPPPWASRRGEPKEVEEATPAAAAAMAPGLGGMMRFASGRRAADWATEMEPDGRVARSGPL >ONIVA01G19050.1 pep chromosome:AWHD00000000:1:16098930:16101053:1 gene:ONIVA01G19050 transcript:ONIVA01G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMAFEPDKVGTVTVNGKVYSFRRVHWHAPSEHTINGEKHPLELQMVHAAADGSLAVIAILYKYGAPDSFYFQLKRKLAELAADGCSFGEENAQVALGLVHLRSLQKRTGSYFRYAGSLTAPPCTEDVVWSVLGKIRQISQEQVALITALLPAGGARPTQPLNGRTVQFYNPPNSTISFKV >ONIVA01G19040.1 pep chromosome:AWHD00000000:1:16087787:16088152:-1 gene:ONIVA01G19040 transcript:ONIVA01G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDDRDKEIKIMGMDGGEILKLHRDAAVSDSDDPAADMAKAAAAAAAAAAADTAGGVVRVKLVISKQQLKKMLHKDGVSLDDMVSLMQREASEQEMISCRGWRPALKSIPEGSDC >ONIVA01G19030.1 pep chromosome:AWHD00000000:1:16079075:16082137:-1 gene:ONIVA01G19030 transcript:ONIVA01G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNNHCRGTGRRAEAPRRRAGWRAAVAVAVGGGAPIEPPTSLRPRSGARATAHRNGQACLLSLLLVIEGKPQAAQKPDC >ONIVA01G19020.1 pep chromosome:AWHD00000000:1:16073408:16078981:-1 gene:ONIVA01G19020 transcript:ONIVA01G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSSSGHPRQNPHVLGYGFHGAMPNSLLSANLFEQQGGANYFGELEEALMQQVATLRRTQQTATTTSTLHHGDTTPFSTTATAAATARPPPTLDIFPSWPMRSLHTPKEGSNVTADTTDSESSSKNNSNQNASSDQHVLVGDMAGQFDQIPQQEQHKKMATNSPTHSSKTGKALDPKTMRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDIHRARSQGLLLGAPGGNTSSGAAMFDVDYARWLEEDSRRMAELHGGLHARLPDSDLRAIVDDTLTHYDHLFNLKGMAAKADVFHLITGMWATPAERCFLWMGGFRPSELLKTLTPQLDPLTEQQVVGICNLQQSSQQAEEALSQGLDQLHQSLAETVAGGSPLDDPNVGSFMGHMAIALGQLSNLEGFVIQAARCFLAIGEYHNRLRALSSLWASRPREILVADEGNCGELSIAAHPSESQYSAF >ONIVA01G19020.2 pep chromosome:AWHD00000000:1:16073408:16078981:-1 gene:ONIVA01G19020 transcript:ONIVA01G19020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSSSGHPRQNPHVLGYGFHGAMPNSLLSANLFEQQGGANYFGELEEALMQQVATLRRTQQTATTTSTLHHGDTTPFSTTATAAATARPPPTLDIFPSWPMRSLHTPKEGSNVTADTTDSESSSKNNSNQNASSDQHVLVGDMAGQFDQIPQQEQHKTMRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDIHRARSQGLLLGAPGGNTSSGAAMFDVDYARWLEEDSRRMAELHGGLHARLPDSDLRAIVDDTLTHYDHLFNLKGMAAKADVFHLITGMWATPAERCFLWMGGFRPSELLKTLTPQLDPLTEQQVVGICNLQQSSQQAEEALSQGLDQLHQSLAETVAGGSPLDDPNVGSFMGHMAIALGQLSNLEGFVIQAARCFLAIGEYHNRLRALSSLWASRPREILVADEGNCGELSIAAHPSESQYSAF >ONIVA01G19010.1 pep chromosome:AWHD00000000:1:16063888:16064790:-1 gene:ONIVA01G19010 transcript:ONIVA01G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLVVGRVIGEVLDTFNPCMKMIVTYNSNKLVFNGHELYPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYESPKPNIGIHRFIFVLFKQKRRQTVIVPSFRDHFNTRRFAEENDLGLPVAAVYFNAQRETAARRR >ONIVA01G19000.1 pep chromosome:AWHD00000000:1:16058636:16059064:1 gene:ONIVA01G19000 transcript:ONIVA01G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGVKGAAAVVFVDDDDDELFDIDIALLDRHYYHQRRRDDDDEAEDEDGGGGDALLANCLLPVSSVSKAVPVTATTTASSSSASTFLPAVRYGGSRSMLLFNGRGGGGRRLVRRGSNSSARFWLAARGLDAAMGNFQRY >ONIVA01G18990.1 pep chromosome:AWHD00000000:1:16055724:16056176:-1 gene:ONIVA01G18990 transcript:ONIVA01G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRRGDDNTSPLSLPSCPRRRRPRAGDLGDAHRRAAPPPLLLRLSTLLAISDPQRLVAEDDGRQHWKGYTGAGMCRHRCTEGHHLRYRCCFRSDGRWRKGDDRGGGERRRRRGSGRGWGGVGVYRERETGKRNGVGPAVGQNSVWPVI >ONIVA01G18980.1 pep chromosome:AWHD00000000:1:16053250:16054729:1 gene:ONIVA01G18980 transcript:ONIVA01G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTNLYLENLCIMQENERLRKKAQLLDKENKALLAKLKLKNNPSTAAAAAASSPSSQQQPDAGASAAASVVKAGAAAPSSSYGGTKTK >ONIVA01G18970.1 pep chromosome:AWHD00000000:1:16048105:16050891:1 gene:ONIVA01G18970 transcript:ONIVA01G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARNYLNLDFDVSDTKPLPDYCFSEASEVWRAHSDRSDEEREQEQAVLRFYEEKEKELEAIDDCSEALGDVDCDDEEEEEDEGTCELCMNEGLAPSPRNHGEHLCPVYLEMVNQQILEEIKDQDLHNEDNNIFERFGVMVDWEDEGEDDDDEEFEEINKKFIQLLGHGNELAREIMDGAPAA >ONIVA01G18960.1 pep chromosome:AWHD00000000:1:16043385:16047350:1 gene:ONIVA01G18960 transcript:ONIVA01G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FM04] MAMAMTNTALAFFLLVAAASFLSLPPPSLAVTSPYVRPKPRATLSLLKDDDDGRKPEQVHISAVGSDKMRVTWITGGDAPATVEYGTTSGQYPFSATGSTNTYSYVLYHSGNIHDVVIGPLQPSTTYFYRCSNDTSRELSFRTPPASLPFKFVVAGKLSCTACSTLRHIGGDDYDMLLLPGDLSYADLYQPRWDTYGRLVEPLASARPWMVTQGNHEVERIPLVEPHAFKAYNARWRMPFDAGASPSGSNLYYSFDVAGGAVHVIMLGSYADYAAGSAQHRWLRRDLAAVDRARAAFVVALVHAPWYNSNEAHRGEGDAMRAAMEELLRGARVDAVFAGHVHAYERFARVYGGKEDPCGAVHVTIGDGGNREGLAGSYVDPQPAASAFREASFGHGRLEVVNATHALWTWHRNDDDEAVVADQAWITSLASNPACNK >ONIVA01G18950.1 pep chromosome:AWHD00000000:1:16042405:16042635:-1 gene:ONIVA01G18950 transcript:ONIVA01G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDRNVFLRFGVPCHLHRKEPFPRGANLKVTGPSSLSLLSFGVRLLIVFIVVGCPTPSDVFYAINRMRV >ONIVA01G18940.1 pep chromosome:AWHD00000000:1:16029449:16036704:-1 gene:ONIVA01G18940 transcript:ONIVA01G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FM02] MAASSCDRGDTRKKLQITVVFLVRTLLLACIIARGVLALIRVAFRVAVVAPARSLVAVAGAAFSAVNARCAWCLEQAALGRSCTGTVLGDAVVGAMASSWRLLLQGITSLVFLCARGADEYVRPPPSPLVLTAHGKPASHPQQVHISMVGEKNMRISWVTDDLNAPSVVEYGTSLGKYTASATGDHTTYRYFLYKSGAIHHATIGPLEASTTYHYRCGKAGDEFTLRTPPARLPVDFVVVGDLGQTKWTASTLSHIGGGGGDYDVLLLPGDLSYADTQQPLWDTFGRLVQPLASARPWMVTEGNHEIEALPVVGIAPFAAYNARWRMPREESGSPSNLYYSFDAAGGAAHVVMLGSYAEFEEGSPQRAWLERDLAGVDRRRTPWLLALVHAPWYNTNEAHQGEGERMRRAMESLLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALKFIKGHKSAHLSEFREASFGHGRLRILNETSAVWTWHRNDDQFATVRDEIWTPMLQVRSAPELDSRRRRRDSDDESGERDLDARRHRRRSPSSESCSSSGDDDRSRRHRHDESSRRRQRDQSHRRDRGGHDERRRRSPQRRKEPTPPPPLLPEMIPGRTGGIYIPPFRMAQMMREVEDKSSAEYQRLSWDALKKSINGLVNKVNATNVKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKVTFLNSPFQLDMQVIAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRGNPNFAEDEKAYENLKRSILGAESSDDEEGSDDSSDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQDNFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLRVFEEHATANNAKTEVCLV >ONIVA01G18930.1 pep chromosome:AWHD00000000:1:16024187:16027598:-1 gene:ONIVA01G18930 transcript:ONIVA01G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVGGDVRVLKPLMHLLLGLVMYWVAEEMTVPVLVDVTTRALCPGADIACPEAIYLTGLHQTVGGIFRAVGYTLMGQLADEYGRKPLLLLTASTSIIPYGVLACNKSKIAVYIFLILRTLSFMIGQGTITSLAVTYTADVVDPSKRAFAFGCITGILSASHALGNGFSRFLPERWIFQVSVALLISSVIYMKISLVETLQRASSGSFEHMSLSSLVVRLPLRRWESIKENINIIRRSETLSRITYISFFYELGMIGISDVLMYYLKSVFGFDKNQFSEILMVVGIGSIFSQILVLPVIINTVGEKGVLCVGILASVAYAVLYGLAWSYWVPYLTSSLGVIYVLVKPATYAIISGEVDSSDQGKAQGFISTVKSTAVLLAPLFMSPLTSYFISEQAPFNCKGFSFLVAGFFLAISLGISFMLKPEAKCTRVAVPDQLDHEAVQAPLLAQP >ONIVA01G18920.1 pep chromosome:AWHD00000000:1:16000674:16002206:-1 gene:ONIVA01G18920 transcript:ONIVA01G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWGARCAGLAFFAFSVCLAALGVVLLVARRWPWCSCHVCRAYLTGSWAREFTNLGDWYAHLLRRSPTGTVHVHVLGCTVTANPANVEHMLRTRFDNFPKGRPFAALLGDLLGDGIFNVDGHAWRHQRKMASLELGSVAVRSYAYKIIAQEVEARLMPVLADAADRGAVLDLQDVFRRFAFDNICKISFGLDPGCLDREMPVSELADAFDAASRLSAMRGAAASPLLWRAKRFLNVGSERELRKAIKVVDELAAAMIRERQKLGVGSSHDLLSRFMASTGVDDAAADDKFLRDIVVSFLLAGRDTVSTALTTLFMLLSKNPEVAAAMRAEAEAGDGGETGAAITYEHLKGLHYTHAVLHENMRLFPPVQFDSKFCAAADVLPDGTYVGGDARVMYHPYAMGRMPHIWGADYAAFRPARWLTGPGASFVPANPYKYPVFQAGQRVCLGKELAVTEMKAASVAVVRAFDVEVVGENGRSGGAAAAPRFVPGLTASISGGLQVRVRRRVHT >ONIVA01G18910.1 pep chromosome:AWHD00000000:1:15992659:15993120:-1 gene:ONIVA01G18910 transcript:ONIVA01G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRHKYNVRSIPVRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKASGRAADKAKGKFTAEDVAAAGAAGASLQEID >ONIVA01G18900.1 pep chromosome:AWHD00000000:1:15989075:15990360:1 gene:ONIVA01G18900 transcript:ONIVA01G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSAVALAAFLLLAVVVAAAAQPKPKPAGKGGKPEKGETPGKGKPEERETPPGKGKPEEKEKPEKKKIKVKCQESRKLYPYCSAKMMECPDTCPTSCFVDCDACKPVCVCNVPGACGDPRFIGGDGNAFYFHGRRDADFCVVSDRDLHINAHFIGKRGADGMSRDFTWIQAIAVLFDDGGAHRLYVGARKTAAWDDDVDRLEVIVDGEPVLLPEDAGATWTSAAVPALTVTRTKAANGVLVALDGRFKLRANAVPITAEDSRVHRYGVTGDDCLAHLDLAFKFDALTGDVHGVVGQTYRSDYVNKFDVRASMPTLGGDHSFATSGLFAADCAVSRFGHGGRAAAVAMAA >ONIVA01G18890.1 pep chromosome:AWHD00000000:1:15985971:15986528:-1 gene:ONIVA01G18890 transcript:ONIVA01G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTTSREHGTVAAVRAFHEQPVPRDQMPLFVGLGKGDCRAEDIVEKFGGHLWKVYDGGKWDWREAVGALSRAESAVEVDAASQRHRLIDLLKIVESRLGRHAVADAVRSWHAAAAVRPELPFTRNEGFVGRESELLDLEADLFGKRPMHLVEVEVFGGEPAFMDGKECHGSTKIML >ONIVA01G18880.1 pep chromosome:AWHD00000000:1:15976786:15982312:1 gene:ONIVA01G18880 transcript:ONIVA01G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLASLVERRGNARNLSREFVSLYVTREMEKKNN >ONIVA01G18870.1 pep chromosome:AWHD00000000:1:15969761:15974119:1 gene:ONIVA01G18870 transcript:ONIVA01G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGEPDPAADELQSLSFASSDRSRSRSASTVSTATTTSNTTTTTTTPPRLGAVALSDIRFLKRLGAGDIGSVYLAEVRGAATALVAAKVMDRKELEGRNKEGRARTEREILEAVDHPFLPRLFGVAEGDRWSCLLTEFCPGGDLHVLRQRQHHRRFSESAVRFYAAEVVAALEYVHMVDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPIALAGGHSSSSSSSSCIIPSCIVPAVSCFQLFPGRGRRRRRRWRGRKKPSSGNGGSFPSGGLELEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFVFELLYGVTPFKGHDNEMTLANIVARALEFPREPPVSAAAKDLVTSLLAKDPARRLGATVGAAAIKRHPFFGGVNWALLRCATPPYIPPPFSVATATAANAAGANADMSDDDDDSCPGTPVEYY >ONIVA01G18860.1 pep chromosome:AWHD00000000:1:15964227:15965965:1 gene:ONIVA01G18860 transcript:ONIVA01G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLZ3] MQSPLTIQNRSPDVTLSQRGTHVGPTGGPAAGGGGDGDGDDTRAGEQGGGGGDDAAPMIRASRRCAWLAAAAEIVGTMKFFAAPAGRAGLGAVVLVAASRCPLFSFFALLVLLLFQPHHQLAASHVAVNQQVSLVPDAAAAKAAGVGNGAVVDVGDEEEEGSGSRWMLIVVTTTRSGGRERRRRNAALAHVEKHYFSGVVHFADAAGVYDAHFFDKIRQTDWPRPRTASPPPTPCSPADALLPSLAATSPVGEGLDGDVTAGEEVDAGLPAPLACLPHPSMAVRRRACGFCDGGREQWRRRVASRQPPSSCRPSPAADALVLSLLAPPKAATHRRPPQLPTQTGEE >ONIVA01G18860.2 pep chromosome:AWHD00000000:1:15964392:15965965:1 gene:ONIVA01G18860 transcript:ONIVA01G18860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLZ3] MKFFAAPAGRAGLGAVVLVAASRCPLFSFFALLVLLLFQPHHQLAASHVAVNQQVSLVPDAAAAKAAGVGNGAVVDVGDEEEEGSGSRWMLIVVTTTRSGGRERRRRNAALAHVEKHYFSGVVHFADAAGVYDAHFFDKIRQTDWPRPRTASPPPTPCSPADALLPSLAATSPVGEGLDGDVTAGEEVDAGLPAPLACLPHPSMAVRRRACGFCDGGREQWRRRVASRQPPSSCRPSPAADALVLSLLAPPKAATHRRPPQLPTQTGEE >ONIVA01G18850.1 pep chromosome:AWHD00000000:1:15956971:15961155:-1 gene:ONIVA01G18850 transcript:ONIVA01G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVSTSMVLTLLGFCGSVLFIVFVCTRLACSLLRRHRRRRRARLPADSSHFLSSVYVVDHHRHLPPSGLDPATVAAFPTRAFLGAAPRGHASASSSSSSSDAAAQCVVCLADYEEKDAAAMVHHFIFPAAFATVWGLDCADMIQSTMGEVKHLCLVKFKEEVLVDDILQGMTKLVSEMDMVKSFEWGKDVLNQEMLTQGFTHVFSLTFASSEDLTTYMSHERHQEFAGTFMAAIDKVVVVDFPVVAAKPAPPAAPAAAA >ONIVA01G18840.1 pep chromosome:AWHD00000000:1:15943058:15948024:1 gene:ONIVA01G18840 transcript:ONIVA01G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglycerate/bisphosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G22620) TAIR;Acc:AT5G22620] MLLLAPSPAAAAAAARRRKVGGSALRVRCSSVRELERSPNPRPGERLPPLREAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWADRDDDLIPDSDLREIDLYSFQGLLKNEGKERYGVIYRQWQKNAANFSIDGHYPVRELWDRAQNCWERILAHEGKSVLVVAHNAVNQALVASSLGLGTEYFRILLQSNCGASVLDFTPQAGGGPPAVCLNRLNQTPNSPVASGSSAGRKTSKRIILACQGATQNSAEIGVSGMGYAPLNMLGIIQSQKTAELLLDQKVNGILCSPQVAAFDTATTICEVQEAADCLGADCVPRYVEMKKLLELEIDDAFQTKQKSFGEIAQSGWLGSMEYKTLEGLWNQCLDLTMDYMSSFHLDDGSISVIDFPDGPKGRVSSSPAQPAGCLPREHVAFASSILSPRSLASSMLIPAAAVSLIQCATFGLLAAAGTIAVLSAARVRHWVIESMIRAEAVSVNEITIASTAQTYMIKGRPWLSLCHKKTGLIRLLLQSRAASVQTIFHGQRAWYAA >ONIVA01G18840.2 pep chromosome:AWHD00000000:1:15943058:15948024:1 gene:ONIVA01G18840 transcript:ONIVA01G18840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglycerate/bisphosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G22620) TAIR;Acc:AT5G22620] MLLLAPSPAAAAAAARRRKVGGSALRVRCSSVRELERSPNPRPGERLPPLREAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWADRDDDLIPDSDLREIDLYSFQGLLKNEGKERYGVIYRQWQKNAANFSIDGHYPVRELWDRAQNCWERILAHEGKSVLVVAHNAVNQALVASSLGLGTEYFRILLQSNCGASVLDFTPQAGGGPPAVCLNRLNQTPNSPVASGSSAGRKTSKRIILACQGATQNSAEIGVSGMGYAPLNMLGIIQSQKTAELLLDQKVNGILCSPQVAAFDTATTICEVQEAADCLGADCVPRYVEMKKLLELEIDDAFQTKQKSFGEIAQSGWLGSMEYKTLEGLWNQSKAAWQALLNELQDDTSERILVVVGHPGINLALICRCLDLTMDYMSSFHLDDGSISVIDFPDGPKGRVSSSPAQPAGCLPREHVAFASSILSPRSLASSMLIPAAAVSLIQCATFGLLAAAGTIAVLSAARVRHWVIESMIRAEAVSVNEITIASTAQTYMIKGRPWLSLCHKKTGLIRLLLQSRAASVQTIFHGQRAWYAA >ONIVA01G18840.3 pep chromosome:AWHD00000000:1:15943058:15948021:1 gene:ONIVA01G18840 transcript:ONIVA01G18840.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglycerate/bisphosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G22620) TAIR;Acc:AT5G22620] MLLLAPSPAAAAAAARRRKVGGSALRVRCSSVRELERSPNPRPGERLPPLREAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWADRDDDLIPDSDLREIDLYSFQGLLKNEGKERYGVIYRQWQKNAANFSIDGHYPVRELWDRAQNCWERILAHEGKSVLVVAHNAVNQALVASSLGLGTEYFRILLQSNCGASVLDFTPQAGGGPPAVCLNRLNQTPNSPVASGSSAGRKTSKRIILACQGATQNSAEIGVSGMGYAPLNMLGIIQSQKTAELLLDQKVNGILCSPQVAAFDTATTICEVQEAADCLGADCVPRYVEMKKLLELEIDDAFQTKQKSFGEIAQSGWLGSMEYKTLEGLWNQSKAAWQALLNELQDDTSERILVVVGHPGINLALICRCLDLTMDYMSSFHLDDGSISVIDFPDGPKGRGVPAGCLPREHVAFASSILSPRSLASSMLIPAAAVSLIQCATFGLLAAAGTIAVLSAARVRHWVIESMIRAEAVSVNEITIASTAQTYMIKGRPWLSLCHKKTGLIRLLLQSRAASVQTIFHGQRAWYAA >ONIVA01G18840.4 pep chromosome:AWHD00000000:1:15947366:15953901:1 gene:ONIVA01G18840 transcript:ONIVA01G18840.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglycerate/bisphosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G22620) TAIR;Acc:AT5G22620] MVATGEVIDDPEESLAWGCSNFNCTEEDKFVFNWIGEAAMSGSVAVFCLYPDDLTPVTREGEEKPHKQLIISSHPPPRHPSCPPILPTTRDCSWMATPSNPSIFVRQMHHGTIIMLPVEQPRRRLRLRYYEFHSTTPHLLLLLFAAAASASAAADLAAVAGMVSASSLLLPSSVRDFASCIGDGAVRVADVAACTAPSSTRVSTCSSSSSTTASSSSPTLSVTVSYRATLLAAPAPPLQLRLTWGHSPLGPTLSFAPSPTARAIQLRRRRGSRSLPSGSSSGDENGGGGDESGTTTPPPPPPLALFWDLTAARYDPAASSPEPVSGYYVVAVASAEVVLAVGDLAAEFVKAKFEGQIPRARVAPVSRVERVVVSDPAAMHAARVRFAEGGPEHEVSVSCAPAAPGSGGGGDELWVCVDGKRAVQACRLRWNFRGNQTVFVDGAPVDVMWDLHGWWFREPPGCAVVMLRARSALESRLWLEEEAAAPGFSLIVQAFKSPP >ONIVA01G18840.5 pep chromosome:AWHD00000000:1:15947366:15951696:1 gene:ONIVA01G18840 transcript:ONIVA01G18840.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglycerate/bisphosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G22620) TAIR;Acc:AT5G22620] MVATGEVIDDPEESLAWGCSNFNCTEEDKFVFNWIGEAAMSGSVAVFCLYPDDLTPVTREGEEKPHKQY >ONIVA01G18840.6 pep chromosome:AWHD00000000:1:15947366:15949082:1 gene:ONIVA01G18840 transcript:ONIVA01G18840.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglycerate/bisphosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G22620) TAIR;Acc:AT5G22620] MVATGEVIDDPEESLAWGCSNFNCTEEDKFVFNWYDQRRLFGNAVVYALANWTKSTGAVDHSV >ONIVA01G18840.7 pep chromosome:AWHD00000000:1:15947366:15954256:1 gene:ONIVA01G18840 transcript:ONIVA01G18840.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglycerate/bisphosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G22620) TAIR;Acc:AT5G22620] MVSASSLLLPSSVRDFASCIGDGAVRVADVAACTAPSSTRVSTCSSSSSTTASSSSPTLSVTVSYRATLLAAPAPPLQLRLTWGHSPLGPTLSFAPSPTARAIQLRRRRGSRSLPSGSSSGDENGGGGDESGTTTPPPPPPLALFWDLTAARYDPAASSPEPVSGYYVVAVASAEVVLAVGDLAAEFVKAKFEGQIPRARVAPVSRVERVVVSDPAAMHAARVRFAEGGPEHEVSVSCAPAAPGSGGGGDELWVCVDGKRAVQACRLRWNFRGNQTVFVDGAPVDVMWDLHGWWFREPPGCAVVMLRARSALESRLWLEEEAAAPGFSLIVQAFKSPP >ONIVA01G18840.8 pep chromosome:AWHD00000000:1:15949987:15952855:1 gene:ONIVA01G18840 transcript:ONIVA01G18840.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglycerate/bisphosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G22620) TAIR;Acc:AT5G22620] MTLKKREKRMRSRSGLLVVHKWVKRLFSHFFCHWYITTQEFHANLIYCYIQGVFFSHVIPEKNISTRTPVRSIGRSTSRELMIQRSSSSQADLKASSSPTSAPAVTS >ONIVA01G18830.1 pep chromosome:AWHD00000000:1:15934912:15936435:1 gene:ONIVA01G18830 transcript:ONIVA01G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDKGDPAIAGVVAALCVASAVMVAVVDGAKGDGRVTQLSNGFTARHSPDAPAPFEPVLYAANGAFAFGFLRVGAASLDLAVVHLASSFPVWRATPARVGDWSRPATLTFDTGLVLAGADDAAGVLWQTLNAIGDTVVLLNSSNLVVRRFAETRPAWQSFDNPSDTLVLDQNLTVSSPPLISGNRRFALRLAKTYMSLHMEFYGGRATPMYWQHTALEAQPENATQPPVYGCLDGRGFFGLYLQGSGEKVDVLSFDTFVQNLTGAFRRMTLEDDGNLRAYYWTDDAKAWTADYKAITAPCELPTSCGAYGLCVPGGGEAKCQCLTNSTATSPPCSAEETTDLCGDGDSDGGQVFDEVRLKRVSVAYKERLPFEANATAEQCEQACAGNCSCWGAVHSGASGYCYLLDFPVETMVYEADDRKVGYFKVRRPPRSSTRRGMSPGAKAVTAALSLILASLAVAGAYVGHRLWQRRRRRRRAGGMEQELTSSGPYKDLKSMGSSNSSFKS >ONIVA01G18820.1 pep chromosome:AWHD00000000:1:15931986:15932891:1 gene:ONIVA01G18820 transcript:ONIVA01G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAFDNLVTLLEAYQRLGDSDDDDGDGGIDRPAAAANGDGGDGEGDGEEEDSDALSQYASFLLGNGDNGGGSGQGGAEHGEVRNGDDDGGGGFAMGAVESHSYEDTIIVGSTDDAGSSLHHGDDELPVPLPPAELPPPGSAGNAPPAPLEAMTMSFLQEAAMRRRQGTTNGDGQTILIQRLGELLRAYRANAAGGANGGGAPRSGRQRPASAAAVAALEKRKHGCGGGGGAAAQCVICIEDYEVGDDISVMPCSYGHSFHHACLADWLARSRFCPLCRHKLPAADDDDQDDAPDGQAP >ONIVA01G18810.1 pep chromosome:AWHD00000000:1:15905004:15919055:1 gene:ONIVA01G18810 transcript:ONIVA01G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lysine-ketoglutarate reductase/saccharopine dehydrogenase bifunctional enzyme [Source:Projected from Arabidopsis thaliana (AT4G33150) TAIR;Acc:AT4G33150] MLGKGGEDKFANCCHAPIHGHAAASYCRPRPPRRRRLHSAQNQLLSRSRSSDAAFLSRGVVGPASPSHGQWRRRSRRVQIARFFFFRLPILLVPPWKLEAGAHPFLESLRLRLHSAACYDSVVVSVPRRSEETIGTTFARIPGSAAGLRPLGEAVARIHDHEPLVLRGGGVHAHLPAACDTCQRLRNSDTLLGNGVVGILAETANMWERRAPLTPSHCARLLLGGGKRGTGVNRIIVQPSTKRIHHDAQYEDVGCEISKDLSECGLIIGIKQPKLEMILPHRAYGFFSHTHKAQKENMPLLDEILEKRVSLFDYELIAGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPSGICPIVFVFTGTGNVSQGAQEIFKLLPHSFVDAGKLPELSAARSLSQHPQSSKRVFQLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYKPVFHERIAPYASAIVNCMYWERRFPRLLSIDQLQQLMKNGCPLVGISDITCDIGGSIEFVNKSTSIERPFFRYDPCTNSCHDDMEGNGVICLAVDILPTEFSKEASQHFGDILSKFVARLASAKELLELPSHLRKACIAHAGRLTSLYEYIPRMRKTIIELPPAPTNLLPDKKYNSLVSLSGHLFDKFLINEALDIIETAGGSFHLIRCDVGQSIDDMSYSELEVGADDTATLDKIIDSLTSLANAHGDPNARREIELSLKIGKVNECGTDDSMAKEGSKVLILGAGRVCRPAAEFLASYSNIFSSSAYDHDIDQIHVIVASLYQKDAEETIDGIRNATAAQLDVADIKNLSNLVSQVEVVVSLLPASFHAAIARVCIEMKKHLVTASYVDESMSKLEQSAEGAGVTILCEMGLDPGIDHMMSMKMIDEAHSRKGKIKSFTSFCGGLPSPASANNPLAYKFSWSPAGAIRAGRNPAIYKFHGEIIHVDGDKLYESAKRLRLPELPAFALEHLPNRNSLMYGDLYGISKEASTVYRATLRFSEIMATFAKIGFFDAASHPLLQQTTRPTYRDFLVELFNACNISTTARKEYSEVSGGQDGELISRLLSFGHCKDKEIAAKTVKTIKFLGLYEETQIPENCSSAFDVICQRMEQRMAYIHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGRPTTAMALTVGIPAAIGALLLLQNKIQKKGVIRPLEPEIYIPALEILESSGIKLAERVET >ONIVA01G18810.2 pep chromosome:AWHD00000000:1:15905004:15919055:1 gene:ONIVA01G18810 transcript:ONIVA01G18810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:lysine-ketoglutarate reductase/saccharopine dehydrogenase bifunctional enzyme [Source:Projected from Arabidopsis thaliana (AT4G33150) TAIR;Acc:AT4G33150] MLGKGGEDKFANCCHAPIHGHAAASYCRPRPPRRRRLHSAQNQLLSRSRSSDAAFLSRGVVGPASPSHGQWRRRSRRVQIARFFFFRLPILLVPPWKLEAGAHPFLESLRLRLHSAACYDSVVVSVPRRSEETIGTTFARIPGSAAGLRPLGEAVARIHDHEPLVLRGGGVHAHLPAACDTCQRLRNSDTLLGNGVVGILAETANMWERRAPLTPSHCARLLLGGGKRGTGVNRIIVQPSTKRIHHDAQYEDVGCEISKDLSECGLIIGIKQPKLEMILPHRAYGFFSHTHKAQKENMPLLDEILEKRVSLFDYELIAGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPSGICPIVFVFTGTGNVSQGAQEIFKLLPHSFVDAGKLPELSAARSLSQHPQSSKRVFQLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYKPVFHERIAPYASAIVNCMYWERRFPRLLSIDQLQQLMKNGCPLVGISDITCDIGGSIEFVNKSTSIERPFFRYDPCTNSCHDDMEGNGVICLAVDILPTEFSKEASQHFGDILSKFVARLASAKELLELPSHLRKACIAHAGRLTSLYEYIPRMRKTIIELPPAPTNLLPDKKYNSLVSLSGHLFDKFLINEALDIIETAGGSFHLIRCDVGQSIDDMSYSELEVGADDTATLDKIIDSLTSLANAHGDPNARREIELSLKIGKVNECGTDDSMAKEGSKVLILGAGRVCRPAAEFLASYSNIFSSSAYDHDIDQIHVIVASLYQKDAEETIDGIRNATAAQLDVADIKNLSNLVSQMKKHLVTASYVDESMSKLEQSAEGAGVTILCEMGLDPGIDHMMSMKMIDEAHSRKGKIKSFTSFCGGLPSPASANNPLAYKFSWSPAGAIRAGRNPAIYKFHGEIIHVDGDKLYESAKRLRLPELPAFALEHLPNRNSLMYGDLYGISKEASTVYRATLRFSEIMATFAKIGFFDAASHPLLQQTTRPTYRDFLVELFNACNISTTARKEYSEVSGGQDGELISRLLSFGHCKDKEIAAKTVKTIKFLGLYEETQIPENCSSAFDVICQRMEQRMAYIHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGRPTTAMALTVGIPAAIGALLLLQNKIQKKGVIRPLEPEIYIPALEILESSGIKLAERVET >ONIVA01G18810.3 pep chromosome:AWHD00000000:1:15905004:15919055:1 gene:ONIVA01G18810 transcript:ONIVA01G18810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:lysine-ketoglutarate reductase/saccharopine dehydrogenase bifunctional enzyme [Source:Projected from Arabidopsis thaliana (AT4G33150) TAIR;Acc:AT4G33150] MLGKGGEDKFANCCHAPIHGHAAASYCRPRPPRRRRLHSAQNQLLSRSRSSDAAFLSRGVVGPASPSHGQWRRRSRRVQIARFFFFRLPILLVPPWKLEAGAHPFLESLRLRLHSAACYDSVVVSVPRRSENSDTLLGNGVVGILAETANMWERRAPLTPSHCARLLLGGGKRGTGVNRIIVQPSTKRIHHDAQYEDVGCEISKDLSECGLIIGIKQPKLEMILPHRAYGFFSHTHKAQKENMPLLDEILEKRVSLFDYELIAGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPSGICPIVFVFTGTGNVSQGAQEIFKLLPHSFVDAGKLPELSAARSLSQHPQSSKRVFQLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYKPVFHERIAPYASAIVNCMYWERRFPRLLSIDQLQQLMKNGCPLVGISDITCDIGGSIEFVNKSTSIERPFFRYDPCTNSCHDDMEGNGVICLAVDILPTEFSKEASQHFGDILSKFVARLASAKELLELPSHLRKACIAHAGRLTSLYEYIPRMRKTIIELPPAPTNLLPDKKYNSLVSLSGHLFDKFLINEALDIIETAGGSFHLIRCDVGQSIDDMSYSELEVGADDTATLDKIIDSLTSLANAHGDPNARREIELSLKIGKVNECGTDDSMAKEGSKVLILGAGRVCRPAAEFLASYSNIFSSSAYDHDIDQIHVIVASLYQKDAEETIDGIRNATAAQLDVADIKNLSNLVSQVEVVVSLLPASFHAAIARVCIEMKKHLVTASYVDESMSKLEQSAEGAGVTILCEMGLDPGIDHMMSMKMIDEAHSRKGKIKSFTSFCGGLPSPASANNPLAYKFSWSPAGAIRAGRNPAIYKFHGEIIHVDGDKLYESAKRLRLPELPAFALEHLPNRNSLMYGDLYGISKEASTVYRATLRFSEIMATFAKIGFFDAASHPLLQQTTRPTYRDFLVELFNACNISTTARKEYSEVSGGQDGELISRLLSFGHCKDKEIAAKTVKTIKFLGLYEETQIPENCSSAFDVICQRMEQRMAYIHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGRPTTAMALTVGIPAAIGALLLLQNKIQKKGVIRPLEPEIYIPALEILESSGIKLAERVET >ONIVA01G18810.4 pep chromosome:AWHD00000000:1:15905004:15919055:1 gene:ONIVA01G18810 transcript:ONIVA01G18810.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:lysine-ketoglutarate reductase/saccharopine dehydrogenase bifunctional enzyme [Source:Projected from Arabidopsis thaliana (AT4G33150) TAIR;Acc:AT4G33150] MLGKGGEDKFANCCHAPIHGHAAASYCRPRPPRRRRLHSAQNQLLSRSRSSDAAFLSRGVVGPASPSHGQWRRRSRRVQIARFFFFRLPILLVPPWKLEAGAHPFLESLRLRLHSAACYDSVVVSVPRRSENSDTLLGNGVVGILAETANMWERRAPLTPSHCARLLLGGGKRGTGVNRIIVQPSTKRIHHDAQYEDVGCEISKDLSECGLIIGIKQPKLEMILPHRAYGFFSHTHKAQKENMPLLDEILEKRVSLFDYELIAGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPSGICPIVFVFTGTGNVSQGAQEIFKLLPHSFVDAGKLPELSAARSLSQHPQSSKRVFQLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYKPVFHERIAPYASAIVNCMYWERRFPRLLSIDQLQQLMKNGCPLVGISDITCDIGGSIEFVNKSTSIERPFFRYDPCTNSCHDDMEGNGVICLAVDILPTEFSKEASQHFGDILSKFVARLASAKELLELPSHLRKACIAHAGRLTSLYEYIPRMRKTIIELPPAPTNLLPDKKYNSLVSLSGHLFDKFLINEALDIIETAGGSFHLIRCDVGQSIDDMSYSELEVGADDTATLDKIIDSLTSLANAHGDPNARREIELSLKIGKVNECGTDDSMAKEGSKVLILGAGRVCRPAAEFLASYSNIFSSSAYDHDIDQIHVIVASLYQKDAEETIDGIRNATAAQLDVADIKNLSNLVSQMKKHLVTASYVDESMSKLEQSAEGAGVTILCEMGLDPGIDHMMSMKMIDEAHSRKGKIKSFTSFCGGLPSPASANNPLAYKFSWSPAGAIRAGRNPAIYKFHGEIIHVDGDKLYESAKRLRLPELPAFALEHLPNRNSLMYGDLYGISKEASTVYRATLRFSEIMATFAKIGFFDAASHPLLQQTTRPTYRDFLVELFNACNISTTARKEYSEVSGGQDGELISRLLSFGHCKDKEIAAKTVKTIKFLGLYEETQIPENCSSAFDVICQRMEQRMAYIHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGRPTTAMALTVGIPAAIGALLLLQNKIQKKGVIRPLEPEIYIPALEILESSGIKLAERVET >ONIVA01G18800.1 pep chromosome:AWHD00000000:1:15883933:15885234:-1 gene:ONIVA01G18800 transcript:ONIVA01G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTRSAKRMKRFSGACPCPLPDDIVDEILSHLPIKSLLRFRCVSRRFHATITSSHLFQEAHFLQRKQRNKHPPRLFIRPPFGPRQPFFAWQWQWQHLIPRPPVEEIMTARHLPHGTIFPLASKSCHGLVLLKITGHHTHYLWNPSTRHILRLPGTDNTPPPATYGLGYCSATRRHKVVRVVGCRCCSPATVVWEVLALDGDEPSPSSSWRPPAAASTTPPPRHWHYSRENWRRGAALCNGGDLHFLRGDGDIVTFNVTDESFGVSSLKPPPELQSRDDFELTELDGCLCVYTFTDVKLQLVDEEPESPTCEIWVTREAAAGSWEKLCSIEWVSVLPPDHSCLRWYWIAPIHMFSDGNNEEKKKKKIMFATGTGHVLVFDPSVGTLEIIFSPEDEAVGEYHDYSNNEVPTLGLFEESLALVGNTSENIVFSQP >ONIVA01G18790.1 pep chromosome:AWHD00000000:1:15865289:15869626:1 gene:ONIVA01G18790 transcript:ONIVA01G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G37585) TAIR;Acc:AT2G37585] MRKQPQPQGNRRLRWLRALLLALPLLSVPVLYAALGAARPLVPPRRGAAPHQLPPRLAYLVSGGAGDGPRIRRMLRALYHPWNFYLVGVAGEEERADLEAFVRGEEAPRRYGNVRVAAAGEWGPVSRRGPTELAATLHAAAVMLREFDGWSWFINLSASDYPLMPQDDILHIFSYLPRDLNFIEHTSNIGWREYQRARPIIVDPALQISNKTEVVTTKEKRSLPSAFKIFVGSSWVILSRSFLEFCLLGWDNLPRTLLMYFANFLASSEGYFHTVICNSKYYQNTTVNNDLRFMAWDNPPRTLPVNLTTEHFDAIASSGAPFAHSFANDNPVLDMIDTKLLRRSSERFTPGGWCLGSSVFCAEADEKFGKVREIAVETFGT >ONIVA01G18780.1 pep chromosome:AWHD00000000:1:15857344:15861204:1 gene:ONIVA01G18780 transcript:ONIVA01G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP dissociation inhibitor family protein / Rab GTPase activator family protein [Source:Projected from Arabidopsis thaliana (AT5G09550) TAIR;Acc:AT5G09550] MDEEYDVIVLGTGLKECIISGLLSVDGLKVLHMDRNDYYGGESTSLNLTKLWKRFKGNETAPEHLGVSKEYNVDMVPKFMMANGALVRVLIHTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEDDPKSHEGLDLHKVTTREVISKYGLEDDTVDFIGHALALHRDDNYLDEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLAELPQAFARLSAVYGGTYMLNKAECKVEFDENGKAYGVTSEGETAKCKKVVCDPSYLPDKVKKVGRVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYEPTNTADEDNCFVTNSYDATTHFETTVKDVLALYSKITGKELDLSVDLNAASAAESEAA >ONIVA01G18770.1 pep chromosome:AWHD00000000:1:15850120:15851742:-1 gene:ONIVA01G18770 transcript:ONIVA01G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWHTEKTKRVESSRGKEIHPSINLPPPLRGEIYRVHTASTAACAAGRSGPCQSTTTTTTQEEGASPSSNGPPPHPPSSRALLGEGACAHRGCSPLTPPSLRYRLFPSPSPTLPHTRSLLIHPRAEERFSFFLSTRRLCDGREGGVKPSR >ONIVA01G18760.1 pep chromosome:AWHD00000000:1:15848326:15849945:-1 gene:ONIVA01G18760 transcript:ONIVA01G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTALSMDTTTAHHPHQGPSTFLSMDTASHDDFDLFLPPPPGPFRRCLHAAAAAPPDINLPLDADPSPPPPALQSGALHDPNVDMLDVGLGGPQLYDSDSPAATTGVSPAPAAATTTVAVSHAKGSNSSAARKCVKRNDTIWGAWFFFTHYFKPVMSADKNGKAKAPTAGGNGNNATLDAFLVQHDMENMYMWVFKERPENALGKMQLRSFMNGHSRLGEPQFPFSAEKGFVRSHRMQRKHYRGLSNPQCLHGIEIVRAPNLAGVPEADLKRWTELTGRDVNFSIDAEASDYESWRNLPSTDFELERPATTAATKTSSHGHHKKLLNGSGLNLSTQPSNHSSGDGLDIPNICNKRRKDSSPTAMEEDCSNSNSDKVQDMEVSHTFEPSWMNDFTGVMRHASGPVTAAKTIYEDSKGYLIIISLPFADIQRVKVSWKNTLTNGIVKVSCTSVGRMPFLKRHDRTFKLVDPTPEHCPPGEFIREIPLPTRIPEDATLEAYCDESGTGLEIIVPKYRVGPEEHEVHVSMRPPSSWCQS >ONIVA01G18750.1 pep chromosome:AWHD00000000:1:15837077:15841639:1 gene:ONIVA01G18750 transcript:ONIVA01G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQADMKMWPFKVVPGPADKPMIVVTYKGEEKKFSAEEISSMVLTKMKEIAEAFLSTTIKNAVITVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKAASTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRRCMEPVEKCLRDAKMDKAQIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQRVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEEIERMVQEAEKYKAEDEQVRHKVEARNALENYAYNMRNTVRDEKIASKLPADDKKKIEDAIEDAIKWLDGNQLAEADEFEDKMKELESLCNPIISKMYQGGAGGPAGMDEDAPNGGAGTGGGSGAGPKIEEVD >ONIVA01G18740.1 pep chromosome:AWHD00000000:1:15833528:15835886:1 gene:ONIVA01G18740 transcript:ONIVA01G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dessication-induced 1VOC superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07645) TAIR;Acc:AT1G07645] MASEGAVSPAFAYTVVYVKDVAKSAAFYSAAFGYTVRRLDQSHKWAELESGTTTIAFTPLHQRETDALTGAVQLPDSAGERGPVEICFDYADVDAAYRRAVDSGAVPVSPPEQKSWGQKVGYVRDIDGIIVRMGSHVRA >ONIVA01G18730.1 pep chromosome:AWHD00000000:1:15826259:15831466:1 gene:ONIVA01G18730 transcript:ONIVA01G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSKAMYTPMAFSSKACRCLVLMSFALLPLSMAMDSIGSYCSGNSLAGNSKAVASINSVLTDLVAKGSTGGGFATSSAGKANNVIYGLAQCRGDVSTSDCQACLASAANQILTSCNYQSDSRIWYDYCFMRFENENFIGQTDTDAGVILVNVQAMDNGKAFQKAVGKVMGKVTSQASQAGSGGLGRTKDQYTPFINIYGLAQCTQDLSPLACAQCLSTAVSRFGQYCGAQQGCQINYSSCRVRYEIYPFYFPLATSARSATTDMTKYTKIVVHR >ONIVA01G18730.2 pep chromosome:AWHD00000000:1:15826259:15827626:1 gene:ONIVA01G18730 transcript:ONIVA01G18730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISSKACRCLLLVSFALLPLSMAMDPLGSYCSGNSLAGSSKAVASINSVLTDLVTKGSTGVGFATSTAGKGNNVIYGLVQCRGDVSTSDCQACLASAANQILTSCNYQSDSRIWYDYCFMRFENENFFGQADTDNGVIMENVQAMDNAKAFQKAVGKVMSKATAQVSQAGSGGLGRVKDQYTPFINIYGFAQCTRDLSPLTCAQCLSTAVSRFDQYCGAQQGCRILYSSCMVRYEIYPFYFPLAISSTATTDMTKYTKTIVHH >ONIVA01G18720.1 pep chromosome:AWHD00000000:1:15823391:15824082:1 gene:ONIVA01G18720 transcript:ONIVA01G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRREAGTTAGWRACAKREKGGDWRGEGRGTAASPSRHRLLWPRRDLQALGKPPQVPGTGRVEVAPSEGKRKAKRGGERLLGLGGEGEMVSERVKGTVKGFDATNGFGFITPDDDGEDLFIHQSSLKFDGYRSLNDSDVIELSVGSGNDGRNKAVDVTALGSDTHTGGFRPSCGHILAAGCPLVVSWPLMCYYFLLCVLCVDDTK >ONIVA01G18710.1 pep chromosome:AWHD00000000:1:15801048:15815559:-1 gene:ONIVA01G18710 transcript:ONIVA01G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAHCVVLVLVLVIASSLVAAAYGAALRSLSANEGKGEVNTDTAVDRITTGRTSTLTQGGLARAVAIVRLDWGCVKFRRARHVLDGMAQKEANGSSNGEHTTRPPPTPSPLRFSKFFQANLRILVTGGAGFIGSHLVDKLMENEKHEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGEPLTVQKPGTQTRSFCYVADMVNGLIKLMNGDNTGPINLGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKIVLRDGLVLMEDDFRERLQTRGPAASTTHPTYPATHASSSGGGLAAGRCAVDSGTTARGSPTRGPHHHAGPVGGVYRTRGETARPAFKAHPLPSSPSPSRITAIRHFTTTTAIIRLAPIQPARHRRDAAAAPQIPSCARAICSRLDHEAEAKMAAYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSSLSNLNPSRPSPNFKFVKGDIASADLVNYLLTTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKKLGWAERTLWEEGLKKTIEWYTNNPDYWGDVAGALLPHPRMLMTPGVERHNWTDEIKSLSTSPDEAKESSTAVPAATAKSTSSAPQKASYKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERSQLLQDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVEELLQEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYLNPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKSEFPELLSIKDSLVNRRSGSVRVNPPFGISIG >ONIVA01G18700.1 pep chromosome:AWHD00000000:1:15796690:15800919:1 gene:ONIVA01G18700 transcript:ONIVA01G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G02530) TAIR;Acc:AT5G02530] MAETLDMTLDDIIKNNKKANPSSGRGRRGSAAGGGGGGGGGVGGGGGGGVGPTRRPFKRSGNRAGPYQPPKAPESAWQHDMYSDVAAGGGGGSGGGGRVSAIETGTKLYISNLDFGVSTEDIKELFSELGDLKKYVIHYDRSGRSKGTAEVVFARRGDAVAAVKKYNNVQLDGKPMKIEILGTNTPTAAAALPANNGGYVRNVAKSAPRGGPAGLPQGRSRPRGGGRRRGGGGGSGGPGGSGGRRGKERSQPKSAEELDADLEKYHADAMQTN >ONIVA01G18690.1 pep chromosome:AWHD00000000:1:15790829:15794425:1 gene:ONIVA01G18690 transcript:ONIVA01G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARRLRELQGQAGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEAQLRKMEAGGNDRLNAFLAARGVPKETPHVAKYNSNAAAAYRDRIVAVAEGRPWTDPPVVKETPGSGAPAPTRKPPLPAGGGGGGGGGWDDWDDDFRPDMRRNQSVGSFGESGAESGRQPPRSKSTQDMYTRQQLEASAANKDSFFARRMAENESKPEGIPPSQGGKYVGFGSSPAPSANRNGAAAQGDVMQVVSQGIGRLSLVAASAAQSAASVVQVGTKEFQSKMREGGYDQKVNETVNVVANKTAEIGSRTWGIMKGVMALASQKVEEYAKEGGNGWGDDWQRREQGSEPYHRFERETNGNGWNSSSHDGSSKNYNSNSWDDWDEPVKKDEPAKERQSSDSWAGWDDGKDDNFDSYNHSTPSKGSNQNGTTGGSYWTEGGFR >ONIVA01G18680.1 pep chromosome:AWHD00000000:1:15784826:15788630:-1 gene:ONIVA01G18680 transcript:ONIVA01G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(A) binding protein 7 [Source:Projected from Arabidopsis thaliana (AT2G36660) TAIR;Acc:AT2G36660] MEVMMVAMAAEAPVGVEVEAVPAVAGGLNATVPALYVGDLHESVREEHLLEVFGKIGTLTSVRVCRDNATSNSLRYGYVNYLSQADAAIALEKLNHSLILDKPIRVMWSNRDPDARRSGVGNVFVKNLNDLVDNVSLQELFCKFGDILSCKVAKNEDGTSRGYGFVQFALQESADASIQNLNNSHFCGRQLHVATFIKKSERSTNNDDKYTNLYMKNLDDDITEELIKLKFSQYGLVISVKIMKRDDGTSKGFGFVSFQNPESAKRAKESMNGMLLGSKTLYVARAQKKAERKQYLQCLHEEKRNEIITKSNGSNVYIKNINDEVGDDALRERFNEFGNITSAKIMRDEKGISKGFGFVCYNTPEEAKCAVSNMRGVMFYGKPLYVAIAQRKEERRAKLEQRFAELATMVGATSPVIPTGYPQFYFAHPSTHLPQSPGRQGFMYPPIGISQEWRHNMFPSSHNIQQIHSPIMRNTPRQYRNNRGRMNGNMMHFHHTVNYVPHAQPAKEFMSMSRQRFSHAKYIPNDVMANGLAIHHGDSISSMNDAFNNLLATAPPEEQKNMLGNRLYPLVERHHPDLASKITGMLLELGNSEVVMLLYSSNMLSAKIEECVKLLQEVKPKPEDQEALHPGFLLDSAGVNAN >ONIVA01G18680.2 pep chromosome:AWHD00000000:1:15784826:15788630:-1 gene:ONIVA01G18680 transcript:ONIVA01G18680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(A) binding protein 7 [Source:Projected from Arabidopsis thaliana (AT2G36660) TAIR;Acc:AT2G36660] MEVMMVAMAAEAPVGVEVEAVPAVAGGLNATVPALYVGDLHESVREEHLLEVFGKIGTLTSVRVCRDNATSNSLRYGYVNYLSQADAAIALEKLNHSLILDKPIRVMWSNRDPDARRSGVGNVFVKNLNDLVDNVSLQELFCKFGDILSCKVAKNEDGTSRGYGFVQFALQESADASIQNLNNSHFCGRQLHVATFIKKSERSTNNDDKYTNLYMKNLDDDITEELIKLKFSQYGLVISVKIMKRDDGTSKGFGFVSFQNPESAKRAKESMNGMLLGSKTLYVARAQKKAERKQYLQCLHEEKRNEIITKSNGSNVYIKNINDEVGDDALRERFNEFGNITSAKIMRDEKGISKGFGFVCYNTPEEAKCAVSNMRGVMFYGKPLYVAIAQRKEERRAKLEQRFAELATMVGATSPVIPTGYPQFYFAHPSTHLPQSPGRQGFMYPPIGISQEWRHNMFPSSHNIQQIHSPIMRNTPRQYRNNRGRMNGNMMHFHHTVNYVPHAQPAKEFMSMSRQPDLASKITGMLLELGNSEVVMLLYSSNMLSAKIEECVKLLQEVKPKPEDQEALHPGFLLDSAGVNAN >ONIVA01G18670.1 pep chromosome:AWHD00000000:1:15764136:15764522:-1 gene:ONIVA01G18670 transcript:ONIVA01G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGCGAGLGIWETSKLVIGCGDAERGGCEVRSPVDGDAGVMPRRVSYPTCCFVLSRSGHPGVHGGEPRAAERVVQYHPWRPRGRVPLWLPCSRGLRRRWGGAAAQQRLGAGVPGMGARDGEAMRCP >ONIVA01G18660.1 pep chromosome:AWHD00000000:1:15754691:15763191:-1 gene:ONIVA01G18660 transcript:ONIVA01G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPPSPPPQKTAATTARGFEVTCVKDLLPLLQGVPVTYRFEKHNAKLEGTVAAGGYACACPAYAGCDYRGKVLSALQFEKHAGVTSKNQNGHIFLRNGRSLYELFHKLREVPAEKFPEAFRMAAGVPMTVLAAAAAAAAEEAPRERGLGPGPVAAEQPPASATPRPRPTMEMLTEEEKAGLSLLGLRASGSRTEINSMDGIEGIATEAIGNAPSSDHAMLDAEEMGNAAAQRPRNSGLSTTTTVKVPVTARNNHAMPDANEVRNADGGRSATLAVKLEVTSGSDHAMSDAKELKNADYEQPRDSVLATTSAVKVRIGAANDHAMPDAEQTRNPVLEQPWDSSMLITAPVKVRVTETKYRPESILKDVRGLLSTGLLEGFRVTYKKNEVERIGRINGQGYSCGCSECGYRNIMNACEFEQHSGESSNNQNNHIFLDSGISLYMVIQGLKYTKLDMLGDVIGKVISLPPNMIQYEKWKASFQLEKDYFDDAPSDPCSTQSSQESNIALTDSLKDSTSNASSILNWSSFRRRSDRQFKRGGTETSTPILSRSPEKEISDLSTSTSMKSEETPSENTAGLLTTDVTVIQDPPPDHNVDSNSKDLGQPKVRDNTLHPMLFKEGGLPDYTLLTYKLKNGEYTPSQFEKHVGMGRRRQPYRSIYTSDGLTLHELALKLQDGLSSNVNIDELPTLTSGSGKEYSTTSRPIIVPLKRTLQERVLTVESCYMCRKPHTVLGVISVDMIVFCNQCERALHVKCYNNGLQKPKAPLKVLGEYTQFNFMCCEKCQLLHASLHEGLKKREDIAFLRRIRYNICWQLLNGTNMRSDVQHQVIEIFKDAFAETAPQDIDDIRNMVNSKDTTGEKDFRGIYCAVLTTSTFVVSAAILKVRTEEVAELVLIATHNECRKKGYFSLLLSLIEAHLKAWNVRLLTAPVDPEMAPIWSEKLGYTILSDEQKHSMLMAHPLVMFANLSLVQKSLA >ONIVA01G18660.2 pep chromosome:AWHD00000000:1:15754691:15763191:-1 gene:ONIVA01G18660 transcript:ONIVA01G18660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPPSPPPQKTAATTARGFEVTCVKDLLPLLQGVPVTYRFEKHNAKLEGTVAAGGYACACPAYAGCDYRGKVLSALQFEKHAGVTSKNQNGHIFLRNGRSLYELFHKLREVPAEKFPEAFRMAAGVPMTVLAAAAAAAAEEAPRERGLGPGPVAAEQPPASATPRPRPTMEMLTEEEKAGLSLLGLRASGSRTEINSMDGIEGIATEAIGNAPSSDHAMLDAEEMGNAAAQRPRNSGLSTTTTVKVPVTARNNHAMPDANEVRNADGGRSATLAVKLEVTSGSDHAMSDAKELKNADYEQPRDSVLATTSAVKVRIGAANDHAMPDAEQTRNPVLEQPWDSSMLITAPVKVRVTETKYRPESILKDVRGLLSTGLLEGFRVTYKKNEVERIGRINGQGYSCGCSECGYRNIMNACEFEQHSGESSNNQNNHIFLDSGISLYMVIQGLKYTKLDMLGDVIGKVISLPPNMIQYEKWKASFQLEKDYFDDAPSDPCSTQSSQESNIALTDSLKDSTSNASSILNWSSFRRRSDRQFKRGGTETSTPILSRSPEKEISDLSTSTSMKSEETPSENTAGLLTTDGIKHNSAGPVALRSTSSECDPINMTFPLSISVTVIQDPPPDHNVDSNSKDLGQPKVRDNTLHPMLFKEGGLPDYTLLTYKLKNGEYTPSQFEKHVGMGRRRQPYRSIYTSDGLTLHELALKLQDGLSSNVNIDELPTLTSGSGKEYSTTSRPIIVPLKRTLQERVLTVESCYMCRKPHTVLGVISVDMIVFCNQCERALHVKCYNNGLQKPKAPLKVLGEYTQFNFMCCEKCQLLHASLHEGLKKREDIAFLRRIRYNICWQLLNGTNMRSDVQHQVIEIFKDAFAETAPQDIDDIRNMVNSKDTTGEKDFRGIYCAVLTTSTFVVSAAILKVRTEEVAELVLIATHNECRKKGYFSLLLSLIEAHLKAWNVRLLTAPVDPEMAPIWSEKLGYTILSDEQKHSMLMAHPLVMFANLSLVQKSLA >ONIVA01G18650.1 pep chromosome:AWHD00000000:1:15750798:15753904:1 gene:ONIVA01G18650 transcript:ONIVA01G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit B/B', bacterial/chloroplast [Source:Projected from Arabidopsis thaliana (AT4G32260) TAIR;Acc:AT4G32260] MATAMMAATATSCSPRRAPVVASSSAQPPRRQQQQQPRRGLKQLPGLVATAAVAVAAAPLPALAEQMEKAALFDFNLTLPLIATEFLLLMVALDKLYFTPLGKFMDERDAKIRAELGGVKDASEEVRQLEEQAAAVLKAARAEIAAALNKMKKETTQELEAKLDEGRRRVEAELVEALANLEAQKEEAVKALDAQIASLSDEIVKKVLPSA >ONIVA01G18640.1 pep chromosome:AWHD00000000:1:15749973:15750431:-1 gene:ONIVA01G18640 transcript:ONIVA01G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLV8] MAPKAEKKPAEKKPAAGEEKSAEKAPAGKKPKAEKRLPASKASSKEGGAGDKKGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSN >ONIVA01G18630.1 pep chromosome:AWHD00000000:1:15748583:15749728:-1 gene:ONIVA01G18630 transcript:ONIVA01G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRGGRLGGLASRMVGAKPFSTEIFVSRLSFYTTEEELKNVFSPFGAVEEARLVRDNQTGRPKGFGFVKYSSQADAEKAVKAMDGRVFALLIPYLAYDPQFTFLMLVLILLYLRRVLKICI >ONIVA01G18620.1 pep chromosome:AWHD00000000:1:15744828:15745924:1 gene:ONIVA01G18620 transcript:ONIVA01G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLV6] MDAAGAGAGGKLKKGAAGRKAGGPRKKAVSRSVKAGLQFPVGRIGRYLKKGRYAQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKAAAAGKEAKSPKKAAGKSPKKA >ONIVA01G18610.1 pep chromosome:AWHD00000000:1:15737898:15738234:1 gene:ONIVA01G18610 transcript:ONIVA01G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSRGAVAAVAGDGSGRGSNSIRRLGQWRRWLGNSGGKGVAAASGCRGGGGKGVAAADGDQQQQQHAPGAARKQQEHHGTESMVRLLDNYG >ONIVA01G18600.1 pep chromosome:AWHD00000000:1:15718089:15729851:1 gene:ONIVA01G18600 transcript:ONIVA01G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGPAERRDEAQAAMGNGNGVAPPPLRPAGRPSGAPACADRRLRLNPNMEHKPQDYSDVRGEYAPAVYSALERHLPPSVLDANREIKLQLMREVLGHYWPHGERNKVQRHREYRQRILNHYKSAAGSWYKRAQLVGQEPLHKELYKMRPSSFFLPTFLEAIRTNTEESFRSIMTEPVPGVYSFAMLQPNFCEMLLQEVENFEKWVHTMKFKIMRPNTMNKYGAVLDDFGLEVMLNQFMEQFIAPMSTVLYPEVGGGTLDTHHAFVVEYGKDRDVELGFHVDDSEVTLNVCLGKQFSGGELYFRGIRCENHVNSETQHEEMFDYSHVPGRAVLHRGRHRHGARPTSSGLRINLLLWCRREMKKYQKDFSGWCGECKREKKERQIHAVKATKLAFLRGAGGATI >ONIVA01G18590.1 pep chromosome:AWHD00000000:1:15708266:15713640:1 gene:ONIVA01G18590 transcript:ONIVA01G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLV3] MANTTLLYYSKLLVRCSAYEKDGSGGGRVRVNGAAHRVPLQVGAALETKINRSLAGLMRPPVLSQPPTEEEAEGRRSQRQNIPSEKQTVDPFRQAVIVEGGVRYRQTVVVRSYEVGPDRTATLETVLNLLQETALNHVWMSGLLGDGFGATHAMITNNLIWVVSRMHVQVDHYPIWYIILVFVFVHGVLFVQRGRGKKIYAHQTEVGCRGEVLEIDTWVGSSGKNGMRRDWLVRGRSSGAIFVRATSTWVMMNKVTRRLSKMPKEVRDEISPWFIDRHAIDEGATDKIIKLDTNATYVDSDLKPKRSDLDMNHHVNNVKYVRWMLETLPDQFLQQHQLSSIILEYRKECGSSDVVQSICQPDEDTIMPGENVSIVMGPSLSQEIINGHHSLAGALQQWPTKYTHLLQLKANDKYEEIVRGRTTWKKKSYSISNVLKF >ONIVA01G18580.1 pep chromosome:AWHD00000000:1:15670953:15676027:-1 gene:ONIVA01G18580 transcript:ONIVA01G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAKKKPKAEKRLSESKASSKEGGAGDKKGRKKAKKSVEIYIFKVLKQTSVCLVLPGELAKHAVSEGTKAVTNSNKTYEHFSWTECVIGDGGDAVIGDGGGGLQRLPRRRPWSRGGGRVAPESTPPAKYARGRRPRRGSGGHAPHPESGGGGGGGGGAREWRDVGTTMRGGGGRGRGRGKSAQAADRCCRRMPMDGGPPTPTLAEVLSLSPPTTPRSRAPSSSRRRRMAAQTLATTAAGGQTAAEVARPPDSTPPAESGEEGGVGVHTGEEGKAAAAGEGSDPFFPIIAAPSLPSPTQPPLLLPRHRGALLVTEEIGRRRRCRWEAATARDGDGATTYS >ONIVA01G18570.1 pep chromosome:AWHD00000000:1:15669080:15669788:1 gene:ONIVA01G18570 transcript:ONIVA01G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLV6] MDAAGAGAGGKLKKGAAGRKAGGPRKKAVSRSVKAGLQFPVGRIGRYLKKGRYAQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKAAAAGKEAKSPKKAAGKSPKKA >ONIVA01G18560.1 pep chromosome:AWHD00000000:1:15659723:15663997:1 gene:ONIVA01G18560 transcript:ONIVA01G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine biosynthesis protein ArgJ family [Source:Projected from Arabidopsis thaliana (AT2G37500) TAIR;Acc:AT2G37500] MPPPSLLLLHPRTPLPHHHRSSFRTSSPRPSRMVCAAAEGFISAAPILLPDGPWKQVEGGVTAAKGFKAAGIYGGLRAKGEKPDLALVACDVDATVAGAFTTNVVAAAPVLYCKRVLNSSKTARAVLINAGQANAATGDAGYQDTVDSADAVAKLLNVSTNDILIQSTGVIGQRIKKEALVNSLHRLVGSLSSSIEGANSAAVAITTTDLVSKSIAVQTEIGGVPIKIGGMAKGSGMIHPNMATMLGVLTTDAQVSSDVWREMVRTSVSRSFNQITVDGDTSTNDCVIALASGLSGLSSILTHDSTEAQQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVAGANNEAEAAKIARSVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFDADQLDISLGAIALMKNGQPLPFDRSAASKYLKDAGDIHGTVNIDVSVGRGGGSGKAWGCDLSYKYVEINAEYTT >ONIVA01G18550.1 pep chromosome:AWHD00000000:1:15652917:15657340:1 gene:ONIVA01G18550 transcript:ONIVA01G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDELERKRYRTLILMADTFLANDLRQFPSAKRKASLYTSTLANIFILMLKGSTGIQLLSLFSGYMKDDVSSAVETQLAESCRLESGVETCRLLVSSVAVKCEASNSPSTTVGFDRMIAADYDLFHHMSFSPSLQNLQSPTFFTTRSSESYLGESSIYGGGARPALAQFSYSQPIAATSAAHLVRWTAAGEPMTGDGGFRSSKRLKTATTATTQPPRHGVKCHAKPRNQTTKATCKKRSQKLGDRITALQQLVSPYGKTDTASVLHEAAACIRQLHQQIQILTAPYPGTSSSSASSQQQVDAGGGGGTATELRRRGLCVAALSPAVVSLAAEGGRRRTDVEDQKRIWFSNQ >ONIVA01G18550.2 pep chromosome:AWHD00000000:1:15652917:15657340:1 gene:ONIVA01G18550 transcript:ONIVA01G18550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDELERKRYRTLILMADTFLANDLRQFPSAKRKASLYTSTLANIFILMLKGSTGIQLLSLFSGYMKDDVSSAVETQLAESCRLESGVETCRLLVSSVAVKCEASNSPSTTVGFDRMIAADYDLFHHMSFSPSLQNLQSPTFFTTRSSESYLGESSIYGGGARPALAQFSYSQPIAATSAAHLVRWTAAGEPMTGDGGFRSSKRLKTATTATTQPPRHGVKCHAKPRNQTTKATCKKRSQKLGDRITALQQLVSPYGKTDTASVLHEAAACIRQLHQQIQILTAPYPGTSSSSASSQQQVVFSVLESCSHVMSFFTAIATVLWLQDAGGGGGTATELRRRGLCVAALSPAVVSLAAEGGRRRTDVEDQKRIWFSNQ >ONIVA01G18540.1 pep chromosome:AWHD00000000:1:15644679:15645254:1 gene:ONIVA01G18540 transcript:ONIVA01G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKRPRQQAEEAAFSLFDSSDMARIMLLFSGAHGGGGGAAAASPPERMFECKTCNRQFPSFQALGGHRASHKKPRLADGDPAAEAPAKPKVHGCSICGLEFAVGQALGGHMRRHRAVMADGLGLGLSLGLGIGVVGQSDDDGGKKKAAAAAAAAELVFDLNAPAIEEEPDRARPAGLAVEFPVVVDFPC >ONIVA01G18530.1 pep chromosome:AWHD00000000:1:15635055:15635667:-1 gene:ONIVA01G18530 transcript:ONIVA01G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAQRQHDAEAIRGGATAGAARRGGDGDGTALSSGRHLLSSEHAAFSPLPSSSKARVRVFFFSPFPVVAAIRRRPPRILLDLVSS >ONIVA01G18520.1 pep chromosome:AWHD00000000:1:15629954:15632617:-1 gene:ONIVA01G18520 transcript:ONIVA01G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNSVTSSAPTPSPNADEVQQEMAVGRSASARIRDRASRSLLGQEPYMTILIANAEDSSVGAGSKEYVHTLIQCGTTEEKD >ONIVA01G18510.1 pep chromosome:AWHD00000000:1:15590267:15591011:-1 gene:ONIVA01G18510 transcript:ONIVA01G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYITLSEVALHAIIIACPLLETLLLEHIYGFHCVRISSPRLRASRNSGYSVANESANPGCPLSQMIAISCPCLSHFSGVASKLEIMGWLGISMLKLGIIEIQLYSEVYEIMKIRIEDRRSV >ONIVA01G18500.1 pep chromosome:AWHD00000000:1:15589041:15589208:1 gene:ONIVA01G18500 transcript:ONIVA01G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKGKEDGGGDREEEEAAASRSSVGPKTMCGMHRERRSGAGDTLDLQCEFDM >ONIVA01G18490.1 pep chromosome:AWHD00000000:1:15588586:15588900:1 gene:ONIVA01G18490 transcript:ONIVA01G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLPALGCHSKKTTVTADKAATADKAATATAKATRTTCARGGLVRGMGTSGPPLALQRHAANLLSDSNLSPDLLYLTIATPARSTLPPPSHSASPAAPRWEA >ONIVA01G18480.1 pep chromosome:AWHD00000000:1:15586981:15587181:-1 gene:ONIVA01G18480 transcript:ONIVA01G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAGNTGRSPKKRRLEAPEIGDAEEEEGVDVISDLPDAILGEIIARLPTKEAGSTQVLASRWRHL >ONIVA01G18470.1 pep chromosome:AWHD00000000:1:15564571:15564777:1 gene:ONIVA01G18470 transcript:ONIVA01G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPLQIYRLEACGHQIRTVQSLEKGGVEFLVEKVVEATTRRGGRQAPGKFLVEKMAVRRRRWRRAE >ONIVA01G18460.1 pep chromosome:AWHD00000000:1:15563245:15563436:-1 gene:ONIVA01G18460 transcript:ONIVA01G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPLQIYRLGACGHRIRAVQSLEKGGVEFLVEKVVEATTRRGGRQAPGKFLVEKMAVRRRR >ONIVA01G18450.1 pep chromosome:AWHD00000000:1:15560650:15562386:1 gene:ONIVA01G18450 transcript:ONIVA01G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKLGGRASSAALPSRPSSHVARAFGVDTGAAGRITCSLQSDIREVANKCADAAKLTGFALATSALLVSGASAEGVPRRLTFDEIQSKTYMEVKGTGTANQCPTVEGGVDSFAFKAGKYNMKKFCLEPTSFTVKAEGVAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVSSDGTIKFEEKDGIDYAAVTVQLPGGERVPFLFTIKNLVATGKPESFGGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENVKNASSSTGNITLSVTKSKPETGEVIGVFESVQPSDTDLGAKVPKDVKIQGVWYAQLE >ONIVA01G18440.1 pep chromosome:AWHD00000000:1:15552578:15557451:-1 gene:ONIVA01G18440 transcript:ONIVA01G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent periplasmic protein translocase [Source:Projected from Arabidopsis thaliana (AT2G01110) TAIR;Acc:AT2G01110] MGSAGALLSHSPPGLGGFPPRHHHHHRLSVLRCVPLLPSPAPEPLSCRHGRHLRCAAVDGGAGRETERPSPPAPQREESPSGSLGAALEDPSPQPVQNGSFGGITEDEEQSSLIFVSVLAVGAAILGCFAYSKDLIRILEAPVSVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRDERKFLGPIVLGSSVLFYLGIFFSYTVLAPAALNFFVNYADGAVESLWSIDQYFEFVLVLLFSTGLSFQVPVIQLLLGQVGLVSSDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLTGR >ONIVA01G18440.2 pep chromosome:AWHD00000000:1:15552578:15557451:-1 gene:ONIVA01G18440 transcript:ONIVA01G18440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent periplasmic protein translocase [Source:Projected from Arabidopsis thaliana (AT2G01110) TAIR;Acc:AT2G01110] MGSAGALLSHSPPGLGGFPPRHHHHHRLSVLRCVPLLPSPAPEPLSCRHGRHLRCAAVDGGAGRETERPSPPAPQREESPSGSLGAALEDPSPQPVQNGSFGGITEDEEQSSLYNFLYPSKELLPDDKEMSIFDHLEELRDRIFVSVLAVGAAILGCFAYSKDLIRILEAPVSVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRDERKFLGPIVLGSSVLFYLGIFFSYTVLAPAALNFFVNYADGAVESLWSIDQYFEFVLVLLFSTGLSFQVPVIQLLLGQVGLVSSDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLTGR >ONIVA01G18430.1 pep chromosome:AWHD00000000:1:15547226:15548702:-1 gene:ONIVA01G18430 transcript:ONIVA01G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPLLRPLSSRVKRPPPGWIGAARAGTSGPEAAGQTAGDLGELGGDMTAATAKDATDQGTDGGIGGGGFVSYKRDIACAYASSPFSVASFSPVSFSSASLLHGLLLHRVLSRLHTTDLGRSRLARMRKSWKKFRCALLSNQYVSPLQTAWFWGGGKKEKYAMITGFDDATDKADCTTMYEAGLVLLEKMQKIVNRSTAKSEALRSVFDNITEIKQI >ONIVA01G18420.1 pep chromosome:AWHD00000000:1:15537655:15543551:-1 gene:ONIVA01G18420 transcript:ONIVA01G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGENFGVGSGPYPVTLAIFVRIPPRQFALVHRLRLRLRRSPSIPRASRDAVVSPAASAASILCSAVPRGYFPVPSVLTPQGKRKMDQHHVQQQQYVDPYRTMVLSPQPDHLNALQYNHQQQPQPPPQATPPPPQHHHASLASHFHLLHLTTRLADAIGKGTRDQNSDALVEDLTSQFARCQQLLNSISGTLSSKSIVSFVTSNEVFGLLNLLCDLIT >ONIVA01G18410.1 pep chromosome:AWHD00000000:1:15519839:15524223:1 gene:ONIVA01G18410 transcript:ONIVA01G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-tRNA synthetase, class Ib, bacterial/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02660) TAIR;Acc:AT3G02660] MASIAMAASLRTFLRPHRCRLLLRQSRSLSASAAPAGAAAASTVIRRSVVEVLRERGLVEATTSESLGSASASPRELKAYCGFDPTAESLHLGNLLGLVVLSWFRRCGHNAVALVGGATGRVGDPSGKSAERPELDLAAVETNSNAIKSLIGQILDRAPEPSQHSQSGKNLNLEQNEQALVNSGEKMGSFQILDNYDWWKDISLLDFLKEVGRFARVGTMIAKESVKKRLMSEDGMSYTEFTYQLLQGYDFLYMFRNMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSPKMLSPYKFYQYFFSVPDIDVIRFMKILTFMSLDEIQELEESMKKPGYVPNTIQRRLAEEVTRFVHGEEGLEEALKATEALRPGAQTQLDSQTIEGIADDVPSCFLPYGQVLKSPLVDLAVSTGLLASKSAVRRLIKQGGLYLNNIRIDSEDKLVEEGDVVDGKVLLLSAGKKNKMVVTSRFISLKASHVPASVDRAQMTTLVGPEISARFWWVWDCCQGYK >ONIVA01G18410.2 pep chromosome:AWHD00000000:1:15519839:15525865:1 gene:ONIVA01G18410 transcript:ONIVA01G18410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-tRNA synthetase, class Ib, bacterial/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02660) TAIR;Acc:AT3G02660] MASIAMAASLRTFLRPHRCRLLLRQSRSLSASAAPAGAAAASTVIRRSVVEVLRERGLVEATTSESLGSASASPRELKAYCGFDPTAESLHLGNLLGLVVLSWFRRCGHNAVALVGGATGRVGDPSGKSAERPELDLAAVETNSNAIKSLIGQILDRAPEPSQHSQSGKNLNLEQNEQALVNSGEKMGSFQILDNYDWWKDISLLDFLKEVGRFARVGTMIAKESVKKRLMSEDGMSYTEFTYQLLQGYDFLYMFRNMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSPKMLSPYKFYQYFFSVPDIDVIRFMKILTFMSLDEIQELEESMKKPGYVPNTIQRRLAEEVTRFVHGEEGLEEALKATEALRPGAQTQLDSQTIEGIADDVPSCFLPYGQVLKSPLVDLAVSTGLLASKSAVRRLIKQGGLYLNNIRIDSEDKLVEEGDVVDGKVLLLSAGKKNKMVVTSRFISLKASHVPASVDRAQMTTLVGPEISARKR >ONIVA01G18410.3 pep chromosome:AWHD00000000:1:15519839:15525865:1 gene:ONIVA01G18410 transcript:ONIVA01G18410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-tRNA synthetase, class Ib, bacterial/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02660) TAIR;Acc:AT3G02660] MASIAMAASLRTFLRPHRCRLLLRQSRSLSASAAPAGAAAASTVIRRSVVEVLRERGLVEATTSESLGSASASPRELKAYCGFDPTAESLHLGNLLGLVVLSWFRRCGHNAVALVGGATGRVGDPSGKSAERPELDLAAVETNSNAIKSLIGQILDRAPEPSQHSQSGKNLNLEQNEQALVNSGEKMGSFQILDNYDWWKDISLLDFLKEVGRFARVGTMIAKESVKKRLMSEDGMSYTEFTYQLLQGYDFLYMFRNMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSPKMLSPYKFYQYFFSVPDIDVIRFMKILTFMSLDEIQELEESMKKPGYVPNTIQRRLAEEVTRFVHGEEGLEEALKATEALRPGAQTQLDSQTIEGIADDVPSCFLPYGQVLKSPLVDLAVSTGLLASKSAVRRLIKQGGLYLNNIRIDSEDKLVEEGDVVDGKVLLLSAGKKNKMVRIRASHVPASVDRAQMTTLVGPEISARKR >ONIVA01G18400.1 pep chromosome:AWHD00000000:1:15448482:15460499:1 gene:ONIVA01G18400 transcript:ONIVA01G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASSPPLAPPATIPSSTSALRHLLPLSGLRFRRLPAAVGRGYRSRPGFRYRAGAGPSPPSSEPPPRSPHGQTSRLQYHENVGKRGYQDYRIGYAYSLQFCSGWHCFSGEVLGMGITIQEAQSANNSVRNREDPLSKFTGHGFKS >ONIVA01G18400.2 pep chromosome:AWHD00000000:1:15448482:15460499:1 gene:ONIVA01G18400 transcript:ONIVA01G18400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASSPPLAPPATIPSSTSALRHLLPLSGLRFRRLPAAVGRGYRSRPGFRYRAGAGPSPPSSEPPPRSPHGWQERLSRLQDRIRIFFAVLFWMALFFWGSAWDGNNNSGGTKRQQFRHGFKS >ONIVA01G18390.1 pep chromosome:AWHD00000000:1:15433175:15444657:1 gene:ONIVA01G18390 transcript:ONIVA01G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARSSVVLRMGDKIGNFVHLLVTFLSSFAVASCTYSSNVPENVAAGMAMGTVIVLLFCGYSLGISYDAKLILEKGYTCTGAQVMNVIFVVLIGLLASGQASPSMREFAGGQAAVYKILETINREPKIDAYSTTVSHALRSASCFLLPVHVSRARKCIT >ONIVA01G18390.2 pep chromosome:AWHD00000000:1:15433175:15434913:1 gene:ONIVA01G18390 transcript:ONIVA01G18390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARSSVVLRMGDKIGNFVHLLVTFLSSFAVASCTYSSNVPENVAAGMAMGTVIVLLFCGYSLGISYDAKLILEKGYTCTGAQVMNVIFVVLIGLLASGQASPSMREFAGGQAAVYKILETINREPKIDAYSTTVSHALRSASCFLLPVHVSRARKCIT >ONIVA01G18380.1 pep chromosome:AWHD00000000:1:15430919:15432132:-1 gene:ONIVA01G18380 transcript:ONIVA01G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTTTQQQQQTRSSKEAGGGAAARSRGVSSKKSPWYQRAVEVLLLIWKQPTGAGTFTRVCLCAPISSYNEQALYFQAGDVAPRRSYSYPRASSASASGCGGGGNSNPLVAPPPAAEQRALMRTPGPGGESARRPVFRGKSLTDDILMRRFVVDEEATRRRNEMEVIRRRHAAAAKRRRLGPSPLRRMALAESESEADDDEEETTTTEAAEPTSRSHGGNPKPYQSQQK >ONIVA01G18370.1 pep chromosome:AWHD00000000:1:15423452:15424551:-1 gene:ONIVA01G18370 transcript:ONIVA01G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQQQVERQPDLPPGFRFHPTDEEIITFYLAPKVVDSRGFCVAAIGEVDLNKCEPWDLPGKAKMNGEKEWYFYCQKDRKYPTGMRTNRATEAGYWKATGKDKEIFRNHHMLIGMKKTLVFYKGRAPKGDKTNWVMHEYRLADASPPQPPPPPSSAEPPRQDDWAVCRIFHKSSGIKKPVQVPMQMPMQMQMPVAHQVPAANYQQQMAMASASIIQVPMQMQMPSMSDQLQMLDDFSTGSLMAPPPPPPSYSTLPGFPLQINGGAQQFVGNPSMYYQQQQQQQQQQMDMAAGGFVVSEPSSLVVSPQDAADQNNAADISSVACNMDATIWKY >ONIVA01G18360.1 pep chromosome:AWHD00000000:1:15418816:15421732:1 gene:ONIVA01G18360 transcript:ONIVA01G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDHGCSHAARQHHLKGRHQELTLAVCRAHHVKNKNLLLGVMIVILRLTVLICKKCFSITMKTLCTEELYKGELHDVFLSLQEAAQPLAMKMKALLARMLYSMLSQEMMSQ >ONIVA01G18360.2 pep chromosome:AWHD00000000:1:15418816:15421757:1 gene:ONIVA01G18360 transcript:ONIVA01G18360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDHGCSHAARQHHLKGRHQELTLAVCRAHHVKNKNLLLGVMIVILRLTVLICKKCFSITMKTLCTEELYKGELHDVFLSLQEAAQPLAMKMKALLARMLYSMLSQEMMSQ >ONIVA01G18360.3 pep chromosome:AWHD00000000:1:15418816:15421757:1 gene:ONIVA01G18360 transcript:ONIVA01G18360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDHGCSHAARQHHLKGRHQELTLAVCRAHHVKNKNLLLGVMIVILRLTVLICKKCFSITMKTLCTEELYKGELHDVFLSLQEAAQPLAMKMKALLARMLYSMLSQEMMSQ >ONIVA01G18350.1 pep chromosome:AWHD00000000:1:15408540:15415352:1 gene:ONIVA01G18350 transcript:ONIVA01G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVVLPADKHEKVMTKAVTINSGLVTIGQGKDSDRTEFYGYIKEMFKLGFEEEADLHQCHQILTCTHLKKFYFHFQDYVDLIIWKVSHSSEQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEYFYDHFHTNPQNSSHGNFISSHSNNVHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDKPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNLHFRR >ONIVA01G18350.2 pep chromosome:AWHD00000000:1:15408540:15415352:1 gene:ONIVA01G18350 transcript:ONIVA01G18350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVVLPADKHEKVMTKAVTINSGLVTIGQGKDSDRTEFYGYIKEMFKLGFEEEADLHQCHQILTCTVSHSSEQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEYFYDHFHTNPQNSSHGNFISSHSNNVHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDKPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNLHFRR >ONIVA01G18350.3 pep chromosome:AWHD00000000:1:15408482:15409034:1 gene:ONIVA01G18350 transcript:ONIVA01G18350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGCSSWRCCRRRVGRNLLVFWTAACFPSASEYCLHLPLLQSSPAQFHRHPSPFPPRPSATASSFSTLLSPATPPYLLTSSLHLADASWGRPDPAFLSSKPPDPSPS >ONIVA01G18350.4 pep chromosome:AWHD00000000:1:15408499:15409813:1 gene:ONIVA01G18350 transcript:ONIVA01G18350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPSGLKEERPCDHRAPGPRCERCPAPWWPQDYKSQEWKRRGEPNITHKEKLVRNGAWRGSTSR >ONIVA01G18330.1 pep chromosome:AWHD00000000:1:15362556:15365462:-1 gene:ONIVA01G18330 transcript:ONIVA01G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPEAGRSERDGREQDDDYEQQQARVLMALMQGFCAARYRKADNIPCPIVQGLYLGSVGAAMNKDALKSLNITHILIVARSLNPAFAAEFNYKKIEVLDSPDIDLAKHFDECFSFIDESISSGGNVLVHCFAGRSRR >ONIVA01G18320.1 pep chromosome:AWHD00000000:1:15341621:15345304:1 gene:ONIVA01G18320 transcript:ONIVA01G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 18 [Source:Projected from Arabidopsis thaliana (AT5G19020) TAIR;Acc:AT5G19020] MTTRAGAPLAVFLVSSLKSAAARLSHGEQLHALAAKSGLLTSNLFVRNSLLAFYSRVAPSLAYHLFDEIPPLLRDATAHNILLSALARAGRLERAQCLLAEMPQRDAVSFTTVISALSRSGHPERALAVFRDMLTEAVQPNEVTLAEVLTAMACDHGAPAPVGAAHGVAVRRGLDGFVIVATNLVHAYGAVSQVPSARSIFELMPDRNTVTWNTMLNCYVKAGMINMAAEVFGVIPERDEVSWLTMIDGYMCADFLLQALRTYVAMVGTVGIRANEVILVGLVKACSRHSAVSEGQQLHTVILKNGFDAHAFVQATLIHYYGSCDFIDHSQMQFKLSDKSHVASWNALMASLLRRNLVHEARQLFDDMPERDTISWSTLISGYVQSGNSNMALQIFCSMLDAGVEPNEITLASALSAVANSGTLGQARWIHDYIISRSIQLTDKLSAGLINVYAKCGSIAEAVQLFNHVKDKSISVSPWNSIICNLAIHGYVNMSLELFSQLQSTTNIKPNSITYLGVLNACCHAGMVAEGKRQFESMRQQYGIQPEIKHYGCMVDLLCRAGYLEEAELLIKTMPMKADVVAWGCILAAARTQGNVALGEKAAEELSKLDPSHGASKIALSNLYADAGHWSNVSVVRKELQNENLERLTGSSGILQL >ONIVA01G18310.1 pep chromosome:AWHD00000000:1:15334356:15334832:-1 gene:ONIVA01G18310 transcript:ONIVA01G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDRRRERKEERRPVAQAEEGAALTSETTTIWTWWPQNSPSPAIAVATAFPAIPAFGFAAATAGFAAVARGRLRIRHRRPPHHWICRRRPSPPPDSPPPPPDSPPSPEPASGFTAAALPTTGSVAVTRARLQIHRCHRAIPALPAFTTATAGFAAVA >ONIVA01G18300.1 pep chromosome:AWHD00000000:1:15324568:15340796:1 gene:ONIVA01G18300 transcript:ONIVA01G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein [Source:Projected from Arabidopsis thaliana (AT4G27340) TAIR;Acc:AT4G27340] MAPPLLDLPSHCLLPRLRRPTSGRLLLHLRLKPLSSSSYTTTTTTTTSSSTSSSRAPQVPPSLHGPSLRRGRRLPGETDGLLSFARIFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVVRQPGDDGGLLFPVPHPSLATPSSLPTAVARREKLAREFNARGFVQFPNLAKMSRPPARKRKGKKDEGGGEAAATARDTKDKVYVVEVIGERKEEHGDEWMGLVGEEGFGRITWRGGPTRLLLLDENYANKRVDDLPEAVKVVLDHETNKDGSSSYELVQCQLTLFYNYWPMNEILEEILPEGIIVPTGFETVGHIAHLNLRDDHLPYKKLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEVLAGIDSLVTTVIESGLRFQVDLSTVYWNSRLSTERQRLVDHVFKNSDVVCDVFSGVGPIAISAARKVKYVYANDLNPTAVEYLERNIVLNKLERKIEVFNMDARRFISSIYSSQHVHPVTQVVMNLPNDAAEFLDVFRGISWNHQLAVPSCVMPNIHVYGFSKAEDPEYDFHERINLTLGENVSDVEMHRVRLVAPGKWMLCASFTLPVSVAFAKPNYIAC >ONIVA01G18300.2 pep chromosome:AWHD00000000:1:15324568:15340796:1 gene:ONIVA01G18300 transcript:ONIVA01G18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein [Source:Projected from Arabidopsis thaliana (AT4G27340) TAIR;Acc:AT4G27340] MAPPLLDLPSHCLLPRLRRPTSGRLLLHLRLKPLSSSSYTTTTTTTTSSSTSSSRAPQVPPSLHGPSLRRGRRLPGETDGLLSFARIFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVVRQPGDDGGLLFPVPHPSLATPSSLPTAVARREKLAREFNARGFVQFPNLAKMSRPPARKRKGKKDEGGGEAAATARDTKDKVYVVEVIGERKEEHGDEWMGLVGEEGFGRITWRGGPTRLLLLDENYANKRVDDLPEAVKVVLDHETNKDGSSSYELVQCQLTLFYNYWPMNEILEEILPEGIIVPTGFETVGHIAHLNLRDDHLPYKKLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEVLAGIDSLVTTVIESGDVFSGVGPIAISAARKVKYVYANDLNPTAVEYLERNIVLNKLERKIEVFNMDARRFISSIYSSQHVHPVTQVVMNLPNDAAEFLDVFRGISWNHQLAVPSCVMPNIHVYGFSKAEDPEYDFHERINLTLGENVSDVEMHRVRLVAPGKWMLCASFTLPVSVAFAKPNYIAC >ONIVA01G18300.3 pep chromosome:AWHD00000000:1:15324568:15331211:1 gene:ONIVA01G18300 transcript:ONIVA01G18300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein [Source:Projected from Arabidopsis thaliana (AT4G27340) TAIR;Acc:AT4G27340] MAPPLLDLPSHCLLPRLRRPTSGRLLLHLRLKPLSSSSYTTTTTTTTSSSTSSSRAPQVPPSLHGPSLRRGRRLPGETDGLLSFARIFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVVRQPGDDGGLLFPVPHPSLATPSSLPTAVARREKLAREFNARGFVQFPNLAKMSRPPARKRKGKKDEGGGEAAATARDTKDKVYVVEVIGERKEEHGDEWMGLVGEEGFGRITWRGGPTRLLLLDENYANKRVDDLPEAVKVVLDHETNKDGSSSYELVQCQLTLFYNYWPMNEILEEILPEGIIVPTGFETVGHIAHLNLRDDHLPYKKLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEVLAGIDSLVTTVIESGLRFQVDLSTVRKKASNTPKNSK >ONIVA01G18300.4 pep chromosome:AWHD00000000:1:15333458:15340796:1 gene:ONIVA01G18300 transcript:ONIVA01G18300.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein [Source:Projected from Arabidopsis thaliana (AT4G27340) TAIR;Acc:AT4G27340] MATADPVVGRAAAAAYPEVGSGDGGESGGGGGDVFSGVGPIAISAARKVKYVYANDLNPTAVEYLERNIVLNKLERKIEVFNMDARRFISSIYSSQHVHPVTQVVMNLPNDAAEFLDVFRGISWNHQLAVPSCVMPNIHVYGFSKAEDPEYDFHERINLTLGENVSDVEMHRVRLVAPGKWMLCASFTLPVSVAFAKPNYIAC >ONIVA01G18300.5 pep chromosome:AWHD00000000:1:15325883:15326226:1 gene:ONIVA01G18300 transcript:ONIVA01G18300.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein [Source:Projected from Arabidopsis thaliana (AT4G27340) TAIR;Acc:AT4G27340] MASLSSGRRRRRSNETASSEGAAMTPCIHNIPLEQRMAGRVGRSASGGHGAPRTAGGGHRTGRSRRRAGESERNESGVAAVTGGFGKGRQNARKKENFHKGP >ONIVA01G18290.1 pep chromosome:AWHD00000000:1:15317635:15325795:-1 gene:ONIVA01G18290 transcript:ONIVA01G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTPAVLPQWAIGYAELGGGGSSSSAAMEEVKALMFAAGVDAAESSHIGHDPRLQSWAGFARAEAVLQGFLIDFAKECSGCWFNQAGGRIGASRLGFILLIFSSGPQQQVTNFPCYHKASI >ONIVA01G18280.1 pep chromosome:AWHD00000000:1:15285437:15286159:1 gene:ONIVA01G18280 transcript:ONIVA01G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPGAIVINMASNTNGESTAVTTNNLAPSTPKAADESTTSSRTDVATKTVTDKVMASTANLAQLLPTGTVLAYQALSPSFTNHGECNAANKWLTAVLVGVLAGLSLFFSFTDSVVGQDGKLYYGVATRRGLNVFNMSREEEEAKKLSHSELRLRPLDFVHSFFTAMVFLTVAFSDVGLQNCFFGQHPGGNTKELLKNLPLGMAFLSSFVFLIFPTKRKGIGYNDNTPNRKAEDVTKN >ONIVA01G18270.1 pep chromosome:AWHD00000000:1:15264460:15265233:1 gene:ONIVA01G18270 transcript:ONIVA01G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLETKLIDMPQPQETNGDGEAAPEADISMATTLMPNGVGERKATAAPALPAARPPPEMTDKVMASTANLAQLLPTGTALAYQALSTSFTNHGQCYRSNRWLTAGLVAVLTASSIFFSLTDSVVGRGGKLYYGMATPRGFNVFNLSREEEEAQELSRTKLRELRVRPLDIVHAFFTAVVFLTVAFSDVGLTKCFFPDAGNDTKELLKNLPLGMAFMSTFVFLLFPTKRKGIGYTDTTPRPAPETNKPTQVSDHMP >ONIVA01G18260.1 pep chromosome:AWHD00000000:1:15219930:15220283:1 gene:ONIVA01G18260 transcript:ONIVA01G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVLRPHNVLLPSPAQRRIRATAATHQRTANNHQSDARTKPTTAGSRRRQGRGSSAARKAAARPVAVEVYAGPAFSVSPEPSSVPLPQFPFRKAAVTAAVDDAATRDLRRMLRLE >ONIVA01G18250.1 pep chromosome:AWHD00000000:1:15211702:15212313:-1 gene:ONIVA01G18250 transcript:ONIVA01G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQWQRVEIRRKGWVARDGGGDGAKLTRHNGGADGMRTDQPTSDANRNKSGLINSPTQNVRQCKTPADCRT >ONIVA01G18240.1 pep chromosome:AWHD00000000:1:15186120:15190314:1 gene:ONIVA01G18240 transcript:ONIVA01G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGECIYNNPPVLVTPSKPTPKLALYLSNLDDQRLLHFPIQYIYVFTGTLDMDTLKVALSRVLVDYYPLAGRLRASNEHDGKLIIDCNSEGALFAEGFLPGLTARDFILGHAKPHKSWKKLLYKDEEQSFVCTPPLVVQVTHLSCGGTILCTAIAHCVSDAFGAAHFLRAWARAAMSEDSELAHPPVAPCHDRRALAPRCTPRIAFAHPEYTAASGGDDASAVAEASSRLFAPPLSPVSVTFTAAHVALLKKLYAPSASPLEQCTSFEALAAHVWRAWVRALDPPASLPVKLLFTMGIQRLVKPELSGGCYCGNGFVLACAESTAGQLAASAPDAARLVQETKQRVDDDYVRSVIDLLEVRRGCLPDLAATFTISSLTRQGLEDIDFGAGTPVHFGPLTSEVYCLFLPVIGDPRGTTALVSVPQAAADSFERCCHDGLDDVDVEDKNQLPNVGDGMAS >ONIVA01G18230.1 pep chromosome:AWHD00000000:1:15166102:15166934:1 gene:ONIVA01G18230 transcript:ONIVA01G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDENYHLAGSPTLALLDGRQVLSANRICAENRRSTLRKTKKITCSHPTAILIAILPLLFPVMPSSLVLASTVLLAPGLGCAFSGMPLPPPILYPHTLSLFIVGSKLYRLPIVRTRDSTISFGTDKKKKGKRKKTDVCSIALDEDQINVYQFGTLLNVDQLGGIVTCTIIPGDLIIN >ONIVA01G18220.1 pep chromosome:AWHD00000000:1:15131922:15132716:1 gene:ONIVA01G18220 transcript:ONIVA01G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGSFPKNDGSSRKEAYLSPLHEALRGTTAVQGLGEYVGHNSSTTPPIISGPQETTILPSHNYSSYNPNMALAPPPFQLPPQQNFLTTPMGIYFDSSEQAHIMSTESPPVTSLLQGDPFAVVHAHLNTTGVLDNGPIFENSATSLLVPEVNSMPSVYTFPLPNIQPFISGTISQQQRLQSGSSSHVESFGPPYVPREQPEPIGGTKSVQVPAGRSGVVNERVYTCRHCPNATFSTPQAYGGHMSAHSKKDKKNMSSGPSSRG >ONIVA01G18210.1 pep chromosome:AWHD00000000:1:15129591:15129788:1 gene:ONIVA01G18210 transcript:ONIVA01G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHAVGTGLVPTPSPPLSAPRSSPAFAAPQSFAAHLNALRELRDVAAESKRNKKLLATVPDAVEG >ONIVA01G18200.1 pep chromosome:AWHD00000000:1:15125984:15126286:1 gene:ONIVA01G18200 transcript:ONIVA01G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLIDLATTGERCQLLRATNASGETALHEVVRAGSKDIVVQLMAEDCELAGFPRDGGISPLYLAVLLDEIDIARSLYVMSHGNLSYSEPGRCNEDVFY >ONIVA01G18190.1 pep chromosome:AWHD00000000:1:15123072:15123401:1 gene:ONIVA01G18190 transcript:ONIVA01G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGANDSNGGRARGGGAMAVGCGQRWRCPTPRRTVTVTELTATMAGVWRGAAQRLWPMAEVAVAEEDSDGDGCVNGWPAGGTGDGSGWPAQLAVAEADVERTRRRGR >ONIVA01G18180.1 pep chromosome:AWHD00000000:1:15118267:15120558:1 gene:ONIVA01G18180 transcript:ONIVA01G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVTVLVGWTESDRGAACCQFRSAYTAINSSSVCRFKCVCKSWSSLFSDQYFCTKLPRRPAGLLYQDSNNGSIQIAKLPSGNSEIGTTLSFMPHHENLKLVDCSNGLILFTHGSKSDSPDSSHFIVCNPATQEWIALPDTCPRVNGSDYIAMLAFNPSSSCHFFVFNFQKRRSPHTGGFVITEVEIFSSEDFTWIADDAFETEIMMISMPHVLLHGILYLRTVEHSVFAIETPHMYKPWIHRWTFELPGDSCPMNNYIWGCLGESSGILHYMQPNYDGCWLNVWRLESRHQQWSMTHSLSMIDAFGRGTLVHGDPFSDDWSADYGMLSFDLEREIVFLHDRVSSKVLSYSIRTGKLCEMGDLPRNSLYYVPYWRKFPVVEEDQYWL >ONIVA01G18180.2 pep chromosome:AWHD00000000:1:15118303:15122537:1 gene:ONIVA01G18180 transcript:ONIVA01G18180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKKSKCTCTLTDDLVVDILSRLPLKSVCRFKCVCKSWSSLFSDQYFCTKLPRRPAGLLYQDSNNGSIQIAKLPSGNSEIGTTLSFMPHHENLKLVDCSNGLILFTHGSKSDSPDSSHFIVCNPATQEWIALPDTCPRVNGSDYIAMLAFNPSSSCHFFVFNFQKRRSPHTGGFVITEVEIFSSEDFTWIADDAFETEIMMISMPHVLLHGILYLRTVEHSVFAIETPHMYKPWIHRWTFELPGDSCPMNNYIWGCLGESSGILHYMQPNYDGCWLNVWRLESRHQQWSMTHSLSMIDAFGRGTLVHGDPFSDDWSADYGMLSFDLEREIVFLHDRVSSKVLSYSIRTGKLCEMGDLPRNSLYYVPYWRKFPVVEEDQYWL >ONIVA01G18170.1 pep chromosome:AWHD00000000:1:15086306:15087997:-1 gene:ONIVA01G18170 transcript:ONIVA01G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNDSHSVTIPDGMIPTPHMEDAAAGASSDTKPAAGTNTPTSTPKDDGSKPAAAQDNVLSASANLAQLLPTGSVMAYQALSSSFNNHGECYTSNWWLTVSLVTFLSVFCIFSAFTDSITHKGKVYYGVAMSERLRIFNIEVGDSIADNKGKQIIMPEQGKDLTQEQEEVLNQLEKRKLHWLDGVHAFFTAVVFLSVAFSDVGLQKCLFPHAGHDTMELLKNMPLGMSFLSSFVFMIFPTTRHGIGFSDSTSSKDVSGKVAPGDTVTDGPNPGSSNNAASNVANHNQYQGNGKEENANSKPASQDKVLSASANLAQLLPTGSVMAYQALSPSFNNHGECYTSNWWLTVSLVTFLTVFCIFFAITDTIYYNGKVYYGVAMRGGLKIFNKEDNDPNFHIEPDNKKKNENKNGTATELQAVGQQKSPSSSNESEHNGEKKGKLTCLTSIFEKKGGKKVKQDNKLTAETELKNKLERMKLNWLDGLHAFFTAVVFLSVAFSDVGLQRCLFPDAGHDTMELLKNMPLGMSFLSSFVFMIFPTTRSGIGFSNPTSKGDDKAKPHAKAK >ONIVA01G18160.1 pep chromosome:AWHD00000000:1:15069060:15069806:1 gene:ONIVA01G18160 transcript:ONIVA01G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAAAADHSRASPSSAATQPTTICWRPSKVKEEVEEDGMVVDPPDGAGEASDNLRATGFQDTPTVDELVLRHLRPRLRGFHCADGDVPVIGVRDDPAAAAPLDLVARHGGAADRRRGEAFYFVRRRRCRRPNVRRTVAEGGGGGGGAGGLWKQSWTGSGKSVTDLGVVVPWSKTCYCFYRRDEGGRLSTFGGGWVLAEYEITEPGTYRRADEEEDDDDYWVLCHVRKTASNKRKRNRCERPWLHGL >ONIVA01G18150.1 pep chromosome:AWHD00000000:1:15054284:15057950:-1 gene:ONIVA01G18150 transcript:ONIVA01G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLP4] MQGGANNKGLVCLIAAAAVVLVFAGSSGIAAAQAAGLKKGFYKKSCPKAEEIAQRVVWNRVAGNPELAAKFLRMFFHDCFVRGCDASVLLDSASNTAEKNAAPNLSLAGFEVIEEVKAAVERECAGVVSCADIVALAARDSVSYQYRRSLWEVETGRRDGTVSSDQEALADIPAPTSTFPILLANFSAKGLGLQDLVVLSGGHTIGIGHCNLFSSRLFNFTGKNNPSDVDPSLNPSYARFLQGQCRRNQQDPNDNSTVVPMDPGSSVSFDSHYFVNLKARQGMFTSDATLLTDGRAAALVDKLRDPGVFLDHFKNSIKRMGQIGVLTGAAGQIRKRCNAVNS >ONIVA01G18140.1 pep chromosome:AWHD00000000:1:15032214:15047341:1 gene:ONIVA01G18140 transcript:ONIVA01G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCVPLVARTVEAMRADAAAAAAAGADLVEIRLDFIGSKFRPREDLPRLLRGCPLPAIVTYRPNWEGGQYEGDDATRFEALRLAMELGVNYVDIELKVADKFISFIYGSKPEKCKLIVSAHNYESTPSCEELADLVARIQAVGADIVKIATTANDIVDVSQMFQVMVHCQVPMIGLVMGEKGLMSRVLSPKFGGYLTFGSLDATKVSAPGQPTVEELLDIYNIRRIGPDTKVLGVIANPVKQSKSPVLHNTCLQSVGYNAVYLPLLADNIARFLSTYSSPDFSGFSCSLPFKVDAVQCCHKHDPVAKSIGAISTIIRRPDGKLVGYNNDYIGAISAIEDGIGGPGSKNAAVTPLAGRLLVVVGAGGAGKAIAYGAKEKGARIVVANRTYEKAVSLAAAVGGHALRLAELETFRPEEGMILANATSLGMYPNVDGTPIPKQALSFYDVVFDAVYAPKVTRLLREAEECGVKVVSGVEMFLRQALGQFERFTNGIEGIVSF >ONIVA01G18130.1 pep chromosome:AWHD00000000:1:15024805:15030187:1 gene:ONIVA01G18130 transcript:ONIVA01G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILANATSLGMYPNVDGTPIPKKALSFYDVVFDAVYAPKVTRLLREAEECGIKVVSGVEMFVRQAMGQFEHFTGGIEAPESLMREIAAKYT >ONIVA01G18120.1 pep chromosome:AWHD00000000:1:15013600:15014654:-1 gene:ONIVA01G18120 transcript:ONIVA01G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLP1] MTQQAATSVSLPRWCTPSSRGAPTMCSSPPTQSAAPPGSRCSPSPSPTAAAAGDHPLLTQSPHDLVPFVEVPFHHLHPLAALDALPSPRLPSTHMPPQLLPRRVAELGCRIVYLCREPKDVVVSLWHYMNKGLRAVCRWLLPVRQYGPIWDHCLGYWKKSMEEPDMVLFLKYGDMMADPAGHVKKLAEFLRAPFTDEEVGAGVVEEVVRLCSFEKLSRLPVNSSGVVDRSSGRPMENSVFFRKGEVGDWKNYLTEEMAKKLDAVIEEKLKGSGLTF >ONIVA01G18110.1 pep chromosome:AWHD00000000:1:14986015:14986591:1 gene:ONIVA01G18110 transcript:ONIVA01G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRGGRRRLRRRHCFGPRCRTLGSTLTSKKVFTWANSNNQRLLHHVGDIDRISKSYICTSCSMWLAVEDRVESVGDVDDGWLRNVGLISIPHRYILPLFHRRLLLAP >ONIVA01G18100.1 pep chromosome:AWHD00000000:1:14972740:14977831:1 gene:ONIVA01G18100 transcript:ONIVA01G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIRSRDGTDRITVPDPAAATVGDLQRLIAARVTVPVPLQRLSLDPALLLPSSASAALLADPAAPLSSLRLSNGSFVYLSYPPDARSSQPPPPKALSAAGSFGKKMTMDDLIARQIRVTRQEAPLCAAASFDRDSANAFQLHVAESLAFATKRAGFLYGRVDADTKEVFVDFIYEPPQVGTEDVVQLMRDAQEEARVDAIAHGLGMRRVGLVFTQAVGRKTSDTGEYTMSNREVLQATELQAEGGIPEWVTAIVKLEVGDDGSGDVHFEAFQMSEICVKLFKDGVLETEIGDKDDPRLSKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQGPLSTGFPIENRGNPVAMSALKSHLDRAKHLPFVKRISDFHLLLLVAAFLDIKADVPALTACVKNQSVVPEGYQLLIESLAGA >ONIVA01G18090.1 pep chromosome:AWHD00000000:1:14962603:14968314:-1 gene:ONIVA01G18090 transcript:ONIVA01G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine-rich cyclin 1 [Source:Projected from Arabidopsis thaliana (AT2G26430) TAIR;Acc:AT2G26430] MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRVYGCDLIQESGILLKLVKRVAASCVWLAGKLEESPRRSKHIIIVFHRMECRRENVPIEHLDVLSKKYSDLKHDLVRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRHGVPLPEDPPWWNVFDADEAGIQEVCRVLAHLYSLPKSQYIQVYKDNDSFTHRRTSDTNASKESPATTVASDKGTPVPSSSSQEKDALIKAESDNVKEKCDDDGKTLPSEPNGKEGPAVNLKSEKSESNVDRSRERERDRSRGRDRDSRGRDSDRDSKGRDSDRERERDREADRDRQRRHHSKDRSSGYSDKEKSRHRSSRDRGDHYSSHSSRDKDRHRRQ >ONIVA01G18090.2 pep chromosome:AWHD00000000:1:14962603:14968314:-1 gene:ONIVA01G18090 transcript:ONIVA01G18090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine-rich cyclin 1 [Source:Projected from Arabidopsis thaliana (AT2G26430) TAIR;Acc:AT2G26430] MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRVYGCDLIQESGILLKLYPSAPPPRSPHLFPPCSYAQVKSIIFACGGFLDAPKTTSSDGHSTSIRVAASCVWLAGKLEESPRRSKHIIIVFHRMECRRENVPIEHLDVLSKKYSDLKHDLVRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRHGVPLPEDPPWWNVFDADEAGIQEVCRVLAHLYSLPKSQYIQVYKDNDSFTHRRTSDTNASKESPATTVASDKGTPVPSSSSQEKDALIKAESDNVKEKCDDDGKTLPSEPNGKEGPAVNLKSEKSESNVDRSRERERDRSRGRDRDSRGRDSDRDSKGRDSDRERERDREADRDRQRRHHSKDRSSGYSDKEKSRHRSSRDRGDHYSSHSSRDKDRHRRQ >ONIVA01G18090.3 pep chromosome:AWHD00000000:1:14962603:14968314:-1 gene:ONIVA01G18090 transcript:ONIVA01G18090.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine-rich cyclin 1 [Source:Projected from Arabidopsis thaliana (AT2G26430) TAIR;Acc:AT2G26430] MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRVYGCDLIQESGILLKLVKRVAASCVWLAGKLEESPRRSKHIIIVFHRMECRRENVPIEHLDVLSKKYSDLKHDLVRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRHGVPLPEDPPWWNVFDADEAGIQEVCRVLAHLYSLPKSQYIQVYKDNDSFTHRRTSDTNASKESPATTVASDKGTPVPSSSSQEKDALIKAESDNVKEKCDDDGKTLPSEPNGKEGPAVNLKSEKSESNVDRSRERERDRSRGRDRDSRGRDSDRDSKGRDSDRERERDREADRDRQRRHHSKDRSSGIAIDYSALALFISMLRFPDVAWCPSNAGYSDKEKSRHRSSRDRGDHYSSHSSRDKDRHRRQ >ONIVA01G18090.4 pep chromosome:AWHD00000000:1:14962603:14968314:-1 gene:ONIVA01G18090 transcript:ONIVA01G18090.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine-rich cyclin 1 [Source:Projected from Arabidopsis thaliana (AT2G26430) TAIR;Acc:AT2G26430] MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRVYGCDLIQESGILLKLYPSAPPPRSPHLFPPCSYAQVKSIIFACGGFLDAPKTTSSDGHSTSIRVAASCVWLAGKLEESPRRSKHIIIVFHRMECRRENVPIEHLDVLSKKYSDLKHDLVRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRHGVPLPEDPPWWNVFDADEAGIQEVCRVLAHLYSLPKSQYIQVYKDNDSFTHRRTSDTNASKESPATTVASDKGTPVPSSSSQEKDALIKAESDNVKEKCDDDGKTLPSEPNGKEGPAVNLKSEKSESNVDRSRERERDRSRGRDRDSRGRDSDRDSKGRDSDRERERDREADRDRQRRHHSKDRSSGIAIDYSALALFISMLRFPDVAWCPSNAGYSDKEKSRHRSSRDRGDHYSSHSSRDKDRHRRQ >ONIVA01G18080.1 pep chromosome:AWHD00000000:1:14947082:14948727:-1 gene:ONIVA01G18080 transcript:ONIVA01G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLYLLLLALLVAVPFLCLTRSSRRHGCGGGSRLPPSPWALPVIGHLHHVAGALPHRAMRDLARRHGPLMLLRLCELRVVVASTAEAAREVTKTHDLAFATRPITPTGKVLMADSVGVVFAPYGDGWRTLRRICTLELLSARRVRSFRAVREEEVGRLLRAVAAAAAAAAAALTTPGATAAVNLSERISAYVADSARMKLLPAQCLPDLFPSSRAAMLVSRMPRRMKRERQEMMDFIDTIFQEHHQSRAAGGAEEDLLDVLLRIQSQDKTNPALTSDNIKTVIIDMFVASSETAATALQWTMSELMRNPRVMRKAQDEVRRALAVAGQDGVTEESLPDLPYLHLLIKESLRLHPPVTMLLPRECREPCRVMGFDVPEGVMVLVNAWAIGRDPAHWDSPEEFAPERFEGGGAADFKGTDFEYIPFGAGRRMCPGMAFGLANMELALAALLYHFDWELPGGMLPGELDMTEALGLTTRRRSDLLLVPALRVPLRDHER >ONIVA01G18060.1 pep chromosome:AWHD00000000:1:14939203:14946475:-1 gene:ONIVA01G18060 transcript:ONIVA01G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEGEEGTEPNKHLRRIIRGISHRHRIAIRTKPTATAAPPPKFSIFSRDEKGKKALRLAHCHAPHLFSRLLREEEPLLLHPSRSPVSILRRGGRPPAIRLSGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEEYLNNKWDRNPETEQRPHKVSFFVDKKSAQEVIKSLSGNMEKCGLDVKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYAENAKGNPKIIHATERCAAGIIEAIGHFKLGPSVSPRDVGFPYVKEDHIKPTDAVVKFYVLYEKWRRAEVPKSDSVVQYFKNITHANGVIIQPSGLECSLHASVDALSSCYGEKQGKKYRTWVDRLFVSQSGSDSWLVRFDLWEAEGDARLCCLTSLALNVKPETPAGFLITHVHKTWLKGYSSADEQSSKL >ONIVA01G18060.2 pep chromosome:AWHD00000000:1:14939203:14946475:-1 gene:ONIVA01G18060 transcript:ONIVA01G18060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEGEEGTEPNKHLRRIIRGISHRHRIAIRTKPTATAAPPPKFSIFSRDEKGKKALRLAHCHAPHLFSRLLREEEPLLLHPSRSPVSILRRGGRPPAIRLSVLLKSFKTSQAHNHHHMQVAKMDKLSGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEEYLNNKWDRNPETEQRPHKVSFFVDKKSAQEVIKSLSGNMEKCGLDVKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYAENAKGNPKIIHATERCAAGIIEAIGHFKLGPSVSPRDVGFPYVKEDHIKPTDAVVKFYVLYEKWRRAEVPKSDSVVQYFKNITHANGVIIQPSGLECSLHASVDALSSCYGEKQGKKYRTWVDRLFVSQSGSDSWLVRFDLWEAEGDARLCCLTSLALNVKPETPAGFLITHVHKTWLKGYSSADEQSSKL >ONIVA01G18050.1 pep chromosome:AWHD00000000:1:14932981:14933313:1 gene:ONIVA01G18050 transcript:ONIVA01G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLMEATGDSESDAAAVDRGSCPADDGDAESCCGGDQDGGGGAAAAGSVEALSWERWMREYCAGYQLVVAADDGKCAAPATEDDVAAAGDSDAESDRLFWEACIAHGF >ONIVA01G18040.1 pep chromosome:AWHD00000000:1:14919170:14927184:1 gene:ONIVA01G18040 transcript:ONIVA01G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLCVPLVSRTVEAMQADAAAAAAAGADLVEIRLDFIEGFRPREHLPSLLRGCPIPALVTYRPNWEGGQYDGDDATRFEALRLAMELGVDYVDIELKVADKFISFISGSKPEKCKLIVSSHNYESTPSCEELADLVARIQAVGSDIVKIATTASDIADVSRMFQVMVHCQVPMIGLVMSEKGLMSRVLSPKFGGYLTFGTLDATKISAPGQPTVKELLDIYNIRRIGPDTKVLGLIANPVKQSKSPILHNKCLQSIGYNAVYLPLLADDLARFLSTYSSPDFSGFRY >ONIVA01G18030.1 pep chromosome:AWHD00000000:1:14916959:14917528:-1 gene:ONIVA01G18030 transcript:ONIVA01G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDVLAWVGPSPTSGLRNAPSLVRRPISPRPRPPVSSAPRPLRPPPALRRDAADPHPASGGAGLHPVTASRSAGAGHVLLLLPISSPVRAPELVKP >ONIVA01G18020.1 pep chromosome:AWHD00000000:1:14913804:14916827:-1 gene:ONIVA01G18020 transcript:ONIVA01G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEALKARDIAAKKMESKDFVGAKRIALKAQRIFPELENISQMLTVCEVHCAAEAKMNGLLDFYGVLQVDVMADEATIKKQFRKLAFSLHPDKNGFAGAEAAFKLVAEAHSTLSDRTKRRAYDIKWRIASKQATQPKQGAQPAQAAQPKQCTQPPLATKRNQSAQPTHNTQQSAQPKQSTQPMQATQPKHATEPMEKTDANRASNAKEGYGSSVRPPSAGEAFWTMCVNCKTKYQYYSNVLNHKLRCQNCKKDFQAVMLNEQDVPSVFSSSAAKSAGQHCDVPKQEDCSTKFSSAANRDAKPMVNGGQHDEQMKNSASVRAGGEGTVNHTESIRKGGLEFSTLHVSSAANVGSKAGGKMTSCPTPDVAGRQNPGNRVNTSAETGAMNIPNPRRSARRKENADASIIQDTPSKKRRTILDWFSNPDSSRKKVADDNVVRADGQAWEPHVSSEAHNYQKGTTSNEGNQEKRKDVAHDTNAQKKSGIPGNFSYPDPEFFDFDRCRDVSMFAVDQIWALYDDRDGMPRYYARIRRIDTTNFRVQFTWLEHDAKNEEEDKWTDEELPVACGNFFLGKTVVSQDALMFSHIVSWVKGRKRSSYEIYPRKGEVWALYKGWSMQWSSDADKHRTYEYEAVEILSNFTVEAGAAVGPLVKIKGFVSLFAKVKEKPSFVIPPSEMLRFSHSIPFFRTKGDEKVGVAGGFLELDTASLPSNLDVAFPSVTLDSCMPVCKTMNSGFNDFTGYEQGALKENLMNEGKRKDHSLERTPVHQQSAAYSSPSTFDYPNSEFHNFEEYRSYSKFERGQIWALYSDLDQFPKYYGWVTKVDTDPFRVHLTWLEVCPQLEQENMWLEQNIPVSCGTFKIRNWRIKLDTNDAFSHLVETSQVGWKRYFEIHPQVGEIWAIYNNWAPGWVPSSKDTFEYTIGEITDRTEASTKLLLLTRVDGYRAVFKPDSVRGTLEIPTNENIRFSHLIPSFRLTKENGGKLCGFYELDPASVPDTFLFRSGR >ONIVA01G18010.1 pep chromosome:AWHD00000000:1:14907821:14908678:-1 gene:ONIVA01G18010 transcript:ONIVA01G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARMLNSNIGMISMVVHYFVLLPLVDTSLLTYASEASHGGFNRISSLSDVHLHDIVSHLPAKDSPLTKPITERNHAQPPAKTLAHLSPNASFLFPLPPLLGPSFPSSLGGGGGG >ONIVA01G18000.1 pep chromosome:AWHD00000000:1:14904434:14907801:-1 gene:ONIVA01G18000 transcript:ONIVA01G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G07050) TAIR;Acc:AT3G07050] MVKKSKKSKSKRVTLRQKHKVLRKVKEHHRKKRKEAKKEGKSHRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELELKKEARKERARKRKLGLLEDEDIANLASAASAQGSEFAEKDAAKENASLDVVKSQDHSERAFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIDLVPKESVEKWLTYLREEMPTVAFKCNTQEQRTKLGWKSSKIDKSSNIPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNSGVSVALRNCKRVEKMEDPISPVKEILDLCPHEKLLSLYRVPTFTSVDDFLQKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYFTVPPKRDAVDDSDAVIISETGKEFNIDEIYKAESSYIGGLKSLEEFRHIEIPSNAPPQIDEEMLEDGKKQNEPAQENHDESMSDANEREGAKTASASTQNDKLYTAEGILDPRKRKAEKKKRKANKFSVLTDMDADYDFKVDYQMKDAPAEDGNDGGDEEPKEADPMTGVDDA >ONIVA01G17990.1 pep chromosome:AWHD00000000:1:14897750:14902305:1 gene:ONIVA01G17990 transcript:ONIVA01G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTASSGGASPRPPPPPPHLEAYRHGVPPPYYHSYPRPPPGAAAPPPVPVPAHVERHRAVAVSVGVNVKGDTLRLVPDDDDDGRSLLLAFSFDADGPGSITVCFFAQEDKCALKTAKENLLQPVTVPFKEGRGQEFKQPSGSGIDVSRFEESELTNVGEGGVFPVAFKVQMDVSGNQESEGAHETEQSKYLVKYAIFVKKDNAEYGVHVVQQILWVNGIRYVLQEIYGIGNTADKNAHEDDSGKECVVCLSEPRDTAVLPCRHMCLCRECAQVLKYQTNKCPICRQPVEGLREIEVDNKPIPQQGSQQLTAPQ >ONIVA01G17980.1 pep chromosome:AWHD00000000:1:14894090:14896741:1 gene:ONIVA01G17980 transcript:ONIVA01G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSPLSFARADDAVVQSKLSNGNRSAGTPLHRLLRFYFYQMHMEKNKLLSSPYAYDVLQEAFLRLP >ONIVA01G17980.2 pep chromosome:AWHD00000000:1:14894090:14896741:1 gene:ONIVA01G17980 transcript:ONIVA01G17980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSPLSFARADDAVVQSKLSNGNRSAGTPLHRLLRFYFYQMHMNHSLSQETSDNPVLRLP >ONIVA01G17970.1 pep chromosome:AWHD00000000:1:14889797:14890141:1 gene:ONIVA01G17970 transcript:ONIVA01G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQRGFKTLAVIRTVVLSAAGSHFCAASSSAPQEPRHGPLLARRQQPSARGAWKPVLAAVHGACVGGGVKVVAACAIVEKSRRRWEEESMWGPLLASDF >ONIVA01G17960.1 pep chromosome:AWHD00000000:1:14885851:14888255:1 gene:ONIVA01G17960 transcript:ONIVA01G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLM1] MGTAKIPALLWFLLAGLITETNYTRLCHEKSILTVNGQFPGPTIYARKGDLVIVNVHNNGSKNITIHWSVAPPAAEKKSIYISILGSKLNLRHGVDQPRNPWSDGPEFITQCPIRPGGNFTYQVILFEEEGTLWWHAHSDFDRATVHGAIVIHPKRGTTFPFRKPDKEIPHVLDKAKRTGGDVEPSDANTINGQPGDMFPLCSTDDTFKVAVQQGNTYLLRVINAGLTNDIFFAVAGHRLTVVGIDARYTKPLTIDYIMIVPGQTMDVLLEANRTLGSNSRYYMAARTFITLPVDTIRFNNSTTTAIVEYTDSAAVRPVGPPEFPILLPAIKDEDAAMAFVKQLRSLGNQDHSVHVPLQIDEHMLIDIDINFLPCDANNATNKSCEGPQGNRFAASLNNVSFENPAINVLDAYYYGSGHGVYEEDFPNKPAVFVNPTGDVNGGGPLLTKRGTKVKVLEYGTVVEVVFQDLSSENHPMHLHDFAFYVVGRGSGTFDERRDPATYNLIDPPFQNTVSVPKSSWAAIRFRADNPGVWFMHCHFDRHVVWGMDTVFIVKDGKTPQAQMLPRPPIMPEC >ONIVA01G17950.1 pep chromosome:AWHD00000000:1:14880362:14881521:-1 gene:ONIVA01G17950 transcript:ONIVA01G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSVPKILKPCAAVLGFTTLQMDLIVARCSAAFFRSPTLLVNVQFWLGYLRGCVDWEASPTTTSAAPDVAKAVLVIADEFGVPRRMRPFKDGRAELGWTEAQVKTAAAKIPTVLMLSVERLRKNWEFLTKEVGMDAERVANFPAWRLWRGRDFINVATIVEEDFVAKFIRPFLVKDSNLDKVYESTIAEKELKNSKSVIIVCG >ONIVA01G17940.1 pep chromosome:AWHD00000000:1:14878978:14880331:-1 gene:ONIVA01G17940 transcript:ONIVA01G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARCEKRALSPPRRKIPRKCKRGRTVGAVAPNGAAPWMESPWASLDGDIIRLVAEHALAGDVADYLRLRAVCRHWRSSTVSPRGRSVVDPRFHPRRWILFPESHGLFPGHRKLHGRVRFFNVSTGAFARLLLPLFPDHFVIDSVDGLLLLQRDRDSAIRILHPFTRFGPDGVITIMFVVTRVQRVAFATSADQQWTLSNWQTNLSWKYMAFQGKIYAASIWVNFSPNRIFVIDPPRVEANGSASSFSLPEPKLVATCPVEKLFGFAYLVNCESEVLLIGHTDRSYSQAVVYRLADIILGRFIPLTRFGDYTIFMDERSLCVSSKAVPGIADGSLIYRHHGKFLAQYHVRSGTLSTAAEGRIEEGYRLAPYSLIHHLFACCLMTFGKSPGYAVLPCLVPA >ONIVA01G17930.1 pep chromosome:AWHD00000000:1:14851622:14852815:-1 gene:ONIVA01G17930 transcript:ONIVA01G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVYGWVVSPWMARVLVALEEAGAEYEVVPMSRSGGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARYIFRKYKPEFLGLGEGGSLEESAMVDVWLDVEAHQHEAAVRPILWHCIINKFEGRDRDQGVVDESVRKLEKVLGVYEARLSGSRYLAGDRISLADLSHFSNMRYFMATEYAGVVDAYPHVKAWWEALLARPTVQKVMAGMPPDFGFGSSGNIP >ONIVA01G17920.1 pep chromosome:AWHD00000000:1:14849068:14851295:1 gene:ONIVA01G17920 transcript:ONIVA01G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMLATSLLSCLLAPTSTVPLRQQLDQQLRDPLVFRHKEKRCMSMGTSMLPKSLASTRVKVQTGTFGTSHQEDKVGRPHCPEMITTFATT >ONIVA01G17910.1 pep chromosome:AWHD00000000:1:14845896:14846915:1 gene:ONIVA01G17910 transcript:ONIVA01G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSNSRRTRTLPPQATQNSLPSSSTTSTPTILLLILCRPAMAYRLLELTLVSASDLKKVTLFSRMHVYAVASISGSNVPMPMHGTHADRNGGSNPAWNTVLHFPVPARFDTRGLALHVQLRAKRSFGGHRDVGDVFVPLDDLLAGAHDGGEPRPASYQVRRPMSARAHGTLYFCYRFTDVKHPALEAIEAATATSATKQGQYVPMYAQDSDEKATEKSVSSPVTAYPPPSNAVVAYPPVVPYGAPYGGGYPPHQQQQQQYGYAGQPPYAYNAGPPPPATYGYSAAQQPAARKGGRMGMGLGLGLLGGAVGGMMLGEMVGDMEADAAYDAGFNDALEF >ONIVA01G17900.1 pep chromosome:AWHD00000000:1:14838005:14842621:-1 gene:ONIVA01G17900 transcript:ONIVA01G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGDSIPAIFLPLRSSSNKIKCRWPTAKAVSRRQMSPVQVPQTGTRHVLLLAYQSCGVVYGDLSTSPLYVYKSTFIIGSLRRFQDEEIVFGVFSLVFWTLTLIPLLKYVFIVLAADDNGEGGTFALYSLLVRHAKFSLMPNQEAADEELTSYYRPGYAPQETPILTALRRFLENHRKSRTFLLVTVLFGASLVIGDGVLTPPMSVLSSFSGLQVHSTALTSGEVEILSCTVLVCLFMVQHWGTHRVAFLFAPVVIVWLLLLGALGVYNIVVWNPRVLRALSPYYLVRFFQHTGKDGWISLGGILLSMTGKQTLFCSLHCDSSTTYFIIIYIWTEAMYADLGHFTAASIRVAFVGLIYPCLVLQYMGQAAFLSKSPHCDIHFVFFESIPTGIFWPVLVIATLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSRRIHGQIYSPEINWILMLLCIAVTMGLRDTTLIGNAYGMACAGVMLVTTLLMALVIVFVWQYSCLVAALFLVAFGVVEAVYLSAALMKVPQGGWLPLVLSLVFVAVMYVWHYGTRRKHQFDVQNKVSLRWIHALGPSLGIVRVPGIGIIYSELATGVPAIFSHFVTNLPAFHQVLVFICVKAVPVPHVRDEERHLVGRIGPREFRMYRCVVRHGYKDVLAEDTDFENDLVLRIAEFVQMEADFDQRCSISDDGVVASVEVEGRMAVVPRPSDLARTGLLMREPGEEESVVARAAAAAKPESLIHSMHTMHEAESPGFASRRRVRFEVANQHTDPRVKEELSALVEAKHAGVAYIMGHSYIKARKSSSVFKKFAVNVAYAFLRKNCRGPGLVLNIPHISLIEVGMIYYV >ONIVA01G17890.1 pep chromosome:AWHD00000000:1:14832406:14837771:-1 gene:ONIVA01G17890 transcript:ONIVA01G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKKKVINKNYRNSKGRAKGVKAKHRLLVATSGHCPRNPEGLGILRITLQVLPADKILDPPLDDPDVRLHKSLASKFPMTTQFPKEKIQLTIPNFNIDIKGILQEWTGVFLRVSMGLVGAGGTDPPLRTGTTKQQ >ONIVA01G17880.1 pep chromosome:AWHD00000000:1:14831472:14839657:1 gene:ONIVA01G17880 transcript:ONIVA01G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSPRIPVVDLEDGWRDVLAGVAKLKCILDGSNVVHFVPDEYMHLYTTVYNMCTQKPPNDYSQVLYDRYKQALDDHIESVVLPSLNEKHGVFLLREIVQRWEKHKLMVRWLRRFFDYLDRYYVTRRSLDSLKDLGWSSFRDLVFDKLKSTVATIMIGMIDDEREGNLIDRPLLKNALDIYVEIGDSQLNYYSDDFEQSFLNGTTDYYSKKAQTWILENSCPEYMLKAEECLQKEKDRVANYLHSTTEPKLFAAALFELIDRRAEEILNKENSGCKVLLCDEKTEDLARMFRLFSRITDGLLPVSKIFKEHVIAEGMSLLKHATDAANSRKDEKKGVVVGLPEQDFVRSVIELHDKYMAYVTNCFQSNSVFHKALKEAFEVFCNKDVVGCSSAELFAAYCDSILKRGGSEKLSDEAIDESLEKVVKLLTYLSDKDLFVEFHRKKLGRRLLFDKNTNDEHERILLSKLKQFFGGQFTSKMEGMLKDITLAKEHQSSFEEYVSNNPESNPLIDLNVTVLTTGYWPTYKNSDINLPLEMVKCVEVFKEYYRSDKQHRKLTWIFSLGNCVVIGNFDAKPVEFVLNTYQAALLLLFNEADKLSYSDIVSQLKLSDDDAVRLLHSLSCAKYKILNKEPSNRVISPEDEFEFNSKFTDRMRRIKVPLPQIDEKKKVVDDVNKDRRFAIDASLVRIMKSRKVLGHQQLVAECVEQLSRMFKPDIRIIKRRIEDLISREYLERDSENAQTYKYLA >ONIVA01G17870.1 pep chromosome:AWHD00000000:1:14816972:14824719:-1 gene:ONIVA01G17870 transcript:ONIVA01G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPQLSEVGLSCFRDLVYQEIKGKVKSAVISLTYFLEQIDQEREGEQIDRALLKNVLDIFVEIGLTSMDYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKEIVGLQEQVFVRKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQAKFEEFISTHSELNPGIALAVTVLTTGFWPSYKSFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILSKEPNNRSISPNDVFEFNSKFTDKLRRLKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNVYRYLA >ONIVA01G17860.1 pep chromosome:AWHD00000000:1:14810639:14810950:1 gene:ONIVA01G17860 transcript:ONIVA01G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVNRLAAQRAVVIFSMSSCCMCHTVTRLFCELGVNPTVVELDEDPRGKEMEKALARLLGRSPAVPAVFIGGRLVGSTDKVMSLHLSGNLVPLLRNAGALWV >ONIVA01G17850.1 pep chromosome:AWHD00000000:1:14806893:14808974:-1 gene:ONIVA01G17850 transcript:ONIVA01G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQELLSRMSRIRISQKARSSHLIRYGEVRVLARKSAFCMDKEHLKEGRDSRQSGYQEICYVAACNLLVKRKEQCYTWHTQDEVMTNFVEWMFIYRDEGILSRTIPLSESAARGTLQMETSDEALLKALEQGILIACNSSAKVPDEQQTHSLVSNEYELPPIETAIH >ONIVA01G17840.1 pep chromosome:AWHD00000000:1:14796771:14805263:1 gene:ONIVA01G17840 transcript:ONIVA01G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNGAQGSKGASSTTTNPTNDDKKKKPAQAPGADADADGGGKKNNSDYVDKTLSTTANLVKLLPTGTTLAFQALAPSFTNHGKCLAINRYISGGLIAFCCAICALLSFTDSIIDRKGRPYYGLAFPADEDTGKGGFVPFNYEKPRRPSNGAAAAADDDDDSWEVYFVDFDPEELYKRRVRPLDFLHATLRVFVFLALAFSDAGIQTCFFPQESATWREALVNMPLGVGFVASFVFMIFPSTRKGVGYPREAQTGTEGGADADKDGKAEPPKTTTNGGSGGVDAEGSKQKNNDDCPKTTTTNDGSGGGDGSGSSVQKNSANQVVPIQPSTKEISNRADEKIANIVSSTWRLEAMVLVEAFACGGCAVPILQGCLIEFGLQGYKQTTKTIWSDLAQQEAARLRDGWVWRGGGNLGRRRWGWGRADTNTLVTDALANGKPHSPCTYDSMIGGAARPPTPPAVVDKTMCAACDILKLLPTGTVLAFHELAPSFTNHGGACGAASRYTTAALIAACTASCVLLSFTDSLVSHVDGRRLYYGVATLRGFRPFNFEGTCEEMEERFGDLPGMKVRALDFVHALVSAVVFVVVALGNADVQGCLFPDAGTGFTEMFRNLPMGLGLLASMVFMIFPTTRKSIGYTDMMPHKEDYGKGGNNTPGQTTPSV >ONIVA01G17830.1 pep chromosome:AWHD00000000:1:14789667:14791887:-1 gene:ONIVA01G17830 transcript:ONIVA01G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPLLTPYKMGQFDLAHRVVLAPLTRCRSYGNVPGPHNAAYYAQRAARGALLVAEACAVSETARGYPDVPGIWSAEQVGAWRHVVDAVHAKGAVFFCQIWHTGRVSPTGRKFLQPNTADDLSLIDAEFQPNGQAPISSTDKQVTPQVSHDGQVLEFAPPRRLKTEEIPNIVDDFRIAARNAIEAGFDGVEIHGANGYLIDQFMKDSVNDRSDAYGGSIENRCRFAAEVITAVAGEIGAHRLGVRLSPFADYMDCHDSDPEALALRAVGLMNDLGVLYCHMIEPRMCVGAGEDGSKPVIAHGRLLPFRKAFRGTFMVNGGYDREEGDKAVADGYADLVAYGRLFLANPDLPERFRRKAGLNKYDRSTFYTSDPVVGYTDYPFLDDQNSEVATR >ONIVA01G17820.1 pep chromosome:AWHD00000000:1:14768268:14773271:-1 gene:ONIVA01G17820 transcript:ONIVA01G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDELTFPLLEDIHVKNCPKLTFLPKAPILRILKLEENSPHLSQSVLVSGYMSSLSQIKLSICADEAILLPVNEAEASVTKLKLFGCNMLFTTSQSRTTLGLWQCFRNLEKLELKSCDVLLFWPLREFHSLESLKELIVKSCNNLKVKPVDGEPAQGQLLPHLTSLQIEDCQDLTELFNLPLSLKSIDIDGCPKLKSVWDEQEDTELGTNTQDPSPSARVHSLPCLETFYINDFDNLPGFRDVPSSLQSLALFNCPKVQFLSGKLDALTCLAISGCETLRSLESCLGDLPSLTTLMIERCKSLTSLPDGPRAYSSLESLEIKYCPAMKSLPGCLKQRLDSVEEKLLSHMRSSDPREEPPTTHPTAKTPIPSVFGAAITSNQGCNAPNCKTPVENAGKLGCGSATPSRHDHNMLDGMPTHLDRSTISAHR >ONIVA01G17810.1 pep chromosome:AWHD00000000:1:14767701:14768194:-1 gene:ONIVA01G17810 transcript:ONIVA01G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVKALLRASLPDVGHHLNQQGGVHLGLDQQPAAAPHRRHWQNKKRIGWSPLGMEVVCYFSFTRRLYDMNKNVFVFFSCLLDDGGLLLCNVEPISIPHRYTLP >ONIVA01G17800.1 pep chromosome:AWHD00000000:1:14763082:14764663:-1 gene:ONIVA01G17800 transcript:ONIVA01G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHRLEGGLLLLATVCCTTGDGMCAARQREPAAITLQSSVRIPVRFSSSRGQENLAEPAYRVDVREEIHARVRCQTANGGARWNGSDSSSISTENVSPCGLFTMRDETDRSAFAACFSIMAWQASRNVDVDLIEGDPGGASWIGYVIVDYIAETGKV >ONIVA01G17790.1 pep chromosome:AWHD00000000:1:14757812:14761669:-1 gene:ONIVA01G17790 transcript:ONIVA01G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVTSMVIGPLVSMVKEKASSYLLEQYKVMEGMEEQHEILKRKLPAILDVISDAEEQASHRQGAKAWLEALKKVAYEANDIFDEFKYEALRREAKKNGHYRGLGMDAVKLFPTHNRIMFRYTMGKKLRRIVQIIEVLVAEMNAFGFKYQRQSLASKQWRQTDSIIDYSEKDIVERSRETEKQKIVRSLLENNDIMVLPIVGMGGLGKTTFAKLIYNEPQIKEHFQLNRWVCVSDEFDLSKIASKISMTTNEKDCDNVLQKLQQEVSGKRFLLVLDDVWNRDVDKWSKLKTCLQQGAAGSVILTTTRLAEVAQIMGTVQAHNLTTLDNRFLWEIIERRAFYLKKEKPSELVDMVDKFVDRCVGSPLAARAVGSVLSNKTTPKEWNTLLSKSVIFDDDSGILPILKLSYDDLPSQMKLCFAFCAIFPKDYEIDVEMLVKLWMANDFIPSENGVGLEKVGNRIFNELARRSFFQDVDETSLFKMYRRDKLCQFRKTCKIHDLMHDIALYVMREECVTVMGRPNSIQLLKDSSRHLFSSYHRMNTLLDAFIEKRILPLRTVMFFGHLDGFPQHLLKYNSLRALCIPNFRGRPCLIQAKHLHHLRYLNLSHSWNMERLPEEISILYNLQTLDLSDCCSLRCLPKNMKYMTSLRHLYTQGCTDLECMPPELRKVTALQTLTYFVVGNSSDCSNVGEIHDLNLGGELELGKLENANEEQAIAANIKEKVDLTHLCFKWSNDIEKDPEHYQNVLGALRPHAKLQLLKVQSFKGTNFPTWMTDVCTFMNLTEIHLVDCPLCKEIPKFWKLPALEVLHLTGLNKLQSLCSGASDVIMCSAFQKLKKLKLQHLKSLKRWGTMEGKLGDEAIFPVLEDIHIKNCPELTVIPEAPKIGTLKLEENKPHLSLLVVGSRYMSLLSKMELSIDDIEAALIPDQSSVETLDDKDIWNSEASVTEMKLDGCNMFFPTTPSKPTVGLWKWCKYLQKLEIKSCDVLIHWPQREFQSLESLNELTVESCKNLKGIMPVDGEPIQGIGQLLPRLKFLGIRNCQELTEIFNLPWSLKTIDIYRCPRLKSIYGKQEDSESGSAHAEQLTTLLSKRMPDPSSSAAAAATEHLLPCLEHLNIGHCDSFTKVPDLPPSLQILHMYNCPNVRFLSGKLDALDSLYISDCKNLRSLGPCLGNLPSLTSLSIYRCKSLVSLPDGPGAYSSLETLEIKYCPAMKSLPGRLQQRLDSLEEKDLSNMRSSDPWEGIHSAFHFSFLRAVDPLCPGGMYRKSGILLNKLFFACCVLLN >ONIVA01G17780.1 pep chromosome:AWHD00000000:1:14736552:14750499:-1 gene:ONIVA01G17780 transcript:ONIVA01G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMLLGPLIALVNRQVSNYLLQQYQELDGMEEQLTILERKLPAILDVIIDAEEQGTHRPGVSAWLKALKAVAYKANDIFDEFKYEALRREAKRRGNHGNLSTSIVLANNPLVFRYRMSKKLRKIVSSIEDLVADMNAFGFRYRPQMPTSKQWRQTDSIIIDSENIVSREKEKQHIVNLLLTDASNRNLMVLPIIGMGGLGKTTFAQIIYNDPEIQKHFQLRKWVCVLDDFDVTSIANKISMSIEKECENALEKLQQEVRGKRYLLILDDVWNCDADKWAKLKYCLQQYGGVGSAILMTTRDQGVAQLMGTTKAHQLVRMEKEDLLAIFEKRAFRFDEQKPDELVQIGWEIMDRCHGSPLAAKALGSMLSTRKAVEEWRAVLTKSSICDDENGILPILKLSYDDLPSYMKQCFAFCAIFPKNYVIDVEMLILLWMANDFIPSEEAIRPETKGKQIFNELASRSFFQDVKEVPLHKDESGHSYRTICSIHDLMHDVAVSVIGKECFTIAEGHNYIEFLPNTVRHLFLCSDRPETLSDVSLKQRCQGMQTLLCIMNTSNSLLHYLSKCHSLRALRLYYHNLGGLQIRVKHLKHLRFLDLSGNCHIKSLPEEICILYNLQTLNLSGCISLGHLPKDIKNMIGLRHLYTDGCMSLKSMPPNLGHLTSLQTLTYFVVGNNSGCSSIGELRHLKLQGQLQLCHLQNVTEADVSMSSHGEGKDLTQLSFGWKDDHNEVIDLHEKVLDAFTPNSRLKILSVDSYRSSNFPTWVTNPTMMQDLIKLQLVSCTMCESLPQLWQLPSLEILHLEGLQSLQYLCSGVDNSTSSTFPKLRELILVDLKSLNGWWEVKGGPGQKLVFPLLEILSIDSCSNLENFPDAVIFGESSQFLDNKGNSPFPALKNLKLHNLKSLKAWGTQERYQPIFPQLENANIMECPELATLPEAPKLRVLVFPEDKSLMWLSIARYMATLSDVRLTIAASSSQVQCAIQQVSGTEEFSHKTSNATMELRGCYFFCMDWECFVNLQDLVINCCNELVYWPLKQLQCLVSLKRLTVYSCNNLTKSGDVLEAPLEKNQLLPCLEYIEIKDCPKLVEVLILPSSLREIYIERCGKLEFIWGQKDTENKSWYAENKDDLRSESYSILVSSADAPLSTNTHLPCLESLTVISCQSLVVLLNFPLYLKEIHIWSCPELRSIRGKQDIKVESKYVERNNGMAISESSSDLSASITIEDQGTWRSKYLLPCLEYLRIAYCVSLVEVLALPSSMRTIIISECPKLEVLSGKLDKLGQLDIRFCEKLKLVESYEGSFSSLETVSIVGCENMASSTMGELVTSMVIGPLVRMVKEKASSYLLEQYKAWKCSTRSSSASCRAILDVISDAEKQASEHREGAKAWLEELKAVAYEANEVFDEFKYEALRREAKKNGHYTKLGIDAKLLVSILLSQASNVKLTVLPIVGMGGLGKTTLAKLIYNEPEIQNHFQLMIWVCVSDNFDVASVARSIVDAVPKKGDKVTINENQTSALDELQKLVSGQRYLLVLDDVWNRDDEKWRKLKKCLEHGSTGSAVLATTREGGVAELMHTTDAYNLTALNNSVIKEIIDTAAFRPKKEIKPAELVQMDDKSLLSKKKEMIDQFVERCAGLPLAAKALGSVLYTKTSLEEWEAIDKDKLVQLWIANGLIPEIKDIRLETTGEGIFNELASRSFFQELKRVRFDKYRSNHGHCSRIICKMHDLMHDVATSIMGKECITKYPTERGSYSSATRHLLLSCDDPDNVMNDYLKKESPAIQTLLCDEPIFTSSSLQHSAKYRAVRALKLDQCRSLFLLQPKHLHHLSDLYRLPKQMKYMTALCHLHTHGCTSLKHMPPDLGKLTSLQTLTCFVAGTGSGCSNVGELQHLDISGQLELRQMENVNEEDARLMKLENKKLSTLSLVWNENDKEDRSYTNASDCHEKVIEALKPHDELRVLNVKSCKSSSFPSWMGMLKRLVEIDLDNCTMCQNIPQFWQLQDLQVLRLDGFHGLQYLCSIGQNSEIPSTFPKLKELKLTNLKSFNRWWEINERQEKLDFPQLEKLVIKGCGELTSLPTSDSNMSEPALPALKELELCDLNQFERWQAAEGTQDKPPTFPNLENISIVKCPELTSLPEAPKLSVLDIGNGSEQMLLCIPRYMASLSTLRLQHEGEETTPLTERFLIEWVDGKDNQNREYPLPVMKLVGFNVFFRSGAQALWACFAQLKDLVIISCEGLIHWPEREFQSLVALRTLVIQRCSKLKGFSPQALATSGVTINT >ONIVA01G17780.2 pep chromosome:AWHD00000000:1:14736552:14750499:-1 gene:ONIVA01G17780 transcript:ONIVA01G17780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMLLGPLIALVNRQVSNYLLQQYQELDGMEEQLTILERKLPAILDVIIDAEEQGTHRPGVSAWLKALKAVAYKANDIFDEFKYEALRREAKRRGNHGNLSTSIVLANNPLVFRYRMSKKLRKIVSSIEDLVADMNAFGFRYRPQMPTSKQWRQTDSIIIDSENIVSREKEKQHIVNLLLTDASNRNLMVLPIIGMGGLGKTTFAQIIYNDPEIQKHFQLRKWVCVLDDFDVTSIANKISMSIEKECENALEKLQQEVRGKRYLLILDDVWNCDADKWAKLKYCLQQYGGVGSAILMTTRDQGVAQLMGTTKAHQLVRMEKEDLLAIFEKRAFRFDEQKPDELVQIGWEIMDRCHGSPLAAKALGSMLSTRKAVEEWRAVLTKSSICDDENGILPILKLSYDDLPSYMKQCFAFCAIFPKNYVIDVEMLILLWMANDFIPSEEAIRPETKGKQIFNELASRSFFQDVKEVPLHKDESGHSYRTICSIHDLMHDVAVSVIGKECFTIAEGHNYIEFLPNTVRHLFLCSDRPETLSDVSLKQRCQGMQTLLCIMNTSNSLLHYLSKCHSLRALRLYYHNLGGLQIRVKHLKHLRFLDLSGNCHIKSLPEEICILYNLQTLNLSGCISLGHLPKDIKNMIGLRHLYTDGCMSLKSMPPNLGHLTSLQTLTYFVVGNNSGCSSIGELRHLKLQGQLQLCHLQNVTEADVSMSSHGEGKDLTQLSFGWKDDHNEVIDLHEKVLDAFTPNSRLKILSVDSYRSSNFPTWVTNPTMMQDLIKLQLVSCTMCESLPQLWQLPSLEILHLEGLQSLQYLCSGVDNSTSSTFPKLRELILVDLKSLNGWWEVKGGPGQKLVFPLLEILSIDSCSNLENFPDAVIFGESSQFLDNKGNSPFPALKNLKLHNLKSLKAWGTQERYQPIFPQLENANIMECPELATLPEAPKLRVLVFPEDKSLMWLSIARYMATLSDVRLTIAASSSQVQCAIQQVSGTEEFSHKTSNATMELRGCYFFCMDWECFVNLQDLVINCCNELVYWPLKQLQCLVSLKRLTVYSCNNLTKSGDVLEAPLEKNQLLPCLEYIEIKDCPKLVEVLILPSSLREIYIERCGKLEFIWGQKDTENKSWYAENKDDLRSESYSILVSSADAPLSTNTHLPCLESLTVISCQSLVVLLNFPLYLKEIHIWSCPELRSIRGKQDIKVESKYVERNNGMAISESSSDLSASITIEDQGTWRSKYLLPCLEYLRIAYCVSLVEVLALPSSMRTIIISECPKLEVLSGKLDKLGQLDIRFCEKLKLVESYEGSFSSLETVSIVGCENMASSTMGELVTSMVIGPLVRMVKEKASSYLLEQYKAWKCSTRSSSASCRAILDVISDAEKQASEHREGAKAWLEELKAVAYEANEVFDEFKYEALRREAKKNGHYTKLGIDAVKLFPTHNRVVFRYKMGRKLCRIVQNIEVLIAEMNAFGFKFEPQSIESKEWRLTDSDIFDPMNIASRSRDEDKKLLVSILLSQASNVKLTVLPIVGMGGLGKTTLAKLIYNEPEIQNHFQLMIWVCVSDNFDVASVARSIVDAVPKKGDKVTINENQTSALDELQKLVSGQRYLLVLDDVWNRDDEKWRKLKKCLEHGSTGSAVLATTREGGVAELMHTTDAYNLTALNNSVIKEIIDTAAFRPKKEIKPAELVQMDDKSLLSKKKEMIDQFVERCAGLPLAAKALGSVLYTKTSLEEWEAVLRSSSICTKETGILPILKLSYDNLPALLSVLFFLNYEIDKDKLVQLWIANGLIPEIKDIRLETTGEGIFNELASRSFFQELKRVRFDKYRSNHGHCSRIICKMHDLMHDVATSIMGKECITKYPTERGSYSSATRHLLLSCDDPDNVMNDYLKKESPAIQTLLCDEPIFTSSSLQHSAKYRAVRALKLDQCRSLFLLQPKHLHHLSDLYRLPKQMKYMTALCHLHTHGCTSLKHMPPDLGKLTSLQTLTCFVAGTGSGCSNVGELQHLDISGQLELRQMENVNEEDARLMKLENKKLSTLSLVWNENDKEDRSYTNASDCHEKVIEALKPHDELRVLNVKSCKSSSFPSWMGMLKRLVEIDLDNCTMCQNIPQFWQLQDLQVLRLDGFHGLQYLCSIGQNSEIPSTFPKLKELKLTNLKSFNRWWEINERQEKLDFPQLEKLVIKGCGELTSLPTSDSNMSEPALPALKELELCDLNQFERWQAAEGTQDKPPTFPNLENISIVKCPELTSLPEAPKLSVLDIGNGSEQMLLCIPRYMASLSTLRLQHEGEETTPLTERFLIEWVDGKDNQNREYPLPVMKLVGFNVFFRSGAQALWACFAQLKDLVIISCEGLIHWPEREFQSLVALRTLVIQRCSKLKGFSPQALATSGVTINT >ONIVA01G17780.3 pep chromosome:AWHD00000000:1:14738356:14750499:-1 gene:ONIVA01G17780 transcript:ONIVA01G17780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMLLGPLIALVNRQVSNYLLQQYQELDGMEEQLTILERKLPAILDVIIDAEEQGTHRPGVSAWLKALKAVAYKANDIFDEFKYEALRREAKRRGNHGNLSTSIVLANNPLVFRYRMSKKLRKIVSSIEDLVADMNAFGFRYRPQMPTSKQWRQTDSIIIDSENIVSREKEKQHIVNLLLTDASNRNLMVLPIIGMGGLGKTTFAQIIYNDPEIQKHFQLRKWVCVLDDFDVTSIANKISMSIEKECENALEKLQQEVRGKRYLLILDDVWNCDADKWAKLKYCLQQYGGVGSAILMTTRDQGVAQLMGTTKAHQLVRMEKEDLLAIFEKRAFRFDEQKPDELVQIGWEIMDRCHGSPLAAKALGSMLSTRKAVEEWRAVLTKSSICDDENGILPILKLSYDDLPSYMKQCFAFCAIFPKNYVIDVEMLILLWMANDFIPSEEAIRPETKGKQIFNELASRSFFQDVKEVPLHKDESGHSYRTICSIHDLMHDVAVSVIGKECFTIAEGHNYIEFLPNTVRHLFLCSDRPETLSDVSLKQRCQGMQTLLCIMNTSNSLLHYLSKCHSLRALRLYYHNLGGLQIRVKHLKHLRFLDLSGNCHIKSLPEEICILYNLQTLNLSGCISLGHLPKDIKNMIGLRHLYTDGCMSLKSMPPNLGHLTSLQTLTYFVVGNNSGCSSIGELRHLKLQGQLQLCHLQNVTEADVSMSSHGEGKDLTQLSFGWKDDHNEVIDLHEKVLDAFTPNSRLKILSVDSYRSSNFPTWVTNPTMMQDLIKLQLVSCTMCESLPQLWQLPSLEILHLEGLQSLQYLCSGVDNSTSSTFPKLRELILVDLKSLNGWWEVKGGPGQKLVFPLLEILSIDSCSNLENFPDAVIFGESSQFLDNKGNSPFPALKNLKLHNLKSLKAWGTQERYQPIFPQLENANIMECPELATLPEAPKLRVLVFPEDKSLMWLSIARYMATLSDVRLTIAASSSQVQCAIQQVSGTEEFSHKTSNATMELRGCYFFCMDWECFVNLQDLVINCCNELVYWPLKQLQCLVSLKRLTVYSCNNLTKSGDVLEAPLEKNQLLPCLEYIEIKDCPKLVEVLILPSSLREIYIERCGKLEFIWGQKDTENKSWYAENKDDLRSESYSILVSSADAPLSTNTHLPCLESLTVISCQSLVVLLNFPLYLKEIHIWSCPELRSIRGKQDIKVESKYVERNNGMAISESSSDLSASITIEDQGTWRSKYLLPCLEYLRIAYCVSLVEVLALPSSMRTIIISECPKLEVLSGKLDKLGQLDIRFCEKLKLVESYEGSFSSLETVSIVGCENMASSTMGELVTSMVIGPLVRMVKEKASSYLLEQYKAWKCSTRSSSASCRAILDVISDAEKQASEHREGAKAWLEELKAVAYEANEVFDEFKYEALRREAKKNGHYTKLGIDAVKLFPTHNRVVFRYKMGRKLCRIVQNIEVLIAEMNAFGFKFEPQSIESKEWRLTDSDIFDPMNIASRSRDEDKKLLVSILLSQASNVKLTVLPIVGMGGLGKTTLAKLIYNEPEIQNHFQLMIWVCVSDNFDVASVARSIVDAVPKKGDKVTINENQTSALDELQKLVSGQRYLLVLDDVWNRDDEKWRKLKKCLEHGSTGSAVLATTREGGVAELMHTTDAYNLTALNNSVIKEIIDTAAFRPKKEIKPAELVQMDDKSLLSKKKEMIDQFVERCAGLPLAAKALGSVLYTKTSLEEWEAVLRSSSICTKETGILPILKLSYDNLPALLSVLFFLNYEIDKDKLVQLWIANGLIPEIKDIRLETTGEGVEASPI >ONIVA01G17770.1 pep chromosome:AWHD00000000:1:14735241:14736502:-1 gene:ONIVA01G17770 transcript:ONIVA01G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYDNPKLESIFGQQRHGPAGPCSDNIVSAPVLEPSSPAGDHFSPPESLESPHSGELPSLVQLNLHHCKSLASLPDSPQAYSSLQQLIINECPALKVLPTCLRQQLPSLKRKYLDAHHEGPKLMKPKTWKYAICT >ONIVA01G17760.1 pep chromosome:AWHD00000000:1:14725215:14726381:-1 gene:ONIVA01G17760 transcript:ONIVA01G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALAAAEAEAEAEAYAGGEAEAAVHARSPSPELHHGHLPCPPMDEKEMCRSHHCDLRMGAFTLGVNRVARATRISGDEAVDDHVTELALDDTVVAQHGLLLEPGLLERAPRRRVVDVDERLGKRISGDGVDLGSGGRGRRACGGRGDGGGRRAAAEMESALTAPAEAERLGKGGGGAGRGAAAAETLSPCATRKEEAGKE >ONIVA01G17750.1 pep chromosome:AWHD00000000:1:14724593:14725613:1 gene:ONIVA01G17750 transcript:ONIVA01G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRKTQPRRDDDVGFRRRCPPAGAAPALPQPFGLRRRCQGRLHLRCRASASAVAAAAARASASATTAEVDSISADPLAEALVDVDNAASRRALEKAGFQQEAVLRNYCVVKGQLSDMVIYSFISTDPLVE >ONIVA01G17740.1 pep chromosome:AWHD00000000:1:14717365:14722289:-1 gene:ONIVA01G17740 transcript:ONIVA01G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVTSIVVKPLLSMVKDKVSSYLLQEYRVMEGLEEQHKILKRKLPAILDVISDAEKQASEQREGAKAWLEELKTVAYEANDIFDEFKYEALRREAKKNGHYTALGFDVVKLFPTHNRVMFRYRMGKRLCKIVHDIEVLVTEMNAFRFRFQPQPLVSMQWRQTDSEIFDPTNIISKSRSQEKLKIVNILLGQASNPDLLVLPIVGIGGLGKTTLAQLVYNDSEIQKHFQLLVWVCVSDPFDVDSIAENIVKLADRSKEVKEDGKHQIDYHVSQVTKDKPLQKLQKLVSCQRYLLVLDDVWSRDADKWEKLKASLQHGSIGSAVLTTTRDEQVAQLMQTTDAYNLTALENSIIKEIIDTRAFSLRKDEKPNEQVEMIDKFVNRCVGSPLAATALGSLLRTKETVQEWQAILMRSSICNEETGILHILKLSYDDLPSYMKQCFAFCAMFPKDYVIDVDNLIHVWMANGFIPDEKNVPLETIGNYIFHELASRSFFQDMKQVPFQEYGSKHGNCYRRLCRIHDLMHDVALSVMGNECFSITENPSQKEFFPSTVRHILLSSNEPDTTLNDYMKKRCQSVQTLLCDVLVDRQFQHLAKYSSVRALKLSKEMRLIQLKPKILHHLRYLDLSNTYIKALPGEISILYSLQTLNLSDCYCLRRLPKQMKYMTSLRHLYTHGCLNLKHMPPDFRKLTSLQTLTCFVVGSGSKCSNVGELQKLDIGGHLELHQLQNVRESDAIHTKLDSKRKIMELSLVWDNEEPRNETADSSHNKVMEALRPHDNLLVLKVAFYKGTTLPSWVSMLEGLIELDLSTSYTRCENIPQLWQLQYLQLLRLAGFDRLQYLCSIGENSTTCSIFPKLKELTLENLKSFKGWWDKTERQEQPSCDNDNNKTPTALPNFPQELQLIELNKIDRCQQVEATHVKTPMFPNLENIRIRDCPKLASLPEARKLSVLHITKGSQQLLFCIPRYITSLSTLSLLQGRVETAPPAEHNLIEWVDDNENWKGESPLADMRLDNFNMFFLSGAHALWTCFAQLIVLRICRCDVLIHWPEKEFQGLVSLKTLGIVSCNKLKGYAQAPERSTSGGGQLLTRLESLTIIECKSLVEVFNTPPSLKYLHIRRCPELKSIFGKQRRGSTLIEGPCSDNIVSAPVLEPSSPAGDHFSPPESLESPHSGELPSLVQLNLHHCKSLASLPDSPQAYSSLQQLIINECPALKVLPTCLRQRLGSLERKELDARHEERFLQSDK >ONIVA01G17730.1 pep chromosome:AWHD00000000:1:14708381:14710561:1 gene:ONIVA01G17730 transcript:ONIVA01G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLYVDDDDNSFCGWLYLHPNLSSKIRIRKILSKFGEYRFASRSWYDCRMWASQTAACVPIKLAESDLESVFVRPRNSFFGWHISGFC >ONIVA01G17720.1 pep chromosome:AWHD00000000:1:14704030:14706476:1 gene:ONIVA01G17720 transcript:ONIVA01G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLJ5] MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSVKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHAFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >ONIVA01G17710.1 pep chromosome:AWHD00000000:1:14697729:14703839:-1 gene:ONIVA01G17710 transcript:ONIVA01G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G02910) TAIR;Acc:AT1G02910] MAAAGASALRPHLALLPAGGGGGGGGGTRSYALQALSFVSPLLSHCGRRRRCVLRSKASSSPSPPPSPGKEAVAVPTAESCVNLGLELFSKGRVKDALEQFENALELNPNPVEAQAALYNKACCHAFREESNKAADCLRTALRDYNLKFGTILNDPDLAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVALTAAAGISTFFTIPRLILAVQGGDGAPDLLETVGNAAINIGGIVVLVALYFWENKKEEQQITQISRNETLSRLPVRLSTNRIIELVQLRDITRPVILAGSKASITRAMQRAERYRTELLKRGVLLIPVIFGASQKAQSKPRGFGSSRSAASAPSVGDDFEKRTESIAAKSRLKAEERFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGRGMPNWNDILQELPRLEELLSKLER >ONIVA01G17700.1 pep chromosome:AWHD00000000:1:14688543:14688893:-1 gene:ONIVA01G17700 transcript:ONIVA01G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAIVAAPALLLLALLIALATGGADAAPGEVPLSWELGVVGADDAFGFPGEEAADSATAVVRRVLQQGSYISYGALRRDTTPCSVRGASYYNCQPGAEANPYSRGCSAITQCRG >ONIVA01G17690.1 pep chromosome:AWHD00000000:1:14685492:14691083:1 gene:ONIVA01G17690 transcript:ONIVA01G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSDCNFGSSHGSTIQQLNEEGDNQI >ONIVA01G17690.2 pep chromosome:AWHD00000000:1:14685492:14691083:1 gene:ONIVA01G17690 transcript:ONIVA01G17690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSDCNFGSSHGSTIQQLNEEGDNQI >ONIVA01G17680.1 pep chromosome:AWHD00000000:1:14684483:14686356:-1 gene:ONIVA01G17680 transcript:ONIVA01G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAATVAAVAAACVLALLFLALAAGAGQAPASWEWERELGPRGRLALYSTHPLSTPSG >ONIVA01G17670.1 pep chromosome:AWHD00000000:1:14682929:14683276:-1 gene:ONIVA01G17670 transcript:ONIVA01G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLPAASALLLALLLAVAGGAAAAGEVPLAWELGVGGGGGEEDSFGFSSEDAAADGAAVVRRVLQGQGYISYGALRRDTTPCSVRGASYYNCRPGGQANPYSRGCSAITRCRG >ONIVA01G17660.1 pep chromosome:AWHD00000000:1:14673328:14682741:-1 gene:ONIVA01G17660 transcript:ONIVA01G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPCYDTAEDWFMANLQYWYYSWYQTGLRLRQATWGPLNRMKNPQNDSVAADLPCWCGVALVLAVGIFVEEETSNSYLSEFKKSIEKKEMSYQTKEEEKI >ONIVA01G17650.1 pep chromosome:AWHD00000000:1:14673130:14678736:1 gene:ONIVA01G17650 transcript:ONIVA01G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSILVRTFAGNTSSKFNKVALLKLSRWLSGSTPNTNSLSSKKHNFDKSALLFQGCADVRFLKKIHANVFTHGLCWDVILGSKILSCYANLGALHESRLVFQKIVNDDISLWNSAMVDYFRAGYPEEVIILYKRLKLNQIGFNGKTITFVMKSCTELKNLYLGKGVHADSLKLALSGNKFVGSSLIGLYSKFSKTNDSRGVFEEIINKDIVAYTSMITGYSETVDSIAWNAFEIATDMLQNNLEINRVTLVSLLQIAGNLGALQEGKSLHCYSIRRAIGVSDDILETSIVNFYTRCGAYQSAATVLQNSKGTVASWNALLSGLNRAGQSFNAIQYLPVMLHEHKVTPDSVTFANVLSACAELCYFCFAASIHAYFIRRFIPMDVVLTTALIEVYTKCTRVMRSKYLFDQLIIKDVVSYNAMIYGYLQNDMANEATSLLNYMMAEGVAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDVDVENQILYMYSACGKIAAARAIFDSLEKKNLVSWTAMMKGCLSNGHADEVVQLFQVMQKYGEKPDSVSLVTAVQAVSDLGHLNGLKQIHCFVYRSLLEKDKITANSLISAYAKCGKLDLSAGLFFSLKYRNLDTWNAMISAYAMHGFHINVLEMFKQMEEENIQPDELTFSTVLTACSHAGLVKDGWRIFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCALLSACRTHGNTRLAHAISKELLEHGPQNPGIYALISEVYAQEGQWNEVANTKARADLSGLKKHPGSSLIESMEQGMS >ONIVA01G17650.2 pep chromosome:AWHD00000000:1:14673130:14678505:1 gene:ONIVA01G17650 transcript:ONIVA01G17650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSILVRTFAGNTSSKFNKVALLKLSRWLSGSTPNTNSLSSKKHNFDKSALLFQGCADVRFLKKIHANVFTHGLCWDVILGSKILSCYANLGALHESRLVFQKIVNDDISLWNSAMVDYFRAGYPEEVIILYKRLKLNQIGFNGKTITFVMKSCTELKNLYLGKGVHADSLKLALSGNKFVGSSLIGLYSKFSKTNDSRGVFEEIINKDIVAYTSMITGYSETVDSIAWNAFEIATDMLQNNLEINRVTLVSLLQIAGNLGALQEGKSLHCYSIRRAIGVSDDILETSIVNFYTRCGAYQSAATVLQNSKGTVASWNALLSGLNRAGQSFNAIQYLPVMLHEHKVTPDSVTFANVLSACAELCYFCFAASIHAYFIRRFIPMDVVLTTALIEVYTKCTRVMRSKYLFDQLIIKDVVSYNAMIYGYLQNDMANEATSLLNYMMAEGVAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDVDVENQILYMYSACGKIAAARAIFDSLEKKNLVSWTAMMKGCLSNGHADEVVQLFQVMQKYGEKPDSVSLVTAVQAVSDLGHLNGLKQIHCFVYRSLLEKDKITANSLISAYAKCGKLDLSAGLFFSLKYRNLDTWNAMISAYAMHGFHINVLEMFKQMEEENIQPDELTFSTVLTACSHAGLVKDGWRIFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCALLSACRTHGNTRLAHAISKELLEHGPQNPGIYALISEVYAQEGQWNEVANTKARADLSGLKKHPGSSLIESMEQGMS >ONIVA01G17650.3 pep chromosome:AWHD00000000:1:14673394:14678505:1 gene:ONIVA01G17650 transcript:ONIVA01G17650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSILVRTFAGNTSSKFNKVALLKLSRWLSGSTPNTNSLSSKKHNFDKSALLFQGCADVRFLKKIHANVFTHGLCWDVILGSKILSCYANLGALHESRLVFQKIVNDDISLWNSAMVDYFRAGYPEEVIILYKRLKLNQIGFNGKTITFVMKSCTELKNLYLGKGVHADSLKLALSGNKFVGSSLIGLYSKFSKTNDSRGVFEEIINKDIVAYTSMITGYSETVDSIAWNAFEIATDMLQNNLEINRVTLVSLLQIAGNLGALQEGKSLHCYSIRRAIGVSDDILETSIVNFYTRCGAYQSAATVLQNSKGTVASWNALLSGLNRAGQSFNAIQYLPVMLHEHKVTPDSVTFANVLSACAELCYFCFAASIHAYFIRRFIPMDVVLTTALIEVYTKCTRVMRSKYLFDQLIIKDVVSYNAMIYGYLQNDMANEATSLLNYMMAEGVAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDVDVENQILYMYSACGKIAAARAIFDSLEKKNLVSWTAMMKGCLSNGHADEVVQLFQVMQKYGEKPDSVSLVTAVQAVSDLGHLNGLKQIHCFVYRSLLEKDKITANSLISAYAKCGKLDLSAGLFFSLKYRNLDTWNAMISAYAMHGFHINVLEMFKQMEEENIQPDELTFSTVLTACSHAGLVKDGWRIFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCALLSACRTHGNTRLAHAISKELLEHGPQNPGIYALISEVYAQEGQWNEVANTKARADLSGLKKHPGSSLIESMEQGMS >ONIVA01G17640.1 pep chromosome:AWHD00000000:1:14664678:14665474:1 gene:ONIVA01G17640 transcript:ONIVA01G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFMEGGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFHPRKHQPTGFGGLELVAAGSPTAGQVARSGWVVGSCEDRGGSGIW >ONIVA01G17630.1 pep chromosome:AWHD00000000:1:14664354:14664650:1 gene:ONIVA01G17630 transcript:ONIVA01G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIATAHHHQHRLPPWPPPPPCSASSLRPPPHAAASCRRPPGIRARPVQSSTVSAPSSSTPAADEAMSAERLEPRVEQREGRYWVLKEKYQAGLNP >ONIVA01G17620.1 pep chromosome:AWHD00000000:1:14662478:14663515:1 gene:ONIVA01G17620 transcript:ONIVA01G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANKHFLSLSVLIVLLGLSSNLAAGQVLFQGFNWESWKENGGWYNLLMGKLGGRHRRRRHHPRLAPSAVPLCRRARSGALSIPSIDATEPSFAVAEIWTSMANGGDGKPNYDQNAHRQELVNWVDRVGGANSNATAFDFTTKGILNVAVEGELWRLRGEDGKAPGMIGWWPAKATTFVDNHDTGSTQHLWPFPSDKDMPTSSPTPATHASSTTISSTGVSRMRSSASCQSETGRGSTRRGGAVAGSCRVEKGRCCQRWKPREGNLRMCPWPGVFRASLFTQ >ONIVA01G17610.1 pep chromosome:AWHD00000000:1:14646935:14647702:-1 gene:ONIVA01G17610 transcript:ONIVA01G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLI1] MCYKYTSNLTHQYLFDIHPVQRTGIFASSFKNLNSNLKFAGEISEKENKKQIATLALLGSHTSCSCCITNSLQAAAAILNTPTDSKSPQSKNKYSSEVMHVAVYKSGEAYRGKKVLVVGCGNSGMVVSLDLCDHSALPAMVVRDAVHVLPGEVLGKSTFELAVLLMAWLPLWLVDKILVLLAWFVLGNLAKLGIRCPTTGRLGAMGRRLGGGRAAPAVRRPALRRRLATRRDGDFFDLDLDAVCVWRNEGRQRAF >ONIVA01G17600.1 pep chromosome:AWHD00000000:1:14646704:14657241:1 gene:ONIVA01G17600 transcript:ONIVA01G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTGENKKPFNNSTRQNDVTVYPSSYSVPAQPNEQYPPGQGHGHPRLKATTLKDVVGGVAEVLQANKLATKEDADKVAATAMQNDGRHAAEKRQRERRPPSLSLPLVLAPIPLVFPLPAAEEAAATASAAPTGRIGQWRWRRRLPLANPAGPASAHLILNSPRASNSAPAPPPSSAINSHTRRPHVHPHRHSAPPPPPPPPPPSPAMASSASLQRFLPPYPHAAASRCRPPGVRARPVQSSTVSAPSSSTPAADEAVSAERLEPRVEQREGRYWVLKEKYRTGLNPQEKVKLGKEPMSLFMEGGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKEGCADVTTRQNWQIRGVTLPDVPAILDGLNAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRSYTNLLSSYITSNFQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKGGKFGFNLLVGGFISPKRWEEALPLDAWVPGDDIIPVCKAVLEAYRDLGTRGNRQKTRMMWLIDELGMEAFRSEVEKRMPNGVLERAAPDDLIDKKWQRRDYLGVHPQKQEGMSYVGLHVPVGRVQAADMFELARLADEYGSGELRLTVEQNIVIPNVKDEKVEALLAEPLLQKFSPQPSLLLKGLVACTGNQFCGQAIIETKQRALLVTSQVEKLVSVPRAVRMHWTGCPNSCGQVQVADIGFMGCLTKDSAGKIVEAADIFVGGRVGSDSHLAGVYKKSVPCDELAPIVADILVERFGAVRREREEDEE >ONIVA01G17600.2 pep chromosome:AWHD00000000:1:14649171:14657241:1 gene:ONIVA01G17600 transcript:ONIVA01G17600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLQRFLPPYPHAAASRCRPPGVRARPVQSSTVSAPSSSTPAADEAVSAERLEPRVEQREGRYWVLKEKYRTGLNPQEKVKLGKEPMSLFMEGGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKEGCADVTTRQNWQIRGVTLPDVPAILDGLNAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRSYTNLLSSYITSNFQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKGGKFGFNLLVGGFISPKRWEEALPLDAWVPGDDIIPVCKAVLEAYRDLGTRGNRQKTRMMWLIDELGMEAFRSEVEKRMPNGVLERAAPDDLIDKKWQRRDYLGVHPQKQEGMSYVGLHVPVGRVQAADMFELARLADEYGSGELRLTVEQNIVIPNVKDEKVEALLAEPLLQKFSPQPSLLLKGLVACTGNQFCGQAIIETKQRALLVTSQVEKLVSVPRAVRMHWTGCPNSCGQVQVADIGFMGCLTKDSAGKIVEAADIFVGGRVGSDSHLAGVYKKSVPCDELAPIVADILVERFGAVRREREEDEE >ONIVA01G17600.3 pep chromosome:AWHD00000000:1:14649171:14657241:1 gene:ONIVA01G17600 transcript:ONIVA01G17600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLQRFLPPYPHAAASRCRPPGVRARPVQSSTVSAPSSSTPAADEAVSAERLEPRVEQREGRYWVLKEKYRTGLNPQEKVKLGKEPMSLFMEGGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKEGCADVTTRQNWQIRGVTLPDVPAILDGLNAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRSYTNLLSSYITSNFQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKGGKFGFNLLVGGFISPKRWEEALPLDAWVPGDDIIPVCKAVLEAYRDLGTRGNRQKTRMMWLIDELGMEAFRSEVEKRMPNGVLERAAPDDLIDKKWQRRDYLGVHPQKQEGMSYVGLHVPVGRVQAADMFELARLADEYGSGELRLTVEQNIVIPNVKDEKVEALLAEPLLQKFSPQPSLLLKGLVACTGNQFCGQAIIETKQRALLVTSQVEKLVSVPRAVRMHWTGCPNSCGQVQVADIGFMGCLTKDSAGKIVEAADIFVGGRVGSDSHLAGVYKKSVPCDELAPIVADILVERFGAVRREREEDEE >ONIVA01G17590.1 pep chromosome:AWHD00000000:1:14637553:14642109:-1 gene:ONIVA01G17590 transcript:ONIVA01G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPPFPPLLLLVAVIFSFLSSSCFAVTSHEAAAIARRQLLNFEKHGDHVHIDIDIEIKVSNPRLAAAHRALHALKRALYSDPGNFTGDWAGPDVCAYNGVLCAPSPDNASASAVASLDMNAADVAGYLPREIGLLSDLAVLHLNSNRFCGVIPEEVANMTRLYELDVSNNRLVGAFPGAVLRVPELSYLDIRFNDFDGPIPPELFLRPYDAIFLNNNRFTSGIPDTIGRSTASVIVLANNDLGGCIPPTIGQAAATLDQFVFLNNSLTGCLPLESGLLANATVFDVSHNLLTGAIPATMGGLAKVEQLDLSHNTFTGVVPGDVCGLPALMNLSVSYNFFAGEDAQCSSALLDAKLDKSLEDEANCMGNVRPMQRSAGECAPVVSHPVDCSKTKPCGWPAPAKKPAPESSKHSPPPPPPPAPIFNCSTLDSSYGLLRKIRSAVNKIGVIRDGPEFYDYISIGRFFGLIEMTSL >ONIVA01G17580.1 pep chromosome:AWHD00000000:1:14617161:14633728:1 gene:ONIVA01G17580 transcript:ONIVA01G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLVTDVPVVDGIMDIENYAPDMVYVEPEKEKHEEQNVLSMSEAKITKLEEGKHTIAGEGNEFHQEELEYSAENPITAGSIEVSDLALSSIQSIQCEESDQLKLVRAYVESDGMTIVEAKIQKEQSSPKDIEVEVVGLQDISGLKLELDVLGLEEQNIRCSQVEKFQDKTEFVADKYHERHLDHVELVVPGNDKEHDALYVVEPSASLLDDEGTNFVEDDKLAHAKLESLLKNVSENRVESLVQEEANTNETELLEPDMKSNADLKAIRIVKCKESDDMKLCKEDCISDCLAMEEDDMIKATSAVNDNGVSVVIHEAKDDNKNVFEDHGMIEDKTYIVPQKYFEPEKFSIEFKNLNGEAEEDKQKEILVLQDKSNMMQDKKDQNNLEQTDSNGGEEELHVKDKDLVALEVEESELKVTGLVAVSDIELRTTEAIRCEESDRVKLTRDDLESDCSAMHTDKVLRDASLGKNFEESGANLAAADDDKNHIVDWGMKEENSISLQVETIEDKTNFMLEKFSEPEKVYTGCEKLNNEKEEQEENDKINTLKDEKDHNTFEHTDSIKGEVQLPDKDKNLVTSETEGSVLEVMHSVSLSYAELRSIETLQGEEGDGVKSMEDFLKSDCMIVQANVHNELSTPTNVDVEEVDLHDDETFYIGEPKAVKLEDVNETNIEEDDKMVLEEVESPIKNDNKMILVEVESPVKNVCLETLETERDILLEDEKEMEVGHVNECSEIEVGLMVKEVVNKIELEEADSETKSNADKKPIQILQFEHMDEAEFGADDSKSNCLAVNADNVQRDASLRKNIEESSASLDGADDEKNDMAYCGMKEANTMSLHVETMEEKTNFTPEKSSVPGKNYTEFEKLNDEGEEEEQNDKIITLKDEKDHNTFEHTYSIGGEVELLVKDENLVMLEAERSVPEVMDIIAVSDAELRLIEHPHCEVTDAVKSAGDYLESDYMILEGNLHNEPSTPTEVGVETADLQDETFYIGEPKATKLEDEDEPNTEKNDKMVVGEVETPMKNVSLESLETTENITSEDENEIKAGHLCESSENDIRFPEKEDTNKIDLEVADSVMDQKPIKILQWEHMEEAEYGTADSKSDLLTMYADKVQRDASRGMNVEESGASLEGVDGGKNDVANWGVKEGNNLILQVETMEEEINFMSEKFSDPKKFYTRFEKENDKIEEQEENDKSSTLNDKKDENTLEHTDSVGGDVKLLVKDESLVTLEAKASALEVMDLVLVSDVELTSNETLQCEESDGAKSTGDYLEDETSTPTDVEVEEVDLQDETFYIKEPKVAKLEDEMETNTEEKDKIIVVEVESPVKHLNLETGENIKLEDEKEMEVGHLNKSRGNEMEFLVKEDAKITELAQTNLDIKNSANQRAIQNIQCINMDEAEFVTDDLKSDCLAMHADNVQRDASLTKNIEESSASLEGVDDEKNNMADCRMEVGNTMSLQVVTMKEKTNFMLENSSVQENDNTGFETLNDKREEQVKSIKLNPLKDENNHNTFEHTYLIEGNVELHVKDEKLVTLETEGSELEVMDTILVSDAELRLMEPPQCEEIDAMKSTGDFSESHCLILEANVHNEPSTSTDDVVEAADLQDETFYIEEPKEAKLADENDKMVTVEMESLVKNLSLELLESEKNVMLEYEKEMKVGHVGQSDENDVGLLVEEDAKKIKLEEADSDIKSNVDKKAFQILQCEYMDEAKFGIVDSKSNYLAVHEDNVQREASLTNNVQESSASLDSADDEKNNIADCGMKERNTMSLQVGMMEENTSFIQVQSSGLEKDCTRFEKLNDEREEEEKNDKTNTLKEEKDHNTFEHTYSIGEGVELLVKHENLVTLEAEGSVLEVMDTILVSHAELRLMEPPQCEEIDAMKSTGDYLESYCTILEANVQNKSSTPTNFEVEVTDLQDETLYIGEPKASKLEDHSEPNTEENDKMVVVEVESPLKNVRLGSMETREYITLEEKEMDVGHLGASSGNDFQFLEKEDTHKIELVEADSSITCNDDQKPIKKLQWEHMEEAKLGTTKRSALEVMNSVLGSDVELSSIETLQCEESDRAKLTRDYLERDCVIVEANVQDEPSTPTDVEVEEVDLQDETSYIGEFKDAKLEDEKETISEEINKMVAVEVESSVNKLCLETTENITLEDEKQMGVGHLDKSSGDEVFSVKELTNKSELVHADLDINNNSKQKAIQNLQCIKIDEAELVAVDSKSDCLAMHAGNVEVDASMTKNIEESGACLEGANNSKNDVVDFRMKEGNNMSSQGETMEEKTNFMLEKYSVSEKDYKRSEKVNDVEEEQEENDKTSTLKEEKDHNTFEHAYSIRGEVGLLMKDVTLVTLEVDRSALNILDSALVSDDALRLMETPQCEQSEGVKSTGNYLESNCVTEEANVDNKPSTPIDVEVEVTNLQDQMLCIGEPKAAKLEDQNEPNTKENNKMVGVVVDSPEKHTSLETLETREHVTSEDEEEIDVGHLGESSGNDTGFLDKEDTNKIELQEADSGIQCNDDQKPIKILLWEHMEEAELGTAEGSTLEVTDSVLVSDVELSSIETVQCEESDGAKSTEDYFESDCMMEQANVQDESSTPTYVEVEEVGLPDETSYIGDPNSAKLEDEKQTVTEENDKMVIVKVESSVKNLSLEVAENITLEDEKEMEVGNLGKSSGDEVFPVKEDANITELAQAGSDIKKKSGQKAIQNLQRIEMDEAEFVTVDSKSDCLAVNSGSVQIDASLTENIEESVASLEGADDAKNVVVGCWMKEGNTMSMQVEMMEKTNFMHEKSSVLENIYTIFEQMNDEGGEEEAKEKTNTLKDVKDHYTFDHTNSIGGEMELLVKDENLVTLGAEGSALDFLDSVLMSDTELRFVEPLQYEESDGVELTGDYSKIDCVIVDANVHNEPSTPIDVEVEATDLQDETFYIGHPKVAKLEDKNETNTKENNKMVGVEVDSPLKNISLETMQTRKNVILEDEEEIEVDHLNESSGNEVGFIGGEVDLLVEDENLVTLGAEESALEVMDSVSVSYAELRSIKTFRCEESDGVKLTKDYLESDCMIVPSDVHYELSSPRNVEVEAVDLRDETFYIGETKAAKLEEKNETNTKEDDKMVLVEVESPVKNEDKTDLVELESFVKNVSLETFGTEENTMSEYVKEMEVEHFDESNENDLGFLVKEDAQNIELEEINSDKKSNANKMSIQILQLEHIDETEFGTDKSKSDCLSVHLGKLQRDSSGRNNVEESGASLDSANDEKIDMADHGMKEGNTVSLQVDMMEVKTNFMPKKCTVPEKDYAGFENLNDEGEEQEENDKSNTLKDEKHHNTFVLKDSAGEEVELRVKGENLVTLETEGSALEVMDSILVSGVKLRLIEPLQCEESDGVELMEDYSESDCMIENANVNNESSTPIDVEVETVDIQDETFYIGEPKTSKLEEGNKTNTEENDKIVVVEVEPPVNNLSSETLETRENITTKDEEEMEVGHLNESSGIEVGFLMKEDTNKIKLLEADSNIKNNADKKDIQILQYEHTDESEFGTNDPKSDSLFVHVDMVQRDASLGMNIEECGASLEVADNEKKDMADCGMKEGNTTRLQVETMEEKTNLISKKSSVPEKVYTGFEKLNDEAKEQEGNNRSNTLEHGKDNNTFEHMNSIRGEMEWLEKDDNLVTLLTEGSALEVMDSVSVSDDELGSIETLKCEESDGVKMTRDYLESDCLQVEATLKNEASTPTDVEVEIVDLQHDKFYKREPIATKLEDENETIVDENTVFTEEGIPMENVSLKIIETGEIIMSEDKEDNKVGHVEPSGNEAGLIDKEDANGIEFKNVESDIRSNADQKDIQILHCEYVDKETNFTSKKFNKLEKVYIEFKKLNDEVEEEKNDKINIPKEKEDRFTFGHTEGSVHEVMQSVLVSDADLRSIETLQCEESNGVKSTGDYLESGFIIVETNVCNEPLAPKDVEGEVVDLQDETFYIGEPKATKSEDHNENNTKEEDKMVFAEVESPVKIDDEMVLADVESPMKNVSLETLKTEENIMLEDEREKNTSEHTDLIHAEVELLVIDENLVTLEAEGRTLEIIESVLISDAELRSIETLQCEDSDGAKSTENQQNYLIVDANVHIEPSIPTDVDVEAVDLQDDTVYVGEPRDAKLVGENKTKMEEDDMMVLAEVVSPVKNVSLETLEAEKDILSEDKEHMEVEHVQSHENETGFIVKEVNKIEFEEADSDVGSDADQKAIQNFQFKHMDEAEFGIEDLNSDCLTMHEDKLQRDASWGKNLEGSVASIESVDDDKNDKADCGMKEGNTISLQVETTEHNRNFMSEKSNKPEKVHTGFEKLNDEVEEQEENGKSNTLKDQKENNTCEYTDSIGGEVNLLVIDENLVTFEEERSVLEVIESFSVSDAKLISIETLDCEQSEGVKLARDFSKSDWMIIEAKEYNEPSTQMDVEVETIDLEDETFHVGELRASKSEHDNETIIEEDDKLILADVESSVKNVTLETLETEKNTMLEDEEEMEVGHVVDSCENEVVCLVKEGANKIEFEETIQIVQHVEEKTNFAPEKSSVPKKVFTEFEKLNDEVEEHVENDKNIILKNKKGRNTFEHTNKIGGDIQLLVKDKNLVTMEAERNELEVANSVVVSDPELRSIESFQCEENDGMKLTRNYLESDCMILETNVHEESSTPSDVEVEAVVNLRDDSFHIRESTAAKLDDENKTNTENISVVMPNKLQKDVKLSLGKKIVSEISRKPINEDVVLSSEQAMEGDKKIELDANIEGNIVRNDIEMVTHNCEICDNCANTMEEDTNGSSHNSPTHVMDSSNTLIYIIKEAITGDEEGIDSSILVTYLQHVASGSHGGNMCPKYFRSSESNSSSRTCISSYNDTKMEYNFTDMIVTKKDKKLHQKLELITEKFLNLLSRMGANTMDFNLDHHHHKSSQQYHDNQKDLSFSCNILVLGKIGVGKSTVINSIMGEEKNKINAFDGATTNVRLVSSVVDGIKVNIIDTPGLSTNVMDQGWNKKILSTVNSYTKKCPPDIILYVDRLDSWSNHFDDIPLLKTITTILGTSIWVNTVVTFTHADSIPPDNSNGDPMTYETFIAQRSHIVQQSIQQATGDMCLINAFSFVENYPYCKRNCQGKKVLPTIQNWRKYLLILCYSTKPKYQPKASINHKGLKEDSSIEVDDYSEVCDDEYEYGQLPTLWPLMKAQFDELMKDKNKDECAYHVKLIQGMQFNGVTQGSMPCDNDLNPLQKNRMSPILNMVIEPSFDFDDPPTHQYNLLEPTSIITRKHVLGAHTWDHEYNFDGASLEKTLVLHKPTKCFEATLVEFSKDMKKSRIHFNSSFRSKHVDDASHCLGYNIQKAWKKLAYCIWGETTTKDTKHKTVGGLSVMFLGDTMLTGVKIEDYISVGESLALLVSIGTMQAKGNTAYGVNMESRLKIKYYPINRSMLFFGLSLIKLHSAIALGINLQSQYLLRRHSKMALHIGLNTLRTGQINLKMSTSKMVQIALLGLVPLATSMYKSFVHSAEHN >ONIVA01G17570.1 pep chromosome:AWHD00000000:1:14595218:14601291:-1 gene:ONIVA01G17570 transcript:ONIVA01G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHRTSSSSGGSQLEKAKVRFVTATVYCGTQGLPCAFITPIFCSFFFPFLFLDFALSLLPTDERRARLWLCLWFWPFPSSRRTHPLILPPSLPPRSTRGPSLVHHLLEVKMLGRAIRSMEVMVHSSTFLLPKLHQPANSPAKKYALVVLNQNLPRFVPRLWTHAKLRICADGGANRIFDEMFQMTNDPDYESTRKRYIPEIIEGDMDSIRPEVKQFYSSQGSKISDKSHNQETTDLHKCISRIHRCTPDHEKTNLCVLVTGALGGRFDHEAANINILYLFSDMRIVLLSDDCLIRLLPKTHKHEIYIESSVEGPHCGLFPVGAPSGSTTTTGLKWNLSEAKMRFGSMISTSNIVHAEKVTVQSDADLLWTISLRNLT >ONIVA01G17560.1 pep chromosome:AWHD00000000:1:14585282:14585854:-1 gene:ONIVA01G17560 transcript:ONIVA01G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFLSSETRFSPKKSTLKQQGEGGGINDIAFRKPPHQLMASSYTSSVSSARNQSDSSKDNPATAFLASPCNGAQQLVIEDIYV >ONIVA01G17540.1 pep chromosome:AWHD00000000:1:14541034:14565122:-1 gene:ONIVA01G17540 transcript:ONIVA01G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASSSSAAPAPPWWLTSRTCDVLPSASPSSSGFAEWAAFLFLSDCSQRVLLSALASLFLLLLLCFALRRAVSSSSSSRRRGGGADGIDGDDGKRPLLHRPGPAPAVRVGVGYVVALSASLALAVFYAVLLVLSLVTRGGGGGVLEPVFLALQCAAHLAAAAVVAHEKRFRAAHHPLTLRLFWLAASALAVLLAGSAIARLASGAAALPDDALAIAVLVLSLPLPLLAIAGATGITVVVAAAESSHEEGAEGNGNGEDVRDYKNVTLYATASWPSRLMWAWMHPLLKRGYRAALDLTDVPTLAPEHRPERMYELFLSNWPAAWATKDNNPVRHALLRCFWPLFLLNASLAVLRLTVMYVGPTLIQSFVDFTSASPRRPLWDGVRLVAALLAAKTAEAFCSHQYNFHCQKLGMQIRGALITALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYLYLGPPVTAALGGVVAVMMFVLAGARRNNRYQFRLMTERDKRMKATNEMLNYMRVIKFQAWEEHFSARIEAFRRGEFGWLTRFMYSISGNIIALWSAPIAIAALVFATSVLLGVRLDAGLVFTATSFFKILQEPMRNFPQSIIQVSQAMVSLGRLDSYMTSAELDEGAVERGPAVGAGMTAVRVRGGEFAWEEEEEAAGQQAVLRGIDIDVRAGTLAAVVGMVGSGKSSLLGCILGEMRKISGENDCLMTVELWKSKLYQEGSRIKSLGGAGADGAAQAVERRRHRLRIGVGLDLLCLSVASLSHSLLFLRLGGRSTSSSLLHCGGGPASCGVRLPGGGAVTVRGSMAYVPQTAWIQNGTIEENILFGRGMQRERYREAIRVCSLDKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDADVYLLDDVFSAVDAHTGSDIFRDCVRGALRDKTVLLVTHQLDFLRNAHAIYVMRDGAVAQSGRYHDLLRTGTDFAALVAAHESSMELVESAAPGPSPSPAGNLPLSRQPSSAPKERESASSNGDIKTAKASSRLIKAEERASGHVSFTVYRQYMTEAWGWWGLMLVLAVSVAWQGSTMAADYWLAYQTSGDAFRPALFIKVYAIIAAVSVVIVTVRSLLVATIGLDTANIFFRQVLSTILHAPMSFFDTTPSGRILTRASSDQTNVDLLLPFFVWMSVSMYITVIGVVIMTCQVAWPSVVLVVPLLMLNLWFRKYYISTSRELTRLESITKAPVIHHFSETVQGVMVIRCFQKQDNFFHENLSRLNASLKMDFHNNAANEWLGLRLELIGSLVLCVTALLMVTLPSNIVLPVERIKQFTNIPSEAEWRIKETAPSANWPHKGDIDIIDLKFRYRHNTPLVLKGITLSILGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLQLYSDDEIWQALERCQLKDAVTSKPEKLDASVMLKHSRILFMDEATASVDSQTDAVIQKIIREEFSACTIISIAHRIPTVMDCDRVLVIDAGLAKEFDSPANLIERPSLFGALVQEYATRFKVSAP >ONIVA01G17540.2 pep chromosome:AWHD00000000:1:14541034:14565122:-1 gene:ONIVA01G17540 transcript:ONIVA01G17540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASSSSAAPAPPWWLTSRTCDVLPSASPSSSGFAEWAAFLFLSDCSQRVLLSALASLFLLLLLCFALRRAVSSSSSSRRRGGGADGIDGDDGKRPLLHRPGPAPAVRVGVGYVVALSASLALAVFYAVLLVLSLVTRGGGGGVLEPVFLALQCAAHLAAAAVVAHEKRFRAAHHPLTLRLFWLAASALAVLLAGSAIARLASGAAALPDDALAIAVLVLSLPLPLLAIAGATGITVVVAAAESSHEEGAEGNGNGEDVRDYKNVTLYATASWPSRLMWAWMHPLLKRGYRAALDLTDVPTLAPEHRPERMYELFLSNWPAAWATKDNNPVRHALLRCFWPLFLLNASLAVLRLTVMYVGPTLIQSFVDFTSASPRRPLWDGVRLVAALLAAKTAEAFCSHQYNFHCQKLGMQIRGALITALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYLYLGPPVTAALGGVVAVMMFVLAGARRNNRYQFRLMTERDKRMKATNEMLNYMRVIKFQAWEEHFSARIEAFRRGEFGWLTRFMYSISGNIIALWSAPIAIAALVFATSVLLGVRLDAGLVFTATSFFKILQEPMRNFPQSIIQVSQAMVSLGRLDSYMTSAELDEGAVERGPAVGAGMTAVRVRGGEFAWEEEEEAAGQQAVLRGIDIDVRAGTLAAVVGMVGSGKSSLLGCILGEMRKISGEVTVRGSMAYVPQTAWIQNGTIEENILFGRGMQRERYREAIRVCSLDKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDADVYLLDDVFSAVDAHTGSDIFRDCVRGALRDKTVLLVTHQLDFLRNAHAIYVMRDGAVAQSGRYHDLLRTGTDFAALVAAHESSMELVESAAPGPSPSPAGNLPLSRQPSSAPKERESASSNGDIKTAKASSRLIKAEERASGHVSFTVYRQYMTEAWGWWGLMLVLAVSVAWQGSTMAADYWLAYQTSGDAFRPALFIKVYAIIAAVSVVIVTVRSLLVATIGLDTANIFFRQVLSTILHAPMSFFDTTPSGRILTRASSDQTNVDLLLPFFVWMSVSMYITVIGVVIMTCQVAWPSVVLVVPLLMLNLWFRKYYISTSRELTRLESITKAPVIHHFSETVQGVMVIRCFQKQDNFFHENLSRLNASLKMDFHNNAANEWLGLRLELIGSLVLCVTALLMVTLPSNIVLPVERIKQFTNIPSEAEWRIKETAPSANWPHKGDIDIIDLKFRYRHNTPLVLKGITLSILGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLQLYSDDEIWQALERCQLKDAVTSKPEKLDASVMLKHSRILFMDEATASVDSQTDAVIQKIIREEFSACTIISIAHRIPTVMDCDRVLVIDAGLAKEFDSPANLIERPSLFGALVQEYATRFKVSAP >ONIVA01G17530.1 pep chromosome:AWHD00000000:1:14536493:14540271:-1 gene:ONIVA01G17530 transcript:ONIVA01G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTDSRKRLSADHRLLPSFPPSPPPPSKKPKLAPLPSSSSLADASSPPPSPPPPHPSSSSAAAQGPSSSYSAAAAAAAGGASTSYASSLPPSRHRRLPPPPPHPRPIHGPQRVLRAFRLGSALSRSNPSCFTPSPSSPQHPRSLGLEQYVELVNSVAHPPPLPPSPSTADAPSRVEVIAVDDAEERKDDEEAREEEEEEVKGSVVVRRVPLYKELYEASSRRRDAKLRTLEFEVRLAEKGRLGLEQLADVLPRFGPRKEDEPFVPFTDEDEDSVDHALGGRNRRERLVVHESSNIVITRETLQCLNETEWLNDELITGGYDYKSVRRWTTKRKLGYSLLECDKIFVPIHKEVHWCLAVINIRDKKFQFLDSLGSMDMKALRTLARYLVDEVKDKSGQHIDALSWKQEGVKNLPLQENGWDCGMFMLKYIDFYSRDMGLTFGQKHMHYFRKRTAKEILNLRAE >ONIVA01G17520.1 pep chromosome:AWHD00000000:1:14533372:14534273:1 gene:ONIVA01G17520 transcript:ONIVA01G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAGHRVHAPDLAASGADARRLRDAPTFGDYSRPLLDAVRALPGGDGERAVLVGHSLGGMSVALAAEELPEKVAAAVFVAAFMPDCASPRPSVIDKLPWLDWMDSVRDEEHAPPSVKLGAELMRRKFYQLSPEEDFTLAQSLVRVGSSYVDDMRRRPPFSEARYGAARKVYVVCGQDQAIVEAYQRRMIADCPVEEVREIAGADHMAMFSAPAALAGHLADVANTYA >ONIVA01G17510.1 pep chromosome:AWHD00000000:1:14522247:14522600:1 gene:ONIVA01G17510 transcript:ONIVA01G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSRLGQSRNLTVASDASGKGGEVNRRGSAVAKARRVEPARGTAEHTMGVSVERAVRQWRERERGTSVAAAEVERDKQQEQEEHHGCGAGCCSNKEEVVAVVVGRKGRGTVAEVRP >ONIVA01G17500.1 pep chromosome:AWHD00000000:1:14518333:14519865:-1 gene:ONIVA01G17500 transcript:ONIVA01G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIVSAIMGEFANRTISFLIQKYSERVTTTTEEERLDNLQRLLLRVRIIIEDAEERHVTNQAMLHQLNILRKEMYRGYYTLDTFRFRAHDVKKEKDHEVSYSFVLSKFNHAKRVCFCSDSDERVKELEKVVVSLETIIGDANEFIKLSSTYPRLSHQSYSMYLLLDKCMFGRQMEMECVINFLLQEEITYGADHLGVLPIIGPGKVGKSTLVEHACIDERVRSHFSQIVFFSKDGLTDGNIVTLKDCGTTKHQTHDALGGSERRLVVVELDGEIDQGLWERFYSASKSCFAYGSKIIITSRSDKIASFGTTQPLKLQFLTHEAFWYLFRVRAFGSSDPAENPKLASLAMDMASEVSGCFTSVNMFNGPLRSNTTTRFWSFVLATIRGFKQKNLSIYSSTNPLDPWAVVAPLYIPRANKNLDPVAILNNYQRNCNETHSYSGLITASSPSAASQIMVQDIMFGTATPPGKFEVLAWRSHIPPYYSCVFDCEIKRPRTCMVSRKKTKKIGI >ONIVA01G17490.1 pep chromosome:AWHD00000000:1:14504129:14515076:1 gene:ONIVA01G17490 transcript:ONIVA01G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) TAIR;Acc:AT3G59770] MASRSYYPSDPSPPANPRGRPRDTSVVVVVLDTSEVYIVASLSTRKDTQVIYVDPTTGYLHYLGKHGEDLFDSEAAALNYITNGSKILCKSTTYSKAVLGYAILGSYALLLVATQLSATVPTLPGGGCIYTVAESQWIKIQLQNPQAQGNGESKNIKELAELDIDGKYYFCETRDITRPFPSRMTLREPDEEFVWNRWLAKPFMDIGLLGHCVVLLQGFAECRSFGGTGQQGGIVALLARRSRLHPGTRYLARGINACSGTGNEVECEQLVWAPRKDGQGQSIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPFNGSLQYYQRLGRRYGNKSLEVNATSQKKPGVVPIVCVNLLRYGDGKPETVLVDSFKSSLEYLRSTKKLGKTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGKYYNVKQQLKECKGSVIFNDDINGGFCMESIQNGVVRFNCADSLDRTNAASYFGALQVFVEQCSRLSISLDVDAMFGLSSSRYPEYNGRNPRTLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAAQNVKITLQRRFQNYINDSSRQKQFEMFLGLRLFKHLPSIPISPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLTWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGVDDSSYPATIDVRVGSSVDTLKLVLEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNIQESTYLPLLYDFEELEGELNFLNRVVALSFHPSARARTPITLGEIEVLGVSLPWVDMLTDSRRGPDFVELLHETLSSIPGNVGSKEFANSSNSFLPQNGIVGSERASSTKSSSSVLQGSSGNFVDFLTGDFDMLNQSDATENTSFVNVEQTNSFDDDFDVNPFATASETPSVKVNSQVEEFDSAHIYLKIFESFSGNIKGKGLNFEQMMKLEIKRLCLDLSAAERDRALLSIGVIPATVDPNRSVDYSYLLKLSSLADYLALLGYTVHEDRVNASIGLENINGHAIDFWNICENDESCTGDVCEVRALSSSHASATSENSSIFVECSQCGRTACKACCAGKGAFLLLNNTYRDLKIYGGSQGGGYSALADNFVCKSCCSEVIKHALYVDYVRVLRSLRKKGRTEQAVLKAVNQVCGLEFSRISDFTKSVQYGQKQLKQLLDGEESLAEFPYASFLQTVETADDSEPLLSLLAPFGIGEQKSYWKAPLDNTSVEFSIVLGGLSDVSGAAIIVGSCGYSTSDCPIVEIWAGNKINREDRTFIGKWDVHDMMLSSPHLSGPEKTSSMSEEPRHIKFHFPNPIRCRIVSIKMTLNHIDSHSTKFSEEFDLLSLSEGTFSESKPTTPQNSFIHAKRIVIFGNTLRKETNPDTSMGIMRMKTYLDRSQPLGRFRIPVEAERLRDNDLVLEQYLLPNTPGIAGFRLDFFNVVRPRVTHSPSSSELDMKEFSLIPMEDRVINPAILYLQVTIVKESGKLVVEEYRLPEVKVNTPLYYDFQDLQQDVRCVLFRLLGDVTAFVDDIAEIDGSNLRNLPLATGLSLSNKIKLYYYADTYEMGKIGSLSAVVSAMMEY >ONIVA01G17490.2 pep chromosome:AWHD00000000:1:14504129:14515584:1 gene:ONIVA01G17490 transcript:ONIVA01G17490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) TAIR;Acc:AT3G59770] MASRSYYPSDPSPPANPRGRPRDTSVVVVVLDTSEVYIVASLSTRKDTQVIYVDPTTGYLHYLGKHGEDLFDSEAAALNYITNGSKILCKSTTYSKAVLGYAILGSYALLLVATQLSATVPTLPGGGCIYTVAESQWIKIQLQNPQAQGNGESKNIKELAELDIDGKYYFCETRDITRPFPSRMTLREPDEEFVWNRWLAKPFMDIGLLGHCVVLLQGFAECRSFGGTGQQGGIVALLARRSRLHPGTRYLARGINACSGTGNEVECEQLVWAPRKDGQGQSIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPFNGSLQYYQRLGRRYGNKSLEVNATSQKKPGVVPIVCVNLLRYGDGKPETVLVDSFKSSLEYLRSTKKLGKTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGKYYNVKQQLKECKGSVIFNDDINGGFCMESIQNGVVRFNCADSLDRTNAASYFGALQVFVEQCSRLSISLDVDAMFGLSSSRYPEYNGRNPRTLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAAQNVKITLQRRFQNYINDSSRQKQFEMFLGLRLFKHLPSIPISPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLTWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGVDDSSYPATIDVRVGSSVDTLKLVLEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNIQESTYLPLLYDFEELEGELNFLNRVVALSFHPSARARTPITLGEIEVLGVSLPWVDMLTDSRRGPDFVELLHETLSSIPGNVGSKEFANSSNSFLPQNGIVGSERASSTKSSSSVLQGSSGNFVDFLTGDFDMLNQSDATENTSFVNVEQTNSFDDDFDVNPFATASETPSVKVNSQVEEFDSAHIYLKIFESFSGNIKGKGLNFEQMMKLEIKRLCLDLSAAERDRALLSIGVIPATVDPNRSVDYSYLLKLSSLADYLALLGYTVHEDRVNASIGLENINGHAIDFWNICENDESCTGDVCEVRALSSSHASATSENSSIFVECSQCGRTACKACCAGKGAFLLLNNTYRDLKIYGGSQGGGYSALADNFVCKSCCSEVIKHALYVDYVRVLRSLRKKGRTEQAVLKAVNQVCGLEFSRISDFTKSVQYGQKQLKQLLDGEESLAEFPYASFLQTVETADDSEPLLSLLAPFGIGEQKSYWKAPLDNTSVEFSIVLGGLSDVSGAAIIVGSCGYSTSDCPIVEIWAGNKINREDRTFIGKWDVHDMMLSSPHLSGPEKTSSMSEEPRHIKFHFPNPIRCRIVSIKMTLNHIDSHSTKFSEEFDLLSLSEGTFSESKPTTPQNSFIHAKRIVIFGNTLRKETNPDTSMGIMRMKTYLDRSQPLGRFRIPVEAERLRDNDLVLEQYLLPNTPGIAGFRLDFFNVVRPRVTHSPSSSELDMKEFSLIPMEDRVINPAILYLQVTIVKESGKLVVEEYRLPEVKVNTPLYYDFQDLQQDVRCVLFRLLGDVTAFVDDIAEIDGSNLRNLPLATGLSLSNKIKLYYYADTYEMGKIGSLSAVADCLASYGRYGVFTAASGSPCFWSQALAFVIEFVSGDLPRAVG >ONIVA01G17480.1 pep chromosome:AWHD00000000:1:14486419:14488278:1 gene:ONIVA01G17480 transcript:ONIVA01G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAARLRRDSDGDGTLSSPAVVSSGGHLLPGEFLPFPSPLASSSSVRVSCARATAVATATAHDGDRHGGGRHSITPPHGGAVEALALRQGEAGGHGSCAQHKEGGVTVGWILVLIGQAQPGSKLALGGRKSERMVVHILSG >ONIVA01G17470.1 pep chromosome:AWHD00000000:1:14485048:14493958:-1 gene:ONIVA01G17470 transcript:ONIVA01G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFVLVDDFGPFKPPAASASTTSFSASHYDDMFDSYFNRSAEPAEPSPSSSAPSPPPPVFDKPVFDDADAADPFDAIPLFGDGGGGGEGEDFLDSLGKGAKKPDVSEPEVVGFDDDLIPALGNTKSKTPVGEEEVEQEAEAVGFVDDVIPEWFGGSTSTSPMKLTPQAEPKATGFEDDVIPGEEPRTRPENESISSSKTSVSMPGDAFVTLGATSNLGNSNFGLFTDHLDNMGKSESKNTDPCSTANGMFDSSNIFVGVPKPMSSSSFASEKESVFGDSKSLDSIYCMSHSIKMPKEKPVQQASAETISSILPEMHIHEAPGTTGFNNSDPLSTSMQDQLPEENQCSKMSDDVWLTVSDVVLVTQPTSAPPPSRPPPTLAAKKGPTESNTSNAYPHDHNQGYNPFISSTNTSKTPKIDELEDFVMAKPSSLANGCLQDLNHNGIGIGQDSSTSAAGFMDWAELKHSKGVNQGNFDSLFASSQYQEKEKAVLYASGMESRDEEELLEHEKKQREKEEELRKLERDREEELEREREMMRRREHEEQKRREKEREARHIVEKAMREARERAAAEARMQAEREARQRAERAAVQKAAAEARERAAAEARERAAKAAAEAKERPGNGQLQKLGKRQQQKLGLKLSELLLIKLQQKHEEGLKEQQLRGLLQKLDKGLLMKLEKGLKLKLEQEKVSRKQLNLISTHSLNPFDVQPQGGSDFGSIRRTSSGSASPFAQPPSTNLMDDLSSIFGAPSSSAVFQEVDGESEERRKARLERHQRTMERAAKALAEKNERDLQVQWEQEERHRIGETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWRPVSLTDLITAASVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKV >ONIVA01G17470.2 pep chromosome:AWHD00000000:1:14485048:14493958:-1 gene:ONIVA01G17470 transcript:ONIVA01G17470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFVLVDDFGPFKPPAASASTTSFSASHYDDMFDSYFNRSAEPAEPSPSSSAPSPPPPVFDKPVFDDADAADPFDAIPLFGDGGGGGEGEDFLDSLGKGAKKPDVSEPEVVGFDDDLIPALGNTKSKTPVGEEEVEQEAEAVGFVDDVIPEWFGGSTSTSPMKLTPQAEPKATGFEDDVIPGEEPRTRPENESISSSKTSVSMPGDAFVTLGATSNLGNSNFGLFTDHLDNMGKSESKNTDPCSTANGMFDSSNIFVGVPKPMSSSSFASEKESVFGDSKSLDSIYCMSHSIKMPKEKPVQQASAETISSILPEMHIHEAPGTTGFNNSDPLSTSMQDQLPEENQCSKMSDDVWLTVSDVVLVTQPTSAPPPSRPPPTLAAKKGPTESNTSNAYPHDHNQGYNPFISSTNTSKTPKIDELEDFVMAKPSSLANGCLQDLNHNGIGIGQDSSTSAAGFMDWAELKHSKGVNQGNFDSLFASSQYQEKEKAVLYASGMESRDEEELLEHEKKQREKEEELRKLERDREEELEREREMMRRREHEEQKRREKEREARHIVEKAMREARERAAAEARMQAEREARQRAERAAVQKAAAEARERAAAEARERAAKAAAEAKERVAEEARERAAKAAAEARERAAAEARERVAKAAAEAREWAATEAREKAAAEARAKAERAAVDKVAAEARRRAERAAVERAAAEARQRAANEARKRAEAEARARESQQKTAQPDLDSFFGMPSRSSSVPRSQTATTNPFDVQPQGGSDFGSIRRTSSGSASPFAQPPSTNLMDDLSSIFGAPSSSAVFQEVDGESEERRKARLERHQRTMERAAKALAEKNERDLQVQWEQEERHRIGETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWRPVSLTDLITAASVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKV >ONIVA01G17460.1 pep chromosome:AWHD00000000:1:14473425:14476739:1 gene:ONIVA01G17460 transcript:ONIVA01G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKIGQWGGNGGSAQDISVPPCKLTSVTIRSGLAIDAITFSYVGMDGLEHVVGPWGGLGGSPTTFKIGPTERVKEFSGTHGPFGTLADIVTYLKIVTDAKTFQLGVESGTPFNVPLQGNATVVGFFGRSGALLDAVGLYVRP >ONIVA01G17450.1 pep chromosome:AWHD00000000:1:14455144:14462530:1 gene:ONIVA01G17450 transcript:ONIVA01G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGTISHQLTRYAAAQALLPGAHLHASLLKSGSLASFRNHLISFYSKCRRPCCARRVFDEIPDPCHVSWSSLVTAYSNNGLPRSAIQVFHGMRAEGVCCNEFALPVVLKCAPDARLGAQVHAMAMATGFGSDVFVANALVAMYGGFGFMDDARRVFDEAGSERNAVSWNGLMSAYVKNDQCGDAIQVFGEMVWSGIQPTEFGFSCVVNACTGSRNIEAGRQVHAMVVRMGYDKDVFTANALVDMYVKMGRVDIASVIFEKMPDSDVVSWNALISGCVLNGHDHRAIELLLQMKSSRLVPNVFTLSSILKACAGTGAFDLGRQIHGFMIKANADSDDYIGVGLVDMYAKNHFLDDARKVFDWMFHRDLILCNALISGCSHGGRHDEALSLFYELRKEGLGVNRTTLAAVLKSTASLEAASTTRQCSCLSDANRVFEECSSGDIIAFTSMITALSQCDHGEGAIKLFMEMLRKGLEPDPFVLSSLLNACASLSAYEQGKQVHAHLIKQQFMSDAFAGNALVYTYAKCGSIEDAELAFSSLPERGVVSWSAMIGGLAQHGHGKRALELFGRMVDEGINPNHITMTSVLCACNHAGLVDEAKRYFNSMKEMFGIDRTEEHYSCMIDLLGRAGKLDDAMELVNSMPFQANASIWGALLGASRVHKDPELGKLAAEKLFILEPEKSGTHVLLANTYASAGMWNEVAKVRKLMKDSNIKKEPAMSWVEVKDKVHTFIVGDKSHPMTKEIYAKLDELGDLMSKAGYVPNVDVDLHDLDRSEKELLLSHHSERLAVAFALLSTPPGAPIRVKKNLRICRDCHVAFKFISKIVSREIIIRDINRFHHFRDGTCSCVYTKAGEGAKLLSVCFFDADQTGI >ONIVA01G17450.2 pep chromosome:AWHD00000000:1:14455144:14462530:1 gene:ONIVA01G17450 transcript:ONIVA01G17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGTISHQLTRYAAAQALLPGAHLHASLLKSGSLASFRNHLISFYSKCRRPCCARRVFDEIPDPCHVSWSSLVTAYSNNGLPRSAIQVFHGMRAEGVCCNEFALPVVLKCAPDARLGAQVHAMAMATGFGSDVFVANALVAMYGGFGFMDDARRVFDEAGSERNAVSWNGLMSAYVKNDQCGDAIQVFGEMVWSGIQPTEFGFSCVVNACTGSRNIEAGRQVHAMVVRMGYDKDVFTANALVDMYVKMGRVDIASVIFEKMPDSDVVSWNALISGCVLNGHDHRAIELLLQMKSSRLVPNVFTLSSILKACAGTGAFDLGRQIHGFMIKANADSDDYIGVGLVDMYAKNHFLDDARKVFDWMFHRDLILCNALISGCSHGGRHDEALSLFYELRKEGLGVNRTTLAAVLKSTASLEAASTTRQVHALAEKIGFIFDAHVVNGLIDSYWKCSCLSDANRVFEECSSGDIIAFTSMITALSQCDHGEGAIKLFMEMLRKGLEPDPFVLSSLLNACASLSAYEQGKQVHAHLIKQQFMSDAFAGNALVYTYAKCGSIEDAELAFSSLPERGVVSWSAMIGGLAQHGHGKRALELFGRMVDEGINPNHITMTSVLCACNHAGLVDEAKRYFNSMKEMFGIDRTEEHYSCMIDLLGRAGKLDDAMELVNSMPFQANASIWGALLGASRVHKDPELGKLAAEKLFILEPEKSGTHVLLANTYASAGMWNEVAKVRKLMKDSNIKKEPAMSWVEVKDKVHTFIVGDKSHPMTKEIYAKLDELGDLMSKAGYVPNVDVDLHDLDRSEKELLLSHHSERLAVAFALLSTPPGAPIRVKKNLRICRDCHVAFKFISKIVSREIIIRDINRFHHFRDGTCSCVYTKAGEGAKLLSVCFFDADQTGI >ONIVA01G17450.3 pep chromosome:AWHD00000000:1:14455144:14462530:1 gene:ONIVA01G17450 transcript:ONIVA01G17450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGTISHQLTRYAAAQALLPGAHLHASLLKSGSLASFRNHLISFYSKCRRPCCARRVFDEIPDPCHVSWSSLVTAYSNNGLPRSAIQVFHGMRAEGVCCNEFALPVVLKCAPDARLGAQVHAMAMATGFGSDVFVANALVAMYGGFGFMDDARRVFDEAGSERNAVSWNGLMSAYVKNDQCGDAIQVFGEMVWSGIQPTEFGFSCVVNACTGSRNIEAGRQVHAMVVRMGYDKDVFTANALVDMYVKMGRVDIASVIFEKMPDSDVVSWNALISGCVLNGHDHRAIELLLQMKSSRLVPNVFTLSSILKACAGTGAFDLGRQIHGFMIKANADSDDYIGVGLVDMYAKNHFLDDARKVFDWMFHRDLILCNALISGCSHGGRHDEALSLFYELRKEGLGVNRTTLAAVLKSTASLEAASTTRQVHALAEKIGFIFDAHVVNGLIDSYWKCSCLSDANRVFEECSSGDIIAFTSMITALSQCDHGEGAIKLFMEMLRKGLEPDPFVLSSLLNACASLSAYEQGKQVHAHLIKQQFMSDAFAGNALVYTYAKCGSIEDAELAFSSLPERGVVSWSAMIGGLAQHGHGKRALELFGRMVDEGINPNHITMTSVLCACNHAGLVDEAKRYFNSMKEMFGIDRTEEHYSCMIDLLGRAGKLDDAMELVNSMPFQANASIWGALLGASRVHKDPELGKLAAEKLFILEPEKSGTHVLLANTYASAGMWNEVAKVRKLMKDSNIKKEPAMSWVEVKDKVHTFIVGDKSHPMTKEIYAKLDELGDLMSKAGYVPNVDVDLHDLDRSEKELLLSHHSERLAVAFALLSTPPGAPIRVKKNLRICRDCHVAFKFISKIVSREIIIRDINRFHHFRDGTCSCVYTKAGEGAKLLSVCFFDADQTGCEIVLFFFFHFGSFIHM >ONIVA01G17440.1 pep chromosome:AWHD00000000:1:14450539:14451059:-1 gene:ONIVA01G17440 transcript:ONIVA01G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGDLARRTAWERERSMRRRGRRRCRCCVSKSTGNIVGRLILIQRLEEKKVTWKHQNSENCY >ONIVA01G17430.1 pep chromosome:AWHD00000000:1:14443238:14448102:1 gene:ONIVA01G17430 transcript:ONIVA01G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex II protein family [Source:Projected from Arabidopsis thaliana (AT5G11010) TAIR;Acc:AT5G11010] MGLGGGGGGEGSEEREREREWEEAAEAVAYDSCTWPPPVVAVCGPGNSGKSAFSRLLLNTLVGRYKKVAYLDTDVGQPEFTPPGFVSIHVLEEQAEDFKMLYLRTPKRCFFFGDCSAKKNPKLLLSYIFSLYDYFLKDLYRFEDTDNTKKSAIPLVINTSGWVKGTGLHMLTEMLKYASPTHVIRLRTSVEGKNLPGGMFWLDEPEGDSAINLVEIRAAQHSPRHLLVKKEARIIRDLRIIAYFRQCLPMEFPVIDLHSQVSDYTVHHFLKGTIVGIATSASVPLSNQCSTPCCIGLGFIKAIDVSRDCIHLITPVSRQLLENADIFFRSSLTVPTCLLQVVSDTASDIADRLRELNCHG >ONIVA01G17430.2 pep chromosome:AWHD00000000:1:14443238:14448102:1 gene:ONIVA01G17430 transcript:ONIVA01G17430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex II protein family [Source:Projected from Arabidopsis thaliana (AT5G11010) TAIR;Acc:AT5G11010] MGLGGGGGGEGSEEREREREWEEAAEAVAYDSCTWPPPVVAVCGPGNSGKSAFSRLLLNTLVGRYKKVAYLDTDVGQPEFTPPGFVSIHVLEEQAEDFKMLYLRTPKRCFFFGDCSAKKNPKLLLSYIFSLYDYFLKDLYRFEDTDNTKKSAIPLVINTSGWVKGTGLHMLTEMLKYASPTHVIRLRTSVEGKNLPGGMFWLDEPEGDSAINLVEIRAAQHSPRHLLVKKEARIIRDLRIIAYFRQCLPMEFPVIDLHSQVVSDTASDIADRLRELNCHG >ONIVA01G17420.1 pep chromosome:AWHD00000000:1:14441261:14441467:-1 gene:ONIVA01G17420 transcript:ONIVA01G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNDHLHLSPVPKPRPPPPASSIFSLLSDDNVDLATVVAFFFANLNNSGGPMTSLASALSLTPSDLV >ONIVA01G17410.1 pep chromosome:AWHD00000000:1:14428420:14439213:-1 gene:ONIVA01G17410 transcript:ONIVA01G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALAASLVPSWSAVVVLFSYLGYLATAGAVLPGKLVPGAVLPDLSRLHYRCNGLVSLLLLLVLSALSVYMGWMSPTVIADRGIELLSATFIFSVILNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLSGSANLSVILYQFFCAWYIVDYFVHEELMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNNVELSLLAATVNCFIFVIGYLVFRGANKQKHVFKKSPKALIWGKPPKLVGGKLLVSGYWGIARHCNYLGDILLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVEYCKLISLNSCFPSANCCDVLMVEAVVNQISTSTSALTRSEISQRKQWQMALGMQWQCYDYANSCHMTHLQTHLNGGEQWHFPYRFHDNRVEFWHHVTMNSLRWLPWLLLRLAPFLCPDTVRTKL >ONIVA01G17410.2 pep chromosome:AWHD00000000:1:14428420:14439213:-1 gene:ONIVA01G17410 transcript:ONIVA01G17410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALAASLVPSWSAVVVLFSYLGYLATAGAVLPGKLVPGAVLPDLSRLHYRCNGLVSLLLLLVLSALSVYMGWMSPTVIADRGIELLSATFIFSVILNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLSGSANLSVILYQFFCAWYIVDYFVHEELMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNNVELSLLAATVNCFIFVIGYLVFRGANKQKHVFKKSPKALIWGKPPKLVGGKLLVSGYWGIARHCNYLGDILLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVEYCKLISLNSCFPSANCCDVLMVEAVVNQISTSTSALTRSEISQRKQWQMALGMQWQWHFPYRFHDNRVEFWHHVTMNSLRWLPWLLLRLAPFLCPDTVRTKL >ONIVA01G17410.3 pep chromosome:AWHD00000000:1:14428420:14439213:-1 gene:ONIVA01G17410 transcript:ONIVA01G17410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAALAASLVPSWSAVVVLFSYLGYLATAGAVLPGKLVPGAVLPDLSRLHYRCNGLVSLLLLLVLSALSVYMGWMSPTVIADRGIELLSATFIFSVILNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLSGSANLSVILYQFFCAWYIVDYFVHEELMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNNVELSLLAATVNCFIFVIGYLVFRGANKQKHVFKKSPKALIWGKPPKLVGGKLLVSGYWGIARHCNYLGDILLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVEYCKLISLNSCFPSANCCDVLMVEAVVNQISTSTSALTRSEISQRKQWQMALGMQWQCYDYANSCHMTHLQTHLNGGEQWHFPYRFHDNRVEFWHHVTMNSLRWLPWLLLRLAPFLCPDTVRTKL >ONIVA01G17400.1 pep chromosome:AWHD00000000:1:14422857:14423461:-1 gene:ONIVA01G17400 transcript:ONIVA01G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRIKDGVVKIGMFGATTNGTMRDIDVAPVSLKSVTVGSIDTVDCISFNFEDKDGNELAAGPWGGTLGRDHTFVLKSNEYVREVSGTFGPFATQHLDRTVNSLTFVTSQGTIYGPFGTPNGTSFRIPVEKGSIVGFYALADEFVSAIGFYVRQ >ONIVA01G17390.1 pep chromosome:AWHD00000000:1:14409384:14411698:-1 gene:ONIVA01G17390 transcript:ONIVA01G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIIKIGTISGASNGIKIGMWGASNGTSHDIVEPPKDLISIQIKSIDTIDRLTFTYKDTKDKQHPVSWGGNLGYDQPPFVLHPNEYVTEVSGSVGPFAPKGQPYTVNSLTFVTSERRRCGPWGKRGKDDTDFKVPVEKGRIVGFHARGDEFISAIGFYIRP >ONIVA01G17380.1 pep chromosome:AWHD00000000:1:14406199:14406728:-1 gene:ONIVA01G17380 transcript:ONIVA01G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPARGVTTMTTKAQASGLWQVEVPVSERAYSSSSPAPTHKVIVHDRQIGVVHEFVVPQRGAGPVHSAHRGGAGHHAALRVPPWQAPILVAVLTVGHEVLTGANSLSLSRSLLAAMQCLHDHDAPRHRREGHAAPAVGGPHRQR >ONIVA01G17370.1 pep chromosome:AWHD00000000:1:14379972:14381786:-1 gene:ONIVA01G17370 transcript:ONIVA01G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGASNGIKIGMWGASNGTSHDIVEPPKDLISIQIKSIDTIDRLTFTYKDTKDNQHAVSWGGNLGNDHPAFILHPNEYVTEVSGSVGPFPPQGQPYTVNSITFVTSEGRTYGPWGTRGDNDTDFDVPVEQGRIVGFHARGDKFISAIGFYIRP >ONIVA01G17360.1 pep chromosome:AWHD00000000:1:14375798:14377732:1 gene:ONIVA01G17360 transcript:ONIVA01G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTCQAYMEQTLCWTSSLLIDRFQSLLHVYGWLVSPWMAKVLVCLEEAGVEYEVVPLSLTNGDHRRPEHLARNPFGQIPVLEDGDLTLYQSHAIARYVLGKHKPELLGLGEGGSVEESAMVDMWVEVETHQYEAAVKPIVWHCLVHQHVGLDSDQGVVDESLEKLRAVLEVYEARLSSAGRYSYLAGGGGGDRVSLADLSHVPLMHYFTATEYGGVLGEYPRVKAWWEALLARPSVKKVIAVMPTDFGFGSGSLL >ONIVA01G17350.1 pep chromosome:AWHD00000000:1:14344253:14345186:1 gene:ONIVA01G17350 transcript:ONIVA01G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLDSVPPSTSTGRYKLQDGRKSLPLIVWGWRSLVLFSVAHWLQKWAQIQRHDDHIKLANKRYMS >ONIVA01G17340.1 pep chromosome:AWHD00000000:1:14336489:14337388:-1 gene:ONIVA01G17340 transcript:ONIVA01G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLE5] MAYYAIAPLLCALVQNELYMHLYINQVYAGQSTNQLVVITSSQPQGFGITVINDWPITDGANTVGRAQGLHFQSGQTSEKWYTSMNLIFEDTRFSGSSLQVMGTIPQDGEWSIIGGTGEFVAAQGIVEHNVIQEAGGARTYELKIHAFYTPMQSSGVGAYGCNSWKLGP >ONIVA01G17330.1 pep chromosome:AWHD00000000:1:14323412:14327244:1 gene:ONIVA01G17330 transcript:ONIVA01G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPVPSVQAMVAATGGDHVPPRYLRPTDADEPVAADDGEAAIPVVDFRRLQLGDGDELARLHIACQDWGFFQLVNHNVPEDVVEGMKASIQGFFELPAETKKQVAQEPGQLEGYGQLFVVSEDQKLDWADSLYLKTQPLQDRSLRFWPDQPAGFRMALDRYCAAVKITVDGLLAAMASNLGVEPEVIAERCVGGVQSVRVQYYPPCGQADKVVGISPHSDADLVTILLQANEVDGLQIRRGGAWLPVRPLEGALIVNVGDILQVFTNGRYKSVEHRVIVDGKKERLSMATFHSPSKNAIVAPLSEMVAHEDDAVYTSMDHDELLKLFFAMKLEGKNFLNPIKKLKNSG >ONIVA01G17320.1 pep chromosome:AWHD00000000:1:14316519:14319655:1 gene:ONIVA01G17320 transcript:ONIVA01G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPVPSVQSMVAADGGAHVPPRYLRLRDEAVATDGETEIPVIDFQRLQLGHDEEMARLDRACQDWGFFQLINHSVPEDVVDGMKANARGFFELPAETKQQFAQEQGQLDGYGQLFVVSEDQKLDWADILFLNTLPVQNRNFRFWPNKLANFRSALDKYSAAVKSIVDFLLVTVANNLGVDPEVIANKCGTDGIQAVRMNYYPPCVQADKVIGFSPHSDSDLLTLVLQVNEVDGLQIKRNGTWFPVRPLEGAFIVNVGDILQIFTNGRYKSAEHRAVVDTKKERLSIAAFHSPSVHAVIGPLMEMVAHEDEAVYRSIGHDEFMKLFFSSKLEGKSFLDRMKKL >ONIVA01G17310.1 pep chromosome:AWHD00000000:1:14314699:14315292:1 gene:ONIVA01G17310 transcript:ONIVA01G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPHAKKIALAKHGLELAKFDQPLATLMPLVICGPYRFFPLSPHHPHGPHHPLARALPSSAYRYRTFLPPPMLAAATGKKARLGFIATIASLLSAVARSHHPPMFAATAGKECLGFVAIWSSVSAGKMGDERSGGGHLGRRSDQSGGDTMVTGEGTIAREARRRMTIEEDEASEERICCRHRHMLSVVTIFVRQRG >ONIVA01G17300.1 pep chromosome:AWHD00000000:1:14308551:14310135:-1 gene:ONIVA01G17300 transcript:ONIVA01G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLE1] MAYYAIAPLLCTLMHNELYMHLYINQTISGPNPNQLVVAPLFFGLTAISDWTILDGPGPNASVVGRAQGMHFQSGHIREKWYTSMNFLFEDTRFNGSMLQVMGTTPQDDQWAILGGTGEFVAAEGIVEHKIVQVDSTGRIYEIRIHAFYIPMNSSAKKSYKKCNAQVFF >ONIVA01G17290.1 pep chromosome:AWHD00000000:1:14300297:14302699:1 gene:ONIVA01G17290 transcript:ONIVA01G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLE0] MLRRQPGDAPASAAASEADLAQLSTAIAAGEDLGPFVRRAFACGRPEPLLASLRAAARDREAEIEELCRAHFHDFIRAVDDLRSLLADADALKGSLSASHAALLSSAAPLLASLESFLAARGLAGNLSSALASSRRCVRLLALANRANAHLQGGNHNLYLALRAVDAIDRDLASGPEPLPLPTLRRMLLSLVPAVRAHAEREISREFSDWMVSIRAASRHLGQVAIGRSAAARQRQEELRSKHRPLEECITLDDDGVGDLDDFAAAAATADVADGAAAASFDLTPLYRAMHIHHTLALGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFSQIAGFFIVEDRVFRTGGGLTSRPDVDALWDSAVAKMVSVMEDNFSRMQTANHLLLITDYAALLSATMRRYGYPVGMLLDVLARHRDKYHDLLLADCRRQVVEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVDASIQKLVDSGGGLSVSQAMQVAANMSVMERACEFFTGHAAQLCGVPLRAVERGRRDFPLRKSRDAAEALLLRLLRSKVDEFMGQSDGVTWMADDPPAGGNEYANEVIIYLETLTSTAQQILPLPVLRRVLVAVLAHISERIVGLFLNDSVKRFNASAVTGIDTDLKMFEAFGESMSSLFVDSDQESAANEMKAALVEARQLVNLLMSNSPENFLNPVIREKSYNKLDYKKVAAISEKFRDSSESYFSTFGTRGARQNPKKKSLDTLIKRLREAS >ONIVA01G17280.1 pep chromosome:AWHD00000000:1:14298402:14299071:-1 gene:ONIVA01G17280 transcript:ONIVA01G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSTVVPQPVWSSPPTAAPLTGSPSPAAVPLKWLLPPTVTPPTRLLPLVASSPASPGLPFGLSNFCSSDLTADSVEPLCCYTKIYGSAVNLSVGVFQSLKRDACLWRRKDPVVAAVLLSSVDLSHLEVWFLRLVSLYLVRDGLFALHPSAMYWGLTP >ONIVA01G17270.1 pep chromosome:AWHD00000000:1:14288569:14294645:1 gene:ONIVA01G17270 transcript:ONIVA01G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLRVEELRAELQRRGLDASGNKPVLVRRLDAAIRKEEEEEAAVSAAAKEEADAGGVVDGEGNGEDKRKRKRRGDGEDVDNSESDAAKLEGMSYRELQALAKSRGLAANGSKKEVIERLLCAPSDTDGGVQDKKKIAKDGDDRVEECRKEKIVTATRKGAAVLDQHIPDHIKMTYHVLQVGDEIYDATMNQTNIGDNNNKFYIIQALGYNADKLPLGKLSKSTIFKVEALGEIEIATKLLEDDSTDQVALGEMNELLNADYDANNLPKGKLRSVSNVILLISP >ONIVA01G17260.1 pep chromosome:AWHD00000000:1:14272119:14282499:1 gene:ONIVA01G17260 transcript:ONIVA01G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLD5] MWTAAGRRLHQQRDLHAILRTAHRRCCTRPIGGGVGMLIHQFKALLAPKKIYPWRSSHLQSLEVRRLHTAPSNAAAAAVTDGGDQDKTKSAKDDDGDDKVQCKKEKIVTATKKGAAVLDQYIPDNIKTAYHVLQVGDEIYDATMNQTNVGGNNNKFYIIQALESDAGGNFMVYSRWGRVGTRGKGKLQGPFSREQAIDEFERKFHDKTDIHWSYRKGSHCYAHKYTWLEMDYGEADKETNKKTSSITNQLEETKLETRTASFISLICDISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISGADTDRTQLEQLTGEFYSVIPHDFGFKKMSEFIIDTPQKLKAKLEMVEALSEIEIAIKLLEDDSSDQDDPLYARYKQFCCDFTPLEVDSEEYSMARERKIKTYLTNTHGKTHTGYTVDIVQIFKVSRLGEMERFQKFASAGNRMLLWHGSRLTNWAGILSQGLRIAPPEAPISGFMFGKGVYFADMFSKSANYCCASEACKSGVLLLCEVALGEMNELLYGDFGADNLPNGKLSTKGVGQTEPNIAESKITDDGMVIPLGKPEKGSLMYNEYIVYNVDQIRMRYILNVNFNFKRWG >ONIVA01G17260.2 pep chromosome:AWHD00000000:1:14272119:14282499:1 gene:ONIVA01G17260 transcript:ONIVA01G17260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLD5] MWTAAGRRLHQQRDLHAILRTAHRRCCTRPIGGGVGMLIHQFKALLAPKKIYPWRSSHLQSLEVRRLHTAPSNAAAAAVTDGGDQDKTKSAKDDDGDDKVQCKKEKIVTATKKGAAVLDQYIPDNIKTAYHVLQVGDEIYDATMNQTNVGGNNNKFYIIQALGTRGKGKLQGPFSREQAIDEFERKFHDKTDIHWSYRKGSHCYAHKYTWLEMDYGEADKETNKKTSSITNQLEETKLETRTASFISLICDISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISGADTDRTQLEQLTGEFYSVIPHDFGFKKMSEFIIDTPQKLKAKLEMVEALSEIEIAIKLLEDDSSDQDDPLYARYKQFCCDFTPLEVDSEEYSMARERKIKTYLTNTHGKTHTGYTVDIVQIFKVSRLGEMERFQKFASAGNRMLLWHGSRLTNWAGILSQGLRIAPPEAPISGFMFGKGVYFADMFSKSANYCCASEACKSGVLLLCEVALGEMNELLYGDFGADNLPNGKLSTKGVGQTEPNIAESKITDDGMVIPLGKPEKGSLMYNEYIVYNVDQIRMRYILNVNFNFKRWG >ONIVA01G17260.3 pep chromosome:AWHD00000000:1:14272119:14282499:1 gene:ONIVA01G17260 transcript:ONIVA01G17260.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLD5] MWTAAGRRLHQQRDLHAILRTAHRRCCTRPIGGGVGMLIHQFKALLAPKKIYPWRSSHLQSLEVRRLHTAPSNAAAAAVTDGGDQDKTKSAKDDDGDDKVQCKKEKIVTATKKGAAVLDQYIPDNIKTAYHVLQVGDEIYDATMNQTNVGGNNNKFYIIQALESDAGGNFMVYSRWGRVGTRGKGKLQGPFSREQAIDEFERKFHDKTDIHWSYRKGSHCYAHKYTWLEMDYGEADKETNKKTSSITNQLEETKLETRTASFISLICDISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISGADTDRTQLEQLTGEFYSVIPHDFGFKKMSEFIIDTPQKLKAKLEMVEALSEIEIAIKLLEDDSSDQDDPLYARYKQFCCDFTPLEVDSEEYSMIKTYLTNTHGKTHTGYTVDIVQIFKVSRLGEMERFQKFASAGNRMLLWHGSRLTNWAGILSQGLRIAPPEAPISGFMFGKGVYFADMFSKSANYCCASEACKSGVLLLCEVALGEMNELLYGDFGADNLPNGKLSTKGVGQTEPNIAESKITDDGMVIPLGKPEKGSLMYNEYIVYNVDQIRMRYILNVNFNFKRWG >ONIVA01G17250.1 pep chromosome:AWHD00000000:1:14258560:14262957:-1 gene:ONIVA01G17250 transcript:ONIVA01G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FLD4] MMMTRASMGAMEGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEAKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYLFLGDYVDRGKQSLETICLLLAYKVKYPDKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMAALIDDKILCMHGGLSPELNSLDQIKDIERPTEIPDYGLLCDLVWSDPSPDSEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPNDMGAPHSRKPTSNKTPKTGNA >ONIVA01G17240.1 pep chromosome:AWHD00000000:1:14251286:14253853:1 gene:ONIVA01G17240 transcript:ONIVA01G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCGTRHCARCEERKKGKTARSAPARGTPAPPLRSYPATARAGVAVAHSPYPDAVVAHPPPPYPPCQARGRGDTVGIHSAVSPSPPRRRSPPFSFSAKV >ONIVA01G17230.1 pep chromosome:AWHD00000000:1:14247683:14248024:1 gene:ONIVA01G17230 transcript:ONIVA01G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPTVVCVKCRLLPLCLSPLTSPPRVGFGTTFLKWLAFFAAVMRVLLLSDSNGELAHTMGVELDLSDKPAGHDIRSRHYAFLAEHGVVKVLNLKEGVDFIRSSIKEMLKAL >ONIVA01G17220.1 pep chromosome:AWHD00000000:1:14241430:14242214:-1 gene:ONIVA01G17220 transcript:ONIVA01G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVKIGLWGGNGGSAQDISVPPEKLLGVTIYSSDAIRSIAFNYIGVDGQEYAIGPWGGGEGTSTEIKLGSSEHIKEISGTHGPVYDLADIVTYLKIVTSANNTYEAGVPNGKEFSIPLQDSGHVVGFFGRSGTLIDAIGIYVHP >ONIVA01G17220.2 pep chromosome:AWHD00000000:1:14241430:14242039:-1 gene:ONIVA01G17220 transcript:ONIVA01G17220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVKIGLWGGNGGSAQDISVPPEKLLGVTIYSSDAIRSIAFNYIGVDGQEYAIGPWGGGEGTSTEIKLGSSEHIKEISGTHGPVYDLADIVTYLKIVTSANNTYEAGVPNGKEFSIPLQDSGHVVGFFGRSGTLIDAIGIYVHP >ONIVA01G17210.1 pep chromosome:AWHD00000000:1:14237239:14238341:-1 gene:ONIVA01G17210 transcript:ONIVA01G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKIGLWGGNGGSAQDITVKPSKLTGMTIRSGQAIDAVGFTYIGTDGQEHVVGPWGGNGGSPTTIIFGPSERVKEVSGTHGTLQTLADILTYLRIVTDVTTHEFGVPNGTAFSVPLQDDARVVGFFARSGLLVDAIGVYVQP >ONIVA01G17200.1 pep chromosome:AWHD00000000:1:14227962:14230605:1 gene:ONIVA01G17200 transcript:ONIVA01G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAVKKADGKTQQALKVAKAVKSGSIKRKSKKIRTSVTFHRPKTLKKARDPKYPRVSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >ONIVA01G17190.1 pep chromosome:AWHD00000000:1:14218940:14225047:1 gene:ONIVA01G17190 transcript:ONIVA01G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKGRTEMEVGADGVAVITICNPPVNSLSIDVLLSLKENYAEALRRNDVKAIVVTGKGGKFSGGFDISSFGSVQGGKVEQPKVGYISIDIITDTLEAATKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKALEMMLLSKPIKGAEAHQLGLVDSLVSADDLVNTARRWALDICELKRPWIKSLYKTDKLEPLGEAREILKFARAQARRQAANLEHPLVCIDVIEEGIVSGPRAGLWKEANAFQGLLFADTCKNLVHVFFSQRATSKVPGATDLGLMPRKVTKVAILGGGLMGSGIATAMILSNYPVILKEVNEKFLNAGIDRIKANLQSRVRKGKMTEERYEKAMSLVTGVLDYERFKDVDLVIEAVIENVKLKQQIFSDLEKYCPSHCVLATNTSTIDLNLIGEKTRSKDRIVGAHFFSPAHVMPLLEIVRTQHTSPQVVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALLFVDLGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAAATGMQYLENFPERVYKSMLIPLMMEDKRTGEASRKGFYKYEDKRKATPDPEIMKYIEKSRSMAGVTPDTELMKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGIMFWADSIGAKYIHDKLEVWAKRYSDIFKPCSYLAERAANGVPLSAPAKQVKARL >ONIVA01G17190.2 pep chromosome:AWHD00000000:1:14219170:14225047:1 gene:ONIVA01G17190 transcript:ONIVA01G17190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKGRTEMEVGADGVAVITICNPPENYAEALRRNDVKAIVVTAATKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKALEMMLLSKPIKGAEAHQLGLVDSLVSADDLVNTARRWALDICELKRPWIKSLYKTDKLEPLGEAREILKFARAQARRQAANLEHPLVCIDVIEEGIVSGPRAGLWKEANAFQGLLFADTCKNLVHVFFSQRATSKVPGATDLGLMPRKVTKVAILGGGLMGSGIATAMILSNYPVILKEVNEKFLNAGIDRIKANLQSRVRKGKMTEERYEKAMSLVTGVLDYERFKDVDLVIEAVIENVKLKQQIFSDLEKYCPSHCVLATNTSTIDLNLIGEKTRSKDRIVGAHFFSPAHVMPLLEIVRTQHTSPQVVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALLFVDLGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAAATGMQYLENFPERVYKSMLIPLMMEDKRTGEASRKGFYKYEDKRKATPDPEIMKYIEKSRSMAGVTPDTELMKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGIMFWADSIGAKYIHDKLEVWAKRYSDIFKPCSYLAERAANGVPLSAPAKQVKARL >ONIVA01G17180.1 pep chromosome:AWHD00000000:1:14192871:14194424:-1 gene:ONIVA01G17180 transcript:ONIVA01G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDMGRSMGWLSNMIDQRISEQSQTNLRPNDDRSTAGEHRQRSKRRRQEGAGGQGAGVCQGRGRGLRHGWGRRRERPCSAGAATIGLRWLWASDVARCGFGGRRGASSTHAWRPGARRRMGVASGGSAVLGKEGRDDDRQLR >ONIVA01G17170.1 pep chromosome:AWHD00000000:1:14185026:14186465:1 gene:ONIVA01G17170 transcript:ONIVA01G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPLLLGLLLFITCLLQVLLAAANTQPPPPPPSCDKSDKELRFMFSQWMAKYAKHYSCPEEQEKRYQVWKGNTNFIGAFRSQTQLSSGVGAFAPQTITDSVVGMNRFGDLTSTEFVQQFTGFNASGFHSPPPTPISPHSWQPCCVDWRSSGAVTGVKFQGNCASCWAFASAAAIEGLHKIKTGELVSLSEQVMVDCDTGSFGCSGGHSDTALNLVASRGGITSEEKYPYTGVQGSCDVGKLLFDHSASVSGFAAVPPNDERQLALAVARQPVTVYIDASAQEFQFYKGGVYKGPCNPGSVNHAVTIVGYCENFGGEKYWIAKNSWSNDWGEQGYVYLAKDVWWPQGTCGLATSPFYPTV >ONIVA01G17160.1 pep chromosome:AWHD00000000:1:14175358:14176472:-1 gene:ONIVA01G17160 transcript:ONIVA01G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPMTSPVLLVVCTLLALQAMAADAYYNNGSDDGVTMQMFEEWMAKFGKTYKCHGEKEHRFGIFRDNVHFIRGYKPQVTYDSAVGINQFADLTNDEFVATYTGAKPPHPKEAPRPVDPIWTPCCIDWRFRGAVTGVKDQGACGSCWAFAAVAAIEGLTKIRTGQLTPLSEQELVDCDTNSNGCGGGHTDRAFELVASKGGITAESDYRYEGFQGKCRVDDMLFNHAASIGGYRAVPPNDERQLATAVARQPVTVYIDASGPAFQFYKSGVFPGPCGASSNHAVTLVGYCQDGASGKKYWLAKNSWGKTWGQQGYILLEKDVLQPYGTCGLAVSPFYPTV >ONIVA01G17150.1 pep chromosome:AWHD00000000:1:14170762:14171079:-1 gene:ONIVA01G17150 transcript:ONIVA01G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGNRQPREVAADPGESPPATAALEDSARLGFFFLLALLRPAWCSTTTRRGDGTRRGDRRPRKAVAAPGGAPPATAALEGGARRLWLTATESKTREWKRRERRD >ONIVA01G17140.1 pep chromosome:AWHD00000000:1:14158208:14158414:1 gene:ONIVA01G17140 transcript:ONIVA01G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLHKPKSMPLAVFVSNANADNDHDHDGYGSSLFVMERIPKPELGSNSDQFEAFIYRKTAISSYIKA >ONIVA01G17130.1 pep chromosome:AWHD00000000:1:14158082:14158423:-1 gene:ONIVA01G17130 transcript:ONIVA01G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGLYVGGYCSFAVYEGLKLIAVGAQLRFGNPLHDKEAAAIAIMVMVIVSVGIGNEDSQGHRLGLVKTGHGSYFLPGTYLRRRGTRGQTDLCKSPRGPCKRETVDLRWDWS >ONIVA01G17120.1 pep chromosome:AWHD00000000:1:14151084:14151512:1 gene:ONIVA01G17120 transcript:ONIVA01G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVPGVQICASPASWRSDPAASVVGGGGEGGIGGLGGREAGGDADGGGGIGGGRQARAETEARLEASPAEAAEVETAAAVRPRPPPRHGSRSVVRHRASSHPCQIWRPGCRCASPPAPVGSGGRAAVTAAPPLPPLHRER >ONIVA01G17110.1 pep chromosome:AWHD00000000:1:14150788:14151392:-1 gene:ONIVA01G17110 transcript:ONIVA01G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAVADDGSRAVAWWRPRPDGGSGLHLRRLCWRRLQPRLRLRPRLPAATYASTSVGVSPRLPAAKATDASLTSATDHASRRIRPPRGRGCADLNARYRLLHPHPPATSVFAVECLFEILRRLPGGCKRGASTCVSRSWFVMEDDELSASVPLL >ONIVA01G17100.1 pep chromosome:AWHD00000000:1:14131940:14133465:1 gene:ONIVA01G17100 transcript:ONIVA01G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPSRPMTSPVLLVVCTLLALQAMAADAYYNNGSDDGVTMQMFEEWMAKFGKTYKCHGEKEHRFGIFRDNVHFIRGYKPQVTYDSAVGINQFADLTNDEFVATYTGAKPPHPKEAPRPVDPIWTPCCIDWRFRGAVTGVKDQGACGSCWAFAAVAAIEGLTKIRTGQLTPLSEQELVDCDTNSNGCGGGHTDRAFELVASKGGITAESDYRYEGFQGKCRVDDMLFNHAASIGGYRAVPPNDERQLATAVARQPVTVYIDASGPAFQFYKSGVFPGPCGASSNHAVTLVGYCQDGASGKKYWLAKNSWGKTWGQQGYILLEKDVLQPYGTCGLAVSPFYPTV >ONIVA01G17090.1 pep chromosome:AWHD00000000:1:14124575:14129026:-1 gene:ONIVA01G17090 transcript:ONIVA01G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPLLLGLLLSITCLLQVLLAAANPQPPPPPSCDKSDKELRFMFSQWMAKYAKHYSCPEEQEKRYQVWKGNTNFIGAFRSQTQLSSGVGAFAPQTITDSVVGMNRFGDLTSTEFVQQFTGFNASGFHSPPPTPISPHSWQPCCVDWRSSGAVTGVKFQGNCASCWAFASAAAIEGLHKIKTGELVSLSEQVMVDCDTGSFGCSGGHSDTALNLVASRGGITSEEKYPYTGVQGSCDVGKLLFDHSASVSGFAAVPPNDERQLALALTKGKKRFCDFSQFTHLPDAQRLKLNNEDAIFFRANQDGAGTFGNYSQTCRSLPLSFPTDRPWEHRLEIHGHATHTMGGDDGGGGVLIPLHCGRWPWQRVAVAVQASSAKPDIHTVLEFNLDGFAKLRGEDFWYFGLEIVGPLCPEWKGFLFRIISILDVLDLYGKFWKRN >ONIVA01G17080.1 pep chromosome:AWHD00000000:1:14121838:14123982:1 gene:ONIVA01G17080 transcript:ONIVA01G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGDKCNRDVLDASSDLLTVRVFRTDALLALSLIIVAILVGVGSFTRQYRHHGSIRLLSLGAYTLFLPLVSYVVSGVDKENCALPDGIKCSDNSSKYLLAWPSLVQIIGANCCTGIAADDEEGRSIGPAVQLLVGAIWTLLLVLKHFGGYQYDSYLVYWFIAMPCALNITKVMSKLYAYKKARHSLEVGRNPLLIAGYMEQLNSQRGEEHVIPLLLMGEDKQRVEEGPRGYRFTDSSGNSSLVTMDNVVKMASTNDTVLNSWPPYQDLCFSFSLFKLLRQRFTGRSGVEADYPRVPSFMTKLQHGDPQGIVSMIHNELSFACDFYYSYLPISYSRGWLPILNVVLSFFVVTYCSVSGIFLLRDAYVSPGTHQITCKLTCGEEYDFAYGHILTLDVLISFLAIVVLLSEAWEIISYACSNWTKVNLICYYITKTSRQGSPLMKTLIRCMLRLRCKVLNHSYKMGQTSIMDTNMKIVKAVRRLLRLSDQQMEFVEIPPEVNTAILAKFRDSNWSLPTVTASLQQSSIGNILLYGNGKGTSDVILVWHIATCIFEIKHPHEPSNAPAVTATRLSRYCAYLLSSAPELLPDDKAWSKNIYKSVKKIAEPIFRKSNKGPIGYEDILQKLKEKSNDNTELKNGVALGKQLVDETRDAEQEGWEILAGFWSAMVLYIAPSDNVGAHREAIARGGELITILWAMLTHAGIISRPRTGHAV >ONIVA01G17070.1 pep chromosome:AWHD00000000:1:14113041:14115668:-1 gene:ONIVA01G17070 transcript:ONIVA01G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSMSVRSPASFPFPTAAGARGRVGSSQPVGPKLLSSPLPPAATPAVPRRLLFPVAAGIWDFLSGGGAGGAAAASLAVRRGMQLFRQGDVAGSVAEFDRANELNQRQKQCSLYYLDRFEEGAKQFRLDVAANPNDTEESIWCFLCEAQLYGVGLDSRPVMREAYALFKDGGDPENVLSSNFSSSSDGEIFYSSLYTGLYYESRKDVELAKSHIVAACRSPYGSRSGDYMASLALIHCQCRDWTLE >ONIVA01G17070.2 pep chromosome:AWHD00000000:1:14113041:14115668:-1 gene:ONIVA01G17070 transcript:ONIVA01G17070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSMSVRSPASFPFPTAAGARGRVGSSQPVGPKLLSSPLPPAATPAVPRRLLFPVAAGIWDFLSGGGAGGAAAASLAVRRGMQLFRQGDVAGSVAEFDRANELNQRQKQCSLYYLDRFEEGAKQFRLDVAANPNDTEESIWCFLCEAQLYGLSSNFSSSSDGEIFYSSLYTGLYYESRKDVELAKSHIVAACRSPYGSRSGDYMASLALIHCQCRDWTLE >ONIVA01G17060.1 pep chromosome:AWHD00000000:1:14108823:14110988:1 gene:ONIVA01G17060 transcript:ONIVA01G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDDACDPDLLDFAFKQIRVRVLRTNVVLVLSVIMVAILVGAGSFSRRYRRHGSMIRLLFLGAYTMFLPLVSYVVSGVDKENCALPDGISECTDDGTRYLLVWPSLVQIVGANYCIATAPYDDQRRNINRTVQLLFGAIWTLFLVIQHFLGYSYDHIIVYWAVSMPCALSIAKILTKLYTYEKAWNSLELGGRNPRLIAGYMKQLNLSQRGDKHEIPLILMGEDKQKVKEGPGGFLFTDDSVHSTTLVTLERVTNMMSTDDSIFKRSEQPFEDLCLSFSLFKLLRLRFTSCPVADADQWSVPNFMSKLQHGNPQDILGLIGDELSFACDFYYSYLPVSYSTWWLPFLNVLFSFLVIAYCLAGGISLLVHEAYWIPTESQMTCTLTCGRDRGFGYILIVEVLTLFLGVPVLLSETWEIISYTCSNWTKVKLISYYVTKSSWQRSPLLQRLICCMLRFKCKILNNSYKMGQTSIMDTSMAIVIAVRRLLRLPDQMKYVKIPPQVNTAIVNTFRSSNYRVPPDIASLQRRQIGNNTLPAYSGTGTSDVILVWHIATCIFEIRHPQEPSTACAVNDRITASHLSRYCAYLLSSAPELLPDDKAWSKRLYKSVKKITKPLFSKSDGPMEFERLLQQLAESSNSNTELKNGVALGVQLVDETQDAEEGWRVLAGFWSDMVLYIAPSDNLGAHGEAIARGGELITILWAMLTHAGIISRPRTDNAV >ONIVA01G17050.1 pep chromosome:AWHD00000000:1:14070234:14076051:-1 gene:ONIVA01G17050 transcript:ONIVA01G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDVTNLNISDEAYGMIL >ONIVA01G17050.2 pep chromosome:AWHD00000000:1:14070409:14076051:-1 gene:ONIVA01G17050 transcript:ONIVA01G17050.2 gene_biotype:protein_coding transcript_biotype:protein_coding LLSYFPVSGHNLWHAAEQIVGQRRRRRQAAHSLGLDLDLGLKISNCVFQYICKSQIRRPDAAAFILLPPYPPSPSARIPPPPADGFSTAPAPDRRGGSTWPREEQQPVTPPPPVRRTAACRGLPLLHHVRASGQAVLHVLRFAGDGGQAGLFVDITNVINAKLTKKRAAVIQSEINVPKDRENCQQINKNSTSKIQRASTIGFAF >ONIVA01G17040.1 pep chromosome:AWHD00000000:1:14049888:14052361:-1 gene:ONIVA01G17040 transcript:ONIVA01G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSMSVRSPASFPFPTAAGTRGRVGSAQPVGPKLLSSPLPPAATPAVPRRLLFPVAAGIWDFLSGGGAGGAAAVSLAVRRGMQLFRQGDVAGSVAEFDRAIELDQRQKQYLWQRGLSLYYMDRFEEGAEQFRLDVAANPNDTEESLWCFLCEAQLYGVGLDSRSVMREAYALFKDGGDPEKLASNFSSGSEGEIFYSSLYTGLYYESQKDAELAKSHIVAACRSPYGSRSGDYMASLALVHCQCRNWTLE >ONIVA01G17030.1 pep chromosome:AWHD00000000:1:13997180:13999516:-1 gene:ONIVA01G17030 transcript:ONIVA01G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSMSVRSPPSFPFPTAAGARGRVGLAQPVGPKLLSSPLLPAATPAGDVAGSVVEFDRAIELDQRQKQYSKKVLDVAANPNDTEESIWCFPCEAQLYGVGLDSRSVMREAYALFKDGGDPEKLASNFSSGSEGESFYSSLYTGLYYESQKDAELAKSHIVAACRSPYGSRSGDYMASLALVHCQCRNWTLE >ONIVA01G17020.1 pep chromosome:AWHD00000000:1:13995926:13996425:1 gene:ONIVA01G17020 transcript:ONIVA01G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELLGENDVVLLLCVPELVTALLWSSLSTSTGAARLPPWTRSNQTETGWSSSSAXXXXGGGGGGGGVAGFGYLAASMDEHGVSPGLLVYYAVFMLRQWPAHGTAKATVSCLEEAAQLLKFWADALLVVAAALLVPTSLAAVRLLHEQARFLH >ONIVA01G17010.1 pep chromosome:AWHD00000000:1:13995716:13995910:1 gene:ONIVA01G17010 transcript:ONIVA01G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVPYWALCVTGHGPRHGPRRHPIPPLPQRHTGRAGPDADEAQLAARRRRLSCSARPRSWRCW >ONIVA01G17000.1 pep chromosome:AWHD00000000:1:13971485:13974401:1 gene:ONIVA01G17000 transcript:ONIVA01G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACIGLLAVGAWCCIPALLLCHSSHPASASALPARARLLACPLGPGGWSRRRLAGADAAAAALRPEAGSLAAAPSSPHPALLRATRTTEVAVRRWGSGMGIDGLVRVEKMIDTGNEMPNDEYFALGTRGFEDEDITKYS >ONIVA01G16990.1 pep chromosome:AWHD00000000:1:13941351:13942574:1 gene:ONIVA01G16990 transcript:ONIVA01G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKIPIGLIAHRQKRAATYAKRKESLRKKAEELSTLCGVRVAFVCAGPVVPGGGGGAAGKEEVWESEEGVLAEYRALPPEARAQHAHRVYLKEEVGKERAKLARVRQDGAFPSWDAALDGITADEARALLESIDAARAAANARREALGLPDDGNGVDDDGGLDLQQQQEHVPPGGSDAVVVPVGHGVLQYTGSGGGNQMQTTPAADGINCADLYGAVPWDDTFQPQVMRTGDHFVPMDGYLWQAPGNGWPDLATGCTNESCSCNAAAAAAAMPAMYPPTLDTVHGSFLAAPAQPIPIAFSTSTDFIDAPNDFLTMGLCGGFTNVGDYSAAQPQSSADGGFQLGDTFAAEPGDTQSQNWGSFINVVSDDSAQCNCNAAIHLDQMYYLFGGTGGGEPSDTQSRHWGS >ONIVA01G16980.1 pep chromosome:AWHD00000000:1:13937192:13938412:1 gene:ONIVA01G16980 transcript:ONIVA01G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKETVIKMAKELSVLCDVPVALVCAVGGAVEVWESEEGVLDRYRALPPEVRATRAHTHRGYLERELRARRSKLAKVREEGAFKSWGRDALSGIITAEEAPALLESIDAAIAAATARQEALALLDGGGLHLQHVPASASDAVAPVVGGHGVQVQYIGGSGGGGGSQQEMTPAADGDGARNADQYDDILPWDGNTFEAHNAHVMLPACGFQCTGDYRVDMDGYVWGAPDDANAYHGWPDEAMWCTDESCSCNAATATAVPAMYHPPTLDTVHGSFLAAPAQPLAFSTGADFINAPNDFLTVGVGGSFINVGDYSAQSLADEFHHLSDATNQLDQTHYPPFGGTGGAEPGDTLSHSWGDYYLAQSSANECQLLGVDGGDIHLDQTHCLGGAGGAEPGDTKSHNWDG >ONIVA01G16970.1 pep chromosome:AWHD00000000:1:13930681:13934783:1 gene:ONIVA01G16970 transcript:ONIVA01G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRISPRKTLPLFAALALALAWAFAAPAFADGDDVVALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVFIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRSAEALAEFVNTEGGTNVKLATIPSSVVVLGPDNFDSIVLDENKDILVEFYAPWCGHCKHLAPIYEKLASVYKLDDGVVIANLDADKHKDLAEKYGVSGYPTLKFFPKGNKAGEDYDGGRELDDFVKFINEKCGTSRDTKGQLTSEAGRIASLDALAKEFLGAANDKRKEILSNMEEEVVKLSGSAAKHGKVYIAIAKKILDKGHDYTKKETERLERMLEKSISPSKADEFIIKKNVLSTFSS >ONIVA01G16960.1 pep chromosome:AWHD00000000:1:13923150:13927629:-1 gene:ONIVA01G16960 transcript:ONIVA01G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGLVPPPQMYRAKPMQTAAEPTRVVLHVLPVDDAEFIPISICETSTTASNQRDRKEGHRHTNTREPK >ONIVA01G16950.1 pep chromosome:AWHD00000000:1:13921752:13925674:1 gene:ONIVA01G16950 transcript:ONIVA01G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMNSVQPNAQFHVPHKSLSLDIYIVISKYEGSFMVMVTQIGCMGTILAARKDESVFSDPTYNVLFGKRDEATMKYIVSTIIENRLW >ONIVA01G16950.2 pep chromosome:AWHD00000000:1:13922745:13925674:1 gene:ONIVA01G16950 transcript:ONIVA01G16950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDSQPETTTAAAKDGELAMEMGMNSVQPNAQFHVPHKSLSLDIYIVISKYEGSFMVMVTQIGCMGTILAARKDESVFSDPTYNVLFGKRDEATMKYIVSTIIENRLW >ONIVA01G16950.3 pep chromosome:AWHD00000000:1:13921754:13925674:1 gene:ONIVA01G16950 transcript:ONIVA01G16950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMNSVQPNAQFHVPHKSLSLDIYIVISKYEGSFMVMVTQIGCMGTILAARKDESVFSDPTYNVLFGKRDEATMKYIVSTIIENRLW >ONIVA01G16940.1 pep chromosome:AWHD00000000:1:13920268:13922471:-1 gene:ONIVA01G16940 transcript:ONIVA01G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPLGGGGGGGGDGNNNGKWKGKEKVVPEYGKNRHGMPVGCYFVPKDLELFAILRCKLVRSQLPGALNNVFEHIRILEFHPALLHETYIGNEEDGYIYFFSRRQFATKARNKRRPTRVAKGGTWKASGGSKTLRSKKVGGIDVGQKLTMVFYERRFEGDQNPIKTNWGMHEFTKIIDGSKNQLEDLAVYRLYKIKRKEDEEPVNAAAAASSTDEPSTSSALPPPTPPRPLPDMAGPSSATPLLPLQLPGLAGSSSAMSLPVPLQLPGLAGSSSAMSLPAQQMPGMAGSSSAMPLPLSLPGLAGGMMSMADQANMASTSQASTPSSELLQDWYDEFEITYGAVAPPSPSTISWEEPQSSPTGWWPSPNGEPVQHDGYLGMAANPTSYMLEHPLPTAAIPPEPMTPPTSSPAPPPAVDNHHRLSPPHDAAGSNYNHPELAGYNGGVQAQHEHQHQPQEPQPALLVDGEDGYGAIADGDGDTQLGVAELDTERIAEMVNHIMDGEFEFKFEDNTVLKYNEVFPDDDKVVAAPMMIDGGGDGDGADGGDGGDPFDN >ONIVA01G16930.1 pep chromosome:AWHD00000000:1:13919320:13919688:1 gene:ONIVA01G16930 transcript:ONIVA01G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARPVHPLRVHGESIRGLLLLLLLLLFVVQCSLLSCCLAHAAAAADAVDRDDPVVTATAGRGRRFLPSPALQLHSVQVNVAAHPWSKERRRSRRRRRRRAATLMAVSKHQVPTGANPDSN >ONIVA01G16920.1 pep chromosome:AWHD00000000:1:13916985:13917731:-1 gene:ONIVA01G16920 transcript:ONIVA01G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRMVYSQMSIFTFQQSIPVSTGTKILVVGSNQIQRLVEFGIARWKRKRATTSWIGDFNAIAVWIDATTATRNDEPPPPPGMTSRHRLDFNSAGTGNDEPLPPGVSGSTSASLGAGKKSSISARRIDDFNAAAAAWTSTPPPPGTTSRRRLDQRCRRLDFNSTATGNDEPPPPGSTRSLLGLQLRCRLDFNDAAA >ONIVA01G16910.1 pep chromosome:AWHD00000000:1:13896618:13900617:-1 gene:ONIVA01G16910 transcript:ONIVA01G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTAAAPPLGIALVGPTRARRGGGLSLSSSSSSSSCCYLSMCGRGVLRGGCARMREPLPPAPIGLDRFLCGYMRRDGHEDGERSQGIEDSLMFGPDDDNGSNIPTQVETLVRGTATVATPEYKSIPDLDYLQELLAIQQQGPRAIGFFGTRNMGFMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >ONIVA01G16900.1 pep chromosome:AWHD00000000:1:13886846:13887399:1 gene:ONIVA01G16900 transcript:ONIVA01G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAADVTSEVTVTSQCGGGGGGGGGAEVCRNTAGQEDADDLRQGAVFVSTFGGRRIFSNDTVVYTCSTSSGMDAIVSLGRRSVLVRHSGVGVDKAPNIDPNLYFVAITGIKVGSGETVNDKAAAIMTTDTIHFTLLNLVHFDHLKK >ONIVA01G16890.1 pep chromosome:AWHD00000000:1:13870251:13879379:1 gene:ONIVA01G16890 transcript:ONIVA01G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPQQARGGGIDIEACARPIAVDHRIKLPYYFRIAGNLLRQAKIYRDENNLVDLYVILLRYSSLVCETIPKHRDYHTFKLREVDFFRLAPHNQSKLIEVLSELESLKPVVQRQITEHNRARGGAIESNSINGTIAVNNITKQHMTNPYTYQPFVGSNNGSFQRPVPGGNHQMAPLMSAQPDRPTRKQLANLPFPKEETLARHSILGPNGLHGQWTGPVTAIKVQYPSNLDLIKSDVSSLFPSVLNQDGQNGPSTISTDSTQIENDDMKSVLSLDDGRWSKLAEECASVPSVSLEEELSQLSIKQPSPPPVLAELERRPIAPSEVADPTPGLAVSETGRYQNLHVPVKLMECFLRVAEANTKRSLETCGVLAGTLKKRTFYVTALIIPKQKSTSDSCEATNEDELFDVQDKGSLFTLGWIHTHPTQSCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTRRKHGIFHLSDPGGMGVIHDCPERGFHPHKAPLDGSPIYEHCSHVYMNPDVKFDVIDLR >ONIVA01G16880.1 pep chromosome:AWHD00000000:1:13867390:13870425:-1 gene:ONIVA01G16880 transcript:ONIVA01G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPRGVVICRDDLATYRQHVNIISSPHVTGKNCQKMAKLYESLTKRAPQEMQILNSFSTRSSTNAITIATLCLCTVIHQLQLLLSLELH >ONIVA01G16870.1 pep chromosome:AWHD00000000:1:13865298:13869118:1 gene:ONIVA01G16870 transcript:ONIVA01G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 13 [Source:Projected from Arabidopsis thaliana (AT1G02680) TAIR;Acc:AT1G02680] MQNPVGHHASPASAAKSKSSTAAAASASGQGSSHHHHHHHSGGGGGGGADASATTLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDVRKLHRATELLSMNEELKQARKAFDVNEETLATNNE >ONIVA01G16870.2 pep chromosome:AWHD00000000:1:13865298:13869119:1 gene:ONIVA01G16870 transcript:ONIVA01G16870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 13 [Source:Projected from Arabidopsis thaliana (AT1G02680) TAIR;Acc:AT1G02680] MQNPVGHHASPASAAKSKSSTAAAASASGQGSSHHHHHHHSGGGGGGGADASATTLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDVRKLHRATELLSMNEELKQARKAFDVNEETLATNNE >ONIVA01G16860.1 pep chromosome:AWHD00000000:1:13860099:13862772:1 gene:ONIVA01G16860 transcript:ONIVA01G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVSLGESNVRPLEVFMCSVVRKMGYGDGFKWVSQYINWLFFTTTIIMTVWRV >ONIVA01G16860.2 pep chromosome:AWHD00000000:1:13860099:13862772:1 gene:ONIVA01G16860 transcript:ONIVA01G16860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVSLGESNVRPLEVFMCSVVRKMGYGDGFNWLFFTTTIIMTVWRV >ONIVA01G16850.1 pep chromosome:AWHD00000000:1:13845675:13855321:1 gene:ONIVA01G16850 transcript:ONIVA01G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FL85] MYSTAISLSAAATAAAAVGGARPGAIRPAELRFCGLRREALGLRSLRAPPRAAATPRKAAAATGNGAAGSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQDEHHMKSLGLQVSTAGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPNGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENVNVVTQRGFVPVDERMQVMDADGNAVPNLYCIGDANGKLMLAHAASAQGISVVERISGKDNILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGLAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKVNAGVPHSVNEPVAA >ONIVA01G16850.2 pep chromosome:AWHD00000000:1:13845675:13855584:1 gene:ONIVA01G16850 transcript:ONIVA01G16850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FL85] MYSTAISLSAAATAAAAVGGARPGAIRPAELRFCGLRREALGLRSLRAPPRAAATPRKAAAATGNGAAGSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQDEHHMKSLGLQVSTAGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPNGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENVNVVTQRGFVPVDERMQVMDADGNAVPNLYCIGDANGKLMLAHAASAQGISVVERISGKDNILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGLAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKVNAGVPHSVNEPVAA >ONIVA01G16840.1 pep chromosome:AWHD00000000:1:13838437:13839052:1 gene:ONIVA01G16840 transcript:ONIVA01G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDWVAAERGGAAGRLGVASTSCGGMAMGAVEKWCIGGWGSRGGTTRRRPTRSRRRGRWGDRTSALGPAPWWLGSGGDGDAAHMAWVTARPLGGEAKTSARQRPGVSAQATARRQPDSAARRRGRGRP >ONIVA01G16830.1 pep chromosome:AWHD00000000:1:13818653:13835533:-1 gene:ONIVA01G16830 transcript:ONIVA01G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQERREAEGGGGGGEAAAANTSGMQRVKVYRLTDGGKWDDRGTGHVNIDFLEDSKELGLTVLDEEDNETLLMHNITSEDIYRKQEDTIISWRDPEVATELALSFQEAAGCSYIWDNICDIQRNIQFNNLGALEVGPRPTSVSLEASRVLHSNGFIADESFRSVNGELRELPPVELSNLPLILKTILEGGITDQMRVAELITQDREFFPKLLDIFRICEDLENLDDLHMIFKLVRGIILLNSSSIFDKIFSDEFILDIIGALEYDPDVPKVQKHRAFLKDHVVFKEDVILPRVLDDGTLASLNTMIHSNNAAVISLLKDDSCFIQELFARMRLSNISMESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFDIISDVLQSQDRKIVSAGTDVLILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDFGEAMHCQFLEILRILMDSFTMSGAHRDAVIEIFYEKHLDYLVDVIASSCPPRNISRASNSAGNTETEVNRTKPEILLNVPLSNQQCNRENPCLDSTEGEDDFLIRHVVKMNLFKPIIDAFVENGDRYNMLQSGVLELLEYIRKEGLKQLIIYANESFWDQLMKFEHFGSIQAFRLKYQQYLESAETKLSANVPDIRKKAEERGLEKEEEDYFNEDSDEEDSVRRTKHAQKQDGGAKLTNGSEADDVSSRPKSGGLVDYADDDDEDFNPPPKEPDRPEEDDEPLTIAKLKRKLMNSKVDGKQSDGEFHKRQKIETRITSVKIGASTNLVSKRMDDLEKQEPRSPASSSSGSEANGVFGEHSVHSEEHQRSADNTEASRQAGGDCVNAMGNLSTEKSVNTTNTNDSEPYSNVHAATPYYTTCTPETSAPARRPASVWTPKKVPATSGASSTSAPGGIISLSDASVEILTQLAPGMAANCRRTSSTIFSAALPTLFIVIAENQ >ONIVA01G16830.2 pep chromosome:AWHD00000000:1:13818653:13835533:-1 gene:ONIVA01G16830 transcript:ONIVA01G16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQERREAEGGGGGGEAAAANTSGMQRVKVYRLTDGGKWDDRGTGHVNIDFLEDSKELGLTVLDEEDNETLLMHNITSEDIYRKQEDTIISWRDPEVATELALSFQEAAGCSYIWDNICDIQRNIQFNNLGALEVGPRPTSVSLEASRVLHSNDESFRSVNGELRELPPVELSNLPLILKTILEGGITDQMRVAELITQDREFFPKLLDIFRICEDLENLDDLHMIFKLVRGIILLNSSSIFDKIFSDEFILDIIGALEYDPDVPKVQKHRAFLKDHVVFKEDVILPRVLDDGTLASLNTMIHSNNAAVISLLKDDSCFIQELFARMRLSNISMESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFDIISDVLQSQDRKIVSAGTDVLILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDFGEAMHCQFLEILRILMDSFTMSGAHRDAVIEIFYEKHLDYLVDVIASSCPPRNISRASNSAGNTETEVNRTKPEILLNVPLSNQQCNRENPCLDSTEGEDDFLIRHVVKMNLFKPIIDAFVENGDRYNMLQSGVLELLEYIRKEGLKQLIIYANESFWDQLMKFEHFGSIQAFRLKYQQYLESAETKLSANVPDIRKKAEERGLEKEEEDYFNEDSDEEDSVRRTKHAQKQDGGAKLTNGSEADDVSSRPKSGGLVDYADDDDEDFNPPPKEPDRPEEDDEPLTIAKLKRKLMNSKVDGKQSDGEFHKRQKIETRITSVKIGASTNLVSKRMDDLEKQEPRSPASSSSGSEANGVFGEHSVHSEEHQRSADNTEASRQAGGDCVNAMGNLSTEKSVNTTNTNDSEPYSNVHAATPYYTTCTPETSAPARRPASVWTPKKVPATSGASSTSAPGGIISLSDASVEILTQLAPGMAANCRRTSSTIFSAALPTLFIVIAENQ >ONIVA01G16820.1 pep chromosome:AWHD00000000:1:13810660:13824564:1 gene:ONIVA01G16820 transcript:ONIVA01G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAADAVIPACAAVGIAFAVWQWLLVSRVKVSPYSAAAAAARNGCAGRAVFRPEGEVDDDDGGCGDDEEADGDGGVAAMARCAEIQSAIRVGANSFLFTQYKYLAAFTAVFAVVIFLFLGSVHRFSTESQPCQYTRGKACKPALANAVFSTIAFLLGAATSVASGFLGMRIATAANARTTVEARRGIGPAFAAAFRSGAVMGFLLASLGLLVLYVAIKVFGLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSFGADHNFAAVSYPLLISSAGLIVCLITTLFATDLYRVKTVDGVAPALKLQLLISTVLMTVGVLVVTFTALPHEFTMFDFGEVKRVKNWHLFFCVTIGLWAGLAIGFTTEYFTSNAYSPVRDVADSCRTGAATNVIFGLALGYKSVIVPVFAIAVSIYVSFTLASIYGIAVAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSHRIRQRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGMAVINVLSPKVFVGLVVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFAAIPGLMEGRATPDYASCVRISTDASLREMMPPGALVLLAPLVAGTFFGVQTLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGASDHAKALGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFK >ONIVA01G16810.1 pep chromosome:AWHD00000000:1:13799272:13808269:1 gene:ONIVA01G16810 transcript:ONIVA01G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRPAASASSMPVTAADDGDDGDDGHDLMSFDMIRHRAKTFALALVDGIGLIEGAIIGRSLKE >ONIVA01G16800.1 pep chromosome:AWHD00000000:1:13796367:13798969:-1 gene:ONIVA01G16800 transcript:ONIVA01G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVGALSGMVDALPAKLGDLLQQEYTLLSGARGDVGFLQSELGTMNAALLRCESLESPDVQTRAWVAQVRDLAYDIEDWIDLFVHRVDGGAAASPGAAAATSSSSSSSGGFLSWVRCCVNKVTTLPARHVIAIELQELKNRVIELSEQRKRYRFDPPARHAGGRSGVAAVDPRLVALYADTSSLVGLDAPVKKVSEMVVDDGTTGLKVVSISGMPGAGKTTLATAVLRRLKEENKFHCSAFVSVGQKPDIVGKTLKGILSQIGNGYAGGEDIGRLIGMLRDELKDKRYLIVIDDLWGRTEWSTLKCCFRDDNLGSRIMVTTRNDELAKECSSNSDESVYKTGLLSDADSKDLFSNKAFGKGKDCPNHLKDLYDIIVERCGGLPLAISSAAGALAHRFSKDEWERYESNLLPSSHSDELNLKQILNLSYNDLPSHLKSCMLYLSIFPNKYEIDVERLVRRWIAEGFIADARHASKEETARSYLTDLISRNLIQALHLRHNGTPSCYTLHPVIHDFIVVKSMEENFVTVLDAKKEALSTNNGTVRRLSLQNSVKQDLAGARNDMIKHARSVTVFGHANGVPRLNDMSVLRVLDLEGCNGPLCLDGLCKLILLRYLNLRGTDVSELPAQIGELRCLETLDVRSTKVKELPASIVSLEKLMHLLAGNAKLPGEISKMNGLLTLSCANVWKNTGSVLPELADLANLRELELFCDASEISGDNKTRISFSSDGFKRLKQLSIQGSLPSVAFVNSSLRKVEVLELKYEKGISDGSNGVSGIEHLPSLKHVLIEFSQKDAGATATIASVRNAAEMVHPNHPDVSVNVDGKAI >ONIVA01G16790.1 pep chromosome:AWHD00000000:1:13791667:13795244:1 gene:ONIVA01G16790 transcript:ONIVA01G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTETIKEFFNVNGQIIGAAVLAVLATVVVALGTYGRHCSHPALRLFVWGASTVFLLLSTSIISNLLKGVQGDKCSNASPQASGGVQQKDKPDIRKMWIILLWRNIFVAFCMLGLAKLLLNLFASWRASSSFAVGKNARLVSGYMEQLEEEGDEVGGHDQVSRYIVTGEKEEHVATGARGYRIRRDALDDESSSLVTLDRVWRMAEHGDVNGLLAKRPELRDLCLSFSLFKSLRRRLSGYPMDDAGSTKALEFVLRGMNAAGPACAVNADRVFHVLVDELSFASDFYFLGLPLCTYSGWCAALMNYIFSVLIVVGATAVGTIYKVEKILPFKEGKPNFVVTFALLVAVALVEVWDIVAGVCSNWSKMALLGHYIRHEPQWRRCRRAHAALDAVLRFRPARRWRNKIGQNSVLEPRRFCRRSGLLSEKLYGRAGLMRSVEVSPAVKDAVLRSLMSSYGRSSRGRAAERRVGSKVDWLWYGSRKSWASDDGDGCVSTTDIILAWHVATRLYEMRCSLHASSPTPSASSSDMAAACHLSNYCAYLASAAPELLPDIATWTEKRYREDSAAGETTTAQQRYERLVATLSAGARDKALRRGTEIARRLAEEYTTAAEDDDEASAWLFLADFWSEMMLYVAPSENIKGHVEAMARGGEFVTLLWALLLHAGITARPEAPSRIIP >ONIVA01G16780.1 pep chromosome:AWHD00000000:1:13787244:13789378:-1 gene:ONIVA01G16780 transcript:ONIVA01G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMMVFSQLLGFTMHRRPLHVMESFQPLWIAIQHSPSSSSPALSPCSPNFPSSPNPISPQVSTPIHAGILSLSNGSSTSPSCPSSAQVLLALVL >ONIVA01G16770.1 pep chromosome:AWHD00000000:1:13773236:13773580:1 gene:ONIVA01G16770 transcript:ONIVA01G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPHVSSIFLFFLPHIFLHPYDWYEDNSDDSSGSLALLLRVASRMAVAAALETSHHGCDRGQQRRRQLPSPPARMASRIAAAAATAGSSPLIADGVVDIDGSSGSLALPHGWR >ONIVA01G16760.1 pep chromosome:AWHD00000000:1:13741812:13748668:1 gene:ONIVA01G16760 transcript:ONIVA01G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADHMEKTVPTVVPARPPNSEGERKSTNFHPSLWGDFFLNYEPPTAPQQACMKGRAEVLREEVRTLLKGLKEVQKILDITMAIQRLGLDMYYVNEINELLHFVYSSDYNDKDLNLVSLRFYLLRKNGYNMSSGIFLSFKDNEGNFIVDDTRSLLNLYNASNLRVYGEKVLDEAATFTISRLEGVLESSDSILSTEVSFALEAPIFRRARIVEMRNYIPIYEIEATRNETILEFAKLNFNLLQLLYCEELNKITLWWKELKVKSNLSFSRDRIVEMYFWMNGALYEPHYSHSRIILTRVTAFMTIIDDIFDTYGTTEESMLLAEAINRWDESAIGLLPEYIRGFYAYLLKTFDSFEEELGPEKRYRLKRLVQAYTKELKWRDEDYTPKTLEEHFEVSMRSSGGFTLAAASFVGMDDIATKDIFEWILSYPSLFKTFDIFVRLSNDIVSNKREQTGDHYASTIQCYMKEHGTTIHETYQRLRELIEDSWKDMVEHCTNPIDDQPLIVPQTVVNFARTVTTMYTHGDAFTSSHTIKEMISSIYVVPIQV >ONIVA01G16750.1 pep chromosome:AWHD00000000:1:13732577:13733801:1 gene:ONIVA01G16750 transcript:ONIVA01G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPVALAASRLQGPMRFAFDLGDRGCVGGSSWPASAPVGSGNDDDGGVPPWRWTTGAADSGSSDGGGGECGDKAIEAAGRVVVMRSGDGVGQRWGLVLCPFLCRQWRGLAASKSDRG >ONIVA01G16740.1 pep chromosome:AWHD00000000:1:13728476:13729075:-1 gene:ONIVA01G16740 transcript:ONIVA01G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRKMDSLKYHFQPVATSYNAIRDQIALSSVPIFSSNSGYLVFLVLRRDGVDAEKAAACASRWRRWGKECGGANRGEGEGSDARVRRAAAATCTCVAGGGGADGEEAAACACVGRWRRWRRWGKKGAVARACRAAAAAQIGKKASNYTCQAAPSFPPSTTPTRRRRHSRPRRTYTRSPTGGSVEFTNRRRLSDS >ONIVA01G16730.1 pep chromosome:AWHD00000000:1:13711989:13712282:1 gene:ONIVA01G16730 transcript:ONIVA01G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVELNTLAMVLPYVSLRTSAVLEEEEEDDPRKTQVAAHQAKETRSDGEPERHGCSWSQAACVLVVQCREQGRANRSIPRSSTWHPSGAGL >ONIVA01G16720.1 pep chromosome:AWHD00000000:1:13709050:13711326:-1 gene:ONIVA01G16720 transcript:ONIVA01G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPIRPLLLLLPTILSALDLTAAAADDDDTLRTYLVVVCRMNGPKEGGEPLRAWHASLLASVLNSTTDAILYGAGAGGNRGAPVIGGERLVYSYQHVVSGFTARLRPHEAAAMARLQWCVDAVPDSTYTLTTTDTPRLLGMSTPRTGAWSVAGNMGDGVIVGVLDNGVDPRHVSFGDEGMRPPPAKWRGKCDFGGAPCNNKLIGGRAKTLEDHGTHTSGTAVGAFVRDVMVEGSNLGTASGMAPRAHLAMYEVCLADMCSATEMLTATERGAFLDGVDVLSISASDNKQKPFYDDLIAVGSFSAVMAGVFFSTSAGNAGPTAETVTNCAPWQLTVGASTVGRRIISKVQLGNGLVINGEASRGYKRVQNKPIVYVGGRFADGALKAVDIRDKIVLCNRVESAAMLEKMVADAGGVGMIAISTQMQFLATTPLGANFMPLSRVSYPDGETIKAYINSTANPMASLRFAGVVLNASALPAIAEYSSRGPCDLPNIGVLKPDITGPGTNIVAAVPDKSPGANATAAPTRTFSAKSGTSMSAPHLAGIAAVIKKAHPEWSPAVIKSAMMTTADVTHRDGTPVIDLSTGAPASYFAMGAGLVNPTKALDPGLVYDLTADDLVPYICGLGYNDSFVNDMIAQPLKNVTCAKSKKIQGKDLNYPSFLVTLTAAAPVATARRTATNIGKQPLEVYRAEVVAPPGVAVEVVPNRLEFGGAALQRREFTVKFTRGRNAAVNGAAEGSLRWVSGKHSVRSPLAVLLKQ >ONIVA01G16710.1 pep chromosome:AWHD00000000:1:13702662:13704964:1 gene:ONIVA01G16710 transcript:ONIVA01G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASDPAPAAAAAAYDRTAELRALDATLSGVRGLVASGATHLPRIFHNVVHGDQEPPEATAPSSAATTTTTVPVIDISGSRAAVVDAVRRAAAEWGFFQVTGHGVPLAAMDAAAGAARAFHESGGGEGSDKARLYSREPGRAVKYHCNFDLYQSPVANWRDTLYLRMAPDPPPAADLPEICRDALFEYAKQVKNLGNTLFELLSEGLGLKPSYLTDIECNQGQIILCHYYPPCPQPELAIGTSRHSDSGFLTILLQDDIGGLQILHEDRWVDVTPTPGAFIVNVADLLQLISNDNYKSVEHRVVAKNTEPRVSIACFFSTHFHPISTRMYGPIKELLSDENPPLYREALVRDYTARYYSVGLDGKFKTTLSEFRL >ONIVA01G16700.1 pep chromosome:AWHD00000000:1:13687317:13689636:-1 gene:ONIVA01G16700 transcript:ONIVA01G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKGGRGRIRLGCGEKELGKLGFFVGKGKLELYSNLSDLDWDIKGFLRGIEEEIPSILNLAYHKCLDQSKFLPLIHPAPAHFTSSTVSALSPCSEPPLLASMVEVGSGFAPEDFYLFFPNTFFSVESIVPPPYDAIDVPPMTSLALHSTRSNQGPPSRLPLMVGHRRSHSYILLGYSHQNPQMLPIASVKTKVTTTEGHQSGGMVAAVLKDMGIQAWSPSGSRENEAKSSGAGSTTHHCHSSSVDSFMMGNLNFGAVGQQMSSPPLLTIEANGGEGESIAKCEIH >ONIVA01G16690.1 pep chromosome:AWHD00000000:1:13686775:13687182:-1 gene:ONIVA01G16690 transcript:ONIVA01G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVSENHHLIDPEQSIICRKVKGEKDEVYRGASTQILGVAVGDCYIMSTSGNDAGMLLEGVRNNNGLVSLNNELKTRLQAMDQQAQWGDGNSPSLSFNIHLFILQSSIMHV >ONIVA01G16680.1 pep chromosome:AWHD00000000:1:13678250:13681807:-1 gene:ONIVA01G16680 transcript:ONIVA01G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MKILVAVKRVVDYAVKVRVRPDRTGVETASVKMSMNPFCEIAVEEALRLREAGAATEVVAATVGPSQSADTLRTALAMGADRAVHVLHDPDPSRPLLPLAVAKILRALALQENPGLVILGKQAIDDDCNQTGQMLAGLLNWPQGTFASKVILNKEKATVEREVDGGIETISLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPEDLDVDIRSDMEVVEVTEPPKRKAGVILSSVDELIDRLKNEARVL >ONIVA01G16670.1 pep chromosome:AWHD00000000:1:13650472:13671777:1 gene:ONIVA01G16670 transcript:ONIVA01G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGLWVVVLVVAAAVAGLVGASPASGLVLADEGGGGGGAGGRSFDGGAVRGEFPCGSHTARSRSCEEMNGSGSFDTTCVIGSSSSLDGDLCVYGDGSVVISPHVKIICPVAGCYIAINVSGSITIGEHVDLIAGSVSLYATNVSLDQRSTVNTTGLAGEPPPQTSGTPHSLEGAGGGHGGRGASCKVSNDTNWGGDVYAWSTLAWPWSYGSKGGSMAADHQFGGDGGGRVMLRASEFMNVDGDVLAEGGVGSLKGGGGSGGSIMIYAFKLYGNGTISAAGGNGWGGGGGGRISLDCYSIQQDLEITVHGGQSFGCPQNAGAAGTIYESSLQTLKVSNGNYTTHTETPLLGFPMTRLWSNVLVECNAKVLVPLLWSRVQVTGQIRLLSKGSISFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSEIQIDGGGKDVVLASMLEARNLVVLRHGSVISSNAALGVYGQGLLNLTGPGDGIKARRLFLSLFYNIEVGPGSFVQAPLDDAVQSSLDALSRCESKTCPSELITPPDDCHVNNSLSFTLQICRVEDITVSGIVRGSIIHIHRARTVTVTNNGTISASELGCKEGIGKGKFLKYGAGGGAGHGGRGGIGIYNGMRSEGGPQYGSADLPCELGSGSGSSESTDNTAGGGLIVVGSMKWPLSKLLIYGSLSSDGESHRGTKKNSNGTYKGGIGGGSGGTILLFLQGLLLERNSSLSASGGNGGLIGGGGGGGGRIHFHWSNIATGDEYVQIASVNGLVASSGGSGNDDGHFGETGTVTGKKCPVGLYGTFCTECPIGTYKNVVGSDSSLCMPCSLDSLPNRADFIYVRGGVTEPSCPYKCISSKYKMPNCYTPLEELIYTFGGPWSFAIILSFTIILLALVLSALRVKIGESDITYRSTNAIHNDGCASFPFLLSLAEVPGASRAEETQSHAHRMYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVTSTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQYVSTAIWNRLVAGLNAQLRTVRQGNIRSTLGPVVSWINSHGNPQLERHGVRVELGWFQATASCYYQLGIVVAVNEHFYKSLHQHDHVSEFIDRSRKNISSKKLNQDQPCTSYAVSRKRLTGGVNGGIINEGTLKSLECKRDYLFPFSLLLQNCRPIGYAIVAIIYGALYSGLSSLSVSSVPHALNTKSFKSREDNEWWILPIILFVVKSLQAGFVNWHLANLEIQDYSLFSPDPDRFWAM >ONIVA01G16660.1 pep chromosome:AWHD00000000:1:13648897:13649187:-1 gene:ONIVA01G16660 transcript:ONIVA01G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDELQRGVWTVEDLLAEDAATGDELRRGAWAEEDLLNAKDVATGDELRHGALTVEEDLPLVKYIVAHREYRLIPHKYHLTVHRNHLIPTAIRT >ONIVA01G16650.1 pep chromosome:AWHD00000000:1:13639616:13642893:-1 gene:ONIVA01G16650 transcript:ONIVA01G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVPIHMLMLLVATAFSWWGTRRKNITSLISLVWRVSYVLANFAFFGVAVGLVVFLRQVLHQENAEAANSVSMWMGTVYIFSLFCAFLSDSYMGRYITCIMFQFIFIVGLMLLSLLSWFLLVEPPGCGDGGGLRQCAAPSRRGVAVFYLSIYMAAFGNGGYQPSVATFGADQFDDADPGERRRKQAFFCLFYLSLNVGSLFYNSVLVFFEDRGRWVAGFWVSTAAAALALALFLLGTPRYRRVRPAGNPLTRIAQVFVAAYRKRHIVPPPGDHLHEVDGEGSAIRGVGKLAHSDQLRFLDKAATATEEDYHDGNAKNPWRLCTVTQVEEAKCVVSMVPIWICSIVYSVEFTQMSSLFVEQGAAMDTDILGLFNAPAASMSVFDVAGVLATLAFSHYVLVPAAARLTKNPRGVGELKRMGAGLVIALLGMVAAAVVEVHRRRRSGAGGRAMSVLWQAPQYAVMGASEVFVYVGQLEFFNVQSPEGVKSLGSSLCMASISLGNYASMVMVSAISGVASRRRTGGGTAGWILAELDRGHLDRFFITLAVLSAVDLVVFIVFARLFKGIEPEVEGISSSPQDDHIYIV >ONIVA01G16640.1 pep chromosome:AWHD00000000:1:13632558:13633185:1 gene:ONIVA01G16640 transcript:ONIVA01G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKAKAEDAASSAKAGVHKAKATAGEKVEKATTGDPMKKREAEERKEDRKLEAESDERVEKEGHADEKSGKHTFTTATG >ONIVA01G16630.1 pep chromosome:AWHD00000000:1:13625270:13626179:1 gene:ONIVA01G16630 transcript:ONIVA01G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGATAGSSGGGSACPGTRQRGAAGSGDGGSAVARPAREAAQGAAAASCQPGYRCGALNAKWVARWPGNRGAGGWHRSRSGGTVTGIGACPDGSAKGAGGGGSSSWLSVVGLRRLLISGKAQAVEAMPPSPGSPPAKSGEEASGWWNGAVLRQLSGVVGPRQKPSPVVHRADSGYAFGRHNLLVALSRVTLSLSCRAFLGENNIFLDGRWQHPSRLCGVAVGLAAFGHA >ONIVA01G16620.1 pep chromosome:AWHD00000000:1:13618298:13621145:-1 gene:ONIVA01G16620 transcript:ONIVA01G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPKVGDGRQEREDGETAHELQSQGGNVVGWGEIVDAKLPCYPPTIRNRLVPALNRPSWRAVTKTVYASPSRVNFHLDRRKAVETVPAYPNICFSIDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPENTESKNPSSNVLSGVNTGSKQEKPPKRTLFSGYVSYQNVREAYDAGRSKFESLFSLGHDRTKLDKLYMRGPEGRGEVEVAVSGIADQSHERSKKDPGDSFRALVHSAASTASKLAKHAYEAASTNKRFDDELLPLKCCLMSVSLPWDYIAHDLLHKVKSVTTCE >ONIVA01G16610.1 pep chromosome:AWHD00000000:1:13605478:13610476:1 gene:ONIVA01G16610 transcript:ONIVA01G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPALVVVVVVAAVALAGGGSLGFELHEATVDAIQLGFSNGSLTSTALVRFYLDRIARLNTLLHAVIEVNPDALAQAARADAERATGHRCGPLHGVPVLLKDIIATRDRLNTTAGSLSLLGAVARRDAGVVARLRRAGAVVLGKANLPEWANFRSSPGLRGWSARGGQSRNPYVLSADPCGSSTGPAIAAAANMAAVTVGTETTASILCPAAANSVVGIKPTVGLTSRSGVIPFTTRQDTVGPLCRTVADAVHVLDAIVGYDALDAKATKAASKYIPAGGYVQFLRIDGLKGKRIGIPDGFFDFPNGTVRKMVYKQHLNTMRQQGAVVIENLEIANLSVIFDGTKSGLLTALLAEFKLNLNNYLSDLSYSPVRSLAEIIAFNNAHPVEEELKEHGQSILLMSENTAGIGPAEKAAIRRLNELSVNGVEKLMNDHQLDAIVTPDSAAAVVLAFHGLPGVVVPAGYDEKGVPFGVCFGGLKGYEPRLIEMAYAFEQVTKVRMPPMFKP >ONIVA01G16600.1 pep chromosome:AWHD00000000:1:13563202:13569821:1 gene:ONIVA01G16600 transcript:ONIVA01G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVVPISPRQDTIGPICRTVTDAVHVLDAIVGYDSRDAKATRAASKYIPPGGYRQFLKPDGLKGKRIGIPNGFFNFPNGTVQQIVYQQLLDTVRKQGAVVIENLDIANLAVIQDVLNNGEQIVLAAEFKSSLNTYLSDLSYSPVRSLADIIAFNNAHPIEERLKNFGQLIFLVAENTTGIGALEGAVIRQLNELSADGLEKLMQDEQLDAIITPNDLVSTVLAIGGMPAITVPAGYGKMGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRKAPKFLHGTF >ONIVA01G16600.2 pep chromosome:AWHD00000000:1:13563110:13569821:1 gene:ONIVA01G16600 transcript:ONIVA01G16600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVVPISPRQDTIGPICRTVTDAVHVLDAIVGYDSRDAKATRAASKYIPPGGYRQFLKPDGLKGKRIGIPNGFFNFPNGTVQQIVYQQLLDTVRKQGAVVIENLDIANLAVIQDVLNNGEQIVLAAEFKSSLNTYLSDLSYSPVRSLADIIAFNNAHPIEERLKNFGQLIFLVAENTTGIGALEGAVIRQLNELSADGLEKLMQDEQLDAIITPNDLVSTVLAIGGMPAITVPAGYGKMGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRKAPKFLHGTF >ONIVA01G16590.1 pep chromosome:AWHD00000000:1:13556961:13560304:-1 gene:ONIVA01G16590 transcript:ONIVA01G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPPHQPSSSGDVEVAVESGSGASSSRNKLLSMVKKHSDLIGWTVIDAEADASDVEMDDKFWHEILDLFFVHGRVSKGREEDDLVFFVNNMKLNGYRSSDNMENPPPFFVRRWAPKLEKITNINLADVNWEHGFAGDADKVAPRDGSPVVAGDALRRPDQQRVPCAYIGTTPAGRGRHVGEAYCHDCVQCPRMPRPKILNAFDIIASSPSFDLSGLFQERGERMRFVSGASVADIIAKLEEIAGMVSFMARTKDCQVSIEATQNGQKGALAISAKVFELTWELVMVQVSMVRL >ONIVA01G16580.1 pep chromosome:AWHD00000000:1:13553395:13554673:1 gene:ONIVA01G16580 transcript:ONIVA01G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKCLLVFFLVAFFPGAAVSAGLKVGFYNETCPSAEALVQQAVAAAFKNNSGVAAGLIRLHFHDCFVRV >ONIVA01G16570.1 pep chromosome:AWHD00000000:1:13543656:13548084:1 gene:ONIVA01G16570 transcript:ONIVA01G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGSYCRRVMGVAVAVAACALCLLPATTSGALRVGFYQSSCPNAEALVRQTVAAAFARDAGVAAGLIRLHFHDCFVRVDAGLDPAYAAQLRALCPTRDTLATTPMDPDTPATLDNNYYKLLPQGKGLFFSDNQLRVNATMNALVTRFAANEAEWKQRFADAMVKMGHIEVQTGRCGQIRVNCNVVNPSTSSPEVELAGEDQETGGAVAAS >ONIVA01G16560.1 pep chromosome:AWHD00000000:1:13530275:13533780:1 gene:ONIVA01G16560 transcript:ONIVA01G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPVYDSSSFWPSYKIANINQVLSMLNNYYIKIIASLLHLRGQHALIPPCRCRCRLQSSVVKDSRCTADVPIPPARAATDTLTLKRDYPPQAKMTWPAENLHVTRRVCSLPHHRYGSKCFC >ONIVA01G16550.1 pep chromosome:AWHD00000000:1:13513767:13519554:-1 gene:ONIVA01G16550 transcript:ONIVA01G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGMHADLYYASLARGLLIEPQPPLTIVEGCCDDEGYGGAEMELSNDGDLNIQSQTLSQAAARAAGGWCGRRRRLPSGGARAQGGTSSPAGEAEEVRADVLALVVDVATDDEVRAAHQLARFIVIDTHHLDPAVAPPGDVLPPWSSLPASGR >ONIVA01G16540.1 pep chromosome:AWHD00000000:1:13508656:13512854:-1 gene:ONIVA01G16540 transcript:ONIVA01G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAPSRPQQPLHLVVAPLCWSKLAVYDEGVAHEHWATPEPPVVALLLSVVPLCPRGTQIGGGIIDIEKLARQLIMGSVMGSLALAYHDAREFRMETKRKAEHEVNLLRPLALLHWDGGDGEQPPPLDERRRIVQRWIEYEKRKGPRRFEYLPGEDGANDARPHVRIALYYYNCNHPGAEFDCVRSLSAHFASFREEPLFVVRRIEGLQEPVHHTTKRELSITEEPGRTETVQEAPLVQYRSSCAFCSDRHYEVLHPSEEEFVCGKEGQEVEPSGWFYWMMDIGGRLPDRILTSECNQSK >ONIVA01G16540.2 pep chromosome:AWHD00000000:1:13508656:13511906:-1 gene:ONIVA01G16540 transcript:ONIVA01G16540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLALAYHDAREFRMETKRKAEHEVNLLRPLALLHWDGGDGEQPPPLDERRRIVQRWIEYEKRKGPRRFEYLPGEDGANDARPHVRIALYYYNCNHPGAEFDCVRSLSAHFASFREEPLFVVRRIEGLQEPVHHTTKRELSITEEPGRTETVQEAPLVQYRSSCAFCSDRHYEVLHPSEEEFVCGKEGQEVEPSGWFYWMMDIGGRLPDRILTSECNQSK >ONIVA01G16530.1 pep chromosome:AWHD00000000:1:13504445:13505218:1 gene:ONIVA01G16530 transcript:ONIVA01G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCWFVFFQTHLLALVGGAGEGRLCILSAAERKGNGLALQQESFCSFLLQEWRNSGSCGAKSRQSRGEESLTDGDEKCT >ONIVA01G16510.1 pep chromosome:AWHD00000000:1:13481857:13485014:-1 gene:ONIVA01G16510 transcript:ONIVA01G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGEPSRERHLGKKPMAMDEGAPPWRGQAKEGDNPEAAPVRCCSCSPWVIGDEKKPLPLLFVCPPRPRATVLTVPRRLHPDSGKSSCVPYVIVDEYDALPSGRFLLRATDGWYGLNGAYYICCDCDARTRVATPPPPSGSLDHLNFPRRRSVEDSRHRGYCLVAQAQLHPTSTTTTQQHETVVDYPARDNKWDVKECPHHQRAMGGCHGGVLCCADLPYGFLTSCVPFADELRRLRYVELPQGCVMVGDDEKHHRWLFATVRVKIHGIPDAPVVSSWTLFYVLTALMVPSGLYVGAIGEVAERPTAPTLWHKILHWLARPFLNWSSLWDKIKQCVLKAVGWPLPVEHSWLNVLGPPIKQIGNTCSVSAVALCIEAKFHKYGFRCTIERPPHKLLQDCLDSSFLEPDEGIPAIRVIEVLSKTGLTTTNGLILPITGRIPHWIENEGWSMKEVAEFIYEHGPVIAVVWVVRHEFRACIGDVVYYGLPDRSLRDREDKDQCMHVVVCFGYRFTQSFDLHLSIMDSSTDDGPTRWLHYTSVDGLYSPEIAELNLKIYR >ONIVA01G16500.1 pep chromosome:AWHD00000000:1:13463656:13465694:-1 gene:ONIVA01G16500 transcript:ONIVA01G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARRRRLLRQGPDQGRPERRLHRQAGRQEHRRQRPRPPLHRAGVVRHRRPRAALRVRRLLRHRQDPRQGDPQDRQGELRFQARDDDHQPRPQEGRQPVHQDRGVRPFRPRGSRLHMGGCQAAQV >ONIVA01G16490.1 pep chromosome:AWHD00000000:1:13451780:13460155:-1 gene:ONIVA01G16490 transcript:ONIVA01G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGAETTTGSATLHGDENEVRHCYPPFSSPNHHRLVELARSALGAATHLVVVASLGHASPNALLASSLSSALTYVYAISDEEPVSLFGSVVFRLEARTLAQGHDDTAGACLCHRAVWASLLNPMCSIAVGPCPTLNLIAVMHTLQNLGSKTSVPAQPAKKTTYDKLLPYPFLQPTNALEKIIRPRPQPRRPDRHSPISLPPLSPSPPFSLNPSPPPSRPPPTPPLSLRLSPPLSLSLPPHGEWRTGWSRVTVTDGARRRVARRTTVAASSSAAQWRRCLPLPRHRAVAAKWRQAATTREEWRWGGQIQPRLAGSGLGQQRWRLVGEDSARSPSSRSPLFLQPSPTELIVIVADEPPLHRLSPRSGTPPTPSAASTAGPSTAALSRGQRQGEGWKRNSGRGSDGQGTLTLANASPGSVSQQKGGEERSPAKDHAPS >ONIVA01G16480.1 pep chromosome:AWHD00000000:1:13443903:13451746:1 gene:ONIVA01G16480 transcript:ONIVA01G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G23400) TAIR;Acc:AT1G23400] MSPPPPPPQRPSPSRAGRANLFSSPPPPLPNRYDPKHRRPAPPPLPSARRLPSNRRRRHDQPPNPTTGNGGNPAFRAPHLRTAYRKPVPPVAAAGEGEALLAADASDAADGRAVVVGPSGLSFRLPGAPFDFRFSYSECPRAPPVAIREPAFLPFAPPTMPRPWTGKAPLLTKEEKARRRGVRLHTPLGEEAPRTVSAHGIMMEVRGRRKLDLARVSPGDGRSREEVLGEPLTAAEVRDLVKPHISHNRQLNIGRDGLTHNMLEMIHCHWRRQEICKVRCRGVPTVDMKNLCYHLEEKSGGKVIHRVGGVVFLYRGRNYNPRTRPRYPLMLWKPATPVYPKLIQEAPEGLTKEEADEMRRRGKDLLPICKLAKNGIYIYLVRDVRDAFEGSDLVKIDCEGLNPSDYKKIGAKLRDLVPCVLLSFDNEQILMFRGKEWKSRYPKPLTLIPKIRKNNVPMSSDESSSDEATDDDDRLAVREVLRPKMFELWTNAIESSVALMLDDAEVDALTPDSLLTRVEDFSVTSQAVEHSFPAVLVANDESNPDVLNAEYTEDEPETGTLEPQQHEFTESSDVAEDDHFEDDMLKRLESSVPLGALPIDAVVKQLNDE >ONIVA01G16470.1 pep chromosome:AWHD00000000:1:13439998:13451321:-1 gene:ONIVA01G16470 transcript:ONIVA01G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLVGGVQLEDGGLETVVERKVKGGRSETMTVRGDQNPLWTQGALPPWATGPWAVGLKNKKTILLTEDGGMENLLFTIF >ONIVA01G16460.1 pep chromosome:AWHD00000000:1:13439287:13439722:-1 gene:ONIVA01G16460 transcript:ONIVA01G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGRNERRGWWWMHATVVSVMEKVRWCQLVAKAADDDDCGGDYFGTPTMAILEAEDDEDMPLLPESLTCRGGELPPYHRDSLRG >ONIVA01G16450.1 pep chromosome:AWHD00000000:1:13432638:13436776:-1 gene:ONIVA01G16450 transcript:ONIVA01G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIARGERRFFPFGAEGKERKEGGKARPTGAKESQGVINRERNGQKRNQQQERNQASKKRRDEGEKEKRGPAAGVVVFLPPSLSSPLLSISILPSIHSSPHPSHCSRRLDSVEDLQEKSDMGCFSCCGADDVGKKKKRDDPYVPIPDPGGNYGRSKPGPPAPSRSPPTSRNLPIAVPAIPLDEIKGITKNFSSDALIGEGSYARVFFGVLRDGRRSAVKKLDSSKQPDQEFLVQVSAVSRLKHENIIQLIGYCAGGSIRVLAYEYAPRGSLHDILHGKKGVKGAQPGPALSWMQRVKIALSAAKGLEFLHEKAEPRVVHRDIKSSNIMLFDNDVAKVGDFDVSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVKQCVDPRLEGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALNPLLNSRPNNRPASFTDAGERSGL >ONIVA01G16440.1 pep chromosome:AWHD00000000:1:13425928:13431330:1 gene:ONIVA01G16440 transcript:ONIVA01G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSSPSSPSLKDHLSSPTGPLHLKIWEVICIVLGAFMVVIFFVTVWLTIRSRKRVRRASANIPITQIPAISKEIKEVRVEQVPTSDFAAHDGVLMTIQDKSSEKESDKVMVHLGVSKSKRGDESHSGSFRYMDKDLGFQSADEGGSGTFRHNSAHAITAPSPLVGLPEFSYLGWGHWFTLRDLEVATSRFSKDNILGEGGYGVVYRGQLINGTPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMSHRGSLTWEARVKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFDAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYGRPANEISVPFQKFCYYSILLKLTRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRGDRRSKHNRGGSTEMDSQRDNNSDTDKSDNPDSKPSRSRASSSK >ONIVA01G16430.1 pep chromosome:AWHD00000000:1:13417123:13417609:1 gene:ONIVA01G16430 transcript:ONIVA01G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGFSEVWQRVKGGRKGDARRDAASMDTTAMRRRDAPVEIGKTWIPLPPRVIVPAAVLALRAQG >ONIVA01G16420.1 pep chromosome:AWHD00000000:1:13410161:13432535:-1 gene:ONIVA01G16420 transcript:ONIVA01G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHYPHSYKRVNDGSSLRVLRALCQYRTGTCQLGNMNIAIDTREISIPLSTLHTVTQKPDQVIEKLLNSNRSAIDQLATINYTISTLTKNIWEVRREEERKKGGGGEMWGAVAFVRKQRQAGRQTDGWMDAAHATTREKKVGIDRLAGGHRRARTAAGTMTRGGRGIQVFPISTGASYKFTSMAMPPLANPFIYIDAAVLNSILPFSSLISHLYTDLPVFSTSISFPLPGHYALHLLLLLMSSFHAVPHSPEEVRRQAAGGIKKEYRAKKVCIHV >ONIVA01G16410.1 pep chromosome:AWHD00000000:1:13403791:13409599:-1 gene:ONIVA01G16410 transcript:ONIVA01G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT1G73720) TAIR;Acc:AT1G73720] MASSASTLEIEARDVVKIVLQFCKENSLQQTFQTLQNECQVSLNTVDSIDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQISQAIASEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEETYPTTLSHQTKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDAVLSVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHAKGVTSVTFSRDGTQILSSSFDTTARVHGLKSGKMLKEFRGHNSYVNCAIFSTDGSRVITASSDCTVKVWDTKTTDCLQTFKPPPPLRGGDATVNSVHLSPKNSDHIIVCNKTSSIYIMTLQGQVVKSFSSGKREGGDFLAASVSPKGEWIYCVGEDMNMYCFSYQSGKLEHLMKVHDKDVIGITHHPHRNLIATYAEDCTMKTWKP >ONIVA01G16400.1 pep chromosome:AWHD00000000:1:13399953:13400279:-1 gene:ONIVA01G16400 transcript:ONIVA01G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHSAAARLLPRRGRGRSGLPRKTPSTLPSSLALGPMSSPTRELPGVGVLDNDSFNGSGGGGAKLFFTTTHVGGMPRAAQKRAKGRPLLPSPDRHGREATSTAAPP >ONIVA01G16390.1 pep chromosome:AWHD00000000:1:13397530:13398908:-1 gene:ONIVA01G16390 transcript:ONIVA01G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKISHRFLPDDLVLDIVARSRSPATIIPCAAVSKPLRRLILHPSFLRRVVIPSGTGHDDDDPSFIPSLLLGVYHRPRDDPCCPLAFVPAARGAAAGASIAAASSLPPVSPPADPWRRRRVRFGGPYRPMSSRRSLVVLRRRCDVVSHHHGGELTVWNPASGGRRVLPPHDHEVLDQSIVLLDVVNHHHHHHNGGAAAAAVTICSSSFKLLIAHLPAESSPRTLNVQVFSSDDGEWGPPLACAIPTDCELHSHAKPVVLRGAVHWLCLTHSRHRILVLKWPNRDAAAAAGAQATMASLMKLPPPCESGAYDTCLALSPSPSDGTGAGAATSLSVVVLVGDHIAVWVRAAAARWERRHVVREESIIAAWPMEGSSLGDGWLGRTRLGWFCEGSGALLLERDDDDGRWRPLVLDMGAMVVRKVDMDCREAEFVHYEVDLVSYMMFVMRAF >ONIVA01G16380.1 pep chromosome:AWHD00000000:1:13385429:13392131:-1 gene:ONIVA01G16380 transcript:ONIVA01G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGREGLDIKEWSHPASASASASALPALRACACATACSHAPLGPGGWSRRRLAGADAAAATLRPPHLTQSSRARRTSRQPSSADEIGMGIGGLGESGGDGQMGKFGSRFKTQNKTSLADTPTPRLSCRSSSHNAASPLSLTWLLLCTEEYEEGGGGSAGGARYGERHTSPYRALRPWNITKDYAMVASELETIPTASIDNVNTPHYFITPY >ONIVA01G16380.2 pep chromosome:AWHD00000000:1:13385429:13392131:-1 gene:ONIVA01G16380 transcript:ONIVA01G16380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGREGLDIKETPEDRGAPVPVPPTICKVTKDYAMVASELETIPTASIDNVNTPHYFITPY >ONIVA01G16370.1 pep chromosome:AWHD00000000:1:13378475:13378849:-1 gene:ONIVA01G16370 transcript:ONIVA01G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYHFDFDLAGHETLAKFAAFVVVQALVYLILSNSSAVFVSGGVAGHGRSASFRRPDGVERSESARRMAALLAAEMTPRFGGSEPSSPAGGGQPQGGRSNDDDGARGDVELELLLIRSCSFSC >ONIVA01G16360.1 pep chromosome:AWHD00000000:1:13368340:13368705:-1 gene:ONIVA01G16360 transcript:ONIVA01G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFDLLALSGNNETLAKFAAFVVVQALVYLILSRSSAVFFSGAGAGTASFRRPAVERSESARRRMAALLAAEMTPRRSGDAPPTPAGIQRRGRRSNDDDGGDVCVDVELELMLIRCSFSS >ONIVA01G16350.1 pep chromosome:AWHD00000000:1:13363171:13367530:1 gene:ONIVA01G16350 transcript:ONIVA01G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: NEFA-interacting nuclear protein NIP30, N-terminal (InterPro:IPR019331); Has 398 Blast hits to 395 proteins in 139 species: Archae - 0; Bacteria - 6; Metazoa - 193; Fungi - 83; Plants - 36; Viruses - 0; Other Eukaryotes - /.../ource: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G62140) TAIR;Acc:AT3G62140] MAGEETASGSKPPAAATTIRLVNFISEDQLDEAKRTRGERADDGTAQRDKPLFQILQENKEKKDAEFNERFKHRPPKALDEDEMEFLDKLASSRKEYEQQVANEEAEQLRSFQEAVAARSNIIHEEAPTVSRPEESKPKAKRSQPALLKNVIISVKPQAKKAKLDGEDKPPAKELPSNGHSADHKPPDATKGVLGSLVQYDDDESSDGDV >ONIVA01G16340.1 pep chromosome:AWHD00000000:1:13356853:13361254:-1 gene:ONIVA01G16340 transcript:ONIVA01G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT4G01810) TAIR;Acc:AT4G01810] MEETSTPPQPQPQPAHPSPQFPAVFTPPPPPTSGFSSRGSPSPGTGSAAAQAQFSTPPGPPVFSSPLRPAAVPFRATPASPQPAPFASRGGGYSSSSSSAAVSLPTSSGPHFLNGAATPTSHLPSSAAAPSPPPPPPPEESPYVLFSAHRVLKQKKLLNAPSLGFGALVSPGREVAPGPETVERDPRRCLNCGAYVNLYCDVLIGSGQWQCVICKKMNGSEGEFVVSSKHDLLQWPELASLAIDYVQSGNRRPSFVPVPDTRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTARIGIISYGRTVSVYDFSEGAAVSADVLPGNKSPTHESLKAIIYGTGIYLSPVHASLPVAHTIFSSLRPYQLSLPEVSRDRCIGAAVEVALGIIQGPAAEVSRGIIKRSGGNYRILVCAGGPSTFGPGSVPHSVQHPNYAYLEKTAMKWMERLGHEAQRHSTVVDILCAGTCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDDMLVTQVIGPGEEASPDSHETFKHDTSFCIQMHSVEETQSFSVSMESKGDIKNDFVYFQFAVHYSNMYQTEITRVITIRLQTVDGLSAYLASVQDDVASVIIGKRTVLRARTASDAIDMRLTIDERVKDLALKFGTQVPKSKLYRFPKELASLPECLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSFRMLAPRCIMHREGGTFEELPAYDLAMQSNAAVVLDHGTDIFIWLGAELAAQEGQSAAALAACRTLAEELSELRFPAPRILSFKEGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPEQRSRLKSSFIHFDDHSFCEWMRSLKLVPPEPS >ONIVA01G16330.1 pep chromosome:AWHD00000000:1:13354791:13355232:-1 gene:ONIVA01G16330 transcript:ONIVA01G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYKAHDSNEKMTGEDGLTDPPECTLNIVCQNEVNGNGMQTRDDEWVLVKPLLTSLIIMSPPWRGGDEGK >ONIVA01G16320.1 pep chromosome:AWHD00000000:1:13341836:13354337:-1 gene:ONIVA01G16320 transcript:ONIVA01G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) TAIR;Acc:AT4G01800] MAMAPPAAATCATALRFAHPSSSSSSSSSSSSSSLPSPRPLGGACGGRPQHGRRSLRAPRRRGGGDRVAARAGLGGLLGGMFGGAGGDDGDAARKRYADTVARVNSMEPEVSALSDADLRARTAKLQERARAGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEVFERDIHYTVDEKQRNVLLTEEGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLRDVNYIVRSKEVLIVDEFTGRVMPMLPFGRAEDGVMVFTKQLKLKKFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDESDVVFRATNGKWRAAVVEISRMNKVGRPVLVGTTSVEQSETLSEQLHEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVDPLDGVIISKKQASPKKTWKTNESLFPCELSKDALSYVKESVEVAVKAWGEKSLTELEAEERLSYSCEKGRSILIVEFGDILPKVAVIANKGLTNCIIGCLSTQEQWLDACIIVGQGPVGSKQPALLQTPVALRFLRTALGYQCTAPCGIEFGGGPTRDEVIANLRSAFMKIMDEYKVYTEEEKKQVISAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTMDDILEANIGPDTPREDWDLSKLIAKLQQYCYLLDDLTPELLEGKSSSYEDLQEYLRTRGREAYYQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVMKNQEEEKSEKKGTKKKVDKGANKLGAAQAAS >ONIVA01G16310.1 pep chromosome:AWHD00000000:1:13338753:13340983:1 gene:ONIVA01G16310 transcript:ONIVA01G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAAIASSVKRATNNRRKQRRAKVQPPASAEEAEARLDRRAAEDKHKKRLQKEYQKRHNLLEQKDQQLPIVDNTNTSSIQQKNMHYGKYDSMNNQPLVLSKFCYNEHSNKQPKLKEPTLLTANYHGLRNMHHHHKNIINMEPSNVLRPPNLNNAKGFNMPFNKEGVDEAIYQSQLMAHQVYANHVVATSQATWEVTMTQDMRPISQVHQHGCETTPVDSSNNTNGISENNNYHVGRKNSGANMVGILWPLLR >ONIVA01G16300.1 pep chromosome:AWHD00000000:1:13337396:13337790:1 gene:ONIVA01G16300 transcript:ONIVA01G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLAPPHGLVVVGDDAVRRAVVARLGVNFRATVCSEINAAVEMLRERMKEFDFVVISEELIIRSSRPEIMKFLRETGLRLLGICLFST >ONIVA01G16290.1 pep chromosome:AWHD00000000:1:13327192:13332018:-1 gene:ONIVA01G16290 transcript:ONIVA01G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQWNVKPMMKNQKEDKSGKMGTKKKADKDRSTNFQHSKDAVDRRSEKKESRVELKP >ONIVA01G16280.1 pep chromosome:AWHD00000000:1:13326739:13331377:1 gene:ONIVA01G16280 transcript:ONIVA01G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGDEAVQRAVVLRLGVNFRATVCSEINAAVEMLRERTKEFDFAVISEESIRSLRPEIMKFLGEETGLRLLVSCPSSGDQTRNCSTAAAAAAAAVASSVRRATNGRKHRRRAGEKSSQHRQRKKRFIWTPELSKIFIEIYEELLLTGINPVPTKILELMKLRIGPMNDPELTRNTVSSYLQKYKAYLLKQEQKDQQLPIDDNTNTSSIKSQQGSASLQQQIMNYGENDSMDNQSIILSQTRYNEHSNKQPKLLTANYHGPRNMHHHHKNTNMQPSNVLRPPNLINAKGFNMSFNKEEINEAIYQSQLTTRRVSATHAIAKAQASWRVTMTKDMHPISQLHQQDDETTPDDSSNNTNSIYEKYGEGVSSNNTFGLVLAIELRTTTTDIEL >ONIVA01G16270.1 pep chromosome:AWHD00000000:1:13319499:13322805:1 gene:ONIVA01G16270 transcript:ONIVA01G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAAAGGAACGSVGTRSGGRPEVPTLRQIRREEGRRWRRWPGRGGSCGGAVPPPNPAGREARRWRMAWRLWPIQRRCDGPYFPVAQLWEGCRGSPVTAAAVADLT >ONIVA01G16250.1 pep chromosome:AWHD00000000:1:13307905:13308246:-1 gene:ONIVA01G16250 transcript:ONIVA01G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGMGDGSGPLGSPPRGSGDRLEREAGGGSLPCGSSGGRHSGGRRGLWRRHGSSLHARGSIAGDNGRCRSGDGLKHEAGGSSPRADPTACGTMAAGTDLGGNADLASTSWR >ONIVA01G16240.1 pep chromosome:AWHD00000000:1:13305881:13306345:-1 gene:ONIVA01G16240 transcript:ONIVA01G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLISERHSKKDCCLPYCQKADPCGRIHCPYLTTTAAAKPDGATDHKRKAVIVSSAQPARAERTTTGESSKRKGQGGEALNVHGAIASSAGGDHARKRFRMWGLW >ONIVA01G16230.1 pep chromosome:AWHD00000000:1:13298381:13300867:-1 gene:ONIVA01G16230 transcript:ONIVA01G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGASTRDVCIDINHGLSNISTTLSLNRKCSVYRIPAHVRELDKAYYEPRMVSIGPYHRKEKHLQAMEEHKWRYLRDFLSRGLVNETADHRMRRYTDMIRRLEPEVRECYFESTDLDSTEFVVMLLLDASFIIEFFVKWFSGEDDPLFSVSWSLPLLLNDMLMLENQIPFFVIERLYDISTFDPDRPEDAQPKPSLIGIIMDYLRGIEDAEVRHDRENVHHMLHLYHCCFVQPLELPRNANEEGGNANNIGNPFLFLPKMIPCATQLREFGVHIKKNKHARSMFDISFRNGTLEIPRVAIEEITRSRYMNLIAFEQCHDNGKYLTSYAVFMAYLINTAQDAILLQRYDVIDNKLANEEEAAKFFSQLHACSYINYDEHYLAPVFRDINTYCRRWWPKRRARLCHDYFASPWAVISFLAALIFMGFSIFKIVIVFGLNIPTGLTCHTMGLYYMEKYYIPKV >ONIVA01G16220.1 pep chromosome:AWHD00000000:1:13283745:13292008:1 gene:ONIVA01G16220 transcript:ONIVA01G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAPPHAGSGGPPPPAVLALPHGMVVVGDEAVQRAVVLRLGVNFRATVCSDINAAVEMLLQRMKEFDFVVISEELIIGSSRPEIMKLLREETGLRLLVLRNEGGNEYSFVPIVRRSDTVCIEVSHASSTRLPAASPHDGSNGSTAAAIASSVRRTTNGRKHRRRAEKVIGTQGHDAGEQSSQHRQRKKRFIWTPELSKIFKEIYEELLLTGINYILTKYKAYLLKQEQKDQQLPVDDNTNTSSIKSQRGSASLQQQIMNYGENDIMDNQSIILSQTRYNEHSNKQPKLLTANYHGPRNMHHHHKNTNNMQPSNGFNMSFNKEEVIEATYQNQLTTRRVSASHAIAKASRRVTMTKYMHPISQLHQQDYETTPDDSSNNTNNIYEKYGEGWEAFEDGGVSSTTCTTLQNMVHSAFR >ONIVA01G16210.1 pep chromosome:AWHD00000000:1:13262522:13266501:-1 gene:ONIVA01G16210 transcript:ONIVA01G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARQEDTVRVNIETMLEHLTTPAARLGDGYSIYRVPANVDRKHYEPRLVSVGPYHRSKHHLSAMEDRKRLYLLRFLDDGGESGHRRGLLLQDCIDRVRELEPRARACYFESPATGDDGEDDDGDMFVEMLLLDGCFVVQFFIQWFSGVADPIFNVGWNLPLLHTDLLMLENQIPYFILLALYDAYTHDGDGDLDRPARRPKPSLTSIITAYFSQKEGRQPAATETAQLQEEDDIDHLLHLYHSTFVKPPDHLPARRHRHGGGGSRPPRTIHCAKELAMHGVRFVPKVGTSNILDVAFHDGVFEIPRVAVDDSTCTRFMNLAAFEQCRGGDAETPAAGKHLTSYVVLMDYLINTAEDVVILERADVMENNLANEEAAAAFFNQLRVCSYIDYDDHYLAPVYRDVDAFCRRKWPKYKAKFRRDYLNSPWAIVGFCFATTFAVVTFFNTIVNILKTFFHVLH >ONIVA01G16200.1 pep chromosome:AWHD00000000:1:13239411:13240208:-1 gene:ONIVA01G16200 transcript:ONIVA01G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGKRERDKVRLLREQAENTRKILKLLEDKQRPTSPDPAMAGVLTGLRGALDDISSSPEKEPGELHALDQRISSILWQYHHYHVANNIHREAPTMTQLASPPTFLMPWHGTPSNIAVGAGGGSGDWDHLVREIVEDARVTVQGAWYARHNMEEVLGVAQQVADLLQRPHAASRLMMCDPEMSWPLLSKDLSEALRDTRWIVWYSQWHHLSTMPLLSAPPQTPSYFAGQGAMNNGRYPPVQPAQILDAAVKKIEFCLQVLLAIG >ONIVA01G16190.1 pep chromosome:AWHD00000000:1:13228741:13229229:-1 gene:ONIVA01G16190 transcript:ONIVA01G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMDYASSARGLINMIVATVQKVKRNKKQCRELEDRVRMVFSVLNRHEQPSSEMMRLPGAREALDGLDGVLREAHELAISFQSKRRRLWVRRMFNAEREAEKLADVLSKIDFYLSLYPSIAHADMAHRLDQLLSTTAMSVIISAVAFAGFIVVSMVSRKT >ONIVA01G16180.1 pep chromosome:AWHD00000000:1:13207681:13218406:1 gene:ONIVA01G16180 transcript:ONIVA01G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEMVVVEGNGMQAPSVTPMANSSSWVVEMKKMIGDSSADGPCMPERPSIYRVPEYIKKMTNPEAYRPKLVSLGPFHHGDPALVPMEAHKRRAMGRMVKRSGKPLREFLAAVEEVAEQLRGAYENLDEGWRGDRFVHLMVTDGCFLLEVRRMCKLEGKVEHNYGPDDPVFSEHGYLYLKKNIVSDMLVMENQLPLLLLKKLRHVAELREAGIHFKVSRATGFEGAVSFEGGVLSIPQILLYDDAECMFLNLMAFERLHPSAGNDVTTFVYFMARLIKTAMDVPLLRSKGIIDNRLGSDEAVANLMNNTLIKGAVICKDSNLTDVIREVNAYCKKPCRSLWASFKHIYFSKPWSFISLVAATLLLTAAVMQTIYTAVAFYKN >ONIVA01G16170.1 pep chromosome:AWHD00000000:1:13202883:13203215:-1 gene:ONIVA01G16170 transcript:ONIVA01G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTCAATMFVNLFTACHTPLTLIRISKYIIWMKYQLPQADYIKAVKQQLMGFIMDEIVNSVGEFHDDGGFIPRDELS >ONIVA01G16160.1 pep chromosome:AWHD00000000:1:13182688:13198123:-1 gene:ONIVA01G16160 transcript:ONIVA01G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWELAGAGNQEPSLAGIARWEAGRHTQIVLRTVVGRMADPVGIVGFVLQIITDIVPKIKEEVDRVRQNKKECLRIRSRAERISHTLSPCRSNVELMNHLDVSEPVRALGDILREALKTVATRPAPSPPPPPMQRGSSSQPG >ONIVA01G16150.1 pep chromosome:AWHD00000000:1:13178744:13179130:-1 gene:ONIVA01G16150 transcript:ONIVA01G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLRSPVVRIAVLAPKIKAEAEKARLNKEECIKIATRLNKVSELLSQLEQTEMMKDPAMSRALRKLDETFSQAHMLITACQRSNIVTMFLPWPAKKLYEQLREVFDQMVLELNDVIAVGVRTIGTV >ONIVA01G16140.1 pep chromosome:AWHD00000000:1:13127187:13129087:1 gene:ONIVA01G16140 transcript:ONIVA01G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWMMKRALTIKCRVLTKYYAMASEDKFNNLLRWIEEFEWTQVEVDKRRSDESLSQDGGSIMDTQIQKNAKDLQISVEKKQTKGDAHHVFDEML >ONIVA01G16130.1 pep chromosome:AWHD00000000:1:13123218:13124453:1 gene:ONIVA01G16130 transcript:ONIVA01G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIPNFGREKNIDEDRSNSNSPWPLIAIGLLLSLLLFLLVYKTLLDAPLMVQFAAVKQKARRLL >ONIVA01G16120.1 pep chromosome:AWHD00000000:1:13102079:13105615:-1 gene:ONIVA01G16120 transcript:ONIVA01G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELQAKGKKADVVAMKETNLTTVVLGSSKRKVATTVPRANEGSYVEEADPRRLTTTTTTKTDTVRVNIEKMLEQLSRPARLDGYSIYRVPASVRDSVDNKHYEPRLVSIGPYHRSKHHLRAMEDRKRLYLLRFLHDQHDDDDGSGRRDGLLQDCVGRVRKLEARARACYFESPATGDGEDDDDMFVEMLLLDGCFVVQLFIQWFCGATDPVFDVGWNLPLLHTDLLMLENQIPYFVLLALYDAYSHDPNRPPSARPKPSLTTIITSYFSEKEGRQPATTTATEDAIDHLLHLYHSTFVMPPPDHLPAPVQADCGGKLPRTIRCAKELTLHGVKFVRKPETTNVLDVTFCRDTGVFQIPRVAIEDSTCIRYMNLVAFEQCRGEAAVAEKHLTSYVVLMDYLINTAEDVVILDRADVMENKLANEEEAAKFFNQLRLSSYINYDDHYLAPVYRDVDAFCRRKWPKYKAKFRRDYLNSPWAIFGFCLATTFAVITLFNTIVTILQTFFHLFK >ONIVA01G16110.1 pep chromosome:AWHD00000000:1:13091467:13092122:1 gene:ONIVA01G16110 transcript:ONIVA01G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCLPYCRSDNPCGVVGACPYISAAAAGAGALAAHPLPFGHMACPAACHSERTCAGTNWWPRRRRILHGKWLKHILCSAGGRSKAERRRHVCSTSPDAHRGCYFSPSPSTGWASAHGGGGGGSWYASGSGVGRMVQVPAIDAAPVCIAAGGISFDGMICVSKTNFTSMVVMSSFGVLLLAYLVFNLFLSLN >ONIVA01G16100.1 pep chromosome:AWHD00000000:1:13082611:13088893:1 gene:ONIVA01G16100 transcript:ONIVA01G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FL08] MAMRERIGVTKLHHHLLLLVLLLVVAAAGGGSVQAAEEDEMSGRRRRSRRRRAADVMVPITILNSAVDKGAVCMDGTPPAYHLDPGSGGGNRSWVVNLEGGGWCNNARTCRFRTASRHGSSDHMERRIAFTGIMSSAAADNPGPSISSPPPPSRTLDQSVYAYANQTKWQRTDFHSWNRVKIRYCDSGSFAGDAFDEGLKLQFRGQRIWGAVIQHLLDVGMASAEHVLLTGCSAGGLAAILHCDQLRALLPAAATVKCLSDGGLFLDAVDVAGGRSLRSYYGDVVGLQAVAPNLPETCTDHLDATSCFFPQNIIDGIKTPIFLLNAAYDVWQIEQSLAPNAADTSGTWRVCKFNRAACNASQLQFLQGFRDQMVAAVRVFSESRSNGLFINSCFAHCQSELTATWNGGSPALQNKGIAKSVGDWYFGRAEVKAIDCPYPCDKTCHNII >ONIVA01G16090.1 pep chromosome:AWHD00000000:1:13078866:13079295:1 gene:ONIVA01G16090 transcript:ONIVA01G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLISHWYELAYAEAKGRVRRGHRVWQIGFGLGFRYNITVWSALRDVPPVYAFVTAAWPMALLGGSFAAGVARRYCRPPRCLPIHPMPPGRLQAATVASACVVAAGCWPRRRAPAASRSGEHARGEKGKREGER >ONIVA01G16080.1 pep chromosome:AWHD00000000:1:13068842:13073108:1 gene:ONIVA01G16080 transcript:ONIVA01G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G01660) TAIR;Acc:AT4G01660] MASRDLRRLLDGAALVAREAARRASAPDVLRSALLAATDLAGLTRGTPRTPRPTPPLGPHHPAAAAAAGEARASVVYFSHDDAGPVSPPRRPPQDPPLEQRPPARESPHPAQAKEITEAGVAAAVGAAEPEPVAVARPPDGEAAGRSPAPSPSPSPVVRVEKRRRPRERRVPSTPFTRALGFAGLGAGLAWGTLQESARRVVYGRPADADGKRSAMSPFLSDQNAERIALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPRKQLNSVLDAELGQDWSSKLTSFDYEPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRLLLSYTNLIPKGLFLDRAMKVAKLELARECDYVLEATNQKRYKELLSDSEGYYVPKVIDELSSKKVLTSEFVPGFPIDKVAMLDQETRNYTDPNWSNFLFDEPTRKFNLIDFGAARDFPKRFVDDYLRMVVACANKDRAGVLEMSHRLGFLTGEEPEVMLDAHVQAAFIVGVPFAKPGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFKVYEQYNFSDDRPEVLSSTG >ONIVA01G16070.1 pep chromosome:AWHD00000000:1:13060141:13061787:1 gene:ONIVA01G16070 transcript:ONIVA01G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRGVVGSAAMLGLEMHLAHPQMHAAAYQQPDPHGGGGGGGFQQQVAAVRQQQQQSYSPYSAGASSRVIKAPGHDDGMGNGAGKGGVVQQQQQPGSAGCPWTRMKWTDGMVRLLINVVYSVGDDGDGVAAGGAAGGKASAGAAGHGKAGGSGSHGAHGQAAAQQKKGKWKSVSRAMMESGHMVSPQQCEDKFNDLNKRYKRVVDLLGRGKACKVVENHALLDAMDELTHKAKDEARKLLSSKHLFFREMCAYHNSGAAAAAAAHGPHGAGAAGVEATACFHHPPPASMAAASSAARQAAAAAPSLGMKDSSAGPEDDEDDSEDVPSSNEVDDDDDDDDDDDDEVGPGMKSRRIYGGHRVHHHHHHHNGHHKRRRGDDVSSAGAGDDDDEDGVKRARGAASAAGGGDDEGPSAVQQLQSELAAAVAGGGDPQQVRQWVRRRTVEVEEQQVAHEVRAYHLERQRLKWERFRANKERDMERARLRNDRLRIDGRRMLLLLRQKDLDFDIAEANSSSVDHLTSSAPPPLAALQQQQQPLGSSPSTAAGHPN >ONIVA01G16060.1 pep chromosome:AWHD00000000:1:13043850:13048800:-1 gene:ONIVA01G16060 transcript:ONIVA01G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSPASPPSPLPVSWFAAAAAVPTPWAGYGGYRPACRGLLRGCDVMRCATRWRRNPLETTAAGAGWKRKGDSLDRGFPFALALVMCETVDERDRVVSAMSLRDTARSRAFLVRERPYYHTHANFTKIKHFNY >ONIVA01G16050.1 pep chromosome:AWHD00000000:1:13042705:13043281:1 gene:ONIVA01G16050 transcript:ONIVA01G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGRRDVGGRALVDGQQDDATATHVAPRLLPGQHARPVLQGAVEARPGGGEAAQHHGPSARRHSSGAPPVCREVQSRFQEVELPLLVVHGGDDTLCDPECAEELHRRAGSEDKTLRVYPGMWHQLVGEPEENVDKVFGDVLDWFKSHAAATAATPGEGQQ >ONIVA01G16040.1 pep chromosome:AWHD00000000:1:13040859:13042188:-1 gene:ONIVA01G16040 transcript:ONIVA01G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPYISSAIAVSTLALATCAAPTVAREIARRRQSFSDEGRNLRVHGIDLELTREGIKDGAQQPEFEKTMAISLFGARSFGFLEGFRRGFHGGDGGAVARKWWSGLAGKTTMP >ONIVA01G16030.1 pep chromosome:AWHD00000000:1:13036035:13037024:1 gene:ONIVA01G16030 transcript:ONIVA01G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVAEADEKSPFGRLTAEEFYARHGVINSSSTFVNPRGLRIFTQRWVPAGGDAPLLGAIAVVHGFTGESSWTVQLTAVHFAKAGFAVAAVDHQGHGFSEGLQGHIPDIVPVLEDCEAAFAPFRADYPPPLPCFLYGESLGGAIALLLHLRDKERWRDGAVLNGAMCGVSPRFMPPWPLEHLLWAAAAVAPTWRLAFTRGNIPDRSFKVPWKRALAVASPRRTTAPPRAATALELLRVCRELQSRFEEVELPLLVVHGGEDTVCDPGCAEELHRRAGSKDKTLRVYPGMWHQLVGEPEENVDKVFGDVLDWLKSHAAAAAAARGEGQQ >ONIVA01G16020.1 pep chromosome:AWHD00000000:1:13006731:13007699:1 gene:ONIVA01G16020 transcript:ONIVA01G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVAEADEKSPFGRLTAEEFYARHGVVNSSSTFVNPRGLRIFTQRWVPAGGDAPLLGAIAVVHGFTGESSWMVQLTAVHFAKAGFAVAAVDHQGHGLSEGLQDHIPDIVPVLEDCEAAFAPFRADYPPPLPCFLYGESLGGAIALLLHLRDKERWRDGAVLNGAFCGVSPRFMPPWPLEHLLWAAAAVAPTWRLAFTRGNIPDRSFKVPWKRALAVASPRRTTAPPRAATALELLRVSRELQSRFEEVELPLLVVHGGEDTVCDPGCAEELHRRAGSKDKTLRVYPGMWHQLVGESDEDVEKVFGHILDWLKSHAANARQ >ONIVA01G16010.1 pep chromosome:AWHD00000000:1:12977330:12985507:1 gene:ONIVA01G16010 transcript:ONIVA01G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAVDAEGECGGGKSHNTLKPDGVRVAAASTTLDSSDSHGPAADVQGGGGSGERLDSSDGEGRATDVQGRSSAGESLVVKSEHACAADDPVGDGALLAPANKPTGADPLAVASSSHDIDAASADPANDEEDGDTTECSSSFGNSCCETDDEADHGGSEVDSPFSENADGVQALIRPRTGSALSLGSYLMSTDILRTDNSWMHRASMARNMNQQPVCSLLFNMYKLSFRKKKVTAEWRSAVRPMMWRCQWLELRMKDLLSQVSKYDRELALINKGKELQQAVNMTNGSRSESAESSKGRENSCMERRKRRRLEETVNTSLYIKKHEILSYFFDKQNKGAETDGILIDDDSSGPVGNDVKGGIHTVGLLEPKEYDMVAEQLTLQKFLLTIDGIRSQVLRLQDRLSKVRSKQENMVSLVDHAHIKVSEKRLRTQKRSFSYKKDRYSKSKKKKNLNILSKEEDKPAHAVISTLSKRAPDCQTEVTMYSSEEKSGERCQSHKKAITVDLLLPNGHMGDLCKDNDDVLIDNQAANEGYQPFENAKQPMDKSLELTEKVCETANLRVGSNSSPVEVTSTSAPFRVENASVSLEARSTPGQVVKQEPVFEKPPALKHVYSGKRRRKLKMKEGSGPVSGFKTQSKEASKTPATKKKTESTSPAAKKLKIEETTAPDEGKKAVKTHSTGKKRKAGKSCSSTKKQEAENSSCAARKDISESTPSKPRIEKAVLVAVNSRRSQRVRKPKIY >ONIVA01G16010.2 pep chromosome:AWHD00000000:1:12977330:12985507:1 gene:ONIVA01G16010 transcript:ONIVA01G16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAVDAEGECGGGKSHNTLKPDGVRVAAASTTLDSSDSHGPAADVQGGGGSGERLDSSDGEGRATDVQGRSSAGESLVVKSEHACAADDPVGDGALLAPANKPTGADPLAVASSSHDIDAASADPANDEEDGDTTECSSSFGNSCCETDDEADHGGSEVDSPFSENADGVQALIRPRKKKVTAEWRSAVRPMMWRCQWLELRMKDLLSQVSKYDRELALINKGKELQQAVNMTNGSRSESAESSKGRENSCMERRKRRRLEETVNTSLYIKKHEILSYFFDKQNKGAETDGILIDDDSSGPVGNDVKGGIHTVGLLEPKEYDMVAEQLTLQKFLLTIDGIRSQVLRLQDRLSKVRSKQENMVSLVDHAHIKVSEKRLRTQKRSFSYKKDRYSKSKKKKNLNILSKEEDKPAHAVISTLSKRAPDCQTEVTMYSSEEKSGERCQSHKKAITVDLLLPNGHMGDLCKDNDDVLIDNQAANEGYQPFENAKQPMDKSLELTEKVCETANLRVGSNSSPVEVTSTSAPFRVENASVSLEARSTPGQVVKQEPVFEKPPALKHVYSGKRRRKLKMKEGSGPVSGFKTQSKEASKTPATKKKTESTSPAAKKLKIEETTAPDEGKKAVKTHSTGKKRKAGKSCSSTKKQEAENSSCAARKDISESTPSKPRIEKAVLVAVNSRRSQRVRKPKIY >ONIVA01G16010.3 pep chromosome:AWHD00000000:1:12977563:12985507:1 gene:ONIVA01G16010 transcript:ONIVA01G16010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAVDAEGECGGGKSHNTLKPDGVRVAAASTTLDSSDSHGPAADVQGGGGSGERLDSSDGEGRATDVQGRSSAGESLVVKSEHACAADDPVGDGALLAPANKPTGADPLAVASSSHDIDAASADPANDEEDGDTTECSSSFGNSCCETDDEADHGGSEVDSPFSENADGVQALIRPRKKKVTAEWRSAVRPMMWRCQWLELRMKDLLSQVSKYDRELALINKGKELQQAVNMTNGSRSESAESSKGRENSCMERRKRRRLEETVNTSLYIKKHEILSYFFDKQNKGAETDGILIDDDSSGPVGNDVKGGIHTVGLLEPKEYDMVAEQLTLQKFLLTIDGIRSQVLRLQDRLSKVRSKQENMVSLVDHAHIKVSEKRLRTQKRSFSYKKDRYSKSKKKKNLNILSKEEDKPAHAVISTLSKRAPDCQTEVTMYSSEEKSGERCQSHKKAITVDLLLPNGHMGDLCKDNDDVLIDNQAANEGYQPFENAKQPMDKSLELTEKVCETANLRVGSNSSPVEVTSTSAPFRVENASVSLEARSTPGQVVKQEPVFEKPPALKHVYSGKRRRKLKMKEGSGPVSGFKTQSKEASKTPATKKKTESTSPAAKKLKIEETTAPDEGKKAVKTHSTGKKRKAGKSCSSTKKQEAENSSCAARKDISESTPSKPRIEKAVLVAVNSRRSQRVRKPKIY >ONIVA01G16000.1 pep chromosome:AWHD00000000:1:12973984:12975491:1 gene:ONIVA01G16000 transcript:ONIVA01G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRPIMPRWCYNGPFAIVLVPWLASPVYYRISPFPDPKQSTSTPIGGRATSSAKPRVLDIQVTLFGPSDYASFSSDEWDDKEEGNEAVQQWEDDWDDDDVNDDFSLQLRKELESIPSKN >ONIVA01G15990.1 pep chromosome:AWHD00000000:1:12960155:12968412:1 gene:ONIVA01G15990 transcript:ONIVA01G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASDVEQVEDTDNENDVVIVGSRQKERWDMKESKVRSATNDHKYSSLLSVVSAMSLQELIGGLFQNIWVQSSRPHTIKLSLKTLQTVLKDGPLDRDCFNMAIRKFMYENVQSLHKTSEAITKHCLDLQFWTATGFGISPMLHRDIDLAGSVGSWSKIHYEVAKCKSARTQNACTAGIYTYLAETMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRILDIDLKIPPRPPGYAFVEFEDPRDADDAICGRDRYNFDGYRLRVELAHGGRGQSYSYDRPRSYSSGRRGGVSRRSEYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGATVGIVDYTTYEDMKYAIRKLDDSEFRNAFSRAYIRVREYDDRSRSRSRSYSRSRSYSRSRSPKSVSRSPSPVDERSISRSRTPVSSPSRGRSVSKSPSRSLSRSPSPVKSD >ONIVA01G15980.1 pep chromosome:AWHD00000000:1:12956872:12958721:-1 gene:ONIVA01G15980 transcript:ONIVA01G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPRCAPFPNPNPNPDASPPPSSPMTPRAPSMRHHPPHLYLAEVVASWHPFHKKPCLSDRSTAPPSAHFADAPETQTQTPTPPLSASGGGGGGSFRWLGPRKRRRRGAGSRSVSGRSSDRRRSGTCSDFHVTCGAGGGGATDSSGEMWASDVGEVRMRDVPMATEFGPAAPVGGAGSGSGGTGAAAEVAAADSGYGSEPGYRGDVELGYGDEIDEEEEDGRQQLFFWGEEIGDCIADMNKMGIVGDNNFGEQKSHHRCRRKKHDVRMLDP >ONIVA01G15970.1 pep chromosome:AWHD00000000:1:12949045:12950289:-1 gene:ONIVA01G15970 transcript:ONIVA01G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASDDAAAAGGRFDFDVIVVGAGIMGSCAAHAAASRGARALLLERFDLLHHLGSSHGASRTIRDAYAKAHYPPMVRLARRLWADAEAESGYRVLTPAPQLTVGPPGDASLLAAVGNSGARRVDEDDLAGRWGGAFRGVPDGWVAAVSELGGGVLNATKAVAMFQALAVKGGAVVRDNAEVVGVVKKDGEAGVFVRTSGGEEFHGAKCVVTVGAWTSKLVKSVAGVDLPIQPLHALVLYWKVKPGRERELAAEAGFPTFSSHGDPHVYGTPSLELPGLIKINYDGGPPCDPDGRDWAGGGGDAASRVARWIEEFMPDHVEAAGGPVVRQPCMYSMTPDKDFVIDFLGGEFGDDVVVGAGFSGHGFKMGPAVGRILAEMAMDGEARTAAEAGVELRHFRISRFEGNAMGN >ONIVA01G15960.1 pep chromosome:AWHD00000000:1:12871352:12874861:-1 gene:ONIVA01G15960 transcript:ONIVA01G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGAGAPGSASDGGEYSPKPSKPLSWLTRAARYAAAEHRPAFALAGMLLAAALFSLYAPSSDASSSAATTTTTTFSHLSSLPSSSAASLHGSAGGKVPLGLRRRALRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNFFTGRKDNVAHHLANPRFEVIRHDVVEPILLEVDQIYHLACPASPTNVVGTLNMLGLAKRIGAKFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMSLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDITRAKELLGWEPKVPLREGLPLMVTDFRKRIFGDQEA >ONIVA01G15950.1 pep chromosome:AWHD00000000:1:12861713:12872086:1 gene:ONIVA01G15950 transcript:ONIVA01G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEYHEEYVRNSRGVQLFTCGWLPAKTLPKALVFLCHGYAMECSGYMRECGMRLAAAGYGVFGMDYEGHGKSMGARCYIRSFRRLVDDCHRFFKSICDMEEYRSKSRFLYGESMGGAVALLLHMKDPTFWDGAILVAPMCKISEKVKPHPVVISLLTQVEDVIPRWKIVPTKDVIDAAFKDPAKREKIRKNKLIYQDKPRLKTALEMLRTSMHVEDSLSKVKLPFFVLHGDADTVTDPEVSRALYERAASADKAIKLYAGMWHGLTAGEPDHNVDAIFSDIVAWLNGRSRTWTVEDRLMKMMASPDRFIRGERGGAADVDGDAKRGPPRRRGGCFSGLAGRTHHHSEM >ONIVA01G15950.2 pep chromosome:AWHD00000000:1:12865723:12872086:1 gene:ONIVA01G15950 transcript:ONIVA01G15950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSIDMEEYRSKSRFLYGESMGGAVALLLHMKDPTFWDGAILVAPMCKISEKVKPHPVVISLLTQVEDVIPRWKIVPTKDVIDAAFKDPAKREKIRKNKLIYQDKPRLKTALEMLRTSMHVEDSLSKVKLPFFVLHGDADTVTDPEVSRALYERAASADKAIKLYAGMWHGLTAGEPDHNVDAIFSDIVAWLNGRSRTWTVEDRLMKMMASPDRFIRGERGGAADVDGDAKRGPPRRRGGCFSGLAGRTHHHSEM >ONIVA01G15950.3 pep chromosome:AWHD00000000:1:12861713:12866245:1 gene:ONIVA01G15950 transcript:ONIVA01G15950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEYHEEYVRNSRGVQLFTCGWLPAKTLPKALVFLCHGYAMECSGYMRECGMRLAAAGYGVFGMDYEGHGKSMGARCYIRSFRRLVDDCHRFFKSICGKQQNLYPFFITSLFNLSAYFTFSYTISVHYQARLCLCVAMQCFNLAAVYCTSVLALVMT >ONIVA01G15940.1 pep chromosome:AWHD00000000:1:12854575:12855726:-1 gene:ONIVA01G15940 transcript:ONIVA01G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRHRGTQSQRQGGGAGDLPHLRQPPRRAAGQDLRASAGGRAQGGARHQHRRRRAPYNPRTAMESFLVAPVSRASAEQRACRSGRPGQRHHRGVRVQPSDKAMGLPDAAGDPVAPQTRRRVHRLRPGRLADTTAGLWRGWTYADAGFAHTGVVVRRRRASAVAEGEDVASARVLRGTHAAAASASQVVGCSCQVRLRRRAPTTRRRELPCSPREGESERGEEEKEMRGYI >ONIVA01G15930.1 pep chromosome:AWHD00000000:1:12837945:12838357:-1 gene:ONIVA01G15930 transcript:ONIVA01G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASSCLSLLAQRRGYSVAAAVAKGAGRRADEKKVAAAVAKRTMAKAAEEKMAWVPDPVTGYYRPAGGAKEVDAAELRAKLLSNSKRMAAN >ONIVA01G15920.1 pep chromosome:AWHD00000000:1:12828786:12833584:1 gene:ONIVA01G15920 transcript:ONIVA01G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGVPLAITSVASILAGQGDMMIEKWGRILSSRGYELETDPTIGWMRHVLSFSYSNLCPELRTCLLYLSTFPEYCSIRKDDLIRQWIAEGLVTEKFGCDQYEIAEIYFNNLIKRSIINPVDIDDCGMVMSCRVHDLMVDLIISKAIEENFIAILDDQHTMRGSHEARRFTLHFNRDLENTEQNLVLTRSVHHQTRSISFLGPIQCMLVISEFKYLRLLQIEVYYSNIDSYDLTHMCEFFQLRYFRIRGILCKLPEKIGGLKYLETFDIDDNVKNIPSDVCKLSSMAHLTLPKHAKIPSGIDKLVALRTLKVSTSYDTSIEFYEGLGSLRKLRELELGATNYMSRDKVVSLAASLMKLGSCSLRSLILRSGDLSLEAGDRLNFWSPPPLHLRRLHVFEVVFSYVPDWIAKLDKLTSLVIRIREISKDGFGVLARLPCLLFLRLSVHRVKEEDATIVVCSRTFQYLKEFWFRYKVPCLVFEPLAMPRLQILNIQFDEECLYGRDDGVPCEPAGIEHLTNLMQISAHIHLAHHWMWQTPALPRRKVKHFSERHDEDGHGQVEEEAPVYKKAAEAMLTRATSKHPGIHYGNIQFIQM >ONIVA01G15920.2 pep chromosome:AWHD00000000:1:12828786:12833584:1 gene:ONIVA01G15920 transcript:ONIVA01G15920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGVPLAITSVASILAGQGDMMIEKWGRILSSRGYELETDPTIGWMRHVLSFSYSNLCPELRTCLLYLSTFPEYCSIRKDDLIRQWIAEGLVTEKFGCDQYEIAEIYFNNLIKRSIINPVDIDDCGMVMSCRVHDLMVDLIISKAIEENFIAILDDQHTMRGSHEARRFTLHFNRDLENTEQNLVLTRSVHHQTRSISFLGPIQCMLVISEFKYLRLLQIEVYYSNIDSYDLTHMCEFFQLRYFRIRGILCKLPEKIGGLKYLETFDIDDNVKNIPSDVCKLSSMAHLTLPKHAKIPSGIDKLVALRTLKVSTSYDTSIEFYEGLGSLRKLRELELGATNYMSRDKVVSLAASLMKLGSCSLRSLILRSGDLSLEAGDRLNFWSPPPLHLRRLHVFEVVFSYVPDWIAKLDKLTSLVIRIREISKDGFGVLARLPCLLFLRLSVHRVKEEDATIVVCSRTFQYLKEFWFRYKVPCLVFEPLAMPRLQILNIQFDEECLYGRDDGVPCEPAGIEHLTNLMQISAHIHLAHHWMWQTPALPRRKVKHFSERHDEDGHGQVEEEAPVYKKAAEAMLTRATSKHPGIHYGNIQFIQM >ONIVA01G15920.3 pep chromosome:AWHD00000000:1:12827168:12829371:1 gene:ONIVA01G15920 transcript:ONIVA01G15920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFNITPVQSPEQSVCQLPTEAIVVSTSVGVMNSLLVKLTSLLGEEYKLLKGEKDDIKYL >ONIVA01G15920.4 pep chromosome:AWHD00000000:1:12828770:12833584:1 gene:ONIVA01G15920 transcript:ONIVA01G15920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGVPLAITSVASILAGQGDMMIEKWGRILSSRGYELETDPTIGWMRHVLSFSYSNLCPELRTCLLYLSTFPEYCSIRKDDLIRQWIAEGLVTEKFGCDQYEIAEIYFNNLIKRSIINPVDIDDCGMVMSCRVHDLMVDLIISKAIEENFIAILDDQHTMRGSHEARRFTLHFNRDLENTEQNLVLTRSVHHQTRSISFLGPIQCMLVISEFKYLRLLQIEVYYSNIDSYDLTHMCEFFQLRYFRIRGILCKLPEKIGGLKYLETFDIDDNVKNIPSDVCKLSSMAHLTLPKHAKIPSGIDKLVALRTLKVSTSYDTSIEFYEGLGSLRKLRELELGATNYMSRDKVVSLAASLMKLGSCSLRSLILRSGDLSLEAGDRLNFWSPPPLHLRRLHVFEVVFSYVPDWIAKLDKLTSLVIRIREISKDGFGVLARLPCLLFLRLSVHRVKEEDATIVVCSRTFQYLKEFWFRYKVPCLVFEPLAMPRLQILNIQFDEECLYGRDDGVPCEPAGIEHLTNLMQISAHIHLAHHWMWQTPALPRRKVKHFSERHDEDGHGQVEEEAPVYKKAAEAMLTRATSKHPGIHYGNIQFIQM >ONIVA01G15910.1 pep chromosome:AWHD00000000:1:12819703:12822190:1 gene:ONIVA01G15910 transcript:ONIVA01G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASPLTAVVRPHRL >ONIVA01G15900.1 pep chromosome:AWHD00000000:1:12794743:12797917:-1 gene:ONIVA01G15900 transcript:ONIVA01G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pseudouridine synthase and archaeosine transglycosylase (PUA) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G09150) TAIR;Acc:AT1G09150] MFKKFSFEDISAQNQVKASVQRKIRQSIADEYPGLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGSLDVEVEEETPVAIMAEGKQHALAIGYTKMSAKDIKTINKGIGVDNMHYLNDGLWKMERLE >ONIVA01G15890.1 pep chromosome:AWHD00000000:1:12794047:12794301:1 gene:ONIVA01G15890 transcript:ONIVA01G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRREHASWDAMRWGSKERMRDLEILDGAGSRRGRGCGADRDAMQCRWLIVRLKSNGHEKMRSRGSISGSREFLVVGMICIGI >ONIVA01G15880.1 pep chromosome:AWHD00000000:1:12786769:12791199:-1 gene:ONIVA01G15880 transcript:ONIVA01G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoacid dehydrogenases acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G06850) TAIR;Acc:AT3G06850] MACVLRLASRSRSRSGLRPTLAAPRPSPVPPPPPRVGPTPAAPSPAPLRGLLLPLSPLRLASPDAFSSSSPSPAWLLDGLNWRRAGVGRRWFATEASAASTAAELVDVPLAQTGEGIAECELLRWFVTEGDQVDEFQRLCEVQSDKATIEITSRFKGKVHQIHFGPGDIVKVGETLLKMMVGDSQTVSHDSIASSTDHSHAVDAANPSGEGSVPSGTLSTPAVRHLAKQYGLNISDIQGTGKDGRVLKEDVLSYAASKGLCKEPTSSLEENIDQVELLEGRGSLPDANSYEDRRISLRGYQRSMVKSMTLAAKVPHFHYLEEINCDALVELKASFQNANKDHTIKHTFLPFLIKSLSKALSKYPLLNSCFVEETNEVIFKGSHNIGVAMATEHGLVVPNIKNVQSLSILEITKELSRLHEMASHNRLSTEDIAGGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIQKLPRFDDDENVYPSSIINVTVGADHRVVDGATVARFCNEWKSLVEKPELLLLHMR >ONIVA01G15870.1 pep chromosome:AWHD00000000:1:12782687:12786065:-1 gene:ONIVA01G15870 transcript:ONIVA01G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVVSAGSPLKASSSPPPLSSFGIWSDQRKSPRLPWWKQQFISESEEDDDEVMPPASHVVVPPPTPTPTPPPPQAPEEEEEEARALRRSLLSLIHIDYIEAIRRLPAADLRTALARGVLVGGHCYGPLHHPADNILLNSIWYAAAFPLHADDRIDVAVITANSLSRAVQRSLDGLVASLRHRRPDLSRDDALRHLRADLRAAVASARGSPPPPLPAESDSEAEAAAYRAAQHPKPAALAHFLATVLPAVVTDAASVLAGKPSLSSSDIARVSAMLAPSPLPDEPPQPPLRERSPKIIRIINDRRNNLRGWYKILLQLANAALRKYAQQTGEEYELHTIYGETFLKDRNERAEYIHINFMASPSSCQCLQASPVCFFAEVLRPPRFKYHEAETTLCCIVRPSPNDADSCHGCLIENHRIDHPEAGMRFCGKKHSKMDPNGYGHGWDWPSIADVEYRFFDPDKDVGLVEYLDGVITDIKARIRDLSIRDEDDSDEDSSDDDISGYSMRFCNFCKSYVGKRDPRFGFRAAAEAAQHPKPAAMAHFLATVERDAVSVVAGNSVLSSANIARLSAMLAPSPLPDDPPQPPLRERRPKIIRIINDRRNNIRRCYKGLLEMADAALRKYAHQTGEQYELHTIYGETFRKDYEEFHVYVHMNFMASSSSYKALQAPQPVCFFAEALRPPRPGYHEDDITLCSIVQPSPTDIDSCHGSLANNHRIDHPEAGMHFVGKHNKMDGSDYDWDWPHTADVYYRFFDPDRDVGLVEHLYKVITRFSALYGGSTDEDDNEDITR >ONIVA01G15860.1 pep chromosome:AWHD00000000:1:12778065:12780647:-1 gene:ONIVA01G15860 transcript:ONIVA01G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRCAGGARRGGVAGGGGPWLAVARRRAPARETEADGNDDKVVGHGCIKPDTLDDESSSLVMLDRVWRMAKHGDVNGLLAKWPSSSPPTPPSPPSRTPGAAGALFTAAHSVLTAVPSAEGCRSLLRPRPLRPHRVPSACGCGPPLHRRPLRPPSSRAPPAVPNSAAPFVARALLVVVLKKYRVVSMS >ONIVA01G15850.1 pep chromosome:AWHD00000000:1:12776052:12776453:1 gene:ONIVA01G15850 transcript:ONIVA01G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPPRRGEVFPNGLDDGVRAPSNGAPAFSSNSLAMSVRKVAGPSKMIEKTSTVTEETATSVKASLAGGVPVRRPHTIAITTAASLGLGVGAMMPAQWQALTGWHSVDSATGGLMGTTSVWASPIRWTRWPPG >ONIVA01G15840.1 pep chromosome:AWHD00000000:1:12766976:12768219:1 gene:ONIVA01G15840 transcript:ONIVA01G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQ >ONIVA01G15830.1 pep chromosome:AWHD00000000:1:12762125:12765054:1 gene:ONIVA01G15830 transcript:ONIVA01G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSVVAAAVALDDCGLSVSARFLARRRQIRQRWTPAAALGVAAAWEYGRKRISSAAVRLVTDDFESPVTGEGPLESQIELIKITLVPISNPLRPEPSRRLHPNSIAFTFPSASLVPPSPPQLPLTRWRRLVLHLRTPAEVASIGCKWWSGTSDNLPVMGLGLWPHLLRPPVKAVTCKAIRDELYSVTDEKIWRSDFA >ONIVA01G15820.1 pep chromosome:AWHD00000000:1:12757550:12757963:1 gene:ONIVA01G15820 transcript:ONIVA01G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPGGGARDGDGGWWRMRLEVELGKGRRPVAEAVGGRAPNGGRRLEAGVGMGRRSVGVAEAGKVALGMGMVAGDGGSWRLGSAWSGGRQRMRLEAELGKGATISGGGGWRWGSTPFLFLSRAVRNADGSKRRGSG >ONIVA01G15810.1 pep chromosome:AWHD00000000:1:12746162:12748779:1 gene:ONIVA01G15810 transcript:ONIVA01G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKX3] MALLRCLFLLAVLLPHRNAAVVAAASPHHGPAPHDYRDALTKSILFFEGQRSGKLPPSQRVSWRGDSGLSDGSSIKARNSPLLRVDLVGGYYDAGDNMKFGFPLAFSMTMLAWSVVEFGGLMKGELQHARDAVRWGSDYLLKATAHPDTVYVQVGDANRDHACWERPEDMDTPRTVYKVDPSTPGTDVAAETAAALAAASLVFRKSDPAYASRLVARAKRVFEFADKHRGTYSTRLSPYVCPYYCSYSGYQDELLWGAAWLHRATKNPTYLSYIQMNGQVLGADEQDNTFGWDNKHAGARILIAKAFLVQKVAALHEYKGHADSFICSMVPGTPTDQTQYTRGGLLFKLSDSNMQYVTSSSFLLLTYAKYLAFSKTTVSCGGAAVTPARLRAIARRQVDYLLGSNPMGMSYMVGYGAKYPRRIHHRASSLPSVAAHPARIGCSQGFTALYSGVANPNVLVGAVVGGPNLQDQFPDQRSDHEHSEPATYINAPLVGALAYLAHSYGQL >ONIVA01G15800.1 pep chromosome:AWHD00000000:1:12739821:12743437:-1 gene:ONIVA01G15800 transcript:ONIVA01G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPWEQPKKGTKRCAKADASPQRRRTAAPAVLSPSLVYLVIVAFSSSPAPLSAFLLFNHASSCSLSTSLPTFPALLKSCARAFNQSSRASAALVFISNGMELHCLVLMIGCGKDRYVRNALVSMYGKFGRLGDARKAFDEMPAKNAVSWNALVGAHRAAAALQTGRRLRHMLCGSASETARPVEVDDDNDGNDDKDDPAGCLPVAISLPSPAPTTDYRRHLPLLPSLIKIGHHYHETPLPETEREKYT >ONIVA01G15790.1 pep chromosome:AWHD00000000:1:12730439:12739963:1 gene:ONIVA01G15790 transcript:ONIVA01G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKX1] MPPLHAGRPGPLLVLLAVTLAAAAATAVGASSDTAPFYPSAEEAAAAHCEGTLYPELCLSTLADIPDLHTKSLPDVICGTVNRTKDAVAATSYNCSHYINSKYLTPRDRLAISDCMELLDTTMDELQATTSDLESPAVAGGNNGSASMAAKRVTMDHVMTELSAAMTNQYTCLDGFDYKDGERVRHYMESSIHHVSRMVSNSLAMAKKLPGAGGGGMTPSSSSPDTATQSESSETTQRQPFMGYGQMANGFPKWVRPGDRRLLQAPASSITPDAVVAKDGSGGYTTVAAAVAAAPANSNKRYVIHIKAGAYMENVEVGKSKKNLMFIGDGIGKTVIKASRNVVDGSTTFRSATVAVVGNNFLARDLTIENSAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRECDIYGTIDFIFGNSAVVFQSCNLYARRPLPNQSNVYTAQGREDPNQNTGISIQKCKVAAASDLLAVQSSFKTYLGRPWKQYSRTVFMQSELDSVVNPAGWLEWSGNFALDTLYYGEYQNTGPGASTSNRVKWKGYRVITSASEASTFTVGNFIDGDVWLAGTSVPFTVGL >ONIVA01G15780.1 pep chromosome:AWHD00000000:1:12726952:12727491:1 gene:ONIVA01G15780 transcript:ONIVA01G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGEAARSRDDGGGAEDGGNGRGWQRGSQGAVAAGKDGRGEAEDGGDGRRGLGGNSEQWRRQVETGEATRSGGSGWGRRRQLGVAPIRVAFCSSAVVDICAKEAACSDVSADDVDENPKLRSAYCCASPLSSMELLMNIADPYITAGFLAPAIASSWCCCAVSHDGVNCCCSLLRHN >ONIVA01G15770.1 pep chromosome:AWHD00000000:1:12711445:12713548:-1 gene:ONIVA01G15770 transcript:ONIVA01G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKW9] MASFHIATALSATLLLSLLVLGRSDATLPPPATPVPPSTACNGTTDPTFCRSVLPTNGTSNLYTYGRFSVAKSLANANKFLGLVNRYLSGGRLAAGAVAALQDCQLLSGLNIDFLSAAGATLNRTSSTLLDPQAEDVQTLLSAILTNQQTCADGLQAAASAWSVRNGLAVPMSNSTKLYSVSLSLFTRAWVRPSTKKPRTATPKPPRHGGRGRGLFDATDDEMVRRMALDGAAAAVSTFGAVTVDQSGAGNFTTVSDAVAAAPTNLDGTKGYFVIHVTAGVYAENVVVPKNKKYVMMVGDGIGQTVITGNRSVVDGWTTFNSATFAVLGQGFVAVNMTFRNTAGPAKHQAVALRCGADLSTFYQCSFEAYQDTLYTHSLRQFYRACDVYGTVDYVFGNAAVVFQDCTLYNRLPMQGQSNTVTAQGRTDPNQNTGTTIQGCAIVAAPDLAANTAFATTNYLGRPWKLYSRTVIMQSVVGGLIDPAGWMPWDGDYALSTLYYAEYNNSGAGADTSRRVTWPGYHVLNSTADAGNFTVGNMVLGDFWLPQTGVPFTSGLN >ONIVA01G15760.1 pep chromosome:AWHD00000000:1:12709369:12710893:1 gene:ONIVA01G15760 transcript:ONIVA01G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVQREQHHRSRSLIGGKPKKERKKRRNGFLPVSRRRRCRRPRHPPRRAGCGGRGTYRRAVGQLLPRGRVQEDWPTLRPLHRDALRRPVRQVVGHGGARQGGRPGGAEERVGDGHLPLQHLRRRQHREEDGAAAAVPRGLLREVRGGGGAADGRDGGAGHGGVRGGDGAGGGGAGGGEDVPEGVQGRAAAPEHPHLAQPRGRPALQHRLHHHQADPRVTER >ONIVA01G15750.1 pep chromosome:AWHD00000000:1:12706823:12707104:1 gene:ONIVA01G15750 transcript:ONIVA01G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEVINYGGKMIYGQFKLLNSVPRQISYTSLMQQIIHSPRVTYQPNTTSIPTYIIYLKTKEQIINE >ONIVA01G15740.1 pep chromosome:AWHD00000000:1:12694702:12697148:-1 gene:ONIVA01G15740 transcript:ONIVA01G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKW6] MASPPSLLPSAGEDDESQREVYEQLRQLVSTYPTVPSGLDTPYYRHPDGWYTFLPAMVSVMVAQRHFTARDTDIIIATFPKCGTTWLKALLFATVHRDGGGAGGVEDDAALAQLRARNPHQLVPFLEIQVYVRDRAPDLSSLPAPRLLATHIPRPSLPASVAISGCKVVYMCRDPKDCLVSLWHFLDAQRPEPRGDVGEDFRLFCDGVSLVGPYWDHVLAYWRWHVERPGQVLFMTYEELSGDTLGQLRRLAEFVGRPFTGEERAARVDEAIVKACSFEGLAGAEVNRSGTIELMEEPMRNAEFFRRGVVGDWPNYLSPEMATRIDKITESKFRGSGLKENPRRCAGILLNVL >ONIVA01G15730.1 pep chromosome:AWHD00000000:1:12686386:12692604:1 gene:ONIVA01G15730 transcript:ONIVA01G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase PHS1 [Source:Projected from Arabidopsis thaliana (AT5G23720) UniProtKB/Swiss-Prot;Acc:Q75QN6] MEQREARQEEEEEEEEERDAPAVPPGENDEERDRNLSSRVVSLLFGGDISAQTFEKWVSLVRKRSGAFRPSGFPRRNSRIEVMPSGSFSLFSAADLSEQVVTAELIGKEDIPLTSSQPTEISLWERLGNASALDIESPDFSWNMLSSLHHTEHSSSSDHSEDEMCKPLEVTVNSGGVVFFALFNSSSNVLPKEAAAVIKFSSSKMSTQAERLGYEFARLLGVQTPQARVVHNSSLEWQDIRKAAENARSVAVSNSDEVGEMTCSELLEALELSRCLLLMSYIHGSPLLESSKAFSPREAACVTASSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRPSAPSVDRLDDSKCTTESSIPTITQLVQSDKRTHTANATINSPELVSMSPKPDALKSVRGNADSLDGPVHIVAIDTGVPRRPPAGRRVKDHERYPKVVQLMLNNSDYSSNILYEISGGKLGTPGPDEAIAFTDSCCSISDEDNTAAIHEFRGAFRAALRDLEGFHLFLLQLYQKLDGVLRVFLSIVTKGSEESDNNDATVPDFPSPGANYSTPCAPSKQQNSELHGDSEILKSTTKPSSAGSRGSSDSVSPLSRESWSNKYFKGSAEGPRSLRMTMKLRDFYKTPKVDPELVKEIEQWNEALKSDVIKFCEENNFHSGFFDGNENNMVADAYELKVRLEHIIERIALVSDAANTERPSLVINNLFIGGALAARSMYTLQHLGITHILCLCSNEIGQSDSQFPDLFEYKNFSISDDDDANISDLFEEASDYIDHVDHVGGKVLVHCFEGKSRSATIVLAYLMLRKGLTLAKAWNLLKKVHRRAQPNDGFAKALLALDRKLHGKVSMDWQHKRPEMKVCPICSKNVGLSTSSLKLHLQKAHKRLSAGSVDSAMTLEIQKSIQSLRISRGGSLSPSQKLTKAFADELSF >ONIVA01G15720.1 pep chromosome:AWHD00000000:1:12681560:12683790:1 gene:ONIVA01G15720 transcript:ONIVA01G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNAVLVTPTPIVAASTELRLAMLAALKMLGPYSTTTSMPIPRAAMTMRRTGGVGCRNAKGADADATAAGEECGEVGGEAHEDGAREEDAAVSFGIGSLGPVAMSDRGTSSSVSGDTDADSVPTADAAAPLWAPHGRALTGCLVVVNVALVLLVYLYFWRVFSRKRAAAAASARSDDDDDDDEASSSASAPPPAAAAVSVRTRDDVLASLPVFVVRSSGGEKAEAEAECAVCIAELRDGDECRALPRCGHRFHASCVDGWLRLHTTCPLCRASVVALAAAPERKGGVADTTAAAEDVDARV >ONIVA01G15710.1 pep chromosome:AWHD00000000:1:12679241:12681165:1 gene:ONIVA01G15710 transcript:ONIVA01G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEHKNPGAQEPPLPCGGEVPRHESFHKHLPIPAAGVGGGQVDNPSSYQIAGRVLLAVSGAFSGVLLALVVLHLCNRGRRGGGHSGDSRTTRRRERRLLRSLAIGAGDDYRDGGAAPSPRGLDPAVLRALPVVVVAAAGAAPGDCAVCLAEFEAGDKARALPRCGHRFHVECIDAWFRENSTCPLCRADVEAPYDADGAQPEVRIDIAGDAPSVAAKGSAPAMGRLPSGTDLEKTRRPLELRIRRPDMPLASFLLPIDYAMAAAAMLPTIAGELATDETKHSQISPGLAAWAQGRAGWLLGGRGSSGPSAAARALQLYLFGHVGKGNHGGEGGLLRRGIGKRTNIAR >ONIVA01G15700.1 pep chromosome:AWHD00000000:1:12660363:12670042:1 gene:ONIVA01G15700 transcript:ONIVA01G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNHLLLSNSSAGLRMDCQENNLKSFLQTNGHVVLQRVDNNYSLRYFTKNEVWHITNGYSIMLGKGAFGEVYKGILDDGCPVAVKRYIHGNLKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLDNVLHCSNTKGCVPFPLYKRLDIAIEVAEALWCMHSMYSPVLHGDVKPANILLDENHSPKISDFGIARLLCANGAQHTKNIIGSIGYVDPAFCENGILTPKSDVYSFGVVLLEIITRKKAVDGTITLAQSFTDAIEKGKKVMNLFDEEINDKQNMNFLEDIGKLAVKCLRRDVKVRPEMVEVATSLRMIRKDLEGEQGNLTQQHTSTPNNSTPSKNEGSAGRQFGNLNIFKQEEIKHMTRNYSMTFREEFHERLYNGVLGMVHAVIVKQVSTSSKTDREVFLKTMGILCQKYHKNVANVAGFHLGEYISECVYESCCELSQVNNGHISFSNRNLYEIICSTEKLPLHVRLSIAVQCLEGLVHIHSFLAENPESRGTSLFGNFRSANIFLDKNFMPKVFNANLSTFLGLCAVQQCTASVDCIHDQRSQKYYLDPKDVSDHLFNPKSDVYSFGVVLLELITWKTAKYKSGGQAHMLTTDFLDTYRIDHSATDFFVKKVYDEEGKCFLHEAIAIGVECLKLDVQMRPEMSDVLSRLRIISAAQSIRSKLMGPQAKDCGDNGPSQYIAPTPVNNDVKIPSPPTSASTISLDILKKITRNFSNNSLIGEGSHAKVFFGVLKDGKNSAVKKLNPNEETIVQVSTISKMLKHDNVVQIHEYFIEGENRVLVYEYAPKGSLYDILHGREGVTGAQARPPLSWVQRVKLAITAAKGLEFLHEKAVPPVIHTNIKSSNILLFGNDVAKIGDLGVSKQLHVEDYDYSYTRVVPQIFRYEAPELRGQYSVKSDVYTFGVVLLELLTGRKATPRLSKDKVKQCVDPKLGRAFPLKAVAREIPRKGH >ONIVA01G15690.1 pep chromosome:AWHD00000000:1:12642682:12653800:1 gene:ONIVA01G15690 transcript:ONIVA01G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVCACAWPTAPTLVALFATGYEEGVAEAPHDVDPMTNGHVVFQRVENNCSLRYFTENEIRQITRGYSILLGKGSFGKVYKGMLDGRCPVAVKRYIHGTRKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLNDILHCSNTNGRVPFSLGKRLDIAIEVAEVLWCMHSMYNPVLHGDIKPANILVDENLSPKLSDFGIARLLCANGAQHTNNIIGSIGYVDPAFCMNGILTPKSDVYSFGVVLLEIITRKKAVDGTITLAQRFTEAVEQGKKVMHLFDEDINNTKNMNFLEDIGKLAVKCLRREVEVRPEMVEVATSLRMIRKALEEEEGNLIQQNISAPSNSIPSKNVKSSAQQFGNLKIFKQEEIKLMTKNYSMKFREEFCERLYNGVIGTTHAVIVKQVRTSSESDRMMFLKTMSILSQKYHKNIANVAGFHLGDSISECVYESCCDLSQGNDGHVCFCNRNLYDIICTREKLPLHLRLSIAVQCAEGLVHIHSLLAENPDSHSTGLLGNFRSINIFLDKNFVPKVFNSNLSTFLGLSVMQKHTASVDRPNDQRSQIYYLDGRDISGQLFNPKSDVYSFGAVLLELITWKTVRYMSSGRVHMLTKDFLDTYRIDHSAAISFGKKVYDEQASGDNKPNQHVAPPLTKKFVKTPPTIVSIIPLNILEKITSNFSNDALIGEGPDARVFFGELSGGQKSAIKKLDPNEKIVVQVLTISRMLKHDNIVQILGYFIEGENRVLAYEYAPKGSLHDILHEGVRGAQPGTPLSWEQRVKIALSAAKGLEFLHEKAVPPVIHTNIRSNNIFIFGNDVAKIGDLGVSKQLYPESDNDYYNTRLYPLRSFGYDAIAPETVQCKERYLRFGAVLLELLTGRKVVDYSLPRFQQSLITWATPRLSEDKVKQCVDPKLGGAFPLKAVAKPPPPRLSSTPPPSRPAPSLSLSRRRPPLLLPLVPPPPLPRAAAVASSSPPPPPTNHIFSWGFCGSWARRGDEGDGGWVGGFGAGGEVGGGVAQALLRAFTLWDNLSPEDLELLVRDIECRLPLPTVKLVSESSVLKVEERSVVEQGAEGHFGGEASRYPFSRWRLGLAALILKGVSKPFQYSNVMNKVTAGVHLGSCAFAKKNVQPTTDLKKYIEAIKRFLHHSSSFYAVTINSTFIKQDRVYFTKEFSQNYIKPLMEGQPAWRLLLMSCEDHMGIHSYLMIMLLLPVNKLITIRIGRFPPLELLPCLLVLHLRCLLSIVH >ONIVA01G15690.2 pep chromosome:AWHD00000000:1:12642682:12653995:1 gene:ONIVA01G15690 transcript:ONIVA01G15690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVCACAWPTAPTLVALFATGYEEGVAEAPHDVDPMTNGHVVFQRVENNCSLRYFTENEIRQITRGYSILLGKGSFGKVYKGMLDGRCPVAVKRYIHGTRKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLNDILHCSNTNGRVPFSLGKRLDIAIEVAEVLWCMHSMYNPVLHGDIKPANILVDENLSPKLSDFGIARLLCANGAQHTNNIIGSIGYVDPAFCMNGILTPKSDVYSFGVVLLEIITRKKAVDGTITLAQRFTEAVEQGKKVMHLFDEDINNTKNMNFLEDIGKLAVKCLRREVEVRPEMVEVATSLRMIRKALEEEEGNLIQQNISAPSNSIPSKNVKSSAQQFGNLKIFKQEEIKLMTKNYSMKFREEFCERLYNGVIGTTHAVIVKQVRTSSESDRMMFLKTMSILSQKYHKNIANVAGFHLGDSISECVYESCCDLSQGNDGHVCFCNRNLYDIICTREKLPLHLRLSIAVQCAEGLVHIHSLLAENPDSHSTGLLGNFRSINIFLDKNFVPKVFNSNLSTFLGLSVMQKHTASVDRPNDQRSQIYYLDGRDISGQLFNPKSDVYSFGAVLLELITWKTVRYMSSGRVHMLTKDFLDTYRIDHSAAISFGKKVYDEQASGDNKPNQHVAPPLTKKFVKTPPTIVSIIPLNILEKITSNFSNDALIGEGPDARVFFGELSGGQKSAIKKLDPNEKIVVQVLTISRMLKHDNIVQILGYFIEGENRVLAYEYAPKGSLHDILHEGVRGAQPGTPLSWEQRVKIALSAAKGLEFLHEKAVPPVIHTNIRSNNIFIFGNDVAKIGDLGVSKQLYPESDNDYYNTRLYPLRSFGYDAIAPETVQCKERYLRFGAVLLELLTGRKVVDYSLPRFQQSLITWATPRLSEDKVKQCVDPKLGGAFPLKAVAKASFAHRQAGVGVQRVEGRGEVGGGTRG >ONIVA01G15690.3 pep chromosome:AWHD00000000:1:12642682:12653771:1 gene:ONIVA01G15690 transcript:ONIVA01G15690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQDNNLKNFFQTNGHVVFQRVENNCSLRYFTENEIRQITRGYSILLGKGSFGKVYKGMLDGRCPVAVKRYIHGTRKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLNDILHCSNTNGRVPFSLGKRLDIAIEVAEVLWCMHSMYNPVLHGDIKPANILVDENLSPKLSDFGIARLLCANGAQHTNNIIGSIGYVDPAFCMNGILTPKSDVYSFGVVLLEIITRKKAVDGTITLAQRFTEAVEQGKKVMHLFDEDINNTKNMNFLEDIGKLAVKCLRREVEVRPEMVEVATSLRMIRKALEEEEGNLIQQNISAPSNSIPSKNVKSSAQQFGNLKIFKQEEIKLMTKNYSMKFREEFCERLYNGVIGTTHAVIVKQVRTSSESDRMMFLKTMSILSQKYHKNIANVAGFHLGDSISECVYESCCDLSQGNDGHVCFCNRNLYDIICTREKLPLHLRLSIAVQCAEGLVHIHSLLAENPDSHSTGLLGNFRSINIFLDKNFVPKVFNSNLSTFLGLSVMQKHTASVDRPNDQRSQIYYLDGRDISGQLFNPKSDVYSFGAVLLELITWKTVRYMSSGRVHMLTKDFLDTYRIDHSAAISFGKKVYDEQGKCFIHEAIAIGVECLQLMSRRGQK >ONIVA01G15690.4 pep chromosome:AWHD00000000:1:12642682:12656525:1 gene:ONIVA01G15690 transcript:ONIVA01G15690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVCACAWPTAPTLVALFATGYEEGVAEAPHDVDPMTNGHVVFQRVENNCSLRYFTENEIRQITRGYSILLGKGSFGKVYKGMLDGRCPVAVKRYIHGTRKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLNDILHCSNTNGRVPFSLGKRLDIAIEVAEVLWCMHSMYNPVLHGDIKPANILVDENLSPKLSDFGIARLLCANGAQHTNNIIGSIGYVDPAFCMNGILTPKSDVYSFGVVLLEIITRKKAVDGTITLAQRFTEAVEQGKKVMHLFDEDINNTKNMNFLEDIGKLAVKCLRREVEVRPEMVEVATSLRMIRKALEEEEGNLIQQNISAPSNSIPSKNVKSSAQQFGNLKIFKQEEIKLMTKNYSMKFREEFCERLYNGVIGTTHAVIVKQVRTSSESDRMMFLKTMSILSQKYHKNIANVAGFHLGDSISECVYESCCDLSQGNDGHVCFCNRNLYDIICTREKLPLHLRLSIAVQCAEGLVHIHSLLAENPDSHSTGLLGNFRSINIFLDKNFVPKVFNSNLSTFLGLSVMQKHTASVDRPNDQRSQIYYLDGRDISGQLFNPKSDVYSFGAVLLELITWKTVRYMSSGRVHMLTKDFLDTYRIDHSAAISFGKKVYDEQASGDNKPNQHVAPPLTKKFVKTPPTIVSIIPLNILEKITSNFSNDALIGEGPDARVFFGELSGGQKSAIKKLDPNEKIVVQVLTISRMLKHDNIVQILGYFIEGENRVLAYEYAPKGSLHDILHEGVRGAQPGTPLSWEQRVKIALSAAKGLEFLHEKAVPPVIHTNIRSNNIFIFGNDVAKIGDLGVSKQLYPESDNDYYNTRLYPLRSFGYDAIAPETVQCKERYLRFGAVLLELLTGRKVVDYSLPRFQQSLITWATPRLSEDKVKQCVDPKLGGAFPLKAVAKMTAVAELCIQFEAEFRPSMSIVVRALSMLESSTSSKQPSIGEAAGA >ONIVA01G15690.5 pep chromosome:AWHD00000000:1:12642682:12645420:1 gene:ONIVA01G15690 transcript:ONIVA01G15690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVCACAWPTAPTLVALFATGYEEGVAEAPHDVDPMTNGHVVFQRVENNCSLRYFTENEIRQITRGYSILLGKGSFGKVYKGMLDGRCPVAVKRYIHGTRKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLNDILHCSNTNGRVPFSLGKRLDIAIEVAEVLWCMHSMYNPVLHGDIKPANILVDENLSPKLSDFGIARLLCANGAQHTNNIIGSIGYVDPAFCMNGILTPKSDVYSFGVVLLEIITRKKAVDGTITLAQRFTEAVEQGKKVMHLFDEDINNTKNMNFLEDIGKLAVKCLRREVEVRPEMVEVATSLRMIRKALEEEEGNLIQQNISAPSNSIPSKNVKSSAQQFGNLKIFKQEEIKLMTKNYSMKFREEFCERLYNGVIGTTHAVIVKQVRTSSESDRMMFLKTMSILSQKYHKNIANVAGFHLGDSISECVYESCCDLSQGNDGHVCFCNRNLYDIICTREKLPLHLRLSIAVQCAEGLVHIHSLLAENPDSHSTGLLGNFRSINIFLDKNFVPKVFNSNLSTFLGLSVMQKHTASVDRPNDQRSQIYYLDGRDISGQLFNPKSDVYSFGAVLLELITWKTVRYMSSGRVHMLTKDFLDTYRIDHSAAISFGKKVYDEQGKCFIHEAIAIGVECLQLMSRRGQK >ONIVA01G15680.1 pep chromosome:AWHD00000000:1:12627536:12628340:-1 gene:ONIVA01G15680 transcript:ONIVA01G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVQTVHAALLPSTTTTRRRPSPGRLPRRSPIRARAGSSETSSPRGRQNWRVQEALARVAEIQVLTVRIASFLDDCSENLLWLAENADAELDATAQDSLRVLDLDGAADDEIMERLYCKLGRFDDAQAEEKWMDLIGLGIDEGVSVESIGEEAKHEHVLVATESGRYRTRRNVYLSVMGLIWVGLMQTTVFAAPNVELDKFAALCLIFLAHIVQVCFKEETDKKQVYVNHETQISGRQR >ONIVA01G15670.1 pep chromosome:AWHD00000000:1:12620544:12620985:-1 gene:ONIVA01G15670 transcript:ONIVA01G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFLIKGRLGVQKISLAGKMTILACKGNKEMGIKRESWKGKVHIGCQEEKRFRRNVMLSDFALTS >ONIVA01G15660.1 pep chromosome:AWHD00000000:1:12609000:12610985:-1 gene:ONIVA01G15660 transcript:ONIVA01G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQMEPPPPPPRRSVSTSCDLHPGETFTGFCAACLRERLAGLEASTAAAAAAPGRRSTSAIRSLFSRPFVAAGGGGGGSGGGAVPSGSGAAVPDLRRCKSFSCGRGGDVLAGGCGDEPQRRSCDVRGRSTLWALFHQDDRERVRDGTAFGAFPASSSAAAAALASEVQPQPPPPPPPCVPEVFLEEEIAMAEESDEITPVVEPILVVDTSGEMETEANGGREAKAMKDHIDLECSQAKKPQPKDLKEIAGSFWLAASVFSKKWQKWRRKQKLKKQDAAGSKAAAAAMPPPEKPSKPSFLRRSRLRGEACSEFAGGRRSCDTDPRFSLDAGRMSVDDVGFSWDEPRASWDGYLFGAGTGIGLGRAPPPLSRLPPILSAMEDSPAGIVERSDGQIPVEDDSQPEPDPDADTPGGSVQTRDYYDTSSSSRRRRSLERTSSVRRPSFEVTDAKPVLPAAAAITSVKDSPLIGSSEFYHFQHAEDLLEHHRFSTSSLIEDFPMSLDAAFPGPDKKPRRWRKAWSLWGLIHRRAAGRRGGASDVADRAFSEPWPELRVRGCNARMQRCNSNASARSSFSSNSGGLGSSRRSYVDGNGNVVKRRREECALERNRSARYSPGHADNGMLRFYLTPMRSASGRRAPGLPAKGGRQLRSQSFARSMLRLY >ONIVA01G15650.1 pep chromosome:AWHD00000000:1:12600162:12602506:1 gene:ONIVA01G15650 transcript:ONIVA01G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSQRSRRPPRLSRRNAIRQSAYVARPASQLSPPSESDQPAFWYSTEESVLEERVVEDEVGDHAEAGGAEAAALVVEEASTSSSSAVSVHAAMVIRRSILNWSKLDLIGAGSSGRVYKAVAEDGFVFAVKEASLIGPESYTKQTACQLKQEILLLSRLEHKNIVQYFGAKKGETVLCIFLEFVSEGSLVSVYEKQQLEESTISSYTRQILNGLAYLHHHNVMHREAVASCFVLRRRRQVASSVTTCFVGGGGMAAADPFEAAASDPFEAEVAATAVAAGGSLRRGCVGRSGGGRGSGLVLLVAGAAVAWWWPRSRGSGGGWCVGSLGCEVATGAMVPAVVMRWSSEPAL >ONIVA01G15640.1 pep chromosome:AWHD00000000:1:12593244:12593795:1 gene:ONIVA01G15640 transcript:ONIVA01G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQVKQAAGVQPVHRCSPVPKGRRAFAMKRHMYAGLGTHAHACDIVVRNRFGVWSRRNQKYIRKTRYFKFVALGSAMSKVRRKLQEWIKQHGLLTWAQYLARREELEAAEAGLQKLFHRRMPTRFRKLKRRVVKEHERERRLLLKKQAHAAQGAPITRALFVYMSSCLVQLFYYLRRLMFG >ONIVA01G15630.1 pep chromosome:AWHD00000000:1:12567809:12570576:-1 gene:ONIVA01G15630 transcript:ONIVA01G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVCGSVAAAAAMVVVVMVSSLPGGVEAKTTIEPCTGSDSCSALLGYTLYADMKVSEVAALFGTDPAALLAANALDFGAPGAAHRILPMGLFVRVPTRCSCTDGVRKSVSVRYAARPADTLATVADGVFAGLASADQIRNANALASADPDAPLDPGQKLVVPLPCVCFNSSDNNLPAVYLSYVVQVGDTVPAIAASYETTVTDVMNVNAMGSPIAAPGDILAIPLPACTSAFPKSASDHGLIVANGTYALTAGNCVQCSCGPGNLNLYCTPASLTGSCPSMQCSNSNVLLGNVSARSTSAGCNVSSCSYGGFVNGTITTLLSTGLQSKCPGPHQFPELTEPPTTVNHDSTFLPPLSAPGPAEAGGAIPPPNSGSPSVQGGSFTLPKVSTANGPAGSVSEAPWMNKPHQILSSFILCLLLLYSQM >ONIVA01G15630.2 pep chromosome:AWHD00000000:1:12567809:12570576:-1 gene:ONIVA01G15630 transcript:ONIVA01G15630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVCGSVAAAAAMVVVVMVSSLPGGVEAKTTIEPCTGSDSCSALLGYTLYADMKVSEVAALFGTDPAALLAANALDFGAPGAAHRILPMGLFVRVPTRCSCTDGVRKSVSVRYAARPADTLATVADGVFAGLASADQIRNANALASADPDAPLDPGQKLVVPLPCVCFNSSDNNLPAVYLSYVVQVGDTVPAIAASYETTVTDVMNVNAMGSPIAAPGDILAIPLPVTIVACFCILACTSAFPKSASDHGLIVANGTYALTAGNCVQCSCGPGNLNLYCTPASLTGSCPSMQCSNSNVLLGNVSARSTSAGCNVSSCSYGGFVNGTITTLLSTGLQSKCPGPHQFPELTEPPTTVNHDSTFLPPLSAPGPAEAGGAIPPPNSGSPSVQGGSFTLPKVSTANGPAGSVSEAPWMNKPHQILSSFILCLLLLYSQM >ONIVA01G15620.1 pep chromosome:AWHD00000000:1:12560001:12560384:1 gene:ONIVA01G15620 transcript:ONIVA01G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGEEKKAGKVKKGWLAVRVGVEGADGGDGGGFRRFVIPIAYLYHPLFRRLLEAARDAYGYDSAGPLRLPCSVDEFLRLRSLVERETHAAGGGGGSSSPHRVHAGGGSHHHHHYSFSPCTRAKVSS >ONIVA01G15610.1 pep chromosome:AWHD00000000:1:12541267:12543832:-1 gene:ONIVA01G15610 transcript:ONIVA01G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWNPQIGQPTIAEAGPTYRHSSRESGSGPDRRYWAARYQPNIRDVGIQFRPIFPHAALPFRPISSIATGTRRAARYRRRGALLAESYQNLFRRSNHGSISILGLVRQRQERPGTASVPAGTKRPVSKSGLARKRRSFRPARIGNGPGLACLPLFLARIHSPPLTLRFQSGREATREEKRRGDGVRLATREATATTTRFPSDVHALRRLLATDNQLIFPNADGLLPEGPEEGEARLISDEVLKRMCLILKYITVHA >ONIVA01G15600.1 pep chromosome:AWHD00000000:1:12537331:12539733:-1 gene:ONIVA01G15600 transcript:ONIVA01G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPESGLRTGRGEEIAARQLNPTASASPPRSLIMDGACCDDGGSPESGGASSSASSYGSASRLQKGVRLRRRRQRLRRPLLATGGDGRGAADGAQDLALPLGMSFAAVLAQVLNRSSCSEGRLQPDFLSKIYGDRFDNFTKNFEKSFGSTLRTLHLINETPVYEQDNSRFSHEDGTSAAEIKLSGADSQRPVHDIQESTSLSSMDNQIILHAGTDQQLVKLPHNKASPEFDRHILNVFERSLNEQTRSNELKELEIGLNMRKLQLKQSQIALSSYSHMLEKIKISMGFQKASFREEKFRTQMEDTRHAELLRRLIDLLLTAVVFMSVCFGYGTYIYSYKRITAVTAACAAASRRPTMTGSNMPITFNVMLLGVLCGSVGRFCVDTLGGDGNVWLFFWEILCFIHLFGNSRPSLLYRMLYGPTSVTDRTKASDLPYRVRRYTFYTVLSVILPCLAGLLPFASLSDWNELVVEYMKSKFIRINTEV >ONIVA01G15590.1 pep chromosome:AWHD00000000:1:12532319:12535521:-1 gene:ONIVA01G15590 transcript:ONIVA01G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKU6] MDASLMGAPSAAAGDNPTSGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKITQLTDNVYICRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYGLLDHEWKEGMSQEEAEKFVVKVVSLAIARDGASGGVVRTVTINADGVSRKFHPGDKLQLWHEELEPQNSLLDILAAGNPDPMVQ >ONIVA01G15580.1 pep chromosome:AWHD00000000:1:12530081:12531664:-1 gene:ONIVA01G15580 transcript:ONIVA01G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05750) TAIR;Acc:AT1G05750] MNSEENLKKPGESKGSVPTCPRGNASALSHRPYLMAVVAPPSLALPQPPQNPTPKPRRRPPRDVASWTSAIARPAKQGDLPAAAAALSAMLSSPAAPVPNDVTLLTVLSACADSPSSPLARRLALSVHARVLKLFPSHLLLSTCLVRFYFASRLPHLALQLFDAMPVRSAVTYNTVISGLMRNGLVAAAFEVFDGMPAPDKVSWTALIDGCVKNGRHDEAINCFRAMLLDGVEPDYVTLIAVISACAEVGALGLGMWVHRLVVRQGLERNVRIANSLIDMYARCGQVELARQVFSGMRKRTVVSWNSMIVGFAANGRCADAVEHFEAMRREGFKPDAVTFTGVLTACSHGGLTDEGLRYYDLMRAEYGIAARMEHYGCVVDLLGRSGRLEEAMRVVTTMPMRPNEVVLGALLAGCRMHGDVGMAEQLMQHLLELDPGGDANYVLLSNIYAAVGKWDGAGKVRSLMKARGLRKRPGYSAVEIDGDVHEFVSGDRSHPQAEEISQMLGLLMHEMAGHDYDHVIDCLDGG >ONIVA01G15570.1 pep chromosome:AWHD00000000:1:12525641:12531228:1 gene:ONIVA01G15570 transcript:ONIVA01G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQRPRAQLARINAMRHSYTAAGDDGSGDDVCGELDDGGGEYASQTSFRIRGGRGAAEVTAIFRKLGLSGPEDFTIPPAVYAAAMSHLSSSARRRASLEVASPSELLEASPAEAAVPMNREAVEKGEEAGPAPKLVQSEVTEVSTRAYANATPAPESSIRVVAPSATKFVQAEAIEVSTRSYARPAASVRSVASKRALLKQDSADEDKEKGKLVRLDKSREEIRGEVVVEATRETTGASALVVEATRESTSRDIEHLISPSPHRRFRRTITSWLKGEHLGSGSFGSVYEAISDDGFFFAVKEVSLIDQGINAKQRIVQLEHEISLLSRLEHENIVQYFGTDKEDGKLYIFLELVTQGSLAALYQKYRLQDSQVSAYTRQILIGLNYLHQRNVLHRDIKCANILVDSNGLVKLADFGLAKEMSILSQARSSKGTVYWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWTHALLKIGRGIPPEIPATLSEDARDFIMKCVKVNPNDRPSAAQLKAVDAEDDPKSLAMIQR >ONIVA01G15560.1 pep chromosome:AWHD00000000:1:12524741:12525090:1 gene:ONIVA01G15560 transcript:ONIVA01G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQRIPYPDDNWVSVFYQIGRGQLPPVPGSISPVSRGFIHKCLQVNPDDRPSADELLNHPFVAVPEPD >ONIVA01G15550.1 pep chromosome:AWHD00000000:1:12490709:12504706:-1 gene:ONIVA01G15550 transcript:ONIVA01G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRSAAREGEEAEEEEYGGGYVRMPQEPEGEAAAAGAGSFLRLPESAGAFDELPRARIVGVSRPDAGDITPMLLSYTVEVQYKQVKEWLQNLGIGEHMPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNMEIVNSREVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGEGQSTLAREIKEHNPLHFAFEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKESNEEVSKQTHVEDIKGQKLSSLKAPASCQDIPLLLPHEPDHQASNNGELGLNGLDNNHGHSDHPNKTHWKQPIPNRKAKQDTSLQDLQMKGFVDNLGTPDVSSVIGHYDTSKQNVHHMDNEWWETQERGDQVDYVLDIGEVGPRATCCCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRHWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYNNIWMGTAKANTKIYQNVFSCVPDDHIHSRSQFRQGFAHRKEKIGHTTIDLGVAVEITETHKDGDLAGTDPMEKLQAVRGHLVSFPLEFMCQEDLRPFFGESEYYTGGGQARQWSGGQLRAERAAGERDGGQRRRRSGGGADAAELDRATAAPMRRGAAGGGSARAWLCGGAVPGAGRGLGGAAGVIVIPPSCGLRIQFKLYALDDEDKLMDAIEGHVLGEAELMAVFEDANSLHFDIPSAWRYLPPCIGDTSWPHRRHRRRACAVAARAGAGAGARSPATSSSGHLPPPTTPSPSFASLAGAAGSRTSRDPWAVAPCSFAVRSTRSPPTDALPAVALARRPLRPQLAAAPLPRSSTARSVGEEKSEEEKKRCHVSKTGQKYCQETSGERPRARDEMTAGWILVPPREMRRGAGRPEAIKGSGGPLFGFNPSLRGVIRRLVDDRLETDVSRRHPQTEHALPWCERCPVPTLGGLARQFLEGPVPLGQPGPTRQSQPATLKRCKYDGERFFLILNGPVSEPRILTLLTFKNLLKCP >ONIVA01G15550.2 pep chromosome:AWHD00000000:1:12493230:12504706:-1 gene:ONIVA01G15550 transcript:ONIVA01G15550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRSAAREGEEAEEEEYGGGYVRMPQEPEGEAAAAGAGSFLRLPESAGAFDELPRARIVGVSRPDAGDITPMLLSYTVEVQYKQFRWLLYKKASQVLYLHFALKRRAFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNMEIVNSREVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGEGQSTLAREIKEHNPLHFAFEVSSGGRTIKLRTRSSAKVKDWVSAINTARRPPEGWSHPHRFGSFAPPRGLTEDGSVVQWFLDGQAAFNAIASSIEEAKSEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQYLFVCNRFGSIKCLTGSCLHEMIIDKCMKFNFADIGCMHFKIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKESNEEVSKQTHVEDIKGQKLSSLKAPASCQDIPLLLPHEPDHQASNNGELGLNGLDNNHGHSDHPNKTHWKQPIPNRKAKQDTSLQDLQMKGFVDNLGTPDVSSVIGHYDTSKQNVHHMDNEWWETQERGDQVDYVLDIGEVGPRATCCCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRHWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYNNIWMGTAKANTKIYQNVFSCVPDDHIHSRSQFRQGFAHRKEKIGHTTIDLGVAVEITETHKDGDLAGTDPMEKLQAVRGHLVSFPLEFMCQEDLRPFFGESEYYTSPQVFH >ONIVA01G15550.3 pep chromosome:AWHD00000000:1:12493230:12504706:-1 gene:ONIVA01G15550 transcript:ONIVA01G15550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRSAAREGEEAEEEEYGGGYVRMPQEPEGEAAAAGAGSFLRLPESAGAFDELPRARIVGVSRPDAGDITPMLLSYTVEVQYKQFRWLLYKKASQVLYLHFALKRRAFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNMEIVNSREVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGEGQSTLAREIKEHNPLHFAFEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQYLFVCNRFGSIKCLTGSCLHEMIIDKCMKFNFADIGCMHFKIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKESNEEVSKQTHVEDIKGQKLSSLKAPASCQDIPLLLPHEPDHQASNNGELGLNGLDNNHGHSDHPNKTHWKQPIPNRKAKQDTSLQDLQMKGFVDNLGTPDVSSVIGHYDTSKQNVHHMDNEWWETQERGDQVDYVLDIGEVGPRATCCCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRHWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYNNIWMGTAKANTKIYQNVFSCVPDDHIHSRSQFRQGFAHRKEKIGHTTIDLGVAVEITETHKDGDLAGTDPMEKLQAVRGHLVSFPLEFMCQEDLRPFFGESEYYTSPQVFH >ONIVA01G15550.4 pep chromosome:AWHD00000000:1:12493230:12504706:-1 gene:ONIVA01G15550 transcript:ONIVA01G15550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRSAAREGEEAEEEEYGGGYVRMPQEPEGEAAAAGAGSFLRLPESAGAFDELPRARIVGVSRPDAGDITPMLLSYTVEVQYKQVKEWLQNLGIGEHMPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNMEIVNSREVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGEGQSTLAREIKEHNPLHFAFEVSSGGRTIKLRTRSSAKVKDWVSAINTARRPPEGWSHPHRFGSFAPPRGLTEDGSVVQWFLDGQAAFNAIASSIEEAKSEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKESNEEVSKQTHVEDIKGQKLSSLKAPASCQDIPLLLPHEPDHQASNNGELGLNGLDNNHGHSDHPNKTHWKQPIPNRKAKQDTSLQDLQMKGFVDNLGTPDVSSVIGHYDTSKQNVHHMDNEWWETQERGDQVDYVLDIGEVGPRATCCCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRHWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYNNIWMGTAKANTKIYQNVFSCVPDDHIHSRSQFRQGFAHRKEKIGHTTIDLGVAVEITETHKDGDLAGTDPMEKLQAVRGHLVSFPLEFMCQEDLRPFFGESEYYTSPQVFH >ONIVA01G15550.5 pep chromosome:AWHD00000000:1:12493230:12504706:-1 gene:ONIVA01G15550 transcript:ONIVA01G15550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRSAAREGEEAEEEEYGGGYVRMPQEPEGEAAAAGAGSFLRLPESAGAFDELPRARIVGVSRPDAGDITPMLLSYTVEVQYKQVKEWLQNLGIGEHMPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNMEIVNSREVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGEGQSTLAREIKEHNPLHFAFEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQYLFVCNRFGSIKCLTGSCLHEMIIDKCMKFNFADIGCMHFKIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKESNEEVSKQTHVEDIKGQKLSSLKAPASCQDIPLLLPHEPDHQASNNGELGLNGLDNNHGHSDHPNKTHWKQPIPNRKAKQDTSLQDLQMKGFVDNLGTPDVSSVIGHYDTSKQNVHHMDNEWWETQERGDQVDYVLDIGEVGPRATCCCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRHWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYNNIWMGTAKANTKIYQNVFSCVPDDHIHSRSQFRQGFAHRKEKIGHTTIDLGVAVEITETHKDGDLAGTDPMEKLQAVRGHLVSFPLEFMCQEDLRPFFGESEYYTSPQVFH >ONIVA01G15550.6 pep chromosome:AWHD00000000:1:12490709:12492217:-1 gene:ONIVA01G15550 transcript:ONIVA01G15550.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAAGGGSARAWLCGGAVPGAGRGLGGAAGVIVIPPSCGLRIQFKLYALDDEDKLMDAIEGHVLGEAELMAVFEDANSLHFDIPSAWRYLPPCIGDTSWPHRRHRRRACAVAARAGAGAGARSPATSSSGHLPPPTTPSPSFASLAGAAGSRTSRDPWAVAPCSFAVRSTRSPPTDALPAVALARRPLRPQLAAAPLPRSSTARSVGEEKSEEEKKRCHVSKTGQKYCQETSGERPRARDEMTAGWILVPPREMRRGAGRPEAIKGSGGPLFGFNPSLRGVIRRLVDDRLETDVSRRHPQTEHALPWCERCPVPTLGGLARQFLEGPVPLGQPGPTRQSQPATLKRCKYDGERFFLILNGPVSEPRILTLLTFKNLLKCP >ONIVA01G15540.1 pep chromosome:AWHD00000000:1:12485788:12491019:1 gene:ONIVA01G15540 transcript:ONIVA01G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATMATAVGAAVVLYFVLSRRLAQEDGGGGGGGGGGGGKRRRVRAARRPAQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGNNCIELKGPEIMEELIVLRRLIDLCFLFSKKPFPVFLELAGFSPEDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHHSLLDEGGVSKLVLGYAHCGMVAAARWIARSITPCLCQAVSQCPDYQIRVVGHSLGGGTAALLTYILREHQELSSTTCVAFAPASCMTWELAESGKHFVRTIVNGADLVPTVSTSSIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARDRVAGAGALLRPVSSKTQVVMKQAQNVAQAVARSRSAFSSWSCMGARRRGVGVVAASAKEEITVETHVTSTTNSESYVVEQRGTKTMEELQYTADSVSVHEETEEEALLSEHESSREHAEEEITEGEMWFQYEKDLDRQAEVEAQTRQEEAAAAKEIMEEESAVLKNVEDRQSFSSDSLERQQFYPPGRIMHMVAMPPADSCPDDPVAADECSVGIYETPRDLYSKIRLSNTMINDHYMPMYKKTMEILIEKFANNDENFCTDSTVE >ONIVA01G15530.1 pep chromosome:AWHD00000000:1:12476357:12480423:1 gene:ONIVA01G15530 transcript:ONIVA01G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESETPRITELHVRMDCNGCEHKIRKTLRAIDGVSEVYVDAASQKVTVVGIADPERIVKAIRKTKRVPTIFSHTDPAAPPPPPAEGEAAPPPADAPPPEEAPAAEPAPSEAAPPPPAEADQAAAPPATDATVIHMVHDYPYTHDHHHGHGHHLFGRDHWPASHHPAGGMVNYGGGAPYYAAHSYSHRASPYVSEYGYVGSPAHHEGRFYSSHDYYYPAAAGGRGKGDGSQITSMFSDENPNACTIS >ONIVA01G15520.1 pep chromosome:AWHD00000000:1:12463203:12463906:-1 gene:ONIVA01G15520 transcript:ONIVA01G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPARSLLGIAAMLADYFPRELDLATVVAFLFADLTNFGGPITSSSTTLSLMPSDLVSEGERRWKTLLTLGKASIGSLAIGDGIIGREGEGGWRLWGGVVEEGREEDGDYGVKSSRKGGRRRTEIIGMGRELRKEDECYG >ONIVA01G15510.1 pep chromosome:AWHD00000000:1:12458777:12460050:-1 gene:ONIVA01G15510 transcript:ONIVA01G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWGREGKSPRCLGDVGGDGGYGRRGGGGGRSCRRAAELEQEADAEEDECAAAGDTNGGGAEECGSVEWLRLVAEGRRREVALEELEKERRAAASAADEAELEQEADAEEDECAAAGDANGGGAEEGGSMERLRRRLAAEGRRREAVLEELEKERRPWAPRPAPSPSLSGAIELMWTGNWDLCQAAADTVLRGDSLHALSAVPAAFTDRDMAGLYGNVGAAAGSSSSSPENDNSSASAPRRKRPRNNVAGGVGQQQQ >ONIVA01G15500.1 pep chromosome:AWHD00000000:1:12448492:12449224:-1 gene:ONIVA01G15500 transcript:ONIVA01G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLIPSPTIFVTTQAGMLPFATVHVCIVRQRARAAPGRDRRESPAQAQVAEQAAFRGRDHRAPCESLDEARKAHARHVKLGVDRSLRHARPLLAACALAADWPGSMAYAASIFAALDDPEAFDYNTLTRDYASPAAAAPAAALSLACHRRLRVTRRGRRCSVPCLPPMRERGRVREKREEEWEENRWVP >ONIVA01G15490.1 pep chromosome:AWHD00000000:1:12435807:12436464:1 gene:ONIVA01G15490 transcript:ONIVA01G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTLSELEENEVDSEFDKQPAPLAWLEGRGGRQRVEIWECHLLDVDEAKVQCFDSLLHNAYVVTSYSSSTVLSSSNSLTVSYPSSLQRDGSNDSRRTLAGGVLGKGFFIRHCGIGVEESQLKFELAVACASLLEETLKLALATRRSSAMTSVEEEASGSPQGGAH >ONIVA01G15480.1 pep chromosome:AWHD00000000:1:12427285:12427797:-1 gene:ONIVA01G15480 transcript:ONIVA01G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRTYKGGVKAYWKHRGYYRLDAAAAQRRAPLPTAELGGGARRGGAPAQEPRRARRHRGWRVRRGLGRRVLRALSPRRWLVRLRDAYVSAMLRLASSPAVGFGAGAPYCTAGQESFARPRQLKEYDEKVLVEIYRSILARGGVPVAVPAGGPAATATAAATTIRLSTAA >ONIVA01G15470.1 pep chromosome:AWHD00000000:1:12408978:12413084:1 gene:ONIVA01G15470 transcript:ONIVA01G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSTLAGFLAKVYTSVQVPSCSSCDPKKLPATASEFDEMKVILCRIRAVLADADRREIEDLHVNMWLYELRQVAYDLEDIIDELSYKTVQPEAETNTHEHADLKRKFEVLDTVNSPVHDHEESQDTDMLDKISKVRNRLKSINSFRESLSLREGDGRIRVSTTSNMRASSSLASETGTFGRDGEKNKLLDSLLNNDNGTDNNLQVFSIVAMGGMGKTTLAKLIYNDEQVKDHFQIRAWAWVSEVYDVTRTTKAIIESITREACGLTELEALQNKLQHIVSGKRFLIVLDDIWIINLLQWDELRQPLDHGGRGSCIVTTTRNQNVAQIMSRLPQVNLDGLNLAASWALFCHCIRQGCHSLKLSETLETIGRGIVEKCSGVPLTIRVIGGLLSSETNEETWNEILTSDIWNLTEEKNWVLDVLKVSYVHLPAEIKPCFLYCALFPRGHMFDKENIVRMWVAHGYLQATHSDRMESLGHKYISELVARSFFQQQHAGGLGYYFTMHDLIHDLAKSLVIRDQNQEQELQDLPSIISPRVDIIGSKYDRHFSAFLWAKALETPLIVRSSRGRNQESLRSLLLCLEGRNDDFLQVNSTGNSIMLHFERDFFTKPHMRFLRVLELGSCRLSELPHSVGNLKQLRYLGLSCTDVIRLPQAVCSLHNLQTLDLRCCRFLVELPKDIGQLQNLRHLDYNVLGRNDSTIPVCKFKSLPEGIGKLTKLQTLPVFIVHFTPMTAGVAELKDLNNLHGPLRVSPLEHINWERTCEARVADLIKKVHVTRLCLQWNSHIRYGDNSKPQEKSLEEFDREVLDSLEPHNKIQWIEIEKYMGCSYPKWVGHPSFNRLETVIISDFSSDSLPPLGQLPHLRHLEVREMRHVRTVGSEFYGDGAALQRFPALQTLLFDEMIAWNEWQRAKGQQDFPCLQELAISNCLSLNSLSLYNMVALKRLTVKGCQDLEAIKGLEECWVSINHSQINCTDTSGYSEIVDGNGSECPNSTLPARLEVIQIYDCMSLPNSSLQQAIGITRVFRQRSNSDMVYPDQKEVDESIVLII >ONIVA01G15460.1 pep chromosome:AWHD00000000:1:12399370:12404307:1 gene:ONIVA01G15460 transcript:ONIVA01G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCNNDHQINPAAARTEEEHGHFSPSTMLPAASLHGDSNGSTTTASSSSGNRATNRRNQCWETTAKQVSTVQGEAAQEQPAAQNQPRKPRFVWTLQQNAKFSEAYKEELSRSGKVVPTKLLKRLKSMNETGLTLQNISSRLQKYRLSLKRQTSHVDQSTSTDSTTASSIQTKQTPALLQQIIHPRALHTQLAPDVHQVITTNLPQQHIQHYQPNQILSQLYNMHHQQVERLSEPHSNEPIYKEYNNLAQRFTQVNYHGHSSIHDHHYANIIKKLLPPNVMQPCDLINALPQQPAAATACCMQSNTQTVSSALLVKGMQNHPPDHHIQAFGVLDMGTAQYMGQQLNMYTAEGNWRGTTPQNMHPISQVHRHVSEPPPSYFSNNAKANGRSQEDLDVVLQQKLVINDSLVIIIPF >ONIVA01G15450.1 pep chromosome:AWHD00000000:1:12397171:12397917:1 gene:ONIVA01G15450 transcript:ONIVA01G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKLRETGCRATVCSDMEAASELLLERWREFDFVVAADTFGSLKLKRMELLCTEKGLKLVGCILFDKTIETKIDFR >ONIVA01G15440.1 pep chromosome:AWHD00000000:1:12387129:12388147:-1 gene:ONIVA01G15440 transcript:ONIVA01G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41380) TAIR;Acc:AT2G41380] MANLFLKQAKQYAATRPAYPPELFDFIASKTARHDLAWDVGTGSGQAIPPLAKLYKDVVGTDTSAQQLSYAPRLPNVRYVHTPPDLPLDGIHAAVAPPGSVDLVTVAQAFHWLDLPRFYAQVRSVLRAPQPQQAAGVLAAWCYTEPCVDAAVDDAFWRLYNGSQPYWAPNRRLVDDRYSGVDFPFDPVDGEAHTGPFEFSTERRMDLDGYLAYITSWSAYQTAKEKGVELLDEATVRGFADAWGGDRVEVKTVRYPIFLRIGKVRPE >ONIVA01G15430.1 pep chromosome:AWHD00000000:1:12383369:12384178:-1 gene:ONIVA01G15430 transcript:ONIVA01G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSSTSCNPSCSSGHRWVVRRGIIAATRSALTANNGAHTSTNAILPRYSPMREQRPSASAYTRDRNAGNEMASCRQASGAWGARTTTTTAART >ONIVA01G15420.1 pep chromosome:AWHD00000000:1:12379915:12380470:-1 gene:ONIVA01G15420 transcript:ONIVA01G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAQLACSVAPGSLALPLTVELAACTPRGSSIQIWWLLIVRGLTPQPWCRCRRNRTIYCLGACGHRIRPVRSLEKGGVKFLVEKMEEEEGSCSCAATKMATSRAASKRANEEEGRERYASRPLASAHPRACTRAYAACTSVAWMGSEAQLGRFSWAWLRRAFCTCGSRP >ONIVA01G15410.1 pep chromosome:AWHD00000000:1:12372456:12378287:-1 gene:ONIVA01G15410 transcript:ONIVA01G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAAAPSSGGGGGGGEDEAVRPLLPAEAEEELDATYAPYARRDAYGTMGRGPLRAARRVELYLRAALLVPLRFVAGMLLLVAYYLVCRVCTLFVDEVAEEGRPRLRGWRRVAVVRAGQGLSRAMLFVFGFYWIRETHRSYPNAEDVHQGQSEELELPGAIVSNHVSYVDILYHMSVSFPSFVAKESVSRLPLVGLISKCLGCIFVQRESKASDSKGVSGAVTERVQEAYQDKNSSMMLLFPEGTTTNGDYLLPFRTGAFLARVPVQPVILRYPYTMFSPAWDSMDGARHVFLLLCQFVNYIEVVRLPVYCPSEQEKEDPKLYANNVRKLIATEGNLIFSNLGLAEKRVYHAALNEDVEVRSRVYSVSSW >ONIVA01G15410.2 pep chromosome:AWHD00000000:1:12373570:12378287:-1 gene:ONIVA01G15410 transcript:ONIVA01G15410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAAAPSSGGGGGGGEDEAVRPLLPAEAEEELDATYAPYARRDAYGTMGRGPLRAARRVELYLRAALLVPLRFVAGMLLLVAYYLVCRVCTLFVDEVAEEGRPRLRGWRRVAVVRAGQGLSRAMLFVFGFYWIRETHRSYPNAEDVHQGQSEELELPGAIVSNHVSYVDILYHMSVSFPSFVAKESVSRLPLVGLISKCLGCIFVQRESKASDSKGVSGAVTERVQEAYQDKNSSMMLLFPEGTTTNGDYLLPFRTGAFLARVPVQPVILRYPYTMFSPAWDSMDGARHVFLLLCQFVNYIEVVRLPVYCPSEQEKEDPKLYANNVRKLIATEGNLIFSNLGLAEKRVYHAALNGNSRAIHQKDD >ONIVA01G15400.1 pep chromosome:AWHD00000000:1:12362449:12362697:-1 gene:ONIVA01G15400 transcript:ONIVA01G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTTTDPSTSTPPPPGAFRRCSGRVRGAGISCAGCFGDAESKRRRRVAGYKAYAVEGKVKASLRRGIRWFKRKCSAIFRA >ONIVA01G15390.1 pep chromosome:AWHD00000000:1:12359960:12360764:-1 gene:ONIVA01G15390 transcript:ONIVA01G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRESGGAMAARRFSFSWADEVEREEAAEQQQQQQEEDDDEENQPPPPPRRCGETGKQAKANPFGAARPREVVLAEKGVDWRARDRELDDASRRGSAIRSRSRVHGSKRHARDAPVAARRHEDSTPASRRRMISLPPVSYGSAWGGKRKCAGQDEPSRQDRPVAEHCRRVFGQLNIGEGGEFSRRSSTESRGSVCTDRTEASNAAAAETETSVGQRRMSRRRSSSKNLRKMESTKSKKQQTLQL >ONIVA01G15380.1 pep chromosome:AWHD00000000:1:12345977:12352481:1 gene:ONIVA01G15380 transcript:ONIVA01G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLRRGRWTKEEDEKLARYIRENGEGAWRSMPKNAGLLRCGKSCRLRWINYLRADLKRGNISPQEEDIILNLHATLGNRLSCLWSLIAGHLPGRTDNEIKNYWNSHLSRKGYEFLRGGGGGAVVDVDLSKLPGGGKRRGGRTGRSSSGKEKTRTKEKDKAPAPEAAHNDDDGGGGGGGIDNVSAASHSHREEQAQASASGLTSDGLEEGPLGLSEEMVSGPVGPVSPKPEVGQDGSSAESGCCGPSGPSGPTEAVGQDMGDKSMDWDLVGLDDGFANDDMWGSLSWDYGELVGPDGVHQGEVLSDLFFLGNL >ONIVA01G15370.1 pep chromosome:AWHD00000000:1:12323572:12324496:-1 gene:ONIVA01G15370 transcript:ONIVA01G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTLRPFTIWRWQGENELADVGQQKRRGKEADAVRGWVGDERQHGLGDDDGLAGTGITMKLDVRSSATTIFPSSRGGVLAGDRCCLALAHALSQRVA >ONIVA01G15360.1 pep chromosome:AWHD00000000:1:12318269:12322207:1 gene:ONIVA01G15360 transcript:ONIVA01G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGEDNRAATQREEDPTFYEAAIKDGNRLQGSSQNKYGGISPKKPLINKDHERAYFDSADWALGKCYLFLQQGASNSTKGTTEPLKPKLQVAETVRVWQGNHRGGHRV >ONIVA01G15350.1 pep chromosome:AWHD00000000:1:12308981:12309511:1 gene:ONIVA01G15350 transcript:ONIVA01G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPPPSGLHPPGSPFERQPTGESTSAKTTKTAQSKASKGRAATGSNDDNDAGDGPRVATVAAGRVRAAAAAEDWIRATAVATGRVRTAAIASGRNHVVAAAADLIRAAAAAADWIRAAAVASGRIRVSIAVATRNLVASTVAGRNRVAAVISNFHRHTNEKKTGRTRPNETSPTT >ONIVA01G15340.1 pep chromosome:AWHD00000000:1:12308325:12309448:-1 gene:ONIVA01G15340 transcript:ONIVA01G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDDGGDAIATSDGGGDEVAGRDCDGDADTTRGDGGGADPVRGGSGGADQVTPAGEVLMAAAQASAGILAKPSDDDLLSFEAFGIFVDGCLHWPGSTSFMVQAISSSTISIISARQKLIYSYSSAPPLKVGCSSDSPFLLFPTRRNHAVFIIRVELGPPAQFRLTGLTLELLRFNDELRGDPSLSPVTHTPKSMAQQQTSVLCRFRGGSRWRLTVYQAECTSFEVQDSSRRGFAADPCRLAPFPVVRLFQEDCFSSSVNPPFSEVALLLSVFILGYAMGFML >ONIVA01G15330.1 pep chromosome:AWHD00000000:1:12295473:12295967:1 gene:ONIVA01G15330 transcript:ONIVA01G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLALRCVCGGGVARRPLVIGPDGRPVCVEEAATGAAELMIEAPGHVVARAADAAKERRVRAMAADEPLRAGEVYLLVPASRAGARLGDREVEAIGRLIVSGGGRKKGRSKQPGGKRIFPEVVVDMSAAEEDEMGTQVQAQAQAHCRRSTQWRPALDTIYEA >ONIVA01G15320.1 pep chromosome:AWHD00000000:1:12284175:12290312:1 gene:ONIVA01G15320 transcript:ONIVA01G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVASLGLRSSGSYGSLQQSNGQSPAPAPSPPLAARKAGKMSFGGAGAGGRGLLFARICKLTSRRRRMLLLLLVAAAVLFCFLFSSLVSKDEDAPPGIETMLGISDQVRSFVNPVWTSSGRPITQGDSLNGNGLNTASQTEKQSDSDSSHKKLQGLSWSFPPSIVLEHHPCENFSFSPPPIDRKRTGPRPCPVCYVPVEQALALMPGAPSASPVLRSLNYLSGDNLISKESNHSSLFGGYPSLEERDKSYDIKDSMTVHCGFARGKIPGVNTGFDIDRADLSEMWQCQGIVVASAIFGNYDIMQQPKNISVFSKDTVCFFMFLDEETEAAIKNTTTIDNSKRIGLWRVVVVRNLPYSDARRNGKVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQLLERFLWRKNVSFAISRHYRRFDVFEEAEANKVGGKYDNASIDYQIEFYKREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRKRVNWTADMFLDCERRDFVVQAYHRELWEQILRSPPPPQPRLVRQQPRKMLPDNTAKEPGKASGSKRVSAKRTRDKKSGSKRAHRSKVTGGKEFIQL >ONIVA01G15310.1 pep chromosome:AWHD00000000:1:12265955:12267119:1 gene:ONIVA01G15310 transcript:ONIVA01G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDIFWKFTPRPTKYERNRVPILRMNLICCKKTIREICHIVLKFLQGLFNFHAKNCTSH >ONIVA01G15300.1 pep chromosome:AWHD00000000:1:12265881:12277896:-1 gene:ONIVA01G15300 transcript:ONIVA01G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGFGGAGRHDEEAPAMRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRMVGEQAQASASTRDGENAGIAIGHPKETETKLDTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASKWITGLSITTLKDNWSSDYQRTNNAGVPDPNGALLGLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSVQSRIRTYISQLKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >ONIVA01G15300.2 pep chromosome:AWHD00000000:1:12265881:12277896:-1 gene:ONIVA01G15300 transcript:ONIVA01G15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGFGGAGRHDEEAPAMRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRGQWLNVGGEEPVVSNYFSPATGTGTRRRWRLEASAVGLGREETETKLDTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASKWITGLSITTLKDNWSSDYQRTNNAGVPDPNGALLGLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKLHHWSLSLIGALLCIVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSVQSRIRTYISQLKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >ONIVA01G15300.3 pep chromosome:AWHD00000000:1:12265881:12277896:-1 gene:ONIVA01G15300 transcript:ONIVA01G15300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGFGGAGRHDEEAPAMRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRMVGEQAQASASTRDGENAGIAIGHPKETETKLDTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASSLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSVQSRIRTYISQLKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >ONIVA01G15290.1 pep chromosome:AWHD00000000:1:12261499:12262607:-1 gene:ONIVA01G15290 transcript:ONIVA01G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L29 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKQ7] MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAESEE >ONIVA01G15290.2 pep chromosome:AWHD00000000:1:12261499:12262595:-1 gene:ONIVA01G15290 transcript:ONIVA01G15290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L29 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKQ7] MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAESEE >ONIVA01G15280.1 pep chromosome:AWHD00000000:1:12256790:12258677:1 gene:ONIVA01G15280 transcript:ONIVA01G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPEDGASAAAAAATADPAAAAAEAPGRRIVVAVDESEESTHALTWCLANVVSSSGGDTLVLLHARRPRPVYAAMDSSGYMMTSDVMASMDKYAAAVSAAAVGKAKHICAAFPHVTVETMVESGDPRDVICDATEKMAADLLVMGTHGYGLIQRAFLGSVSNHCAQNCKCPVLIVKRPK >ONIVA01G15280.2 pep chromosome:AWHD00000000:1:12256529:12258677:1 gene:ONIVA01G15280 transcript:ONIVA01G15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPEDGASAAAAAATADPAAAAAEAPGRRIVVAVDESEESTHALTWCLANVVSSSGGDTLVLLHARRPRPVYAAMDSSGYMMTSDVMASMDKYAAAVSAAAVGKAKHICAAFPHVTVETMVESGDPRDVICDATEKMAADLLVMGTHGYGLIQRAFLGSVSNHCAQNCKCPVLIVKRPK >ONIVA01G15270.1 pep chromosome:AWHD00000000:1:12243425:12246301:-1 gene:ONIVA01G15270 transcript:ONIVA01G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQDQGKATSCRGRSPDGWPGHSVTGRKVEEEEEEEEDEMERDEVCCSCAMRQCRICHEEEEEGCSAMESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTAPPKKAQPAHVAVTIRESLEVPRPSYEPEDTPLIGEQDYAECAGAAGRSATWCRSVAVTFTAVLLLRHLVAVVTVGAAHQYAFSLLTIYLLRASGILLPFYVVMRLISTVQKGQRQYQLQLLQEQRRNAARMHRLHGQEEQNQHAILVR >ONIVA01G15260.1 pep chromosome:AWHD00000000:1:12233259:12234834:-1 gene:ONIVA01G15260 transcript:ONIVA01G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRLATRPSPRRKTPWGAAPSPAPRGELATTGDTSPRAPTHVALLPRPLYTNPRQPPPSRLHRSPPHPPPPPLRRTHVAARLVERVRRRMSSSRLDTRTLKEEVASMDKRWLVDLGHPLVNRVADSFIRAAGVGAARAGLEGTRPGWTTPSRGAISREVKSAGKEAFQWGLAAGVYSGLTYGLREARGCHDWKNSAVAGAIAGVAVALMGDTGNADHMVHFAITGAALSSAASLLSGIY >ONIVA01G15260.2 pep chromosome:AWHD00000000:1:12233259:12233879:-1 gene:ONIVA01G15260 transcript:ONIVA01G15260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTLVVLMHAGDDGQKSLDAVVKSAGKEAFQWGLAAGVYSGLTYGLREARGCHDWKNSAVAGAIAGVAVALMGDTGNADHMVHFAITGAALSSAASLLSGIY >ONIVA01G15260.3 pep chromosome:AWHD00000000:1:12233949:12234834:-1 gene:ONIVA01G15260 transcript:ONIVA01G15260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRLATRPSPRRKTPWGAAPSPAPRGELATTGDTSPRAPTHVALLPRPLYTNPRQPPPSRLHRSPPHPPPPPLRRTHVAARLVERVRRRMSSSRLDTRTLKEEVASMDKRWLVDLGHPLVNRVADSFIRAAGVGAARAGLEGTRPGWTTPSRGAISREVKCNFGVSS >ONIVA01G15250.1 pep chromosome:AWHD00000000:1:12222929:12232740:1 gene:ONIVA01G15250 transcript:ONIVA01G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMVVKAIREECPWESLPKRLQCTLHTKEEWHRRIVDYCIRKRLQWNTCFARRLYPYHLADYICRVSRISPFRYYCDILFEAMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKELLPTQPVDFPIDPWWGVCLVNFTIEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLVYFDVPVYPDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLLADLYQLQAAASFACRLGWAVKLMDADSVLEDAPTFPSNILSDDEEGSNASINSEKSGQQLLSVDAGPRKISGTAHVALVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRCFLECLQSGGVSTNVNIDKAGEAKLTTSSLQDNVTAHLTKINIEDTDEMPQQKHSDLNSSDGKMLTSSATLLESGEGMEGNDVEGSGTTELDGSTDINVVKTKRKYRVDILRCESLASLPPATLERLFLRDYDIIVSMVPLPSSSVLPGSSGPIHFGPPSYSSMTPWMKLVLYTAGDCGPLSAVFMKGQRIRLLPKPLAGCEKALIWSWDSSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVMVQPLSVNDLNASGNLVTVDIPLPLKSDDQSIASVIAQTNLPQEQVLNLASVLKDLSSKFELSTLGYLRSYALPPEHDEAGTKSLSEEQSSAHDGKPDTEDADSKDVVLPGVNLIFDGAELHPFDISACLQARQPLWLIAEASAASSTMI >ONIVA01G15250.2 pep chromosome:AWHD00000000:1:12222929:12233433:1 gene:ONIVA01G15250 transcript:ONIVA01G15250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMVVKAIREECPWESLPKRLQCTLHTKEEWHRRIVDYCIRKRLQWNTCFARRLYPYHLADYICRVSRISPFRYYCDILFEAMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKELLPTQPVDFPIDPWWGVCLVNFTIEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLVYFDVPVYPDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLLADLYQLQAAASFACRLGWAVKLMDADSVLEDAPTFPSNILSDDEEGSNASINSEKSGQQLLSVDAGPRKISGTAHVALVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRCFLECLQSGGVSTNVNIDKAGEAKLTTSSLQDNVTAHLTKINIEDTDEMPQQKHSDLNSSDGKMLTSSATLLESGEGMEGNDVEGSGTTELDGSTDINVVKTKRKYRVDILRCESLASLPPATLERLFLRDYDIIVSMVPLPSSSVLPGSSGPIHFGPPSYSSMTPWMKLVLYTAGDCGPLSAVFMKGQRIRLLPKPLAGCEKALIWSWDSSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVMVQPLSVNDLNASGNLVTVDIPLPLKSDDQSIASVIAQTNLPQEQVLNLASVLKDLSSKFELSTLGYLRSYALPPEHDEAGTKSLSEEQSSAHDGKPDTEDADSKDVVLPGVNLIFDGAELHPFDISACLQARQPLWLIAEALDQYMPESRLAALESAAPVMAKWTMWSAFPVSPISATATPAIAPATALFFCVHQHKTSS >ONIVA01G15250.3 pep chromosome:AWHD00000000:1:12222929:12232740:1 gene:ONIVA01G15250 transcript:ONIVA01G15250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMVVKAIREECPWESLPKRLQCTLHTKEEWHRRIVDYCIRKRLQWNTCFARRLYPYHLADYICRVSRISPFRYYCDILFEAMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKELLPTQPVDFPIDPWWGVCLVNFTIEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLVYFDVPVYPDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLLADLYQLQAAASFACRLGWAVKLMDADSVLEDAPTFPSNILSDDEEGSNASINSEKSGQQLLSVDAGPRKISGTAHVALVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRCFLECLQSGGVSTNVNIDKAGEAKLTTSSLQDNVTAHLTKINIEDTDEMPQQKHSDLNSSDGKMLTSSATLLESGEGMEGNDVEGSGTTELDGSTDINVVKTKRKYRVDILRCESLASLPPATLERLFLRDYDIIVSMVPLPSSSVLPGSSGPIHFGPPSYSSMTPWMKLVLYTAGDCGPLSAVFMKGQRIRLLPKPLAGCEKALIWSWDSSVVGGLGGKFEGNLVKGNLLLHCLNSMLKQSAVMVQPLSVNDLNASGNLVTVDIPLPLKSDDQSIASVIAQTNLPQEQVLNLASVLKDLSSKFELSTLGYLRSYALPPEHDEAGTKSLSEEQSSAHDGKPDTEDADSKDVVLPGVNLIFDGAELHPFDISACLQARQPLWLIAEASAASSTMI >ONIVA01G15240.1 pep chromosome:AWHD00000000:1:12219907:12220860:1 gene:ONIVA01G15240 transcript:ONIVA01G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRANGSSCSLASLMAAIFFLLVVSSRGAHGGRIAIYWGQNGNEGTLADTCATGNYAFVNLAFLCSFGSGQAPQLNLAGHCDAYSGACANLTADIARCQSMGVKVLLSIGGGAGGYSLASKQDASHLARYLWESFLGGRPSAPGGRRPLGDAVLDGVDFDIEGGGGDPRYYGDLAAYLKAYSGKGAAGKEVLLSAAPQCPFPDQWVGKALDTGLFDYVWVQFYNNPPCQYAAGSGGGAANLLDAWRQWTSGVEARYIFLGLPASPGAAGSGFIPVGSLESQVLPALKASSKYGGVMLWSRYYDDQDGYSSAIKNAV >ONIVA01G15230.1 pep chromosome:AWHD00000000:1:12215132:12215506:-1 gene:ONIVA01G15230 transcript:ONIVA01G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLTNVGLSTPAAAASSLVRPVTGAGRVVFPRVGRGGFAAVRASGPATPPDISDKMSESIDKAKEACAEDTASGKCAAAWDEVEELSAAASHARDKLKETSDPLEAYCKDNPETDECRTYDN >ONIVA01G15220.1 pep chromosome:AWHD00000000:1:12191590:12191862:1 gene:ONIVA01G15220 transcript:ONIVA01G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIDAVRREPWSHRTSLGRCCCRRARNRGTSAPATGELQVRWRRQRAAVINFTGEALRRRPQLQPASRSPGSCRATVAREMGMGRERG >ONIVA01G15210.1 pep chromosome:AWHD00000000:1:12183317:12190994:1 gene:ONIVA01G15210 transcript:ONIVA01G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRVGGAASEASGVGVVVGGGGGSSSSDLTELMKAQIAGHPRYPTLLSAYIECRKVGAPPEVASLLEEIGRERRAGGGGGGAGQIGVDPELDEFMEAYCRVLVRYKEELSRPFDEAASFLSSIQTQLSNLCSGATSPPATTATHSGNQHIHYICMHTWQ >ONIVA01G15200.1 pep chromosome:AWHD00000000:1:12171662:12172129:1 gene:ONIVA01G15200 transcript:ONIVA01G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHQSQIKSFATAKRRSCLVLAAGAAAPAGWCGSPHSSSSSPSVEVDATATGAASVVVEVEDGTRRSAGAGASVVVSTAAAAASELHRSWSATVSGVLPTNLLMTSVERCILAVLGVCGCVLTLAAWASADLLLAGKEEDAGGCGRERRRQCWM >ONIVA01G15190.1 pep chromosome:AWHD00000000:1:12134527:12137889:-1 gene:ONIVA01G15190 transcript:ONIVA01G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHANLPSHGAQAAEAEALAAAADLEQGLTRHLMEYHQSEASSDESARQRPRVGRVPPHVRNLDGGAEAYTPKFVSIGPIHHADATLRRHSHDLKVAYLHALIARRTPDPIDEVAVLAALIGYKAGVAAVEDRARRFYKEPVDEHLTAEAFVDLLVLDAAFLLEHMLNLATGYEDPLLHRTHWAPSQLHSDLIRFENQVPFFVVAELLALSPLHRDPELEACRSGRRDFLRSIGVHCLLRKDDEELKTLPPSDDIHHLLHLYSLSLTEARLRRPHAHAGLGATAWRALWKLPIVTLMPFAYLLCSGGADDGKGEEEDEAAAVKLPNIPSATDLQRVGIKFKRAPRKPDGGFLDVRLEDGDTLVIPMVNIEQFTAPQLQNLIALEQATPELPDDCSCYAFFMDNLVANPADVALLESEGILKSNLGSHKAVVTYFNKLCKGNKLEVEGNYLRSVFEALMERNRNPMYAWIRTLRKKYFSSPWGIIAMVVTLFVFASTVLQTYISIVQYYANNGDY >ONIVA01G15180.1 pep chromosome:AWHD00000000:1:12091711:12093915:1 gene:ONIVA01G15180 transcript:ONIVA01G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAGVEGVEETAWSARGWEVDGGRIHDHRGRTHPNLLSGSSTMMTTTARRRMATLLAAVRMGGNNFDNDRVLGEWRLLVMTETLSHERVGRLRVKGQVLSGPLVMTLLGTVTLGIVMVSLLSMVESSGESHALVPRTGDGAPLALQPLEKLRLENEGRNKVIPNLLASSMEKEDGCSRVGGAVASDCVEDGCRYRGAASATCVECGGYGVE >ONIVA01G15170.1 pep chromosome:AWHD00000000:1:12090032:12090708:1 gene:ONIVA01G15170 transcript:ONIVA01G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAFTPPPPDTEARRGATKNTSDGRHFLVVASAWELTLALAAAASRRPFASLHLTGGGAIGGCPLLSDFRWSLPEAEPYPANLFMADSSMSCGVQATAKKLDLEAPVAATTATSDNNGHSELQLCSQMWCGRRETHRHEFRRCSVCGAANYCSRVSQVLDWNAQGAVHAHGLVAPRRRRGLVTLAAAAPTTSPPPS >ONIVA01G15160.1 pep chromosome:AWHD00000000:1:12084986:12089460:-1 gene:ONIVA01G15160 transcript:ONIVA01G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAATAALRRLSQSQAPRRQARGLQYASPERRPLDGARWALYARLSAHLPSGGMVEELGRWLRERRPLSEEQVLFCVRRFRKFKQNKHALQLMDWMEARGVNLELKHHALRLDLVSKLNGIHAAEEYFGSLPDIFRSKQTYSTLLNCYAEHRMAEKGLELYENMKAMNIVSDILVYNNLMCLYLKTDQPEKIPTTVVKMQESGIQPNKFSYFVLTESYIMMNDIESAEKVLKELQDVNSVPWSLYATLANGYNKLQQFDKAEFTLKKAEEVLDKHDVFSWHCLLSHYANSGNLSEVKRIWESLKSAFKKCTNRSYLVMLKALKKLDDFDTLQQIFQEWESSHEHYDMKIPNIIIQAYLDKGMVDKAEAMRQTTMAQDHSNYRTFCIFAKFYLEKSKMNEALQVWKDAKKMVKGQDWVPEKLVNRYLKHFEDSKDVDGMETFCECLKNLGRLDAEAYEALIRTYISVGRTNPSIPQRMEVDRVDIRPEMFESLKAISTEGVERWFSGKPFQLEVLEMAGDEIDVKQPTQQHFKH >ONIVA01G15160.2 pep chromosome:AWHD00000000:1:12085307:12089460:-1 gene:ONIVA01G15160 transcript:ONIVA01G15160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAATAALRRLSQSQAPRRQARGLQYASPERRPLDGARWALYARLSAHLPSGGMVEELGRWLRERRPLSEEQVLFCVRRFRKFKQNKHALQLMDWMEARGVNLELKHHALRLDLVSKLNGIHAAEEYFGSLPDIFRSKQTYSTLLNCYAEHRMAEKGLELYENMKAMNIVSDILVYNNLMCLYLKTDQPEKIPTTVVKMQESGIQPNKFSYFVLTESYIMMNDIESAEKVLKELQDVNSVPWSLYATLANGYNKLQQFDKAEFTLKKAEEVLDKHDVFSWHCLLSHYANSGNLSEVKRIWESLKSAFKKCTNRSYLVMLKALKKLDDFDTLQQIFQEWESSHEHYDMKIPNIIIQAYLDKGMVDKAEAMRQTTMAQDHSNYRTFCIFAKFYLEKSKMNEALQVWKDAKKMVKGQDWVPEKLVNRYLKHFEDSKDVDGMETFCECLKNLGRLDAEAYEALIRTYISVGRTNPSIPQRMEVDRVDIRPEMFESLKAISTEGVES >ONIVA01G15150.1 pep chromosome:AWHD00000000:1:12080651:12084973:-1 gene:ONIVA01G15150 transcript:ONIVA01G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENELKDDGVRLQNRWKTGHWDMKELDASMNMLQRVGARLQALHVTHGIIADDGGNPNNYLPEFGKYHPVLPTKHGETSSSQAPPSAAAVPSIGDPLTVEVAECVAAALRVAAGDHSFSLFSRMSV >ONIVA01G15140.1 pep chromosome:AWHD00000000:1:12074247:12078927:-1 gene:ONIVA01G15140 transcript:ONIVA01G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPNLSAAARLVIRRFLTTGAEAAEAVAPHAARAKGKKDKRPLGRRLLELGDAAGEGSVSRVLDEWVREGREEAIAAADLAKCARDLHKVKRDAHALELMDWMVNTKGMSMTYARYALHLELLYSVYGIEAAEEYFSGIPSFTRDQNHRTYGALLNCYCSAKMEEKATNIYHRMDELGIPSSTKLMNNLMGLYLELGQHSKSCSTQQDRCCQRDFLHVTLDVPKQWSIFRTLGSIYMNAGMVEEAELAFMRAQEFLGFDHGRHPFYFLMRQFASIGSLRGVNRVWKDIKMTFSYNRTNFSYLLMLQCLYKLGDTDRMKEIYKEWEYRYENYDPRLTNMLTRAHLRNGMTNEAELLWEKVKERGGDFDFETCELFREHYLGKGDTTSALKWAEKMTKLPKKQGKQDQETCKFLKWFEEDKVVEGAKSTCNCSNCLRNADSKTCEPLLAPADLLPD >ONIVA01G15130.1 pep chromosome:AWHD00000000:1:12070824:12074481:1 gene:ONIVA01G15130 transcript:ONIVA01G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPITARVLSLQGFFTLHRIANIRPLPHALASPPPSARASCRARLSLPPSPAFPVRASREPTRPFAPSHEAPLIRLGDRSVVGGQVGAMAIRAARWWWGPESRHAPAPSAPPWRRRRRRRNPSKQKYLFGNLRRSLTIEADNLARSQEGLTCLAVRIPQAVAAVAAHFKAEVVSPFPK >ONIVA01G15130.2 pep chromosome:AWHD00000000:1:12070824:12075157:1 gene:ONIVA01G15130 transcript:ONIVA01G15130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPITARVLSLQGFFTLHRIANIRPLPHALASPPPSARASCRARLSLPPSPAFPVRASREPTRPFAPSHEAPLIRLGDRSVVGGQVGAMAIRAARWWWGPESRHAPAPSAPPWRRRRRRRNPSVFEIVYYTIFLFDFSCLSSNKTELHIIGL >ONIVA01G15120.1 pep chromosome:AWHD00000000:1:12068811:12069872:1 gene:ONIVA01G15120 transcript:ONIVA01G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRHGSLARLVDDTGRAAAPWAAGVLGGLLGVSGLLPEPPRATARRHPWERPDSVP >ONIVA01G15110.1 pep chromosome:AWHD00000000:1:12063331:12066602:-1 gene:ONIVA01G15110 transcript:ONIVA01G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVPTAAARLVLRRLLSTAVAEAEAAAVAPAAEKAAAKGAKTAAAAGEEKDARSLYRRLSALGGAGEGSVSRVMNKWVREGREARAADLAKYVKELRKYKRHAHALELMEWMVNTKGMNMSYTNHAVRLDLIYKVRGIEAAEQYFAGLPDPGKNHKTYGALLNCYCSAKMEDKATEIYRKMDELGISSSTLPINNLMSLYVKIGQHRKVTSLFEEMKVKNVKPDNLTCCLLMSSYAALNKIDTVGEVLKEMEEKKVALGWSAYSTLASLYVNANMVEEAESALKKLENLIDVQAGRQPFDFLMSLYASVGNLSEVNRVWNLIKANFQKVTNTSYLGMLQALYKLNDDDRMKQIYEDWESNYENYDARLTNMMTRAHLRNGLTKEAELLWEKVKEKGAEFDSKTCELFLEHYMGKGDMTSALNWVENMTKLPRKKSKLDQEKISCFLKYFEEHKDVEGAERFLNCLRTSGCIDGKAYESLLRTYLAAGKTSRSIRQMIKEDKIEICYGIGKLLKRIADKGR >ONIVA01G15100.1 pep chromosome:AWHD00000000:1:12056651:12062034:1 gene:ONIVA01G15100 transcript:ONIVA01G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNLETMAWSSLATTGARPGTRDSHGAALVGHRMMVFGGTNGSKKVNDLHVLDLRTKEWTKPPCKGTPPSPRESHTVTACGSGDRLVVFGGSGEGEGNYLNDVHVLDVATMTWSSPEVKGDVVPAPRDSHGAVAVGSRLVVYGGDCGDRYHGEVDVLDMDAMAWSRFAVKGASPGVRAGHAAVGVGSKVYVIGGVGDKQYYSDAWILDVANRSWTQLEICGQQPQGRFSHSAVVLNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQERRKFLRTENQRDPNMSNGELGPRSREAEIEQRNPFLRGLENGHVKRRRTGDVRLKETESEQEEHSLSLSQHSSPSQSDQEQNGAQKLSASPNGSISALQPFVRLNTNGTLRAAGGVSPRTLKTDQFLRTIAPQQRHEVQFLAAEPKPHHRPPTPPLVWHSFHSTLLPTLACHIVHIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTLHHPILMSSAIPPQQQQQRPVLAHAIPVHARPVPQATGFVLPDCSHHARQAFPASAAAAAAKIIKSEPERGGSDLHDVVLTLGGPGAGK >ONIVA01G15100.2 pep chromosome:AWHD00000000:1:12056651:12062034:1 gene:ONIVA01G15100 transcript:ONIVA01G15100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNLETMAWSSLATTGARPGTRDSHGAALVGHRMMVFGGTNGSKKVNDLHVLDLRTKEWTKPPCKGTPPSPRESHTVTACGSGDRLVVFGGSGEGEGNYLNDVHVLDVATMTWSSPEVKGDVVPAPRDSHGAVAVGSRLVVYGGDCGDRYHGEVDVLDMDAMAWSRFAVKGASPGVRAGHAAVGVGSKVYVIGGVGDKQYYSDAWILDVANRSWTQLEICGQQPQGRFSHSAVVLNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQERRKFLRTENQRDPNMSNGELGPRSREAEIEQRNPFLRGLENGHVKRRRTGDVRLKETESEQEEHSLSLSQHSSPSQSDQEQNGAQKLSASPNGSISALQPFVRLNTNGTLRAAGGVSPRTLKTDQFLRTIAPQQRHEVQFLAAEPKPHHRPPTPPLIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTLHHPILMSSAIPPQQQQQRPVLAHAIPVHARPVPQATGFVLPDCSHHARQAFPASAAAAAAKIIKSEPERGGSDLHDVVLTLGGPGAGK >ONIVA01G15090.1 pep chromosome:AWHD00000000:1:12023689:12036686:-1 gene:ONIVA01G15090 transcript:ONIVA01G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold [Source:Projected from Arabidopsis thaliana (AT3G62360) TAIR;Acc:AT3G62360] MDPRLLLALLCLAAFSAAAYASDEIHGCGGFVEASSGLAKSRKASDSKLDYSDITVELCTIDGLVKESTQCAPNGYYFIPVYDKGSFMVRVKGPKGWSWKPETVPVVIDQNGCNGNADINFQFTGFMISGKVVGAVGGKSCSKHGGPSGVKVELSTNSDELVASALTSSTGEYSFANIIPGRYKLRASHPNYEIETRGSSEVDLRFGNAVADDVFFLSGYNIYGSVVAQGNPILGVHLYLYSNDVTEVPCPQSISDAPREGALCHAVSGADGKFTFSSLPCGSYELLPYYKGENTVFDVSPPSLLVSVEHSHMTIPQKFQVTGFSVGGRVVDGYGAGVEGANVIVDGQLRAVTDNLGYYRLDQVTSKKYTIVAEKDHYKFNRLENFMILPNMASIDDITSVRYDVCGIVRTVTPNSKAMVTLTHGPENVKPQRKLVSENGRFCFEVLAGEYRLSALPVDTEGSSSLMFSPGFIDVNVNSPLLDIEFSQSQVNLHGKVLCKEQCNQNILLSLVRLAGGIEQEKKTVTLEQDNVNFAFKKIFPGKYRLEVKHSSSEAAAQDDWCWDQNAMDINVGSDDVTDIVFVQKGYWVELVSTHETKAYIQHPDSSKLDLLIKKGSQRICIETPGQHELHLINSCISFGSSPVVFDTKNPMPVHISAKKYLVRGELHVEMGSLQEIDLSKNIGVDVFKSDGSFIEKISATPVLGKSYQNDISAFEYSIWAEFGEDFIFVPRDDSTGRKNILFYPSSQQFSVAVNGCQDTVPSITAKTGLYLEGSVSPAISDVDIKILAAGKSKYASLKERDIAMETKTNSEGSFFAGPLYDDIGYTVEASKAGYHLKQTGPYTFSCQKLGQILVRIYGEQDAELLPSVLLSLSGEEGYRNNSISGSGGTFSFGNLFPGSFYLRPLLKEYKFTPSAVAIDLNSGESREVEFRATRVAYSAMGSVTLLTGQPKEGVFVEARSESRGYYEEATTDSFGRFRLRGLVPGSIYSVRVVAKDDHRFAAVERASPEYVSIDVGQDDISGIDFVVFERPESTILSGHVEGDDLDMLQPQLSVEIRSAADPSRIESVLPVPLSYYFEVQNLPKGKHLVQLRSGLPSHTHRFESEIVEVDLDKQPQIHVGPLKYKTEERHHKQELTPAPVFPLIVGVSVIALVISMPRLKDLYQSAVGMTTLGSAAAPIKKEPRKTIMRKRA >ONIVA01G15080.1 pep chromosome:AWHD00000000:1:12021441:12021827:1 gene:ONIVA01G15080 transcript:ONIVA01G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLALLSTGLSLSLSCADLAAVVIAEPRLLCAKADTIAASARLGHPVRRRRRAHRRRRRPSPPLPLLPEPSIAAVAEPPAAAHRRHQGGRWRPCLEVRAEAASAMVVANGVVGGCKWRSSHPSLVP >ONIVA01G15070.1 pep chromosome:AWHD00000000:1:12020726:12021403:1 gene:ONIVA01G15070 transcript:ONIVA01G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDGMGWDEPIFRGVWFRGEWDGLVPTEEYSSQIRDQSVRPKLADELVPPGTSDGVKPPLCSRALPRETAAGSATAAVGSAMAAVGGSATAAVDGSGDGGGGSTTAAAAGSTTVAVGGSVVADPLVGRAAAADPVVWRVAAADPAMGKVVATDPEAGNSAAG >ONIVA01G15060.1 pep chromosome:AWHD00000000:1:12012158:12012729:1 gene:ONIVA01G15060 transcript:ONIVA01G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKRGEKLCPAIYSPSSRSVRRRIIPNDNVVRQQCHTQLQQQNLSSASHQGNHLLVATNHSPTYTMLFDSAVLS >ONIVA01G15050.1 pep chromosome:AWHD00000000:1:12011423:12012133:1 gene:ONIVA01G15050 transcript:ONIVA01G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSSKNPLVLDECIVSASCPSPVQSILCDPGRKRKVPMLDQQSKGAFCAGQFSQTTPFVPNVCRDKFVSTFHLPSRRVVRRRLMFGSDNAPNTTSDSSPGIINLISGGPTLAADETFCGLPRPQPCVAA >ONIVA01G15040.1 pep chromosome:AWHD00000000:1:11996736:12001156:-1 gene:ONIVA01G15040 transcript:ONIVA01G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACNAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDTKQLISYARANNKVIIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGKDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALKDAGAVVEDGKISPVTEITPPPIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIEQGYGVGDVISLLWFKRSLPRYCTQFIEMCIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRNLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLSGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >ONIVA01G15040.2 pep chromosome:AWHD00000000:1:12001160:12002951:-1 gene:ONIVA01G15040 transcript:ONIVA01G15040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASPTSHPTQPPTRHYKAALRFPPPSSSPSPVSATPNLILISRRRIPPPPPGSSPPPSLPQAN >ONIVA01G15030.1 pep chromosome:AWHD00000000:1:11994063:11996224:1 gene:ONIVA01G15030 transcript:ONIVA01G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKM1] MKQVLAPIIALVIGIGTLAFMAISPQVCHGAAGGSATVARSIFVSKKGSGADFTRIQDAINSVPFANRRWIRIHIAAGVYKEKVSIPANKSFILLEGEGRQQTSIEWADHAGGGGGDSGTADSPTFASYAADFMARDITFKNTYGRMAPAVAALVAGDRSAFYRCGFVGLQDTLSDLLGRHYYERCYVEGAVDFIFGEAQSIFHRCHISTAAAAAPGFITAQGRSSASDASGFVFTSCTVGGAAPAYLGRAWRAYARVVFYRTAMETLEMVESGCTGPGSNRTGRVPWEKTLSGEELAKLVDISYVSRDGWLAAQPR >ONIVA01G15030.2 pep chromosome:AWHD00000000:1:11994063:11996224:1 gene:ONIVA01G15030 transcript:ONIVA01G15030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKM1] MKQVLAPIIALVIGIGTLAFMAISPQVCHGAAGGSATVARSIFVSKKGSGADFTRIQDAINSVPFANRRWIRIHIAAGVYKEKVSIPANKSFILLEGEGRQQTSIEWADHAGGGGGDSGTADSPTFASYAADFMARDITFKNTYGRMAPAVAALVAGDRSAFYRCGFVGLQDTLSDLLGRHYYERCYVEGAVDFIFGEAQSIFHRCHISTAAAAAPGFITAQGRSSASDASGFVFTSCTVGGAAPAYLGRAWRAYARVVFYRTAMETLEMVESGCTGPGSNRTGRVPWEKTLSGEELAKLVDISYVSRDGWLAAQPR >ONIVA01G15030.3 pep chromosome:AWHD00000000:1:11994201:11996224:1 gene:ONIVA01G15030 transcript:ONIVA01G15030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKM1] MKQVLAPIIALVIGIGTLAFMAISPQVCHGAAGGSATVARSIFVSKKGSGADFTRIQDAINSVPFANRRWIRIHIAAGVYKEKVSIPANKSFILLEGEGRQQTSIEWADHAGGGGGDSGTADSPTFASYAADFMARDITFKNTYGRMAPAVAALVAGDRSAFYRCGFVGLQDTLSDLLGRHYYERCYVEGAVDFIFGEAQSIFHRCHISTAAAAAPGFITAQGRSSASDASGFVFTSCTVGGAAPAYLGRAWRAYARVVFYRTAMETLEMVESGCTGPGSNRTGRVPWEKTLSGEELAKLVDISYVSRDGWLAAQPR >ONIVA01G15020.1 pep chromosome:AWHD00000000:1:11987578:11988162:-1 gene:ONIVA01G15020 transcript:ONIVA01G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTTTRHTVRFGSATIDTTVTSDVAAADEWARGVRAAATGGRGLIVGLDCEWKTSKVAVLQLCAGERFCLVLQLFYANRVPPAVADLLGDPSVRLVGIGVGEDAAKLEADYGVWCAAPVDLEDACNRRLGLVGTGRRLGLKGYAREVLGMAMEKPRRVTMSNWEKRELDPAQVEYACIDAYVSYKLGERVLAN >ONIVA01G15010.1 pep chromosome:AWHD00000000:1:11985573:11985785:-1 gene:ONIVA01G15010 transcript:ONIVA01G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTRRGGEPAWPTAGGEGARQRGGPIRRAAPAMDDFAWMAAAAVPLNRRPRRGEAIQVKSNTEPID >ONIVA01G15000.1 pep chromosome:AWHD00000000:1:11984378:11984623:1 gene:ONIVA01G15000 transcript:ONIVA01G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGGLLALPVALPINSSSSVLCEDGVLGAADDAAVPRCLPLLFNLNLPASSPSAAAAAEVDEMDWCCDTLLHL >ONIVA01G14990.1 pep chromosome:AWHD00000000:1:11982904:11985518:-1 gene:ONIVA01G14990 transcript:ONIVA01G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRLLLPVALTMTQKHGGGEKVWARPWRSSSRAGDASFVEAIVAQARVFDFRSSLVDLLTVSAARALLILYVDGGCTVSFGSAAIDTTVTSDSAAADEWVRRVRASAATTPRGGGGLLVGLDCAWKPCDHLWPAVAPTVAILQLCAGDSCLILQLLHVAGARRVPPLVGDLLADQSVRLVGIGIGENAAKLADGYGERCAAPVDLEDVCDRRLGRLPGARRLGLKGYVREVLGLTMEKPMDVTRSDWERRHLDAAQVRYACSARGSSPTSRQRDRHACLQCTWVVI >ONIVA01G14980.1 pep chromosome:AWHD00000000:1:11977618:11980067:-1 gene:ONIVA01G14980 transcript:ONIVA01G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGDNGTDVNMISSQAKTPWNDLIAMKDDHHIPCCSSRSQDFAVKSGEDRTSDGEMKVGLLDKSMGEKEKKKRSKKPPRPPRPPSASPLDAADQKLISELSELASLKRARIERMKALKKMKNTKPASSIGNLVALIITIIFCLVILWQGVFSKYGAGIIFHGSPISSGRSHGSLFSIQFYKKNETATSPRSSSSAPNNTGITTRLEIHGEARGVTE >ONIVA01G14970.1 pep chromosome:AWHD00000000:1:11973541:11977104:1 gene:ONIVA01G14970 transcript:ONIVA01G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGWANFGPYSRNEIRVLWQFCGPKRRAPTSLAGPLALASASASLSSFSLPHGSRVRIAPPPRRRRNSGEILPLPALPSQGRRGGVPQRGREGGGNARREGGGGGGRRPPEAPRDPHAAAQEARHPLQA >ONIVA01G14960.1 pep chromosome:AWHD00000000:1:11967360:11971157:-1 gene:ONIVA01G14960 transcript:ONIVA01G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPSSGHTNFYRFVRRWLANPLGHHQHHHLRRSTSTGISSSTYPRIIPRCPSSSSSAQDRDRLRDKTMILDVEGGILMSSSTFPYFMLVAMEAGGFLRGFIMLCVHLVLWCLAPLLPEEVKLKVMVMVCFFGLKEKKVARVARAALPKHFLKGVGMEGLEAVRGVKSVVGVSRVIPRVMVKPFLEDYLGVDVVVGREVKMVRGFYVGLLENMSDGRLELADLEGEEMIGFGSSSGYSGHDHHHLFSWCKEVYLVTPEEKRKWSPLPRDQYPKPLVFHDGRLAFRPTFQATLAMLTWLPFSLPLTIFRTLIFVSLPYPVSVAIGSVFGVRTRVINSPVGQAKADHPRNPKGHLYVCNHRTLLDPVYIAAMLNKKVSAVTYSVSRLSEWISPIPTIRLTRDRDEDRRRMEEALRRGDLVVCPEGTTCREPYLLRFSPLSLELVDEVYLVALVNWSDMFYGNSTGRSKCLDSFYYFMNPRPAYDVEFMEKVPTRMVVDGKTCESKHVANMVQGEIGRVLGFECTKFTRENKYLALAGNRGVVDANQ >ONIVA01G14950.1 pep chromosome:AWHD00000000:1:11963029:11968617:1 gene:ONIVA01G14950 transcript:ONIVA01G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56570) TAIR;Acc:AT1G56570] MSQKHATTFISSLCSRGAVCHARALFDEMPERDVVAWTAMLSGYASNGLRREALDVFRRMVAAGAAPNEYTLSSVLTACRGPCAPATAMPLHAVAVRRGVDRMPYVVNALIDSYASLAEGVVDARRLFDALGSGRTAASWTSMIAGYARWGQERTGLRLFKTMLKDGVELSTFACSIALHACTLVIDLCLGQQLHLQCIKKALDVNLAVVNSLIDMYCTCARILDARSLFDGTPERNLITWNTMIAGYSQCDPLMALQLLLEMNDEPNCFTLTSITSACADLAALRCGKQVHGAVLRRSYSDDLQMGNALVDMYSKCGSITNAKNVFDRMGCKDKFSWTSMIAGYGMNGYGNEAVQLFSSMIHAGVHPDHVVFLSLISSCSHAGLVDEGWNFFRSMINEYNLQPNKEVYGSVVNLLARAGRLREALDLIDTMPFAPDEYVWGALLGASKMHNNVEMGRLAARKITEINPDDVKNYIMLASIYAAGSKWGEYAFTRRSLRGIGSRKEAGISWIEVMDKMYSFTAADSSSPQVCLADEVLHILSQHMDDVGSEFCHIIFKAT >ONIVA01G14940.1 pep chromosome:AWHD00000000:1:11959212:11959499:-1 gene:ONIVA01G14940 transcript:ONIVA01G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDDRFCNNGNKYRKGKDAVAVMFEAIWFDDEVAFDFPMGICATKASHHASIWKPS >ONIVA01G14930.1 pep chromosome:AWHD00000000:1:11948443:11949032:-1 gene:ONIVA01G14930 transcript:ONIVA01G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGDESSSRRARWVPRAARVVGRVRRAAGGGRHRGHWTGTEAAVVLVPHLRLMLPGRFAATVDVAERQELYWTSLVVVEELGVSSPLIGVAIWTPSDSSFGDVLLCFRRRPLSILCCALCFLSRSHDAGGDADEDEEDYEEELYACVDSPLPPASVSSFSSHRRKTREREGGAWGNGIGEGLV >ONIVA01G14920.1 pep chromosome:AWHD00000000:1:11944143:11944478:1 gene:ONIVA01G14920 transcript:ONIVA01G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSAAWWARTAMGDAVEEAGDGGAGVDGMVGERRGGGATAMAALCRRRGGGCDGCGRGGGCDGCGRYPPMREDEPSSWVRIVAPLDLANWLILRVSVRVGVTATTTEF >ONIVA01G14910.1 pep chromosome:AWHD00000000:1:11941473:11944034:-1 gene:ONIVA01G14910 transcript:ONIVA01G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRGGSDGSVGRLQERAEKRKKFYQKSEEKIHAKELEQTQAKSKGEENNPATWKSVSEECAGYMREFLTDLAYCSNVEVVAREATA >ONIVA01G14900.1 pep chromosome:AWHD00000000:1:11938728:11941447:-1 gene:ONIVA01G14900 transcript:ONIVA01G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFDVNETLLSNLPYYADHRYRRGADSLHPLCPMLRPPHSAEKGTGDDGMLCAECSGERARATTACSAQSAQGRTSHRIGNGAEPNKTIDLELCP >ONIVA01G14900.2 pep chromosome:AWHD00000000:1:11939272:11941447:-1 gene:ONIVA01G14900 transcript:ONIVA01G14900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFDVNETLLSNLPYYADHRYRRGADSLHPLCPMLRPPHSAEKGTGDDGMLCAECSGERARATTACSAQSAQGRTSHRIGNGAEVGCVDRSAGVAYY >ONIVA01G14900.3 pep chromosome:AWHD00000000:1:11939069:11941447:-1 gene:ONIVA01G14900 transcript:ONIVA01G14900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFDVNETLLSNLPYYADHRYRRGADSLHPLCPMLRPPHSAEKGTGDDGMLCAECSGERARATTACSAQSAQGRTSHRIGNGAEQAF >ONIVA01G14890.1 pep chromosome:AWHD00000000:1:11934022:11934903:1 gene:ONIVA01G14890 transcript:ONIVA01G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPCGWTTSAAAAVAEEEDVRRGPWTVEEDAVLAGYVAANGEGRWNELARAAGLRRTGKSCRLRWLNYLRPDVRRGDFTPQEQLLILELHFRWGNRWSRIAQHMPGRTDNEIKNYWRTRVQKHAKQLGCDVNSRQFKDVMRHLWMPRLVERIHAAAASSERAAPPPCAAAPASHSGMCHSPDPSTTTSSTAGSSVTHGEQFPSSTNHHLMTMASVTTAAADWSSEQCGSGSATSTSVGISYDMFEGSWSELLARAYDDDGADSSLLPDFQMADTGDNCWWSNLEDIWSQQPY >ONIVA01G14880.1 pep chromosome:AWHD00000000:1:11931354:11932581:-1 gene:ONIVA01G14880 transcript:ONIVA01G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLADAFARFLQDPAATAALSQSLSQPASLPPLPAFPYPPPPFPPFCTQPLPSSSSAPPAVSATTDTPGSTGKKATPSLGLAAGSAATCKPSSSSRAGRRHRVTATLAPSPAPGPEDESGGKTGKMLYSHEEDIRLTVENLLHIEKL >ONIVA01G14880.2 pep chromosome:AWHD00000000:1:11931747:11932581:-1 gene:ONIVA01G14880 transcript:ONIVA01G14880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGFPISPFQNRASTLVFPSPAPLPSLSRARIFPPFRRRLHSRLAIAALKPQSRAASPSPAAFPLSLSPPEKRPVEMDPRLADAFARFLQDPAATAALSQSLSQPASLPPLPAFPYPPPPFPPFCTQPLPSSSSAPPAVSATTDTPGSTGKKATPSLGLAAGSAATCKPSSSSRAGRRHRVTATLAPSPAPGPEDESGGKTGKMLYSHEEDIRLVRNCTFRF >ONIVA01G14870.1 pep chromosome:AWHD00000000:1:11930684:11932121:1 gene:ONIVA01G14870 transcript:ONIVA01G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCPKFMQIELRSGLVLLPLTYTLSKVARDGGKKKRGKAAGAGTSRPRSAPAPVKAESVGSSIYPGVNAVEGVVDAAQGGLGFPEVPLGESGAGSSYTRTCGFSIYYVSQEGPGAGEGARVAVTRCRRPALELEDGLHVAAEPAASPKLGVAFLPVEPGVSVVALTAGGAEDEDGSGWVQKGGNGGGGYGNAGRGGNDAGWLSD >ONIVA01G14860.1 pep chromosome:AWHD00000000:1:11924945:11925139:1 gene:ONIVA01G14860 transcript:ONIVA01G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDSAGVDDTLRASSSGAPVGVLLERQANECEEDNSTDESEWMSAVSRRRGCDRQGNNVQASQ >ONIVA01G14850.1 pep chromosome:AWHD00000000:1:11912395:11916394:1 gene:ONIVA01G14850 transcript:ONIVA01G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKJ8] MGRESLPTLAMVMVQLGFAGMNVVSKLALDTGMSPYVLIAYRNIIAAVFLAPFAYYFESATLNQVLYFVGLKSTTPTVACALSNTLPALTFAMAAAFRMESVRLSAAAGQAKVFGTVVCVGGSMIMPFYKGPLLRLWASPIHWRFAESAASGAAAPAAGGAAVLGDVLIILSCAAWAVWFIIQTKMSERFSAPYTSTTIMCLMAGVQCAGVSAAMDRSVAVWKLGFDIRLYSVLYIGVVGSGIAFALMSWCIQVRGPLFVSMFSPLMLVVVAIVGWAILDEKIHVGSAIGSVLIVAGLYMVLWGKAREMGSPSDLDGGGGVVELNGKGADAATTLPVFCTTTNKHETTRNGCSN >ONIVA01G14850.2 pep chromosome:AWHD00000000:1:11912293:11916394:1 gene:ONIVA01G14850 transcript:ONIVA01G14850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKJ8] MGRESLPTLAMVMVQLGFAGMNVVSKLALDTGMSPYVLIAYRNIIAAVFLAPFAYYFESATLNQVLYFVGLKSTTPTVACALSNTLPALTFAMAAAFRMESVRLSAAAGQAKVFGTVVCVGGSMIMPFYKGPLLRLWASPIHWRFAESAASGAAAPAAGGAAVLGDVLIILSCAAWAVWFIIQTKMSERFSAPYTSTTIMCLMAGVQCAGVSAAMDRSVAVWKLGFDIRLYSVLYIGVVGSGIAFALMSWCIQVRGPLFVSMFSPLMLVVVAIVGWAILDEKIHVGSAIGSVLIVAGLYMVLWGKAREMGSPSDLDGGGGVVELNGKGADAATTLPVFCTTTNKHETTRNGCSN >ONIVA01G14840.1 pep chromosome:AWHD00000000:1:11901889:11904849:1 gene:ONIVA01G14840 transcript:ONIVA01G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPRRLGFLAALVFAVSVSASVSSVSGGSGPITTNGGNYTRVCDPARFAAAGLDMAGFPYCDASLPYADRVRDLVGRMTLEEKVANLGDRAGGAPRVGLPRYLWWGEALHGVSDVGPGGTWFGDAVPGATSFPLVINSAASFNETLWRAIGGVVSTEIRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFVVGRYAVNFVRGMQDIDGATTAASAAAATDAFSRPIKVSSCCKHYAAYDVDAWNGTDRLTFDARVQERDMVETFERPFEMCIRDGDASCVMCSYNRINGVPACADARLLTETVRRDWQLHGYIVSDCDSVRVMVRDAKWLGYTGVEATAAAMKAGLDLDCGMFWEGVHDFFTTYGVDAVRQGKLKESAVDNALTNLYLTLMRLGFFDGIPELESLGAADVCTEEHKELAADAARQGMVLLKNDAALLPLSPEKVNSVALFGQLQHINATDVMLGDYRGKPCRVVTPYDGVRKVVSSTSVHACDKGSCDTAAAAAKTVDATIVVAGLNMSVERESNDREDLLLPWSQASWINAVAEASPSPIVLVIMSAGGVDVSFAQDNPKIGAVVWAGYPGEEGGTAIADVLFGKYNPGGRLPLTWYKNEYVSKIPMTSMALRPDAEHGYPGRTYKFYGGADVLYPFGHGLSYTNFTYASATAAAPVTVKVGAWEYCKQLTYKAGVSSPPACPAVNVASHACQEEVSFAVTVANTGGRDGTHVVPVYTAPPAEVDGAPRKQLVAFRRVRVAAGAAVEVTFALNVCKAFAIVEETAYTVVPSGVSRVLVGDDALSLSFPVQIDLQAAA >ONIVA01G14830.1 pep chromosome:AWHD00000000:1:11872259:11874422:1 gene:ONIVA01G14830 transcript:ONIVA01G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAAGRTAAIALLLALAFASSFISAADGARSARHHHAKHAKRNAAHPPSQAPGPAARHAPGPARHHGAPAPHPGRRSPPAPAPANPPSSDPMPGGAPSAAPAAGAATVYDIVKDFGAAGDGVTDDTDALKTAWDTACADDGAGVVLAAAGRSFLIHTTVFTGPCQGSVTLQVDGTIVAPSEPATWPANNKRNWLVFYRADGVSLVGAGLIDGKGQKWWDLPCKPHKGGNTHGPCDSPVAMRFAISNNVTVRGLKVQNSPEFHFRFDNCNGVRVDGLSISSPALSPNTDGIHVENTQDVLITNTVVSNGDDCVSIGAGTLNVHIENVTCGPGHGISIGSLGKAGTKACVANVTVRNAVIRHSDNGVRIKTWQGGSGSVSAVAFENVRMDAVRNPIIIDQYYCLSKSCENETTAVFVNGVSYSGIRGTYDVRGPPIHFGCSDAVPCTNITLSDVELLPASGDTVDEPFCWNVYGNAATPTVPPVSCLSSGFPNYTEKKDPQCY >ONIVA01G14820.1 pep chromosome:AWHD00000000:1:11864696:11869542:1 gene:ONIVA01G14820 transcript:ONIVA01G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGLVDSSSGFPETSTSGATDRLTDDISEMSIRDKEVEAVVVSGNSMDIGHTIVTTVGGRNGQPKQTISYIAERAVGRGSFGVVFQAKCLETGERVAVKKVLQDARYKNRELQTMQVLDHPNVACLKHYFCSTTAKEELYLNLVLEYVPETVHRVIRHYNKMSQRMPLIYVKLYMYQICRALAYIHNCVGVCHRDIKPQNILVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPVFPGDSGVDQLVEIIKFPPFLLAHAGDLLYFIQVLGTPTREEIKHMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPHLRCSALEVLIHPFFDELRDPNARLPNGRTLPPLFNFKPRELKGASMEFVVKLVPQHAKKQCAFLGL >ONIVA01G14810.1 pep chromosome:AWHD00000000:1:11861715:11864777:-1 gene:ONIVA01G14810 transcript:ONIVA01G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIAPLHLTNPSSTPLLSLPSLRPIPIGKGIPIAVAAAAHAQAGLGRTGPVLGFCIADGPETACDVAQQGGYTVTHSLRRFSLDPFLSSSSVRRRQGKWSHRGCGGGGAAASGASSLLPLPPVRSSCSASHLSPNPRRSPLFPGGNHAAASRICRRKKYKIHDAGDLQQENMFSVLNFDGGNAYEQIIEATENFSEKYCIGAGGYVSVYVAKLSNGKNFAVKKINATENGRLINEQMFYREIEATMQIRHKNIVKVFGYCCTARDKFIVYEYMKGGNLLTALKSYRSASELDWKRRLCIAQDVAHALSYLHHDCSDPIVHRDVTTKNILLDLEFRACLSDFGIAKILDADGSGHTRLAGTKGYLAPELAYTTKVTEKCDIYSFGVVVLELLKGSHPGDFVSSISCPSKKSTPMKDLLDTRLPPPEGEVASEIFGLITVAIQCLHPNPSTRPTMPSTIHLFSKFSRAVDLDYLHADIMEFCLL >ONIVA01G14810.2 pep chromosome:AWHD00000000:1:11862928:11864777:-1 gene:ONIVA01G14810 transcript:ONIVA01G14810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIAPLHLTNPSSTPLLSLPSLRPIPIGKGIPIAVAAAAHAQAGLGRTGPVLGFCIADGPETACDVAQQGGYTVTHSLRRFSLDPFLSSSSVRRRQGKWSHRGCGGGGAAASGASSLLPLPPVRSSCSASHLSPNPRRSPLFPGGNHAAASRNSLLPRSLIIYFGVLSNVFGYWILSLEKMRTAIPHTPNDEEMF >ONIVA01G14810.3 pep chromosome:AWHD00000000:1:11861715:11862853:-1 gene:ONIVA01G14810 transcript:ONIVA01G14810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVLNFDGGNAYEQIIEATENFSEKYCIGAGGYVSVYVAKLSNGKNFAVKKINATENGRLINEQMFYREIEATMQIRHKNIVKVFGYCCTARDKFIVYEYMKGGNLLTALKSYRSASELDWKRRLCIAQDVAHALSYLHHDCSDPIVHRDVTTKNILLDLEFRACLSDFGIAKILDADGSGHTRLAGTKGYLAPELAYTTKVTEKCDIYSFGVVVLELLKGSHPGDFVSSISCPSKKSTPMKDLLDTRLPPPEGEVASEIFGLITVAIQCLHPNPSTRPTMPSTIHLFSKFSRAVDLDYLHADIMEFCLL >ONIVA01G14800.1 pep chromosome:AWHD00000000:1:11856397:11860617:-1 gene:ONIVA01G14800 transcript:ONIVA01G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFPTLSPPVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDDANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFHLPIVGVHHMEAQALVSSSRARLVSMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQIAEKNGLQLVCPPPRLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDLQYDLRPRWPLGEEYSDGRCVARSMKTARVHPSLASMIQGSVH >ONIVA01G14800.2 pep chromosome:AWHD00000000:1:11856397:11860617:-1 gene:ONIVA01G14800 transcript:ONIVA01G14800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFPTLSPPVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDDANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFHLPIVGVHHMEAQALVSSSRARLVSMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPRLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDLQYDLRPRWPLGEEYSDGRCVARSMKTARVHPSLASMIQGSVH >ONIVA01G14800.3 pep chromosome:AWHD00000000:1:11856397:11860617:-1 gene:ONIVA01G14800 transcript:ONIVA01G14800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFPTLSPPVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDDANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFHLPIVGVHHMEAQALVSMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPRLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDLQYDLRPRWPLGEEYSDGRCVARSMKTARVHPSLASMIQGSVH >ONIVA01G14800.4 pep chromosome:AWHD00000000:1:11858155:11860617:-1 gene:ONIVA01G14800 transcript:ONIVA01G14800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFPTLSPPVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDDANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFHLPIVGVHHMEAQALVSSSRARLVSMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQFSDVDLCSELLFYIWKKDANEQLNGP >ONIVA01G14800.5 pep chromosome:AWHD00000000:1:11858155:11860617:-1 gene:ONIVA01G14800 transcript:ONIVA01G14800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFPTLSPPVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHLLAIDRVVQKALDDANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFHLPIVGVHHMEAQALVSMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQFSDVDLCSELLFYIWKKDANEQLNGP >ONIVA01G14800.6 pep chromosome:AWHD00000000:1:11856397:11858152:-1 gene:ONIVA01G14800 transcript:ONIVA01G14800.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPRLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDLQYDLRPRWPLGEEYSDGRCVARSMKTARVHPSLASMIQGSVH >ONIVA01G14790.1 pep chromosome:AWHD00000000:1:11848946:11850998:-1 gene:ONIVA01G14790 transcript:ONIVA01G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAEVMHQVVPLLEASFHRRCSVKGVDEVSPPVEEMSPEAASEAAIEVPELMVKAPVESLQFSPNIRSGSFADIGPRRYMEDEHIRIDDLSGHLGSLLMCPAPNAFYGVFDGHGGPDAAAYMKRHAIRLFFEDSEFPQALEEDESFYESVEKSIHNAFLSADLALADDLAISRSSGTTALAALIFGRQLLVANAGDCRAVLCRKGVAVEMSRDHRPTYDAEHERITECGGYIEDGYLNGVLSVTRALGDWDMKMPQGSRSPLIAEPEFQQTTLTEDDEFLIIGCDGIWDVMSSQHAVTIVRKGLRRHDDPERCARELAMEAKRLQTFDNLTVIVICFGSELGGGSPSSEQAPIRRVRCCKSLSSEALCNLKKWLEPNE >ONIVA01G14780.1 pep chromosome:AWHD00000000:1:11844422:11847569:-1 gene:ONIVA01G14780 transcript:ONIVA01G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSYALCFAIVAGAIAASLWAMLSSRKRPPSDGSSPPHAVDDAAAASIGSAGASAMWSSRWSGVRPAWLLLASRAAAAVALAGVLLWDALTYDLTIMVYYTEYVSSVNDKSRSFLFPYLSISTTILNLVLFTSKNELISSHQPSRRWTFMLEIVYFVIATLFSAYGCFMYSMHHRHVTMLPEIDESLVGLSGSLMEINHGADQKGGAGLNQLGRFMQIVYQVLGGAVVLTDVVFWALIVPFMYSSHFSLNAVMGCIHSFNLVFLLIETTLNNLEFPWFRITWPYPFLNPAAPWAPLWYFCIALLHLACYTVYWAIGEIQSTKGVAANSGLRWATGQEHLAAGIAVPSPSSVLCGRPNCNGPQGKLTNGPTKLLDPLKMALIVSRTKTSPWQINRRPDKCCGWRVEWRISHTRTVHGMG >ONIVA01G14770.1 pep chromosome:AWHD00000000:1:11834873:11836135:-1 gene:ONIVA01G14770 transcript:ONIVA01G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLRRRWAAADDGVLYEVARRIPCEIDRRHMGRVCNSWRVALAKLKAPAPPPPLPWLALPESDDGLPATVSCVLSGCRTHAFSVLQGARGARYFGSYDGGWLFLAVGGQAQRQALLNLKINGFQILDLPNLAPVNSVNPNRDREMAIVAATLSCQPTEQGCIVAGIIESSPNLVAVGHVTRSIAFWRMGDQVVLPVLWALEEDNPLMRLEEVEDLLCHHGAFHFLTRVEDVLACEEPPVFYRDSVSLVPANMFFLPRVHDENETVLARYLVGSGKKLLRVVRLASGRGQRTTSAFRVFQKKKFNTGEEDEPSQNRSAHFEYYWSELDELDGRMLFVGRGCSRSYKAGDGRYPGMEEGVYFLDDPSIHQMIIGDAPKPPYLCSDNGKWSRAPTDPQGQVERCFPERGPSIHSPPVWILP >ONIVA01G14760.1 pep chromosome:AWHD00000000:1:11831483:11833299:1 gene:ONIVA01G14760 transcript:ONIVA01G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSPPTYNLITHKASRRMTSRSTFLLDEVDYEGRSGCGGEPSSGRPHHQAAVEPVAHERACIMVATRNCGLKTPHRIEPHKSHTTHSGSHSKTNFACQPLSIYMSALVLSSLLKLYILNPIVTKRSICAPLNNLGPTVSLFPSLLFFIPSLSLSLSLRFLLHRQRCSVLFSSPLPSPASSLPSSPSLFPLPPAADRCRPSSGDGGSSEGGEQRWRQRRIWRRRGAAVRADGSMSPSSSPMMARTISPTAWRAWQRTSLPDPWRAWRRRARRIGRWRPQHGGCPSTSPSSSPHDGADELAGSVASLAAAGSPDRAVAATTRWLSLDVAVFFPPRRRR >ONIVA01G14750.1 pep chromosome:AWHD00000000:1:11828547:11830420:1 gene:ONIVA01G14750 transcript:ONIVA01G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAETGKVVQWRRWAFANLGSVLSNFGSLWLLLAPLLAAYAPRRLFKTYFNLFLRRHARRLLAVVDPYVTVDVSEPGGASAHYSRYGRVTDSGDSTYEEVKAYLSDGCAGEARELRAEGASEGDGVVISMRDGQDVADEFRGAALWWTSVVREDAQGQQRAHTRRCQRLTFHHRDRRLVVDEYLPHVRRKGREILFSNRRRRLYTNNKSGDSFRYDYKAWSYIDFDHPTTFDTLAMDTARKREIIDDLDAFRSDRDFYRRAGKPWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTVVKDNNDLRRLLIETTSKSIIVIEDIDCSLDLTGDRAATQRRGRQNDRDDGSRRHDRDGSMVTLSGLLNFIDGLWSACVGERIVVFTTNHVDKLDAALIRRGRMDMRIEMSYCGIEAFKTLAKNYLDVDDHRLFGPVGEILGRESITPADVAECLMTAKRAGSDDESSRLEIVIDELKQTAEANAKAKAEAEAKAKAEAEEEAKAAEMDRDNTREEQNGRKSPKI >ONIVA01G14740.1 pep chromosome:AWHD00000000:1:11823075:11831203:-1 gene:ONIVA01G14740 transcript:ONIVA01G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTMSTIASAAVAEEDLAALPADVRQVLVDDEAAVAVVEREALAPPGVRPLLPLRVLPHHRRPPQRGAPELVGDVLPVAHADHDAVALGRALGAELARLPRAPVAQVRLHLLVGAVAAVGDAAVPGVVRAGAARLGDVDGDVGVDDGEEAPGVPAEEEVEVGLEQPPRRAASSGARRSHSEPKLDSTEPRLANAQRRHWTTLPVSAERAMDGGDDLRLRRRDAARRRPPRLLLARGKTTATQHVAARHLDHTFEKLASAHLQLVMAVPLVDALRGSPSELLALPDHAHHFPLQLYRRGALPLSLSLTAPAAELLAVTTSPAAAAAGSGNGGVTATGRATPRVPSDLEEGSEVGGGDPNDLREEGEVGRENPDDLGKKGEKNRSLPSNPRGQVFSSLSVTTHLEGGKSKRRRWYYS >ONIVA01G14730.1 pep chromosome:AWHD00000000:1:11818953:11823214:1 gene:ONIVA01G14730 transcript:ONIVA01G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKH9] MEASTLSTYLPLLAMVLVQLGLAGLNVMSKLTMASGMSPYVLLAYRNFIAAAFLAPIAFLVERATLNQVLYFVGLKYSSPTVASALNNTLPAVTFLLAALLKMEPVAGRAGRAKVAGTALCVAGSMLMTFYRGPLVRTLASPVHWPYVQGTMAAEAAAHAGGHAVVLGAVLVIGSNVAWAIWFIIQKNLSKSFACPYTSTALMALIASVQCAAIAGAAERRFSAWELGLDIRLVGALYAGIVASGMVCTVMSWCIQERGPVFVSMFSPLMLIVVAVVGWGILGEKIHVGSVIGAVIIVVGLYTVLWGNGRDLDGAAVAIASFPGDEEMNGVVGADDTTGRAPPDGQTRHDSSQRKVAA >ONIVA01G14720.1 pep chromosome:AWHD00000000:1:11810708:11822216:-1 gene:ONIVA01G14720 transcript:ONIVA01G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKLSCLYLDDEPDGPRDVGADDEDGAEDDGVAAGVRGGLGGHGALDVGPVDGGRQGPDEGAPVERHEHGSGHAQGGSGHLGPAGAAGDGLHLQQRRQEEGRLLHFSLFFSTSPLSLPLSLHRLLSIHAPSTGSGSGICFLLRRPALPPPATFRPSVNSSSATPPPPARSGGSHLHRTANRRILRPLPPTAGVVHHLLHPLAPPPFPTLVD >ONIVA01G14700.1 pep chromosome:AWHD00000000:1:11806959:11807674:1 gene:ONIVA01G14700 transcript:ONIVA01G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNGGTRESSDRLALLRPSKCLTARTDQYPTGHWAVSAEMSLKSFEFAVLPTINSSLRRRRKGKNSMVLTSSYSRSICSQALPVVFLSYFVYGFSVCRECVWPIGP >ONIVA01G14690.1 pep chromosome:AWHD00000000:1:11804746:11805466:1 gene:ONIVA01G14690 transcript:ONIVA01G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAIGRFCKVEAPGALTLRFATGVDVPSWKQELWFCSIDLLYYMYSITDYFHTRRLRTCFTSIHTKMDIHSYTNLHIIPFPSSSIGTSHHHHLHQSTKNTKNLQGQMEMGKIDVSLLSVAGACPERRELMKGLGAEGVVEFCYHHC >ONIVA01G14670.1 pep chromosome:AWHD00000000:1:11793025:11793736:1 gene:ONIVA01G14670 transcript:ONIVA01G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding VILATYQGIPIRYHAILATYKVILATYQVIPIRYHAILATYKESRDTSQVSRDTHPDIDADDHCRPSVPSSLVPATAAAPSSGSGSGAAPPPPLPSPRSGYHHRRPSLPWLRPPSLLPPPAPATAAAPSLRSLAPAASTPAPATAVALSLGSGHHRRPSSDSLAPATAAALPRRWLGPCALCSCG >ONIVA01G14660.1 pep chromosome:AWHD00000000:1:11791594:11792569:-1 gene:ONIVA01G14660 transcript:ONIVA01G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSITYRMILAKYHVKPVRYQMILTTYRVVLTRYHAIHVMYQTISTTYHVILARYQTISTMYHVILARYRMILTRYQVIPTRYSWASYWGRSTQTRAVAPCSRPLQTRTATAAEEDGRGDGSGGRARRRWGMGAADAAAAAGDSDGGEMALGGSRTGRRWRRRQWEGDQGGEVPLEPWGWRPVVAGVGRGGV >ONIVA01G14640.1 pep chromosome:AWHD00000000:1:11737294:11740086:1 gene:ONIVA01G14640 transcript:ONIVA01G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQVAAVTSSPCAVTTSSSSSLSPSSSAAATTSRRHGVLGGVRLSRGQSSLASWSVGMTRRRTGGHQMARRALSASIDSIGSDGGDDEEFLRRIQELAVGLHPGAAGCGWPASVERSASSVGLPLSLRMLKRKKQQQSRWDERLIDCAGESARGAVGRAFSSMVLIIRELQSFTLQMRQALFYEDLQRVLARVHAEMHASFVWLFQHIFSGTPALMVSVMLLLANFTVYSMGDSVAAAATLPPPQPPAATVAMVDTQHAEQSHSHQRFDHASLKTLSIGRTASVGGNSGGGGKVRPVAGATGDGRSDEWSNRQSGAVLPQDASQGTPGAGAEEAVPVSEAMAVEETEDELVIWKRIADEATRMQASVRAEALMDPDTLGQLVAPVEAKLDTEDTAEFAATEERYERAVSEEPDNSLLLSNFAQFLYTVQRDHDRAEHYFKRAVRAEPADAEAMGRYATFLWKARNDLAAAEETYQEAIAAEPSNSHHAAAYAHFLWNTGGDDTCYPLD >ONIVA01G14630.1 pep chromosome:AWHD00000000:1:11711964:11719605:-1 gene:ONIVA01G14630 transcript:ONIVA01G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTRRRPTNLHSESGENKHKYATQAAVWYLVDSCTCSMTKLSLQLYTVSASPSHVTTNLGYINSLSRCSTPLPHHQRAPSGRIASCHLLVRSIETPGTTTMVSRRFKPVEECSSDGRSEQTVAADFDGTLVRSRSAFPYYLLVALEAGSVLRAVVLLLSVPFVYVTYIFFSESLAISTLVYISVAGLKVRNIEMVARSVLPKFYAEDVHPESWRVFNSFGKRYIITASPRIMVEHFAKTFLGADKVVGTELEVGKNGKATGFMVKPGVLVGDHKRQAVVKELRDAVPDVGLGDRETDFDFMSICKEAYLVTSRKYSAVPKNQLLSPLILHDGRLVQRPTPLVALVTFLWMPFGFVLALLRVYVNLPLPERIVFYTYKLMGIRLIVKGNPPPPPKKGHPGVLFVCNHRTVLDPVEVAVALRRKVSCVTYSISKFSELISPIKAVALSREREKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPTYEITFLNQLPKELTCSGGKSPIEVANYIQKTLSGQLGFECTAITRKEKYSILAGTDGRVPSKNKEKEKN >ONIVA01G14620.1 pep chromosome:AWHD00000000:1:11705617:11707254:1 gene:ONIVA01G14620 transcript:ONIVA01G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 86, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G58860) TAIR;Acc:AT5G58860] MATDGGVLQLHPYAMAAAAVALASAYMVWFWALSRRLSGPRMWPLVGSLPSVVLNRARVHDWIADNLRATGDAATYQTCILPLPFLARRQGLVTVTCNPRNLEHILRARFDNYPKGPMWQASFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWANRSIKYRLWRILDDHCNAAASVDLQDLLLRLTFDNICGLTFGKDPETLSPGLPENPFANAFDEATEATMQRFLFPSLLWRIKKAFGVGSERSLRDSLAVVDRHMTETIAARKATPSDDLLSRFMKKRDSKGKAFPEDVLQWIALNFVLAGRDTSSVALSWFFWTLMQRRDVERKVVLEIASVLRETRGDDTARWTEEPLNFDELERLVYLKAALTETLRLYPSVPQDSKYVVADDVLPDGTVVPAGSAITYSIYSVGRMESIWGKDCAEFRPERWLSADGSRFEPVKDAYRFVAFNGGPRTCLGKDLAYLQMKSIASAVLLRNSVELVPGHKVEQKMSLTLFMKNGLRVHVKPRDIASYVEPSEPAPPQGSLVIPTTTAAAA >ONIVA01G14610.1 pep chromosome:AWHD00000000:1:11695613:11696324:-1 gene:ONIVA01G14610 transcript:ONIVA01G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPSTEQIQRISTHLSAFGKVESKNVFYWFQNHKARERHHHKKRRRGASSPDSGSNDDDGRAAAHEGDADLVLQPPESKREARSYGHHHRLMTCYVRDVVETEAMWERPTREMETLELFPLKSYDLEVDKVRYVRGGGGEQCREISFFDVAAGRDPPLELRLCSFGL >ONIVA01G14600.1 pep chromosome:AWHD00000000:1:11692581:11695573:-1 gene:ONIVA01G14600 transcript:ONIVA01G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAATDRYPLNARIESPLGRPEGRYPLHARSPRPVFRPRVRQQTPRRNYFLRTPEKLKV >ONIVA01G14590.1 pep chromosome:AWHD00000000:1:11689773:11690189:1 gene:ONIVA01G14590 transcript:ONIVA01G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGSECTRITPETTGGGKPTISLQASSWSSRMEPSDPDRRSRLARGACGSRLLRSARWTATRFYRRARASVARAFRPASTKKGPASCAASRSPDCTPARNSSRRHSLAPVVADDSHKSEAVEECIRFMNSSSRKYR >ONIVA01G14580.1 pep chromosome:AWHD00000000:1:11685457:11685965:-1 gene:ONIVA01G14580 transcript:ONIVA01G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGALDPPPHRVPPRSPVFMQRNYHGLEDKSPGCSNFLALVPWTPPQIATASNWSSSDSEKREFFEVPMESDETEVDSMDVKESLKANAAAIDGESLHQWAVATTLHDPIVATKPFSTCYVFKVTEG >ONIVA01G14570.1 pep chromosome:AWHD00000000:1:11676881:11678332:1 gene:ONIVA01G14570 transcript:ONIVA01G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLSAVAMVGAASAASPIVTKSLVGVDAPASGITVVSRQDVRPDGASAVGDLTLSVSDLPMLSCHYIQKGLFFPAPDVPMASLVSLLMSSLSRALAIFPTLAGRLVTLPDDRVVIRCNDAGVEFRHAVAPNLSLDDFIVPDADVPTKLTKDLFPMDRTVSYDGHRRPLTSFQVTVLGDGAVFIGIVANHAVVDGTSFWHFFNTWAALCRGASPKLPDFRRSFFGESTAVLRFPGGVGPAVTFDADAPLRERVFHFSADAIRELKAITNRRPSGGQDAEVYGKMAHDRKNPEGLSAISSFQSLCAQIWLSVTRARQRLAPDATSTFRMAVNCRHRLRPAISPVYFGNAIQSAATTATVAELASNDLRWAAAKLNASLAAYDDGAIRRAAAAWQGAPRCFPLGNPDGAVITMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPARAGDGSVDIEVCLAPDTMAALLRDSEFMQFVS >ONIVA01G14550.1 pep chromosome:AWHD00000000:1:11660083:11660496:-1 gene:ONIVA01G14550 transcript:ONIVA01G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQAIPIYRPPDLKRIATEGPSKPRQAKGRQDVVVNLNSDWQLLTQDEARGHVHEYVDNGTRWDLDFAESLLKLSKLPMPAGSKEEIRNKCSSINHR >ONIVA01G14530.1 pep chromosome:AWHD00000000:1:11645702:11655247:-1 gene:ONIVA01G14530 transcript:ONIVA01G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLSGNAARGVRRHGSPVIIAWAIVFFSVFASSEAQLQVGYYNYTCPRAEDLVRNVVRAAILRDPGNGPGLVRLFFHDCFVRLWASRFAAAMVKMGNLDVLTGSQGEIRKFCNRVN >ONIVA01G14520.1 pep chromosome:AWHD00000000:1:11640762:11644894:-1 gene:ONIVA01G14520 transcript:ONIVA01G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHVKKQNVTNKPLRLLDILTPCVQLLASCSLPRRRGLPLATMASCAPPPAPSPDTADGASSPTKVDQTKN >ONIVA01G14510.1 pep chromosome:AWHD00000000:1:11639432:11644365:1 gene:ONIVA01G14510 transcript:ONIVA01G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G63090) TAIR;Acc:AT3G63090] MLLPAAAAAAGGVHRLKSLCPVRRISSLKVPWRRDAALDAAILRDRRYRLASRLVREVLLSPGRRLLLRYLSKRRQRIRLPVLVPTFLRRYPTLLSVSPPPNPVASPSPHLLSFLEFASRHHALHSPLLASRLAKLLMISSTRALPVPKIAAAKRDFGLPDDFLTSLVPRYPHLFRLVGDPGPDASGNAFLELVSWDDQLAKSVIELRADKEADVVGIRPRPNFTVKLPRGFYLKKEMREWVRDWLELPYVSPYTDTSGLHPASPEAEKRLIERRMAVPIIGKFCDEFRLSNAFANAFTRHPGIFYVSLKGGIKTAVLREAYDENGELVDKDPMIELKERFVAIMDEGHREYLEELRKKREELEKQRLQEAYRGAKVGTGIEDEMEEEGIDESDEDDDSEEGEALMTWGAVAKSDV >ONIVA01G14500.1 pep chromosome:AWHD00000000:1:11630805:11632992:-1 gene:ONIVA01G14500 transcript:ONIVA01G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSLSLSLVQASEKARQQPSARKMYHPQCELLMPLESLEMDVGQSHLAAAVAAAMPGELNFHLLHSLDAAAAAASSTPASASSQPTVDYFFGGADQQQPPPAAVQYDQLAAPHHHQTVAMLRDYYGGHYPPAAAAAAATEAYFRGGPRTAGSSSLVFGPADDESAFMVGPFESSPTPRSGGGRKRSRATAGFHGGGPANGVEKKEKQRRLRLTEKYNALMLLIPNRTKEDRATVISDAIEYIQELGRTVEELTLLVEKKRRRREMQGDVVDAAASSVVAGMDQAAESSEGEVMAAAMGAVAPPPRQAPIRSTYIQRRSKETFVDVRIVEDDVNIKLTKRRRDGCLAAASRALDDLRLDLVHLSGGKIGDCHIYMFNTKIHPGSPVFASAVASRLIEVVDEY >ONIVA01G14490.1 pep chromosome:AWHD00000000:1:11623550:11625941:-1 gene:ONIVA01G14490 transcript:ONIVA01G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKG0] MAEVDTFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPESKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFVSNDVGLDAEHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGACAWLRPDGKTQVTVEYQNDNGAMVPLRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDREILRIVTENFDFRPGMIIINLDLMRGGNGRYLKTAAYGHFGREDPDFTWEVVKPLKWEEPSA >ONIVA01G14480.1 pep chromosome:AWHD00000000:1:11616047:11622559:1 gene:ONIVA01G14480 transcript:ONIVA01G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLILASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCIFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDHDQSSLSFPFVVAEEDKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ONIVA01G14480.10 pep chromosome:AWHD00000000:1:11616186:11620784:1 gene:ONIVA01G14480 transcript:ONIVA01G14480.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAGVDVNSLHENHSLSNTLLLLLKQLSGLDSSGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGLFTLFLVILY >ONIVA01G14480.11 pep chromosome:AWHD00000000:1:11616186:11620784:1 gene:ONIVA01G14480 transcript:ONIVA01G14480.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAGVDVNSLHENHSLSNTLLLLLKQLSGLDSSGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGLFTLFLVILY >ONIVA01G14480.2 pep chromosome:AWHD00000000:1:11616186:11622559:1 gene:ONIVA01G14480 transcript:ONIVA01G14480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAGVDVNSLHENHSLSNTLLLLLKQLSGLDSSGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLILASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCIFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDHDQSSLSFPFVVAEEDLIGNFVLL >ONIVA01G14480.3 pep chromosome:AWHD00000000:1:11616047:11622559:1 gene:ONIVA01G14480 transcript:ONIVA01G14480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLILASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCIFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDHDQSSLSFPFVVAEEDKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ONIVA01G14480.4 pep chromosome:AWHD00000000:1:11616047:11622559:1 gene:ONIVA01G14480 transcript:ONIVA01G14480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLILASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCIFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDHDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ONIVA01G14480.5 pep chromosome:AWHD00000000:1:11616186:11622559:1 gene:ONIVA01G14480 transcript:ONIVA01G14480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAGVDVNSLHENHSLSNTLLLLLKQLSGLDSSGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLILASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCIFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDHDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ONIVA01G14480.6 pep chromosome:AWHD00000000:1:11616468:11622559:1 gene:ONIVA01G14480 transcript:ONIVA01G14480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLILASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCIFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDHDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ONIVA01G14480.7 pep chromosome:AWHD00000000:1:11616047:11622559:1 gene:ONIVA01G14480 transcript:ONIVA01G14480.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLILASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLKIMIKAAFHFPLLLLKKMSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ONIVA01G14480.8 pep chromosome:AWHD00000000:1:11616047:11622559:1 gene:ONIVA01G14480 transcript:ONIVA01G14480.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLILASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLKIMIKAAFHFPLLLLKKMSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ONIVA01G14480.9 pep chromosome:AWHD00000000:1:11616468:11622559:1 gene:ONIVA01G14480 transcript:ONIVA01G14480.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLILASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLKIMIKAAFHFPLLLLKKMSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >ONIVA01G14470.1 pep chromosome:AWHD00000000:1:11608582:11610704:1 gene:ONIVA01G14470 transcript:ONIVA01G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSERATTTPSSSYYSLELDPLLSDLAEKKLSLRRSLAWLDAELKDAKIKLASKEQLLAQESENRKKFAESRARSMEEEVKKLHKCLQDKDEQLRTSICSTEQQYLSSYKLDILRSQISVAQATAEASAESAMLARLQCLSLSGGHEKINSLGECELRVKKVEEQLDLVQKFLEAKELSQLEKNQMTTVHELKKKVLKLECTLKVSRAQLRKLHKMGERRDKPLKKLQSRLSLKQQTACDKQKLWESSGFRIIASMSILALAMLSKR >ONIVA01G14470.2 pep chromosome:AWHD00000000:1:11608582:11610704:1 gene:ONIVA01G14470 transcript:ONIVA01G14470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSERATTTPSSSYYSLELDPLLSDLAEKKLSLRRSLAWLDAELKDAKIKLASKEQLLAQESENRKKFAESRARSMEEEVKKLHKCLQDKDEQLRTSICSTEQQYLSSYKLDILRSQISVAQATAEASAESAMLARLQCLSLSGGHEKINSLGECELRVKKVEEQLDLVQKFLEAKELSQLEKNQMTTVHELKKKVLKLECTLKVSRAQLRKLHKMGERRDKPLKKLQSRLSLKQQTACDKQKLWESSGFRIIASMSILALAMLSKR >ONIVA01G14460.1 pep chromosome:AWHD00000000:1:11593990:11596125:-1 gene:ONIVA01G14460 transcript:ONIVA01G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEFTYQVKWEMSEDGSPATYFSFFMVLPFMESSTALQMESEPIVGCDGDGCASGAGTWPLHHFRRLDGVHCRLCSSCLLLEYRSFYCCCCFLLLGPEPPAHFDDGDPILAPPVPVATCRLCNEAVAHRYCLQSDDDTFVCAACVAAAHGWRFSYTPTALAATTTGGVVSDAPLDIRATRIMLLASRISLAVLRKAAAAARATAERLFVEAKAEKARAYRALAVALGVDAEVPSANHGADEPEPLPMLQAPPPPEDMAPESSSTATNMGALPPSENVAPPESDASSVAMALAMAPPSENLPSEGNLVAMAMGLDLNAPPPSPAADTIGVGDVAEMTMAAEASSSSPPPPPPPPPQPRRRPLQLFPDDDM >ONIVA01G14450.1 pep chromosome:AWHD00000000:1:11589758:11593416:1 gene:ONIVA01G14450 transcript:ONIVA01G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKE5] MVLEYVNGGELFDKIAVKGKLSEHEGRRLFQQLIDAVSYCHDKGVYHRDLKPENVLVDRRGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDTQIPKWLSPSARDLLRRILEPNPMKRINIAGIKEHEWFQKDYTPVVPYDDDDDNYLDSVLPIKEQIDEAKQEKPTHINAFQLIGMASALDLSGFFEEEDASQRKIRFTSTHSPKDLFDKIENVVTEMGFQVQRGNSKLKVMKNGKGSKNLRNPSSFLVCTEVVELGPSLYVVELKKSHGDPILYRQLCERLSDELGVCKTEQIQRTESLEDDLESFDSGSSLPGF >ONIVA01G14440.1 pep chromosome:AWHD00000000:1:11563503:11564396:1 gene:ONIVA01G14440 transcript:ONIVA01G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASYFFPLFSPFLLSLSLSLLVMLSSYRHRSGHPALRLFVWAASTLFLLLVSYIVSAAAKWDAARVPLLFAWIVFLQMLQNTIDTTRSSLSTIGNGSGNSKFRPTDRHLEEDSPSEEERDTRSIPLGGGGDGVGDEREEERGGRLDEEAEHRVAGAAVVGAEHDEEGK >ONIVA01G14430.1 pep chromosome:AWHD00000000:1:11543320:11545379:-1 gene:ONIVA01G14430 transcript:ONIVA01G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTFPDARSLSTYPSHVNTAKALGRNSLELVDSVLGASVERETRGEIRRGSSRGTWQQRRGEGAAAASVLVHGRGSGGAGSPTSGARRGSSSGARAGEGAALGGSGAGSGRRGADPVSGSSAGELAGHTLPWENEGVVAALVRGRGQRSAVTVQGSGRRGANLARRQRHGEPDLGSKAVLLPAGHALPWEHGSEGDKSRRNGFGAGESHGRASSSTAGGGRVHGGLAGRLFAGG >ONIVA01G14420.1 pep chromosome:AWHD00000000:1:11534563:11538461:-1 gene:ONIVA01G14420 transcript:ONIVA01G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLGAGQFINAVGDYARGLDRPRVSPVWAREAIPSPPKLPPGPPPELKMFQLRHVTADLSLDSINKAKSAYFAATGHRCSTFDVAIAKTWQARTRALRLPEPTSRVNLCFFANTRHLMAGAAAWPAPAGGGNGGNGFYGNCFYPVSVVAESGAVEAADVAGVVGMIREAKARLPADFARWAVADFREDPYELSFTYDSLFVSDWTRLGFLEADYGWGPPSHVIPFAYYPFMAVAIIGAPPVPKTGARIMTQCVEDDHLPAFKEEIKAFDK >ONIVA01G14410.1 pep chromosome:AWHD00000000:1:11524971:11525501:1 gene:ONIVA01G14410 transcript:ONIVA01G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDRAALLSAEVAPLLVASSSSSSSLVAAPGVDRDGATPGRLLIVIGGGGAGQGRGRSSLAVAVVPPEVTELAAARSRLEGRKRERRGEEINMRRGEEEEIRMIKFEVLRGKRECWGEKKFVQERGIFTCGLLKWLICKNMLIFVYGPLRGPLTKIDFFFMWNSQGAVWENGG >ONIVA01G14400.1 pep chromosome:AWHD00000000:1:11522138:11524218:-1 gene:ONIVA01G14400 transcript:ONIVA01G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSISSDDLPQDGFRIIYRCLQLGVGQLYATRGCAGHWLHLRRPNRRATDLLPCLRWWFFKGQLSFPGFTKRREKSRQMSEIPTCQVSHLSSLSVSSFHTASRPTPPQCSLWKASVYILGAAGSNIVFMAVLSDSVVLAVHRINSDGTGASRFSEFNAQMSAIARLHHHAAPCCLRPNQARGKPPHSP >ONIVA01G14390.1 pep chromosome:AWHD00000000:1:11510890:11511273:1 gene:ONIVA01G14390 transcript:ONIVA01G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQPDPLLPHRRQLKPADPSLQCCCWRPARLGTTSGKSGHRRATSGRGGGAEGEDIVGTPTLPSTAARQPAVEEEVLRCTLAALVMGASSAMLLSWVLHRWPLGERSDGGERGNEGEGKGEDGEER >ONIVA01G14380.1 pep chromosome:AWHD00000000:1:11495502:11497629:-1 gene:ONIVA01G14380 transcript:ONIVA01G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRNSGWLARRGGGCVIDADGVDLDGLHVVVGGDQEMRCCLGHELGGINRFLKKSSSALRLLLCPI >ONIVA01G14370.1 pep chromosome:AWHD00000000:1:11489864:11495425:-1 gene:ONIVA01G14370 transcript:ONIVA01G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRDAEANASSSASVAAADSSPGNGKGGGGGGGAAVARGERAASASASARVPFHKLFAFADKTDAALMALGTLGAVANGAALPFMTVLFGNLIDAFGGAMGIHDVVNRVSMVSLEFIYLAIASAVASFVQVTCWMITGERQAARIRNLYLKTILRQEIAFFDKYTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFLGGFIVAFAQGWLLTLVMMATIPPLVVAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKQAVEKYNKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILLKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRKPEIDAYSTTGMKPDDIRGDIEFRDVYFSYPTRPDEQIFRGFSLSIPSGTTVALVGQSGSGKSTVISLIERFYDPQLGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANASKFIDKMPQGLDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGTLVEKGPHHELLKDPEGAYSQLIKLQEANRQDKSDRKGDSGARSGKQLSINQSASRSRRSSRDNSHHSFSVPFGMPLGIDIQDGSSDNLCDGMPQDVPLSRLASLNKPEIPVLILGSIASVISGVIFPIFAILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPVSSYLFSIAGCRLIKRIRLMTFEKVVNMEIEWFDHPENSSGAIGARLSADAAKVRGLVGDALQLVVQNTTTLIAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVVSFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVEENKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAVSSIFAIVDRKSRIDPSEDAGVTVETLHGNIEFQHVSFRYPTRPDVEIFRDLCLTIHSGKTVALVGESGSGKSTAISLLQRFYDPDVGHILLDGVDIQKFQLKWLRQQMGLVSQEPALFNDTVRANIAYGKEGEATESEIIEAAKLANAHKFISSSHQGYGTTVGERGAQLSGGQKQRIAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVKNGVIIEKGKHDTLMNIKDGAYASLVALHSAASS >ONIVA01G14360.1 pep chromosome:AWHD00000000:1:11489148:11494617:1 gene:ONIVA01G14360 transcript:ONIVA01G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTKPDKFREASRQTVSNDHGCPVDHHSVQRVLNHPFGFSIQRTGCLIQQKDLGILHNRTRDRDTLLLASRQLSSPLSNCCAITLQIFR >ONIVA01G14350.1 pep chromosome:AWHD00000000:1:11482891:11487591:1 gene:ONIVA01G14350 transcript:ONIVA01G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G26600) TAIR;Acc:AT5G26600] MASLQSGGDAAANGVDADVDGAASPPSAKRPRAGAGAAAITDAEVRAEFAHHDRAVARLNNGTFGCCPASVLAARARWQRLFLSQPDAFYFHHLQPGLARSRAAVAAAVGAGDASEVSLVDNVTTAAAIIMQHVAWSFAEGDFARGDVVLMFLYTYCSIKNSIHAYVARAGATVVEVPLPFPVSSPDAIVAEFRAALAVARDGGRRRVRLAVIDHITAMPTVLIPVKELVAICREEGVDKVFVDAAHAVGQVPVDVRDIGADFYASNLHKWFFCPSAVAFIHTRKDDPVSSKLHHPVVSSEYGNGLPMESAWIGVRDYSAQLVVPDVVDFVNRFDGGVEGIRRRNHDKVVEMGTMLAAAWGTFLGTPPEMCGSMLMVGLPGSLGVGSEDDAVGLRTMLRKQFKVEVPLYYNSKAAAADAPPEMVKDGNGDPVTGYVRISHQVYNVREEYEALRDAVAKLVADGFTCSKLRPPEKEETLA >ONIVA01G14340.1 pep chromosome:AWHD00000000:1:11470517:11478703:-1 gene:ONIVA01G14340 transcript:ONIVA01G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPDDDAAAAAGAAENGYGNGNGNGNGPAPRPPPAKRPRSVISAAQIRAEFEHHEAGVARVNNGSFGCCPSSLLDAQARWQRLFIAQPDDFYFHALQPGLRRSRAAVAGLVNAGDVAEVSLVDNATTAAAIVLQHAAWSFAEGRFSRGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVASADAIIAEFRAALDVAKAGGRKVRLAVIDHITSMPSVVIPVKELVAICREEGVDKVFIDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKDDPIASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQLVVPESIDFVNRFEGGIEGIRSRNHEKVIEMGKMLAEAWGTFLGTPPELCGSMVMVGLPGCLGVESDDDAMRMRTMLRKDFMVEVPIYYNSRRVEAQEMAKDKNGDAVTGYVRISHQVYNVTEDYEKLRDAVNKLVADGFTSSKLRPSQKIKTGKRAGNDGLMNIAANQHSVGRYVVADYLDVDRRDSQRCGSALRRAGDQAAEEKDLSGGSSTMGRNHLCLVTCLWILSCAVLLHASPDGLLRISLNKKRLDKKTLDGAKLAREESHRLRADGLGDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSVKCYFSIACYLHHRYKSKGSSSYKKNGESCSISYGSGSIAGFFSEDSVLVGDLAVKNQMFIETTREPSLTFIIGKFDGILGLGFPEISVGGAPPIWQGMKEQQLIEKDVFSFWLNRDPDAPTGGELIFGGVDPNHYKGSHTYVPVTRKGYWQFEMGDLLIDDYSTGFCSGGCAAIADSGTSLLGGPTTIVAQINHAIGAEGIVSMECKQVVRDYGDMILEMLIAQASPMKLCSQIGLCAFDGTRSVRNNIESVVDKEKVGSDLSCTACEMAVVWIQNQLRHNQTRELILQYADQLCERLPSPNGESAVDCNEISNMPNLSFTIANKTFTLTPEQYVVKLEQQGQTVCISGFMAFDVPPPRGPLWILGDVFMGAYHTVFDFGKNRIGFAESA >ONIVA01G14340.2 pep chromosome:AWHD00000000:1:11469969:11475236:-1 gene:ONIVA01G14340 transcript:ONIVA01G14340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAANQHSVGRYVVADYLDVDRRDSQRCGSALRRAGDQAAEEKDLSGGSSTMGRNHLCLVTCLWILSCAVLLHASPDGLLRISLNKKRLDKKTLDGAKLAREESHRLRADGLGDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSVKCYFSIACYLHHRYKSKGSSSYKKNGESCSISYGSGSIAGFFSEDSVLVGDLAVKNQMFIETTREPSLTFIIGKFDGILGLGFPEISVGGAPPIWQGMKEQQLIEKDVFSFWLNRDPDAPTGGELIFGGVDPNHYKGSHTYVPVTRKGYWQFEMGDLLIDDYSTGFCSGGCAAIADSGTSLLGGPTTIVAQINHAIGAEGIVSMECKQVVRDYGDMILEMLIAQASPMKLCSQIGLCAFDGTRSVRNNIESVVDKEKVGSDLSCTACEMAVVWIQNQLRHNQTRELILQYADQLCERLPSPNGESAVDCNEISNMPNLSFTIANKTFTLTPEQYVVKLEQQGQTVCISGFMAFDVPPPRGPLWILGDVFMGAYHTVFDFGKNRIGFADCVHCTHGANARGVKHFITFT >ONIVA01G14340.3 pep chromosome:AWHD00000000:1:11470517:11475236:-1 gene:ONIVA01G14340 transcript:ONIVA01G14340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAANQHSVGRYVVADYLDVDRRDSQRCGSALRRAGDQAAEEKDLSGGSSTMGRNHLCLVTCLWILSCAVLLHASPDGLLRISLNKKRLDKKTLDGAKLAREESHRLRADGLGDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSVKCYFSIACYLHHRYKSKGSSSYKKNGESCSISYGSGSIAGFFSEDSVLVGDLAVKNQMFIETTREPSLTFIIGKFDGILGLGFPEISVGGAPPIWQGMKEQQLIEKDVFSFWLNRDPDAPTGGELIFGGVDPNHYKGSHTYVPVTRKGYWQFEMGDLLIDDYSTGFCSGGCAAIADSGTSLLGGPTTIVAQINHAIGAEGIVSMECKQVVRDYGDMILEMLIAQASPMKLCSQIGLCAFDGTRSVRNNIESVVDKEKVGSDLSCTACEMAVVWIQNQLRHNQTRELILQYADQLCERLPSPNGESAVDCNEISNMPNLSFTIANKTFTLTPEQYVVKLEQQGQTVCISGFMAFDVPPPRGPLWILGDVFMGAYHTVFDFGKNRIGFAESA >ONIVA01G14340.4 pep chromosome:AWHD00000000:1:11470517:11475236:-1 gene:ONIVA01G14340 transcript:ONIVA01G14340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAANQHSVGRYVVADYLDVDRRDSQRCGGSSTMGRNHLCLVTCLWILSCAVLLHASPDGLLRISLNKKRLDKKTLDGAKLAREESHRLRADGLGDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSVKCYFSIACYLHHRYKSKGSSSYKKNGESCSISYGSGSIAGFFSEDSVLVGDLAVKNQMFIETTREPSLTFIIGKFDGILGLGFPEISVGGAPPIWQGMKEQQLIEKDVFSFWLNRDPDAPTGGELIFGGVDPNHYKGSHTYVPVTRKGYWQFEMGDLLIDDYSTGFCSGGCAAIADSGTSLLGGPTTIVAQINHAIGAEGIVSMECKQVVRDYGDMILEMLIAQASPMKLCSQIGLCAFDGTRSVRNNIESVVDKEKVGSDLSCTACEMAVVWIQNQLRHNQTRELILQYADQLCERLPSPNGESAVDCNEISNMPNLSFTIANKTFTLTPEQYVVKLEQQGQTVCISGFMAFDVPPPRGPLWILGDVFMGAYHTVFDFGKNRIGFAESA >ONIVA01G14340.5 pep chromosome:AWHD00000000:1:11475236:11478703:-1 gene:ONIVA01G14340 transcript:ONIVA01G14340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPDDDAAAAAGAAENGYGNGNGNGNGPAPRPPPAKRPRSVISAAQIRAEFEHHEAGVARVNNGSFGCCPSSLLDAQARWQRLFIAQPDDFYFHALQPGLRRSRAAVAGLVNAGDVAEVSLVDNATTAAAIVLQHAAWSFAEGRFSRGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVASADAIIAEFRAALDVAKAGGRKVRLAVIDHITSMPSVVIPVKELVAICREEGVDKVFIDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKDDPIASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQLVVPESIDFVNRFEGGIEGIRSRNHEKVIEMGKMLAEAWGTFLGTPPELCGSMVMVGLPGCLGVESDDDAMRMRTMLRKDFMVEVPIYYNSRRVEAQEMAKDKNGDAVTGYVRISHQVYNVTEDYEKLRDAVNKLVADGFTSSKLRPSQKQETMA >ONIVA01G14340.6 pep chromosome:AWHD00000000:1:11475236:11478703:-1 gene:ONIVA01G14340 transcript:ONIVA01G14340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPDDDAAAAAGAAENGYGNGNGNGNGPAPRPPPAKRPRSVISAAQIRAEFEHHEAGVARVNNGSFGCCPSSLLDAQARWQRLFIAQPDDFYFHALQPGLRRSRAAVAGLVNAGDVAEVSLVDNATTAAAIVLQHAAWSFAEGRFSRGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVASADAIIAEFRAALDVAKAGGRKVRLAVIDHITSMPSVVIPVKELVAICREEGVDKVFIDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKDDPIASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQLVVPESIDFVNRFEGGIEGIRSRNHEKVIEMGKMLAEAWGTFLGTPPELCGSMVMVGLPGCLGVESDDDAMRMRTMLRKDFMVEVPIYYNSRRVEAQEMAKDKNGDAVTGYVRISHQVYNVTEDYEKLRDAVNKLVADGFTSSKLRPSQKQETMA >ONIVA01G14330.1 pep chromosome:AWHD00000000:1:11467674:11469031:-1 gene:ONIVA01G14330 transcript:ONIVA01G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAEVRVVAAIRVPLLRRSPPHLEQIPLSLFDVWFLPQPPIQRLFLYDDDGGGGGADDYFPSLVESLRSSLADAVAVFFPLAGKLTYVPSTGDVVVDCSPSAVGDGVAFLEAESDGDVRALSAAERHDVPAFLRFVGGGGGGGVAVGVAVHHAVADGQSFWRFMDAWSAAARGRPSPPAPAFDRSAIVHPMAADMARRILRKKAPELPLIPTANLLRRTWERHVVTTLELDSHRIGHIKNRIAELDEATTASPGTERRPRRLPSTFVAVAALVWSSVVRARASRQPDDGARAHLVFPADCRRRLDPPVDAAYFGNCVRCCVAGAAAGDLADAHRGVLHAREAIREAIDGFLEHPMVEAFDAWIDAVAALVRQPGFVAVTASPRFQVFEVDLGWGAPSRVSAGPGRSRAAAALATQLPK >ONIVA01G14320.1 pep chromosome:AWHD00000000:1:11449887:11458703:1 gene:ONIVA01G14320 transcript:ONIVA01G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPPPPKHHHHRRRRGGGGEDGGEEEEEEAGRLSLRGGGFWRRHDGEEEEEKGGGRRGEIKEVDFFLGASGRDVVVASRRHDDGFRGTTHGGGGGGDVNIGLDLLTTTTAGAAAGGAAAGAGEEDTGKNHRKEATTAAVDVELRRVVEENRRLRGMLDELTRSYSALYHQYLQVTQQQNHRHPDHHLIMNNNNNRPSLAQTHRTAATTTATTQQFLEPRASSTAQATADADMAASDDEAGRGGGDGDASSPSLSNAAAGGGGGGNKMRRVGGQDETAAAAPARENGEQQAAAAAELPCRKPRVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAIGCPVRKQVQRCAEDKTVLITTYEGNHNHQLPPAATTMANTTSAAAAMLLSGPAASRDGAAAALLGHHHHHHPAAMFHQSFPYASTMATLSASAPFPTITLDLTQTPAGGAGAASLLHALHRPPVIHPGAAAQAMPFAVPPQLAMYLPQQRAAAAGLGGAGAARQPSVMETVTAALAADPNFTTALAAAISSVVAGGAHHQALSTTPRGSAAGAGDGNGNGSSAAAVATGAASPAATAEAPAASGSPPRLATQSCTTSN >ONIVA01G14310.1 pep chromosome:AWHD00000000:1:11420693:11420989:-1 gene:ONIVA01G14310 transcript:ONIVA01G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKKRSVFAFLFGFKSNGDGDGGRPDEAAAREQQGYYGHQQHPWGRTTTKTKVRPSDYDDDNYYGRQWYAERDIDRRASEFIDKVHRGMLAGAGGE >ONIVA01G14300.1 pep chromosome:AWHD00000000:1:11409905:11410584:-1 gene:ONIVA01G14300 transcript:ONIVA01G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGKKKSVLASLFGFKSGGERRRQQQQEEMATAAAAGRKQQQQLQQQRSYYCPERRRRVWPSDEDNDNYYAERDIDRRASEFIDRVHRGMLIAGGEQDG >ONIVA01G14290.1 pep chromosome:AWHD00000000:1:11394357:11394698:-1 gene:ONIVA01G14290 transcript:ONIVA01G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWVRTITSPFRKVFGAQPHKDGGKRPQQPNSGMMVQHVVVDAERSKLHGEVMACAYEDVQVMWDMLDKARIREFNSS >ONIVA01G14280.1 pep chromosome:AWHD00000000:1:11390721:11391641:1 gene:ONIVA01G14280 transcript:ONIVA01G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIVLDRIANDATRRATFKKRRRGLLKKASELATLCDVDACLVVYGEGDAEPEVWPSTEVAMNVLRQFRALPEMEQCKKMMNQEDFLRLRIGKLKEQLRKMDRDNHERETLILLHDALQGRLGTYESLSVEQLTSVDCLASARLKVITDRLVEIRAPNEDGQVLVPPPPPPPPALPAPPPPPAPMLPLAPPPTHVTPAMPLSSMPPPAFHGMNHHHHQNHFINHGGNDQNAWLMNVARNGGDLGALVYSAFASSSSSNTGGAGTSAAGAAAPGPDMMDLANPDMPGFGWPWDDDSAGPSFPPM >ONIVA01G14270.1 pep chromosome:AWHD00000000:1:11376695:11377417:1 gene:ONIVA01G14270 transcript:ONIVA01G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRIILKKVAKDSTRRLTFKKRRRGLIKKAGELASLCGIGVCVVVYGEGEVKPEVWPSAPEARAILSRFNSAPNIDRFKRVTNQEQYLRKRIAKARERTSKTDDVNRERDATIMLYEAATGKHPVADLNVQELTNLGLVIDERINHLKERIERLGGAALMAPPPSTQPTEASSSLPPLVPYANGAGMEGNKRMKVSTHQKGWFINMSTMTADAGTSADVEGNTGVGTSARGDMMHLSN >ONIVA01G14260.1 pep chromosome:AWHD00000000:1:11370888:11374058:-1 gene:ONIVA01G14260 transcript:ONIVA01G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRILSTPTAQRSSAPFIAHCLPLPYLCSVQVVPGIPVSHPPPPSHRRSRLSSTSMGSLDLGAAQEDRRHSRRRTRSSSGFLLLPCRMGLLAGDILPSHPQIDLFGSLKLGLVLWIFATPVDSARYGSTAGHAGDEVVPLPHRPQLEPTSSPSAAADGAEVIPSCRRRRQTPALPQWWQLDGSQEKQGKRKGAKRQGKWTMSYNDSVATDRCPLAYCSG >ONIVA01G14260.2 pep chromosome:AWHD00000000:1:11370888:11374058:-1 gene:ONIVA01G14260 transcript:ONIVA01G14260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRILSTPTAQRSSAPFIAHCLPLPYLCSVQVVPGIPVSHPPPPSHRRSRLSSTSMGSLDLGAAQEDRRHSRRRTRSSSGFLLLPCRMGLLAGDILPSHPQVTPSIPRCCHTLSHSSPCPLPDRLVWIPEVGVLYRVGIYYTFFIFARYGSTAGHAGDEVVPLPHRPQLEPTSSPSAAADGAEVIPSCRRRRQTPALPQWWQLDGSQEKQGKRKGAKRQGKWTMSYNDSVATDRCPLAYCSG >ONIVA01G14260.3 pep chromosome:AWHD00000000:1:11370886:11374279:-1 gene:ONIVA01G14260 transcript:ONIVA01G14260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPKITPPLPFSLLHSPKNPHPHANHPRRCQRAAPPSPRHPSDSTSTVPSAAPAMRSLRILSTPTAQRSSAPFIAHCLPLPYLCSVQVVPGIPVSHPPPPSHRRSRLSSTSMGSLDLGAAQEDRRHSRRRTRSSSGFLLLPCRMGLLAGDILPSHPQCKIWFHRWPRWRRGRSSSSSATAGADVLPICRRRRS >ONIVA01G14260.4 pep chromosome:AWHD00000000:1:11370888:11373013:-1 gene:ONIVA01G14260 transcript:ONIVA01G14260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEPTSSPSAAADGAEVIPSCRRRRQTPALPQWWQLDGSQEKQGKRKGAKRQGKWTMSYNDSVATDRCPLAYCSG >ONIVA01G14260.5 pep chromosome:AWHD00000000:1:11370888:11372816:-1 gene:ONIVA01G14260 transcript:ONIVA01G14260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSATVQDMVPPLATLETRSFLFLIGHSWSRRPPHLPPPTELRSSPAAAAAAKLQRCHSLCISQRGLIFLSLQEEERWWQLDGSQEKQGKRKGAKRQGKWTMSYNDSVATDRCPLAYCSG >ONIVA01G14260.6 pep chromosome:AWHD00000000:1:11370919:11372816:-1 gene:ONIVA01G14260 transcript:ONIVA01G14260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSATVQDMVPPLATLETRSFLFLIGHSWSRRPPHLPPPTELRSSPAAAAAAKLQRCHSGGSSMAHRKSRGRGRAQRDRESGH >ONIVA01G14260.7 pep chromosome:AWHD00000000:1:11373086:11374058:-1 gene:ONIVA01G14260 transcript:ONIVA01G14260.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRILSTPTAQRSSAPFIAHCLPLPYLCSVQVVPGIPVSHPPPPSHRRSRLSSTSMGSLDLGAAQEDRRHSRRRTRSSSGFLLLPCRMGLLAGDILPSHPQMEFASSTLHVIGGLPTASDN >ONIVA01G14260.8 pep chromosome:AWHD00000000:1:11373086:11374058:-1 gene:ONIVA01G14260 transcript:ONIVA01G14260.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRILSTPTAQRSSAPFIAHCLPLPYLCSVQVVPGIPVSHPPPPSHRRSRLSSTSMGSLDLGAAQEDRRHSRRRTRSSSGFLLLPCRMGLLAGDILPSHPQVTPSIPRCCHTLSHSSPCPLPDRLVWIPEVGMEFASSTLHVIGGLPTASDN >ONIVA01G14250.1 pep chromosome:AWHD00000000:1:11366332:11367701:-1 gene:ONIVA01G14250 transcript:ONIVA01G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTPAPRATPFLLAAVLSIVVVAASGAEARWYGGGGGGGGYSPSPSPVSSIVSEQLYASLFLHKDDAACPARGFYTYASFVRAATRFPRFAATGCADARKREVAAFLAQISHETTGGWATAPDGPYAWGLCYKEEINPQSSYCDATDKQWPCYPGKSYHGRGPIQISWNFNYGPAGQALGFDGLRNPEIVANCSDIAFQTALWFWMTPRDTKPSCHQVMVGEYRPGPADVAANRTAGFGLVTNIVNGGLECNRAGDARVNNRIGFYRRYCQVLGVDVGPNLDCEHQQPF >ONIVA01G14230.1 pep chromosome:AWHD00000000:1:11345969:11346448:1 gene:ONIVA01G14230 transcript:ONIVA01G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSGRTREGGDVGWNGLVSARRSWPWRRRRRRCSGVDRATATEVEGGFGARWVGFIGKGEIAHELALGWRWGDGFVGDPKMATARMCWAAGSVDRAVEFERGARAGAVKVGAASWRTGVSGSLPPRAKRRGQRESGRAREGKREDEAVERGVLLFPF >ONIVA01G14220.1 pep chromosome:AWHD00000000:1:11345470:11345925:1 gene:ONIVA01G14220 transcript:ONIVA01G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGARSCAAAANRATWCRSWRGGQRPERLFGVVQGSSRRAVARGVAEREANGGAQPSAAAAGTEHDGAVIAPRGARPGKQRGGARSSGRATASWFDEREGPTTARGALGGDGGRAMARRVKTRPKQHGVRQGEGDGELTNRRGMASAAI >ONIVA01G14210.1 pep chromosome:AWHD00000000:1:11337796:11338224:1 gene:ONIVA01G14210 transcript:ONIVA01G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHHHHHHHHDDDHKVGANFCNILLSIILPPFAIGVVVGCKKEFWICLLLTCLGYLPGIIYAICIISGTHIHD >ONIVA01G14200.1 pep chromosome:AWHD00000000:1:11330699:11333240:1 gene:ONIVA01G14200 transcript:ONIVA01G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FKA9] MEECKGGGMSPSSSMDSSTHPALSTTSSAATARRDLSTDLRLGLSLSTSSSSSLLQAAAAAAAADDSIPSTPRNSQVHADWPPIKPFLRSALQKASAAGGGGARRRRTLFVKVYMEGVPIGRKLDLLLLDGYDSLLIKLCHMFKTPITYADVMECHQQVPGQKAAHVLTYEDQDGDWMMVGDVPWEYTLPEQRKEAQDCKDG >ONIVA01G14190.1 pep chromosome:AWHD00000000:1:11312392:11312677:1 gene:ONIVA01G14190 transcript:ONIVA01G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPVVWLLFSSLPLPHFRVLGTRWLQRGMLTCCERSGVLDGDGVGVGTWEDGVRNLSTSWVDTEDLTNFLYGNCKQVLPGTSIVTMKVEAG >ONIVA01G14180.1 pep chromosome:AWHD00000000:1:11306573:11310227:1 gene:ONIVA01G14180 transcript:ONIVA01G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT4G01690) TAIR;Acc:AT4G01690] MAAAAAAMATATSATATPPLRIRDAARRTRRRGHVRCAVASGAAEAPAAPGARVSADCVVVGGGISGLCTAQALATKHGVGDVLVTEARARPGGNITTAERAGEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPGDLPFFDLMSIPGKLRAGLGALGVRPPPPGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEDTGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLTMLPDAITSRLGSKVKLSWKLTSITKSDNKGYALVYETPEGVVSVQAKTVVMTIPSYVASDILRPLSSDAADALSIFYYPPVAAVTVSYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPRAVDPLVLGVRVWPQAIPQFLIGHLDHLEAAKSALGKGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDYLTKYAYK >ONIVA01G14180.2 pep chromosome:AWHD00000000:1:11306573:11310227:1 gene:ONIVA01G14180 transcript:ONIVA01G14180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT4G01690) TAIR;Acc:AT4G01690] MAAAAAAMATATSATATPPLRIRDAARRTRRRGHVRCAVASGAAEAPAAPGARVSADCVVVGGGISGLCTAQALATKHGVGDVLVTEARARPGGNITTAERAGEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPGDLPFFDLMSIPGKLRAGLGALGVRPPPPVCVLSPLCILDSLVKFDCAERFRRRFQGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEDTGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLTMLPDAITSRLGSKVKLSWKLTSITKSDNKGYALVYETPEGVVSVQAKTVVMTIPSYVASDILRPLSSDAADALSIFYYPPVAAVTVSYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPRAVDPLVLGVRVWPQAIPQFLIGHLDHLEAAKSALGKGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDYLTKYAYK >ONIVA01G14170.1 pep chromosome:AWHD00000000:1:11298234:11298620:1 gene:ONIVA01G14170 transcript:ONIVA01G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAITTTTDTMMATAWCIHHRWASSMLDLPSPASRVQIRHQACGSAGAAGLGSGGSVAVGPHKRGSSTAGPREALPCRRDHPHRCRKLSAVLVIKAILAEALRCFNFFPKTLTKDTAEGTTPTLGCQ >ONIVA01G14160.1 pep chromosome:AWHD00000000:1:11298085:11304175:-1 gene:ONIVA01G14160 transcript:ONIVA01G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNVVPGLDGKPGSVSLELGSKPGCFLVASASTKVQVGCRSRGSDGEDNSVIGNRHLTSFNTCKADKENLHPSAWSTMVAMGGSGGGSLGAMMSENGGGIRPRRGRC >ONIVA01G14150.1 pep chromosome:AWHD00000000:1:11282369:11285198:-1 gene:ONIVA01G14150 transcript:ONIVA01G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEWWTQIHARTDGLTHALTHTYRGLTRGRCREPGAWGCGCNRCVALRPPTPQPLIPHADQQRRPARPPLHLRLRLRLRFLFSSSLPPSSERKRELTSRCPAGRGGEHGFAFGWSSRLCTRSTAANSSFLDFFTLSPRTAQMSDGNDFAELLWENGQAVVHGRKKHPQPAFPPFGFFGGTGGGGGGSSSRAQERQPGGIDAFAKVGGGFGALGMAPAVHDFASGFGATTQDNGDDDTVPWIHYPIIDDEDAAAPAALAAADYGSDFFSELQAAAAAAAAAAPPTDLASLPASNHNGATNNRNAPVATTTTREPSKESHGGLSVPTTRAEPQPQPQLAAAKLPRSSGSGGREGVMNFSLFSRPAVLARATLESAQRTQGTDNKASNVTASNRVESTVVQTASGPRSAPAFADQRAAAWPPQPKEMPFASTAAAPMAPAVNLHHEMGRDRAGRTMRVHKTEARKAPEATVATSSVCSGNGAGSDELWRQQKRKCQAQAECSASQDDDLDDEPGVLRKSGTRSTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGTGLCIPPMLLPTAMQHLQIPPMAHFPHLGMGLGYGMGVFDMSNTGALQMPPMPGAHFPCPMIPGAMANMVQDQQQGIANQQQQCLNKEAIQGANPGDSQMQIIMQVLIKN >ONIVA01G14150.2 pep chromosome:AWHD00000000:1:11282369:11285198:-1 gene:ONIVA01G14150 transcript:ONIVA01G14150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEWWTQIHARTDGLTHALTHTYRGLTRGRCREPGAWGCGCNRCVALRPPTPQPLIPHADQQRRPARPPLHLRLRLRLRFLFSSSLPPSSERKRELTSRCPAGRGGEHGFAFGWSSRLCTRSTAANSSFLDFFTLSPRTAQMSDGNDFAELLWENGQAVVHGRKKHPQPAFPPFGFFGGTGGGGGGSSSRAQERQPGGIDAFAKVGGGFGALGMAPAVHDFASGFGATTQDNGDDDTVPWIHYPIIDDEDAAAPAALAAADYGSDFFSELQAAAAAAAAAAPPTDLASLPASNHNGATNNRNAPVATTTTREPSKESHGGLSVPTTRAEPQPQPQLAAAKLPRSSGSGGREGVMNFSLFSRPAVLARATLESAQRTQGTDNKASNVTASNRVESTVVQTASGPRSAPAFADQRAAAWPPQPKEMPFASTAAAPMAPAVNLHHEMGRDRAGRTMRVHKTEARKAPEATVATSSVCSGNGAGSDELWRQQKRKCQAQAECSASQDDDLDDEPGVLRKSGTRSTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQLSLTQMMSMGTGLCIPPMLLPTAMQHLQIPPMAHFPHLGMGLGYGMGVFDMSNTGALQMPPMPGAHFPCPMIPGAMANMVQDQQQGIANQQQQCLNKEAIQGANPGDSQMQIIMQVLIKN >ONIVA01G14150.3 pep chromosome:AWHD00000000:1:11282369:11285198:-1 gene:ONIVA01G14150 transcript:ONIVA01G14150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEWWTQIHARTDGLTHALTHTYRGLTRGRCREPGAWGCGCNRCVALRPPTPQPLIPHADQQRRPARPPLHLRLRLRLRFLFSSSLPPSSERKRELTSRCPAGRGGEHGFAFGWSSRLCTRSTAANSSFLDFFTLSPRTAQMSDGNDFAELLWENGQAVVHGRKKHPQPAFPPFGFFGGTGGGGGGSSSRAQERQPGGIDAFAKVGGGFGALGMAPAVHDFASGFGATTQDNGDDDTVPWIHYPIIDDEDAAAPAALAAADYGSDFFSELQAAAAAAAAAAPPTDLASLPASNHNGATNNRNAPVATTTTREPSKESHGGLSVPTTRAEPQPQPQLAAAKLPRSSGSGGREGVMNFSLFSRPAVLARATLESAQRTQGTDNKASNVTASNRVESTVVQTASGPRSAPAFADQRAAAWPPQPKEMPFASTAAAPMAPAVNLHHEMGRDRAGRTMRVHKTEARKAPEATVATSSVCSGNGAGSDELWRQQKRKCQAQAECSASQDDDLDDEPGVLRKSGTRSTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQLSLTQMMSMGTGLCIPPMLLPTAMQHLQIPPMAHFPHLGMGLGYGMGVFDMSNTGALQMPPMPGAHFPCPMIPGASPQGLGIPGTSTMPMFGVPGQTIPSSASSVPPFASLAGLPVRPSGVPQVSGAMANMVQDQQQGIANQQQQCLNKEAIQGANPGDSQMQIIMQVLIKN >ONIVA01G14140.1 pep chromosome:AWHD00000000:1:11275295:11279335:1 gene:ONIVA01G14140 transcript:ONIVA01G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT3G62080) TAIR;Acc:AT3G62080] MGGGGDERGEWEAAVRVEVGVGGWWDDPDGDELRARFKAFTGQRRDWPQPKLLFWKGLLLRVARRLRLCSAPARLVLGVWFARPGGLTPLCLPQVLEEMRADGEILLKSELIDPTTGSLYQLVRRMSQMAVISKQPIAQDDILVFKSLIEERAAEIVDQLRNSHWTSTCIVTISKFNAFFHGQEDSHVALCYLTQCGKARYIVDRRQDSVEGVKFSLMAAQVPAVSKLDHNTLHLILTEEKLQQQLDVLDRQWQISRRRALVSFKSGDKQSAYRYVRQSKLFSESRKRFTPLLERVEEVISLIASAETTKKVNEAIKVSIQAMNEHHVSVEEVNEHLKEVDDLVATQREIDAALGSVILQSMDSEENIEEEFMKLEAELQDEFPHVQEDPVSHANEEFPNDEDVDSLSNNLSNIKLEAI >ONIVA01G14130.1 pep chromosome:AWHD00000000:1:11239048:11252803:-1 gene:ONIVA01G14130 transcript:ONIVA01G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGAVGVWVAETVELLCRLCVITIEGRRIEGREEEDQVGTGVEVAIRLRRSIFRGNDIRWGIATNEGEVASAYILDEQEKEALKEIVSKGAENLQKRTREASEHHEKAELGRVSYQEFPLTKRLR >ONIVA01G14120.1 pep chromosome:AWHD00000000:1:11237085:11238586:-1 gene:ONIVA01G14120 transcript:ONIVA01G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIVELHAVLGNRWSQIATRLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLAEVDRSKATPTISNDRTSESSDVDPSSGVALHNLSHLLSETAQSSELLPVKVTKPRTQAPGLARLKVPPKELFLDQLTSGHENLPSCRSSGPIPNFPFQQLLCYNNDFNSMDVGNRNSLWYNQNESSSSTISTVMPPVSPSTLSTSTGLNPSPDNANSRGTGIHNSQFYWDTNNPSSSSSTGSSGNNGLGFELQSTSSLLETNIFPWSDLAPEKDSQAQLEEELKWPDLLHGTFSEMPAPMQNLSQSLYEDVVKAESQFNMEGLCAAWSQNLLPQQHLPVVSDMYDKDLQRMSLSFENI >ONIVA01G14110.1 pep chromosome:AWHD00000000:1:11233217:11239228:1 gene:ONIVA01G14110 transcript:ONIVA01G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWLSALTTSSYSDWLRFCMGAGISENVPWSKSGHLSSSSSCAWLSFSGAKSDHGNMLVSKRELVLCSSNPNPLLPLLPVLLLLLGLLVSQ >ONIVA01G14110.2 pep chromosome:AWHD00000000:1:11233217:11239228:1 gene:ONIVA01G14110 transcript:ONIVA01G14110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWLSALTTSSYSDWLRFCMGAGISENVPWSKSGHLSSSSSCAWLSFSGAKSDHGNMLVSKRELVLCSSNPNPLLPLLPVLLLLLGLLVSQ >ONIVA01G14100.1 pep chromosome:AWHD00000000:1:11231104:11231801:-1 gene:ONIVA01G14100 transcript:ONIVA01G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPLLHPSPPLFLSPLLFSLAEEPVGGEVREEAGGGGGSPAAIRRRPASERGGGPAQMDLGGCISFAGGSLHRPLGAGGSRGEPRAFFAHLADAAAADDAGFLAAAEGRGVLFLLAPTTPLAAGLRAVKQRRASAADEFAVKEAAEEKKATAARSKAKTAAKAKLSKAPAATASKTSMEPSLSRLPQIHFSLLASLCSARWRDDSRGFLWCPASRPPSAWPACYRSLRS >ONIVA01G14090.1 pep chromosome:AWHD00000000:1:11230467:11231093:-1 gene:ONIVA01G14090 transcript:ONIVA01G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEQEDWEINGIDRSGLDAQVAEAYSANLVQIATPRTPRPALVLTPVTADEVRAYVVCCRDHGLTVRTRSGGHDYEGLSYRSLRSSGDGEEAARFAVVDVAALWVVRLDAARGVACTKARATRAVRSVVAAGLPPPPPISSPPGGCAAVREKKREEERWRGGRRG >ONIVA01G14080.1 pep chromosome:AWHD00000000:1:11220145:11222463:-1 gene:ONIVA01G14080 transcript:ONIVA01G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAAATARKLGSHLPRLRDIIDHDDEDDDFVEEEEEEEEEWEDMSKRMSRLSVEGSDGGDADDEDDGYLRGEEEEEEEDGDEVRSDVNGEYGDGGGGGGQWHQYGDDDPRNPQAPSSASLPGTPDRGAPAPSPWMYSKEYASETEAARWPGGGAGAGGDKRRMRHRRERMMREVWLDRAWQMRKQRRQMLMQGQGLGADAVTVVVSAAAAGGESPARGVAMDMEEMRACRDLGLDLPCDWTVEIPCYALSGVDTASSGGNSPASGSWRISSPGDDPKDVKARLKVWAQAVALASASRLGS >ONIVA01G14070.1 pep chromosome:AWHD00000000:1:11212836:11215991:1 gene:ONIVA01G14070 transcript:ONIVA01G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rotamase CYP 4 [Source:Projected from Arabidopsis thaliana (AT3G62030) TAIR;Acc:AT3G62030] MACRLAVCSPSALAPPRHRLLSLGCARTAAPSGGLPLRLRLRSSPAPRGHGADLVGAVELQAKVTSKCFFDVEVGGEPAGRIVIGLFGEVVPKTVDNFRALCTGDKGYGYKGCSFHRIIKDFMIQGGDFQNNNGTGGRSIYGECFDDENFTLKHTGPGVLSMANAGPDTNGSQFFICTVKTPWLDNRHVVFGHVLEGMDVVKNLESQETSRSDIPKQPCRIVNCGELPVDG >ONIVA01G14060.1 pep chromosome:AWHD00000000:1:11190026:11202281:1 gene:ONIVA01G14060 transcript:ONIVA01G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAAVRDPEGRKNAHLHALERAKRRLSLHRANVLDCNSLRAAFNLCDGVFHVASPVSDDPELLPTAIEGTKNVINAAADMGIKRVVFTSSYGAAHMNPNRRSDQTLDETCWSDLEFCKQTQNWYCYAKTVAEKTATEEASKRGVNLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRCEDNSKPMVKPYQFSVQRLEALGMQFTPLKESLYKTVISLQDKGHLPAISPPDIKNAHLHVLDGAREGLSLYRADVLDRNSLRAAFALCDGVFHVASPVSNDPELLPAAIEGTKNVINAAADMGVKRVVFTSSYGAVHMNPNRRSDQIVDESCWSDLEFCKQTQNWYCYAKMLAERTAMEEASKRGVNLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRCEDNSKPMVKPYQFSVQRLKALGMRFTPLKESLYETVISLQDKGHLPAISHRSAL >ONIVA01G14060.2 pep chromosome:AWHD00000000:1:11190026:11202281:1 gene:ONIVA01G14060 transcript:ONIVA01G14060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAAVRDPADIKNAHLHVLDGAREGLSLYRADVLDRNSLRAAFALCDGVFHVASPVSNDPELLPAAIEGTKNVINAAADMGVKRVVFTSSYGAVHMNPNRRSDQIVDESCWSDLEFCKQTQNWYCYAKMLAERTAMEEASKRGVNLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRCEDNSKPMVKPYQFSVQRLKALGMRFTPLKESLYETVISLQDKGHLPAISHRSAL >ONIVA01G14050.1 pep chromosome:AWHD00000000:1:11182429:11182761:1 gene:ONIVA01G14050 transcript:ONIVA01G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGKYSSSERKKGPEKDTSIRSATVSFSSSSSSAGTRPSCWRSVVTTATARRRCCGGDEHDTAARASVLHGDHHLGGIGKKVDASAGAGVRTLVERNDFYCQECNTHGK >ONIVA01G14040.1 pep chromosome:AWHD00000000:1:11182007:11185885:-1 gene:ONIVA01G14040 transcript:ONIVA01G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 14 [Source:Projected from Arabidopsis thaliana (AT3G17611) TAIR;Acc:AT3G17611] MGAGMSSGRRPSFYGGGGVGRPRGMLPLLALQVLLEYGRAGASRPPVTAALLAANALLYLRPGSLDALLPSLNRVAFNPHLIIHYCDLTRFFLSAFYHLSETHFFFNMSSLLWKGIQLETSMGSVEFASMVAALLGMSQGITLLLSKGLLLFGNDEAYYDQYAVGFSGVLFGMKVVLNAWSDDYVFLHGVVIPAKYAAWAELLLIQAFIPGTSLIGHLGGILAGLAYLWLKRSFSGPDPLSLLISGIGKAVRWPVGSFSGLAVLRVTPQVEAELAVVQQERMVEVYGDAQPALMTTRRRQISVKCAAVHVRTMLFLVDSTSKLGGMGSHRLRRFAVGGSRDFPDDNRSRRVVGIMGPVEHITGLTVAFA >ONIVA01G14040.2 pep chromosome:AWHD00000000:1:11183186:11185885:-1 gene:ONIVA01G14040 transcript:ONIVA01G14040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 14 [Source:Projected from Arabidopsis thaliana (AT3G17611) TAIR;Acc:AT3G17611] MGAGMSSGRRPSFYGGGGVGRPRGMLPLLALQVLLEYGRAGASRPPVTAALLAANALLYLRPGSLDALLPSLNRVAFNPHLIIHYCDLTRFFLSAFYHLSETHFFFNMSSLLWKGIQLETSMGSVEFASMVAALLGMSQGITLLLSKGLLLFGNDEAYYDQYAVGFSGVLFGMKVVLNAWSDDYVFLHGVVIPAKYAAWAELLLIQAFIPGTSLIGHLGGILAGLAYLWLKRSFSGPDPLSLLISGIGKAVRWPVGSFSGLAVLRVTPQVEAELAVVQQERMVEVYGDAQPALMTTRRRQISVKCAAVHVRTMLFLVDSTSKLGGMGSHRLRRFAVGGSRDFPDDNRSRRVVGIMVGSRHLRASIPEVHIDRNRA >ONIVA01G14030.1 pep chromosome:AWHD00000000:1:11180140:11180943:1 gene:ONIVA01G14030 transcript:ONIVA01G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHACFLLLLPLLVLQLLLAGGSLVDDLPAATHQVPRLVGPQRKLQQLPNPRPQPQPLPQPNPNPQPQPLPLPQPQPQPQPQPQPQPLPLPGPQPLPQPGPQPNPNPQPLPQPNPNPQPLPQPDPNAPPLPLPQPNPNNPQPLPQPDPNAPPLPLPQPDPNAPPLPLPQPDPNAPPQPLPQPDPNNPQPLPQPDPNAPPQPLPQPDPNAPPQPLPQPDPNTPPGQQINAKISSQPDSIGGARTLYPYGVIYNLMPLFSLFFYMF >ONIVA01G14020.1 pep chromosome:AWHD00000000:1:11180119:11181021:-1 gene:ONIVA01G14020 transcript:ONIVA01G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCIYMQSKNGGVFGSGCGKGCGGALGSGCGKGCGGAFGSGCGRGSGGAFGSGCGRGSGGALGSGCGRGCGLFGLGCGRGNGGAFGRKIINQASPSKKKLQHQQRQQEKKASMATPRHGRLARA >ONIVA01G14020.2 pep chromosome:AWHD00000000:1:11180119:11181021:-1 gene:ONIVA01G14020 transcript:ONIVA01G14020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCIYMQSKNGGVFGSGCGKGCGGALGSGCGKGCGGALGRKIINQASPSKKKLQHQQRQQEKKASMATPRHGRLARA >ONIVA01G14010.1 pep chromosome:AWHD00000000:1:11164946:11169910:1 gene:ONIVA01G14010 transcript:ONIVA01G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma carbonic anhydrase 1 [Source:Projected from Arabidopsis thaliana (AT1G19580) TAIR;Acc:AT1G19580] MGQWVAVQSGRGKTVNCSSGGGNPSERPCPHSTASVSSSSPIPSSSPLHSSRSRKVREPANSPAIPRAAAVAAADVAAWVGEEMAGLGKAFYAVGFWIRETGQALDRLGCRLQGNYYFHEQLSRHRTLMNIFDKTPHVHRDAFVAPSASLIGDIQVGQGASIWYGCVLRGDANNVQIGSGTNIQDNSLVHVAKSNLSGKVFPTIIGDNVTVGHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMVAAGALVWGGNPAKFLRKLTDDEITFIKESASNYSTLAKAHAAENAKPVEKTEFEKLFRKKSAHQDEEHDSMIGATREVTPELTPSSSAQ >ONIVA01G14000.1 pep chromosome:AWHD00000000:1:11160393:11164772:-1 gene:ONIVA01G14000 transcript:ONIVA01G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: glycosyltransferase family protein 2 (TAIR:AT5G60700.1); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryot /.../39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G12260) TAIR;Acc:AT5G12260] MASPRRRSLPLPLLLLVFPVSLFVVLLLHHRSSVPAAELLSGPGPDPRRFSLLIKVLAYDRPGPLRRCLRSLAAADYAGDRVALYVLVDHPRPNASLDASREILAEADALRWPHGEKRVHYRAANAGLQAQWIEAWWPGSDDEFAFVVEDDLEVSPLYYRFLKRLVMAYYYDRENYSPYVFGASLQRPRFVAGKHGNKIQLDSETHLFLYQMVGTWGQLLFPKPWKEFRLWYDEHKSKGIKPILEGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNFYTNFLKERALSVSHRDAGVNYGRSVGPDSTLLDGKNLDFNLWELQPLNKLKWYDFCFAEVLPGRVIRKFSELGSVLKSVQLENNVVLISLYSLEQRIARNLICHLEKSGMRNYIFLVDNTEFLDDLAHRGHPVIDAISLLQSIKMSSSIYSDDFVKEIVVKAYVIKNCLDLGYNLWVLNGNTISLGSKLNEPSDQSVDFFAAESVDLMFLRGSQSSKKTWNELDILRMADGMMSSKSGFSSSLEHKNFVRVLTGVLGNNGAVRLGKLDEEIMAVELGPNTSNRSLSEGHCKVLFWSHSMTSDSVQSQLENRGLWLIDSDSSCSAVVCGQKQK >ONIVA01G13990.1 pep chromosome:AWHD00000000:1:11145497:11149084:-1 gene:ONIVA01G13990 transcript:ONIVA01G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHPNRPLQPVSPQGQHGHFLCPPRKRPTRKISKFPPPNGPFSKADAARPSDGRDPTARGAGRAAWEGARLGRPIWRSGALGPAPTIKVASFLKPHPRVPPFAHPPPLFTPLLFGRCPATRFSECGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGDYEDEEEQVPEDE >ONIVA01G13990.2 pep chromosome:AWHD00000000:1:11145499:11148114:-1 gene:ONIVA01G13990 transcript:ONIVA01G13990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGDYEDEEEQVPEDE >ONIVA01G13980.1 pep chromosome:AWHD00000000:1:11134333:11134572:1 gene:ONIVA01G13980 transcript:ONIVA01G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATYADSELVVTVPKGTAPDDNGDGDGAARSRGWRLRRARPARSSSAAVLRREHLLPLRPEHRHRHHPPLPAATTRD >ONIVA01G13970.1 pep chromosome:AWHD00000000:1:11108750:11126334:1 gene:ONIVA01G13970 transcript:ONIVA01G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRLSTPPMDVAALFLPQSIAEQHDTQVNNKALRIKDIIMNYKEKAIYLTIYLLEDTLFDDDFVLPTPPMNVGVFFLPQFTAKKYVSENKHGLGTKPKQAQHHAIAITEQHPIAIVRKPLPDHVSLERQSNNIRRLQGIEKRNNTDIADLRLEATKRKLNDAYQEAENRVLLPSHADQYHLGGGAGSGMERWREMFRGADIYDVIRNAILIAGADSPRELLRRRQGIIEWLFAVAPVTVPVPAPLACGRVVDGAGNRLPPAAIPDGGGHHHDDNDGNFAAAEAQTSLIDQQILEALYDEIEEDTQVINEVLRIKDILINYKEQSVDTLFDGLRRLQLMRLSISVLKSTQIAEAVAPLNKHRSPVICKIARDLAKGWKGVAADWVGASSANADTSPDISNPSTVEDDFGLPTPPMDVGAFFLPQSAAEQYVSEFLHKADDDDDESLIPNAKNDCGFGGYKMEIAKPVANMDENILRKGQDLSRQHGPPMRQANLQMKLVDPNVNTIPKIHGLPTKQTPPLRQTNLQLGKTQGPRLHIKPASRFSVVTTKPNKPTHSQYTSRSQFSEETQNKYGLGTKPKQAQHHAIAITEQRPIAVVRKPLPDHKLERQATILEGCKVGIGKRNNTNIADLRLEATKRKLNDAYQEAENKKRKRCVQYVDMHNVIPNLQQRKATTTSMKERRNRPNDRFSVSRSRK >ONIVA01G13970.2 pep chromosome:AWHD00000000:1:11108750:11112396:1 gene:ONIVA01G13970 transcript:ONIVA01G13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRLSTPPMDVAALFLPQSIAEQHDTQVNNKALRIKDIIMNYKEKAIYLTIYLLEDTLFDDDFVLPTPPMNVGVFFLPQFTAKKYVSENKHGLGTKPKQAQHHAIAITEQHPIAIVRKPLPDHVSLERQSNNIRRLQGIEKRNNTDIADLRLEATKRKLNDAYQEAENS >ONIVA01G13960.1 pep chromosome:AWHD00000000:1:11105340:11106851:-1 gene:ONIVA01G13960 transcript:ONIVA01G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASSLPAHLAIETLARLGSERTARQRDEVFDAEVDDGGEVGREKMLLEELLRCQIQEWYPAFHRHTVPTVILPLPAAFLRYLAGRPAYPDTGGEHPEGRDDDAEPLPFFLPAITSGRSAFPPAHAHHPDPVSLLDRDNSDLFFDSADDDDRDADSPLRPAFPELEAAVDSAIAELGGAALPKLNWSAPKDAAFMSADGTIRCTCFAEVAMLLRSSDCVAHDLACARPSCKDFAPPAGVRRNAATGADEGARPNVYKNTKDTTEVQTDQHEALETSGTGAPPNGGESSNDAGSMSDSKESADKGGNQSAVDGETDDAPQEDVGEESNWTWVDDGFQYYLALRKWYPGLRPESEFRCFVRQRRLIAMSQRDPSAYYPSLPGWSAEVQPKIEAFFEQVIEPQFASENYTFDVYVRADGRVKLIDFNPWGGYTLPLLFTWEELEQEEREEVEVRVVMQHGAVRPGLMTAVPYDMLDWGEGSGWDVFLKKADDELNKQMASLGADS >ONIVA01G13950.1 pep chromosome:AWHD00000000:1:11099713:11101566:-1 gene:ONIVA01G13950 transcript:ONIVA01G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:early nodulin-like protein 18 [Source:Projected from Arabidopsis thaliana (AT1G08500) TAIR;Acc:AT1G08500] MHRQRRSISSPGGNLSPPLLVVAAAVFVVAGLSAAVPGAAAYKNYTVGDDKGWYDGLTHPGVDYQEWADGKNFSLGDFLIFNTDKNHSVVQTRNETLYKSCDYNDSGPDDTVEWSAAAPEFSKDAVTVAVPLLKEGSSYFFSGNYDGEQCESGQRFAIAVAHGQGLPPDLRPPAAEAPGPSSSAGAAADAPPTFDFSHPKNVSNSPADTSTTAPLDDADDAPTTGGAGRSIARLGSGLAAAATLLFFVVQV >ONIVA01G13940.1 pep chromosome:AWHD00000000:1:11092192:11093200:1 gene:ONIVA01G13940 transcript:ONIVA01G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAGGEGDDGSCGGHRRRRRRRKPRRTRAKVAAAEDEGHKRGRGPATAGEGVGWAQETATAVVAGDGGRCRRGRGGRTGALEDSGARARGGCGRGQRGPADAGKTTGWTLATTAAAKDEDNGRGVADASDDGGRMRARMAATAQRMCARTTTAAEDEDGGCRVADADEDSDRGAADAGDDGGMDAGDEGRPSVGHISNLFRGGQGGVPLSEHVGEVFVDEDKERERREKSHRRHVRST >ONIVA01G13930.1 pep chromosome:AWHD00000000:1:11085495:11090625:-1 gene:ONIVA01G13930 transcript:ONIVA01G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0FK73] MEKPSTLLVHFDKGSAAMAGEIKADLEGSDVAAKVDAMKRAVMLLLNGETLPTLFITVVRYVLPSEDHTIQKLLLLYLEIIDKRDAAGRGLPEMILICQNLRNNLHHPNEYIRGVTLRFLCRLSEPEVLEPLVPSILENLDHRHHFIRRHALSAISSIYRLPHGDQLVPDAPELVERALASEQDASARRNAFLMLCTCAQERAVAYLLSNADRVAEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIIALLSSPSTAVVYECAGALVSLSSAPTAVRAAANTYCELLSSQSDNNVKLIVLDRLNELRTSHRDVMVDVVMDVLRALASPNLDVKRKVLDLVLDLLTARNVEEVVLYLKKEVVKTQAGELEKSGEYRQMLVQAIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVENAISTIKQCLGDVPFYTVSEEGEATDSAKPAQPVVNSVTVSSRRPVVLADGTYATQSAATEAISTPSVAPGSLSSTLNLRSLILSGDFFLAAVISCTLTKLVLRLEEVQPSMVEVNKACTGALLVMTSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVSKVWLQSCRQSFAKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDEVQDDLKAATGGFTKDADDANRLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTIINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQNEKEFLDHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQFDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >ONIVA01G13920.1 pep chromosome:AWHD00000000:1:11083283:11084026:-1 gene:ONIVA01G13920 transcript:ONIVA01G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAATLMSSFSHRHSAPLYLFYNVASLPKHHAGRGGGGGGGGGGGGFEFATAAGGKQQGGVDDGEDGGGAGVRACSSDLSAAAFADELFREGGLLRPLKLPPRLQTPSASAAASAATSPTAASKAASSSRSPFASRRSKHEGFDPFAAALEKVRRDVAAAAPIRRARSLSPLRGPAVAAAAHRKENNPYAAAVPARAVTASRPPWRRRRRGMKHLLCGAAMATRPHRGDGGGAPYRRGLLVCFGF >ONIVA01G13910.1 pep chromosome:AWHD00000000:1:11071239:11078796:-1 gene:ONIVA01G13910 transcript:ONIVA01G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQWGAGAGAGDVPAQERKGETAKIARRPKTTTVVAQQPPRIRRALADVSNLVNGRAALPVVNRQKAAAAAADKCRKPIKQRNENNKAAKPEVIVISSDSEKHKKNPAQRAASRRAPIQTLTSILTKCSRASDGVISPKKELIYDIDASDAHNELAVVDYVEDIYRFYRNTENTYRPLCTYMVSQTEINGRMRAILTDWLIEVHYRLMLMPETLYLTVYIIDQYLSLENVPRKELQLVGVSAMLIACKYEETWAPLVKDFLVISDNSFSRQQVLSTEKSILNKLQWNLTVPTMYMFILRYLKAALGDEELEHMTFFYAELALVQYSMLFFAPSVIAAAAVYAARCTLGLSPLWSDLLEYHTGLAEPQLLECARRLVSLHAAAPESRQKVVYKKYASPKLGAVSLHSPAKKLLPPPSPVCVLQFLVCCCCYGHIQFVFFSSWFVVVMACTMSSMACHSLPESGSNLSAADELFQNQRSEQGIYWTLWDSRLSDDLNTTTVYSDNHGSNGGGTQSFDTSEHCSTVPSDSDEQPGYPSQFEPLHMEQTNDMFLSQFSDEEVRRMDAPFQALDMFPDSMHRLMSYEHILNGALVSDSKNQEVNMDQDDMDTCGFPLYFSHGLQDDGGLPSFAKGMVAADTSSMDKAGASATERGDPGSSPPGFEEAVLEELEEVMVQMRKFTSIAESRIINPEPEPQFKLQQHAEAQAAAVTYNAVDLIHVQLTPVYRSNLCIRALHLSGGDGWRFRQVSEYRMSNWRASIWQLDE >ONIVA01G13900.1 pep chromosome:AWHD00000000:1:11067286:11072484:1 gene:ONIVA01G13900 transcript:ONIVA01G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVATFVLACLVFLSKPCAREMRLFLSTLCQELALALLGFLAGLRLLGGVGAGAAAETTMPLMPSFKRKRAAAVEEGGGGGGGGGGGEEAGGEPSVLDLPELAIECILARLPPSELRNMAGVCRSMRERCRGDHLWERHMSEKWGGVLGHAAREEWRTYLASAADTGGAAASCSLAGGGRHRRWLAALSCVCPVVSWMRPRADGGSGGKSAGPVLDDSIMSWYLSMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDFHSDTFRARYPPHGRRTVVLEDGVHWDRVRAPPVDTHAHDLHASDCLHELRPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGSEHFCRCHLSDTVVLEFNQYTPGSRWRQALVNRKDHREEGNEGDGFYGGIRKLRSKDEISKWRQLWPTDILE >ONIVA01G13900.2 pep chromosome:AWHD00000000:1:11067286:11073070:1 gene:ONIVA01G13900 transcript:ONIVA01G13900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVATFVLACLVFLSKPCAREMRLFLSTLCQELALALLGFLAGLRLLGGVGAGAAAETTMPLMPSFKRKRAAAVEEGGGGGGGGGGGEEAGGEPSVLDLPELAIECILARLPPSELRNMAGVCRSMRERCRGDHLWERHMSEKWGGVLGHAAREEWRTYLASAADTGGAAASCSLAGGGRHRRWLAALSCVCPVVSWMRPRADGGSGGKSAGPVLDDSIMSWYLSMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDFHSDTFRARYPPHGRRTVVLEDGVHWDRVRAPPVDTHAHDLHASDCLHELRPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGSEHFCRCHLSDTVVLEFNQYTPGSRWRQALVNRKDHREEGNEGDGFYGGIRKLRSKDEISKWRQLWPTDILE >ONIVA01G13900.3 pep chromosome:AWHD00000000:1:11071450:11072734:1 gene:ONIVA01G13900 transcript:ONIVA01G13900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVYSIKASRRHIRVVLAIYKTQKQPFLSGEERICGAHSSPAARMPTIPAPSPPRAPRAQLLRIPAANSPDRLSPSRSLQPAT >ONIVA01G13890.1 pep chromosome:AWHD00000000:1:11065366:11067683:-1 gene:ONIVA01G13890 transcript:ONIVA01G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYRGFRSGRGPIIGSHALCVRPIREGFLGVEEDEKGVRTGSSGLTVTPSPRRSASSCIPSSN >ONIVA01G13880.1 pep chromosome:AWHD00000000:1:11045509:11046530:-1 gene:ONIVA01G13880 transcript:ONIVA01G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERRSCPLASYTGRTVHLHAAPALTIRKLGTNETNSYPKNNNAPKGTWRKRNRVQPNNTATTRSPTWYKTSTAPSSSIVDPTQRHHQRPPPSPPLPSPPAVYARAQEFLVG >ONIVA01G13870.1 pep chromosome:AWHD00000000:1:11044006:11045480:-1 gene:ONIVA01G13870 transcript:ONIVA01G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF6 [Source:Projected from Arabidopsis thaliana (AT2G39820) TAIR;Acc:AT2G39820] MASRVRFENSSEIGVFARLTNAYCVLPDGGAENFFSVFESELADVVPVVRTSIGGTRIIGRMCVGNKNGLLLPHTTTDQELQHLKNSLPDQVAVQRIEERLSALGNCVACNDHGTEEIISDVLGVEVFRQTIAGNILVGSFCAFSNKGGLVHPQTSVEDQAELSTLLEVPLAAGSINRGSEVVAAGMAVNDWAAFCGADTTATELSVVESVFRLRDGRPGALGADMSRSLVVSSYL >ONIVA01G13860.1 pep chromosome:AWHD00000000:1:11039348:11044076:1 gene:ONIVA01G13860 transcript:ONIVA01G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRVRAYQALSAHGCANLEVILNADAKNVEEHHERDCQKLDCCHQPKPLVCQSSFSSGRFMWSRGFSSQASASSGDKQDELEEGFSDLEVPPEADKKDLDLTSDESSDEDTIDAIGLSEVEADAKPEEPIKKSQSTLLKALLVSPRVDVAGATKKWLNDGNTLERSELFYVLLSLRKRKLYTKALQLLEYVEESKLFDLGERDYASRVDLVAKVHGIYKAEKYIENVPASHRGEVVYRTLLANCVAIANVKKTEQVFNKMKDLGFPVTVFSCNQLLLLYKRVDKKKLGDVLTMMEKENVKPSLFTYKLLVDTKGATRDIEDMEKVIQAMQADGIEPDLLIQATIARHYIFGGYREKAEAILEQIEGDDINENRSACKFVLPLYAFLGRKADVERIWKVCEVNARLDECMSAIEAFGKLGDVEKAEEIFENMFKTWKTLSFEYYNAMLKVYANKKLFDKGKELAKRMGDDGCRLGPSTLDSLVKLYSDAGEVEKADSILHKLSYKNKIKPLYTTYLMLLDSYSKKGDVHNAEKLFSKVRQMGYTGRIRQYQLLLEAYLNAKTPPYGFKERMKADDIFPNRAVASLLAATDPFNRKNAMSELLD >ONIVA01G13850.1 pep chromosome:AWHD00000000:1:11038103:11038714:1 gene:ONIVA01G13850 transcript:ONIVA01G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRGMASGDTELTVAEAKEEEEATHDGGGMHFQWLRAAVLGASDDLISTASLMLGIGAACPVDERAVLLSGLAGLVAGHAQLDLREPQASSAAKQRARAPGTVTGDGHRLVLLSPATASGTAPPLPLLLAHNSHMFIGEGVILVS >ONIVA01G13840.1 pep chromosome:AWHD00000000:1:11028127:11031768:1 gene:ONIVA01G13840 transcript:ONIVA01G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVCAGEEGATSTQGAGEAAGAGAWLPRSPAGRRHAVEHAGAHRAQATVRAHCIGADAAAHLPHIHHAPFWPRRSLVRRWRNLADDITMYIFAVLVLFDLDVVCWQWMQQERCAADDTRSEHGVAAYSRRLSTSIESSMQALIRAHATVRAHCTGAGAAANLPHIHHTPFWPRRSLQERCATDDTRSKHGVAAYSRRLSTSIESSSYGYYRSPKIVEVDIGRPKSRSSSSRRASSPLLDAGCASGGEEWCANSMSSLLPCYLPGGAAAPPPRIAVPTSRHFPDYDWCTLEKARPVTVQSTPRYAHAPPKQRP >ONIVA01G13830.1 pep chromosome:AWHD00000000:1:11016852:11017262:1 gene:ONIVA01G13830 transcript:ONIVA01G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKESNASKEVEDERTEQKVAAVDLAVMAPVAMPLTQTSPPADSLVEQEMATQQKTVEGTTRTLPAVVPSSQFPPSEAGFSNSKEQEAAPAWSSEAGRMAWHEGGSAFCVKVEHSDGACCTQPGYEAIAWQRDFLF >ONIVA01G13820.1 pep chromosome:AWHD00000000:1:11011033:11021655:-1 gene:ONIVA01G13820 transcript:ONIVA01G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNKEAPLGCLKPISQYNPQEQRSNGFPENSEKKNDSIATERVLEASPLPNQGVFRPVQRTEYYAYPFIYADYQMPGQPQPYNLDNQFYQINRDHSFPIESRVQYLPFKMPPQVQLDNLKLPSKHRGVPLSEALLMHDKWLEEKGIKHKNFAVVTWSNWDCRVMLESECRLKRIRKPPYFNRWINLKVPFQEVFGGVRCNLKEAVQLSGLTWEGRAHCGLDDARNTARLLALLMHRGFKFSITNSLVWQSAPQSITCQSSPAHSPYPNQSHHKPMEVMGSPVQVNPYAGITVKKPMYCHCGVLSQIKVTYRPGPMHGRYFYGCGNWTSTRGANCDYWVWLS >ONIVA01G13820.2 pep chromosome:AWHD00000000:1:11011033:11021655:-1 gene:ONIVA01G13820 transcript:ONIVA01G13820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNKEAPLGCLKPISQYNPQEQRSNGFPENSEKKNDSIATERVLEASPLPNQGVFRPVQRTEYYAYPFIYADYQMPGQPQPYNLDNQFYQINRDHSFPIESRVQYLPFKMPPQGYPPDAQLQEFQYFVVIDFEATCDKENNPHPQEIIEFPSVLVNSATGQLEASFQTYVRPAYNQLLTDFCKELTGIQQIQVDRGVPLSEALLMHDKWLEEKGIKHKNFAVVTWSNWDCRVMLESECRLKRIRKPPYFNRWINLKVPFQEVFGGVRCNLKEAVQLSGLTWEGRAHCGLDDARNTARLLALLMHRGFKFSITNSLVWQSAPQSITCQSSPAHSPYPNQSHHKPMEVMGSPVQVNPYAGITVKKPMYCHCGVLSQIKVTYRPGPMHGRYFYGCGNWTSTRGANCDYWVWLS >ONIVA01G13810.1 pep chromosome:AWHD00000000:1:11003012:11010065:1 gene:ONIVA01G13810 transcript:ONIVA01G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSPRTDTSTDDTDDNHMLEPGQLALAAASDSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQTHSMSGNGALAFDMEYARWLEEHNRQINELRSAVNAHAGDNELRGVVDKIMSHYEEIFKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSELLKLLSTQLEPLTEQQLSGIANLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >ONIVA01G13810.2 pep chromosome:AWHD00000000:1:11003012:11010065:1 gene:ONIVA01G13810 transcript:ONIVA01G13810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSPRTDTSTDDTDDNHMLEPGQLALAAASDSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAKAYTTRTRIATSSSAGALAFDMEYARWLEEHNRQINELRSAVNAHAGDNELRGVVDKIMSHYEEIFKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSELLKLLSTQLEPLTEQQLSGIANLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >ONIVA01G13810.3 pep chromosome:AWHD00000000:1:11003012:11010065:1 gene:ONIVA01G13810 transcript:ONIVA01G13810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSPRTDTSTDDTDDNHMLEPGQLALAAASDSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQTHSMSGNGALAFDMEYARWLEEHNRQINELRSAVNAHAGDNELRGVVDKIMSHYEEIFKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSELLKLLSTQLEPLTEQQLSGIANLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >ONIVA01G13810.4 pep chromosome:AWHD00000000:1:11003428:11010065:1 gene:ONIVA01G13810 transcript:ONIVA01G13810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSPRTDTSTDDTDDNHMLEPGQLALAAASDSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQAYGNFSQGIFISSSVDQTHSMSGNGALAFDMEYARWLEEHNRQINELRSAVNAHAGDNELRGVVDKIMSHYEEIFKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSELLKLLSTQLEPLTEQQLSGIANLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >ONIVA01G13800.1 pep chromosome:AWHD00000000:1:10992013:10995667:-1 gene:ONIVA01G13800 transcript:ONIVA01G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAWSPALAAVLLAAAVASASNSEGDALYALRRALADPRGVLQSWDPTLVNPCTWFHVTCDRAGRVTRLDLGNSNLSGHLAPELGHLEHLQYLELYKNNIQGTIPAELGSLKNLISLDLYNNNITGTIPKELGKLSSLVFLRLNDNSLNGPIPRDLAKISSLKVIDVSNNDLCGTIPTSGPFEHIPLNNFDKNPRLEGPELQGLATYDTNC >ONIVA01G13790.1 pep chromosome:AWHD00000000:1:10987532:10994132:1 gene:ONIVA01G13790 transcript:ONIVA01G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;1 [Source:Projected from Arabidopsis thaliana (AT2G29650) TAIR;Acc:AT2G29650] MLYLLPLSVSCRVPGSPPAPRSRRFLDPGGGRGVGDGLGGVRVFRRRALRGTDVRSNTSSSSSRKGRHDDARHDGGYGDDGDAGALLASVRRLLLSGSAQDDAAEGEAEEDEQGQFPKRWAIVFLCFSAFLLCNMDRVNMSIAILPMSAEFGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVIWWSIATALTPFAAKLGLPFLLVTRAFMGVGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLIHNFGWPSVFYSFGSLGVFWFSTWASKAYSSPLEDPGISAEEKKLITSQTTGGEPVKEIPWGLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVSANFGGWIADTLVSRGLSVTTVRKIMQSIGFLGPAFFLTQLSHIDSPAMAVLCMACSQGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVVLYLVGTLVWNLFSTGNACQKKEKRQRGIEAWLQILEMLKVSQFRSKMA >ONIVA01G13780.1 pep chromosome:AWHD00000000:1:10976992:10983205:-1 gene:ONIVA01G13780 transcript:ONIVA01G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEKAGGDDAAAAAPLLVPAAAGRRRRCPGCRTKERCEAHPGIPYLNFFYIWIVCICAFPHLSFL >ONIVA01G13770.1 pep chromosome:AWHD00000000:1:10962254:10968471:-1 gene:ONIVA01G13770 transcript:ONIVA01G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGDADRNAPLLAGGRKVYHERCPGCRQQRKVQANDRLPYLGFLYTWIACLCAALPIQSLFPYLYFMIRDLKVAKEEQDIGFYAGFVGATYFLGRTISAVPWGIFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVVGPAIGGFLSQPAKKYPNLFSEESETLHMHHDDKEVIDALEAQDATSDLGETTKESGSGRMGHTKSLLKNWQLMSAITLYCVFSLHDTAYLEIFSLWAVSSRKYRGLSFTSQDVGIVLAISGFGVLVYQLAIYPLLAKYVGPIKPFRYAAVLSILLLSTYPFMANLYGLELKATITIACNILQNTAVTQEQRGVANGISVTLMSIFKAVAPAAAGILFSWAQKHITGLFLPGEQILFLMLNMVSKIQEKHQDSVVVLSYEMTNPNYYTIAMGMKTRTRENYPI >ONIVA01G13770.2 pep chromosome:AWHD00000000:1:10963849:10976935:-1 gene:ONIVA01G13770 transcript:ONIVA01G13770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKAGGDDAAAAAAAPLLVSAAGRRRRCPGCLTEERCKADAGIPYLNFFYIWVVCLCSSLPIQSLFPYLYFMIRDLKVAKEEQDIGFYAGFVGATYFLGRTISAVPWGIFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVVGPAIGGFLSQPAKKYPNLFSEESETLHMHHDDKEVIDALEAQDATSDLGETTKESGSGRMGHTKSLLKNWQLMSAITLYCVFSLHDTAYLEIFSLWAVSSRKYRGLSFTSQDVGIVLAISGFGVLVYQLAIYPLLAKYVGPIKPFRYAAVLSILLLSTYPFMANLYGLELKATITIACNILQNTAVTQEQRGVANGISVTLMSIFKAVAPAAAGILFSWAQKHITGLFLPGEQILFLMLNMVSVIGFILTFKPFFALPDMR >ONIVA01G13770.3 pep chromosome:AWHD00000000:1:10968519:10976935:-1 gene:ONIVA01G13770 transcript:ONIVA01G13770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKAGGDDAAAAAAAPLLVSAAGRRRRCPGCLTEERCKADAGIPYLNFFYIWVVCLCSYN >ONIVA01G13760.1 pep chromosome:AWHD00000000:1:10961683:10963992:1 gene:ONIVA01G13760 transcript:ONIVA01G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAKLESRLKSDKFWPFCYLTKHHRISGKAKNGLNVRMNPITDTMFSIRNRICSPGCIMTTMSQVTNHT >ONIVA01G13760.2 pep chromosome:AWHD00000000:1:10961641:10963992:1 gene:ONIVA01G13760 transcript:ONIVA01G13760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAKLESRLKSDKFWPFCYLTKHHRISGKAKNGLNVRMNPITDTMFSIRNRICSPGCIMTTMSQVTNHT >ONIVA01G13750.1 pep chromosome:AWHD00000000:1:10952964:10960311:1 gene:ONIVA01G13750 transcript:ONIVA01G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome family protein [Source:Projected from Arabidopsis thaliana (AT2G26990) TAIR;Acc:AT2G26990] MGSDADMEDYGFEYSDDEPEEQDVDIENQYYNSKGYASCPWRASGWGQLAVSRDCVREGALTSVHGLWWSRYREKKVKRRNNRRREGMVETDPEGALAGFDQVVRMEPEKAEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYTKALSIKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQVIPVQLKSCALNRADPMLFLTEELNFPEKDVEQLLVSLILDNRIQGHIDQVNKLLERGDRSKGMRKYQAIDKWNTQLKNIYQTVSNRVG >ONIVA01G13740.1 pep chromosome:AWHD00000000:1:10949022:10952004:1 gene:ONIVA01G13740 transcript:ONIVA01G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin IX monomethyl ester [oxidative] cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56940) UniProtKB/Swiss-Prot;Acc:Q9M591] MASSAMELSLLNPAAMRGLSAAKPRVVSSRRIVRFRVASSAAAPPAAKPGTPKKRGKTEIQETLLTPRFYTTDFDEMERLFNAEINKQLNQEEFDALLQEFKTDYNQTHFVRNPEFKAAADKMEGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVISELFRCLHGPTVHTEDCYCAPLLTVFVCDLLQVYVTMYLNDCQRTTFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINKKIIAIGESDDIPLVKNLKRIPHVAALVSEIIAAYLMPPIESGSVDFAEFEPQLVY >ONIVA01G13730.1 pep chromosome:AWHD00000000:1:10936622:10940923:1 gene:ONIVA01G13730 transcript:ONIVA01G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRAHFVILVLVYRLLVPLSAEPDQTRESYVVYMGGGGGAGAGVEEEAARAMHMEMLTSVAPAGDDQGRAAAALTQSYHHAFQGFAAELTEAEAAALSGHERVLSVFRDRALELHTTRSWDFLDVQSGLRSDRLGRRASGDVIIGIVDTGVWPESASFSDAGMGPVPARWRGVCMEGPDFKKSSCNKKLIGARYYGSQPGSASSSAAAGAVTATGGSPRDAVGHGTHTASTAAGAVVPGAGYYGLARGAAKGGAPASRVAVYKACSLGGCASSAVLKAIDDAVGDGVDVVSISIGMSSAFQSDFLADPIALGAFHAHQRGVLVVCSGGNDGPNPYTVVNSAPWIFTVAASSIDRSFHSTIVLGNGTLVKGIAINFSNQSITGGQYPLVFGPQVAGRYTPVSEASNCYPGSLDAQKAAGKIVVCVGTDPMVSRRVKKLVAEGAGASGLVLIDDAEKAVPFVAGGFPFSQVATDAGAQILEYINSTKNPTAVILPTEDAKDDKPAPVVASFSARGPGGLTEAILKPDLMAPGVSILAATIPTADKEDVPAGKNPSPFAIKSGTSMACPHVAGAAAFVKSAHPGWSPSMIRSALMTTATTRNNLGQAVASSTGAAATGHDMGAGEISPLRALSPGLVFDTTTRDYLNFLCYYGYKEQLVRKLAGAGAAGAAFACPRGAPSPDLIASGVNYPSISVPRLLAGRTATVSRVAMNVGPPNATYAAAVEAPPGLAVKVSPERLVFSSRWTTAAYQVSFEIASGGAGAGAGASKGYVHGAVTWSDGAHSVRTPFAVNVI >ONIVA01G13730.2 pep chromosome:AWHD00000000:1:10940516:10945674:1 gene:ONIVA01G13730 transcript:ONIVA01G13730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISSEKQVLGLPVLIATIVLGLADLAFLLMTSSRDPGIVPRNARPPESCGGGDEEGVAGDVTTPSAEWVTAASPHLRLPRSKDVVVNGCVVKVKYCDTCLLYRPPRASHCSICNNCVRKFDHHCPWVGQCIGLRNYRFFFLFISTSTLLCVYVFVVSWLNIVAHKDGNDGSLLKSMAGEPLSVVLIVYTFVSVWFVGGLTVFHLYLMSTNQTTYENFRYRYDKKENPYNRGALSNIAEVFCAGIPPSMNNFRSWVAPPPLEEPDDVSGQLPPRNGADLTGGVKEKVDLEMGRNGGIIPAILRGLDYDEMEKNDVSVHIKDRGAAPAAPDPFMAGRWHNEDCESTPTAVSHHVNSERL >ONIVA01G13720.1 pep chromosome:AWHD00000000:1:10932936:10934870:1 gene:ONIVA01G13720 transcript:ONIVA01G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific 50S ribosomal protein 5 [Source:Projected from Arabidopsis thaliana (AT3G56910) TAIR;Acc:AT3G56910] MALLLSPTVSFLASSSASPPRARALPASANVASTIPAPRLQCKNLSSQSPLNASFTKKRLVSVHASAEAGAEEAGTDQPEEPKPTVSIETMPLETKQKMIMEQRAKMKLAKKLRQRRRRLVQKRRLRKKGRWPPSKMKKLKNV >ONIVA01G13710.1 pep chromosome:AWHD00000000:1:10918355:10922276:1 gene:ONIVA01G13710 transcript:ONIVA01G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSFQSACGVDTNKIRYMIPTLDALVRFRSRLSSEPIQYPSAVLAVDSSVAHCIRLRRGGLGIMGVLGNDNIEEEHRKLPVHAVDDLNYSWHEHDKVTRMNRQTMQSCLDYLLPTTIRNLLYLDLSNCSNLVQLPDPIYLLPTAIRNLLYLDLSNCSNLVQLHAPLGSLHNLSALNLSCCYSLHTLPESLGRLHGLQILLLSFCHKLHNLPVSFGHLSNLRLLDLSGCCSLEMLPDSFVNLSNLEKLNLSDCIRLKDVPQPFDNLRKLEYLNFSGCHRMNLNVDCLRKLVNLKCLTLSHHTDIKDFPYCYQDLADCLDLSRWLKNNWVHHQCNPKATLLQSYRCHHQSMINRLLSYGSASDEDDITSEKSLTSICIVGESGMGKTELLREIYNDEMIFQGFHLRIWINMCDNKGLLEKIIEFITCAYCYDAPSSILEETVREELNGKRFLLVLDDADIENRCFWSDLWKLANLGAVGSALIVTTRSKEVAKLFGAMQTYYLRSLPSEECFMVFQEHAIIGGFHINDYPQFTKFGWMIVEKCGGNPLCMKALSGLLCHSETGLFEISSLLFEINSLGVGGGILPALRLCYDLLPSRLKQCIKFCSLFPRNYVFVKHHLVQLWISQGFINPEEDSQPEDTDHFFQNGPSRDDDHKDKFVMHELFHDLACSVSKDECFSSGEAFCSLPENICHLSVVLPDSKSVVLTKVQRHLQSLMVVKRSASEYPGSFVPLLKILGLNDLLMKCRFLRALNLSCTTIRELPSSIMKMKHLQFLALNNTKIQSLPAEIGQLQTLQTLELKYCCCLIELPESTMNLTKLRHLDVQKEPGNVHVSMPHGIGQLTDLQTLTVFNTGDDLSHCSIGDLKNLSGLRGHVHITGLQNITASDDAKEANLVGKQFLGALTLEWCWSSQDMEDDSDKEIANQVLHNLQPNTNLQELSIRNYSGNLFPNWIQDSSLSMLVSITIDDCQDCNEIPHLGDLPFLKYLLIHKMYAVESFGQRSNPLTTEGKHAPIFPSLEILNLWEMYSLQFWNGTNNGDFPRLSHLCISRCPKLTNLPPLISLLYLSFHCGDQLPAYSELPSLKSLKIESFQKLESVRFCPEMPMLQKLEISDCKELLSVDDPLLSISNLKVVRCPKLRFGSSSLESCLMWEKLQR >ONIVA01G13700.1 pep chromosome:AWHD00000000:1:10898991:10899326:1 gene:ONIVA01G13700 transcript:ONIVA01G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFFIFLFFFIFLVFLPLLPTPPWHSGVVCRTTTPCRVPSVHGGSCVGGSRFACDRRLAVSARCDVRGRCGTVKNEHGLANNSKKSQNGFHRRLQVGVADFSGKSKNG >ONIVA01G13690.1 pep chromosome:AWHD00000000:1:10890563:10893460:-1 gene:ONIVA01G13690 transcript:ONIVA01G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSPPEDGDGRPITMEGQAVAARGLVTAPGGLGAPADALVVAADGHNANTSAVAAARTLLPNAPLPAMEFNLPVLQVQIKLAMLLGEGTSSQTDTTSPVRIPTAAASKKMTPKRKLHIVQFWGICSWQLQQVQERLAAYQPSQASQCSSHGQAAAGQPSQSQAAASQPDDRLAAWEHAN >ONIVA01G13680.1 pep chromosome:AWHD00000000:1:10883186:10890233:1 gene:ONIVA01G13680 transcript:ONIVA01G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRTGEATPPPQAHAEEEPGRGKRRRVVARETRLQQQEEEEEEGASSEPETRPRPPPATPATAEEPAATAAGAEARAQLAINIRRMLAVEAERRGRIAERIGVQAEGPILTLEDTFCDIDDGSAKSQVARKVALGVSQSIVSLSSFAGRKRIRVCSGFVIWWNDSTSIGTILTSAALVRPPCGDDVRVEVFLPSGDISICQISMVDFHHNIALVEVTSNFKLQEAVILKYIIDKGDVLALGRSYEGGLLMCSRGEISNRASIFECSELLVSSCEITMAGTGGPLVNYNGHVVGINFFEENQTPFLSMAIVFKCLEHIWVAEGSPADIAGICQGDILMKCGGKFLSTAPEFGAMLMDKCKETMEEYDQETNGDFSAKRITVEIVIKRENDGSTIEKTISAGLIEEFNYNRWPTPIPSYKVRRDTIGRMWPGCGLV >ONIVA01G13680.2 pep chromosome:AWHD00000000:1:10883186:10890233:1 gene:ONIVA01G13680 transcript:ONIVA01G13680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRTGEATPPPQAHAEEEPGRGKRRRVVARETRLQQQEEEEEEGASSEPETRPRPPPATPATAEEPAATAAGAEARAQLAINIRRMLAVEAERRGRIAERIGVQAEGPILTLEDTFCDIDDGSAKSQVARKVALGVSQSIVSLSSFAGRKRIRVCSGFVIWWNDSTSIGTILTSAALVRPPCGDDVRVEVFLPSGDISICQISMVDFHHNIALVEVTSNFKLQEAVILKYIIDKGDVLALGRSYEGGLLMCSRGEISNRASIFECSELLVSSCEITMAGTGGPLVNYNGHVVGINFFEENQTPFLSMAIVFKCLEHIWVAEGSPADIAGICQGDILMKCGGKFLSTAPEFGAMLMDKCKETMEEYDQETNGDFSAKRITVEVAYTDP >ONIVA01G13680.3 pep chromosome:AWHD00000000:1:10883186:10890247:1 gene:ONIVA01G13680 transcript:ONIVA01G13680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRTGEATPPPQAHAEEEPGRGKRRRVVARETRLQQQEEEEEEGASSEPETRPRPPPATPATAEEPAATAAGAEARAQLAINIRRMLAVEAERRGRIAERIGVQAEGPILTLEDTFCDIDDGSAKSQVARKVALGVSQSIVSLSSFAGRKRIRVCSGFVIWWNDSTSIGTILTSAALVRPPCGDDVRVEVFLPSGDISICQISMVDFHHNIALVEVTSNFKLQEAVILKYIIDKGDVLALGRSYEGGLLMCSRGEISNRASIFECSELLVSSCEITMAGTGGPLVNYNGHVVGINFFEENQTPFLSMAIVFKCLEHIWVAEGSPADIAGICQGDILMKCGGKFLSTAPEFGAMLMDKCKETMEEYDQETNGDFSAKRITVEVAYTDP >ONIVA01G13670.1 pep chromosome:AWHD00000000:1:10877034:10878136:-1 gene:ONIVA01G13670 transcript:ONIVA01G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHPDLPSARLRSSRLRPSRRRLRRKVSPPPDAHTHPLPIPVGARGGDNQDNSTQAELWLVLAI >ONIVA01G13660.1 pep chromosome:AWHD00000000:1:10864530:10876715:-1 gene:ONIVA01G13660 transcript:ONIVA01G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAENTLKCFSENSDYISQCQYILDNASTPYALILASSSLRDPLSLALRCLSFDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKSDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYTERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQRYRVLSKQRLGRAILIFVQNFRRSYVGDQAMHSSKQLYARLSELLGLNDHLVLLNAIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRDSFPFLEEYRCARSRTTFYYILGCLVFMEDTPVKFRSFMEPLLQVAVNLEATADAAFWTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSLMPLLLKAISLCADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSEYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSSHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQFLLLDLDVMCNLCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFEKLMSDVARNLEPKNRDKFTKNLTTFRHDFRVKNIQA >ONIVA01G13660.2 pep chromosome:AWHD00000000:1:10864530:10876715:-1 gene:ONIVA01G13660 transcript:ONIVA01G13660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAENTLKCFSENSDYISQCQYILDNASTPYALILASSSLLNQVNDRSLSLQLRLDICNVPYQKFIMKSVVHLYDYFCIAIPDDFRRDPLSLALRCLSFDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKSDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYTERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQRYRVLSKQRLGRAILIFVQNFRRSYVGDQAMHSSKQLYARLSELLGLNDHLVLLNAIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRDSFPFLEEYRCARSRTTFYYILGCLVFMEDTPVKFRSFMEPLLQVAVNLEATADAAFWTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSLMPLLLKAISLCADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSEYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSSHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQFLLLDLDVMCNLCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFEKLMSDVARNLEPKNRDKFTKNLTTFRHDFRVKNIQA >ONIVA01G13660.3 pep chromosome:AWHD00000000:1:10864530:10876715:-1 gene:ONIVA01G13660 transcript:ONIVA01G13660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAENTLKCFSENSDYISQCQYILDNASTPYALILASSSLRDPLSLALRCLSFDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKSDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYTERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQRYRVLSKQRLGRAILIFVQNFRRSYVGDQAMHSSKQLYARLSELLGLNDHLVLLNAIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRDSFPFLEEYRCARSRTTFYYILGCLVFMEDTPVKFRSFMEPLLQVAVNLEATADAAFWTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSLMPLLLKAISLCADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSEYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSSHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFEKLMSDVARNLEPKNRDKFTKNLTTFRHDFRVKNIQA >ONIVA01G13660.4 pep chromosome:AWHD00000000:1:10864530:10876715:-1 gene:ONIVA01G13660 transcript:ONIVA01G13660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAENTLKCFSENSDYISQCQYILDNASTPYALILASSSLLNQVNDRSLSLQLRLDICNVPYQKFIMKSVVHLYDYFCIAIPDDFRRDPLSLALRCLSFDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKSDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYTERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQRYRVLSKQRLGRAILIFVQNFRRSYVGDQAMHSSKQLYARLSELLGLNDHLVLLNAIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRDSFPFLEEYRCARSRTTFYYILGCLVFMEDTPVKFRSFMEPLLQVAVNLEATADAAFWTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSLMPLLLKAISLCADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSEYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSSHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFEKLMSDVARNLEPKNRDKFTKNLTTFRHDFRVKNIQA >ONIVA01G13660.5 pep chromosome:AWHD00000000:1:10864530:10876715:-1 gene:ONIVA01G13660 transcript:ONIVA01G13660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAENTLKCFSENSDYISQCQYILDNASTPYALILASSSLLNQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKSDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYTERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQRYRVLSKQRLGRAILIFVQNFRRSYVGDQAMHSSKQLYARLSELLGLNDHLVLLNAIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRDSFPFLEEYRCARSRTTFYYILGCLVFMEDTPVKFRSFMEPLLQVAVNLEATADAAFWTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSLMPLLLKAISLCADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSEYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSSHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFEKLMSDVARNLEPKNRDKFTKNLTTFRHDFRVKNIQA >ONIVA01G13650.1 pep chromosome:AWHD00000000:1:10856844:10859698:-1 gene:ONIVA01G13650 transcript:ONIVA01G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFFFLEWREEAAERGSAPTAVAPSGEGEEGGWGREGRPQPPLPPICGRARGGRKGGSLGRGVGARRGEGRGSPDGEAPSPLRESSA >ONIVA01G13640.1 pep chromosome:AWHD00000000:1:10839792:10840490:-1 gene:ONIVA01G13640 transcript:ONIVA01G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRCVSLDATWAHLPAPPPPPQHHTWLSPAADDAITAALWASMAPSSASSYCGSAASPTPSTSTTTTSSSAASAEILAGGGARAATRPSGRVSKRKPRPSRRAHTTYITADPADFRRMVQEITGFPVPGAHTAYPSASASSAPHAAAALACVLPTLDTSAFLLDRASPPPPPPQPQPGRKNDKTPTTTMASTPPQPPPAADEAAASSLLLQELEELIGASAFPTLESWGMI >ONIVA01G13630.1 pep chromosome:AWHD00000000:1:10834293:10838253:1 gene:ONIVA01G13630 transcript:ONIVA01G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHYQTLGLRQDATKAEVKAAFRRRALRDHPDRHAHSPDAAARADAARRFRLASDAYRVLSDDRLRAEYDLRIRSSSFYGRASSSASASASASASSSSASYDYGYGYGHRRGGGTWRRPPPGGGGAASAGFDWDLLLKSVTRRGFLINLGFASVLLTGAAFLDGSILEIWKMNNSGKSFEDAMESIEKVKIQKGNR >ONIVA01G13620.1 pep chromosome:AWHD00000000:1:10822874:10824361:1 gene:ONIVA01G13620 transcript:ONIVA01G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGDGDYYRTLGIERGASKAEVKAAFYRLAPLHHPDRHAASDAAARAAAGGRFRRVYDAYTVLHSDATRAAYDHLPRTATSPPTSRGSGGAAASGSSYGRCFSRPQPPSMKLPVILFFSLVTGREQRWHREIDGLIKALIDYVD >ONIVA01G13610.1 pep chromosome:AWHD00000000:1:10818362:10822185:1 gene:ONIVA01G13610 transcript:ONIVA01G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGGGFGLGGLQWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVARAQRWPLSRTLLVTAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIVLGGSYILLFALGKGGHGHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGSVLCLVGVLTYIFHHHDGDEHSLHEHVHRKLFDQICIYRNEY >ONIVA01G13610.2 pep chromosome:AWHD00000000:1:10818362:10822267:1 gene:ONIVA01G13610 transcript:ONIVA01G13610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGGGFGLGGLQWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVARAQRWPLSRTLLVTAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIVLGGSYILLFALGKGGHGHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGSVLCLVGVLTYIFHHHDGDEHSLHEHVHRKLVGL >ONIVA01G13600.1 pep chromosome:AWHD00000000:1:10813103:10826918:-1 gene:ONIVA01G13600 transcript:ONIVA01G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGSGIGAPSAASLLPPAATHEFPVHVFMERVFVAVMVMEYVCQNPNEAQDRADKDLVVTYPKKSSGNNMKDASKCSCGNNKYNIGWKNNYKVRSLARALQRICERTLEPKRFTAASPSPATSRPNPTPPRAAMPPVVAH >ONIVA01G13590.1 pep chromosome:AWHD00000000:1:10803586:10813074:-1 gene:ONIVA01G13590 transcript:ONIVA01G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FK22] MASERPLLDASPRPTQQPPASSLLPPPQPEPPLRADRLAFSLEVPDPFRREPDPSSAASQRGEEEGGGEEESRAVVVGEPSSSEAAAGFAGNGVRTAKYSVLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDLRRHRSDRQENNRLARVLLAPPAAGEFAPKKWKHIRVGDVVRVASSETLPADMVLLATSDPSGVAHVQTVNLDGETNLKTRYAKQETQLRFSQDGGIGGVLHCERPNRNIYGFQANLEIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNSSGAPSKRSRLETQLNRETVILSIMLIGMCTTASVLAGIWILNHRGDLEFTQFFREKDYTTGKNYNYYGMGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADRDLYDESSRSKFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGVDYCSGKDSCGYSVVVDDLLWTPKMAVKIDHRLLKLLRGGGTDEETKLVLEFFLALAACNTIVPLVLDTRDSKQKLIDYQGESPDEQALVYAAASYGIVLVERTSGYVVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDKTVKLYVKGADSSLFGITKNSLDLDIVRATEAHLHKYSSFGLRTLVIGMRELSQPEFEEWQLAYENASTSVLGRGNLLRAVAANIENNIRILGATGIEDKLQDGVPEAIESLRQADIKVWILTGDKQETAISIGYSCKLLTNDMTQIVINNNSKESCKRSLEEAHATIKKLRIASTGTQSPELASESAGVTLALIVDGNSLVYILETELQEELFKVARECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMSYMILYNFYKNATFVLVLFWYVLYTAFTLTTAITEWSSLLYTVLYTSLPTIVVGILDKDLSKETLLAYPKLYGSGQRDEKYNVNLFVLNMLEALWQSLVVFYMPYFAYRQSTIDMSSLGDLWALAPVIVVNMLLAMDIFRWNWIVHAFVWGTIAATTICLFVIDSIWFLPGYGAIFHIMGTAMVPHFVIKAFTEYFTPSDIQVAREIEKFENVNQVNRSEVPMTRQPGKQLHRGGTRGIGTTGVQIPGAGTISCGGHEGGQGIPSFNPRTGCIEHWVAGMRATLFHPRLQGSTKAGPRADQSPFSSVLKPNCKPIRFSENCLLE >ONIVA01G13580.1 pep chromosome:AWHD00000000:1:10802175:10806565:1 gene:ONIVA01G13580 transcript:ONIVA01G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRQGDDPAIKLFGRTIPLLLDPAAAAAAADEVMPNLGNGVKTNNDLPLVSDKLLIVKGIPFCPNNSKKNDLQGISRPDGRIEIDSMTEDVKTEPDGSVPEKILKKPDKILPCPRCNSMETKFCYFNNYNVHQPRHFCRNCQRYWTAGGAMRNVPVGAGRRRNKHVSKYCQAMMTCNNTVAPGDVSDVVHHQVITHGSSLLPATLKENETPTEFISEVPPCKSSASILDIGEPNDTDLVPLASGDNKEEKSCASSVVVSSCSENLMPDNAIMKEPNNRSGCCNGVALPFPTGPALVLPWSLGWNSVALMPATQCSMQPVLGLKDGIPCPPSWPPQLMVPAPGICTPVVPIPLVPPLWSCFPGWPNGMWNAQCPGGNTTVLPSTAPNKISCSGSSSLVLGKHSREESLQEEEKTRNYLWVPKTLRIDDPAEAAKSSIWATLGIKPDDKGIFKSFQPNVAKNGTAPESPQALQANPAAFSRSQSFQETT >ONIVA01G13570.1 pep chromosome:AWHD00000000:1:10795790:10796342:-1 gene:ONIVA01G13570 transcript:ONIVA01G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHKSVVRIFRAWLTKCEKARQRQRARHCLRASSGSAVVASSFARVPPLAARRAHAGVLRPTTCCCADEEEDARPASLTGLPPPPELRRAGVLPLPRSDLLRCYADKEVEARLARSTGWPPPRASAASPELHRWPTGERM >ONIVA01G13560.1 pep chromosome:AWHD00000000:1:10779919:10780374:-1 gene:ONIVA01G13560 transcript:ONIVA01G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAAKARCSVPFILAALAALSCVFLVHGLYGLIELFGSPELSPEAMLLIFALCFLLLAAAAAAAAVTTTTTSVVGYRRALLPREAAAVVMPTTSTAEDAAVVGMAGVEGELLVVADEAAAAAARRMDMQTTQDYPSSGANSRHDPRNPH >ONIVA01G13550.1 pep chromosome:AWHD00000000:1:10771253:10777865:-1 gene:ONIVA01G13550 transcript:ONIVA01G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin-binding protein [Source:Projected from Arabidopsis thaliana (AT5G56360) TAIR;Acc:AT5G56360] MGLHALLLLLLLRISASAAASRPPLDTLGIPPQDEAYFRGGVIRCRDGSGRFARDKLNDDFCDCPDGTDEPGTSACPEGKFYCQNAGHSPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAARDKLKKKVATYKSGVVIRNQEIQKAKVAFAKDEAELAKLKGEEKILQGLVDKLTEQKKLIEKAEEEERLRKEKEEKRMKEEAEKQAADEKKASDASQEVDSQENHETVQEDESKVAEHHDGHATSHDNHTPESESSVEQHDPESQDDISIKAAPADESPPEETSAAPTKEQESTPADSEGLSREELGRLVASRWTGEKVDEVSKDDKNEHEAEHDMPEHSEETHEDESDVPESAEDSYAGYHSEVEDDRHKYDDEDFSHESDDEYVDDHDEHVASYKSDDDQKGDDHSDFTASGQASWLDKIQQTVQNVLRTFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSRISTLTDKLKHDFGKEKEFYYFYDQCFESKEGKYVYKVCPFKKASQVEGHSTTSLGRWEKFEESYRVMQFSNGDRCWNGPDRSLKVRLRCGLNNELNGVDEPSRCEYVAVLSTPALCDEQKLKELEQKLEASSNQRDHDEL >ONIVA01G13550.2 pep chromosome:AWHD00000000:1:10771253:10777865:-1 gene:ONIVA01G13550 transcript:ONIVA01G13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin-binding protein [Source:Projected from Arabidopsis thaliana (AT5G56360) TAIR;Acc:AT5G56360] MGLHALLLLLLLRISASAAASRPPLDTLGIPPQDEAYFRGGVIRCRDGSGRFARDKLNDDFCDCPDGTDEPGTSACPEGKFYCQNAGHSPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAARDKLKKKVATYKSGVVIRNQEIQKAKVAFAKDEAELAKLKGEEKILQGLVDKLTEQKKLIEKAEEEERLRKEKEEKRMKEEAEKQAADEKKASDASQEVDSQENHETVQEDESKVAEHHDGHATSHDNHTPESESSVEQHDPESQDDISIKAAPADESPPEETSAAPTKEQESTPADSEGLSREELGRLVASRWTGEKVDEVSKDDKNEHEAEHDMPEHSEETHEDESDVPESAEDSYAGYHSEVEDDRHKYDDEDFSHESDDEYVDDHDEHVASYKSDDDQKGDDHSDFTASGQASWLDKIQQTVQNVLRTFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSRISTLTDKLKHDFGKEKEFYYFYDQCFESKEGKYVYKVCPFKKASQVEGHSTTSLGRWEKFEESYRVMQFSNGDRCWNGPDRSLKVRLRCGLNNELNGVDEPSRCEYVAVLSTPALCDEQKLKELEQKLEASSNQRDHDEL >ONIVA01G13540.1 pep chromosome:AWHD00000000:1:10762805:10767632:-1 gene:ONIVA01G13540 transcript:ONIVA01G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FK16] MAVMEEQQEGAAGVMRRRPKTKIVCTLGPASRSVEMIGRLLRAGMCVARFNFSHGSHEYHQETLDNLRAAMESTGILCAVMLDTKILDLLKIQGPEIRTGFLKDGKPVQLKKGQEITVSTDYSIKGDDNMISMSYKKLAVDLKPGSVILCADGTITLTVLHCDKEQGLVRCRCENTAMLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGKHAKSIMLMSKVENQEGVANFDDILAQSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICLQAESCVDHAAVFKSITASAPIPMSPLESLASSAVRTANSAKAALILVLTRGGTTARLVAKYRPSMPILSVVVPELKQTDSFDWTCSDEAPARHSLIVRGVIPMLSAATAKAFDNEATEEALGFAISNAKAMGLCNSGESVVALHRIGTASVIKLLTAN >ONIVA01G13530.1 pep chromosome:AWHD00000000:1:10758199:10760453:1 gene:ONIVA01G13530 transcript:ONIVA01G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAAGGLYYCHMCASTVSAVAAAEGEVEIKCPYCHSGFVEEIESARGVATGGGGAISSVWAPIIDGMVGGGGGDAVRRHRRSRRLADAAGADDGYYRDLALLDFSESRRRTAALLLLMQEFRERQLQRLESATATISAAAAEAGAVVGTSRDAEGVALADYFLGPGLDALMQRVGDGDAGRQGTLPAKKEAVESMPTVEVAAGGDCDSACAVCLEDYAAGERATEMPCRHRFHAKCIVPWLKMHSSCPVCRFQLPTDDDDDSSKSARGGAAHSGGGRRLSQPAPRVDGGGLGRLPAVMQELRSILSQPSPASTSGSSSHAQQHSDE >ONIVA01G13520.1 pep chromosome:AWHD00000000:1:10753068:10757444:-1 gene:ONIVA01G13520 transcript:ONIVA01G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine biosynthesis bifunctional protein (HISIE) [Source:Projected from Arabidopsis thaliana (AT1G31860) TAIR;Acc:AT1G31860] MAAPPLPRAPVSSSAAAASPGGRALLLLRVGGGGSGRCTGVAAAAAPGWRRPFPAASVAARSVGTTPGEVAVDPKVEAILDSVKWDSKGLAVAIAQNVDTGAILMQGFANKEALATTISTRKATFYSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGAETCYYTSVYDALQGSKPNQDRQVVSTLYSLEDTISRRKEEIVTEGSGKPSWTKKLILDNRLLCSKISEEAGELNQTLLENEDESRTISEMGDLLYHAMVLLRVKGVRMEQVLEVLRKRFSQSGIEEKASRNKS >ONIVA01G13510.1 pep chromosome:AWHD00000000:1:10750852:10752162:-1 gene:ONIVA01G13510 transcript:ONIVA01G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin-1 [Source:Projected from Arabidopsis thaliana (AT1G08700) TAIR;Acc:AT1G08700] MALVVLLISLLAPPSAPGSAAAAAQPPPPVTAATLVYLESPTDTPGQKLVGALLDAAVFVALVAVVTFVLVALYYYRCTGFLKNYMRFSAFFVLFSMGGAIAAAVLRRLGAPLDAATALVLLFNASAVGVLSVFASAVPIVVRQGYMVALAVIVAAWLSRLPEWTTWVMLIALALYDLVAVLAPRGPLRMLVELASSRDDELPALVYESRPTVGPASGSSSYASAMGSVEMQPVADPGRSGGNQYDRVEQEDDSSRAAVEMRDVGGSRSSIRERNLEREAPMAVSVSGHSSNQGGSSQHAVIQIEQHEEGETVPLVSAASANNAAPNEEHRESSSSDSGMEFEMFESTRGIKLGLGDFIFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICKHALPALPISILLGVTFYFLTRLLMEPFVVGSSTNLVMF >ONIVA01G13500.1 pep chromosome:AWHD00000000:1:10747752:10748811:1 gene:ONIVA01G13500 transcript:ONIVA01G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQKSREERAEAAAHRAADELHAARRDEPGGGGGGMLGTVQESARSLLGAVRDKIPGPGSGGAGAGAAAGEGKAAEAKGFAADKAEGARRALAGSAAARKGETDESAWQHGEDVRRRAAEKAEEARRRSEPQPSSEEKGRSATENIYGSAASAAEAFRQKMTMPEDVVEQKRAEAAAGGNKGTAAATATATNTGGEAAAEEVMMRVKAADQMTGQAFNDVGKMGEEGTGMAAGDGGRRR >ONIVA01G13490.1 pep chromosome:AWHD00000000:1:10739050:10743675:-1 gene:ONIVA01G13490 transcript:ONIVA01G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVSHASAAAPPPPPTGYAPFVDPAAAAAPPPAEKDAAADEEKVDYMNLPCPVPYEEIQREAFMSLKPDVFEGLRFDFTKMLNPFFGLSHSGFGCIVTILVRLSVSMGSMELPSQGADVIKVPTSNYEFGANFMDPKMMLIGRVSHDGRVTARVKCDLLENLCLKINAQLTNEPHYSQGMFSFDYKGKDFRSQFQLGNNAFYGGNYIQSVTKNLSLGTEAFWLGQQRKSGVGFVARYDTKKMVATGQIATTGLVSLSYVQKVSEKVSLASDFMYNHMAKDVTASFGYDYMLRQCRLRGKIDTNGVVSALLEERLTPGVNFVLSAELDHWKKDYKFGFGMVLGE >ONIVA01G13480.1 pep chromosome:AWHD00000000:1:10733298:10738478:1 gene:ONIVA01G13480 transcript:ONIVA01G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0FK09] MGEDAGEKVSPSTILSSSSSPFPSISLSLLLLAADRRRRRESPSPSEGGRGGMALRRLLQGSVLPRMAGRAAAAPFSTASGETVRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTNGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIVYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALMLSAVMMLRHLQFNNQADRIHNAILQTISEGKFRTADLGGKASTSDFTKAVCDHI >ONIVA01G13480.2 pep chromosome:AWHD00000000:1:10733324:10738478:1 gene:ONIVA01G13480 transcript:ONIVA01G13480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0FK09] MALRRLLQGSVLPRMAGRAAAAPFSTASGETVRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTNGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIVYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALMLSAVMMLRHLQFNNQADRIHNAILQTISEGKFRTADLGGKASTSDFTKAVCDHI >ONIVA01G13470.1 pep chromosome:AWHD00000000:1:10726111:10729107:-1 gene:ONIVA01G13470 transcript:ONIVA01G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKAKLVIISNNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTAFTSQPKFRGNIVLGLENFVPGPNHVFN >ONIVA01G13460.1 pep chromosome:AWHD00000000:1:10712149:10719910:-1 gene:ONIVA01G13460 transcript:ONIVA01G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDPSCSCRFLLWFLCFVACVLLESAASVHSWCPLHPSLRQEAKFKQKTNKFWEYQEQSNTWVEISMPFNLMSCINDTCTKVGSIEQPERRHGRASISSQEEKDAEIDDNDQADRNDPVLPIRKRISLTRMSESSVWVTGQSGSIYERFWNGLAWVIAPHELPISVGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEVIFNFEQQFIVLGEKTQSQAMHIRNGIVSYDGRKLFLSITNGSLVEVTELQPLRWTYHGHPPGGDVSYISDAGNARPGTVFTVSSTGDLYEFDRESRPSWKKHIWSEETAENVSLSSSVGCALHGLLGSNSVSLFLITKDGLLVERRLHRRKWKWYKHGAPKSQRLSSITEVQQDESNDATSMYFTTTTGKVFEYQFPKYTGGAQSNKIRGLWVNHMSPENTKVARNVRGVQVQVGRVIFPLDDGRLGELHLPGMGGDDFGPSQHYIMRRKVPNKYEWSILDAPETEGWNAEYCTEEHGPTNCITGAKNVAADTKSNDLSNIPPSRWRKEDKQQYLYVNTHERDEIESYNFLSRSIDINFHMRVMRADRSLFLITDNGLTFEYLNNNGVWLWLRHEHTTSMKGTVGSYNGSLYLVDVHGNLHIRERNGDDLLWINCTAMRKGRQVASGSPWDGIPGLPRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCQSPPDTKIAFIVDQEVFRRNVIFAVGRNGRLYQYNRITELWHKHYQSPHLVLSRSPGTAMRPSHLSLAGSIFMVSEHGGLVEYHFSPQDGWEWVEHGTPHRDVAIVGAPGPCFDGSQLFIIGSDGDVYRRHLENWTWRWTSHGHPSAPSAVAMNAAGGDKSCATPGSAADAHYADGFVGSCDEKVAAARPVPFSEDAVVFELRDGRLAELRRGADGCGGGWEWARIISTPASACMTSYWTAVAT >ONIVA01G13450.1 pep chromosome:AWHD00000000:1:10712079:10721324:1 gene:ONIVA01G13450 transcript:ONIVA01G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHTKNFSDPASTAAAAAPPGGGLRGDGRPVAGHAGAGRRADDPRPLPPAAAPVRSSPQLRQLQPRVHDQKKKLRRMKYGKDPLLYLLSCSSKQIGMQCK >ONIVA01G13450.2 pep chromosome:AWHD00000000:1:10712079:10720911:1 gene:ONIVA01G13450 transcript:ONIVA01G13450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHTKNFSDPASTAAAAAPPGGGLRGDGRPVAGHAGAGRRADDPRPLPPAAAPVRSSPQLRQLQPRVHDQKKKLRRMKYGKDPLLYLLSCSSKQIGMQCK >ONIVA01G13450.3 pep chromosome:AWHD00000000:1:10712079:10720911:1 gene:ONIVA01G13450 transcript:ONIVA01G13450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHTKNFSDPASTAAAAAPPGGGLRGDGRPVAGHAGAGRRADDPRPLPPAAAPVRSSPQLRQLQPRVHDQKKKLRRMKYGKDPLLYLLSCSSKQIGMQCK >ONIVA01G13440.1 pep chromosome:AWHD00000000:1:10696002:10707289:-1 gene:ONIVA01G13440 transcript:ONIVA01G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRGFPRSRACGAAFSGGGSEEKRAERAWMPGEFNGSGDGVNIRRLGLPAWRRLSRRIVRSFGFLAPSPSVGLNAVRSGGWVGGLHRSIRRKCRCHVYRERGTTLGETNCCHLQVGPDLPPGPTVSEYVSPPGYHRQAGKKADCSASTATQVDDTWGQKPSWSTRQRCPSSKADGFGWVVEENTGVEGGEPRGIGQRFDSFGELRSVHMESNSGEIEELPPPPPLPPNAEPIKTDDTKKLSKPKRALMARSGCGKKGQPIQLLTNHFKVSLKAADEFFHHYYVNLKYEDDRPVDGKGIGRKVLDKLQQTYASELANKDFAYDGEKSLFTIGALPQVNNEFTVVLEDFNTGKSSANGGSPGNDSPGNDRKRVRRSYQTKTFKVELNFAAKIPMSAIAQALRGQESENTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATQSGLSLNIDVSTTMIVKPGPVVDFLLANQKVDHPNKIDWAKAKRALKNLRIKTSPANTEYKIVGLSERNCYEQMFTLKQRNGDGEPEGVEVSVYEYFVKNRGIELRYSGDFPCINVGKPKRPTYFPIERSSLVEKSRQKPEERMSVLSDVLKRSNYDSEPMLNSCGISIARGFTQVAGRVLQAPKLKAGNGEDLFARNGRWNFNNKRLIKASSIEKWAVVNFSARCNIRDLVRDIIKCGGMKGIKVEDPFDVIEEDPSMRRAPAARRVDGMIDKMQKKLPGQPKFLLCVLAERKNSDIYGPWKRKCLAEFGIITQCVAPTRVNDQYITNVLLKINAKLGGLNSLLQIETSPSIPLVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSREWPLVSKYRASVRSQSPKLEMIDGLFKPQGAQEDDGLIRELLVDFYTSTGKRKPDQVIIFRDGVSESQFTQVLNIELDQIIEACKFLDENWSPKFTLIVAQKNHHTKFFVPGSQNNVPPGTVVDNAVCHPRNNDFYMCAHAGMIGTTRPTHYHILHDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVSQFIKFDEMSETSSSHGGHTSAGSAPVLELPRLHNKVRSSIYKLS >ONIVA01G13440.2 pep chromosome:AWHD00000000:1:10699187:10707289:-1 gene:ONIVA01G13440 transcript:ONIVA01G13440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRGFPRSRACGAAFSGGGSEEKRAERAWMPGEFNGSGDGVNIRRLGLPAWRRLSRRIVRSFGFLAPSPSVGLNAVRSGGWVGGLHRSIRRKCRCHVYRERGTTLGETNCCHLQVGPDLPPGPTVSEYVSPPGYHRQAGKKADCSASTATQVDDTWGQKPSWSTRQRCPSSKADGFGWVVEENTGVEGGEPRGIGQRFDSFGELRSVHMESNSGEIEELPPPPPLPPNAEPIKTDDTKKLSKPKRALMARSGCGKKGQPIQLLTNHFKVSLKAADEFFHHYYVNLKYEDDRPVDGKGIGRKVLDKLQQTYASELANKDFAYDGEKSLFTIGALPQVNNEFTVVLEDFNTGKSSANGGSPGNDSPGNDRKRVRRSYQTKTFKVELNFAAKIPMSAIAQALRGQESENTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATQSGLSLNIDVSTTMIVKPGPVVDFLLANQKVDHPNKIDWAKAKRALKNLRIKTSPANTEYKIVGLSERNCYEQMFTLKQRNGDGEPEGVEVSVYEYFVKNRGIELRYSGDFPCINVGKPKRPTYFPIERSSLVEKSRQKPEERMSVLSDVLKRSNYDSEPMLNSCGISIARGFTQVAGRVLQAPKLKAGNGEDLFARNGRWNFNNKRLIKASSIEKWAVVNFSARCNIRDLVRDIIKCGGMKGIKVEDPFDVIEEDPSMRRAPAARRVDGMIDKMQKKLPGQPKFLLCVLAERKNSDIYGPWKRKCLAEFGIITQCVAPTRVNDQYITNVLLKINAKLGGLNSLLQIETSPSIPLVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSREWPLVSKYRASVRSQSPKLEMIDGLFKPQGAQEDDGLIRELLVDFYTSTGKRKPDQVIIFRDGVSESQFTQVLNIELDQIIEACKFLDENWSPKFTLIVAQKNHHTKFFVPGSQNNVPPGTVVDNAVCHPRNNDFYMCAHAGMIGTTRPTHYHILHDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVSQFIKFDEMSETSSSHGGHTSAGSAPVLELPRLHNKVRSSMFFC >ONIVA01G13440.3 pep chromosome:AWHD00000000:1:10699187:10706286:-1 gene:ONIVA01G13440 transcript:ONIVA01G13440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRERLGLCCLVRFLVVVGFFGEERRADSVGIGQRFDSFGELRSVHMESNSGEIEELPPPPPLPPNAEPIKTDDTKKLSKPKRALMARSGCGKKGQPIQLLTNHFKVSLKAADEFFHHYYVNLKYEDDRPVDGKGIGRKVLDKLQQTYASELANKDFAYDGEKSLFTIGALPQVNNEFTVVLEDFNTGKSSANGGSPGNDSPGNDRKRVRRSYQTKTFKVELNFAAKIPMSAIAQALRGQESENTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATQSGLSLNIDVSTTMIVKPGPVVDFLLANQKVDHPNKIDWAKAKRALKNLRIKTSPANTEYKIVGLSERNCYEQMFTLKQRNGDGEPEGVEVSVYEYFVKNRGIELRYSGDFPCINVGKPKRPTYFPIERSSLVEKSRQKPEERMSVLSDVLKRSNYDSEPMLNSCGISIARGFTQVAGRVLQAPKLKAGNGEDLFARNGRWNFNNKRLIKASSIEKWAVVNFSARCNIRDLVRDIIKCGGMKGIKVEDPFDVIEEDPSMRRAPAARRVDGMIDKMQKKLPGQPKFLLCVLAERKNSDIYGPWKRKCLAEFGIITQCVAPTRVNDQYITNVLLKINAKLGGLNSLLQIETSPSIPLVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSREWPLVSKYRASVRSQSPKLEMIDGLFKPQGAQEDDGLIRELLVDFYTSTGKRKPDQVIIFRDGVSESQFTQVLNIELDQIIEACKFLDENWSPKFTLIVAQKNHHTKFFVPGSQNNVPPGTVVDNAVCHPRNNDFYMCAHAGMIGTTRPTHYHILHDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVSQFIKFDEMSETSSSHGGHTSAGSAPVLELPRLHNKVRSSMFFC >ONIVA01G13430.1 pep chromosome:AWHD00000000:1:10694225:10694413:1 gene:ONIVA01G13430 transcript:ONIVA01G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKPKLLECQAGAAVAAAAQGPPSPPCLHRGRRRAGAAVTAVPSTVPSAWPPRRPDREKG >ONIVA01G13420.1 pep chromosome:AWHD00000000:1:10685082:10695959:-1 gene:ONIVA01G13420 transcript:ONIVA01G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPKKLPMSRKGFGTRGQSIQLLTNYFRVSVRRMDGHFYHYHVEVKYEDGGPVEAKGVCRRVVDKLQETYASELAGREFAYDGEKGLFTAGALLQTKHQFVVVMEDASSSGRTTTRRSPGGDDGSPGGSDRKRMKRPMAVKKFMVEISFAAKVPMSAIAEVLRGQETENSMEALRVLDITLRQHSVKHTQIRSNKNQHSQITAVYLYESDEITGVGGRGDEHLQRRPRRARGAALATCPHGGRGDVHLRRRLTPVAVATAPAAAAEGGVGDVPLLRRRQRPPAVARAPAAAALPVERVDVSTTMIVRPGPVIEFLLFNQNIKNPHEIDWGKAKRALKNLRIKTTHTGSEFRIIGLSEDTFYSQTFQIKRKNGNDGSDTVEEVTVFEYYRKNWKIDLKGSAHFTCLNVGKPKRPTYIPLELCHLVPLQRYKKALSTLQRSTLVERSRQNPQERMFVLSGVLRDSDYNSVPMLRECGISIAQEFTQVAARVLPAPKLKSGDGEDIFARNGRWNFNKNCNAHHLAQRLIHCGNLKGLVSSSSTQSCAFSADSGPWKRMCLVKYGIVTQCLAPTKINDQYLTNVLLKINAKGVTNGLLQQFSRTQARKKYHFQFCHLNFRDGVSEGQFNQVLNIELAQIIKACEFLANEKNDSEWSPKFTVIVAQKNHHTKFFQTDRSNKVVNVPPGTVVDKGICHPRNCDFYMCAHAGMIGTTRPTHYHVLHDENNFTPDDLQELVHNLSYVYQRSTTAISGVAPICYAHLAAAQVSQFVRLDDAASEGSGDGGAPPRPVPELPRLHPDVRQSMFFC >ONIVA01G13410.1 pep chromosome:AWHD00000000:1:10674651:10675163:-1 gene:ONIVA01G13410 transcript:ONIVA01G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWGCADSPAVTVVEVTARRGRRAVRKRRVVEIDADSQQASGGSRGGCRRRGGRVLGAGGVHYLTEEQHACGYMSDAERHPGGGFVVDSDDEASGGVPNSDLPLGSRFVLDSEDEVCGGDPESELPPEGTFVPDSEGEEYDAVPNSELPPGGGFVPDFEDETCGGDPDS >ONIVA01G13400.1 pep chromosome:AWHD00000000:1:10645857:10646963:-1 gene:ONIVA01G13400 transcript:ONIVA01G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEMMGGFFGHPPPPPATAAVGEEEEEVVEETEEGGHGGGVQGKLCARGHWRPAEDAKLKDLVAQYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMAAHRAYGNKWALIARLFPGRTDNAVKNHWHVLMARRHREQSGAFRRRKPSSSSASPAPAPAPAPPPPPQPVVALHHHHHRYSQQYSGYSGAAESDESASTCTTDLSLSSGSAAAAAAAAAAAANIPCCFYQSTPRASSSSTAACRAPRVAAAADTVAFFPGAGYDFAAAPHAMAPAAASTFAPSARSAFSAPARRGEPPGAVDQRGGAHATTDSHTIPFFDFLGVGAT >ONIVA01G13390.1 pep chromosome:AWHD00000000:1:10623849:10624407:-1 gene:ONIVA01G13390 transcript:ONIVA01G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCRRGVGGEPAIGRDERRRRGFLTPLSLSAPAVPPLRRAPVALPLPPPHCPTVMPPCRSCHSRRAPTAPPLPLSYYRQAHEALSISLAMRIKREEKVPRKRHVDAIWNDDQVNSPRKCHGGQNHRGSRFAMVLKVRGWVIPGFAVEGCDSTRHKS >ONIVA01G13380.1 pep chromosome:AWHD00000000:1:10610672:10611658:-1 gene:ONIVA01G13380 transcript:ONIVA01G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEPAVDMARFCLHLLFLSTPAPTSTHHEWQPREDAAAGEASRASSANRAGAAAGQDEAAGEDAAAAAAGEDAAGGEEDSRASPANRASSAAPAAAADPRSALTAATAAPAAPAAFSASEATEAAAATAAQEAAEAAAATEVKADLANGAGTAGPAGTAATVGTAGRAVPRCVNGGVVGGAEAICIGRRRRRRRRSLIRYEDTLDSTTTVDAAVVALHLYLNLVSRSTVRSSVLCGLRVLNSFML >ONIVA01G13370.1 pep chromosome:AWHD00000000:1:10602373:10604472:-1 gene:ONIVA01G13370 transcript:ONIVA01G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKQSQFKGQGKKKTIPPNRHGKAPHVRKGKRAVKPTKFTKDMDADKELTKFINQCNEKKAASLASKEGGDLSILKADVDPSNSN >ONIVA01G13360.1 pep chromosome:AWHD00000000:1:10594195:10598708:1 gene:ONIVA01G13360 transcript:ONIVA01G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E1 C-terminal related 1 [Source:Projected from Arabidopsis thaliana (AT5G19180) TAIR;Acc:AT5G19180] MSSPDEDPPTEPERWRDLDMLLSRPGNLVHADFNPSPGLRDSLGSLVEVLVVGAGGLGCELLKDLALSGFKNIHVIDMDTIDVSNLNRQFLFRVQDVGKSKAEVAAKRVMERVSGVNIVPHFCRIEDKEIEFYSQFSIIVLGLDSIEARSYINSVACGFLEYDSDDKPIPETLKPMVDGGTEGFKGHARVIIPGTTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWNEALKRAELFGISGVTYSFTQGVVKNIIPAIASTNAIVSAACALEALKLISGCSKTVSNYLTLETLNMVLFEVHYFSLTICRYNGLDGTHINVSEFAREKDCLVCGPGTLIELGTSTTLSEFIKMLEEHPKLLMSRASVTHEGDNLYMQAPEVLEQMTRPNLGVPMFELLKGAARTTVHVTGMAENNGKKVSSLRKLRVTFKGVEESSKMDESS >ONIVA01G13350.1 pep chromosome:AWHD00000000:1:10587276:10591202:-1 gene:ONIVA01G13350 transcript:ONIVA01G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease R subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G17040) TAIR;Acc:AT4G17040] MEAAAAFSPPRVSPDARAMFATLPASPSPHLRLAARPRALSASAPTTAAVAATKQRFLAPPRPDPAAPGGCGGGGARDVVAMVVPFLRGTAWQQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYDDAEKPIYLYINSTGTTKNGEKLGYETEAFAIYDAMRYVKVPIFTLCVGNAWGEAALLLAAGAKGNRAALPSSTIMIKQPIGRFQGQATDVDIARKEIRNVKIEMIKLLSRHIGKSVEEIAQDIKRPKYFSPSEAVDYGIIDKVLYNEKSQEDGGVVSELKRSNLI >ONIVA01G13340.1 pep chromosome:AWHD00000000:1:10581923:10585418:-1 gene:ONIVA01G13340 transcript:ONIVA01G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLAFPQDSPPLAILCAAKVAGVSLTLDPKLASGSAPTLHLGSGDFIHGVNTILRYIARVASVTSFYGQDAIQAAYVDQWLDYAPVILSGSEFEAACSFLDGYLASRTFLVSYGLSIADIVVWSNLAGTGQRWESLRRSKKYQNLVRWFNSIADYSDTLDEVVSAYVGKRGIGKSPAPSLKEKLPDSKQNISTPEVDLPGAKVGEVCVRFAPEPSGYLHIGHAKAGLLNKYFAERYKGRLIVRFDDTNPSKESNEFVENVLKDVETLGIKYDIVTYTSDYFPQLMEMAENLIKQGKAYVDDTPKEQMRSERMDGVESKCRNNTVQDNLSLWKEMINGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRIGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQEMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALVQFILEQGASKNLNLMEWDKLWTINKKIIDPVCGRHTAVLKDQRVLFTLTNGPEEPFIRVLPRHKKYEGAGKKATTFTNKIWLESADASVISIGEEVTLMDWGNAIIKEIKTQNGIITELLGELHLEGSVKMTKLKLTWLPDIEDLVSLLLVEFDYLIKKKKLEEDDNFLDNLNPCTCQEFPALGDANMRNLKQGEIIQLERKGYYRCDAPFIRSSKPIVLFAIPDGRQKSATN >ONIVA01G13330.1 pep chromosome:AWHD00000000:1:10578691:10583079:1 gene:ONIVA01G13330 transcript:ONIVA01G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT5G63000) TAIR;Acc:AT5G63000] MAASAPPPAEPPPPPPPPPAATNPPASTAPTEDGEVEEGATPPRTSALGTANWGTATLVGVFAGLLYGGSKEASASVSKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLVGGVRLGMFTATFFGIQNLLIEKRGVHDVFNIAGAGSATAAAFGLILPGSPMWRARNVLVGSALGAGVCFPLGWIQLKLAEKANLEMASSKPTDLVEEQGNQSGVGAAIERLGRSLKKPQEEPSNSTNKRDTRSSISGSHSNFLTDANN >ONIVA01G13330.2 pep chromosome:AWHD00000000:1:10578691:10583211:1 gene:ONIVA01G13330 transcript:ONIVA01G13330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT5G63000) TAIR;Acc:AT5G63000] MAASAPPPAEPPPPPPPPPAATNPPASTAPTEDGEVEEGATPPRTSALGTANWGTATLVGVFAGLLYGGSKEASASVSKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLVGGVRLGMFTATFFGIQNLLIEKRGVHDVFNIAGAGSATAAAFGLILPGSPMWRARNVLVGSALGAGVCFPLGWIQLKLAEKANLEMASSKPTDLVEEQGNQSGVGAAIERLGRSLKK >ONIVA01G13330.3 pep chromosome:AWHD00000000:1:10578551:10583211:1 gene:ONIVA01G13330 transcript:ONIVA01G13330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT5G63000) TAIR;Acc:AT5G63000] MPWALPPGPITNGESPAHSAKPQHAHFASFSPIPLPHLRLASTGRDLAAGAAPPPLRPTSSDLREDGEVEEGATPPRTSALGTANWGTATLVGVFAGLLYGGSKEASASVSKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLVGGVRLGMFTATFFGIQNLLIEKRGVHDVFNIAGAGSATAAAFGLILPGSPMWRARNVLVGSALGAGVCFPLGWIQLKLAEKANLEMASSKPTDLVEEQGNQSGVGAAIERLGRSLKK >ONIVA01G13330.4 pep chromosome:AWHD00000000:1:10578620:10582527:1 gene:ONIVA01G13330 transcript:ONIVA01G13330.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT5G63000) TAIR;Acc:AT5G63000] MAASAPPPAEPPPPPPPPPAATNPPASTAPTEDGEVEEGATPPRTSALGTANWGTATLVGVFAGLLYGGSKEASASVSKDAEVMLKMGSTTDKREQYRLMRDAMEKRFIRVAKGSLVGGVRLGMFTATFFGIQNLLIEKRGVHDVFNIAGAGSATAAAFGLILPGSPMWRARNVLVGSALGAGVCFPLGWIQLKLAEKANLEMASSKPTDLVEEQGNQSGVGAAIERLGRSLKK >ONIVA01G13320.1 pep chromosome:AWHD00000000:1:10563021:10564499:1 gene:ONIVA01G13320 transcript:ONIVA01G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVGHCRELSPPGGGVRGRHCRLRSVTQLDCPVFDDHDLDLDRRERNGHAGLSPRRSLSSPCFSTVVPPAADRAEPARDDGEKMMPRVEIVAGGHARGVHELIAEAAGAIATGTRLVPAQGGIGGALLLEDGRSVDHVAVIKPLLNDASSPSHGGGGGYASKAVLREVAAFLLDHDGFARVEPTALIKISRPAMPTTTASIQRFAAHECDAGELGPSRFSVASVHRIGILDVRLLNIDRHAGNILVKKSPESECASGGSTLTPLDLVPIDHGLCLPEQLDDPYFEWLHWPQSSLPFSGAELEYVASLDPFRDAAMLRAELPSLTEAAIRILTLCTIFLQRAAAAGLCLADIGDMMTREFSAMEEGLSALESLCKNAYDSSTTTAGSSPRKHHHSDDSDDESTQFGMDDVPAGLPPHLFLLGGGGIAKSVSFSAAEQGAAGRGAARKRMSFKALSGDEWAAFLDRFEQLLPAALDAKKRAGLKLTRLGTSF >ONIVA01G13310.1 pep chromosome:AWHD00000000:1:10558601:10561166:-1 gene:ONIVA01G13310 transcript:ONIVA01G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGSDERQMRPVVYVPSLFLVRARQSLWSAVAATGDRQRGGSNVDDRRLEEEARGAEGKEMGRPASRAGMPARRLVSARRGRRQGGRRALEEGRGKQRCQRCWGGGRRARRCHADAGWRNTEIQPCQQTGAPAGECTSTSSLRGSTPSSSATAGYRLYSRSMDVCKLVVNDGFGPALPSGGALPERDVYDTDQYMLALIYHTRMRRYECLTGESMARKKIRDAWSKLSPPPPDLSDTHDTRRHRSRRAPSPSPASKLPPPPPLPPRPGGLVPSSAARLA >ONIVA01G13300.1 pep chromosome:AWHD00000000:1:10553493:10556044:1 gene:ONIVA01G13300 transcript:ONIVA01G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39040) TAIR;Acc:AT2G39040] MDLAWWFAVAVVVCGLVGGGSAGLLETNPGLAYNFYQKSCPNVDSIVRSVTWAQVAANPALPGRLLRLHFHDCFVQGCDASILLDNAGSEKTAGPNLSVGGYEVIDAIKTQLEQACPGVVSCADIVALAARDAVSYQFKASLWQVETGRRDGPVSLASNTGALPSPFAGFSTLLQSFANRGLNLTDLVALSGAHTIGKASCSSVTPRLYQGNTTSLDPLLDSAYAKALMSSCPNPSPSSSTIDLDVATPLKFDSGYYTNLQKKQGALASDAALTQNAAAAQMVADLTNPIKFYAAFSMSMKKMGRIDVLTGSKGNIRKQCRSAS >ONIVA01G13290.1 pep chromosome:AWHD00000000:1:10539586:10542876:-1 gene:ONIVA01G13290 transcript:ONIVA01G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FJY2] MCVAATTRPASSSAAAPLPLFLLLAVAAAAAALFLVGSASLAMAGHVLGGAHDAPSAANSVETDALARFAVDEHNKRENALLEFVRVVEAKEQVVAGTLHHLTLEALEAGRKKVYEAKVWVKPWLDFKELQEFRNTGDATTFTNADLGAKKGGHEPGWRDVPVHDPVVKDAADHAVKSIQQRSNSLFPYELLEIVRAKAERGNKEEKFKAEVHKNLEGAFVLNQMQQEHDESSSQ >ONIVA01G13290.2 pep chromosome:AWHD00000000:1:10539586:10542876:-1 gene:ONIVA01G13290 transcript:ONIVA01G13290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FJY2] MCVAATTRPASSSAAAPLPLFLLLAVAAAAAALFLVGSASLAMAGHVLGGAHDAPSAANSVETDALARFAVDEHNKRENALLEFVRVVEAKEQVVAGTLHHLTLEALEAGRKKVYEAKVWVKPWLDFKELQEFRNTGDATTFTNADLGAKKGGHEPGWRDVPVHDPVVKDAADHAVKSIQQRSNSLFPYELLEIVRAKAEEEKFKAEVHKNLEGAFVLNQMQQEHDESSSQ >ONIVA01G13280.1 pep chromosome:AWHD00000000:1:10531894:10535142:-1 gene:ONIVA01G13280 transcript:ONIVA01G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 6 [Source:Projected from Arabidopsis thaliana (AT3G33520) TAIR;Acc:AT3G33520] MTGGSGVVVLDNGGGLLKAGFGGDMNPTAVVPNCMAKPPGSKKWLVADQLQAQDVDVTGMTLRRPIDRGYLINQEVQREVWERVIRNLLQVDPNNSSLLLVEPQFNPPALQHATDELVFEELGFKSLCVADAPSLVHLYEASRQPSLFRAQCSLVVDCGFSFTHASPVLQNFTLNYAVRRMDLGGKALTNYLKELISYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLRLARLSSNDNPFRCSYILPDGITYKKGFVKDLDEACRYSSLPANGESVRKDSSDSDRSKFEDKKKPELSQNEFVLTNERFLVPEMVFHPIDLGMNQAGLAECIVRAIQACHPHLQPVLFERIILTGGSTLFPRFTERLEKELRPLVPDDYQVKIIAQEDPILGAWRGGSLLAHRPDFESMCITKSEYEEMGSMRCRRVSQAYLRTSGSVLLHGMMGMTS >ONIVA01G13280.2 pep chromosome:AWHD00000000:1:10532426:10535142:-1 gene:ONIVA01G13280 transcript:ONIVA01G13280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 6 [Source:Projected from Arabidopsis thaliana (AT3G33520) TAIR;Acc:AT3G33520] MTGGSGVVVLDNGGGLLKAGFGGDMNPTAVVPNCMAKPPGSKKWLVADQLQAQDVDVTGMTLRRPIDRGYLINQEVQREVWERVIRNLLQVDPNNSSLLLVEPQFNPPALQHATDELVFEELGFKSLCVADAPSLVHLYEASRQPSLFRAQCSLVVDCGFSFTHASPVLQNFTLNYAVRRMDLGGKALTNYLKELISYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLRLARLSSNDNPFRCSYILPDGITYKKGFVKDLDEACRYSSLPANGESVRKDSSDSDRSKFEDKKKPELSQNEFVLTNERFLVPEMVFHPIDLGMNQAGLAECIVRAIQACHPHLQPVLFERIILTGGSTLFPRFTERLEKELRPLVPDDYQVKIIAQEDPILGAWRGGSLLAHRPDFESMCITKSEYEEMGSMRCRLSGIFESSVHL >ONIVA01G13280.3 pep chromosome:AWHD00000000:1:10532972:10535142:-1 gene:ONIVA01G13280 transcript:ONIVA01G13280.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 6 [Source:Projected from Arabidopsis thaliana (AT3G33520) TAIR;Acc:AT3G33520] MTGGSGVVVLDNGGGLLKAGFGGDMNPTAVVPNCMAKPPGSKKWLVADQLQAQDVDVTGMTLRRPIDRGYLINQEVQREVWERVIRNLLQVDPNNSSLLLVEPQFNPPALQHATDELVFEELGFKSLCVADAPSLVHLYEASRQPSLFRAQCSLVVDCGFSFTHASPVLQNFTLNYAVRRMDLGGKALTNYLKELISYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLRLARLSSNDNPFRCSYILPDGITYKKGFVKDLDEACRYSSLPANGESVRKDSSDSDRSKFEDKKKPELSQNEFVLTNERFLVPEMVFHPIDLGMNQAGLAECIVRAIQACHPHLQPVLFERIILTGGSTLFPRFTERLEKELRPLVPDDYQVKIIAQEDPILGAWRGGSLLAHRPDFESMCITKSEYEEMGSMRCRRRFFH >ONIVA01G13270.1 pep chromosome:AWHD00000000:1:10527445:10533644:1 gene:ONIVA01G13270 transcript:ONIVA01G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSSTEGVVSILLAKLGEFLSDKYVLLSGVRHEIQELKDDLESMNACLRDLAAVGDYHQSQQTRTWMKQVREVAYDAEDCIDSFRYHVGGDRNHDEGLLVVAGWLRRTVLRPLTTLRAMYKLAVEVQSLKARALKVSERRLRYRLEPPAAASSSGEYAPRCYDDLDRRLPALSVDESRLVGVRSKTRAILKLLEHKDDGDDDGLARRKVVAVVGFGGLGKTTLAAMVYKSPAVQGIQHRAFVTVTRSCNLRAMLESLLEQLFAPARDPRCSRKEIMAMEKDEILRGIETKDIPQLLAHCSTHLSDKRYFIVVDDLWSLEDWASLKPAFPDNDKHSRIIITTRNRQVAESCCSLPGDRVHFMDVLPNDQSRELFFKTVFQKEHCPSEYRSLEGISGDILTKCGGLPLAIVSVGGMLAQAENKTPVEWTKVCGKLGSGLSTSAAVRGMRRILSLSYHDLPYHLKACFLYLSVFREGYEIKRGPLVRRWAAEGFVGGGREWTPEEAAGKYIDEFVGRSIVTPTRVATNGVVRCCKVHDIMLEVMTAKCVEENFISLLGSVTSYGRHQHTAATTTGHDKIRRLSVHGSHMPQGQQSRSGGGDEDIFRFRRRRKKGEGEGQDDVLSSVDLSCARSLLMLRCIEKPLPAINFKRLKLLRVLDLEGCRWLSSRELDDICMLSLLRYLSLRDTGVQRLPRSVGRLKQLMTLDVRETDVRELPETITRLGHMRHLLSGRYRYYTRSHRVKLFEPFEAVTIPPGLSAMESLQTIAHANVASSGVAMGELGDLPSLTKLCVMNCEKGPNKWKPFIVSLNKLSYSLRSLSILHWLNEDAGLEELLDLDSPPIFLEKFFLWGKLSMLPPWVSHLGNLVDLSLRENFLDGKEVIEQLGKLPSLLSLKLYYQSYMGRELCFREKLFPRLKQLIVDNMPNLDELSFQGGAPELERLTLAVLKEPADGISGIDKLPRLKEVEFFGHVIVDSVVESMVAVCKKHPNKPRVYRGDRPMEMDSELSS >ONIVA01G13260.1 pep chromosome:AWHD00000000:1:10519788:10523527:-1 gene:ONIVA01G13260 transcript:ONIVA01G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSSTEGVVHILLGKLGEFPSDKYVLLSGVRHEIQELKDDLESMNACLRDLAAAGDYHQTQQTRTWMKQVREVAYDAEDCIDSFRYHVGGDRYRDEDLAGWLRRTVLRPLTTLRAMYKLAVEVQSLKARALMVSERRLRYKLEPPAAASSSGEYAPRCYDDLDRRLPALSVDESRLVGVRSKTRAVLKLLDMVGDDDGSARRKVVSIVGFGGLGKTTLAAMVYKSPAVRGIQRRAFVTVTRNCNLRALLESLVEQLFAPMRDSRCSTKKTTTDHDEILRGIETKDIPQLLAHCSTHLRDKRYFVVVDDVWSLEDWASLKPAFPDNDIHSRVVITTRNRQVAESCCSLPVDRVYSMDVLQDDQSRKLFFNTVFRSNKCPAGYRRLETISGNILAKCGGLPLAIVSVGGMLAQAENKTPAEWMKVCDRLGSGLSTSAMMERMRRIMSLSYHDLPYHLKACFLYLSVFREGYEIKRGPLVRRWAAEGFVGGRRECTPEEAAGKYLDEFVGRSIVTPTRVVSNSVVRCCKVHDIMLEMMIEKCMEENFISLLGSPSKHGHQQHATMVAAGHDKIRRLSVHGAHMSQGKQAGGVHDKHLCRRRIKKDEEQDDVLSSGDLSCVCSLLMLRCIEKPMPVINFAKLKLIRVLDLEGCRWLSNHDLETPVSLERLPRLIGRLKELLTLDIRETDVRALPETITRLGHLRHLLAGRYRYYTRSHRVKLFEPSEAVTIPPGLAAMGSLQTISHANVASSSIAMGELGYLPSLTKLCVMNCEEGPSKWEPFVISLNKLSYSLRSLSILHWQYDNAGLEALLDLTSPPIFLEKFFLWGKLSTLPSWVSHLGNLVDLCLRENFLNGEVVIEQLGKLPSLLSLKLYRASYLGRELRFREKLFPRLKQLIVDNLPNIVELSFQGGAPQLERLTLAVLKKPEDGIFGIDKLPLLKEVEFYGHIMIDSVVAEMVNVCRNHPNKPRVYREDRPMEMDSESSN >ONIVA01G13240.1 pep chromosome:AWHD00000000:1:10503628:10503870:-1 gene:ONIVA01G13240 transcript:ONIVA01G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSSTEGVVRIPLGKIGDFLSDKHVLLTAVHRAIRELKDDLESMNPCLLDLAAAGDYDQTQHNGDCLHHCWCSSSG >ONIVA01G13230.1 pep chromosome:AWHD00000000:1:10493981:10502748:1 gene:ONIVA01G13230 transcript:ONIVA01G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVSSTEGAVRILLGKLADVLAGRYATLLLRGAGAREEVQELKDELESMNACLRDLAATGDDERNEQTTTWMKQVREVAFDAEDCIDTFWCKIGYLHGVKGIYGHCLRKIIHPLRTLKVRHSLAMEIQSLKTRAQRVSERRLRYKLEPSGITPKSTDLFLFSSSHIDQERRLPALNIDESRLVGMAEKTERVIKLLEEGHMADLKVVSIVGFGGLGKTTLAMTVYKSPSVQGIQSRAFISVSQNYDPRALLESLLKQLIQVPFCRESASVEEGTRIEDPLKGIETWDMSQLINKKQKFILVMIASAHYLIVASFLMFRYFIVLDDLWRSVAWATLKIAFPDNNKQSRILVTTRNHHVAENCCSYPHDCIYNMDPLPSEESRHLFFKRVFQLDKCPSQYQDLVDISEAILRKCNGLPLAIVSVGGMLARMKNKTKAEWQKVCDRLGYGLEANDTLGGMRKILSLGYNDLPYHLKACFLSIVTPARIDSNGEVQSCRVHDIMLEVITSISVQENFISLVGSYQYGTPAGHDKIRRLSIHAGSNKRQDFSYRNLSHVRSLIILGSMEKPLSITFTNLKLLRVLELEGCCWLSAQDLKGICRLYMLRYLSLRGTNVSQLPNAIGNLKELLTLDARNTCIRELPATVTQLRSLKHLLAGYYKYYSRTRRAKHFDPDKALTIPAGLKNMIALRRLAHVNISSSIRALLELGELPHLTKICATNYKGVEKWTPFGASLSKLSNSLCHLSVHHANKLEHGLEFLMDLSSPPLFLEKLYIWGRVSALPRWVSSLSNLLKLSLRRSHLEGSELVMTLGRLPSLLSLKLYIDSYVGTKLCFEQNLFPRLKQLLIDNLENLDELSFRGGAPNLERLILAFVRAPKRAISGTENLPKLKEIEFFGSIIDSVVEGLQQRCIQIAQEFTEMIDPWSHARHLGFAREMSTHSPFTSRGATWLRYFRSQCTRTK >ONIVA01G13230.2 pep chromosome:AWHD00000000:1:10493981:10502748:1 gene:ONIVA01G13230 transcript:ONIVA01G13230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVSSTEGAVRILLGKLADVLAGRYATLLLRGAGAREEVQELKDELESMNACLRDLAATGDDERNEQTTTWMKQVREVAFDAEDCIDTFWCKIGYLHGVKGIYGHCLRKIIHPLRTLKVRHSLAMEIQSLKTRAQRVSERRLRYKLEPSGITPKSTDLFLFSSSHIDQERRLPALNIDESRLVGMAEKTERVIKLLEEGHMADLKVVSIVGFGGLGKTTLAMTVYKSPSVQGIQSRAFISVSQNYDPRALLESLLKQLIQVPFCRESASVEEGTRIEDPLKGIETWDMSQLINKYFIVLDDLWRSVAWATLKIAFPDNNKQSRILVTTRNHHVAENCCSYPHDCIYNMDPLPSEESRHLFFKRVFQLDKCPSQYQDLVDISEAILRKCNGLPLAIVSVGGMLARMKNKTKAEWQKVCDRLGYGLEANDTLGGMRKILSLGYNDLPYHLKACFLYLSVFPEDYEIRRGPLPESTATAKSRVAEFMISCWKLYMLRYLSLRGTNVSQLPNAIGNLKELLTLDARNTCIRELPATVTQLRSLKHLLAGYYKYYSRTRRAKHFDPDKALTIPAGLKNMIALRRLAHVNISSSIRALLELGELPHLTKICATNYKGVEKWTPFGASLSKLSNSLCHLSVHHANKLEHGLEFLMDLSSPPLFLEKLYIWGRVSALPRWVSSLSNLLKLSLRRSHLEGSELVMTLGRLPSLLSLKLYIDSYVGTKLCFEQNLFPRLKQLLIDNLENLDELSFRGGAPNLERLILAFVRAPKRAISGTENLPKLKEIEFFGSIIDSVVEGLQQRCIQIAQEFTEMIDPWSHARHLGFAREMSTHSPFTSRGATWLRYFRSQCTRTK >ONIVA01G13230.3 pep chromosome:AWHD00000000:1:10493981:10502748:1 gene:ONIVA01G13230 transcript:ONIVA01G13230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVSSTEGAVRILLGKLADVLAGRYATLLLRGAGAREEVQELKDELESMNACLRDLAATGDDERNEQTTTWMKQVREVAFDAEDCIDTFWCKIGYLHGVKGIYGHCLRKIIHPLRTLKVRHSLAMEIQSLKTRAQRVSERRLRYKLEPSGITPKSTDLFLFSSSHIDQERRLPALNIDESRLVGMAEKTERVIKLLEEGHMADLKVVSIVGFGGLGKTTLAMTVYKSPSVQGIQSRAFISVSQNYDPRALLESLLKQLIQVPFCRESASVEEGTRIEDPLKGIETWDMSQLINKYFIVLDDLWRSVAWATLKIAFPDNNKQSRILVTTRNHHVAENCCSYPHDCIYNMDPLPSEESRHLFFKRVFQLDKCPSQYQDLVDISEAILRKCNGLPLAIVSVGGMLARMKNKTKAEWQKVCDRLGYGLEANDTLGGMRKILSLGYNDLPYHLKACFLYLSVFPEDYEIRRGPLVRQWVAEGFIGGMQELNLEEVADKYFDEFIARSIVTPARIDSNGEVQSCRVHDIMLEVITSISVQENFISLVGSYQYGTPAGHDKIRRLSIHAGSNKRQDFSYRNLSHVRSLIILGSMEKPLSITFTNLKLLRVLELEGCCWLSAQDLKGICRLYMLRYLSLRGTNVSQLPNAIGNLKELLTLDARNTCIRELPATVTQLRSLKHLLAGYYKYYSRTRRAKHFDPDKALTIPAGLKNMIALRRLAHVNISSSIRALLELGELPHLTKICATNYKGVEKWTPFGASLSKLSNSLCHLSVHHANKLEHGLEFLMDLSSPPLFLEKLYIWGRVSALPRWVSSLSNLLKLSLRRSHLEGSELVMTLGRLPSLLSLKLYIDSYVGTKLCFEQNLFPRLKQLLIDNLENLDELSFRGGAPNLERLILAFVRAPKRAISGTENLPKLKEIEFFGSIIDSVVEGVTAAAEMHPNRPRIYRDDRPMEPC >ONIVA01G13230.4 pep chromosome:AWHD00000000:1:10493981:10502746:1 gene:ONIVA01G13230 transcript:ONIVA01G13230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVSSTEGAVRILLGKLADVLAGRYATLLLRGAGAREEVQELKDELESMNACLRDLAATGDDERNEQTTTWMKQVREVAFDAEDCIDTFWCKIGYLHGVKGIYGHCLRKIIHPLRTLKVRHSLAMEIQSLKTRAQRVSERRLRYKLEPSGITPKSTDLFLFSSSHIDQERRLPALNIDESRLVGMAEKTERVIKLLEEGHMADLKVVSIVGFGGLGKTTLAMTVYKSPSVQGIQSRAFISVSQNYDPRALLESLLKQLIQVPFCRESASVEEGTRIEDPLKGIETWDMSQLINKYFIVLDDLWRSVAWATLKIAFPDNNKQSRILVTTRNHHVAENCCSYPHDCIYNMDPLPSEESRHLFFKRVFQLDKCPSQYQDLVDISEAILRKCNGLPLAIVSVGGMLARMKNKTKAEWQKVCDRLGYGLEANDTLGGMRKILSLGYNDLPYHLKACFLYLSVFPEDYEIRRGPLVRQWVAEGFIGGMQELNLEEVADKYFDEFIARSIVTPARIDSNGEVQSCRVHDIMLEVITSISVQENFISLVGSYQYGTPAGHDKIRRLSIHAGSNKRQDFSYRNLSHVRSLIILGSMEKPLSITFTNLKLLRVLELEGCCWLSAQDLKGICRLYMLRYLSLRGTNVSQLPNAIGNLKELLTLDARNTCIRELPATVTQLRSLKHLLAGYYKYYSRTRRAKHFDPDKALTIPAGLKNMIALRRLAHVNISSSIRALLELGELPHLTKICATNYKGVEKWTPFGASLSKLSNSLCHLSVHHANKLEHGLEFLMDLSSPPLFLEKLYIWGRVSALPRWVSSLSNLLKLSLRRSHLEGSELVMTLGRLPSLLSLKLYIDSYVGTKLCFEQNLFPRLKQLLIDNLENLDELSFRGGAPNLERLILAFVRAPKRAISGTENLPKLKEIEFFGSIIDSVVEGVTAAAEMHPNRPRIYRDDRPMEPC >ONIVA01G13220.1 pep chromosome:AWHD00000000:1:10482718:10483317:1 gene:ONIVA01G13220 transcript:ONIVA01G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSDGSSSSSRRPVASIVLYRVGPLEYQPPVMCGCKAKAVRWISWSIDNPAGRYFKCRNAQVGGCDFFAWMEKEQLRQEEEECRERLGEETQRLEAVRTELASLRENGLEISKELCALKIGIKRWRLRNVLVICSVACVFMLVVVLFGKK >ONIVA01G13210.1 pep chromosome:AWHD00000000:1:10481535:10481783:1 gene:ONIVA01G13210 transcript:ONIVA01G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSAWSCVLSHLTRNYCIMLYGLVCAGSVNPDHFDFSHYDNLDDLLDILDMLCIPRCVFIGHSISTIIDILASIRRPRPLR >ONIVA01G13200.1 pep chromosome:AWHD00000000:1:10476130:10480701:-1 gene:ONIVA01G13200 transcript:ONIVA01G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab escort protein [Source:Projected from Arabidopsis thaliana (AT3G06540) TAIR;Acc:AT3G06540] MADAPAAGGGFPAQDYPTIDPTSFDVVLCGTGLPEAVLAAACAAAGKTVLHVDPNPFYGSLFSSLPLPSLPSFLSPSPSDDPAPSPSPSSAAAVDLRRRSPYSEVETSGAVPEPSRRFTADLVGPRLLYCADEAVDLLLRSGGSHHVEFKSVEGGTLLYWDGDLYPVPDSRQAIFKDTTLQLREKNLLFRFFKLVQAHIAASAAGAAAAGEGEASGRLPDEDLDLPFVEFLKRQNLSPKMRAVVLYAIAMADYDQDGVESCERLLTTREGVKTIALYSSSIGRFANAEGAFIYPMYGHGELPQAFCRCAAVLRMPATALLVDEEKKRYVGIRLASGQDILCQQLILDPSYEIPPLDMPSDAPVSNLPRKVARGICIISSSVRQDTSNVLVVFPPKSLEEEQITAVRVLQLSSNLAVCPPGMFMAYLSTPCTDAFTGKKCISKAIDALFSTKVSNDLEDHLEKNSEENKESVKPTLLWSCVYVQEIIQGTSGTALSCPIPDENMDYRSILESTKMLFTDICPNEEFLPRNSAPKYASDNDSDSAEALYST >ONIVA01G13200.2 pep chromosome:AWHD00000000:1:10476130:10480701:-1 gene:ONIVA01G13200 transcript:ONIVA01G13200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab escort protein [Source:Projected from Arabidopsis thaliana (AT3G06540) TAIR;Acc:AT3G06540] MADAPAAGGGFPAQDYPTIDPTSFDVVLCGTGLPEAVLAAACAAAGKTVLHVDPNPFYGSLFSSLPLPSLPSFLSPSPSDDPAPSPSPSSAAAVDLRRRSPYSEVETSGAVPEPSRRFTADLVGPRLLYCADEAVDLLLRSGGSHHVEFKSVEGGTLLYWDGDLYPVPDSRQAIFKDTTLQLREKNLLFRFFKLVQAHIAASAAGAAAAGEGEASGRLPDEDLDLPFVEFLKRQNLSPKMRAVVLYAIAMADYDQDGVESCERLLTTREGVKTIALYSSSIGRFANAEGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPATALLVDEEKKRYVGIRLASGQDILCQQLILDPSYEIPPLDMPSDAPVSNLPRKVARGICIISSSVRQDTSNVLVVFPPKSLEEEQITAVRVLQLSSNLAVCPPGMFMAYLSTPCTDAFTGKKCISKAIDALFSTKVSNDLEDHLEKNSEENKESVKPTLLWSCVYVQEIIQGTSGTALSCPIPDENMDYRSILESTKMLFTDICPNEEFLPRNSAPKYASDNDSDSAEALYST >ONIVA01G13190.1 pep chromosome:AWHD00000000:1:10470707:10474575:-1 gene:ONIVA01G13190 transcript:ONIVA01G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKLWSRLASPSSPASPRALSSSSSAAAAARYLLPSSGMPAQERSPGHPSGGDARPGAGLGLAETGCGAVGSPRPLPLPCSSSSDNPAEENPCTRQIYQRQLMPHRFKLVLGEKRHFTYANGASLNPQNYRYFSSSSGQQSIGIGNKIIHDLPRSVKIVEVGPRDGLQNEKNIVPTHVKIELIQRLATSGLSVVEATSFVSPKWVPQLADAKDVMDVVRNIEGVSLPVLTPNLKGFEAAVAAGAKEVAVFASASEAFSKSNINCTIKESLARYKDVALAAKELKIPMRGYVSCVVGCPVEGYVPPSNVAHVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEAVMFFVPKEKLAVHFHDTYGQSLSNILISLQMGVSVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGISTNVDLGKVMAAGEFICNHLGRQSGSKAAIALGSKVATANASKL >ONIVA01G13180.1 pep chromosome:AWHD00000000:1:10466853:10471673:1 gene:ONIVA01G13180 transcript:ONIVA01G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAALPAGSQDHMNALRSTALRRSTLHWDAAAAFFAPPFRSRSCRRHRVPAPAAAAETRPTRSPSRARARARAKLLADADTRDPWLASLSLLPVDDGEAAAAAAAASTGWAIGVDPDTGGAIAVLSPDGSSQVFDNPFVHIVVSEFIRKRLDTKSIIELLRGLDAPPGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIAALVASGFSVVPVASQTWKAYFGLTRSGSPKDDSRQAASILFPDKALSLNRKKHHGRAEALLLAAYGKGLVLPSGEFSKTL >ONIVA01G13170.1 pep chromosome:AWHD00000000:1:10461000:10466265:1 gene:ONIVA01G13170 transcript:ONIVA01G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVSGFQNAPVTRAVVLASGLLSVVFSAQRRARALVLSHQGIVKNFRLWKLFASGFAFQSTPELLFGVYLLYYFRVFERQIGSNKYSVFSLFTISVSLLLEILSLVLLKDTNYLSTLACGPYGLIFASFIPFFLDIPVTSRFRIFGVNFSDKSFIYLAGLQLLLSSGKRSLIPGICGLIAGSLYRLNVLGIRRMKMPQVIASFFARIFAPSSGGSSRPSRSLVGNMSSRTSRAVQNNQPSGFAPVVEPPESSIAMLVSMGFDGNAARQALMRARNDINTATNILLEAQTR >ONIVA01G13160.1 pep chromosome:AWHD00000000:1:10455917:10458752:-1 gene:ONIVA01G13160 transcript:ONIVA01G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGTPWPDLERLAPSTVGEQFQGGQGCSGHRLRSGRWVTAVASPLPTARRAFPLLATAVAAAAAAPFPAPTRRPPPPRCPGGSAADRHMTQPPRRPDATETTTAFLGAASTPSPRPAPATPLPDAPSFLLGPSTPLPPPLPPPTSTAAAAAAQAKHQKQQQQQTSKHWSRPARFVRSVRAAFRSFPILPAPSCRGLPSLPHLPGLHHGGAGGAVRNHFHGSTRTTGTLYGHRRARITIAFHDSPGSPPALLLDIAVPTAKFIQDVSAAGMVRVTLECDKQQHQPPPHAHPPGDPLPPRRLLDEPVWSAEVNGESVGYAARREATEADERVMRLLHAMSMGAGVLPAVAADAPTSAADGEVTYMRAHFDRVVGSKDAETYYMHNPEGCATGPELTIFFIRT >ONIVA01G13150.1 pep chromosome:AWHD00000000:1:10447492:10455379:1 gene:ONIVA01G13150 transcript:ONIVA01G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPSPSPAQPKTRKKDHVRLNNPFPRAVPSSSLRHRDAAPPLSFSPSSKLAHGAHDFPVGPHFLLRWDPSLGGAVSLAPRRGGGGATMWETVPGVAFVSAASVDTEADECRGSFALRDGRARLVPDRQRVDRIRAVYRRDADADADADLLRVAGAAFQASEHEQARFPVVVITGVVSARKPTPSPSCLCGRRRAAAAAGRPVLSARYWILLEEKSDTQVAFRVNLGDYQWSCDHDRHATHPSPSPSPSPSTSPRTHRAGSILRLRLSTRVQRSSGGSKKKKKLAFAAAGVPADREELAPLVPAAAAKEELEFNRVWMTLASSREERFYGFGEQFSRVEFKGKRVPVLVQEQGIGRGDQPITFAANLVSYRSGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKPDRVQIQVYGSSVQGRILHGGSPTELITSYTESTGRPPALPRWITSGAVVGMQGGTDAVRRVWKQLQDHDVPVSAFWLQDWVGQRKTSIGSQLWWNWEVDDDHYAGWNDLKANARRHLFEEAKKLGLLVRDAAGEPYMMPNTAFDVAMLDFTNPAARAWFKGEVLAVMARGGAAGWMADFGEGLPLDARLHSSGSGDDGPVAAHNRYPELWARVNREFADEWRSGEHRRVADDADDGDGDGELVFFVRAGFRESSRWAMLFWEGDQMVSWQANDGIKSSVVGLLTGGMSGFPLNHGDAGGYCTVDLPLLRYRRSEELLLRWLELSAFTVVFRTHEGNKPGSNCQFYSNNRTLAHFARCAKIYKAWEFYRIQLVEEAAEKGLPVARHLFLHYPEDQRVQKMTYQQFLVGTEMLVVPVLDKGRSTVTAYFPMSDGGLWKHVWTGDEFGGRTSRGGVGEGMSHGSEAEVEARIGFPAVFVRVGSTVGERFVRNLRDLLVL >ONIVA01G13140.1 pep chromosome:AWHD00000000:1:10426749:10438845:1 gene:ONIVA01G13140 transcript:ONIVA01G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0E0FJW2] MGPLLRPSPPPRHLRLLLRRLLSTAAGRPSRLLPLPASSSARLLVRPRVAVAAAAAGAPLRRNGVAVRAFMASTAASEAMQEKRVAGEYTAANVQVLEALDGVRTRPGMYIGSTGSRGLHHLVYEILDNAVDEAQAGYATKVDVILHGDNSVSVTDNGRGIPTDIHPQTKKSCVETVLTLMHAGGKFGGSKSGYTVSGGLHGVGLSVVNALSEALEVTVWRDGKEYRQNYSRGKAITTLTSRTLSDESSSRQGTRIRFWPDKHIFTTTMDFDFNTIAGRIRELAFLNPELTIALTKEEDDLQVQHNEYCYAGGLVEYVKWLNTDKKSLHDPIAFRKEMDGITVDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIDGLKTSLTRTINNFAKKSKTLKDKDISLSGEHVREGMTCIIAVKVPNPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASSDPEESEIFIVEGDSAGGSAKQGRDRKFQAILPLRGKILNIERRDEAALYKNEEIQNLIVALGLGVKGEDFNKEALRYHKIVILTDADVDGAHIRTLLLTFFFRYQKALFDEGCIYVGVPPLYKVERGKQAHYCYDDADLKELVNTFPTNASYHIQRFKGLGEMMPAQLWETTMDPERRMLKQLKVEDAAEANVVFSSLMGTRVDVRKQLIQNAASMVNLEHLDI >ONIVA01G13130.1 pep chromosome:AWHD00000000:1:10423108:10455788:-1 gene:ONIVA01G13130 transcript:ONIVA01G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIECNKKLRFREGEGEVETCSQNAETGTSWSCSCFQTRRTASVPPCIPTTAPDVIHRGRAGGLPVDSIYNPNNVIMRGGAERRKSGGECVVGSLGCAPSSGSSDRFSSSWVDVGSGGGCEGIAYGVGDGFDSLIDRGMRKGHIACDTVLEGTGGKIRTVEVEIRQSIYLKEELKTREKSNQKGQPKWSNLVSIQPFNILNQTTSITKPPSCKRSARERITWTFAAVYSPATRFSCIASEAAVDAMKALTATPFRRRGAPAAAAATATLEREKTPTLPKLTAQNNTPIEEREPPNPKGAHVWIPLPGPDEETGGGGGGEREEAGGPTGGGGEEAAEEEAQVARWRRRPEEGPHRRRAARFDVRACVAGLSLPLAFAAAVVVRVKREGEGRGRWGLGVAASRRQAKSKSASNRGKKSSGGQNQLQNKL >ONIVA01G13120.1 pep chromosome:AWHD00000000:1:10422761:10423057:-1 gene:ONIVA01G13120 transcript:ONIVA01G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEEKDMKAVGRRRTRRCLVRRRTTRRQWGGGDAAEVSAKEDDAEAMGRRQCSSIGWEEENAAAVAGQGDSAARGVAGRRSRAVVASSTGGVFAR >ONIVA01G13110.1 pep chromosome:AWHD00000000:1:10410231:10419607:-1 gene:ONIVA01G13110 transcript:ONIVA01G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGAGEPLRRAWGYHPECPGCRVDRRKEEREGIPYTELSLIWLVTVSSTLPIQSLFPFLYFMIRDLHIAKQEEDIGFYAGFVGASYMFGRALSSVIWGIVADKYGRKPIIIITLISIIIFNTLFGLSSSYWMALTSRGLLGLMCGILGPIKAYATEVCRKEHGHLGLSLVSSSRGIGLIVGPAIGGYLAQPADKYPSIFSEKSIFGRFPYFLPCLCISLLAIVALLASFWLPETLHKHTQDMVLEDSISVEEGLSGPTAEENSAGCLNLFTNWPLMSAIIAYCIFSLQDVAYAEVFSLWAVSDRKYGGLSFSSQDVGSVLAFSGLFLLVFQILVYPSVAKSVEPITLVRIVAVTTITVFNILMNDAVAQDVRASANGVAVTLMSIFKAIAPAIAGAIFAWAQRRQTASFLPGDHLVFFMLNVFTVIGNPAVSLTGGSGPLLAEVKPDL >ONIVA01G13100.1 pep chromosome:AWHD00000000:1:10409154:10410105:1 gene:ONIVA01G13100 transcript:ONIVA01G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLHIEPKPGSCSISEERKRAAAGCRTPGGRGPRRRRRASRPVWVAGCVEEVPALLLLLLEGARSRYAREDERQTPANVADRRLPLFRLHHAARRQTPPFRHFVVSPRACSIAWTPRSQRPPRFGVCAAVTVPTPAATAPWPSSSWRDLVPIQPRLDFCFYMLHVDPKWLNRRWLRSHWSSLCRRRFWDLGAVDRRRAARRTIAALRRRRRQVSGDAPPLAPPVRRAIPARAVASSRAAPLLRREWKEEDDGEKEKNERGRDYDMWTPLH >ONIVA01G13090.1 pep chromosome:AWHD00000000:1:10403713:10406758:-1 gene:ONIVA01G13090 transcript:ONIVA01G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >ONIVA01G13080.1 pep chromosome:AWHD00000000:1:10400179:10401369:1 gene:ONIVA01G13080 transcript:ONIVA01G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G23170) TAIR;Acc:AT5G23170] MREFSFEEVEAATGGFAAKNLVGKGSHGNVYVARLVCGGDGGGGRVRKKKVVVVAVKRASHALGEAKLANEIAVLAAAGEVAGVVNLVGVAAGRREGERMLVMEYMADGSLHDLLHRPTTARQPPPPWPRRVEIALDVAEAVRALHGGEPRVIHRDVKSANILLGRDGRARLADFSLAVKVPAAPGGGGATAAAAGPAPAGTIGYLDPCYTEPGRLGPESDVFSFGVVLLELVSGRKVMDVSASPSSIVAWAVPLVAAGMAREVLDGRLPAPRRAREERAVARVLAVAARCVSEAVERRPAMSDVVAELHAALESAGWPRRPRRRGDAHGLAGTLYRRVVSWGASRLHVRRRRVRTSKIECTEHSGSEGSGAQAQPNYPGSNPRLSNSNKNIFDIN >ONIVA01G13070.1 pep chromosome:AWHD00000000:1:10399298:10400097:-1 gene:ONIVA01G13070 transcript:ONIVA01G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNVKQQQQQVGKGIKRKKGGGQEERQMHGWIIKGKVGGCNFQREKEKKAAYAHTHGVHWGSDRSLEWLEREREREREMQDTHGKRTNRQQRMSICQEGNVEWWTGWGWGFIRSCGRVRRPCLLGRQHLAGLG >ONIVA01G13060.1 pep chromosome:AWHD00000000:1:10386776:10389226:-1 gene:ONIVA01G13060 transcript:ONIVA01G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLAAAAASPLTSLDLATSPATASRPAAAAASALRKRPVLLLDQRPHPSTPNLDSSAAAAAAAAAAGVAQAQPPPPRRKKAGHTSSSTRPRWQTALSVAAKNAVLLAVLLYVGDLAWRAARPAPPRPVDQAAMAGYDARVADVEASLARAFRMLQVQLEAVDRKIDGEVGAVRGELAALLEEKRLELEDQLKRLDARADDLSDALGALKRMEFLRKDEFDKFWNEVKESLGSGPGTEVDLDQVRALAREITMGEIEKHAADGIGRVDYAVASAGGKVVRHSDAYDAGKRGGFFSSLLSGDTAASPKKILQPSFGEPGQCFPLQGSSGFVEIKLRKGIVPDAITLEHVSKDVAYDMSTAPKDCRVSGWYQEAHNEAYSGHAASAKMYVLTEFTYDLDKKNVQTFDITAPDVGIINMVRLDFTSNHGSSALTCIYRIRVHGHEPVSPGMSVSQS >ONIVA01G13050.1 pep chromosome:AWHD00000000:1:10380815:10382600:-1 gene:ONIVA01G13050 transcript:ONIVA01G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein [Source:Projected from Arabidopsis thaliana (AT2G40935) TAIR;Acc:AT2G40935] MAGKGSYVPPQYIPLYGLDTEEDRVSAEENDAARHKLTRDPTQWSSGICACFDDPQSCFIGATCPCFLFGRNAQFLGSGTLAGSCTTHCMLWGLLTSLCCVFTGGLVLAVPGSAVACYACGYRQALRAKYNLPEAPCGDLTTHLFCHLCAICQEYREIRERTDSGSSAPTVTPPAIQTMDEP >ONIVA01G13040.1 pep chromosome:AWHD00000000:1:10378924:10380195:1 gene:ONIVA01G13040 transcript:ONIVA01G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAGEPFSGRATGGDGGVRSDVMAPPAMAEEAKVSCLPLAREVGRRAAAAGGGRGRNFIVSPLSFHAALALVADGARGETQRELLGFLGSPSIAELHRSPTTRLVARLRHLPNTSFACGVWVDRGRALTSEFADAAASRYAAVAEPADFATQPEQARERVNAFVSDATEGLIRDVLPPNSVDSSTVVVLANAVHFKGTWSLPFHPSATFHAPFHLLDGGAVRAPFMTTEIPFERHVAAFPGFTALKLPYKNVGGGGGGDGVPRAAFYMLLLLPDGDGAFKLADLYDMAVTTPEFIKKHTPAAEAPVRRLMVPKFKFSFKFEAKSDMRKLGVTRAFAGGDFSGMVTGGDGLFIAEVYHQATIEVDELGTVAAASTAVVMMQQGSSLPPVDFVADRPFLFAVVEELTGAVLFLGHVVNPLAE >ONIVA01G13030.1 pep chromosome:AWHD00000000:1:10373349:10377282:1 gene:ONIVA01G13030 transcript:ONIVA01G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPTDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKSFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >ONIVA01G13020.1 pep chromosome:AWHD00000000:1:10367703:10372949:1 gene:ONIVA01G13020 transcript:ONIVA01G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQLADYHLRMDSRSPKIPRRSPDSKDKDSDRNKERDAKNDWDSSRAYGSETDCKEEMCDSNKRKCLTMGEIVVDNSRSVDSCHETELHVLRDDRQDKSVEIKDILHDGVAKSDYAQRQIDLDSERRNGTGDNSRVDVLRDDKLDSGRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSVPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >ONIVA01G13020.2 pep chromosome:AWHD00000000:1:10367703:10373014:1 gene:ONIVA01G13020 transcript:ONIVA01G13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQLADYHLRMDSRSPKIPRRSPDSKDKDSDRNKERDAKNDWDSSRAYGSETDCKEEMCDSNKRKCLTMGEIVVDNSRSVDSCHETELHVLRDDRQDKSVEIKDILHDGVAKSDYAQRQIDLDSERRNGTGDNSRVDVLRDDKLDSGRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSVPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >ONIVA01G13020.3 pep chromosome:AWHD00000000:1:10367968:10372949:1 gene:ONIVA01G13020 transcript:ONIVA01G13020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQLADYHLRMDSRSPKIPRRSPDSKDKDSDRNKERDAKNDWDSSRAYGSETDCKEEMCDSNKRKCLTMGEIVVDNSRSVDSCHETELHVLRDDRQDKSVEIKDILHDGVAKSDYAQRQIDLDSERRNGTGDNSRVDVLRDDKLDSGRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSVPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >ONIVA01G13020.4 pep chromosome:AWHD00000000:1:10367968:10373014:1 gene:ONIVA01G13020 transcript:ONIVA01G13020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQLADYHLRMDSRSPKIPRRSPDSKDKDSDRNKERDAKNDWDSSRAYGSETDCKEEMCDSNKRKCLTMGEIVVDNSRSVDSCHETELHVLRDDRQDKSVEIKDILHDGVAKSDYAQRQIDLDSERRNGTGDNSRVDVLRDDKLDSGRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSVPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >ONIVA01G13010.1 pep chromosome:AWHD00000000:1:10365328:10366067:1 gene:ONIVA01G13010 transcript:ONIVA01G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSICWHNAAVVGLLVRRGREGVAAGQLDAFATASAASPLSTPSPAAPSLAAPLPAATVAAVRDLHHRPRHRWPRRHLAFLTFAVAWHEQRIGERGRKKKEEENDRRGSDL >ONIVA01G13000.1 pep chromosome:AWHD00000000:1:10342193:10348639:-1 gene:ONIVA01G13000 transcript:ONIVA01G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSGAGAHRPSCPSAANCAKWAQTYLKYCLCSTRDGMALTLGLLSVISWGVAEVPQIITNYKHKSTEGLSLAFLMTWIVGDFFNLIGCFLEPETLPTQFYMALLYTITTVILTGQTVYYSHIYHRLKAKKARATSKPQRHQRADASLREKLLGPKVIGEIRNNSHIGATVPIPTSSPITVNTEIVRHRHGPSSLSEYYYTSARSLSSSPVPMSGTWSANYHQTNSPPEIDDQKESLVSEFSPAQYAASPLIKNSLSVVPWMSLLLGMSVLHFLVGTTHQEVPNGIVIPVGRRLLLLADDHADSSVSNGSGSGIGSFLGWAMAMKRGNAEARTQSTNVYFCISGKCDIRWKRHVPDEPDSADKT >ONIVA01G13000.2 pep chromosome:AWHD00000000:1:10343454:10348639:-1 gene:ONIVA01G13000 transcript:ONIVA01G13000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSGAGAHRPSCPSAANCAKWAQTYLKYCLCSTRDGMALTLGLLSVISWGVAEVPQIITNYKHKSTEGLSLAFLMTWIVGDFFNLIGCFLEPETLPTQFYMALLYTITTVILTGQTVYYSHIYHRLKAKKARATSKPQRHQRADASLREKLLGPKVIGEIRNNSHIGATVPIPTSSPITVNTEIVRHRHGPSSLSEYYYTSARSLSSSPVPMSGTWSANYHQTNSPPEIDDQKESLVSEFSPAQYAASPLIKNSLSVVPWMSLLLGMSVLHFLVGTTHQEVPNGIVIPVGRRLLLLADDHADSSVSNGSGSGIGSFLGWAMAGLNPLMFTFALVGNVTYVGSILVKSMDWSKLKPNLPWLVDAGGCVLLDTFVSFCKLFTFRANTSYLLYVLSLNPKAGIYAHPRSELICN >ONIVA01G12990.1 pep chromosome:AWHD00000000:1:10330691:10337288:-1 gene:ONIVA01G12990 transcript:ONIVA01G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLRKATVGGSAAAAAARWASRGLASVGSGSDIVSAAPGVSLQKARSWDEGVATNFSTTPLKDIFHGKKVVIFGLPGAYTGVCSQAHVPSYKNNIDKLKAKGVDSVICVSVNDPYALNGWAEKLQAKDAIEFYGDFDGSFHKSLDLEVDLSAALLGRRSHRWSAFVDDGKIKAFNVEVAPSDFKVSGAEVDAFAAEPFKGNPAAVCLLEGEDAAAAADERWMQSVAAEFNLSETAFLIRDPSSAAAAADAAPRFRLRWFTPVAEVASPFPPTLGFLLLVSPVFIRTRRRRRLQVNLCGHATLASAHFLFTTVLAKQQHAAAAMVEFVTRSGILTAKKVPAPPPPANDGGVPGEEKLFIELDFPMIDLVEYDSAETLSIPETLNGARVVSVWKSSTAGDLIIKMEVMNHGNATATIISLRERIANLLRMLILNEELVELSSGKEVADIIPNINEIKKCDGRGVIVTGPAPAGSDYDFFSRFFCPKFGIDEDPVCGSAHCVLAPYWGGKLGKQKLTAFQASPRSGTLYLELDGENRRVRIQGEAVTVMAGTLLA >ONIVA01G12980.1 pep chromosome:AWHD00000000:1:10329955:10330212:1 gene:ONIVA01G12980 transcript:ONIVA01G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLLLSELLGGESTSVMAADWYMSGHSLREFRPVAAAPAAAAAAAVAKCERPAAEAAGEKKKEESFEDLAAVSRIAVDVMWP >ONIVA01G12970.1 pep chromosome:AWHD00000000:1:10324850:10329641:-1 gene:ONIVA01G12970 transcript:ONIVA01G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGQGRSPLGFGTETCGPGWGSPEYKLHSLHGFKLVGNCSVLSRGRYTKPMNSDTGAVGTRPKSGWWLVCMV >ONIVA01G12960.1 pep chromosome:AWHD00000000:1:10305075:10305689:1 gene:ONIVA01G12960 transcript:ONIVA01G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGVLVSLLFAAFSLPCLLLLLVLAEAGLRLASLALRGGRYAWPTRSDFLGYRIARRRRAAVSGGAGGADGEEEAQPTAECWDRLAVAVYRRGRDAAPVDCVFCLSRVDDGEEVRELRCRHVFHRACLDAWLVLPRATCPLCRDCLLPPPCEPPRSFPLDYYDDDDDVHFGFNDFSITSSTTTTTSAAAAVAYPHGVALWPM >ONIVA01G12950.1 pep chromosome:AWHD00000000:1:10290793:10300932:1 gene:ONIVA01G12950 transcript:ONIVA01G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LA RNA-binding protein [Source:Projected from Arabidopsis thaliana (AT5G21160) TAIR;Acc:AT5G21160] MEEPNVGGGAAAVVAPEGADPAGGGGGGPGAPASPWRKTTPPPVAGEAVVMGAKSWPALEEARQKVAAAEAAARPGAGNAGGGGEPAKGAQAQQPSPPPPQSQAPNRTHKFDGHGNPNKNNQAYHRNGPKRRSPAANGTPSYPATMPYHQHPGQPFYYPVIPSPVILHEYPYPPFAVPVPSHDPHAGKSGYENSMPAYVPVDQAGGNEGNRPVPPQPRGDLHAWRPPVGARPQPGLEGRGHFNHNWQNPQMFGTRENTSVPQGAGPRAFMRPMAHLPHTLGYINGPPYPGPMPPMYYYMPAVPMEPMRGPPRFVQNQPPPHPVLSPEAAELRAKILTQVEYYFSDSNLDHDNFLKSLMDEHGWVPISKVADFNRLKKMTTDIQLIVEALANSSLLEVQDGNMRRRSDWSKWVSLSGTSLPSPSSTSMDNTTGECNKDAYSEDEKKPHPRSVDCVGMGASDEPSHDTLSSSVTSLNKGLSNISIDNKPKSISACSLNSQKHEAAFRTGDVKVQKVNTKIKVPDSQRERGFCNDFISDSPSFSGDQSTFLLDEELELEHAELSHDLYSHKRVDDEEDDFYVDDQDVNRLIIVTQDGPQAFSKEEISRINEGLYYYENHAYNQRSSQAGTIDIDSKPAGGSKGNPINIVNNGIEDSGQPIPRRRHNRGNRKAQSSHKQRFFPGNFANNTNNRSHYGGVSESPPSNSIGYFYGSTPENHRSSRLSSSPHGIPTGSSPVGSVPKSFPPFQHPSHQLLEKNKFQQQRYNKFKNRCIAERKKLGIGCSEEMNSLYRFWSYYLRDNFNDDMYKHFKKFALDDAAANYRYGLECLFRFYSYGLEKNFQRNVYEDFEQLTLEFHHKGDLYGLEKYWAFHHFRNQDSSPIIKHPELERLLKEEFRTIEDFKARKAAEKETGSSSAAAAGHNKAHAK >ONIVA01G12940.1 pep chromosome:AWHD00000000:1:10284044:10287566:-1 gene:ONIVA01G12940 transcript:ONIVA01G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin family protein [Source:Projected from Arabidopsis thaliana (AT2G03780) TAIR;Acc:AT2G03780] MLPLRGCHRRLLSLRGVTAPSLLPPITTTPTTSMAAPQSHSHPAKTLRASPPPPSTAGSAPKRSRTMATDAAATAHSASAGCSAMKAEFAKHAEYLNTLNDKRERLVKASRDLTMNSKKSIFQVHRISKNNKEEVLSKAENDLTVVVNQYIGKLVKELQGTDFWKLRRAYTFGVQEYVEAATFCRFCKTGTLLSLAEINDSLLELGDKSVEPLQINVLDYVLGVADLSGELMRLAIGRISDGEVEYAKNICAFVRDIYRELTLVVPLMDDNSEMKKKMETMLQSVVKIENACFSVHVRGSEYIPLLGSSADPDYSFFGASDFDQ >ONIVA01G12930.1 pep chromosome:AWHD00000000:1:10278740:10282892:-1 gene:ONIVA01G12930 transcript:ONIVA01G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKLDSKSPAAARSAAARAAAAAAAAAVAEPSSQPEALAEDPAPSSQPLGLSSEGAGERMMSREAGGGEEEEVEEVEVEEEVEVDEDEDGEGEGEGEEEEEEAAERDADSIQALLNSFPKDQLVELLSAAALSHEDVLTAVHRAADADPALRKIFVHGLGWDATAETLTEAFSAYGEIEDLRVVTDRATGKCKGYGFILFSRRSGARAALREPQKKIGNRTTACQLASVGPVPPGGMATNPAPAVAPAPAQLALPPVSEYTQRKIFVSNVGADIDPQKLLQFFSKYGEIEEGPLGLDKVTGKPKGFALFVYKTLDSAKKALQEPHKQFEGVVLHCQKAIDGPKPNKGGGLGGLYGAGTSGGRKGAGGYGAHSHSLPGAAVGGHVMPSPVSSLTSLPGVAGGPGVNPALGQALTAILASQGGGLGLNNILGVGANGSGLPNPGASAGLGSSGLPGMPGAGGYLGGYGGGGGYGSTPPGGPGRNYMGH >ONIVA01G12920.1 pep chromosome:AWHD00000000:1:10273692:10276396:-1 gene:ONIVA01G12920 transcript:ONIVA01G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASVSASASGDPMAECPPAAAAAEGSDAMDCGGGGRSNARVAGVLRGFLAVQQRRAEAYSTLRRGFSEYMANGGELAFQQLCASVTAEFNDCSKQVLEMVALLSTPEICRGDLANLLKNVQAHEKEKLHLTARIQVLKKAGRPSERLVNHADCRSRNMAQHVCVHVKEITEAAGTEDAEADAEYDGALKEAIQGVQEAVTSINEHMEEVRYEIDALGAEIIGNNLAEVEEAFPDTLLIK >ONIVA01G12910.1 pep chromosome:AWHD00000000:1:10269107:10269524:1 gene:ONIVA01G12910 transcript:ONIVA01G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRPAWHCSAARAAVALPPPHGTKRRPVEPASRRLPAAAAPARQSTGGSCEVGGGGRPARRRGESRRPRDGGGCEVSGGGEIGEAEGRQRECDAAEAAPGGASWKEIGGGV >ONIVA01G12900.1 pep chromosome:AWHD00000000:1:10256291:10269596:-1 gene:ONIVA01G12900 transcript:ONIVA01G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRQGVWLLDPFVSVDLHGGPLCAGSHDAALIPFPSSYAPVVKSVQGLCMVLQGAGKSVGSWKQQCKPGFLSVVAITTNGMRLGAEADSGVAAAPWKIYRKLETTGLIPSICLFYRLTCSDLVPSSGLCTQELLARFSLHFSLNSIGDDEQPTTMEINYYYYKRKRACAPICAPLRCIVATTVRRGQDKRGANSRGWSRCAHLQRIVRMHVLMDMFTDLREICLCGYMVCGALGLLDLSYRDVSVDMWFELIFRFSLHFSLSSIGDDEQPTTMEINYYYYKV >ONIVA01G12900.2 pep chromosome:AWHD00000000:1:10256291:10269596:-1 gene:ONIVA01G12900 transcript:ONIVA01G12900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRQGVWLLDPFVSVDLHGGPLCAGSHDAALIPFPSSYAPVVKSVQGLCMVLQGAGKSVGSWKQQCKPGFLSVVAITTNGMRLGAEADSGVAAAPWRGKEKIEKTRKTRFSLHFSLNSIGDDEQPTTMEINYYYYKRKRACAPICAPLRCIVATTVRRGQDKRGANSRGWSRCAHLQRIVRMHVLMDMFTDLREICLCGYMVCGALGLLDLSYRDVSVDMWFELIFRFSLHFSLSSIGDDEQPTTMEINYYYYKV >ONIVA01G12900.3 pep chromosome:AWHD00000000:1:10256291:10269596:-1 gene:ONIVA01G12900 transcript:ONIVA01G12900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRQGVWLLDPFVSVDLHGGPLCAGSHDAALIPFPSSYAPVVKSVQGLCMVLQGAGKSVGSWKQQCKPGFLSVVAITTNGMRLGAEADSGVAAAPWRGKEKIEKTRKTRFSLHFSLNSIGDDEQPTTMEINYYYYKRKRACAPICAPLRCIVATTVRRGQDKRGANSRGWSRCAHLQRIVRMHVLMDMFTDLREICLCGYMVCGALGLLDLSYRDVSVDMFSLHFSLSSIGDDEQPTTMEINYYYYKV >ONIVA01G12900.4 pep chromosome:AWHD00000000:1:10256291:10269596:-1 gene:ONIVA01G12900 transcript:ONIVA01G12900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRQGVWLLDPFVSVDLHGGPLCAGSHDAALIPFPSSYAPVVKSVQGLCMVLQGAGKSVGSWKQQCKPGFLSVVAITTNGMRLGAEADSGVAAAPWFSLHFSLNSIGDDEQPTTMEINYYYYKRKRACAPICAPLRCIVATTVRRGQDKRGANSRGWSRCAHLQRIVRMHVLMDMFTDLREICLCGYMVCGALGLLDLSYRDVSVDMWFELIFRFSLHFSLSSIGDDEQPTTMEINYYYYKV >ONIVA01G12900.5 pep chromosome:AWHD00000000:1:10256291:10269596:-1 gene:ONIVA01G12900 transcript:ONIVA01G12900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRQGVWLLDPFVSVDLHGGPLCAGSHDAALIPFPSSYAPVVKSVQGLCMVLQGAGKSVGSWKQQCKPGFLSVVAGLIPSICLFYRLTCSDLVPSSGLCTQELLARFSLHFSLNSIGDDEQPTTMEINYYYYKRKRACAPICAPLRCIVATTVRRGQDKRGANSRGWSRCAHLQRIVRMHVLMDMFTDLREICLCGYMVCGALGLLDLSYRDVSVDMFSLHFSLSSIGDDEQPTTMEINYYYYKV >ONIVA01G12900.6 pep chromosome:AWHD00000000:1:10256291:10269596:-1 gene:ONIVA01G12900 transcript:ONIVA01G12900.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRQGVWLLDPFVSVDLHGGPLCAGSHDAALIPFPSSYAPVVKSVQGLCMVLQGAGKSVGSWKQQCKPGFLFSLHFSLNSIGDDEQPTTMEINYYYYKRKRACAPICAPLRCIVATTVRRGQDKRGANSRGWSRCAHLQRIVRMHVLMDMFTDLREICLCGYMVCGALGLLDLSYRDVSVDMWFELIFRFSLHFSLSSIGDDEQPTTMEINYYYYKV >ONIVA01G12900.7 pep chromosome:AWHD00000000:1:10260750:10269596:-1 gene:ONIVA01G12900 transcript:ONIVA01G12900.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRQGVWLLDPFVSVDLHGGPLCAGSHDAALIPFPSSYAPVVKSVQGLCMVLQGAGKSVGSWKQQCKPGFLSVVAITTNGMRLGAEADSGVAAAPWRGKEKIEKTRLVKPMDNLPASSTPRLHFVAALNHPSSFPGGSSPLPSPSQCHRRLANRPTTMRLSPPPSPRVVSPPPLNTVRCGCYTLTPLDIAACPPLSVLSICRHPQLCIFAIRKAVSMS >ONIVA01G12900.8 pep chromosome:AWHD00000000:1:10260750:10269596:-1 gene:ONIVA01G12900 transcript:ONIVA01G12900.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRQGVWLLDPFVSVDLHGGPLCAGSHDAALIPFPSSYAPVVKSVQGLCMVLQGAGKSVGSWKQQCKPGFLSVVAVRRLVKPMDNLPASSTPRLHFVAALNHPSSFPGGSSPLPSPSQCHRRLANRPTTMRLSPPPSPRVVSPPPLNTVRCGCYTLTPLDIAACPPLSVLSICRHPQLCIFAIRKAVSMS >ONIVA01G12890.1 pep chromosome:AWHD00000000:1:10248161:10251279:-1 gene:ONIVA01G12890 transcript:ONIVA01G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRVDAAACDSWRPPPTPPPPHARRALALRVGGGGGGPAFASLTVGEGKGKQQQLPAAGVLVRHPLAMLALVPNAVALFAAGAAAGAVAKTITAPLDRVKLLMQTHSVRVVGESTKKGIGFLEAIAEIGKEEGLKGYWKGNLPQVIRIVPYSAVQLFSYEVYKKFFRRKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHSTMSQVAMNMLRDEGLASFYGGLGPSLIGIAPYIAVNFCVFDLMKKSVPEKYKSRPETSLATALLSATFATLMCYPLDTVRRQMQMKGSPYNTVLDAIPGIVERDGLIGLYRGFVPNALKNLPNSSIKLTAFDTVKTLISTGQKELEKLMQENQEKMS >ONIVA01G12890.2 pep chromosome:AWHD00000000:1:10247574:10251279:-1 gene:ONIVA01G12890 transcript:ONIVA01G12890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRVDAAACDSWRPPPTPPPPHARRALALRVGGGGGGPAFASLTGEVGEGKGKQQQLPAAGVLVRHPLAMLALVPNAVALFAAGAAAGAVAKTITAPLDRVKLLMQTHSVRVVGESTKKGIGFLEAIAEIGKEEGLKGYWKGNLPQVIRIVPYSAVQLFSYEVYKKFFRRKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHSTMSQVAMNMLRDEGLASFYGGLGPSLIGIAPYIAVNFCVFDLMKKSVPEKYKSRPETSLATALLSATFATLMCYPLDTVRRQMQMKGSPYNTVLDAIPGIVERDGLIGLYRGFVPNALKNLPNSRCGEVMLEN >ONIVA01G12890.3 pep chromosome:AWHD00000000:1:10248161:10251279:-1 gene:ONIVA01G12890 transcript:ONIVA01G12890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRVDAAACDSWRPPPTPPPPHARRALALRVGGGGGGPAFASLTGEVGEGKGKQQQLPAAGVLVRHPLAMLALVPNAVALFAAGAAAGAVAKTITAPLDRVKLLMQTHSVRVVGESTKKGIGFLEAIAEIGKEEGLKGYWKGNLPQVIRIVPYSAVQLFSYEVYKKFFRRKDGELTVFGRLAAGACAGMTSTLVAMNMLRDEGLASFYGGLGPSLIGIAPYIAVNFCVFDLMKKSVPEKYKSRPETSLATALLSATFATLMCYPLDTVRRQMQMKGSPYNTVLDAIPGIVERDGLIGLYRGFVPNALKNLPNSSIKLTAFDTVKTLISTGQKELEKLMQENQEKMS >ONIVA01G12880.1 pep chromosome:AWHD00000000:1:10242226:10246839:-1 gene:ONIVA01G12880 transcript:ONIVA01G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRESVGCTLKLQMRSTALSCTRLTCTALYLDHHHHQSTTPGSHRVHPPRRLEASLRCNHRASRRRLLFLRLDEVLHNCGKMGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRQWYIQSTCATTGEGLYEGLDWLSSNIANKSCYYKT >ONIVA01G12880.2 pep chromosome:AWHD00000000:1:10242226:10246599:-1 gene:ONIVA01G12880 transcript:ONIVA01G12880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREATRAHEIDLQQGWYAQLLSLSPLLSDLRLALGALLGRDAVALALAANRRCCCCCPGPDPWSGGVDLCSRVLHNCGKMGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRQWYIQSTCATTGEGLYEGLDWLSSNIANKSCYYKT >ONIVA01G12880.3 pep chromosome:AWHD00000000:1:10242660:10246599:-1 gene:ONIVA01G12880 transcript:ONIVA01G12880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREATRAHEIDLQQGWYAQLLSLSPLLSDLRLALGALLGRDAVALALAANRRCCCCCPGPDPWSGGVDLCSRVLHNCGKMGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRQWYIQSTCATTGEGLYEGLDWLSSNIANKYLSRSHLSIDCRPDWMTRIPHYLAVAFSGERRRWSF >ONIVA01G12870.1 pep chromosome:AWHD00000000:1:10240820:10242193:1 gene:ONIVA01G12870 transcript:ONIVA01G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAAACHSPARLVVTCSSSATPAPPRRPLRVAVVGGGPAGASAAEALASAGAQAFLLERNPSGAKPCGGAIPLCMLDEFAIPRDLVDRRVTRMRVLSPSNLAADFSRALPPGAHIPMLRREVLDSFLRRRAADAGATLVPGLVTSLSLPADPATDPYLVHYISSDSGGPSSSRCVLEVDAVIGADGANSRVAREVGAGDYSTAIAFQERIRLPDEAMAYYDDLAEMYVGGDVSPDFYGWVFPKCDHVAVGTGTVAAKPEIKRLQSGIRARAAGKVAGGRVVRVEAHPIPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAARSGRLCGRAMADEWRLTGAVTEAGIRAGYLRRWDDEFRPTFRFLDLLQRVFYGGNAGREALVEMCADEHVQRRTFDSYLHKRMAPAEPWGDLRLLWRTAAAMVRCGVLGREVERLRRLEQQAADQVLLRH >ONIVA01G12860.1 pep chromosome:AWHD00000000:1:10235466:10239467:1 gene:ONIVA01G12860 transcript:ONIVA01G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:modifier of snc1,4 [Source:Projected from Arabidopsis thaliana (AT3G18165) TAIR;Acc:AT3G18165] MASSSGTREVLMLEAPPAPSGAPWRAPPDAEAVDALPYIDGDYGDPAVKREVDRMVEEEMRRGSRKPADFLRDLPPVPAIGFENHPMLAKEYERVRAGKPPATIEMSRYGLEPPPANKRNDVAAWRQALRNAQSQLHHQIIRIENLELMLKYGVEVWKLQNRQMESVLSRMQKMAVEYNEKIETVNRERKFHQQNTGGQLHALTTEWQELCQKNIAIQAACVDLQNQIDQLKLGAKEFKPTFLVGVVP >ONIVA01G12850.1 pep chromosome:AWHD00000000:1:10226960:10229803:-1 gene:ONIVA01G12850 transcript:ONIVA01G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFKSSNGATTEKNLTLEEQQEKINDLRKELGEHSSEEIQDFLSDASCLRFLRARNWNVQKASKMMKSAVKWRVSYMPQKINWDDVAHEAETGKIYRADYKDKHGRTVLVLRPGLENTTSGKGQIKYLVYCLEKAIMNLTEDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKIVKPFLDHETYKKVKFVYSSDKESQKIMADVFDLDKLDSAFGGRNPATFEYNSYAERMRADDIKMGSSFKSNGITLPQDHSHVSADKEANGGGSDASSEASFYRALKMVKLD >ONIVA01G12850.2 pep chromosome:AWHD00000000:1:10227457:10229803:-1 gene:ONIVA01G12850 transcript:ONIVA01G12850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFKSSNGATTEKNLTLEEQQEKINDLRKELGEHSSEEIQDFLSDASCLRFLRARNWNVQKASKMMKSAVKWRVSYMPQKINWDDVAHEAETGKIYRADYKDKHGRTVLVLRPGLENTTSGKGQIKYLVYCLEKAIMNLTEDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKIVKPFLDHETYKKVKFVYSSDKESQKIMADVFDLDKLDSAFGGRNPATFEYNSYAERMRADDIKMGSSFKSNGITLPQDHSHVSADKEANGGGSDASSEASFYSGTDSPNHEEGGSILA >ONIVA01G12840.1 pep chromosome:AWHD00000000:1:10218013:10219590:1 gene:ONIVA01G12840 transcript:ONIVA01G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYRVAPRPVYLSAAAAAGGDHGDGPRRLPRGVAGGGGAELDIFSAERYFNADDVVKKEEYRDEEQPRQEVAVDAASQSGRTVACSSEASWTSRSGLLSGHAQSAASAGAAAKLQMGGANGGGGAAAAAASAHRGKKPGSGQRWGLFSRDCPCAGRKAVTVDVASEPRSPATPRTHARFENSHIVADSTIFKANAPPPPPPCEEEPVKMKISPGSSTFPPPLANSIFAAAPNRGGGGGGAAPFAAFPAPDIVGRRVVSSGGFTFPVAVGAAKVVSTVGDEPPRESLEVFRPIDEESVLADPPADHLATVGGRGGGGGGLAAGFARAPGVAAVATDEEAMSDASSDLFDLESFAASSSFPTTCRGRSSRRNSREDDDDDEDLPYGAAAAAAAAVEPALSECMYAPSEVSVVWSVATAEGGAFDAASVANFSSAASACCVEEFSFVPPPDSAATAGGGGHEGFTAAMSRSAARKKGGGFLSSCRCEKAVSVGPTPVRMVRPEVNVKTTGGGAARYHPGRVRMPVRT >ONIVA01G12830.1 pep chromosome:AWHD00000000:1:10211592:10215358:1 gene:ONIVA01G12830 transcript:ONIVA01G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEASPPAPPEIPTRCHHCAGPLSKDMETSSWTVPPLVRDSFSMIGSAIGGTAGAFYGFNHSTSSYCLLFCMCWVSRWDNTSACTAGIIILSLSHSVVLLCPHNFS >ONIVA01G12830.2 pep chromosome:AWHD00000000:1:10211710:10215360:1 gene:ONIVA01G12830 transcript:ONIVA01G12830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEASPPAPPEIPTRCHHCAGPLSKDMVRVIPLPPPHHSSSSPPRDLSPWSCRLRCRSRGWLETSSWTVPPLVRDSFSMIGSAIGGTAGAFYGFNHSTSSYCLLFCMCWVSRWDNTSACTAGIIILSLSHSVVLLCPHNFS >ONIVA01G12820.1 pep chromosome:AWHD00000000:1:10208934:10210294:1 gene:ONIVA01G12820 transcript:ONIVA01G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQRRRRRGGSLAVLLLLLLLAALLLSLSLLARVDAAAATVSSANLDWNEGEVAVATPLGQEAVAAAEEEGDRPPERVEMESINDYGQASANNRHNPHP >ONIVA01G12810.1 pep chromosome:AWHD00000000:1:10203252:10203527:-1 gene:ONIVA01G12810 transcript:ONIVA01G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASRAAMRSSDFQTAAVGIGFALSCGVLLVVMSLVLPLPRVYQAVMSDWALIIFFAAGVQAHKRPIIWYPLADLILKPNNKPKPPAAY >ONIVA01G12800.1 pep chromosome:AWHD00000000:1:10197521:10197790:-1 gene:ONIVA01G12800 transcript:ONIVA01G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASRAAMRSSDFQTAAVGMGFSLSCGVLLVVMSLLPLPRVYQAVMWECALLLFFAAGAQAYKRPIIWYPLADLILKPNNKPKPPAA >ONIVA01G12790.1 pep chromosome:AWHD00000000:1:10187548:10187841:-1 gene:ONIVA01G12790 transcript:ONIVA01G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYFDANDEASEICKQLLMNIKNMQRNYLSMDSFLATISDSVAATDGTVAPLAAVRSNPLSRSATRRHGTASGGSTTGTRPFSTPSSRATGRWRGS >ONIVA01G12780.1 pep chromosome:AWHD00000000:1:10186365:10207273:1 gene:ONIVA01G12780 transcript:ONIVA01G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKKNATVPPPPRLAARLLASSTRSDVAAARMSSRSMKPHLPFFSSGVAAFLLVSLNKFHHHRNKLELDMNGRVPVVDPPEAVPCRRVAERDNGLLRTAASGATVPSVAATLSEMVARKLSMDSDEDKDSFMHLVSRYLIREEKEMIDWNKVERPTPEMVVPYDSLVQAPRDIPEIRNLLNKLAVLKLNGGLGTTMECVAPKCTIEVRSGLTFLDLAIMQTEIVEKYTNIEIHTFNQNKYPRIITEKFLPLSSEGSTGSHCWYPPGYGDVFFSLCKSGILDTMLSQGKEYVFIASSENLGATVDIEIESLTSTEILNHLIHNKNEYCMELLEIFQVPYENVAFGYRRVNLKAIKRLVKAEALKMEIIPNLKEVDGVKVLQLEKEAGSAIQCFEKAIGVTVPRSRFLAVKNTSDLFLILSDLYIVMDGTVTRNPARDNSTNPLIDLGPEFRKVDSFLDRFKSIPSIVALDSLKISGDVWFGSRITLKGEVTIAAQLGLKLDILDGSVFDNKHSTHSSGAIKYTMKLDEGADCASIDSALNRLNPGSTLILKKDDFYRYIDPIQAQNRAMFHSESNCIITATLKDNWFAKLVQGKLWLIILVFHQFKLRSRRRLRWGERRRRTLRSIHQDIQSYLDNYSDSDMWHLIVHSVARVISNVLEDVNRNYMKNHYLGFLAIHSTVFAIEVIGSYAVELNYDIEHYAEPPEQVLKVPFLQGEPKIKFKIMMPAQLNPVPDPDPTQGIKKPQEMKGLADKMQSAGKHLDDDLVSYILASMDVDFNPVVTSVANRTKAITVGELFARLVSFETRMESWRLSTAGKVVTAAADVGATITFFPWKQILIVRFVIIIASKLFLLPFHIIRYFNYFFKFLSLTKLTCKKSNTYKIKLVSLNLTLNIFLNNIFWVKNIVIFLL >ONIVA01G12770.1 pep chromosome:AWHD00000000:1:10177559:10180444:-1 gene:ONIVA01G12770 transcript:ONIVA01G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKDPGIKLFGRVIPLAPEAEAAAAADGSDQPEAAAAAAEVEPAAQDEDHHKETEERKDDEMKVDVPQEEEDNEMKVDAPQEKKDNEVTADVPEEKGNDEMRVDASESIESIEPVSRSTLDNKKEDQGQMNNVEEKAASDSKDENEKTANDESGQDKVLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKSSSLHYRHLLMAPDCMMGSRVEISKSMNPEAFASAHSTPIQPIGRNETVLKFGPEVPLCESMASVLNIQEQNGTNAAAVPTGENQEDNSCISSITSHNVLPENAAQVDKNSTPVYCNGVGPVPQYYLGAPYMYPWNIGWNNVPMMVPGTSMPESASQSESCSTSSAPWMNMNSPMMPVASRLSAPPFPYPLVPPALWGCLSSWPATAWNIPWIRTNGGCMSPSSSSNSSCSGNGSPLGKHSRDSSLPLKEDKEEKSLWIPKTLRIDDPDEAAKSSIWATLGIKPGDPGIFKPFQSKGESKGQAASETRPARALKANPAALSRSQSFQETS >ONIVA01G12760.1 pep chromosome:AWHD00000000:1:10159087:10161921:1 gene:ONIVA01G12760 transcript:ONIVA01G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTATTPSAPPPPPPPPAACAARYDFLNSKPPPNYVARLGRGAAGFTTRSDIGPAMGRGRGKPPGDDGDGDGGGDEEEKGYDENQKFDEFEGNDAGLFSNAGHDDEDDDREADAVWEGIDRRMDSRRKDRREARLKQEIERYRASNPKITEQFADLKRKLVDLSAQEWESIPEIGDYSLRRNKKRRFESFVPVPDTLLEKARQEQGHVTALDPKSRAAAAGAETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVFGVTIVDPRGYLTNLKSMKITSDAEISDIKKARLLLKSVIQTNPKHPPGWIAAARLEEVAGKLQVARQLIQRGCEECPTNEDVWLKACRLASPDEAKAVIARGVKEIPNSLKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKSVVELANEEDARLLLHRAVECCPFHLELWLALARLETYDQAKKVLNKAKEKLPKEPAIWIMAAKLEEANGNTESVNEVIERSIKTLQGKGLGIDREAWLKEAEAAEHAGSVMTCQAIVKNTIGVGVDDEDRKRTWVADAEECKKRGSVDTAPAIYAHALSVFKFKKSIWLKAAQLEKRHGTEESLYILLSKATTYNRHAEVLWLMYAKEKWLAGDVPAAQTILQEAYAYLPNSEEIWLAAFKLEFENNEPERARILLSKARERGGTERVWMKSAIVERGLGNVDEERKLLEEGLKLFPSFFKLWLMLGQMEDRLGHVAKAKEANENALKHCPSCIPLWLSVANLEEKINGLRKSRAVLTMARKKNPATPELWLAAVRAELRHRNKKEADALLAKALQECPTSGILWAAAIEMVPRPQRKAKSSDAIKRCDHDPHVIAALAKLFWHDRKFDKARSWLNRAVTLAPDIGDFWALYYKFELQHGNADTHKDVVQRCVASEPKHGERWQAIAKAVENSHLSIEALLKKAVVALGQDENPNAVDP >ONIVA01G12750.1 pep chromosome:AWHD00000000:1:10152744:10157514:1 gene:ONIVA01G12750 transcript:ONIVA01G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mediator complex subunit Med27 (InterPro:IPR021627); Has 112 Blast hits to 112 proteins in 38 species: Archae - 0; Bacteria - 0; Metazoa - 79; Fungi - 0; Plants - 23; Viruses - 0; Other Eukaryotes - 10 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G09180) TAIR;Acc:AT3G09180] MQQAQASAVVAAPVAAAAAAGATGHQHEGARGDAPPKQVAQAMERLGRAGRLIADIRLGADRLLEALFVAGDAPPHSAPQHVEKTALAVAQEEAAMHRHFDDLRALGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEGFNHLHDGEPGLAKKPRLPASNGELEERTLSEILKNLENEVPNMKIFTYRHLDWSKRAASLATLMNDDFVDPSKELNLQNMSKSGSGDTTPIDQVAIIELLAPSIFRAIVSLHPAGSTDPDAVAFFSPTEEHADKALQYFVSVEPTKSLSLLLRWIASYQTLFTKVCSKCGRLLLMDKSLALLLPPVQRPYHHQTSSVGSDPQDAYHIGCSSYDA >ONIVA01G12730.1 pep chromosome:AWHD00000000:1:10144058:10153049:-1 gene:ONIVA01G12730 transcript:ONIVA01G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWATASAVFSTCCGALCGGASPATKSASSRRSAPSRMSAMSRPARPSRSIACATCFGGASPLAPSCWCPVAPAAAAAATGAATTADACACCIFLFSPSRFRLETPHLNKPISKQAQIQYKPISIQAQIQTSSYPNSAHAIGFQRFERLRFASHAAAAPPPPPSAMPLAGGEPRPPPPLPSLVKLGRAVTPRRVDILLAALLRRRRHRLVGALASQAVANSVRPTARTHLLVASALLDSSRPRDAAARLALAGPATSSARRLWDALLRRACAVRGDARRALEVLSAGVEECGLVFSPSTYRVALTMLCARGDMETALKVFDVMAAAGCQVDDRVCSVIISGFSKVGKAGDGLEFYRRVRREFNGFEPGLITLTAFVDVLGRVGRTTEAAQLVREMEGKGLVGDAVLYGSLVHGYMSSGLLMKGLREHRVMLDKGIAADVVSYTTVIDGLCRERSVEKVMGFLDEMNRRDAKPNLITYTSLIGGFCKRNRLDDAFSIVRKLEQTGVVVDEYVYSILIDNLCKKGYLDRAFSLLEEMDKKGIKIGIVTYNSVINGLCKVGHTKKAVEIYEGIAADNFTYSTLLHGHIKVEDATGVMAIKGRLESSGVTIDVVTCNVLIKALFMIKKVDDACSLFLRMPEMRLRPNIVTYHTVIDMMCKLGETDRALQLFDDYKEDILFSSTIVHNCLIIALCNGGKVNMAEQIFNDLIQRNLRPDPCTYKKLIHTHFKEGGEHGVLNFILKVDGSEIDLFSSLCNYASAFLSTRDCYQGALDVYKLLRMKSFTVTSKTCYRLLKCLLRNGHEQTIQPLLSQFIKIHGLDEPRMINMLSCHLSKNSVGEAIGFSNYMNNGRVPVSVLREAAYTLKREGRILDACNFLKEAEQNGYSVDLAMYSIVVEGLCKSGYLEKALDLCESMKEEGIQPNIVIHNSVLNGLCQQGCLTEAFRLFDYLESSEVLPTLVTYSILIAALCREGFLDDAHELFQKMSTKGIKPTTRVYNLLISGYCNYGLTEKALELISHFEEIFLFPDAFTIGAIINGHCLKGDIESALGFFSEYYHKEMVPDFVGFMSLVKGLYAKGRMEESRSILREMFQCKEVAEFINSVGDKIQAESFVGLLFSACEQGRIDEVVTILNEVALMSVSSSDSNNCSTLSHLKNVETPVAYDRNMDNPGQVLCSTTYGVSSNCLHGISEGTVQPTIDGAENLCTPSDDTDIYYDNLLRNSFHDDFDTYYPAIASLCLKGELIKANKAIEAMIQNSISSLANKPEQCNLDEHPECLRFQTSVGTNVKASTAGVLGGIACSEGEYVGAGDGAGAGCFEGGLDLVDDLETPEGVHVRATLQVLCQPSTISFVKTTIKSTGSSDGSSMVGERMCSTMVHFVVQHRC >ONIVA01G12730.2 pep chromosome:AWHD00000000:1:10145769:10153049:-1 gene:ONIVA01G12730 transcript:ONIVA01G12730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWATASAVFSTCCGALCGGASPATKSASSRRSAPSRMSAMSRPARPSRSIACATCFGGASPLAPSCWCPVAPAAAAAATGAATTADACACCIFLFSPSRFRLETPHLNKPISKQAQIQYKPISIQAQIQTSSYPNSAHAIGFQRFERLRFASHAAAAPPPPPSAMPLAGGEPRPPPPLPSLVKLGRAVTPRRVDILLAALLRRRRHRLVGALASQAVANSVRPTARTHLLVASALLDSSRPRDAAARLALAGPATSSARRLWDALLRRACAVRGDARRALEVLSAGVEECGLVFSPSTYRVALTMLCARGDMETALKVFDVMAAAGCQVDDRVCSVIISGFSKVGKAGDGLEFYRRVRREFNGFEPGLITLTAFVDVLGRVGRTTEAAQLVREMEGKGLVGDAVLYGSLVHGYMSSGLLMKGLREHRVMLDKGIAADVVSYTTVIDGLCRERSVEKVMGFLDEMNRRDAKPNLITYTSLIGGFCKRNRLDDAFSIVRKLEQTGVVVDEYVYSILIDNLCKKGYLDRAFSLLEEMDKKGIKIGIVTYNSVINGLCKVGHTKKAVEIYEGIAADNFTYSTLLHGHIKVEDATGVMAIKGRLESSGVTIDVVTCNVLIKALFMIKKVDDACSLFLRMPEMRLRPNIVTYHTVIDMMCKLGETDRALQLFDDYKEDILFSSTIVHNCLIIALCNGGKVNMAEQIFNDLIQRNLRPDPCTYKKLIHTHFKEGGEHGVLNFILKVDGSEIDLFSSLCNYASAFLSTRDCYQGALDVYKLLRMKSFTVTSKTCYRLLKCLLRNGHEQTIQPLLSQFIKIHGLDEPRMINMLSCHLSKNSVGEAIGFSNYMNNGRVPVSVLREAAYTLKREGRILDACNFLKEAEQNGYSVDLAMYSIVVEGLCKSGYLEKALDLCESMKEEGIQPNIVIHNSVLNGLCQQGCLTEAFRLFDYLESSEVLPTLVTYSILIAALCREGFLDDAHELFQKMSTKGIKPTTRVYNLLISGYCNYGLTEKALELISHFEEIFLFPDAFTIGAIINGHCLKGDIESALGFFSEYYHKEMVPDFVGFMSLVKGLYAKGRMEESRSILREMFQCKEVAEFINSVGDKIQAESFVGLLFSACEQGRIDEVVTILNEVALMSVSSSDSNNCSTLSHLKNVETPVAYDRNMDNPGQVLCSTTYGVSSNCLHGISEGTVQPTIDGAENLCTPSDDTDIYYDNLLRNSFHDDFDTYYPAIASLCLKGELIKANKAIEAMIQNSISSLANKPEQCNLDEHPECLRFQTSVGTNVKASTAGVLGGIACSEGEYVGAGDGAGAGCFEGGLDLVDDLETPEGVHVRATLQVLCQPSTISFVKTSKECYSRREITVP >ONIVA01G12730.3 pep chromosome:AWHD00000000:1:10144058:10153049:-1 gene:ONIVA01G12730 transcript:ONIVA01G12730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWATASAVFSTCCGALCGGASPATKSASSRRSAPSRMSAMSRPARPSRSIACATCFGGASPLAPSCWCPVAPAAAAAATGAATTADACACCIFLFSPSRFRLETPHLNKPISKQAQIQYKPISIQAQIQTSSYPNSAHAIGFQRFERLRFASHAAAAPPPPPSAMPLAGGEPRPPPPLPSLVKLGRAVTPRRVDILLAALLRRRRHRLVGALASQAVANSVRPTARTHLLVASALLDSSRPRDAAARLALAGPATSSARRLWDALLRRACAVRGDARRALEVLSAGVEECGLVFSPSTYRVALTMLCARGDMETALKVFDVMAAAGCQVDDRVCSVIISGFSKVGKAGDGLEFYRRVRREFNGFEPGLITLTAFVDVLGRVGRTTEAAQLVREMEGKGLVGDAVLYGSLVHGYMSSGLLMKGLREHRVMLDKGIAADVVSYTTVIDGLCRERSVEKVMGFLDEMNRRDAKPNLITYTSLIGGFCKRNRLDDAFSIVRKLEQTGVVVDEYVYSILIDNLCKKGYLDRAFSLLEEMDKKGIKIGIVTYNSVINGLCKVGHTKKAVEIYEGIAADNFTYSTLLHGHIKVEDATGVMAIKGRLESSGVTIDVVTCNVLIKALFMIKKVDDACSLFLRMPEMRLRPNIVTYHTVIDMMCKLGETDRALQLFDDYKEDILFSSTIVHNCLIIALCNGGKVNMAEQIFNDLIQRNLRPDPCTYKKLIHTHFKEGGEHGVLNFILKVDGSEIDLFSSLCNYASAFLSTRDCYQGALDVYKLLRMKSFTVTSKTCYRLLKCLLRNGHEQTIQPLLSQFIKIHGLDEPRMINMLSCHLSKNSVGEAIGFSNYMNNGRVPVSVLREAAYTLKREGRILDACNFLKEAEQNGYSVDLAMYSIVVEGLCKSGYLEKALDLCESMKEEGIQPNIVIHNSVLNGLCQQGCLTEAFRLFDYLESSEVLPTLVTYSILIAALCREGFLDDAHELFQKMSTKGIKPTTRVYNLLISGYCNYGLTEKALELISHFEEIFLFPDAFTIGAIINGHCLKGDIESALGFFSEYYHKEMVPDFVGFMSLVKGLYAKGRMEESRSILREMFQCKEVAEFINSVGDKIQAESFVGLLFSACEQGRIDEVVTILNEVALMSVSSSDSNNCSTLSHLKNVETPVAYDRNMDNPGQVLCSTTYGVSSNCLHGISEGTVQPTIDGAENLCTPSDDTDIYYDNLLRNSFHDDFDTYYPAIASLCLKGELIKANKAIEAMIQNSISSLANKPEQCNLDEHPECLRFQTSVATLQVLCQPSTISFVKTTIKSTGSSDGSSMVGERMCSTMVHFVVQHRC >ONIVA01G12710.1 pep chromosome:AWHD00000000:1:10128389:10129411:1 gene:ONIVA01G12710 transcript:ONIVA01G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAVGAAANGVAAFFSNTLFAPFRSLLDVSCDGVCAGTWDAFCFIDHLCALSLGKLILFLVLSYLMLLVMWKLGGKCVLKSACKTAMAACSCCCHAMAAAPCYLWRPLRSTRRVRRGRRRRRDDDVEEGRGGGGGWGGSSSGFGWSSNEEEEEEEEEEEEEEGGSSSTGGGEYGGGRRRHGRSGGGGARKQERMRRSLRLRPASFKEKAVATAARRSRSSHGHGESGGGGGGRRLRRVGSSSRRM >ONIVA01G12700.1 pep chromosome:AWHD00000000:1:10119967:10127578:1 gene:ONIVA01G12700 transcript:ONIVA01G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGWETLASCPASVIHSLIKVLLVVTFMQWPATAIVVVPNSNCFTFDNDSRLVDFADLIGKNFEYNEKGSVPSDLVVQFCKDVQRRSQAGYTDFGRFISSRSFITGSQPIDFIQTFQYGDLVHCETTFEKMGRTSQVYNGLTQLGFEQSHHEFSFQGEQSHVSLYLSAVFSLSGLVGKPSLKVNPVKGLDVTLTGSGTNGAMPTTLSPTILNVIWRCEIARSSPYEVNILIPVEGYDPIEFTLTKECGHIQEKESNPMRGWATFGIISCIFIVLSSLLCCGGFIYKTRVQHQSGLYALPGMTILSAFLDAVGGPSYMRADDHGGNHASQASWERMPGTSQAADHGTKDRRYGSL >ONIVA01G12700.2 pep chromosome:AWHD00000000:1:10120558:10127578:1 gene:ONIVA01G12700 transcript:ONIVA01G12700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLLVVTFMQWPATAIVVVPNSNCFTFDNDSRLVDFADLIGKNFEYNEKGSVPSDLVVQFCKDVQRRSQAGYTDFGRFISSRSFITGSQPIDFIQTFQYGDLVHCETTFEKMGRTSQVYNGLTQLGFEQSHHEFSFQGEQSHVSLYLSAVFSLSGLVGKPSLKVNPVKGLDVTLTGSGTNGAMPTTLSPTILNVIWRCEIARSSPYEVNILIPVEGYDPIEFTLTKECGHIQEKESNPMRGWATFGIISCIFIVLSSLLCCGGFIYKTRVQHQSGLYALPGMTILSAFLDAVGGPSYMRADDHGGNHASQASWERMPGTSQAADHGTKDRRYGSL >ONIVA01G12700.3 pep chromosome:AWHD00000000:1:10120558:10127578:1 gene:ONIVA01G12700 transcript:ONIVA01G12700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLLVVTFMQWPATAIVVVPNSNCFTFDNDSRLVDFADLIGKNFEYNEKGSVPSDLVVQFCKDVQRRSQAGYTDFGRFISSRSFITGSQPIDFIQTFQYGDLVHCETTFEKMGRTSQVYNGLTQLGFEQSHHEFSFQGEQSHVSLYLSAVFSLSGLVGKPSLKVNPVKGLDVTLTGSGTNGAMPTTLSPTILNVIWRCEIARSSPYEVNILIPVEGYDPIEFTLTKECGFIYKTRVQHQSGLYALPGMTILSAFLDAVGGPSYMRADDHGGNHASQASWERMPGTSQAADHGTKDRRYGSL >ONIVA01G12700.4 pep chromosome:AWHD00000000:1:10120046:10127578:1 gene:ONIVA01G12700 transcript:ONIVA01G12700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSQVYNGLTQLGFEQSHHEFSFQGEQSHVSLYLSAVFSLSGLVGKPSLKVNPVKGLDVTLTGSGTNGAMPTTLSPTILNVIWRCEIARSSPYEVNILIPVEGYDPIEFTLTKECGHIQEKESNPMRGWATFGIISCIFIVLSSLLCCGGFIYKTRVQHQSGLYALPGMTILSAFLDAVGGPSYMRADDHGGNHASQASWERMPGTSQAADHGTKDRRYGSL >ONIVA01G12700.5 pep chromosome:AWHD00000000:1:10120046:10127578:1 gene:ONIVA01G12700 transcript:ONIVA01G12700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSQVYNGLTQLGFEQSHHEFSFQGEQSHVSLYLSAVFSLSGLVGKPSLKVNPVKGLDVTLTGSGTNGAMPTTLSPTILNVIWRCEIARSSPYEVNILIPVEGYDPIEFTLTKECGHIQEKESNPMRGWATFGIISCIFIVLSSLLCCGGFIYKTRVQHQSGLYALPGMTILSAFLDAVGGPSYMRADDHGGNHASQASWERMPGTSQAADHGTKDRRYGSL >ONIVA01G12690.1 pep chromosome:AWHD00000000:1:10113472:10118894:-1 gene:ONIVA01G12690 transcript:ONIVA01G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSSAAAASGGGRGPAHHHRTRLLLLLLLAVAACASTAGFLLRGAMLDPCDVDARRGSGSSAAAVATTRTGAVAGNPLEFMRSKLVLLVSHELSLSGGPLLLMELAFLLRQVGSQVVWITNQRSEETNDVTYSLEHKMLSHGVQVLPARGHEAIDTALKADLVILNTAVAGKWLDAVLNDHVPQVLPKILWWIHEMRGHYFKLEYVKHLPLVAGAMIDSHTTAEYWKTRTHDRLKIQMPQTYVVHLGNSKELMEVAEDNVARRVLREHIREFLGVRSEDLVFAIINSVSRGKGQDLFLQAFYQGVQLIEQKKLKVPTMHAVVVGSDINAQTKFETQLRDFAVKNGIQDRVHFVNKTLAVAPYLAATDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVVDGSTGLLHPAGKEGVAPLAKNMVRLASHEEDRVSMGRKGYGRVKEMFMEHHMAGRIAAVLQEVLKKSREHSHS >ONIVA01G12680.1 pep chromosome:AWHD00000000:1:10110815:10114810:1 gene:ONIVA01G12680 transcript:ONIVA01G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVVEGTTMVGWVVSGVAFWWTAFLLARGAFPKRSYDFCNRAVSAAHAAAAVSLACLSVADWSRPLSPLAAASSPPQMKALAVTLSYMVYDAACCYLNDDVRVDNTVHHLVSIVGIAAGLAYRRCGTEMVASLFVTEISSPLLHLREILKEFGIKDTDLNLLVDILFAVIFSVARMGFGPYLTYVTVTADNPILIKAMATGLQLVSAYWFMRILRMVRHKLGKKRPAPKVAGD >ONIVA01G12670.1 pep chromosome:AWHD00000000:1:10097235:10097764:-1 gene:ONIVA01G12670 transcript:ONIVA01G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTCGGGHIKLPPPPLLLFALTADLASTRGASGGGTSGKAWGGAVKPQEVEIGRVLRAADSVAARVAGGGSGVCSGHGRRRRSSHGRRYRRQTSGRWVKALEVELGRGLYAADLASA >ONIVA01G12660.1 pep chromosome:AWHD00000000:1:10096289:10097195:-1 gene:ONIVA01G12660 transcript:ONIVA01G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVARARFGRRGFDGGSSSTVPARKAASVKLDTTCTDSGTSLPCGSWIFRNDTPIASERHADLADDELRALVVAVDLGEAGERVGDDAAGEGEEVAEKVRQAGDEGGDDATSSKSKPPAIELGLG >ONIVA01G12660.2 pep chromosome:AWHD00000000:1:10095655:10097195:-1 gene:ONIVA01G12660 transcript:ONIVA01G12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVARARFGRRGFDGGSSSTVPARKAASVKLGLQGECCARAPDGSWKNRTPPASAPLPLLF >ONIVA01G12650.1 pep chromosome:AWHD00000000:1:10083304:10084743:1 gene:ONIVA01G12650 transcript:ONIVA01G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCINGGELHVRVVHRRLVKASDESIRPHVLAVSNLDLIPRTIQVSMFCIYPKPSTGGDFHDVVAAFAAGLPSLLNHFFPLAGRIVSNPCSGLPEIHCHNQGAELVVGEADVALASLDYGTVGASVGKILLPYAGDVALSVQVVSFACGGFTVAWGTNHVVVDGSALSMLVSAWSELARSGTLAAGARPNHDRSVFRPRSPPSYGASLDEAFTPLDGARQVNVLTSDESFVGVRLYYIEAADIARLREQARATRVQAVSAYLWKALAAVVGSRDARCRMVWWVDGRRRLTLSSSPELRAAMRSYVGNVTTFAVAEATVEEIQRKPLAEVASMARDAIAAPAYGEHFQELVDWVEEHKAGKQRYIDTASVGLGSPAVSVTAFASFEVDTDFGHGHAAMALPTSSSSARLCTGFVQIAARPGGGDGSWIASALLWPRLAAALESDERLGRIFKPVTAEYLGLRPASGSSAKRAGMITSKI >ONIVA01G12640.1 pep chromosome:AWHD00000000:1:10074465:10075949:-1 gene:ONIVA01G12640 transcript:ONIVA01G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCINGGELQVRVVSRRLVQASDESLRLHVLAVSNLDLLPQSIQVSMICIYPNPPTSAGGFHDVVAAFAAGLPSLLNHFFPLAGRIVSNPCSGLPEIHCHNQGAELVVGEADVALASLDYGRVGESIQKILLPYAGDVALSVQVVSFACGGFTVAWGTNHVVVDGSALSMLVGSWSELARSGTLAAGARPNHDRSVFRPRATPSYGASLDEAFTPLDGNGERQINVLTRDQSLVRRLYYVEAADIARLQEMASLPAADGERATRVQAISAYLWKALAGVVRERDARCRMGWWVDGRRRLASPELRAATRNYVGNVVAYTVAEATVEEIQRKPLAEVASMARRAIAAPAYDEHFQELVDWVEEHKAPAPEQEQQRYVEAATVGLGSPTVSVTAFAPSLAADTDFGYGHAAMALPASSSSGARLCSGFVRIIARPGGDGSCFASVLVWPRLAAALEAGDGERLGRIFKPVTAEYLGLRPASGSSAKRAGMITSKI >ONIVA01G12630.1 pep chromosome:AWHD00000000:1:10066893:10070702:1 gene:ONIVA01G12630 transcript:ONIVA01G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19690) TAIR;Acc:AT1G19690] MALPRGVRSPKPMAAAAASASPPQPRPGHMLVLGTGFVGRYVSQRLLAQGWRVSGTCTSSAKKTELEMLGMDASVFDATSSRCFFFFFFLLFLFFVPLSLTNLRSLQDATHLLISIPPIPGIGDPLLSSHSNLQTTLSNSNLQWLCYLSSTSVYGDCGGAWVDEDHTVNPKTESVKLRYAAEKGWLNVIDDLDLSAFIFRLGGIYGPGRSAVDTIAKSKSLSRRQKSRESKQYTARIHVADICQAILASMSIRSARRIYNVVDDDPAPRSEVFAFARSLVERKHPGLIMDSVVLPATQDRIVAAEKRVSNARLKEELGVKLLHPTYKSGLQSILDSWSVESSFSNRNVDV >ONIVA01G12620.1 pep chromosome:AWHD00000000:1:10056350:10066370:-1 gene:ONIVA01G12620 transcript:ONIVA01G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSHMDVQLAYSLLLYLLLEKVKTTSAAAPHFARSLWLDPSVHPLLAARHVSPGRAPRPRGGGGGGNLGGTDWKSAKWATGPTPPVPTPHGPVGPTRQPHADPQVARVKQTTQPGPRFI >ONIVA01G12610.1 pep chromosome:AWHD00000000:1:10051972:10056229:-1 gene:ONIVA01G12610 transcript:ONIVA01G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMANQHPPQRRARASSGVPAARARGGRGCTGEPSRRAALILPIWTMESLRDMVLPPGFGFHPKDTELISHYLKKKIHGQKIEYEIIPEVDIYKHEPWDLPAKCDVPTKDNKWHFFAARDRKYPNGSRSNRATVAGYWKSTGKDRAIKMGKQTIGTKKTLVFHEGRPPTGRRTEWIMHEYYIDERECQACPDMKDAYVLCRITKRNDWIPGNGNELDNSDPHPEPYDAPPSVISTEQLNLAAEPVVGVEAAPVTVAEPDGSLASADLSPDEQNVESSNVGALAPKVEQDYSSPNENVVDDTEYLLPEDVYNILHPGTDDFNMLQNPLDQYPIQYATDVWSGIQKEELWSPQANAEPSQSNEAADNGIIRRYRSMKTPETSVPQFKGKTQAKMRVGINKMATSSSESINQTIKFENSGRLVEHQKNQAHYVASTKRSDAGKPSTELSSNRGFLRGIRNAFAGCSDARWNMILVAGFAIGVAVVALHIGQRLGLSQRDQQHT >ONIVA01G12610.2 pep chromosome:AWHD00000000:1:10051972:10056229:-1 gene:ONIVA01G12610 transcript:ONIVA01G12610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMANQHPPQRRARASSGVPAARARGGRGCTGEPSRRAALILPIWTMESLRDMVLPPGFGFHPKDTELISHYLKKKIHGQKIEYEIIPEVDIYKHEPWDLPAKCDVPTKDNKWHFFAARDRKYPNGSRSNRATVAGYWKSTGKDRAIKMGKQTIGTKKTLVFHEGRPPTGRRTEWIMHEYYIDERECQACPDMKDAYVLCRITKRNDWIPGNGNELDNSDPHPEPYDAPPSVISTEQLNLAAEPVVGVEAAPVTVAEPDGVTTSAITANIPSPSDDINLDDWLNELFDPFFDPEQSLASADLSPDEQNVESSNVGALAPKVEQDYSSPNENVVDDTEYLLPEDVYNILHPGTDDFNMLQNPLDQYPIQYATDVWSGIQKEELWSPQANAEPSQSNEAADNGIIRRYRSMKTPETSVPQFKGKTQAKMRVGINKMATSSSESINQTIKFENSGRLVEHQKNQAHYVASTKRSDAGKPSTELSSNRGFLRGIRNAFAGCSDARWNMILVAGFAIGVAVVALHIGQRLGLSQRDQQHT >ONIVA01G12610.3 pep chromosome:AWHD00000000:1:10051972:10055904:-1 gene:ONIVA01G12610 transcript:ONIVA01G12610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIWTMESLRDMVLPPGFGFHPKDTELISHYLKKKIHGQKIEYEIIPEVDIYKHEPWDLPAKCDVPTKDNKWHFFAARDRKYPNGSRSNRATVAGYWKSTGKDRAIKMGKQTIGTKKTLVFHEGRPPTGRRTEWIMHEYYIDERECQACPDMKDAYVLCRITKRNDWIPGNGNELDNSDPHPEPYDAPPSVISTEQLNLAAEPVVGVEAAPVTVAEPDGVTTSAITANIPSPSDDINLDDWLNELFDPFFDPEQSLASADLSPDEQNVESSNVGALAPKVEQDYSSPNENVVDDTEYLLPEDVYNILHPGTDDFNMLQNPLDQYPIQYATDVWSGIQKEELWSPQANAEPSQSNEAADNGIIRRYRSMKTPETSVPQFKGKTQAKMRVGINKMATSSSESINQTIKFENSGRLVEHQKNQAHYVASTKRSDAGKPSTELSSNRGFLRGIRNAFAGCSDARWNMILVAGFAIGVAVVALHIGQRLGLSQRDQQHT >ONIVA01G12600.1 pep chromosome:AWHD00000000:1:10045658:10054060:1 gene:ONIVA01G12600 transcript:ONIVA01G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKERPQPCVTPIEVSAFRNVRHSPSWSFRWDNRTHIEDIMEMPALFSNHSSGSIRPETKSGSIAPTDGFSNGGSPSDMFNKLKCHKSDRKRESSKIARSDLRGRSTTSNSSPEAKLSRKSLDTVSVASDSKMSISVPSTPPAISRADPSSSSRGHSLPTDADSMRKARRSPGYQLYRQVSDSKIPSLRSLNEGASPEGRPSSSMLSVCSNDLSAVGSHGESSDGWSMRTFSEMVASSQRERWSVDSELLGSVSSKMTRSNASNNPTTHSPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSLTAEADKYDPPCPVCTHGEKCTVKLFGKLESKTKNKIPKNVIVDVNLDGSSKHQKGSRREPRLGTSSSLKGSFSRPFLRRHFSIGSRPSQSISESESARKKGFWARHWRE >ONIVA01G12600.2 pep chromosome:AWHD00000000:1:10045590:10054060:1 gene:ONIVA01G12600 transcript:ONIVA01G12600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKERPQPCVTPIEVSAFRNVRHSPSWSFRWDNRTHIEDIMEMPALFSNHSSGSIRPETKSGSIAPTDGFSNGGSPSDMFNKLKCHKSDRKRESSKIARSDLRGRSTTSNSSPEAKLSRKSLDTVSVASDSKMSISVPSTPPAISRADPSSSSRGHSLPTDADSMRKARRSPGYQLYRQVSDSKIPSLRSLNEGASPEGRPSSSMLSVCSNDLSAVGSHGESSDGWSMRTFSEMVASSQRERWSVDSELLGSVSSKMTRSNASNNPTTHSPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSLTAEADKYDPPCPVCTHGEKCTVKLFGKLESKTKNKIPKNVIVDVNLDGSSKHQKGSRREPRLGTSSSLKGSFSRPFLRRHFSIGSRPSQSISESESARKKGFWARHWRE >ONIVA01G12590.1 pep chromosome:AWHD00000000:1:10042746:10044279:1 gene:ONIVA01G12590 transcript:ONIVA01G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPLPSTPPLLTSADPSSSRSRSLSVDENSTTKAHRSPGHQLCRQNLDNKVLSFKSFNESYLEEGRPLSSMPSVYSKDIIAGGSHERWSVDNDLLGHVTTNRTRSNAPHSTSLAPGQEEVCKLCSKQLKEQSTWNAHELAIVAVLFCGHSYHASCLDGISVESEKYDPPCPIRTHGEKYFTNLYGDVMNRADVSSLQEDPRAD >ONIVA01G12580.1 pep chromosome:AWHD00000000:1:10033639:10039423:1 gene:ONIVA01G12580 transcript:ONIVA01G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKRSSFLLVVVVFALLLLTSMAAGGRKMLINKHQVQSMETSDDESMHQGQEDDEMLAMVHERILRQVKTNDYGIYDPPPTMAKPHAKEIPN >ONIVA01G12580.2 pep chromosome:AWHD00000000:1:10036242:10039423:1 gene:ONIVA01G12580 transcript:ONIVA01G12580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKRSSFLLVVVVFALLLLTSMAAGGRKMLINKHQVQSMETSDDESMHQGQEDDEMLAMVHERILRQVKTNDYGIYDPPPTMAKPHAKEIPN >ONIVA01G12570.1 pep chromosome:AWHD00000000:1:10024936:10029815:1 gene:ONIVA01G12570 transcript:ONIVA01G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin-rich alpha 1 [Source:Projected from Arabidopsis thaliana (AT2G32080) TAIR;Acc:AT2G32080] MGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVESSQR >ONIVA01G12570.2 pep chromosome:AWHD00000000:1:10024687:10029815:1 gene:ONIVA01G12570 transcript:ONIVA01G12570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin-rich alpha 1 [Source:Projected from Arabidopsis thaliana (AT2G32080) TAIR;Acc:AT2G32080] MDGGGGGGGGGGVMAGPGVAGGGGGGGGGGVGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPVAGVAWFLDLFDYYIRTDERDAFSKELRLDTKVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHLEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVERLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVESSQR >ONIVA01G12570.3 pep chromosome:AWHD00000000:1:10029075:10030110:1 gene:ONIVA01G12570 transcript:ONIVA01G12570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin-rich alpha 1 [Source:Projected from Arabidopsis thaliana (AT2G32080) TAIR;Acc:AT2G32080] MAVTAVMPPLGKSEYLVIHEKLTRASERWLRGASLEHREAAAGPNLGSWGVLFRPIGPEFATIRVARRRRLAPSVHRIKNVARDADVAASRGVWEWRPS >ONIVA01G12560.1 pep chromosome:AWHD00000000:1:10017138:10021046:1 gene:ONIVA01G12560 transcript:ONIVA01G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGALASTIVRQVLTKFGSSVWDELALLCTFRADLAAMEAQFATIRAVLADAEARGGAGGDAAVRDWLRRLRDVAHDIDDFLDACHTDLRRGEGGGDCSVCGGLTPRSFAMAHRLRSLRRELGAVAASKDRFSLSPDARPPASRQLPSVPPMRETISMVDEAKTVGRSADKERLMRLVLDAAGDDDDDDDDGVSVIPIVGIGGLGKTTLAQLAFNDRRANDEVFDPRIWVSMSAGFSLATLVQAVHPIVAAPSERCDLATTTTTNLEAIARFLSMAFTGNKYLLVLDDVWSESHDEWERLRLLLRGGKRGSKIIVTTRSRRIGMMVGTVPPLMLKSLSDEDCWELFKRKAFEEADEELYPKLVRIGKEIVPKCGGVPLAAKALGSMLRFKRNEESWIAVRDSEIWQLDKEETILPSLKLSYDQMPPVLKQCFAYCSVFPRNHEIDKGKLIQQWVALGFVEPSKYGCQPVSDKADDCFEHLLWMSFLQEVDQHDLSKKGLEVDGRVKYKIHDLVHDLAQSVAGDEVQIISAKRVNGRTEACRYASLHDDMGSTDVLWSMLRKVRAFHSWGRSLDINLFLHSRFLRVLDLRGSQIMELPQSVGKLKHLRYLDLSSSLISTLPNCISSLHNLQTLHLYNCINLNVLPMSVCALENLEILNLSACNFHSLPDSIGHLQNLQDLNLSLCSFLVTLPSSIGTLQSLHLLNLKGCGNLEILPDTICSLQNLHFLNLSRCGVLQALPKNIGNLSNLLHLNLSQCTDLESIPTSIGRIKSLHILDLSHCSSLSELPGSIGGLHELQILILSHHASSLALPVSTSHLPNLQTLDLSWNLSLEELPESIGNLHSLKTLILFQCWSLRKLPESITNLMMLESLNFVGCENLAKLPDGMTRITNLKHLRNDQCRSLKQLPNGFGRWTKLETLSLLMIGDKHSSITELKDLNNLTGELRIECWSHKMDLTTAAKRANWRNKKKLSKLTLLWTIPCSVDDFENVETFLEVLVPPENLEVLEIDGYMGTRFPSWMMKSMESWLPNLVSLDLSNIPNCSCLPPLGHIPYLQSLHLRYMAGVHSMSSEILVKRQKCVLYQSLKELHFEDMPNLETWPTSAATDDRATQPEGSMFPVLKTVTATGCPKLRPKPCLPDAITDLSISDSSEILSVRKMFGSSSSTSASLLRRLWIRKSDVSSSEWKLLQHRPKLEELTIEYCEMLRVLAEPIRYLTTLRKLKISNCTELDALPEWIGDLVALESLQISCCPKLISIPKGLQHLTALEELTVTACSSELNENCRKDTGKDWFKICHIPNIVIS >ONIVA01G12550.1 pep chromosome:AWHD00000000:1:10014313:10015046:1 gene:ONIVA01G12550 transcript:ONIVA01G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPFEVYKEDVMPVMKEEKIFRDEALRLFLEEWIDARCKMEDKLDRALEKYRSSKGEGFPRRQRMPPRQPPLTLRRHPPTPKTSLPMMPTKCSTASFNDGCARMAASSSHTNKVPIPTVTLELGYGDDKAHTPCIDTTDCSKETHAKCLMAALNVNGGSNQAVVAFLTMTDMFKIIPTYVEPMDIFSARSTIDHKENIPMPHRRRMHLMVNGLAECLLASSSPSSSLFPYSPSLVGRAAV >ONIVA01G12540.1 pep chromosome:AWHD00000000:1:10012477:10013334:-1 gene:ONIVA01G12540 transcript:ONIVA01G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSGIRSFCHGVDSTSTTMQRRLVGADAASSSFLTVPTSTASSVGVAESEAAAAVTLEQMILQLDLEEAAARKAQQQQQPRRASCVNSSDGRVLRSARDALSQYPRFSLDGGRDAMYRASFSDHHHYYYHDAALASSSSGHRRSPPLCRGMPPTVAGESVVWCKPGVVAKLMGLDAVPVPVRGGGQRRGGAAATAGGRRKASGAQPLASVIAGGGGRKRRGRKTGREEEEEELEKERLFMALHGYDVAVARACHAGALQPSVAPNVSGMGRGAEDGWGFRLPH >ONIVA01G12530.1 pep chromosome:AWHD00000000:1:10003430:10008005:-1 gene:ONIVA01G12530 transcript:ONIVA01G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G55550) TAIR;Acc:AT1G55550] MRNLPDTLSSLMGFNKHLTPSWIESVSHIIDGLSPTKPQMKVMVEKDENISDDNTKSEAKVQKIQDELVSLNAQLKQITLQRREALNNYLDLKGNIRVFCRIRPFHHEESYSSRNLFTLDESNVFLKVAETKRKQYKFDKVFDQFSTQGDVFSEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKPTNLGVIPRGIQTLFNQASECNNRFLFTFSMLEIYMGNIRDLLAPRSKTNGIKNVPSLSIKSDPDGGIEIEDLVAVTVNSFQEVKRLYEMGTRLRSTASTMANSTSSRSHCLIRISLTSLNATERRKATSKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKPHVPYRNSKLTQVLRDSLGCESKTLMLVHISPDEGDLCETICTLGFATRVRSIRLESEEPPEMKARKETLLIDLGQKVNDLEHECEDIRRKIKNLEESMEHLAGPQPTIYSNFDMSHLSSEELKTDVSSNVRNSKNRREASSRLPRFMKPTASSQHRIGLNNRTPIINRLKPPVPPRRRPSSVYAESVMVPVNAAPWQSECSSECSMSLTSDMNWTPSIRDGTECSQDASEYEIKQVIFSEHEKSSHDQVTCYTDYPLAESRDIQIKIEEKGIVDIDNWLHQQIVEKTSTFRSKMVLDIPGVTEAEIHVSSIPSPTTMACTKEDSQVKDEVMGLTLQSSTDYVEDIKQSKTDNQFTAKELCTPPFKEFCSNNEVKGHKNEHPVYHGRPRRSLQEELENCTLEKPNMDSKSHRSHDDKKKTGNVLSYTGE >ONIVA01G12520.1 pep chromosome:AWHD00000000:1:9999885:10011695:1 gene:ONIVA01G12520 transcript:ONIVA01G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSLPPDLHRVLSLLPRLASPRHLLQAHAYLLPRGGHRHARVASALLLASLRLPLRDHAAALVRRVHPSVSLRAAARLRGRGGGGLAAQLHSLLVRAGHAADPHASASLVQAYCSCGSVASARRVFDETAAYADVVSWNVMIDGYVKSGDLARARELFDVMPGRNVVSWTMVIGAYAQMKQPEEAIEVFRRMQVEGIEPDGVALLSVLSACGDLGVVDLGEWVHRFVLRRGLCQEIPLMNAIIDMYVKCGSVKKALEVFEGMEQKSIVTWTTMIAGFALHGLGSEAVELFRRMEKENVSPNDITFLAVLSDMPFKANAAIWGALLAAARTHGDNPSMM >ONIVA01G12510.1 pep chromosome:AWHD00000000:1:9986174:9988860:1 gene:ONIVA01G12510 transcript:ONIVA01G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDISQTESTELWLIQWPLNQLDASDFHGQELTLKLHRDGKLSSLESSSGKSYDLVSFAAQQPDATVFLPSGPEAKAVGKIARRVSLVRYPDPEELEKPGLGSLTPSSKKSAGSSKKTRSRFTSGSKNRSSQGSAQSLGQQSAEPAHKHNQKRKDESSLGHSNVSGKSAEGSQVRGGDSGTTSEVPQTPVEKSKKKNKKVRIAE >ONIVA01G12500.1 pep chromosome:AWHD00000000:1:9969897:9976606:-1 gene:ONIVA01G12500 transcript:ONIVA01G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoadenosine phosphosulfate (PAPS) reductase family protein [Source:Projected from Arabidopsis thaliana (AT5G03430) TAIR;Acc:AT5G03430] MEIDRAVRGSSDRRLRTKYDNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLLRAGYYLHKSSSDGEVEMNTIQNCPVRTIYFESPCAFPEINSFTYETVSTYGLPLETIHSDFKSGLEGLLKERPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRYTSIGSIYDTVPNALLSDSTTGKSFRPAYMLSDGRLERAGRTKKNISSVSSNGTNSTEVEQTISRSASIIVVGDEILFGTVEDKLGAGLCKKLHAIGWRVSHVAVVSNEIDSVAEEVERCKSTDDMVFLVGGLGPLHSDISLAGVAKAFGVRLAPDEEFEEYLSQLIGDNYTGDRNEIKCKNVVILAATNVDELETEWGCLLDTQESGLVMAKSFVSKHLCTSLLDVKIAPVVAKLCIDFSDVYIGCYRISRSGPLVVSFLGKDNQRVEAAAEKLTNSFEGQFSQVDSCK >ONIVA01G12490.1 pep chromosome:AWHD00000000:1:9961128:9968374:-1 gene:ONIVA01G12490 transcript:ONIVA01G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with ARM repeat domain [Source:Projected from Arabidopsis thaliana (AT5G18700) TAIR;Acc:AT5G18700] MNNFHVYEAIGRGKHSTVYKGRKKKSIEYFAVKSVDKSQRSKVLNEVRMLHSLDHPNVLKFYSWYETSAHFWLILEYCVGGDLKGLLEQDKKLPENSIHDLAYDLVKALQFLHSQGIIYCDLKPSNVLLDESGCMKLCDFGLARRLKDIEKTNPGDVPQPLKGTPCYMAPELFQEGGVHSYASDFWALGCVLYECYSGRPPFVANEFTQLVKSIISDPTPPLPDNPSRSFQNLINCLLMKDPAERLQWSELCEHHFWRSRMSIIPLPPQPAFDNMVDLSATPYLVERNGDKPSRQSTPPKPRDGLRKKDENSAKVFTPVKNVLSGKKNNAKPSCKADGLKGVNILRMSRVAKRNLQREKDKENYRRHPTEASENDTEVKIENNDMELDFGENPEGDAPDDNDGSDNPGSAEDEKLSTQGTDGNEENCMSNQMDMLTDEGPVKAETMIKTEQNCSENLDVVATPPSICMRKAQRTKTTSGAAAGSEPSDISAAFWHPTDLAVKPVMPGRKGDKAVETVPMLPFEALPAVDYIKLPREQMNAFNSQILQSLSGTFQVSEKQNIIKYLEMLSINSDAANIITNGPIMLLLIKMLRLSKTSVLRVQIASLMGLLIRYSTILDIELASSGIFNALSDGLRDKHDKLRRFCMATLGELLFYISTQSDQDIKEINAQESPLKDNRATASWQVPSSVIALVSSILRKGEDDLAQLYALRTIDNICSQGTDWTSRFASQDARDDFQMTLLRVLEAATEEPSVILNEHKIFTSRILPSLSVLYKGNKDGDARFLCLKILSDVMIVIFSDSSLTSNEQTVSDLEKISQKYFLPMYPSFAEDEDPIPIYAQKLLVMLMEHDYVKVSDILNEATVSRCFEFLLGDLSNANVSNVKLCFALASAPDMDTDILSQLQSVRRIGNLLEFVTAKDMDDFLEPTLELCRAFIIRGIGSDKFVALSKEPALLVDSAFSMSIAVDQQSCVMDICDFGGNMGIFLDLVGSSDPHISDLASDCLVLLLKAAPREATVGLLTNLPKLSVVLDLLKHGTCLRLTRLLYCLAFSCRQYLAQGMIVSISLSALMRVEALVSTFKGSHDGRLADAASYLGAELQRLPRCG >ONIVA01G12480.1 pep chromosome:AWHD00000000:1:9951279:9956425:1 gene:ONIVA01G12480 transcript:ONIVA01G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLACLFPCPQEVRDEDEEPRSGQRVSSVEMFGADWLVSLVAVLVELNMLSVEYSKLSESCPLKTEGSIDMVGIRRNKGHGEATIFTLRELADATNNFSTECLLGRGGFGSVYKAFLNDRQVVAVKQLDLNGLQGNREFLVEVLMLSLLHHPNLVKLFGYCVDGDQRLLIYEYMPLGSLEDRLHDLRPGQEPLDWTTRMKIAADAAAGLEYLHDEAIPAVIYRDIKPSNILLGEGYNAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYLSTGKLTIKSDIYSFGVVFLELITGRRALDSNRPPDEQDLVAWARPLFKDQRKFPKMADPSLHGHFPKRGLFQALAIAAMCLQEKAKNRPSIREVAVALSYLASQTHESQNTAARHTLPGPSVPRVLDNQINQDTSLPSQHGVHMPPLAGTDHMVQEVKENCRSSSHRPGRGRVTPNGADRERALAEANVWVEAWRRQEKTSKMR >ONIVA01G12470.1 pep chromosome:AWHD00000000:1:9929530:9934067:-1 gene:ONIVA01G12470 transcript:ONIVA01G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPHAAAAAAGGGEGEGGASPDTGLEGPMWRMGLGGGGGGGGGGGGGDGDAAGRLPERPGEEDCVYYLRTGACGFGDRCRYNHPRDRGGTEFGGGARNAAALDYPERAGQPICEYYMKTGTCKFGTNCKYHHPKQDGAVLPVMLNNSGFPIRLGEKECSYYMKTGQCKFGTTCKFHHPEFGGVPMTPGIYPPLQSPSIASPHPYASLANWQMGRPPVVPGSYIPGSYTPMMLSSGMIPLQGWSPYPASVNPVVSGGAQQNVQAGPVYGMGHHGSSSTIAYGGPYVPYASSTGQSSNNQQEHGFPERPGQPDCQYYMRTGDCKFGATCKYHHPRELSAPKSGYMVNSLCLPLRPGAQPCAYYAQNGYCRYGVACKYDHPMGTLGYSPSALPLSDMPIAPYPIGFSIATLAPSSPSPDLRPEYISTKDQSVSQVTSPVAASEPAGSILPKGVFPADTMMRAQTNTTSGGSSSPGGGR >ONIVA01G12460.1 pep chromosome:AWHD00000000:1:9923000:9923961:-1 gene:ONIVA01G12460 transcript:ONIVA01G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCSRRLLCSLFLAIAALCIHVLDYVRKLAVTINGHTLGPTIHAVQGDTIVVNVKNSLLTENVAIHWHDIRQIGTPWADGTEGHTVLLNDWWHQSTYEQAAGLVFVPMVWVGEPQSLLINGRSRFMNCSSSPATECAIDATAAVVPPRVRGCGRFGAYFSWRPARCTLDGADVGFTYDSDTRRTCSQWGPHWINLSQGQTGL >ONIVA01G12450.1 pep chromosome:AWHD00000000:1:9916314:9920069:-1 gene:ONIVA01G12450 transcript:ONIVA01G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVSSRLLRTSLLSPFSPLWVSFTVRLRIAFPSELLASIVWSAACARSGGPRASTLSAKL >ONIVA01G12440.1 pep chromosome:AWHD00000000:1:9901543:9906265:-1 gene:ONIVA01G12440 transcript:ONIVA01G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYAAAEGGGGGGGGGGGSTDTGLEESMWRMGLGGGGGGGGEAVAAGRLPERPGEADCVYYLRTGACGYGENCRYNHPRDRAAAAVLNGGGKTTHSAEYPERPGQPVCEYYMKNGTCKFGSNCKYDHPREGSVQAVMLNSSGYPLRSGEKDCTYYVKTGHCKFGSTCKFHHPEIGGVSETPNMYPPVQPQPISSSHPYQHLAGWQMGRPPVLPGSFLSGSYPPMMLPSTVVPMQGWNPYISPVNQVASAGGHQTVQAGPFYGLSHQGPSAAVTYGSQYAPLSSSTMPSSSSKQEPAFPARPGQPECQYYLKTGSCKFGSACKYHHPQYLNTPKSNCMLSPLGLPLRPGSQPCAYYTQHGFCKFGPTCKFDHPMGTLSYSPSASSITDLPIAPYPLNYAVAPVAPPSSSSDLRPEYLLTKEFSANQSASPGTTCGPAGAMLKAYAPHMLIRPQTSGAGGMVTTHGGEL >ONIVA01G12430.1 pep chromosome:AWHD00000000:1:9893679:9894008:-1 gene:ONIVA01G12430 transcript:ONIVA01G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVEKQQATAGTAVAGGRRKALVHTPSGQVVSSYAALEARLTALGWERYYEDPSLFQFHKRGSLDLISLPADFSAFSSVHMYDIVVKNRDSFRVVDA >ONIVA01G12420.1 pep chromosome:AWHD00000000:1:9891957:9892509:-1 gene:ONIVA01G12420 transcript:ONIVA01G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKHEDRCGAGVAGGGEARGAREGRPASYVPFPCSPPSPSTVGSGEHDDNDDNGAEDSDTGDISTHCENGLLMVIVKKRMLPEKKTTSAALLPIGRHLGGLDKDGEERKREGEEDWFADV >ONIVA01G12410.1 pep chromosome:AWHD00000000:1:9884636:9885031:-1 gene:ONIVA01G12410 transcript:ONIVA01G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVALLAFLLAAASAASSASAAAATLVEGGVVGRAAVVMRRGGRTCRGTVGECMEYLGVDGEGEDELAAAATGKRRVLQGGSGYIGYDALRRDSVPCSQRGASYYNCQPGAEANPYSRGCSAITQCRG >ONIVA01G12400.1 pep chromosome:AWHD00000000:1:9877645:9880734:-1 gene:ONIVA01G12400 transcript:ONIVA01G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G27720) TAIR;Acc:AT5G27720] MLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRRPPGVGRGRGRGDIGAKPGGRGIGRGQDDGGSKGGGGRGRGGIGGKGGIKGGGRGRG >ONIVA01G12390.1 pep chromosome:AWHD00000000:1:9867399:9875957:-1 gene:ONIVA01G12390 transcript:ONIVA01G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH type) helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G47680) TAIR;Acc:AT2G47680] MAMAEEKRVGEARAPLAVEALRGKIVEKVKGNRVTLIVGDTGCGNRAALSLSLSLSRFDPIRLAPVVGGGLGGRKELYGSTVPPGRKHGTHSMHATKEVGEEVGYHIGHSNVSNLNSKRSRIVFKTAGVVLEQMRDKGIAALNYKVIILDEIHERSVESDLVLACVKQFMMKKNDLRLILMSATADITRYKEYFRDLGRGERVEVIAIPSSPRSSIFQRKVLYLEQIVDILKMDSESLSTKYCSGPNAAADAGLKPDVYELIHRLLLHIHQNEPDIGKSILVFLPTYYALEQQWIRLLSASSMFKVHILHRSIDTDEALQTMKVSKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDSAELVWVSKSQAEQRKGRTGRTCDGQIYRLVTGPFYNSLTDHEYPAILRLSLREQVLMICCAESRAMNDPHVKILTIRHLFLTVLLQKVLDPPDSDVVEDALESLVQIRALDKPTSPRGRHEPTFYGCLLNSLPLSFDASVLALKFGDIGSIREGILISIMLDIQPLPIVQPFGHQQLCKMYRNNYFEEEGIDLQTGKKEAALVGNLCAFQFWQRMFKDKYRLDCLINVVNTHEPKASNGFVAKPEDEWCAFHNLVPTALNYISEIYDDIMGTLHRFRPSFLVKINPPMYLQPSEFHHMCLRHEVLELENVNSLPLEAENSHLDSHRRCSATPYVSPADFGTTTVVKTLKTLIKEMKTQSAADRVTYRELVHGYVQPALENEMCVFFLNGSCNRGDTCHFSHSSRAPRPTCKFFLTLQGCRNGSSCSFSHDSGSLVSSSITSGICSQENRATSVCCKRLLPAAGDGHILVMNDKSLQFACKLCNYYDPTKIIACTPGPHSFESDSVTKGLKILQNLADPSYLFIGGDHKLSVPWTKLSRVFWFADIDSNESISEQVVLQKFFQHIAIKTLSEKMSDLQVIVIMNNAKFVQLQVERLARECFLFLGESFMFDEATLGWFSDTPNYPRGMQVSAPVAYIFSMHPPTGIQFGDYASELRKVLYSN >ONIVA01G12380.1 pep chromosome:AWHD00000000:1:9863773:9864117:-1 gene:ONIVA01G12380 transcript:ONIVA01G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPERAARITRFLKPYLLRMHFSNKYVSAQVVHTPTATVACSASSQEKLLRPNMESTRDVAAAAKIGKLLGERLLQKGIPAVSIHMKREQKYHGKVRAVIDSVREAGVKLL >ONIVA01G12370.1 pep chromosome:AWHD00000000:1:9859770:9860090:-1 gene:ONIVA01G12370 transcript:ONIVA01G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSTSAAAAAAARALAARVTTTTTRGYAASAASSAMRRAAAAVEGKGAAGMTQAKDGSSSPAAREVSWVPDPVTGHYRPSNFAGDADAADLRAAHLARSYARA >ONIVA01G12360.1 pep chromosome:AWHD00000000:1:9854453:9857771:1 gene:ONIVA01G12360 transcript:ONIVA01G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein [Source:Projected from Arabidopsis thaliana (AT4G15930) TAIR;Acc:AT4G15930] MSDEARRVAGGGGGGAALRASSDVDRKPAAAGSPPPGAPAAAGHKIQLKSADMKEEMRQEAFDIARVAFEKHTMEKDIAEYIKKEFDKNHGPTWHCIVGRNFGSYVTHETNYFVYFYIDSKAVLLFKSG >ONIVA01G12350.1 pep chromosome:AWHD00000000:1:9846840:9849177:-1 gene:ONIVA01G12350 transcript:ONIVA01G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPPHSNHAHHRSAPLTGNLHHCHHPRPTGAIGACLANCHRARPLPPSTSPPLRRSLEPGETHRAAPSLGRGLRRKPCRQPSGPAPSGDLLQRWQASLQNLHCDGNLQNQASVLSRISSLSKN >ONIVA01G12340.1 pep chromosome:AWHD00000000:1:9842971:9846588:-1 gene:ONIVA01G12340 transcript:ONIVA01G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLAAVASDLVGRLISFLSSKCQEHRAGDDGASRLQRALLRARVVMEEAEGRQVTSPAMLRQLRRLRWEMCRAAYALDALRIRTAAAVVVAAAGASRCCSAWAPKWTLCSRRWPATSRLISFLISKWQEHRAGDDDGASRLQRALLRARVVMEEAEGRQVTSPVMLLQLRRLSWEMCRAAYALDALRIRAAAAAAASRRRRRRCQPLLLSLGGDGDVATAVESLEAALGGAKELVVLLAGCPRLSRQPYSAYLFMERCMFGRQMEKEQIVDFLLRPACSSAGDPNPGVLPVVGGPEVGKRTLVEHVCIDERVRQYFAKIHRLSSDDLMAAGDDDEHRRFGIDPSSRSLVVVDVIGDVDEEPWRRLCSSVRRENGDGKVVIICRTAEHAARLGTAPRPVTLDNLHRPELWYMFRVLAFGGADPEDRPELVAIAAELFEGVKHLAMIAAVNTQAAQAANDDEDAGGEHDADGDNAGPAHRPCPAWTRRASTWWCGDLPYHRTAAT >ONIVA01G12330.1 pep chromosome:AWHD00000000:1:9817774:9818574:1 gene:ONIVA01G12330 transcript:ONIVA01G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKQLVQVQLKKIEMWQWQPPPLHPGVVTMAKHKLQLEDLSQTCRRDHYCVRCVHAFCSHCCDDHHFVPLGSHIVIPIAGVDAATGKPVIPAHYPRRPDLPITDFVIGLINANDFAEEHPRDAYCMYCFMAFSTALCHHHHTCAADCVLRIVRSHDGRHCVRCTGDEPWFPYMESVLGDPVAVEEEEGDDGEVVAVLLLLPVLRRSSPTACVHCGGEVPKHMRRSVLCSPDCDGAHQLEVAQRRERRDAVLAARRLAKLHIDTV >ONIVA01G12320.1 pep chromosome:AWHD00000000:1:9815389:9816111:-1 gene:ONIVA01G12320 transcript:ONIVA01G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGTAKKRTSMSTSRKGRRKPRNQQPWGRRRRRRERRRRSPPVLSLRGAPPEAGAVEARPHLLLHVDEVFGHGRGWELGLYLPSLGGRDGQRPWGGDVQGEELAHLLPLRGADTAAAPTTDGVAGTSTAARTTSSTTAKGKQQQTAAHSAAVPPATSPHRDCCSRTRRHSRSLLISTDELDREAVGDPRLELALP >ONIVA01G12310.1 pep chromosome:AWHD00000000:1:9813009:9814406:-1 gene:ONIVA01G12310 transcript:ONIVA01G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVAQLGKSSGAGDAATGGGGEVADFLLADSSPRRSSSAAKETGLDGTEGTHDGGAAGDHGEAGEAGAAAARGHGGGGEVGPDGDGADGVQEEALVARCVVCRRRQVADEGEGGVCSVCLRRRMLSSVVCVDDMSAVADATAGVGMLYYCPSCDAFGRGGVHDHEVLVLGMFRGDWCAWLTGAERMAPIFLGIESDRLPSPFLGRPYLLQPSPGTRCRVCSDIASLDGLYSRLATLCTISCWAHADHAAAAAAHPWVLSLLEVGCSAQPEKLLDLFCTTCREAFLYGDCHCDDHHHHLLPLVFHSRMGLCVQISRGHWLWSVWESIADAELAADILHSSATSTRLIPIRGRTAQRCRWCQKRLLDGGGTTCSLRCRLSLPTLLPRALYRVPA >ONIVA01G12300.1 pep chromosome:AWHD00000000:1:9811315:9811884:-1 gene:ONIVA01G12300 transcript:ONIVA01G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHSASSSIHPTIHPVLFYILAGPYILLWLIAAPAQVQSGEEIISTLKYELEEKRNQVHRLQDDIEKCHVLIEDNAAKLKDNEQNRVELQEQTDRCQSSVRFWKYLFWLILLGLVASNYIAPKMI >ONIVA01G12290.1 pep chromosome:AWHD00000000:1:9795851:9796501:1 gene:ONIVA01G12290 transcript:ONIVA01G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTTKRRQRDWRRGFDGSELKIATMLWIDGEKAALVIFGLDEEVDDKGLGAADLTTMMGSSSSWQEQRPEGDNGDGSDVLGSSGSEEMTTTEMERWLSRVDGEEGAPLLAEFGEGVDAVPSLEQGHKGDGQGPSPNEGPNPVYVTAYLVWLQLIGEMPTCRAMRWCENGANNVHEDQAQLHVECCEK >ONIVA01G12280.1 pep chromosome:AWHD00000000:1:9792411:9795052:-1 gene:ONIVA01G12280 transcript:ONIVA01G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRHRGDWTAQSATSRRPRVEVDGDGIEAGVRRGVDVVLEVDGSELGAGVRKEEKKLGSTVIGIEEAAPRRPVVMGIEANVQPIVGVEGRKLDKKIRYYKWCFINA >ONIVA01G12270.1 pep chromosome:AWHD00000000:1:9783781:9784581:-1 gene:ONIVA01G12270 transcript:ONIVA01G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKQLVQVQLKKIEMWQWQPPPLHPGVVTMAKHKLQLEDLSQTCRRDHYCVRCVHAFCSHCCDDHHFVPLGSHIVIPIAGVDAATGKPVIPAHYPRRPDLPITDFVIGLINANDFAEEHPRDAYCMYCFMAFSTALCHHHHTCAADCVLRIVRSHDGRHCVRCTGDEPWFPYMESVLGDPVAVEEEEGDDGEVVAVLLLLPVLRRSSPTACVHCGGEVPKHMRRSVLCSPDCDGAHQLEVAQRRERRDAVLAARRLAKLHIDTV >ONIVA01G12260.1 pep chromosome:AWHD00000000:1:9781222:9782600:1 gene:ONIVA01G12260 transcript:ONIVA01G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLSAVASDLIGRLISFLISKYQQPAAAAADGARLQRALLRARVILEEAEGRQVTSLAVLRQLRQLRWEMCQSAYALDALMIRAAAAASCRRRRRRRRCQPLLLSLGACSSAGDPNPGVLPVVGGREVGKRTLVEHVCIDERVRQHFAKIHRLSSDDLTAAGDEDEYRRFGIDPSSRCLVVVDLVGDVDEEPWRRLCASVRRDSKVIVICRTAEHAARLGTAPRPVAIDKLRRPELWYFFRALAFGAADPEYLPAEMVAIAAKLFETNRDFAVFTSLNTLAALLRADMALHSWRRLARVLGESANLRLLSDAAHGGRRESYGEEEDLYICRPSMDAPHCLFYDRRKMPTPTPAGGGGERLPTVTMQDLLTGRVVPGVDAVRFDVLVWQSPIPPYCSYVRTCEMDRDRHVVVVAAASGGKRLEKRRQRATFDQEWNKKKREAARPGG >ONIVA01G12250.1 pep chromosome:AWHD00000000:1:9776566:9778321:1 gene:ONIVA01G12250 transcript:ONIVA01G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRHREVEVASGVRLHVAESGPEGGPVALLVHGFPELWYSWRHQMRALAARGFRAVAPDLRGYGDSDAPQGRDSYTVLHLVGDLVALIADLGRPQGDLVGALKVFVAGHDWGAVVAWQLCLLRPDLVTAHVSLSVEYQPDERAPGRQGSLRGRSLRVPLPGILMHMQKPGVAEAEFARLDLNHLFKMVFGMRKPATIILPQDKTFFDAIDSDGTCPPWLSEEDISYYADKFGKTGFTGGFNYYRCIDLDWELTAPWTGALINVPTKFIVGDLDLTYNTPGVKDYIHKGGFKANVPNLEDVVVLEGVGHFINQEKPDEVSEHICEFFSKFLM >ONIVA01G12240.1 pep chromosome:AWHD00000000:1:9771915:9775886:1 gene:ONIVA01G12240 transcript:ONIVA01G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVRHRTVEVASGVRLHVAEAGPEDGPAVLLVHGFPELWYSWRHQMRALAARGFRAVAPDLRGYGDSDAPPGRDSYTVLHLVGDLVALIADVGQPRVFVAAHDWGAAVAWQLCLLRPDLVTAFVALSVEYHPRNPTRSPVQTLRAVCGDGHYICFFQRPSGDDHYIAVCSGLPPMLPFWSSGLPRAALLQSAQGSEGVLSRKPGVAEAEFGRGDIKCLLKKFYGMRKAAPLIIPPGKTLFDSIDSDGTCPAWLSEEDISYYAEKFEKTGFTGGLNYYRCIDLNWELTAPWTGVPIKVPTKFIVGDQDLTYNIPGVKDYIHKGGLKACVPNLEDVVVMEGVAHFINQEKPDEVSDHICGFFSKF >ONIVA01G12230.1 pep chromosome:AWHD00000000:1:9765812:9780347:-1 gene:ONIVA01G12230 transcript:ONIVA01G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVAPIDGAVETGEEEEGRREGKEPPKLSRQQHATVPDLLIATDLIKSTDTMLASPGSSVSVDNEMTHRGLDG >ONIVA01G12220.1 pep chromosome:AWHD00000000:1:9761858:9765260:-1 gene:ONIVA01G12220 transcript:ONIVA01G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGNARGLPRRGGGGRAGAAAAGAGASQAVASGVFQINTAVSTFQRLVNTLGTPKDTPDLRERIHKTRQHITQLVKDTSEKLKQASEADHRVEVSASKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFISQAGLPQSYNSSEVNNGADKLAEQRTALLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGQMIDDIDTHIENAVIATTQAKGQLSKAAKTQKSNSSLICLLLVIFGVVLLIVIIVLAA >ONIVA01G12210.1 pep chromosome:AWHD00000000:1:9758459:9758725:-1 gene:ONIVA01G12210 transcript:ONIVA01G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASWAGFGSSMLLTLALLTGVPPRSRAVQWPFLVSYSSLVLTFVTSQSGTSLAMDVLIWAAVMAVLAVGIKYRRLDKLRFLFCPPAP >ONIVA01G12200.1 pep chromosome:AWHD00000000:1:9752676:9755958:-1 gene:ONIVA01G12200 transcript:ONIVA01G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKTRTPVKLLGREDASPLPLATCEFEIPSPGGRISQPRHWPRTGCDPLANKACVEKKRWNCGSGVSMCDTSRFFQLHFASSVCVNDSEIVELLGTCNLFSTIFCGSVDVHCLIYWY >ONIVA01G12190.1 pep chromosome:AWHD00000000:1:9736217:9736897:1 gene:ONIVA01G12190 transcript:ONIVA01G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGAVEDGRAGGELGDNSRQNGVDDAERGETSRQKNGVDDAELGDNRRRENGGGRRSHAPGFEANKNDTLLVVPTLITALTYQLGTNVPGGYWQDDAADGSHAAGDPIMRDKNRRRYWLFMAASWAGFGSSMLLTLGLLTGVPSRSRAVQWPFLVSYSSLVLTFITSQSRTPLAMDVVIWGAVMAVLTVGIKYRRLDRLRFWFCPPAPSSHDHDMNGRQQVVAAK >ONIVA01G12180.1 pep chromosome:AWHD00000000:1:9729091:9732389:-1 gene:ONIVA01G12180 transcript:ONIVA01G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily [Source:Projected from Arabidopsis thaliana (AT3G05620) TAIR;Acc:AT3G05620] MASTGALLLLLLFVLACGGNGGAAAAVFSGCSFESQEEAEAFEAALLQQACFNVTAFGGGGGGGGGEGGCVSRLDTARGGAGSGPVPVLRAAVRDTLGEAVGAVAAVAGLASLSNHAREEMAVRDCVELVGYSVDELGWALDAMADPDGGVAAAEEEEDETEPETRRRRSRGARAEDDIHAWLSAAMGNQGTCLDGFHGTDGRLLRRVESAVTQLTQLVSNLLAMHKKLRDITPQHQHQHHHHPGNNNNKNGTADGAAAGGDDTGPSSDLPPWVTDVVDDVEEEVTATRGRGRSSSSGRKAMRVDVVVAQDGSGRWRTVSEAVARAPSHSRRRYVIYVKRGVYEENVEVRKKKTNIVIVGEGMGETPEHGRRLDHLPERHAVALRVDSDRSAFFRIAVEGHQDTLYAHSLRQFYRDCRVSGTVDFIFGNGIAVIQRTTISTLPPAAGQNAGSVTAQGRRDPNQNTGFALHACVVEAKYPTYLGRPWKPFSRVVVMESYLGAGVQPRGWLEWDGDGGELATLFYGEYRNYGPGANIGGRVRWPGYHVIMDAAVAARFTVRRFIDGLAWLPSTGVTFTADLNRK >ONIVA01G12170.1 pep chromosome:AWHD00000000:1:9726039:9726227:-1 gene:ONIVA01G12170 transcript:ONIVA01G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKPKALLAQSKQKKSPTQIGATTIITYIVLGALVVSSVYYALKYWQNRGPAAAEGIVGN >ONIVA01G12160.1 pep chromosome:AWHD00000000:1:9701932:9710458:-1 gene:ONIVA01G12160 transcript:ONIVA01G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGARASPVSVPLSAVPKAGGTYPPLTAHTPFQPPPAGPSLAGWMANAAAATSSVPSAVVAASSLPVPPNQAVPIMKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPLWSVEDLPRTVACTLSQGSSVTSMDFHPTRHTLLLVGSTNGEITLWEVGMRERLFSKPFKIWDIQACSPQFQSVAKESSISINRVTWSPDGDLIGVAFAKHLIHLHAYQQPNETRQVLEIDAHSGAVNDIAFSRPNKQLCVVTCGDDRLIKVWDMHGQKLFSFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDHMGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKDGDSYLVEWNESEGSIKRTYSGFRKKSAGVGVVQFDTAQNHILAAGEDNQIKFWDVDNTTMLSSTEADGGLPGLPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGNRPFEAFRSQYEASSMKVSGAPVVAGISPNIGRMDHIDRNSPAKPSPIMNGGDPASRSIDVKPRISEERPDKAKPWELMEVLNAQQCRVATMPETPDQASKVVRLLYTNSGVGLLALGSNAIQRLWKWARNDQNPSGKATANVVPQHWQPNSGLVMQNDTADTNPEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQRRITGLAFSNNLQILVSSGADAQLCVWATDTWEKKKSVAIQMPAGKTPSGDTWVQFNSDWSRLLVVHETQLAIYDASKMERIYQWIPQDALSAPISHASYSRNSQLVFAAFTDGNIGIFDVENLRLRCRIAPPAYLSSAAINSNPSVYPLVVAAHPQESNQFAVGLSDGSVKVIEPLESEGKWGTTPPTENGVPNGRTSTSSATSNPAADQIQR >ONIVA01G12160.2 pep chromosome:AWHD00000000:1:9701932:9710458:-1 gene:ONIVA01G12160 transcript:ONIVA01G12160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGARASPVSVPLSAVPKAGGTYPPLTAHTPFQPPPAGPSLAGWMANAAAATSSVPSAVVAASSLPVPPNQESEQLMKRLRPSGHGVDEATYPAPIPQPLWSVEDLPRTVACTLSQGSSVTSMDFHPTRHTLLLVGSTNGEITLWEVGMRERLFSKPFKIWDIQACSPQFQSVAKESSISINRVTWSPDGDLIGVAFAKHLIHLHAYQQPNETRQVLEIDAHSGAVNDIAFSRPNKQLCVVTCGDDRLIKVWDMHGQKLFSFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDHMGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKDGDSYLVEWNESEGSIKRTYSGFRKKSAGVGVVQFDTAQNHILAAGEDNQIKFWDVDNTTMLSSTEADGGLPGLPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGNRPFEAFRSQYEASSMKVSGAPVVAGISPNIGRMDHIDRNSPAKPSPIMNGGDPASRSIDVKPRISEERPDKAKPWELMEVLNAQQCRVATMPETPDQASKVVRLLYTNSGVGLLALGSNAIQRLWKWARNDQNPSGKATANVVPQHWQPNSGLVMQNDTADTNPEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQRRITGLAFSNNLQILVSSGADAQLCVWATDTWEKKKSVAIQMPAGKTPSGDTWVQFNSDWSRLLVVHETQLAIYDASKMERIYQWIPQDALSAPISHASYSRNSQLVFAAFTDGNIGIFDVENLRLRCRIAPPAYLSSAAINSNPSVYPLVVAAHPQESNQFAVGLSDGSVKVIEPLESEGKWGTTPPTENGVPNGRTSTSSATSNPAADQIQR >ONIVA01G12150.1 pep chromosome:AWHD00000000:1:9694815:9699357:-1 gene:ONIVA01G12150 transcript:ONIVA01G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAADKERFAESKKELDALLADDSLATVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLADSNVRPLEIFMCSVVRKMGYGEGFKWMSQYIK >ONIVA01G12140.1 pep chromosome:AWHD00000000:1:9684506:9688625:1 gene:ONIVA01G12140 transcript:ONIVA01G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRFVRGISPAASAAPQPPPPPVAQRHHQQQPSRGVLHAPLLRLWPLGGGGGGGGGGGGGGGGERVGAVGGAVRGEEARSQRAAEAEADERKQGNWVLQMLRVQPQWAEAADAEAAGVTGAAREGGQEALTAGRDGDECCASCGGGDDEGCCGVEEDDESDGKVFDRATFSRLLRKVSLGEAKEFSKMSYLCNIAYMIPKITPKLLRRYNLRFVTSSVQEKAKTGVDQKQELKTEEGESSDQESEVVENPEPGSNKKKESGLGVNPFGAYHVMSSAASYLHSRAMGVVPFGSRNDGKNDPTIMAIVNGESGEGLTMDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFICDDDQGSTRYFVVQGSESIASWQANLLFEPVKFEGLDVLVHRGIYEAAKGMYHQMLPYVKSHLRSSGKSATLRFTGHSLGGSLALLVNLMLLMRGAAPASSLLPVITFGAPCIMCGGDHLLRKLGLPRNHVQSVTMHRDIVPRVFSCNYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEMFILQPDKRLSPHHDLLPPDSGIYHLSDSSSSSGTSLRRLRSAMSTFFNSPHPLEILKDKSAYGPKGAVYRDHDVNSYLRSVRAVVRKEVRRHRETRRGRWRRLLLWWPLGAHGALAGAGVLLDAAVEGGRETARQARAHARLLVVLLVPAKLLVIGAFLVVRLM >ONIVA01G12140.2 pep chromosome:AWHD00000000:1:9684506:9688997:1 gene:ONIVA01G12140 transcript:ONIVA01G12140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRFVRGISPAASAAPQPPPPPVAQRHHQQQPSRGVLHAPLLRLWPLGGGGGGGGGGGGGGGGERVGAVGGAVRGEEARSQRAAEAEADERKQGNWVLQMLRVQPQWAEAADAEAAGVTGAAREGGQEALTAGRDGDECCASCGGGDDEGCCGVEEDDESDGKVFDRATFSRLLRKVSLGEAKEFSKMSYLCNIAYMIPKITPKLLRRYNLRFVTSSVQEKAKTGVDQKQELKTEEGESSDQESEVVENPEPGSNKKKESGLGVNPFGAYHVMSSAASYLHSRAMGVVPFGSRNDGKNDPTIMAIVNGESGEGLTMDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFICDDDQGSTRYFVVQGSESIASWQANLLFEPVKFEGLDVLVHRGIYEAAKGMYHQMLPYVKSHLRSSGKSATLRFTGHSLGGSLALLVNLMLLMRGAAPASSLLPVITFGAPCIMCGGDHLLRKLGLPRNHVQSVTMHRDIVPRVFSCNYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEMFILQPDKRLSPHHDLLPPDSGIYHLSDSSSSSGTSLRRLRSAMSTFFNSPHPLEILKDKSAYGPKGAVYRDHDVNSYLRSVRAVVRKEVRRHRETRRGRWRRLLLWWPLGAHGALAGAGVLLDAAVEGGRETARQARAHARLLVVLLVPAKLLVIGAFLVVRLM >ONIVA01G12130.1 pep chromosome:AWHD00000000:1:9681703:9682479:-1 gene:ONIVA01G12130 transcript:ONIVA01G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCWHRSFLLMMACVCSWSITCCKSDEAAAGAFHGQKRSTEDIVARAIICFSDRYIFSGCQGQYRLGPEGALRVPAAAAEAFCGGPCLAETRLVLGCVDGIMDSFRFYNGASVRDVRLALDRGCGLRGDFDVLRSLGGDGYDYGSGPRGLVTTPAPLLLGAVVAVLLWG >ONIVA01G12120.1 pep chromosome:AWHD00000000:1:9674162:9675922:-1 gene:ONIVA01G12120 transcript:ONIVA01G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGPAAFVNGEILKMFVGRRVRTVVQAQREEGGLLIGQSTDGHQLTIKGASGAPMSHYVEIIGIAEPNQAIRAEVCTDFGENFDPAPFNGLCKLANGQMKDLFL >ONIVA01G12110.1 pep chromosome:AWHD00000000:1:9671863:9672822:1 gene:ONIVA01G12110 transcript:ONIVA01G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHAHAAAGSPAATAPSSPTRRRCAGKDDVDDADDDGGGSEQFFFFSAPASPVHYILRSPPSSTTTTTAAAAHYAPGVDGDHGCGGGGGGGDFEFAARQHGAGNGAAAMSSAEELFVAGRIRVGCLSPIRQEEAGFGEQEEGCVDEGESGGQRPPPRRARSASPPRSPHLAKIAEPSDSFASSSSSSTSSSSSSSSAKSTRRRISLRDLLLGSTANSDSATGAAAAAAAERSSGFWPPSIWPSSRSKKTATLALPCPCPCPPPLQPARRSTSSERSSAPPPRRRATSLPYRQGLVLGCLGFGARSYGLAKSMHPLSSG >ONIVA01G12100.1 pep chromosome:AWHD00000000:1:9665192:9666170:-1 gene:ONIVA01G12100 transcript:ONIVA01G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAMPAAVTHDDLSLRKAQERRAARSSGRAAVALVSLSVLCGIVGFILCLAAEGSRSEASHYLMTVGGGGANAAANNGQVDVCFYNSSGRAPLAFAIGAFLLLAVAMFAEHAYMLLAVAAPDSSAAGLAVAEGHPRVPSDPARLTWQTCCLFFVTWICFGLAEVMLMVGIAVESGHVSDWRKPRAVCHRVRPGVFAAAGILGLITVVVGFVVYVTALQRNKLRAQHPVGGYYVGHGAPHPGMPPPPMPYGPHPHPHPPPPPAAAATAPSAPEITPAACQVQPSRAACVTKQCADAV >ONIVA01G12090.1 pep chromosome:AWHD00000000:1:9659219:9664695:1 gene:ONIVA01G12090 transcript:ONIVA01G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRWAGLNHRWAQHAFPSRNSTRRSRGPRKRSLWQTLQIKKKEKENKSQRIFGSWCVSFNSPPFPAATRVSPPLSSPPPPRRLARVFASPSAAAAAARRGGGGGEHPAGEPAMSLRPSERVEVRRNRYKVAVDAEEGRRRREDNMVEIRKSRREESLLKKRREGLQAQAPVPASAATGVDKKLESLPAMIGGVYSDDNNLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSSSDDVREQAVWALGNVAGDSPKCRDLVLANGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTRPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDAQTQCIIDHQALPCLLSLLTQNLKKSIKKEACWTISNITAGNKDQIQAVINAGIIGPLVNLLQTAEFDIKKEAAWAISNATSGGSHDQIKYLVSEGCIKPLCDLLICPDIRIVTVCLEGLENILKVGETDKTLAAGDVNVFSQMIDEAEGLEKIENLQSHDNNEIYEKAVKILEAYWMDEEDDTMGATTVAAPQGATFDFGQGGGAAQFK >ONIVA01G12080.1 pep chromosome:AWHD00000000:1:9657361:9657891:1 gene:ONIVA01G12080 transcript:ONIVA01G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAHATLLLVVAATAAACWTTAAGENEEVAEICKKTSYPEVCIATAGKQASKYGTAVDPLAVLNMQVDAFAMRTEAARKHLTEAVKTATPKAAKALDLCDSLYLDVEDNLGAARRAIGFKDAVTIRAMMGMAAQDMQGCDEQFRKVGEKNPMDHFNRSLLEMSEICRSLSNMI >ONIVA01G12070.1 pep chromosome:AWHD00000000:1:9652446:9655092:-1 gene:ONIVA01G12070 transcript:ONIVA01G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSQANQYKVMASSRQVKAGNRNQPRESAVLDEINFKCQGCAREESGSASFEQCNGKGQKSQQAEKSSSERREERGAQAQKASYHRSPARGHHHHHHHHHGTAKGEEEEERKKKIPQLLPLAAFAAAAARNPAASRETLAPSPTPPRALMGRPRRRQ >ONIVA01G12060.1 pep chromosome:AWHD00000000:1:9641568:9652382:-1 gene:ONIVA01G12060 transcript:ONIVA01G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRRASSGRDMSDNLVQHNRRSEAEISTHVDAAPPDAASNTSAAPSGLVQPPVSPHNACCSHNMVQKRGSQDCHCVYPVRVELFLRNVSLTSNWSDEFLGELASQLSLRVTQFEIVNFYVVGASGLNITMYIAPHTGISFSADQVTAMNYSLSQHTVQINPVLVGDYNLLNLTWFRPLVLAPVYFSVKVENTKRMFGDINMILPMNDINIISLYLQPSPTFTISPKPSPSQASTVPRHSADTSNEKHMSLITIICIFIGALIAVLVIAMFICFCKLRKGKRKVPPVETPKQRTPDAVSAVDSLPRPTSTRFLAYDELKEATNNFDPSSMLGEGGFGRVFKGVLTDGTAVAIKKLTSGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRTLGASRPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLEDDFHAKVSDFGLAKQAPEGRTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDKDTLEELADPKLGGQYPKDDFVRVCTIAAACVSPEASQRPTMGEVVQSLKMVQRSEFQESIPTPPARPNVRQSSTTYESDGTSSMFSSGPFSGLSPFETENISRTAFSEDLHEGRASESLTTHLKAGGASDLTSFIGRVRCSPAVALALVVAAAAAAAATAKLYSPADRILVNCGSTTDGLDAEGRRWVADATNDTWLTDSGKSSIMAAADELETMLPSSIPYMTARVFTMDTVYNFTVNPRDRHWIRLHFYPSSYNGLEPQDFRFSVFTTTGYTLLHNFSVYFTTKALTQAYLIREYSLPRVPEGHFGVTFSPSPMMNVTYAFVNGIEVISMPDMFNDPATMVGFADQTADVSAAAFQTMYRLNVGGAYIPPSNDSGLTRPWYDDTPFVQGPLRGLVYNAGPHFHIKYPSDAAEYAAPPEVYLGGRSMGRDQRLNQNSNLTWSLHVECNFTYVVRLHFCELQLIHGNQRVFDIYINNRTAQTDVDVLEMATERGVPVYKDYAVRLSNDTADEHLWVAVHPSVMLRPQFYDAILNGLEVFKVNNTGGSLASPDPVPYKLLAEKELGWGGPPEFSTDNPANMASVMGGTAGGAAAAGIVAAICVVVYSNKRSKKLGGGGADSHTSAWLPLYHSHTSGKSSGHITANIAGMCRHFSFAEIKAATKNFSNDLAIGVGGFGVVYRGVVDGDVKVAVKRSNPSSEQGITEFQTEVEMLSKLRHRHLVSLIGFCEEDGEMVLVYDYMEHGTLREHLYHNGGKPTLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDDNWVAKVSDFGLSKSGPTTLNQSHVSTVVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFEVLMARPALDPALPRDQVSLADYALACKRGGALPDVVDPAIRDQIAPECLAKFADTAEKCLSENGTERPTMGDVLWNLESAMHFQDAFDAAAGRPVPALDAAAGSSSHLDDGSTASINTLATSSTSHPHEPCVDVVLEPDDVVAERATFSQLVQPTGR >ONIVA01G12050.1 pep chromosome:AWHD00000000:1:9638402:9639729:-1 gene:ONIVA01G12050 transcript:ONIVA01G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEDPNPLLLAHPNQTIVIPEPDGPEPQFTRLGRRLAASHSRTLPPPARRVRRRRPRRGPSSSEAPYHWPGGAHPPPPPPLPKAEEARLHHQQQRLA >ONIVA01G12040.1 pep chromosome:AWHD00000000:1:9634688:9638383:-1 gene:ONIVA01G12040 transcript:ONIVA01G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT3G62330) TAIR;Acc:AT3G62330] MAREPSPEIDDELFNEVYGKAYSGPVATITNNVMPRVNDEKRPLEREKSDEEDGPPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESARDKQVRDLFTERTISQIEKDVGCKIKMDEKFLFVSGKDRLILAKGVDAVHKIIQEGKGKNTSSSPKRDRLRSPVRTTSDIRPRHTDSRWSHSPRSAPRSQSKGYYNERPLDGRSHDDMPKFSKESPQASANYGAKGRPAQSKSPCQPSYIDDSLRSNDGNNQYAATYVPNTWSTETRGTDSRLSLKFDLPSYPQTLEELEMEFKREAMELAIARDKEEDEENYKHRESLREMRENHMKRVTAMRSMHSRKWDEFLEQSFKRHQQAHPTSYAQTSYPDYDQRATQFAATGPPNDSKSEYPYITDSYSAPRPHAAYSEFEHERHDDFGRTYGRY >ONIVA01G12030.1 pep chromosome:AWHD00000000:1:9625306:9628240:1 gene:ONIVA01G12030 transcript:ONIVA01G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNLSSSYSSLFEPLLALSSLLLRRLSAAVRHHRGRNTPPASSDVPPPPPPSSSPLELDRLYGRTVVVDVDAWLLLPAVDAFTFFMVVAVEAGGFLRGLLLLLVYPLLCLLLGDGDGGGGARARAMATVALVGLEEREVARVGRAVMPRFLMAAAAAEGAEAVRAARRSVAVSATLPRVMVEAFLREHVGVDAVVGPELRSVAGVVAGIMDDADAARVAARRLRALLGDEMDQGEADGAGAAVGLVGEGRSGGTVHYLFSRYYCKETFTATEADKRRWRPLPPGGECGGVKPLVFHDGRLAFPPTPSAALAMYAYLPFGVALAVSRIIALSLLPYGRATFLVGALTGVHYRLVGAGHDAAGGGGGGRLYVCNHRTLLDPIVVAAALGKPVTAVTYSLSRVSEMIAPIRTARLTRDREEDRRSMAALLAHGDLVVCPEGTTCREGYLLRFSPLFAELGADVNPVALDARVDMFHGTSTTPAAKWMDPFYFMMNPKPSYRVEFLPRAAPAPAAEDGGDSIRVANRVQRQIGEALGFELTGMTRKDKYMMLAGNEGVVAAAAAAAATIKASR >ONIVA01G12020.1 pep chromosome:AWHD00000000:1:9621672:9623590:1 gene:ONIVA01G12020 transcript:ONIVA01G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLAVPVPSPAPPRPPSVTFRCLRPRTPPTRAPATPARALGNGGGGGGGGSPLGRAWPGVAAALFGAGFVLGPLLDGIHSRVGLQLYHNGAVDVGPLHTHILVPPLLGAFYSTVGMLQLFLDERVSPPAAAAGGSKATGNPQKTAASLVFLAVFIEASAEMYRAGVPSNVEAYVLFAGAELAWLLLDGTWLGFAVACLVGTACPLAEIPLIKLFDCWSYPNADVQLLGEGIVSWTTTCYFVYTPFLANLARWVKAELAVDDAAR >ONIVA01G12010.1 pep chromosome:AWHD00000000:1:9620815:9621340:1 gene:ONIVA01G12010 transcript:ONIVA01G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAATTAAATTATATTAAATTAAVTTAAKTAAAATTAMTTTTVPSPSAPANDLDDSRWSSRPPRATTAVEKPLHQAPQGAPPLRRSCRFLADAALLLLGGTGASYGWGAVDAVTGATGLAVWSEARRRATERHKVGTRSIASSSSSSAAAPLLNFPDPRLLLILLSLGISR >ONIVA01G12000.1 pep chromosome:AWHD00000000:1:9616618:9616860:-1 gene:ONIVA01G12000 transcript:ONIVA01G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLRAIVIGVDVEERQLVSGAREAAEEAERSCSKHPAMPRRCGFFSDNDVEEERWLVSCLRWPGVDRQAAWMQTI >ONIVA01G11990.1 pep chromosome:AWHD00000000:1:9607921:9617255:1 gene:ONIVA01G11990 transcript:ONIVA01G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGVAPSGLKNSSSTSMGAEKLPDQMHDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVQSLSISVVILSAIVQYQVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHVKKQCSYAGV >ONIVA01G11990.2 pep chromosome:AWHD00000000:1:9607921:9617255:1 gene:ONIVA01G11990 transcript:ONIVA01G11990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGVAPSGLKNSSSTSMGAEKLPDQMHDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHVKKQCSYAGV >ONIVA01G11990.3 pep chromosome:AWHD00000000:1:9608311:9617255:1 gene:ONIVA01G11990 transcript:ONIVA01G11990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGVAPSGLKNSSSTSMGAEKLPDQMHDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHVKKQCSYAGV >ONIVA01G11980.1 pep chromosome:AWHD00000000:1:9584206:9584570:-1 gene:ONIVA01G11980 transcript:ONIVA01G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSKMMAVAAVLVLAVVGAAEARNIKAAAAAAESKDTVVQPTTFPPFDRFGSAVPAFGGMPGSSIPGFSLPGSSGSTPGGLGGFGSMPMFGGLGGGSPGLGGGMPGSPAAADKQAKKP >ONIVA01G11970.1 pep chromosome:AWHD00000000:1:9575679:9580924:1 gene:ONIVA01G11970 transcript:ONIVA01G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALDWTPPLPASLLTTTPRRLLEPSSTFPLPLLRPSPPPPPPISPPPPPPPAAAELPAADMDDACAVCAEPLEWVAYGACAHREVCSTCVARLRFVLRDLRCCLCITPCPAVFVTKAMGDRTKVIPDFSALRGAGGEGKAGEYWHHEATQTWFDDADQYRMISAMCRLSCSVCDSNKEEEEERTGKAAKAKRKSKIRSVDQLKGHLLDRHGLYMCDLCLEGRKVFICEQKLYTMSQLNQHIKSGDSEVDGSEVERRGFGGHPMQHSGQYDYFRNYDDLEMHFQRDHFLCEDKGCLEKKFVRHNGVEHGKHMPGAVDSSSSSMQNGIAAVGHGLGGQSDSSRVPLQSLSISSSSGQSSETRQSFARNRVLQQACVPPLSRQEVHDARVGSVLQEASFPSLPAQSRKEPAHSQSSRTAARIGDQQFRPLSVTSNRNVALAQQGTRTLPENTHVSGLAQYSKRTENMHQAVQPQFLKNNSLIPSGSTSRPVHVPSSAGNERQDTFSNSQVLSSVEDILAANKALVEKMRAALGMDQDMFNAFKEIAGEYRQGVINSSEYLSYVKQFGLLHLVPEMARLLPDAQKQKELADAYYANLRLTSLQENGGGGTDNSKQGNQNKKGKGGVPDAIGTSNAATDPLKDKLLNTAIKFQSNYMPQEGCCGVQRKEGRTTDGSSQGLPLKGAWQSRGGQRLFMSKAKK >ONIVA01G11970.2 pep chromosome:AWHD00000000:1:9575679:9580924:1 gene:ONIVA01G11970 transcript:ONIVA01G11970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALDWTPPLPASLLTTTPRRLLEPSSTFPLPLLRPSPPPPPPISPPPPPPPAAAELPAADMDDACAVCAEPLEWVAYGACAHREVCSTCVARLRFVLRDLRCCLCITPCPAVFVTKAMGDRTKVIPDFSALRGAGGEGKAGEYWHHEATQTWFDDADQYRMISAMCRLSCSVCDSNKEEEEERTGKAAKAKRKSKIRSVDQLKGHLLDRHGLYMCDLCLEGRKVFICEQKLYTMSQLNQHIKSGDSEVDGSEVERRGFGGHPMCEFCKSPFYGDNELYTHMTREHFSCHICQRYSARLQSLSYLVRQQHNADFVIVNRQHSGQYDYFRNYDDLEMHFQRDHFLCEDKGCLEKKFVRHNGVEHGKHMPGAVDSSSSSMQNGIAAVGHGLGGQSDSSRVPLQSLSISSSSGQSSETRQSFARNRVLQQACVPPLSRQEVHDARVGSVLQEASFPSLPAQSRKEPAHSQSSRTAARIGDQQFRPLSVTSNRNVALAQQGTRTLPENTHVSGLAQYSKRTENMHQAVQPQFLKNNSLIPSGSTSRPVHVPSSAGNERQDTFSNSQVLSSVEDILAANKALVEKMRAALGMDQDMFNAFKEIAGEYRQGVINSSEYLSYVKQFGLLHLVPEMARLLPDAQKQKELADAYYANLRLTSLQENGGGGTDNSKQGNQNKKGKGGVPDAIGTSNAATDPLKDKLLNTAIKFQSNYMPQEGCCGVQRKEGRTTDGSSQGLPLKGAWQSRGGQRLFMSKAKK >ONIVA01G11960.1 pep chromosome:AWHD00000000:1:9569384:9574613:1 gene:ONIVA01G11960 transcript:ONIVA01G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L3 plastid [Source:Projected from Arabidopsis thaliana (AT3G17465) TAIR;Acc:AT3G17465] MAAVSRGLLARLRHLSIAGPRLPPCRRPFSAEPLVSHPDDDDAAAGGGGGGGEGSGGGRIIEARAGVMGPDSRRTGVIGVKCGMSAMWDKWGAKVPITVLWVDDNVVCQVKTAEKEGFFALQLGAGQKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALIPLGTTITVRHFVPGQFVDVTGITKGKGFAGGMKRHGFSGMPASHGASLSHRSIGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFVFVKDSIYKKPDIAKLPFPTYFSQEGESEELLVADLGDIDPFMVAD >ONIVA01G11950.1 pep chromosome:AWHD00000000:1:9553541:9568760:1 gene:ONIVA01G11950 transcript:ONIVA01G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase [Source:Projected from Arabidopsis thaliana (AT5G48960) TAIR;Acc:AT5G48960] MADSIELARRLCLQPPARRTGTGRCRPRGRLGAPSLRVPRPPLPLSLSLWSSLRCRALDSTRPVAVEGGLGDEEDEDEEGESYFALTSSRLSRVDYLGESTKGDLNVRREHLDAFGGNGKSTLHGPIEEIAWQEAREAESLLRELGIADPFSVRHSPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVMAWEGRAYDYGMANLKGMGFPVDDLEFDPDLVIRGLIMDKDKGNLVKADRFGYIKRAMHGTQMLSTSAVSEIYGRELVDLRKESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELGPLDYKGLYNAVSRALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTNKMMNHAFNRFLPNDMAWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLMRPCFKANSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALIRSHVQKEQLITLIQQKEVVGDLFNQLRLALQRRTNSRPAQTLAATCMDDQELTESMQKLLIVMQRLDEKIAPMLESDGELFNKRWGWLSRTGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFQSQEQTLGHDVHSYSRRQQH >ONIVA01G11950.2 pep chromosome:AWHD00000000:1:9553541:9568760:1 gene:ONIVA01G11950 transcript:ONIVA01G11950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase [Source:Projected from Arabidopsis thaliana (AT5G48960) TAIR;Acc:AT5G48960] MADSIELARRLCLQPPARRTGTGRCRPRGRLGAPSLRVPRPPLPLSLSLWSSLRCRALDSTRPVAVEGGLGDEEDEDEEGESYFALTSSRLSRVDYLGESTKGDLNVRREHLDAFGGNGKSTLHGPIEEIAWQEAREAESLLRELGIADPFSVRHSPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVMAWEGRAYDYGMANLKGMGFPVDDLEFDPDLVIRGLIMDKDKGNLVKADRFGYIKRAMHGTQMLSTSAVSEIYGRELVDLRKESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELGPLDYKGLYNAVSRALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTNKMMNHAFNRFLPNDMAWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLMRPCFKANSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALIRSHVQKEQLITLIQQKEVVGDLFNQLRLALQRRTNSRPAQTLAATCMDDQELTESMQKLLIVMQRLDEKIAPMLESDGELFNKRKIIF >ONIVA01G11940.1 pep chromosome:AWHD00000000:1:9547748:9548574:-1 gene:ONIVA01G11940 transcript:ONIVA01G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin-related [Source:Projected from Arabidopsis thaliana (AT1G02180) TAIR;Acc:AT1G02180] MREIWSSFGLWMLLLMLEAVSPAKIHGNPANDLVALVNANRTATKLPHLRTSAGLGCMALQYISDCIGIGIGCAGDNTVACQPPEAHITEVYAANCGVELPTVDVITGRLLGCHRQRSDAEAALEAVLSGSGNSTAARAVIRGKEHTQVGAGFDRAHRRGPFFWCLLFSSGSANSTFLLEAAGKGVHQSHGCFSVPDNTSLSLSCSSAAAAAVPLLFFILLLPVLQVYY >ONIVA01G11930.1 pep chromosome:AWHD00000000:1:9540636:9543472:-1 gene:ONIVA01G11930 transcript:ONIVA01G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSRFAGSPSVQARVFAIVRHQAPPFCAASAVGDITRPGRLAEVTLPIGFFASPCLHVFLDPTRYISLLLLRL >ONIVA01G11930.2 pep chromosome:AWHD00000000:1:9541650:9543472:-1 gene:ONIVA01G11930 transcript:ONIVA01G11930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSRFAGSPSVQARVFAIVRHQAPPFCAASAVGDITRFVVLYMVCAVLPSVLGSLWGCAWVPVYQRS >ONIVA01G11920.1 pep chromosome:AWHD00000000:1:9524361:9530561:1 gene:ONIVA01G11920 transcript:ONIVA01G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like 3 [Source:Projected from Arabidopsis thaliana (AT4G35020) TAIR;Acc:AT4G35020] MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWIPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPISTAQGEELRKLIGAAAYIECSSKTQQNIKAVFDAAIKVVLQPPKQKKKKKKAQKGCAIL >ONIVA01G11900.1 pep chromosome:AWHD00000000:1:9499484:9506467:1 gene:ONIVA01G11900 transcript:ONIVA01G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21280) TAIR;Acc:AT2G21280] MGSRGCFCKRPAAMGNLLLLLPHPAKAKLRPPTAAAKRGTLFFCSLSTDGPSSTMTVSITGATGFVGRRLVQKLLSEDHKVCVLTRSASKATSVFPASTFTGITIAEQGDWDKCIQGSTAVVNLAGMPISTRWSPEIKKEIKESRINVTSKVVNYINNASGDARPSVFVSATAIGFYGTSEISSFDESSPSGNDYLAEVCREWEARACQVNQEDVRLVLLRIGVVLGKDGGALAKMIPLFMMFAGGPLGTGRQWFSWIHQDDLVDLIYESLKNPSYKGVINGTAPNPVRLSEMCERLGRVVGRPSWLPVPEIALKAVLGEGASVVLEGQKVLPVKAKQLGFSYRYPYVQDALRAIARDL >ONIVA01G11890.1 pep chromosome:AWHD00000000:1:9492028:9494700:1 gene:ONIVA01G11890 transcript:ONIVA01G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEASGGRDKYRSFMYGEGEKDTVWRLGSPPNYDVVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELVHKVRPEDQKSVHSQKFCSSTNGMRFLNRKDLMAIGSYNAFLQTKLPPEHRIYDPDKETLESGMATFLTAFPRGFAIEVLDVYSGPPRIVFKFRHWGHMEGPFMEHPPHGERVDEEMKVEKAEFFYERGNFLASFLSAPAAAATDAASGSGCPVMGGN >ONIVA01G11890.2 pep chromosome:AWHD00000000:1:9491980:9494700:1 gene:ONIVA01G11890 transcript:ONIVA01G11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEASGGRDKYRSFMYGEGEKDTVWRLGSPPNYDVVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELVHKVRPEDQKSVHSQKFCSSTNGMRFLNRKDLMAIGSYNAFLQTKLPPEHRIYDPDKETLESGMATFLTAFPRGFAIEVLDVYSGPPRIVFKFRHWGHMEGPFMEHPPHGERVDEEMKVEKAEFFYERGNFLASFLSAPAAAATDAASGSGCPVMGGN >ONIVA01G11880.1 pep chromosome:AWHD00000000:1:9486012:9486419:-1 gene:ONIVA01G11880 transcript:ONIVA01G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAVLLMALALTLAAAGTGTGAELETAAIRRRQSRFLASAKNSPPLSYYDCKRKPPSVCLEPGSPGATCCKGACVDTGSSFAHCGSCNHVCKYGETCCGGHCVDLLSDRKNCGDCFVRCPSKKCSFGLCDYAG >ONIVA01G11870.1 pep chromosome:AWHD00000000:1:9479582:9480822:-1 gene:ONIVA01G11870 transcript:ONIVA01G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLKPVALLLLILNLCMYVILAIIGGWAVNISIDRGFILGPGLRLPAHFHPIFFPIGNWATGFFVVFSLFAGVVGIASGLVGFSHIRHWNYYSLQPAATTGLLAWALTGTMEAFTIVLTATQLFYILAIHSGSRGPVPVERPHAVAAGY >ONIVA01G11860.1 pep chromosome:AWHD00000000:1:9466930:9469239:-1 gene:ONIVA01G11860 transcript:ONIVA01G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSRSLKPFAASSIDGGVASTKPAAAPVVARFGMLTRFHAGYFRISLALSGQALLWRTLSDASTDPRALGPVVRSLPSAAFVLLWSLALLTLVALCALYAARCLLRFPAVRAEFRHHVAMNYLFAPWISWLLLLQAAPPLLLRPDARPYRALWWAFSLPILALDVKVYGQWFTRGRKFLSMVANPASHITVIGNLVTARAAARMGWHEGAVAMFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWDAISASFDTCCKMLFFLSLFLFASLVSRPTLFKRAMRRFSVAWWAYSFPLTVLALAAAEYAQEVREVAASVLMLALAIISVAVTLALMVFTVLRTNDLLPHDDPFSCPPLAR >ONIVA01G11850.1 pep chromosome:AWHD00000000:1:9463489:9464018:1 gene:ONIVA01G11850 transcript:ONIVA01G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTVAKSSEEMPPARGLPLYLHPPFPRGPERKGEGAGLGCRGRAAHDDSSAPDVVFGQGASSMDRHPPLAISPWRLRPRSLLAV >ONIVA01G11840.1 pep chromosome:AWHD00000000:1:9459116:9461386:-1 gene:ONIVA01G11840 transcript:ONIVA01G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78530) TAIR;Acc:AT1G78530] MTLPAIHMIQPVPIDWGQCTFFFHAILPNCLLIETPVLLLQHSHDCKMHITMVALYITICSILFIVSKMLISFLLYKKWARKKRIIENSLTGGKMVMFRSAAMQSLSPKSFLTMIMGLSNKDIIGSGGYGTVYRLSVGEKAAFAVKKLSRGSVEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMPNGSLDTILHGKEETRRALGWEARHKIAAGVARGLAYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPNHSHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKETMEEKREEHAVDSALESSFPAEEVKLVFKVADKCLESEPCNRPTMAEVVKLLEQAKNTTA >ONIVA01G11830.1 pep chromosome:AWHD00000000:1:9416882:9420578:1 gene:ONIVA01G11830 transcript:ONIVA01G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGHLGGGGGGGGGLLALDASPRPLGFLNLLSPPPFHRSTMEADDSGGGGGRARRSVEVDFFSDEKKNMKKSRVSGGAAAEADDAKGPAAAGLAIKKEDLTINLLPAGNNARSDRSMVVDDDAASRPDHEEKSRSSNELAAMQAELGRMNEENQRLRGMLTQVTTSYQALQMHLVALMQQRPQMMQPPTQPEPPPPHQDGKAEGAVVPRQFLDLGPSSGAGGEAAEEPSNSSTEAGSPRRSSSTGNKDQERGDSPDAPSTAAAWLPGRAMAPQMGAAGAAGKSHDQQAQDANMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGAAGLMSSNFLARTVLPCSSSMATISASAPFPTVTLDLTHAPPGAPNAVPLNAARPGAPAPQFQVPLPGGGMAPAFAVPPQVLYNQSKFSGLQMSSDSAEAAAAAAAAAAQFAQPRPPIGQLPGPLSDTVSAAAAAITADPNFTVALAAAITSIIGGQHAAAAGNSNANNTNTNTTSNTNNTSSNNTTSNNTNSETQ >ONIVA01G11820.1 pep chromosome:AWHD00000000:1:9404045:9404731:-1 gene:ONIVA01G11820 transcript:ONIVA01G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTANDAAAAAPGKLRRPSRAAAAALCPCKGRGAPKPPPVAVIAHECPSAMRALVVEVPAGRDVVSCVAAVARRARRGALVLGASGRVADVVLREPAAVVLRGTMEILGLAGCFFPSPPPHAAAEGAPGGGGASAAVFLAGPRGGVLGGGVAPGGLVAAGPVVVVLATFVAAAFDRLPLLKGEETANSEGCDVHGVTRRRRCGAQPPQQQQQRCGWALCRKLGAKS >ONIVA01G11810.1 pep chromosome:AWHD00000000:1:9397899:9401738:-1 gene:ONIVA01G11810 transcript:ONIVA01G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAQPQQQQEQQPVAAAAVPTPAPPASEAQPQKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRSHGIVRWPYRKLVSGKSGDDTKNAEREKAKGLLEISKVAKQKALSASGLSTVSPGAFQGVAKSQQGSSKAGQVSPPGKQNVLGGSAILSYGTQTKGIPTYMDDFKYGFPSSGLSLQTMKWWGTDSHTETTPAKDDNGEAPESANEASKGMTDDELDWGADEAEAEADADSAITTEPSAQLCSLRRKAVDDGRKLLTGKSCGGLELCRLNKRQKMALAQVFGASLPEQLRSKLG >ONIVA01G11800.1 pep chromosome:AWHD00000000:1:9394381:9395742:1 gene:ONIVA01G11800 transcript:ONIVA01G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATMALSSSFAVAAAAAGGAPWRGVVSAGRAAPRRRVALVVRAQSEPEVEPTKEETATSSSSPSPATTPTPSPAAAAPKAKPAASTKLWDVLAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLDQAGSGSGLAWFAATAAVLSAASLVPLLRGETAEARSGGVMSADAELWNGRFAMLGLVALAFTEFLTGSPLVNV >ONIVA01G11790.1 pep chromosome:AWHD00000000:1:9392974:9393465:-1 gene:ONIVA01G11790 transcript:ONIVA01G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKTKERGGHRRSLHLLPRIQQLELHAHLEFDFIWSIGGGQMLAALPLAPNQVVGHAHEKAHGTQGRRLGLGCDLGGRGGCPHTRACLSVPCAQEGEARDKEDIWVRIGREVVRSGGRQAMALRRRRLGKVRRSDRGIGRNHDHEAKGSRTWMMDETEYDE >ONIVA01G11780.1 pep chromosome:AWHD00000000:1:9391320:9392598:1 gene:ONIVA01G11780 transcript:ONIVA01G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKQPRIDAIGLRFRTVVHITLVASMAYAAFGAAVAYPASGAPAALALAFVLGYGALLFRLPFSVYALEFLRQEFPIARTPLSVQACVAVTPVALSVAVLWREGRRRRRVALATCVVWAADVAAVLSLAWCLTHGGALAMALTRRKQYEESGKALERMMKGYNPKDPAAVLFALDLGEIRDASVRLAAAVSAACAVAGGVAVGGGGGGMSYTGLSYAAAFFALPMLCLSYFQKTCAYPVDMPKHLATYDRPHLKVIRYACVRFVAAVSAASAIAGGLVIGGVSWIGLSYAAVFFALPMCLLYFREKYGFSMSDMPSLLKWCNVSVPMAALALLFRLVTAARQAAAPDVRLVAIAGTVWAVDAAAIGFLGWRSTREMAKPILRANASEIFTSFVMVCLRYWLYLHVFYILGNGSQRWFNSL >ONIVA01G11770.1 pep chromosome:AWHD00000000:1:9380668:9388734:-1 gene:ONIVA01G11770 transcript:ONIVA01G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKTLQGTQQQYAASGFPTQQYPTSGWTQSAAEILQLDNMDQDTSVVRNIIHRKIVEYLNERKEFCNFDLSFLMEIGKCIDRHLFEKADSKIKYMDLETLRTRLNAIVNSASFRGSMFHWSASAASSKLNSQQLPVMEVPIYHDRVTPGPNNLPSCAYNVSSTQGYNQYENCMGAANFAHSLADKPKQMPERLANTIFTSCASTLPKCSPSIDVLHTGHIKEHFSGDAYQNDSSQPSTSGSSSSLSAVWDQTTCSSAMRTLPMDSFSTVNGQNLSTNNKSLYPTTGQGPLLQQYIECEMKQETWSQSLEQSDQSNITTGNHDLYHAQIHPYINGEHKRDRCIQMKEKLGHTSDHEGFSREKSSNLSNHFMHHQQGFMTNYGACSPVSKTVDRAEQTSNSTVSKPTSPASDGSSGKHYPAKRLKVDVPHLVHVNEMEASKEQQPAANETYASAETVQSEVTNSPTKSPCCTSLGDNIACTDNVHGMDMVRLSGSAVQTEEEFRRENSDIEMKDAKVDLLDQTLSGDSLRARKRRGASVLYALTSEELKDHLCTLNHDTSQSKVPTEELLSVEGLPDQNTCNLCGMERLLFEPPPRFCALCFKIINSTGSYYVEVENGNDKSSICGRCHHLSSAKAKYQKRFSYAETDAETEWWVQCDKCKAWQHQICALFNPKIVDPEAEYTCAKCFLKEKDNEDVDSLEPSTILGARELPRTRLSDHIEQRLSERLVQERQQRAIASGKSVDEVPGVEGLTVRVVSSADRTLQVQPRFKDFFKKEQYPGEFPYKSKAILLFQKNEGVDVCLFAMYVQEYGSACPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILNLVKKAVKEGVVVERNTLYDFFLQPTNECKTNISAAWLPYCDNDFWPGEAERLLEKKDDDTSQKKETQLGRLLRVAKRDDRKGNLEDILLVHKQFCKHCHHPIVSGSSWVCTSCKNFFLCERCYAEELNTPLKDRHPATTKQKHAFERIEEEPLPETDDVDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYHLHDSTCSSCHRAMDQCLAWRCLVCLGCNFCDSCYKQDGESLHIHKLRQKKDHHVLQKYTLQDYLEGLVHASRCFDRSCTSKLCLTLKKLFFHGVRCHTRARGGGGCHMCVFMWKLLFTHSLLCDNADCSAPRCRDIKAYIADRSMTDLSISG >ONIVA01G11760.1 pep chromosome:AWHD00000000:1:9363915:9364802:1 gene:ONIVA01G11760 transcript:ONIVA01G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVVPCPSAAAYGPGGCDGVGCSSSHNVWAHRVRHNGGIYRLCSSCVLLGHRDAFCSVCLDVFPGDAPFQDDFYNPIVSCSCCGVEPVAAAHLACLTDPSYFVCPACAAAAEGRAFTYAPSSGAPHALAERVLLVAARLAHESVARAAAAAREQAERLIREAAAARKRARDMVDVACRVLEAEARDAKEQAAAPPSPVLTKKTTPKNSAANRSSDKPLKINSIQKPALAFAAAAAAAAAAASSTPLLTPSPAGERKPMKQGRVLIAV >ONIVA01G11750.1 pep chromosome:AWHD00000000:1:9341233:9372799:-1 gene:ONIVA01G11750 transcript:ONIVA01G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPHLFDGAAPNFRRDERMAAPPREATWVILACVPSVSSSDGDFEAGDHLAFDWRDPPGVSLLTLRQSDSVFVSPAPRDFCPDRDDHPYVVAVDSAGGLLLRGARRSAHDFGPGVALGFDPAPSCANDGGYILCHATLRMAYLYPPCSDEYRLLYAGNVGMIRRTAADGDHPIRLLAELQIESGNGIHRATLLRYSQELGLGGWASTKVNYPPGRRSWCGDGVIVHAGMLWWVDLSFGLLTCDVFAAKPDMRFVPLPEGCKLPYSSDADHAKHRCVNVSDGELAFITSRNSWMFAVDLVTRIVLECKKYKMPQLPTMYHSSRHNLTDELDLNFSSDKADELLSTTGRLFINPRFQELRNATAFPKYLSFVIVKATDAYEASCLMRDFVSHVSMDGSNIVPRVSGESIHSPHLFDGAAPKFRRDERMAAPPREATWVILACVPSVSSSDSDFEAGDHLAFDWRDPPGVSLLTLRQSDSVPVSPAPRDFCPDRDDHPYVVAVDSAGGLLLRGARRSAHDFGPGVALGFDPAPSCTNDGGYILCHATLRMAYLYPPCSDEYRLLYAGNVGMIRRTADRDHLIRLLAELQIESGNGIHRATLLRYSHELGGWASTKVNYPPGRRSWCGDGVIVHAGMLWWVDLSFGLLTCDVFAAKPDMRFVPLPEGCKLPYSSDADHAKHRCVNVSDGELAFVQIHDYDAAAGRGAPSTIMISLWTLQQSDAGKESVWSLRHRVRVDEIWDHVTYRKTMMPRRVPVLALLHPKELGVVFFFQITSRNSWMFAVDLVTRIVLECKKYKMPQLPTMYHSSRHNLTDELDLNFTSDKADELLSTTGRLFINPRFQELRNATAFPKYLSFVIVKATDAYEALCLMRNFVSHVSMDGSNIVPRVSAETGHRRGGRHRQAPRCIKASAGNKMRYVQIHGPPDEQVVTIWTLDDRVHARWRREYEVPFVETWDGKRYKRSEMERKEERAAEEMQMREAAKGWWVILVSVPHVAHDEFHFPPGTELRLNFGAPPFASRITVPRRIAPDRKAIDNYPYLAAADERHGRLLLYATQGPDPEPRPALDAFYLRPLGVHHGFAKAYFICDTTTREASRLPDPDHPFAILHPGNVGLLCYSISFYVAELQPAPASGTATLLLYRSDSDAWVDEELSYPPHDRPWGGNGMVSHQDGLWWVDLSYGLLTCDVVYGDDPPDLHYVPLPQDSELPAGTPDLEKRRCVGVSAGRLRYVQIDDEPDGDPIVRMWTLLDEDAGEWGFDCAASFVAIWDDEAYKATKLPRQVPAVALIHPTGPGDVVYFFLRSRIFAVDVRARRLLEWRFFEMLHPPMRYHSSQFVPMSEAPPMEKVDTAVKDRRWQKKDGRKWVLLACVTHVVRGDYFEAGMDNVIKAALAPRATRLVVHRSIAPRRKTIDDHPFVAGADCHGRLLLYASQGPEPEPPVLDGFYRGPLGEHHGFPKAYFICDTLKHKSTRLPDHGFPILHPGNAGLVAITKTIFHVADLHPIVGSDKAALLIYFSVPEIWATHVVDYPPRDRPWGGNGVVVHKTIVWWLDLSYGLLSCDISARRQNLRFVPLPPDCELPPGTPDLDKRRCVGFRGDDLRYMGIHEREYDGKPVVSMWTLVDQDARTWRLDCQPLVKDIWNDEGYKATKLPREIPTVAFIHPELPGNVAYFFMRSRLFGVNLYTRKVLEWQFFAMLNPPMRYHSSRFVRAWACPNSAMASSPTSRDRDMAAAVVVEEEVGDAQPRQEWIMLAAVPDVRRSSRMFPPGTDFVLALKKPPHVSHVTVAARIAPGLPATPTRFPYVVAIDAGGAFLLCVTQRAREPPLATGADASVGRARRRREFARVPAYFLCDAHTGVASRVPDPPVGGPLSDFHRVGLISRPCGGGGGGGGGVAYAIAELVPMLGTDHATLRLYWSATGLWLSKEVKYAGLGHPESWANDVVISHAQKLWWVDLSCGLLACDPFTEHQDLLFVPLPDGCVPPVAGTENDLIKHRCVTSSGGKLRYIQIHSRLGVPIISVWVLADPEHATWDCECHLPFSEIWSRRWVSRITRKKSLMVAAAHPVHTGMLFFVHGPRQEPIHSGCESKKDD >ONIVA01G11740.1 pep chromosome:AWHD00000000:1:9330690:9340163:-1 gene:ONIVA01G11740 transcript:ONIVA01G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREISLAPTAAVEEPAAAPARVRRRRQLHLFSVAAEVHKVNVGEWRERGGGADAGAHRIGPSQHVVLPLVSNSLMQALVSSSLMQAFSAKPCIVLIARSYPCKTRFRGCFMGKQKSRRTARVQLERSEESRAAIPRRFHWDVEELADDARAGGRKRGITRFLGGFAMMRRSRRTVRALAGGSEESRAEARASEEVSLRCREAGVWRALVVVHECRWARSTQLPTDPAHELWGKHYMGQPTWANMGRPARGEEVGEEEVRRLATGDTATTSSRGGGCRGRMLGGSRRLDGGEIDGVPLEPHKRQHSVALPDIGSGGRHNNAAGYTVDQTMAAYTATARWLLEPTITTPQRVTKKSIDLAFFLRDPYLHSIGRAVGHAIDGGGGSEELDLTVEADIAVADLTDEHKVSLGRRFWSFFQACPVVFRWLTRLTVDDCLSGPDDIPTLVNTCGRLRFLELRHCDVVDDAVLEIDAPRSQLVCLKLHHCNFRRMDLIQVPKLRRVRFGYVPCLGNIAFGSECLFWHEPFVLSQFGFKPEDPKLLSPIFGNLRDVHLRNIFNGCDLNWTLFLLDAAPSFNSMYILLCRHTCEACECEYGAEKTNVTRKTSDFKHHNLSLLEMKGFEVEKRRRTDRIQQDEKEKESKIITGFALHWRVGSHCLDGGSVAAGSGVEDGELVEADEAVAAGGCVAEALEPRDLAVAVVVELDEASRRRRSLPRSGPPPPSPSPPSSRPRPFTAASNRSPLQGLTRTTAEAAKSAALAGETPSSAANTATDKLRRRWTETDVGGGLGRRCSRSPWWRRRGEARVRRRGRRGGGGAVEEKEARRMGGRRRRGGAAKRKRRVARKEG >ONIVA01G11730.1 pep chromosome:AWHD00000000:1:9323437:9330159:-1 gene:ONIVA01G11730 transcript:ONIVA01G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKSWGETCQRGYGSQVNARYSAMSSERQKACIGSSHAVVAVQLHLMPARLLLLLLLTPLMEARSFALMRLPLPTPAHALHAQSRCQLTGFGDMREKEKFFEIANVRIY >ONIVA01G11720.1 pep chromosome:AWHD00000000:1:9322376:9323311:1 gene:ONIVA01G11720 transcript:ONIVA01G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAFGAAVAYPDSAPAALARAFALGYGALLFLLPFSVYALEFLRPPYPIDRTPLSMITCATATPVALSVAVLTVLNQGRAGGDVAFAACVVWIADVAAVLSLAWCLTHGGTMDVALNRRGQYGEICKVQERMKKFNNHAAVDVRYAIRDAKIRFAVAVSAAVAVAGGVVIGGVSFKGLSYAAVFFALPTDLLYFRDTYAYPTDHMPKQLEWCYVSVPMAVMLLSRLMLAARQATTLDIRLVAVTAVMLAIDFTAIGFLEWHSRREMPKPRGTRASAAEIVTSFVMVCLRYWLYLHVFYVIGNGSQRWFN >ONIVA01G11710.1 pep chromosome:AWHD00000000:1:9294981:9315537:-1 gene:ONIVA01G11710 transcript:ONIVA01G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVDEQISAGKQAKHKHWWTRNRKWMVMACVPHVVRGDRPRGFDCGIKLKIPPRATRLAVHRSIAPRRKTIDDHPYFVASTDHHGRLLLCASQGPEPEPPVLDAFYRGPLGVHHGFPMAYFICDTRTRRCTRLPDRRLPILHPGNVCLVDTASGGFIVTDLHPTPGDQQAILFMYNSASGVWKDRVVNYPPRDRPWGGNGVVVHQMMIWWVDLSYGLLTDLAFDQLRRVVPGIHHDLRFIPLPDGCELPPGTADLDKCRCVGLRRDDLRYVQIHKRDGDPAVSIWTLDQLAGTWSFDCEASFKAIWNDEGYRATKLPPEVPTVALIHPEHPGEVAYFFLHSRVFGVDLRACSVLECEFFAMLNPPMRYHSSRFVRAWRLPVSNSAKHKVMTIKRGGKKGAPPRWKGQWVTEDGSELWMVLACVPHVVQDGYFKPGFDNGFKFRLPPGATRLVVHRSIAPRRKTIDDHPYVAGGDCHGRLLLCATQGPEPEPPVLDGFYHRRPLGDGDHRHGLLPKAYFICDTRTHKSTRLPDPGLPILHPGNACLASISRDAYLVADLHPTVVGADHATLLLYSSASGAWSNLELNYPPRDRPWGGNGVVVWPKEIWWVDLSYGFLALDLSAAHRELRFVPLPVGRELPPGTGGRDLEKSRCVGLNFGELRYVEIDERDGVDPIVSMWTLLDEDAGTWSFDCEASFKAIWADEGYKATKLPPEIPTVALIHPEHPGDVAYFFLHSRLFGVHLGQCRVLEWQFFEMLHPPMAYHSSRFVRLWKNIPAFRLLLLRLCTTSTSTPPHGITTSAAVAAAAAAAAYAYAEPLGLTRAPFSSSASSPAETMASASPPPSTWVILGSIPRVCGADEKGAGGGIPAGADLSLALKAPPRVSLLTIPSRIFPSATTSDNFPSVMAADPSGLLLLHADQGRAKGPTVIDRPGRQEFMWRQFVPGYFVLDAATATAVALPDPELVMHMGHMGLLASPDGGGSYVVAELQPILHADHATLLCFSSDVGEWVEKEVAYPFPPRQLAPNGAVSHSGRLWFVDLSWCLITCDPFAPAPALRFVPLPPGKELRCREAWGVLDKYRCVRVSAGKLRFVDMYKATAPHQRGPHKISVWTLADPDSEEWTLEHEASFAEIWADDSYKATGLPDKIPVLALIHPENPDVVYFFLEEHLFGVDVRARKVAGSEVYELVAPPSEVLATRFVRAWELPPALSSARMSSPPPATLSTAAADVSHPAPDAPAGSTVLAAPPCVSLDPAAPAHQQPADTVSAPPPPSSTWVILGSIPRVSAAADGELPVDADLSVALAAPPRVAILTISPDVFPEPPTPRFFPFVLAADTSGLLLLQANLGIPMSREVVDRPHRQGLRWRDAASRYFVLNATTASAFHLPDPEEPILHQALLGLIASPRGDGHYMVAELQPLIGCDKATLLCFSSEVGEWVEKSVRYPLPPRPLAPICVFSHHGRLWWVDLTWGVMTSDPFADEPVLGFIPFPAGKVLQCREAWGVADKYRYVGVSAGKLRFVDTYTAPRRGVPPKVSVWTLADPDSTEWTLEHEARFDDIWADESYKATGLSKKIPVLALIHPENPNVVYFFLEEHLFGVDVRDRKVVECEAYELVAPPSCFIANRFTRAWTLPRALSSGISNWSNAINLAEKAKSRPSRRAAKKSSRMMGSPGDYHLVVSNELNYLSYGVGVGHWEMTSTLMLACFWLSRCTVCLMQQ >ONIVA01G11710.2 pep chromosome:AWHD00000000:1:9294981:9315537:-1 gene:ONIVA01G11710 transcript:ONIVA01G11710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVDEQISAGKQAKHKHWWTRNRKWMVMACVPHVVRGDRPRGFDCGIKLKIPPRATRLAVHRSIAPRRKTIDDHPYFVASTDHHGRLLLCASQGPEPEPPVLDAFYRGPLGVHHGFPMAYFICDTRTRRCTRLPDRRLPILHPGNVCLVDTASGGFIVTDLHPTPGDQQAILFMYNSASGVWKDRVVNYPPRDRPWGGNGVVVHQMMIWWVDLSYGLLTDLAFDQLRRVVPGIHHDLRFIPLPDGCELPPGTADLDKCRCVGLRRDDLRYVQIHKRDGDPAVSIWTLDQLAGTWSFDCEASFKAIWNDEGYRATKLPPEVPTVALIHPEHPGEVAYFFLHSRVFGVDLRACSVLECEFFAMLNPPMRYHSSRFVRAWRLPVMTIKRGGKKGAPPRWKGQWVTEDGSELWMVLACVPHVVQDGYFKPGFDNGFKFRLPPGATRLVVHRSIAPRRKTIDDHPYVAGGDCHGRLLLCATQGPEPEPPVLDGFYHRRPLGDGDHRHGLLPKAYFICDTRTHKSTRLPDPGLPILHPGNACLASISRDAYLVADLHPTVVGADHATLLLYSSASGAWSNLELNYPPRDRPWGGNGVVVWPKEIWWVDLSYGFLALDLSAAHRELRFVPLPVGRELPPGTGGRDLEKSRCVGLNFGELRYVEIDERDGVDPIVSMWTLLDEDAGTWSFDCEASFKAIWADEGYKATKLPPEIPTVALIHPEHPGDVAYFFLHSRLFGVHLGQCRVLEWQFFEMLHPPMAYHSSRFVRLWKNIPAFRLLLLRLCTTSTSTPPHGITTSAAVAAAAAAAAYAYAEPLGLTRAPFSSSASSPAETMASASPPPSTWVILGSIPRVCGADEKGAGGGIPAGADLSLALKAPPRVSLLTIPSRIFPSATTSDNFPSVMAADPSGLLLLHADQGRAKGPTVIDRPGRQEFMWRQFVPGYFVLDAATATAVALPDPELVMHMGHMGLLASPDGGGSYVVAELQPILHADHATLLCFSSDVGEWVEKEVAYPFPPRQLAPNGAVSHSGRLWFVDLSWCLITCDPFAPAPALRFVPLPPGKELRCREAWGVLDKYRCVRVSAGKLRFVDMYKATAPHQRGPHKISVWTLADPDSEEWTLEHEASFAEIWADDSYKATGLPDKIPVLALIHPENPDVVYFFLEEHLFGVDVRARKVAGSEVYELVAPPSEVLATRFVRAWELPPALSSARMSSPPPATLSTAAADVSHPAPDAPAGSTVLAAPPCVSLDPAAPAHQQPADTVSAPPPPSSTWVILGSIPRVSAAADGELPVDADLSVALAAPPRVAILTISPDVFPEPPTPRFFPFVLAADTSGLLLLQANLGIPMSREVVDRPHRQGLRWRDAASRYFVLNATTASAFHLPDPEEPILHQALLGLIASPRGDGHYMVAELQPLIGCDKATLLCFSSEVGEWVEKSVRYPLPPRPLAPICVFSHHGRLWWVDLTWGVMTSDPFADEPVLGFIPFPAGKVLQCREAWGVADKYRYVGVSAGKLRFVDTYTAPRRGVPPKVSVWTLADPDSTEWTLEHEARFDDIWADESYKATGLSKKIPVLALIHPENPNVVYFFLEEHLFGVDVRDRKVVECEAYELVAPPSCFIANRFTRAWTLPRALSSGISNWSNAINLAEKAKSRPSRRAAKKSSRMMGSPGDYHLVVSNELNYLSYGVGVGHWEMTSTLMLACFWLSRCTVCLMQQ >ONIVA01G11700.1 pep chromosome:AWHD00000000:1:9283136:9284309:-1 gene:ONIVA01G11700 transcript:ONIVA01G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78520) TAIR;Acc:AT1G78520] MARGAQPSASLLLFSLGLVLLYFSSGSTIRLAEGQKTWCVAKPSADDKVLTANLNYACSQVNCGVIQQGGPCFNPNNLVSHAAVAMNLYYAAHGRNAWNCYFQNSALVVQSDPSI >ONIVA01G11690.1 pep chromosome:AWHD00000000:1:9281478:9282176:-1 gene:ONIVA01G11690 transcript:ONIVA01G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFSRHAGFFASLKQVEDRLAAEQQPPPPPPPPRQPETLPFSDTMTASPLFLGPATDTAAADRPGGESSGPAVDFLTLSKDEERLQEEPRGAAGEDDDEDNDEIGEDIARLMALLGLSPPRRGLEGGGGDDDSGGCDCSGGEGFLAKVVGVVGPKCDKEKRRVDGWVEHYFSGGECREPARLAHLLLAKAAASSSSSSWEGEGHRGASPFAFPATVKEFLDRDAPPRCTEE >ONIVA01G11680.1 pep chromosome:AWHD00000000:1:9278611:9278972:1 gene:ONIVA01G11680 transcript:ONIVA01G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVADRNAVSWTAAIGVLMRAGRVCRMLQCHDFCVLQQRSCPRCFYALFEGMPRKNVISWIAMISGGYDQNDVGYGKDEAHAKHLGMCQCARHIAWFADGQTAKLGYW >ONIVA01G11670.1 pep chromosome:AWHD00000000:1:9257519:9258172:-1 gene:ONIVA01G11670 transcript:ONIVA01G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH150 [Source:Projected from Arabidopsis thaliana (AT3G05800) UniProtKB/Swiss-Prot;Acc:Q9M9L6] MAKVRGKAGAGAGAAAAAATRTKWRSGAQERIYGRRLLDALRATRDGAAGGGGGAPPQPRAVKAAADSALALTARGQSRWSRAILLAGAASSRRRVLVKAGGKIRRGGGGNSCRRPQARAAAAAAAKAAAAASSAGEPPMLKERKVKERLRVLGRLVPGCRKLPAPALLEETADYVAALEMQVKAMRALADALAAAQLSSSTPQQAEAAADETEMER >ONIVA01G11660.1 pep chromosome:AWHD00000000:1:9249752:9254579:-1 gene:ONIVA01G11660 transcript:ONIVA01G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54860) TAIR;Acc:AT5G54860] MAAAAASSSSSPWAGRMAAAFGAPFLWLVCLIYFIQGFRSFVWTAVSYQMKDMMKLSPSTSQFLVSVAYFPWSIKPVYGILSDCIPIKQRKRTPYLIISSCLSLFPWLILGMSEPLRSSSNFLTALLIVQNLGSAMADVVIDAMIAEAVRSAGPEFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPIHVIYIIFSALPLFQLISCIFVEESPKGFESRMDNAAPKHVEDQTIDASPGKSSSESYKYEDTRRRKGARRNNKRKSLSKRPEDHDKNKSTNLRPYLSLKSAFFSLCTAFKQPTILRPMAWFFFSNVAIPNISTVMFYYQTEVLHLEASFLGTARVIGWFSLMLGTYIYNRYFKHKKLRNILMFAHVGLAIIGVLDILLVLRLHVHYGITDKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNFGSTLGSFLGAALASALSISSAQFDNLALGLGVQLIGTLLPVGFLFLIPKEVTGLTS >ONIVA01G11650.1 pep chromosome:AWHD00000000:1:9240467:9250180:1 gene:ONIVA01G11650 transcript:ONIVA01G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop nucleoside triphosphate hydrolases superfamily protein with CH (Calponin Homology) domain [Source:Projected from Arabidopsis thaliana (AT3G10310) TAIR;Acc:AT3G10310] MAAEPRRVSFRDGRLASRKAEEAALRRHQAATWLESVIGPFGLSRCPSEQEFVAAVRNGIVLCKAINKIQPGAVPKVVANASCDSQPSTAFQYFENIRNFLVAVQELKLPCFEASDLEKDNIDAGSVGKIVDCVISLKSYHEWRQRGGSYGHLKHLKSPLATRSASHVQSEYVCSGSSSTPKRLDLVETDTERQPNQNVGPNCQEAMERLQKVILDCMISCKENLDNDSLKKDPYKLVGTILSRQLEKEQFEPLLQLFSPEGVTVKNESCPPIESSNSQVENRRRLLQAQESELLELKSMFQEVKIDFRTLKTQFQDDIIKLGDNVQGLSKAALGYNQAVKENKSLYNLLQELRGNIRVFCRIRPLINSESISSIEHIGNDGSIMVCDPLKPQTTRKIFQFNKIFGPTTTQDEVYKETQSLIRSVMDGYNCGPSGGLSSQDLGISYMALNDLFKTSTSREDVKTSSNGLLNLPDAKKCPVQSPSDVINLMLLGEKHRASSPTAMNHRSSRSHSILTVHVNGKDMSGNVTRSSLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTQLLQSSLGGNAKTLMFAHISPEADSYVETLSTLKFAQRASCVELGTAHANKESNEIRELKEQVENLKRALAAKELEKSSFKLKENTVVRERAKQVPERTPPRPRRLSLENTGIGKGSIPDRKGPKSPLSVTKLNRDHATIHDSIDGFNHHIMHQGSVMQMSATSSEDPVREETEKIITTVDTVPFCGLHPDAYISSKQSGLDTLLRTPCRSRNLNLEVGQTDEPSSSAKLEKMTLSNATKKGSHLRKSIQSSIGKLIHGSERRNVQHLGQATPAKIANSTNNDVPSSITPDLRLRRRQSLTGLPPPPSTMSRRSSLGGKSDIGSDKRGAKTPPPVNSAAKAKRWL >ONIVA01G11650.2 pep chromosome:AWHD00000000:1:9240467:9250180:1 gene:ONIVA01G11650 transcript:ONIVA01G11650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop nucleoside triphosphate hydrolases superfamily protein with CH (Calponin Homology) domain [Source:Projected from Arabidopsis thaliana (AT3G10310) TAIR;Acc:AT3G10310] MAAEPRRVSFRDGRLASRKAEEAALRRHQAATWLESVIGPFGLSRCPSEQEFVAAVRNGIVLCKAINKIQPGAVPKVVANASCDSQPSTAFQYFENIRNFLVAVQELKLPCFEASDLEKDNIDAGSVGKIVDCVISLKSYHEWRQRGGSYGHLKHLKSPLATRSASHVQSEYVCSGSSSTPKRLDLVETDTERQPNQNVGPNCQEAMERLQKVILDCMISCKENLDNDSLKKDPYKLVGTILSRQLEKEQFEPLLQLFSPEGVTVKNESCPPIESSNSQVENRRRLLQAQESELLELKSMFQEVKIDFRTLKTQFQDDIIKLGDNVQGLSKAALGYNQAVKENKSLYNLLQELRGITWYSPFLAINVIIGIISGNSFSGNIRVFCRIRPLINSESISSIEHIGNDGSIMVCDPLKPQTTRKIFQFNKIFGPTTTQDEVYKETQSLIRSVMDGYNCGPSGGLSSQDLGISYMALNDLFKTSTSREDVKTSSNGLLNLPDAKKCPVQSPSDVINLMLLGEKHRASSPTAMNHRSSRSHSILTVHVNGKDMSGNVTRSSLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTQLLQSSLGGNAKTLMFAHISPEADSYVETLSTLKFAQRASCVELGTAHANKESNEIRELKEQVENLKRALAAKELEKSSFKLKENTVVRERAKQVPERTPPRPRRLSLENTGIGKGSIPDRKGPKSPLSVTKLNRDHATIHDSIDGFNHHIMHQGSVMQMSATSSEDPVREETEKIITTVDTVPFCGLHPDAYISSKQSGLDTLLRTPCRSRNLNLEVGQTDEPSSSAKLEKMTLSNATKKGSHLRKSIQSSIGKLIHGSERRNVQHLGQATPAKIANSTNNDVPSSITPDLRLRRRQSLTGLPPPPSTMSRRSSLGGKSDIGSDKRGAKTPPPVNSAAKAKRWL >ONIVA01G11640.1 pep chromosome:AWHD00000000:1:9198829:9239445:-1 gene:ONIVA01G11640 transcript:ONIVA01G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWDGNGGQRDDGRGVRERMTSGPAQSKLNACNSQPGRQCLVHNHQDRSRSCLRAGPCARASHFTAPRTGIVYVRTAAPTQGTRQKISVLMTTTNRQQQQRDALTV >ONIVA01G11630.1 pep chromosome:AWHD00000000:1:9196295:9198540:-1 gene:ONIVA01G11630 transcript:ONIVA01G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGGGGGGGKQVLWEFHATGPRNISNPSWRDLIRSSWTDPNYRRIAVSCFVQAAYLLELDRQEKRNGESALAPNWWKPFKYKLVRPLIDSRDGSIYGVLLEWDQLAALSDLIVLRPNGAPKVVLAIRGTVLKQSTVVRDLEDDFRFFTMESLRGSVRFTGALEALKSAIDKHGSNNVCIAGHSLGAGFALQVGKTLAQDGIFVECHLFNPPSVSLGMGLRKLQEKAGKVLKRYVSGSSSNATELSHPTEDGGAASEIGEEKLIKEVKRWVPNLYINSCDYICCFYADRSGVATVTAEKHDGHSGTHSKLFVIAKGPKKFLEAHGLQQWWSDDYELQLAVHDSKLMYRHLKSLYVNES >ONIVA01G11620.1 pep chromosome:AWHD00000000:1:9192310:9195558:1 gene:ONIVA01G11620 transcript:ONIVA01G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGDEREKAKGGGGGGGGGGGGEYGTFQGPPSYPPPRPPVVGYPQPAPPPGLYGQGDPYYRPRGGYQGIPARDYEAGARGHGHDRLPCCGIGIGWFLFIVGFFLGAIPWYVGAFLLWCSRVDYREKPGYVACAIAAVLATIAIIIGATAGAA >ONIVA01G11610.1 pep chromosome:AWHD00000000:1:9178566:9184060:-1 gene:ONIVA01G11610 transcript:ONIVA01G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGELPIVYHIGIVLAALWAAGSLGFRHSVLFLLAFLYLYMVNARCAMKLRKRIQHEEMKSAYQRRLLSDAESVRWLNYAIKKMWPICMEKIVSQLLRPIIPWFLDKFKPWTVSKAGVQELYMGRNSPLFTSMRVLPETSDDDHLVLEIGMNFLSAEDMSAVLSMQLHKSVGLGMTANMHLTSMHILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLIGHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMLVIDVEKFVSTPSDNDWFSIEERPPVAYVKLEILEGTDMKPSDMNGLSDPYVKGRLGPFKFQTQIQKKTLSPKWFEEFKIPITSWESLNELAMEVCDKDHMFDDSLGTCTIDIHELRGGQRHDKWISLKNVKKGRIHLAITVEDISEEKGLEESSRKVDAELPISTSVNKFNADELPDEKQVLVDEVEHINIDGQEQPGGLYVHRPGTGVPKTWESRKGRARNPDTEIYKEVDKSKDAPTPKSSGQGGFFGSFFRKSLKKGSFHDIDPGIPTTPGPQSATELDPKIPQTPRPNLKEAGEKRTSIKIVVDEDAKPTRSAGDAENLTEDVAKVMEKNAGEPGRSLTSILSRKISRKKPEDKLSDIPEQTEAQASELVKEGPVPVEDKPIDGHPTTENGNGDGASGEAAETQTSAQTSQ >ONIVA01G11610.2 pep chromosome:AWHD00000000:1:9178566:9184060:-1 gene:ONIVA01G11610 transcript:ONIVA01G11610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGELPIVYHIGIVLAALWAAGSLGFRHSVLFLLAFLYLYMVNARCAMKLRKRIQHEEMKSAYQRRLLSDAESVRWLNYAIKKMWPICMEKIVSQLLRPIIPWFLDKFKPWTVSKAGVQELYMGRNSPLFTSMRVLPETSDDDHLVLEIGMNFLSAEDMSAVLSMQLHKSVGLGMTANMHLTSMHFLPLHCTQNMHMFIMQILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLIGHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMLVIDVEKFVSTPSDNDWFSIEERPPVAYVKLEILEGTDMKPSDMNGLSDPYVKGRLGPFKFQTQIQKKTLSPKWFEEFKIPITSWESLNELAMEVCDKDHMFDDSLGTCTIDIHELRGGQRHDKWISLKNVKKGRIHLAITVEDISEEKGLEESSRKVDAELPISTSVNKFNADELPDEKQVLVDEVEHINIDGQEQPGGLYVHRPGTGVPKTWESRKGRARNPDTEIYKEVDKSKDAPTPKSSGQGGFFGSFFRKSLKKGSFHDIDPGIPTTPGPQSATELDPKIPQTPRPNLKEAGEKRTSIKIVVDEDAKPTRSAGDAENLTEDVAKVMEKNAGEPGRSLTSILSRKISRKKPEDKLSDIPEQTEAQASELVKEGPVPVEDKPIDGHPTTENGNGDGASGEAAETQTSAQTSQ >ONIVA01G11610.3 pep chromosome:AWHD00000000:1:9178568:9182713:-1 gene:ONIVA01G11610 transcript:ONIVA01G11610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWPICMEKIVSQLLRPIIPWFLDKFKPWTVSKAGVQELYMGRNSPLFTSMRVLPETSDDDHLVLEIGMNFLSAEDMSAVLSMQLHKSVGLGMTANMHLTSMHILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLIGHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMLVIDVEKFVSTPSDNDWFSIEERPPVAYVKLEILEGTDMKPSDMNGLSDPYVKGRLGPFKFQTQIQKKTLSPKWFEEFKIPITSWESLNELAMEVCDKDHMFDDSLGTCTIDIHELRGGQRHDKWISLKNVKKGRIHLAITVEDISEEKGLEESSRKVDAELPISTSVNKFNADELPDEKQVLVDEVEHINIDGQEQPGGLYVHRPGTGVPKTWESRKGRARNPDTEIYKEVDKSKDAPTPKSSGQGGFFGSFFRKSLKKGSFHDIDPGIPTTPGPQSATELDPKIPQTPRPNLKEAGEKRTSIKIVVDEDAKPTRSAGDAENLTEDVAKVMEKNAGEPGRSLTSILSRKISRKKPEDKLSDIPEQTEAQASELVKEGPVPVEDKPIDGHPTTENGNGDGASGEAAETQTSAQTSQ >ONIVA01G11610.4 pep chromosome:AWHD00000000:1:9182729:9184060:-1 gene:ONIVA01G11610 transcript:ONIVA01G11610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGELPIVYHIGIVLAALWAAGSLGFRHSVLFLLAFLYLYMVNARCAMKLRKRIQHEEMKSAYQRRNQYAG >ONIVA01G11600.1 pep chromosome:AWHD00000000:1:9174240:9176322:-1 gene:ONIVA01G11600 transcript:ONIVA01G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-Tyr-tRNA(Tyr) deacylase family protein [Source:Projected from Arabidopsis thaliana (AT4G18460) TAIR;Acc:AT4G18460] MRAVVQRVLSASVEVEGRVVSAIGPGLLVLVGVHEADTDADADYICRKVLNMRLFPNEKTEKAWDQSVLQRKFEVLLVSQFTLYGILKGNKPDFHVAMPPAKAKPFYASLVEKFQKSYSADAVKDGIFGAMMKVSLVNDGPVTMQVDSSSLQNPAQSSNGDVGSVGDNEAQEEALQ >ONIVA01G11590.1 pep chromosome:AWHD00000000:1:9172747:9173593:1 gene:ONIVA01G11590 transcript:ONIVA01G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSGGAGACAVCKHQRRKCEPNCELAAYFPANRMNDFRALHLVFGVANLTKLIKANATEAARRRAAETLTWEARWRERDPSEGCYREVSCLRRDNAALRAENAALRRQADQCACCAGAAGVRHASSPTTLQHQLLLVSAYNGATAARPGNVVPHNATVVPGGFVAAAGGVRGANGNGAMSSVRPPPHHVQAPATQTVTGFVAHAQDDRYRAVSVCPPAANAAAVPRSGAAVRGQGDYRDKISDAAR >ONIVA01G11580.1 pep chromosome:AWHD00000000:1:9168914:9172624:1 gene:ONIVA01G11580 transcript:ONIVA01G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVAKLGTLALRTLSKPIASRLKNQAAVHPKFRNFIICIAQINHRVTTRIQRRIYGHATDVEITPLNEEKAVQAATDLIGEGFIFSVAVAALIFEVQRSARSEARKEEARKQELEVELKQREESLSKDLEDLKLRLAEIEHLAKGRGLSGILNFKGVHGAESSKAATPA >ONIVA01G11570.1 pep chromosome:AWHD00000000:1:9164795:9166408:1 gene:ONIVA01G11570 transcript:ONIVA01G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGADPEAEVIALSPRTLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRSGKEPRKRVYVCPEKSCVHHNPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEETARLNAASGAAAAAAAATATSLCGQSYLFAGSGGPGMAGMRPNMMMPPAAAAAGGQLRAGQMLGPAAGGVGGDLCDGGVARHGGLSLWGGEALPSMGHIGVLASGGAATVPPQLYVDLFAPSSGAPPPQLDAAQLSWLYGNGKLSSSNASELTSATAAAKEAESVPSVFSNQQHAKPAAPTDMSATALLQKAAQIGAVTSTAAMPLVSPFEPTKPGGATASPADECGKFDGAALFAAASHHNANLGGAMSELMAAAGNVPYDVLSAVRHHAGLKDAGGVGREETRDFLGVGVQALCSSSIHGWI >ONIVA01G11560.1 pep chromosome:AWHD00000000:1:9148649:9149032:1 gene:ONIVA01G11560 transcript:ONIVA01G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADEEREVRRAVEEKPVVVVGRRGCCMAHVARRLLLGQGANPAVLEVGDDADPAALVDAALQARRRKDGGDKAAAGDGGGGAAVAFPAVFIGGRLVGGLDRLMAMHMAGELVPVLKQAGALWL >ONIVA01G11550.1 pep chromosome:AWHD00000000:1:9138034:9141780:-1 gene:ONIVA01G11550 transcript:ONIVA01G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDEPLDFEKEDPLLPKARPAKRKKVIGLDDLLEDFFASGKDDLKAYGIESKHGSKGYNSDDEDKKVKEKEIKFRKFVEEYEEQSKELDAGDDVPQWGQRVFGCQKSPSVLSVTGVENCQLLKSFCANEHLGFDLNIEQGEGFLEGLLVDGWLLKLVLLHGSVEDSIASWALTKLLYSCNKKFRVAASDFWDSVLSLNEADKLSVDIGHFPSYSVLKSAILNYGYIFDNCTEVSTSESVIADDGPPQNIIEWLKITSACCKIRNVHSIFSSSEAEELLVIVISLFLDRQLEGLLLILGDCLSSLILYFNSSEWESSCLIVAQSISQRVTMDLNCLRIVDCITGTDYRSKFLRSQLALQFLKVSFGLKVANVEKILKSVMSINVKDKDCNFFSLYIYLVLMDNLLFSSDAFRDKHAIINSWRNYLRNCSTQIGCTDWRLYASKVRNKASYLLQGAVLRRSAGSASLPVQ >ONIVA01G11540.1 pep chromosome:AWHD00000000:1:9134853:9136765:1 gene:ONIVA01G11540 transcript:ONIVA01G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHCHLAYACGWPTAASGPHPVASPRNKTGATRASENGQESGVLASLSISSASRRATPRHATPPPTPALRPIRSHRAATQQAGMSLTGHGGGGGEGTAPALELLDEYWFFSNTLGKNGRHGGGGGGGGRPPMLPRSPSTVSGGGGRPGKGVEAVGTSRLFASTGRRLLRTPSLPSPRVGMEIAKEDEEVVEEAPAAAGGGGDQEADAEDDDLNWSSIYEGVLRTRIAEEGVRSALRRAPSMPVTSSATGRDDDARREDTAAAATGSTTPGMSRLRHAHSTLERHCRSHTPTTKADRTPRTSGGGDGGHRRQPPRRELRSFSANQQPLVRHQSLFHDKKWKSSSDLESIEVQGFRDLGFVFDQEELRESLADVLPGLRGKPTPTGSGSASDNDDANTATTATGSDAVAAVRRPYLSEAWYHVRRPAPRSPAAAAMRLQQADARSAAEMKDQLRMWAQAVACNVRQEC >ONIVA01G11530.1 pep chromosome:AWHD00000000:1:9126989:9128033:-1 gene:ONIVA01G11530 transcript:ONIVA01G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPCSRAVAAAAGLAAPNGRPPGLLPEAQFAAFVRRHWREYSGPEEYAWPLRVFAANLTRAAAHQVLDPTARHSVTPFSDLIREEFEARFTGLAANGDDEAADAGHGGGGRPPC >ONIVA01G11520.1 pep chromosome:AWHD00000000:1:9122261:9125138:1 gene:ONIVA01G11520 transcript:ONIVA01G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQILDLLRLTMSSTRRCSPRSGVTPCSGGWWGTLCKSSSAPDTQLEKLKCLVERSARHLKIFFLVVDHYISLTRGEFVWRFLVGAEQSTVKHLYIHLRSSSARDRITFSFHYLNPLLQSLTLREINFSARLFYWVSPPPALRPPHDYPPQLRPHHRRIARWHGLLLSARSPRPQGALLHRLRDWSVKISRLVLLQRNRLRSYHYGGSPSSFYIPPGAGRLTGLYICSALPILSNITALTICGNSLKILSSLRGAEVANFQSLRVLQFLMFELKAVDLDNIYEFLRTYPPQSDGALCLPTTSDHGPFEYDLIDEQRQNPLEDGLANLEDKRIENHMRCFILTLCWLNLNVPMFAVVHPMSCLAKIHLNDVRITDEALRRMVILSPSLRVLTLFYCNGPLAMIIASSRKLSSLIIVECNNVRGVVVMPDSPLHNFHCMGSPLSPFNLSGGARLLTDLLFCFNPPILGHQVLREWFRNNLPFLSNITSLSICSNTLQVVSFLRHPGANLDMAILDNFQSLTMLELTMLEFKAVGLDNIFVFLKSCHRPNLKKFLVTDRTIHDSDIRFEEDFPGSL >ONIVA01G11510.1 pep chromosome:AWHD00000000:1:9116149:9118453:1 gene:ONIVA01G11510 transcript:ONIVA01G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSWVILSCEPRVCGGGDDPVLPQGADVALSLAAPPRVAVLSVSRRVSPAEVDPCARCKSPFVLALDPSAGLVLLVAPPPPSPDDSGDLRSWTDRDGNERTFRVSLIPRPLYFVCDVAAATASHVPDPERLIFNNDLGVIAAPGGGRGNYMVVELQTIVGDDEATLLCFSSVTGEWEEKDVANPLPSWIWTFYDIICHDGKLWWVDTAAGLLFCDPFADEPDMKYVPLEDKEDDLQSEDEDDDDGFGYCAERVLATGRIVQLIDGKFRCVEVSSPSHGAAPEVSMRTLVNPETAEWAPEYTVSFADIWASESYKATELPEKAPQLRNAFVHPMNPDVLYFFLKKHILGVDVRARKVVEYEARDSSESVLPWKLPPALSAGLSQEGAANGANDGVPSASPTSLPSDPKGA >ONIVA01G11500.1 pep chromosome:AWHD00000000:1:9087445:9111177:1 gene:ONIVA01G11500 transcript:ONIVA01G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLLPSTSWVILSREVYACGDGDGGGGGIPLPEGADLALELAAPPRVSKLAVSRRITPAKVSPFAKWKSFVIAIDPSAGLVLLLAPPPPGPGPGELRSFTDADGKVHTYHVTTMPTQRYFVCDIAARTAYYLPDPEGCVFNNDLSIIAAPGGGGKYLVVEFKFIVGGDKATLLCFSSETGLWEKKPVNNPLPRWIWRCFDVGSYAGKLYWVDTAAGLLFCDPFVDEPHMEYVPLPKVELPPEHDEDCHGCDYCAERAFVSRRCVRLSDGKFREAGTKVWTLEYAVSFADIWASESYKAAGLPEKAPVLALVHPKNPDVVYFFVEDQLVGEENLRLSLSRERKTSVSPNFLPLVGVDLRAKEVLEYETHKMTVPENARVFPYGLLPMVLPPALSAARGCQNDVFNKRSDGKTVAAVRQAESQDWAFARQPPLRGETVRQRPQEGELTIVVGPAKAELGFYPPLTTYEFTMSPPMPLSWVQDSKMYFAKQRLPLEDLTQTCRRDRYCVSCARAFCSHCCSSHHLWPGHHHIVVPSVLGDPVHEGEDERGEYYELLPILTRPPGGCAHCRRHIGIQHSSHCSMACYNSHQGEVAERRRRREARNAARGIAKLQNQSK >ONIVA01G11500.2 pep chromosome:AWHD00000000:1:9087445:9114435:1 gene:ONIVA01G11500 transcript:ONIVA01G11500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLLPSTSWVILSREVYACGDGDGGGGGIPLPEGADLALELAAPPRVSKLAVSRRITPAKVSPFAKWKSFVIAIDPSAGLVLLLAPPPPGPGPGELRSFTDADGKVHTYHVTTMPTQRYFVCDIAARTAYYLPDPEGCVFNNDLSIIAAPGGGGKYLVVEFKFIVGGDKATLLCFSSETGLWEKKPVNNPLPRWIWRCFDVGSYAGKLYWVDTAAGLLFCDPFVDEPHMEYVPLPKVELPPEHDEDCHGCDYCAERAFVSRRCVRLSDGKFREAGTKVWTLEYAVSFADIWASESYKAAGLPEKAPVLALVHPKNPDVVYFFVEDQLVGEENLRLSLSRERKTSVSPNFLPLVGVDLRAKEVLEYETHKMTVPENARVFPYGLLPMVLPPALSAGLSKEGAANNSSGVRSASLASPPSDPNGS >ONIVA01G11500.3 pep chromosome:AWHD00000000:1:9111726:9114435:1 gene:ONIVA01G11500 transcript:ONIVA01G11500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSWVILSCEPRVCGDDTVLPQGADVALSLAAPPRVAVLSVSRRVSPAEVDPCARCKSPFVLALDPSAGLVLLVAPPPPSPDDSGDLRSWTDRDGNERTFRVSLIPRPLYFVCDVAAATASHVPDPERLIFNNDLGVIAAPGGGRGSYMVVEFQFIVGGDEATLLRFSSETGLWEKKRVNNPLPRWIWRFFDVVSHAGKLWWVDTAAGLLFCDPFVDEPHMEYVPLPRVDLPPEHDGDCRGCGYCAERALASRRYVQLSDGKFRCVDMGSASDGATTKVTMHTLVDPGTKVWTLEYAVSFADIWASESYKAAGLPEKAPVLALVHPKNPDMVYFFVKDQLVGVDLRAKEVLEYETHKMTVPENARVFSYGLLPMELPPALSAGLSKEGAANNSSGVRSASLASPPSDPNGS >ONIVA01G11490.1 pep chromosome:AWHD00000000:1:9082277:9086272:1 gene:ONIVA01G11490 transcript:ONIVA01G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSLLLSLLLVLVVVNVGVAVNQDGLSLLDARRALAAPDGALADWNARDATPCSWTGVSCDAGVGGGAVTGISLAGLNLTGSFPAALCRLPRVASIDLSDNYIGPNLSSDAVAPCKALRRLDLSMNALVGPLPDALAALPELVYLKLDSNNFSGPIPESFGRFKKLESLSLVYNLLGGEVPPFLGGVSTLRELNLSYNPFVAGPVPAELGNLSALRVLWLAGCNLIGAIPASLGRLGNLTDLDLSTNALTGSIPPEITRLTSVVQIELYNNSLTGPIPVGFGKLAELQGVDLAMNRLNGAIPDDFFEAPKLESVHLYANSLTGPVPESVAKAASLVELRLFANRLNGTLPADLGKNSPLVCVDMSDNSISGEIPPAICDRGELEELLMLDNKLSGRIPDGLGRCRRLRRVRLSNNRLDGDVPAAVWGLPHMSLLELNDNQLTGVISPVIGGAANLSKLVLSNNRLTGSIPPEIGSASKLYELSADGNMLSGPLPGSLGGLEELGRLVLRNNSLSGQLLRGINSWKKLSELSLADNGFTGAIPAELGDLPVLNYLDLSGNRLTGEVPMQLENLKLNQFNVSNNQLSGALPPQYATAAYRSSFLGNPGLCGDNAGLCANSQGGPRSRAGFAWMMRSIFIFAAVVLVAGVAWFYWRYRSFNNSKLSADRSKWSLTSFHKLSFSEYEILDCLDEDNVIGSGASGKVYKAVLSNGEVVAVKKLWGLKKGTDVENGGEGSAADNSFEAEVKTLGKIRHKNIVKLWCSCTHNDTKLLVYEYMPNGSLGDVLHSSKAGLLDWSTRYKIALDAAEGLSYLHHDCVPAIVHRDVKSNNILLDAEFGARVADFGVAKVVEATVRGPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTIDQKGVEHVLDSKLDMTFKDEINRVLNIALLCSSSLPINRPAMRRVVKMLQEVRAEATRPRLEKDGKLSPYYYEDTSDQGSSV >ONIVA01G11480.1 pep chromosome:AWHD00000000:1:9065872:9068675:1 gene:ONIVA01G11480 transcript:ONIVA01G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGRRAALGGGGGRDLGGGGELGADRVDLPASQCAASGRGREHVAVKVGGAARQGEARRAEREVGKGKVNREVAKWRVEGRGRGVEWADRVVAAHHGSILFRTRVPFADPDFVAPGHFPVDCFVYTAGATAASPPSLTQLPRCFIDGFSDPVEDEYYKPYQLQRQQIMLDENIGFLSYSGDGGHEFMVADIRNYHGDSLELCIFNHHASSPSPSPSPEQWRIQRLEMHHASACKIGHSKKQLSDG >ONIVA01G11470.1 pep chromosome:AWHD00000000:1:9062211:9065808:-1 gene:ONIVA01G11470 transcript:ONIVA01G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGTLSGGAAGVAGLLRLRRATPSPAVATPFPAAAAARCAAAAAAVVPDGGQLVWGRQLRPALLLPAAGGLLQPPTSPSSSQAGRRQALRPPAAATSGEAKPAGFLEKYPALITGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLLVGVVYCLVSWTVGLPKRAPINSTLLKLLFPVALCHALGHVTSNVSFATVAVSFAHTIKALEPFFNAAATQFVLGQQVPLPLWLSLAPVVLGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPAVIIEGPQLLQHGFNDAIAKVGLTKFVSDLFFVGLFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRITTQTGIGTCIAIAGVAIYSYIKAKIEEEKRAKSA >ONIVA01G11460.1 pep chromosome:AWHD00000000:1:9055962:9064873:1 gene:ONIVA01G11460 transcript:ONIVA01G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVDRGAGDDDLKKAYHKLAMRWHPDKNPTNNKKEAEAKFKQISEAYEVLSDPQKRTIYDQVGEEGLKGQPPPGAGGPGASPFYPGGAHSNSFHFNPRSADDIFAEFFGFRGPFSSMGGMPSVSGGMRGDPRFPGFGNEYFSSRFGGEGSTSMHQPSHQLAKPPPIENRLPVSLADLYKGVTKKMKISREIIDFNGRVSQQEEILQIEVKPGWKRGTKITFEEKGNQAPNMKPADIVFIIEEKPHDIFIREGNDLVITEKISLVEALTGYTARIITLDARSLSVPINSVIHPDYVEVVPGEGMPNPKGPNKKGDLKIKFNIRFPSRLTSDQKAGFKRLLGS >ONIVA01G11450.1 pep chromosome:AWHD00000000:1:9031689:9037794:-1 gene:ONIVA01G11450 transcript:ONIVA01G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription activator GLK1 [Source:Projected from Arabidopsis thaliana (AT2G20570) UniProtKB/Swiss-Prot;Acc:Q9SIV3] MLEVSTLRSPKADQRAGVGGHHVVGFVPAPPSPADVADEVDAFIVDDSCLLEYIDFSCCDVPFFHADDGDILPDLEVDPTELLAEFASSPDDEPPPTTSAPGPGEPAAAAGAKEDVKEDGAAAAAAAADYDGSPPPPRGKKKKDDEERSSSLPEEKDAKNGGGDEVLSAVTTEDSSAGAAKSCSPSAEGHSKRKPSSSSSSAAAGKNSHGKRKVKVDWTPELHRRFVQAVEQLGIDKAVPSRILELMGIECLTRHNIASHLQKYRSHRKHLMAREAEAASWTQKRQMYTAAAAAAAVAAGGGPRKDAAAATAAVAPWVMPTIGFPPPHAAAMVPPPPHPPPFCRPPLHVWGHPTAGVEPTTAAAPPPPSPHAQPPLLPVWPRHLAPPPPPLPAAWAHGHQPAPVDPAAYWQQQYNAARKWGPQAVTPGTPCMPPPLPPAAMLQRFPVPPVPGMVPHPMYRPIPPPSPPQGNKLAALQLQLDAHPSKESIDAAIGDVLVKPWLPLPLGLKPPSLDSVMSELHKQGIPKVPPAASGAAG >ONIVA01G11440.1 pep chromosome:AWHD00000000:1:9022923:9030719:1 gene:ONIVA01G11440 transcript:ONIVA01G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotide binding;protein binding [Source:Projected from Arabidopsis thaliana (AT4G28450) TAIR;Acc:AT4G28450] MRVKVISRSTDEFTRERSQDLQKVFRNYDPALRSQEKAVEYTRALNAAKLEKIFARPFIGAMDGHVDAVSCMAKNPNYLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGLATSTDGDLLVSCGVDCTVRLWKVPMLKMVDTNDAIGDASQPSAVYTWKHAFWGVDHQWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDVLITSASDRSITLYDLRMSSPARKLIMKTRCNSICWNPREPMNFTAANEDTNCYSFDARKLDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAANLRRTMIEAENRKEERRRAHSAPGSMPVQPFRKRRIIKEVE >ONIVA01G11440.2 pep chromosome:AWHD00000000:1:9023451:9030719:1 gene:ONIVA01G11440 transcript:ONIVA01G11440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotide binding;protein binding [Source:Projected from Arabidopsis thaliana (AT4G28450) TAIR;Acc:AT4G28450] MRVKVISRSTDEFTRERSQDLQKVFRNYDPALRSQEKAVEYTRALNAAKLEKIFARPFIGAMDGHVDAVSCMAKNPNYLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGLATSTDGDLLVSCGVDCTVRLWKVPMLKMVDTNDAIGDASQPSAVYTWKHAFWGVDHQWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDVLITSASDRSITLYDLRMSSPARKLIMKTRCNSICWNPREPMNFTAANEDTNCYSFDARKLDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAANLRRTMIEAENRKEERRRAHSAPGSMPVQPFRKRRIIKEVE >ONIVA01G11430.1 pep chromosome:AWHD00000000:1:9022500:9023295:-1 gene:ONIVA01G11430 transcript:ONIVA01G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAARSLLRSSASLLRAAPARSASASSSAARPSLRRALAAPPRILRSPVELSVCVESLLPLHSATAAARMTSMLAVPGQGLGWLTEGQDETR >ONIVA01G11420.1 pep chromosome:AWHD00000000:1:9017422:9021145:1 gene:ONIVA01G11420 transcript:ONIVA01G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAGAGGEQEAPSVEAAFAGQPPPPWWQQVTVRAVAVSVVLGTLFSFMAMRTGLTAGFVPSFNMSASLLSFFIIKSWARLMARCGVASQPFTRQENVVVQTCVISCATLSIYGGFTSYLLAMNETVAKAAGGGTDGRNVYTLHTGKIVAFLFLVTFSSLFCTLPLRKTMIVDYKLIYPSGSAVAGIVNSFHTPKGATKAKLQVNAMFKSVAGSFAWAFFQWFYTGGDGCGFHAFPLFGLEAYKEKFYFDFSASLVGVGMICPHLINFSMLLGSISSSGFIWPALQAKQGEWYTDPSPTSFKGINGYKVPMGVSMVLGDCLFQLGAITVKAVQHYRKGRQEQKLAVDGASDDGGGGCVPDDDDENKWHATYDERRRNQVFLSDGIPDQFAVAGYVALAALSTALVPRIFPQIRYHHVAVCYAVAPLLAFCNSYTSGLMDWSLATVYGKLAIFVVGASVGAASGGVIAGLAACGVMMVVIGDAAELMHDFKTAYLTLTSPVSMFASQAIGTALGCVVNPAVFLAFRWLAGTEHPPGDPGSAYAAPMAVAYRGIAVLGVEGVGTLPRHAIALCAACFAAAVFLDTAGAAARAARWRVGGWVPNPMAMAIPFFVGPTFAIDMCVGSLLLMAWRRADRQGAATLAVVVASGLICGEGLWTLPSAVLAMLKVQPPICMKFLSRSQIQEVRQHFVLGAADIQPAVTLTHHHHQ >ONIVA01G11420.2 pep chromosome:AWHD00000000:1:9014505:9017422:1 gene:ONIVA01G11420 transcript:ONIVA01G11420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAAGEKPALRKPVFTKVDQLKPVTSGHTLTVKVVSATPVPGRARPGVAAPSRPPRIAECLVGDETGAIVFTARNEQVDLLKPGATVIMRNAKIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVTE >ONIVA01G11410.1 pep chromosome:AWHD00000000:1:9009549:9012848:1 gene:ONIVA01G11410 transcript:ONIVA01G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGAVPGDVLERARRHHPGPGDDVRPARRPHGPPRPRRVRHRHDPRRPPLRARPAPRPLPPLRRQGPHRHPVPARHAPQHPRPDDGGVQLPEGVHPLLAQRRRRRLPPVLRRLRRAGVLRRRHPDRLLPVPPRRARGDHVGAHEAAAVRHHEERQLPPQRAVHHGRRGPRRVRVGVGGRRGQRRRGAHGERGVRHGRRRAGAPGVRQDPRRVHRQAAARAGAEAGGVRPPQGRHHPPHRRRRGQALLRRDGVRRQRPPRPAHRRVGRPAYRRREGGEDDDGAVGSALGGHEIGAGQDRSPVQVMDYWSWVRLLGRTSERGVLPTCGFMTVSFTC >ONIVA01G11400.1 pep chromosome:AWHD00000000:1:9005409:9005687:-1 gene:ONIVA01G11400 transcript:ONIVA01G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLCDDRTTFVFWDAYHTSDATNQVIADCLYADMVSAGAVQGNGNVTTASTRAPRVIVDRAASKALKYRQQINRPLCAGTTPSPIRYDGDE >ONIVA01G11390.1 pep chromosome:AWHD00000000:1:9002056:9002346:-1 gene:ONIVA01G11390 transcript:ONIVA01G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDALQLGQLYFVLPVSALHRPLSDQDMAALAVKAIAALGASATAAGGGGNSSSISVSSRGKNASPASKQRQQTTARVAPIRRGSTEVALLANA >ONIVA01G11380.1 pep chromosome:AWHD00000000:1:9001754:9001936:1 gene:ONIVA01G11380 transcript:ONIVA01G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDALQLGQLYFVLPVSALHRPLSDQDMAALAVKAIAALGASATAASMDSSIYKCGSI >ONIVA01G11370.1 pep chromosome:AWHD00000000:1:8998314:9000726:-1 gene:ONIVA01G11370 transcript:ONIVA01G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGRPGWLPSLGFAFLSFNCGMAIYRSSSDPSAVAFVVVAYLALIALFRCLHLLERAPAGGQARASMKAAVWGLSTLLTLMFSYKVAAIMPLWGAAGVWVMGLGTIVAGFYAFFVHREAP >ONIVA01G11360.1 pep chromosome:AWHD00000000:1:8989687:8992247:1 gene:ONIVA01G11360 transcript:ONIVA01G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYESTVRWRERGVVAPISAEGVGEGSEDVGRRGPIGGCESAGFGAFRCQSSGGRGAACNDKAGGGGGAAAAHDRSSLARGKDRERRGEHGRGCGELGQLWKMRKGGAGMDFTGSGVGEELESGWQWLVEWERSRGEELRRRFPRMELGRRAGTWGYAVPRVGVRAWIGIGGRGGAGELSSWSQSRATNGWRRQMRCRPQVHGSDKVSVGFGAKEVVVEKRRATAKLAGAAWGTRERTRGAQVTWENERGRCGDGFIGSGDGEELGSGRQRPVEWGRHCGVRGGAAPITTEGVEEVSGDVGRCGPTGGCESVGRRRWTGRDG >ONIVA01G11350.1 pep chromosome:AWHD00000000:1:8989081:8989572:1 gene:ONIVA01G11350 transcript:ONIVA01G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIGSGGDEELGSGRQRLVESRRRRRESGVTAPISAKGVGEERGDVGRSGPTGGCESAGRRRWTETRRGPNRRDEWLETANAVAAEERRATAKQAMGAAWRRLGRWLGAAAARNRRSPARGRDGERRGEYGKGRGELGQFGKMREGGAGMDFTGSGGGEELG >ONIVA01G11340.1 pep chromosome:AWHD00000000:1:8988740:8989063:1 gene:ONIVA01G11340 transcript:ONIVA01G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVDTVPRTGQERGALEVDGVGVGDLSWSQPPVTQIRWWCQVRGGNRVSAGFDAEEVVAEERRATAKPTVGAAWSRHATGAHRREGEMRSGVGGPGQDARSSGYLGK >ONIVA01G11320.1 pep chromosome:AWHD00000000:1:8974827:8978772:-1 gene:ONIVA01G11320 transcript:ONIVA01G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPTPAHHQLPTPRRHHRLAARPCPPPTAGPPSFRASL >ONIVA01G11310.1 pep chromosome:AWHD00000000:1:8965756:8970398:-1 gene:ONIVA01G11310 transcript:ONIVA01G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTKKSNNGSTILVIGGTGIIGRHIVAASLDAGHPTLVLVRPTAASAAVDVDSDKAKLLASLVASGATIVYGDMNDRESLVAAIRQADVVISAVGHRGTVELDGQLKVVEAIKEAGNVKRFVPSEYGCDVEQAEEGTLEPARSIIAAKVRVREAVRAAGIPYTFVCSYWAHGFMLPRLGDPLVDRPPATVATVYGDDTQRAIFVDEKDMSAVAIKAVEDERAANKILYVRPPANKLSLGQLVRLWEKKSGNTLQKRYVSDLQLANQLAMVHSTLVAGVCEQTINPDVGAEATELYPEMDFLTVDSYLDALLLHA >ONIVA01G11300.1 pep chromosome:AWHD00000000:1:8952087:8953604:-1 gene:ONIVA01G11300 transcript:ONIVA01G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRILVIGGTGRLGRHLVTASLDAGHPTAVLVRRPATAGARADSPVKAKLIEELCDNGARLVCGDVNDHDILVAAIKNADVLAEQMLEPARSILGAKLRVREALRASGISHTIVCGYLVHGFLLPKAGNPEADGPPVTTATIFGDGKQKGLYMHET >ONIVA01G11290.1 pep chromosome:AWHD00000000:1:8949572:8950088:-1 gene:ONIVA01G11290 transcript:ONIVA01G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDEKDMSAVTIKAEEDPRTVDKILYVQPPANLCSLNQLVSVLEKIGRDLEKCYVPEEELAIKIEAASPFPLNFQLAIVHSALLPGVASCGQTAVGVEATELYPDMEYVTVEEYIDGLI >ONIVA01G11280.1 pep chromosome:AWHD00000000:1:8940553:8943145:-1 gene:ONIVA01G11280 transcript:ONIVA01G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTAHLNPRLVFCASGGGAAGGERSYSEQMGRRVLNNARRTTAKAAHLRRPQDISEGNRTIQNSDASNTAGLMDYFVITTPNFVLDHEETISQNVGGQQWKKCKNLFTNPKSLSRPQGTK >ONIVA01G11270.1 pep chromosome:AWHD00000000:1:8935784:8940203:-1 gene:ONIVA01G11270 transcript:ONIVA01G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASLATQPSPAACLPSLPAGGRLRLRLAPPPRAPPLLCKRAGKLRVVTIRSAHSGVSNVSVETPPDNEASVTGAAYGFRGATTSLTNEMLTSSKKITLVRHGLSTWNAESRVQGSSNLSVLTETGAKQAEKCRDALANMKFDSTAEIIWKGKEEPLIFLDSLKEAHLFFLEGMTNADAKKEYPELYTRWREDPSNFKVNGIYPVRKFRSIDVNNGGMCVFTVNKRGEAMLQALNMTAHIVAELGLHLHAVDDDLIMAHGHEHRRDRRGAGGGHPEHDGDDPPSLGGEHLCVCVCVWMCVTR >ONIVA01G11270.2 pep chromosome:AWHD00000000:1:8938147:8940203:-1 gene:ONIVA01G11270 transcript:ONIVA01G11270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASLATQPSPAACLPSLPAGGRLRLRLAPPPRAPPLLCKRAGKLRVVTIRSAHSGVSNVSVETPPDNEASVTGAAYGFRGATTSLTNEMLTSSKKITLVRHGLSTWNAESRVQGSSNLSVLTETGAKQAEKCRDALANMKFDSTAEIIWKGKEEPLIFLDSLKEAHLFFLEGMTNADAKKEYPELYTRWREDPSNFKVNGIYPVRKFRSIDVNNGGMCVFTVNKRGEAMLQALNMTAHMYSDHTYQY >ONIVA01G11270.3 pep chromosome:AWHD00000000:1:8938147:8940203:-1 gene:ONIVA01G11270 transcript:ONIVA01G11270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASLATQPSPAACLPSLPAGGRLRLRLAPPPRAPPLLCKRAGVVLIAVGKLRVVTIRSAHSGVSNVSVETPPDNEASVTGAAYGFRGATTSLTNEMLTSSKKITLVRHGLSTWNAESRVQGSSNLSVLTETGAKQAEKCRDALANMKFDSTAEIIWKGKEEPLIFLDSLKEAHLFFLEGMTNADAKKEYPELYTRWREDPSNFKVNGIYPVRKFRSIDVNNGGMCVFTVNKRGEAMLQALNMTAHMYSDHTYQY >ONIVA01G11260.1 pep chromosome:AWHD00000000:1:8935650:8937094:1 gene:ONIVA01G11260 transcript:ONIVA01G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FJ79] MFSAKARWIVAVVLRVAAAGAAAVAAVLMAMSHDEVIVYGMEVQAKFRYTPSLVFFVAANAAVSACSLVVLLVPSSTSKLAARLLLMADVVLGMVLAGAFAAAGAMAELGKNGNSHAGWIAICVQVPLFCDRVRSALVAGSATIVLYYLMLMYSIYTLPMFP >ONIVA01G11250.1 pep chromosome:AWHD00000000:1:8925697:8930353:-1 gene:ONIVA01G11250 transcript:ONIVA01G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FJ78] MAAIAAPPPQPHPPASAHHHHHHRRRARLPRLAAASSSSSSSRFRRRFSSSSSSSSPSSAPSPSPSSSSSSSYGGSGGGGGGEIHYASPPPPPPAAPTGAPVYVTLPADAVGPGGGVARRRAMAASLAALAGAGVAGVAVELWWGVVERQGPGVYDWAGYLELAAMARRYGLRVRAILAFHQCGAGPHDPPWIPLPQWVLEEMDKLPDLSYTDRYQRRNKEYISLGCDILPILKGRSPMQAYSDFMRSFRDAFKEYLGAIVTEVQIGMGPGGELRYPSCPTETLSQAGISSELGEFQCYDKFMQASLSARAQLIGMQDWGNGGPAGTDGSRQNPEETSFFRADGGCWNTPYGRFFLEWYSGMLLLHGERLCMVADAVFSGSGVTIAGKVSGIHWHYYTCSHPSELTAGYYNTLLRNGYLPITQMFARYKAALCCSCFDLRDEERNNSKSSPEGTLRQLMVAAKMCNLPLNGENSVTRLDDTSLNQVIRSSRLYSGGTSGTSFSFNYVRMNKSLFEFHNWNRFTKFVRQMSDARTFLARLEFRRGQHYLSSMSVVWVVSRACA >ONIVA01G11240.1 pep chromosome:AWHD00000000:1:8918723:8924583:1 gene:ONIVA01G11240 transcript:ONIVA01G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPSSSSPGAGEGGGGGIGGGGGDLWPFDSLTTSLLFSSVSASPQPLPASSSSWLTPPSPLWLFDERQLLPLDMGAPAAPATAPPAEAAAVVEEVHRTRSGNSDTTSKRVDQINSKWQFHLSIDDNTDSSCLFKERLTQALRYFKESTDQHLLVQVWAPVKSGDRYVLTTSGQPFVLDQQSIGLLQYRAVSMMYMFSVDGENAGELGLPGRVYKQKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSVQNCIAVVELIMTSKKINYAGEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQSALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGVKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQKGQGVSGKAFIYRRPCFSKDISQFCKLEYPLVHYARMFGLAGCFAICLQSMYTGDDDYILEFFLPPNCRNEDDQNALLESILARMKKCLRTLKVVGNGDTNEVCLQISNVLIIETEDLKTNVHFENSEGCFRESPESNGSQRVHEVDNDGNKVSIMSERHLLADDNSQNNGASVGRPNGSGASDSLHKSNKPPERRRGKAEKTISLDVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPIPVGPSSDSQNLEKASPNKVAELSNLAVEGDRDSSLQKPIENDNLAILMSQQGFIDANNNLQLEADKASHSRSSSGEGSINSRTSEASCHGSPANQTFVCKPIASTFAEPQLIPEAFTKEPFQEPALPLSRMLIEDSGSSKDLKNLFTSAVDQPFLARSSNLALMQNSGTVTIKASFKEDIVRFRFPCSGSVTALKDEVAKRLRMDVGMFDIKYLDDDHEWVKLACNADLEECMEISGSHVIRLLVSDVAAHLGSSCGSSG >ONIVA01G11230.1 pep chromosome:AWHD00000000:1:8904532:8908528:1 gene:ONIVA01G11230 transcript:ONIVA01G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITMSPSSVSSHHLDVDAASTSEDMSSLQEGLLFSDSLKDLRNLRSQLYSAAEYFEVFYRNNSQKSTVMTSLKDYTVEALVSTVDHLGFVSYKVDNLVKERSDEVNETEFRVSSVEQRVRICQQTIDQEGRSQQSLLIRAPKYHRRTDIVESAIHPVSEPPRLYASWQAIYNEERAFTISFSSWHTSSITKQEQVHQYRIPIPLHDLPRLQEGHLFIQSILDKLQCSYTLTGAITKSRRKAQARAGGSSNHCSQGVGGGTMSHCTATWTNIDFLNVTVNQLSLVHLSDI >ONIVA01G11230.2 pep chromosome:AWHD00000000:1:8904532:8908575:1 gene:ONIVA01G11230 transcript:ONIVA01G11230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITMSPSSVSSHHLDVDAASTSEDMSSLQEGLLFSDSLKDLRNLRSQLYSAAEYFEVFYRNNSQKSTVMTSLKDYTVEALVSTVDHLGFVSYKVDNLVKERSDEVNETEFRVSSVEQRVRICQQTIDQEGRSQQSLLIRAPKYHRRTDIVESAIHPVSEPPRLYASWQAIYNEERAFTISFSSWHTSSITKQEQVHQYRIPIPLHDLPRLQEGHLFIQSILDKLQCSYTLTGAITKSRRKAQARAGGSSNHCSQGVGGGTMSHCTATWTNIDFLNVTVNQLSLVHLSDI >ONIVA01G11220.1 pep chromosome:AWHD00000000:1:8894245:8899962:1 gene:ONIVA01G11220 transcript:ONIVA01G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0FJ74] MSSQGLMVGGAHAGAGGGVGDPELFAELWRACAGPLVEVPQRDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPYKILCKVVNVELKAETETDEVFAQITLQPDPDQENLPTLPDPPLPEQPRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMATPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLISGDAFVYLRSETGEQRVGVRHLVQKQSTMPASVISSQSMHLGVLASASHAIKTNSIFLVYYRPRLSQSQYIVSVNKYLAASKVGFNVGMRFKMSFEGEDVPVKKFSGTIVGEGDLSLQWSGSEWKSLKVQWDEVTNVNGPERVSPWEIETCDGTAPAINVPLQSATKNKRPREPSETIDLQSLEPAQEFWLSGMPQQHEKTGIGSSEPNCISGHQVVWPGEHPGYGAVSSSVCQNPLVLESWLKDFNSSNKGVSPTLSEISQKIFQVTSNEARIATWPARSAYQAEEPTSKLSSNTAACGYRTEEVAPNASKVVEGKKEPAMFRLFGVDLMKCTSISTTTDDKSSVGAGEASAKGTGSHEDSGQLSAFSKVTKEHIAADESPQEIQSHQNYTARTRIKVQMHGNAVGRAVDLANLDGYEQLMNELEEMFNIKDLKQKWKVAFTDDEGDTMEVGDDPWLEFCQMVRKIVLYPIEDEKKIEPHPKLLSSANPEQDQKTGF >ONIVA01G11210.1 pep chromosome:AWHD00000000:1:8875498:8877076:1 gene:ONIVA01G11210 transcript:ONIVA01G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPRRRGRTAVLLAPAAPGVPPPAAFFLRQRRWHGDAMLPRGQDVRRRCGGWRSPCRLPFAGEVAAASSSLPGSPVCRGFSCIGRVSPCAVSVADLRVR >ONIVA01G11200.1 pep chromosome:AWHD00000000:1:8866743:8867360:1 gene:ONIVA01G11200 transcript:ONIVA01G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPASHPLLYACAYRDSALVADLAHAPPPHDGGAGGAAEDDAPAVAAALVAGAPAHHRHVTHTASGRAHAVLLAPPLVLAAVSRAPQLPSSHLLLFLRRLRCLPGNRMRDEMPRLALRLPFPDEEALAREAGEVAAAEAEAEEAERREGELARRTPKRERRARSGGAGWTWRRKLWLIVLADLVLLFVLFAAWLAVCKGFSCIGR >ONIVA01G11190.1 pep chromosome:AWHD00000000:1:8864276:8865451:1 gene:ONIVA01G11190 transcript:ONIVA01G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:Projected from Arabidopsis thaliana (AT1G64500) TAIR;Acc:AT1G64500] MGCAGSKDVTVADVYRPPPTSVSLFDISAIEEPWLIATGKKNDEEEEEEDEEEEEEEEEEGKKPTTTVMPLPLLDKLDGYDLAPASWSEVSKALEDIKPALSSNTTTTENAKKKTKKKKKKKQPAPPPQPPTTTTTVLPEPVKAIEAAAKKAAAAPSARGANEEVDRRPPPPELTGRRVVKDNPFLMRDRENKGNDGGAAAAAARWRRRDPFEGYPERRPPGASGGGVVLYTTTLRGVRRTFEDCERARQAVEACAEAVSAAGGSPVVVDERDVSLHGEYLRELRGLAGAGDAPPRLFVMGRYLGGADACAELAESGKLREMMRWARARGEACAAKDGRGCEGCGGARFVPCWECGGSCKVVAAGATAAAADVERCAKCNENGLMLCPICH >ONIVA01G11180.1 pep chromosome:AWHD00000000:1:8852892:8859166:1 gene:ONIVA01G11180 transcript:ONIVA01G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKRKSPVSSPPLLRHLAAEQGRGADLHLASRGGEGILAGEDMASRSTPSKRPFQKNSSEQNGRGKWQKTKHNSLQQPQLIVQPGVPIFRILCPTSKSGNVIGKGGGIIAKIRQETGVKIRVDEVVPGCDERVIVLTAIDKDREVSHVQTKENDGGVACSVDGNHGMEKDHTKEEKDESNKEMDDSEKGLGKEEKDDLEKDHDKEDRDESGKDNDKKADDSSVAKDTNSEPEAQLELEKGMPLAVKAILLVFDRIFVNEMENGTGDASGERNHVSLRLLVLDSQVGWLLGKNGSVIKQMSTDSCCEIRVSKDKLPLCALPRDELCQITGELDSVRKGLNTVAQLLFTHPPKESDVPGAHNSGSSRSFFNQPDVLPPGMQPNLHLPFQGPNVAHLPNFPEALMHGHGPVPPEPLTFRLLCSSDKVGGIIGKGGNNIKSIQNDTGCEIKVLDTVPKSEDRIVFISGPAHPGDGISPAQNAILHVQRKIVPTSNTKEGPAICRLIVSPNQVGCLLGKGGSIIAEMRKLSGAHIIVLSKDKIPKGVPENDEVVQISGASEAIQEALMQITARLRNHLFRDRMASTVPNVQPPFGLVDPQFGSYAGNHDSISPRIFPNVPQFHKDFIGRPLDEMSAPWTMKGMQVVGDPISLPDIPGMAHRGMGGFPGPGQPSIVSTITADVMVPKLVLPSLCGEDGGCLNRIREFSGAKITVADPMGNAMDTAILISGTPDQMHAARSLIQAFVLSEPLAP >ONIVA01G11170.1 pep chromosome:AWHD00000000:1:8841969:8843810:-1 gene:ONIVA01G11170 transcript:ONIVA01G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEDGANGGTGGTWTDEDRALTASVLGTDAFAYLTKGGGAISEGLVAASLPVDLQNRLQELVESDRPGAGWNYAIFWQLSRTKSGDLVLGWGDGSCREPRDGEMGPAASAGSDEAKQRMRKRVLQRLHSAFGGVDEEDYAPGIDQVTDTEMFFLASMYFAFPRRAGGPGQVFAAGVPLWIPNTERNVFPANYCYRGYLANAAGFRTIVLVPFETGVLELGSMQQVAESSDTLQTIRSVFAGAIGNKAGVQRHEGSGPTDKSPGLAKIFGKDLNLGRPSAGPGTGVSEADERSWEQRTGGGSSLLPNVQRGLQNFTWSQARGLNSHQQKFGNGILIVSNEATPRNNGVVDSSTATQFQLQKAPPLQKLPQLQKSHQLVKPQQLVSQQQLQPQAPRQIDFSAGTSSKPGVLTKKPAGIDGDGAEVDGLCKDEGPPPALEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMEVERERLIESGMIDPRDRTPRPEVDIQVVQDEVLVRVMSPMESHPVRAIFQAFEEAEVHAGESKITSNNGTAVHSFIIKCPGAEQQTREKVIAAMSRVMNSG >ONIVA01G11160.1 pep chromosome:AWHD00000000:1:8825551:8826024:1 gene:ONIVA01G11160 transcript:ONIVA01G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVITLILVVITVSTMLASPVECTKIPGAFPIGMSPYNFTTMIDIFKVAMLVPTEDCTSNVEMCISETCSYIRKALDGVVDAAPPAKQAETKEATAKMAGIAATMLDTAMASGEKRQVAAVSIAFMLAADAIDASAPADKFRVMDETFKAAASPIA >ONIVA01G11150.1 pep chromosome:AWHD00000000:1:8818755:8823243:-1 gene:ONIVA01G11150 transcript:ONIVA01G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-2 [Source:Projected from Arabidopsis thaliana (AT2G46520) UniProtKB/Swiss-Prot;Acc:Q9ZPY7] MEVAPETLDTLSGWFAQSLSPDAAARRAAEQSIESAKCSPGFGLALLGLASSPRHDPQSRLAASVQFKNLLRRRWPKPSPDGGGDDDADHLPPSDCAIIKANLLQLLLTAPPLIQAQLSEALAAAAASDFPARWESLLPSIVSSLGTALSAGDIPATNSLLAAAASLFSRFRNAFDSNTIRLDLKYCLENFAAALLEVFLSTSRRLQAAAPTVTPPESRPVFECLRLCCEIFYSLNSIDLPEFFEDHMREWMTEFRAFLTTSYPPAIEADGAPDALRAAVCDNLQLYMEKYEEEFRGYLKEFVEAVWGLLMAPSVSPSRGQLAVTAIRFLTTVAESVHHALFGSPDAMKQICDSVVIPNLRLRDDDEELFEGNWVEYVRRDAEGSDADTLRRAACRLLRGLAANYREQVAALVSAQVQQMLAAYAADRTNNWKEKDAAIYLVISLMQKPGATGGGTPVVDMESFFTSVIVPELQAPDWESEPMLKATVLRFLKEFKDQIPKATALALLPSVIRFLIHESNVVHSYAATFIENLLIIKDMVPVPSANVITRAPRYVAADINPYAQPIVQNLSKALSFPESYENPYLMKCLMRVLGIANIAGQIVHEITARLVGILMEVCNNPKNPDFNHYLFEALAAVIGRAGEQDPALLPVFEASLFPVLQRILVEDISEFWPYAFQIFAQLVNLSRPPLSQNYMQLFGVLLSNATWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPNILVIFRSLLSRSSTEDSAFYMLNTLVENVSFDIMNPHINEIWSALFTRLQTRQAVKFVNSLVVFMSLVVVKYGPGVLVSSVDAIQPNIFMTILQRFWIPNLKFIKGTVEVKLTSVASTKLICESALLLDGAAAQTWGKLLDSIVALLSRTDQDGAQQDQNDGADADSQRTSGYSVSFVRLQYAGKSEDDLLKEVNDPKQFLVTSLATLSAQSPGRFGPIIEQNVDPANKGALIQLCAAYNTNIEPMALL >ONIVA01G11140.1 pep chromosome:AWHD00000000:1:8813802:8816962:-1 gene:ONIVA01G11140 transcript:ONIVA01G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESIQDGDDILLSGGDDDRNGGSIAEIQTTVDLGVAFGSEKLLNLEMLLMEIAHRATEIEPLVLDAESISAESVQRVSEFDLLHCILDSEVKELEKLVDSIEVDIGNGGKMMASDEDPVSEVNSKLRDAAVSLNQMQDLISAIRRQSANFVNVIDPSQDNSGTSEDGGYENGHGSSRSAMPAEEQRNTLQLLNQSIASELDLGKKLHDSESVVEELKLKLHHAEHESYFLEESVEAISERMFAAENASELFLGASKELIGKVNTIQFHLSSSIRREGDLKSKLEQSLTESNGSNSTLEKMKQDSEKEVMPTQAQPDSEFLTLQDKIQQLEEWLRESKSGLPLTIASTGENEVGEDEMSTFENIVNDIKDAVFRAESRTQNAEAKCKQLSHANIQLDEELKSLKSQGSDRAGLLEEKLKESETQLLHAKASIEAIVERHSIFKSSMSDMEQVIDDLKEKYLKAETRAENAESKCTLLTDTNLELSEELSFLRGRVDTLENSLCKANQLKMSAAKDIGIKTKTITDLVAKLALERECLHLQIVTLTKKNRMLAQKCKENITEVTLLNNKIAASEGELKTTKVMEEMVSDSSPTQTKVKRVADTLGEEEDEITAPPEDNSGACSTPNTVRSIEPKILNWKWIFAAFLVLLVAVLVCLPYQ >ONIVA01G11130.1 pep chromosome:AWHD00000000:1:8810981:8814592:1 gene:ONIVA01G11130 transcript:ONIVA01G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAHLVLALLLPLALLPPAARGTEETPQYTTVHAESDFEVRRYRDTVWMSAPSDDISFHVATKLGFHRLFQYLMGANLNSSRIRMTTPILTSIVPGAGPLHSSAYFVRLYLPAKFQASPPVPLPELNLHPDRWPSHCIAVRSFSGYARDNNVVEEAEKLALSLSRSPWANSTNYPSKSAYSIAQYNNPFRIIGRLNEVWFDVDCKSTGVEAY >ONIVA01G11120.1 pep chromosome:AWHD00000000:1:8804864:8805671:-1 gene:ONIVA01G11120 transcript:ONIVA01G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQPAERGVEAPPLMLSIFEFNSKVMQDELQKLALKVNHHEENIRFLKSELNAVEDSCADLGIGQMWSHGSVKRMNDPYVGMKIFMRGGMGCETNHRQLKYLKQCKYLNVVSDVIGRGTRSIWDE >ONIVA01G11100.1 pep chromosome:AWHD00000000:1:8798351:8800596:1 gene:ONIVA01G11100 transcript:ONIVA01G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHVKQYVYFRKKNKIQRATSTTHYPTTKVSSPRGTQFVLQSPFLSLSPHREEEAEERAGGATMAASLWLQLPAPPASAAVHNPVFLTGNLSSSLLCKKHPQAARGSILCSSSSSSNSSASVVTKEQEAVAAASSSQEEGVAVSETEFVRYRDDPNFRGCRGCGREEVERGCNGEGRIQGGIATVPGFGWWPIKAYRPCPGFVASGGRYRRQGQSMDDVASGRGKKVPSNNKKNTKVRAER >ONIVA01G11090.1 pep chromosome:AWHD00000000:1:8796299:8796733:-1 gene:ONIVA01G11090 transcript:ONIVA01G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNRSYTLNLLQFKMIEMSFVPLLIFHLQARCNNWGGRRSHGADRVNEMMVDPLRQYHVCSSVIRHALSLPPTTLELHKGQLVREHDEKGQPTLEFRETRNLRRLWLHRSLTRTMMHGLEEITTRELDEDDNVEAKGGWRDL >ONIVA01G11080.1 pep chromosome:AWHD00000000:1:8791776:8792661:-1 gene:ONIVA01G11080 transcript:ONIVA01G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FJ61] MFRPYNCPYAGSECAVVGDIPYLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKMVWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRITGRIWKEQQTPDGACIPNLCS >ONIVA01G11070.1 pep chromosome:AWHD00000000:1:8781511:8786830:-1 gene:ONIVA01G11070 transcript:ONIVA01G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGIAVSPSNNDALDLADAQLDGDQSSYHHTPEVFLLYLAVPGVPLAKMQVLESDSVATVKLRIQNSKGFVARNQRLVFEGRELSRNDSHIRDYGVRYGSVLHLVIRLSDPRRTAVRTVYGRKFKFQVDQRRNARYMKQEISRNVESPNGIGESVTLVKGEKLDESTLISTICETNTSDTDFLANKSENFNGNEIEESFEQLSISSDIGNNLQFDDAKEKYPLIEPVLVNPSVTLTPKITGMIEATLAGLEMEHTPVMSSEGTGGVYFMLDSSGQEYVAVFKPINEEPMAKDNPNGYPLSSDGEGLKRGTRVGEGAFREVAAYILDHPISGYRVSDELGFAGVPPTVLVRCLNGYVDQTKYDCVEKEPKIGSLQMFVKNSGSCEEFGPRAFPVQEVHKIAVLDMRLANADRHGGNILICKDENGQIELIPIDHGYCLPESFEDCTFDWLYWPQARQPFNVETLDYIKSLDEEEDIKLLKLNGCEPSSKCVRVFRLSTMMLKKGAVRGLTPYEIGNMLCRENITTKSKIEEIVEEAEDVVLPGIGEKAFMEAISGGTFRLPMLVHFTLHIQNGEADNDSTYIIEGRVCYLTMCDCSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNFQEVLGVGEKLDHEFAFAPYLCYAASFIIVFSTMDLSMISVHMRLT >ONIVA01G11060.1 pep chromosome:AWHD00000000:1:8778633:8779409:1 gene:ONIVA01G11060 transcript:ONIVA01G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPRTRDPAIAIAGDGAARRGPPPMQPPGPPPVRHGPPPVRQQHGYYYEEEQRPLHLHVRPARSSSALASCLVAAAFLALAVGGAGAALFVLFRPRPPDIAVAAVRLPAFASGPNGTVAFTFEQTAAVRNPNRAPLAHFDSSLRVAYAGGELGSVYIPAGLIDGGRTKDMSASFAVPAFAAATPPPLPQEQMAAAAAASAQQQQPAAAAAVMEVDSLLVVKGRVTVLRVLTHHVEAAKVCRVGVSPVDGKVLGFRC >ONIVA01G11050.1 pep chromosome:AWHD00000000:1:8772507:8773571:-1 gene:ONIVA01G11050 transcript:ONIVA01G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQANQLSYKAGEAAGHAQARREEAVEKAHGAASAAQEGAADAAHIIVLKINSSKGSTLPHRPSSILDLILSVVIVDNDTMLLLCREKIAEDCRTQIHNYSPTYKIRSTNTRYFS >ONIVA01G11040.1 pep chromosome:AWHD00000000:1:8769958:8772322:1 gene:ONIVA01G11040 transcript:ONIVA01G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FJ57] MAHATLGSPEPAAKPRLRCADGRHRRRLIVVLCIVGVALAVGVAVAVAIAVLGRSRMTSSSGGGRAPRGRAPTEAIARTCGVTLYPELCVGELMAFPGAAGAGDAELVPMSLNATHRRVVDALYNATALGGAAALLAGARSGAAYGDCVEMLDAAEELLARSVGAIAAPPPPPDSVDADTAGRDDDDIMTWLSAALTSHDTCMDSLQEVGAGGDAGDDDGGRIKPQMLGYLGNLGEHLSNSLAIFAARGRPGGELSDVPVHNQLHRRLLTIDDDDDDDGSFPRWVRHNDRRLLQAAAAEIEADMVVAKDGTGTHRKIRDAIKAAPEHSRRRVVIYVKAGVYTENVKIGSKKTNLMLVGDGAGKTVVVGYRSVHDNYTTFHTATLAVAGAGFIMRDMTVENRAGAARHQAVALLVSGDHAVVYRSAVLGYQDTLYAHAQRQFYRDCDVAGTVDFVFGNAAVVLQNCTLWARRPLPGQENTVTAQGRRDPNQSTGISVHGCRLLPSPELELAPAARRGRAATYLGRPWKPYSRAVYMMSYMAGHVHAAGWLAWDASGRAPDTLYYGEYRNSGPGAAVGGRVPWPGHRVIKLPEEAMEFTVGRFIGGYSWLPPTGVAFVAGLTV >ONIVA01G11030.1 pep chromosome:AWHD00000000:1:8764430:8767742:-1 gene:ONIVA01G11030 transcript:ONIVA01G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FJ56] MNRQPPPRRRSARIAAAHGGGGGDTTLRERILRRPDGYIGSPEKRSTQTFWINDGYYMVPREVTYRPGLHRIFDEVLVHAASNKRRDPSMDTLSVEVDVVERSVSVFYNGRGVVPVELVDEERGVYAPEMFFGHLHDDDEEDDQNKATNDGGGGYGVKLANLFSTEFIIETADGCRMKKYKQVFSENMGKKSVPHITDCNQGENWTIITFKPDLARFNMTYLEEDHVTLMWKRVVDMAGILGDSVQVEWDGVRLRINSFNDYVRLYIDSPVSDRSGAGFPRVYEKLNDWCEVCLSLSDDGHFQQVSFVNGFETLKGGTHVDYVTELITTHLMNLLNEHYEECNFNVDDVKRYLWVFLNVIIDNPTFDSQTKETLTTPPGRLGSKLELPKSFSKIAFGNGLIRRLFGYRGPLDAKTGVSSRD >ONIVA01G11020.1 pep chromosome:AWHD00000000:1:8757431:8762737:1 gene:ONIVA01G11020 transcript:ONIVA01G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDQPIKKRGRPPGSKNTKNKMEQKMELVHQRLALLDSSSGSDRDDDIGPRMLMPKEVENEQRTVPGIPQTCNTQNTSNGRTNTTEVPVKGQNKCASYLPKKSSVQAFCGSAMKRAQEIQTKLPAEHPSFVKHMLHSHVVSGFWLGLPAGFCNKFLPKHDTDIVLEDENGNNHNTNYLGGKQGLSAGWRGFAINHDIKVGDVVVFELVHIIRDKNISPTDRAPGLKSFYACKKRKISKEATDNATKPKEDPETTRVSSKVAHDDTQNLVHEAIDGIRFSDSEMSFDDVMSYSNFNIVVDGLVIDCKFPDHQRRTYYELCCAQKSFLHRHLLRQLSLTLVVGVIMETINIAEGIRACGAGTSSQEDFLIWKKTLQSFDLLGMNVAFLLKRVDDLLGLPEQPRDPSECSKYNELKLERSRAGEKVKALESMMLTVKDVLKKIDAEMEEMESSVRNHDIALRKIATAPW >ONIVA01G11020.2 pep chromosome:AWHD00000000:1:8757902:8762737:1 gene:ONIVA01G11020 transcript:ONIVA01G11020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKMELVHQRLALLDSSSGSDRDDDIGPRMLMPKEVENEQRTVPGIPQTCNTQNTSNGRTNTTEVPVKGQNKCASYLPKKSSVQAFCGSAMKRAQEIQTKLPAEHPSFVKHMLHSHVVSGFWLGLPAGFCNKFLPKHDTDIVLEDENGNNHNTNYLGGKQGLSAGWRGFAINHDIKVGDVVVFELVHIIRDKNISPTDRAPGLKSFYACKKRKISKEATDNATKPKEDPETTRVSSKVAHDDTQNLVHEAIDGIRFSDSEMSFDDVMSYSNFNIVVDGLVIDCKFPDHQRRTYYELCCAQKSFLHRHLLRQLSLTLVVGVIMETINIAEGIRACGAGTSSQEDFLIWKKTLQSFDLLGMNVAFLLKRVDDLLGLPEQPRDPSECSKYNELKLERSRAGEKVKALESMMLTVKDVLKKIDAEMEEMESSVRNHDIALRKIATAPW >ONIVA01G11010.1 pep chromosome:AWHD00000000:1:8750377:8755481:-1 gene:ONIVA01G11010 transcript:ONIVA01G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRMVPLPLHCFPSTRSTPSLTPSPCVGSLTWPAVHQGAPHSHRLWLPDDLELLSDGADLAGGQMLQGEIDPKVDGRQHGGVASVASGHRTARLFPPFFGPHLLPRLAALLPGRWLEARGYASSNALCELFFAPSHESLTNSS >ONIVA01G11010.2 pep chromosome:AWHD00000000:1:8752485:8755481:-1 gene:ONIVA01G11010 transcript:ONIVA01G11010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRMVPLPLHCFPSTRSTPSLTPSPCVGSLTWPAVHQGAPHSHRLWLPALLLLHSRSTATAPIQKYVIDTVDAQLQP >ONIVA01G11010.3 pep chromosome:AWHD00000000:1:8750377:8752403:-1 gene:ONIVA01G11010 transcript:ONIVA01G11010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARIWPVARCYKGKSTLRSMAGNMVEWPRWRVDIEQRGFFLLSSALTSSPVWRPSSLVAGWKHGAMPLAMRCNSS >ONIVA01G11010.4 pep chromosome:AWHD00000000:1:8750377:8752440:-1 gene:ONIVA01G11010 transcript:ONIVA01G11010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVDDLELLSDGADLAGGQMLQGEIDPKVDGRQHGGVASVASGHRTARLFPPFFGPHLLPRLAALLPGRWLEARGYASSNALCELFFAPSHESLTNSS >ONIVA01G11000.1 pep chromosome:AWHD00000000:1:8744980:8749643:-1 gene:ONIVA01G11000 transcript:ONIVA01G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKVLELFCVKKKDSKKKGKAINPLCKEYGSESDGYNLFNQFDVVQDFSDHYYAKNSPGKTSKDWVKTIQNEWKLLQKDLPESIYVRAYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPQSPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKTMMYSLRKPPKHFESLVARHFHERERVILDACDAYISGAVVGSSSAKGTKHPRDNNRSFADFKKSLEKYSELLRKDLAANRTHFLKLTRDSPAAADEIVECTSS >ONIVA01G11000.2 pep chromosome:AWHD00000000:1:8744980:8749643:-1 gene:ONIVA01G11000 transcript:ONIVA01G11000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKVLELFCVKKKDSKKKGKAINPLCKVAAPHPTANVSTNNSLLDPFSSGNGTVLLVQKHEPECSSVVSSMTRTEYGSESDGYNLFNQFDVVQDFSDHYYAKNSPGKTSKDWVKTIQNEWKLLQKDLPESIYVRAYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPQSPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSKSTILQVLVSIQGLVLNDKPYFNEPGNKNSANTAPGEKYSLAYNQTAFLLSCRTMMYSLRKPPKHFESLVARHFHERERVILDACDAYISGAVVGSSSAKGTKHPRDNNRSFADFKKSLEKYSELLRKDLAANRTHFLKLTRDSPAAADEIVECTSS >ONIVA01G11000.3 pep chromosome:AWHD00000000:1:8744980:8749643:-1 gene:ONIVA01G11000 transcript:ONIVA01G11000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKVLELFCVKKKDSKKKGKAINPLCKVAAPHPTANVSTNNSLLDPFSSGNGTVLLVQKHEPECSSVVSSMTRTEYGSESDGYNLFNQFDVVQDFSDHYYAKNSPGKTSKDWVKTIQNEWKLLQKDLPESIYVRAYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPQSPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSKSTILQHFESLVARHFHERERVILDACDAYISGAVVGSSSAKGTKHPRDNNRSFADFKKSLEKYSELLRKDLAANRTHFLKLTRDSPAAADEIVECTSS >ONIVA01G10990.1 pep chromosome:AWHD00000000:1:8739883:8744173:1 gene:ONIVA01G10990 transcript:ONIVA01G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMSYNKLSITNDRMDFNTRGNAVPNGKLDRNQSGVSANRSSASKPTARSKKKPDQADKTTPNPAQNSASSATRSEAATVTTTTKSPAISVDRSSIARSNSLDSFSYGQAKRHTGGDSRWDAVRSASSVDCPLGLVHFRLLKRLGYGDIGSVYLVELRDTDAFFAMKVMDKESLISRNKLVRAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQRQLNKHFNEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCSVCPMLVKSSSVHAGANGVVKGLAAGGGGDGEGVGIGCMQPSAFLPRILPKRSRKTSKSDLGLLHGSPLEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGMTPFKGSSNRATLCNVVEQPLRFPDGGAFPAPAAASGVARDLIRGLLVKDPGKRIASRRGATEIKQHPFFEGVNWALVRSAHPPSVPDPVDFSQFGVREKPAAAPTKVAKPVASDSSSGDFEYF >ONIVA01G10980.1 pep chromosome:AWHD00000000:1:8730070:8740395:-1 gene:ONIVA01G10980 transcript:ONIVA01G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHPAAAGRPGCGCGGGGGGGEGGARIGGWRGGEERRHRLNLRGRARAWGGGGGGRRRVEKRRGEAVVEAVVSWAGEMAKRRRCSREAPVSGVRPAAARLLRRPKAQKLNLAASAAPVKKGSLASGRNVGTNRASAVKSASAKPAPAISRHESATQKESVLPPKVPSIVPTAALAPVTVPCSSFVSPMHSGDSVSVDETMSTCDSMKSPEFEYIDNGDSSSVLGSLQRRANENLCISEDRDVEETKWKKDAPSPMEIDQICDLFIVQDPALHLEFLANYVAELSLLEYNLLSYPPSLVAASAIFLAKFILQPAKHPWNSTLAHYTQYKSSELSDCVKALHRLFCVGPGSNLPAIREKYTQHKYKFVAKKPCPPSIPTEFFRDSTC >ONIVA01G10970.1 pep chromosome:AWHD00000000:1:8725909:8729205:1 gene:ONIVA01G10970 transcript:ONIVA01G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSPAVDEHMAGRDGTIETLGSIPAASWQMEENMDQVVRKAQPLLQTGRKPKKGGRPGGRDGKRIRPSNDPDLDDEEDF >ONIVA01G10960.1 pep chromosome:AWHD00000000:1:8710082:8721322:-1 gene:ONIVA01G10960 transcript:ONIVA01G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRPAAGEGGGKAAAGAAAAKKRVALVNITNVAAAANNAKFNSATWAAPVKKGSLASGRNVGTNRVSAVKSASTKPASAISRHESAPQKESVLPPKVLRIVPTAAPAPVTVPCSSFVSPMHSGDSVSVDETMLMCDSMKSPDFEYIDNGDSSSVLGSLQRRANENLRISEDRDVEETKWKKDAPSPMEIDQICDVDNNYEDPQLCATLASDIYMHLREAETRKRPSTDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFVRVAQVSDEDPALHLEFLANYVAELSLLEYNLLSYPPSLVAASAIFLAKFILQPTKHPWNSTLAHYTQYKSSELSDCVKALHRLFSVGPGSNLPAIREKYTQHKYKFVAKKHCPPSILHAADVIDLNMVIQREA >ONIVA01G10960.2 pep chromosome:AWHD00000000:1:8710084:8721322:-1 gene:ONIVA01G10960 transcript:ONIVA01G10960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRPAAGEGGGKAAAGAAAAKKRVALVNITNVAAAANNAKFNSATWAAPVKKGSLASGRNVGTNRVSAVKSASTKPASAISRHESAPQKESVLPPKVLRIVPTAAPAPVTVPCSSFVSPMHSGDSVSVDETMLMCDSMKSPDFEYIDNGDSSSVLGSLQRRANENLRISEDRDVEETKWKKDAPSPMEIDQICDVDNNYEDPQLCATLASDIYMHLREAETRKRPSTDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFVRVAQVSDEDPALHLEFLANYVAELSLLEYNLLSYPPSLVAASAIFLAKFILQPTKHPWNSTLAHYTQYKSSELSDCVKALHRLFSVGPGSNLPAIREKYTQHKYKFVAKKHCPPSILHAADVIDLNMVIQREA >ONIVA01G10950.1 pep chromosome:AWHD00000000:1:8704399:8706061:-1 gene:ONIVA01G10950 transcript:ONIVA01G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVWKTKVLTGLNKLFDKDGKKAAAAEFLKSFNKEEIGKEIDDKKTELEPKVVEVVESSPPEIKALLKDKKTASKIKKNGPAVTKFLEELAKIDFPGAKPVSDAVAKSGTTPLSPAIAFILEKVAPFVPKEEPKPEPEAEAAAETTSREVAVEEKKEEEAAPAEPAAAAAEAAAPSTEVVEEKKEEEKPAEAAAPAAEPEKQ >ONIVA01G10940.1 pep chromosome:AWHD00000000:1:8698551:8698793:-1 gene:ONIVA01G10940 transcript:ONIVA01G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWRRSEERPVGGEARSQEQREDTSPLPDSPPLRLYSGSLHLQIHHLSASTPSRWWREFDCEVSS >ONIVA01G10930.1 pep chromosome:AWHD00000000:1:8692771:8697844:-1 gene:ONIVA01G10930 transcript:ONIVA01G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRGGGSASGAGGGGGGGASSASAFATRVLLLLTLLPMALAAFAFALQWRGGMRDPAGAAWPAETQRFPGMENSPLGSSSSSSGGGGGGGSYFAVTSSQSSSAAADCAEILGRSAASSSHGGISLYRGWGFDSDAGLTPKICITGSTSAGLHQILPWLYYHKVIGVSHFFLFVEGEAAKPAVTSVLESIRGVKIIYRTKELKEKQDRSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIIMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEEEMRNWFREHVLWNDKDTNLKLLRKGAIIRGLKESGVFTTAVTSAKAHAKLKSSNTDLKNKESIHPNITQGDHLQATVRKILEMVDAQEEAMPPMSPPGFLHQTVETALS >ONIVA01G10920.1 pep chromosome:AWHD00000000:1:8688839:8694231:1 gene:ONIVA01G10920 transcript:ONIVA01G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidinol dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G63890) TAIR;Acc:AT5G63890] MSLRPGRAHPLAASPLHTPLPARPRPQLRLSTSTSCAAMKSYRLSELSDAEVGGLKARPRIDFSSIFGTVNPIVEDVRMRGDAAVKDYTVKFDKVALDDVVVRVSDLPDVELDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLATPPSRDGSICKEVLYCAKKAGVTHVLKAGGAQAISAMAWGTVSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVVAGDGVDLGAIEAEVSKQCSALPRGEFASKALGHSFTVFAKDMVEAISFSNMYAPEHLIINVKDAEQWEDLVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLSEEGLRSLGPHVAKMAEVEGLEAHRRAVTLRLQDIEATVTV >ONIVA01G10910.1 pep chromosome:AWHD00000000:1:8686180:8687253:-1 gene:ONIVA01G10910 transcript:ONIVA01G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLHLQSPFLLWSPAPPTPPLPPSPPSNTRRPPPPPPPPPFCPHLSVPCFGLPLPPPCPPPPGAIRFPLWHGAATIPASSVCRSVRGHFVEHLPHVEGRVPGDGEGAFAGVPPEMLPPKKRLLRYHPYAAAWTIQEMANHARGQGGFGGKRPAVPTPPGVEEDDGLRAELRRLRISRPALVLTKRLTPSDRSREKARLVLPEGLVRTSPLLGMLTAGERHLVLTGDGGGLPVPAFDRLGRAYAMALKRDRSPTCRSYRLTGQWSLFASRHAMHDGDAVEVRAFRPPAWQARLESRGEGGLGMALLLRRPRGQPTPPAAVNDAAFWSYRERGAADGLLLLARTAPRRGDGGVQITVP >ONIVA01G10900.1 pep chromosome:AWHD00000000:1:8681061:8686414:1 gene:ONIVA01G10900 transcript:ONIVA01G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGYVGVGQGSSSSSSSASCRAADVAAWGSSSQQQQKRQRCQVATRGEEGDAGEEEESGVGAVALRWGMACWLAGAGTYGALQADALAKRLASASHGELGIVCDGGSVDWGKGSSDDQVGLSTSNSLQMSEPEPRDFDNGENEEEDYYLDEDDCIYDDGDGYDYEFDGGDYFNQRLADKFDDLDLPPGVEATVPWLQKIITNEEQSSSKLTVEDESANKSANKSQLFKQFDTVKNFSDHHYAATSGDVTKRDWVKRIQHDWKLLEKDLPASIYVRVAEDRMDLLRAAIIGPKGTPYHDGLFFFDIQFSNSYPANPPSVYYHSGGLRINPNLYNNGKVCLSLLGTWAGSGCETWNPSQSTMLQVLVSIQALILNEKPYFNEPGYASYANSVSGERIAMEYNDNTFLHSCRTMLYSLRRPPEHFADLVTSHFRERGHTILAACRYYMEGHKVGSVVPEEKEPEYGDAGASTSSASASAAAAALKPRPDKVDSVSRRPTFNDNLKTLFEELLMEFNVKGADTAKFLAEKVKKSSGATTTAPVGGARYAAEVVDEWMD >ONIVA01G10900.2 pep chromosome:AWHD00000000:1:8681061:8686414:1 gene:ONIVA01G10900 transcript:ONIVA01G10900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGYVGVGQGSSSSSSSASCRAADVAAWGSSSQQQQKRQRCQVGSSDDQVGLSTSNSLQMSEPEPRDFDNGENEEEDYYLDEDDCIYDDGDGYDYEFDGGDYFNQRLADKFDDLDLPPGVEATVPWLQKIITNEEQSSSKLTVEDESANKSANKSQLFKQFDTVKNFSDHHYAATSGDVTKRDWVKRIQHDWKLLEKDLPASIYVRVAEDRMDLLRAAIIGPKGTPYHDGLFFFDIQFSNSYPANPPSVYYHSGGLRINPNLYNNGKVCLSLLGTWAGSGCETWNPSQSTMLQVLVSIQALILNEKPYFNEPGYASYANSVSGERIAMEYNDNTFLHSCRTMLYSLRRPPEHFADLVTSHFRERGHTILAACRYYMEGHKVGSVVPEEKEPEYGDAGASTSSASASAAAAALKPRPDKVDSVSRRPTFNDNLKTLFEELLMEFNVKGADTAKFLAEKVKKSSGATTTAPVGGARYAAEVVDEWMD >ONIVA01G10900.3 pep chromosome:AWHD00000000:1:8686162:8686483:1 gene:ONIVA01G10900 transcript:ONIVA01G10900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDHLWHRDLHAAVAAARSGSGEEEEAVRRAALPNAASFTAAGGVGWPRGRRSSSAMPRPPSPRDSRRACHAGGRKARTSTASPSCMAWRDANSDHCPVRR >ONIVA01G10890.1 pep chromosome:AWHD00000000:1:8674254:8679824:1 gene:ONIVA01G10890 transcript:ONIVA01G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein [Source:Projected from Arabidopsis thaliana (AT3G16270) TAIR;Acc:AT3G16270] MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASVVKEVADFVLKRLDNKSPVVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLKGDSLNKAVRETANDAIAAIFSTEEPKPAVATEGLGKRIQGFGNTNFEPSRDDKKSFLSELSEVVGIGSASIKQGLSNFAASHSSMITNDNGGPYKSPNLRRSLTTETDKYGRYDPSEIQGESRSSSGASKNVSSGSWGPSPSSSAQTDDTGSSQTGVKTREERLLDTIATSSGVRLQPTRDALQIFLTEAAKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIITSYFCENSASVVRCCELPQVSLREKASKVLNLLVGEQPTGSNNFSETKTTVPAAQMPDLIDTGDQDDPGAQNSAQEGSERIMGNSTFTSSVDDLLGGEPIADISTTTSNGNGGDPFADVSFHETADTKDTNDLFSGMTVEEKATAALHDSSSINKNELPDIFGSSPEPFFQERVEDKGTVNDLMAGLNLNGTAQAQPGIKTESNNTVNVSQLFDMNSQTTNVANSAAMTGILGQSFYQQQQVPLQYNLPSQMLLNPAFAGQQLNYGAMSVLLAQQQQLLQNLGNFNAGLGHSSLNAMNSGNASVLPDIFNSSNQPQHVAVMSNSKKDETKAFDFVSDHLAAARGSKK >ONIVA01G10880.1 pep chromosome:AWHD00000000:1:8665468:8672858:1 gene:ONIVA01G10880 transcript:ONIVA01G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLPSPLRRLLPLSQTLAAATPAPLLHLSRRLFSSSSSPSPSPSPRAACLRALAYRGGQAGGGGRRGHHNHLLRRGNSTLGKRSKEKMGGGGGGGGGGGGGEAELAFNKTRAEGKDGRKGRSMELKSRKLNPINTICYVQHKGVYSGFPLPVEDQKYYVVRILGTGMDTQDTSPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLVLTLAGIGEEGMSVNIWGPSDLDFLASAMRSFIPNRAMLHTHSFGVEQNVSSSQSKDATVIVDDEVVRISAMFVKPRYNKEASCLNDSNLKPGDTAIIYACELPELKGKFDPAKAAALGLKPGPKYRELQLGNSVQSDAFDKMVHPRDVLGPSIPGPTVLLVDCPTKYHMHELFSLQSLIRFYEDSSEQAGSPKKVNCVIHLGPSSVTEALDYQNWMRKFGATQHIMAGHEIKNMEIPILKGSARISSRLHFVCPHLFPSSGFWPVEPINDVDSEKNKVSSLQACESVSAANLLKFHLRPYAQLGLDRSSIPSLTTYGDIVDELLSEIPEIKEVPEQISKFWQSNSVGKHMLMVEEPWITENSSVCNFVDENSNSGKLQDGTPLRASGWRKHPKDTPDIPCCVENATREDMEITFLGTGSSQPSKYRNVSSIYINLFTQGGILLDCGEGTLGQLKRRFGVSGADDAVKSLKCIWISHIHADHHTGVARVLALRSKLLKGVPHKPLLVIGPRPLERFLNAYSTLEDLDMQFLDCRQTLKPSIEAFLSDNATESATSQLGSTIFAPGSKMENYSRKPASPRDTTALTNLKDVLHESGLEVLYSVPVLHCPQAFGVVLRAKEKVSSAGKAIPGWKVVYSGDTRPCPALVDASRDATVLIHEATFEDSMKDEAIARNHSTTKEAIAVGTSAGAYRIILTHFSQRYPKIPVFDEVDMQKTCIAFDLMSVNLADLPVLPKVLPHLKLLFKDEMVVDESDEIQEAVM >ONIVA01G10870.1 pep chromosome:AWHD00000000:1:8648252:8650280:-1 gene:ONIVA01G10870 transcript:ONIVA01G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVAIFTSGEYTHVHAIYIEIFTLSLPISSHLVPERIREVELVMEFGRRKSFSFFEEDWKARPTAAARTPVHHHYARSPAREAAPHTPPRLSLSSVHGVELPGLVGVGGGGGGGGGVGGMCSPWVQSPLHGRVRFPPSPAAIYHCLSALHRLDGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPAIAACGGTLVTSHSRDHHVRVWTVCASAVCDHIRAKKAATLPAKGGILSFTKRRPPHHRDTVSCLVLHAVAGLLYTASHDHTVKAWKLSDGSCADSFVAHDGAINAMLINEADGCIFTGSADGTVKMWRRVYGGTTHALIIALRSELSPVNALTLCHAAAATGATRRCFLYAGSSDGYVNVWEKEASAGRPAHAGFLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRRDGKGGSTSHTCLAVIEGHRGPVRCLAVGGGEAGDVEGSMVVYSAGLDKSVKVWRIRVVGKEEEEDDDEDDLDDDDVDVVVGEEDAAETMSTAAGKVDTEARDEAAAAAAVVAEEEAEVVVGLGATPVLSPVWVEKRRTSRG >ONIVA01G10860.1 pep chromosome:AWHD00000000:1:8642623:8644553:1 gene:ONIVA01G10860 transcript:ONIVA01G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPMTKLELGHRGEAWEPGCLRAVAGELLFTFLFVFIGVASTITAGKAAGGAGEAAAVTAAAMAQALVVAVLATAGFHVSGGHLNPAVTLSLAVGGHITLFRSALYVAAQLAGSSLACLLLRCLTGGAATPVHALADGVGPVQGVAAEAVFTFTLLLVICATILDPRRAAPPGTGPLLTGLLVGANTVAGGALTGASMNPARSFGPALATGEWAHHWVYWVGPLAGGPLAVVAYELLFMDVEDAGGAHQPLPQE >ONIVA01G10850.1 pep chromosome:AWHD00000000:1:8638397:8639235:1 gene:ONIVA01G10850 transcript:ONIVA01G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALGHHREATDPGCLRAVVAELLLTFLFVFSGVGSAMAAAKLGGGGDTIMGLTAVAAAHALVVAVMVSAGLHVSGGHINPAVTLGLAAGGHITLFRSALYAAAQLLGSSLACLLLAALTGGEEAVPVHAPAPGVGAARAVAMEAVLTFSLLFAVYATVVDRRRAVGALGPLLVGLVVGANILAGGPYSGASMNPARSFGPALAAGEWADHWIYWVGPLIGGPLAGLVYEGLFMGPPGHEPLPRNDGDF >ONIVA01G10840.1 pep chromosome:AWHD00000000:1:8619661:8620813:-1 gene:ONIVA01G10840 transcript:ONIVA01G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRSSGEEWTAKQLKGELEASAATPYELQRRLVAAASAADSAAGVQSSFAMVSPSSAVFQVIIGAVGGGAAIGGAAAGGAAAGGAAAEAPKAEEKKEEEKEESEDDLGFSLFD >ONIVA01G10830.1 pep chromosome:AWHD00000000:1:8616654:8634993:1 gene:ONIVA01G10830 transcript:ONIVA01G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCHHHQQHSNGQQLQRLEGIEEEGGAAEKWPPPTTVRPPETPTETMEFLARSWSLSAAEISKALRVLSGKGVSDDVHDAAAAVAGDRKERRSPVTMDGRRHEQASEENEAASMRADASSMAAAAQGGAMSPPISPRANLDVKLLRATATAAAAAGGRGGGGKTTMGTWIKEQKERKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSPPPDHPKNGGAAAPAPAPAHAAGAAGAKTAAAIASAAALVASHCVEMAQAIGASHDQILGAIQSAVNAQTSGDIMALTAGAATALRGAAMLRARLHKEIQAAALPGGGAGAGDISGREPERDTSPFAFVSRGGELLKRTRQGTITVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEEGSHRRGYFGIKTVERMIEFECRSKYEQHKWVQGITEMLNRRAGELQPLAAKAKAHRPNRRRRVAFPTNHSGELAFFSTDSHYVCFPFPLPPPIYLLLLPSSPSRQPAVDSPMSSFTHFISLPLGIHPQLVDKLNEFKRSILTSNEYKAAGFRIDESIFAIPESLHLTVLMLDLKGENIAKASSVLQSVSDKLMEALKNRPISIQLRGLACMKGSPDEAWIVYAPVLEVGEQGRLQQVCDIIIDAFTSSNLAPTSDEKRELKLHATVMNARFRKRYSKNTRNMNGANISFPRFIFVRDAGLMKVDTTTSALRFPCPGICKRSEPRNNHFAPPPPPPSLSRAASTPPMLACASLASRLARPLCAAGSAPRFLQGSVNQNSIHSLVMEGAQGASNNSAKHKKRKSAVQRWRPISTEAATPKADLNEMSGPVSKQVEENSASDGTTNVVIEVSTYNASLPENKLATEDTMEDASFNKDIDRSNLSEKCSSSVQVDAPLMRFVKGKGGTMQKQIEDETGVKIIFPSSKEETCVVLEAKTTEDIRKASEKIAKVLEEAVKSPILDYSHFISLPLAIHPSLVEKLNHFQCSILGTSSNVDSDKGEDLSEGSMDEIDHEQKQERSPSVSIKMQAHEESVRVKMDIKGSQPDFGIDKSIFIKPKTFHLTVLMLKLWNKDRIAKASDVLQSVSSQVMEALENRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQQVITDAFVKSGLVLERDARQELKLHATIMNVRHRKSKRWNQRNDSFDARNIFRKYGEHDWGEYLIPEIHLSQRFKFDERGYYYCCSSIPLPAAEMQTDGIAG >ONIVA01G10830.2 pep chromosome:AWHD00000000:1:8616654:8634993:1 gene:ONIVA01G10830 transcript:ONIVA01G10830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCHHHQQHSNGQQLQRLEGIEEEGGAAEKWPPPTTVRPPETPTETMEFLARSWSLSAAEISKALRVLSGKGVSDDVHDAAAAVAGDRKERRSPVTMDGRRHEQASEENEAASMRADASSMAAAAQGGAMSPPISPRANLDVKLLRATATAAAAAGGRGGGGKTTMGTWIKEQKERKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSPPPDHPKNGGAAAPAPAPAHAAGAAGAKTAAAIASAAALVASHCVEMAQAIGASHDQILGAIQSAVNAQTSGDIMALTAGAATALRGAAMLRARLHKEIQAAALPGGGAGAGDISGREPERDTSPFAFVSRGGELLKRTRQGTITVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEEGSHRRGYFGIKTVERMIEFECRSKYEQHKWVQGITEMLNRRAGELQPLAAKAKAHRPNRRRRVAFPTNHSGELAFFSTDSHYVCFPFPLPPPIYLLLLPSSPSRQPAVDSPMSSFTHFISLPLGIHPQLVDKLNEFKRSILTSNEYKAAGFRIDESIFAIPESLHLTVLMLDLKGENIAKASSVLQSVSDKLMEALKNRPISIQLRGLACMKGSPDEAWIVYAPVLEVGEQGRLQQVCDIIIDAFTSSNLAPTSDEKRELKLHATVMNARFRKRYSKNTRNMNGANISFPRFIFVRDAGLMKVDTTTSALRFPCPGICKRSEPRNNHFAPPPPPPSLSRAASTPPMLACASLASRLARPLCAAGSAPRFLQGSVNQNSIHSLVMEGAQGASNNSAKHKKRKSAVQRWRPISTEAATPKADLNEMSGPVSKQVEENSASDGTTNVVIEVSTYNASLPENKLATEDTMEDASFNKDIDRSNLSEKCSSSVQVDAPLMRFVKGKGGTMQKQIEDETGVKIIFPSSKEETCVVLEAKTTEDIRKASEKIAKVLEEAVKSPILDYSHFISLPLAIHPSLVEKLNHFQCSILGTSSNVDSDKGEDLSEGSMDEIDHEQKQERSPSVSIKMQAHEESVRVKMDIKGSQPDFGIDKSIFIKPKTFHLTVLMLKLWNKDRIAKASDVLQSVSSQVMEALENRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQQVITDAFVKSGLVLERDARQELKLHATIMNVRHRKSKRWNQRNDSFDARNIFRKYGEHDWGEYLIPEIHLSQRFKFDERGYYYCCSSIPLPAAEMQTE >ONIVA01G10830.3 pep chromosome:AWHD00000000:1:8616654:8634993:1 gene:ONIVA01G10830 transcript:ONIVA01G10830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCHHHQQHSNGQQLQRLEGIEEEGGAAEKWPPPTTVRPPETPTETMEFLARSWSLSAAEISKALRVLSGKGVSDDVHDAAAAVAGDRKERRSPVTMDGRRHEQASEENEAASMRADASSMAAAAQGGAMSPPISPRANLDVKLLRATATAAAAAGGRGGGGKTTMGTWIKEQKERKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSPPPDHPKNGGAAAPAPAPAHAAGAAGAKTAAAIASAAALVASHCVEMAQAIGASHDQILGAIQSAVNAQTSGDIMALTAGAATALRGAAMLRARLHKEIQAAALPGGGAGAGDISGREPERDTSPFAFVSRGGELLKRTRQGTITVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEEGSHRRGYFGIKTVERMIEFECRSKYEQHKWVQGITEMLNRRAGELQPLAAKAKAHRPNRRRRVAFPTNHSGELAFFSTDSHYVCFPFPLPPPIYLLLLPSSPSRQPAVDSPMSSFTHFISLPLGIHPQLVDKLNEFKRSILTSNEYKAAGFRIDESIFAIPESLHLTVLMLDLKGENIAKASSVLQSVSDKLMEALKNRPISIQLRGLACMKGSPDEAWIVYAPVLEVGEQGRLQQVCDIIIDAFTSSNLAPTSDEKRELKLHATVMNARFRKRYSKNTRNMNGANISFPRFIFVRDAGLMKVDTTTSALRFPCPGICKRSEPRNNHFAPPPPPPSLSRAASTPPMLACASLASRLARPLCAAGSAPRFLQGSVNQNSIHSLVMEGAQGASNNSAKHKKRKSAVQRWRPISTEAATPKADLNEMSGPVSKQVEENSASDGTTNVVIEVSTYNASLPENKLATEDTMEDASFNKDIDRSNLSEKCSSSVQVDAPLMRFVKGKGYWSLEPVSDMHYPQLSSVEDNAGLSLSVTENQSYSLACSGTMQKQIEDETGVKIIFPSSKEETCVVLEAKTTEDIRKASEKIAKVLEEAVKSPILDYSHFISLPLAIHPSLVEKLNHFQCSILGTSSNVDSDKGEDLSEGSMDEIDHEQKQERSPSVSIKMQAHEESVRVKMDIKGSQPDFGIDKSIFIKPKTFHLTVLMLKLWNKDRIAKASDVLQSVSSQVMEALENRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQQVITDAFVKSGLVLERDARQELKLHATIMNVRHRKSKRWNQRNDSFDARNIFRKYGEHDWGEYLIPEIHLSQRFKFDERGYYYCCSSIPLPAAEMQTDGIAG >ONIVA01G10830.4 pep chromosome:AWHD00000000:1:8616654:8634993:1 gene:ONIVA01G10830 transcript:ONIVA01G10830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCHHHQQHSNGQQLQRLEGIEEEGGAAEKWPPPTTVRPPETPTETMEFLARSWSLSAAEISKALRVLSGKGVSDDVHDAAAAVAGDRKERRSPVTMDGRRHEQASEENEAASMRADASSMAAAAQGGAMSPPISPRANLDVKLLRATATAAAAAGGRGGGGKTTMGTWIKEQKERKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSPPPDHPKNGGAAAPAPAPAHAAGAAGAKTAAAIASAAALVASHCVEMAQAIGASHDQILGAIQSAVNAQTSGDIMALTAGAATALRGAAMLRARLHKEIQAAALPGGGAGAGDISGREPERDTSPFAFVSRGGELLKRTRQGTITVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEEGSHRRGYFGIKTVERMIEFECRSKYEQHKWVQGITEMLNRRAGELQPLAAKAKAHRPNRRRRVAFPTNHSGELAFFSTDSHYVCFPFPLPPPIYLLLLPSSPSRQPAVDSPMSSFTHFISLPLGIHPQLVDKLNEFKRSILTSNEYKAAGFRIDESIFAIPESLHLTVLMLDLKGENIAKASSVLQSVSDKLMEALKNRPISIQLRGLACMKGSPDEAWIVYAPVLEVGEQGRLQQVCDIIIDAFTSSNLAPTSDEKRELKLHATVMNARFRKRYSKNTRNMNGANISFPRFIFVRDAGLMKVDTTTSALRFPCPGICKRSEPRNNHFAPPPPPPSLSRAASTPPMLACASLASRLARPLCAAGSAPRFLQGSVNQNSIHSLVMEGAQGASNNSAKHKKRKSAVQRWRPISTEAATPKADLNEMSGPVSKQVEENSASDGTTNVVIEVSTYNASLPENKLATEDTMEDASFNKDIDRSNLSEKCSSSVQVDAPLMRFVKGKGGTMQKQIEDETGVKIIFPSSKEETCVVLEAKTTEDIRKASEKIAKVLEELWNKDRIAKASDVLQSVSSQVMEALENRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQQVITDAFVKSGLVLERDARQELKLHATIMNVRHRKSKRWNQRNDSFDARNIFRKYGEHDWGEYLIPEIHLSQRFKFDERGYYYCCSSIPLPAAEMQTDGIAG >ONIVA01G10830.5 pep chromosome:AWHD00000000:1:8616654:8634991:1 gene:ONIVA01G10830 transcript:ONIVA01G10830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCHHHQQHSNGQQLQRLEGIEEEGGAAEKWPPPTTVRPPETPTETMEFLARSWSLSAAEISKALRVLSGKGVSDDVHDAAAAVAGDRKERRSPVTMDGRRHEQASEENEAASMRADASSMAAAAQGGAMSPPISPRANLDVKLLRATATAAAAAGGRGGGGKTTMGTWIKEQKERKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSPPPDHPKNGGAAAPAPAPAHAAGAAGAKTAAAIASAAALVASHCVEMAQAIGASHDQILGAIQSAVNAQTSGDIMALTAGAATALRGAAMLRARLHKEIQAAALPGGGAGAGDISGREPERDTSPFAFVSRGGELLKRTRQGTITVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEEGSHRRGYFGIKTVERMIEFECRSKYEQHKWVQGITEMLNRRAGELQPLAAKAKAHRPNRRRRVAFPTNHSGELAFFSTDSHYVCFPFPLPPPIYLLLLPSSPSRQPAVDSPMSSFTHFISLPLGIHPQLVDKLNEFKRSILTSNEYKAAGFRIDESIFAIPESLHLTVLMLDLKGENIAKASSVLQSVSDKLMEALKNRPISIQLRGLACMKGSPDEAWIVYAPVLEVGEQGRLQQVCDIIIDAFTSSNLAPTSDEKRELKLHATVMNARFRKRYSKNTRNMNGANISFPRFIFVRDAGLMKVDTTTSALRFPCPGICKRSEPRNNHFAPPPPPPSLSRAASTPPMLACASLASRLARPLCAAGSAPRFLQGSVNQNSIHSLVMEGAQGASNNSAKHKKRKSAVQRWRPISTEAATPKADLNEMSGPVSKQVEENSASDGTTNVVIEVSTYNASLPENKLATEDTMEDASFNKDIDRSNLSEKCSSSVQVDAPLMRFVKGKGYWSLEPVSDMHYPQLSSVEDNAGLSLSVTENQSYSLACSGTMQKQIEDETGVKIIFPSSKEETCVVLEAKTTEDIRKASEKIAKVLEEAVKSPILDYSHFISLPLAIHPSLVEKLNHFQCSILGTSSNVDSDKGEDLSEGSMDEIDHEQKQERSPSVSIKMQAHEESVRVKMDIKGSQPDFGIDKSIFIKPKTFHLTVLMLKLWNKDRIAKASDVLQSVSSQVMEALENRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQQVITDAFVKSGLVLERDARQELKLHATIMNVRHRKSKRWNQRNDSFDARNIFRKYGEHDWGEYLIPEIHLSQRFKFDERGYYYCCSSIPLPAAEMQTE >ONIVA01G10830.6 pep chromosome:AWHD00000000:1:8626331:8634993:1 gene:ONIVA01G10830 transcript:ONIVA01G10830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHNPVWQLFAAAAAAVSEPRGLHTTHVGLRFPRKSARSPSMRRGFRAALPPGPIPLPPHGVLPHKKLPGSVNQNSIHSLVMEGAQGASNNSAKHKKRKSAVQRWRPISTEAATPKALGGTSESSYIPHDAADLNEMSGPVSKQVEENSASDGTTNVVIEVSTYNASLPENKLATEDTMEDASFNKDIDRSNLSEKCSSSVQVDAPLMRFVKGKGGTMQKQIEDETGVKIIFPSSKEETCVVLEAKTTEDIRKASEKIAKVLEEAVKSPILDYSHFISLPLAIHPSLVEKLNHFQCSILGTSSNVDSDKGEDLSEGSMDEIDHEQKQERSPSVSIKMQAHEESVRVKMDIKGSQPDFGIDKSIFIKPKTFHLTVLMLKLWNKDRIAKASDVLQSVSSQVMEALENRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQQVITDAFVKSGLVLERDARQELKLHATIMNVRHRKSKRWNQRNDSFDARNIFRKYGEHDWGEYLIPEIHLSQRFKFDERGYYYCCSSIPLPAAEMQTDGIAG >ONIVA01G10830.7 pep chromosome:AWHD00000000:1:8626331:8634993:1 gene:ONIVA01G10830 transcript:ONIVA01G10830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHNPVWQLFAAAAAAVSEPRGLHTTHVGLRFPRKSARSPSMRRGFRAALPPGPIPLPPHGVLPHKKLPGSVNQNSIHSLVMEGAQGASNNSAKHKKRKSAVQRWRPISTEAATPKADLNEMSGPVSKQVEENSASDGTTNVVIEVSTYNASLPENKLATEDTMEDASFNKDIDRSNLSEKCSSSVQVDAPLMRFVKGKGGTMQKQIEDETGVKIIFPSSKEETCVVLEAKTTEDIRKASEKIAKVLEEAVKSPILDYSHFISLPLAIHPSLVEKLNHFQCSILGTSSNVDSDKGEDLSEGSMDEIDHEQKQERSPSVSIKMQAHEESVRVKMDIKGSQPDFGIDKSIFIKPKTFHLTVLMLKLWNKDRIAKASDVLQSVSSQVMEALENRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQQVITDAFVKSGLVLERDARQELKLHATIMNVRHRKSKRWNQRNDSFDARNIFRKYGEHDWGEYLIPEIHLSQRFKFDERGYYYCCSSIPLPAAEMQTDGIAG >ONIVA01G10830.8 pep chromosome:AWHD00000000:1:8616654:8626556:1 gene:ONIVA01G10830 transcript:ONIVA01G10830.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCHHHQQHSNGQQLQRLEGIEEEGGAAEKWPPPTTVRPPETPTETMEFLARSWSLSAAEISKALRVLSGKGVSDDVHDAAAAVAGDRKERRSPVTMDGRRHEQASEENEAASMRADASSMAAAAQGGAMSPPISPRANLDVKLLRATATAAAAAGGRGGGGKTTMGTWIKEQKERKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSPPPDHPKNGGAAAPAPAPAHAAGAAGAKTAAAIASAAALVASHCVEMAQAIGASHDQILGAIQSAVNAQTSGDIMALTAGAATALRGAAMLRARLHKEIQAAALPGGGAGAGDISGREPERDTSPFAFVSRGGELLKRTRQGTITVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEEGSHRRGYFGIKTVERMIEFECRSKYEQHKWVQGITEMLNRRAGELQPLAAKAKAHRPNRRRRVAFPTNHSGELAFFSTDSHYVCFPFPLPPPIYLLLLPSSPSRQPAVDSPMSSFTHFISLPLGIHPQLVDKLNEFKRSILTSNEYKAAGFRIDESIFAIPESLHLTVLMLDLKGENIAKASSVLQSVSDKLMEALKNRPISIQLRGLACMKGSPDEAWIVYAPVLEVGEQGRLQQVCDIIIDAFTSSNLAPTSDEKRELKLHATVMNARFRKSCPDASFDARKIFEKYAEHEWGEYLIPEIHLCQRRRFDESGHYYFCSSLPLPGNMQAERASE >ONIVA01G10820.1 pep chromosome:AWHD00000000:1:8605775:8614035:1 gene:ONIVA01G10820 transcript:ONIVA01G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSGATITRATPGDLDKTAGVNGAFPHNEAREQTGPSHLAGSAAQLQVKRSTERGPHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGNSRQLGQLDALHQKQSFVSNTGSSSGRFPTFLHDSPAN >ONIVA01G10820.2 pep chromosome:AWHD00000000:1:8605775:8614035:1 gene:ONIVA01G10820 transcript:ONIVA01G10820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSGATITRATPGDLDKTAGVNGAFPHNEAREQTGPSHLAGSAAQLQVKRSTERGPHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGNSRQLGQLDALHQKQSFVSNTGSSSGRFPTFLHDSPAN >ONIVA01G10820.3 pep chromosome:AWHD00000000:1:8605775:8614035:1 gene:ONIVA01G10820 transcript:ONIVA01G10820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSGATITRATPGDLDKTAGVNGAFPHNEAREQTGPSHLAGSAAQLQVKRSTERGPHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGNSRQLGQLDALHQKQSFVSNTGSSSGRFPTFLHDSPAN >ONIVA01G10820.4 pep chromosome:AWHD00000000:1:8605775:8614035:1 gene:ONIVA01G10820 transcript:ONIVA01G10820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSGATITRATPGDLDKTAGVNGAFPHNEAREQTGPSHLAGSAAQLQVKRSTERGPHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGNSRQLGQLDALHQKQSFVSNTGSSSGRFPTFLHDSPAN >ONIVA01G10820.5 pep chromosome:AWHD00000000:1:8605775:8614035:1 gene:ONIVA01G10820 transcript:ONIVA01G10820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSGATITRATPGDLDKTAGVNGAFPHNEAREQTGPSHLAGSAAQLQVKRSTERGPHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGNSRQLGQLDALHQKQSFVSNTGSSSGRFPTFLHDSPAN >ONIVA01G10820.6 pep chromosome:AWHD00000000:1:8605775:8614035:1 gene:ONIVA01G10820 transcript:ONIVA01G10820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSGATITRATPGDLDKTAGVNGAFPHNEAREQTGPSHLAGSAAQLQVKRSTERGPHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGNSRQLGQLDALHQKQSFVSNTGSSSGRFPTFLHDSPAN >ONIVA01G10810.1 pep chromosome:AWHD00000000:1:8603529:8604399:1 gene:ONIVA01G10810 transcript:ONIVA01G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAAAAANQKQQKASIGRRAWRLLCLAVLWARKGSAVGHGARGDVTILPTLVINNVQYRDLFCYDYNGEPSECGGVEDESFRDGAMDEQLLELSMPTPASARRSAR >ONIVA01G10800.1 pep chromosome:AWHD00000000:1:8600353:8600934:1 gene:ONIVA01G10800 transcript:ONIVA01G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHAGGEMCMEGGGGGGGRARRAGGKKAAAAAEQQHKVAKQPQRGLGVAQLEKIRLHNQMVAALRSAGGGDAPYSPPPPQPQPFASPPFHLPPLQQDCYEAADHRRIAGGGGVQPYYEGMLPYGSGRLAAASPAFVAYEVKGDHHHGQYGSSEQQRQPQYYSWMSSSYDGYSGGRSSAGSSSEELDLELRL >ONIVA01G10790.1 pep chromosome:AWHD00000000:1:8590226:8595422:1 gene:ONIVA01G10790 transcript:ONIVA01G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FJ10] MSPPLELDYIGLSPPPPPPSSSSAAAARADDVDLKGTELRLGLPGSESPDRRPAAIAAAAATATTLELLPAKGAKRVFPDEAALTPPTAAAGKGKAAREGEEVGAEEEDKKVAAPPQPAAKAQVVGWPPIRSYRKNTMATNQIKSNKEDVDAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDMSLGLEKMFIGFSTGKEGAENQKDGEYVLTYEDKDSDWMLVGDVPWEMFTDSCRRLRIMKGSDAIGLAPRAGEKSKNRN >ONIVA01G10790.2 pep chromosome:AWHD00000000:1:8590226:8595422:1 gene:ONIVA01G10790 transcript:ONIVA01G10790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FJ10] MSPPLELDYIGLSPPPPPPSSSSAAAARADDVDLKGTELRLGLPGSESPDRRPAAIAAAAATATTLELLPAKGAKRVFPDEAALTPPTAAAGKGKAAREGEEVGAEEEDKKVAAPPQPAAKAQVVGWPPIRSYRKNTMATNQIKSNKEDVDAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDMSLGLEKMFIGFSTGKEGAENQKDGEYVLTYEDKDSDWMLVGDVPWEMFTDSCRRLRIMKGSDAIGLAPRAGEKDGGDYPS >ONIVA01G10790.3 pep chromosome:AWHD00000000:1:8590226:8595851:1 gene:ONIVA01G10790 transcript:ONIVA01G10790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FJ10] MSPPLELDYIGLSPPPPPPSSSSAAAARADDVDLKGTELRLGLPGSESPDRRPAAIAAAAATATTLELLPAKGAKRVFPDEAALTPPTAAAGKGKAAREGEEVGAEEEDKKVAAPPQPAAKAQVVGWPPIRSYRKNTMATNQIKSNKEDVDAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDMSLGLEKMFIGFSTGKEGAENQKDGEYVLTYEDKDSDWMLVGDVPWEMFTDSCRRLRIMKGSDAIGLAPRAGEKSKNRN >ONIVA01G10780.1 pep chromosome:AWHD00000000:1:8580392:8581636:1 gene:ONIVA01G10780 transcript:ONIVA01G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEKKHRQQSRSRSRSRRKDVTGKGSRCEVASKASEDGRVQKRHKQGYTRTTLPSFPLLYVLDRPTLQPDASLAAAFHPCLPACTRSSARSSELIARCCCACGFGGVGQVGEYERCHSVPGGGLELGDTNPPTRTLAMVGTSSGNGLSNGDDNYGSSIFSLKSPLAWTQPLPPLRTGSSTMRRCDGIDLPPGDPTTDGSTATIRVWRGSARGGGGARRGNDSLDDDELLGLGDGATADERR >ONIVA01G10770.1 pep chromosome:AWHD00000000:1:8557438:8565449:-1 gene:ONIVA01G10770 transcript:ONIVA01G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGGELKSSSLVQQMVWVGTGNSSSSSIMGSLRQLPCSEEQDAASSPASMLFLPQQLLLHASSNSSPCLNIPEVNLSTGLHPLGSFHGDVQQQEIISGMPDQSWRQLLLGGLVGDHEKYGVATALLSKGLDDEASMPHEASAAAYDFYGHGAGAGDEILQASPEASSCKSQLSQMLLQAAASSPRSCVTTSGLGSSMMEFSNTAAVAPAAEPELTRKHHAGQSDNSSECNSTETGSALKKARVQASSSAQSTLKVRKERLGDRITALHQITDTASVLQETIGYIRFLLGQIEALSYPYLGQCCSANPMQQQTGIMAGERSTDGLFSEFPAGQDAEKDGKKQQAKKDDDLRSRGLCLVPVSCMPHLAADNDVVVGSDFWAGGGGGGGGPPLAGMNLR >ONIVA01G10760.1 pep chromosome:AWHD00000000:1:8534518:8548797:1 gene:ONIVA01G10760 transcript:ONIVA01G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAWVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSSGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNSFSHFDVQDFSDHYYAKNSPGKTSKDWVKTIQNEWRLLQKDLPESIYVRVYEDRIDLLRAAIVGPAETPYHDGLFFFDVHFPSEYPQSHRWKTLDACAHPFFDELREPNAHLPWQMVVHSLLCSTSSMNHFSKDSVPEKYNYVNYLAMEKCVLLLLVLRKDCKEKHPNVKQVSCGAFGLLCCVNCYNVCSDLVDLVVTSASPCLMLFWHLTNALLTHVVY >ONIVA01G10760.2 pep chromosome:AWHD00000000:1:8534518:8554498:1 gene:ONIVA01G10760 transcript:ONIVA01G10760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAWVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSSGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNSFSHFDVQDFSDHYYAKNSPGKTSKDWVKTIQNEWRLLQKDLPESIYVRVYEDRIDLLRAAIVGPAETPYHDGLFFFDVHFPSEYPQSHRLTHVHIPSLMSYESPMHTCHGKWSHFSKDSVPEKYNYVNYLAMEKCVLLLLVLRKDCKEKHPNVKQVLHASILQISLPWSPRAPGRTRQGRTPMTSLGRSAVVAMASATLGKKDASKDLWN >ONIVA01G10760.3 pep chromosome:AWHD00000000:1:8534518:8548797:1 gene:ONIVA01G10760 transcript:ONIVA01G10760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAWVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSSGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNSFSHFDVQDFSDHYYAKNSPGKTSKDWVKTIQNEWRLLQKDLPESIYVRVYEDRIDLLRAAIVGPAETPYHDGLFFFDVHFPSEYPQSHRLTHVHIPSLMSYESPMHTCHGKWSSIPSSVQLQA >ONIVA01G10760.4 pep chromosome:AWHD00000000:1:8533017:8548797:1 gene:ONIVA01G10760 transcript:ONIVA01G10760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANNYIPLEIKGYTVPTKEKSPVSLSPPLLAPPPRRVAAEHGRGRRSPSGEQSRGEERILVRGCDLHPAIFSGARASVAAPHPTANVSTNNSLLDPFSSGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNSFSHFDVQDFSDHYYAKNSPGKTSKDWVKTIQNEWRLLQKDLPESIYVRVYEDRIDLLRAAIVGPAETPYHDGLFFFDVHFPSEYPQSHRLTHVHIPSLMSYESPMHTCHGKWSSIPSSVQLQA >ONIVA01G10760.5 pep chromosome:AWHD00000000:1:8534518:8554498:1 gene:ONIVA01G10760 transcript:ONIVA01G10760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAWVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSSGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNSFSHFDVQDFSDHYYAKNSPGKTSKDWVKTIQNEWRLLQKDLPESIYVRVYEDRIDLLRAAIVGPAETPYHDGLFFFDVHFPSEYPQSHRLTHVHIPSLMSYESPMHTCHGKWSHFSKDSVPEKYNYVNYLAMEKCVLLLLVLRKDCKEKHPNVKQDLWN >ONIVA01G10760.6 pep chromosome:AWHD00000000:1:8533017:8554498:1 gene:ONIVA01G10760 transcript:ONIVA01G10760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANNYIPLEIKGYTVPTRNLPSRCLLRCLYRREDESRLNLGGAAIFICGKHSRGWDRILLTCAFCVKWVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSSGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNSFSHFDVQDFSDHYYAKNSPGKLDACAHPFFDELREPNAHLPWQMVVHSLLCSTSSMNHFSKDSVPEKYNYVNYLAMEKCVLLLLVLRKDCKEKHPNVKQDLWN >ONIVA01G10760.7 pep chromosome:AWHD00000000:1:8534518:8542840:1 gene:ONIVA01G10760 transcript:ONIVA01G10760.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAWVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSSGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNSFSHFDVQDFSDHYYAKNSPGKLDACAHPFFDELREPNAHLPWQMVVHSLLCSTSSMK >ONIVA01G10760.8 pep chromosome:AWHD00000000:1:8541431:8554439:1 gene:ONIVA01G10760 transcript:ONIVA01G10760.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCVLLLLVLRKDCKEKHPNVKQLIPNRTSSSCIDSSNITALVASGSGANSSRKDADDFTWTVWSAFSATMQRWWLWLRRRWGRRTPQSFA >ONIVA01G10760.9 pep chromosome:AWHD00000000:1:8541431:8554439:1 gene:ONIVA01G10760 transcript:ONIVA01G10760.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCVLLLLVLRKDCKEKHPNVKQAIVESSSCIDSSNITALVASGSGANSSRKDADDFTWTVWSAFSATMQRWWLWLRRRWGRRTPQSFA >ONIVA01G10750.1 pep chromosome:AWHD00000000:1:8525435:8532416:-1 gene:ONIVA01G10750 transcript:ONIVA01G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLDDPVVSRIFVEARFHNGDIKLTILRPAPLLGHLPMHTRRHLSSSATSPPWTTPTLESHRGGLGELGLGGDGGQTKTQLEE >ONIVA01G10740.1 pep chromosome:AWHD00000000:1:8522564:8525393:-1 gene:ONIVA01G10740 transcript:ONIVA01G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWWPVLVAAAMMATAAEGFISAKTWSAVRRANDRGGPFVGLVVPNAYEMDPVLNSPSFTPTPTLPSYLDIQGRRFRFGAIGGQNVVMVMTGLSMLNAGLTTQLLLSLFRVKGIVHWGIAGNADEGLQIGDVTIPEHWAHLSLWNWQRYGDGPENELPLEAAGDYTRDLGFLNFSDYTAAGPSPNELNSIWFQPEEIFPVSGTPEQRQHAFWVPVSSRYFSLAEKLEGMELPACVNATTCLPRAPRVTRVRRGCSANVFLDNAAYRQFLRAKFGCTPVEMESAAVALVAHQHAVPFLTIRSLSDLAGGGSSLGNEAGEFLAIAAQNAVDVMLNFVPLLADGGAAHDAVAADM >ONIVA01G10730.1 pep chromosome:AWHD00000000:1:8514430:8521042:-1 gene:ONIVA01G10730 transcript:ONIVA01G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FIZ5] MAGPTPTYSSVVAHTAAFLAELIADPLLRRHLLSAAAAAGGVGRKQRQHPAATLQALSLVSDALDTAASAPPSLSSLRAAERLLLSLPVATPLSCLLLALASASRRRGGAAAAAAAAVLDLFALDPALARHELAPAAFEALFAPRLLPVMRHFAARRASTAAAAAEAASQRGEDGSVETAAMSAMRVLSLMSGAQAQEMRDLEREYEKVLDANCIAYALYLKKILETGDAAKETYSPPPPPPELVFGVGDDDQDGDVDDETTAETDEAVSSQNDVRYNPIWADADEAADLYPRQGSGKGRRELMRPPSLYPQRVPPHLIVQQQQSSPSPTGRGSPVARLRAGHSPATPSSDVSMEDSPSSSELLAGREEKHTASPLSRSAGRARPRGEEDDDDDVAAMLSPEHASSVMGGDGGGDVARRPVVATPKDFVCPITSQVFDDPVTLETGQTYERRAIQEWLDRGNATCPITRHRLHGAHLPSTNYVLKRLIAAWRDQNPAASSSAPTPPPPATTTMDSPAAAAPFKISSPSPDATVSQASAPSPTSVIAQASLDSAVGELRAAVSCLCTSEELADSERSVLRIERLWREAAGAEHVVLAALAKPAVVNGFVEILFNSVSAQVLQVAVFLLAELASRDDAVVQTLTRVDSDVDCLVALFKKGLVEAVSLIHLLSPSPEQLVEMDMADALVATIRRGGGDDDGGAVVKMCVKPKAASVILLSQILVEGGRDSSSPAVAKSALVSERFIRSVAASLEAEQVEERVAAVRILLRCVAEDGHCRSSIVENSALAAVLDAFHVVGDADKFDIVRLLSELLKLKRRSAADRLLRTIKEASSFSMMHTLLVYLQSTTPEQSPVVAGLLLQLDLLVEPRKISMYREEAVDSLIQCLKNSDYPRSQLLAAETIMNLSGKFSSSGRPLSRSSLLKLAREEEKAAAEWERKAAYALVSHEFGLVFEALSGCLAAAKNGELFTASLVSAAWLVRMLPLLPDTGVLGAARVCLLRQLVLVLRSGKHGSDRALAMVALRSFMNDREGMHEITTYIKDVLRTLRELKKSSGLAFEMLKLLSDGQESSIDMWNHKELNHADCSSNGEVTSIAYFKGCIFSGHSDGTLKVWEGSENILRLVHESQEHTKAITSLAVLHSEEKLFSGSLDRTIRVWQLRDALRCVEVHDAKDPVQSLAVAAAMACFAPQGSGVKVLSWNNGGGAKVLNASKVVRSMALVHGKLFCGCNDGGVQEIDLASGTIGVIQQGSKRIIGKASPIYSLHLHGDLLYTGSTSLDGASVKIWSSSNYSLVGTIPSSVEVRSLVVSSDLVYLGSRNGVVEIWSREKLTRIGALQAAGGGGGRVQCMAVDADGDVIVVGTSDGRIQAWGLT >ONIVA01G10720.1 pep chromosome:AWHD00000000:1:8510714:8516199:1 gene:ONIVA01G10720 transcript:ONIVA01G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEAPAAAAAALRLAAVARRWLENPRDSLARSREEGCGDAFNTVVMPGFRVSLAEPGRLVCSFRVPAAVADADGRWHAGAMAAAVDNLCAAVVYTADGVHRFTISQAMSFFSPAAHGEEVEMDGRVAHRKGKLTAAVVEVRRKASGELVAIGRQWMTSTRARPEKNGESRSKL >ONIVA01G10720.2 pep chromosome:AWHD00000000:1:8512282:8516199:1 gene:ONIVA01G10720 transcript:ONIVA01G10720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEAPAAAAAALRLAAVARRWLENPRDSLARSREEGCGDAFNTVVMPGFRVSLAEPGRLVCSFRVPAAVADADGRWHAGAMAAAVDNLCAAVVYTADGVHRFTISQAMSFFSPAAHGEEVEMDGRVAHRKGKLTAAVVEVRRKASGELVAIGRQWMTSTRARPEKNGESRSKL >ONIVA01G10710.1 pep chromosome:AWHD00000000:1:8499447:8504380:-1 gene:ONIVA01G10710 transcript:ONIVA01G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAATRFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGSVVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLISRASYENVQKKWMPELRRFAPGVPVVLVGTKLDLREDRAYLADHPASSIITMEQGEELRKLIGAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRHKDVTRKKLQSSSNRPTLLMKVRHNLDIMLSWRNLR >ONIVA01G10710.2 pep chromosome:AWHD00000000:1:8502221:8504380:-1 gene:ONIVA01G10710 transcript:ONIVA01G10710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAATRFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGSVVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLISRASYENVQKKWMPELRRFAPGVPVVLVGTKLDLREDRAYLADHPASSIITMEQGEELRKLIGAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRHKDVTRKKLQSSSNRPVRYL >ONIVA01G10700.1 pep chromosome:AWHD00000000:1:8494872:8504556:1 gene:ONIVA01G10700 transcript:ONIVA01G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAVDQEGARVVGTNCMLARGGTGAVAPVLELTATLRQDAAAEAGVDEPAQHQCEHFSIRGYVALLQKKDPKFCSLSRIFHDQKKCDEHKASSSPFSVAKFRRWDCSKCLDKLKTSDNGTAPRTLPAKQNGTSDGCSITFVRSTFVPASVGSQKVSPSTQSSQGKNADRSTLPKSVQEGNDSKCNAPSGKNGAAEANTDSPMKDLQGPAQNYDVAANVSEDNTSVDVGALPEVPQITWHIEVNGADQPPSTPKLSEVVLKRNEDENGKTEETLVAEQCNLTKDPNPMSGKERDQVAEQCNLTKDPKPVSGQKCEQICNEPCEEVVLKRSSKSKRKTDKKLMKKQQHSKKRTAQADVSDAKLCRRKPKKVRLLSEIINANQVEDSRSDEVHRENAADPCEDDRSTIPVPMEVSMDIPVINHTVGEDGLKSSKNKTKRKYSDVVDDGSSLMNWLNGKKKRTGSVHHTVAHPAGNLSNKKVTPTASTQHDDENDTENGLDTNMHKTDVCQHVSEISTQRCSSKGKTAGLSKGKTHSAASTKYGGESTRNGQNIHVLSAEDQCQMETENSVLSRSAKVSPAEHDIQIMSDLHEQSLPKKKKKQKLEVTREKQTMIDDIPMDIVELLAKNQHERQLMTETDCSDINRIQSKTTADDDCVIVAAKDGSDYASSVFDTNSQQKSLASQSTQKELQGHLALTTQESPHPQNFQSTQEQKTHLRMEEMVTIAASSPLFSHHDDQYIAEAPTEHWGRKDAKKLTWEQFKATTRNSPAATCGAQFRPGIQAVDLTSTHVMGSSSNYASRQPVIAPLDRYAERAVNQVHARNFPSTIATMETSKLCDRRNAGQVVLYPKESMPATHLLRMMDPSTLASFPNYGTSSRNQMESQLHNSQYAHNQYKGSTSTSYGSNLNGKIPLTFEDLSRHQLHDLHRPLRPHPRFGVLGSLLQKEIANWSENCGTQSGYKLGVSTGITSHQMNRKEHFEALNSGMFSAKWNALQLGSVSSSADFLSARNSIAQSWTRGKGKMVHPLDRFVRQDICITNKNPADFTTISNDNEYMDYR >ONIVA01G10690.1 pep chromosome:AWHD00000000:1:8465865:8474487:1 gene:ONIVA01G10690 transcript:ONIVA01G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMVDRATSDMLIGPDWAKNMEICDICNRDPGQSKDVVKALKKRIGHKNPKVQILALTLLETAIKNCGDIFHMHVAERDVLHEMVKIVKKKSDQNVKEKVLTMIDTWQEAFGGPRARYPQYYAAYHDLVRAGAAFPKRSDRPAPLFNGQSPAGRNMRSPDQQDEAESSAGNDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVLLVNATADEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVDTEDSMNQDSKKEQALVDIEDPTTQETNKEPSQSANVQSPFEQLALPAPPVSNGSATPAPKSDLGVDLLSWDDNPSTTENSLALVPVTDPVVDSTPSQNALAIVDIFSQNNTTNNIAKPADPFGVNSSSTLPGSQPYNAPTQHPLQAQQQPQQVGLYPNGGAVNPGTSYPTSSGWNGQIANNATPPAQQTVNYDEQSGALPPPPWEAQSAPSGDMSNGGMQSHPVSKGQFGGMPSLPTPSNQMGGMQPLHPQMNQMGGPQAHQMYNNQQPGAMQPSQPAVTQMQSGFANQFGSMPPHSMPGMQFPGMQPSPMPGAQPVMMYAQPMMMPGVQFAAMPQPRMYGPQMSQYRLVQQQAAQYYSNSQGRPTYYAGMNDLSQKMYGLSMQDSSYMGMNSSPYSTTPSSSSSMGQPIKPSKPEDKLFGDLLSIAKTKQNKA >ONIVA01G10680.1 pep chromosome:AWHD00000000:1:8462397:8465574:-1 gene:ONIVA01G10680 transcript:ONIVA01G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit L [Source:UniProtKB/TrEMBL;Acc:A0A0E0FIY8] MAAAAAEGGGGGGGAVYDPSYVPDSVKTFVAHMYRHVRDKNVYEIHQMYEGGFQRLSDRLFRDAPWPAAEAISPYCDGDHVFLLLYRELWYRHAYARASSSSSSAPLAAGQRAESWANYCDLFSVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKSDDELHQLKQFDKAWNVYGVLNYLQALVEKSMIAQILEREKEGLEQFTATDGYDYQGGSNVLKMLGYYSMIGLLRIHCLLGDYRTGLKCLAPIDLNQQGVYTIVIGSHISAIYHYGFANLMMRRYAEATREFSKILLYILKYKQYHQKSPQYDQILKKNEQMYALLAICLSLCPQHNLIDENVSTQLKEKYNDKMTKMQRFDDEAYAAYDELFSYACPKFITPSPPALDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGLRSYLKLYSTITIAKLAQYMEVDEATLRSILMTYKHKMHTVDNNGKIVSSADFDFYIKEDVIHVMESKPIKRHGDYFLRQILKFEEMIGELEKVQFD >ONIVA01G10670.1 pep chromosome:AWHD00000000:1:8453380:8461351:1 gene:ONIVA01G10670 transcript:ONIVA01G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSDNGKAPDKDGESSGPPLAPQEGEISNEPKRRRPLNGRTTGPTRRSTKGNWTPEEDAILSRAVQTYNGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWYNHLNPGINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNSIKNHWNSSVKKKVNSYMSSGLLTQVSCLPLNEYSANCNSSPAMTQQNSEDSGCFAVREVENSSGCSQSSLAKVSCSQVHDNTVPLGCDLQVNTNFDKNEAHDSQSSMGSEACYTSAEAVASALPAVHCHVSSSNLDPDQHLQEDFAQGLNLDMTIDEMPTVPSFADNQTVCSIENHERSLEPYDVAMEVPLSMLSSDSGAEQKLHFMSEADFNSPNCLKSELWQDISLQGLLSGPDAVEADSFSRSNHQSDVYSSEADTHFLAPPYMPQASNSSSVMGVADDQSPQMSVPPSLICSNVMTDDAPFDNRPGRKEMPLSQAEVVTQSSSSSGDAEMFANPGCSNDRHVPSSTMESIPECGDQQVTNAEEPEASLEKEPSLTQSVTAPDEQDKGALFYEPPRFPSLDVPFVSCDLVTSGDLQEFSPLGIRQLMHSTMNVCTPMRLWGSPTHDESTGVLLKSAAKSFICTPSILKKRHRDLLSPIPDKRIEKKYGTEKDRGVSDTSSTGIQTSSINATKDDALITTVLRIERSASSKSLEKKLVFSDENKENLGYTTEQTKDGQSAGNDEHMDEQTTGERSSATNVATNDDLSGNLQPAGILIEHSGDDPISPDYGKNTMNQKLNTNVKSLSVCKEGVCAKSKPTELIVEKSSPCINVDYEYVNILADTPGIKRGLESPSAWKSPWFVDMHFQGSYFTSPADSYDALGLMKQINVQTAAALVEAREVLASGGQCDNISSDKENTGNPDAKKEPGTTKLQTKIMAEGRVLDFECTTPVRSSDKNAGSSLGRYLSSPIPSSHLLKSFR >ONIVA01G10670.2 pep chromosome:AWHD00000000:1:8453354:8461351:1 gene:ONIVA01G10670 transcript:ONIVA01G10670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLDLRWLLKKEKSATNQKGDDLSMGGPPVRHGVPPKEIGPLKRAVQTYNGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWYNHLNPGINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNSIKNHWNSSVKKKVNSYMSSGLLTQVSCLPLNEYSANCNSSPAMTQQNSEDSGCFAVREVENSSGCSQSSLAKVSCSQVHDNTVPLGCDLQVNTNFDKNEAHDSQSSMGSEACYTSAEAVASALPAVHCHVSSSNLDPDQHLQEDFAQGLNLDMTIDEMPTVPSFADNQTVCSIENHERSLEPYDVAMEVPLSMLSSDSGAEQKLHFMSEADFNSPNCLKSELWQDISLQGLLSGPDAVEADSFSRSNHQSDVYSSEADTHFLAPPYMPQASNSSSVMGVADDQSPQMSVPPSLICSNVMTDDAPFDNRPGRKEMPLSQAEVVTQSSSSSGDAEMFANPGCSNDRHVPSSTMESIPECGDQQVTNAEEPEASLEKEPSLTQSVTAPDEQDKGALFYEPPRFPSLDVPFVSCDLVTSGDLQEFSPLGIRQLMHSTMNVCTPMRLWGSPTHDESTGVLLKSAAKSFICTPSILKKRHRDLLSPIPDKRIEKKYGTEKDRGVSDTSSTGIQTSSINATKDDALITTVLRIERSASSKSLEKKLVFSDENKENLGYTTEQTKDGQSAGNDEHMDEQTTGERSSATNVATNDDLSGNLQPAGILIEHSGDDPISPDYGKNTMNQKLNTNVKSLSVCKEGVCAKSKPTELIVEKSSPCINVDYEYVNILADTPGIKRGLESPSAWKSPWFVDMHFQGSYFTSPADSYDALGLMKQINVQTAAALVEAREVLASGGQCDNISSDKENTGNPDAKKEPGTTKLQTKIMAEGRVLDFECTTPVRSSDKNAGSSLGRYLSSPIPSSHLLKSFR >ONIVA01G10660.1 pep chromosome:AWHD00000000:1:8443823:8447872:-1 gene:ONIVA01G10660 transcript:ONIVA01G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDSFRSVVCRSLSKSLSSKTKESSYPEIAQCAVPCVVTLQPTVCRGCQGRDWSPSQSNREDMSMMLQKDYLMASSLSRRFAEDLLRGAMDLQDSLAMLEKFQTASRSMRVSNKKRRPEGCEKSPDTSGFHGALSEASNAKKMVGRSASSGLDGELTNSTDELKRVIKDSFYRKNILSVYPNDEQASMSQSLHYTPNKNSLSKPNEQKKVAPRSLPSCPPGQSEKSKSPSLVAKLMGLDGLPTHNSNTFKKDESMKTVSSPRALFDIEMPKVQQSDAHMSSPYSRKSIVSLYDSTVVNEIGSMKTIRREKGIEQPQTRAAKDIKVVSHTSRKQQIKETTEMGRRSSDKQRPHLTYRNREGRKDTKSKTGSASRSSANTVKRPDKKSMIASSSSSSTCRTRKPVARKAPSNSREKAVSSRSRKNSTIDDIVAYELHREFIQVDGPSTEHSATPSDESCQSVVDWDTEPSIDGIREDLSESYEASVTTSSAERTDSANGDPFHPSTHLVSKNEVEIKDEMSLLLLSDQPFLTRAAELIGIGELGHLINRYKGIWSQKCRTAPYFSLEALLTDIRDATRKLSIYTEDDDGCTTKDTLYMKLEKDLRCTDASINSVWDMGWEDWIFMEETQCFIRDVGESILSGLIEEAALDMWVH >ONIVA01G10660.2 pep chromosome:AWHD00000000:1:8443823:8447872:-1 gene:ONIVA01G10660 transcript:ONIVA01G10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDSFRSVVCRSLSKSLSSKTKESSYPEIAQCAVPCVVTLQPTVCRGCQGRDWSPSQSNREDMSMMLQKDYLMASSLSRRFAEDLLRGAMDLQDSLAMLEKFQTASRSMRVSNKKRRPEGCEKSPDTSGFHGALSEASNAKKMVGRSASSGLDGELTNSTDELKRVIKDSFYRKNILSVYPNDEQASMSQSLHYTPNKNSLSKPNEQKKVAPRSLPSCPPGQSEKSKSPSLVAKLMGLDGLPTHNSNTFKKDESMKTVSSPRALFDIEMPKVQQSDAHMSSPYSRKSIVSLYDSTVVNEIGSMKTIRREKGIEQPQTRAAKDIKVVSHTSRKQQIKETTEMGRRSSDKQRPHLTYRNREGRKDTKSKTGSASRSSANTVKRPDKKSMIASSSSSSTCRTRKPVARKAPSNSREKAVSSRSRKNSTIDDIVAYELHREFIQVDGPSTEHSATPSDESCQSVVDWDTEPSIDGIREDLSESYEASVTTSSAERTDSANGDPFHPSTHLVSKNEVEIKDEMSLLLLSDQPFLTRAAELIGIGELGHLINRYKGLRKAQMGNHELFVDTATEQLERKHRQLNSLCYTGIWSQKCRTAPYFSLEALLTDIRDATRKLSIYTEDDDGCTTKDTLYMKLEKDLRCTDASINSVWDMGWEDWIFMEETQCFIRDVGESILSGLIEEAALDMWVH >ONIVA01G10650.1 pep chromosome:AWHD00000000:1:8439103:8441853:-1 gene:ONIVA01G10650 transcript:ONIVA01G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGVLLVAPMNAYLEQELDRRCRLFRLWESPADRRDDYLRAHASSIRAVVPYAPQGVDAAMIDALPSLEIVSSFSVGIDRVDLDACRRRGVRVTNTPDVLTDDVADLAVGLAIAALRKIPQADRYVRAGKWKSKGDFTLTTRFSGKRVGILGLGRIGLAVAKRAEAFDCPISYHSRSEKPFPKYKFYPNVVDLAANCDVLVVACSLNPETRHIVNRKVIDALGPEGVLINIARGAHVDEPELISALLEKRLGGAGLDVFEDEPFAPEQLFELDNVVLVPHVGSDTEETCRAMADLVLQNLEAHALNQPLLTPFSGKRVGIIGLGRIGLAVAKRVEAFDCPVNYYQRTKQDHPGYTYYPSVVELAASSDVLVVACPLNEHTRHIVNREVMEALGPRGVLINIGRGPHVDEAAMVAALLDGRLGGAGLDVFEDEPNVPEALLGMDNVVLVPHVGSATHETRTAMADLVLGNLEAHVAGKPLLTQVV >ONIVA01G10640.1 pep chromosome:AWHD00000000:1:8434421:8436332:-1 gene:ONIVA01G10640 transcript:ONIVA01G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLATSSPSRSPKAAAAYYVQISPSRDSHDDGDKSPSTQATPVYNNSPLDSPSHHSSSFGRHSRVSSASRFSGNLRSASARSRPGGRRRLGAKGWRDVDAIIDEEEEGAYDEFDDDDGGSYEPSRCCVLAFRFSLLALAFTLVCLIVWGIARHYKPGVLVKSLTVGNFYAGEGIDRTGVPTKLVTMNCSLQINVHNPSTMFGIHVSSTSIQILFSQIAIANGQLEKFYQPRSSHHVASAIVHGEKIPLYGAGETFALSNAGGAVPLTLDLVVRARGYVIVLHVIALCDKFLYTNLEVGFKQYPLLVS >ONIVA01G10630.1 pep chromosome:AWHD00000000:1:8430926:8435163:1 gene:ONIVA01G10630 transcript:ONIVA01G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGVQLTLTSTVKTNGKIRINGEVLNGSHLKEKHEAGSNGALHPSNGQAKQPPSPPQKQKQKLLCTTCGKGHTCQAVIARTRQMRAMIDARRPHQAHSAFRHLVDDGHRPSLVTYTTLLAALTSQRAFDTIPWLLAEVEDAGLRPDSIFFNALINALVEARRMGEATNTFLRMGHSGCRPTASTFNTLIKGYGIAGRPEESQRVFDMMASGGAGGEAAVRPNLTTYNILVKAWCDAGRLEEAWRVVARMRASGADPDVVTYNTLASAYAKNDETWRAEELVVEMAQQAGLRTSERTWGIIVGGYCREGRLGEALRCVRQMKDSGVLPNVIVFNTLLKGFLDANDMAAVDDVLGLMEQFGIKPDIVTYSHQLNALSSMGHMAKCMKVFDKMIEAGIEPDPQVYSILAKGYVRAQQPEKAEELLRQMGRLGVRPNVVTFTTVISGWCSVADMGNAVRVYAAMRDAGVRPNLRTFETLIWGYSELKQPWKAEEVLQMMQDAGVRPKQTTYCLVADAWKAVGLVENANRALGSSSSSGDLLDADDDEEPYFPDNHGDDKLQSFERTNGHAKSDASRSMQVTRASMSLKTARSPSPSLLRRSCRLPVRSTWLCRKQLQIQCGVYGQSISSLKMVFLS >ONIVA01G10620.1 pep chromosome:AWHD00000000:1:8425115:8428075:-1 gene:ONIVA01G10620 transcript:ONIVA01G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT3G24570) TAIR;Acc:AT3G24570] MRRLWRWYQQCLATHPVRTQVVSSGILWGLGDIGAQAVTHYSAPGRPRHHQHHAKNPPEVANLLSVQPLGDLRCVDAASLGCCYSRSSSLAGSLEVEDNLAKDKDKEFKIDWKRVGITSSFGFAFVGPVGHYWYEYLDRFILRRYQPKTFKFVASKVAADGLLFGPVDLLLFFSYVGLASGRSVEQVKDDVKRDFIPALVLGGTIWPAVQIANFRFIPVRYQLLYVNLFCLLDSCFLSWIDQQGDAPWKQWFTSFQKIEGQKGKV >ONIVA01G10610.1 pep chromosome:AWHD00000000:1:8418882:8424571:1 gene:ONIVA01G10610 transcript:ONIVA01G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLHHEHYEIVHHCDQKPSNVLFDEETTVHVADFGIAKLLLGDDTSKITNHGKHAWNIWRHGKAAAKAAARQLLYNARASEQRAAWCGKPAIATHGEQLVHKDKQCHVSSLENDLRIDRLFVGEVTIRQWVNQAFSAKLVHVLDDKLQLDESSIEDLNHLLLKIFEVGLLCSSDSPDQRMSMADVVSCRQSLVGVVDRVGLIQPMKAVYQQSCTQILAINVTPELLIISLTVTALAAGASSSPSPSINSSSSGGGAAADLAALLAFKAQLADPLGVLAGSWTTNVSFCNWVGVSCSRRRRPERVTGLSLPDAPLGGELTAHLGNLSFLYTLDLTNTSLVGPVPADLGRLRRLRSLLLGDNLLSAAIPPAIANLTMLELLHLGNNNLSGEIPPDLLHGMRRLSRIALHMNQLTGDLPPLLFNGTPSLTFVNLGNNSLTGGVPHGVASSPSSLPMLEYLNLRGNRLAGAVPPAVYNMSRLRGLVLSHNNLTGWIPTTSNGSFHLPMLRTFSISSNGFAGRIPAGLAACRYLQTLSISSNSFVDVVPAWLAQLPYLTELFLGGNQLTGSIPPGLGNLTGVTSLDLSFCNLTGEIPSELGLMRSLSTLRLTYNQLTGPIPTSLGNLSQLSFLDLQMNQLTGAVPATLGNIPALNWLTLSLNNLEGNLGFLSSLSNCRQIWIITLDSNSFTGDLPDHTGNLSAQLSIFSASENKLTGGLPSSLSNLSSLEQLQLPGNQLTGPIPESITMMPNLVRLDVSSNDISGPIPTQIGMLSSLQRLDLQRNRLFGSIPDSIGNLSELEHIMLSHNQLNSTIPASFFNLGKLVRLNLSHNSFTGALPNDLSRLKQGDTIDLSSNSLLGSIPESFGQIRMLTYLNLSHNSFGDSIPYSFQELANLATLDLSSNNLSGTIPKFLANFTYLTALNLSFNRLEGQIPDGGVFSNITLQSLIGNAALCGAPRLGFSPCLQKSHSNSGHFLRFLLPVVTVAFGCMVICIFLMIRRKSKNKKEDSSHTPGDDMNHLIVTYHELARATDKFSDDNLLGSGSFGKVFKGQLSSGLVVAIKVLDMHLEEVAIRSFDAECRVLRMARHRNLIKVLNTCSNMEFRALVLQYMPNGSLDMLLHSQGTSSLGLLKRLDIMLDVSMAMEYLHHEHYEVVLHCDLKPSNVLFDEEMTAHVADFGIAKLLLEYGSLGKASRNSDVFSFGIMLLEVFTGKRPTDRLFVGEVTIRQWVNQAFPAKLVHVLDDKLQLDESSIQDLNHLLLPIFEVGLLCSSDSPDQRMSMADVVVTLKKIRKDYEEKN >ONIVA01G10600.1 pep chromosome:AWHD00000000:1:8415201:8416829:-1 gene:ONIVA01G10600 transcript:ONIVA01G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDHQRKGSNERRRRWRRLLRLIHGGWPSKSFGLHIYRRQFAARSSFLIRNDYGVWSQAHRRLIRMTRLGYWIVYDLDAKELRTWQKFVARLEEERRLKEESDQRQQLAAAADERHGAQMAAVDDGKLRASSRSSTQPPRRRRRRRAPPPPSPGLLGILLWILAAEIVLLFVYKFMLPSFRFF >ONIVA01G10590.1 pep chromosome:AWHD00000000:1:8410884:8412580:1 gene:ONIVA01G10590 transcript:ONIVA01G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDRGGSGGSSGRQGAGPEAAQGGGTAMSEEGGTAVGLRRILGYTIPAHTRVVINAWAIGRDPAAWGQQPDEFSPEKFLNGAIDYKGQDFELLPFGAGRRGCPGIVFGVSAMEIALASLLYHFDWEAAATDHRRRGSQAWALPVDMSEVNEIAVHLKYGLHVVAKPRMP >ONIVA01G10580.1 pep chromosome:AWHD00000000:1:8381876:8382553:-1 gene:ONIVA01G10580 transcript:ONIVA01G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAVHVQMPAVDTEACCDDEKNPQPAREIISDHVAVRPTPAVDKTLSGASDLLKLLPTGTVLAFQALAPSFSNHGVCHAVANRYLVLALIGACAASCMLLSFTDSLIGHDGKLYYGVATLRGFRPFNFAGTREEHGTVFKDLSRFRITALDFVHAFFSAVVFLAVAFADAAVQTCLFPEAEADMRELLVNLPLGAGFLSSMVFMIFPTTRKSIGYTDMTPHSQ >ONIVA01G10570.1 pep chromosome:AWHD00000000:1:8367024:8379566:1 gene:ONIVA01G10570 transcript:ONIVA01G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSILLLPFHHGLSNKSTTKDFMKIGSIVCFCAVHSHGFKMLFALSAVLRHTPSTPVGFTILKAGLNKSVLLSVYNSQNSRNGQADRSTHGLVSKFVKLYPDLGFDPEASLLMNVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRLLIWHSEKILSVTVFYACLSSISLSGLIYLLGLIMFSILPKVSRIPSKVYLVYTGLLATSEYLFQMLCEPAQMCPGQQFHGLSVFLGLKHYDAGFWGVEYCLRGKVLVIVACTIQYNVFHWLDLMPTSLLHEGKWEEPCQLFISGDTSSNARDNNKDSHSSNRFSSLFSKVQGLIGSSSSSSLSSGSTCQTSEPVQNETSGSDEGKRYSFSKIWGMSKESHKWDKRKIISLRRERFETQKTTFKCYMKFWMENLFKLREILSRNCGLFLLFSLLRSYYSSTLLFGRKECPGYTVSMTLKFIVANAGKIQGFSLHIAQNVGWLRSDRFSGFSDSDTYHQMMSQRKNALVWRDLSLETKSFWTFLDYIRLYAYCHLLDIVLALIAITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNKIFKYLRMYNFALIVLSLAYQSPYFGQFSSGKCDQIDYIYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSIQSYIFSSGEFDYVSRYLEAEQIGAMVHEQEKKALKKTEQLQHLRRSEEQKRERNMQVERMKSEMYNLQSQLNRMNSFTPINNASHSEGLRHRRNTKLYTDIDTPLQDSGIGSPRKEDKTGSTDSSQSFEFSVEDAQKSLTDLMFRTPCDTPRSPIRGTSEEFKVTDNARNSLGSTSEITEVEENEGKVNHNLLKLQYGRGAVKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEEPHSSDHPAEDDIYDMVESQRETHDGQLLRTHSVTSGNGTKSSANMPIGVIFRLLSMVYLGALFLYALCVNYGPSYLFWVIVLIYTELNILSQYIYQIVIQHCGLNIHIPLLQRLGFPDDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSARNNVEEKQRMPYNWRDRLKNIHLPVMNLIRMIGRGISRYWLSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQANSPSSCHSCSRVRIQSIERSKENSSMALAVLEVVYAAPLDCQSAGWYKSLTPAADVEKEIHESQKAGLFEDVNFPYPVVSVIGGGKREIDLYAYYFGADLAVFFLVAMFYQSVLKNKSEFLEVYQLEDQFPKEFVFILMILFFLIVVDRIIYLWSFATGKVIFYLFNLVLFTYSVTEYAWGMELVHRNVGGFVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYFGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTVCEKIPWKHMRAYDDVDPLDYLGGYNVEDIQLICCQPDASTMWLIPAPVQTRFIQSLEETEMIFGNMELILNWDFLRARPKGKELVKYESPVDRSPSVDDVKRVLNGTINSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGLNGPMAIVVSEETPRYLTSVKAFMQHEQRNINGLFEKLEIVAGPVGDIKSRVFVVKSSLNRDYVMKINLRWDQIEQTIEILKNKLNLGSKLIVFNALNQIISGSGC >ONIVA01G10560.1 pep chromosome:AWHD00000000:1:8354116:8359164:1 gene:ONIVA01G10560 transcript:ONIVA01G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTGGCAGRCLLPLVLLAASLLDWSLISLVNMIFFFAIRFVAPRRVLASLAQVTFHLIWCIEGEGWVVAHSWWAKLVGFASCIGVVDWSLTSNFLGLFRWWRLLEIYSVFIILLLYVYQLPVKFPYVVLAFADFIGLFKISSNSEWPEVSSGISLLFYYFMFYEYLVKVVAK >ONIVA01G10550.1 pep chromosome:AWHD00000000:1:8339978:8340253:1 gene:ONIVA01G10550 transcript:ONIVA01G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEAGHRIRGEEEVVGSAPPVAGDGGSAQQWGGETTGSRRGGVKPSQSGNASSKKLNPAAARGKRRHQQQQQRRLGAAGGGMVDLVGNNY >ONIVA01G10540.1 pep chromosome:AWHD00000000:1:8338140:8340137:-1 gene:ONIVA01G10540 transcript:ONIVA01G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFRIGTASLLLAWILSSLRPTVARIRRRRRREGRIQRPLPLHGSCGRLHSSFGSHRFSAPLSCGSVGGGDGRGGSGDLFPSRMVPVVSPPPSLSHGSAAGDDGRDGPGGLSYGDDGDGFQEVSMSTTRPSASSGHKSRFTKPKGFCSNGMAGASGYLGSYGGDGGVEFIDNLESTLIGACEKNLYNHVSGVR >ONIVA01G10530.1 pep chromosome:AWHD00000000:1:8317756:8320272:-1 gene:ONIVA01G10530 transcript:ONIVA01G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWGWAAAAAAAAAWVAVKVLEVLWWRPRRVEEHFARQGITGPRYRFLVGCVREMVALMVAASAKPMPPPYRSHNVLPRVLAFYHHWKKIYGNPPPPPLLLNSILSQKQQPRTRRWQVAVVGERFAPGRYDIDMMAALGSTFLIWFGPTPRLAIADPELIREVLLARADRFDRYESHPMVRQLEGEGLVSLRGDKWAHHRRVLTPAFHMDNLRLLHPCVGMTVLDMADKWRAMAEADKSGEVEIDVSDWFQVVTEDAITRTAFGRSYEDGKVVFKLQAQLMAFASEAFRKVFIPGYRFLPTKKNTSSWKLDKEIRKNLVTLIGRRQEAGDDEKLDGCAKDLLGLMINAAASSNGGKRSALPVSPITVNDIVEECKTFFFAGKQTTSNLLTWAIVVLAMHPEWQERARQEVLDVCGADGVPSREQLAKLKTLGMILNETLRLYPPAVATVRRAKADVELGGYLRIPRDTELLIPIMAVHHDARLWGPDAAQFNPARFAGGVARAARHPAAFIPFGLGARMCIGQNLAILEAKLTVAVILHRFEFRLSARYVHAPTVLMLLHPQYGAPIVFRPRSSSQPTCEKMNPLTSS >ONIVA01G10520.1 pep chromosome:AWHD00000000:1:8265799:8275752:-1 gene:ONIVA01G10520 transcript:ONIVA01G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGGARGHPSPSTGVASRLPLLIFHWAPHVQAEQGASHRMTLPWEVAIDKEDDDCGRNKSTRVLALEERGKGPVISGEDLG >ONIVA01G10510.1 pep chromosome:AWHD00000000:1:8262841:8263065:1 gene:ONIVA01G10510 transcript:ONIVA01G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSLVLAHLTLPSFGGKVKPQEDYHLNQSPLQACNAHLMAPSSSPPTPDCRIIAASGRPSGSPSLSPHPVAP >ONIVA01G10500.1 pep chromosome:AWHD00000000:1:8257755:8259048:-1 gene:ONIVA01G10500 transcript:ONIVA01G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVENYFSRWGDQGTVDLKHELEQVLMLTASRCLLGKELRESVPGKLCELFGELDNGLHLISGLLPYLPIPAHRRRDRARQRLGEIITEVIRLRRNSSRGAAGTDENNDDMLQCLINSRYKDGCAMTDAEIAGLVVPLMFAGKHTSSGVSIWTGVHLLSNPNHLAAVVAEQDRLMASCPGRTDDYHRLDYDTVQEMRSLHCCVKEALRLHPPVAAVRQAYKHFTVQTKEGKEYTIPGGHMVVSTILVNHYLPHIYKDPHVFDPQRFAPGREEEKVAGRFSFLSFSAGRHACAGESFSYTQIKVLWSYLLSNFEIKMVSPFLETEWSTVIPEPKGKVMVSYRRRTAPK >ONIVA01G10490.1 pep chromosome:AWHD00000000:1:8232926:8236727:-1 gene:ONIVA01G10490 transcript:ONIVA01G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGHGPPPAVTPAHHAALPSTHRAAPPLSTRRPRSSPGAHLRAATSPSPGVARRGPPHQSPPCHLWNTRARVADDLEMRGLLITNDSERHSQSYKISVGSPLCPGTMYRVSLDDIAS >ONIVA01G10480.1 pep chromosome:AWHD00000000:1:8221599:8228190:-1 gene:ONIVA01G10480 transcript:ONIVA01G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTTVALLLTTVVIWTALQKRKRGEACPAAVAPPPIVQGTALVRFLRAMARDGPLEVIREQLAKLGSVFMASAPGLATSMDHVTSSTIARGAMSWVAATVALLLTTAVILTALQKRKISSPAAAAPPVVRGAGLVRFARAMARDGPLEAIREQQAKLGSVFTAIAPFGLFKVTFLIGPEVSSHFYLAPESEMGQGSIYRFTVPLFGPEVGYAVDPDTRAEQMRLFWDVLKPRSIEARVGAMAEEVQNYFSRWGEQGTVDLKKELERVLMLIASRCLLGREVRESMVDEVYELFRDLDNGLHLISTMLPYLPTPAHRRRDRARQRLGEIFTEVIRSRRNSGTAGNGDDVLQRLIDGRYKDERALTDVEVVGLLVALVFAGKHSSSSVSTWTGINLLSHPNHLAAVIAEQDRLMASRARTDDDHDRVNYDTVQEMTTLHCCIKEALRLHPPAVAMFRQARKHFTVQTKEGKEYTILGGHTVLSTILVNHHMPNVYKDPHVFDPSRFARGRGEDKAAGPFSFLAFGAGRHSCAGESFAYTQIKVIWSHLLRNFELKMVSPFPETSWRMVTPEPKGTVMISYRRRNLTCK >ONIVA01G10470.1 pep chromosome:AWHD00000000:1:8210716:8214101:-1 gene:ONIVA01G10470 transcript:ONIVA01G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSTLFLPLVFVSRWAEPSAIMDRTTKNELRPSNANERRSEVDVYVRGASSPEYGLGVAVTPVIVNLTSQLLSFYAATTLKLNASIAANSAVVVVLELSFHLHQQALCYSSHCLTGDLSFPLHRIAVQASRAVIFFFASEKSSDLFASGIGMCTFVHVHINTIRDESKAWTGGRRKEHHAHEIDRHQCNLVGNNGSPCIRRVRGDGNGGSTGIWIVGSGGNGGTAEI >ONIVA01G10460.1 pep chromosome:AWHD00000000:1:8185657:8190510:-1 gene:ONIVA01G10460 transcript:ONIVA01G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGDTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGVGIVLLFCGMGNSLSGGAIAGIVIACIAIFIVAIWLIIMFYRWQKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLRGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ONIVA01G10460.2 pep chromosome:AWHD00000000:1:8185657:8190510:-1 gene:ONIVA01G10460 transcript:ONIVA01G10460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGDTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGVGIVLLFCGMGNSLSGGAIAGIVIACIAIFIVAIWLIIMFYRWQKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEVGSTFFSSWTTYQSAFTHKTIRRTQHFDKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLRGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ONIVA01G10460.3 pep chromosome:AWHD00000000:1:8185657:8190510:-1 gene:ONIVA01G10460 transcript:ONIVA01G10460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGDTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGVGIVLLFCELLCGMGNSLSGGAIAGIVIACIAIFIVAIWLIIMFYRWQKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLRGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ONIVA01G10460.4 pep chromosome:AWHD00000000:1:8185657:8190510:-1 gene:ONIVA01G10460 transcript:ONIVA01G10460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGDTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGWQKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLRGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ONIVA01G10460.5 pep chromosome:AWHD00000000:1:8185657:8190510:-1 gene:ONIVA01G10460 transcript:ONIVA01G10460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGDTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGWQKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEVGSTFFSSWTTYQSAFTHKTIRRTQHFDKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLRGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ONIVA01G10460.6 pep chromosome:AWHD00000000:1:8185657:8190510:-1 gene:ONIVA01G10460 transcript:ONIVA01G10460.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGDTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKGGMGNSLSGGAIAGIVIACIAIFIVAIWLIIMFYRWQKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKIADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLRGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ONIVA01G10460.7 pep chromosome:AWHD00000000:1:8185657:8190510:-1 gene:ONIVA01G10460 transcript:ONIVA01G10460.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGDTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRVNVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGVGIVLLFCELLCIYAKFEEALSAPNPTEALDELIDPSLRGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >ONIVA01G10450.1 pep chromosome:AWHD00000000:1:8182952:8183545:-1 gene:ONIVA01G10450 transcript:ONIVA01G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKAPELLKKAATIVRSKASTVRARLLVVASLRRRMAMIGAMSHRIHALMVEKEKARVDYYIKNKNKNKNQHALRKVVVHDEMVITDHDRHLSELAMFDQEDHHGYSTDHWTHSLFNDDDACYSDDQDDCGDDDDDDVHGVLFGAFDDGDDEPSVIDVIRSNREDEGLEFNIDDEIDQAADMFIRRIRNRMNRSV >ONIVA01G10440.1 pep chromosome:AWHD00000000:1:8176502:8177062:-1 gene:ONIVA01G10440 transcript:ONIVA01G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKAPELLKKAATIVRSKACTVRARLLIVASLRRRMAMIGAISHRIHALIVEKEKARVDYYHKNKNHDGNKALVMRKVVVHDEMVIADDHDRHLSELAMFDQEDHHGYSTDHWTHSLFNDDDTCYSDDQDDCGDDDGDDDEPSVIDIIRSNREDEGLEFNIDDEIDQAADMFIRRIRSRMSRSV >ONIVA01G10430.1 pep chromosome:AWHD00000000:1:8170099:8173379:-1 gene:ONIVA01G10430 transcript:ONIVA01G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAEPMDLEVSPDSSPAAAAAAVCSICLDAVACGDGVAARSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRNIEKGHWLYGNESQPCSHSDTGDWLNGETFDYPFSFEFGWCPFNTPLTSVFGESESEPNPCFPVYEKWTVLEYIGSLHGFHHPMYAPSSSTASTESIPFHQRPTGTEGHATTDLRNTQVFNESEPRNHEREQQYLGSVQMPGTLNHSTAPFGIGMPRYDGGNQQRLRPHMHDNSLFHRPTARRASNLAHLRSLTAASETRGHGHGMTSHAVQQTIPSSMASYPQPPATRRVRPRALSITSFIAASSSAEIRAPHDFPLTETASTTNGNIRNGVGAPRHANQSYSWSSETFWPQTGEPHWWSPMAPVHNRSYDNFSGRSATELLSIYGAQNGLPTPRFL >ONIVA01G10420.1 pep chromosome:AWHD00000000:1:8163162:8169431:1 gene:ONIVA01G10420 transcript:ONIVA01G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARVVFICCVVLLAAAAAAASSSTAAGITRADFPPEFIFGAGSSAYQRELNHQANLITADACTVEGAFAEDGRKPSIWDTFSHSGYSVDGATGDEDVKLLQDMGVDAYRMSISWSRLIPDGRGAVNPKGLEYYNNLIDELLSHGIQPHVTIYHFDFPQALQDEYNGILSPRFVEDFTAYADVCFKNFGDRVKHWSTVNEPNIEPIGGYDQGILPPRRCSFPFGVLSCDNGNSTTEPYIVAHHLLLAHSSAVSLYREKYQATQGGQIGLTLLGWWYEPGTQDPEDVAAAARMNDFHIGWYMHPLVYGDYPPVMRKNVGSRLPSFTAEESKRVLGSYDFVGFNHYVAIFVRADLSKLDQSLRDYMGDAAVKYDLPFLKSNNEFPLGLRSDFMTSTPWALKKMLNHLQEKYKNPIVMIHENGGNTYDDDFRSQYLQDYIEATLQSIRNGSNVQGYFVWSFLDVFEYLFGYRLRFGLYGVDFASPERTRYQRHSALWYAGFLRGGELRPAAAALAGGGAYSQ >ONIVA01G10420.2 pep chromosome:AWHD00000000:1:8163162:8169431:1 gene:ONIVA01G10420 transcript:ONIVA01G10420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARVVFICCVVLLAAAAAAASSSTAAGITRADFPPEFIFGAGSSAYQVEGAFAEDGRKPSIWDTFSHSGYSVDGATGDEDVKLLQDMGVDAYRMSISWSRLIPDGRGAVNPKGLEYYNNLIDELLSHGIQPHVTIYHFDFPQALQDEYNGILSPRFVEDFTAYADVCFKNFGDRVKHWSTVNEPNIEPIGGYDQGILPPRRCSFPFGVLSCDNGNSTTEPYIVAHHLLLAHSSAVSLYREKYQATQGGQIGLTLLGWWYEPGTQDPEDVAAAARMNDFHIGWYMHPLVYGDYPPVMRKNVGSRLPSFTAEESKRVLGSYDFVGFNHYVAIFVRADLSKLDQSLRDYMGDAAVKYDLPFLKSNNEFPLGLRSDFMTSTPWALKKMLNHLQEKYKNPIVMIHENGGNTYDDDFRSQYLQDYIEATLQSIRNGSNVQGYFVWSFLDVFEYLFGYRLRFGLYGVDFASPERTRYQRHSALWYAGFLRGGELRPAAAALAGGGAYSQ >ONIVA01G10410.1 pep chromosome:AWHD00000000:1:8146222:8150315:1 gene:ONIVA01G10410 transcript:ONIVA01G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARALVPSPFIVVVFLLLAAAARDASALTRHDFPEGFVFGAGTSAFQVEGAAAEDGRKPSIWDTFTHQGYSPGGAIADVSADQYHLYKEDVKLMYDMGLDAYRFSIAWPRLIPGRHNHINKGASIGLDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYTAYAEVCFKNFGDRVKHWVTVNEPNIEPIGGYDAGVQPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRRKYQAIQGGQIGITLLGWWYEPYTDAVADAAAAIRMNEFHIGWFMNPLVHGDYPPVMRSRVGARLPSITASDSEKIRGSFDFIGINHYFVIFVQSSDANHDQKLRDYYVDAGVQENGGGGFDKEHYQLHPWALGKMLHHLKLKYGNPPVMIHENGDADSPETPGKIDYDDDFRSDFLQSYLEVLHLSIRNGSNTRGYFVWSLLDGFEFLSGYGNRFGLCGVDFTAPARTRYVRSSARWYSDFLNGGELRPVKPFESGRY >ONIVA01G10410.2 pep chromosome:AWHD00000000:1:8146222:8150315:1 gene:ONIVA01G10410 transcript:ONIVA01G10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARALVPSPFIVVVFLLLAAAARDASALTRHDFPEGFVFGAGTSAFQVEGAAAEDGRKPSIWDTFTHQGYSPGGAIADVSADQYHLYKEDVKLMYDMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYTAYAEVCFKNFGDRVKHWVTVNEPNIEPIGGYDAGVQPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRRKYQAIQGGQIGITLLGWWYEPYTDAVADAAAAIRMNEFHIGWFMNPLVHGDYPPVMRSRVGARLPSITASDSEKIRGSFDFIGINHYFVIFVQSSDANHDQKLRDYYVDAGVQENGGGGFDKEHYQLHPWALGKMLHHLKLKYGNPPVMIHENGDADSPETPGKIDYDDDFRSDFLQSYLEVLHLSIRNGSNTRGYFVWSLLDGFEFLSGYGNRFGLCGVDFTAPARTRYVRSSARWYSDFLNGGELRPVKPFESGRY >ONIVA01G10410.3 pep chromosome:AWHD00000000:1:8135430:8150315:1 gene:ONIVA01G10410 transcript:ONIVA01G10410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELALVSSLFIVVVFLLLGAVAREASALTRHDFPEGFVFGAGSSAFQVEGAAAEDGRKPSIWDTFIHQGYMPDGSNADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYSAYAEVCFKSFGDRVKHWATFNQPNIEPIGGFDAGDRPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQAIQGGQIGITLMVRWHEPYTDKTADAAAAIRMNEFHIGWFMNPLVHGDYPPVMRSRVGARLPSITASDSEKIRGSFDFIGINHYFVIFVQSSDANHDQKLRDYYVDAGVQENGGGGFDKEHYQLHPWALGKMLHHLKLKYGNPPVMIHENGDADSPETPGKIDYDDDFRSDFLQSYLEVLHLSIRNGSNTRGYFVWSLLDGFEFLSGYGNRFGLCGVDFTAPARTRYVRSSARWYSDFLNGGELRPVKPFESGRY >ONIVA01G10410.4 pep chromosome:AWHD00000000:1:8135430:8150315:1 gene:ONIVA01G10410 transcript:ONIVA01G10410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELALVSSLFIVVVFLLLGAVAREASALTRHDFPEGFVFGAGSSAFQVEGAAAEDGRKPSIWDTFIHQGYMPDGSNADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYSAYAEVCFKSFGDRVKHWATFNQPNIEPIGGFDAGDRPPRRCSYPFGTNCTGGDSSTEPYIAIQGGQIGITLLGWWYEPYTDAVADAAAAIRMNEFHIGWFMNPLVHGDYPPVMRSRVGARLPSITASDSEKIRGSFDFIGINHYFVIFVQSSDANHDQKLRDYYVDAGVQENGGGGFDKEHYQLHPWALGKMLHHLKLKYGNPPVMIHENGDADSPETPGKIDYDDDFRSDFLQSYLEVLHLSIRNGSNTRGYFVWSLLDGFEFLSGYGNRFGLCGVDFTAPARTRYVRSSARWYSDFLNGGELRPVKPFESGRY >ONIVA01G10410.5 pep chromosome:AWHD00000000:1:8135430:8142051:1 gene:ONIVA01G10410 transcript:ONIVA01G10410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELALVSSLFIVVVFLLLGAVAREASALTRHDFPEGFVFGAGSSAFQVEGAAAEDGRKPSIWDTFIHQGYMPDGSNADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYSAYAEVCFKSFGDRVKHWATFNQPNIEPIGGFDAGDRPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQAIQGGQIGITLMVRWHEPYTDKTADAAAAIRMNEFHIGWFLHPLVHGDYPPVMRSRVGVRLPSITASDSEKIRGSFDFIGINHYYVIFVQSIDANEQKLRDYYIDAGVQDSPDIFGKINYNDDFRSEFLKGYLEALNGSNTRGYFVWSMFDMFEFLYGYRLRFGLCGVDFTAAARTRYLKNSARWYSGFLRGGELRPEKSYATL >ONIVA01G10400.1 pep chromosome:AWHD00000000:1:8131323:8134062:-1 gene:ONIVA01G10400 transcript:ONIVA01G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKNERGVICKQTHTPSNTNGLNFAVPLAAPPLLASSPTACLRRSISIGAPSSCGFRPGKMSNRSKFWRLAALVPEVQCPDQPISPRQKFKYTAIVLFIFVIASQVLLYGIQHQPRTIEPDPLHWLHLILASSRIPEVLVKIWVHLKIITLDTSAPETGVLMNRAQRLLGILVAILGAVNFYVGSQHFTVNTAFSPMSVMYPEQSPEFEGAVIAWVHLLMTRTDKLSAMSKAFYRQNLPNIINFLATCLFVPLAIFFQGFYIVLPVRTRRNFQAYCHIKLSHFLYGPVVLHRLLLPLPYVASKVLYKKYSGNTLVNLLGKWDGLNHFGQSIPVGGIVYYLTTPPLLADLHRDPFHAFIYVAFVLISCVFISMGLMVCASSKGVFNGFVVLNMQEERRLRLAQPDSIHANEIRRHVMRAACVGGFCAGVLIIFADLIGVFCSGTGIMLAVTASYPYVDGRASEVGSFGF >ONIVA01G10390.1 pep chromosome:AWHD00000000:1:8129877:8130226:1 gene:ONIVA01G10390 transcript:ONIVA01G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDIAARDAAGFVEVDHGAGAGSGILRWAVGSVFAVFWVALIIGLVGGEKDPVPAVRAVTRSPHDITHLGLYLAGALRT >ONIVA01G10380.1 pep chromosome:AWHD00000000:1:8125685:8128432:-1 gene:ONIVA01G10380 transcript:ONIVA01G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAVVEERRRLIFGSSGAGLGWREPCCCCCWAPGPFSPLPPQPPPPPPCAAAFAAAAAAVFPLRLPPPSCHAAPPPPRDPRRRRRRRRCRWSWRGGRREDFGCRGQQLTERCKVEVAERERKEKGEERLEWAPRV >ONIVA01G10370.1 pep chromosome:AWHD00000000:1:8106478:8107962:-1 gene:ONIVA01G10370 transcript:ONIVA01G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNPNPHRFLHQGHIVHLGGNLRLPRVDLTIPQRHVRRHEEFCVALVEPPQQAAEAEIEDAWGQDYPMGQVMEGEKGSGPSPRPEVQKFLAYLDKLTRSEYPRHPYFYPMSGLNEKIDLLCKEKGTMHQFLASSFVPAAIDVVNPFTTLVLPKKTMFDFAPLVGQETSVWAPDSTKSDVGPLEAQTQAAMEVLDVMPLSIQPPSSPVCEAPTLPLLPKAPVKKRDGKTLLYNPYRRQSARLQQNKEEA >ONIVA01G10360.1 pep chromosome:AWHD00000000:1:8106213:8106459:-1 gene:ONIVA01G10360 transcript:ONIVA01G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGKPRGIAKIFDDSSIKDSDFTESAYDDIHFDSSPSDCSISLLQKMGVDMCGLTPEEVVESSLGR >ONIVA01G10340.1 pep chromosome:AWHD00000000:1:8060424:8060659:1 gene:ONIVA01G10340 transcript:ONIVA01G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMALWRATSLLGVRRLSRDYIEAYPVRRGEFRRRCSAPAAYGVSGGASPTMEPVKR >ONIVA01G10330.1 pep chromosome:AWHD00000000:1:8040871:8045779:1 gene:ONIVA01G10330 transcript:ONIVA01G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYLGTFIGVLMVLTLQRVKIGPWGGTGGHAWDEGGHGASAGGYTGVRRMSIGSSWCVSSMLFEYDDNGKRVKGTLQGERDNGIPEEELDFHGEVLTHMCGYHDNHLIRWLQFRSNRNRTFGPYGNLGEDKAGWTRFEVSMEHSGSIVGFCGRSGNFTDAIGVYVAVWNPERFYDSMRRQGVRVYRASPLRMDLRQIEEEKKKEEVERGRLQKEIKEGRERLLKLRLKLGVDVLQQYQEIVQQLERGREGKRQTIEELQVEHEQLERERGRLRKELDLKRLRESRLEVELNHMQTWWSKITHL >ONIVA01G10320.1 pep chromosome:AWHD00000000:1:8005842:8016847:1 gene:ONIVA01G10320 transcript:ONIVA01G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMRARDVAFASRPRVAMAERLLYGGRDVAFAPYGEYWRQARRICVVHLLSARRVLSFRRVREEEAAALVARVRAAAGGAVDLVEHLTAYSNTVVSRAVFGDESARGLYGDVDRGRALRKLFDDFVELLGQEPMGELLPWLGWVDAVRGLDGKMDSDDDGGGGGDHRDFVDVLLDVNETDKDAGIRLGTIEIKAIILDMFAAGTDTTTTAMEWAMAELITHRNAMHKVQDEIRAVVGVTGCVTEDHIDRLPYLKAVLKETLRLHPPNPLLVPHVPLADTEILGYTVPTHTRVLINAWTIGRDPATWGEHAEKFIPERFLNNNVDYKGQDFGLVPFGAGRRGCPGMGFAVPTIEMALASLLYNFSWETRPVDRRCKSGTSSLDMSEVNGISVHLKYGLPLMAKSRIITAPTCENHSLNDGLRTACIFLRAGGGDVSQPMTMRLQ >ONIVA01G10320.2 pep chromosome:AWHD00000000:1:8004569:8016847:1 gene:ONIVA01G10320 transcript:ONIVA01G10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLPAVLVLLAIVAPLLYLVLLPAVKYTTSNGAARWEDDDGGDGRRRRRLPPSPRGLPLLGHLHLLGALPHRALRSLAAAHGPVLLLRLGRVPAVVVSSAAAAEEVMRARDVAFASRPRVAMAERLLYGGRDVAFAPYGEYWRQARRICVVHLLSARRVLSFRRVREEEAAALVARVRAAAGGAVDLVEHLTAYSNTVVSRAVFGDESARGLYGDVDRGRALRKLFDDFVELLGQEPMGELLPWLGWVDAVRGLDGKMDSDDDGGGGGDHRDFVDVLLDVNETDKDAGIRLGTIEIKAIILDMFAAGTDTTTTAMEWAMAELITHRNAMHKVQDEIRAVVGVTGCVTEDHIDRLPYLKAVLKETLRLHPPNPLLVPHVPLADTEILGYTVPTHTRVLINAWTIGRDPATWGEHAEKFIPERFLNNNVDYKGQDFGLVPFGAGRRGCPGMGFAVPTIEMALASLLYNFSWETRPVDRRCKSGTSSLDMSEVNGISVHLKYGLPLMAKSRIITAPTCENHSLNDGLRTACIFLRAGGGDVSQPMTMRLQ >ONIVA01G10320.3 pep chromosome:AWHD00000000:1:8004569:8006395:1 gene:ONIVA01G10320 transcript:ONIVA01G10320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLPAVLVLLAIVAPLLYLVLLPAVKYTTSNGAARWEDDDGGDGRRRRRLPPSPRGLPLLGHLHLLGALPHRALRSLAAAHGPVLLLRLGRVPAVVVSSAAAAEEVMRSRDLQAVVGVTGCVTEDHIDRLPYLKAVLKETLRLHPPNPLLVPHVPLADTEILGYTVPTHTRVLINAWTIGRDPATWGEHAEKFIPERFLNNNVDYKGQDFGLVPFGAGRRGCPGMGFAVPTIEMALASLLYNFSWETRPVDRRCKSGTSSLDMSEMNGISVRLKYGLPLIAKSHFP >ONIVA01G10310.1 pep chromosome:AWHD00000000:1:7992240:7992818:1 gene:ONIVA01G10310 transcript:ONIVA01G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELITHPDAMRNAQDEIKAVVGITSHITEDHLDRLPYLKTVLKETLRLHPPLPLLVPHEPSSDTKILGYSIPARTRIVINAWTIGRDQATWGENAEEFIPERFLESGLDYIGQDFVLVPFGAGRRGCPGVGFAVQAMEMALASLLYNFDWETRVVDRRSEFGTSSLDMSEMNGLSVRLKYGLPLIAISRFP >ONIVA01G10300.1 pep chromosome:AWHD00000000:1:7989093:7990094:1 gene:ONIVA01G10300 transcript:ONIVA01G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLVVVVVVVVIAIVVPLMYLVLLPAWKPARRDDGDGGMRRRLPPSPPWGLPLLGHLHLLGALPHRALRSLAAAHGPVLLLRLGRVPVVVVSSAAAAEEVMRTRDLEFASRPRVAMAERLLYGGRDVAFAPYGEYWRQARRICVVHLLSARRVLSFRRVREEEAAALVARVRAAAGGAVDLVEHLTAYSNTVVSRAVFGDESARGLYGDVDRGRALRKLFDDFVELLGQEPMGELLPWLGWVDALNGMEVKVQRTFEALDGILEKVIDDHRRRRREVGRQMDDGGGGDHRDFVDVLLDVNETDMDAGVQLGTIEIKAIILVRMNRAYMLLY >ONIVA01G10290.1 pep chromosome:AWHD00000000:1:7983326:7983973:1 gene:ONIVA01G10290 transcript:ONIVA01G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELINHPRVMRKLQDEIRAVVGTSSASAAAAAAATGGGQVTEDHLAELPFLRAVIKEMLRLHAPGPLLLPRETVEDTELLGYRIPARTRVIINVWAIGRDAAAWGDSAEEFVPERWLDGGGGGGVEYAQQLGKDSRFVPFGAGRRGCPGAGFAAPSVELALANLLYHFDWELPPPAASGIMATTRLDMDELFGLSVRLKADLNLVAKPWSPRAS >ONIVA01G10280.1 pep chromosome:AWHD00000000:1:7980343:7982038:1 gene:ONIVA01G10280 transcript:ONIVA01G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHCHWTQADRAEYTRSDMELSSLAALLHSPLLLAVLLLVFSWLIVSSTKKRPPPPCGDGGRRLPLPPSPPGVPLLGHLPLLGTLPHRKLRSMAEAHGPVMLLRLGRVPAVVASSAAAAEEVMRTRDLAFASRPRVRMSERLFYGRDMAFAPYGEFWRQARRVTVLHLLSPRRVLSFRGVREQEVAALLDRVRRRCGGGGETVNLSDLLMSYAHGVISRAAFGHGGAHGFDGDEGGEKLRKLFADFEGLLGTMTVGEFVPWLAWVDKLTGLDAKVARTSAAMDGLLERVIADHRERRRSRGQAVGDGEADADHRDFVDVMLDVSEAEEGAGAGAGGVLFDTVAIKAVILSSKNKY >ONIVA01G10270.1 pep chromosome:AWHD00000000:1:7966560:7973910:1 gene:ONIVA01G10270 transcript:ONIVA01G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSLAAVLHSPLFLLAALLLLPVFTLLSFSSAKKPGDGGGRRLPLPPSPRGVPFLGHLPLLGSLPHRKLRSMAEAHGPVMLLWFGRVPTVVASSAAAAQEAMRARDAAFASRARVSMAERLIYGRDMVFAPYGEFWRQARRVSVLHLLSPRRIASFRGVREQEVAALLDRVRRRCGVRGGGETVNLSDMLMSYANGVISRAAFGDGAYGLDGDEGGGKLRELFANFEALLGTATVGEFVPWLAWVDKLMGLDAKAARISAELDGLLERVIADHRERRRLSQPDGGDGDGDGDENVDHRDFVDVLLDVSEVEEGAGAGEVLLFDAVAIKAIILDMIAAATDTTFTTLEWAMAELINHPPVMRKLQCEIRAAVGVPGASGGAEVTEDHLGELRLLRAVVKETLRLHAPVPLLVPRETVEDTELLGYRVPARTRVIINVWAIGRDAAAWGDRAEEFVPERWLDGGGGGEAVEYAAQLGQDFRFVPFGAGRRGCPGAGFAAPSIELALTNLLYHFDWELPPHADGAAAATAARLDMGELFGLSMRMKTTLNLVAKPWSSDV >ONIVA01G10270.2 pep chromosome:AWHD00000000:1:7966560:7973910:1 gene:ONIVA01G10270 transcript:ONIVA01G10270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSLAAVLHSPLFLLAALLLLPVFTLLSFSSAKKPGDGGGRRLPLPPSPRGVPFLGHLPLLGSLPHRKLRSMAEAHGPVMLLWFGRVPTVVASSAAAAQEAMRARDAAFASRARVSMAERLIYGRDMVFAPYGEFWRQARRVSVLHLLSPRRIASFRGVREQEVAALLDRVRRRCGVRGGGETVNLSDMLMSYANGVISRAAFGDGAYGLDGDEGGGKLRELFANFEALLGTATVGEFVPWLAWVDKLMGLDAKAARISAELDGLLERVIADHRERRRLSQPDGGDGDGDGDENVDHRDFVDVLLDVSEVEEGAGAGEVLLFDAVAIKAIILDMIAAATDTTFTTLEWAMAELINHPPVMRKLQCEIRAAVGVPGASGGAEVTEDHLGELRLLRAVVKETLRLHAPVPLLVPRETVEDTELLGYRVPARTRVIINVWAIGRDAAAWGDRAEEFVPERWLDGGGGGEAVEYAAQLGQDFRFVPFGAGRRGCPGAGFAAPSIELALTNLLYHFDWELPPHADGAAAATAARLDMGELFGLSMRMKTTLNLVAKPWSSDV >ONIVA01G10260.1 pep chromosome:AWHD00000000:1:7960749:7965972:1 gene:ONIVA01G10260 transcript:ONIVA01G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPPPPANQTATPPPANQTAAPPPASNNSSSPPAPGSLSPPSLTPPAAPSPPSTTPSSPAAAAAASSSGLTTPVVVGIVVGGLVVLLLVTILLVCLFKKKKRHHHHPPPPPPPPHLLHYYGHPPPPPPPPPPFKGDHYGGVYQNWQQNGPPPPPDHVLKKVPSHPSPPPPPAPLNVHSGGSGSNYSGGDNSQPLVSPGAALGFSRCTFTYEDLSAATDGFSDANLLGQGGFGYVHKGVLPNGTEVAVKQLRDGSGQGEREFQAEVEIISRVHHKHLVTLVGYCISGGKRLLVYEYVPNNTLELHLHGRGRPTMEWPTRLRIALGAAKGLAYLHEDCHPKIIHRDIKSANILLDARFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGQLTEKSDVFSFGVMLLELITGRRPVRSNQLQMDDSLVDWARPLMMRASDDGNYDALVDPRLGQEYNGNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLDDLNEGVRPGHSRFLGSYNSNEYDTGHYNEDLKKFRKMAFGSGNLESSQQTQPTEFVPNRSVSMGDARQIPETEMEMGSLKKDGDSNGTRDSQAS >ONIVA01G10260.2 pep chromosome:AWHD00000000:1:7960523:7965972:1 gene:ONIVA01G10260 transcript:ONIVA01G10260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPTRLRIALGAAKGLAYLHEDCHPKIIHRDIKSANILLDARFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGQLTEKSDVFSFGVMLLELITGRRPVRSNQLQMDDSLVDWARPLMMRASDDGNYDALVDPRLGQEYNGNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLDDLNEGVRPGHSRFLGSYNSNEYDTGHYNEDLKKFRKMAFGSGNLESSQQTQPTEFVPNRSVSMGDARQIPETEMEMGSLKKDGDSNGTRDSQAS >ONIVA01G10250.1 pep chromosome:AWHD00000000:1:7946838:7953961:1 gene:ONIVA01G10250 transcript:ONIVA01G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13250) TAIR;Acc:AT4G13250] MVQFNPMDLDLNVISKLPKGISPSRTHYLTDGEVVGTRPLLKPRNPTPQKSVGRRSAKSLSARGDAMAAAAVVHLSVYGRLRRSPELHAQPYHRPSLLRCRAFKQEADNGGEEASSSPPPPTTAEARRRRKGPLYKLKAAIQGLAGSRSAAAEAYGGEYQRAVEKAEEIFFSVATQVGRYVITMMSSGVVLGVGFQLSGGDSQMNTLIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASRSPESVLQTINELEENIQEGLSVAKKKQREILLHAKVVGTSCDVCKPEDVKKLVNFAKDELGSIDIWINNAGTNKGFRPLVNFSDEDISQIVSTNLVGSLLCTREAMNVMQYQQKGGHVFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRSKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDEEGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPLPGT >ONIVA01G10250.2 pep chromosome:AWHD00000000:1:7946838:7953312:1 gene:ONIVA01G10250 transcript:ONIVA01G10250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13250) TAIR;Acc:AT4G13250] MVQFNPMDLDLNVISKLPKGISPSRTHYLTDGEVVGTRPLLKPRNPTPQKSVGRRSAKSLSARGDAMAAAAVVHLSVYGRLRRSPELHAQPYHRPSLLRCRAFKQEADNGGEEASSSPPPPTTAEARRRRKGPLYKLKAAIQGLAGSRSAAAEAYGGEYQRAVEKAEEIFFSVATQVGRYVITMMSSGVVLGVGFQLSGGDSQMNTLIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASRSPESVLQTINELEENIQEGLSVAKKKQREILLHAKVVGTSCDVCKPEDVKKLVNFAKDELGSIDIWINNAGTNKGFRPLVNFSDEDISQIVSTNLVGSLLCTREAMNVMQYQQKGGHVFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRSKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDEEGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPLPGT >ONIVA01G10240.1 pep chromosome:AWHD00000000:1:7939197:7941107:1 gene:ONIVA01G10240 transcript:ONIVA01G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSGSDSDSDKAHWYMSNSDDESFVDKDKDCRRGTVALLLKKGPWTSWENSILEKYIKKHGERNWKIVQKNTGLLSNILDRRLASKDYDSIEDQRNRIEVAAEYEISLPVLKTTNNDIFPSASIFANHGISNGNLSALSTTDALQMELPLIQFDPNNQFIYSRAYATHLTNLALLNDQSEELLNHIDVLNYVVMKEELSRGSLSPTINMPCEATAYSSELPLCKGYREQDLDFYTQ >ONIVA01G10230.1 pep chromosome:AWHD00000000:1:7930625:7931800:1 gene:ONIVA01G10230 transcript:ONIVA01G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDHRGGGGGGGRSSSRLRDRLARMFRPGALLRSTCNNHATASTSSSSSSSAAAAGGVVTASACSSSRALLAADASAAVDYCGRESFLASSRRDYSDAAATALVGRTESFSTALDRLHRRGGGTPLPPSRFSVDASPKKEDAAAAAKEKEMTTAAARDVQGFHYHHHRRHGSLGGGGGCGKSDKARRLLSNPYGFTTSDDADTDGDDVFSSDADDRGGRVVAGGGGGAKKGETEAFFSSSRSFSSDSSEFYTKKKKKNKPKKKSPSTASSKAAAAAPPPPPPPTRHQIRRKRRAARASSCVDTCGVRDGFRPVVSAAEEQVRRGFAVVKRSRDPYADFRSSMVEMVVGRQLFGAAELERLLRSYLSLNAPRHHPVILQAFSDIWVVLHGG >ONIVA01G10220.1 pep chromosome:AWHD00000000:1:7912257:7916000:1 gene:ONIVA01G10220 transcript:ONIVA01G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPKPHCKVCPRFPRLMEADESGVPPRPVAGDVHIPASRSAKEPIFMERHGGDLLTVMASSPDTTLAFHAARPVSISLPASPTGFDVARSGVELQRHAMTDAPCMLPLPGWPAMMAVAQPDRMIFRSQPIAVLGGAAALPQQSRSSQVHDGTSRDGAPRAAAAAATTTGKARGRRDTSYDSFKTWSGKLEKQITTHLRGGRQQQQQQPEQRRQDEEPEDDDAAAAAAAAATTASSSRSYSSMPRVQRFFAALEGPELDKLRSSEALVLPSDKTWPFLLRFPVSAFGMCMGMSSQAILWKNIAISTSTRFLHITVKINLVLWCVSVALMCAVSALYACKVAFYFEAVRREYYHPVRVNFFFAPWIACLFLAIGVPPMVAASLPHWLWYLLMAPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGATMGLREGPIFFFAVGLAHYIVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRVAYFIAMFLYASLAVRINLFRGFRFSLAWWAYTFPMTSAAIASIRYSSEVKNAFTQSLCIALSVLATLTVTALFLTTLLHAAVHRDLFPNDISIAITERKLKPIAEIQEQQRDKNATTAADIHPDSACIKDLEAGVPPPPSYAGDRSTTTTSTDPCPLGAGQF >ONIVA01G10210.1 pep chromosome:AWHD00000000:1:7901168:7907516:1 gene:ONIVA01G10210 transcript:ONIVA01G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MHTPRDASETQKEKYLSPGGTRPRGTGLLFAAHQGEEAKPRGFLLLLPSPSAPAERRETPAATEPTVEAIGGGGERRRLPSQGSPSSSSPGLPLLPAMFRPPGAFGVAPPPPPPQQQQHQPWQWQPPFQPPAATSFWQRDNVREHVGRLQETIELATAVINELEEIAQARNSVDASTQGPDSSSAKLSSEPDGSSADRPRHFVELARAMKISQDTHESLATDAANYLCSQIQNLLAPIYPAVNQGGPWEERSAMIRLAQKLQKSKRNKRWRKRKRKHVAELFQKEHADYDRIDQEADEWRARQIAKDMAQRKVENMKQIAKKKANEERKRLESELELALMVEKLQELRSMRAAVEEEERQAASAARTDAAKDAILTAEESRKAVQCSNSREDDSDQAKSAPTLEQNQRDPGISGRNHHASQKTEHELHKDDSKGHGHYDSVSSLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRAGGSRIPGHWVQPPPPADEVWASYLVQPNCPSNST >ONIVA01G10210.2 pep chromosome:AWHD00000000:1:7901168:7907086:1 gene:ONIVA01G10210 transcript:ONIVA01G10210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MHTPRDASETQKEKYLSPGGTRPRGTGLLFAAHQGEEAKPRGFLLLLPSPSAPAERRETPAATEPTVEAIGGGGERRRLPSQGSPSSSSPGLPLLPAMFRPPGAFGVAPPPPPPQQQQHQPWQWQPPFQPPAATSFWQRDNVREHVGRLQETIELATAVINELEEIAQARNSVDASTQGPDSSSAKLSSEPDGSSADRPRHFVELARAMKISQDTHESLATDAANYLCSQIQNLLAPIYPAVNQGGPWEERSAMIRLAQKLQKSKRNKRWRKRKRKHVAELFQKEHADYDRIDQEADEWRARQIAKDMAQRKVENMKQIAKKKANEERKRLESELELALMVEKLQELRSMRAAVEEEERQAASAARTDAAKDAILTAEESRKAVQCSNSREDDSDQAKSAPTLEQNQRDPGISGRNHHASQKTEHELHKDDSKGHGHYDSVSSLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRAGGSRIPGHWVQPPPPADEVWASYLVQPNCPSNST >ONIVA01G10210.3 pep chromosome:AWHD00000000:1:7901168:7907516:1 gene:ONIVA01G10210 transcript:ONIVA01G10210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MHTPRDASETQKEKYLSPGGTRPRGTGLLFAAHQGEEAKRTWATGPCVSFPGLHRASPRLPPPPPLSLRSGGAPRNARRNRAHRRGDRRRRRAPPPSVAGVTFFVLPRSPPGKLPAMFRPPGAFGVAPPPPPPQQQQHQPWQWQPPFQPPAATSFWQRDNVREHVGRLQETIELATAVINELEEIAQARNSVDASTQGPDSSSAKLSSEPDGSSADRPRHFVELARAMKISQDTHESLATDAANYLCSQIQNLLAPIYPAVNQGGPWEERSAMIRLAQKLQKSKRNKRWRKRKRKHVAELFQKEHADYDRIDQEADEWRARQIAKDMAQRKVENMKQIAKKKANEERKRLESELELALMVEKLQELRSMRAAVEEEERQAASAARTDAAKDAILTAEESRKAVQCSNSREDDSDQAKSAPTLEQNQRDPGISGRNHHASQKTEHELHKDDSKGHGHYDSVSSLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRAGGSRIPGHWVQPPPPADEVWASYLVQPNCPSNST >ONIVA01G10210.4 pep chromosome:AWHD00000000:1:7901168:7901661:1 gene:ONIVA01G10210 transcript:ONIVA01G10210.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MHTPRDASETQKEKYLSPGGTRPRGTGLLFAAHQGEEAKPRGFLLLLPSPSAPAERRETPAATEPTVEAIGGGGERRRLPSQGVVN >ONIVA01G10200.1 pep chromosome:AWHD00000000:1:7893041:7898447:-1 gene:ONIVA01G10200 transcript:ONIVA01G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHVVMSAVGIGIGVGVGLGLASAPWAGGGGQGARVGVTVERVEQELRRLVVDGADSRVTFDGFPYYLSEQTRVLLTSAAYVHLKQADISQYTRNLAPASRAILLSGPAELYQQMLAKALAHYFEAKLLLLDPTDFLIKIHSKYGGGSSTDSSFKRSISETTLEKVSGLLGSLSILPQKEKPKGTIRRQSSMTDMKLRSSESTSSFPKLKRNASTSSDMSSLASQGPPNNPASLRRASSWTFDEKILVQAVYKVLHSVSKKNPIVLYIRDVEKFLHKSKKMYVMFEKLLNKLEGPVLVLGSRIVDMDFDEELDERLTALFPYNIEIKPPENENHLVSWNSQLEEDMKMIQFQDNRNHITEVLAENDLECDDLGSICLSDTMVLGRYIEEIVVSAVSYHLMNKKDPEYRNGKLLLSAKSLSHALEIFQENKMYDKDSMKLEAKRDASKVADRGIAPFAAKSETKPATLLPPVPPTAAAAPPVESKAEPEKFEKKDNPSPAAKAPEMPPDNEFEKRIRPEVIPANEIGVTFDDIGALSDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQKILVLAATNRPFDLDEAIIRRFERRIMVGLPSLESRELILRSLLSKEKVDGGLDYKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKKKREQGGNASDASKMKEKDETIILRPLNMKDLKEAKNQVAASFAAEGTIMGELKQWNELYGEGGSRKKQQLTYFL >ONIVA01G10190.1 pep chromosome:AWHD00000000:1:7889551:7892267:1 gene:ONIVA01G10190 transcript:ONIVA01G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FIR9] MAEEQPRAAAEGGGETLMEKIADKLHIGGDGSSSDSDADERKQPKPSAPPAPAEVATESFVDSAAAAAAEAKAKVFRLFGREEPIHKVLGGGKPADVFLWRNRNISAGVLGGATAIWILFELLGYHLLTFVCHGLIFSLGLLFLWSNASSFINKSPPRIPEVIIPEDLVVNIALSTRYEINRAFANLRQIALGRDIKKFLIVIAGLWLLSVLGSCCNFLTLVYIVFVVLHTVPILYEKYEDQIDSYGEKGWVEIKKQYAMFDAKVLSKVPRGPLKDKKH >ONIVA01G10180.1 pep chromosome:AWHD00000000:1:7879435:7880755:-1 gene:ONIVA01G10180 transcript:ONIVA01G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFTFLKFLRGGAVAGNKGGAVAATTIAASACEDGGGGGGGGGGGGEVDDDASFFDLEFAVPGDESAASDAEEERVEFNFSVAGDVASGGEVVAVDDAVAVAPGGECGEAKVVELVSEAAAPPASFLRPATKFRVLLLKLRKPKVPVPAECNGGGGGGSPAPKTNRFLIKFRVDDAPFVSLFTRDNSSRTSDAGAGAGAARPAVQALQTPEAATITAEERRFAKEMLLKYLNKIKPLYVKVSRRYGERLRFASASEGEETDLEPDPSPSPSPSPSPAPTQPPTAAAAAAVAPAPPQPVVVACGVRAPRASVPAGLKQVCKRLGKSRSASSAVAAAPSPSPPPPPSTAGQQPQRRDDSLLQLQDGIQSAIAHCKRSFNASKGSESPLLRSMSDTRDGGRADTKDGGGGDGGA >ONIVA01G10170.1 pep chromosome:AWHD00000000:1:7862355:7863855:1 gene:ONIVA01G10170 transcript:ONIVA01G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGDERRTPELRWREKRRLETKEGKKRAATSAIYRGGSS >ONIVA01G10160.1 pep chromosome:AWHD00000000:1:7857250:7857705:-1 gene:ONIVA01G10160 transcript:ONIVA01G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVDKAKGFVADKVARVEKPEAELAELSFQSVGRGGATLAGRVDVRNPYSHSIPICEVSYSLKSAGREVASGTMPDPGSLTAGDTTRLDIPVKVPYDFLVSLARDAGRDWDIDYEMRVGLTVDLPILGNFTLPLTKSGELKLPTLSDVF >ONIVA01G10150.1 pep chromosome:AWHD00000000:1:7848129:7850127:-1 gene:ONIVA01G10150 transcript:ONIVA01G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methyl-2-oxobutanoate hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FIR5] MSFSRLLTPRILLDTTAVFPPSSSVVAPSLSRQLRCTRTGGSPPAPPHRLVARRAMSNGAAEPAIYGGGGGAQQAASSAAARRVTLATLRGKHRRGEPISMVTAYDYPSGVHVDAAGFDICLVGDSAAMVAHGHDNTLPISLDLMIEHCRAVARGAARTFLVGDLPFGSYEASTAQAVGSAVRVMKEGGVNSIKLEGSAPSRISAARAIVDAGIAVMGHIGLTPQSVSALGGFRPQGKTVESAVKVVEAALALQEAGCFAVVLECVPAPVAAAATSALTIPTIGVGAGPFCSGQVLVYHDLLGTFQTSHAKVSPKFCKQYGNIGDVINRALSKYKQEVETQSFPGPSHTPYKLAATDVDAFLNALKMKGLNVAADAAADAVEYTDEKEINGTPQLKVYA >ONIVA01G10140.1 pep chromosome:AWHD00000000:1:7845018:7847175:-1 gene:ONIVA01G10140 transcript:ONIVA01G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methyl-2-oxobutanoate hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FIR4] MMMMMRRAFRHLARQQRRPLSHVPESAVYGGPRPQDVGAAAGAGAGAGAARRVTVTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPISLDVMLEHCRAVARGATRPLLVGDLPFGCYESSSTRAVDSAVRVLKEGGMDAIKLEGGAPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFGNVGHVINKALSEYKQEVETRSFPGPSHTPYKIAAADVDGFANALQKMGLDEAANAAAAAAENAEKDGELPENK >ONIVA01G10130.1 pep chromosome:AWHD00000000:1:7839501:7846599:1 gene:ONIVA01G10130 transcript:ONIVA01G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein [Source:Projected from Arabidopsis thaliana (AT5G62650) TAIR;Acc:AT5G62650] MPRGRSTTTTTSSSASNPFHAFASTLPFLPSPSPSPSPTSASPSPSPPAPHLAVPLILPITSSSSASAAAASPESNRGARMAAAASGGKAGGGGGLGKGGGPAFVGQVFTMLDPSGNGLMAVTTRFELPQFLASRTPMWFKRILSPLKKSENGPVFRFFMDLNDAVSYVKRLNVPSGMVGACRLDVAYEHFKEKPQMFQFVPSEKQVKAANKLLKSLPQRRRRKKLDGVPVFSAQNLNIAVATNDGIRWYTPYFFDKNLLDNILEASMDQHFHSMVQNRHTRRRRDIVDDSLTSEMMEESADSFLEPPEVQELMNEIGPVGIPLNVVTKAAEIQFLDVVDKMLLGNKWLRKATGIQPQFPYVVDSFEERTAAAIDRAATASSSFTASKDADCFQISKQPETLESDVDNSNRGKHENSNNGQSHFPFSNLLPNIWPGHDRSFKAQGRDRAFRRCDASINNDLQANPLLPKITMVGISMGEGGQMSKANLKKTMEDLTKELEQAGEKTIFSDEKDPLFVANVGDYSRITKISST >ONIVA01G10120.1 pep chromosome:AWHD00000000:1:7832575:7839340:1 gene:ONIVA01G10120 transcript:ONIVA01G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT5G39960) TAIR;Acc:AT5G39960] MAAAILRAALRRSRPAAAALLHHRPLPSSRSLPPLPSLGRSSPLLSRFPGSAGFVYSTVADESTAPVKPKGKARKNPMKQSRFDFTKVDAALLPTVILVGRPNVGKSALFNRFIRRREALVYNTPGDHVTRDIREGVAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRRHASGIHTIVAMNKSESLDEHGVLTAAAGEAHRLGFGDPVAISAETGLGMAELYETLRPLFEDYMFQLTNNGLNQDDPNSEAETEAHEGDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRTQFQFDNRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDGEKIAKSKSSMNHPEVLIARQAIEEGRGLVVIVNKMDLLRENRPLFDKVIDAVPKEIQTVIPQVTGIPVVFMSALEGRGRIAVMRQVIDTYEKWCLRLSTSRLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMSGKVQLSDTDIRFLTKSLKEDFDIGGIPIRVVQRSIPRKETVKSNSRNTGPRINTRMRTDKRTTVCEPTTS >ONIVA01G10120.2 pep chromosome:AWHD00000000:1:7832575:7839340:1 gene:ONIVA01G10120 transcript:ONIVA01G10120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT5G39960) TAIR;Acc:AT5G39960] MAAAILRAALRRSRPAAAALLHHRPLPSSRSLPPLPSLGSAGFVYSTVADESTAPVKPKGKARKNPMKQSRFDFTKVDAALLPTVILVGRPNVGKSALFNRFIRRREALVYNTPGDHVTRDIREGVAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRRHASGIHTIVAMNKSESLDEHGVLTAAAGEAHRLGFGDPVAISAETGLGMAELYETLRPLFEDYMFQLTNNGLNQDDPNSEAETEAHEGDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRTQFQFDNRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDGEKIAKSKSSMNHPEVLIARQAIEEGRGLVVIVNKMDLLRENRPLFDKVIDAVPKEIQTVIPQVTGIPVVFMSALEGRGRIAVMRQVIDTYEKWCLRLSTSRLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMSGKVQLSDTDIRFLTKSLKEDFDIGGIPIRVVQRSIPRKETVKSNSRNTGPRINTRMRTDKRTTVCEPTTS >ONIVA01G10110.1 pep chromosome:AWHD00000000:1:7824880:7843811:-1 gene:ONIVA01G10110 transcript:ONIVA01G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNRPSECSVSSFAQNLLYQIYPLIELFYKGNINRYTAARKKAKNKLRRKQTLHGRKWKWYLLARNWGSSKRVVTAMRPFPEGSSMVNTCPTNAGPPPFPKPPPPPALPPDAAAAIRAPRFDSGEAAAAEAEEEEVMGRMRGTARWGAGGEGEGEAEVGDGDGDGEGRKGRVEAKAWKGLDADEEVVVVVERPRGMAVDGAGRERRCYIATGSPKPNLWASPAAAVSTPCSSSDSDLFIATMRQYEILDTHSLNERSRVYPLPITSATIPVAATATFFGLLLAFLPPDPARGERSGGRGGGEGCVSGEEPRMPAARKASPPASR >ONIVA01G10100.1 pep chromosome:AWHD00000000:1:7824178:7831713:1 gene:ONIVA01G10100 transcript:ONIVA01G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:smr (Small MutS Related) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G58720) TAIR;Acc:AT5G58720] MRPSKKKSKKKKPSPAAAAGAAAPTTPPAAADGGAGGESCSPRSETLTLAAAAAAAVASETESSSSCEASTCSSSSSAFNPGSSGAASTSSLSAFSSSASTASSSAAGDERRDMAWLLDAFGTATIDQVDSAYREAGGDAFLAAGILGSSPETQPSPPPRPPDLSPRAGSGGRKASRRPKKVAVAATGMVADVIGKGYTRPATSPVNKTNAWKGNAWKDGSGGDRKYSVEEAEQFLCSMLGDNSELGMGVVRDVLGQYGCDVEKALDALLDISGMSSLQNMERCFPNAQINGMSSPNIFLGNGLSEEVSTAGIEKSSYQFTDEMRNMSYNRSDNEHGFFWGELQRSYLKVACEEPHSTTSSTRSTSSISKTPQQVLDSLFKIPEQRTYEPSSMDWKKVVKRLQSFNSPITSNNQEKPKNGNGYQEFRTVAGRHYDTMKTYYQKAAMAYSKGEKSYASYLAEEGKHYRELARMEDERASREIFEARNKHITNTVTIDLHGQHVKQAMRLLKVHMMICVCMPTTFLRVITGCGVEGTGKGKIKRAVAELVEKEGVEWHEENAGTIVLRLGGPREYRFLEHDSDSD >ONIVA01G10090.1 pep chromosome:AWHD00000000:1:7813763:7815756:-1 gene:ONIVA01G10090 transcript:ONIVA01G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G79900) TAIR;Acc:AT1G79900] MAGVLAGHPLDTLRIRLQQPPPPASPGITAAPGRPASAASLLRGILRAEGPSALYRGMGAPLASVAFQNAMVFQVFAILSRSIDQPSSMSEPPSYTSVALAGVGTGALQTLILSPVELVKIRLQLEAAGQKHRRPGDHHGPVDMARDILRKEGVRGIYRGLAVTALRDAPAHGVYFWTYEYARERLHPGCRGHGGEQESLATMLVSGGLAGVASWVCCYPLDVVKSRLQAQGYPPRYRGIADCFRRSVREEGLPVLWRGLGTAVARAFVVNGAIFSAYELALRFLASSSNDQRLVMEEN >ONIVA01G10080.1 pep chromosome:AWHD00000000:1:7796891:7797317:1 gene:ONIVA01G10080 transcript:ONIVA01G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSGGGGGSPPPSLSDLAGGGRGRPPEEGGGRLAGATARGILVVLAILVGIIALIVYLVLCPTHPRFYLQDAALRQLDLSNSSSTAGAGRPAASPLPPSLADLAGEGRGEAGGSGGGDESAGRR >ONIVA01G10070.1 pep chromosome:AWHD00000000:1:7790578:7794772:1 gene:ONIVA01G10070 transcript:ONIVA01G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FIQ6] MDCFAETEGKRAHDPLYQRRAAAAATPATGVPVDDVDKVVDVPGAVIVGAGPAGVAVGALLGLRGVAYVVLERCGCIASLWRHSTYDRLCLHLPKRFCELPLRPFPASFPEYPTRDQFLGYLDAYAREFGVEPVFRRAVISAEYDGESWWVYTREVVAAAAGGEQAVLGCTMTVYRSRWLVVATGENAEPVVPEMDGAGRFKGQMMHSSEYRNGDGYAGKKVLVVGCGNSGMEVSLDLCNHNARASMVVRDTVHVLPREILGFSTFGLSMWLLRWLSVQTVDWLVLLLSFLVFGDTARLGIPRPSLGPFELKSVSGKTPVLDVGTLAKIKSGDIKVTPAIQCFQEHGVEFVDGSTEEFDVVILATGYKSNVPYWLKEKEFFSEKDGFPRKGNAWKGQNGLYAVGFSRRGLSGVSMDANNIVQDIVQRLHDMGYERSENN >ONIVA01G10060.1 pep chromosome:AWHD00000000:1:7775642:7777284:-1 gene:ONIVA01G10060 transcript:ONIVA01G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRPKPTPVNAPAEVVFDPSAPGARRPRHPGAPSSSSSTGEWHNFMGSSLSDMYRKPAAEKSDDTSDDDEEPDIDIGKLLKDVELFGASTWKERKQLENRKVVQLGGKAIKKHRTPLSVSKPAMKNQKKREEKKAEEERLLGIFRKRDSKNSKAQKTRPEDRVLRATQGHFKNGILDVKHLLAPPKPSGRDAPEQKMRMGKKNGKGKQKGGRRKRR >ONIVA01G10050.1 pep chromosome:AWHD00000000:1:7771000:7777430:1 gene:ONIVA01G10050 transcript:ONIVA01G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQAPEPPPPPPPPVAAAERGMGFAERAVAAAGAAVVSAVLVNPLDVAKTRLQAQAAGIVYNPIWSDFRCYPWCNPGMNGLGPSCSSECFQYRGTMDVFYKVTKQEGVFRLWRGTAASLALAVPTVGIYLPSYDLLRNWIEEYSDHSFPKLRPYAPLIAGSVARSLACITCSPIELARTRMQAFKVSNVGGKPPGMWKTLLGVLALRQSINHPENIRSYHLLWTGLGAQLARDVPFSAICWTVLEPTRRHLIRIVGEQSNAAVILGANFSAGFIAGVISAGATCPLDKDPARVLHMNTRRILHEVWSKEGISGIFRGAGPRMARAGPSVGIVVSSYEVVKHIMHRKHAEL >ONIVA01G10050.2 pep chromosome:AWHD00000000:1:7771000:7777430:1 gene:ONIVA01G10050 transcript:ONIVA01G10050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQAPEPPPPPPPPVAAAERGMGFAERAVAAAGAAVVSAVLVNPLDVAKTRLQAQAAGIVYNPIWSDFRCYPWCNPGMNGLGPSCSSECFQYRGTMDVFYKVTKQEGVFRLWRGTAASLALAVPTVGIYLPSYDLLRNWIEEYSDHSFPKLRPYAPLIAGSVARSLACITCSPIELARTRMQFGHTTFYGLVLEHNLHVTSPSQLYAGQFLNQRHLIRIVGEQSNAAVILGANFSAGFIAGVISAGATCPLDKDPARVLHMNTRRILHEVWSKEGISGIFRGAGPRMARAGPSVGIVVSSYEVVKHIMHRKHAEL >ONIVA01G10040.1 pep chromosome:AWHD00000000:1:7767416:7769772:1 gene:ONIVA01G10040 transcript:ONIVA01G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIPATAAGRRWFRFFPLAAAAAAGPAPPLFAPPLKYDPAARLGSKPSAYCTASLSLSLSLSPRRTCSVLRFPRLWLVGGLDRHGFDDDDDAEAGVRGGGACAAELRGRVQLRPRQVPRRPRRPPRLHRPEESEEIFPG >ONIVA01G10030.1 pep chromosome:AWHD00000000:1:7764697:7767165:-1 gene:ONIVA01G10030 transcript:ONIVA01G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEEAEAVLETIWDLHDKVSDAIHALSRAHFLRAVRRHSKPAEGLVRVKGGDGVDDEAAALDAVAEEARSLHAIRAALEDLEDQFECFLAVQSQQQAERDISLARLEQSRIMLAIRLNGHRGVNKKIIDEALDFVRNVCHGVWPSLSINKPEKLGSHSGADSKNANFLGQMVASSVALARNSFSIKTLGGLLGHTGVLAIGMITLLQLHWLSSGQQSPSTCRYSYKMISQESSSQFETAMDTRISDLDVFLARG >ONIVA01G10020.1 pep chromosome:AWHD00000000:1:7748544:7749671:1 gene:ONIVA01G10020 transcript:ONIVA01G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGFVALKRTEHVEVTSLAVAVEATPSAKGGKGKVVVGGGGAGGGVGPTRVRVFCDDFDATDSSSDEDEEEEVTARRRVKRYVQEIRLQRAAAVAVPPVKVKGEEVFPAAVSAKMAEAAKARVVLAAGRKRKAGGVDGAEPRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFDTAEEAAKVYDTAAIQLRGRDATTNFNQSGDSASLDVPPEVAERVPQPPGASKNASPATSYDSGEESHAAAASPTSVLRSFPPSAVVATAVTANKKQLPPPPLVVRETDESVDVFGCSFSDDGGFAGELPPLYTDFDLLADFPEPPLDFLSNLPVEPFSLAPFSGNNGSPDLHLDDAPSPAAAQVDDFFQDITDLFQIPVV >ONIVA01G10010.1 pep chromosome:AWHD00000000:1:7725336:7727684:-1 gene:ONIVA01G10010 transcript:ONIVA01G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FIP9] MAPTLAFLLVLLLLLAGGGGGGAFLAAAASTDTVVPGKGMAGNQTLVSKNGRFELGFFTPGSGIHYFLGVRLRNMAEYSPTFWIGDRVGVIDLPGVSLEVFGDKLYIKEDGVSLWWSSVAGNGSSSSSDGGAVAVLLDTGDLVVRDQGNPSRVLWRSFDYPGDSLLPGGRLGLDAATGTNVSLTFKGFSHNGSLQVDASRRNGFVLTTDGIDSRGAFPDWMVTSQDNGSSLVLNHPDAPNSTEFLQFNLGLISLMRWSDSTAGWVARWTFPSNCKSGAFFCGDFGACTAGGGGGCECVDGFTPSYPDEWRLGYFVTGCSRSLPLSCEANGQTEHDDSFTILDNLRGLPYNAQDEPVTTDEDCRAACLNKCYCVAYSNESGCKLWYHNLYNLSSADKPPYSKIYVRLGSKLKSNRGLATRWIVLLVVGSLAVTSVMLGLVLLCRYRRDLFASSKFEVEGSLIVYTYAQIRKATGNFSDKLGEGGFGSVFRGTLPGSTTVVAVKNLKGVGQAEKQFRTEVQTVGMIRHSNLVRLLGFCVNGNRRLLVYEYMSNGSLDAHIFSEKSSLLSWHVRYQIALGIARGLAYLHEECEDCIIHCDIKPENILLDYEFCPKICDFGMAKLLGREFNSALTTVRGTMGYLAPEWIYGQPITKKADVYSFGIVLFEIISGRRSTETVKFGSHRYFPTYAAVQMNEGDVLCLLDSRLEGNANVKELDITCRVACWCIQDEENDRPSMGQVVRMLEGVVDMEMPPIPASFQNLMESEDSGIYSEESWNFRTRDQF >ONIVA01G10000.1 pep chromosome:AWHD00000000:1:7721581:7724010:1 gene:ONIVA01G10000 transcript:ONIVA01G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FIP8] MACSFLCKPWLPLTESAKPARSPAPSSRARTVVQQLAVLLLLLGGVGGGGGALLAAAASTTDTILPGESITGNQTLVSKNGEFELGFFNPGVGIHYFLGVRLRKLAAYSPTFWIGDRVYVVDLPRAALELFGDSLYIKEDGASLWWSSPSSSSSSSGGGAAVAVLLDTGDLVVRDQRNSSLVLWRSFDYPGDALLPGGRLGLDVATGENVSLTFEGFTHNGSLRADASRRNGFVLTTDGRDTRGAFPDWMVTTQDNGGSLVLNHPDATNSTEFLQLKVGQVSLVRWSGADAGWVPRWTFPSGCKSGGGFFCGDFGVCTTATGGECRCVDGFAPSDTKEWGLGYFVTGCSRSLPLSCDANGQTEHGDSFAILDNLQGLPYNAQDEPATTDEDCREACLNKCYCVAYSTETGCKLWYYDLYNLSSADKPPYSKIYVRLGSKLKSKRGLATRWMVLLVVGSVAVASAMLAVLLLCRYRRDLFGSSKFVVEGSLVVYSYAQIKKATENFSDKLGEGGFGSVFRGTLPGSTTVVAVKNLKGLGYAEKQFRAEVQTVGMIRHTNLVRLLGFCVKGNRKLLVYEYMPNGSLDAHIFSQKSSPLSWQVRYQIAIGIARGLAYLHEECEHCIIHCDIKPENILLDEEFRPKIADFGMAKLLGREFNAALTTIRGTRGYLAPEWLYGQPITKKADVYSFGIVLFEMISGIRSTVTMKFGSHRYYPSYAAAQMHEGDVLCLLDSRLEGNANVEELDITCRVACWCIQDREGDRPSMGHVVRMLEGVVDTEMPPIPASFQNLVDGDDSDIYEENWRLRTQD >ONIVA01G09990.1 pep chromosome:AWHD00000000:1:7701584:7718613:-1 gene:ONIVA01G09990 transcript:ONIVA01G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNVIILFVDSYVAVASDTLFPGQSLSGSETLVSENGIFELGLFPSAPAGTKHYLGIRYKNMSSNNPITFWLGNRIPITYFINATLYIDAGKLYIEELGSILWTSNSTRNESNTAVAVILNTGNFVIRDQLNSSVVTWQSFDHPADKLLPGAYLGLDMVMGTNILLTLFKPPYNCTLMIDQSRKRGFIMFIDGHDKYLGTFPEWMVTYEENGSLVRLNDPGIPNDTEYMKLQLGQLSLLRWLDNATISGWQSVWSHPSSCKVSAFHCGAFGICTSTGTCKCIDGFRPTEPNEWELGHFGSGCSRITPSNCLGVVSTDLFVLLDNLQGLPYNPQDVMAATSEECRAICLSECYCAAYSYHSACKIWYSMLFNLTSADNPPYTEIYMRIGSPSKRRMHILVFVLIFGSIGVILFLLMLLLMYKRSSCVARQTKMEGFLAVYSYAQVKKATRNFSDKLGEGSFGSVFKGTIAGSTIVAVKKLKGLGHTEKQFRTEVQTVGMIQHNNLVRLLGFCTRGTRRLLVYEYMPNGSLDSHLFSETSRVLGWNLRHQIVVGIARGLAYLHEECRDSIIHCDIKPENILLDAEFCPKIADFGMAKLLGREFSAALTTIRGTIGYLAPEWISGQAITHKADVYSFGVVLFEIISGRRSTEKIRHGNHWYFPFTLPLKIEGNASLKELDVACRVACWCIQDDEIHRPSMRKVIHMLEGVVDVELPPIPASFQNLMDDYDSDIYSVEGETADGWAGAAWVSDAGRPAGRRGPAHCGRGTVDGEQPRVEEAAAADDTVRTRATACRDVRRQAPATLSHAASASNTPFPGQPLSGSETLVSENGIFELGFFPPSGTKHYLGIRYKNITSSNPVNFWLGNRIPITNFLNATLYIDAGELYIEELGSVLWTSNSMKNASDTAVAVILNTGNFVVRDQLNSSMVVWQSFDHPADALLPGAWLGLDMVIGANILLTLYKPPYNCTLMIDQSRKRGFIMFIDGHDYLGTFPDWMVTYEENGSLGFPDNPQNVTAATSEECQAACLSECFCAAYSYHSGCKIWHSMLLNLTLADNPPYTEIYMRIGSPNKSRLHILVFILIFGSIAVILVMLMLLLIYKKRSSCVASQAKMEGFLAVYSYAQVKKATRNFSDKLGEGSFGSVFKGTIAGSTIVAVKKLKGLGHTEKQFRTEVQTVGMIQHTNLVRLLGFCTGGTRRLLVYEYMPNGSLDSHLFSETSRVLSWNLRHRIVIGIARGLAYLHEECRDSIIHCDIKPENILLDAELCPKIADFGMAKLLGREFSAVLTSIRGTIGYLAPEWISGQPITYKADVYSFGVLLFEIISGRRSTEKIQHGNHRYFPLYAAAKVNEGDVLCLLDDRLEGNASLKELDVACRVACWCIQDDEIHRPSMRQVIHMLEGIVGVELPPIPASFQNLMDGDKNGSQQRRLLARSAHNPVPVSLPPQPLQLRLLSNLHHHNLLRSKPTRTLPRFCWFSAMPPPRLPCLAAFLALALVVVVCAAEPDADRAALLDFLAGLGGGRGRINWASSPRVCGNWTGVTCSGDGSRVVALRLPGLGLSGPVPRGTLGRLTALQVLSLRANSLSGEFPEELLSLASLTGLHLQLNAFSGALPPELARLRALQVLDLSFNGFNGTLPAALSNLTQLVALNLSNNSLSGRVPDLGLPALQFLNLSNNHLDGPVPTSLLRFNDTAFAGNNVTRPASASPAGTPPSGSPAAAGAPAKRRVRLSQAAILAIVVGGCVAVSAVIAVFLIAFCNRSGGGGDEEVSRVVSGKSGEKKGRESPESKAVIGKAGDGNRIVFFEGPALAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKEVSAGRRDFEQQMELVGRIRHANVAELRAYYYSKDEKLLVYDFYSRGSVSNMLHGKRGEDRTPLNWETRVRIALGAARGIAHIHTENNGKFVHGNIKASNVFLNNQQYGCVSDLGLASLMNPITARSRSLGYCAPEVTDSRKASQCSDVYSFGVFILELLTGRSPVQITGGGNEVVHLVRWVQSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMACVSRTPERRPKMSDVVRMLEDVRRTDTGTRTSTEASTPVVDVQNKAESSSAAH >ONIVA01G09980.1 pep chromosome:AWHD00000000:1:7699262:7700701:-1 gene:ONIVA01G09980 transcript:ONIVA01G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYLPCAATSLHLLLLLASSEAAAAAAAASASLSAGGHRRYHSIFNFGDSFADTGNKPVAYAWYPLPSNVMRPPYGETFFGHPTGRSSDGRLILDLIAAGLGLPFVPPYLAHGGSFGGGANFAVAGATELDAGFFHDRDIPGAGSKFPLNTSLDVQLAWFESLTPSLCGTAQGWHAKRAAECEEFFGGSLFFVGEFGVNDYFLFLKKRSVRQTRSLVPLVVKTISMAIRLMKHGAKSIVVPGMIPSGCSPPALTSFYGRAGPADYDARTGCLRGINELASHHNSLLQDALHELRCCQYDSEYRSSLIDRSV >ONIVA01G09980.2 pep chromosome:AWHD00000000:1:7699262:7700701:-1 gene:ONIVA01G09980 transcript:ONIVA01G09980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYLPCAATSLHLLLLLASSEAAAAAAAASASLSAGGHRRYHSIFNFGDSFADTGNKPVAYAWYPLPSNVMRPPYGETFFGHPTGRSSDGRLILDLIAAGLGLPFVPPYLAHGGSFGGGANFAVAGATELDAGFFHDRDIPGAGSKFPLNTSLDVQLAWFESLTPSLLMKHGAKSIVVPGMIPSGCSPPALTSFYGRAGPADYDARTGCLRGINELASHHNSLLQDALHELRCCQYDSEYRSSLIDRSV >ONIVA01G09970.1 pep chromosome:AWHD00000000:1:7694389:7697144:1 gene:ONIVA01G09970 transcript:ONIVA01G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFLHVLVKDFTNHPCPYALHSINASGLFYPAAVRPNGSGEGTKLEEDYLPDRTVSFHHPSGSGGSMQFMSLGQSNNAIIGVDNECRTILYNTEWHSIRTMPSMHGCKWSPPVSLAVNNSLYVMELYPRQDGHVSFEVLAYGSQHAYGSQPWRSLPPPPYVHYQGYEKDEAPPGYDISVEHPYKITATAVVGGGSGSSIWISTAGVGTFAFDTANDTWTKRGDWALPFRGNAEYVAEHGLWFGLSSQGDDLFCASDIAAASVSPPVVLDAWGLDHLGVTTSRKCYHSKSYLVYLGNGRFCVGRLFHVEEGDTETERFVVLMGVEVEERSDGGDSRVLRMIKHRSKRYRLSAYMTINLVA >ONIVA01G09960.1 pep chromosome:AWHD00000000:1:7691925:7698494:-1 gene:ONIVA01G09960 transcript:ONIVA01G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGFREDVLTVCCGGGGPYNFNESVACGGDGMRGPVGVAVLRRRPLDGGGTPLSHHNSLLQDALHELRSKHPGAAATTTVVYADLFRPVMEMVEEDDGGCAEQQQTRERRALGRCSRLPPPALKKMRGPARGGMGGRRTEKVGSNGRRWPRRTTSASLAPAQDAAASLSPLDLGWEKQEGRYGEWECFEL >ONIVA01G09950.1 pep chromosome:AWHD00000000:1:7687814:7690933:-1 gene:ONIVA01G09950 transcript:ONIVA01G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLWLPAAVSLLLSSSLHVAGDAGGGVASLSVRRYDAIFSFGDSLADTSNNPVVFDWYSIFDPVTRPPYGSTFFARPTGHNCDGRLVLDFVGLKLIGDGATTVVVPGMIPSGCSPPVLVTFADAGAAEYDASTGCLREPNEVATLHNSLLLDAVEELREKHPDVAIVHTDLFRHVSEMVQNPDKFGNYNYLLPQSLECDARQSFNKSVWTCTSA >ONIVA01G09940.1 pep chromosome:AWHD00000000:1:7682793:7683821:1 gene:ONIVA01G09940 transcript:ONIVA01G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPARVAIAHSLVSVYGMLGDMRRLRTSPTTEAEIRRFHLPEYVDLIRNLTPESYANDVVLRQKAEDDHGIGLLGDDNDCPAFDRLWKYCRGYAGGSLAAAGALVNGASGSHRRRIVMFLFPFRNHIAPMLQLAELLRDRGLTVNVVHTTFNSPNATRHPKLTFVPMHERPPPPMPSLTAGAQRRLRGAVPQGAPVGGAAQHRPGRGEGGREGARWHAWSSTGSGTCRHAPLHTQLLAPPLPGIGTINEIREGVGKHYSVNVSLDAGCWAAKASSSWAPCAGMPPCVVAVGGKPRRCAAVAEPSRLGLAALAARVAPLLGLVASARKKKGKRKEREQGHFGT >ONIVA01G09930.1 pep chromosome:AWHD00000000:1:7678998:7680528:-1 gene:ONIVA01G09930 transcript:ONIVA01G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLWLSAVVSLLLSSSLHAAGAGAGASSLSVRRYDAIFSFGDSFADTGNNPVVFGWYSVFDPVTRPPYGSTFFGHPTGRNCDGRLVVDFVAERLGVPLLPPFLAYNGSFRRGANFAVGAATALDSSIFHAGDPPPGASPFPVNTSLGVQLGWFESLKPSLCSTTQGKKKCKDFFGRSLFFVGEFGFNDYEFFFRKKSMEEIRSFVPYIIETISIAIERLIKHGAKSLVVPGMTPSGCTPLILAIFADQAGPDDYDPATGCLKAQNELAILHNSLLQQSLLNLQARHPDASIVYADFFSPIMEMVRSPGKFGFEDDILTICCGGPGTAFCGDQGAITCEDPSARLFWDVVHMTEVAYRYIAEDWLRIIESPGNKII >ONIVA01G09920.1 pep chromosome:AWHD00000000:1:7672130:7680517:1 gene:ONIVA01G09920 transcript:ONIVA01G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSKEMFSLFSFVVIFLCLITNAIYSGSKFVHATDTLLPGKSLSGNQVLISKGGAFGLGFNCLSPPCYSDSTFGIWYIKSSTCRSLLVWAPVANFCIFNPWSSSFILSEDGKLNLIIDGSLSWSSNGVETSVSAVAILLDNGNLVIRDQVNSTMVFWQSFDNPIGILLPGGWLGFNRMTGKNVSLSSKYSTDGYDAYDTGNFILDINANEGRGFTINAPDFDSGNTYKIKYSGAFPRWMGVRADGGSFLLFNDADIYVQLYPDGNVTAAKLGDCGSVLYNAPHKQNVSFHPMVGVYKFPQNEWSMEVRSIRECEAACYSDCSCTSFAFNKTCLLWYGELQNTIVFDSRSEGYLMYMRVVEQKQEKSEYKVAIIVVTLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVHLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYCYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVTDVEVPPVPRSLQYFVGMEDNNTQSAEFGCVEVGCPSGRSQDVRRNGSTTSGMPNCVSQSFDYPSDTILPGGGLGFNKIIGKNISLCSSDYYSTLEIDTRKNRGFIIRYIPCGWMFAGTFPSWMKFHEDGTSFLTFNNAQTYLSFDGLYISLNKLGECNYGSNNLWFYPENYFEYCGPYGHSCSSECECPLDNYKRYRVREHGIWGCSRLVPINCAKMMFYRIDGIDSFPDSPQFLTVRSIAECEAVCSSNCSCTAYAYDVTCLLWYGELWNATMQGSGSVGRHIYIRVGQRETSIKNSKRVNIAVLVTGISSLVIGIGLILLWRFHRKLFTTRSVDTNSGLMVFSYAEVKNTTKKFSEKLGEGGFGSVFKGTLPGCSVVAVKKLKCVVQVEKQFRSEVQTIGMIQQINLVRLLGFCAEERKRLLVYEYMPNGSLSSHLFSDDSEKLCWQLRYHIALGTARGLAYLHEECKDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDSRLKGNADAEQLEKACRIACWCIQDYVDQRPMMGQVVLMLEGAMDVLVPPIPRSLQNFVDMEDHSTDLDTF >ONIVA01G09920.10 pep chromosome:AWHD00000000:1:7673516:7680517:1 gene:ONIVA01G09920 transcript:ONIVA01G09920.10 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVHLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYCYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVTDVEVPPVPRSLQYFVGMEDNNTQSAEFGCVEVGCPSGRSQDVRRNGSTTSGMPNCVSQPILRDVAVSHLRHVNHVPEETSRRILTSDCALIATESSPRPSTTNERRLERLEPAQLNAEAGVDRERAGARRRIAGVEDAGVEGGGGANGEVCAAAEAPVVSQEWRQERHPQAFGCKQASKQRKSKSTMITTKLTIAASMNRRRGRR >ONIVA01G09920.11 pep chromosome:AWHD00000000:1:7678634:7680517:1 gene:ONIVA01G09920 transcript:ONIVA01G09920.11 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAAPPGVRLQASKQTEKIKIHHDHNKIDDCRIDESTAREKMIRPTKSTTRRPSQLRPVGWPKKVEPYGGRVTGSKTEYQPKTTGLLPVSAKESPKLKMAS >ONIVA01G09920.12 pep chromosome:AWHD00000000:1:7672130:7678374:1 gene:ONIVA01G09920 transcript:ONIVA01G09920.12 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSKEMFSLFSFVVIFLCLITNAIYSGSKFVHATDTLLPGKSLSGNQVLISKGGAFGLGFNCLSPPCYSDSTFGIWYIKSSTCRSLLVWAPVANFCIFNPWSSSFILSEDGKLNLIIDGSLSWSSNGVETSVSAVAILLDNGNLVIRDQVNSTMVFWQSFDNPIGILLPGGWLGFNRMTGKNVSLSSKYSTDGYDAYDTGNFILDINANEGRGFTINAPDFDSGNTYKIKYSGAFPRWMGVRADGGSFLLFNDADIYVQLYPDGNVTAAKLGDCGSVLWSAPENWCDFDSYCGSNSFCIIPSKESFFESPCYDFSDLGYLMNVSLNCRYNAPHKQNVSFHPMVGVYKFPQNEWSMEVRSIRECEAACYSDCSCTSFAFNKTCLLWYGELQNTIVFDSRSEGYLMYMRVVEQKQEKSEYKVAIIVVTLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVHLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYCYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACDVMCLLDSRLKGNADAEQLEKACRIACWCIQDYVDQRPMMGQVVLMLEGAMDVLVPPIPRSLQNFVDMEDHSTDLDTF >ONIVA01G09920.13 pep chromosome:AWHD00000000:1:7672072:7678374:1 gene:ONIVA01G09920 transcript:ONIVA01G09920.13 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSKEMFSLFSFVVIFLCLITNAIYSGSKFVHATDTLLPGKSLSGNQVLISKGGAFGLGFNCLSPPCYSDSTFGIWYIKSSTCRSLLVWAPVANFCIFNPWSSSFILSEDGKLNLIIDGSLSWSSNGVETSVSAVAILLDNGNLVIRDQVNSTMVFWQSFDNPIGILLPGGWLGFNRMTGKNVSLSSKYSTDGYDAYDTGNFILDINANEGRGFTINAPDFDSGNTYKIKYSGAFPRWMGVRADGGSFLLFNDADIYVQLYPDGNVTAAKLGDCGSVLWSAPENWCDFDSYCGSNSFCIIPSKESFFESPCYDFSDLGYLMNVSLNCRYNAPHKQNVSFHPMVGVYKFPQNEWSMEVRSIRECEAACYSDCSCTSFAFNKTCLLWYGELQNTIVFDSRSEGYLMYMRVVEQKQEKSEYKVAIIVVTVIGGLVLILISMILLWRGKRKLFTEKPVNSDS >ONIVA01G09920.2 pep chromosome:AWHD00000000:1:7672130:7680517:1 gene:ONIVA01G09920 transcript:ONIVA01G09920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSKEMFSLFSFVVIFLCLITNAIYSGSKFVHATDTLLPGKSLSGNQVLISKGGAFGLGFNCLSPPCYSDSTFGIWYIKSSTCRSLLVWAPVANFCIFNPWSSSFILSEDGKLNLIIDGSLSWSSNGVETSVSAVAILLDNGNLVIRDQVNSTMVFWQSFDNPIGILLPGGWLGFNRMTGKNVSLSSKYSTDGYDAYDTGNFILDINANEGRGFTINAPDFDSGNTYKIKYSGAFPRWMGVRADGGSFLLFNDADIYVQLYPDGNVTAAKLGDCGSVLWSAPENWCDFDSYCGSNSFCIIPSKESFFESPCYDFSDLGYLMNVSLNCRYNAPHKQNVSFHPMVGVYKFPQNEWSMEVRSIRECEAACYSDCSCTSFAFNKTCLLWYGELQNTIVFDSRSEGYLMYMRVVEQKQEKSEYKVAIIVVTLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVHLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYCYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVTDVEVPPVPRSLQYFVGMEDNNTQSAEFGCVEVGCPSGRSQDVRRNGSTTSGMPNCVSQSFDYPSDTILPGGGLGFNKIIGKNISLCSSDYYSTLEIDTRKNRGFIIRYIPCGWMFAGTFPSWMKFHEDGTSFLTFNNAQTYLSFDGLYISLNKLGECNYGSNNLWFYPENYFEYCGPYGHSCSSECECPLDNYKRYRVREHGIWGCSRLVPINCAKMMFYRIDGIDSFPDSPQFLTVRSIAECEAVCSSNCSCTAYAYDVTCLLWYGELWNATMQGSGSVGRHIYIRVGQRETSIKNSKRVNIAVLVTGISSLVIGIGLILLWRFHRKLFTTRSVDTNSGLMVFSYAEVKNTTKKFSEKLGEGGFGSVFKGTLPGCSVVAVKKLKCVVQVEKQFRSEVQTIGMIQQINLVRLLGFCAEERKRLLVYEYMPNGSLSSHLFSDDSEKLCWQLRYHIALGTARGLAYLHEECKDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDSRLKGNADAEQLEKACRIACWCIQDYVDQRPMMGQVVLMLEGAMDVLVPPIPRSLQNFVDMEDHSTDLDTF >ONIVA01G09920.3 pep chromosome:AWHD00000000:1:7673516:7680517:1 gene:ONIVA01G09920 transcript:ONIVA01G09920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVHLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYCYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVTDVEVPPVPRSLQYFVGMEDNNTQSAEFGCVEVGCPSGRSQDVRRNGSTTSGMPNCVSQSFDYPSDTILPGGGLGFNKIIGKNISLCSSDYYSTLEIDTRKNRGFIIRYIPCGWMFAGTFPSWMKFHEDGTSFLTFNNAQTYLSFDGLYISLNKLGECNYGSNNLWFYPENYFEYCGPYGHSCSSECECPLDNYKRYRVREHGIWGCSRLVPINCAKMMFYRIDGIDSFPDSPQFLTVRSIAECEAVCSSNCSCTAYAYDVTCLLWYGELWNATMQGSGSVGRHIYIRVGQRETSIKNSKRVNIAVLVTGISSLVIGIGLILLWRFHRKLFTTRSVDTNSGLMVFSYAEVKNTTKKFSEKLGEGGFGSVFKGTLPGCSVVAVKKLKCVVQVEKQFRSEVQTIGMIQQINLVRLLGFCAEERKRLLVYEYMPNGSLSSHLFSDDSEKLCWQLRYHIALGTARGLAYLHEECKDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDSRLKGNADAEQLEKACRIACWCIQDYVDQRPMMGQVVLMLEGAMDVLVPPIPRSLQNFVDMEDHSTDLDTF >ONIVA01G09920.4 pep chromosome:AWHD00000000:1:7673516:7680517:1 gene:ONIVA01G09920 transcript:ONIVA01G09920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVHLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYCYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVTDVEVPPVPRSLQYFVGMEDNNTQSAEFGCVEVGCPSGRSQDVRRNGSTTSGMPNCVSQSFDYPSDTILPGGGLGFNKIIGKNISLCSSDYYSTLEIDTRKNRGFIIRYIPCGWMFAGTFPSWMKFHEDGTSFLTFNNAQTYLSFDGLYISLNKLGECNYGSNNLWFYPENYFEYCGPYGHSCSSECECPLDNYKRYRVREHGIWGCSRLVPINCAKMMFYRIDGIDSFPDSPQFLTVRSIAECEAVCSSNCSCTAYAYDVTCLLWYGELWNATMQGSGSVGRHIYIRVGQRETSIKNSKRVNIAVLVTGISSLVIGIGLILLWRFHRKLFTTRSVDTNSGLMVFSYAEVKNTTKKFSEKLGEGGFGSVFKGTLPGCSVVAVKKLKCVVQVEKQFRSEVQTIGMIQQINLVRLLGFCAEERKRLLVYEYMPNGSLSSHLFSDDSEKLCWQLRYHIALGTARGLAYLHEECKDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDSRLKGNADAEQLEKACRIACWCIQDYVDQRPMMGQVVLMLEGAMDVLVPPIPRSLQNFVDMEDHSTDLDTF >ONIVA01G09920.5 pep chromosome:AWHD00000000:1:7673516:7680517:1 gene:ONIVA01G09920 transcript:ONIVA01G09920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVHLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYCYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVTDVEVPPVPRSLQYFVGMEDNNTQSAECLQFSAHASLGDFSSFDYPSDTILPGGGLGFNKIIGKNISLCSSDYYSTLEIDTRKNRGFIIRYIPCGWMFAGTFPSWMKFHEDGTSFLTFNNAQTYLSFDGLYISLNKLGECNYGSNNLWFYPENYFEYCGPYGHSCSSECECPLDNYKRYRVREHGIWGCSRLVPINCAKMMFYRIDGIDSFPDSPQFLTVRSIAECEAVCSSNCSCTAYAYDVTCLLWYGELWNATMQGSGSVGRHIYIRVGQRETSIKNSKRVNIAVLVTGISSLVIGIGLILLWRFHRKLFTTRSVDTNSGLMVFSYAEVKNTTKKFSEKLGEGGFGSVFKGTLPGCSVVAVKKLKCVVQVEKQFRSEVQTIGMIQQINLVRLLGFCAEERKRLLVYEYMPNGSLSSHLFSDDSEKLCWQLRYHIALGTARGLAYLHEECKDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDSRLKGNADAEQLEKACRIACWCIQDYVDQRPMMGQVVLMLEGAMDVLVPPIPRSLQNFVDMEDHSTDLDTF >ONIVA01G09920.6 pep chromosome:AWHD00000000:1:7673516:7680517:1 gene:ONIVA01G09920 transcript:ONIVA01G09920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVHLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYCYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVTDVEVPPVPRSLQYFVGMEDNNTQSAECWMPVWTKPRCSTKWLNNERHAELRIPGTFPSWMKFHEDGTSFLTFNNAQTYLSFDGLYISLNKLGECNYGSNNLWFYPENYFEYCGPYGHSCSSECECPLDNYKRYRVREHGIWGCSRLVPINCAKMMFYRIDGIDSFPDSPQFLTVRSIAECEAVCSSNCSCTAYAYDVTCLLWYGELWNATMQGSGSVGRHIYIRVGQRETSIKNSKRVNIAVLVTGISSLVIGIGLILLWRFHRKLFTTRSVDTNSGLMVFSYAEVKNTTKKFSEKLGEGGFGSVFKGTLPGCSVVAVKKLKCVVQVEKQFRSEVQTIGMIQQINLVRLLGFCAEERKRLLVYEYMPNGSLSSHLFSDDSEKLCWQLRYHIALGTARGLAYLHEECKDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDSRLKGNADAEQLEKACRIACWCIQDYVDQRPMMGQVVLMLEGAMDVLVPPIPRSLQNFVDMEDHSTDLDTF >ONIVA01G09920.7 pep chromosome:AWHD00000000:1:7673516:7680517:1 gene:ONIVA01G09920 transcript:ONIVA01G09920.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVHLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYCYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVTDVEVPPVPRSLQYFVGMEDNNTQSAECLQFSAHASLGDFSSFDYPSDTILPGGGLGFNKIIGKNISLCSSDYYSTLEIDTRKNRGFIIRYIPCGWMFAGTFPSWMKFHEDGTSFLTFNNAQTYLSFDGLYISLNKLGECNYGSNNLWFYPENYFEYCGPYGHSCSSECECPLDNYKRYRVREHGIWGCSRLVPINCAKMMFYRIDGIDSFPDSPQFLTVRSIAECEAVCSSNCSCTAYAYDVTCLLWYGELWNATMQGSGSVGRHIYIRVGQRETSIKNSKRVNIAVLVTGISSLVIGIGLILLWRFHRKLFTTRSVDTNSGLMVFSYAEVKNTTKKFSEKLGEGGFGSVFKGTLPGCSVVAVKKLKCVVQVEKQFRSEVQTIGMIQQINLVRLLGFCAEERKRLLVYEYMPNGSLSSHLFSDDSEKLCWQLRYHIALGTARGLAYLHEECKDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDSRLKGNADAEQLEKACRIACWCIQDYVDQRPMMGQVVLMLEGAMDVLVPPIPRSLQNFVDMEDHSTDLDTF >ONIVA01G09920.8 pep chromosome:AWHD00000000:1:7673516:7680517:1 gene:ONIVA01G09920 transcript:ONIVA01G09920.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVHLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYCYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVTDVEVPPVPRSLQYFVGMEDNNTQSAECWMPVWTKPRCSTKWLNNERHAELRIPGTFPSWMKFHEDGTSFLTFNNAQTYLSFDGLYISLNKLGECNYGSNNLWFYPENYFEYCGPYGHSCSSECECPLDNYKRYRVREHGIWGCSRLVPINCAKMMFYRIDGIDSFPDSPQFLTVRSIAECEAVCSSNCSCTAYAYDVTCLLWYGELWNATMQGSGSVGRHIYIRVGQRETSIKNSKRVNIAVLVTGISSLVIGIGLILLWRFHRKLFTTRSVDTNSGLMVFSYAEVKNTTKKFSEKLGEGGFGSVFKGTLPGCSVVAVKKLKCVVQVEKQFRSEVQTIGMIQQINLVRLLGFCAEERKRLLVYEYMPNGSLSSHLFSDDSEKLCWQLRYHIALGTARGLAYLHEECKDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDSRLKGNADAEQLEKACRIACWCIQDYVDQRPMMGQVVLMLEGAMDVLVPPIPRSLQNFVDMEDHSTDLDTF >ONIVA01G09920.9 pep chromosome:AWHD00000000:1:7672130:7678374:1 gene:ONIVA01G09920 transcript:ONIVA01G09920.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSKEMFSLFSFVVIFLCLITNAIYSGSKFVHATDTLLPGKSLSGNQVLISKGGAFGLGFNCLSPPCYSDSTFGIWYIKSSTCRSLLVWAPVANFCIFNPWSSSFILSEDGKLNLIIDGSLSWSSNGVETSVSAVAILLDNGNLVIRDQVNSTMVFWQSFDNPIGILLPGGWLGFNRMTGKNVSLSSKYSTDGYDAYDTGNFILDINANEGRGFTINAPDFDSGNTYKIKYSGAFPRWMGVRADGGSFLLFNDADIYVQLYPDGNVTAAKLGDCGSVLYNAPHKQNVSFHPMVGVYKFPQNEWSMEVRSIRECEAACYSDCSCTSFAFNKTCLLWYGELQNTIVFDSRSEGYLMYMRVVEQKQEKSEYKVAIIVVTLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVHLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSAKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYCYGMMLLEIISGRRNSEKIKEGRHTYFPIYAACDVMCLLDSRLKGNADAEQLEKACRIACWCIQDYVDQRPMMGQVVLMLEGAMDVLVPPIPRSLQNFVDMEDHSTDLDTF >ONIVA01G09900.1 pep chromosome:AWHD00000000:1:7664846:7665109:-1 gene:ONIVA01G09900 transcript:ONIVA01G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSRRPEITVVPAAGGGAAAAVDAVKAANKEPISPGSPSLASGAGKESLSRHEAAVVSLPAWKLDALCQESGSSPAVMRARFPYF >ONIVA01G09890.1 pep chromosome:AWHD00000000:1:7660565:7663690:-1 gene:ONIVA01G09890 transcript:ONIVA01G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDGDVARQLKQMTDFIRQEAVEKAAEIEAAAAEEFQIEKLQLVEAEKKRIRLEFERNEKQGDIKKKIEYSKQLNASRLEVLQAQDDLAMSMLEAAGKELLYITRDHHVYKNLLRIFIVQDKLTKKNPEQSLLRLKEPAVILRCRKEDRELVESVLESAKNEYADKANIYPPEIMVDRNVYLPPAPSHYEAHGPSCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPE >ONIVA01G09880.1 pep chromosome:AWHD00000000:1:7654867:7658850:-1 gene:ONIVA01G09880 transcript:ONIVA01G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGEPRRVVLLVDVDPLLPSPKASAPTAQPLASHYLAAVLPAATSLLSASPAASLSAARLFFSSLSPILSFSLLPGPLPAAPAPLSFHLHGETLASLAPLRRLALPACAHRRVPPSSSIAKSILQLEHDYPWDDDPESIRRRRVFQQTPNLVVLFTAAAEFEEFGGDADFGGRFRGVFRPVRDRLAARGVQVCWVAVGGCGEGVRRAVTELGWWFTAADAVALGSAIATPGLVWGCLGLGGEEGGSRGEVVLEIADVEGKPLVCKGCEVEVIGSTPWRLRGDSVFKMHVKAVCEVGNWEQLITGDGDAVMVRGCFQEAGKIDGEEAAEKEFFAHKIVELMLGDDKDKLGGGKPIWQLILVFLHRKNYCAMVSISDGDGNPLDGVIVPLSMNYALLHVAKNGAGFGQVVAKGPALLDSCMSDTSKEQSARKKRSKLVSKLFEATTWISFCDVLLKSADGSMPVVDLEDLYFSRYAATSKKMRFLKCWMKQVKQQCLSTSSSIVAVAEEEKHLSSKDEAETKSPVLEEDASAPLVNFSVDEFVCDKEDKPMDEINCNKVDKPVGDETSDFSSMEDLEAFLDSVPQKIEQSLCSEDADLGNLAGRLVGLSVHALMIKHGKISVRYSNRGEVEDVSDGKIACEASGILLVKPKELVAKYKDRNTACATSQEIPKYSTTYKIREHELQILLRMEIMKSELGPGIEEGSKQKMIKEICSLLQFIDINLQGDSFQSNSILEFAEKTIKIRYIESMEDVIKKIYTEMEFDLFDDEVECSESLPSSSNHDVDGSNSRRHRSNSAPHLLRRDHGGGSRHEERLARAEERRNRDRRLSSFTSWVPDLRRVWALKHPGKEPAAAAAAPPQSRQGASKRRKRRRAACTDMVCETPMTVAASGKRKPGDAAGSLATVSKALFHDDEAAGISSSSV >ONIVA01G09870.1 pep chromosome:AWHD00000000:1:7652186:7654293:1 gene:ONIVA01G09870 transcript:ONIVA01G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDGIPFGRDDTSKGFDFSSSQFTVQAAATAQMKVAWEVSGKEIPYYSIIYVLSKSYQNFTLFNDDIVNEPLQGIRTVIAPQEP >ONIVA01G09860.1 pep chromosome:AWHD00000000:1:7635243:7654699:-1 gene:ONIVA01G09860 transcript:ONIVA01G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARRERGGVPGNTCPCLDVTCHVQVPGIRIQRFLASSVRYAKCNKYITVIDQLYHVLYRAETRSPAITSLPVGVHVDINAVKKEQRCRRSRMLPLAFRKLAEENKESPTAIVLETTQTAVRKGGRKRMPQSNRKELQRSLRLPQTTPTVYHSHKSSKIRMGRSSKSFVPLKGYVSEKIFTL >ONIVA01G09850.1 pep chromosome:AWHD00000000:1:7628024:7630616:-1 gene:ONIVA01G09850 transcript:ONIVA01G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVDDGGGGELDVFDAGRCGGGGYELGVAVGRRFGEAIRSRMSGDAVLRRRLLPFASTAPGRALVDALRDANRARYPRYWDEMVGTADGSGVPLLHVILVNFRKELLPFITEEEDQHHHREDEATAVAADADDDCSDVLIVGESAAIAAHNEDANVALLGHTYVVMATSPDGSSSFTAYTYAGELPTCAFGFNSNGVRVSSPAMSVGHSYNLMDVRRRRIVNVETASGNRFSVREAAAAPFFHANMYRHLQVNQRRAAELSPDTKEKALSLLGDTADDKYPIYMTGPTLYTLCTVLVDLDEATMTIYKGNPKNRDAVRVFRML >ONIVA01G09840.1 pep chromosome:AWHD00000000:1:7619312:7628626:1 gene:ONIVA01G09840 transcript:ONIVA01G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSIRIDICLKPKDVVPEDAKKPISEEGLSPRKWLHDVWDFARQDTNRVTFALKVGLACLLVSLLILFRAPYDIFGANIIWSILTVAIMFEYTVGATFNRGFNRAVGSVFAGVFAVVVIQVAMSSGHIAEPYIIGFSIFLIGAVTSFMKLWPSLVPYEYGFRVILFTYCLIIVSGYRMGNPIRTAMDRLYSIAIGALIAVLVNVFICPIWAGEQLHRELVNSFNSLADSLEECVKKYLSDDGSEHPEFSKTVMDNFPDEPAFRKCRATLNSSAKFDSLANSAKWEPPHGRFKHFFYPWAEYVKVGNVLRHCAYEVMALHGCVHSEIQAPYNLRCAFKSEILDATKQAAELLRSLAKDLNNMKWSLQTSLLKHVHVSTERLQHSIDLHSYLFTASQEDNYAKPQLKISRVVSFKNQSGEPESKTTETRTPMAMEVESYHEMMKRQQRKLHSWPSREVDDFEDDENVVSDLIPRMRALESTTALSLATFTSLLIEFVARLDHLVEAAERLATMARFKQQIAN >ONIVA01G09840.2 pep chromosome:AWHD00000000:1:7619735:7625557:1 gene:ONIVA01G09840 transcript:ONIVA01G09840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHIAEPYIIGFSIFLIGAVTSFMKLWPSLVPYEYGFRVILFTYCLIIVSGYRMGNPIRTAMDRLYSIAIGALIAVLVNVFICPIWAGEQLHRELVNSFNSLADSLEECVKKYLSDDGSEHPEFSKTVMDNFPDEPAFRKCRATLNSSAKFDSLANSAKWEPPHGRFKHFFYPWAEYVKVGNVLRHCAYEVMALHGCVHSEIQAPYNLRCAFKSEILDATKQAAELLRSLAKDLNNMKWSLQTSLLKHVHVSTERLQHSIDLHSYLFTASQEDNYAKPQLKISRVVSFKNQSGEPESKTTETRTPMAMEVESYHEMMKRQQRKLHSWPSREVDDFEDDENVVSDLIPRMRALESTTALSLATFTSLLIEFVARLDHLVEAAERLATMARFKQQIAN >ONIVA01G09830.1 pep chromosome:AWHD00000000:1:7610467:7615346:1 gene:ONIVA01G09830 transcript:ONIVA01G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTILMINWQAWQLWDAQRYKDLVDRSLISAGENIEDAVLIRYVQMALLCVQANPEHRPNIDKIVAMLSNTEALDVPKEPPACRHNPEPSKILLLPNCVVVSASDRLPGQALHPTPRGCNNISTQIRRASSEESKKKKKSLRFYQVHTLVVSPISSIRFKARILLKKEGAFGVGIMAHLLQLPDLAAARPPAARRRIAAAAVVVAEARGGVKQQQQVAVGRVIRVADPVREGRLLLLPPPLFSVPVTPSESLAAARRREEDEEERRRYYLNMGYAIRTLREELPDVFSKEPSFDIYRDDIVFKDPLNKFEGIDNYKRIFWALRFTGRIFFKALWVDIVSIWQPAENLIMIRWIAHGIPRVPWEAHGRFDGASEYKLDKNGKIYEHKVHNVAMNPPTKFKVLPVHELIRSLGCPSTPKPTYFETSSQSLSVEPDWHGQDATYCYAICFRYQIWEKDSMDLA >ONIVA01G09830.2 pep chromosome:AWHD00000000:1:7609650:7617288:1 gene:ONIVA01G09830 transcript:ONIVA01G09830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLQLPDLAAARPPAARRRIAAAAVVVAEARGGVKQQQQVAVGRVIRVADPVREGRLLLLPPPLFSVPVTPSESLAAARRREEDEEERRRYYLNMGYAIRTLREELPDVFSKEPSFDIYRDDIVFKDPLNKFEGIDNYKRIFWALRFTGRIFFKALWVDIVSIWQPAENLIMIRWIAHGIPRVPWEAHGRFDGASEYKLDKNGKIYEHKVHNVAMNPPTKFKVLPVHELIRSLGCPSTPKPTYFETSSQSLSVEPGYLRLAWTRCYLLLCHMLSLPNLGEG >ONIVA01G09830.3 pep chromosome:AWHD00000000:1:7609650:7615346:1 gene:ONIVA01G09830 transcript:ONIVA01G09830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLQLPDLAAARPPAARRRIAAAAVVVAEARGGVKQQQQVAVGRVIRVADPVREGRLLLLPPPLFSVPVTPSESLAAARRREEDEEERRRYYLNMGYAIRTLREELPDVFSKEPSFDIYRDDIVFKDPLNKFEGIDNYKRIFWALRFTGRIFFKALWVDIVSIWQPAENLIMIRWIAHGIPRVPWEAHGRFDGASEYKLDKNGKIYEHKVHNVAMNPPTKFKVLPVHELIRSLGCPSTPKPTYFETSSQSLSVEPDWHGQDATYCYAICFRYQIWEKDSMDLA >ONIVA01G09820.1 pep chromosome:AWHD00000000:1:7603027:7605213:-1 gene:ONIVA01G09820 transcript:ONIVA01G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKAAALEVVLAAKSSRIASLEARVSFLEAENARLRRAMADRSTGKGGPNLRRSEEMAGGRVARDVIEVSDGEEEGMAVDVRKGRGAEEGMDAVATPRKRAALRVVIGESGDEYEIDDAKGDGDGDGGDHGSVSCGDNVGLEDDDVTTALPDRKRAAALVVTSDSEDEVESQGGHGRRGKDGSRKRALRGVRDDGNEDKGVTRSRKRALRGISDNEDEDEDEDGVDGAHVVATEMESSDDDMIPIREVVKKMRKERASKGGGGFGETNGSSTPATRRSARLAKGQPKRAQSARRVLNFVEPKDCEESASDSDEDDDLDDFIINDSDCSENSANSAEPEESDASAPSEGSSSELEESDNEIDYKDVMACIGRKRNAKEWKYEAEMLSAFAAHPELCLKAVCALYRKQTKDEQEVKATILHNKQGFNQIDAARGSSIAEFLLDGDTFGPLKKTVHDLEQYDRYALEFCHKLAARYSKQLFSIYQNKEDPYFHP >ONIVA01G09810.1 pep chromosome:AWHD00000000:1:7593776:7597658:-1 gene:ONIVA01G09810 transcript:ONIVA01G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDESDTQIKTRTNCKLSLFFLHFYRPFSRNIMENMSKLPSWWGYVYASLLLIHTLYVDWAFSTNQKSLGKLVNG >ONIVA01G09800.1 pep chromosome:AWHD00000000:1:7585019:7589913:-1 gene:ONIVA01G09800 transcript:ONIVA01G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKATRSTRVDGVSGEAVIEEFERVTRDAANVQRETLRRILAENGGVEYLRGLGLAGATDPATFRARVPLATHADLEPYIDRIADGDASPVLTAKPATSISLRSVSPPAAACCSLTSIENVADHGGDHLTAGACSSGTTQGKRKYLLFNEELVKSTMQIYRISYAFRNREFPVENGKALQFIYSSRETRTKGGLTATTATTNVYRSEEFKATMRDIQSQCCSPDEVIFGPDFAQSLYCHLLAGLLAAGDVQIVSATFAHSVVLAFQTFERAWEDLCADIRRGEVSPSRVTSPAVRRAMAALLAAPNPGLADEVARKCAALSNWYGVIPALWPNARYVYGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWVGANVEPGTPPERATFTVLPDIAYFEFIPLKPVAGDGGYAEAEPVGLTEVAAGELYEVVMTTFAGLYRYRLGDVVKVAGFYNATPKLKFVCRRNLMLSINIDKNSEQDLQLAVDAAARAVLAGEKLEVVDYTSHADVSSDPGHYVVFLELNAADPAAVDGDVMQACCDELDRAFADAGYVGSRKSGAIAPLELRVLQRGTFQKVLRHYLSLGAPVSQFKSPRCVSRSNSGVLQILAGCTVNVFFSSAYD >ONIVA01G09790.1 pep chromosome:AWHD00000000:1:7582814:7584914:-1 gene:ONIVA01G09790 transcript:ONIVA01G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWACNCYDQPQARKCATVLSPSPCMRHPIFSRRFHPPVHVHSLYPSRRPNPPDSRSPPSLIPVEEREIENPLLPNDA >ONIVA01G09780.1 pep chromosome:AWHD00000000:1:7575421:7575786:1 gene:ONIVA01G09780 transcript:ONIVA01G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCWASVAAALRRAPRRRTREPSLQEQLLAASERASVERLRERAGALQRELDAVAGETEEAEAAARRAEARAAGAGAALRAAVGEREAHEAKVRAVDEEIAAMDQRIRVLQAIVATITPK >ONIVA01G09770.1 pep chromosome:AWHD00000000:1:7571850:7574036:-1 gene:ONIVA01G09770 transcript:ONIVA01G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTIRVAVGILGNAASMLLYAAPILTFRRVIKKGSVEEFSCVPYILALFNCLLYTWYGLPVAYLMILFQKFVLRMVLPVLAFFALTAIFSSFLFHTHGLRKVFVGSIGLVASISMYSSPMVAASPNFIGCPMGILQLVLYCIYRKSHKEAEKLHDIDQENGLKVVTTHEKITGREPEAQRAL >ONIVA01G09760.1 pep chromosome:AWHD00000000:1:7569673:7570864:-1 gene:ONIVA01G09760 transcript:ONIVA01G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVVKCSAVEAVRHEEVIDGEKADNEGRCFLRDPLHQCLSRRRSTTTSGRRLMALRKWERMTDADFCYFADLARTQASLAATLYAFVRCGTDDVAALDVPPT >ONIVA01G09750.1 pep chromosome:AWHD00000000:1:7551652:7552999:-1 gene:ONIVA01G09750 transcript:ONIVA01G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFAAAGSIRCFPGPPRSLADIACMHARSLCDAVPSLMIRASRPAGRLQFKPQLAEEEDEEGRGGEEG >ONIVA01G09740.1 pep chromosome:AWHD00000000:1:7551030:7551633:-1 gene:ONIVA01G09740 transcript:ONIVA01G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQQEVYFVFMNFDPVYERLRADRSKEGSATLDAYLSHKHDKLLAKLLQPDTYRKKSSLAIVDGFAVEITDAQASILRLAKEVRVVEKNQELA >ONIVA01G09730.1 pep chromosome:AWHD00000000:1:7546976:7547479:-1 gene:ONIVA01G09730 transcript:ONIVA01G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGAAAAALALAAAVCFLLVAPAPARRPADLPPQAAVLLPEPVDYREAAADAEPLLPPKPMADADAAALAVPEEEEERGPARPRASLICLVFRCDDGDEANAVAVRRSGGGAQDGGWWPRAAWKGEGDESDSDSDSDCDSDSDDDDEEGGEGGIVGWFWSLAHRF >ONIVA01G09720.1 pep chromosome:AWHD00000000:1:7543220:7547765:1 gene:ONIVA01G09720 transcript:ONIVA01G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLVKPLVTREAMAELVGRVARRAYQRNGVVTDVKSFGTICLGYGIKKLDGRHFKEYQDYSGWVAQKNRCAAVNGLFALNNITLDSFEDPIYLNLVIGTLKTHFVTRHLNVSAIEGQLMQMTMMVPPSFTQELHYLNKEDRLLRWLVVKHRDAVYGVEFINEDDGRREMTDFRYRTKDEASDVDEYDDDDDDYEYEIDEE >ONIVA01G09710.1 pep chromosome:AWHD00000000:1:7532045:7536729:-1 gene:ONIVA01G09710 transcript:ONIVA01G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVAMKDSQDIQSPTELQSSAQGTNEVQSNQPNPMATDGPGGDSGSLSIASNDNRKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNVPLAPMQNGMHPMPVNNLPMGYPVLQQPMMPAPGQPHIDSMACGLSSGHVVNGIPAAGDLEALENYAGTPFLASDSDILLDSPDQNDIVEYFADAINGPSQSDEEK >ONIVA01G09710.2 pep chromosome:AWHD00000000:1:7532045:7536715:-1 gene:ONIVA01G09710 transcript:ONIVA01G09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVAMKDSQDIQSPTELQSSAQGTNEVQSNQPNPMATDGPGGDSGSLSIASNDNRKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNVPLAPMQNGMHPMPVNNLPMGYPVLQQPMMPAPGQPHIDSMACGLSSGHVVNGIPAAGDLEALENYAGTPFLASDSDILLDSPDQNDIVEYFADAINGPSQSDEEK >ONIVA01G09710.3 pep chromosome:AWHD00000000:1:7532045:7536729:-1 gene:ONIVA01G09710 transcript:ONIVA01G09710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVAMKDSQDIQSPTELQSSAQGTNEVQSNQPNPMATDGPGGDSGSLSIASNDNRKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLGDVAKSVDDDINKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNVPLAPMQNGMHPMPVNNLPMGYPVLQQPMMPAPGQPHIDSMACGLSSGHVVNGIPAAGDLEALENYAGTPFLASDSDILLDSPDQNDIVEYFADAINGPSQSDEEK >ONIVA01G09700.1 pep chromosome:AWHD00000000:1:7524686:7527733:-1 gene:ONIVA01G09700 transcript:ONIVA01G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FIK1] MARGGGAAGVSMAHHLGIALVVLVVAAMAQVARGGSGGHDYGMALSKSILYFEAQRSGVLPGSQRIAWRANSGLADGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMAWSVIEYGEEMAAAGELGHAVEAIKWGTDYFAKAHPEPNVLYAEVGDGDSDHNCWQRPEDMTTSRQAYRLDPQNPGSDLAGETAAAMAAASLVFRSSNPGYADQLLQHSKQLFDFADKYRGRYDNSITVARNYYGSFSGYGDELLWASAWLYQASDDRRYLDYLANNADALGGTGWSINQFGWDVKYPGVQILAAKFLLQGKAGEHAGVLQGYRRKADFFACSCLGKDAADNVGRTPGGMLYHQRWNNIQFVTSASFLLAVYSDHLAGGAVRCSGGGGAAAGAAELLAFAKSQVDYILGSNPRGTSYMVGYGAVYPRQAHHRGSSIASIRASPSFVSCREGYASWYGRRGGNPNLLDGAVVGGPDEHDDFADERNNYEQTEAATYNNAPLMGILARLAAGHGARARGRLGQSLQHGIAANHTSLPHGANHQHASPVEIEQKATASWEKDGRTYHRYAVTVSNRSPAGGKTVEELHIGIGKLYGPVWGLEKAARYGYVLPSWTPSLPAGESAAFVYVHAAPPADVWVTGYKLV >ONIVA01G09690.1 pep chromosome:AWHD00000000:1:7520024:7524998:1 gene:ONIVA01G09690 transcript:ONIVA01G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSEGGRRPSSAQAASLRRRRRLAGSISEGWRRRRKPLRRSPPATLPRRRHRPQATGSVPVQILGFECAFRQGNL >ONIVA01G09690.2 pep chromosome:AWHD00000000:1:7520024:7524998:1 gene:ONIVA01G09690 transcript:ONIVA01G09690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMWSSSTVLPPAGDLLLTVTAYRWYVLPSFSHDAVAFCSISTGDACW >ONIVA01G09680.1 pep chromosome:AWHD00000000:1:7516955:7517401:-1 gene:ONIVA01G09680 transcript:ONIVA01G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGGSRSAASSSLRAPSMPPPLLCLPSSPLPCVVEVAMTSSTPDLASSSTGRRPRCGHRRRCHLLLLDAAWEEGRHRAPLGGVAVLSVGVGDGAGPELLLPRGGRVDDEELEVDASGGDGKRRGRDGGLRRWPGMTRRRRSSSARA >ONIVA01G09670.1 pep chromosome:AWHD00000000:1:7510192:7512518:1 gene:ONIVA01G09670 transcript:ONIVA01G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSASSASAAASTTASSPVLQLPAPPSHATAAPQDTSPTAGALAHNDAIDLTTNDDSEVLPPPGYKTQAIINELDIESVERHLANLLIVVSYFLILPL >ONIVA01G09660.1 pep chromosome:AWHD00000000:1:7505650:7508140:-1 gene:ONIVA01G09660 transcript:ONIVA01G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FIJ6] MARRGGAAASSSMANLLGVALVLASTAQTSARGGGGGGRHDYRMALSKSILYFEAQRSGVLPGNQRIAWRANSGLADGKANGVDLVGGYYDAGDNVKFGFPMAFTVTMMAWSVLEYGKQMAAAGELGHAMDAVRWGADYFVKAHPAPNVLYGEVGDGDSDHVCWQRPEDMTTSRQAYRLDPQHPGSDLAGETVAALAAASLVFRSSNPGYANQLLQHSKQLFDFADKYRGKYDDSMPVAKKFYGSFSGYGDELLWASAWLYQATDNRRYLDYLANNGDALGGTGWATNEFGWDVKYPGVQVLAAKFLLQGKAGPHAAVLRRYQRNADVFACSCLGKGGGGGNVGRTPGGLMYHQGWNNLQFVTGASFLLAVYADHLAAAGRGQAVVRCQAGPAARASELVALAKSQVDYILGSNPRGISYMVGYGARYPRRAHHRGASIVSIRANPSFVSCKDGYASWFGRAGSNPNLLDGAVVGGPDGRDGFADERNNYQQTEVATYNNAPLMGVLARLAGGGRGGLAEAAIKRPDNQTLLPPLAAAASPVEITQLNATASWKKDGRTYRRYAATVSNRSPAGGKTVEELHIGIGKPHGPVWGLEKAARYGYVLPSSLAAGESAAFAYVVRGRAAPPPADVWVIGYKLV >ONIVA01G09650.1 pep chromosome:AWHD00000000:1:7504516:7506755:1 gene:ONIVA01G09650 transcript:ONIVA01G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSMASQAVAPLLLILMLAAAAGGASAAVQCGQVMQLMAPCMPYLAGAPGMTPYGICCDSLGVLNRMAPAPADRVAVCNCVKDAAAGFPAVDFSRASALPAACGLSISFTIAPNMDCNQVTEELRI >ONIVA01G09640.1 pep chromosome:AWHD00000000:1:7503016:7504683:-1 gene:ONIVA01G09640 transcript:ONIVA01G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASERVYVGGSDARGGGGEPVDGANFVFVCVVVGQGRAREREGPAGSRSSSGGGGGGEAARGMSPGSARARAARTAQNTAWRAILLHGGKAPRLGPAATRCERRRRRQSSHGSLAVLCHGCGAAVFVGDSAWLPRRASASARGDGGEPGGTARGSGMAWRWRCGNRRRWPELGKREVVA >ONIVA01G09630.1 pep chromosome:AWHD00000000:1:7502646:7502989:1 gene:ONIVA01G09630 transcript:ONIVA01G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLIFFPLSFLPLTRVTFFAVGGIARGGAVREVLLTRWEGRDDQVLVYGLLPAGVDHGELMGRARFCLCPTGDDEGAAAASRSVVEAITVGCCAMDITVSFLGRRRR >ONIVA01G09620.1 pep chromosome:AWHD00000000:1:7501350:7501592:-1 gene:ONIVA01G09620 transcript:ONIVA01G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAILVDIRRSSGPRRRRRLQPEEHKSPRSREIDRSETKLRVQIDYREPGRQAGPEIDRDAAPEPRTGHMGTPNRLGLI >ONIVA01G09610.1 pep chromosome:AWHD00000000:1:7498483:7499055:1 gene:ONIVA01G09610 transcript:ONIVA01G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLPCLVQGRTTLPAAMNPKQRLYSLKLLVKALHKLKKKMMMKPNKGNKIGSSKPSSPAAPAEAASATAAAVAGGGVEAAIGSSKPKVSPRRAAQGGQRKGVVRVKVVLTKEEAARLLSLTVVGAGAGAGGGRRKTTAQIIAEIKRMEIRRAMATSSAAVAWRPALASIPEEQHHHSPRRSLDVQQVA >ONIVA01G09600.1 pep chromosome:AWHD00000000:1:7492492:7495832:1 gene:ONIVA01G09600 transcript:ONIVA01G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAISLLRPPREPQPRESEGEGERGRMMELRKRPRPRRVDPDFVSSPPASLLLPPPRKRARRQAAPPAAPAPAPAPARPSPAARRRPTCARVIIQSPVAGLQPSVCCPCEAPLRACRLPRASFLARRRPPFDWYEADMWTEVAKYLFGAELVRLSSTCRWFRRLLADEFIWRHAFLRDLSLLPAAADRYPPRPLHRSWRLLYAAAFNGAHSYWFRRSSRHIGAYRIGGFLLESPYMLLTAKLAVPQWLPPQEDGPQIAIEMTGACVLPNARPGIWITDFHLVRCPNCTLNKCAGVLQVLDARHCELFLEQGFWNGTWEYEDLGDHYNDEETPTAACAIFNASTRAHESISCVLHSKSWVRRCDDPQPKAHCRPYAVALNSNLLSNSNQGLVSRFQAMRDTTGNGQIVSIRITQQIY >ONIVA01G09600.2 pep chromosome:AWHD00000000:1:7488728:7492563:1 gene:ONIVA01G09600 transcript:ONIVA01G09600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRLHRALAGGDDDEEQPEDSILGDTEGICSLSPVQRVYGFAACLVAGLALMILSLVVFVRPIKFAVMFTFGNILAVGSTAFLIGPSQQLRMMLDPVRVYATAIYGGFVFLALIFALWIHSKVLTLIAIICEICALFWYSLSYIPFARRMVSDLMKRVFAAWASKLPEHRSPKYATTVEVFELQHLALLASHCLVGSDQAHLSVSSSCVPPQEIPKLPFHHPQNYINTPAQQLSSGSNLPANSNF >ONIVA01G09590.1 pep chromosome:AWHD00000000:1:7487426:7488169:1 gene:ONIVA01G09590 transcript:ONIVA01G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVTDAPPPSRFSPDDLDNFAAPPPQPTPILVVSPANPSPAPRRLLILLISPTSLALLPHLPSPPPLHASLLLPELPLERSQPPIRVYLHAPSGTLLAAAHGPAPVPAHRARAVARSLVSALQPEEVLVLDAVRSGAYRGRLAADEPVEGKLETRAARGRGGVGAARGVAALAPPGSVVDGLGAAVLAECEMRGKAASMVVTWPEGARPAEFGVMRRVAAELGVDPAKAAAGRVAGRAELDALYT >ONIVA01G09580.1 pep chromosome:AWHD00000000:1:7482159:7484358:-1 gene:ONIVA01G09580 transcript:ONIVA01G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPPAGEGSGMMMPAKRRRERVLPSRFKDSVLVLPTAAAKKGKPAEAAAEGGVVNGELYDVELEEGDPGMLWTGDERPVQTEEELYWACRNIRRSSTSTSGSFSSSIFSGSSAVTSLSNAGGGGGNGRPEGKPTVVVECKPKSDGGERKEDFYWPEEFVLGDVVWARSGKKCPAWPALVIDPLLHAPRVVLNSCIPGALCVMFFGYSNSGLRDYGWVKQGLIFPFVEYLDRFKGQTLYKIKASRFREAIEEAFLAERGLFELETDEGCSLEKSVNDQSIPDGLLEGSGSNNEQECQSEAQVVGKSPGCDICGNRLPCKIASKKKQAGERLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDQTCIKMETCSM >ONIVA01G09570.1 pep chromosome:AWHD00000000:1:7464942:7478247:-1 gene:ONIVA01G09570 transcript:ONIVA01G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FII6] MLASRIPLKRCTAAAVVPLPGELEMEEGPTSPAGGGEGSGAAVVPAKRRRERVVPSRFRNSVVSLPLPPAKKGRPAKKAAAREGGDGEVYDVEVRAVEQQGATAAAFGAVETAVWPGDERPAQTEEELYRACRNIRRSSSSSGFSGSVVTSLSNAGGSVAPKGKPVVVVECKPKREGGDKKEDFYWPEDFVLGDVVWARSGKKCPAWPAVVIDPLLHAPAVVLNSCIPGALCVMFFGFSSGGHGRDYGWIKQGMIFPFVDYLDRFQGQALYKLKANRFRQAIEEAFLAERGFCELEMDEGCSLEKSVNDQSVPDGLQEGSGSNNDQECQSEAQVVGKSPGCCDSCGNRVPAKIAKKKKQAGEQLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDLTCINMEDLENADYFCPDCKSKRKTVPPVEQMNTPNSSECASTSKEKLPEMIPVFCFGMDGMYLPKKHMILCQCNSCKERLMSLSEWERHTGSRKKNWKMSVKLKSNGDPLVTLLDDIPCANVKSSTPSINKEELLKLLGTLALEFMLVSAVANSFRPVNARWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARDVQDFTNWVCRACELPKQKRECCLCPVKGGALKPTDIDQLWVHVTCAWFQPKVSFPVDETMEPAMGILSIPSEYFKKACVICKQMHGACTQCYKCSTYYHAMCASRAGYRMELQYSEKNGRNITRMVSYCAFHSTPDPDNVLIVKTPEGVFSTKFLPQNNEKPSGTRLVRKENLQEKVLPAKISDCPAARCLPYEMLKNKKEPGEAIAHRIMGPRHHSQESIEGLNACMKIENKRVSCGRSGIHGWGLFAAKKIQEGQMVIEYRGDQVRRSVADLREARYHREKKDCYLFKISEDVVVDATEKGNIARLINHSCMPNCYARIMSVGDEKSQIILIAKRDVSAGEELTYDYLFDPDESEDCRFFSAVQFQFQIGREWRCCSHPRVETDLKTLARRFWKVAAPYWWSEDKVQARLRLAAVFALTLATTGISVGFNFLGRDFYNALADKDQEQFTKQLLYYLGGFAVGIPIVYTRIISHITRFSFFVLRDYARETLSLRWRSWMTSYYMKRYFKNRTFYKIQSQSLIDNPDQRINDDLSAFTGTALAFSLTFLNAAVDLISFSNILYGIYPPLFIVLIVYSLGGTGISIFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFENLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNGSSLSKPDNIDGINIIFKSTGPTVLSSNGSLTQSNPSMVLEICNLTLLTPRSGNILITDLTMELKEKDHLLVMGPSGSGKTSLLRALAGLWTSGTGDIIYHVRGSMELQTSNSGPDEPSNIQSNGEELLQSSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWTEDVCHSSNNDPQSTDPLTFEVSTSDGVGSKSEMPTTDELIRVLEAVKLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLAKPTLVLLDESTSALDDMNERHLYSQIEAAGITYISIGHRKTLHKFHNKVLYISNSDSTDSNPRNWYLKPTEQMSIEESSSFAS >ONIVA01G09560.1 pep chromosome:AWHD00000000:1:7458362:7463673:1 gene:ONIVA01G09560 transcript:ONIVA01G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSCPADSSQLLYPRRGAPTTTTTSRPPLHPLIAHAPYDVVLHRSVVLSISSARDQAELASFWCYIRLGGGASAKRAAGEDDRSRSSLTAARGGGGETMSGRGRGDPLVLGRVVGDVVDPFVRRVALRVAYGAREVANGCELRPSAVADQPRVAVGGPDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTGVSFGTEVVCYESPRPVLGIHRLVFLLFEQLGRHTVYAPGWRQNFSTRDFAELYNLGLPVAAVYFNCQRESGTGGRRM >ONIVA01G09550.1 pep chromosome:AWHD00000000:1:7441323:7446391:1 gene:ONIVA01G09550 transcript:ONIVA01G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRALPPVPDTLSDAFAAAVLMSSTDKPDTLPPGRLSPVSPLTHSSSSKLPTPSSSSGSSGSLSVSRAPASALASRRSHSGEIPLPSDGPPRGSRPGHRRTGSGPLIFTSCSSSATSPLTNALPAGNICPSGRLAKPLSSCSAAATPPAPTPPRAARHDVLGSGTANYGHGSIVRSRSGGVVVAEEDAVVRRAMSSADPEELKKAGNEQYKKGYFEEALRLYDRALALCPDNAACRGNRAAALIGLRRIGEAVKECEEAVRIDPSYGRAHQRLASLHIRLGHIEDAQRHLSLATPQPDLLELHKLQTVEKHLGRCMDARKVGDWKSVLRESDASIAAGADCSAMLFASRAEALLRLNQLDEADLAISSASKLDYSSSCTSDNKFCGFLANAYLFYVHAQVDMALGRFDHAVSSVDKARIIDQGNVEVVTMHNNVKAVARARSLGNELFNSGKFSEACLAYGEGLKHHPVNPVLYCNRAACRFKLGQWEKSIEDCNEALKIQPNYPKALLRRAASYGKMERWAESVKDYEVLRKELPGDTEVAEAYFHAQVALKSSRGEEVSNMKFGGEVEAITGMEQFQMATSLPGVSVIHFMTPLNQQCCKISPFVNTLCTRYPSISFLKVDISESPAVARAENVRTVPTFKIYKNGTRVKEMICPSLQLLEYSVRHYGI >ONIVA01G09540.1 pep chromosome:AWHD00000000:1:7431997:7434999:1 gene:ONIVA01G09540 transcript:ONIVA01G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPFGDAVAGGGLYEYQGYHGGFAGGHGLGQPAGRAPALDDGETEGMDASAAAAVAAMEMAKRNCGGGREEKAAMALKSHSEAERRRRERINAHLATLRTMVPCTDKMDKAALLAEVVGHVKKLKSAAARVGRRAAVPSGADEVAVDEASATGGGGEGPLLLRATLSCDDRADLFVDVKRALQPLGLEVVGSEVTTLGGRVRLAFLVSCGSRGGAAAAAMASVRHALQSVLDKASSGFDFAPRAASLLGSKRRKVSTFESSSSSS >ONIVA01G09530.1 pep chromosome:AWHD00000000:1:7412395:7425825:1 gene:ONIVA01G09530 transcript:ONIVA01G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFGQWLPQSQTTADLYFSSIPIPSQFDTSIETQTRTSAVVSSEKESANSFVPHNGTGLVERISNDAGLTEVVGSSAGPTECIDLNKTPARKPKKKKHRPKVLKDDKPSKTPKSATPIPSTEKVEKPSGKRKYVRKKTFPGQPPAEQAASSHCRSELKSVKRSLDFGGEVLQESTQSGSQVPVAEICTGPKRQSIPSTIQRDSQSQLACHVVSSTSSIHTSASQMVNAHLFPPDNMPNGVLLDLNNSTSQLQNEHAKFVDSPARLFGSRIRQTSGTNSLLEIYAGMSDRNVPDLNSSISQTHSMSTDFAQYLLSSSQASVRETQMANQMLNGHRMPENPITPSHCIERAALKEHLNHVPHAKAAVMNGQMPHGYRLAENPILPPNHIEGYQVMENLSELVMTNDYLTASPFSQTGAANRQHNIGDSMHIHALDPRRESNASSGSWISLGVNFNQQNNGWASAGAADAASSHAPYFSEPHKRMRTAYLNNYPNGVVGHFSTSSTDLSNNENENVASAINSNVFTLADAQRLIAREKSRASQRMISFRSSKNDMVNRSEMVHQHGRPAPHGSACRESIEVPDKQFGLMTEELTQLPSMPNNPQREKYIPQTGSCQLQSLEHDMVKGHNLAGELHKQVTSPQVVIQSNFCVTPPDVLGRRTSGEHLRTLIAPTHASTCKDTLKALSCQLESSRDIIRPPVNPIGPSSADVPRTDNHQVKVSEETVTAKLPEKRKVGRPRKELKPGEKPKPRGRPRKGKVVGGELASKDSHTNPLQNESTSCSYGPYAGEASVGRAVKANRVGENISGAMVSLLDSLDIVIQKIKVLDINKSEDPVTAEPHGALVPYNGEFGPIVPFEGKVKRKRSRAKVDLDPVTALMWKLLMGPDMSDCAEGMDKDKEKWLNEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPVKPEASEKPANVMFHTISENGDCSGLFGNSVKLQGEILVQEASNTAASFITTEDKEGSNSVELLGSSFGDGVDGAAGVYSNIYENLPARLHATRRPVVQTGNAVEAEDGSLEGVVSSENSTISSQNSSDYLFHMSDHMFSSMLLNFTAEDIGSRNMPKATRTTYTELLRMQELKNKSNETIESSEYHGVPVSCSNNIQVLNGIQNIGSKHQPLHSSISYHQTGQVHLPDIVHASDLEQSVYTGLNRVLDSNVTQTSYYPSPHPGIACNNETQKADSLSNMLYGIDRSDKTTSLSEPTPRIDNCFQPLSSEKMSFAREQSSSENYLSRNEAEAAFVKQHGTSNVQGDNTVRTEQNGGENSQSGYSQQDDNVGFQTATTSNLYSSNLCQNQKANSEVLHGVSSNLIENSKDDKKTSPKVPVDGSKAKRPRVGAGKKKTYDWDMLRKEVLYSHGNKERSQNAKDSIDWETIRQAEVKEISDTIRERGMNNMLAERIKDFLNRLVRDHGSIDLEWLRYVDSDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGTPIAAETFHQTYISSRPVVSQLEWNSNTCHHGMNNRQPIIEEPASPEPEHETEEMKECAIEDSFVDDPEEIPTIKLNFEEFTQNLKSYMQANNIEIEDADMSKALVAITPEVASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGFNQREPDDPCPYLLSIWTPGETAQSTDAPKSVCNSQENGELCASNTCFSCNSIREAQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSIPTIFKGLTTEEIQHCFWRGFVCVRGFDRTSRAPRPLYARLHFPASKITRNKKSAGSAPGRDDE >ONIVA01G09530.2 pep chromosome:AWHD00000000:1:7412395:7425825:1 gene:ONIVA01G09530 transcript:ONIVA01G09530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFGQWLPQSQTTADLYFSSIPIPSQFDTSIETQTRTSAVVSSEKESANSFVPHNGTGLVERISNDAGLTEVVGSSAGPTECIDLNKTPARKPKKKKHRPKVLKDDKPSKTPKSATPIPSTEKVEKPSGKRKYVRKKTFPGQPPAEQAASSHCRSELKSVKRSLDFGGEVLQESTQSGSQVPVAEICTGPKRQSIPSTIQRDSQSQLACHVVSSTSSIHTSASQMVNAHLFPPDNMPNGVLLDLNNSTSQLQNEHAKFVDSPARLFGSRIRQTSGTNSLLEIYAGMSDRNVPDLNSSISQTHSMSTDFAQYLLSSSQASVRETQMANQMLNGHRMPENPITPSHCIERAALKEHLNHVPHAKAAVMNGQMPHGYRLAENPILPPNHIEGYQVMENLSELVMTNDYLTASPFSQTGAANRQHNIGDSMHIHALDPRRESNASSGSWISLGVNFNQQNNGWASAGAADAASSHAPYFSEPHKRMRTAYLNNYPNGVVGHFSTSSTDLSNNENENVASAINSNVFTLADAQRLIAREKSRASQRMISFRSSKNDMVNRSEMVHQHGRPAPHGSACRESIEVPDKQFGLMTEELTQLPSMPNNPQREKYIPQTGSCQLQSLEHDMVKGHNLAGELHKQVTSPQVVIQSNFCVTPPDVLGRRTSGEHLRTLIAPTHASTCKDTLKALSCQLESSRDIIRPPVNPIGPSSADVPRTDNHQVKVSEETVTAKLPEKRKVGRPRKELKPGEKPKPRGRPRKGKVVGGELASKDSHTNPLQNESTSCSYGPYAGEASVGRAVKANRVGENISGAMVSLLDSLDIVIQKIKVLDINKSEDPVTAEPHGALVPYNGEFGPIVPFEGKVKRKRSRAKVDLDPVTALMWKLLMGPDMSDCAEGMDKDKEKWLNEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPVKPEASEKPANVMFHTISENGDCSGLFGNSVKLQGEILVQEASNTAASFITTEDKEGSNSVELLGSSFGDGVDGAAGVYSNIYENLPARLHATRRPVVQTGNAVEAEDGSLEGVVSSENSTISSQNSSDYLFHMSDHMFSSMLLNFTAEDIGSRNMPKATRTTYTELLRMQELKNKSNETIESSEYHGVPVSCSNNIQVLNGIQNIGSKHQPLHSSISYHQTGQVHLPDIVHASDLEQSVYTGLNRVLDSNVTQTSYYPSPHPGIACNNETQKADSLSNMLYGIDRSDKTTSLSEPTPRIDNCFQPLSSEKMSFAREQSSSENYLSRNEAEAAFVKQHGTSNVQGDNTVRTEQNGGENSQSGYSQQDDNVGFQTATTSNLYSSNLCQNQKANSEVLHGVSSNLIENSKDDKKTSPKVPVDGSKAKRPRVGAGKKKTYDWDMLRKEVLYSHGNKERSQNAKDSIDWETIRQAEVKEISDTIRERGMNNMLAERIKDFLNRLVRDHGSIDLEWLRYVDSDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGTPIAAETFHQTYISSRPVVSQLEWNSNTCHHGMNNRQPIIEEPASPEPEHETEEMKECAIEDSFVDDPEEIPTIKLNFEEFTQNLKSYMQANNIEIEDADMSKALVAITPEVASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGFNQREPDDPCPYLLSIWTPGETAQSTDAPKSVCNSQENGELCASNTCFSCNSIREAQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSIPTIFKGFVCVRGFDRTSRAPRPLYARLHFPASKITRNKKSAGSAPGRDDE >ONIVA01G09520.1 pep chromosome:AWHD00000000:1:7401559:7405319:1 gene:ONIVA01G09520 transcript:ONIVA01G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASASAMARRAASWPRLLLLSRAFAAAAAEPKRVLVPVADGTEPVEAAATADVLNRAGARVTVATADPAGDDRGLLVEAAFGVKLVADGRVADLEGEAFDLIALPGGMPGSANLRDCKVLEKMVKKQAEQGGLYAAICATPAVTLAHWGLLKGLKATCYPSFMEKFTAEIIPVNSRVVVDRNAVTSQGPATAIEYALALVEQLYGKEKSEEVAGPLYVRPQPGVDYVIDEFNSVEWKCSGTPQEGIPGQGLLSKHKVLVPVANGSEEMEALNLIDILRRAGANVTVASVEDKLQVVTRRHKFNLIADIMVEEAAKREFDLIVMPGGLPGAQKLSSTKVLVDLLKKQAESNKPYGAICASPAYVLEPHGLLKGKKATSFPPMAHLLTDQSACDSRVVVDGNLITSKAPGSATEFALAIVEKLFGREKAVSIAKELIFM >ONIVA01G09520.2 pep chromosome:AWHD00000000:1:7401559:7405319:1 gene:ONIVA01G09520 transcript:ONIVA01G09520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASASAMARRAASWPRLLLLSRAFAAAAAEPKRVLVPVADGTEPVEAAATADVLNRAGARVTVATADPAGDDRGLLVEAAFGVKLVADGRVADLEGEAFDLIALPGGMPGSANLRDCKVLEKMVKKQAEQGGLYAAICATPAVTLAHWGLLKGLKATCYPSFMEKFTAEIIPVNSRVVVDRNAVTSQGPATAIEYALALVEQLYGKEKSEEVAGPLYVRPQPGVDYVIDEFNSVEWKCSGTPQVLVPVANGSEEMEALNLIDILRRAGANVTVASVEDKLQVVTRRHKFNLIADIMVEEAAKREFDLIVMPGGLPGAQKLSSTKVLVDLLKKQAESNKPYGAICASPAYVLEPHGLLKGKKATSFPPMAHLLTDQSACDSRVVVDGNLITSKAPGSATEFALAIVEKLFGREKAVSIAKELIFM >ONIVA01G09510.1 pep chromosome:AWHD00000000:1:7372015:7385816:1 gene:ONIVA01G09510 transcript:ONIVA01G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASPPTKKVLVPIVAGTEPVEAAVPIDVLRRAGADVTVASADDGELVVEVMYGVRIVADALVAGGDCAAAHFDLIVLPGGVPGAANLGGCAALEAMVRRHAAAGGLYAAICAAPPLALASWGMLNGLKATAHPLFVDKFPPEVAAVDASVVVDASAVTSRGPATSTEFALALVEQLYSKNKAEQIAKEMLVRYDAGYTIDEVNSVQWKCNGTPKVLVPVANGTEEMELITIIDVLRRADADVVVASAENAGVEIVARHGMRIGGTPGVKTMSSNEKLVALLKKQAAASKPYGAIGAATAHVLEPHGLLEGKKAADQDGGDECESRVVVDGNVITSGGTGTAMEFAVAAVEKLLGRDVAQRVAEGLLFA >ONIVA01G09490.1 pep chromosome:AWHD00000000:1:7361844:7364250:-1 gene:ONIVA01G09490 transcript:ONIVA01G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLDFDIGFSFDKFEENVKRFIELPINYLDTAVDTAVEFMEDIHERMFAPSPSDEALNKANEILKDSFYDNVITGSSATSVRMEFVASNKELSSPSTSTVTAEDSFAGSVVADAPETESILTKSPESDSSEGGNIEVNEQCMLPVDTSAAEISGGKSIDVDEEVLSGNSEKPSDSCTSEDPISIGKELILWRKPLEPQSPESSAFDDAIIPEGTIVNCEEPEHSTKDTEESGKHGDLSKFSGVTISHDLTTDMSNDDDSNVWLDIDLQDDQEQTEADVSPVRQPKNTSFKKKMMRSLANKFRWSKKERNLNQAEAGNVRYQAVSSSDDLEDDWELL >ONIVA01G09480.1 pep chromosome:AWHD00000000:1:7359697:7360939:1 gene:ONIVA01G09480 transcript:ONIVA01G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPSYYLSLLFSIACLLSLFPLSAANPSPSPPPPCEKSDKEMRFLFSRWMAQYGKAYSCPIEHEKRYQIWKDNSNFIGSFRSETEISSGVGAFAPQTVKDSFVGMNRFGDLTPGEFAEQFTGFNATGGLLHAAPPPCPIPPDSWLPCCVDWRSSGAVTGVKFQRSCASCWAFAAAAAIEGLNKIRTGELVSLSEQVMVDCDTGSSGCSGGRADTALGLVAARGGVASEEEYPYTGVRGGCDVGKLLSGHSASLSGFRAVPPNDERQLALAVARQPVTAYIDAGAREFMFYKGGVYRGPCSAERVNHAVAIVGYCEGFGGDKYWIAKNSWGSDWGEQGYVYLAKDVWWPQGTCGLATSPFYPTA >ONIVA01G09470.1 pep chromosome:AWHD00000000:1:7354830:7356148:-1 gene:ONIVA01G09470 transcript:ONIVA01G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPVAIAVILLCTFLAFQAMTADAYGGGGDYGVTTQMFEEWMAKFGKKYPCHGEKEYRFGVFRDNVRFIRSYRPPAGYNSALRVNQFADLTNHEFVSTHTGAKPPCPKDAPRGVDPIWLPCCIDWRYKGAVTDVKDQGACGSCWAFAAVAAIEGLTQIRTGKLTPLSEQELVDCDTGSSGCAGGHTDRAFELVAAKGGITAESGYRYEGYRGKCRADDALFNHAARIGGHRAVPPGDERQLATAVARQPVTAYIDASGPAFQFYGSGVFPGPCGASSNHAVTLPVTAYIDASGPAFQFYGSGVFPGPCGSGSGAAAAAPTTNHAVTLVGYCQDGASGKKYWVAKNSWGKTWGEKGYILLEKDVASPHGTCGVAVSPFYPTV >ONIVA01G09460.1 pep chromosome:AWHD00000000:1:7352956:7354545:1 gene:ONIVA01G09460 transcript:ONIVA01G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRPRSSNASSTPVAAKKEKTATRWCSQSAQEKVVVVRVQGVRGQEDVVAESDKGTSRADATAAAAAAAPPVSSKRLRWWAVVLANIVFVLGGQSVGCFSAGYTTIRGGDSLWLATVVQSCGAPLTVLLLLYFQMGSPVPPLIEPSERWWRQSSAGAGLDGRLSPSAAGCVEKRGEEKK >ONIVA01G09450.1 pep chromosome:AWHD00000000:1:7346822:7350127:-1 gene:ONIVA01G09450 transcript:ONIVA01G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRRLIPSGFPAILRRRMRGRAAVFSAVLVVFLVACTAAAAAAAITISRKHQHRPASGAAAKAACDVFAAGSWVVDESYPLYDSATCPFIRAEFDCRRYGRPDKEYLKYRWQPSSPCSTPRFDGVALLRMWSGKKVMFVGDSLALNQYESLLCMLHAAAPNARTTVTPASGKVDPLTTARFEEFNVTIVYYLTHYLVDIVNEKAGRVLKLDAIDQARNWLGADVLVFDSWHWWPRSGPTQPWDYIQEGNTVVKDMDRTEAFSKALNTWARWVDANLLQTNTRVFFQGISPSHYRGQDWGDTASATCVGQTRPVNGTAYPGGPIPQQAVLRSALAGMAKPVYLLDFTYLSQLRKDAHPTKYNGGIFGDDCTHWCVAGLPDTWNVLFYAALTGQH >ONIVA01G09450.2 pep chromosome:AWHD00000000:1:7346822:7349893:-1 gene:ONIVA01G09450 transcript:ONIVA01G09450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPSYHKESVSSHPTYIVTFRLPPRHTSRDSALTRTGHFLAASSSSSSSRSLTSPLLSIVLYWRMRGRAAVFSAVLVVFLVACTAAAAAAAITISRKHQHRPASGAAAKAACDVFAAGSWVVDESYPLYDSATCPFIRAEFDCRRYGRPDKEYLKYRWQPSSPCSTPRFDGVALLRMWSGKKVMFVGDSLALNQYESLLCMLHAAAPNARTTVTPASGKVDPLTTARFEEFNVTIVYYLTHYLVDIVNEKAGRVLKLDAIDQARNWLGADVLVFDSWHWWPRSGPTQPWDYIQEGNTVVKDMDRTEAFSKALNTWARWVDANLLQTNTRVFFQGISPSHYRGQDWGDTASATCVGQTRPVNGTAYPGGPIPQQAVLRSALAGMAKPVYLLDFTYLSQLRKDAHPTKYNGGIFGDDCTHWCVAGLPDTWNVLFYAALTGQH >ONIVA01G09440.1 pep chromosome:AWHD00000000:1:7341376:7341939:1 gene:ONIVA01G09440 transcript:ONIVA01G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIILSYGQEEPLCKIQLIYFILYFSLYIHFFFLFVCNQFHTLPFFSCAPAKFQQLSSESKKLSMNVEHIIAQVSQAVNMNMAQRKTLCSKNLMGITP >ONIVA01G09430.1 pep chromosome:AWHD00000000:1:7339260:7340067:-1 gene:ONIVA01G09430 transcript:ONIVA01G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFGIFALDGFSKTKPPISQLSLNLCTNLNLRRAINFEDDDHKRSLIEGVP >ONIVA01G09420.1 pep chromosome:AWHD00000000:1:7334100:7338212:1 gene:ONIVA01G09420 transcript:ONIVA01G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYSPSKPVAFLLLLLLFGCLHYAQANPGHRRPKIDSVFSFGNSFADTGNFVELAAPLLPIMPFNNLPYGETFFGHPTGRATNGRIIMDFIADEFHVPFVPPFLGQGRQNFTHGANFAVVGASALDLAFFLKNNITNVPPLNISLSVQLEWFQKLKPTLCQTAQECREYFKRSLFFMGEFGGNDYVFILAAGKTLEELVPYVPKVVQAISAGIEVAAVKFSLTIYTELTLPLSRTNNIVIQTVIKEGARYVVVPGQLPNGCVPIILTLYASKSRGDYDARGCLKKQNALARYHNSALFEAVSRLRHRYPWVKIVYADYYKPVIDFLKKPARFGFNGSSTLRACCGAGGGPYNYDATAACGLPGAAACPDPAAFISWDGIHLTEAAYARISAGWLHGPYAHPPILSALRH >ONIVA01G09410.1 pep chromosome:AWHD00000000:1:7324449:7329737:1 gene:ONIVA01G09410 transcript:ONIVA01G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRQTRRRETGAAERYREMGISTALSWPWDYPTACGEIVALLRIGYGCAAESPPNRSASPSSAMAHAPSPALSPSCSCSSSSSSSGFGEEDAVTAGESGLELSLGLPAYFSSSKPSEGSTAAPAFALQYNGTTASKPRFVNLFERNGGGIKLIKY >ONIVA01G09400.1 pep chromosome:AWHD00000000:1:7323060:7323542:-1 gene:ONIVA01G09400 transcript:ONIVA01G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVAAAGDEATMLDKGDALHSSPRLRLLAPRVSSAPPPPSAESADRGLSELVVRLHRSGFLPKNLLSSCSLTVVSLDSCALPHRDHTAVLPDWGRKGGGEIGEEEKENWVPAVLLGHVQPSRAAGRPAARDREVGGGVGEEDREAGELVCSARCRSSI >ONIVA01G09390.1 pep chromosome:AWHD00000000:1:7295817:7322937:1 gene:ONIVA01G09390 transcript:ONIVA01G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSPSNEMTLLLLLFLLGCTQYGHAGSDRPKIDSIFSFGNSYADTGNFVKLAAPVFPGIPFNNLPYGETFFGHPTGRASNGRLNVDFIAEGLGVPLLPPYHGESQDFSHGANFAVVGATALDLAFFQKNNITSVPPFNTSLSVQVEWFQKLKPTLCSTTQDYFERSLFFMGEIGGNDYVFLYAAGKTVDEAMSYVPKVVQAISAGVEAVIKEGARYVVVPGQLPTGCLPIILTLYASPAAADYDAGTGCLWRFNALARYHNAVLFAAVSLLRAKHPSVAIVFADYYRPVIKFVQNPDEFGFSESSKLRACCGGGGGAYNYDVAAACGFPGAAACPDPDAAINWDGIHLTEAAYGQVAAGWLRGPYAHPPILAAVQRSDEGNPIQMATPKLAVGQKPKLTMEHSPSNRMTFLLLLLLQLLIGCTHYAQANPGHHMIDSIFSFGNSYSDTGNFVKLAAPLLPVIPLDNLPYGETFFGHPAGRASNGRLIIDFIAGHFGVPFLPPYLGQVQNFSHGANFAVVGATALDLAFFQKNNITNVPPFNSSLSVQLEWFHKLRPTLCSKTQGCKHYFERSLFFMGEFGGNDYVFLLAAGKTVDEVMSCYVPKVIGAISAGVEAVIEEGARYVVVPGQQPTGCLPVVLTPYASPNATDYDAGTGCLWRFNELARYHNAALLAAVSLLRRKYPSATIVFADYYDPVIEFMQKPDDFAGWLQIPIEAFSDSSKLRACCGGGGGPYNYNATVACGLPGTSVCPTPNTSINWDGIHLTEAAYARIAACWLHGPHAHPPILAAVRRRTCNCPMEHSPSNKMTLLLLLLLLLGCTHHGQANMYSGHPKIDSIFSFGNSYSDTGNFVKLAAPVIPVIAFNNLPYGETFFGHPTGRASDGRLNVDFIAEDFGVPLLPPYLGESKNFSHGANFAVVGATALDLAFFQKNNITSVPPFNTSLSVQVEWFHKLKPTLCSTTQGCRDYFERSLFFMGEFGGNDYVFLLAAGKTVDEAMSYVPKVVGAISAGVEAVIEEGARYVVVPGQLPTGCLPIILTLYASANATDYESGAGCLRRFNALARYHNAALFAAVSLLRGKHPSAAIVFADYYQPVIEFVRMPENFGFSRSSRLRACCGGGGRYNYNATAACGLAGATACPDPAASINWDGVHLTEAAYGRIAAGWLRGPYAQPPILAAHSKPVAFVLLLLLACLHYAQANPSRRPLVQSIFSFGNSYADTGNFVRLAAPLLPVIPFNNLPYGETFFGHPTGRASNGRIIMDFIAEKFQVPFVPPSLGQGEDFTHGANFAVVGASALDLAFFLHNNITSVPPFNTSLSVQLEWFHKLKPTLCSTAQECRDYFRRSLFFMGEFGGNDYVFLQAAGKTVEQLIPYVPKVVGAISAGIEVSYIFTDICRDTNYTYNPQFTNGIDVNYCVIDAFIQAVIKEGARYVVVPGQLPNGCVPIILTLYASKSRGDYDARGCLKKQNALARYHNSALFEAVSRLRHRYPWVKIVYADYYKPVIEFIKKPSRFGFSASSRLRACCGGGGGGGPYNYNATAACGFPGASACPDPAASISWDGIHLTEAAYARIAAGWLRGPYAHPPILAARSRWGGEEAGGGGGSPAATAGDEAAAGDEATMLEKGNACIPPPRFRLPAPREMGLAMFGHTPLLPLHHTAAAAAADDDNDTSPPAIETSRGTCESEYYSEEEVGWEEEGLGSEGEEETREWALKRLDSLGKRGVCYLSRVPPNMNPSHVRLRRLLSKHGEV >ONIVA01G09390.2 pep chromosome:AWHD00000000:1:7295817:7322937:1 gene:ONIVA01G09390 transcript:ONIVA01G09390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSPSNEMTLLLLLFLLGCTQYGHAGSDRPKIDSIFSFGNSYADTGNFVKLAAPVFPGIPFNNLPYGETFFGHPTGRASNGRLNVDFIAEGLGVPLLPPYHGESQDFSHGANFAVVGATALDLAFFQKNNITSVPPFNTSLSVQVEWFQKLKPTLCSTTQDYFERSLFFMGEIGGNDYVFLYAAGKTVDEAMSYVPKVVQAISAGVEAVIKEGARYVVVPGQLPTGCLPIILTLYASPAAADYDAGTGCLWRFNALARYHNAVLFAAVSLLRAKHPSVAIVFADYYRPVIKFVQNPDEFGFSESSKLRACCGGGGGAYNYDVAAACGFPGAAACPDPDAAINWDGIHLTEAAYGQVAAGWLRGPYAHPPILAAVQRSDEGNPIQMATPKLAVGQKPKLTMEHSPSNRMTFLLLLLLQLLIGCTHYAQANPGHHMIDSIFSFGNSYSDTGNFVKLAAPLLPVIPLDNLPYGETFFGHPAGRASNGRLIIDFIAGHFGVPFLPPYLGQVQNFSHGANFAVVGATALDLAFFQKNNITNVPPFNSSLSVQLEWFHKLRPTLCSKTQGCKHYFERSLFFMGEFGGNDYVFLLAAGKTVDEVMSCYVPKVIGAISAGVEAVIEEGARYVVVPGQQPTGCLPVVLTPYASPNATDYDAGTGCLWRFNELARYHNAALLAAVSLLRRKYPSATIVFADYYDPVIEFMQKPDDFAFSDSSKLRACCGGGGGPYNYNATVACGLPGTSVCPTPNTSINWDGIHLTEAAYARIAACWLHGPHAHPPILAAVRRRTCNCPMEHSPSNKMTLLLLLLLLLGCTHHGQANMYSGHPKIDSIFSFGNSYSDTGNFVKLAAPVIPVIAFNNLPYGETFFGHPTGRASDGRLNVDFIAEDFGVPLLPPYLGESKNFSHGANFAVVGATALDLAFFQKNNITSVPPFNTSLSVQVEWFHKLKPTLCSTTQGCRDYFERSLFFMGEFGGNDYVFLLAAGKTVDEAMSYVPKVVGAISAGVEAVIEEGARYVVVPGQLPTGCLPIILTLYASANATDYESGAGCLRRFNALARYHNAALFAAVSLLRGKHPSAAIVFADYYQPVIEFVRMPENFGFSRSSRLRACCGGGGRYNYNATAACGLAGATACPDPAASINWDGVHLTEAAYGRIAAGWLRGPYAQPPILAAHSKPVAFVLLLLLACLHYAQANPSRRPLVQSIFSFGNSYADTGNFVRLAAPLLPVIPFNNLPYGETFFGHPTGRASNGRIIMDFIAEKFQVPFVPPSLGQGEDFTHGANFAVVGASALDLAFFLHNNITSVPPFNTSLSVQLEWFHKLKPTLCSTAQECRDYFRRSLFFMGEFGGNDYVFLQAAGKTVEQLIPYVPKVVGAISAGIEVSYIFTDICRDTNYTYNPQFTNGIDVNYCVIDAFIQAVIKEGARYVVVPGQLPNGCVPIILTLYASKSRGDYDARGCLKKQNALARYHNSALFEAVSRLRHRYPWVKIVYADYYKPVIEFIKKPSRFGFSASSRLRACCGGGGGGGPYNYNATAACGFPGASACPDPAASISWDGIHLTEAAYARIAAGWLRGPYAHPPILAARSRWGGEEAGGGGGSPAATAGDEAAAGDEATMLEKGNACIPPPRFRLPAPREMGLAMFGHTPLLPLHHTAAAAAADDDNDTSPPAIETSRGTCESEYYSEEEVGWEEEGLGSEGEEETREWALKRLDSLGKRGVCYLSRVPPNMNPSHVRLRRLLSKHGEV >ONIVA01G09380.1 pep chromosome:AWHD00000000:1:7282871:7288464:-1 gene:ONIVA01G09380 transcript:ONIVA01G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPATRQQTAVPWSKVKMSVSASPEAAPKIVPARLHREDDGLSRTSPTTAACAVWAQRRRRRLGCAPTAHAIAACAVQVQMAARSQRLQGLDFSENGVQNQEMVPNDHYVEEQNITNAEWRSNCYEYHPDGRIKRRRGPTKLANVENLPEGVKIIVKLDRFNAPCSQSFVVLGSYLGTLVRKPHLAPLNILKWNDKLYKRIYHPKLISEVQRKFAIHGRAKDWLLHQLDGKWRQYKSNLKKKYYKANLPMERVLQTVPQTVNESQWPTLVSYWYSKDSKKISDQNQENAQNIKHPHTLGRKSFARKRKELEHDGVEVDRATFFDECHKTKDGRYVNDATQDKMNEVYMKLAEKRVDGQELTEADFEQAMLEVFGKDHNGRVRGMGPTITPTNYYGGRFSNMLGRSEQGSSSSNVNGFISFMVSYLAEKYPEDNLMSRLPPSLARLIPRQEVDQNQGS >ONIVA01G09370.1 pep chromosome:AWHD00000000:1:7234643:7254382:-1 gene:ONIVA01G09370 transcript:ONIVA01G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAKEIDEGVGVSSRMAAVRQTLCRDDGRRLNVSSLWAYEALGKHRWSCAKIKSNPVTGHKLQPVRDESSVTARYVDT >ONIVA01G09360.1 pep chromosome:AWHD00000000:1:7216108:7225540:-1 gene:ONIVA01G09360 transcript:ONIVA01G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILICANARPHLALLDRRRWSPAWDRRRPDHHTRMHARRPRDKEQSKGIVYCKAKETQETVDRRSGGKDELRDMEEMVNTIRVMLRTMGDGEISASAYDTAWVALVKNHNGSDSPQFPSTIDWISHNQLPDGSWGDDLCFLVHDRLLNTLACVIALMEWKVHGDKREKGLSFIRENIWRLAQEEEAWMPVGFEITFPSLLEIAKDLALDIPYDDPALHKIYAQRELKLKKIPREILHSLSTSLLLSIEGLRGLDWKRLLKLQLSDGSFLSSPAATAYVLMQTGDKKCLEFLDGIVSKFHGGEYTFRHWTKEGVTYNWHSSVKDIDTGSMAFRLLRLHGYSVSPRVDLE >ONIVA01G09360.2 pep chromosome:AWHD00000000:1:7216108:7225540:-1 gene:ONIVA01G09360 transcript:ONIVA01G09360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILICANARPHLALLDRRRWSPAWDRRRPDHHTRMHARRPRDKEQSKGIVYCKAKETQETVDRRSGGKDELRDMEEMVNTIRVMLRTMGDGEISASAYDTAWVALVKNHNGSDSPQFPSTIDWISHNQLPDGSWGDDLCFLVHDRLLNTLACVIALMEWKVHGDKREKGLSFIRENIWRLAQEEEAWMPVGFEITFPSLLEIAKDLALDIPYDDPALHKIYAQRELKLKKIPREILHSLSTSLLLSIEGLRGLDWKRLLKLQLSDGSFLSSPAATAYVLMQTGDKKCLEFLDGIVSKFHGGGVTYNWHSSVKDIDTGSMAFRLLRLHGYSVSPRVDLE >ONIVA01G09350.1 pep chromosome:AWHD00000000:1:7205480:7212576:-1 gene:ONIVA01G09350 transcript:ONIVA01G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKRFCYEFLQERRAITKLNDEWVIADGLPGEIGYALDFPWKASLPRVETRMYLEQYGAGRDVWIGKSLYRLPLVNNDLYHNMAKADFKCFQRSCQLEWHGINKWYAENDLEVYGVTHKSALTAFFLAAANIFEPNRADERLGWARTAVLAEAISSRLNSLEESEDGLLSTLDQLIGSLAPDSTASGSLREAWKKWLLTWTSKERCHNAIPEENTAPLIIRTEGPDLQKAEELDRQVDMEMQKLALLVLQNYNAADRLSNRTFLDVVKSFCYIAHCSPETVDRHIFMVMFDNLIR >ONIVA01G09340.1 pep chromosome:AWHD00000000:1:7201774:7202240:-1 gene:ONIVA01G09340 transcript:ONIVA01G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPSAPTPRCPRCRSIVHAPPPPLPILRPSAAVAASTAVRVSLLFLRCRGGSWPDPLLMAGRSEEYTGFHITR >ONIVA01G09330.1 pep chromosome:AWHD00000000:1:7194717:7196379:1 gene:ONIVA01G09330 transcript:ONIVA01G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGIAKEHQCEGGDCLIVLHWLRKATGVNGNSDPGNGDDDVDDLGDDKKDDKQKDKKGDDSAEGELITLMDLDLKHGEMEVGAQAGKEEKCGIPTDSDIEKMRSEEDH >ONIVA01G09320.1 pep chromosome:AWHD00000000:1:7164810:7165373:-1 gene:ONIVA01G09320 transcript:ONIVA01G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLENETSPVFFVPDSVQGKIQEVLLRNQLLRQLAERVQGPPTVPLALAPFTALLLPRRNAIYDSLPPVFHSSQLPVVVVQHEDHEDDMDHDMGPLGQDEILDVQPLAISEPLDQAQPKSPPRTGPVPLLLEPPRAPIKKKDGKTVLFDPNRRQSARLRSSSQKLTQPDPRMGIGKPRGKSAKKLK >ONIVA01G09310.1 pep chromosome:AWHD00000000:1:7158208:7158731:-1 gene:ONIVA01G09310 transcript:ONIVA01G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIGGGSIPTNFWDGIKAVKDKLRVNLGLFLCASLCWGLWLVVYKAISLMHRWKVLLPKERDREALNMLLVKLKAKIESLRPMNVLPYNISVSVLLLLGLRSLSRLDVFLGRAYLVMFGFLPKAG >ONIVA01G09300.1 pep chromosome:AWHD00000000:1:7114213:7118772:-1 gene:ONIVA01G09300 transcript:ONIVA01G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEDMVHHPPMDQLQGFEYCIDSNPSWGEAIALGFQHYILSLGTAVMIPTMLVPLMGGNDHDKARVVQTLLFVTGIKTLLQTLFGTRLPTIIGGSYAFVVPILSIIRDPSLAQIADGHTRFVQTMRAIQGSLIVSSSIQIILGYSQLWAICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLILFVALSQYLKHVNVRHVPVLERFSLLICVALVWVYAHILTASGAYKHTALLTQFSCRTDRANLISSALWISIPFPLQWGAPTFSANHAFGMMAAVVVSLIETTGAFMAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFPIFAAIYCVMFGIVAAVGLSFLQFTNMNSMRNLFIVGVSLFLGLSIPEYFSRYTTSAQQGPAHTKAGWFNDYINSVFSSPPTVALIMAVLLDNTLDVREAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >ONIVA01G09290.1 pep chromosome:AWHD00000000:1:7106203:7107982:-1 gene:ONIVA01G09290 transcript:ONIVA01G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWNETDGSSSRNRNRRSKEKYQACFLNGSMSIIQWINVELGYLPWMLPHVQSKNDNDNGGCDGCEAAPRREEKRPRRRPCGRLTATSAPSSSIRSCHRGRWTGQETAAAAEGVGAPQPRRDSAGRELGRAGRGGGQGGSAARESKERTSSTLSGGSIGRSGAMVEGSWEVVRRMDSTVGGLHGLPRQPFPVAAAQLLPRWLPPAPSFFPGGSDVGGRAVPPPDSGLPHRPAALPTAELRSKGREKEEEGGREGRGRRG >ONIVA01G09280.1 pep chromosome:AWHD00000000:1:7084714:7105057:-1 gene:ONIVA01G09280 transcript:ONIVA01G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGEQPPESPKEANAAPVAAKLAMASGRDGCERLKDLVSREDDATTMVVAMATSQNVDDTGRPPPPRVIMDPQLLMAARNGACQSLESLLLGHEVRCQTLPESHLIIYLPEPDEGAPTTDPIEDQAEGISATDQQASGAVYSLSLLEGLTLDSDENSALHVVAASGDSQAYVECTTRRGTYSARPTTTATRPCTAPLQLGTTPRAGCCCACAGAARVDDQELLARRKNKVGETALHRAVRAGHSKVVEVLMKEDPGLAGVDRHDGTSPLYLAVSLGRFEIAWDLLDMSSRKLSYSGPDGQNVLHVAVQHPQALSVLLEKCKNVEVNVQQEDQQRSIPLLLHLTSQSDKNGSTPLHFAASLKTSIEGFTSRLCEHFRPKQSPTTLLLGLNESAIYQPDNRGSYPIHVAASNGILKVVITLLKRYPDCATLRDIQGRTFFHVAVEKKRRNIVAYVCERPGFSPILNMQDSHGDTALHLAVKAGVCLNLSNEDGLTPRDLSWIMIPARLYSKKNPRYMISQLLALSGGTVGYSRQDHFFEKYSKKRDEVIDSNDMTSAAQVLGISSALIATVTFAAAFTLPGGYRADEHTDGGTPTLAGSYPFDAFIISNSLAFICSLLATVSLLYSGIQSRDISIRRRYYAFSMLLMQSSTTSFTVAFAMGMYLVLAPVTLNAAVSVCIIAFVSLLPGNMEIGVSLAIANTLRIRLGIWAAMSQARPVLLFTWKRRSREPLSQPDPYTFRDRGPNAAASQQQQ >ONIVA01G09280.2 pep chromosome:AWHD00000000:1:7094116:7105057:-1 gene:ONIVA01G09280 transcript:ONIVA01G09280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGEQPPESPKEANAAPVAAKLAMASGRDGCERLKDLVSREDDATTMVVAMATSQNVDDTGRPPPPRVIMDPQLLMAARNGACQSLESLLLGHEVRCQTLPESHLIIYLPEPDEGAPTTDPIEDQAEGISATDQQASGAVYSLSLLEGLTLDSDENSALHVVAASGDSQAYVECTTRRGTYSARPTTTATRPCTAPLQLGTTPRAGCCCACAGAARVDDQELLARRKNKVGETALHRAVRAGHSKVVEVLMKEDPGLAGVDRHDGTSPLYLAVSLGRFEIAWDLLDMSSRKLSYSGPDGQNVLHVAVQHPQALSVLLEKCKNVEVNVQQEDQQRSIPLLLHLTSQSDKNGSTPLHFAASLKTSIEGFTSRLCEHFRPKQSPTTLLLGLNESAIYQPDNRGSYPIHVAASNGILKVVITLLKRYPDCATLRDIQGRTFFHVAVEKKRRNIVAYVCERPGFSPILNMQDSHGDTALHLAVKAGVCLNLSNEDGLTPRDLSWIMIPARLYSKKNPRYMISQLLALSGGTVGYSRQDHFFEKYSKKRDEVIDSNDMTSAAQVLGISSALIATVTFAAAFTLPGGYRADEHTDGGTPTLAGSYPFDAFIISNSLAFICSLLATVSLLYSGIQSRDISIRRRYYAFSMLLMQSSTTSFTVAFAMGMYLVLAPVTLNAAVSVCIIAFVSLLPGNMEIGVSLAIANTLRIRLGIWAAMSQARPVLLFTWKRVWSCIIIFGLPGLMKIHRTKMA >ONIVA01G09270.1 pep chromosome:AWHD00000000:1:7079822:7082183:-1 gene:ONIVA01G09270 transcript:ONIVA01G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRPPAAPAKLSYGIRRGGWTRIGAATVAAGKKAAGDLDPRHHHHRVTHGGDGGGVGGGGSGGQEEADEQQQQQHDHHRLLQLHHHQGVQQDQEPPPVPVFHLQPASVRQLSGSSAEYALLSPMGDAGGHSHHHQHGFQPQLLSFGGVGHHHHLHQFTAQPQPPAASHTRGRGGGGEIVPATTTPRSRGGGGGGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDAIDKLDVLPAWQPTAGGAGAGNAAAPPSSSTHPDSAENSDDQAQAITVAHTAFDFAGGGSGGTSFLPPSLDSDAIADTIKSFFPMGGTAGGEASSSTTAAQSSAMGFQSYTPDLLSRTGSQSQELRLSLQSLPDPMFHHQQHRHGGGGNGTTQQALFSGAANYSFGGGAMWATEQQAQNQRMLPWNVPDPGGGGGAAYLFNVSQQAAHMQAAAALGGHQSQFFFQRGPLQSSNQPSERGWPETVEADNQMSHHQGGLSPSVSAAIGFAAPGIGFSGFRLPARIQGDEEHNGGGGGNGDKPPPPSSVSSASHH >ONIVA01G09260.1 pep chromosome:AWHD00000000:1:7078446:7079399:1 gene:ONIVA01G09260 transcript:ONIVA01G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSSLSLSLSLSLSLLWHLSQSAASVSAGSRPRPEEPEYKEKRPQVLEKMKDRLLSQSITIPLISFENNIDLVATAPEPTGGSKSMSMHQQQKSKNQNKAKQARTYIDQLHLAQRNSQQTQRLAKLS >ONIVA01G09250.1 pep chromosome:AWHD00000000:1:7075138:7079802:-1 gene:ONIVA01G09250 transcript:ONIVA01G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPPIHHEQTPHHVPDYQLAPPLPSRAKAKEATNPAARPGRTRLPLEPDTAVARARNARRSQLRLTVDNNSLRRYLEYLPSTVKDLYSVQASAVCR >ONIVA01G09240.1 pep chromosome:AWHD00000000:1:7054128:7055942:1 gene:ONIVA01G09240 transcript:ONIVA01G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARRTGAAYVDDERERDITFFKRRNGLFKCASDLSILTGASVAVVIEDQNRSKFHAVGTPTVQAVVDAALSSDVEEAAAEARPVADEQLMERIAPLERELAWLKGEAAEKDETTKASKARFKMAQKKEENEEEGDTKKKKLFFSKPDKLSSDEMNELLAEMLEIKKELNVRLPPLRRRGGKRPIQGSSVPPPPPPPPPPPQPEQQLQLPQWPNLSGPHNQLLPVAPPPFVADQPPPPPPPAAGGSLWIPELPPPPVEGSPWAGLLPLRPPRFAGMEPSFLESQQAPPPAQVSTQLAPLPLIREEAPLLQEPFLFADQAPVLAPLPAPLQMPVAETHLPLQAPLLQEPFLFSDHAPTLAPLPSPLQMPVAETHLPLQVQAPFMQEPFLFSDQAPVLAPPPTPLQMPVEAHMPLEAPWIQEPFLMPDQAPVHAPPPTPLLMPVGADHFPLEAPLFQESLIMADQKSVHALPPPPLQMPLEAHLPPAAQEYNQDLAVQQQPQEYENYDYMFENVGLSQAQPVAAGAGDAGFAAIGNDDNPFGYQQLVASPLYDGQIYFGSGVDNMGVPPAGDFGGVPEAALPEVEHASSSGWGNNITGDAGAWF >ONIVA01G09230.1 pep chromosome:AWHD00000000:1:7013942:7032271:-1 gene:ONIVA01G09230 transcript:ONIVA01G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKFWDAVEEGGRGGAHFTAAAAAAAWAAAFAVPRLGRTARRLLIARPAWVHRLGGGITSEMKRLRKDFERGSAETGNVREARRPEPGGETRERDKKGGERVAEPSGMPETRRWLHSELSHSLGVRSDEREPCP >ONIVA01G09220.1 pep chromosome:AWHD00000000:1:7011768:7013488:-1 gene:ONIVA01G09220 transcript:ONIVA01G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEHGGSNHLQQQHQHQLAKYGGGVAGTGVARASRKNKPKKVPQRGLGVAQLEKLRIEEQKKMEGGAALSSHGALGGGDGVLGHLPPPPPPSFALSALQSRSAADGGFPAALWSPVDPIKHPYKRSLCPQPPSPMVSTGLSLTASARHPTEPPSNQMYSSSGSRSSAAAAEEERETGGVDRSWPFMFEGMAAFRTTTSRAPPLVAPFSARTTTTGELAGLADVGPDLSRYEFRATNYFSANANYSDWTSDFAHCKSSKENGRARDPAFLTLSSQPPHLIKQPHVGSNISSSSSQPFYSFLPVGPVRCERTLSECKTDVSEGVDLELKLGNC >ONIVA01G09210.1 pep chromosome:AWHD00000000:1:7006240:7012975:1 gene:ONIVA01G09210 transcript:ONIVA01G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRSFPLVPLLLFLLAAAAYGRLISDGSPASASATSLLSNPVSAVIRLTTSNSASASSPPAAAPEEKCEQSYGFLPCTTTVLGNLFLVLAYGFLMYKAATFLSAGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGSRETAQSQVLIGMGLLAGSTVFLLTVLWGTCVVVGKCDIGPNGVAVDLQNNKGFSLTGTGISTDVQTSYAARIMGISVIPFIIAQFPKMLKTHHGQRLAVLLALIVSFSLVLAYCLYQVFQPWIQKRKLAYAKHKHVISGILRHAQMEALGRLLNEDGTPNEDVIKKLFHKIDMDESQTLSRAELHALIIGINFEEVDFDKNDAVDKIMDDFDTSGNDIVEEAEFVSGMKRWLNEAKRSVPTSGAYSNKFITDYHARTRQEHDLLVDRSDETVESVENPGWCITKAVGLLLLGSAIAAAFADPLVDAVHNFSNASHIPSFFISFIALPLATNSSEAVSAIIFASRKKLRTSSLTFSEVYGGVTMNNTLCLGVFLALIYIRNLTWDFSSEVLIILLVCVIMGLFTSFRTTFPLWTCLVAYMLYPLSLVVVYILDFVFGWS >ONIVA01G09200.1 pep chromosome:AWHD00000000:1:7001365:7001670:-1 gene:ONIVA01G09200 transcript:ONIVA01G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPFLIVPTDGRDTLAAVVKGINGRGASPPWRPASPGGQRLRGTSDRGAEGEAQRDERHGGRRSVVAATRVEDGDAAVAGWQPAQGRWPGTLPGEQTMTL >ONIVA01G09190.1 pep chromosome:AWHD00000000:1:6984815:6997561:1 gene:ONIVA01G09190 transcript:ONIVA01G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G18465) TAIR;Acc:AT4G18465] MSRFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSMLESADHLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLIIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLGCYSSDDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEFYLKEMQPEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTVPLGFQVAEIPLSVWVSMRGVKKEFDEAKLRFAAAEKKVIDIREQLVRIIKRFGIPLTSCDRDMEAVRKAIIAGAFAYACHLEEYSQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVIYQSLVSTDKHYMRNVIAIEPSWLTEAAPQFYQFRTPNPALH >ONIVA01G09190.2 pep chromosome:AWHD00000000:1:6984815:6998817:1 gene:ONIVA01G09190 transcript:ONIVA01G09190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G18465) TAIR;Acc:AT4G18465] MSRFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSMLESADHLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLIIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLGCYSSDDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEFYLKEMQPEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTVPLGFQVAEIPLSVWVSMRGVKKEFDEAKLRFAAAEKKVIDIREQLVRIIKRFGIPLTSCDRDMEAVRKAIIAGAFAYACHLEEYSQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVIYQSLVSTDKHYMRNVIAIEPSWLTEAAPQFYQFRTPNPALH >ONIVA01G09190.3 pep chromosome:AWHD00000000:1:6984815:7004767:1 gene:ONIVA01G09190 transcript:ONIVA01G09190.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G18465) TAIR;Acc:AT4G18465] MSRFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSMLESADHLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLIIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLGCYSSDDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEFYLKEMQPEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTVPLGFQVAEIPLSVWVSMRGVKKEFDEAKLRFAAAEKKVIDIREQLVRIIKRFGIPLTSCDRDMEAVRKAIIAGAFAYACHLEEYSQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVIYQSLVSTDKHYMRNVIAIEPSWLTEAAPQFYQFRTPNPALH >ONIVA01G09180.1 pep chromosome:AWHD00000000:1:6981469:6983301:-1 gene:ONIVA01G09180 transcript:ONIVA01G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGNRSCAWPCGLGMAGGGQEGSGNRQGVGDGVPPDGGRDSEARRKTVTALSGYGSPRGCGVPRQWQSEHCESDKVEADPIEQIQRGFSIYRANTEGKGVVDGGFTCFAMGIGRVVSAGTAAASAGPIGSSQWRLRQQK >ONIVA01G09170.1 pep chromosome:AWHD00000000:1:6968109:6972555:-1 gene:ONIVA01G09170 transcript:ONIVA01G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPRAPIESSSRPFLRVKDPARPGPGANALLYNFRRISESGPKAGLFGGASDSERSCSTAAAAAVAAAARGTNKHAS >ONIVA01G09160.1 pep chromosome:AWHD00000000:1:6966652:6967966:-1 gene:ONIVA01G09160 transcript:ONIVA01G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMATAWPEFGGGHHHHAAHGHHHQRSPSMGAFLAAPLPPFPLPPPAPANGGAQQQQQQQQPSWVDEFLDFSAAKRGAHRRSVSDSVAFLDPVSDDNAGVGAHDFDRLDDDQLMSMFSDDLQPPPPQQQPAAAAASASSPSDHNSMNDEKQDKGETDEAQSECDGATPGQPASPATVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQIYHQQSLKNAESQPAEAAPVRGRDNADLIGSEGAAAAAPCPHS >ONIVA01G09150.1 pep chromosome:AWHD00000000:1:6947209:6948735:-1 gene:ONIVA01G09150 transcript:ONIVA01G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVDFLDLRAAAPFVVAALAFYFLVEQLSYHRKKGPLPGPPLVVPFVGSVAHMIRDPTGFWDAQAARARKSGAGLAADFLIGRFVVFIRDSELSHRVFANVRPDAFHLIGHPFGKKLFGDHNLIYMFGEDHKDLRRRIAPNFTPRALSTYAAIQQRVILSHLRRWLDRSAANGGKAEPIRVPCRDMNLETSQTVFAGPYLTKEAREKFERDYNFFNVGLMALPVDLPGFAFRSARLGVARLVRTLGECARASKARMRAGGEPECLVDFWMQETVREIDEAKAAGKPPPAHTDDEELGGFLFDFLFAAQDASTSSLCWAVSALDSHPDVLAGVRAEVASLWSPESGEPITAEKIAEMKYTQAVAREVVRHRPPATLVPHIAGEEFQLTEWYTIPKGTIVFPSVYESSFQGFPEPDTFDPERFFSEARREDVVYKRNFLAFGAGPHQCVGQRYALNHLVLFMALFVSVVDFRRDRTEGCDEPVYMPTIVPRDSCTVYLKQRCAKFPSF >ONIVA01G09140.1 pep chromosome:AWHD00000000:1:6932290:6933825:-1 gene:ONIVA01G09140 transcript:ONIVA01G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSLLYGLLDLRMAAPLLAAAVALYILVEQLSYHRKKGSLPGPPLVVPFIGSATHMIRDPTGFWEMQAARARKSGVGFTADFLAGKFTIFIRDSELSNRVFANVRPDAFFVIGHPFGKKLFGDHNLIYLFGDDHKDLRRRMATNFTPRALSTYAAIQQRVIVSHLRRWLDRSAANGGKAEPIRVPCRDMNLETSQTVFAGPYLTEEARERFKSDYNLFNVGLLAFPVDLPGLAFRRARQAVARLVRMLRDCARESKARMRAGGEPECLVDYWMQETVREIDEAKAAGLPPPAHISDDEEIGGFLFDFLFAAQDASTSSLCWAVSALDSHPDVLARVRAEVASLWSPDSGEPITADKIAEMKYTKAVAREVVRHRPPATLMPHIALQDFQLTESYTIPKGTLVLPSMYESSFQGFHDPDAFDPERFFSEARREDVVYKRNFLAFGAGPHQCVGQRYALNHLVIFMALFVSLVDFRRERTERCDVPVYMPTIVPRDGCVVYLKQRCANLPSF >ONIVA01G09130.1 pep chromosome:AWHD00000000:1:6905393:6911138:-1 gene:ONIVA01G09130 transcript:ONIVA01G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESFHGLVVVDLRTAAPLLATAVALYILIEQLSYHRKKGSMPGPPLVVVPFLGSVTHLFRDPVGFWDLQATRASKSGAGLTADFLFGRLMVFIRDSELSRRVFANVRADAFHLPNNRFVLPCDHYARIRTHHFFSYCNFLSTVFFSPETFDVAFSHNISMEPNVSIYNMSWNAPGKSFTLDYAVMNITGCNFDTYRVLHDHDGDVPAKLCSITCPNEGIAEDIARQTCNGTGCCSIKFYNAANSFKLMFVRHGKGDYKPGATHSDQSPLWNTINITTLEADISWSIRDQPTCASALVNRTNYACISTNSKCMDDDLAAGYICSCDGGYQGNSYIIDGCLRDTGYNRFQRKKNCTRKCGNIDIPYPFGLEEDCSARKLFQLNCTDMSSSSLQLNDNYHLKYIKFNEGLLGIEDTSYIEDMYRMHLLEEPQLYICSGESASIQWAVANLTCQEAQQNKSGYACVIVNSTCLPVDSTYGYIGYRCECRPGFQGNPYVQDGCQDIDECLTPGKCKGVCENTIGSHRCKACPNRTQYDTTTMQWKNDIQKQLRRKHFRKNQGLLLEQLISTDENASEKTKIFSLDELEKATNNFDPTQILGYGGHGMVYKGILSDQRVVAIKRSKHIKEGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHIVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVLGSKQSLSNYFLWELKARPIKEIVAAQVSAEATEEEIKCVGSLAEMCLRLQGEDRPTMKQVEMTLQFLRTKRSMAYHVVPENDEEMQSLLHKRSEDSCQSLANNLGVSTNPESGNSTNVIAWSKSSFHPLDCHAKSL >ONIVA01G09130.2 pep chromosome:AWHD00000000:1:6905393:6911138:-1 gene:ONIVA01G09130 transcript:ONIVA01G09130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESFHGLVVVDLRTAAPLLATAVALYILIEQLSYHRKKGSMPGPPLVVVPFLGSVTHLFRDPVGFWDLQATRASKSGAGLTADFLFGRLMVFIRDSELSRRVFANVRADAFHLPNNRFVLPCDHYARIRTHHFFSYCNFLSTVFFSPETFDVAFSHNISMEPNVSIYNMSWNAPGKSFTLDYAVMNITGCNFDTYRVLHDHDGDVPAKLCSITCPNEGIAEDIARQTCNGTGCCSIKFYNAANSFKLMFVRHGKGDYKPGATHSDQSPLWNTINITTLEADISWSIRDQPTCASALVNRTNYACISTNSKCMDDDLAAGYICSCDGGYQGNSYIIDGCLRDTGYNRFQRKKNCTRKCGNIDIPYPFGLEEDCSARKLFQLNCTDMSSSSLQLNDNYHLKYIKFNEGLLGIEDTSYIEDMYRMHLLEEPQLYICSGESASIQWAVANLTCQEAQQNKSGYACVIVNSTCLPVDSTYGYIGYRCECLGFKETPMSKMVVKVIISLSFSDRKFVAFSNCTGFQFSENIDECLTPGKCKGVCENTIGSHRCKACPNRTQYDTTTMQCTSTKRQNLILGIVIGLSCSFSILFVSLSTMVFIRRWKNDIQKQLRRKHFRKNQGLLLEQLISTDENASEKTKIFSLDELEKATNNFDPTQILGYGGHGMVYKGILSDQRVVAIKRSKHIKEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFDILHSGSSSHFSLSWDDCLRIAMEAAGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHIVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVLGSKQSLSNYFLWELKARPIKEIVAAQVSAEATEEEIKCVGSLAEMCLRLQGEDRPTMKQVEMTLQFLRTKRSMAYHVVPENDEEMQSLLHKRSEDSCQSLANNLGVSTNPESGNSTNVIAWSKSSFHPLDCHAKSL >ONIVA01G09130.3 pep chromosome:AWHD00000000:1:6905393:6911138:-1 gene:ONIVA01G09130 transcript:ONIVA01G09130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVESFHGLVVVDLRTAAPLLATAVALYILIEQLSYHRKKGSMPGPPLVVVPFLGSVTHLFRDPVGFWDLQATRASKSGAGLTADFLFGRLMVFIRDSELSRRVFANVRADAFHLPNNRFVLPCDHYARIRTHHFFSYCNFLSTVFFSPETFDVAFSHNISMEPNVSIYNMSWNAPGKSFTLDYAVMNITGCNFDTYRVLHDHDGDVPAKLCSITCPNEGIAEDIARQTCNGTGCCSIKFYNAANSFKLMFVRHGKGDYKPGATHSDQSPLWNTINITTLEADISWSIRDQPTCASALVNRTNYACISTNSKCMDDDLAAGYICSCDGGYQGNSYIIDGCLRDTGYNRFQRKKNCTRKCGNIDIPYPFGLEEDCSARKLFQLNCTDMSSSSLQLNDNYHLKYIKFNEGLLGIEDTSYIEDMYRMHLLEEPQLYICSGESASIQWAVANLTCQEAQQNKSGYACVIVNSTCLPVDSTYGYIGYRCECRPGFQGNPYVQDGCQDIDECLTPGKCKGVCENTIGSHRCKACPNRTQYDTTTMQCTSTKRQNLILGIVIGLSCSFSILFVSLSTMVFIRRWKNDIQKQLRRKHFRKNQGLLLEQLISTDENASEKTKIFSLDELEKATNNFDPTQILGYGGHGMVYKGILSDQRVVAIKRSKHIKEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFDILHSGSSSHFSLSWDDCLRIAMEAAGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHIVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVLGSKQSLSNYFLWELKARPIKEIVAAQVSAEATEEEIKCVGSLAEMCLRLQGEDRPTMKQVEMTLQFLRTKRSMAYHVVPENDEEMQSLLHKRSEDSCQSLANNLGVSTNPESGNSTNVIAWSKSSFHPLDCHAKSL >ONIVA01G09120.1 pep chromosome:AWHD00000000:1:6895958:6898410:-1 gene:ONIVA01G09120 transcript:ONIVA01G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLGGLLPLLLLVFTTLAPIAQASEGIASLPSAATLAGCQRSCGNLTFDYPFGIGSSHCFRQPDFELICDNTTQPPRLLFRNGTTEIVQTPDSGYLNAVFPNLGISMKPNVSVYNMSLEPPGKSFLLAYAELNITGCDLDIYQLVLNENEYVPAKLCSVTCPNERITEAVARQDCNGTGCCTFGTNIQTHNFQLMFVRHHKGELKYDAASNQSSLWNTINVTAVRAFIIWRILDQPSCASTMDNKTNYACVSRHSKCTDIYLTLIQSLGYVCSCNDGYQGNPFIQDGCLRDRGYNPFQQKKNCARKCGSIDIPYPFGLEEGCSARKLFQLNCTDMSSSSLQLNDKYTVKYIKVNEGLMGIEDSSYVKQGMYWMNLPDEPRLYSGSGESASVQWAVANLTCQEAWQNKSGYACVSINSTCLAVNSADGYIGYRCECMPGFQGNPYVQNGCQGYHPFSFSLWNLGIRSSDVGLLDVTLQSHDINHPSAILRWLARAPLHLAPAALSRGSRARRLSF >ONIVA01G09110.1 pep chromosome:AWHD00000000:1:6882377:6883426:1 gene:ONIVA01G09110 transcript:ONIVA01G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHASTDPSASDHDAPARRRRHRRRGLLRFRRHRSPTPPSADPPTPELTPSPSAPPSYFSYPDIAATASYVVVATLPVFRGDPGECPDAHLARFDRVVNSATSPDAAARTFPASLDGDAALWYDLAAPGADDSPPPWDAVRAAFLDFFRPPGAADRARGDLLSMRQRPGEPVNRYYLRMQGILRRFPGRGGGGADVPESFLKSAFVDGLLPEFHDWVAPQRPEALDVAVALALSWERAESVREARRAAKAARMTRCEFCDTEGHEEAQCETRRRMRALCLRRSSSSRRGGASATMVVEEAAEEEGGGSMRFARLGSTVSTRSAQCQCRKHQCWKKAVVVSELAGDGEGR >ONIVA01G09100.1 pep chromosome:AWHD00000000:1:6875773:6881207:1 gene:ONIVA01G09100 transcript:ONIVA01G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alg9-like mannosyltransferase family [Source:Projected from Arabidopsis thaliana (AT1G16900) TAIR;Acc:AT1G16900] MSLSSARQRRATAASPPTDDGYSKEAKDRRRRPSGEEEEEGIRWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSSNFALRSYLYLFIHALVAGPASMIFGEHKVRVFYSVRIFLGLISTITETVLVVALSRKYGKRLACYVLAMLCLTSGCFFASTSFLPSSFSMYAVTLSSALFLLENYAAAVSVAAAGVILGWPFSILVFLPVTVLSVIADYYCYGKWTASVFNLLKYNVFGGGESHLYGTEGPSFYFKNGFNNFNFAFILALLFLGFVPFARKKYVPDLLIVVSPVYIWLAFMSLQAHKEERFLYPIYPLICVAAAAVIDTFPDFFHDKYSSEQSIFEKIAKGLRPLILGFILCASHSRTFSMLNGYGAPIQIYQHLEHHEDTGPGSVLCVGSEWHRYPSSFFIPSYISEVWWIDDGFRGLLPFPFNETLGGTTAAPSYFNDKNKASDEQYLKDIGACSLLVELDLRRPYPSRGSALSTWETLAALPFLDRELSPALYRSFFIPHRWQQNNVFGLYKLLRRLPTDQA >ONIVA01G09100.2 pep chromosome:AWHD00000000:1:6875773:6881208:1 gene:ONIVA01G09100 transcript:ONIVA01G09100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alg9-like mannosyltransferase family [Source:Projected from Arabidopsis thaliana (AT1G16900) TAIR;Acc:AT1G16900] MSLSSARQRRATAASPPTDDGYSKEAKDRRRRPSGEEEEEGIRWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSSNFALRSYLYLFIHALVAGPASMIFGEHKVRVFYSVRIFLGLISTITETVLVVALSRKYGKRLACYVLAMLCLTSGCFFASTSFLPSSFSMYAVTLSSALFLLENYAAAVSVAAAGVILGWPFSILVFLPVTVLSVIADYYCYGKWTASVFNLLKYNVFGGGESHLYGTEGPSFYFKNGFNNFNFAFILALLFLGFVPFARKKYVPDLLIVVSPVYIWLAFMSLQAHKEERFLYPIYPLICVAAAAVIDTFPDFFHDKYSSEQSIFEKIAKGLRPLILGFILCASHSRTFSMLNGYGAPIQIYQHLEHHEDTGPGSVLCVGSEWHRYPSSFFIPSYISEVWWIDDGFRGLLPFPFNETLGGTTAAPSYFNDKNKASDEQYVFSLAFKLYSTYFLLTILRVTTSLHFWLQLKDIGACSLLVELDLRRPYPSRGSALSTWETLAALPFLDRELSPALYRSFFIPHRWQQNNVFGLYKLLRRLPTDQA >ONIVA01G09090.1 pep chromosome:AWHD00000000:1:6860271:6870458:1 gene:ONIVA01G09090 transcript:ONIVA01G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLHSAPFTLLVKLPGRRRSGLTPPRCAVRGAGNVSSAAVREMAVAEGRRQHEYSIDARLRQLAPEKVSADDRLVDYETLLVARFLDILEDLHGGDFRQVVEDCLRLSEEYQSEGDPARLGELGGLLTSLDVGDAIMVASSFSHMLNLANLAEEIQMVYRKKAEASRRGDFVDEASAPTESDIDETFQRLVRGLGKSPREVFDALRSQTIDLVLTAHPTQSVRRSLLQKHASIRSCLTQLCGEGISDNEEQEIDEALQREILAAFKTDEIRRTPPTPQDEMRAGMSYFHDTIWNGVPKFLRRVDTALKNIGIDERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSKMADLMFELSMWRCNDELRAREPYRIILGDVRDKLYNTCERARQILSKGISSIPEDQTYTNVEQFLEPLELCYRSLCDCGDKLIADGSLLDLMRQVSTFGLSLVKLDIRQESERHTDAMDAITTHLGIGSYREWPEERRQEWLVSELRGRRPLFGPDLPQSEEVADVLGAFRVIAELPADSFGAYIISMATAPSDVLAVELLQRECGVKKPLRVVPLFEKLADLQQARATMELLFSVDWYKERIDGKQEIMIGYSDSGKDAGRLSAAWQLYKAQEEIVGVAERHGVKLTIFHGRGGTVGRGGGPSHLAILSQPPNTVNGSLRVTVQGEVIEKSFGEENLCFRTLQRFTAATLEHGMNPPVSPKPEWRRLLDDMATVATDEYRSIVFQEPRFVEYFRAATPETEYGRMNIGSRPSKRKPGGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHAMQQSDAGGGLATLREMYDEWPFFRVTIDLLEMVFAKGDPGIAALYDELLVPDDLRPFGEQLRANYVETQRLLLQVAGHKDLLESDPYLRQRLMLRDSYITALNVCQAYTLKRIRDGGFRPETRPPLSKELLGSSAVAEKLVKLNPNSEYDPGLEDTLILTMKGIAAGMQNTG >ONIVA01G09090.2 pep chromosome:AWHD00000000:1:6870077:6871316:1 gene:ONIVA01G09090 transcript:ONIVA01G09090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASLGTAVSATTSPPFAATTPAAVRRHHSAAGRRQPRRWAPLGAAGTRRPTAATKL >ONIVA01G09080.1 pep chromosome:AWHD00000000:1:6851106:6858945:1 gene:ONIVA01G09080 transcript:ONIVA01G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFEVRNEVGLGDPDLYGGGGGGGGGGGGGGGGGVGAAAKKGGEAEPKALLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVITTSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFTYVPGSDWHAQLKDEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKFDNAGAGACSRRHSDPSYFKKAWDMMRADKTGNFQREKKSQKIKRKGSRLREPYHGQTTPRQRNGELQRALTAVQLTSRHFATPSTDGRSLSENRSTSDVRSNPDNISRSSSFSSKARLSFTEQVLDTKPTVVPHENGHDKLSNNNLHKLSNTPLHTRLNGTSADDLGDDLKQSSLLDDMTARSPSVKWDEKAEITMSTTSVYCDDVVMDKAEHVQSKCISPEQQEIDHREMETLEQQEALHQKAKQLLVSSGLNHHDEVPSETDNYVDALNTLESETETEPELQTKSRVKPVPSLNVDVPQVELIDNIVTESPDSSVAEFPDAYQNSSMPPAPESAADFPSLSSADAPDISEPVLSGYTANPHPEVSAIATNTPVSNTEDAPGPLEISESASRAYIITLPNQSFPDSKEIPDSKAEDAPIDSPEKLEPGPSSYTPTIPIKESSIVSQNTNAENVSGDCSEGTACAISYSQHIISDKPTNEVSATNSSPDDTSSDEDTVESGGIVEVSNSQPMPLNDSLENGCATQGLPANAPTNSTGVSSVKLWTNAGLFGLEPSKPPVFGAHDGPKEDTTPGHTQPQLCHSTGCPEVHFSKPTESAQVYVPNGNSPITSSFVGKLVGICPGSTSHSSETNQSTVRTPDTVIGQTEGSTGCSTSFEHSDHKNIIGKQTSISELLESEDSAENGAEMFSKTDMTGRNNMNQVSASSFSSIAQRFLANTLQRRTPKYTDLPMSSVIVNTDANGTDESTQISSLAPNETTFEASQFEKKTENDTNGLPKSSLFSSSHYSEKSSPPLEYMKISFHPMSAFEMSKLDLDFSDENLHENADDMMLPTFQLLPGSSVPQLGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSELWDQEDANGLEDHDMHNNPNQIGSFGAPISSFVEFEQMDLSGAKSTVSLTDLGDDNGLGTLDSHPAGELPNFDTLMAHQNEAFIPHNPVSLSPDEGQLPPPPPLPPMQWRTMRQVASVEEGRGSAAKEGMLESTSDLPPVHTPVQEEHLLPIAPPDQQNLLPIAPPDQQGHAKEVNDRKVDGVKEISNPLYIEIRASLLQQIRDKSGQQKLNGHEKSKAVGNDTKNLDEREELLQQIRSKTFNLRRTNASKTNTSSPTTANSSVVAILEKANAIRQAVASDEGGDDDSWSDI >ONIVA01G09070.1 pep chromosome:AWHD00000000:1:6836414:6838264:-1 gene:ONIVA01G09070 transcript:ONIVA01G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESCYVRVLFLLLLVVTPLPISIASPLKLRLSASEVAALEAHAPPPPPPPPPDTPTTYFEVDRPHRPPPGSFGPCSTLLLSHSFGFTYTLPPVTAPYSPPPCLAAAGGRASAISLAVLEWRATCRGVQFDRIFGVWLGGVELLRSCTAEPRPKGVVWSMSKDVTKYASLLAARNSSTLAVYLGNLVNDQYTGVYHANVTLHLYFRHPPQPPQPGLGPADVIVPISQSLPLNSGQWFQINNNEDVESASLAVPANAYRAVLEVYLSYHGSDEFWYTYGNPFNGNGPFREVTVRIDGDVVGAVWPFPVIYTGGISPFLWRPISGIGSFNLPSYDIELTPFLGWLLDGEEHELGFAVTDAQDFWYVDGNLHLWLDPRSAATTAGIISYDAPPLEKVTAVASRGPGNEYYQTTAFRRISAAGWVQTSSYGKITATWTQRFSFVNTNQVREDTEQTVNQTTDAYSGVHVTDHSGVAYSQEAEQSFPLYIYQGQVNQTSNDSFTEETSVQLRFEEERVAAGRPGFWSRSLSNAQDSAVDVRVQEGDAVGTSWRAAQTYRYEATDGCYYRDVASQGYGVVSDHADEVCAKGSPAAGRAIAGGAATELGGDAAAAAGVARLSS >ONIVA01G09060.1 pep chromosome:AWHD00000000:1:6831259:6831592:-1 gene:ONIVA01G09060 transcript:ONIVA01G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSGAPWLDPPPSRLDDDGVLPRRGGGRQSRARVTKAGVWCSTEAVERPLSVRWQPPAAPTSILTAVQQGCGRLWRRWQRRSGVWALVETMAS >ONIVA01G09050.1 pep chromosome:AWHD00000000:1:6799670:6830388:1 gene:ONIVA01G09050 transcript:ONIVA01G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYFIAIIIQVIYTGLFVVTKAAFNHGTNTFIFIFYRQAAASLLLLPLAIILERKNAPPMSIRLFAKLFLYALLGNTISFNLYNTGLKYTSSTVASAAASSVPVLTFFFAVLLRLEVIRLRRLSGVAKVAGVGLCLGGVLVIALYSGPALSPLNHYRAFGGGAESGSSGAATRARWVTGTLLMLLSNVTWSLWIVLMSPLLNEYPCKMLATALQSLLSAAQSLVLAAAAAARDPAAWRLRLDAGLLAVAYSAVAVTGVSYYLQAWCIQKKGPVFLAMSSPLSFVFTIFCSSFFLGEVVHLGSVVGGVLMVAGLYSVLWGKSKEHDTLTVAAGQQEEDAAVPPAAESSSSSDNESKHQQGRFASADQQLINTGLFVISKAAFNHGMNTFVFIFYRQAAASLLLLPLAIVLERNTLSLNMYNLGLKYTSPTVASATTNSIPVVTFFFALLLRRAQSIIHLWVEVIRLKSLSGAAKMAGVVLCVGGVLAIALYAGPAISPVNHHRAFGGGGGGGHESASATTRTRWVKGTLLMLLSNATWSLWTVLMASLLREYPSKLLATAAQCALSAAQSLALAAAAAGRDPAAWRLRPDAGLLAVAYSAVAVTGASLYMQAWCIEKKGPVFLAMSNPLSFVFTIFCALFVLGEVVHLGSVVGGVLMVVGLYSVLWGKSKEHDTLTLATAMPTPASVQQQEKKVAAVPAPADSSSTMGAKTPYVVIVIVELIYTGMYIISKAAFNQGMNTFIFIFYRQAAASVLLLPLAIVLERSTGTLNLYNMGLKYTTSTVASAAGSSIPVMSFFLALLLRQEMIRLRSLSGSAKAAGVGLCLAGVLVIALYTGPTISPLIHHRLFAGGGHEASASGSGRTRWIVGTVLILLSNVTWLLWSMLMAPVLREYPNKLLATTWQCVISAAQSLAVAAAAAARDPAAWRLRLDTGLLAVAYSGVVVTAVAFYLMAWCIEKKGPVFLAMSTPLAFVFTVFCCIFFLGETVHAGSVVGGVLMVAGLYSVLWGKSKEQDKLTLATATPTVAAVEQKESAAAAPDADASNSGSELHHGRLVIYTGLYIISKAAFNQGMNTFIFSFYRQGAASVLLLPLAIILERRNAPPMSLRLFIKLFLCALLGNTGSLNLYNMGHKYTSSTVASATTSSIPVVTFFLALLLRQEVIRLSSSGVAKAAGVGLSLAGVLVIALYAGPAISPLNHHRAFAGGGGHEASSESGTRTRWIEGTLLMVVANAMWSLWIVLMAFLLNEHPNSKLLATTLQSVISTAQSLALAAAVERDPAAWRLRLDTGLLAVVYSGVAVTGVSCYLQAWCIEKKGPVFLAMGSPLSIVFTIFCSLFLLGEIEHLGSIVGGILMVAGLYSVLWGKNKEHKTLTLTTATATATATVAAREKLYK >ONIVA01G09050.2 pep chromosome:AWHD00000000:1:6799672:6830388:1 gene:ONIVA01G09050 transcript:ONIVA01G09050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYFIAIIIQVIYTGLFVVTKAAFNHGTNTFIFIFYRQAAASLLLLPLAIILERKNAPPMSIRLFAKLFLYALLGNTISFNLYNTGLKYTSSTVASAAASSVPVLTFFFAVLLRLESLSGAAKMAGVVLCVGGVLAIALYAGPAISPVNHHRAFGGGGGGGHESASATTRTRWVKGTLLMLLSNATWSLWTVLMASLLREYPSKLLATAAQCALSAAQSLALAAAAAGRDPAAWRLRPDAGLLAVAYSAVAVTGASLYMQAWCIEKKGPVFLAMSNPLSFVFTIFCALFVLGEVVHLGSVVGGVLMVVGLYSVLWGKSKEHDTLTLATAMPTPASVQQQEKKVAAVPAPADSSSTMGAKTPYVVIVIVELIYTGMYIISKAAFNQGMNTFIFIFYRQAAASVLLLPLAIVLERSTGTLNLYNMGLKYTTSTVASAAGSSIPVMSFFLALLLRQEMIRLRSLSGSAKAAGVGLCLAGVLVIALYTGPTISPLIHHRLFAGGGHEASASGSGRTRWIVGTVLILLSNVTWLLWSMLMAPVLREYPNKLLATTWQCVISAAQSLAVAAAAAARDPAAWRLRLDTGLLAVAYSGVVVTAVAFYLMAWCIEKKGPVFLAMSTPLAFVFTVFCCIFFLGETVHAGSVVGGVLMVAGLYSVLWGKSKEQDKLTLATATPTVAAVEQKESAAAAPDADASNSGSELHHGRLVIYTGLYIISKAAFNQGMNTFIFSFYRQGAASVLLLPLAIILERRNAPPMSLRLFIKLFLCALLGNTGSLNLYNMGHKYTSSTVASATTSSIPVVTFFLALLLRQEVIRLSSSGVAKAAGVGLSLAGVLVIALYAGPAISPLNHHRAFAGGGGHEASSESGTRTRWIEGTLLMVVANAMWSLWIVLMAFLLNEHPNSKLLATTLQSVISTAQSLALAAAVERDPAAWRLRLDTGLLAVVYSGVAVTGVSCYLQAWCIEKKGPVFLAMGSPLSIVFTIFCSLFLLGEIEHLGSIVGGILMVAGLYSVLWGKNKEHKTLTLTTATATATATVAAREKLYK >ONIVA01G09040.1 pep chromosome:AWHD00000000:1:6796311:6798576:-1 gene:ONIVA01G09040 transcript:ONIVA01G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVHLLLLLVFYIPAAIASRRNLRLSPADIAVPDAAAADTPTTYFEVDRPLRPPPGSSGPCSTLLLSSSFGFTYTKPPVTAAYSPPDCLAAAGGGAPAISLAVLEWRATCRGYTGVYHANITLHLYFGPTPARQPAPATAPADIIVPISRSLPLNDGLWFQIQNATDVESASIMLPSNTYRAVLEVYVSFHGDDEFWYTHTPDGNGPFREVTILVDGDLVGAVWPFPVIFTGGINPLLWRPITGIGSFNLPTYDTELTPFLAKLLDGKAHELAFAVTNAVDVWYVDGNLHLWLDPMTTATTASLVSYDAPRLAAVNTSHTTASRFDGLSERYYYHTTASRRISAAGWVESPSHGRITTNATQTFAFENTYAFAGDGSAETVNQTTVADAAATDLAGAVLYSRQAHHDFPLYVDIEAKTLPHAADVTYTVAREYRETAIAAGRWLSSGTPPRRYSLRDTQSGAVDVEMRDGNAVSATWGTRQTYRLEATDGCYFRNVTSSGYSVASDESDEVCSDSQEYPAGGAVIGALPPAEAAAAVTASADELVRK >ONIVA01G09030.1 pep chromosome:AWHD00000000:1:6792447:6794189:-1 gene:ONIVA01G09030 transcript:ONIVA01G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSAPLLLLCLCFTLLVLHFRSCAASPRDLRFSAADIAAVEAVLPSLRRARNTFFEVERPLRPPRGSSGPCSTLLLSHSFAFTFTKPPATAAYSPPPCLADAAGGARAVSLAVLEWRADCRGTQYDRIFGVWLGGAELMRGSTAEPRPGGVTWSVHKDVTKYASLLAAGNSTLAVYLGNLIDETYNGVYNADLTLHLYFRRAARSPTAASAPADVVVPVSRSLPLNDGLWFVVDNTTDVESARLTVPPNAYRAVLEVYVSSHNFDEFWYMNTPDQNGPFREVTVHLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNFPSYDVELTPFLGKLLDGKEHELGFAVTNAQKSWYVDANLHLWLDPKSVATSGGLVAYDAPKLTGKIVSNSSDGIDGQYDATASRNITATGWVRSSRGNITTTFTQRLTFVHTNVVTSQGSSQAINQTTEARTEVVTGDGAHALQLHQSFPLYIFLGGDGSGTSSQRLMRRVAIGFDETRAAGAGGSSSAASTLHNEQTAAAEVVLRDDQVVGASWRMHQVYEYGGSDGGCYSRNVSSVGYDVLFDHNEESCAGTRRR >ONIVA01G09020.1 pep chromosome:AWHD00000000:1:6775213:6777919:-1 gene:ONIVA01G09020 transcript:ONIVA01G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESVNEGHPDKLCNQVSDVVLDACLAQDPEGKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRNIGFVSDDIGLDADHRKSNK >ONIVA01G09010.1 pep chromosome:AWHD00000000:1:6771287:6772111:1 gene:ONIVA01G09010 transcript:ONIVA01G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLDCTSVEFWMANHCPSFNDRHAAAAAAPAAAAPPLGGSRGGAVDGKRLLRGAASGAMGRSSKRRASRHELVVPRDAMAGGWSANRWREAEAGLLVYYYSYLVL >ONIVA01G09000.1 pep chromosome:AWHD00000000:1:6768898:6769746:-1 gene:ONIVA01G09000 transcript:ONIVA01G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSNFLQMEQKSGVGINKISHVKSKERRLTYHDSHINRLPALHLWNGNLHPNQSPAPSHGMIRVLEIR >ONIVA01G08990.1 pep chromosome:AWHD00000000:1:6762985:6766632:-1 gene:ONIVA01G08990 transcript:ONIVA01G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARWGPRRATVGPHLRDALRHLLFVFLVLLQAQAGRGGATLNGEGMALLELRERVEADPHGALRDWDPADATPCRWSGVHCFDGKVEILNLTGRELVGTLAPEIGRLQLLKSLILRNNNFRGKIPKEFGGLTALEVLDLSSNNLDGTIPEELMAMPLLKQLSLHDNQFQDDISSLHIQDISDEQAGCLSRKLGCWVGFKDWTSFSDLREKYSTNLASLGEPHIMQNLQSFASAVGHRLLGEVGNLPALSGNDAKSSGPVNSEEIQRAIDVLSLGSGSFSAFPNSEAEVLESAVNTDAAAMQSGAANQSTDEVSGSKHSKWAYFMIIPAAILLISLIVAPILVWRKRGHAAIGPWKTGLSGPLQKAFVTGVPKLNRPELEAACEDFSNIINTFPSCTVFKGTLSSGVEISVVSTAILSLKEWPKSSETCFRKKIDTLSRVNHKNFINLLGYCLENQPFMRMMVFEYAPNGTLSEHLHLKEFEHLDWAARMRIIMGVAYCLQYMHHDLNPPVAITDMRSDTIFMTDDYAAKIADVGIWKEVAIKAKTAKEDSSSRSECPPDIASNVYCFGTLLIEIISGKLPEADDQESMCNWAAEYLKGKSYSKLVDASLKEHNANELEAVCEVVQECIDPDSDKRPTMRDATRKLRQALNISPEAATPRLSPLWWAELEILSAEAT >ONIVA01G08990.2 pep chromosome:AWHD00000000:1:6762985:6766632:-1 gene:ONIVA01G08990 transcript:ONIVA01G08990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARWGPRRATVGPHLRDALRHLLFVFLVLLQAQAGRGGATLNGEGMALLELRERVEADPHGALRDWDPADATPCRWSGVHCFDGKVEILNLTGRELVGTLAPEIGRLQLLKSLILRNNNFRGKIPKEFGGLTALEVLDLSSNNLDGTIPEELMAMPLLKQLVGFKDWTSFSDLREKYSTNLASLGEPHIMQNLQSFASAVGHRLLGEVGNLPALSGNDAKSSGPVNSEEIQRAIDVLSLGSGSFSAFPNSEAEVLESAVNTDAAAMQSGAANQSTDEVSGSKHSKWAYFMIIPAAILLISLIVAPILVWRKRGHAAIGPWKTGLSGPLQKAFVTGVPKLNRPELEAACEDFSNIINTFPSCTVFKGTLSSGVEISVVSTAILSLKEWPKSSETCFRKKIDTLSRVNHKNFINLLGYCLENQPFMRMMVFEYAPNGTLSEHLHLKEFEHLDWAARMRIIMGVAYCLQYMHHDLNPPVAITDMRSDTIFMTDDYAAKIADVGIWKEVAIKAKTAKEDSSSRSECPPDIASNVYCFGTLLIEIISGKLPEADDQESMCNWAAEYLKGKSYSKLVDASLKEHNANELEAVCEVVQECIDPDSDKRPTMRDATRKLRQALNISPEAATPRLSPLWWAELEILSAEAT >ONIVA01G08980.1 pep chromosome:AWHD00000000:1:6757741:6759126:-1 gene:ONIVA01G08980 transcript:ONIVA01G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FIB4] MEKKASILMNRYELGRMLGQGTFAKVYHARNLASNQSVAIKVIDKEKVLRVGMIDQIKREISIMRLVRHPNIVQLHEVMASKSKIYFAMEYVRGGELFSRVARGRLKEDAARKYFQQLIGAVDFCHSRGVYHRDLKPENLLVDENGNLKVSDFGLSAFKECQKQDGLLHTTCGTPAYVAPEIINKRGYDGAKADIWSCGVILFVLLAGYLPFHDSNLMEMYRKISKGDVKFPQWFTTDVRRLLSRLLDPNPNTRITVEKLVEHPWFKKGYKPAVMLSQPNESNNLKDVQTAFSADHKDNEGKAKEPASSLKPVSLNAFDIISLSKGFDLSGLFENDKEQKADSRFMTQKPASAIVSKLEQIAETESFKVKKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPSFFVVEVKKSAGDTLEYEKFCNKGLRPSLRDICWDGQSEHPSLAQSSTLTQSSKSISRHAI >ONIVA01G08970.1 pep chromosome:AWHD00000000:1:6755803:6756767:1 gene:ONIVA01G08970 transcript:ONIVA01G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPTWPIVGWLLPLPQEPRDEAQFEIPLGRIHLLRRAADHHGRPRRRGLLQAPPLPLLRRRRSDLHQGHQHVPRLRAQAGGLRRGRRSGGGGGSGRPRSGDRREALRRPRLRWLGILG >ONIVA01G08960.1 pep chromosome:AWHD00000000:1:6750739:6752274:1 gene:ONIVA01G08960 transcript:ONIVA01G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMGISKGGSGKEAKKPPLLLGRFEVGKLLGQGNFAKVYHARNVATGEEVAIKVMEKEKIFKSGLTAHIKREIAVLRRVRHPHIVQLYEVMATKLRIYFVMEYVRGGELFARVARGRLPEADARRYFQQLVSAVAFCHARGVFHRDIKPENLLVDDAGDLKVSDFGLSAVADGMRRDGLFHTFCGTPAYVAPEVLSRRGYDAAGADLWSCGVVLFVLMAGYLPFQDRNLAGMYRKIHKGDFRCPKWFSPELIRLLRGVLVTNPQRRATAEGIMENEWFKIGFRRFSFRVEDDRTFTCFELDDDAAVDAPTSPPDTPRTVDGGDKHTARFVSAAPVEVIVATLEAAAAAASMAVREREDGSISMEGTREGEHGALAVAAEIYELTPELVVVEVRRKAGGAAEYEEFFRARLKPSLRELVCDDRPCPEDSGELSRSL >ONIVA01G08950.1 pep chromosome:AWHD00000000:1:6746260:6748739:-1 gene:ONIVA01G08950 transcript:ONIVA01G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRAALRRRHAASSPVARLLPYSSPGPCAKGGGAASTLHAATRAAPAPLIQAPSVVRRYCSAGGGYAAVRPARGASPLQVPSLVRRYGSTTGATATATPAAGVPALHRASPLPLFVPRRAYVSPRRRRVYSSEEYSSDEYSSDGYSSGQEYKYVEDCQVAKVMDHQLRRIEKVEAGLKQLGWFQIITVSLLGLVTYQCHSEVSRLDNEVAAPKSESP >ONIVA01G08930.1 pep chromosome:AWHD00000000:1:6725867:6729697:-1 gene:ONIVA01G08930 transcript:ONIVA01G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENPSKYHTEHSISWRSQHSISKTTSPSVQTPKPASPAAATPHHHNTRSLAASLTGQKHTTTTIPPAKPSTNAQQQQPPPSPAQLSSPPRMEAPPGPEPMELDAPPPPAAVAAVSAAAATAGISEKKKEEGGGDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRAMEHPNVICLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYIYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHSFFDELREPNARLPNGRPFPPLFNFKHELAGASPELIHRLIPDHIRRQHGLNFAHAGS >ONIVA01G08920.1 pep chromosome:AWHD00000000:1:6724436:6726253:1 gene:ONIVA01G08920 transcript:ONIVA01G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASLCTVEKRGAVHVITLTGAGEHRLGPPLLSALRSAVAAVRAAAAAGAGGALVIAGEGKYFSNGFDLDWARTVPAELHASMGGAFRGLVADLLALPVPTVAAVTGHAAAAGCALALAHDAVVMRASRGFLYMSEVDAGLKIVDFFAELIRQKVPDAVNRRDLVLRGDKMTAADALRRGIVDAAVDGGVDDVVAAAVREAEALAARGWDGEIVAETRKAIWPELWAKVKDHATDEPPPPPPRPRLDVQVHYLIINKQSCKIKTGSRPGCEGKRRREWTTIWQACIGLS >ONIVA01G08910.1 pep chromosome:AWHD00000000:1:6721209:6724049:1 gene:ONIVA01G08910 transcript:ONIVA01G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQANPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >ONIVA01G08910.2 pep chromosome:AWHD00000000:1:6721259:6724049:1 gene:ONIVA01G08910 transcript:ONIVA01G08910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >ONIVA01G08900.1 pep chromosome:AWHD00000000:1:6719348:6720742:1 gene:ONIVA01G08900 transcript:ONIVA01G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQEKMEKMGGILLQHHQISPNYLAKAAFPGSFALHKEFANGILLCPPRNSFSCSSLGSIRSEANGSPLPRTVNRRSKEELIAFFKSIQTSIAEESPRTSRRTRKQSSDPFEEVERRKQSYGIADVSEEHADGEPKALDLNDMKVAELRELARARRMKGYSRLKKSELIDRLKGV >ONIVA01G08890.1 pep chromosome:AWHD00000000:1:6715784:6719032:-1 gene:ONIVA01G08890 transcript:ONIVA01G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLTSVSSHLRAPPPWPPPKNSSPRTRVRCGVLAPSGHVLEAASAPRGAKNSPSRAPRTDADVQIERLCRAGELAEALRLLGSDGVDARSYGAVVQLCSDLRSLEAGKRAHFLVRASGVGKDGMDSVLGRKLVLMYVKCGDLENARKVFDEMPQVSDVRVWTSLMSGHAKAGEFQDGVLLFRRMHCSGVRPDAHAISCVLKCIAGLGSIADGEAVHGYLEKLGLGVQCAVGNALIALYSRCGHVDGSLQVFDGMPHRDVISWNSVISGCFSNGWHDKSVELFAKMWSEGLEINPVTMLGVLPACAELGYNLVGRVIHGYSVKTGLLWEFESLENGIDENLGSKLVFMYVKCGELGHARKVFDAMSSKSNLHAWNLMMGGYAKLGKFQESLLLFEKMHDCGITPDEHTISCLLKCITGLSGVMDGLVVHGYLVKYGFGAQCAVCNALISFYAKSNRIEDALMVFDEMPQREIISWNSIIGGCASNGLYDKAVELFVRMWLEGQELDSTTLLSVMPACVQSHYSFIGGVVHGYSVRTGLISETSLGNALLDMYSNCSDWRSTNKIFRNMEQKNVVSWTAMITSYTRAGHFDKVAGLFQEMGLEGIRPDVFAITSALDAFAGNESLKHGKSVHGYAIRNGIEEVLPVANALMEMYVKCGYMEEARFIFDHVTNKDTISWNTLIGGYSRSNLANEAFTLFNEMLLQLRPNVVTMACILPAAASLSSLERGREMHAYAVRRGYLEDNFVANALVDMYVKCGALVLARRLFDMLTNKNLISWTIMIAGYGMHGRGRDAIALFEQMKGSGIQPDAGSFSAILYACSHSGLRDEGWRFFNAMRNEHRIEPKLKHYACMVDLLCHTGNLRETYEFIETMPIEPDSSIWVSLLRGCRTHRNVKLAEKVAEMVFELEPENTGYYVLLANIYAEAERWEAVRKLKNKVGGRGLRENTGCSWIEVRGKVHIFFAENRNHPQGMRIAEFLDDVARRMQEEGHDPKKKYTLMGADDAVHDEALCGHSSKLAVAFGVLNLSQGRPIRVTKNSRVCSHCHEATKFISKMCGREIILRDSNRFHHFEEGRCSCRGYW >ONIVA01G08880.1 pep chromosome:AWHD00000000:1:6711467:6715741:1 gene:ONIVA01G08880 transcript:ONIVA01G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTDSSAAAAAEAAAEGRKPRRLRGHKKGAVTCCVASSSRPGVVASSGEDGCLCWFDLRTKDVLFTMEATNQPISSMCFKAGNEDLVYASAGNEILSFDVRMIAVSSKGFLAAADDSGDVKNGSSGGQCFNPPFVHSIAVSEEGILGGSYKVCAVARGDGAVDVVDLEYELAPAKSKGLPRMADLSLSSKRTDIGDGCGSQSQGKRIHLDYTVGGHTSSVSCVTFSAFGEKGKFLVSGGNDSSIKLWDWSKGFSSETNSSAELVLDIKVNWLCTTPTDSDNLILCDTSKVVKVYNLP >ONIVA01G08880.2 pep chromosome:AWHD00000000:1:6711467:6718982:1 gene:ONIVA01G08880 transcript:ONIVA01G08880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTDSSAAAAAEAAAEGRKPRRLRGHKKGAVTCCVASSSRPGVVASSGEDGCLCWFDLRTKDVLFTMEATNQPISSMCFKAGNEDLVYASAGNEILSFDVRMIAVSSKGFLAAADDSGDVKNGSSGGQCFNPPFVHSIAVSEEGILGGSYKVCAVARGDGAVDVVDLEYELAPAKSKGLPRMADLSLSSKRTDIGDGCGSQSQGKRIHLDYTVGGHTSSVSCVTFSAFGEKGKFLVSGGNDSSIKLWDWSKGFSSETNSSAELVLDIKVNWLCTTPTDSDNLILCDTSKVVKVYNLP >ONIVA01G08870.1 pep chromosome:AWHD00000000:1:6710907:6711332:-1 gene:ONIVA01G08870 transcript:ONIVA01G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKDGETPVENSAVGDAKEEEKQPRQEKPFGIDAYLEKWTATEQETAKTFLRALIADAHQYMEMTEEEVVEEYRRAGKLHRYDPDTEWQKRYARVARTHPPPPCLRALIPKLEQYLKLLDEDDEQDYFKLLDEDDDQDYK >ONIVA01G08860.1 pep chromosome:AWHD00000000:1:6696699:6697031:-1 gene:ONIVA01G08860 transcript:ONIVA01G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEVEPAREKKWRPSSAAERAAGQAFLQAAVADALRYTKMTWQDMVEEYRRAGKLHKYDPGKEWQIRYARVARAHPPPASLLALLPEIQQYLKFLDDLEEEDDHDHKE >ONIVA01G08850.1 pep chromosome:AWHD00000000:1:6693099:6710423:1 gene:ONIVA01G08850 transcript:ONIVA01G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSLSPGPRSINWWINGSFSNERKIIFAIGLPISSSVRDPPVPNKISFKGRICSLEGPMPIIGDPLAPATIPNEKHELVDVSPCQLSTVDVEAAILNIRKKLKEKLSPNSASKLGRELIYLADMAKLLRSYKVCAVARGDGAVDVVDQYELAPAKSKGLPRMADLSLSSKSTDIGDGCGSQSQGKRIHLDYTQIIFIGLPTTKPQRNLPKKEPVTFKDRLKREEGPMPVFGVPAHSIEMCQSISVEKDTPGDGFGTPAFADVQKAIGKIRGKLRGDLPTNSSVKLSRELAYMSGAMSEWQELQSLRFLPQQMQEIIY >ONIVA01G08850.2 pep chromosome:AWHD00000000:1:6693099:6710423:1 gene:ONIVA01G08850 transcript:ONIVA01G08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSLSPGPRKIIFAIGLPISSSVRDPPVPNKISFKGRICSLEGPMPIIGDPLAPATIPNEKHELVDVSPCQLSTVDVEAAILNIRKKLKEKLSPNSASKLGRELIYLADMAKLLRSYKVCAVARGDGAVDVVDQYELAPAKSKGLPRMADLSLSSKSTDIGDGCGSQSQGKRIHLDYTQIIFIGLPTTKPQRNLPKKEPVTFKDRLKREEGPMPVFGVPAHSIEMCQSISVEKDTPGDGFGTPAFADVQKAIGKIRGKLRGDLPTNSSVKLSRELAYMSGAMSEWQELQSLRFLPQQMQEIIY >ONIVA01G08850.3 pep chromosome:AWHD00000000:1:6693098:6710423:1 gene:ONIVA01G08850 transcript:ONIVA01G08850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFGVPAHSIEMCQSISVEKDTPGDGFGTPAFADVQKAIGKIRGKLRGDLPTNSSVKLSRELAYMSGAMSEWQELQSLRFLPQQMQEIIY >ONIVA01G08840.1 pep chromosome:AWHD00000000:1:6687040:6690446:1 gene:ONIVA01G08840 transcript:ONIVA01G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETDLSPAAAAEGRKPRRLRGHRKGAVTCCVASSSRPGVVASSGEDGCLCWFDLRTKDVLFTMEATNQPISSMCFKAVSEEGILGGSYKVCAVARGDGAVDVVDLEYELAPAKSKGLPRMADLSLSSKGTDIGDGCGSQSQGKRIHLDYTVGHTSSVSCVQVPLCCFSVISDISYPFTNRLILYNSTFSAFGEKGKFLISGGNDSSVKLWGSHSLRI >ONIVA01G08830.1 pep chromosome:AWHD00000000:1:6686314:6686715:-1 gene:ONIVA01G08830 transcript:ONIVA01G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGSENERSLANNAAAAAAGDVEEETTKPFRFAPEVEKWTAAEKEATLAFFRAANAEAAKYMEMTEEDVVEEYRRAGKLHRYDPDKEWQKRYARAARAHPPPPCAIPRLPHIQQYLKYLEEDDQKQFSLIN >ONIVA01G08820.1 pep chromosome:AWHD00000000:1:6678091:6681030:-1 gene:ONIVA01G08820 transcript:ONIVA01G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTLPPVPTPCRKVSSSRQFAIATHPISPPEPHLSRPSKAPPRIAYGDRCPRPVHQGELVRRLNEEEALAILRPSMGHPAVPRILGDARCLYGSQVANHTMFDYDNLKYIADIK >ONIVA01G08810.1 pep chromosome:AWHD00000000:1:6666729:6669266:1 gene:ONIVA01G08810 transcript:ONIVA01G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FI94] MALPGRLLLLFLTAAHAALQTCGGNQTPGPVANVSRVWSSNTSRDVLLCSVPGNGNEKLSFAAGFYCSSPCDAILFAVYITSGSGDIPVVVWSANRDLAAHQNATLSFTASGDLVLANADGSVVWSTGTSGQFVIGMTITNTGNLVLYNDAYMPVWQSFENPTDSLLPGQMLAEGMMLRPNSSATNWTTSRQLYLTVRSDGLYAFAGSDQPQPYYRFEFYSSYLVKNESITQYQYKPTFVTLVNGRLSIPGFDPLETKLPPAHSLQYLRFESDGHLRLYEWEEFKQRWVIAKDIFELNYCQYPTVCGEYGICLSEGCSTEGMDCSTTECSCPNTTYFKPIDNMRPTLGCAVETEISCQAMQDHQLVAIPNVTYFHLWGDSRGAPMTDEESCKKDCLSNCSCKAALFILYLNQTQALLYPDLSLSMSYLNTCYLLPEVLSLQAYLDPGYYSKDPVNARSTLYVKVQSTHLLPPSKKKNTFGYAIGATAAALVTLTIISMVIRKRCNRQRADESDFADLPGTITRFTFKMLKAATNDFSSKLGEGGFGSVFLGKLGNEMVAVKLLDRAGQGKKDFLAEVQTIGNIHHINLVKLIGFRVERSHRLLVYEYMPRGSLDKWIYYLHSNAPLDWGTRKRIITNVARGLSYLHDECRQRIVHLDIKPHNILLDDSFNAKVADFGLSKLIEREISKVVTRMKGTPGYMAPEWLTSQITEKVDVYSFGVVVMEIISGRKNIDYSQSEENVQLITLLQEKAKKGQLEDLVDKNSDEMHLHKEEVIEVMKLAMWCLQSDSSRRPSMSVVVKTMEGERAVDDNLGYNFFDLSPAISVPVEQINSSLHPEASILSAPR >ONIVA01G08800.1 pep chromosome:AWHD00000000:1:6662273:6664702:1 gene:ONIVA01G08800 transcript:ONIVA01G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FI93] MAMAPVSFLLIFFLTTAGLTLQTCCFDSPGHSANLSTIWTCTSPTTDVLVANPLLSRPVPDNHNLHFAAGFYDYPLVNTYIFGVYTVTDAGEFADMTSWRPEPVAVVWSANRDQLIRQNSTLSFTAEGDLVLQHPDGSLVWSTNTSGQSVAGMTLTGSGNLVLYNHNNLPVWQSFDHPTDSLLPGQRLVQGMRLKPNASAVNLTASDLYYLTVHSDGLYAFAGSSNSQPYYEFTVSTGNKSQNPPAYLTLANRSLDIFVPSSSSANLEHLSLQSPALSLQYIRFASDGQLRLYEWQADQNGRWLYVQDVFPFQYCDYPTVCGEYGICLNGLCSCPTATESHIRYFRPVDDRRPHLGCTLETPISCQFVQDHQLISLPNVSYLYYDSSRVSELTDEESCKQACLTTCSCKAALFWYVDNKSAGDCTLVSQVLSLKTSYPGYDSLAFLKVQITPSPHLEKHRLVPLVPVLVGVASFFVMLTIVLVVVQIRRQQDKDGEDEFAELPGMPTRFSFQMLKLATKDFSNKLGEGGFGSVFSGQLGEEKIAVKCLDQASQGKREFFAEVETIGRIHHINLVRLIGFCLEKSHRLLVYEFMPKGSLDQWIYYKDSNDTLDWRTRRNIITDIARALAYLHEECTHKIAHLDIKPQNILLDDNFNAKVCDFGLSRLIHRDQSHVTTRMRGTPGYLAPEWLTSHITEKVDVYSYGVVMIEIINGRPNLDHSNLGGGIQLLKLLQEKAQNSHLEDMIDRKCNDMSLHQQDVIKIMKLAMWCLQSDCNRRPSMSLVMKVLEGESDVEANLNYNFFDCRQRSS >ONIVA01G08790.1 pep chromosome:AWHD00000000:1:6660228:6666448:-1 gene:ONIVA01G08790 transcript:ONIVA01G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASKLVFTIFVLLTPYLDNYQDNGEHDKERSNSYKHRNQRSPSAVKLRVEFCRINWSLLADHTTATGSGRQEVMSANSPASVQMVDRFAECPGLSKQQVWRVRPAVVRKKMRRKLTGAMAMPERYSVNFQVCEGFPHLRKERASFTGGSALIIHIWILSRLETC >ONIVA01G08790.2 pep chromosome:AWHD00000000:1:6662114:6676821:-1 gene:ONIVA01G08790 transcript:ONIVA01G08790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSEAVGLVADWQVISLPISRGDISELSKLVFTIFVLLTPYLDNYQDNGEHDKERSNSYKHRNQRSPSAVKLRVEFCRINWSLLADHTTATGSGRQEVMSANSPASVQMVDRFAECPGLSKQQVWRVRPAVVRKKMRRKLTGAMAMPGTDNRSVQAGNESH >ONIVA01G08790.3 pep chromosome:AWHD00000000:1:6662114:6666448:-1 gene:ONIVA01G08790 transcript:ONIVA01G08790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRASKLVFTIFVLLTPYLDNYQDNGEHDKERSNSYKHRNQRSPSAVKLRVEFCRINWSLLADHTTATGSGRQEVMSANSPASVQMVDRFAECPGLSKQQVWRVRPAVVRKKMRRKLTGAMAMPGTDNRSVQAGNESH >ONIVA01G08790.4 pep chromosome:AWHD00000000:1:6662114:6666776:-1 gene:ONIVA01G08790 transcript:ONIVA01G08790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSEKEEEEAAWKSHSRTQQQPWSKESVGWSNDCQTAVKLRVEFCRINWSLLADHTTATGSGRQEVMSANSPASVQMVDRFAECPGLSKQQVWRVRPAVVRKKMRRKLTGAMAMPGTDNRSVQAGNESH >ONIVA01G08790.5 pep chromosome:AWHD00000000:1:6660228:6666448:-1 gene:ONIVA01G08790 transcript:ONIVA01G08790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRARSPSAVKLRVEFCRINWSLLADHTTATGSGRQEVMSANSPASVQMVDRFAECPGLSKQQVWRVRPAVVRKKMRRKLTGAMAMPERYSVNFQVCEGFPHLRKERASFTGGSALIIHIWILSRLETC >ONIVA01G08790.6 pep chromosome:AWHD00000000:1:6666962:6676821:-1 gene:ONIVA01G08790 transcript:ONIVA01G08790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSEAVGLVADWQVISLPISRGDISEASLYSTRLPVLVMVIPMTNCPEVPVDQTTLPSAFARTKSPLAVKLRVAFWCAARSRLADHTTTGISPEPEVM >ONIVA01G08780.1 pep chromosome:AWHD00000000:1:6653943:6659057:-1 gene:ONIVA01G08780 transcript:ONIVA01G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT1G78650) TAIR;Acc:AT1G78650] MAAAAADPALLDLIPQIHALFADPLRVISYKWLSRNFSVSSNDSKRLLQEFVNKHGADLKVIYSVSGWLKKNPTNYCVKLTSGHKLEEARQEFKDSCSVQVYSIQACIPKDTAVLWNPEFVQAEELFNRPFDEENCLRDNRFCGVLNSFVKRTANGKLVSSFPPKPINSVAAAAPLKTSSAPKEQSAKGQQQGLPGSSSPKKGTSNKAEKDISSVLDKATNAPVVKEPSIALQGNKNKAQNGKALPSNGGSLATMWGRASAKPKTPATTNPTVLPSVAVTADAQICAKEEANADSSDDEQAVHYKRGSSANNRKRRAVFDLSDDDEDDNVVAIASPEPPEQCITNPIDEVAQESNPKQENLENKQEVEKDVKCCIGRTESPECKTKSSNTVSHSGITLKEKNNGPPPNDNKQDHAAETASNSPKRRKVLKTRIDERGREVTEVVWEGEASAGDKAEKNVSDTGAANRATLSSKPQPVAKTEKSNASSKTAGNKKPAKAGTKQGNIMSFFKKMLLDEYGNDMACEVCTVGCMAVCIQAPVRPCLCHFAVWSDSVHGMLCLFSLTHALYITCQLHGPSSMTYQVNLM >ONIVA01G08770.1 pep chromosome:AWHD00000000:1:6636594:6645193:-1 gene:ONIVA01G08770 transcript:ONIVA01G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFKDWAYEHTHANPIPMNIFEDRAEANVCVNQATQHHSNDNLKTYIGKREREKERGNRFLPCAPPSSIACHVAWKAGNPERAGRAISGTTTTSGVEEVTCKVAGAASSFGVRTRGASSSIEECTLLLPASTT >ONIVA01G08760.1 pep chromosome:AWHD00000000:1:6634601:6636163:-1 gene:ONIVA01G08760 transcript:ONIVA01G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHHPLPHQQKAANLARTFTKLLRRKRADAVAAATAVGEPGVPDAAAASMVGDEYECSVEAAAAGVPSLSKLKLSGNLGAAYSLDAFFRNAAEKKAAGVAGVAVAQTSPQVAPDAAKDSLLANLFAGVSAVKAAYAQLQLAQFPYDAEAIQAADAALVAELTRLSDTKRRYLRDPAAAAKNAAAAGHTALYAHAEEQRHLLKTYQITARKLEGELRAKEAEADRARSSLTAELRAERAMEARLHPGRTLASLDELHLSGLNPTHFLTALRHTVKSIRSFSKSMLNSMQSAGWDLAAAAAAVHPGVQLRRAGDTKFVFESYVAMKMFANFHRRDFNLSFLDEREFYDRRRFFEEFTELKAAPASAFLDARNARWGGFGKFLRAKYLSLVHARMETAFFGRLEQRGIVSAGPGFPESSWFADFAEMARRVWLLHCLFYAFDGGAEEDGASIFQVRTGARFSEVYMESVSDGRSDEAAAAAAAAAAEERVVGFTVVPGFRVGRTMIQCRVYLSRPGRRP >ONIVA01G08750.1 pep chromosome:AWHD00000000:1:6629917:6630225:-1 gene:ONIVA01G08750 transcript:ONIVA01G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTARVLATLAIAAASVLVLVFMALTVASTAATAGVRRSEQHYSASRTAAAAAVAAASSSSSPSAAAAAVPVSSTGGQFVAVAKTGRPPLPPSGPSDGGHS >ONIVA01G08740.1 pep chromosome:AWHD00000000:1:6628353:6628679:-1 gene:ONIVA01G08740 transcript:ONIVA01G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRRMVSASISASTVVRPPKVEEPRRAVDALITASTESGCHKATDDGGGGAKARDGHSRCRPLVDSRRKERGRKGWGRRSDGGERGRRRCGRRREVMEKECGVQRK >ONIVA01G08730.1 pep chromosome:AWHD00000000:1:6620386:6620712:1 gene:ONIVA01G08730 transcript:ONIVA01G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTAVAAVLLLVFLLLTSSAPFLQARMMPGDGGEIVQEAVTMMMGSSAGAAAAAVAGRRSTASSSPPEEEMLLPRRPPLPLSPPAATTGARSSRMLGSVPSPGVGH >ONIVA01G08720.1 pep chromosome:AWHD00000000:1:6612254:6614198:-1 gene:ONIVA01G08720 transcript:ONIVA01G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAARRLSSAAAAGDAARPGTLSSLFAPRSSPKPRAPRPEPGDGPPQERRKPRARPRPRSRQPWGEEAAALLRRFYDGGYLPGPDLSVAPHVLSPDVVKGAAERFGHDHQVVAKWLSGSDLKKVALFGCPSVERRTVFASKRLRAFFKIQEAKICGSCKMRNSCQFVNQEVSRHDKVILSDTMRILTLFVLDTCPQQLKVTPELKASICKLVKDTINLSQ >ONIVA01G08710.1 pep chromosome:AWHD00000000:1:6608101:6608262:-1 gene:ONIVA01G08710 transcript:ONIVA01G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDTAENGHGGGGPVAVSFNTNAIVLLALLVYGLGAVALHVVLQCALRVTS >ONIVA01G08700.1 pep chromosome:AWHD00000000:1:6598878:6605497:-1 gene:ONIVA01G08700 transcript:ONIVA01G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVATGKGGVKMPPVWTRARVWWVGGARGYKPPERMEVIGCSVSPSPCSSYQPSPRASYNASPTSSSFPSGASSPFLPHPNNMANGVDGNPILPWLKTLSNSPSSKKHPQLPPLLIHGGSISAPVTPPLSSPTARTPRMKTDWDESNVQPTWTGSNSPCVVNSTPPSPGRTMLPDPAWLADAIPVGNNSSRMCTPGQSGTCSPAIPGMAPHPDIHMMDALNEAFICVPCTDSKASTYFCRSLLLSSSSTAAMAVVVDGVSPPWSKEAVVHLLSGELVVVIDNFAIESGREMAGREDGAAAGAMEEGQDSKEVKCESSEDGSSSSSSSRCHGNDVISVQFMQKILAEILGTYFMIFAGCGAVVVNQSTGGAVTFPGICAVWGLVVTVLVYSVSHISGAHFNPAVTVAFATCGRFRWKQVPSYVVAQVLGSTMASLTLRVVFVGGGGGARGEHLFFGTTPAGSMAQAAALEFVISFFLMFVVSGVATDNRAIGELAGLAVGATVAVNVLFAGPVTGASMNPARSLGPAMVAGRYGGVWVYVAAPVSGTVCGAWAYNLLRFTDKPLRDIANTGSFLRRSSRRS >ONIVA01G08690.1 pep chromosome:AWHD00000000:1:6580686:6590197:1 gene:ONIVA01G08690 transcript:ONIVA01G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANSLVLGRVIGDVVDLFSPEVTLRVMYNGVRVVNGEDLRPSAVSARPSVELGGDLHQFYTLVMVDPDAPNPSNPTLREYLHWLVTDIPGTTDANYGREVVCYESPRPAAGIHRVAVVLFRQMARGGVDQPPLLRHNFSTRGFADDHALGAPVAAAFFTCKPEGGTGGRRFRPPSRHS >ONIVA01G08690.2 pep chromosome:AWHD00000000:1:6586427:6590197:1 gene:ONIVA01G08690 transcript:ONIVA01G08690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANSLVLGRVIGDVVDLFSPEVTLRVMYNGVRVVNGEDLRPSAVSARPSVELGGDLHQFYTLVMVDPDAPNPSNPTLREYLHWLVTDIPGTTDANYGREVVCYESPRPAAGIHRVAVVLFRQMARGGVDQPPLLRHNFSTRGFADDHALGAPVAAAFFTCKPEGGTGGRRFRPPSRHS >ONIVA01G08680.1 pep chromosome:AWHD00000000:1:6578731:6598695:-1 gene:ONIVA01G08680 transcript:ONIVA01G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRAVMSEMRWAHSGLRERLVGSSCTYVGEKNTIIAGPVEIRKLDRVTERRFHLCRDGGRKRRPPVPPSGLQVKKAAATGAPRAWSSAKPRVEKLWRSSGGWSTPPRAICRNSTTATRWIPAAGRGLSNHYIEYQSEPDGLVHQFGAFSNKTQRESQTNQQAERQNVNRLDFRVAIAVNKSMVNILLKTGSLSQSINKKEKAPVCATAHQN >ONIVA01G08670.1 pep chromosome:AWHD00000000:1:6574597:6576483:1 gene:ONIVA01G08670 transcript:ONIVA01G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEARVLCCADDAALCARCDLHVHAANRLAGKHHRLPLLSSSSSSSSSPSPPTCDICQDAHAYFFCVEDRALLCRACDVAVHTANALVSAHRRFLLTGVHVGLDAAADDDDKHPPHPLSSSLPRNTAPPPQPPPKRSPSPIYSDDDVIDWATGGHDIGITGNLPDWSLVDEQFNTPALPPVVTKTPPKRASRGPVTAGTAAAVFGNLAGGSPDWPLNEFFGFADFSSGFGFAENGTSKADSGKIGSMDGSPNGGRSSSSSSSSSAAAAAAGGGQDFFGQVPEVHWAVPELPSPPTASGLHWQRDPRYGGGATDASAVFVPDISSPENPFRCFAAAGAGDHTMKRRRRC >ONIVA01G08660.1 pep chromosome:AWHD00000000:1:6519525:6533277:-1 gene:ONIVA01G08660 transcript:ONIVA01G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGHGERWLGERMEQQQEPWKKGKTARRRCQGNDMISVQFMQKILTEILGTYFMIFAGCGTVLVNLSTGGAVTFPGICAVWGLVVTVLVYSVGHISGTHLNPAVTVAFATNCDLVPSYVVAQVLGSTMASLTLRVVFGGGGSARGEHLFLGTTPAGSMAQAAALEFVISFFLMFVVSSVATDNRAIGELAGLAVGATVAVNVLFAGEYTASIRGAAGAEGRRLYGLGAGDLPPMEARRFRWWVDELATAPPLPPRSPSPSLSPPPPPTKPSRRTLGKARAPKKRSILDLFAAAPRLALPSSDSGGGGNDDDDDEALCAIMRRAREKKRKRRLQEEEEEEEAGAASALVTAAAAAETRDSEGNFTRKLFSDALASSSSSSTDMSSEGDKHIAAESCSSHMPETATKEASKSTDHEDSLELTSTQLSSNLFDLNEALPESTDLNYPYVSNPEEPNHEPRQHEPLDSDVQVIDEGGQNQQDLSLDSHGLQCQSVPESGLERARSSISPGTFLHGEFMEVSDTFFVGSSRKLTELAESHGACSSGSVKDAMTKGKSPCALPNHTVQDSFQQHQRYYAFNLNLGGSQPSNEGEVPPQDCNASTGVASSSHSEMGVQQECRPAAGQTVRLMGKDLSVSTTRGEYVSGTHFYTEDHPTKLFLELPRQGRPYLSLQAQSVPNVSANSASPSQSHIRYTAPQNLSHSFPTTNALSGDRLRYDDRFSYLSGSQHHGNVLLGSPSLTSDANLPRSYGVVSAGSSVHPHNSPSFAFTHPRRMIVEEASGSRRDAACPSRNAENVAARAAIPEMQAQRTGLMKLTPGAKHILMPSDTTGDGTSMPVYSCVSFGSRRENASATRNMGAELYKL >ONIVA01G08660.2 pep chromosome:AWHD00000000:1:6519525:6532572:-1 gene:ONIVA01G08660 transcript:ONIVA01G08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQDSKEVKCENSEDGSNTSRRCQGNDMISVQFMQKILTEILGTYFMIFAGCGTVLVNLSTGGAVTFPGICAVWGLVVTVLVYSVGHISGTHLNPAVTVAFATNCDLVPSYVVAQVLGSTMASLTLRVVFGGGGSARGEHLFLGTTPAGSMAQAAALEFVISFFLMFVVSSVATDNRAIGELAGLAVGATVAVNVLFAGEYTASIRGAAGAEGRRLYGLGAGDLPPMEARRFRWWVDELATAPPLPPRSPSPSLSPPPPPTKPSRRTLGKARAPKKRSILDLFAAAPRLALPSSDSGGGGNDDDDDEALCAIMRRAREKKRKRRLQEEEEEEEAGAASALVTAAAAAETRDSEGNFTRKLFSDALASSSSSSTDMSSEGDKHIAAESCSSHMPETATKEASKSTDHEDSLELTSTQLSSNLFDLNEALPESTDLNYPYVSNPEEPNHEPRQHEPLDSDVQVIDEGGQNQQDLSLDSHGLQCQSVPESGLERARSSISPGTFLHGEFMEVSDTFFVGSSRKLTELAESHGACSSGSVKDAMTKGKSPCALPNHTVQDSFQQHQRYYAFNLNLGGSQPSNEGEVPPQDCNASTGVASSSHSEMGVQQECRPAAGQTVRLMGKDLSVSTTRGEYVSGTHFYTEDHPTKLFLELPRQGRPYLSLQAQSVPNVSANSASPSQSHIRYTAPQNLSHSFPTTNALSGDRLRYDDRFSYLSGSQHHGNVLLGSPSLTSDANLPRSYGVVSAGSSVHPHNSPSFAFTHPRRMIVEEASGSRRDAACPSRNAENVAARAAIPEMQAQRTGLMKLTPGAKHILMPSDTTGDGTSMPVYSCVSFGSRRENASATRNMGAELYKL >ONIVA01G08660.3 pep chromosome:AWHD00000000:1:6519525:6532572:-1 gene:ONIVA01G08660 transcript:ONIVA01G08660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQDSKEVKCENSEDGSNTSRRCQGNDMISVQFMQKILTEILGTYFMIFAGCGTVLVNLSTGGAVTFPGICAVWGLVVTVLVYSVGHISGTHLNPAVTVPSYVVAQVLGSTMASLTLRVVFGGGGSARGEHLFLGTTPAGSMAQAAALEFVISFFLMFVVSSVATDNRAIGELAGLAVGATVAVNVLFAGEYTASIRGAAGAEGRRLYGLGAGDLPPMEARRFRWWVDELATAPPLPPRSPSPSLSPPPPPTKPSRRTLGKARAPKKRSILDLFAAAPRLALPSSDSGGGGNDDDDDEALCAIMRRAREKKRKRRLQEEEEEEEAGAASALVTAAAAAETRDSEGNFTRKLFSDALASSSSSSTDMSSEGDKHIAAESCSSHMPETATKEASKSTDHEDSLELTSTQLSSNLFDLNEALPESTDLNYPYVSNPEEPNHEPRQHEPLDSDVQVIDEGGQNQQDLSLDSHGLQCQSVPESGLERARSSISPGTFLHGEFMEVSDTFFVGSSRKLTELAESHGACSSGSVKDAMTKGKSPCALPNHTVQDSFQQHQRYYAFNLNLGGSQPSNEGEVPPQDCNASTGVASSSHSEMGVQQECRPAAGQTVRLMGKDLSVSTTRGEYVSGTHFYTEDHPTKLFLELPRQGRPYLSLQAQSVPNVSANSASPSQSHIRYTAPQNLSHSFPTTNALSGDRLRYDDRFSYLSGSQHHGNVLLGSPSLTSDANLPRSYGVVSAGSSVHPHNSPSFAFTHPRRMIVEEASGSRRDAACPSRNAENVAARAAIPEMQAQRTGLMKLTPGAKHILMPSDTTGDGTSMPVYSCVSFGSRRENASATRNMGAELYKL >ONIVA01G08660.4 pep chromosome:AWHD00000000:1:6519525:6531664:-1 gene:ONIVA01G08660 transcript:ONIVA01G08660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVEAGLISRGANDGSDYVSVPSYVVAQVLGSTMASLTLRVVFGGGGSARGEHLFLGTTPAGSMAQAAALEFVISFFLMFVVSSVATDNRAIGELAGLAVGATVAVNVLFAGEYTASIRGAAGAEGRRLYGLGAGDLPPMEARRFRWWVDELATAPPLPPRSPSPSLSPPPPPTKPSRRTLGKARAPKKRSILDLFAAAPRLALPSSDSGGGGNDDDDDEALCAIMRRAREKKRKRRLQEEEEEEEAGAASALVTAAAAAETRDSEGNFTRKLFSDALASSSSSSTDMSSEGDKHIAAESCSSHMPETATKEASKSTDHEDSLELTSTQLSSNLFDLNEALPESTDLNYPYVSNPEEPNHEPRQHEPLDSDVQVIDEGGQNQQDLSLDSHGLQCQSVPESGLERARSSISPGTFLHGEFMEVSDTFFVGSSRKLTELAESHGACSSGSVKDAMTKGKSPCALPNHTVQDSFQQHQRYYAFNLNLGGSQPSNEGEVPPQDCNASTGVASSSHSEMGVQQECRPAAGQTVRLMGKDLSVSTTRGEYVSGTHFYTEDHPTKLFLELPRQGRPYLSLQAQSVPNVSANSASPSQSHIRYTAPQNLSHSFPTTNALSGDRLRYDDRFSYLSGSQHHGNVLLGSPSLTSDANLPRSYGVVSAGSSVHPHNSPSFAFTHPRRMIVEEASGSRRDAACPSRNAENVAARAAIPEMQAQRTGLMKLTPGAKHILMPSDTTGDGTSMPVYSCVSFGSRRENASATRNMGAELYKL >ONIVA01G08660.5 pep chromosome:AWHD00000000:1:6534152:6538132:-1 gene:ONIVA01G08660 transcript:ONIVA01G08660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGFSIREYTASMRGAAGAEGRRLYGLGAGDLPPMETRRFRWWADELAAAPPLPPRSPSPSPSPPPPPPTKPSRRTLGKARAPKKRSISDLFAAAPPLALTSSDSGGGGNDDDDDEALCAIMRRAREKKRKRRLQEEEEEAAGGASAPVAAAAAAAETRDSEGNFTRKEALDKTNLPGGLDTPQASRRPDGVHHARTDEERSPDSKRRKKVKINNLDKNNNKKKIDKKRYSESKRATNKVGKQHDLKKMLPLHSILKKYTKHTSVKMVKEKHGDPKGTEVIEVCRKSVKRVKFSEVNDVLGINKQNICKLFSDALASSSSSSTDMSSEGDKHIAAESCSSHMPETATKEASKSTDHEDSLELTSTQLSSNLFDLNEALPESTDLNYPYVSNPEEPNHEPRQHEPLDSDVQVIDEGGQNQQDLSLDSHGLQCQSVPESGLERARSSISPGTFLHGEFMEVSDTFFVGSSRKLTELAESHVSPPMKGKFLPKTVMHLPEWLQVLMLKWVCSRGVGLLQDKLCV >ONIVA01G08660.6 pep chromosome:AWHD00000000:1:6533286:6538132:-1 gene:ONIVA01G08660 transcript:ONIVA01G08660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGFSIREYTASMRGAAGAEGRRLYGLGAGDLPPMETRRFRWWADELAAAPPLPPRSPSPSPSPPPPPPTKPSRRTLGKARAPKKRSISDLFAAAPPLALTSSDSGGGGNDDDDDEALCAIMRRAREKKRKRRLQEEEEEAAGGASAPVAAAAAAAETRDSEGNFTRKEALDKTNLPGGLDTPQASRRPDGVHHARTDEERSPDSKRRKKVKINNLDKNNNKKKIDKKRYSESKRATNKVGKQHDLKKMLPLHSILKKYTKHTSVKMVKEKHGDPKGTEVIEVCRKSVKRVKFSEVNDVLGINKQNICKLFSDALASSSSSSTDMSSEGDKHIAAESCSSHMPETATKEASKSTDHEDSLELTSTQLSSNLFDLNEALPESTDLNYPYVSNPEEPNHEPRQHEPLDSDVQVIDEGGQNQQDLSLDSHGLQCQSVPESGLERARSSISPGTFLHGEFMEVSDTFFVGSSRKLTELAESHGACSSGSVKDAMTKGKSPCALPNHTVQDSFQQHQRYYAFNLNLGGSQPSNEGEVPPQDCNASARVASSSHAEMGVQQGCRPAPGQTVRLMGKDLAVSTTRGEYVSGTHSYTEDHPTKLFLELPRQGRPYLSLQAQSVPNVSANSASPSQSHIRYTAPQNLSHSFPTANALSGDRLQYDDRFSYLSGSQHHGNVLLGSPSLTSHGSAALRQNLPYVWSRYSDPSSSSTASPSAPILPTTAQHVTPSSVYHASLPRSYGVVSAGSSVHPHNSPSFTFTLPRRIVEEASGSRRDAACPSRNAENVAARAAIPEMPSSSSGGRHARRTGPMKLTPGAKHILMPSDTTGDGTSMPVYSCVSFGSKSGNASATRNMGAGLYKL >ONIVA01G08650.1 pep chromosome:AWHD00000000:1:6498453:6507243:-1 gene:ONIVA01G08650 transcript:ONIVA01G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMMTDLSCGPSSMTELTAAAAPAGSGSSAAVAAGSSEKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKSTVERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNANRTIVGDSINTMSLRDLKQVENRLEKGIAKIRARKNELLYAEVEYMQKREVELQNDNMYLRSKVVENERGQQPLNMMGAASTSEYDHMELSSSEHHAAASALRPSAAANYPSTWAAAGLQLVLMKSEGVDTRGYVTA >ONIVA01G08650.2 pep chromosome:AWHD00000000:1:6498934:6507243:-1 gene:ONIVA01G08650 transcript:ONIVA01G08650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMMTDLSCGPSSMTELTAAAAPAGSGSSAAVAAGSSEKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKSTVERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNANRTIVGDSINTMSLRDLKQVENRLEKGIAKIRARKNELLYAEVEYMQKREVELQNDNMYLRSKVVENERGQQPLNMMGAASTSEYDHMVNNPYDSRNFLQVNIMQQPQHYAHQLQPTTLQLGQQPAFN >ONIVA01G08640.1 pep chromosome:AWHD00000000:1:6473856:6474935:1 gene:ONIVA01G08640 transcript:ONIVA01G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTITSSVGAGPPPSRSTTTITAERTYHIIKIPGYSSTLKVGHGQALRTSPFSAGGRTWYISYYPNGGRETNKHCISFFIHLDDDTVNDDVMAQVTFSLLDRHRNPVRSHTITTTLYNFSVPNSSALGFENFIRRDELQRSEYLNDDCFAIAVRLVITEEPSSFTVPPSNMHLDYGDLLSSKEGTDIEFVVRGETFAAHRLVLAARSLVFKAELFRPMEGGTTDVIKIDNMDAQVFKALLVFIYTDTWPEIDQDETTMVQLLVAANKYSLSRLKIMCEDRLCSYIDTSSVVTMLMLADKYQCHGLKKVCFNFLASSRALSLAMKADNFRCLIQGHPTMLKDLIYNIVTHQLEIKLSV >ONIVA01G08630.1 pep chromosome:AWHD00000000:1:6466488:6471482:-1 gene:ONIVA01G08630 transcript:ONIVA01G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQRREEAGSGGAGGAGKRSWMAVAAPVARSLVHSASAAVKGSECGALVVVASAVVVVTFTAHQLVLAARSPVFMTELFGLMKEGTTVNKIPIFDMEAQVLGLYLYMLPEMDQEDEAAMAQHLLAAADKYGLHRLKMICLEILSSHIDANSVATILVLAEKHYCYGLNEACFEFLNSLAVLSAIVSTSDFQYLIQSCADILEDISFNIVARQLERAIFLSKNQEGQINSVEIGIWQQEARRVWVRRPTPGCGGMQRTCNIASNVAGGGSPTPSRSAAASTVVTTQAYHVLKIDGYSHTSQVHCYRSLSSFPFSAGGRTWYICYYPHGKNDISKDFISIYLVLYDAIAEAVMVQATFSLLDQHGKPVPSHTRATRLFSTWNQDDMANNLGFETFIAKGDLEKSGHVQDDCFAIGVHVVITKETPPPIVAVPPSSDMHLHYGDLLSSKRCADVEFLVGGETFAAHRLVLAVRSPVFVAEHFGPMKEGVNVNDVVEINDMDAQVFKALLNFIYTDTLLEMDQEEDATMAQHLLVAADKYGLERLKVKCEERLSNHIDADSVATLLVLTDKHNCRGLNKACIEFFSSPTALAKIIETDEFQYLTQSHPNILEDIISNIVASQLEKAIFSPENEGGKINKVDIRIQPWQNSNARCG >ONIVA01G08620.1 pep chromosome:AWHD00000000:1:6459573:6461590:1 gene:ONIVA01G08620 transcript:ONIVA01G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGDRSIISHPRQKFSWPENSITSCVVCTQQGGYPDLRGSGDGAAVAAMRARPSRAVAGDWATWWGGAAVAWWSSAGTPSDLWPPMAVTTRSSRSIIPSPIAFHCSGRPGLMASHPWPLPHHRHHYDGGRDSSNKRRRWHRRHKQVSSTGYDGNKHPPCADAPLPPIGPSTLLPSNSLWWSQHHWESGMASGPSVEAADEMTALGSTGLRLQVFIVGCRAPARPLPISAAWMLCVVGVFL >ONIVA01G08610.1 pep chromosome:AWHD00000000:1:6453984:6458442:1 gene:ONIVA01G08610 transcript:ONIVA01G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATPTPNVVDGDCSGTPPSRSASTIIAARTLHVLTIHGYSDTLKSNVDPSQHLLLSSPFSAGGHTWCIRYCPIGCTEESKDFISIYLVLEDTTTDVVSAQVTFSLLDQQGNPMPSHTLTTPLLKFSLQGTLPKALGYNSFIRRDDLERSGHLKDDCFAIGVHVVVTKEAVPSSITVPPSDMHLHYGDLLSSEERYATDVEFLVGGETFTAHRLVLAARSPVFMVELFGPMKEGTTVNKIHIFDMEAQVFRALLKFIYTDMLPEMDQEDETAMAQHLLVAADKYGLHRLKMICVEILSNHIDAYSVATILVLAEKHYCYGLKEACFEFLNSSAILSAVVNTSDFLYLIQSCPDVLEDISFNIVARQLERAIFLSENQEGQINSVEIRINSAARGKESVGATTDGGVRGHAENRQVAREQGTLLIND >ONIVA01G08600.1 pep chromosome:AWHD00000000:1:6440978:6441508:-1 gene:ONIVA01G08600 transcript:ONIVA01G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRVDESLHPWRGQQRRKGHQAARFMREEPAGGAVIGETISDEAGVDKVRKEGGGSRWRRRERGEQHRTEVVVIANTVGGAGPPRCHGVGERGGEEPGMRAWGLQVVGRDGAACCAAVPPPCRPLLRFRVAAAPPSCRPPLLHTCATAPRAALCRITVRLHTLHGHREPRCCCVH >ONIVA01G08590.1 pep chromosome:AWHD00000000:1:6436175:6439147:1 gene:ONIVA01G08590 transcript:ONIVA01G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPCYCSGLQHPHRCIYPHALAFPSTATLHALNPLLSSAHLSPGAVIAARLPRRKRRPLSADSPPSSISSALSATAAREATPQPNRTAGTPTPIPFTRLPLCGAPPDQRQSPASCPIMQAQAGMVEQPPQIRSVRHLGLRVNYTAMASICS >ONIVA01G08590.2 pep chromosome:AWHD00000000:1:6436175:6438294:1 gene:ONIVA01G08590 transcript:ONIVA01G08590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPCYCSGLQHPHRCIYPHALAFPSTATLHALNPLLSSAHLSPGAVIAARLPRRKRRPLSADSPPSSISSALSATAAREATPQPNRTAGTPTPIPFTRLPLCGAPPDQRQSPASCPIMQAQAGMVEQPPQIRSVRHLGLRRVWNASVHTSVFAQLRSSSPPPVHGTGVADPSSANCHPSATDLLSKPPPQALAIPYPSHHLVADPPSPEPHHAASMFLRSSSR >ONIVA01G08580.1 pep chromosome:AWHD00000000:1:6434817:6435917:-1 gene:ONIVA01G08580 transcript:ONIVA01G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTAAAAALTSSVGDGPPPSRSTTTITAGRTYHILKIEGYSSTLKAGRGQALRSSPFSAGGRTWYISYYPNGGRETNKHCISFFIHLDDDTVNDDVMAQVTFSLLDRHENPVRSHTVTTTLYNFSVASSNTLGFENFIRRDDLQRSEYLNDDCFAIAVRLVITEESPSFTVPPSNMHLDYGDLLSSKEGTDVEFVVGGETFAAHRLVLAARSPVFKAELFKPMEEGTTDVIKIDNMDAQVFKALLVFIYTDTWPEIGQDKTTMVQQLLVAANKYSLLRLKIMCEDKLCSYIDTSSVVTMLMLADKYQCHGLKKVCFNFLASSRALSLVMKADNFWCLIQSHPTMLKDLIYNIVTHQLEIKLSV >ONIVA01G08570.1 pep chromosome:AWHD00000000:1:6430672:6431867:1 gene:ONIVA01G08570 transcript:ONIVA01G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTMTLAASAGDGSPSSRSTVTTIAAQAYHVLKINGYSNTLEAGRHHALSSCPFSAGGHTWHVSYYPNGGRDSNKNCISIFLVLKDIVTEEDVMAKVTFSLLDRYGNPVPSYTYHTQLRNFSTSPSRAKGFENFIRRDELERSEYLNDDCFAVAVHVIVPKEKPSIVVPPSNMHLHFGDLLVSKKGTDVKFLVGGEMFAAHRLVLAARSPVFKAELFGPTKKDTIDVIQIDNMEARVFKALLDFIYTDIWPEIGHGEDNVAMAQRLLAAADIFTLD >ONIVA01G08560.1 pep chromosome:AWHD00000000:1:6419218:6429257:1 gene:ONIVA01G08560 transcript:ONIVA01G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRPWRRRRRPQQRWPRRYSSSATLVLEKKQSTTTRASWRSPRRRRMSARPRLRHLTEGSWDEQTTVLLKNEHLCGPAKSTGWYWYRSVPVHIWPFIPVWVERLYLITIVLMVVNGLHGQVANYKRKGREKIQRRGMQGSPRTEQARLVMYMEQLRMKSWSGGLRIFSTNCQPDEFTQHDECEGR >ONIVA01G08550.1 pep chromosome:AWHD00000000:1:6413726:6414782:1 gene:ONIVA01G08550 transcript:ONIVA01G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQDVHGDMTSDNSIIVAACSLAIAAAILHLLGVSPHLLACSLAVAVAISSPPPRVGWRERPPRCSRRRTAERGPFEHEDGGAEAADELEDDLAVLLPDVGPQLGEEVAGLREGEQRGGALEDGGDYGGSGSSGVYSPNTRFKSFISTS >ONIVA01G08540.1 pep chromosome:AWHD00000000:1:6409561:6410037:1 gene:ONIVA01G08540 transcript:ONIVA01G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAIPPPVRGQGRGAPRPPSIVPAAPRGGAAWRGVPLSIGVDAASPLVPATASTHRREIVLDDVDKRPHVDDDAALLLHIGVRLPATATPPPEDVAGDDMIDAPPPPPPPPMEVQLGADVLPPQPAVEIDDDDVRDAPLPQATEVFHDDGAAAAEAP >ONIVA01G08530.1 pep chromosome:AWHD00000000:1:6407525:6408997:-1 gene:ONIVA01G08530 transcript:ONIVA01G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAGDRGEEEKTGTNKHGLVPEDLGLLDILDDKHTQARPRTRHEFSSRRVPAPIGANPDDHLSISVGGAAALATRRRLIEEAKAWRRLPREIAGEKKSGMNKHGFPRVFRFVPDDLELLNILDDKLRGVPIDRAGDAVDFHETRILDFHRPTSYRCPFFFSGDGLRAPGDCMRICGHLLLQHEEEEGGRGSLLRRSSRLAASRPQSAPTPTTSSVSGAAARDSTADRVLDRMKLVRAARSGRWKSLGSCKKVGVRRRRRPEEHVFDGDKNPVVLERGHGGTGRRVRQDAVGARGSERPVESVRQLQLPLAGISPCRSTNTCGGHGAAIAHRAPARGRGPVTMMFMANQANVAPHRTQSSMSELHQEWHQTTSTPTMPRGGAEF >ONIVA01G08520.1 pep chromosome:AWHD00000000:1:6399205:6402166:-1 gene:ONIVA01G08520 transcript:ONIVA01G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSLSSTPPGASTNNYGQRKQERWRGCKMRLAENKDNEKLLWREKAAVMEVMKESVRRKRECVQHVLNAKTENVSFLFPSPLPLISTTIATSTPLHQRPILHLDTLPEFEVKYTSLATHDTGEEKTRF >ONIVA01G08510.1 pep chromosome:AWHD00000000:1:6396437:6398412:-1 gene:ONIVA01G08510 transcript:ONIVA01G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVDPMALGLGTSGGGGGGGGESAVGGDGAEPVDLVEHPSGIVPTLQNIVSTVNLDCRLDLKKIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEDHSKLAARKYARIVQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKYRKEIYAAFENMFPVLTEYRKTQQRW >ONIVA01G08500.1 pep chromosome:AWHD00000000:1:6393634:6396256:1 gene:ONIVA01G08500 transcript:ONIVA01G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVEGTRAGSENGAERNRKEAMFGPFHGTRLQPQRSQDAMRCREVINATADNLLSYPTATKNKGKKKE >ONIVA01G08490.1 pep chromosome:AWHD00000000:1:6385922:6386487:-1 gene:ONIVA01G08490 transcript:ONIVA01G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSANNSSRWPAAAPIELRRPSQLSAPKTRAPQLFTQHQKLNLTAAKKSRSNLLFALMICNRVDLAHDDDSHHADDDHDDDEGGAARQLQMDYTMDQLWNDIAAAEADTSYDATAVVMASPPSPVWEFRGGVRGPRRRRWPAAAAAAVGGHLLLLFAGERWGREKRGDGGRGWS >ONIVA01G08480.1 pep chromosome:AWHD00000000:1:6382585:6382859:1 gene:ONIVA01G08480 transcript:ONIVA01G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNALSFLLRLLAGAAPGGCGCGCGCRHTDQDVEIRVAFSQRLLARFCIVSVPGGDVTTAKMGVSV >ONIVA01G08470.1 pep chromosome:AWHD00000000:1:6378210:6380867:-1 gene:ONIVA01G08470 transcript:ONIVA01G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFRGSLIVNRVSSNAKPETPKIQSPSERDRSDESKLPSNAKEVEAMRLDSSARNPLVAFSFEELRAVTSNFRQDSLIGGGGFGRVYKGAVAASAAGDGDGAEPQPVAVKVHDGDNSFQGHREWLAEVIFLGHLSHPNLVRLVGYCCEGDHRLLVYEYMPRGSVESHLFSRVMAPLSWATRMKIALGAARGLAFLHEAEKPVIYRDFKTSNILLDEEFNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYVMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPPREQTLADWALPLLTHKRKVMSIVDPRLSAAAAAAGAGGELPARAVHKAAMLAYHCLNRNPKARPLMRDIVASLEPLQADDEARDAAGA >ONIVA01G08470.2 pep chromosome:AWHD00000000:1:6378210:6380867:-1 gene:ONIVA01G08470 transcript:ONIVA01G08470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFRGSLIVNRVSSNAKPVSADSSELAETPKIQSPSERDRSDESKLPSNAKEVEAMRLDSSARNPLVAFSFEELRAVTSNFRQDSLIGGGGFGRVYKGAVAASAAGDGDGAEPQPVAVKVHDGDNSFQGHREWLAEVIFLGHLSHPNLVRLVGYCCEGDHRLLVYEYMPRGSVESHLFSRVMAPLSWATRMKIALGAARGLAFLHEAEKPVIYRDFKTSNILLDEEFNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYVMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPPREQTLADWALPLLTHKRKVMSIVDPRLSAAAAAAGAGGELPARAVHKAAMLAYHCLNRNPKARPLMRDIVASLEPLQADDEARDAAGA >ONIVA01G08460.1 pep chromosome:AWHD00000000:1:6367260:6368021:1 gene:ONIVA01G08460 transcript:ONIVA01G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLPTAGLRRALTLPSPSPAAAVGHEEGSSTSAASTSVGTGSPPSSSSASTSSPAFLDDLDPPLYLDDVEAEADAGGLSTAIASRRLFFESPGRSNSIVDSAEHPAAAAAAVVPRGNGGASTSSSSSSGRPAAAPSRAAAVATGKGVRVCGDEQARPVPVSTAAPREEFLKSMTEMVDAMGLDVARRGGDRARLHELLLSYIALNDRDALPDILGAFTDLLLALNAHGPAATPADGVVRERGGGGDARRKA >ONIVA01G08450.1 pep chromosome:AWHD00000000:1:6350786:6351406:1 gene:ONIVA01G08450 transcript:ONIVA01G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQEERPPAVADGTKITIGEALEAAALSAGDQPVEPSDAAAIEAAEARAAGRLQDDDDDDDDADAAAAPAGLAARARAAADANARAERDEDKTTLGDVLADAAAKLGGADKEVEREDAVRVVGVEVRSKPDAAARPGGVAASIAAAARLNRGRQ >ONIVA01G08440.1 pep chromosome:AWHD00000000:1:6341030:6349822:1 gene:ONIVA01G08440 transcript:ONIVA01G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPIEGQFISVANLKERIFESKHLGRGTDFDLMISNAQTDEEYADEATMIPKNTSVLIRRIPGRPRKPIVTEPEETKAMEGRVEETMPSGSAFLADASMKYPEESEWDDEFGNDLYVSDSVPSQLGSQAVDASENKVDEDSKIKALIDTSALDYSQIPDGYGGGRGYGRGMGGRMMGGRGFGRGGLERRTPPAGYVCHRCKVPGHFIQHCPTNGDARFDMKRMKPPTGIPKSMLMATPDGSYALPSGAGAVLKPNEAAFEKEIEGLPTTRSLGDLPPELRCPLCKEVMKDAVLTSIRDYIINKSMCVCGATSILADDLLPNKTLRETISRILEAPPTSTVSAASKEEPKRTPAPVEESPDVESHSEVKTINVDMSSSDKKVPALPDVVEGTMESKILKEKTPEATPVVKESQEKMPVVGEQVVKKKKKKKVRAPGNAEDWKPYQDFGAENFAGMPMGPAGGFNPYWGGGMPLPMDYMGAPFPGPMPYMGYPPGPFDPFGGGVLPQDPFMPPGYMMPAVPRDLSELAVNSMGMNMGPPVVSRDEFELRKPDNRRRREMERFNERERERGHSRERERERERERERERERERERERDRDRNRDRDRDQERDRDRERDRERESRREARESSGANNDSTTSMRPKARSRSSQPADRSAPPPPASPDRYSRRSPHRSSGSGKKRSSSDRYDDLPLPPPPPPASRHEAAEHAHAKAAAAAAAADARSKAKGSVFSRISFPGGDGNPSDAKRSRRSSSDKPPASSSSSSKKAAAAVAEDNDGRHHRRHHREAAAAAEERRRPAVGDYYGEEEEDDESEEEQHFKRRPSSSSRREREHQEAQEEPRRSRERRGGGSDGNHHHNHGGGGGHKRR >ONIVA01G08430.1 pep chromosome:AWHD00000000:1:6334023:6334529:1 gene:ONIVA01G08430 transcript:ONIVA01G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTQPQLVESEPVKKLQRLPPVFSRVLELPFPRDTNVRKLFTTNADLFFVPHGVGGEPDVVKVHIVRLERWDMTRVVVHIGPGEPDLRNDLVYDKWRFPLAETSILSMVMAGYVNGQLIVVVPRMDASGDGGNEGIPMWPNIDKRGGGGGGGGGFGLLAGASYIPTK >ONIVA01G08420.1 pep chromosome:AWHD00000000:1:6316115:6324445:-1 gene:ONIVA01G08420 transcript:ONIVA01G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFVTKWSHRSHEPAAPSNPAAQQQPPPPSPAGVGVSSAAAAAVVEEAEMETGGDDFITQEEEYQIQLAMALSASASVSAPSGGGGSGDTEGEQIRKAKLMSLGRGDLSAAADRGVGDSAEALSRRYRDYNFLDYNEKVIDGFYDIFGLSAESARQGKMPSLAELQTSIGDLGFEVIVVDHKFDSALQEMMEVAQCCMLGCPDTTVLVRRIAEVVAGHMGGPVIDATEMFTKWLGKSIEQRTSHQTSLLPIGRIDIGLSRHRALLFKILADSVGIPCKLVKGSHYTGVEDDAINIVKMNNEREFLVDVMAAPGTLIPADVFISKGTPFNLTKPLVQNQVVELASNIENDPSAAHSEHVGNRLHMFGNGNSLSENQSGCEKTMIAGSEVSQLWTLAPQMQSDQQSTSAGAHSMQKEDLKLTPDSQENEESKKQISETDSFRGIEPGKSSLAFKGLNNRNNEYQRRRENIAPAPGRSQQPLVMKNWSACNDISNKQYNIAEGLVRRRNTSDNAASSSQLAWSTAKHYNPNGRERNDRLCAAPGRNYDNRKVGASTTATASATGERLDRPNLAPVHYYDDKPNGISSVNAASTSGIVKVAEKGPHDLEKVPIYSRFDSQIYSSMQGYSPEVKENKENYDRHDNMRLHPDPRRSPLDRFMDTSRQNSESVSPPQAGSSTVDMVLGEVSECEILWEDLLIGERIGLGSYGEVYHADWNGTEVAVKKFLDQEFYGDALAEFRCEVRIMRRLRHPNIVLFMGAVTRPPHLSIVSEYLPRGSLYTIIHRPDCQIDEKRRIKMALDVARGMNCLHTSVPTIVHRDLKSPNLLVDNNWTVKVCDFGLSRLKHGTFLSSKSTAGTLRVTCDVYSFGVILWELATLQMPWSGMNPMQVVGAVGFQDRRLDIPMEVDPLVASIIQDCWQKDPNLRPSFSQLTSYLNTLQRLVIPCHQETAGSYVPQEISLYR >ONIVA01G08420.2 pep chromosome:AWHD00000000:1:6316115:6324445:-1 gene:ONIVA01G08420 transcript:ONIVA01G08420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFVTKWSHRSHEPAAPSNPAAQQQPPPPSPAGVGVSSAAAAAVVEEAEMETGGDDFITQEEEYQIQLAMALSASASVSAPSGGGGSGDTEGEQIRKAKLMSLGRGDLSAAADRGVGDSAEALSRRYRDYNFLDYNEKVIDGFYDIFGLSAESARQGKMPSLAELQTSIGDLGFEVIVVDHKFDSALQEMMEVAQCCMLGCPDTTVLVRRIAEVVAGHMGGPVIDATEMFTKWLGKSIEQRTSHQTSLLPIGRIDIGLSRHRALLFKILADSVGIPCKLVKGSHYTGVEDDAINIVKMNNEREFLVDVMAAPGTLIPADVFISKGTPFNLTKPLVQNQVVELASNIENDPSAAHSEHVGNRLHMFGNGNSLSENQSGCEKTMIAGSEVSQLWTLAPQMQSDQQSTSAGAHSMQKEDLKLTPDSQENEESKKQISETDSFRGIEPGKSSLAFKGLNNRNNEYQRRRENIAPAPGRSQQPLVMKNWSACNDISNKQYNIAEGLVRRRNTSDNAASSSQLAWSTAKHYNPNGRERNDRLCAAPGRNYDNRKVGASTTATASATGERLDRPNLAPVHYYDDKPNGISSVNAASTSGIVKVAEKGPHDLEKVPIYSRFDSQIYSSMQGYSPEVKENKENYDRHDNMRLHPDPRRSPLDRFMDTSRQNSESVSPPQAGSSTVDMVLGEVSECEILWEDLLIGERIGLGSYGEVYHADWNGTEVAVKKFLDQEFYGDALAEFRCEVRIMRRLRHPNIVLFMGAVTRPPHLSIVSEYLPRGSLYTIIHRPDCQIDEKRRIKMALDVARGMNCLHTSVPTIVHRDLKSPNLLVDNNWTVKVCDFGLSRLKHGTFLSSKSTAGTPEWMAPEVLRNEQCDVYSFGVILWELATLQMPWSGMNPMQVVGAVGFQDRRLDIPMEVDPLVASIIQDCWQKDPNLRPSFSQLTSYLNTLQRLVIPCHQETAGSYVPQEISLYR >ONIVA01G08420.3 pep chromosome:AWHD00000000:1:6316115:6324445:-1 gene:ONIVA01G08420 transcript:ONIVA01G08420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFVTKWSHRSHEPAAPSNPAAQQQPPPPSPAGVGVSSAAAAAVVEEAEMETGGDDFITQEEEYQIQLAMALSASASVSAPSGGGGSGDTEGEQIRKAKLMSLGRGDLSAAADRGVGDSAEALSRRYRDYNFLDYNEKVIDGFYDIFGLSAESARQGKMPSLAELQTSIGDLGFEVIVVDHKFDSALQEMMEVAQCCMLGCPDTTVLVRRIAEVVAGHMGGPVIDATEMFTKWLGKSIEQRTSHQTSLLPIGRIDIGLSRHRALLFKILADSVGIPCKLVKGSHYTGVEDDAINIVKMNNEREFLVDVMAAPGTLIPADVFISKGTPFNLTKPLVQNQVVELASNIENDPSAAHSEHVGNRLHMFGNGNSLSENQSGCEKTMIAGSEVSQLWTLAPQMQSDQQSTSAGAHSMQKEDLKLTPDSQENEESKKQISETDSFRGIEPGKSSLAFKGLNNRNNEYQRRRENIAPAPGRSQQPLVMKNWSACNDISNKQYNIAEGLVRRRNTSDNAASSSQLAWSTAKHYNPNGRERNDRLCAAPGRNYDNRKVGASTTATASATGERLDRPNLAPVHYYDDKPNGISSVNAASTSGIVKVAEKGPHDLEKVPIYSRFDSQIYSSMQGYSPEVKENKENYDRHDNMRLHPDPRRSPLDRFMDTSRQNSESVSPPQAGSSTVDMVLGEVSECEILWEDLLIGERIGLGSYGEVYHADWNGTEVAVKKFLDQEFYGDALAEFRCEVRIMRRLRHPNIVLFMGAVTRPPHLSIVSEYLPRGSLYTIIHRPDCQIDEKRRIKMALDVVCDFGLSRLKHGTFLSSKSTAGTPEWMAPEVLRNEQCDVYSFGVILWELATLQMPWSGMNPMQVVGAVGFQDRRLDIPMEVDPLVASIIQDCWQKDPNLRPSFSQLTSYLNTLQRLVIPCHQETAGSYVPQEISLYR >ONIVA01G08410.1 pep chromosome:AWHD00000000:1:6299063:6316041:1 gene:ONIVA01G08410 transcript:ONIVA01G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSHQPASAIKAPTTSGYHRLRIDYYRSLGSPTGWALSSRDFVVGGRQWRISYYPNGNRPENAEFISVFLCLDSSSPKPAMLQVTITFDDEAKKQSQLRKAPVITIAPGACWGYHRFVKRDDLARSKRIRPDGFFTIRCDVSLIDHFTAQEDEPVFVSVPPSELRRDLGGLLDTGSGGDVVFQVGGEAFTAHRCLLAARSPVLAAALYGPMMEGGGLQGGVAIKIDDMDPLVFKALLRYAYTDSLPPQMQQGELEEEGRAMAQHLLAAADRYGMERLRLLCEAQLCKHIEVASVASILILADQHGCSGLKNACFEFLKSPGKFAAAMATQEYDYLKTNHCALADEVVKGNHAKWMLATVETFMRHRLNSKSILETATREIALHASTSNETPPVFLDLEESLRAKGDMTLREEATLKVLKVVATLGKTISTGAIAAVGYYVPGIVGAKFGEPSLPRLPRFAMAAVTSWFAGKVMYYAILQGSTEFILKHGEERMKMELANIILNKHSDDKTLVEAVKTQFFAEHLFSDQYQDRTLFRWHLRRTYVDSTFMERVKEIEVKSSNDGPGLISGQRIISTRPFGDLMEDPLACILGSPDSDMESNKSAEHTGTIVKRREIACPPAVLVEPIRLQPNVPICSLLARSRTGSNLEVSPVILDLEESLLAKGNMTLEEEVTIRVSKMTASVFKVFATAAFGASSYYALGLGPKIGEPPIPRFPRIGVSTGIAWFGGKFVYYTALRAGAEFILKRGEERMKMELANIILNKHSDEKTLVEAVKENFFAEHLFSDQYQDRQLFRWHLRNTYVDSAFMERVKEIEVKNSDDGSGSISGHRTTNTRSFGDLMEDPLACILGSSDSNIQSNKSAEHTGTTVKRREVRAHRRSHRHHHHHHHRHADKFSALCVNARIVLRTSSRRRPIGVDFWDEYFASTSKMKLIWMFDAKGGERERGGDETAAMVMQQVQVLKEVSALLRGKETPVILDLEESLRAKGDMTQGEEVKIYASKVTAVLCKAFVTATSALVGYHVLGVGSAWIVGKFAYYTALQASPIFILEGGEERMKMELANIILTKHNDEKTLVEAVKQHFFAEHLFSDQYQDMPLFRWRLRHTYVDSTFMERVKEIEVKNSSNGSGSISGHRTTNTRSFGDLMEDPLACILGSPDGDIENKKSAENTGTIVKRREDYPSDFQSQATDRSRFSGMNISVHEQNEFHRDDDCYLDRNWGSKPSHAFADY >ONIVA01G08400.1 pep chromosome:AWHD00000000:1:6289103:6294143:-1 gene:ONIVA01G08400 transcript:ONIVA01G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAASAAAGEEQQAVGLAARDSSGHLSHFAISRRSTGDDDVAIKILFCGICHSDLHCIKNEWKHSIYPLVPGHEIAGVVTEVGKNVTRFKAGDRVGVGCMVNSCRSCESCNNGFENHCPEGVFTYNSVDKDGTVTYGGYSSMVVVHERFVVMFPEAMPLDVGAPLLCAGITVYTPMKYHGLNAPGKHVGVLGLGGLGHVAVKFARAFGMKVTVISSSPGKKREALERLGADAFVVSSSAEEMEAARSTMDGVINTVSANTPMAPYLALLKPNGKMILVGLPENPLEVPPFSLVHGNRTLAGSNIGGMADTQEMIELAAKHGVTADIEVIGADYVNTAMERLAKADVRYRFVIDVGNTLHAAAAE >ONIVA01G08390.1 pep chromosome:AWHD00000000:1:6287537:6288208:1 gene:ONIVA01G08390 transcript:ONIVA01G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASSSGERRRRCGSAAIVADTVSEHFDLTIDGYSHIKAMLPTGKCATSPPFTAGGHEWCVDFYPNGKLAAAGDADMIQFFLRLRRAAKAKAAAAAVVAQVRFDLLGDGGSPQAGVCSGTGRVLSFAVDGRGQSMVIRRDAFEQAGCAKDDRFTVRFAVTVFRGCRTAEAAPESRPRRPAPSPASPRLPMCSYTCTVDCQTRNVRSAPTRPSSSTDGGCQV >ONIVA01G08380.1 pep chromosome:AWHD00000000:1:6278271:6279002:-1 gene:ONIVA01G08380 transcript:ONIVA01G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAHDVFLLHLRQRVSVQKAEQHLEHLRLHFFNAHDSSSVAFLHQAVELRSEDRRPRRKHAPVRGERLAADLKHHIRALLGLQQVTELLVHVRRGHGYKGFSSGEYLGRLLGADLAEDENVAPDGEGVIPEIVRLLEIFPFDELLIAPSPRTPTNKSCIFTWLAKLIWYFACTEKSALLFSSSTSGVDGCPVGVVFDPPAVTADGEPAGSEERAGGGALGKGVAIDLE >ONIVA01G08370.1 pep chromosome:AWHD00000000:1:6273523:6280027:1 gene:ONIVA01G08370 transcript:ONIVA01G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARRGNPSRSASAIVADTATGYHLLKVDGYSLTKATPTGSSLTSTQFTVGGHRWRIKYYPNGDSADSADYISIYLLLDEKASLDLKVEAKYLISFADQVKMQPSMKYRTVRTFHREGSWTWGYGKFIKREDFEKSDHLRDDSFTIRCDILVVHKIHTKETAEILPVETFVSVPPSDMNQQFGDLLETEKGADVVFEVGGQTFAAHRCVLAARSPVFRAELYGLMKEGDTAGVVRIEEMEAQVFKVLLRFLYTDSLPEMKEEDVMCQHLLVAADRYNLERLKLICEEKLCKYISVGTVSNILALADQHHCDGLKKACFNFLGSPANLSAVVAGEGFKHLSKICPSLMEELVVQRASGEQCPAMSPAGNPSRSASASAILADTATGYHLLKINGYSLTKGTPTGSFLTSSRFTVGGHRWRIKYYPNGASVDAADYILIYLVLDEKSNADFSVQAKYQISFANQVKMQPSLKYIMVRTFIRGCSWTWGYKKFIKREDFEKSDDLRDDSFTIRCDILVLRKIRAEETTEILPAAESFVSVPPSDMNQQFGDLLETEKGADVVFEVGGQTFAAHRCVLAARSPVFRAELYGLMKEGDTAGVVRVEEMEAQVFKVLLRFLYTDSLPEMKEEDVMCQHLLATPTGSSLTSTQFTVGGHRWRIKYYPNGDSADSADYISIYLLLDEKASLDLKVEAKYLISFADQVKMQPSMKYRTVRTFHREGSWTWGYGKFIKREDFEKSDHLRDDSFTIRCDILVVHKIHTKETAEILPVETFVSVPPSDMNQQFGDLLETEKGADVVFEVGGQTFAAHRCVLAARSPVFRAELYGLMKEGDTAGVVRVEEMEAQVFKVLLRFLYTDSLPEMKEEDVMCQHLLVAADRYNQERLKLICEEKLCKYISVGTVSNILALADQNRCDGLKKACFNFLGSPANLSAVVAGDGFKHLSKICPSLMEELVVKLALPATQA >ONIVA01G08360.1 pep chromosome:AWHD00000000:1:6261445:6262525:-1 gene:ONIVA01G08360 transcript:ONIVA01G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARMKNTTMGCAFLLAAFAMAAAFVPVAESRTTPVEKTTTTQAEDGVKKPDCVPAFDPRSFPGHGGTTTPTPIPGHHGGGGSSGTTPSHGGGPSGGALPSPSHGGAAPSHGGGYGASPPVTPSPGGGYGGGSPAPSHSGGAYGSSPSTPSGGGSSPTPSHGGGAYGGGGGGAPATPASHDGHGLIPTTPGTCDYWRSHPMEMWSALGRWPSSVGQFFGSGSGGAGTGMSIQDALANTRGDGAGELMREGAAALLNSMTRSGFPYTAEQVRDAFAAAAAGGSDGAAAAQAAAFKKANEGGRA >ONIVA01G08350.1 pep chromosome:AWHD00000000:1:6255139:6256032:-1 gene:ONIVA01G08350 transcript:ONIVA01G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVAGVAAAAAAVAAAVVVLAAASCCEARDFYVGGRDGWTTNPAEPYNRWAERNRFQVNDRLVFRYNKEDSVVVVSQGHYDGCNATDPLLRDAGGDSTFVFDSSGPFFFISGDPARCQAGERLIVVVLAVRGNGTATPTTPSPPPPPTVPAAPTPRPSPPPPAAGTNGTARAPSPPVPAPAPAGSPPPPPPAGGNFTAPSPAGGMNFTAPAPGTNGTAAPPPPRPSSAPSVRGGALLMLLVVATAGAMALV >ONIVA01G08340.1 pep chromosome:AWHD00000000:1:6251955:6252395:-1 gene:ONIVA01G08340 transcript:ONIVA01G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRLRGVPVLIALVVMAAVALLPAAAADDMGGVVASASGRRKMAAAAATCDGAVGECDVDDEEEVEEMALMGAAGAASGETLMRRSLAARRPTNRYVSYAALDANKVPCNKRGQSYYQNCASQKAANPYRRGCSAITRCARNTN >ONIVA01G08330.1 pep chromosome:AWHD00000000:1:6243066:6244277:1 gene:ONIVA01G08330 transcript:ONIVA01G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAAAASSDPGSGGSGVTGLPSASDGKSSMLGDSTSIPPPRGENPRGEGENPPPPPAAKAAAAGSSGQSILRLFMLLRRSYSLNAGFFGPGGAGAGFAAAGGGAAAAAPPAAAAAAVSGVPVRIWTTCLNDVVSACTNVVGNGLEGSPAAAAGSGSGECGGGAWVEVVAMIKMSPLARERESEGRIEERRVRGGFIGRFGRNSEDQFLLCWMTKQFFRKIPKDTVVSQAGAIFKALCCFRKGTVCRWFANSS >ONIVA01G08320.1 pep chromosome:AWHD00000000:1:6223646:6225849:-1 gene:ONIVA01G08320 transcript:ONIVA01G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERENSWLRRTKFSHTVYTRLDHQRAPIAPLGRDVEQKLQRFISMGKSVSMPVNRDEDAAAVFKHSVSLPTARSLLQIDKEKANKQKADFDIPSSPPVNSVNSKGLKARSLVKSPSSAMLLSYLNKAHPNRDSNLKKTDRPQHKLRSKSPLPNVAPSDVFREARASSRRFTSPPPKRRGSERSIYGKSFDRQLSDMSQSPDLCSTPVSSDKHKSLKDSSWTRRYFDNGGRRRVSAVDATEVRRNRGVSMAQAVQTTVDWTLDPSKLLVGHKFASGAYSRLYKGLYDDKPVAIKFIRQPDDDDNGKMAAKLEKQYNSEVNALSHLYHKNVIKLVAAYKCPPVFYIITEFLPGGSLRSYLNSTEHHPIPLEKIISIALDVARGLEYIHSQGVVHRDIKPENILFDENFCVKIADFGIACEESMCDVLVEDEGTYRWMAPEMIKRKAYNRKVDVYSFGLLLWEMISGRIPFDDLTPLQAAYAVATRHVRPVIPPECPMALRPLIEQCCSLQPEKRPDFWQIVKILEEFHSVLSQGGCLDSLKSSNSQDQKKRLLHWIQKLKPSHST >ONIVA01G08310.1 pep chromosome:AWHD00000000:1:6220035:6222601:1 gene:ONIVA01G08310 transcript:ONIVA01G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVAAASISDLIIICPCQIPRGAAAAGVMPASTMGGGGGGGRGGGDHHSPTSGKTPRGDYSALATSTPTKQSPRWPSPSAAASSVLPSGAAALLESRWALPAAFGVFLFLAVTLAVATSSLSVAASLPAFFPAAKQPLPLPPPSPPPGAGVARLAYLVSGSKGDLDRLWRTLHALYHPRNLYVVHLDREAAVSERLELAARVANSSMFRRVGNVEVIRRSNMVTYRGPTMVANTLHACAVLLRRSRDWDWFINLSASDYPLMTQDDILHVLSSIPRNTNFIEHTGYLGWKEGQRARPVIVDPGLYMARKQDIFYVEQRRELPTAFKLFTGSAWVALSRDFAEYVVWGWDNLPRTLLMYYANFVSSPEGYFQTVLCNAPRFVPTAANHDLHHIQWDTPPRQHPHPLALVDRPAMERSGAPFARKFPRDDPVLDAIDADLLGGRGRANGNGTAGAEGDMFVRGGWCVGAGGGCDEVGDDWVLRPGPGAARLDKLMDRIVRSEAFVNSQCKFVQIHQVKT >ONIVA01G08310.2 pep chromosome:AWHD00000000:1:6219910:6222498:1 gene:ONIVA01G08310 transcript:ONIVA01G08310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTMGGGGGGGRGGGDHHSPTSGKTPRGDYSALATSTPTKQSPRWPSPSAAASSVLPSGAAALLESRWALPAAFGVFLFLAVTLAVATSSLSVAASLPAFFPAAKQPLPLPPPSPPPGAGVARLAYLVSGSKGDLDRLWRTLHALYHPRNLYVVHLDREAAVSERLELAARVANSSMFRRVGNVEVIRRSNMVTYRGPTMVANTLHACAVLLRRSRDWDWFINLSASDYPLMTQDDILHVLSSIPRNTNFIEHTGYLGWKEGQRARPVIVDPGLYMARKQDIFYVEQRRELPTAFKLFTGSAWVALSRDFAEYVVWGWDNLPRTLLMYYANFVSSPEGYFQTVLCNAPRFVPTAANHDLHHIQWDTPPRQHPHPLALVDRPAMERSGAPFARKFPRDDPVLDAIDADLLGGRGRANGNGTAGAEGDMFVRGGWCVGAGGGCDEVGDDWVLRPGPGAARLDKLMDRIVRSEAFVNSQCK >ONIVA01G08300.1 pep chromosome:AWHD00000000:1:6206138:6212606:1 gene:ONIVA01G08300 transcript:ONIVA01G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53050) TAIR;Acc:AT1G53050] MGCVCGRAAAVDDGRCGAAAEAAVAAGKGVGMGVGMVRREEEARKVQARVGGREEAAERRRAAAAAMAMAACRVRSPVPRAVEGEQVAAGWPPWLVSVAAEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQVKCYMQQLLCGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVAVDLWSSGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYARRVSETFKDFPLPAVALVDVLLSVDPADRGTASSALQSEFFATKPYACNPSSLPRYPPSKEFDAKRREEEARRQGIAGGKQHKYDPERWTRESRAVPAPDANAELVSSLQVDEKRQNQVNAKSRSEMFNPSKEDSASGFPIEPPRPTHPAESSQDSQRVYTRTFHSGPLVNQNKPSKAGRSENGDIHLSGVANASDFRVVVSTRSNIRSDNGNGTVVTQAEAFPHERRLSESINERFSSSGKYDQVFQQKDDRSSRVDGAIGYGSKGNKIHHSGPLICPSGNVDQMLKENDRQIQEVFRRTRVEKSRARRGHGHSGDGHHQFGLRPSDFGAAPVFPSSRSSYRAVQQ >ONIVA01G08290.1 pep chromosome:AWHD00000000:1:6197374:6203120:-1 gene:ONIVA01G08290 transcript:ONIVA01G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHIPHKSLGENLVPAFGRAATVLRVVSSLGASLRRSFNALTTVDVTSGGTLSHETN >ONIVA01G08280.1 pep chromosome:AWHD00000000:1:6190870:6192433:1 gene:ONIVA01G08280 transcript:ONIVA01G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKSQCVKKGTSYGVVIVEAEKSHFEEVAAGEENGGCKCGTSCSCTDCKCGK >ONIVA01G08270.1 pep chromosome:AWHD00000000:1:6181307:6184988:-1 gene:ONIVA01G08270 transcript:ONIVA01G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPHPPSAPPIRSPFHRLLLRPPPRPPVPPIRRPRQGAVLPAYVAYSDTAALRSPNPYDNKSGNGASADCAFHLLDEIGLRQRRTPASSRAPRRSLTLLARAHCSPCWTLRPRMAFRPTWRARCSSGFALRTENIRAVFKMLSSASPSRAMAPSLENPIVPLKEPV >ONIVA01G08260.1 pep chromosome:AWHD00000000:1:6178356:6180808:-1 gene:ONIVA01G08260 transcript:ONIVA01G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKLSRFAHRVLCCGRKGSGEDLSDEGSGSLRWVFSLRELRSATNSFNYDNKIGEGPFGSVYWGQVWDGSQIAVKKLKCAKNGTETEFASDVEILGRVRHKNLLSFRGYCADGPERVLVYDFMPNSSLYAHLHGTHSTECLLDWRRRTFIAIGAARALAYLHHHATPQIIHGSVKATNVLLDSNFQAHLGDFGLIRFIPDGVDHDKIISENQRGYLAPEYIMFGKPTIGCDVYSFGIILLELSSGRRPVERSGSAKMCGVRNWVLPLAKDGRYDEIVDSKLNDKYSESELKRVVLVGLACTHREPEKRPTMLEVVSMLKGESKEMLSRLENDELFRPDSTVSSHGMSTPEGSSDRVPKNDQELAAA >ONIVA01G08250.1 pep chromosome:AWHD00000000:1:6166211:6177427:1 gene:ONIVA01G08250 transcript:ONIVA01G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSGGPPPPPPMGAAPPPPGTGAPPPPPPAAVGPPGGVGGGKPLTAAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERRTTEEDEDEDFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDVWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPTMPNEFLTYADTKVETRHPIRLYSRYIDKVQIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSVTTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGXTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNTPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFT >ONIVA01G08250.2 pep chromosome:AWHD00000000:1:6166211:6177324:1 gene:ONIVA01G08250 transcript:ONIVA01G08250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSGGPPPPPPMGAAPPPPGTGAPPPPPPAAVGPPGGVGGGKPLTAAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERRTTEEDEDEDFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDVWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPTMPNEFLTYADTKVETRHPIRLYSRYIDKVQIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSVTTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGXTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNTPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFT >ONIVA01G08250.3 pep chromosome:AWHD00000000:1:6166211:6177734:1 gene:ONIVA01G08250 transcript:ONIVA01G08250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMWSGGPPPPPPMGAAPPPPGTGAPPPPPPAAVGPPGGVGGGKPLTAAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERRTTEEDEDEDFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDVWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPTMPNEFLTYADTKVETRHPIRLYSRYIDKVQIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSVTTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGXTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNTPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFT >ONIVA01G08240.1 pep chromosome:AWHD00000000:1:6153489:6166208:-1 gene:ONIVA01G08240 transcript:ONIVA01G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATREDWSRPLWVHGPGRVASVRSAWWGSDPKSPQLILKKTNPNASERGPFANRNSHHHHHHHHRHRLLLLLPDPPGRRRRRRRPPRPAAARSLPRRPTLDSVEKIVEDFAMDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLLQSREPNTKKKAALCSIRIVRKVPDLAENFMGSAVSLLKEKHHGVLISAVQLCAELCKASKEALEYLRKNCLDGLVRILRDVSNSSYAPEYDIAGITDPFLHIRVLKLMRILGQGDADCSEFVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMEVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDANAKSLTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFKVLSLAGNYVKDDVWHALIVLISNASELQGYSVRSLYKALLACGEQESLVRVAVWCIGEYGEMLVNNVGMLDIEEPITVTESDAVDAVEVSLKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFNSIIQRHQSIKSSLLERMPVIDEASYLAKRAASTQATISSDKLAAAATPGSSLKLPNGVAKPPPAPLADLLDLSSDDAPATTSAPTTAPNDFLQDLLGIGLTDTSTAGGAPSASTDILMDLLSIGSSPVQNGPPTVSNFSLPGQAETKVAPVTPQVVDLLDDENTAYPPITAFQSAALKITFNFKKQSGKPQETTIHASFTNLTSNTFTDFIFQAAVPKFIQLRLDPASSNTLPASGNDSVTQSLSVTNNQHGQKPLAMRIRITYKVNGEDRLEQGQINNFPAGLLFHYTTIGLQFLDKISGMRTPVISSQMQCKPKLWNDNPVNCHRQTHHESEEMLDKI >ONIVA01G08240.2 pep chromosome:AWHD00000000:1:6154138:6166208:-1 gene:ONIVA01G08240 transcript:ONIVA01G08240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATREDWSRPLWVHGPGRVASVRSAWWGSDPKSPQLILKKTNPNASERGPFANRNSHHHHHHHHRHRLLLLLPDPPGRRRRRRRPPRPAAARSLPRRPTLDSVEKIVEDFAMDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLLQSREPNTKKKAALCSIRIVRKVPDLAENFMGSAVSLLKEKHHGVLISAVQLCAELCKASKEALEYLRKNCLDGLVRILRDVSNSSYAPEYDIAGITDPFLHIRVLKLMRILGQGDADCSEFVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMEVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDANAKSLTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFKVLSLAGNYVKDDVWHALIVLISNASELQGYSVRSLYKALLACGEQESLVRVAVWCIGEYGEMLVNNVGMLDIEEPITVTESDAVDAVEVSLKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFNSIIQRHQSIKSSLLERMPVIDEASYLAKRAASTQATISSDKLAAAATPGSSLKLPNGVAKPPPAPLADLLDLSSDDAPATTSAPTTAPNDFLQDLLGIGLTDTSTAGGAPSASTDILMDLLSIGSSPVQNGPPTVSNFSLPGQAETKVAPVTPQVVDLLDDENTAYPPITAFQSAALKITFNFKKQSGKPQETTIHASFTNLTSNTFTDFIFQAAVPKFIQLRLDPASSNTLPASGNDSVTQSLSVTNNQHGQKPLAMRIRITYKVNGEDRLEQGQINNFPAGL >ONIVA01G08230.1 pep chromosome:AWHD00000000:1:6150039:6151130:1 gene:ONIVA01G08230 transcript:ONIVA01G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAGVIRSNQDGGGGGGTVSGAARISPAVVFVLVILAVVLFVSGLLHLLVRFLLRRGRGRDGGGGGDAEAAEGVEESALQRQLQQLFHLHDAGLDQDVIDALPVFMYREVVVGVGGGGGAGGGAKEPFDCAVCLCEFAGDDRLRLLPVCGHAFHIDCIDTWLLSNSTCPLCRAALGADAAALFDAAFDEMADEEDRKQQEDAVFPVRLGKFKNLSRAVGPVHDRDDAAAAAAGVGVGGGIITREEGESSSSSLDARRCFSMGSYQYVLAEASLQVSVHRRHGDGNGRARLRGLAGANPAGNDAAAAADGKKIGAGSKGDSFSVSKIWQWPRQGKGKLPVLASDDSPAVDGRLPWPRRSPGAS >ONIVA01G08220.1 pep chromosome:AWHD00000000:1:6141431:6143524:-1 gene:ONIVA01G08220 transcript:ONIVA01G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FI15] MLGSLMSYSPSVDSKTENTDELIATGVLASLQNFIRKCIVAVLSYGPMPKHIAFIMDGNRRYAKFRSIQEGSGHRVGFSALIASLLYCYEMGVKYITVYAFSIDNFKRDPTEVKSLMELMEEKINELLENRNVINKVNCKINFWGNLDMLSKSVRVAAEKLMATTAENTGLVFSVCMPYNSTSEIVNAVNKVCAERRDILQREDADSVANNGVYSDISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPDPLWPEFSFKHLVWAILQYQRVHPSIEQSRNLAKKQL >ONIVA01G08210.1 pep chromosome:AWHD00000000:1:6130759:6140285:-1 gene:ONIVA01G08210 transcript:ONIVA01G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPRLPPPRGAPALSVSDDQAPRPRLAEPTRGQPPKPLKSPLSTSSSPSPSQSPPDAAPPMASPAAPLALLILLVSLAIAAAQSGQPSSPPRNSTNISDAAALHTVFEKWGLEDGTMPQGYHPCGKLVWSNSSEMEASINCSCSSNECRITHLNVTGYRNITFIPAELFSLTELVSLDLSNNNLIGQIPPQVSNLSKLETWHFNNNRLNESFPNASALLSLQSLMIGDLDTEGYPFNFTGDWVNLSTLSLRNCGFTGKFPNQILKNLNKLTYVDLRSNNLSGSIDLQQYNSENNFNGSLPDQMPQSLEAQLADPVSFAVNCGGKQYTPPSDPSTMFNDDSANLGAADFHVDTNNNWVVSHVGTDPFSNSSGIVTTGNGTNMPELYRTARTSTGSLWYYVVGLPSGKYTVQLFFAEIVIESGSGRRLFNIDIQDRNIMTDFDISKEAGGSNRPINRNYTADVTTSVLKIHLYWNGRGTCCIPHNGTYGPLVSAIRVFPSAETQASPPPAAHTSRHDEKRRGVVAGIAALSIAATVISSSAVYLWWKWVSLVKHRKA >ONIVA01G08210.2 pep chromosome:AWHD00000000:1:6130759:6140285:-1 gene:ONIVA01G08210 transcript:ONIVA01G08210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPRLPPPRGAPALSVSDDQAPRPRLAEPTRGQPPKPLKSPLSTSSSPSPSQSPPDAAPPMASPAAPLALLILLVSLAIAAAQSGQPSSPPRNSTNISDAAALHTVFEKWGLEDGTMPQGYHPCGKLVWSNSSEMEASINCSCSSNECRITHLNVTGYRNITFIPAELFSLTELVSLDLSNNNLIGQIPPQVSNLSKLETWHFNNNRLNESFPNASALLSLQSLSLRNCGFTGKFPNQILKNLNKLTYVDLRSNNLSGSIDLQQYNSENNFNGSLPDQMPQSLEAQLADPVSFAVNCGGKQYTPPSDPSTMFNDDSANLGAADFHVDTNNNWVVSHVGTDPFSNSSGIVTTGNGTNMPELYRTARTSTGSLWYYVVGLPSGKYTVQLFFAEIVIESGSGRRLFNIDIQDRNIMTDFDISKEAGGSNRPINRNYTADVTTSVLKIHLYWNGRGTCCIPHNGTYGPLVSAIRVFPSAETQASPPPAAHTSRHDEKRRGVVAGIAALSIAATVISSSAVYLWWKWVSLVKHRKA >ONIVA01G08200.1 pep chromosome:AWHD00000000:1:6127659:6128411:-1 gene:ONIVA01G08200 transcript:ONIVA01G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0E0FI12] MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGVLAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILLNTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYSGWKAAAVGANSQAAQSMLKQDYRDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEAMGKLLAKAGLSQPAPEA >ONIVA01G08190.1 pep chromosome:AWHD00000000:1:6122700:6123047:1 gene:ONIVA01G08190 transcript:ONIVA01G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVIHYLLRGNQLQGRRQTLAVGVGHHHRRRLLLLDSSRVFMLLAVVILVHLLTAGAAAVQGAELCVLVAAFLLWLLGAAFAVLSLAAGQFPVLAATAATIATTLRSYLIGGL >ONIVA01G08180.1 pep chromosome:AWHD00000000:1:6115741:6120024:-1 gene:ONIVA01G08180 transcript:ONIVA01G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metaxin-related [Source:Projected from Arabidopsis thaliana (AT2G19080) TAIR;Acc:AT2G19080] MASAAAAAAAEWEEAERKVLVARKAAFGLPTACPTCLPVLLYLRMCNVPFDIHVDSSFPDADHIPYVEFGECVAFNNEKGGVIEYLKEEKIVDLNSKHPSVSYSDVLSTKAMVMTWLSDALQYELWLASDGSIPHDIYFSDLSWPIGKILYWKKTREVKQQLGITKLNAAEKEEEIYQKANAAYDALSTRLGDQIFLFDNSPTDVDALFLGHALFVLNVLPDTSVLRSCLQKYDNLVNFTKHLKVQLLEADSDSSATGLGSTDPSSSSTPRKRASSGRSYKPKPRAKKERTEEEKKFRRKAKYFLATQLVAVLLFLSLMGGADSSELDDEDGVDYED >ONIVA01G08170.1 pep chromosome:AWHD00000000:1:6110486:6111450:1 gene:ONIVA01G08170 transcript:ONIVA01G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRLDPELVHSLYLNCKKDQRQGVPCDPPLGDAAPAELRALLVDPLKLSIESIGFEARADHQRRAEIVWQLVQDSRSIESVLRREDPTNARQKLFGNSREIPDLLRLRQLLI >ONIVA01G08160.1 pep chromosome:AWHD00000000:1:6106302:6108737:-1 gene:ONIVA01G08160 transcript:ONIVA01G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALREAEAKLTVYVHPSNAADVRRAVARQLSSLLFSYEDRFDGVLLAHEATVESEQGKILNGLVPYFGVPVHANLLLYSPKPDMMLEGKVEMLGKESIHAIVLGVFSAAIMLDDIHEKFKFKRKKYGGKFVSRSDKQHVIKKGSMIRFSVKRVDAEMNCHVTGSLIPPHTGSMLWLSVHDDEYALEINSGKRSRDNKIKTEQHEQDHSVKSSGRKHKSKSRKRSFEER >ONIVA01G08150.1 pep chromosome:AWHD00000000:1:6100687:6104176:1 gene:ONIVA01G08150 transcript:ONIVA01G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLTDSFELSKVDQAPANVDIELGLQGGMSSSAQPGFEGFFEQVREIEKLLETLTKLLKDLQNSNEESKIVTKASAMKEIKKRMEKDVNEVTKTARLAKSKVEKLNKDNAANREKPGFGKGSGVDRSRTTTTVSLTKRLRERISEFQTLREAIQKEYRDVVERRVFTVTGERADEETIDRLIETGDSEQIFQRAIQEQGRGRVLDTLQEIQERHDAVKEIEQKLLELQQIFLDMSVLVEAQGEILDNIESQVSGAAEHIQTGTNLLQKARFLQKNTRKWTCIGIVILLIIILIVVLSLKPWSK >ONIVA01G08140.1 pep chromosome:AWHD00000000:1:6094353:6099648:1 gene:ONIVA01G08140 transcript:ONIVA01G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FI06] MYVVKRDGRQETVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTKKSFSETIKDMYGHFNERSGLNAPLVAHDVYEVIMKNASRLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGKVVERPQHMLMRVSVGIHKDDIESAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQNNQEWSLFCPNEAPGLADCWGDEFEKLYTKYEREGKAKKIVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKGVPIESHPSKLVGSSDSKNRYFDFDKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYFHSLKASAELAGKEGPYETYEGSPVSKGILQPDMWNVVPSDRWNWPSLRSTISKVGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGVWTPALKNKIIYEDGSVQKMTEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTALLKANGENGTKAAEEEDVEAKMAQMVCSLNNREECLACGRNH >ONIVA01G08130.1 pep chromosome:AWHD00000000:1:6092713:6093423:1 gene:ONIVA01G08130 transcript:ONIVA01G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALATVVSFLLVVLVSVAHGWNKDCPPPGSGSSGGGHHGKPPGSGSGGGGGGHHGKPPEHHHHHKPPPSPRCPSCHPPYTPPTPRPPPTPPYVPSPPPYVPPYIPPPTPPYVPPPTPPSPPPYVPPPTPPSPPPYVPPPSPPATKTCPIDALKLNACVDVLGGLIHLVIGQKARAKCCPLVQGVADLDAALCLCTTIRARLLNINIYLPVALELLITCGKHPPPGFKCPPLYGA >ONIVA01G08120.1 pep chromosome:AWHD00000000:1:6083041:6089114:1 gene:ONIVA01G08120 transcript:ONIVA01G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRREERGCGCWAAVARGLRGACFRPAGVAAAASGADEKGAAGGSAKGSHVHDAETRYLNASNRELGDHFQTNLDDENGVNASTEKKLLQFTFQELKSATVNFRPDSILGEGGFGYVFKGWIDPNSTSPAKPGTGLTVAVKSLKQDALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRALPLPWPCRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNILLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLSDRRRLYQLVDPRLGLNYSVRGVQKVAQICYHCLSRDTKSRPTMDEVVKHLTPLQDLNDMASASYRPRSSQRGKARR >ONIVA01G08120.2 pep chromosome:AWHD00000000:1:6083041:6089157:1 gene:ONIVA01G08120 transcript:ONIVA01G08120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRREERGCGCWAAVARGLRGACFRPAGVAAAASGADEKGAAGGSAKGSHVHDAETRYLNASNRELGDHFQTNLDDENGVNASTEKKLLQFTFQELKSATVNFRPDSILGEGGFGYVFKGWIDPNSTSPAKPGTGLTVAVKSLKQDALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDDQRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNILLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLSDRRRLYQLVDPRLGLNYSVRGVQKVAQICYHCLSRDTKSRPTMDEVVKHLTPLQDLNDMASASYRPRSSQRGTSVMAGSTAKKQRNLMIFKIFIYSLSRLLNDVLIQKKFI >ONIVA01G08120.3 pep chromosome:AWHD00000000:1:6083041:6089114:1 gene:ONIVA01G08120 transcript:ONIVA01G08120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRREERGCGCWAAVARGLRGACFRPAGVAAAASGADEKGAAGGSAKGSHVHDAETRYLNASNRELGDHFQTNLDDENGVNASTEKKLLQFTFQELKSATVNFRPDSILGEGGFGYVFKGWIDPNSTSPAKPGTGLTVAVKSLKQDALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRALPLPWPCRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNILLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLSDRRRLYQLVDPRLGLNYSVRGVQKVAQICYHCLSRDTKSRPTMDEVVKHLTPLQDLNDMASASYRPRSSQRGKARR >ONIVA01G08110.1 pep chromosome:AWHD00000000:1:6081426:6082892:1 gene:ONIVA01G08110 transcript:ONIVA01G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRTDTPGKKERREREAAPPPLAPGHIDAGAVAESAAVFSPMRREWGSEISTPNTISSRINSDI >ONIVA01G08100.1 pep chromosome:AWHD00000000:1:6079906:6081334:1 gene:ONIVA01G08100 transcript:ONIVA01G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQRMQVWVGASPEPVDMANKSSSAAAAESPWWQQQQELEPSPNAGPRRLLHHRGDVPRSAPPRRPWPPGERGGRQASSNVLLTSSLRAKVGDFGFARWASGRVTPSSSSTASRRSAHPQ >ONIVA01G08090.1 pep chromosome:AWHD00000000:1:6079534:6080467:-1 gene:ONIVA01G08090 transcript:ONIVA01G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGEHCAARPPGGGGGDGVRRWERARALAAAATMVIPLLLRCCSCWPCPLAQRRADGAFCVFKPDQSPAAMQKAIDYACWRGADCTQIMQSGACYQPSTIGGGGEILRRRREQTAHDGAERTARGGAEMLAAMAGWSTRRTTTEEAGPGANAVVVGPSSCKQRPRHRALAAAPLPPNAAVASTEKKKGGERC >ONIVA01G08080.1 pep chromosome:AWHD00000000:1:6077244:6078394:-1 gene:ONIVA01G08080 transcript:ONIVA01G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQSTQPVKIGTWGGNGGGRVDLSVLPRSLKSVTIRSGAAIDAIAFTYIGTDGKEHLAGPWGGGGGNPTTITLGSQEFVKGISGTFTNVVTNLQIVTNVTTYNFGQGGGTAFSLPLQSGSVVGFFGRAGALVDSIGVYVHI >ONIVA01G08070.1 pep chromosome:AWHD00000000:1:6075866:6076057:1 gene:ONIVA01G08070 transcript:ONIVA01G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRLMDIIGHHIQTDENAGVLEEVADLASRCLEMIGNNRPSMRDVADKLGRLRKVMQHPWA >ONIVA01G08060.1 pep chromosome:AWHD00000000:1:6071481:6071946:-1 gene:ONIVA01G08060 transcript:ONIVA01G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLISKIREEYPDRMMLTFSEKFSPHQRFLIHYGGAAHYLSISLLRLENAIECMVIMIGDLNHLISATMSGVTCCQLFPAGTA >ONIVA01G08050.1 pep chromosome:AWHD00000000:1:6065521:6066649:-1 gene:ONIVA01G08050 transcript:ONIVA01G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQSTKLVKIGPWGGNGGGSVDISVPPNSLKNVTIRSGAAIDAIAFTYVGTDGNEHLAGPWGGGGGNPTTITLGSQEFVKGISGTFTNVVTNLQIVTNVTTYNFGQGGGTAFSLPLQSGSVVGFFGRSGALVDSIGIYVHI >ONIVA01G08040.1 pep chromosome:AWHD00000000:1:6055615:6059954:1 gene:ONIVA01G08040 transcript:ONIVA01G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCYNKLPSETQPMLLLLLFSLAATLQFHAKAVALPGSSCPKKCGDVEIDYPFGIGNDCAREGFKLDCNKTEDGRNSTPFFSNMPVLNISLQKGQVRMKAYISIMCYNRSSGKVEPKRTVLDLRNTPFTFATMENTFTVIGVNTLAYMLGSTYVTGCISQCSPYSNFTVTARDGLCTGAGCCQSSLTGNMSYHRVYFNEAFNTSEFYTNTSATDHAEYCGYAVMMEAASFTFRTVYLNTTAFLDENKGRVPVILNWVVGNETCDVAKKKASYACRSNNSRCIDSTSGPGYLCNCTEGYHGNPYLPGGCKDIDECTVNDPPPCPGHCKNTPGNFSCPSEKPPSSSHSAALILAVGLSLGAVILVITITCTYLICERKKLANIKKKYFQQHGGMLLLQEIGLKQGTAFTVFTAAVLMEATNKYDEKNILGRGGHGTVYKGMLKDGQPIAIKRCLSMTDEQHKKEFGKEMFILSQINHKNIVKLLGCCLEVEVPMLVYEFVPNGTLFQFIHFNDGSCNIPLFTRLQIAHESAQALDYLHSWASPPILHGDVKTSNILLDENYAAKISDFGASILVPTDEAQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKMAFNLEGPENERSLSLSFLCAMKEGRLMDIIDYHINTDENAGVLEEVADLASQCLEMIGDNRPSMRDVADKLGRLRKVMQHPWAQHDPEEMESLLGESSVAGLEMVSTGNFSMEGGAVQGILESGR >ONIVA01G08030.1 pep chromosome:AWHD00000000:1:6050232:6053991:1 gene:ONIVA01G08030 transcript:ONIVA01G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRKARAGIDYDSSHGKARPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKAGEMPSLTPPDDESIRTLYIGGLNNRITEQDLRDQFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGIRLKLMWGKPQAPKPEEDEAGRQGHVAHGGMLPRAVISQQQSGDQPQPPGTEGQQQAPSGSYYFNIPAPPGAERTLYPSMDPQRMGALVKSQEGDGKPGPQQAAQAQASSSSGQSYPMPPPYYHGQYPPYYPPYGGYMPPPRMPYPPPPQYPPYQPMLAPPAQSQASSSQQPGPSMQQQAQAPPQQQTTQN >ONIVA01G08010.1 pep chromosome:AWHD00000000:1:6046315:6047772:1 gene:ONIVA01G08010 transcript:ONIVA01G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASAGKRDMMNQPGHGGDLPVAKRRRCVEPTGAAAAGIPEDIVEEILLRLPVKSILRFRSVCKSWRAMVADPRFVRLQLHHSTTAARHHPPSMLVLADWCVPEQWRGTIDFFSYPGHGVTADFAHRITWSSNSKSTVAAAADGYAAADWDAVDDGADAADDGAAADNLDDAVDDGDAAADWDDDDDWDDDVGAIGWGMHLHCNGLVLLRSTMKYSTQMLVCNPATKELAELPDCAPDYFGVQAVSFYADQSTGKTKVVHCFIRHCDKTYTDYSVGCEVLSLGSPAWRPVADPPYLVKTKTSPCILGGIYWIAALPSPSTGSCTTPGVVRFDVCSEEFASFPCPPFMERQKMSDVACGDLTELGGKLCYVHAPADDRVELWTASAADGGGSRPRWSLQCTVVLPPSFDTFFQFTYDYQGGIFFYVDYAMIYRYDVERRVVERVVDMLEEMTYFDRSRRKLDRCDGDWMHYAIQYSESLVSIQAN >ONIVA01G08000.1 pep chromosome:AWHD00000000:1:6038213:6038896:-1 gene:ONIVA01G08000 transcript:ONIVA01G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEQSSESSSTASTSSCGKKQQVAGKRKREDVGGGGEQAAAVSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPCPEMAARAHDVAALSIKGARAVLNFPDLAPALPRPASLAPRDVQAAAALAAVMHHHKHPSSSTSTSSPPAAPPPDEHHPRHEPQQPESSREDDQQQQPAAAAAAQMAVAELVFDELAPLWVEDVEFGTSDHCWTAYDALDPIGFQPLLWEY >ONIVA01G07990.1 pep chromosome:AWHD00000000:1:6026066:6029749:1 gene:ONIVA01G07990 transcript:ONIVA01G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP35 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHZ0] MSASRPDHRRHHPPFLRDLSSPISSAVRLPPASLRRETQGSTTPPPPPPPLLFLDDLSHHSPSPRPATPPQAAAMSPSPPPPHRGGLFSSTPLRSNGSPSPAAWWSSSREEMAREGSPVDGVVQPQQQPSPTTASGQQSQQQKVTLITLPPPREVARPEMPKDSTPSAGRVDEEEWVTVFGFLPGDTNLVLREFEKCGIVLRHVPGPRDANWMHILYQSRHDAQKALAKHGQQLNSVLIIGVKQVDPWQRQYLNEKTNENFQVGATDPFPSQHVAPSSFTTRNALAPLPSNSMPNGIGNGSGRGASGAIASPTKSVLSKVMDLMFGL >ONIVA01G07980.1 pep chromosome:AWHD00000000:1:6019120:6025954:-1 gene:ONIVA01G07980 transcript:ONIVA01G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11640) TAIR;Acc:AT5G11640] MEVATATEASAPAASRRSHPFPWLDLAISEPYYFLHLVAFFSYFAARSTVPSADDGLLLRREIQAVLTFLVLFVVKIVKEENWETCIADSLLYAKGLLLAVTLVIDYWLTVSYLLGFVVIYAVAQQPPYDGLGHSNHLTPLQLEGLLTEEPTTRFWLVEFRTSFSGTCIEASNHLPTYILFDKATEVARFPEIMNESKKLLCQHFDLDRRLIEYLSRCKSARDYFHCLFLFTINRTPVN >ONIVA01G07970.1 pep chromosome:AWHD00000000:1:6010060:6014743:-1 gene:ONIVA01G07970 transcript:ONIVA01G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPALANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYDHGRHGVAAAGMMRRVPAFPPQAAAAAGHQLATATDTSCESVVTSGHHHQQQQHNVVQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWLQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQDVSPPSLLQFLREHRSQWADSNLDAFFASAMKPNFCNLPMSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNASNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGCAAAAASSSSKAVMTIAFQFAFDGHLQDSVAAMARQYMRNIISSVQRIAVALSSSRLVPPGAAAAAAQLSPVTPEAATLPRWICQSYRFHFGAELIKSVDANSSNESILKAVWHHPSAILCCSLKAMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPNIMEQGMACMEGGVCVSSVGRAASYEKAVAWKVVDGDGGGAHCICFMFINWTFL >ONIVA01G07970.2 pep chromosome:AWHD00000000:1:6010060:6014743:-1 gene:ONIVA01G07970 transcript:ONIVA01G07970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPALANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYDHGRHGVAAAGMMRRVPAFPPQAAAAAGHQLATATDTSCESVVTSGHHHQQQQHNVVQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWLQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQFLEVIKLGNASNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGCAAAAASSSSKAVMTIAFQFAFDGHLQDSVAAMARQYMRNIISSVQRIAVALSSSRLVPPGAAAAAAQLSPVTPEAATLPRWICQSYRFHFGAELIKSVDANSSNESILKAVWHHPSAILCCSLKAMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPNIMEQGMACMEGGVCVSSVGRAASYEKAVAWKVVDGDGGGAHCICFMFINWTFL >ONIVA01G07970.3 pep chromosome:AWHD00000000:1:6010060:6014743:-1 gene:ONIVA01G07970 transcript:ONIVA01G07970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPALANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYDHGRHGVAAAGMMRRVPAFPPQAAAAAGHQLATATDTSCESVVTSGHHHQQQQHNVVQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWLQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQVLSNSVLLLNYFDATIYCFQFLEVIKLGNASNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGCAAAAASSSSKAVMTIAFQFAFDGHLQDSVAAMARQYMRNIISSVQRIAVALSSSRLVPPGAAAAAAQLSPVTPEAATLPRWICQSYRFHFGAELIKSVDANSSNESILKAVWHHPSAILCCSLKAMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPNIMEQGMACMEGGVCVSSVGRAASYEKAVAWKVVDGDGGGAHCICFMFINWTFL >ONIVA01G07970.4 pep chromosome:AWHD00000000:1:6010060:6014743:-1 gene:ONIVA01G07970 transcript:ONIVA01G07970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPALANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYDHGRHGVAAAGMMRRVPAFPPQAAAAAGHQLATATDTSCESVVTSGHHHQQQQHNVVQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWLQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNVLPAGANGTIELLYMQARTHKPENSEPEPEILKLKFVFDLQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQDVSPPSLLQFLREHRSQWADSNLDAFFASAMKPNFCNLPMSRLGGFSGQVILPLAHTFEPEEVLSNSVLLLNYFDATIYCFQFLEVIKLGNASNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGCAAAAASSSSKAVMTIAFQFAFDGHLQDSVAAMARQYMRNIISSVQRIAVALSSSRLVPPGAAAAAAQLSPVTPEAATLPRWICQSYRFHFGAELIKSVDANSSNESILKAVWHHPSAILCCSLKAMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPNIMEQGMACMEGGVCVSSVGRAASYEKAVAWKVVDGDGGGAHCICFMFINWTFL >ONIVA01G07970.5 pep chromosome:AWHD00000000:1:6010060:6014743:-1 gene:ONIVA01G07970 transcript:ONIVA01G07970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPALANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYDHGRHGVAAAGMMRRVPAFPPQAAAAAGHQLATATDTSCESVVTSGHHHQQQQHNVVQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWLQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNVLPAGANGTIELLYMQARTHKPENSEPEPEILKLKFVFDLQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQDVSPPSLLQFLREHRSQWADSNLDAFFASAMKPNFCNLPMSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNASNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGCAAAAASSSSKAVMTIAFQFAFDGHLQDSVAAMARQYMRNIISSVQRIAVALSSSRLVPPGAAAAAAQLSPVTPEAATLPRWICQSYRFHFGAELIKSVDANSSNESILKAVWHHPSAILCCSLKAMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPNIMEQGMACMEGGVCVSSVGRAASYEKAVAWKVVDGDGGGAHCICFMFINWTFL >ONIVA01G07970.6 pep chromosome:AWHD00000000:1:6010060:6014743:-1 gene:ONIVA01G07970 transcript:ONIVA01G07970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPALANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYDHGRHGVAAAGMMRRVPAFPPQAAAAAGHQLATATDTSCESVVTSGHHHQQQQHNVVQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWLQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNVLPAGANGTIELLYMQARTHKPENSEPEPEILKLKFVFDLQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQVLSNSVLLLNYFDATIYCFQFLEVIKLGNASNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGCAAAAASSSSKAVMTIAFQFAFDGHLQDSVAAMARQYMRNIISSVQRIAVALSSSRLVPPGAAAAAAQLSPVTPEAATLPRWICQSYRFHFGAELIKSVDANSSNESILKAVWHHPSAILCCSLKAMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPNIMEQGMACMEGGVCVSSVGRAASYEKAVAWKVVDGDGGGAHCICFMFINWTFL >ONIVA01G07960.1 pep chromosome:AWHD00000000:1:5997324:6000900:-1 gene:ONIVA01G07960 transcript:ONIVA01G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHX8] MQAERTSSSWPARKTFSLLKIDVSKSSDVMAAPHGSDRAAASGAQAAYETGKETLPGARGWSDPHPPTTWRPGDGGDRGRGESLFVRSPKKFGSASADWCLPSAGGNPPPSPLYASSSSPATSGSKPSPASSRMQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAAQADDLLIEGKGKIL >ONIVA01G07960.2 pep chromosome:AWHD00000000:1:5997324:6000111:-1 gene:ONIVA01G07960 transcript:ONIVA01G07960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHX8] MQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAAQADDLLIEGKGKIL >ONIVA01G07960.3 pep chromosome:AWHD00000000:1:5997324:6000111:-1 gene:ONIVA01G07960 transcript:ONIVA01G07960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHX8] MQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAAQADDLLIEGKGKIL >ONIVA01G07960.4 pep chromosome:AWHD00000000:1:5997324:6000111:-1 gene:ONIVA01G07960 transcript:ONIVA01G07960.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHX8] MQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEDIPDMDTYEDSGNDSVKSLKMTTSFVLGHMTYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAAQADDLLIEGKGKIL >ONIVA01G07960.5 pep chromosome:AWHD00000000:1:5997522:6000111:-1 gene:ONIVA01G07960 transcript:ONIVA01G07960.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHX8] MQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDKYLFIFLKFMASVIPTIEYDYTMDFDLGSTSR >ONIVA01G07950.1 pep chromosome:AWHD00000000:1:5989447:6004338:1 gene:ONIVA01G07950 transcript:ONIVA01G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLLSAPSHASAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDTKKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMWRPGEGVPTYEVKDVDETRRMSISTSIFNKEKVFLAGHEEEGPAQAQNLRDSLAEAKSDIVLHKNFADRGLATEAQAQMEQFE >ONIVA01G07950.2 pep chromosome:AWHD00000000:1:5989447:6008068:1 gene:ONIVA01G07950 transcript:ONIVA01G07950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLLSAPSHASAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDTKKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGLERACRLTKLTYTQVPEDQIDAAPGNCSYPKKHKQGEQELHVANDSQSSNKMEKLIIIKSSGSGSGDARHDDGGGEVETVRCACCGVAEECTAAYIGGVRAAFCGDWLCGLCSEAVKETARRDPAPGGGVAAALASHAAECRDFNATTRLNPTLSLAGSMRRIARRSFDKRTSASCQERRLGAAASKAVALARSASCDPRFCSLLAADVINGGAPPGDRCR >ONIVA01G07950.3 pep chromosome:AWHD00000000:1:5989447:6008068:1 gene:ONIVA01G07950 transcript:ONIVA01G07950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLLSAPSHASAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDTKKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMSKSIPVATVAIGNAENAGLLAVRMLASRDPELGDKATECQHDLRDMWRPGEGVPTYKVKDVDEARRMSISVPEDQIDAAPGNCSYPKKHKQGEQELHVANDSQSSNKMEKLIIIKSSGSGSGDARHDDGGGEVETVRCACCGVAEECTAAYIGGVRAAFCGDWLCGLCSEAVKETARRDPAPGGGVAAALASHAAECRDFNATTRLNPTLSLAGSMRRIARRSFDKRTSASCQERRLGAAASKAVALARSASCDPRFCSLLAADVINGGAPPGDRCR >ONIVA01G07950.4 pep chromosome:AWHD00000000:1:5989447:6004433:1 gene:ONIVA01G07950 transcript:ONIVA01G07950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLLSAPSHASAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDTKKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGWEGYTELYLKKH >ONIVA01G07950.5 pep chromosome:AWHD00000000:1:5989447:6004433:1 gene:ONIVA01G07950 transcript:ONIVA01G07950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRLLSAPSHASAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDTKKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGWEGYTELYLKKH >ONIVA01G07950.6 pep chromosome:AWHD00000000:1:5995885:6004338:1 gene:ONIVA01G07950 transcript:ONIVA01G07950.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVAESFACITTSIFNKEKVFLAGHEEEGPAQAQNLRDSLAEAKSDIVLHKNFADRGLATEAQAQMEQFE >ONIVA01G07950.7 pep chromosome:AWHD00000000:1:5995885:6004338:1 gene:ONIVA01G07950 transcript:ONIVA01G07950.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVAESFACITTSIFNKEKVFLAGHEEEGPAQAQNLRDSLAEAKSDIVLHKNFADRGLATEAQAQMEQFE >ONIVA01G07950.8 pep chromosome:AWHD00000000:1:5995885:6004338:1 gene:ONIVA01G07950 transcript:ONIVA01G07950.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVAESFACITTSIFNKEKVFLAGHEEEGPAQAQNLRDSLAEAKSDIVLHKNFADRGLATEAQAQMEQFE >ONIVA01G07940.1 pep chromosome:AWHD00000000:1:5984672:5985286:-1 gene:ONIVA01G07940 transcript:ONIVA01G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGEGAVAAAAAAPAPAAAVARGKRAEKPRRISMEGLQRAMSDLALELTKKQQVADAAAGGGGGGEKLPEITEQQQVVVEEARCECCGMQEECTPEYARRVRERYCGRWVCGLCAAAASAEADRRCRHGGTGWTTATAEEALAAHMAVCGRFNRVGRANPVLMQTEAMREILRKRSRSNSPRDHGHGGLTRSSSCIPAITKD >ONIVA01G07930.1 pep chromosome:AWHD00000000:1:5972787:5977058:-1 gene:ONIVA01G07930 transcript:ONIVA01G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11650) TAIR;Acc:AT5G11650] MEGGGGGGEEMMMTSGATGRIVPVFRSVLSRRALLRLAVALHSLLLWVFLLVGGGGWRRRRGDAGEGAEAGRAVRTRRRAAEEEDVRRRRALAEEVAMVEDADGEGARRWETFVVPGARRNALFCRVWEPAAAAAEMRGILVIIHGLNEHSGRYLHFAELLTSCGFGVYAMDWIGHGGSDGLHGYVPSLDYVVEDIDVLLGKIVLENPGVPCFLLGHSTGGAVVLKASLFPHIRAKLEGIILTSPALRVKPAHPIVGAVAPIFSLLAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLLHNLKKVTVPFMVLHGTADRVTDPLASQDLYNEASSRHKDLRLYDGFLHDLLFEPERDEIATDIIDWMERMLALQTV >ONIVA01G07920.1 pep chromosome:AWHD00000000:1:5968830:5969408:1 gene:ONIVA01G07920 transcript:ONIVA01G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQRPVQPAGGGGGGGAPPEEQWRGAVEAALPGTPASAAWPHVASFYAAHRYLPGIDVCERVGDGGEDGGLLLVPGCVRHVASSAAGLWAREELLEAPDHAARRLRYAVVDSNMGFGRYVATLRVLDGGSGCRIAWAFECDAVRGEGWSEAALVARLAASVDGMAERVQQAVAAEAEARAGEEEEDGVAG >ONIVA01G07910.1 pep chromosome:AWHD00000000:1:5958303:5958953:1 gene:ONIVA01G07910 transcript:ONIVA01G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATATAAPEEEEGKKVMTRALEWEGCVVSPVPTATADEAWALLSDFLAFHRWHPRVAKCRPASPSAAASAAAAPPGPVVRYCEGTPRGDGAPPDWAHETLLEHDAARRFFRYEMNDNNMGFGVFFATFRVVPDAGGGDADAPGCELRWEFEGDPVRGTPKEALVARLQAGLDGMAARVQEHLMSARAADAAAIAAGGVEAADELNRDNYSIAV >ONIVA01G07900.1 pep chromosome:AWHD00000000:1:5938750:5939463:-1 gene:ONIVA01G07900 transcript:ONIVA01G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGFGKRLMHVLRAVYHMLRRGLCRKRLMMDLHLLLGRGKLAGRALRDVLLAHQPHGGAAAVAVMGGGAGVARGGDSSSSPLSASFFHHNPRDVEFSCTTTPSYAPGVFPFRFRGRGGSRHAGGGASNYGGLDASAVARVFEMLNADAAAAAGAGGETPLSSMPGATPSPLLALSLGRSPAGTRQLRVTDSPFPVEPPEGAVDGRVDDKATDFIEWFRRQLLQQQASAAPTPDYRG >ONIVA01G07890.1 pep chromosome:AWHD00000000:1:5923372:5926032:-1 gene:ONIVA01G07890 transcript:ONIVA01G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKIALKMISGSEDVEVQTIWIVGAGGLGKTTLAKKVYESSNITSMFPCRAWITVSQSFDVMDLLKDMIKQLLGKESLDNLFTKYKEVKIKENNLTDHLKGWLRNKRYFLVLDDLWSTKAWDCLKPTLWGNNREGSRLVVTTRNRDLAEGSSSPLVYPLQTLHREDATKLLLAKTNKSLCDINKDGMNETFEKILKKCGGLPLAIITIGGLLAAKDVKEWDGLYAQIPSELENNPSFEVMRQVLALSYKYLPSHLKPCFLYLSIFPEDFEIQRKRLVYRWIAEGFIRARDGFNATIKSEYGRNYQELSSPRYHTRYHMISISREEKFVCRIDDKETCLMEENIRHVAFYNSNSSEIAMDLNQVRSLTVFGERPKELTPLLCSPQVRMLRVLDFQGVRFGMTQKEMDHIWSVLHLKYMNIRCDYNLPNSSGYSKIYRIPRSIGKLQDLRVLDISNTCITSLPTEICELRSLNILRCARKEYYEFFDPSKPIQCLFALSCIPVTMALADSDQRHEITAELHMACSTRWFSTCGVRCFVKPLRSSRRINAFDVSSLRNLEWLHYISSPPPFLKNLTLEGCIKEIDWLREFTHLVKIHLFGSKLKEGKTVQILGELPNLMVLQLRWGAYVGVKLLFRAEAFPKLRKLEIRFLEDLREMRFEERTSPQMETIEISHCRLESGIIGIKHLPKLKEISLRWNCEVARLGQLLEEVKANPNRPVLLLYNDPSKHDLGDTQEGSGTPVEANEPPKNVGESSQSNQGEDDDDDQQQPITSTEIMPADADPAVSS >ONIVA01G07880.1 pep chromosome:AWHD00000000:1:5921933:5923227:-1 gene:ONIVA01G07880 transcript:ONIVA01G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSPKCSIASTFLVASEDYLTRVCVLCDQQEETIDHILIIICCPEALQLWWIILIAVGQLRCFHNPSSSYGGVTTGMIVILIA >ONIVA01G07870.1 pep chromosome:AWHD00000000:1:5909441:5921186:1 gene:ONIVA01G07870 transcript:ONIVA01G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRERTLPRGATGGRRSTPLLLHGMEWWRLAASASPECAAARRRSSSGFALTLDPKSPMRASLPPPIRPKEVQRRENYPAEKLPGIITGYKCKPYPSP >ONIVA01G07860.1 pep chromosome:AWHD00000000:1:5906988:5912289:-1 gene:ONIVA01G07860 transcript:ONIVA01G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGDSKQLASMYMKEEMIKGERQLGTHEDRLKDEVIKDGDKISDGNFFKSLQNISSTKEEVCSPGPIERSCMDSSLLNMKAQNKGQDDKLESTRAEMGEVREENERLKTLLSRISHDYRSLQTHFYDVLQQGRAKKLPDSPATDIEEPEFVSLRLGTSTSKCKKEDKSTTSSEVKGSTEDFLKIKGGLSLGLSDCRVDANNSEKVQPDVMTLSPEGSFEDARDDTAETTEQWPPSKMLKNLRSVGAEAEDDIAPQPQVKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCADDMSILITTYEGTHNHPLSVSATAMASTTSAAASMLISGSSSTSLAAYPAASPALTFDASSKPPLIGGRPFFLPTAAAAAITSTPSYPTITLDLTSPAAAAATSSHAAFSLSNRFSHTRYPSTGFTFSGSGPSTAPWPGYLSYGASLSAHPYNGGGGKSSSSFEAALSSINGSRQQGGGSAPLYQMQQKAAAPPPPSVITDTIAKAITADPSFHTALAAAITSYVGKKGSPPATGGEDSKVGLKWGEHLGLGLTHSSPSTATMAAVAASSSSQMFLQPSLGLSGSTTSASTSPVANREQAH >ONIVA01G07850.1 pep chromosome:AWHD00000000:1:5902940:5907548:1 gene:ONIVA01G07850 transcript:ONIVA01G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT5G27560) TAIR;Acc:AT5G27560] MATSSPPCACAAPLLLRRRLPAPPRALSPAAPPRLRLPLRRSPPPARAKFGKFEASDAAPTEASASEAESAAAGDGAEEQKAEEDDSCLPSDLEGAIWQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAEVWALSRIFLDTLVKETGQKVKAIFPDAGAAALLKYQWTDAEFKCASLSDRKPVDVEDEVVVMIIPDHQMVESVERIASQLSDDPIRPLVMWNPRLVSGDVGVGFNVRNLRRNFLRKWKVFYDDPKRPNRYLLARELVSRPDATDIEIIFGGGDEQSDEAPSLMNNVMGVFSSVSRFMRVISK >ONIVA01G07850.2 pep chromosome:AWHD00000000:1:5902971:5907548:1 gene:ONIVA01G07850 transcript:ONIVA01G07850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT5G27560) TAIR;Acc:AT5G27560] MATSSPPCACAAPLLLRRRLPAPPRALSPAAPPRLRLPLRRSPPPARAKFGKFEASDAAPTEASASEAESAAAGDGAEEQKAEEDDSCLPSDLEGAIWQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAEVWALSRIFLDTLVKETGQKVKAIFPDAGAAALLKYQWTDAEFKCASLSDRKPVDVEDEVVVMIIPDHQMVESVERIASQLSDDPIRPLVMWNPRLVSGDVGVGFNVRNLRRNFLRKWKVFYDDPKRPNRYLLARELVSRPDATDIEIIFGGGDEQSDEAPSLMNNVMGVFSSVSRFMRVISK >ONIVA01G07840.1 pep chromosome:AWHD00000000:1:5900227:5902907:1 gene:ONIVA01G07840 transcript:ONIVA01G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast-localized ISCA-like protein [Source:Projected from Arabidopsis thaliana (AT1G10500) TAIR;Acc:AT1G10500] MALASGTSCALPGAARPHLAVSPSPPASSIRFCRGGSRGGRAVVSLRASVPPAAAAATTSGSIAPAISLTEKALKHLNKMRAERNEDLCLRIGVRQGGCSGMSYTMEFEDRSNASPDDSVVEYDGFAIVCDPKSLLFMFGMELDYSDALIGGGFAFQNPNATKTCGCGKSFATGKETESTATACNN >ONIVA01G07830.1 pep chromosome:AWHD00000000:1:5897240:5897605:1 gene:ONIVA01G07830 transcript:ONIVA01G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERNDAAAAGLVRAGLLVLTLTSGAAIYRAAGDAGAIAFVATSYATLLLLFRYLRFYELAAAAERERIRRKVWYLCTVLTALFAWKVAGVMPPAAAAAVWLLAAATSAGGFVVLFHRRRP >ONIVA01G07820.1 pep chromosome:AWHD00000000:1:5893734:5896025:-1 gene:ONIVA01G07820 transcript:ONIVA01G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGTGGGEWDPLRSECGVDDNELGAQDRELVAAASAIAAFERSSPILSRRSWLVAERSWWWLRRCAWAPLRSQCAIDDRKLASAVAAAAGDFEQASWLCVDALAVVTLGDYQMGELIDNLVDTVESKSFYPQVFEIKGWMNYIFASQNKFSVML >ONIVA01G07810.1 pep chromosome:AWHD00000000:1:5892376:5895387:1 gene:ONIVA01G07810 transcript:ONIVA01G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMVFVPFNVMTSHWSLLVVNSLKKEIQILNSAVSMTSLRDEEKEERIVTNLQLCIERAVEGGLVTLIEPINITLWKKQYYTDIPQQMDCRSCGVYLIKYMLEWDGDKMLHQFTQEAEFLSKFERDIEGEEQAMDDDVKIIGTAKRKRARKVSKKTTHGNVLQDANTKDLHIVKLTHVYTEPGGLLKIAGSCGDSRRELTVVDGTL >ONIVA01G07800.1 pep chromosome:AWHD00000000:1:5871264:5871608:1 gene:ONIVA01G07800 transcript:ONIVA01G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHSTLTKIGLVVLLGNSALAIYNSRGRAGSVAFVLGADAALALLIVALAQYERAEGAAARGKIKGAVWALSTLLTAMFASRVAPLMPPFVAALVWVMSVATAVGGFWAFFLS >ONIVA01G07790.1 pep chromosome:AWHD00000000:1:5864723:5865082:1 gene:ONIVA01G07790 transcript:ONIVA01G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGRSAWISRAGLGILTVNSGLAIYRSRGDAAAVAFVLGSYAALLLLFSCLSAFERAPPGSPARGRLKRAVWAFSTLVTAMFAWKVAALMPPPVAAVVWALAVATSLGGFLAFFVYT >ONIVA01G07780.1 pep chromosome:AWHD00000000:1:5860062:5861673:-1 gene:ONIVA01G07780 transcript:ONIVA01G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKETRASTGAEARRRDGNGTEARRTLTYGGRRSAVACELLIADGRKRRPPSQPSIHPSFGHSNTAHQPAVVIQEQGCASSEKLTGFGFLTLNSLLAIYRSHGDIAGITFVATSYLSLLLLFWCLQQYKRAPANSPAKSRSKAGVWFSSSLLTVVFSWRVSALMPWPVAAAVWLMAASTVVGGFYTLFLWSGRQ >ONIVA01G07770.1 pep chromosome:AWHD00000000:1:5855687:5857846:1 gene:ONIVA01G07770 transcript:ONIVA01G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGGNNDGLLSGGGSSDLSNSATQQASRHARHLVFKVGGGLNALHRPRGSRRRGSRRSCCGRGERLAGVIGPRGQIQWGGWFRRRSRTRWGGRLGRSGLGRGLLGGGGADDSGGALGALLTHELSR >ONIVA01G07760.1 pep chromosome:AWHD00000000:1:5848184:5848696:1 gene:ONIVA01G07760 transcript:ONIVA01G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACNSCEATAVAAVNGRSASGEATAARVVLADGALRRFPGGTRASQAVKAAGGGGGGSSWFLCSADGLELGAAVAAVGGGDDEELQPGQLYFVLPAAMRRRPLQAEEMAALAVRASAALVGDHDGPLVFPEAAASAADPRAAGKGCRRSRTRRHSRGRDFVPDLGAIAE >ONIVA01G07750.1 pep chromosome:AWHD00000000:1:5834387:5835013:-1 gene:ONIVA01G07750 transcript:ONIVA01G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRIIFCVVIAAAVLAVVLLATVSPLPGRHKGGGGGRDHPWTLTVYIHTTTAAAAAAAAAGTVSPPQRQQQASAFVFRHRMTAGPESASRTVGAATGFVLPAEAAGSAMSVFDTVHLAFDVAGMSGSVCVQAAAGDGGGGEKAPRPTRRSGECGDPEVLRVVGGTGDFAFAAGGDGVLRALCAPRLFGGAAAAKVLRLELSVAHAKG >ONIVA01G07740.1 pep chromosome:AWHD00000000:1:5828007:5830240:1 gene:ONIVA01G07740 transcript:ONIVA01G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGGGGGGSGRLLRGATAKAFHGDGSSHHRMMPSSSSSVAAGGGGGVAGPCRIPSLKFPSLWESKRQGGGVGSRAAERKAALIALGAAGVTALERERGGGVVLLPEEARRGADLLLPLAYEVARRLVLRQLGGATRPTQQCWSKIAEATIHQGVVRCQSFTLIGVAGSLVGSVPCFLEGCGAVVRSFFVQFRALTQTIDQAEIIKLLIEAIDMFLIGTALLTFGMGMYIMFYGSRSIQNPGMQGDNSHLGSFNLKKLKEGARIQSITQAKTRIGHAILLLLQAGVLEKFKSVPLVTGIDMACFAGAVLASSAGVFLLSKLSTTAAQAQRQPRKRTAFA >ONIVA01G07730.1 pep chromosome:AWHD00000000:1:5810523:5810966:1 gene:ONIVA01G07730 transcript:ONIVA01G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVAVAVATEVGALMAMALLVMSCVALLDDKDMGAGGGVEEAWVASRWRPSRADKVRHLMVHESMHDVVRNLSVFLLLSSADGLTPSISIPLHMAVSLPVAPFPRAGDTLSPSRWLSRGAYTLPLPLLATPHQGYLVSSGPRRVGE >ONIVA01G07720.1 pep chromosome:AWHD00000000:1:5804900:5805346:-1 gene:ONIVA01G07720 transcript:ONIVA01G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSVACSSCVAAFRSSAAHAASDARRMASVLTSARAAMAVITRLYMCSPRTARSSNQERDAADERAAMASTQRPTMPSSSACGSSSSQDLSALASARAARLSSACSSVISRYSAAHRSGATFQAVSSTRTP >ONIVA01G07710.1 pep chromosome:AWHD00000000:1:5803149:5806310:1 gene:ONIVA01G07710 transcript:ONIVA01G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVRGGATRGQAWFCTTGLPSDVVFEVQDMSFHLHKFPLMSKSRKIHRMVMEHEAEQPAGQRRRRRRRRGSEGSNAGEEGDEQTEIEEAEEEEEDEEEEEEGQAFCIAFPDFPGGPGTFETAAKFCYGVRVELTAWNVAPLRCAAEYLEMTEEHAEDNLAARAEAFLEQAVLRHPGEATKALKSCEELLPHAEELGIVGRCVEAIAARSSAASRSWFDDLAVLGLHMYKRVMTAMAARADVRTEAILRASDAACAALERKAATQLEHATLEDVLIPSYSGATETLYDVDCVERVVRHFLAEEEDHGEAEASTSAAAAITEEAPAPAATTLSRPSAVAMVHVGKLVDSYLAEIASDANLKPAKFCELVLALPDHARVYDDGVYRAVDIYLKAHPRLAAEERDRVCGVVDCRKLTVEACTHAAQNERLPLRAVLQVLFFEQLQLRRAITGTLLSSAGAGATQTRLHLHRYQQPRPAAMAARHSADAGGGRGEAAWRSTATQDSQVLRLDMDSMRNRVQDLERECSSMRRAIKKIDGRSAAASPHHSDDGDDDAASADGSSRPANWRSRYGCKFSTQVCDSHARNVVASRASRMGMSP >ONIVA01G07700.1 pep chromosome:AWHD00000000:1:5798150:5799619:1 gene:ONIVA01G07700 transcript:ONIVA01G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSDGEGAGGGLPRSHPSNLPLPAPHSDPNLQFSGTDDDFSNRHSSSSATGGASPGYYSDYPSSFSGECSPYNMSPWNQTMASPWSHHSDASMAGLGGAPAMAPGTSLIGSLVREEGHIYSLAAKTDTLYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGLHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRTALWIRHSDAVSCLSPTDSAQGLLYSGSWDRTFKVWRINDSKCLESVVAHDDNVNAIVAAFDGLVFTGSADGTVKVWKRELQGKGTKHVAVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNFWEGERHLVHGGVLRGHKKAVFCLAAAGSLLLSGSADNTIYVWRRDGGVHSCLSVLTGHTEPIRCLAIVEDNKDNAAVPVDAVDSSFASGSSTRWIVYSGSLDKSIKVWRVAEDAPDALLRGPGGGDAPQMFDRYPGDPFGASSSSFR >ONIVA01G07690.1 pep chromosome:AWHD00000000:1:5794068:5797570:1 gene:ONIVA01G07690 transcript:ONIVA01G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHU3] MLGGIIGGLTGNKNARLKGSLVLMRKNALDINDFGATVIDGISEFLGRGVTCQLVSSSLVDPNNGNRGRVGTEASLEQWLTSLPSLTTGESKFGVTFEWEVEKMGIPGAIIVKNNHAAEFFLKTITLDNVPGHGAVVFVANSWIYPASKYRYNRVFFSNDTSLPSKMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGEPDSGNPRPVLGGSPDRPYPRRGRTGRKPTKTDPTAESRLSLLENIYVPRDERFGHLKMADFLGYSIKALVDGIVPAIRTYVDLTPGEFDSFKDILKLYEGGLKLPSIPALEELRKRFPLQLVKDLIPAGGDYLLKLPMPHVIREDKKAWMTDDEFAREILAGVNPMVIARLTEFPPRSRLDPARYGDQTSTITAAHVERGLEGLTVQQAIDGNRLYVVDHHDHFMPYLLDINSLDDNFIYATRTLLFLRGDGTLAPLAIELSLPHLQDDGLITARSTVYTPAARGGTGAGAVEWWVWQLAKAYVNVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVAHPVHKLLLPHYRDTMTINALARQTLINGGGIFEMTVFPRKHALAMSSAFYKDWSFADQALPDDLVKRGVAVPDPASPYKVRLLIEDYPYANDGLAVWHAIEQWATEYLAIYYPNDGVLQGDAELQAWWKEVREVGHGDIKDATWWPEMKTVAELVKACATIIWIGSALHAAVNFGQYPYAGYLPNRPSVSRRPMPEPGTKEYDELARDPEKVFVRTITKQMQAIVGISLLEILSKHSSDEVYLGQRDTPEWTSDAKALEAFKRFGARLTEIENRVVAMNKDPHRKNRVGPTNFPYTLLYPNTSDLKGDAAGLSARGIPNSISI >ONIVA01G07680.1 pep chromosome:AWHD00000000:1:5785476:5785910:-1 gene:ONIVA01G07680 transcript:ONIVA01G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAIPDLIRYEAEITSSRGLFGKRMTVVPGVLVSGGSPNEEGQDWKQIEDGRRRPVAVSYSHGRRLRCIAEGRREEEEEVGRSRRGKDLDLDDSEGIWRDCHPSRPPARWQGGGLP >ONIVA01G07670.1 pep chromosome:AWHD00000000:1:5778187:5783295:1 gene:ONIVA01G07670 transcript:ONIVA01G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine transhydroxymethyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT4G37930) TAIR;Acc:AT4G37930] MAMATALRKLSSDALRRQPLSRITPLYYMASLPATEERSGVTWPKQLNAPLEEVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGLIDYDQMEKSAVLFRPKLIVAGASAYARLYDYDRMRKVCDKQKAILLADMAHISGLVAAGVVPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKGVNKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVMSNCAKFAQSLTAKGYELVSGGTDNHLVLVNLKSKGIDGSRVEKVLENVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVNLALKVKAAAGGTKLKDFVATLQSDSNIQSEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >ONIVA01G07670.2 pep chromosome:AWHD00000000:1:5778187:5783295:1 gene:ONIVA01G07670 transcript:ONIVA01G07670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine transhydroxymethyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT4G37930) TAIR;Acc:AT4G37930] MAMATALRKLSSDALRRQPLSRITPLYYMASLPATEERSGVTWPKQLNAPLEEVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGLIDYDQMEKSAVLFRPKLIVAGASAYARLYDYDRMRKVCDKQKAILLADMAHISGLVAAGVVPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKGVNKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVMSNCAKFAQSLTAKGYELVSGGTDNHLVLVNLKSKGIDGSRVEKVLENVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVNLALKVKAAAGGTKLKDFVATLQSDSNIQSEIAKLRHDVEEYAKQFPTIGFEKETMKVKEKASS >ONIVA01G07660.1 pep chromosome:AWHD00000000:1:5753897:5777283:1 gene:ONIVA01G07660 transcript:ONIVA01G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAAPECTDAMGSEAAAGDVAETEEVAVASEAEYSWPQLRFDLPPRRLYHFAGQFRSAAAAAASASAGNFLKGVKWSPDGSSFLTSCDDNSLRLFYLPEEAYSTEAEHPAEAAVGGEDSYGASIQVNEGEPVYDFCWYPYMSVSDPATCVFATTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSISFNSTGTKLFAGYNKAIRVFDVHRPGRDFEQHSLLKGCEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSSDTTNQRIHFDIEPCGRHLATGGQDGMVHIYDLQGGQWVTAFQAAADTVNGFAFHPYLPLATTSSGHRRFGMEDEIEEELSLAGIFNEGDRSYAVRRAAVSAYAALCAVLCAHEAPGGLPDRFVAWGLPLLGEPASAALLAEGLRELLATGDVPAVERFVPPLLAACRDVLEDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAESDRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMVSGVAERNSLKELIAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLAQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISAKLDPFGTPFHDFLEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQTQLVIESRKSFCDCKNKFMHKYGKLVVWGLNASSSMTLKLEALAWIDTFGNLVLGMERDVDKRNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRWSYQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSHSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLYCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYRTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIINHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERENSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNSLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPMAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWSLRRRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVRKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITALKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSNIPMPGFEKQIFSSSESSFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAANDEANKLAVWVEKHGRILEAIRDNSIAGVESCMQLNSKDDALSLISAVLESEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKERILSAFMNSIQLPSPKRDMGNIPFLQSGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDVRAKVSELSSKVTGIAKFRTDEAGLQADAGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHGQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMMGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVESSAMNSLLSSKQYFSSLVNVDQESEFHLRRSKALLSILTKPLADLEALDHMLSACGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKTKLTNHVEKQVYRYIMERIAPAFILQLDKEISDLLQLSQGRRESGQPKRDSAAVGRIAVMLEEYCNAHETARAARTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSSRAKSALECSVYIFPVNLQDTLVSMYERASEVTASLSGFKHVSQDRTALTSECGLLLEEVLAIAEGLHDVYIVGKEAAVMHNSIMSNLSKANTILLPLEASLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKALEVLGESETVKSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVTTTDLSLQDECWISPPEDSYTSSSGYTTELTQITSSENIENMDPLLVDRPVIEAPGANDQERGADSESDSSSNKQLFINNVTLTNVNSVDEVEISLSKERKSENENTNLPFKQIRGQECDNSDPKSYPDSVTRLTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >ONIVA01G07660.2 pep chromosome:AWHD00000000:1:5753897:5777283:1 gene:ONIVA01G07660 transcript:ONIVA01G07660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAAPECTDAMGSEAAAGDVAETEEVAVASEAEYSWPQLRFDLPPRRLYHFAGQFRSAAAAAASASAGNFLKGVKWSPDGSSFLTSCDDNSLRLFYLPEEAYSTEAEHPAEAAVGGEDSYGASIQVNEGEPVYDFCWYPYMSVSDPATCVFATTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSISFNSTGTKLFAGYNKAIRVFDVHRPGRDFEQHSLLKGCEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSSDTTNQRIHFDIEPCGRHLATGGQDGMVHIYDLQGGQWVTAFQAAADTVNGFAFHPYLPLATTSSGHRRFGMEDEIEEELSLAGIFNEGDRSYAVRRAAVSAYAALCAVLCAHEAPGGLPDRFVAWGLPLLGEPASAALLAEGLRELLATGDVPAVERFVPPLLAACRDVLEDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAESDRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMVSGVAERNSLKELIAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLAQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISAKLDPFGTPFHDFLEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQTQLVIESRKSFCDCKNKFMHKYGKLVVWGLNASSSMTLKLEALAWIDTFGNLVLGMERDVDKRNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRWSYQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSHSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLYCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYRTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIINHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERENSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNSLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPMAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWSLRRRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVRKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITALKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSNIPMPGFEKQIFSSSESSFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAANDEANKLAVWVEKHGRILEAIRDNSIAGVESCMQLNSKDDALSLISAVLESEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKERILSAFMNSIQLPSPKRDMGNIPFLQSGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDVRAKVSELSSKVTGIAKFRTDEAGLQADAGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHGQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMMGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVESSAMNSLLSSKQYFSSLVNVDQESEFHLRRSKALLSILTKPLADLEALDHMLSACGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKTKLTNHVEKQVYRYIMERIAPAFILQLDKEISDLLQLSQGRRESGQPKRDSAAVGRIAVMLEEYCNAHETARAARTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSSRAKSALECSVYIFPVNLQDTLVSMYERASEVTASLSGFKHVSQDRTALTSECGLLLEEVLAIAEGLHDVYIVGKEAAVMHNSIMSNLSKANTILLPLEASLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKALEVLGESETVKSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVTTTDLSLQDECWISPPEDSYTSSSGYTTELTQITSSENIENMDPLLVDRPVIEAPGANDQERGADSESDSSSNKQLFINNVTLTNVNSVDEVEISLSKERKSENENTNLPFKQIRGQECDNSDPKSYPDSVTRLTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >ONIVA01G07660.3 pep chromosome:AWHD00000000:1:5753897:5777283:1 gene:ONIVA01G07660 transcript:ONIVA01G07660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAAPECTDAMGSEAAAGDVAETEEVAVASEAEYSWPQLRFDLPPRRLYHFAGQFRSAAAAAASASAGNFLKGVKWSPDGSSFLTSCDDNSLRLFYLPEEAYSTEAEHPAEAAVGGEDSYGASIQVNEGEPVYDFCWYPYMSVSDPATCVFATTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSISFNSTGTKLFAGYNKAIRVFDVHRPGRDFEQHSLLKGCEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSSDTTNQRIHFDIEPCGRHLATGGQDGMVHIYDLQGGQWVTAFQAAADTVNGFAFHPYLPLATTSSGHRRFGMEDEIEEELSLAGIFNEGDRSYAVRRAAVSAYAALCAVLCAHEAPGGLPDRFVAWGLPLLGEPASAALLAEGLRELLATGDVPAVERFVPPLLAACRDVLEDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAESDRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMVSGVAERNSLKELIAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLAQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISAKLDPFGTPFHDFLEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQTQLVIESRKSFCDCKNKFMHKYGKLVVWGLNASSSMTLKLEALAWIDTFGNLVLGMERDVDKRNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRWSYQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSHSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLYCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYRTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIINHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERENSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNSLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPMAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWSLRRRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVRKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITALKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSNIPMPGFEKQIFSSSESSFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAANDEANKLAVWVEKHGRILEAIRDNSIAGVESCMQLNSKDDALSLISAVLESEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKERILSAFMNSIQLPSPKRDMGNIPFLQSGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDVRAKVSELSSKVTGIAKFRTDEAGLQADAGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHGQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMMGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVESSAMNSLLSSKQYFSSLVNVDQESEFHLRRSKALLSILTKPLADLEALDHMLSACGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKTKLTNHVEKQVYRYIMERIAPAFILQLDKEISDLLQLSQGRRESGQPKRDSAAVGRIAVMLEEYCNAHETARAARTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSSRAKSALECSVYIFPVNLQDTLVSMYERASEVTASLSGFKHVSQDRTALTSECGLLLEEVLAIAEGLHDVYIVGKEAAVMHNSIMSNLSKANTILLPLEASLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKALEVLGESETVKSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVTTTDLSLQDECWISPPEDSYTSSSGYTTELTQITSSENIENMDPLLVDRPVIEAPGANDQERGADSESDSSSNKQLFINNVTLTNVNSVDEVEISLSKERKSENENTNLPFKQIRGQECDNSDPKSYPDSVTRLTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >ONIVA01G07660.4 pep chromosome:AWHD00000000:1:5753897:5777283:1 gene:ONIVA01G07660 transcript:ONIVA01G07660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEAAPECTDAMGSEAAAGDVAETEEVAVASEAEYSWPQLRFDLPPRRLYHFAGQFRSAAAAAASASAGNFLKGVKWSPDGSSFLTSCDDNSLRLFYLPEEAYSTEAEHPAEAAVGGEDSYGASIQVNEGEPVYDFCWYPYMSVSDPATCVFATTSRDHPIHLWDATTGELRCTYRAYDAMDEITAALSISFNSTGTKLFAGYNKAIRVFDVHRPGRDFEQHSLLKGCEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSSDTTNQRIHFDIEPCGRHLATGGQDGMVHIYDLQGGQWVTAFQAAADTVNGFAFHPYLPLATTSSGHRRFGMEDEIEEELSLAGIFNEGDRSYAVRRAAVSAYAALCAVLCAHEAPGGLPDRFVAWGLPLLGEPASAALLAEGLRELLATGDVPAVERFVPPLLAACRDVLEDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAESDRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMVSGVAERNSLKELIAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLAQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISAKLDPFGTPFHDFLEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQTQLVIESRKSFCDCKNKFMHKYGKLVVWGLNASSSMTLKLEALAWIDTFGNLVLGMERDVDKRNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRWSYQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSHSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLYCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYRTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIINHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERENSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNSLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPMAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWSLRRRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVRKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITALKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSNIPMPGFEKQIFSSSESSFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAANDEANKLAVWVEKHGRILEAIRDNSIAGVESCMQLNSKDDALSLISAVLESEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKERILSAFMNSIQLPSPKRDMGNIPFLQSGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDVRAKVSELSSKVTGIAKFRTDEAGLQADAGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHGQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMMGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVESSAMNSLLSSKQYFSSLVNVDQESEFHLRRSKALLSILTKPLADLEALDHMLSACGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKTKLTNHVEKQVYRYIMERIAPAFILQLDKEISDLLQLSQGRRESGQPKRDSAAVGRIAVMLEEYCNAHETARAARTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSSRAKSALECSVYIFPVNLQDTLVSMYERASEVTASLSGFKHVSQDRTALTSECGLLLEEVLAIAEGLHDVYIVGKEAAVMHNSIMSNLSKANTILLPLEASLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKALEVLGESETVKSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVTTTDLSLQDECWISPPEDSYTSSSGYTTELTQITSSENIENMDPLLVDRPVIEAPGANDQERGADSESDSSSNKQLFINNVTLTNVNSVDEVEISLSKERKSENENTNLPFKQIRGQECDNSDPKSYPDSVTRLTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >ONIVA01G07650.1 pep chromosome:AWHD00000000:1:5750443:5753563:-1 gene:ONIVA01G07650 transcript:ONIVA01G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEQPPAAAAAEAAAAAEQQAGSGGGGGWGGWGLSIFSEISRNAVVVAKSAIADIQQPPEQDGLKVFDSSVETITTGTWQALGSAWKSGSLLVQKLETSASSLAETIQQGELPAKASVIAPTILETGKSFTAKGMEVLERVGKETMEFIIEETGMDVDKGTGEGDPQTEEEQFEEVSFDRCFYIYGGPDQLEELEALSSHYALLFNRKKGKLVAEQKTYYDGKLKEIQQIFSLSTKIDEDGPDSNKGKKIEAADSDSDAEMKKLCDSSVSKAAKMAAGFTTALGGLSPNEIVKRTTNRLETIHSEGVHRLSEMCCLAVSQLLLLGKSVISASSKPKNEDDENDVKIEWPEDPISKAKIIRWKAQSISVDIEKVSASFATGISDVAEAYVAAIQNALADKKDDLSNQNSVQEKAKYISNHLNTDQTSAVSKLQDALQYLAYVVVCSSMPSIVALYVFPENNENY >ONIVA01G07640.1 pep chromosome:AWHD00000000:1:5740404:5750254:1 gene:ONIVA01G07640 transcript:ONIVA01G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps51/Vps67 family (components of vesicular transport) protein [Source:Projected from Arabidopsis thaliana (AT4G02030) TAIR;Acc:AT4G02030] MNVLVQQSNLEALLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMEANMEQLLSKITSVQSRSDTVNTSLFDKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKTEAYADAVRFFTGAKPIFEAYGDTSFHDCKKASEEAIDLVTQHLKTKLYSDSEPIESRAEAVVLLKQLNFPVDSLKSNLLEKLEDCLLNFQKEPTQASIGDISKTFRAYLIIFPDSEKRLIELAQALFSNRYETVRENLRKRIPSTDLLAMLRSLWEDATAIDEVIPEAALPAFSLETTRDIIRQHIATAFLHLQSEISDVLARTPSTSNGKLEESQLQTAMETSKVKVLQGCIDLLQEFHHLIDGNTELLVKLRDLVIDWVQEGFQDFFQKLDGHFHMLSGRSKSPSQEMESVQIDKVPTALVLMLAQLCVFIEQTAIPKVTEELASSFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEFNGVVSEVKQVLPGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRARSQFLENHLAKLFEQKMEIFTKVEYTQESVISAVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKTSLKEFVDDEAAISFLLKEVNNAAHERCLDPIPLEPPILDKLISAKLAKIKEQSPNMQ >ONIVA01G07640.2 pep chromosome:AWHD00000000:1:5740438:5750254:1 gene:ONIVA01G07640 transcript:ONIVA01G07640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps51/Vps67 family (components of vesicular transport) protein [Source:Projected from Arabidopsis thaliana (AT4G02030) TAIR;Acc:AT4G02030] MATAGGAAAAAAPQAMDEKARRTRDLLASFYNTDPSAAAAAAAASSAARPSPTAASASPLDTINSASFDPDVYMNVLVQQSNLEALLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMEANMEQLLSKITSVQSRSDTVNTSLFDKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKTEAYADAVRFFTGAKPIFEAYGDTSFHDCKKASEEAIDLVTQHLKTKLYSDSEPIESRAEAVVLLKQLNFPVDSLKSNLLEKLEDCLLNFQKEPTQASIGDISKTFRAYLIIFPDSEKRLIELAQALFSNRYETVRENLRKRIPSTDLLAMLRSLWEDATAIDEVIPEAALPAFSLETTRDIIRQHIATAFLHLQSEISDVLARTPSTSNGKLEESQLQTAMETSKVKVLQGCIDLLQEFHHLIDGNTELLVKLRDLVIDWVQEGFQDFFQKLDGHFHMLSGRSKSPSQEMESVQIDKVPTALVLMLAQLCVFIEQTAIPKVTEELASSFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEFNGVVSEVKQVLPGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRARSQFLENHLAKLFEQKMEIFTKVEYTQESVISAVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKTSLKEFVDDEAAISFLLKEVNNAAHERCLDPIPLEPPILDKLISAKLAKIKEQSPNMQ >ONIVA01G07630.1 pep chromosome:AWHD00000000:1:5736061:5738662:-1 gene:ONIVA01G07630 transcript:ONIVA01G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G58730) TAIR;Acc:AT5G58730] MAPSPAAAMPLAAEPDEVVVEVEEEEERGVKGGGGVAGLDEVEGLVVGSYCHDVLLRGGRVVGETLGGAAAFVSNVLDAASPAGASLAVVSKVGHDFAYATAAAPARHPPVLCASPTTSFHARFSDDAASAHAPDRQLRRVHACDPIYPADLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDGEAKGGGAVRHVALEATPYARLLPRVAFLKASSEEAPYVGVETARRRCCVIVTEGRDGCRLYWDGGEARVAPFPAVQVDPTGAGDSFLAGFASGLLWGLSATDAALLGNFFGAAAVSQVGVPTFDPKMLQAVKQILEKAVKRPCTHINGNTFTFQRSSIHDELHKSLQEAAMLVCEQKQANSPATDNGDVCSINELTSLPS >ONIVA01G07620.1 pep chromosome:AWHD00000000:1:5727292:5732779:1 gene:ONIVA01G07620 transcript:ONIVA01G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFRTSTHRERWIFQTNDLMDRWGAANQRATETLVQYGTTRLKVDPVDGSLSYPEPAPDHGSSGVKPLSCEEERLMRVFYEQKIQEVCSAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCIYSSCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRSIEGFVDDMEDLRQTAISQVDKMMLTDAPLLYTPGQLALAALHKSNDMHKILNFERYLESVFSRQHSDCPIEQFVGSINMINYLVEQLKIPTPKDMRHIDRKLKHCLDPSSQDEHKKKEKKSKHKSKRAANEAQLDS >ONIVA01G07620.2 pep chromosome:AWHD00000000:1:5727292:5732779:1 gene:ONIVA01G07620 transcript:ONIVA01G07620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFRTSTHRERWIFQTNDLMDRWGAANQRATETLVQYGTTRLKVDPVDGSLSYPEPAPDHGSSGVKPLSCEEERLMRVFYEQKIQEVCSAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCIYSSCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRSIEGFVDDMEDLRQTAISQVDKMMLTDAPLLYTPGQLALAALHKSNDMHKILNFERYLESVFSRQHSDCPIEQFVGSINMINYLVEQLKIPTPKDMRHIDRKLKHCLDPSSQDEHKKKEKKSKHKSKRAANEAQL >ONIVA01G07610.1 pep chromosome:AWHD00000000:1:5715754:5721617:-1 gene:ONIVA01G07610 transcript:ONIVA01G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGLFQALPRLVVFDLDYTLWPFYCDCCSIGDSPRLFRHAKGIMCALKEKGIAMAVASRSSTPDIANAFLDKLELQPMFVTKEIFDSWTHKTEHFQRIQRTTGIPYESMLFFDDEHRNFATVSKMGVTSILVDWDGGVNLEMFKLGLNNFAAKFAASSTDKDEQTSFNG >ONIVA01G07610.2 pep chromosome:AWHD00000000:1:5719965:5721617:-1 gene:ONIVA01G07610 transcript:ONIVA01G07610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGLFQALPRLVVFDLDYTLWPFYCECRSKRESPSLYRHAKGIMFALREKGIDMAIASRSPTPDIAKVFIDKLEIKSMFVAQEIFSSWTHKTEHFQKIQRKTGIPYKSMLFFDDEDRNIESISKMGVTSVLVENGVNLDMFKLGLSNFATNVAASSTSKDE >ONIVA01G07610.3 pep chromosome:AWHD00000000:1:5715756:5717712:-1 gene:ONIVA01G07610 transcript:ONIVA01G07610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGRFEALPRLVVFDLDHTIWPLYCDCCSIGDSPRLFRHAKGIMCALKEKGIAMAVASRSSTPDIANAFLDKLELQPMFVTKEIFDSWTHKTEHFQRIQRTTGIPYESMLFFDDEHRNFATVSKMGVTSILVDWDGGVNLEMFKLGLNNFAAKFAASSTDKDEQTSFNG >ONIVA01G07600.1 pep chromosome:AWHD00000000:1:5704194:5715153:-1 gene:ONIVA01G07600 transcript:ONIVA01G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G39810) TAIR;Acc:AT2G39810] MPRPPASHAAVVVNLTGRRRRRRASSPIPARAPQRPPPRYPPPPPPARSLAFSPDCCCSSRGYHSLPRPSAEQPDGAAALGGRRSNGEVAQSSARVDQSEMEQNALEQLASIDLIELCKEARIEHCRATRDLSSCGRYVQHVLNSCGHASLCAECSQRCDVCPICRSPIPDTGNRVRLRLYYKCLEAGLISKQHDERFQEKEDHSDPVNLDVQRLHSLFDVALQNNLASLICHYTTDVCLDENAVSSDPLLAFLLDEVVIKEWCKKAVNALISEINMIYRSGLEMMMSKLSQLQKFAVQLAGISSVVEVMITSFSEAVSAHVNDLHQLMEGTLKAKQHLEAMMWCIRHKFLEDIPSRHTNLASWSSDVIKRKADAKERKWPEFSDKSSAYNEANQGILFIEQALQNLGIQESNSGSEEGVEIVCLQSEQSSSMFCSTIDQFSVDKYPFKDLREAVDVLFLHGSSDMFLYYLFDRHWTRPDSEWRYLVDDFAATFGISRRTLLECLEACSLLPKISCKETHPKIAQVLLERHKPDVALVVLKCTGRDTFSSAANIEKDDTESLSEAVTAVRVRIEYGHLTEAFMYHRSYCSKVKEQRSADMSHVEDANSYKSSWMYHVEVMMTEFCNICIERNFVDRMIDLPWDSEEGKHLHKSLLDCAREMPMEPCGSLLVVFYLQRYRYLEAYEVDRSLQSFEQNALETASEEKASKIRTIAQWRQSLVTKCIEMLPEAEREDMRTIGSAERNQFAVQTMQNSSPANHMVKSPNPVIAFSLSATPIPQKKSSPLQSRNINVLNDSVGLNSSARSEFGRKVPSILQCRPVPLSSPISNVRSTAGGLFPSMGQNGEGPYLKGTKELSFTKGESGFKKGTRPAGYDSLPMYFNMGSVDTPMKEYRSSLLKTEVNKTTPFQVKDSVGKGEFDFGSRAEKPFILSGTGAGQNGHSKISDNAGFHEVHIQKTKVPPKENVLRNLQLMKHHLGKVCQGGDPMSLVKTKMIKEQVGIWRVELHLLLEDEPDFLEDDIFHLVQKWEMAVLQKMKMRSDQMRDRCHSETCDSDRAATK >ONIVA01G07600.2 pep chromosome:AWHD00000000:1:5707153:5715153:-1 gene:ONIVA01G07600 transcript:ONIVA01G07600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G39810) TAIR;Acc:AT2G39810] MPRPPASHAAVVVNLTGRRRRRRASSPIPARAPQRPPPRYPPPPPPARSLAFSPDCCCSSRGYHSLPRPSAEQPDGAAALGGRRSNGEVAQSSARVDQSEMEQNALEQLASIDLIELCKEARIEHCRATRDLSSCGRYVQHVLNSCGHASLCAECSQRCDVCPICRSPIPDTGNRVRLRLYYKCLEAGLISKQHDERFQEKEDHSDPVNLDVQRLHSLFDVALQNNLASLICHYTTDVCLDENAVSSDPLLAFLLDEVVIKEWCKKAVNALISEINMIYRSGLEMMMSKLSQLQKFAVQLAGISSVVEVMITSFSEAVSAHVNDLHQLMEGTLKAKQHLEAMMWCIRHKFLEDIPSRHTNLASWSSDVIKRKADAKERKWPEFSDKSSAYNEANQGILFIEQALQNLGIQESNSGSEEGVEIVCLQSEQSSSMFCSTIDQFSVDKYPFKDLREAVDVLFLHGSSDMFLYYLFDRHWTRPDSEWRYLVDDFAATFGISRRTLLECLEACSLLPKISCKETHPKIAQVLLERHKPDVALVVLKCTGRDTFSSAANIEKDDTESLSEAVTAVRVRIEYGHLTEAFMYHRSYCSKVKEQRSADMSHVEDANSYKSSWMYHVEVMMTEFCNICIERNFVDRMIDLPWDSEEGKHLHKSLLDCAREMPMEPCGSLLVVFYLQRYRYLEAYEVDRSLQSFEQNALETASEEKASKIRTIAQWRQSLVTKCIEMLPEAEREDMRTIGSAERNQFAVQTMQNSSPANHMVKSPNPVIAFSLSATPIPQKKSSPLQSRNINVLNDSVGLNSSARSEFGRKVPSILQCRPVPLSSPISNVRSTAGGLFPSMGQNGEGPYLKGTKELSFTKGESGFKKGTRPAGYDSLPMYFNMGSVDTPMKEYRSSLLKTEVNKTTPFQVKDSVGKGEFDFGSRAEKPFILSGTGAGQNGHSKISDNAGFHEVHIQKTKVPPKENVLSFGKKSSVDEAPPGKGVSRWRSDESSEDEDDKRTSGYMESGASLATRRRARFSRR >ONIVA01G07590.1 pep chromosome:AWHD00000000:1:5697869:5701491:-1 gene:ONIVA01G07590 transcript:ONIVA01G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPHRAREGRAHHHASRLTSYQVSSFLLILPNHCIRVGVLLLLLLLLAECNGQFKLSGHMAVSSSLLFCDTSVLQNHLFYLLYIHAPFSSAQIEESGFETATVADILKSKGKSADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGQDKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENQLITVKPDTRVLQAMQLMTEKRIRHIPVIDGTGMVGMVSIGDIVRAVVSEHREELNRLNAYIQGGY >ONIVA01G07590.2 pep chromosome:AWHD00000000:1:5697869:5702267:-1 gene:ONIVA01G07590 transcript:ONIVA01G07590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCVDCSCAPLLDLGKANTGAERIRPEAHDFTAPRQRGEHPDFQSSSQAHDFVGVKMQRAIQAIGSHGSLLKSAVLRHISAPKPSILPAVYSRSMSVSSAQIEESGFETATVADILKSKGKSADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGQDKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENQLITVKPDTRVLQAMQLMTEKRIRHIPVIDGTGMVGMVSIGDIVRAVVSEHREELNRLNAYIQGGY >ONIVA01G07590.3 pep chromosome:AWHD00000000:1:5697869:5702267:-1 gene:ONIVA01G07590 transcript:ONIVA01G07590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCVDCSCAPLLDLGKANTGAERIRPEAHDFTAPRQRGEHPDFQSSSQAHDFVGVKMQRAIQAIGSHGSLLKSAVLRHISAPKPSILPAVYSRSMSVSSAQIEESGFETATVADILKSKGKSADGSWLWCTTDDSVYDAVKSGRSSKSTKVGDIMTEENQLITVKPDTRVLQAMQLMTEKRIRHIPVIDGTGMVGMVSIGDIVRAVVSEHREELNRLNAYIQGGY >ONIVA01G07580.1 pep chromosome:AWHD00000000:1:5695169:5695915:1 gene:ONIVA01G07580 transcript:ONIVA01G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAAPPVRGCCPAAASTSSVARVRMPASFVSAPRRVSVRLRAAAVAEVPRGLQLRREEERGLGFFGGVFGGEEEEVVEKVGEERVEGWMRESIAEIVRHIGEAPFLVHLFNDDDGGSGRGGAGRVTVRRETASAESWPDVRRRWGPGGMRRPDGIILVEQIAAAVEEGGASAGAGAAEAARQVWGLVVQARGMECASCYVLDTCRVRSPAGLCTHFCLARAQCFGDPLELQLRNAWLNRLSGRR >ONIVA01G07570.1 pep chromosome:AWHD00000000:1:5669373:5677595:-1 gene:ONIVA01G07570 transcript:ONIVA01G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHILLLFLAVTVLLSSPTWAAAGGEDQAVASQEVNPLHYNCSLSGGKYEPNSTYEANLRALASLLLAEARATAFASDSFGAAPDAVYGIALCRGDYAGDACAGGLRKAFRDAIDHGVFCAGFRDVTVYYDEHMFRFSGEDFRASLTNAPAWVTWNMNGVAGAAAFGDRVMELINTTAEFAAWNSSKRGYATGEAGFGELDVGATRLGLVEQQCRSSPDLVIFALVQCTPDLSPAGCLSCLAGIASQMPRWFAGAADYRLGGRILGVRCNLRYEVDRFFLESNETIKIHMPKQKGGMSKTDIALITISGVVTPVLPLILIGFIVKKIRDCKLRRELGDWEKTVTEEIDERFSLYPFSMIRDATENFSAENRLGHGSFGQVYRGILQNGLQIAAKRLDQTTWQGLEEFLNEIRIIIRLQHANLVRLLGCCVNRKEQILVYEYMPNRSLDYVLSDRERGASLSWFMRRHIINGIAQGLDYLHNHAPEGLIIIHRDMKLSNILLDSENNPKISDFGIARKFCLNGTEPYVTHPVGTPGYMAPEYIHGDLTPKYDVFSFDVLVLEIISGRRVRSPIFNQHGRSIHLLTYAWNIWSNRRYNELLDPYLRVEFQEELTRQIQIALLCVQKNPGDRPDMHEVTMWLSNNGLGLSEPQEPAYLNVPLGYNDDFVTARPDLEAGIIELQ >ONIVA01G07560.1 pep chromosome:AWHD00000000:1:5661841:5662248:-1 gene:ONIVA01G07560 transcript:ONIVA01G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLDPNSGSGATVAAASHSHVIVDMPQLDASSHSTASYDDKSGHVVIDIESEGRSGTTGSDIILSCVVCTEPLEWVAVGPCGHRAVRSMCVARVRAGPDADKRSCICRTRCYTVAVTKAATAADTLHAFQRRCR >ONIVA01G07550.1 pep chromosome:AWHD00000000:1:5660748:5661796:-1 gene:ONIVA01G07550 transcript:ONIVA01G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYFDDRNHYKETKRAVRDFLEKQRAAPSPPPPCPDDDVTDENLEAQPPAPHHPRVSWCGILFVLVAFAFVCGPPALRAGVHRVETCATAATRTHQDEVLRISKAPKSQPSATHQDGSLPIAMEKLDGEDREEEEEEEEEKKQAASISVMLTH >ONIVA01G07540.1 pep chromosome:AWHD00000000:1:5657804:5658522:1 gene:ONIVA01G07540 transcript:ONIVA01G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTPQVDTNWSAAEHGHIAIDIGNSTAGSDSDDVPSCVVCTEPIEWVAVGPCGHRVVCSPCAARLRSGPNPDHRCCDALLHLVITKAATAAHSVFTFSDQSSMPVAAAQDDGRPVGAYWYSAAMSAYFDDKKHYDQVTKQVVVAAADRCFLRTPPRRPDVDASPLRRLCVRMSWRGHVLTLLVVVLVTALVGGWVGYLTSGDEMMSDRIGIVAGIAALWGALAAVVYGIIAVFH >ONIVA01G07530.1 pep chromosome:AWHD00000000:1:5651016:5651531:1 gene:ONIVA01G07530 transcript:ONIVA01G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEIELAEAMTDSDWGVSEEEVTDSKTDSSTCPLRRHRLPDEEEEEETTPGDKKDTTAPGDEKDDTELAD >ONIVA01G07520.1 pep chromosome:AWHD00000000:1:5640782:5642028:-1 gene:ONIVA01G07520 transcript:ONIVA01G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLDADDANSGSAASATHSHAIGDMPQPDASSRTSADEDDHGHNVGIDDIDDAGDNGSIGSDSDVPSCAVCTEPLEWAAVGPCGHRAVCSACAARVRSAPNPDNRCCICRTICPFVVVTKAAAGVVSFSTLPAVASHDDGRVGEYWYCAAVSAYFDDEQQYEAAKAVASRRHGDQPAMVHPKPQCKLHSGFTKHIEIGPDFTEPGFPVME >ONIVA01G07510.1 pep chromosome:AWHD00000000:1:5635289:5650957:1 gene:ONIVA01G07510 transcript:ONIVA01G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFCGTQSIKSAAGATDHSRIVTDSEYDTSAAAGNSHVVIDIDDDDGGVGDSDDSACSSSSAEGPCCAVCMEPLEWVAVGPCGHAVVCSVCAARIRSSRSWQPDLRCCICRAHCPFVVVTRAAAAAAPAAMPAVNSYQEWRARGYYWYCTTMLAYFDDVEQYRATRAIARGEVKGGAAVDVDGNDGGGGGRRTLSSCVDVFRFLLIVAIFALFGLLFGSVFSSLTAGGRATPGDNLAFISACAALWLYSIVLETIALQWREHNFDGGVGHSQAIDDIPQFYASSCSATAYHSHAMEMSRFDASGRGSSRTAADDKGGHVVIDIDAADDIPIPFCVVCMEPLEWVAVGPCGHRVVCSACAARVRSAPYSDHRCCTCRTPCPTVFVTKAAAAAADGELNYLLQLQGDAGSLQDGRVGEYWYLAPMSAYFDDERQYEAAAASSLMMKHQRPPPDADGEFQPRHGGDRGDGALPGDEFGAPELSFLALFFAACGAVVGLGFTGFGTGWGQKVAIVLGSAGIYAPLGTSIVWFMNKNGYCRVGLCRAQIEQAYSGITIYKASPINSINLRISLVSLTVTPIRRSAAAVVMADLDANSGSGVSVAVAVAAASSHSHVIDMPQLDASSRTVAAASATDHSRAVETTRIRTSCSAGDDDKCSTGSDDIPSCAVCMEPLEWVAVGPCGHRVVCPACAARVRSAPKPDHLCCICRTLCPTVLVTKAAAAADGELPFSEMPATTQDGQVGEYWYCAAMSAYFDDERQYEATAKAAAAAAAGCLKQRPAGADNDDGERDQRYGTAQFLKYSFFAALFGVCIGFVFAVDAPGWGGRVGIVAGSAALSVAVGSVLWFLRNREDLEKEQPFFPGSVAHIGANVQKCIRGACVPWLYNGSGVAWLVSHLKVLRS >ONIVA01G07500.1 pep chromosome:AWHD00000000:1:5627968:5629823:-1 gene:ONIVA01G07500 transcript:ONIVA01G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAGAGDGAPKHYPAKMTVFVFIACLVASSGGLIFGYDIGISGGVTSMDPFLSRFFPSVYAKEKEVVDTNQYCKFDSEPLTLFTSSLYLAALIASLFASVITRKLGRKMTMLGGGFIFLIGAVLNGAAVNVAMLIIGRILLGIGVGFSIQAVPLYLSEMAPAKMRGMLNIIFQLMITVGILAANLINYFTDKIAGGWGWRVSLGLAAVPAVIMTVGSILLPDTPNSLLSRGKENEARTMLRRIRGTEDIGPEYDDLVAASEATKAIENPWRTLLERRYRPQLVMSVLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMFATFVSIATVDRFGRRVLFIQGGIQMIIAQFILGTLIAVKFGTAGVANISQGYAIVVVLFICLFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVVFNMAFTFFIAQIFLMMLCRLKFGLFFFFGAMELIMTGFVFVFLPETKGIPIEEMDRIWGEHWYWSRFVGAGRNRVMQMASTNV >ONIVA01G07490.1 pep chromosome:AWHD00000000:1:5623925:5626539:1 gene:ONIVA01G07490 transcript:ONIVA01G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMTDTDGAHKNYPGKMTIFVFLACLVASSGGLIFGYDIGISGGVTSMDSFLIKFFPSVYAKEKEMVETNQYCKFDSELLTLFTSSLYLAALIASLFASVITRKFGRRITMLGGGVIFLVGAILNGAAADVAMLIIGRILLGIGVGFSNQAVPLYLSEMAPARMRGMLNISFQLMITVGILAANLINYFTDKIAGGWGWRVSLGLAAVPAVIMAGGSLFLPDTPNSLLSRGKENEARAMLRRIRGTDDVGPEYDDLVAASEASKAIENPWRTLLERRYRPQLVMSVLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMFATFVSIATGVANISQGYAIVVVLFICLFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVVFNMAFTFIIAQIFLMMLCHLKFGLFYFFGAMELIMTGFVFFFLPETKGIPIEEMDRIWGKHWYWRRFVGAGAGGKVEITSTV >ONIVA01G07480.1 pep chromosome:AWHD00000000:1:5620219:5620668:-1 gene:ONIVA01G07480 transcript:ONIVA01G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKSYAGGRTTMQIEPYYGGGGGGGGGRPVDFRSYSYSGGGGGGRGGAGASSYQYQYEYGGGGSGKVGYWGSVAEEERGTGQAAAEAAAKRSKSKRRWLALGDPDMERKRRVASYKAYSVEGKVKGSFRKSFKWIKDRYLHLVYGWS >ONIVA01G07470.1 pep chromosome:AWHD00000000:1:5617961:5618886:1 gene:ONIVA01G07470 transcript:ONIVA01G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRKRLVPCGGGGYIVVGDREKPAPCGGASCFIVGVRDVAVPTNRRKKLAPCGSVSGFVVGVREVAAPDGGGSFLRPASPGQAVARSLLLVVLDSPLARWRQFCRCMLECFLYHGSINQVSQDFSPPFPHVDPRRQQFVCHHSSSSVGLGIWMWAPSSSMFPTSSFHSSWRQPDGDYQFVKRAARQRRPKVAFVAALPQLPVDVHLLCCVVSS >ONIVA01G07460.1 pep chromosome:AWHD00000000:1:5602435:5607669:-1 gene:ONIVA01G07460 transcript:ONIVA01G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein / K homology domain-containing protein / KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G25910) TAIR;Acc:AT2G25910] MVAHKKEWWWCVQRQWLLMSSIFPFPWRKRKKTSRLSSPPPPRRVAAEQGRGAAISIWRAEQGRGGEGILLLSPPLPMLVVTKPGQLPVEFLEPSAAQKLVIGFDCEGVDLCRHGALCIMQIAFPDAVYLVDAIEGGKELIEACKPALESEYVTKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLLEEQEGKKRGYDEYISFVSLLADPRYCGMAYPEKEEVRTLLRQDPNFWTHRPLSEMMIRAATDDVRFLLSIHEKMMEKLSKVSLWRLSVRSELYCRCFCINDNKYADWPPLPTVPDEIEPDVYVPEVDILSVLDVPPGKMGRVIGRKGSSIMEVKASCNVEIHIGGAKGPPDRVFIIGPVQEVRKAEAILRGRMLEF >ONIVA01G07450.1 pep chromosome:AWHD00000000:1:5592516:5593087:-1 gene:ONIVA01G07450 transcript:ONIVA01G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGRRGGSTSTVERWWARHRRIRHGTDTGAAAMGDGMWEAGYPAAAPCPTRGDPAAVRRIRCDGESGAEPARGGAAAPPPPTSGGWCGVAGXSGRRSSPHARGSGCGGAYLARMRAARRRIQRGSCTGDGGRGCDGRVRAVGKAAADPAAAPRPRARHDLCGCHLHNAPPSMSGASSNKNLTYTYKL >ONIVA01G07440.1 pep chromosome:AWHD00000000:1:5583729:5585123:-1 gene:ONIVA01G07440 transcript:ONIVA01G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGFNEMILSESVWNGGGGGGGGDGDGGAVVLPPEVGGVNAVDGSGMTMLERLVLDEALAAAILELQGIQAPGCGGGGCKVAVVPPPTAGDGGVEAAVAFAAMATGTPAYADVDADVLQRQRQHHHRHQGAMGMAAEYDVAPATPAVTLSAVSPPPPPPAFATAAASVDGGGAMDATVFSGIGNDDVVDAVSATVAMTTSQCERVRGGGGGGGCGRKQRRPGRKRKASEPTAAAAAADMSSQDNPLCSLLASNTAGADGGIQIAFSTSAPASKRAKPSLSSSSSSISFDGRGPGGNCGGGGDDDPLYEPDTEALAQVKEMIYRAAAMRPVTLGAEDAGERPRRRNVRISSDPQTVAARQRRERISERLRVLQKLVPGGAKMDTASMLDEAANYLRFLKSQIRELQTLDRRNYPNAAMSINTAAATTMATSSSPTYNNSNAAMPAFAFPETLGCGGGGGVEQLI >ONIVA01G07430.1 pep chromosome:AWHD00000000:1:5578877:5579161:1 gene:ONIVA01G07430 transcript:ONIVA01G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAGSGALPGMRYSVGSSYNVVSYLTVNPAAAGFKVVNSACCCGGRLNAQVGCGAPNSTYCGNRNRYLFWDGVHGTQATSRKGAAAIYFAPL >ONIVA01G07420.1 pep chromosome:AWHD00000000:1:5560652:5561268:1 gene:ONIVA01G07420 transcript:ONIVA01G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVSTMEVGALHGELRAEDECRVVEEVGEGGSKRAGAAKGKDVVGVSVEAVAEKEAYEDNIIRLQMRLPKAEMVWLVKESHNVAEKKL >ONIVA01G07410.1 pep chromosome:AWHD00000000:1:5543860:5546625:-1 gene:ONIVA01G07410 transcript:ONIVA01G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLTLIATPCTDPRSSSSFALAPRLPPRRSRDDILIDPRNAAAARRRVPVRGAVRATTLRSDQEPAGDSGATTTKLSAWTSVRQERWEGDLSVDGHLPPWLNGTYIRNGPGMWDVGEHAFHHLFDGYATLVRVSFRGGGGARATGAHRQIESEAYRAAVARGRPVLREFSHCPAPAKSLLDRVGDLVGLVTGAALTDNPNSAVLPLGDGRVMCLTETTKSSVLIDPDTLETVGRFRYTDRLGGMVQSAHPIVTDTEFLTLLPDLVRPGHLVVRMEAGSNERKVIGRVDCRGGPSPGWLHSFAVTEKYVVVPEMPLRYSSASLLTSELAPFYAFDWVPASGSYMHVMCKSTGKTVASVEVPPFMAIHFINAYEEEGDEAAVVVDCCEHYGDPAIIETLVLSRLRSLRGKDVLPNARVGRFRIPLDGSPFGELETALDPEEHGRGMDMCSINPARLGRKYRYAYACGARRPCNFPNTLTKIDLVEKKAKSWHEEGSVPSEPFFVARPGATDEDDGVVISIVSSDDGEGYALVLDATTFEEIARVRFPYGLPYGFHGCWIPATEE >ONIVA01G07400.1 pep chromosome:AWHD00000000:1:5534148:5534591:-1 gene:ONIVA01G07400 transcript:ONIVA01G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSADGRDPEPLPPPVARGDGEKVVEALASIEFGLGSGSGVANSAPMAPKGVDPPPAAESARRREGKMWQEKAASVKSSMLPSLLPVGGAYRTELLQPAGLQVDRALWPARQPALVPLHHAWHAFLNFRRSVVFGGYVLELRRRR >ONIVA01G07390.1 pep chromosome:AWHD00000000:1:5532159:5533929:-1 gene:ONIVA01G07390 transcript:ONIVA01G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNDEFWQRDANKTSAKNRVNAIDKPEQQRRRRDLILAISYRTHLKTMTVKIFRNWI >ONIVA01G07380.1 pep chromosome:AWHD00000000:1:5530730:5531234:1 gene:ONIVA01G07380 transcript:ONIVA01G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKNSFRSSKLFAAWATGRGRRPPRFVPFFASLSFNRHSKVAPPARASEDRTLQRRLLDNYLAARPDLRRSGGSREGPRRARAPLPHPDGMLDARYKFWPCFFMLCDFGRYPSSIADVDKDVIAPYRHVVPNFANDSAGYDDRPTLLYFHGATRKLGAALPRR >ONIVA01G07370.1 pep chromosome:AWHD00000000:1:5527887:5528411:-1 gene:ONIVA01G07370 transcript:ONIVA01G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDKGKEPAVDPPSADPSSGSVPTENKKGNSNRGKGKKAAAAAAAAADSSSAATAGAGDEANKTATVRMSQPQIDLFMSFDPPPLEPVKGVSKEEEDRFAKIDAQLAKWEKEIRADAEMVKSQYKQKGYVEYEVDADLFPAKAPPRPGRRRARHGVVVKKKPQGGGAAAAK >ONIVA01G07360.1 pep chromosome:AWHD00000000:1:5506788:5507109:-1 gene:ONIVA01G07360 transcript:ONIVA01G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAGKQEEGRPTRGQEESGERASARGRSDEESSAAPPPKPRVNPSFFARGRSEEGRERFWGSSGDPIPRNSASCTRGCRRGCSGVHRSSQAEQ >ONIVA01G07340.1 pep chromosome:AWHD00000000:1:5505385:5505738:-1 gene:ONIVA01G07340 transcript:ONIVA01G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRCGGGFEEVRRGGGSESEERPRCAAVELESPPLPPATADHARICRALGRRRPAVLGDGDLPSRTATSPPLGDLDLKDGDLPSPWRRGPPVWRRPAAAPAVGSSRGQRWRSTDDR >ONIVA01G07330.1 pep chromosome:AWHD00000000:1:5486234:5489627:-1 gene:ONIVA01G07330 transcript:ONIVA01G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERGNENTTYLNEYERGRAERIKKNNIALQAIIQKRRELAKSSEGNLGSASTHQETRKRKRVDCAGSEPARHESGLPNLSRSTRRGTGSSTSTHDEDEELVANTNHLFMHDCERNDELAENGGKRMANGGGNENTTYVNEYERLRAERIKKNNIALQAVIEKKKELANLSEENLGSASTHQETRKRKDEQESANGEAQPSDEENNSYGMIKEQQKSLAQPEGSRVRA >ONIVA01G07320.1 pep chromosome:AWHD00000000:1:5483098:5489025:1 gene:ONIVA01G07320 transcript:ONIVA01G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTQVSAPSRNEDHATPCALDKPLAISGCMRGLLPQWCPRPQAGQGEWMASSPPSSNRLLLCAVVRRRGRPAIGRACALATPFPSSSAVTRPPTGYPS >ONIVA01G07310.1 pep chromosome:AWHD00000000:1:5478134:5480129:1 gene:ONIVA01G07310 transcript:ONIVA01G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLELLDAWEIQLLIVLLSFILQVFLFFTGSLRQRSTNGFLRGTIWIAYLGADLVAIYALGYLSRHVDTTMKKGYSTLGETHPLAFLWAPFLLIHLGGQDTITAFSMEDNNLWLRHLLNLVVQVTLAMYVFWKSTSWHKNVQLLVPGVFLFTAGIIKYGERTVALMYGKLNNGMTSNIKIMLLASPRTWWWLKDGGYDRLSRISWYFVGRLKRRPLWSNKMGQYNLLSSYLGMYDELAVTAPQRLMRMMRKMARGVGVGGKVMNKKLFWVSTLLETRYEVVDNDLMECVMCEIKKLGSSQRIINRRWTHLEPFVKEIEGMLLLTFSSTIIVLHTITMAYLMKSNANAVSTLQSGIANSVGLSLSYLNKEILDHNVDISLSTLIERLKNAPVEFPWRTEHEQHEAVLDELRDIWMRLLIYTAGKSRPEGHAAHLAKGGELLTFVWLYMASMGVGDGVAQPIETSTSSRDASGLSIISIFDL >ONIVA01G07300.1 pep chromosome:AWHD00000000:1:5470642:5473829:-1 gene:ONIVA01G07300 transcript:ONIVA01G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASELRACRTADESDGSRTSRRRPIDDPQFTVTLWEEEEIKTRPIASRNLEDGSGVSYVVPMAFIEKLRRRCAGDDGRQVPTGGSHRRCDATAIRQLEEEMAPCLLEQFKENMEIMRTMNDVFTWRFEHPYFMAVEQRSQMMDVFCLNKHN >ONIVA01G07290.1 pep chromosome:AWHD00000000:1:5426232:5432185:-1 gene:ONIVA01G07290 transcript:ONIVA01G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRGRGKRKQQQPPPPPTGPEHARQPEGKQREEERKGMEGSDKGGAGDDGSPAPLPETVQIGNSPTYKLERKLGKGGFGQVYVGRRISSPTHGNRNSGANALEVALKFEHRTSKGCSYGAPYEWQVYNTLSGNHGVPRVHYKGKQGGFYIMVMDMLGPSLWDVWNNNSHSMSVEMVACIGIEAISILEKMHAKGYVHGDVKPENFLLGPPDTPEGKKLFLVDLGLATKWKDAGTGKHVEYDQRPDIFRGTVRYASVHAHLGRTGCRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMATSPESLCGIGPPPFRQFVEYVVNLKFDEEPNYAKCIALFDGIVGPNPDGRPLNTDGAQKVGQKRGRLTAAEDEEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADDRLAPHIQKGNEDGLFISSVSSCSNLWALIMDAGTGFTAQVHELSHYFLHKEWIMEQWERNYYITSLAGSNNGSSVVIMSTGTPYAQQSYKVSDSFPFKWINKKWKEGFYVTALATAGSRWAVVMSRNAGFTHQVVELDFLYPSEGIHQRWDSGYRITATAATCDQVALILSIPRRKPNDETQETLRTSAFPGQHVKEKWAKNLYLGSICYGRSVS >ONIVA01G07280.1 pep chromosome:AWHD00000000:1:5383339:5420282:-1 gene:ONIVA01G07280 transcript:ONIVA01G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHGGGNQMREPALPAAVGAELERLEARLGQLACAEARRQLAELGEPAAARVLRAIGEARQVRTLSGFIRHMANQERMKRNARGIPTAHSAACISGPCREEESISTPLYYNEVQMDAQTPNDMVEVGSPNQQMPLRLHDNGGSVGHIARVVPDLANPAVGSPYGRISSVLLQNQNCVEGYTPSRGMVSPASNQVGSPGHRMPSGLQRHMEIDSPIQPIVSTPERVSTPSPVRDLSRCVENMAGPSGSPPCPIWVMPQIPPAICPDTTNVLREVVSPQMLALGELEFRKIFMIFAYLSWNKKGVKPPLSTPKSSKIEDVLSVDSIRSLKSMSMAQFESRIWSTFGHDNISSSDRAKNLDSGPGMSKVYHCNVEIRGGTVVKIFKGPYIENRRTHLQKVLGDDNVLVVKFMEISSDTETDLSTYLEHYHKVAEEVYKDGGKENKMKEENREEENKKCTSSVRCYFVRTESGWNMDEPYILSGRTIGQARDLFMHIRTVLTLAKYMLRFALIVSKTITLDVDLSEVLVKLIDDEPCLDEHGKEVFRDGERLIHTDGTGLISEDLAQKCTYTFAFSFRVGSNDIVDCAASKLMGSNNTTEYPLLIQLRLFYNGSAVKGTVLVDKRLPPRTIHIRPSMLKIKTYPELSGVQSVNSLDIVSARNAKKSLSGVQSVNSFEIVSTSNRSGRTFTSNNLIALLHYGGVPEEFFMELLQTAIEEADNARFDYAGALNIAFNYADMEDSMPARMILSGIPLEESYLQSRLDFLSLLERKGIKNGKIPIDDCYYLMGTADPTGKLGPNEVCVILDYGQVSGDVLVYKYPGLHPGDIHVLKATYSSDIEKVVGNSKHAILFPTTGQRSLADEMANSDFDGDIYWVSLNPKLLEHFKPSKPWVPAITPNGTKQKGPEDFNESELERVLFHEFLKTRFAPSYARATAATNWLVYMDRLLTVSLDESEKKLIEKKMLKLVDLYYLALDAPKMGNKVNIPRDLMVKQYPHFMDRSPSYHSSSILGKIYDKAGDPKPLRSDNVQPTSISSLPCFAERDVPPAIKQLWQHRYNEYLADSSLLYAEEADEEEKKIKFQELYEKYKHLLYGASEFEETPRDLDDVFSEACAIYQIAYEKARSANNVARCGFAWKVAGRALCHFYTVKNEGNAVVCSLQLLRNFRFTKKYRNEKIENFLSVDYIRSLKFLSMVQFESQIWRTFGHKYIAASDRAKNLDSDPGMTKVYHCNVAIRGDTVVKIFKGPYIENTRTHLQKVVGDDNVLVVKFMGKLSDTKTDFSTYCEHYHKVAEDGIVLGLRRYRFFVYKDGGKEEKLKQEKIEDKNKCTSPVRCYFVRTESGWNMDEPYILSGRTVGQARELFMHISSAPTLAKYMARFALILSKTITLDADLSAVYVRRIKDEPCMDRHGNVVHKDQELLIHTDGTGLVSVDLALNCPTSIFKGKFLKPQGIATCDESETVMRPNSLKRHRSLTAEHPLLMQFRLFYNGSAVKGTVLVDRRLPPATILIRPSMVKIETHPELSGVRSVNSSEIVSARNAKKSLSGVQSVNSFEIVSTSNRPRRTLTSRFLITLLCYGGVPEEYFLELLQSAIEGAENACYDYEDALRIAFSYADMEDSMSARMILSGIPLEESYLQHRLDFMVQQERKGIKQGKIPIDECYYLMDTTDPTGTLRPNEVCVILENGQFSGDVLVYKHPGLHFGDIHVLKATYIRDLEKEYVGYAKYAILFPISGPRSLADEMANSDFDGDIYWVSKNPKLLEHFKPSEPWVQAIKPKKTKQKKPQDCNESKLERLLFHEFLKTRFTPSFALGTAADSWLAYMDRLLTDSLDEIEKKLIEEKMLKLVDLYYLALDAPKTGNKVNIPSDLMVKQYPHFMGRSFSYHSSSILGQIYDKAEDVESLRSCNVQPIGVSLLPCFMEREAPPAARHLWQHRYEEYLTDSTMLYRAMVDKEERNMKFQELYEKYKHMLYDASEFEQTQRDPDDVFSEACVIYQIVYEKARWSNDASRCGFAWKVAGRALCHFYALKNEGDTALCSLPLLRKIIKKDHRR >ONIVA01G07280.2 pep chromosome:AWHD00000000:1:5382941:5420282:-1 gene:ONIVA01G07280 transcript:ONIVA01G07280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHGGGNQMREPALPAAVGAELERLEARLGQLACAEARRQLAELGEPAAARVLRAIGEARQVRTLSGFIRHMANQERMKRNARGIPTAHSAACISGPCREEESISTPLYYNEVQMDAQTPNDMVEVGSPNQQMPLRLHDNGGSVGHIARVVPDLANPAVGSPYGRISSVLLQNQNCVEGYTPSRGMVSPASNQVAVQINFPTQPMAHALPNDVMMQVGSPGHRMPSGLQRHMEIDSPIQPIVSTPERVSTPSPVRDLSRCVENMAGPSGSPPCPIWVMPQIPPAICPDTTNVLREVVSPQMLALGELEFRKIFMIFAYLSWNKKGVKPPLSTPKSSKIEDVLSVDSIRSLKSMSMAQFESRIWSTFGHDNISSSDRAKNLDSGPGMSKVYHCNVEIRGGTVVKIFKGPYIENRRTHLQKVLGDDNVLVVKFMEISSDTETDLSTYLEHYHKVAEEVYKDGGKENKMKEENREEENKKCTSSVRCYFVRTESGWNMDEPYILSGRTIGQARDLFMHIRTVLTLAKYMLRFALIVSKTITLDVDLSEVLVKLIDDEPCLDEHGKEVFRDGERLIHTDGTGLISEDLAQKCTYTFAFSFRVGSNDIVDCAASKLMGSNNTTEYPLLIQLRLFYNGSAVKGTVLVDKRLPPRTIHIRPSMLKIKTYPELSGVQSVNSLDIVSARNAKKSLSGVQSVNSFEIVSTSNRSGRTFTSNNLIALLHYGGVPEEFFMELLQTAIEEADNARFDYAGALNIAFNYADMEDSMPARMILSGIPLEESYLQSRLDFLSLLERKGIKNGKIPIDDCYYLMGTADPTGKLGPNEVCVILDYGQVSGDVLVYKYPGLHPGDIHVLKATYSSDIEKVVGNSKHAILFPTTGQRSLADEMANSDFDGDIYWVSLNPKLLEHFKPSKPWVPAITPNGTKQKGPEDFNESELERVLFHEFLKTRFAPSYARATAATNWLVYMDRLLTVSLDESEKKLIEKKMLKLVDLYYLALDAPKMGNKVNIPRDLMVKQYPHFMDRSPSYHSSSILGKIYDKAGDPKPLRSDNVQPTSISSLPCFAERDVPPAIKQLWQHRYNEYLADSSLLYAEEADEEEKKIKFQELYEKYKHLLYGASEFEETPRDLDDVFSEACAIYQIAYEKARSANNVARCGFAWKVAGRALCHFYTVKNEGNAVVCSLQLLRNFRFTKKYRNEKIENFLSVDYIRSLKFLSMVQFESQIWRTFGHKYIAASDRAKNLDSDPGMTKVYHCNVAIRGDTVVKIFKGPYIENTRTHLQKVVGDDNVLVVKFMGKLSDTKTDFSTYCEHYHKVAEDGIVLGLRRYRFFVYKDGGKEEKLKQEKIEDKNKCTSPVRCYFVRTESGWNMDEPYILSGRTVGQARELFMHISSAPTLAKYMARFALILSKTITLDADLSAVYVRRIKDEPCMDRHGNVVHKDQELLIHTDGTGLVSVDLALNCPTSIFKGKFLKPQGIATCDESETVMRPNSLKRHRSLTAEHPLLMQFRLFYNGSAVKGTVLVDRRLPPATILIRPSMVKIETHPELSGVRSVNSSEIVSARNAKKSLSGVQSVNSFEIVSTSNRPRRTLTSRFLITLLCYGGVPEEYFLELLQSAIEGAENACYDYEDALRSKFKIRLLHSVQFTCCHKISILFMFFSHQFMFDLDYYSHVVLTVAFSYADMEDSMSARMILSGIPLEESYLQHRLDFMVQQERKGIKQGKIPIDECYYLMDTTDPTGTLRPNEVCVILENGQFSGDVLVYKHPGLHFGDIHVLKATYIRDLEKEYVGYAKYAILFPISGPRSLADEMANSDFDGDIYWVSKNPKLLEHFKPSEPWVQAIKPKKTKQKKPQDCNESKLERLLFHEFLKTRFTPSFALGTAADSWLAYMDRLLTDSLDEIEKKLIEEKMLKLVDLYYLALDAPKTGNKVNIPSDLMVKQYPHFMGRSFSYHSSSILGQIYDKAEDVESLRSCNVQPIGVSLLPCFMEREAPPAARHLWQHRYEEYLTDSTMLYRAMVDKEERNMKFQELYEKYKHMLYDASEFEQTQRDPDDVFSEACVIYQIVYEKARWSNDASRCGFAWKVAGRALCHFYALKNEGDTALCSLPLLRKIIKKDHRRNQ >ONIVA01G07280.3 pep chromosome:AWHD00000000:1:5383339:5420282:-1 gene:ONIVA01G07280 transcript:ONIVA01G07280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHGGGNQMREPALPAAVGAELERLEARLGQLACAEARRQLAELGEPAAARVLRAIGEARQVRTLSGFIRHMANQERMKRNARGIPTAHSAACISGPCREEESISTPLYYNEVQMDAQTPNDMVEVGSPNQQMPLRLHDNGGSVGHIARVVPDLANPAVGSPYGRISSVLLQNQNCVEGYTPSRGMVSPASNQVAVQINFPTQPMAHALPNDVMMQVGSPGHRMPSGLQRHMEIDSPIQPIVSTPERVSTPSPVRDLSRCVENMAGPSGSPPCPIWVMPQIPPAICPDTTNVLREVVSPQMLALGELEFRKIFMIFAYLSWNKKGVKPPLSTPKSSKIEDVLSVDSIRSLKSMSMAQFESRIWSTFGHDNISSSDRAKNLDSGPGMSKVYHCNVEIRGGTVVKIFKGPYIENRRTHLQKVLGDDNVLVVKFMEISSDTETDLSTYLEHYHKVAEEVYKDGGKENKMKEENREEENKKCTSSVRCYFVRTESGWNMDEPYILSGRTIGQARDLFMHIRTVLTLAKYMLRFALIVSKTITLDVDLSEVLVKLIDDEPCLDEHGKEVFRDGERLIHTDGTGLISEDLAQKCTYTFAFSFRVGSNDIVDCAASKLMGSNNTTEYPLLIQLRLFYNGSAVKGTVLVDKRLPPRTIHIRPSMLKIKTYPELSGVQSVNSLDIVSARNAKKSLSGVQSVNSFEIVSTSNRSGRTFTSNNLIALLHYGGVPEEFFMELLQTAIEEADNARFDYAGALNIAFNYADMEDSMPARMILSGIPLEESYLQSRLDFLSLLERKGIKNGKIPIDDCYYLMGTADPTGKLGPNEVCVILDYGQVSGDVLVYKYPGLHPGDIHVLKATYSSDIEKVVGNSKHAILFPTTGQRSLADEMANSDFDGDIYWVSLNPKLLEHFKPSKPWVPAITPNGTKQKGPEDFNESELERVLFHEFLKTRFAPSYARATAATNWLVYMDRLLTVSLDESEKKLIEKKMLKLVDLYYLALDAPKMGNKVNIPRDLMVKQYPHFMDRSPSYHSSSILGKIYDKAGDPKPLRSDNVQPTSISSLPCFAERDVPPAIKQLWQHRYNEYLADSSLLYAEEADEEEKKIKFQELYEKYKHLLYGASEFEETPRDLDDVFSEACAIYQIAYEKARSANNVARCGFAWKVAGRALCHFYTVKNEGNAVVCSLQLLRNFRFTKKYRNEKIENFLSVDYIRSLKFLSMVQFESQIWRTFGHKYIAASDRAKNLDSDPGMTKVYHCNVAIRGDTVVKIFKGPYIENTRTHLQKVVGDDNVLVVKFMGKLSDTKTDFSTYCEHYHKVAEDGIVLGLRRYRFFVYKDGGKEEKLKQEKIEDKNKCTSPVRCYFVRTESGWNMDEPYILSGRTVGQARELFMHISSAPTLAKYMARFALILSKTITLDADLSAVYVRRIKDEPCMDRHGNVVHKDQELLIHTDGTGLVSVDLALNCPTSIFKGKFLKPQGIATCDESETVMRPNSLKRHRSLTAEHPLLMQFRLFYNGSAVKGTVLVDRRLPPATILIRPSMVKIETHPELSGVRSVNSSEIVSARNAKKSLSGVQSVNSFEIVSTSNRPRRTLTSRFLITLLCYGGVPEEYFLELLQSAIEGAENACYDYEDALRIAFSYADMEDSMSARMILSGIPLEESYLQHRLDFMVQQERKGIKQGKIPIDECYYLMDTTDPTGTLRPNEVCVILENGQFSGDVLVYKHPGLHFGDIHVLKATYIRDLEKEYVGYAKYAILFPISGPRSLADEMANSDFDGDIYWVSKNPKLLEHFKPSEPWVQAIKPKKTKQKKPQDCNESKLERLLFHEFLKTRFTPSFALGTAADSWLAYMDRLLTDSLDEIEKKLIEEKMLKLVDLYYLALDAPKTGNKVNIPSDLMVKQYPHFMGRSFSYHSSSILGQIYDKAEDVESLRSCNVQPIGVSLLPCFMEREAPPAARHLWQHRYEEYLTDSTMLYRAMVDKEERNMKFQELYEKYKHMLYDASEFEQTQRDPDDVFSEACVIYQIVYEKARWSNDASRCGFAWKVAGRALCHFYALKNEGDTALCSLPLLRKIIKKDHRR >ONIVA01G07280.4 pep chromosome:AWHD00000000:1:5383339:5420282:-1 gene:ONIVA01G07280 transcript:ONIVA01G07280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHGGGNQMREPALPAAVGAELERLEARLGQLACAEARRQLAELGEPAAARVLRAIGEARQVRTLSGFIRHMANQERMKRNARGIPTAHSAACISGPCREEESISTPLYYNEVQMDAQTPNDMVEVGSPNQQMPLRLHDNGGSVGHIARVVPDLANPAVGSPYGRISSVLLQNQNCVEGYTPSRGMVSPASNQVAVQINFPTQPMAHALPNDVMMQVGSPGHRMPSGLQRHMEIDSPIQPIVSTPERVSTPSPVRDLSRCVENMAGPSGSPPCPIWVMPQIPPAICPDTTNVLREVVSPQMLALGELEFRKIFMIFAYLSWNKKGVKPPLSTPKSSKIEDVLSVDSIRSLKSMSMAQFESRIWSTFGHDNISSSDRAKNLDSGPGMSKVYHCNVEIRGGTVVKIFKGPYIENRRTHLQKVLGDDNVLVVKFMEISSDTETDLSTYLEHYHKVAEEVYKDGGKENKMKEENREEENKKCTSSVRCYFVRTESGWNMDEPYILSGRTIGQARDLFMHIRTVLTLAKYMLRFALIVSKTITLDVDLSEVLVKLIDDEPCLDIVDCAASKLMGSNNTTEYPLLIQLRLFYNGSAVKGTVLVDKRLPPRTIHIRPSMLKIKTYPELSGVQSVNSLDIVSARNAKKSLSGVQSVNSFEIVSTSNRSGRTFTSNNLIALLHYGGVPEEFFMELLQTAIEEADNARFDYAGALNIAFNYADMEDSMPARMILSGIPLEESYLQSRLDFLSLLERKGIKNGKIPIDDCYYLMGTADPTGKLGPNEVCVILDYGQVSGDVLVYKYPGLHPGDIHVLKATYSSDIEKVVGNSKHAILFPTTGQRSLADEMANSDFDGDIYWVSLNPKLLEHFKPSKPWVPAITPNGTKQKGPEDFNESELERVLFHEFLKTRFAPSYARATAATNWLVYMDRLLTVSLDESEKKLIEKKMLKLVDLYYLALDAPKMGNKVNIPRDLMVKQYPHFMDRSPSYHSSSILGKIYDKAGDPKPLRSDNVQPTSISSLPCFAERDVPPAIKQLWQHRYNEYLADSSLLYAEEADEEEKKIKFQELYEKYKHLLYGASEFEETPRDLDDVFSEACAIYQIAYEKARSANNVARCGFAWKVAGRALCHFYTVKNEGNAVVCSLQLLRNFRFTKKYRNEKIENFLSVDYIRSLKFLSMVQFESQIWRTFGHKYIAASDRAKNLDSDPGMTKVYHCNVAIRGDTVVKIFKGPYIENTRTHLQKVVGDDNVLVVKFMGKLSDTKTDFSTYCEHYHKVAEDGIVLGLRRYRFFVYKDGGKEEKLKQEKIEDKNKCTSPVRCYFVRTESGWNMDEPYILSGRTVGQARELFMHISSAPTLAKYMARFALILSKTITLDADLSAVYVRRIKDEPCMDRHGNVVHKDQELLIHTDGTGLVSVDLALNCPTSIFKGKFLKPQGIATCDESETVMRPNSLKRHRSLTAEHPLLMQFRLFYNGSAVKGTVLVDRRLPPATILIRPSMVKIETHPELSGVRSVNSSEIVSARNAKKSLSGVQSVNSFEIVSTSNRPRRTLTSRFLITLLCYGGVPEEYFLELLQSAIEGAENACYDYEDALRIAFSYADMEDSMSARMILSGIPLEESYLQHRLDFMVQQERKGIKQGKIPIDECYYLMDTTDPTGTLRPNEVCVILENGQFSGDVLVYKHPGLHFGDIHVLKATYIRDLEKEYVGYAKYAILFPISGPRSLADEMANSDFDGDIYWVSKNPKLLEHFKPSEPWVQAIKPKKTKQKKPQDCNESKLERLLFHEFLKTRFTPSFALGTAADSWLAYMDRLLTDSLDEIEKKLIEEKMLKLVDLYYLALDAPKTGNKVNIPSDLMVKQYPHFMGRSFSYHSSSILGQIYDKAEDVESLRSCNVQPIGVSLLPCFMEREAPPAARHLWQHRYEEYLTDSTMLYRAMVDKEERNMKFQELYEKYKHMLYDASEFEQTQRDPDDVFSEACVIYQIVYEKARWSNDASRCGFAWKVAGRALCHFYALKNEGDTALCSLPLLRKIIKKDHRR >ONIVA01G07270.1 pep chromosome:AWHD00000000:1:5368783:5373218:-1 gene:ONIVA01G07270 transcript:ONIVA01G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEQVRMAVLLMLNCFVKATAPPPWPPSASSASFLDDLGDLGIAPLIRADEAATARASADFGNLSVAGVGAPRLAAAAAAAVLYPSRPADIAALLRASCARPAPFAVSARGCGHSVRGQASAPDGVVVDMASLGRLQGGGARRLAVSVEGRYVDAGGEQLWVDVLRASMAHGLTPVSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVLELDVITGVGEMVTCSKEKAPDLFDAVLGGLGQFGVITRARIPLAPAPARARWVRFVYTTAAAMTADQERLIAVDRAGGAGAVGGLMDYVEGSVHLNQGLVETWRTQPQPPSPSSSSSSSFFSDADEARVAALAKEAGGVLYFLEGAIYFGGAAGPSAADVDKRMDVLRRELRHERGFVFAQDVAYAGFLDRVHDGELKLRAAGLWDVPHPWLNLFLPRSGVLAFADGVFHGILSRTPAMGPVLIYPMNRNKWDSNMSAVITDDDGDEVFYTVGILRSAAAAGDVGRLEEQNDEILGFCEVAGIAYKQYLPYYGSQAEWQKRHFGAKLWPRFVQRKSKYDPKAILSRGQGIFTSPLA >ONIVA01G07260.1 pep chromosome:AWHD00000000:1:5361930:5364183:-1 gene:ONIVA01G07260 transcript:ONIVA01G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phytoceramidase (aPHC) [Source:Projected from Arabidopsis thaliana (AT5G11870) TAIR;Acc:AT5G11870] MHLAAADHPHRGGGGRAALGLGLGHLAAAALRREHRRRALAGGAVLASALLLVATPRLRHSPALHLFADMRNLLGVPNTLNVLTAYPLLLAGVPGLVLCLCGSGCFGISLRWEALGWFLFYAGNVAAAFGSAYYHLKPDDDRLIWDRLPMMISASSMLSILVIERVDERAGLSCLLSLLSLILVSSACERVLDDMRLWVVLNSAPCIAIPAMLFLFPPKYTHSRFWFLATGFYLLARFEGLADRKVYSVNRYFISGHSLEHLCFAMVTLILTVMLSFRNVKIVRSSNSRT >ONIVA01G07250.1 pep chromosome:AWHD00000000:1:5359274:5360983:-1 gene:ONIVA01G07250 transcript:ONIVA01G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSPALPNSYTLPLALRAAASPRVASAVHAHALHLGLHAQHDVAGQILAAYSRLGRAADARRVFDAMPPGRTTFHWNALISAYSSGCDPDAARDAFARMAAAGARPDAVTWTALLSAHARSGKHADVLQLFGEMQRSGCEGNAESMAVALSACPYAGYLALAKGKAIHGCGVVKGLMHGYLFVTNSLICMYGKLGEMDDAKKAFRDATAKNTVTWNTLITSYAAAGLCDEALDVLAQMEQIGGTVAPNVVSWSAVIGGFASSGDTDRALELFRRMQQQWLSPNVVTMATVLSACVDLLALRLGRELHGHAMKAELDRHSLVENGLINMYAKCGKVSGARKVFDGMKTRDLISWNSMLAGYGMHGLCDEALALFTDMAGATVEPDGVTFVAVLSACGHAGRVTEGRRLFDRMVRAHKISPSMEHYTCMVYLLGRAGLLRDASELVETMPVRPDLCVWGALLNSCRIHGDAAMAEATIANVLQSEDQSTGNHMLITNLYAMCGMWDESKKVRVMTKEAGLRKNPGQSWIEVDNKVVAFAAGSAPPNLTGAEDVFGMLDDLYAEMEDEQR >ONIVA01G07240.1 pep chromosome:AWHD00000000:1:5354106:5359805:1 gene:ONIVA01G07240 transcript:ONIVA01G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPHQLALIVLLVVALAALFLALLRGRCRCREGEAHQLPEQAEAGAGVAEGEEGGRERRKRRKARRRQRKGAGDDDAAGGEGDEALQLQLLRRRPRFPLASVAGALQRRITARYDDLARASQAHSLTIHQTFKIESDLGFDIMDLMMLVGTFSLVRQHSSPPESSQCSTPLGSESGGKRRRGRRGKQKGLGEVVSVHEKKEAKTSPFPLLLLCRATRRRITEVYDEMYQIVRAKRNDTGKVHEFINCLVDARNELLHKSEMVQRSCRIKKALLSNPCSRRANSYDRLCEQVHKLEAEHKRLKKDADIYNYIQEQLQMSESYKLLIELSALVEKAEREDALATEAAEMTFEELLAQEKSDAAFWQRHRKLTSILPK >ONIVA01G07230.1 pep chromosome:AWHD00000000:1:5349373:5350608:1 gene:ONIVA01G07230 transcript:ONIVA01G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSYHLSYLLPPLSLKHKARTAVGVRAPVACVRRAVGMRAVVECGEDAAAAGGVEEGVGGRGLQDSDVTVMATDVDDIYGRQCIMHLLTGDDVDVPFFTLLP >ONIVA01G07220.1 pep chromosome:AWHD00000000:1:5343399:5348476:-1 gene:ONIVA01G07220 transcript:ONIVA01G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G55150) TAIR;Acc:AT1G55150] MSRFDGRAADPGSYRDRRSEGAFGGGTRAFAPTSKADSAAAAAAADLDGLPRFEKNFYVESPSVAGMTEEEVEAYRRRREITVEGRDVPKPVREFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMIESHHTNLRRITYLVLDEADRMLDMGFEPQIKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVVIIGSEELKANHAISQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLINILEEAGQKVTVIDIEGMEVAGPS >ONIVA01G07220.2 pep chromosome:AWHD00000000:1:5343399:5348476:-1 gene:ONIVA01G07220 transcript:ONIVA01G07220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G55150) TAIR;Acc:AT1G55150] MSRFDGRAADPGSYRDRRSEGAFGGGTRAFAPTSKADSAAAAAAADLDGLPRFEKNFYVESPSVAGMTEEEVEAYRRRREITVEGRDVPKPVREFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGVEIVIATPGRLIDMIESHHTNLRRITYLVLDEADRMLDMGFEPQIKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVVIIGSEELKANHAISQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLINILEEAGQKVTVIDIEGMEVAGPS >ONIVA01G07210.1 pep chromosome:AWHD00000000:1:5334095:5339565:1 gene:ONIVA01G07210 transcript:ONIVA01G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAGWAAPAFAVAAVVIWVVLCGELLRRRRRGAGSGKGDAAAAARLPPGSFGWPVVGETLEFVSCAYSPRPEAFVDKRRKLHGSAVFRSHLFGSATVVTADAEVSRFVLQSDARAFVPWYPRSLTELMGKSSILLINGALQRRVHGLVGAFFKSSHLKSQLTADMRRRLSPALSSFPDSSLLHVQHLAKSVVFEILVRGLIGLEAGEEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKKMARLIQRIIREKRARRAAASLPRDAIDMLIGDGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKFLSECPLALHQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRLGNIIGGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDTLYDEPYKFNPWRWKEKDMSNGSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFSYEEDK >ONIVA01G07210.2 pep chromosome:AWHD00000000:1:5334095:5341719:1 gene:ONIVA01G07210 transcript:ONIVA01G07210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAGWAAPAFAVAAVVIWVVLCGELLRRRRRGAGSGKGDAAAAARLPPGSFGWPVVGETLEFVSCAYSPRPEAFVDKRRKLHGSAVFRSHLFGSATVVTADAEVSRFVLQSDARAFVPWYPRSLTELMGKSSILLINGALQRRVHGLVGAFFKSSHLKSQLTADMRRRLSPALSSFPDSSLLHVQHLAKSVVFEILVRGLIGLEAGEEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKKMARLIQRIIREKRARRAAASLPRDAIDMLIGDGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKFLSECPLALHQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRLGNIIGGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDTLYDEPYKFNPWRWKEKDMSNGSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKRGMPIRVTAKEDDD >ONIVA01G07210.3 pep chromosome:AWHD00000000:1:5317660:5341719:1 gene:ONIVA01G07210 transcript:ONIVA01G07210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKKMARLIQRIIREKRARRAAASLPRDAIDMLIGDGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKFLSECPLALHQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRLGNIIGGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDTLYDEPYKFNPWRWKEKDMSNGSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKRGMPIRVTAKEDDD >ONIVA01G07210.4 pep chromosome:AWHD00000000:1:5339295:5341719:1 gene:ONIVA01G07210 transcript:ONIVA01G07210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTTLYACVATAPAATATAPARVSYHATPCMPTAAAPPLETWSLGGGGSHMWVAEEDHIVNFPTVRLKRGMPIRVTAKEDDD >ONIVA01G07200.1 pep chromosome:AWHD00000000:1:5312343:5313782:1 gene:ONIVA01G07200 transcript:ONIVA01G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT4G29680) TAIR;Acc:AT4G29680] MAAAAAAAPPFAAGDSPPPTALLLPRTTTTTAGAAPAPRRSSASSRLHLLLTAALAVATSYLLLILPRTPLSAAPAPAAAARAQVKLEKPVVILISSDGFRFGYQHKAATPHIHRLIGNGTSAATGLVPIFPTLTFPNHYSIATGLYPSSHGIINNYFPDPISGDYFTMSSHEPKWWLGEPLWVTAADQGIQAATYFWPGSEVKKGSWDCPDKYCRHYNGSVPFEERVDAILGYFDLPSDEMPQFLTLYFEDPDHQGHQVGPDDPAITEAVVRIDEMIGRLIAGLEERGVFEDVNVILVGDHGMVGTCDKKLVFLDELAPWIKLEEDWVLSMTPLLAIRPPDDMSLPDVVAKMNEGLGSGKVENGEYLRMYLKEDLPSRLHYADSYRIPPIIGLPEEGYKVEMKRSDKNECGGAHGYDNAFFSMRTIFIAHGPRFEGGRVVPSFENVEIYNVIASILNLEPAPNNGSSSFPDTILLPSE >ONIVA01G07190.1 pep chromosome:AWHD00000000:1:5295584:5297965:1 gene:ONIVA01G07190 transcript:ONIVA01G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSSWIHGYANANATAGNNGFMCGYAASCSPVEFQQQQQLVGSQIEHHLNQASLICNLFDQETMHKIKEILGFNLVGVAFYYGMQISMQMGMDDESAVYDGASMVDDLLMASSSPHHHAGAGSFQYSSPTSSSASFRSASVSCSPESSAAATTHFLGPPAPSAAAAGFHYPEVSSQAPLPLPLPPYEPQHGQYTTVLSPPPPAPELPATTTPATGGAFRRYARHLRPRRLPKPGGCGQRMFKTAMSVLTKMHVAATYNRQYYYQQAAAAAASASAAEAPPSGNQLQHMISERKRREKLNDSFLALKAVLPPGSKKDKTSILIRAREYVKSLESKLSELEEKNRELEARLASRPAAAAAKNDKGETAAAPAPEAGDETKRKDLVEIEVTTSGGGAGAADAAAAAGGDQETCTLNVDLRGGGGGGGMSTTDVVLRTLQCLREQIGDGASLVAMSTSAGSGGRPPRANLTLQLKV >ONIVA01G07180.1 pep chromosome:AWHD00000000:1:5295017:5310334:-1 gene:ONIVA01G07180 transcript:ONIVA01G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSSSSSSGAAPPPLPLGGGGGASPLDFFSRAKARGATALATRRPWRELADPHALGLPPSLADAYLRVRANLAHYAMNYAIVVLAVVFLSLLWHPASLIVFLVCMVAWLVLYFLRDEPIVLFGRVVGDGAVLAALAAVTLVLLLLTGATANIVSSLLIGVLLVVLHAALHKAEENVDDEVGRWYTPVPPQPAHYSCMKTEILPILNFYVEVMYYDLGARRSSGPRGVAFCRWMNGLLHPRFVFPEAAAMAGSRAGSAQVHDEEESLVNLVHPFSCVFSTDEKGISHSSRAKNPTSSRQFSFCFPAKIHASRQFLMA >ONIVA01G07180.2 pep chromosome:AWHD00000000:1:5297865:5310334:-1 gene:ONIVA01G07180 transcript:ONIVA01G07180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSSSSSSGAAPPPLPLGGGGGASPLDFFSRAKARGATALATRRPWRELADPHALGLPPSLADAYLRVRANLAHYAMNYAIVVLAVVFLSLLWHPASLIVFLVCMVAWLVLYFLRDEPIVLFGRVVGDGAVLAALAAVTLVLLLLTGATANIVSSLLIGVLLVVLHAALHKAEENVDDEVGRWYTPVPPQPAHYSCMKTEILPILNFYVEVMYYDLGARRSSGPRGVAFCRWMNGLLHPRFVFPEAAAMAGSRAGSAQVHDEEESLVNLVHPFSCVFSTDEKGISHSSRAKNPTSSRQFSFCFPAKIHASRQFLKCHVY >ONIVA01G07180.3 pep chromosome:AWHD00000000:1:5297232:5310334:-1 gene:ONIVA01G07180 transcript:ONIVA01G07180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSSSSSSGAAPPPLPLGGGGGASPLDFFSRAKARGATALATRRPWRELADPHALGLPPSLADAYLRVRANLAHYAMNYAIVVLAVVFLSLLWHPASLIVFLVCMVAWLVLYFLRDEPIVLFGRVVGDGAVLAALAAVTLVLLLLTGATANIVSSLLIGVLLVVLHAALHKAEENVDDEVGRWYTPVPPQPAHYSCMKTEILPILNFYVEVMYYDLGARRSSGPRGVAFCRWMNGLLHPRFVFPEAAAMAGSRAGSAQVHDEEESLVNLVHPFSCVFSTDEKGISHSSRAKNPTSSRQFSFCFPAKIHASRQFLVCTRRAAAGAGAGAHRHEAGAVADLLSQALQRPQHHVRRAHASAASAAAQVYVERAGLLISSRRRRRIRRSGAAAARRHLDLY >ONIVA01G07180.4 pep chromosome:AWHD00000000:1:5295017:5310334:-1 gene:ONIVA01G07180 transcript:ONIVA01G07180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYGTIPTSSSSSSSGAAPPPLPLGGGGGASPLDFFSRAKARGATALATRRPWRELADPHALGLPPSLADAYLRVRANLAHYAMNYAIVVLAVVFLSLLWHPASLIVFLVCMVAWLVLYFLRDEPIVLFGRVVGDGAVLAALAAVTLVLLLLTGATANIVSSLLIGVLLVVLHAALHKAEENVDDEVGRWYTPVPPQPAHYRARRSSGPRGVAFCRWMNGLLHPRFVFPEAAAMAGSRAGSAQVHDEEESLVNLVHPFSCVFSTDEKGISHSSRAKNPTSSRQFSFCFPAKIHASRQFLMA >ONIVA01G07170.1 pep chromosome:AWHD00000000:1:5234599:5237063:1 gene:ONIVA01G07170 transcript:ONIVA01G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMAMGESFAYYWETQRYLESEELDSMYLPTQDDSNYESSSPDGSHSSSAPAPAAVGGDAAAAVAGSGGGTMTMMMGGGGGGGDDAGGANKNILMERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIEYIQRLQAEEQQMLREVAALESAAAASASPAAANPFAGLGADEEHEYGHHHPSSSSERTKKVKRALSVSSISDALLAAAAPAPPVEIQELRVSEVGDRVLVVSVTCSKRRDAMARVCRALEELRLRVITANITSVAGCLMHTLFVEVDHMDSVQMKQMVEAALSQLVATGSPLSY >ONIVA01G07160.1 pep chromosome:AWHD00000000:1:5224840:5226074:1 gene:ONIVA01G07160 transcript:ONIVA01G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVFGTREFIGLLRAEGAGRAYDESIFYRNVGQELLLILQPKQEVFIKKGLEKAALHGYSEKRRNPRITPYIFTAKGKHKRKASQGKNILMIPSQKELLSSVSCNKGSAKKNRANSTLLFAAAILKKRKLSTLQTYLGGIKYIHSNYDTTNGDPDPAAILIPANDNDKV >ONIVA01G07150.1 pep chromosome:AWHD00000000:1:5203705:5205127:1 gene:ONIVA01G07150 transcript:ONIVA01G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADMGVLLDLYWHTPHIFDLAVADSLLMDGFGSGMYGPAAAPATPAMVEKEYDESLSELYAYTSQSRYADSSSPDVVNLCSTAVASAAASSKNIAMERDRRKRLNEKLFALRAVVPKITKMDKASIVRDAIAHIEKLHEEERQLLDEISVLQSAAAVAATVVEDVDDSGVTMPSMKKLRSTPPLDGGGGALRVASSPPLQILEVETKETEDFYGPS >ONIVA01G07140.1 pep chromosome:AWHD00000000:1:5193630:5194500:-1 gene:ONIVA01G07140 transcript:ONIVA01G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLGDLGGPAQDGTARGHHSEGGGKGGMVWSTRTKSFRHVRWRCGMVRVSAALDWQGGFNSDNILKIEEVTI >ONIVA01G07130.1 pep chromosome:AWHD00000000:1:5173347:5179991:-1 gene:ONIVA01G07130 transcript:ONIVA01G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKEILEKIIYKMYSNPLQESIRKKKMKHVERTILPVVAFVGYILAHEETRTRGRHEGEHGFLAHPAARLPGPA >ONIVA01G07120.1 pep chromosome:AWHD00000000:1:5167761:5170231:-1 gene:ONIVA01G07120 transcript:ONIVA01G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMQMEMEAQAQAQAHVLLFPWPQQGHINPMLHLASALLDAGLHVTFLHTDHNLRHRFARPHHPTRLRLLSIPDGLPDDHPRAVGGLIELLDSMRTASSAAYRALLLTESSRSRPDSLDDAPPPVTCVVVDGVMPFAITVAEEIGVPALAFRTESAFAFLAYLSVPRLLELGETPVPSDEQVRGVPGMEGLLRRRDLPRVVPTKQDDVGAEEADPVPVLLTIADTAAHCRNSRALILNTAASMEGPAIARIAPHMRDVFAVGPLHARVATNTIALEKHEDDDDYGCKAWLDGQDDRSVVYVNLGSLTVLSSEQLAEFLHGLVAAGYAFLFVLQPDMVASSSAVLQEAVEAAGERALVVEWVPRDVHYVLRHGAVGCFLMHGGWNSMLEAAVEGVPVVCWPFFADQPVVSRFVAAVWKTGLDMKDVCDRAVVERMVREAMESPEIRASAQAMARQLRLDVAAGGSSSSELQRLVGFINELSAVHIC >ONIVA01G07110.1 pep chromosome:AWHD00000000:1:5152848:5153804:1 gene:ONIVA01G07110 transcript:ONIVA01G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRTSCIGGSIAKVQRRCMAGGVEQGMEREIGDGGWPGSSHRLAESASACKAIIRSPTELVRMDTAIRQLALEVPEPTPKRENGVPLKRTTHPPTTTDVMTRLAM >ONIVA01G07100.1 pep chromosome:AWHD00000000:1:5137386:5137973:1 gene:ONIVA01G07100 transcript:ONIVA01G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKRREKLNGSFVALQSRPYVLPPGSKLERQDVVDTDQSKGVREVSRVKAVGAGGEEPGAGGAAS >ONIVA01G07090.1 pep chromosome:AWHD00000000:1:5130679:5135812:1 gene:ONIVA01G07090 transcript:ONIVA01G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSWIHGYTNANATGANSGFMCGYAASPVEFPQQQQLISMQMGMDDESAVYDGASMVGDLLMASSSAHHAGAGSFQYSSSTTSSSASFRSASVSCIPESSAAAAPELPAATGGAFSRYARHLRPRRPPKPGACGQRMFKTAMSVLANMHVAATYRRQYYYQQAAAAEAAAAPPSDNQLQHTMSERKRREKLNDSFVALKAVLPTGSKKDKASILIRAREHIKSLESKLSELEEKNRELEARLASRPAAKNDKGETAAAEAGDETKREDLVEIEVTTTSGGSGVADAAATGGDQETCCTLNVDLRGGGGGGMSTTDVVLRTLQCLREQIGDGASLVAMSTSAGSGGRPPSANLTLQLKV >ONIVA01G07080.1 pep chromosome:AWHD00000000:1:5111537:5113348:1 gene:ONIVA01G07080 transcript:ONIVA01G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGWIVHGYTNGATATGAGNHGFTCGYAASSCGSWEFEQREQQFISSQIQHRVGLINYDVQISMHLSMDDDDDQSAVYGAAMVDDLLTPSPSTHHAVAAAAAGSFPSSSSSSASFRSASVSYSPDTSSSAAAAAATGFYPELSSQVAPLLPPPPLVRNEPQPGRYTAGLPPPPPPPVTGGAFRRYARHLGPRRAPKPGACGQRMFKTAMSALAKMHMETTYRRRQYYYQQAAAAAEAAPPPPSGNNQLQHTMSERKRREKLNDSFVALKAVLPPGSKKDKTSILIRAREYVKSLESKLSELEEKNRELKARLSTRPDDTKNDEEEVAAPPEAGGEVKREDPMTYK >ONIVA01G07070.1 pep chromosome:AWHD00000000:1:5105615:5121822:-1 gene:ONIVA01G07070 transcript:ONIVA01G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAECMLRRWRQKRRREYILTNAAHGPPSIVYRHTTRRPLPHFAQHAGTSQDGDAAPTPRRCRAGRTYADGDATTTTTAYGVDRWPARGMYRSAFQGSKGQHSLLAKCRERGSLQGEWEARLHYKMRKLRRAQLRMPQAAGLGRGCQEGGGVDVPSTPPPNGRKVPVKINTPLAYVNAPPVAVADMPALHAQHIDSNSTYPNYPGAF >ONIVA01G07060.1 pep chromosome:AWHD00000000:1:5103215:5105154:-1 gene:ONIVA01G07060 transcript:ONIVA01G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 family protein [Source:Projected from Arabidopsis thaliana (AT5G11900) TAIR;Acc:AT5G11900] MAADKPAPVRVLYCGVCGLPAEYCEFGPDFERCKPWLRANAPGVYPDELLASSSSAAADVDKVGERLQGVGISAADGSTSAGDASASKQEEVKRLPGGKVKKKDKQEVVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPESAIFFIEDGRKVPAA >ONIVA01G07050.1 pep chromosome:AWHD00000000:1:5096299:5097165:-1 gene:ONIVA01G07050 transcript:ONIVA01G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKPNPPPAAAAAAAAGNGAGGPPKMYQRPIYRPQAPAAKRRRGGRSSCRFSCCCCFFYAVLVVLLLAFVAAVAGGAFYLLYRPHRPAFTLSVARVDKLSLSSSATAPALTDSIDVTLTAKNPNKKLVYLYDDFAVTAATAANAVPLGEGSVPGFVHDAGNITVIKATVSASALGVDPTTAATDIKKSGEFTITLDLETKAGVKVGGLKTKKIGVLVHCEGIKVAAPAPPPPPAKKKKGGVKLSVADAPSPAASVDDTTPSPPPATTVARVCQVRIRVKIWKWTF >ONIVA01G07040.1 pep chromosome:AWHD00000000:1:5092212:5092670:-1 gene:ONIVA01G07040 transcript:ONIVA01G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEAAGGGERKRKRDGDAVRDDEEEEEEGVYEGIAEESVAELMRWLEMEISDAAPETETKTETESGDDPAGAAAPGFVTINGNEESCGPSFSAAASTVMASVDTRAGAPPAPPVPWPLPPAADVVPAEVVVDGVGEEWLVELLTNGPAVA >ONIVA01G07030.1 pep chromosome:AWHD00000000:1:5067218:5079335:-1 gene:ONIVA01G07030 transcript:ONIVA01G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLACCAVLLLASVLGSTGTDLGPSPVVANSPDAQDQTSSPPEPTIALGPVTLPTGCSEFLMWCGIMALKIITSIDNCPYNCFVSAPSAPSASPPVAKGAVSPAVPTRPQNAPTPVTPPKEYNAPPPVELTPPAPTHVAPVAPPQAAVENPAPVLPGTPALLPSVQAPAPSVARNPNLPIVQPPSVNNPPSGPIGSGNGVPPYPPPQRSLPAIPPSTSGVPRESVKPPVAPPIIAQAPRQQALAPSSDHSNGNSVPPANTSPPHKNSHIPRALPPKESSSQTGTAHKPPIRGSAPAETPLPQNTNMPAVPKNGSSVSHDRPPSTVAAPKPATSSRYHGRGGAPKKGEHLPFAPSYPPSHAQGPENSRAPRQSGAKRQKHHAPPPMFRGPHISPTMPPIPPQPGPKAPSAHPIWALPPPPPNLDCNSLACPEPLTDPPAGAPCVCVLPIKVGVRLSVDLYSFFPLVSDFAEEVSSGVNMAQRQVRVMGANVAGDQPDKTVVLVDLVPMQVKFDNATAFLTFENLWSKKISLKPSVFGDYEILYVVYPGLPPSPPSAPESVGDGAFGNNRNARAMKPLGVDVGRPKKRVNGSLIAIAVLSTVIALIICTLAAWLLIIRFRGSDGLAQRFPHSALPKFSRSSGTGQTLLAGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIEKATNSFDDSTVLGEGGFGCVYQGTLEDGTRVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLVYELIPNGSVESHLHGVDLETAPLDWNARMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPGGQENLVSWARPLLTNVVSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSDGDEGLGSGSFSQELAAQAAAIYDVTGMEAERVLLSEMFGSTPVFTPAADSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGASPDFETRSQCSATGVATESGGGKVTTVARLSAVPSPAARRRDGRSPGACQIDVTPPSAGGERSGRGRGLALRSPLPEFFRPAQAQQQKQQQ >ONIVA01G07030.2 pep chromosome:AWHD00000000:1:5072092:5079335:-1 gene:ONIVA01G07030 transcript:ONIVA01G07030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLACCAVLLLASVLGSTGTDLGPSPVVANSPDAQDQTSSPPEPTIALGPVTLPTAPSAPSASPPVAKGAVSPAVPTRPQNAPTPVTPPKEYNAPPPVELTPPAPTHVAPVAPPQAAVENPAPVLPGTPALLPSVQAPAPSVARNPNLPIVQPPSVNNPPSGPIGSGNGVPPYPPPQRSLPAIPPSTSGVPRESVKPPVAPPIIAQAPRQQALAPSSDHSNGNSVPPANTSPPHKNSHIPRALPPKESSSQTGTAHKPPIRGSAPAETPLPQNTNMPAVPKNGSSVSHDRPPSTVAAPKPATSSRYHGRGGAPKKGEHLPFAPSYPPSHAQGPENSRAPRQSGAKRQKHHAPPPMFRGPHISPTMPPIPPQPGPKAPSAHPIWALPPPPPNLDCNSLACPEPLTDPPAGAPCVCVLPIKVGVRLSVDLYSFFPLVSDFAEEVSSGVNMAQRQVRVMGANVAGDQPDKTVVLVDLVPMQVKFDNATAFLTFENLWSKKISLKPSVFGDYEILYVVYPGLPPSPPSAPESVGDGAFGNNRNARAMKPLGVDVGRPKKRVNGSLIAIAVLSTVIALIICTLAAWLLIIRFRGSDGLAQRFPHSALPKFSRSSGTGQTLLAGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIEKATNSFDDSTVLGEGGFGCVYQGTLEDGTRVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLVYELIPNGSVESHLHGVDLETAPLDWNARMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPGGQENLVSWARPLLTNVVSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSDGDEGLGSGSFSQELAAQAAAIYDVTGMEAERVLLSEMFGSTPVFTPAADSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGASPDFETRSQCSATGVATESGGGKVTTVARLSAVPSPAARRRSAAPPG >ONIVA01G07030.3 pep chromosome:AWHD00000000:1:5072092:5079335:-1 gene:ONIVA01G07030 transcript:ONIVA01G07030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLACCAVLLLASVLGSTGTDLGPSPVVANSPDAQDQTSSPPEPTIALGPVTLPTGCSEFLMWCGIMALKIITSIDNCPYNCFVSAPSAPSASPPVAKGAVSPAVPTRPQNAPTPVTPPKEYNAPPPVELTPPAPTHVAPVAPPQAAVENPAPVLPGTPALLPSVQAPAPSVARNPNLPIVQPPSVNNPPSGPIGSGNGVPPYPPPQRSLPAIPPSTSGVPRESVKPPVAPPIIAQAPRQQALAPSSDHSNGNSVPPANTSPPHKNSHIPRALPPKESSSQTGTAHKPPIRGSAPAETPLPQNTNMPAVPKNGSSVSHDRPPSTVAAPKPATSSRYHGRGGAPKKGEHLPFAPSYPPSHAQGPENSRAPRQSGAKRQKHHAPPPMFRGPHISPTMPPIPPQPGPKAPSAHPIWALPPPPPNLDCNSLACPEPLTDPPAGAPCVCVLPIKVGVRLSVDLYSFFPLVSDFAEEVSSGVNMAQRQVRVMGANVAGDQPDKTVVLVDLVPMQVKFDNATAFLTFENLWSKKISLKPSVFGDYEILYVVYPGLPPSPPSAPESVGDGAFGNNRNARAMKPLGVDVGRPKKRVNGSLIAIAVLSTVIALIICTLAAWLLIIRFRGSDGLAQRFPHSALPKFSRSSGTGQTLLAGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIEKATNSFDDSTVLGEGGFGCVYQGTLEDGTRVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLVYELIPNGSVESHLHGVDLETAPLDWNARMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPGGQENLVSWARPLLTNVVSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSDGDEGLGSGSFSQELAAQAAAIYDVTGMEAERVLLSEMFGSTPVFTPAADSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGASPDFETRSQCSATGVATESGGGKVTTVARLSAVPSPAARRRSAAPPG >ONIVA01G07030.4 pep chromosome:AWHD00000000:1:5067218:5071290:-1 gene:ONIVA01G07030 transcript:ONIVA01G07030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRINRRDPREKVTTRTPSSHLSLYLVVSICYSACMSQSIYSYAMTMIKQSNAQAQQQKQQQ >ONIVA01G07020.1 pep chromosome:AWHD00000000:1:5062382:5065682:1 gene:ONIVA01G07020 transcript:ONIVA01G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDLAEIQQQQLLAAAEENMSNLTSASGDQASVSSHPAPPPAKKKRSLPGNPDPEAEVIALSPRTLMATNRYVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEVVRKKVYVCPEAGCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDKCSKRYAVHSDWKAHSKHFCRKHGEKKWKCDRCGKRYAVHSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVTAAAAVAGQQQHGGGMLFSQVADVLDHQAAMAMGGHGLMQELCLKREQQQQQQQFAPSWLTAQQQQQQLEAMAGAGNPAAMYGSARLDQEFIGSSTPESGGAQQAGLSFGFSSTSSAPPHPAASSAHMSATALLQKAAQMGATLSRPSSHAHMAPVAAASTHNSSSSAATTNAPPPPPTSNVSSTCVGAGGYGLAFEASHFIAADESSRGARSDRDTGNGVAGAGNDGLTRDFLGLRAFSHGNIMSMAGFDPCMSTTSASSAAPYDHHHHSNKPWHS >ONIVA01G07020.2 pep chromosome:AWHD00000000:1:5062618:5065682:1 gene:ONIVA01G07020 transcript:ONIVA01G07020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVTLQAAGRIQEKFVQMMLKDLAEIQQQQLLAAAEENMSNLTSASGDQASVSSHPAPPPAKKKRSLPGNPDPEAEVIALSPRTLMATNRYVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEVVRKKVYVCPEAGCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDKCSKRYAVHSDWKAHSKHFCRKHGEKKWKCDRCGKRYAVHSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVTAAAAVAGQQQHGGGMLFSQVADVLDHQAAMAMGGHGLMQELCLKREQQQQQQQFAPSWLTAQQQQQQLEAMAGAGNPAAMYGSARLDQEFIGSSTPESGGAQQAGLSFGFSSTSSAPPHPAASSAHMSATALLQKAAQMGATLSRPSSHAHMAPVAAASTHNSSSSAATTNAPPPPPTSNVSSTCVGAGGYGLAFEASHFIAADESSRGARSDRDTGNGVAGAGNDGLTRDFLGLRAFSHGNIMSMAGFDPCMSTTSASSAAPYDHHHHSNKPWHS >ONIVA01G07020.3 pep chromosome:AWHD00000000:1:5062339:5065682:1 gene:ONIVA01G07020 transcript:ONIVA01G07020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDLAEIQQQQLLAAAEENMSNLTSASGDQASVSSHPAPPPAKKKRSLPGNPDPEAEVIALSPRTLMATNRYVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEVVRKKVYVCPEAGCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDKCSKRYAVHSDWKAHSKHFCRKHGEKKWKCDRCGKRYAVHSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVTAAAAVAGQQQHGGGMLFSQVADVLDHQAAMAMGGHGLMQELCLKREQQQQQQQFAPSWLTAQQQQQQLEAMAGAGNPAAMYGSARLDQEFIGSSTPESGGAQQAGLSFGFSSTSSAPPHPAASSAHMSATALLQKAAQMGATLSRPSSHAHMAPVAAASTHNSSSSAATTNAPPPPPTSNVSSTCVGAGGYGLAFEASHFIAADESSRGARSDRDTGNGVAGAGNDGLTRDFLGLRAFSHGNIMSMAGFDPCMSTTSASSAAPYDHHHHSNKPWHS >ONIVA01G07010.1 pep chromosome:AWHD00000000:1:5047873:5062155:-1 gene:ONIVA01G07010 transcript:ONIVA01G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWTQEIPCCAQVASNHSISPQVDWGLHKPQYWALQPASTSNDCSPFPTYTINTFDTIALFIWSGQQAIVGTQMKKNLSTGGERSEFDLKRQAAEADFVRSAAGGGGGPHPGGGGGLRPGGRRRRRALLSRWVAETDLVQVAGSGPRPSWLKSILSLSWSKKMRSPGRPRAHDELHGDGGVPVLSSPLRSSLSSPLLSALSPPRAPRSRRGPFYDSRNHLPTVGSRGGEPMHEWSVRRQSCEQDRVGASSASAQGRSYMQLWGFLGIRISEMAEITQLMYMEGMELSHGREDRTEEDDDGTRGGPAG >ONIVA01G07000.1 pep chromosome:AWHD00000000:1:5047499:5047876:1 gene:ONIVA01G07000 transcript:ONIVA01G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAAAAGMMRRGSLTIDPAGEEEAPAERVGRLVRESPVVVFARRGCYMAHVMRRLLAAVGAHATVIELEGGAAEEEEAALGGGAALPALFVGGDPVGGLEGLMGLHLSGRLVPRLREVGALCT >ONIVA01G06990.1 pep chromosome:AWHD00000000:1:5032197:5033706:-1 gene:ONIVA01G06990 transcript:ONIVA01G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTIDQAEIVKLLIEAIDMFLICTALLTFGMGMCIMFYGSRSIQKPGMQVDNLHLGSFNLKKLKEGARIQSITQAKTRIGHAILLPRRRRLLLSRLATSPRQPPPQPPAGLPREENRSKETERRKGEEGAAKNVPSCLVMPLTIVTRPRAINRASF >ONIVA01G06980.1 pep chromosome:AWHD00000000:1:5019691:5023902:-1 gene:ONIVA01G06980 transcript:ONIVA01G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTSHVTNAFSDSDSASVEEGDADADADVEALRRLSDNLAAAFRSPEDFAFLADARIAVPGGGGGGGDLRVHRCVLSARSPFLRGVFARRAAAAAGGGGEDGSERLELRELLGGGGEEVEVGYEALRLVLDYLYSGRVGDLPKAACLCVDEDCAHVGCHPAVAFMAQVLFAASTFQVAELTNLFQRRLLDVLDKVEVDNLLLILSVANLCNKSCMKLLERCLDMVVRSNLDMITLEKSLPPDVIKQIIDARLSLGLISPENKGFPNKHVRRIHRALDSDDVELVRMLLTEGQTNLDDAFALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAARRREPKIIVSLLTKGARPADVTFDGRKAVQISKRLTKQGDYFGVTEEGKPSPKDRLCIEILEQAERRDPQLGEASVSLAMAGESLRGRLLYLENRVALARIMFPMEARVAMDIAQVDGTLEFNLGSGANPPPERQRTTVDLNESPFIMKEEHLARMTALSKTVELGKRFFPRCSNVLDKIMDDETDPVSLGRDTSAEKRKRFHDLQDVLQKAFHEDKEENDRSGLSSSSSSTSIGAIRPRR >ONIVA01G06970.1 pep chromosome:AWHD00000000:1:5012381:5014700:-1 gene:ONIVA01G06970 transcript:ONIVA01G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 6 [Source:Projected from Arabidopsis thaliana (AT2G26180) TAIR;Acc:AT2G26180] MGGSGKWVKSLIGLKKPDREDCKEKLQVPSVNGGGGGKGRKWKLWRSSSGDHGSLWRGSRGAGGGGGHHRSASSDASDDASSAAADPFTAAVATVARAPAKDFMAVRQEWAAIRVQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLLEARRTKLDILREAEEGWCDSQGTLEDVRVKLQKRQEGAIKRERAIAYAYSQQIEGATKCNFWTECVIFLVFAQQQPKPTSYCRLNQSGMLLKHQHFDKSNGNWSWLERWMAARPWENRLMEEHNQTNSSSPDLLSSKNCEDSFGILGDFSEPNSVKVRKNNVSKRVCAKPPVVSHHQRIKAQSISSLSTELHNDESSASSSSCFASTPISFSTFVTTEKTEDSIRARPNYMNMTESIKAKRKACNAQRTTAGKLMEDRKASGVELKVAQV >ONIVA01G06960.1 pep chromosome:AWHD00000000:1:5000448:5000795:1 gene:ONIVA01G06960 transcript:ONIVA01G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGMIKTSPSPACDLGDTATYTVYLLRLILLKSSPSVGDLVVWHFGHRIHSIPPIQRSTGGHGVNGVFGVREL >ONIVA01G06950.1 pep chromosome:AWHD00000000:1:4996777:4999970:-1 gene:ONIVA01G06950 transcript:ONIVA01G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRASPAAAAAGGRRRCGVRVGPTRLEGMPAAWPAVAAVKAKWPVSGGALSQMILGRCWGRGVTAVEPVGGDGVVRWDGAGDANRFRFELDQPSAAAARAARPERGVSFSILYCGVEEKEKGFQEQGRGKDVVKLEEIGTAMISLEECCWEMQLQQQQKRGATQQQLVVVPIRVRKDGWASDAMLYVNVELVDANTPSENIGRTVSFRESRARTTTPAPTMRDNRKSLEAGTYHEVLDLKQLLDLADREHKVAVYSRSKRNSDSSSVSSMSSVSSSSTISISSASSSGGASPEPGLSSKRRLLPWRRKSRDFDKRSSESLSQELPMKYMDDDLAGSWETREFTSRDSETKLRTPVFFASIDQRDDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSSEWRRLCDDEAHMAAFPNRHFDLETVLAARARPIAVQHDRAFVGFFQPESFASLSGAMSFDDIWREIAASAAAAAGEPGRADVYIVSWNDHFFVLKVEGDCYHVVDTLGERLFEGCDKAYMLRFDATSEMRSVSPPPSSPSPEEVIVTGKECCREFIKRFLAAIPLREELEMERKGCADAPHRRLQIEFHFTVLKEEEQDQARR >ONIVA01G06940.1 pep chromosome:AWHD00000000:1:4987495:4997673:1 gene:ONIVA01G06940 transcript:ONIVA01G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGYDDRQHDEDPNSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKNWKKVSASVGGKSADTVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSDESKSHKGSDQTVRASGKVRKREATGQKEKEAPHAHRSYHERRTSGLSSFKKRYYGELVKNITRHPSGKRTPRVPVIFPADMNVAHAGIQETENAINCTKKASGATNNELECSSHGSPGISESAKFVQGQTFLEAKGTGSLKKRRIEQSMEQGQTIKDEHETAMVAKEGNDLTKYQRLTNLFSPDEMLVLDVLESLVTVPSKISEPKINIPSGTLGRDDSALSHRREEGPSVKRSKQGKQVGECSASKTRNKRRKKLIAEEVPTEGVTSNHLDLPEERQVDATECALNSDLERGTVDLPESTANISTKIPDLPSQLKPEINMSRRSKRKSKSPCGSKYVVCNGADNLQARRLQHCLSSESLRRWCTYEWFYSAVDYPWFMDNEFVNYLNFANLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLAAEKDKLENYRKKVRQYYALLSEDSWDSLPPDLARPFSIGQQDRYNVQFDRPDLGVDEVKDTDCMPVNWLDNLPDDLKKRSFLSNNSHNRVEVEQIPKLTSKENWDHISGEAEPSKTMHITSDEQVEVLLSKSIFKKHYDHSLSIHGQVEIAVDIERLSNKSTSGNCGPLQPLQSVDDNVRSRGLSEHRNGHNDELDSYITSFVQMSLAQAKQMVDEAMKEISENGKSSLEETGISNEATDCKGPEPESAANSELPRNLIFNCIATLLAIKHFSEGRHPPPNIAGVLERACLMLRPSCAENLPIYNEIENFIAVIKNQILALVPSASSNARLPMYM >ONIVA01G06940.2 pep chromosome:AWHD00000000:1:4987495:4999606:1 gene:ONIVA01G06940 transcript:ONIVA01G06940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGYDDRQHDEDPNSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKNWKKVSASVGGKSADTVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSDESKSHKGSDQTVRASGKVRKREATGQKEKEAPHAHRSYHERRTSGLSSFKKRYYGELVKNITRHPSGKRTPRVPVIFPADMNVAHAGIQETENAINCTKKASGATNNELECSSHGSPGISESAKFVQGQTFLEAKGTGSLKKRRIEQSMEQGQTIKDEHETAMVAKEGNDLTKYQRLTNLFSPDEMLVLDVLESLVTVPSKISEPKINIPSGTLGRDDSALSHRREEGPSVKRSKQGKQVGECSASKTRNKRRKKLIAEEVPTEGVTSNHLDLPEERQVDATECALNSDLERGTVDLPESTANISTKIPDLPSQLKPEINMSRRSKRKSKSPCGSKYVVCNGADNLQARRLQHCLSSESLRRWCTYEWFYSAVDYPWFMDNEFVNYLNFANLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLAAEKDKLENYRKKVRQYYALLSEDSWDSLPPDLARPFSIGQQDRYNVQFDRPDLGVDEVKDTDCMPVNWLDNLPDDLKKRSFLSNNSHNRVEVEQIPKLTSKENWDHISGEAEPSKTMHITSDEQVEVLLSKSIFKKHYDHSLSIHGQVEIAVDIERLSNKSTSGNCGPLQPLQSVDDNVRSRGLSEHRNGHNDELDSYITSFVQMSLAQAKQMVDEAMKEISENGKSSLEETGISNEATDCKGPEPESAANSELPRNLIFNCIATLLAIKHFSEGRHPPPNIAGVLERACLMLRPSCAENLPIYNEIENFIAVIKNQILALVPSASSNARLPMYM >ONIVA01G06940.3 pep chromosome:AWHD00000000:1:4989154:4999606:1 gene:ONIVA01G06940 transcript:ONIVA01G06940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGYDDRQHDEDPNSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKNWKKVSASVGGKSADTVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSDESKSHKGSDQTVRASGKVRKREATGQKEKEAPHAHRSYHERRTSGLSSFKKRYYGELVKNITRHPSGKRTPRVPVIFPADMNVAHAGIQETENAINCTKKASGATNNELECSSHGSPGISESAKFVQGQTFLEAKGTGSLKKRRIEQSMEQGQTIKDEHETAMVAKEGNDLTKYQRLTNLFSPDEMLVLDVLESLVTVPSKISEPKINIPSGTLGRDDSALSHRREEGPSVKRSKQGKQVGECSASKTRNKRRKKLIAEEVPTEGVTSNHLDLPEERQVDATECALNSDLERGTVDLPESTANISTKIPDLPSQLKPEINMSRRSKRKSKSPCGSKYVVCNGADNLQARRLQHCLSSESLRRWCTYEWFYSAVDYPWFMDNEFVNYLNFANLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLAAEKDKLENYRKKVRQYYALLSEDSWDSLPPDLARPFSIGQQDRYNVQFDRPDLGVDEVKDTDCMPVNWLDNLPDDLKKRSFLSNNSHNRVEVEQIPKLTSKENWDHISGEAEPSKTMHITSDEQVEVLLSKSIFKKHYDHSLSIHGQVEIAVDIERLSNKSTSGNCGPLQPLQSVDDNVRSRGLSEHRNGHNDELDSYITSFVQMSLAQAKQMVDEAMKEISENGKSSLEETGISNEATDCKGPEPESAANSELPRNLIFNCIATLLAIKHFSEGRHPPPNIAGVLERACLMLRPSCAENLPIYNEIENFIAVIKNQILALVPSASSNARLPMYM >ONIVA01G06930.1 pep chromosome:AWHD00000000:1:4981272:4984783:-1 gene:ONIVA01G06930 transcript:ONIVA01G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G21300) TAIR;Acc:AT3G21300] MATAAAAAAAAFSPLRRRLPLHHRGRRLLAVAAALSPEPPAPTPTTSPPPPPRKGYFPKRNEVLELTCEGLAFKGKGVCRVDGSTFVLLCDGALPGERLLARVRRIRRGAFAEAAKLRTLEPHRDAVDAPCPLAADCGGCKAQSLAYAAQIRHKHLQVRELLVNFGKFDPRKMESSEPDAILKPIVPCDEIFRYRNKMEFSFGTKRWMQREWKEEKDVEVVKEEKVEGDGYSLGLHAPGFFDKVLHVEKCLLQSEPADKVLAIVQETWLDPALGLTPYDVHKHVGFLKHLMIRTGRNITTGAPEVMVNFVTSCYKPELLEPLVNNITKIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKPTITEMLRGLTFQISANSFFQTNTKQADVLYKLIGESAGLKGDGSEIILDLFCGTGTIGLTLARRAKHVYGYEVVPEAIADAKKNAKLNGISNATFVQGDLNKINETFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPATCARDLDYLCHGVEEKDSKGCYELKTVIPVDMFPHTPHIECICVLELC >ONIVA01G06920.1 pep chromosome:AWHD00000000:1:4977333:4979199:-1 gene:ONIVA01G06920 transcript:ONIVA01G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADGGDPFDSLPAAIAADVLGRVADGADIAACRLASRAFLAASYACSRVRLRAAALARRRSVALAGGGGGGPPGGSAIRAAAGNAASLLGPHLRSLELDASEGWGHPDDATWVEEGEFDEGGDLHLTAREAVVAWADTAAGNALREVDVADYWPQSCWRKAEALPVISHFCNSLLSLHFFTACFMFLVVMISLLCLFENLVFLIFQQSIWSTLVWSQIETSAIHAGHSILKLRLKNAWLSVDGLKIMPNLTHLTLEFIRLDDEDLNKLNECFPCLQILNLIGVGGLKDPKIHLHQLKTCHWEVSNVPRSLTIRAPNLVRLELKCVRPDMLILDTPSMFTLKLTVDKLGPNVQADGLVSLKNLRIESLDLKSLLQVFAENHDITTLELELPTSTNKYELFEAVKPEYLLQLFAGISEVKLAPRFSCEMTHCLMLCTSNQFRSCLRRLLFHLPPLKDVPHLAPLFNNCAPSCEVTILFHADSSDDIRQATTSVWTLRYPGIRWQWGTWN >ONIVA01G06910.1 pep chromosome:AWHD00000000:1:4975427:4977766:1 gene:ONIVA01G06910 transcript:ONIVA01G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPGSPETAELPAPMVLEPPPPPSDEAAAEEEEAGVKPDRQSSSSSSSSSEEEEKEVSKDVAVETSVVVPSVVAASPDDEAAAVASGDGGDVIKHDDEAVVRPDDWASWPQQPAQTVDDVAVAATTPPQAAPEIQTMSQQPAAVAGFDPERIPKSIFQAKPPGSSSQAEWSVASNESLFSIHHGARPSGDLCGFYAGESRSHFDYFYDEAMAGAAGDHTSDWKLATVAEGSPGGSARSDATDGGGGAAKQKAAIDFRRHESGSAGSSSNFSFAFPILAETTTSPRKRDYGGMYHPLKKEAEQQQAASPALAFEEMTTEEERRRRRSWWCCCCGECCGCCCCRWRWD >ONIVA01G06910.2 pep chromosome:AWHD00000000:1:4975435:4979262:1 gene:ONIVA01G06910 transcript:ONIVA01G06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPGSPETAELPAPMVLEPPPPPSDEAAAEEEEAGVKPDRQSSSSSSSSSEEEEKEVSKDVAVETSVVVPSVVAASPDDEAAAVASGDGGDVIKHDDEAVVRPDDWASWPQQPAQTVDDVAVAATTPPQAAPEIQTMSQQPAAVAGFDPERIPKSIFQAKPPGSSSQAEWSVASNESLFSIHHGARPSGDLCGFYAGESRSHFDYFYDEAMAGAAGDHTSDWKLATVAEGSPGGSARSDATDGGGGAAKQKAAIDFRRHESGSAGSSSNFSFAFPILAETTTSPRKRDYGGMYHPLKKEAEQQQAASPALAFEEMTTEEERRRRRSWWCCCCGECCGCCCCRWRW >ONIVA01G06900.1 pep chromosome:AWHD00000000:1:4973757:4974404:1 gene:ONIVA01G06900 transcript:ONIVA01G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEGRTVAAAAAGGGGLGGGARTEAEGLACPRCESTNTKFCYYNNYNLAQPRHFCKACRRYWTRGGALRNVPVGGGTRNKVAPAPCTGRRKRAAHAAHAAAPPTTTTASSAPLPLMPPAVAYELPFLPPPPPLPLAAVDPDRRLLDLGGSFTSLLAPAQLHNGHFTTGFLLGTMSSAPPPPPPAATSTPSPAPAAHPPVSDSIWAMGWPHLSI >ONIVA01G06890.1 pep chromosome:AWHD00000000:1:4969209:4971535:1 gene:ONIVA01G06890 transcript:ONIVA01G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFNLTLSRDTARPQTDRIGGILGGKFALCLANLDGLLHRCAIGAAHNSLCRVLLLHEAWEWEGEAAAAAAAAEAEAEDRALRGIWAVGLLCRWQPQPQECSRKLTISCTPLH >ONIVA01G06880.1 pep chromosome:AWHD00000000:1:4961597:4963280:-1 gene:ONIVA01G06880 transcript:ONIVA01G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLLPAAAFAGSAPPLSQVATSAAHGEDSPYFAGWKAYDEDPYHAVDNPDGVIQMGLAENQVSFDLLEAYLRDHPEAAGWSTGGAGAGSFRDNALFQDYHGLKSFRKAMASFMGKIRGGKARFDPDHIVLTAGATAANELLTFILANPGDALLIPTPYYPGFDRDLRWRTGVNIVPVRCDSANGFQVTVAALQAAYDEAAAAGMRARAVLITNPSNPLGTTVRRKVLDDILDFVCIAGRVHVVYSLSKDLGLPGFRVGVVYSYNDAVVTAARRMSSFTLVSSQTQKTLAAMLSDEAFAGEYIRTNRRRLRERHEHVTQKTLAAMLSDEAFAGEYIRTNRRRLRERHEHVVAGLARAGVPCLRGNAGLFVWMDMRRLLLGGGGVGSELRLWEKLLREAKLNISPGSSCHCSEAGWFRVCFANMSLDTLDLALHRISRFMDTWNGTKQQASCQQQEQQ >ONIVA01G06870.1 pep chromosome:AWHD00000000:1:4950317:4952687:1 gene:ONIVA01G06870 transcript:ONIVA01G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNDGDDVQSLYRPVHACESLKAKEPIEQTIFFVGEKIRSSQDWLLISKGRTKRKGICGPQRAGTLNSGPKIIGPKGPWASLRQKPSPIFLAGNEPKLEQKPTECHSKLPRIQEMKSPKAGFIIVSSDETDITA >ONIVA01G06860.1 pep chromosome:AWHD00000000:1:4942355:4944880:-1 gene:ONIVA01G06860 transcript:ONIVA01G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSIDVERISFGGKEHRVRTRYGSVSVSVFGDEDKPALITYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHITPQGHELGAAPISSDVPVPSVDELVDQVADVLDFFGLGSVMCLGVTAGAYILTLFATKYRDRVIGLMLVSPLCKAPSWSEWLYNKVLLNLLYYYGSRGLVKECLLQRYFSTEVRGNGQDPESEIVQACRSLLHERQGSNVWRFLQAINERHDLTEALKKLQCRTLIFVGENSQFHDDAVHMTTKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMGYGLYRPSQLDSSPRSTLNPFCISPELLSPESMGVKLKPIKTRISLKV >ONIVA01G06850.1 pep chromosome:AWHD00000000:1:4938976:4939906:-1 gene:ONIVA01G06850 transcript:ONIVA01G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWWGASRHQGRKMEGRMASSSSPIPIPSLSPPSSHQRSPPLTPRLLAHACGRKVMKAISLKGVLRTEINPSLDKVTVVGDVDSRVLVQKLSKVGKIAEVIAPPPPSPAAPSEEGKKSNSNGGEKPTSPADEKSARKDEGKDGKGNKSPATAVACKQECSKCTAGKEAATRADEAGRAGGKTASSKDATTKSSGDGDKSEPAAVAVEYQYHHHYNWAEPAMVVPVHLPYFAANATPYYAGGYYPMPPPMSVLRHPS >ONIVA01G06840.1 pep chromosome:AWHD00000000:1:4931663:4933199:-1 gene:ONIVA01G06840 transcript:ONIVA01G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCTGQRRLQESGGGGAGGVRLFGVQLHVGGAPLKKCFSMECLSSPSPSPSPAYYAAVAAAASNSSPTVSSSSSLVSVEEAGEKMANGYLSDGLMARAQERKKGVPWTEEEHRKFLVGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQSSLTQKKRRSSLFDVIEDAEKAPSVNERLKLRHETTSVPAEMGFPALSLGISSMAQPEAMLLPPPSLTLTPSCSSPAVSSSSSEQPRTIHPSLMVAKPQVQLQLQPPDLELKISTVRQNDQPSSSPRTPFLGTIRVT >ONIVA01G06830.1 pep chromosome:AWHD00000000:1:4918974:4923516:-1 gene:ONIVA01G06830 transcript:ONIVA01G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKPLGCEESDTTKPRSSITAQKRRIRANHVAKPRTFAPKPNVFAQSNGLRARRGQLDNEGPPCGPRRCPGRFNPHEGQXATSKEKD >ONIVA01G06820.1 pep chromosome:AWHD00000000:1:4916408:4917421:-1 gene:ONIVA01G06820 transcript:ONIVA01G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEVAGSTQTLQWKCVESRVDSKRLYYGRFILSPLRKGQADTVGIALRRALLGETEGTCITHAKFGSVPHEYSTIAGIEESVQEILLNLKEIVLRSNLYGVRTASICVKGPRYITAQDIILPPSVEIVDTAQPIANLTEPTDFRIELRIKRDRGYHTEVRKNTQDGSYPIDAVSMPVRNVNYSIFACGNGNAKYEILFLEIWTNGSLTPKEALYEASRNLIDLFLPFLHTEEEGTRFQENKNRTYNCLKRANIHTLLDLLSKTEEDLMRIDSFRMQDGKQIWDTLEKHLPMDLPKNKF >ONIVA01G06810.1 pep chromosome:AWHD00000000:1:4906648:4907292:-1 gene:ONIVA01G06810 transcript:ONIVA01G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHH7] MAPTVMASSATSVAPFQGLKSTAGLPVSRRSNSAGLGSVSNGGRISCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >ONIVA01G06800.1 pep chromosome:AWHD00000000:1:4856431:4856741:1 gene:ONIVA01G06800 transcript:ONIVA01G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKLSKEACIHAAQNDRLLLRVVLKVLFFEQLRAAAGASPAVVSGGIARRLVEEEDEDNDDRWGLEQVPPTVDANLLSPRALAVAVELAGRKKREMV >ONIVA01G06790.1 pep chromosome:AWHD00000000:1:4838849:4845709:1 gene:ONIVA01G06790 transcript:ONIVA01G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHH4] MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYRGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGRELFTFRFDAPARSVEFAIGDGLAVITTDNFMENVPTAQCVVVDAESEESLLVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGQCLKESDKEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKI >ONIVA01G06790.2 pep chromosome:AWHD00000000:1:4838849:4845709:1 gene:ONIVA01G06790 transcript:ONIVA01G06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHH4] MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYRGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGRELFTFRFDAPARSVEFAIGDGLAVITTDNFMENVPTAQSEESLLVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGQCLKESDKEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKI >ONIVA01G06780.1 pep chromosome:AWHD00000000:1:4837274:4838455:-1 gene:ONIVA01G06780 transcript:ONIVA01G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMRTVLIEHALANSEAERNVNTSVFAKVAMFEEELRAALPREVEATRAAVENGTAAKANRITECRSYSLYRFVCKELRTEYLTGKKIDAVARRGREQGKMRTVLIEHALANSEAERNVNISVFAKVAMRSSARRCPGKWRLPRAAVENGTATKANRITECRSYSLYRFVRKELGIEYLTGEKIDAVAWRGCEQGVRRHEPGQAH >ONIVA01G06770.1 pep chromosome:AWHD00000000:1:4820831:4821313:-1 gene:ONIVA01G06770 transcript:ONIVA01G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRTDGTSSPADGGEENQGSSVVGCDEENLGSELGDWRWERVGGGSRCTRLREHMGDGGSVGDGSAVGGGGRHTLWQERVGSGGRCTRWLSTAVVACGRLEHVHLRCPHQPHRAPPALLQALRAATQGCLCHHCSCWPYATTAWPASSLPRLSSPQP >ONIVA01G06760.1 pep chromosome:AWHD00000000:1:4813470:4814650:-1 gene:ONIVA01G06760 transcript:ONIVA01G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGVSSVPPWSHLPVSGVDVLGGGGGGGGGGDEMTPYLIAALRDYLPANDVGVGADDEEEAAAMAAAVDAYACDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDACEYAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPAQQSSPRSVASSPLAESYDGSPLRRQAFESYLTKTIMSSSPTSTLMSPPKSPPSESPPLSPDGAAAIRRGSWPGVGSPVNDVLASFRQLRLNKVKSSPSGGWSYPSSSAVYGSPKAATGLYSLPTTPLASTATVTTASSFMPNLEPLDLGLIGDEEPVQRVESGRALREKVFERLSRDGAISGDATAFAAAATAGVGLDVDWVSDLIN >ONIVA01G06740.1 pep chromosome:AWHD00000000:1:4785250:4788567:-1 gene:ONIVA01G06740 transcript:ONIVA01G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATESRCEEEKASPHSQEVKRWVLSDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYALKGELYKELQRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLIGSQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGVPPFEAKEHSETYRRIVKVDLKFPLKPFVSPAAKDLISQMLVKNSAHRLPLHKLLEHPWIVQNADPSGVYRG >ONIVA01G06730.1 pep chromosome:AWHD00000000:1:4780253:4784775:1 gene:ONIVA01G06730 transcript:ONIVA01G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHG9] MEAVGVAPAPAGVPEKKLLEVKESRKAAPAAPSTSMAAKWAMKKKLVGGDAGYVLEDVPHLTDYLPELPTYPNPLQDNPAYSVVKQYFVNTDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVIRELVCGLYDMYGVTSVVGIEGGYKGFYSRNTVALTPKSVNDIHKRGGTVLGTSRGGHDTGKIVDSIKDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIEKRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKRNFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVEHLKHDDDEHHLHNTQLLEGESSPVKDSSKCNGTAGPV >ONIVA01G06720.1 pep chromosome:AWHD00000000:1:4779485:4779787:1 gene:ONIVA01G06720 transcript:ONIVA01G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTALRRRGRPTLDGVALELASPRCAALAHRPCRSGVRRGVVAPGRAEAGAPLVLALGLSVTVVPAAACCFCASGMDVDSPRRPTTGMAINLLLETSRAL >ONIVA01G06710.1 pep chromosome:AWHD00000000:1:4766433:4773151:1 gene:ONIVA01G06710 transcript:ONIVA01G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSASNFFPSHLSDGPSPSLRFLPISASASASAAGDDSDERPLRGHRVAMYRAASGLGAIKRHGLDGQMLNVAIRCASTSVAQRSSGGFWTWLTGARSNALPPPDFTLPGVTIPPPLPDLVEPGKTKITTLANGVKIASETTPGPSCSVGVYVNCGSVHEAPETLGATQLLKKMAFTTTTNRSHLRVVREIEAVGGNVKASANREMMNYSYAALKTYMPEMVEVLIDCVRNPAFLDWEVKEQIMKLKAELAEASSNPETFLLEALHSTGYSGALATPLIASESSENYTAPRIVLAATGVDHDELVSIAEPLLSDMPGVTGPAKPKSTYVGGEYRRTADSSNTDVALAFEVPGGWLKEKEFVTVSVLQTLLGGGGTYSWGRHGKGLHSSLNHLANEFDQIRSIAAFKDVHSNTGIFGIHTSTDAAFVPKAIDLATRELTSLATPGKVDQTQLDRAKATAKSAILMNLESKASATEDMGRQILAFGERKPVEHLLKAVDGVTLKDITALAEKIISSPLTMASHGNVLNVPTYDSVSGKFRSK >ONIVA01G06700.1 pep chromosome:AWHD00000000:1:4761505:4765190:-1 gene:ONIVA01G06700 transcript:ONIVA01G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEQENSLALSVCYASQLGCSLHPENRPPYTVLLSVYIQLDVEAGFNPFSKNTVPFGGPSVGQNHDSKCTEGDRARRDTEGEEEDDAVPVQQQQQRGDLISLPARWGPEHQLRCSGHAFHGRKECDTHGGAGENGSWAPAASCARLDEVHGNATFDESIY >ONIVA01G06700.2 pep chromosome:AWHD00000000:1:4761505:4765190:-1 gene:ONIVA01G06700 transcript:ONIVA01G06700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEQENSLALSVCYASQLGCSLHPENRPPYTVLLSVYIQLDVEAGFNPFSKNTVPFGGPSVGQNHDSKCTEGDRARRDTEGEEEDDAVPVQLDEVHGNATFDESIY >ONIVA01G06690.1 pep chromosome:AWHD00000000:1:4755254:4761001:-1 gene:ONIVA01G06690 transcript:ONIVA01G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSPTLLALSFALLLLILLLYYSSSLLYSQLATRRRLTHACARSLPDAYESGMNRGHISSSELIDAKLEERRISTAKHCPSCGNKLDCKPDWVGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMVSGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGGGAAATASAVTTAAVQQDQQRRRDSGSGSCSSTRDHEVSATSYSTTGYAVAAAVEMQHLKHAADHFSFAPFRKSFEEVGISGDQVHSNQLGRSEQQHAGQEQQPHRPLLATTTAVPATAFLISRPTNPVSNIVPPAMQHASVVLDHDQFHVPAILLHHDKFQQQQQQKLDRRSAGLEELIMGCTSSSTKGEASIPHSQETEWPYQPYWTPDNQDHHG >ONIVA01G06690.2 pep chromosome:AWHD00000000:1:4756912:4761001:-1 gene:ONIVA01G06690 transcript:ONIVA01G06690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSPTLLALSFALLLLILLLYYSSSLLYSQLATRRRLTHACARSLPDAYESGMNRGHISSSELIDAKLEERRISTAKHCPSCGNKLDCKPDWVGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMVSGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGGGAAATASAVTTAAVQQDQQRRRDSGSGSCSSTRDHEVSATSYSTTGYAVAAAVEMQHLKHAADHFSFAPFRKSFEEVGISGDQVHSNQLGRSEQQHAGQEQQPHRPLLATTTAVPATAFLISRPTNPVSNIVPPAMQHASVVLDHDQFHVPAILLHHDKFQLLFYPNIINNEHATQQQQQQKLDRRSAGLEELIMGCTSSSTKGHGSKPIIYQSEALRMQ >ONIVA01G06690.3 pep chromosome:AWHD00000000:1:4756912:4761001:-1 gene:ONIVA01G06690 transcript:ONIVA01G06690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSPTLLALSFALLLLILLLYYSSSLLYSQLATRRRLTHACARSLPDAYESGMNRGHISSSELIDAKLEERRISTAKHCPSCGNKLDCKPDWVGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMVSGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGGGAAATASAVTTAAVQQDQQRRRDSGSGSCSSTRDHEVSATSYSTTGYAVAAAVEMQHLKHAADHFSFAPFRKSFEEVGISGDQVHSNQLGRSEQQHAGQEQQPHRPLLATTTAVPATAFLISRPTNPVSNIVPPAMQHASVVLDHDQFHVPAILLHHDKFQQQQQQKLDRRSAGLEELIMGCTSSSTKGHGSKPIIYQSEALRMQ >ONIVA01G06680.1 pep chromosome:AWHD00000000:1:4747514:4748730:-1 gene:ONIVA01G06680 transcript:ONIVA01G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRLVCFLAVAAALATTCHGWGASAGDVVSSSAAALSFVDRLRQMMIPAAVGDGDYCDSWRVGVEANNVRGWTAAPRKCDNYVENYMRGHHYRRDSKVVVDEAAAYAEAAVLSGDPAADANATWVFDVDETALSHVKFYKKHGFGYHRTDEPAFMEWLIAGRASALPNTVTLYKKLLLLGVKILEEEKGMVIIGNIGDQWSDLLGSPEGRRTFKLPNPAYYIDNYKRAGAAVRAAVAITASSSSSSS >ONIVA01G06680.2 pep chromosome:AWHD00000000:1:4748740:4749334:-1 gene:ONIVA01G06680 transcript:ONIVA01G06680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTTHRGPIALSPLPSAISARNRMGRPTPRRPHHITPARFSLARAGEASRGAPWRGVARRGAATGEAAGEARRGEAALSAPRPHTSASCRVKHKHQ >ONIVA01G06670.1 pep chromosome:AWHD00000000:1:4740665:4743086:1 gene:ONIVA01G06670 transcript:ONIVA01G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISAYLMAYLAGNSSPTAEDLTTILESVGCEIDNAKMELLLSQVSGKDITELIACGREKFASVPSGGGGVAVAAAAPAAGGAGGAPAAEAKKEDKVEEKEESDDDMGFSLFD >ONIVA01G06670.2 pep chromosome:AWHD00000000:1:4741481:4743086:1 gene:ONIVA01G06670 transcript:ONIVA01G06670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISAYLMAYLAGNSSPTAEDLTTILESVGCEIDNAKMELLLSQVSGKDITELIACGREKFASVPSGGGGVAVAAAAPAAGGAGGAPAAEAKKEDKVEEKEESDDDMGFSLFD >ONIVA01G06660.1 pep chromosome:AWHD00000000:1:4737242:4739194:-1 gene:ONIVA01G06660 transcript:ONIVA01G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETILTGDDLMREPPSPVIPKEIASHVLQGVEPCDGILRNLFLCLQINDIEPFCQDEIVFYRQCAAKRDKEIREKMVDSEYKLRISMPLEEGKERTTQLQLEVTLLERRMILASGLEGMEGFRQRWSLHGQLEDTRKRMEALVRGMEKGGSQNSQAQGS >ONIVA01G06650.1 pep chromosome:AWHD00000000:1:4732012:4733223:-1 gene:ONIVA01G06650 transcript:ONIVA01G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTRDNLHDKINQQTNRPKIHNYSAMDTVGWDENTSGDTGPLDLSAEGDNGNEPLFNDTDGNRGRDGICPSHDKWYLQFILTHTVPVHFLSITL >ONIVA01G06640.1 pep chromosome:AWHD00000000:1:4721146:4723364:1 gene:ONIVA01G06640 transcript:ONIVA01G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRSLWGGGGGKKEQGREHGRTAAAPPPPDRKRWSFAKSSRDSTEGEAAAAAAAVGGNAAIAKAAEAAWLKSMYSDTEREQSKHAIAVAAATAAAADAAVAAAQAAVEVVRLTSQGPPTSSVFVCGGVLDPRGRAAAVKIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQAAVRAARSSRGAALPPLHLHHHPPVRPRYSLQERYMDDTRSEHGVAAYSRRLSASIESSSYGYDRSPKIVEMDTGRPKSRSSSVRTSPPVVDAGAAEEWYANSVSSPLLPFHQLPGAPPRISAPSACHFPEYDWCPLEKPRPATAQSTPRLAHMPVTPTKSVCGGGGYGASPNCRGYMSSTQSSEAKVRSQSAPKQRPEPGVAGGTGGGARKRVPLSEVTLEARASLSGVGMQRSCNRVQEAFNFKTAVLSRFDRSSDPAAERDRDLFLQRRW >ONIVA01G06630.1 pep chromosome:AWHD00000000:1:4714924:4717844:-1 gene:ONIVA01G06630 transcript:ONIVA01G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHF3] MDNVSSPCCYAVCSYRKAKEQNKKSTTLIPFRCRRVDRFSIRLSGTGIMAAVEVEVEAEKVVAELRERCATPASLLRDVAAAMAGEMGAGLEKEGGSRVKMLLSYVDKLPTGREDGLFYGLDLGGTNFRVLKVHLGGSKKHVVNSESREVSIPPHLMSGTSSELFGFIAGELGKFVAEEEEGTDMPNGKKKELGFTFSFPVRQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMVKQGLDMHVAALINDAVGTLAGARYYDEDVVAGVIFGTGTNAAYVEKANAIPKWEGELPNSGDMVINMEWGNFYSSHLPIYEKLTSGMYLGEIVRRVLLKLSLQSGIFGSIDNSKLKTRFHLRTPHISAMHHDETPDLKIVAEKLHQILEITHTSLEIRKMVVEICDIVARRAARLAAAGVAGILMKLGRNGGINNQRSVIAIDGGLFEHYTKFRECLESTLGELLGEEASKSVAVKHANDGSGIGAALIAASQSR >ONIVA01G06630.2 pep chromosome:AWHD00000000:1:4714924:4717844:-1 gene:ONIVA01G06630 transcript:ONIVA01G06630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHF3] MDNVSSPCCYAVCSYRKAKEQNKKSTTLIPFRCRRVDRFSIRLSGTGIMAAVEVEVEAEKVVAELRERCATPASLLRDVAAAMAGEMGAGLEKEGGSRVKMLLSYVDKLPTGREDGLFYGLDLGGTNFRVLKVHLGGSKKHVVNSESREVSIPPHLMSGTSSELFGFIAGELGKFVAEEEEGTDMPNGKKKELGFTFSFPVRQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMVKQGLDMHVAALINDAVGTLAGARYYDEDVVAGVIFGTGTNAAYVEKANAIPKWEGELPNSGDMVINMEWGNFYSSHLPIYEKLTSGMYLGEIVRRVLLKLSLQSGIFGSIDNSKLKTRFHLRFKADPKISVNVANRTPHISAMHHDETPDLKIVAEKLHQILEITHTSLEIRKMVVEICDIVARRAARLAAAGVAGILMKLGRNGGINNQRSVIAIDGGLFEHYTKFRECLESTLGELLGEEASKSVAVKHANDGSGIGAALIAASQSR >ONIVA01G06630.3 pep chromosome:AWHD00000000:1:4714924:4717419:-1 gene:ONIVA01G06630 transcript:ONIVA01G06630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHF3] MAAVEVEVEAEKVVAELRERCATPASLLRDVAAAMAGEMGAGLEKEGGSRVKMLLSYVDKLPTGREDGLFYGLDLGGTNFRVLKVHLGGSKKHVVNSESREVSIPPHLMSGTSSELFGFIAGELGKFVAEEEEGTDMPNGKKKELGFTFSFPVRQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMVKQGLDMHVAALINDAVGTLAGARYYDEDVVAGVIFGTGTNAAYVEKANAIPKWEGELPNSGDMVINMEWGNFYSSHLPIYEKLTSGMYLGEIVRRVLLKLSLQSGIFGSIDNSKLKTRFHLRFKADPKISVNVANRTPHISAMHHDETPDLKIVAEKLHQILEITHTSLEIRKMVVEICDIVARRAARLAAAGVAGILMKLGRNGGINNQRSVIAIDGGLFEHYTKFRECLESTLGELLGEEASKSVAVKHANDGSGIGAALIAASQSR >ONIVA01G06620.1 pep chromosome:AWHD00000000:1:4711437:4713835:1 gene:ONIVA01G06620 transcript:ONIVA01G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHF0] MAWRRGFGEEDGAAAAGESGLELCLGLPAYFSSSSSSKPSEGSTAAPAFALRSNGTNASKPRAAAAAPVVGWPPVRSFRRNLASSSSSSSKQAPPPPSSSPQNGDKASKDGGAEKGMFVKINMDGVPIGRKVDLTAYGGYAQLSAAVDKLFRGLLAGELSFTLRRPPRAQSAAADGEADAAAAGEMVGGGEYTLVYEDDEGDRMLVGDVPWQMFIATAKRLRVLKSSDLPPPSLMRAAGSRKRAAADS >ONIVA01G06620.2 pep chromosome:AWHD00000000:1:4711437:4713835:1 gene:ONIVA01G06620 transcript:ONIVA01G06620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHF0] MAWRRGFGEEDGAAAAGESGLELCLGLPAYFSSSSSSKPSEGSTAAPAFALRSNGTNASKPRAAAAAPVVGWPPVRSFRRNLASSSSSSSKQAPPPPSSSPQNGDKASKDGGAEKGMFVKINMDGVPIGRKVDLTAYGGYAQLSAAVDKLFRGLLAAQSAAADGEADAAAAGEMVGGGEYTLVYEDDEGDRMLVGDVPWQMFIATAKRLRVLKSSDLPPPSLMRAAGSRKRAAADS >ONIVA01G06610.1 pep chromosome:AWHD00000000:1:4696481:4698926:1 gene:ONIVA01G06610 transcript:ONIVA01G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G21360) TAIR;Acc:AT3G21360] MVAPAGSFFQEAALPEQRLVEGVAFPAVLVPSDDATAAGGGLDAFLDAVRSERASTVEPLLRGAGAVLLRGFPARAAADFDRAVDAFGYPELPYVGGAAPRSNVVGRVFTANESPPDQKIPFHHEMAQVPTFPAKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKAAKLGMKLEWTEDGVKTIMGPIPAVKWDESRGRKIWFNSMVAAYTGWKDARNDPVRAVTFGDGSPLPADVIAGCGEILEQECVAVPWRQGDILLIDNWAVLHSRRSFEPPRRILASLCK >ONIVA01G06600.1 pep chromosome:AWHD00000000:1:4692879:4694814:-1 gene:ONIVA01G06600 transcript:ONIVA01G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPTMETETGTGTGTRSHRRRKGSSDGSRAVHRADASRRGPTPTKETEIPGRPPKRSSSTLSLDDAGASNPASTWAILNRYGARRDSFRGDDRDRTTSAVSYTSGGDQISVSFELVKPPETSLLTLDWPQGPRPSAGTTSYPYVIAAHDNVVLFQIISPDKYARPSAIDYFVYNASSSSSSNHHPSLTRLPVSYWRRRDTLRPRIMSREGTCILSCSNNSPSFVVAELERRSCQSSETNIYLFASGSDDWRVFRNVPIRHGDGLAHLCWWTTDASVVVPQSLHDLGGLLGMIVAKVAHPGRVDPPEPVLWYVPLPVDPVDGNPYDIDRGRGCPQASRSVCATHHGIKFVNVNQHGGGSSSSSSSRSFSITLWSWREEDQTWGEDATLYADQLRDLDSENRLPNIQPEFPVVDMENPYAVCFLLNERYHTADPNATTWMIKVHMKKKVLLDCTGYSNKGSPSTARRMSEGLSFISSEMPSYLSGKTIKRQEVDKLGI >ONIVA01G06590.1 pep chromosome:AWHD00000000:1:4686131:4691815:1 gene:ONIVA01G06590 transcript:ONIVA01G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFVRRPTDDGTSGTREDIIKSLDMGKGKARDAVLARKKDGIGLLHAAACQGHLTVCKFLMEELGVYQCAVYHICLTPFMACAESGDVPTVKYFLDHGGDVTKSDDKGCTQFSTMLLAQIPLNIQFILIYITNCIVPNVIANNGACSTLISALDCCSLKCMKLLIKASAGADVNNKGTGITPLMFAALQGCYTYFINILLETGAIPNIPNVDQHQESRKAILKSHADLAFRQKNYDCAAKTRGPTAVLYANKSICRLLMGDGEGALSDGDAE >ONIVA01G06590.2 pep chromosome:AWHD00000000:1:4686131:4691815:1 gene:ONIVA01G06590 transcript:ONIVA01G06590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFVRRPTDDGTSGTREDIIKSLDMGKGKARDAVLARKKDGIGLLHAAACQGHLTVCKFLMEELGVYQCAVYHICLTPFMACAESGDVPTVKYFLDHGGDVTKSDDKGCTQFSTMLLAQIPLNIQFILIYITNCIVPNVIANNGACSTLISALDCCSLKCMKLLIKASAGADVNNKGTGITPLMFAALQGCYTYFINILLETGAIPNIPNVDQHQESRKAILKSHADLAFRQKNYDCAAKVYDLFCTQTRAFVDCSWVMVKVLCLMEMPNDAA >ONIVA01G06590.3 pep chromosome:AWHD00000000:1:4686131:4691815:1 gene:ONIVA01G06590 transcript:ONIVA01G06590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFVRRPTDDGTSGTREDIIKSLDMGKGKARDAVLARKKDGIGLLHAAACQGHLTVCKFLMEELGVYQCAVYHICLTPFMACAESGDVPTVKYFLDHGGDVTKSDDKGCTQFSTMLLAQIPLNIQFILIYITNCIVPNVIANNGACSTLISALDCCSLKCMKLLIKASAGADVNNKGTGITPLMFAALQGCYTYFINILLETGAIPNIPNVTRGPTAVLYANKSICRLLMGDGEGALSDGDAE >ONIVA01G06590.4 pep chromosome:AWHD00000000:1:4686131:4691815:1 gene:ONIVA01G06590 transcript:ONIVA01G06590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFVRRPTDDGTSGTREDIIKSLDMGKGKARDAVLARKKDGIGLLHAAACQGHLTVCKFLMEELGVYQCAVYHICLTPFMACAESGDVPTVKYFLDHGGDVTKSDDKGCTQFSTMLLAQIPLNIQFILIYITNCIVAGADVNNKGTGITPLMFAALQGCYTYFINILLETGAIPNIPNVDQHQESRKAILKSHADLAFRQKNYDCAAKTRGPTAVLYANKSICRLLMGDGEGALSDGDAE >ONIVA01G06580.1 pep chromosome:AWHD00000000:1:4674962:4682992:-1 gene:ONIVA01G06580 transcript:ONIVA01G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLVYLSPTEDGTGAMPIKRLEIEKVKAQDAVLAFKNDGIGLLHAAACQGQLNVCKFLVEELGGDVNIAGAQGLTPFMAAAESDDVPTVKYFLDHGGDVTKADVRGCTVLHHAAGTGCCKVTEFLLSKGIPVDIDCGLGTPLLHAANDDKDKTLKILLDHKADPNVIVNNGACSLLMSSLVCRSLKCMKLLIKAGADVNGKGALVSPLMFAASQGCYTNFIKFLLKAGANPNIPDDLGWLPVEHAALRDCGEDVERLFPLTSPIPNVPEWSVNGIISHAKVKDIRPMTIDHGPTTVLYTNRSICRLLMGDGEDAQSDGNRSRMMRPNWEKACYRWSAGHMLLKEYKQLWDALMDAQKLDPGSVEIEKEQRLMMQLLYLYREYDIQESKDVVESKVGLYDKPTASYGVKPPNVSYVMGKTQVFVKHPHGTLSLQIDTCKDVVFLKEEVEKRMRLDVSGSFFVYQMSTLEAGIPLSSYGIEKDSNIYMCGRLLGGQRFHGSRRVHGRRKFHGRRKFKTLSQFFKKHGHEFLREVQLPDNSRTKELTELGCLVLSSLIHLFTSVFVAGKTWNGNIDMDGLIVVHERVRIKKKPWKGLNSSKMNSDCTKLTSMIREMFVKKNVHPPYLKHLLGKLEALAVDVFTVRQQLAFDYHISLIPSLNRVNLVYQIKRCYDGWDDREKMYFRFVADNCTVPEDWCNTLMSKHLFRLVILEGQKRNIIYGSNGMSAFHLVRNYNTHAPEHSWIHVFVDSKIEHYQWFQCDSGIELMVPKYLGDFLAEAVFKFIEDEFDLTHVYRAARIVPLPGKEREGIQLVTERENLRGVQVLPAAACLIIHPGKERKAILPKRKAPKNVKLSTKVFQHCYDTTSMKDLNVTAMTSCQVHLMGWVHSGKDSCRIF >ONIVA01G06570.1 pep chromosome:AWHD00000000:1:4666006:4666772:-1 gene:ONIVA01G06570 transcript:ONIVA01G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGAGACQAADRVVRGKELAGRPRTDVREGGGRANAGWRMPELEEVAVAATFRLRPHRTSDARSATPAPHPSVSAPATEVAGEGSKGGSSGWELLQKLLPPPEVRRPGSHQGTYSPRACLSLERNCLVSISSAPCQLRIRTLATWTARMTAFCCCDSGGAMAAEMSTHGESSSKKEVEEVLVPDAVPQDANATDESSVGKASGRDSDGGRIAISQHLRTSLWWSQRRRSGSVRDGPAAHAPHP >ONIVA01G06560.1 pep chromosome:AWHD00000000:1:4659657:4662632:-1 gene:ONIVA01G06560 transcript:ONIVA01G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPTTETETGTGTRIPSHRRRKGSSDGSRARADASRRGPTPTKETEIPGRPLKRSSSTLSLDDAAGASNPTSTWAILNRYGARRDSFRRDDRDRTTSAVSYTSGGDQISVSFELVKPPETSLLTLDWPQGPRPSLGTTSYPYVIAAHGNVVLFQIVSPDKHARPSAIDYFVYNASRSSSSNHHPSLTRLPVSYWRRGDTIRPRIMSREGTCILSCGSKNSSSFVVAELERRSCQSSETNVYLFASGSDDWRVFRNVPIRHGDGLAHLCCWTTDAVLSCHDRYMIWVDYLVAGMIVANVEHPGRVDPPEPVLWYVPLPVDPVADIDRGRGCPQASRSLCATHHGIKFVNVNQHGGSSSSRSFSITLWSWREDQTWREDATLDAAQLWELDSENRLPNVRPEFPVVDMENPYAVCFLLNERYHTADPNATTWMIKVDMKKKVLLDCTGYSNKGSSSTARRMSEGLSFISSEMPSYLSGKTIKRFLEGPLWPATHDAIEIEENGKMGFPKKQKKYTVPHQHRSRDVRDSPRVFPKKTEMGPAQLVYAML >ONIVA01G06550.1 pep chromosome:AWHD00000000:1:4653169:4654433:1 gene:ONIVA01G06550 transcript:ONIVA01G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGAQLRATGRIGLEPKRADLVPVHSFERAHTHVVAYQKRGSDRCIDARMSVSSIGSSSLACHGASAVGYGLMHDQVGKPLESSTSQGKVDDKGKKTCDAVSAQFSGQP >ONIVA01G06540.1 pep chromosome:AWHD00000000:1:4645594:4650428:-1 gene:ONIVA01G06540 transcript:ONIVA01G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHD8] MLSARAAAASPLWKRGEGGSSGSGSGCTSCREVRRRAAAVRVRAAAPRRVEAVAMESAAETEKEEEVAAAGGGVEDMATEEVPVTPWAFSVASGYTLLRDPHHNKGLAFSEKERDAHYLRGLLPPAVVSQDLQVKKIMHNLRQYSVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLKDKGKVLDVLRNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEQLLNDEFYIGLRQRRATGKVPPKREYHELMEEFMSAVKQIYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLSSLKVVGGTLAEHTYLFLGAGEAGTGIAELIALEISKQTKAPIEECRKKVWLLDSKGLIVNSRKESLQAFKKPWAHEHEPVTTLLDAVQSIKPTVLIGTSGVGKTFTKEVIEAMASFNERPVIFSLSNPTSHSECTAEEAYNWSQGRAVFASGSPFDPVEYNGKIHVPGQSNNAYIFPGFGLGVVISGAVRVHEDMLLAASETLADQATQENFEKGSIFPPFTNIRKISARIAASVAAKAYELGLATRLPQPRDLEKYAESCMYTPVYRSYR >ONIVA01G06540.2 pep chromosome:AWHD00000000:1:4645594:4650428:-1 gene:ONIVA01G06540 transcript:ONIVA01G06540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHD8] MLSARAAAASPLWKRGEGGSSGSGSGCTSCREVRRRAAAVRVRAAAPRRVEAVAMESAAETEKEEEVAAAGGGVEDMATEEVPVTPWAFSVASGYTLLRDPHHNKGLAFSEKERDAHYLRGLLPPAVVSQDLQVKKIMHNLRQYSVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLKDKGKVLDVLRNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEQLLNDEFYIGLRQRRATGKEYHELMEEFMSAVKQIYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLSSLKVVGGTLAEHTYLFLGAGEAGTGIAELIALEISKQTKAPIEECRKKVWLLDSKGLIVNSRKESLQAFKKPWAHEHEPVTTLLDAVQSIKPTVLIGTSGVGKTFTKEVIEAMASFNERPVIFSLSNPTSHSECTAEEAYNWSQGRAVFASGSPFDPVEYNGKIHVPGQSNNAYIFPGFGLGVVISGAVRVHEDMLLAASETLADQATQENFEKGSIFPPFTNIRKISARIAASVAAKAYELGLATRLPQPRDLEKYAESCMYTPVYRSYR >ONIVA01G06530.1 pep chromosome:AWHD00000000:1:4638158:4643649:-1 gene:ONIVA01G06530 transcript:ONIVA01G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPAASSAMQWSIDLDRALRSRHPATRLRAVDAVAPRLRELGASPPAAVPPAVASALGVLPSEPRLFAHTILLRLATHFATADNAVRARILRALLLLGLDGSLPMTMACVAAEPQHQVAVLARVKAAYDAGCPRARALALRMFGCLAHLAHHSLHLRSLILSTFSSSNALQVKAALFAAACFSRLSVDFSYITLEALRRLISSPTSQPQIIMAAIKIFPKLDCTLAVIHRVHEVFMDIRVGKQIVLGTLEDNFKADMLLSLSRLASKSVVLFCNQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLKTVFGTLLQLIDDDDFPLDCKRDAFMVLQKIVCGKAPIVHLVNTCELSKLVLAAESSLHSSSWEMQGSSLTYNGCQGITSNFLSTVENAEDKFVHKIVTSMVNHNISLVNQIISTGNKETIRKHTYMSSEFKMYRSMLSSMLKLLVCYPSAAAVALDKLRCLIKELARLDDSDCSEVSVANVKSFQTNSAREVLDTSNDIVKPVSASMKASHMGTDFDKLKFDPAEFSSKKEVSIVHNIILWTLKFANSCHNMLSKTPGASCNLYDSIKELIECVQQNTSLYCSTYESFHLELCAIRITKMLFRNQRYWDAYRSAMYCCREGLWFAASSVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVNELKTDCDLHENFYWVETNVDSSLADSSELHGSQAKITDICSRTFLATDPLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTADLTDQRDESHHDAIGDHSSVPREHNNSQLLALVHSSVEPCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHSIQIVTRMNCSGILEKDSYRLCKFAVAYLLRLRGDTRGIATGEDSVSPLHEGMQFLSNILQRVMELPFVLPKYFFRVRPCFGAELHLYDSNPENRDGISVPSGFQLSLTLCLQWKCVLERSDIDISKLYCVLAASSASSCLDVTGTRSKQFEIRKKTAGMVGLNTKLMQFVQDDLGKKREKKRRKKVHVEQKDMVTAFARFEASDSGVGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVRSSPMQ >ONIVA01G06530.2 pep chromosome:AWHD00000000:1:4638158:4643649:-1 gene:ONIVA01G06530 transcript:ONIVA01G06530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPAASSAMQWSIDLDRALRSRHPATRLRAVDAVAPRLRELGASPPAAVPPAVASALGVLPSEPRLFAHTILLRLATHFATADNAVRARILRALLLLGLDGSLPMTMACVAAEPQHQVAVLARVKAAYDAGCPRARALALRMFGCLAHLAHHSLHLRSLILSTFSSSNALQVKAALFAAACFSRLSVDFSYITLEALRRLISSPTSQPQIIMAAIKIFPKLDCTLAVIHRVHEVFMDIRVGKQIVLGTLEDNFKADMLLSLSRLASKSVVLFCNQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLKTVFGTLLQLIDDDDFPLDCKRDAFMVLQKIVCGKAPIVHLVNTCELSKLVLAAESSLHSSSWEMQGTALKVIAGTLCFLQQTRSHQNMITQEGSSLTYNGCQGITSNFLSTVENAEDKFVHKIVTSMVNHNISLVNQIISTGNKETIRKHTYMSSEFKMYRSMLSSMLKLLVCYPSAAAVALDKLRCLIKELARLDDSDCSEVSVANVKSFQTNSAREVLDTSNDIVKPVSASMKASHMGTDFDKLKFDPAEFSSKKEVSIVHNIILWTLKFANSCHNMLSKTPGASCNLYDSIKELIECVQQNTSLYCSTYESFHLELCAIRITKMLFRNQRYWDAYRSAMYCCREGLWFAASSVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVNELKTDCDLHENFYWVETNVDSSLADSSELHGSQAKITDICSRTFLATDPLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTADLTDQRDESHHDAIGDHSSVPREHNNSQLLALVHSSVEPCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHSIQIVTRMNCSGILEKDSYRLCKFAVAYLLRLRGDTRGIATGEDSVSPLHEGMQFLSNILQRVMELPFVLPKYFFRVRPCFGAELHLYDSNPENRDGISVPSGFQLSLTLCLQWKCVLERSDIDISKLYCVLAASSASSCLDVTGTRSKQFEIRKKTAGMVGLNTKLMQFVQDDLGKKREKKRRKKVHVEQKDMVTAFARFEASDSGVGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVRSSPMQ >ONIVA01G06530.3 pep chromosome:AWHD00000000:1:4638158:4643649:-1 gene:ONIVA01G06530 transcript:ONIVA01G06530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPAASSAMQWSIDLDRALRSRHPATRLRAVDAVAPRLRELGASPPAAVPPAVASALGVLPSEPRLFAHTILLRLATHFATADNAVRARILRALLLLGLDGSLPMTMACVAAEPQHQVAVLARVKAAYDAGCPRARALALRMFGCLAHLAHHSLHLRSLILSTFSSSNALQVGKQIVLGTLEDNFKADMLLSLSRLASKSVVLFCNQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLKTVFGTLLQLIDDDDFPLDCKRDAFMVLQKIVCGKAPIVHLVNTCELSKLVLAAESSLHSSSWEMQGTALKVIAGTLCFLQQTRSHQNMITQEGSSLTYNGCQGITSNFLSTVENAEDKFVHKIVTSMVNHNISLVNQIISTGNKETIRKHTYMSSEFKMYRSMLSSMLKLLVCYPSAAAVALDKLRCLIKELARLDDSDCSEVSVANVKSFQTNSAREVLDTSNDIVKPVSASMKASHMGTDFDKLKFDPAEFSSKKEVSIVHNIILWTLKFANSCHNMLSKTPGASCNLYDSIKELIECVQQNTSLYCSTYESFHLVMCACISWYACKTRDVNQESGDSKEQPDIFFSPSVWLTQELCAIRITKMLFRNQRYWDAYRSAMYCCREGLWFAASSVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVNELKTDCDLHENFYWVETNVDSSLADSSELHGSQAKITDICSRTFLATDPLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTADLTDQRDESHHDAIGDHSSVPREHNNSQLLALVHSSVEPCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHSIQIVTRMNCSGILEKDSYRLCKFAVAYLLRLRGDTRGIATGEDSVSPLHEGMQFLSNILQRVMELPFVLPKYFFRVRPCFGAELHLYDSNPENRDGISVPSGFQLSLTLCLQWKCVLERSDIDISKLYCVLAASSASSCLDVTGTRSKQFEIRKKTAGMVGLNTKLMQFVQDDLGKKREKKRRKKVHVEQKDMVTAFARFEASDSGVGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVRSSPMQ >ONIVA01G06520.1 pep chromosome:AWHD00000000:1:4632752:4641638:1 gene:ONIVA01G06520 transcript:ONIVA01G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSISRTNLNCISLGDPDTKKSVALLKQACLDSGFFYVVDHGISQELMDDVFAQSKKFFDLPINEKMELLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGIEVPEDDPQANRPFYGPNQWPSDEVLPRWRKVMEQYHSEALRVAKSIARIIALALNLEEDFFDKPEMLGEPIATLRLLHYEGGQKTGKVSNPAEGVFGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPVKGSTLHRVVLDGRERHSIAFFVEPSHDCVVECLPTCKSESNPPKFPPITCSAYLSQRYKDTHADLTAYSSNKA >ONIVA01G06520.2 pep chromosome:AWHD00000000:1:4632752:4645182:1 gene:ONIVA01G06520 transcript:ONIVA01G06520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECSISRTNLNCISLGDPDTKKSVALLKQACLDSGFFYVVDHGISQELMDDVFAQSKKFFDLPINEKMELLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGIEVPEDDPQANRPFYGPNQWPSDEVLPRWRKVMEQYHSEALRVAKSIARIIALALNLEEDFFDKPEMLGEPIATLRLLHYEGGQKTGKVSNPAEGVFGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPVKGSTLHRVVLDGRERHSIAFFVEPSHDCVVECLPTCKSESNPPKFPPITCSAYLSQRYKDTHADLTAYSSNKA >ONIVA01G06510.1 pep chromosome:AWHD00000000:1:4621132:4624825:-1 gene:ONIVA01G06510 transcript:ONIVA01G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16350) TAIR;Acc:AT3G16350] MTRRCSHCSNNGHNARTCPARGGGGGGGGVRLFGVRLTSPPEVAMKKSASMSCIASSLGSGGGSGGSSPAGTGRGGGGGGEGAAGYASDDPTHASCSTNGRGERKKGTPWTEEEHRMFLMGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVPEMPMDESPVVVEQLMLHSTQDEATSSNQLPISHLVKQKEPEFARHLSDLQLRKHEESEFTEPSLAALDLEMNHAAPFKTKFVLTMPTFYPALIPVPLTLWPPNVANVGESGTNHEILKPTPVNGKEVINKADEVVGMSKLTIGDGSSNSIEPSALSLQLTGPTNTRQSAFHVNPPMAGPDLNKRNNSPIHAV >ONIVA01G06500.1 pep chromosome:AWHD00000000:1:4619710:4619886:1 gene:ONIVA01G06500 transcript:ONIVA01G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDKRALLAAENGHGGGGPVTVSFNTNAIVLLALLVCGLGAVALHVVLQCALRVTP >ONIVA01G06490.1 pep chromosome:AWHD00000000:1:4602735:4605214:-1 gene:ONIVA01G06490 transcript:ONIVA01G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYLLITALIASSHALAAHGAGAGVPLAAAAPLPFPGDLAASGKLRTDPNATVPASMDFGNITAALPAAVLFPGSPGDVAELLRAAYAAPGRPFTVSFRGRGHSTMGQALAAGGVVVHMQSMGGGGAPRINVSADGAYVDAGGEQLWVDVLRAAQARGVAPRSWTDYLHLTVGGTLSNAGVSGQTYRHGPQISNVLELDVITGHGETVTCSKAVNSDLFDAVLGGLGQFGVITRARVAVEPAPARARWVRLVYADFAAFSADQERLVAARPDGSHGPWSYVEGAVYLAGRGLAVALKSSGGFFSDADAARVVALAAARNATAVYSIEATLNYAANATPSSVDAAVAAALGDLHFEAGFSFSRDVTYEEFLDRVYSEEEALEKAGLWHVPHPWLNLFVPGSRIADFDRGVFKGILQTATDIAGPLIIYPVNKSKWDAAMSAVTPEGEEEVFYVVSLLFSAVANDVAALEAQNRRILRFCDLAGIGYKAYLAHYDSRGDWVRHFGAKWDRFVQRKDKYDPKKLLSPGQDIFN >ONIVA01G06480.1 pep chromosome:AWHD00000000:1:4593598:4598601:1 gene:ONIVA01G06480 transcript:ONIVA01G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSSLASRLGPGGPTRWSPYARAPDPGHGAGRSSVPPGGGGNSRPPLRVAPATARLLGHPARKGAAAPPGSRCRAEAEAEATAASDAACPNPPRRGCEETNPNPNPKRNPKPAERGEEPPVRAVGCGGFAFLCALAGHTEAISGISLPVGSDKLYSGSADGSVRVWDCNSGKCVDAIKMGGKIGCMITHGPWIFVGITKSVEAWNTQTGMKSSLHGPSGLVCSMTIKDEMLFAGTGDGRIMAWKIPDKKGDSGPVAILSGHERQVISLGVSATRLYSGSLDKTIKVWDLKTLQCVQTLSEHKAAVTSVLCWDEKLLSCSLDKTVKIWAASKSGDLQVIYTHSEEHNLLIALGVFVVEKHSVFIVVHGYGNHGVRTLFGMHRVGKTPVLFCSLHNSNCIRLFDLPSFDEMGKLFSKKEVRTIELAAGGLLFTGDGAGELKVWRWAPEEEPATPALVKSSM >ONIVA01G06470.1 pep chromosome:AWHD00000000:1:4578488:4590514:1 gene:ONIVA01G06470 transcript:ONIVA01G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLHLRLLLVVGVALGSAGLGWGGGGGEGEATDREAPDPYSILTWHDYSPPSPPPPPPPPVAPAATCEGDLHGQGNFSTRCEVSEEVELGGDVYITGEGSLVLLAGAALTCQRPGCVISANLSGEVRLGRGVRVIAGRVSLAAANVTIADTVVVNTTALAGDPPERTSGVPTGTHGDGGGHGGRGASCYVKDGQTQEDSWGGDAYAWSDLEHPFSYGSKGGSTSVEKDYGGSGGGIVWLYADDLIMNGTVLADGGDSSEKGGGGSGGSIYIKSKTMHGAGKISASGGNGLAGGGGGRVSINVFSRHDDTQVFAHGGKSSGCPDNAGAAGTLYEAVPKSLVVSNNNLSTQTDTLLLEFPNQPLWTNVFVKNHAKVAVPLLWSRVQVQGQLSLLSGAILTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSKMLIDGGGDSIVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGEGDIIEAQRLILSLFYSIKVGPGSILRGPLVNGSSGDVAPKLNCEDDICPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDIWGLVQGTVIHFNRARSVSVHTSGTISATGLGCRSGVGQGNILNSGVSGGGGHGGRGGDGFYNESHAEGGSMYGSADLPCELGSGSGNDTTKLSTAGGGIIVMGSWEYSLPSLSLYGSVESNGQSSTDVVTNASIGGPGGGSGGTILLFVRALSLAESSILSSVGGLGNFGSGGGGGGRIHFHWSNIPTGDEYVPVAAVKGSIRTSGGISKGKGFPGENGTVTGKACPKGLYGTFCKECPLGTYKNVTGSSKSLCVQCPPDELPHRAIYTSVRGGAYETPCPYKCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLVLLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNRFVDEINTLAAYQWWEGSIHSILCVLAYPLAWSWQQFRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMCLIFGGDGSYMAPFSLHSDSVLTSLMSQAVPSSIWHRLVAGLNAQLRLVRRGNLRGTFLPVLDWLETHANPSLGVNGVRVDLAWFQATALGYCQLGLVVYAVEEPVSAELDGSPRIKIEQHSLTQNMHADTQLGHSRTKEALMRKRITGGILDSNSLRTLKDRRDLFYPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMADVLLVLFVLPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVVAFACGLVHYKSSTKRHPSTQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAVYSNDPSIFWQS >ONIVA01G06460.1 pep chromosome:AWHD00000000:1:4561909:4602552:-1 gene:ONIVA01G06460 transcript:ONIVA01G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTSNKKEDDETSSSSGAHLHTFSSPAPSPVKRRPPAASSMVLTSFLEKSLPISSNLKDQDQTIFETSSKGAVEQTYAVAVVEGAEQNWRLSNTSMPALPSSTSRGKVHSTDNKSSSNNNKITSLHLEPGKINTNTIHTQRGISMGFKPVEDRKKCSSEISTSNQTQLGIQSSYKTAQTPAARRVPRKLSSGGPSPEILLGGGGGGGGGGVGGRGREKLGEASDGALRFVRVGRHCRVRRCVWTVVERLRTSGAELEKTPELTKQFGVAAARGRSISDKLLRSSAEERADGRKKTKTRMCILSEHTKVPLPSSFSHRHHQIRVGTALPPRLLQSACGVASVAAGSGQGRATVPLTSFNAWRRVGHYRSPQTAPEGVEGGRERIEGGK >ONIVA01G06450.1 pep chromosome:AWHD00000000:1:4555391:4557527:1 gene:ONIVA01G06450 transcript:ONIVA01G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTAATYAPPARALHFLCDMINVLAVRIILPSSDRSDGGGVGFPISVYGSVIARDQLDYKCVHLFRRCRDDPQLITSEDELSLILTGPHRGLVLYDALYIEVDLKMKVKGDQQQGCKDKRLSKGLIVLDGVLLSTNLSDHLRAAVKTATLDRRSTMPCAVQVTYAYVTRAVEATVSVELLHDQGGGAHFCGEITACTSTIRDSIVLHDSRLLADDGIVADGSTVRLLRRVIGVCLDEVLIVTIVAQDGDLAKATNYCRQTVDFTPCVNGGDEARVVGGVGSFLVKVIWSLMDPFIDK >ONIVA01G06440.1 pep chromosome:AWHD00000000:1:4551878:4553358:1 gene:ONIVA01G06440 transcript:ONIVA01G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTAAQFRSLFEMAGGEDISFLFGDDEAAAVAAPAELQGKRGWEEVDQGEGSGAVAAKRQRSPTSSRENSSGSNEGGQEEVSEAAAAMAAGHAGVRGGGRRLWVKERDSEWWDMVSSPDYPDSEFRKAFRMSKATFEVVCDELAAAVAKEDTMLRAAIPVRKRVAVCVWRLATGEPLRLVSKRFGLGISTCHKLVLEVCAALKAMVMPKVVRWPEAGDAAAIAAHFEAISGISGVVGAIYTTHIPIIAPKSNVASYYNRRHTERNQKTSYSMTVQCVVDSTGAFTDVCIGWPGSNSDEEVLEKSALYLHRGVPGLIQGQWVVGGGSFPLMDWMLVPYTHQNLTWAQHMLNEKVAAVRGVARDAFERLKRRWGCLQKRTEVKLLDLPTVLGACCVLHNICERSGDAVVDADDCAFDLFDDDMVAENAVRSTAAAQARDAIAHNLLHSGGGASFF >ONIVA01G06430.1 pep chromosome:AWHD00000000:1:4533592:4542514:-1 gene:ONIVA01G06430 transcript:ONIVA01G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREMADAAPAPAVAAAATAEPLAAVAEEGEEGGEAAVGSTLTMERVAAAKKFIENHYRSQMKNIQERKERRFRLERQLESSQVPREQQINLLKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSSNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDSEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLRESMDIDSSFSETTNGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDSKVSPEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWEKLYEMEAAFKPQVNDELDTQNFMKFEEIHYIQIESLGVAEVRDAERSESTTMGGPGRAFVHQSRGGLGRAARLNAARRAGWGGWRGRAPQRGRSGEGSEVEFRAVMGDNDGGRRCVRQWRDMDNAPTRTGSGPSRKMMLNSKDLSFVGYTYKNFDAVKGLKHSGPADMDPSREPNGRDKHMHTVSSGDPMIQ >ONIVA01G06430.2 pep chromosome:AWHD00000000:1:4533592:4542514:-1 gene:ONIVA01G06430 transcript:ONIVA01G06430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREMADAAPAPAVAAAATAEPLAAVAEEGEEGGEAAVGSTLTMERVAAAKKFIENHYRSQMKNIQERKERRFRLERQLESSQVPREQQINLLKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSSNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDSEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLRESMDIDSSFSETTNGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDSKVSPEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWEKLYEMEAAFKPQVNDELDTQNFMKFEEMDNAPTRTGSGPSRKMMLNSKDLSFVGYTYKNFDAVKGLKHSGPADMDPSREPNGRDKHMHTVSSGDPMIQ >ONIVA01G06420.1 pep chromosome:AWHD00000000:1:4526246:4526872:1 gene:ONIVA01G06420 transcript:ONIVA01G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMISGMLRPAFDRITPSIASAAVFSFSRVVSFTGSFNSRTGLLTAADGEEETPLSPQAIETTASTGGVGAFDIEAPAAMTTTPDQAVLRDDVRGDDELIRRLSKSVQPVCLFCAAASLTMSVNLPASGGAGGALYGATLAFVCLGLFASLGLSMYTIVSRPGDAAVARVQKWAMVLALAFVLVSFTLRMWPVLVSSSSSPAPPPRST >ONIVA01G06410.1 pep chromosome:AWHD00000000:1:4522428:4532489:-1 gene:ONIVA01G06410 transcript:ONIVA01G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCTCREYVRWLPNDSRHVHARLDLATEAIAREGDTEEEASHTMAVGRETTKRREQKEEERIGDYGPY >ONIVA01G06400.1 pep chromosome:AWHD00000000:1:4520291:4521025:1 gene:ONIVA01G06400 transcript:ONIVA01G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTISGMFLPVFDRIRPSIASAAELSFSRVVSFTGSFNSRTGLLAAGDGEEETTPAQTAAFDIEAPATATTPDQAVRDDAAAAQEGEAAGAAAADDDADEPKRVSKIVQTVCLFVASASLAMSVNLPASGAGGALYGATLAFVCLGLFTSLGLSMYTIVSRPGDAAVAMVQKWAMVLAMASVPASFTLRLCATLPAETLESAWLIFFLLAGAAALFLTLAWKLAGGVRAQHRSVNEERVAGDHV >ONIVA01G06390.1 pep chromosome:AWHD00000000:1:4515155:4515610:1 gene:ONIVA01G06390 transcript:ONIVA01G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAVLSGVGQAKSVVSATTQTKAESPPPQPQPQPDVAAEMEEEYYWDRRRPMSPDPPELRDGLRRLREAERAMGVDERAAAAVFARPGQKRAVSEIPEGWSAEWDDSLEMVKRYKCNYWENPNFADLLRDHGPLFARAAAAMNDMERWD >ONIVA01G06380.1 pep chromosome:AWHD00000000:1:4511420:4512169:1 gene:ONIVA01G06380 transcript:ONIVA01G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSTAVPEEIEQWLVLGKQALWVEDFSGTCQRECFCASCFHAFCTHCCWFHHEPTIHMVFPVAADAAGRGVYATHGPDGCRVHPDFVEDVLAAQDYATRLPWDAFCLLCGTAFAAAACPDHHRHHHDPSLPDAVLRVERRGGRHCVRCTGSEWWFPYVEQILDDPVEDDGDEQLLPVMTRRPGSCKQCGDPDTGYLIAVCSSSCSESYRRDLAGRRQRREVRQAARAAAGAQAKQLIDGLRISNS >ONIVA01G06370.1 pep chromosome:AWHD00000000:1:4505842:4508809:-1 gene:ONIVA01G06370 transcript:ONIVA01G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAS/LOV protein B [Source:Projected from Arabidopsis thaliana (AT2G02710) TAIR;Acc:AT2G02710] MEGAEAEERRLAASLTARYSDWVVEALDELPGSFILTDPAMPGHPIVYASRGLASLTGYPPREVLGRNARLFQGADTDRAAVAGVREAVRGQRPHQVSILNYRRDGEAHWVLLHLAPVFHASDGRVLHFLAVQVPIAPPASRRRTPPCRAARPPVVFAACREEARVEEECPCASHAGEVFVDVDKRGQDAEEPRVASEHEKEKALSTANSIFSALNRYSKLTGLVVCGKRCDSVGIPALSSSLNLSLGRIKQSFVLTDPHLPDMPIIYASDAFLSLTGYSREEILGCNCRFLNGPGTSVEVLEQINQHICSEQACTVHLLNYRKGGSSFRDLLHISPIRNASGKVAFHVWVHLDEGAKYDFNGLTPEVWQLGAVGAVRVAVRSLSASGSLLRPSQ >ONIVA01G06370.2 pep chromosome:AWHD00000000:1:4505842:4508809:-1 gene:ONIVA01G06370 transcript:ONIVA01G06370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAS/LOV protein B [Source:Projected from Arabidopsis thaliana (AT2G02710) TAIR;Acc:AT2G02710] MEGAEAEERRLAASLTARYSDWVVEALDELPGSFILTDPAMPGHPIVYASRGLASLTGYPPREVLGRNARLFQGADTDRAAVAGVREAVRGQRPHQVSILNYRRDGEAHWVLLHLAPVFHASDGRVLHFLAVQVPIAPPASRRRTPPCRAARPPVVFAACREEARVEEECPCASHAGEVFVDVDKRGQDAEEPRVASEHEKEKALSTANSIFSALNRYSKLTGLVVCGKRCDSVGIPALSSSLNLSLGYSREEILGCNCRFLNGPGTSVEVLEQINQHICSEQACTVHLLNYRKGGSSFRDLLHISPIRNASGKVAFHVWVHLDEGAKYDFNGLTPEVWQLGAVGAVRVAVRSLSASGSLLRPSQ >ONIVA01G06360.1 pep chromosome:AWHD00000000:1:4497293:4497948:-1 gene:ONIVA01G06360 transcript:ONIVA01G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLCHETSYAYSYPASNTSSSLCFPPLMADHIVDGGGGCSFGEFLELGHSVYSLPLPPPPSQPVVVAGGNNDQYGVSSSSAAAATTSRIGFRTRSEVEVLDDGFKWRKYGKKAVKSSPNPRNYYRCSAAGCGVKKRVERDGDDPRYVVTTYDGVHNHATPGCVGGGGHLPYPTSAAPAWSVPPAAASPPPAHAQAWGAPLHAAAAAHSSESSF >ONIVA01G06350.1 pep chromosome:AWHD00000000:1:4490819:4493411:1 gene:ONIVA01G06350 transcript:ONIVA01G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVESPPVRDEKKVDVAAIGGAPPIVFESFAPSTQRDSTIIKKEEKMEAAKAEMGEVREENERLKTMLTRIVSDYKSLHTHFLDVVKVKEQTAAELSGDDDDDEPDDLVSLSLCTRPNAAAARRKGHERTPSSGGGGDDGRLSLGLSCARGGVASDDDDDKQASRRALPPMPVLNLSSDSSGDAAGAGAGEPTQPNKASRSSSGGGDGADDEVLQQQQAKKARVSVRVKCDTPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVAPNCPVRKQVQRCADDMSILITTYEGTHSHPLPPAAAAMASTTSAAAAMLTSGSTTSTMHGGGGVHHHLPFASAVGGGGGVGLLGPTTISTATSCPTVTLDLTAPHSLLHPSSASPYAAAAAGYESSRALPAAWSSGYLAYGGAAAAQPYYAKGVAPSPFGHHFGMMGMAAAAAAARPAPEQLFGGQTTSPYLQRAIGGGGVAPAAVTDTIAKAITSDPSFQSVLAAAITSYMGRGGGAAAPNK >ONIVA01G06340.1 pep chromosome:AWHD00000000:1:4478024:4482318:1 gene:ONIVA01G06340 transcript:ONIVA01G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGAAAQPAPPPAVQGLFEACREVFGASAGAVPSPAGVERIKSVLDSISAADVSLTRNMSYFRRVNSNGIPKITYLHLYECEAFSIGIFCLPPRGVIPLHNHPNMTVFSKLLFGELRVKSYDWADASQDSTGVSLFLFVLSIDAQLQGARLAKVKVDGTLNAPCATSVLYPEDGGNLHCFTAHTACAVLDVLGPPYDDGSGRHCQHYNVSSSAPSAGDSKPLPGDDGYAWLEECEPPDNFHLVGSTYMGPRIVDN >ONIVA01G06330.1 pep chromosome:AWHD00000000:1:4469290:4475730:1 gene:ONIVA01G06330 transcript:ONIVA01G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPPARSLADLDGDVLAHCARSLVARDVASLAVACRPLRASAYCDAVWYRLFRNQWPSQQVPRGALGLRELYIRRHTEVHQMKFVDPISAFYYPNPTEVTPSHLMLDRNYIWLSQGLMVRRLRVDCPEIELVETYKSHGARITCMRLFPLIDIPLFRGDSQTNEKALVTSSADRTVRLCWKGHSRCFRGHSGPVTALSDKLLGDGEFKLLASGGEDCTVRLWSMSTRGKNHPLISTFHGHEKALSLLSVARHKPSLLVSCSKDSKVKLWDTMAPPSSGSSSCVGSTHLSTNSAPIAVKCHESLCYIAAGSEVTTIDLRTMKKASVLALRDHRILSCEMLPSEWLICTGIKNKALLWDIRKSQELPNIVAELQSESDEPVTFLHLDPYKVITGAPSDGQVHVWETQTGHFANTLSCGEPAKSADRSTLSAMAVDGCRIAMAGSSAEVDMVHYRDFLMSSVPVSLPGNSKEVSRFWGPQEYSDDEDEDEDDSDEEDHDHAWLVD >ONIVA01G06330.2 pep chromosome:AWHD00000000:1:4469290:4475728:1 gene:ONIVA01G06330 transcript:ONIVA01G06330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPPARSLADLDGDVLAHCARSLVARDVASLAVACRPLRASAYCDAVWYRLFRNQWPSQQVPRGALGLRELYIRRHTEVHQMKFVDPISAFYYPNPTEVTPSHLMLDRNYIWLSQGLMVRRLRVDCPEIELVETYKSHGARITCMRLFPLIDIPLFRGDSQTNEKALVTSSADRTVRLCWKGHSRCFRGHSGPVTALSDKLLGDGEFKLLASGGEDCTVRLWSMSTRGKNHPLISTFHGHEKALSLLSVARHKPSLLVSCSKDSKVKLWDTMAPPSSGSSSCVGSTHLSTNSAPIAVKCHESLCYIAAGSEVTTIDLRTMKKASVLALRDHRILSCEMLPSEWLICTGIKNKALLWDIRKSQELPNIVAELQSESDEPVTFLHLDPYKVITGAPSDGQVHVWETQTGHFANTLSCGEPAKSADRSTLSAMAVDGCRIAMAGSSAEVDMVHYRDFLMSSVPVSLPGNSKEVSRFWGPQEYSDDEDEDEDDSDEEDHDHAWLVD >ONIVA01G06320.1 pep chromosome:AWHD00000000:1:4463009:4468588:1 gene:ONIVA01G06320 transcript:ONIVA01G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPRDSDPLAQHSAAPFKAKLFARSHYSKTNRKSSKKKRKKGRRGNGGDGELQGDEDLGGGGEAGGGDAGGDAAAGVGGPVPDPPRVGGVDAHLPVGRRRGPGRHPRRARQGAGLLLPARRAHRGARGRVPRHPVHRRRRLLRRGRRRLQPGGRPLPGAPPPPPQGGPRPLPRRRPLGRRAPQHHHDDADHQIHLRRVRDGAPVQPRVGGRHGRRAVHQRGGRHGAGAPGAEGEAGVGQGEVPEPEHQARPPAGAAGAGARLHRPRLPHRLHRRPEGAVQGAQRQVLLRLRRADGEAVAMPHQGTQPRARRHREALLLRQRAPPAEAGQGVLRQLHLPGEDVGAERDGAVVVGDGGGGHDPAGEGEDGGGVLPVRQGGDGAGPVPDDVQLRVHLRLRLEQARVRRGGLRLRPAQVRRPARQQRLHRLRRHPQGAAAARRHADARQLRHQGTLGGVRPRHEGGPAMN >ONIVA01G06310.1 pep chromosome:AWHD00000000:1:4450060:4456067:-1 gene:ONIVA01G06310 transcript:ONIVA01G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPAKKRKKIRTQQKPLHYLSLHLSSHLEASRFTSSSRSPEPEPEAAEEMGTGGGEGNKSAALPLEALLALGLDQRTAENALVNSKVTANLAAVIAEAGISGCDKTVGNLLYTVATKYPTNALVHRPVLIDYIVSTKIKNPAQLDAALSFLTNTGPDSLDTGKFEEACGVGVVVSIEEIKSTVNEVLKHNMEAILEQRYHINVGNLCGQVRKMHPWGDAKATKDEIDKKLAEILGPKTDADNVKPVKKKKEKAAKVEEKKAAVVTAAPPSEEELNPYSIFPQPEENFKVHTEIFYSDGNIWRAHNSKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNDNKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTASRAMVVLNPLKVVITNLEDEKVIDLDGKMWPDAPADDASSYYKVPFSRIVYIEKTDFRLKDSKDYYGLAPGKSALLRYAFPIKCTEVVYGDNPDEIIEIRAEYDPSKTTKPKGVLHWVAQPAPGVEPLKVEVRLFDKLFLSENPAELEDWLGDLNPNSKEVIKGAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPENIVFNRTVTLRDSYGKAGPK >ONIVA01G06300.1 pep chromosome:AWHD00000000:1:4444511:4448830:1 gene:ONIVA01G06300 transcript:ONIVA01G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQVGMGLGRVVLLVGAGMAGSVVIRDGRFADFVAGLQEALRDNDGGGVIDQIEEAVKKATMEVNQMISQPVTVITVDPAGNNGVVTTLIAPAAAAGALTYGYMRWKGISIASLMYVTKQNMANAVASMTKHLEQVQSSLAAAKRHLTQRIQHLDDKLDQQKQISGQIKEEVTGARLKLQDIGSEMQKIKQVAHGLGGKLDSIEAKQNYSLAGVMYLVEFIEQNGGRLPRSVEHLQRTARLSGITGDQKQLQGLGQLLAIESATPVGSGLHCTSARLFKAVA >ONIVA01G06300.2 pep chromosome:AWHD00000000:1:4444688:4448829:1 gene:ONIVA01G06300 transcript:ONIVA01G06300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQVGMGLGRVVLLVGAGMAGSVVIRDGRFADFVAGLQEALRDNDGGGVIDQIEEAVKKATMEVNQMISQPVTVITVDPAGNNGVVTTLIAPAAAAGALTYGYMRWKGISIASLMYVTKQNMANAVASMTKHLEQVQSSLAAAKRHLTQRIQHLDDKLDQQKQISGQIKEEVTGARLKLQDIGSEMQKIKQVAHGLGGKLDSIEAKQNYSLAGVMYLVEFIEQNGGRLPRSVEHLQRTARLSGITGDQKQLQGLGQLLAIESATPVGSGLHCTSARLFKAVA >ONIVA01G06290.1 pep chromosome:AWHD00000000:1:4436124:4444583:-1 gene:ONIVA01G06290 transcript:ONIVA01G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHELFRVRPPEIETRRGGRRRRRANSRRIEGEEIDEESRARRWWGERHTGAAVTFRARQLQSSIYCIYRIREKP >ONIVA01G06280.1 pep chromosome:AWHD00000000:1:4435530:4442576:1 gene:ONIVA01G06280 transcript:ONIVA01G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0FHA5] MTDGHLFNNILLGGRAGSNPGQFKVYSGGLAWKRQGGGKTIEIEKSDLTSVTWMKVPRAYQLGVRTKDGLFYKFIGFREQDVSSLTNFMQKNMGLSPDEKQLSVSGQNWGGIDINGNMLTFMVGSKQAFEVSLADVSQTQMQGKTDVLLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFLGDENRTAAQVLWETIMGVADVDSSEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTFVVVTLDPPIRKGQTLYPHIVIQFETEAVVERNLALTKEVLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRSEYHNLFNFINGKHLKIMNLGDGQGATGGVTAVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGGEDSDASESGGEKEKLSKKEASSSKPPVKRKPKGRDEEGSEKRKPKKKKDPNAPKRAMTPFMYFSMAERGNMKNNNPDLPTTEIAKKLGEMWQKMTGEEKQPYIQQSQVDKKRYEKESAVYRGAAAMDVDSGSGGNESD >ONIVA01G06270.1 pep chromosome:AWHD00000000:1:4432420:4435350:-1 gene:ONIVA01G06270 transcript:ONIVA01G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14240) TAIR;Acc:AT5G14240] MADYHFVYKDVEGASTEWDDIQRRLGNLPPKPEPFKPPAYAPKVDADEQRKSKEWLDAREPDELEDLEDDLDDDRFLEQYRRMRLAELREAAKASKFGSIVPITGSDFVREVSQAPSDVWVVVFLYKDGIPECGLLQTCLEELATRYPATKFVKIISTDCIPNYPDRNVPTILVYNNSAVKGTYVGLQKFGGKRCTPESVALALCQSEPVLNDGQSGGDSSRDNVIEGVRRKFIEKVVAQHEEREEDDDSD >ONIVA01G06260.1 pep chromosome:AWHD00000000:1:4431390:4431938:-1 gene:ONIVA01G06260 transcript:ONIVA01G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALARIHGGKTALTTLDDRSGSGTPFTATATITAGTSTPATITAAGFVHDPYAVAAARNRRKDKAKWQDEVLKLSLKGGGEWLCYLGLAVEGDDGAVAEGHGGAAGGGGRAGPDPEAAEGGLGLEGEERPEAVLRLDGPDLEPVAAGAHQGRPEDGDRAGASPVEPHLHRRAVARARRWLE >ONIVA01G06250.1 pep chromosome:AWHD00000000:1:4431267:4432704:1 gene:ONIVA01G06250 transcript:ONIVA01G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVAASPDESLTAPLLEPAASPRDGPSVEVRLYRRGAGPVAVFRSALVGPRRDRLQVRAIQAEHGLRALFAFKPESSLRGLRIRSGPAAAAGCSAVPFRDGAVIALDGEPKGSWTKPAAVIVAGVLVPAVMVAVAVKGVPEPLRSSRVVNAVFPPWILASAVIIYARVRTRPRAAAP >ONIVA01G06240.1 pep chromosome:AWHD00000000:1:4430653:4431134:-1 gene:ONIVA01G06240 transcript:ONIVA01G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVHLLRDAALSAPRQLFPGDALGGFGRSWTRFLTCDFPFYPRPRHWEEEADGGGEEPEAAARERERGERRRRRRIRGGGDDVVVVAAAVATSSALASSKRRRRRLERTRREVAPALAGGRGRTATATAPIARLLPTAAVGAKKKRRGERGGEK >ONIVA01G06230.1 pep chromosome:AWHD00000000:1:4427577:4428002:-1 gene:ONIVA01G06230 transcript:ONIVA01G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALHIDGDDVVLDVGNLALAGQASGGGGGDDGDAADNALMRLPRALGAVGVLTGAMAAAAAVYGSPPAGTVLARGGGMGYYVGLGGAFAAGVAEVWAAMWMAGDCPGRSAIGKRLLCFAVVPFLIVVSLGGFSVHVKN >ONIVA01G06220.1 pep chromosome:AWHD00000000:1:4422528:4426563:-1 gene:ONIVA01G06220 transcript:ONIVA01G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RH39 [Source:Projected from Arabidopsis thaliana (AT4G09730) TAIR;Acc:AT4G09730] MAMAGAAGRCLMLTRPSPLLRLRLLRAALTTTTTAAGASASPAAVTAPTEPDAAREAPSRHELLLERLRQRHLKGVPAATPRPAQREKGRGGGGAQELQQKRRVEVVESFEELGLGEEVMAALGEMGISKPTEIQCVGVPAVLAGTSVVLGSHTGSGKTLAYLLPLVQLLRRDEAMLGMSMKPRRPRAVVLCPTRELTEQVFRVAKSISHHARFRSTMVSGGSRIRPQEDSLNMPVDMVVGTPGRILDHIKDGNMVYGDIKYLVLDEADTMFDQGFGPDIRKFLAPLKNRAAKPGDQGFQTVLVTATMTKAVQKLIDEEFEGIVHLRTTTFQKRVATARHDFIKLSGSENKLEALLQVLEASLAKGNKVMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRNEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVAKKDVTLATRIEEAMKKNESLEALTTNNVRRAAVNPQYPSTKGRPSAIKVVNQKGRRGVALQTKSSRVVKDTTSSRRRSPTKSQPRSKSTSSGKAKPVRSAKPSKSSSPSPKVAKSRPRPEGRKGDALNKLGSKLSVVGFRGRSSGKSAQAS >ONIVA01G06210.1 pep chromosome:AWHD00000000:1:4419786:4420286:-1 gene:ONIVA01G06210 transcript:ONIVA01G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMFGLETPLMTALQHLLDIPDGEGGAAGKQGATGGPTRAYVRDARAMAATPADVKDLPGAYAFVVDMPGLKSSDIKVQVEEERLLVISGERRRGGGEEEKEESCKYLRMERRMGKFMRKFVLPDNADVDKISAVCQDGVLTVTVEKLPPPEPKKPKTIEVKVA >ONIVA01G06200.1 pep chromosome:AWHD00000000:1:4415340:4419012:1 gene:ONIVA01G06200 transcript:ONIVA01G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASPSARAEVVVPPDWAAATATAAAASSEPAPPVVVVCGPKNAGKSTFSRLLLNSLLPRYGRVGYLDTDVGQPEFSPPGCLSFHVVDEALTDLLNPTLRECERCCFFGDISSKRDPETYLNCLFHLYDYFVEKYRSGASEPLPLIVNTPGWVKGAGFDMLVEMLRYICPTIVVQIRISAQSKNLPDGMFWLDCGQTGPNMINIDAPFHDALNRSLLIQKDSYGMRERRLIEYFKQCFPSDISLTTNKELAYGLTSLPPYEVSISDVMVIHLHCQVPPSEVWHSLNATIVGLAISCGTIEAGRSIPWCAGLGIIRGIDVQRGILYVITPVPLEHLQRVDLLLQGLIEIPKSLLQVRGCVSPYMPTNVLHRISERDINT >ONIVA01G06190.1 pep chromosome:AWHD00000000:1:4411573:4412040:1 gene:ONIVA01G06190 transcript:ONIVA01G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLSKKPQREPDGDNALLPCVIAGFLVAGHVAACTYRAAAEPRDLAFVAAAYTMLALLLYCVGRFEALAADGSSPAAAVARERLRLPVWALSTALTVLFSSRVAPMMPPPLNALVVAMSVVVTVGGFCLLFLGNAGEDDDDEDEAASDQDEEV >ONIVA01G06180.1 pep chromosome:AWHD00000000:1:4408907:4409407:1 gene:ONIVA01G06180 transcript:ONIVA01G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTAQGTSVAPVPWQRLGQELRQRDGRWRARCALQLAAFAGATAAFAAVAYRVRHRPRDIAFLAVTYWLVALLLCLVEKLEALRLDASPPARETELRRVRLGVWAVAVTLGNTVAWRVCDAMPFLALKLGVWGVTLVVLGFAYYFVFRSKAGECHDEEHGHPSS >ONIVA01G06170.1 pep chromosome:AWHD00000000:1:4406249:4408334:1 gene:ONIVA01G06170 transcript:ONIVA01G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVPRRLEANSVGMADWAGLQTDILGVIVKKLAVPDYLRFRAVCTSWNHLCRDVFNCPRIDPWLMLPTNALNDSKFLCVPERKNQTIRLPSTVTIFGSTWIPVGSSHGWLIFYSPSHGTMQLVNPISGMQFNLPPIGRRAFSKAMLLDMNDTNFTVAVILRDQKGYKVTRKGSNSWSSVESKHDLVDIFKHRRQLYTVDIYGTVQLWAEPPRSWPDEDAPQRQSNDKFVVWILDKGTFSWEKVDNIGDFALFVSYYSSVCYRAKDHLNLKSNCVYFIDSYSNLCAFNLENRTKELVEALEPAHAHGHPEPHAVRRRPEGQRYMWLLPSLR >ONIVA01G06160.1 pep chromosome:AWHD00000000:1:4402309:4404328:-1 gene:ONIVA01G06160 transcript:ONIVA01G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVGSGSVRDLPPSKRFKYVGGSSLGMAPCLPAKKRLCPPMLEAAAAAAVPVCLPAKKRAYAAAAAVEGAGFALCLPAKKRAYAPPVDDAVAPACLPAKKRIHAPPPPPPDSGASPSVPTTKRVDTLPPAAADKAAISPSIPVPVRKRVHAPQPPPAPAPEKAAVSPSIPVPVRKRVHAPQPPPAPAPEKAAVSPSIPVPAKKRVSATAAAAATDASPRVPFKDLVNTLPPPRDAAVSPSIPAKKSARAPPSPKDTAAPVSVCLPSNKRVMPPFLPPSPPPSKESDGARVAAVKEAKPQGSNKRGATTNSSVANGVEDDYARAEASKIQEKPKIPEKPINHEEIKEQVSKKQRSNTCRESKDQECNQSCSAISAKQSEVEALEKACKAIDLNEAAREEDSWDGERVAREPTQEAMAAAAEEEVEEEDDGVHCAVCGSTDGDPSDPIVFCDGCDLMVHASCYGNPLTSSIPDGDWFCSVCTAAAAKKSKGNKPPPPPPRCCLCPARGGAMKRTTDARWAHIACALLVPEVFFRDPDGRDGVDCSRVPAHRFATACYVCESGGGCALECSQPRCGLEFHVSCGLDAGLCIEYQEAKAGGGGGGVVAGFCLEHTKLWEKQQLTGKYKIVSRGQK >ONIVA01G06150.1 pep chromosome:AWHD00000000:1:4388723:4397219:-1 gene:ONIVA01G06150 transcript:ONIVA01G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAPQARINFAIVRAVLASIHCSEMKKTHGWQWFLCPLIWYYAATLYCCKYYNKDPRERPGLAASAVAITDHSLN >ONIVA01G06140.1 pep chromosome:AWHD00000000:1:4382506:4388639:1 gene:ONIVA01G06140 transcript:ONIVA01G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFWTFILLYPEIFLAIICFFWFSLFRLIRQRQKSNLPVNWPVFGMLPFLVQNLHYIHDKVADVLREAGCTFMVSGPWFLNMNFLITCDPATVNHCFNANFKNYPKGSEFAEMFDILGDGLLVADSESWEYQRRMAMYIFAARTFRSFAMSTIARKTGSVLLPYLDHMAKFGSEVELEGVFMRFSLDVTYSTVFAADLDCLSVSSPIPVFGQATKEAEEAVLFRHVIPPSVWKLLRLLNVGTEKKLTNAKVVIDQFIYEEIAKRKAQASDGLQGDILSMYMKWSIHESAHKQKDEQFLRDTAVGFIFAGKDLIAVTLTWFFYMMCKHPHVEERILQELKGLQSSTWPGDLHVFEWDTLRSAIYLQAALLETLRLFPATPFEEKEALVDDVLPNGTKVSRNTRIIFSLYAMGRIEGIWGKDCMEFKPERWVSKSGRLRHEPSYKFLSFNTGPRSCLGKELSLSNMKIIVASIIHNFKVELVEGHEVMPQSSVILHTQNGMMRAHKSTETHLTSLIKS >ONIVA01G06130.1 pep chromosome:AWHD00000000:1:4380957:4381673:1 gene:ONIVA01G06130 transcript:ONIVA01G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVADEVTEAMEQVEGEREEADAVADEAAEVMEQAEGEREEAIDAVAGEAGEVMKEVVEGEREEANAMADEADEAMEPEGEREEEADAVADEATEPMEQVEGEGEDGDAATQRPALPLGRVKRIIRVDRDIKKVTNEAALLIAAATELFVGSLAAGAHRAASRRGRRAVRAVHVRAAAREHRPTADFLLDCLPAAEEAAPARAAAAGPSRGGGRGEAKPLPCGTRRIDAFFQKTT >ONIVA01G06120.1 pep chromosome:AWHD00000000:1:4368412:4372582:-1 gene:ONIVA01G06120 transcript:ONIVA01G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKNQRKPGEASWPKVVLKKWLNLKSKDSEFNADEEDDDDGSDVDEQENCGCDGGEERRRADGDLADENVEGGAPYRLRRRNSETLRAQYINTKELKLCVGTWNAAGKVPPGDLDIADWLGAGAGEPADVYVLGFQEVVPLNAGNVFGAEDARPAQAWEELIRSALRRARPPASSRPRYKCYSHPPSPSRGDATAAAAATDDDELFPGTDTDTDTNTDDDSLFSSPAESEQQNVAATPRRLTRLNHFTAAADAAAAMDDSGDEHQQRTLLKTLSRSDRVGLAWPEQPLDLLAKHATATASTTMPSSRSFRTYNSFRPSRAAAAADQSNADLAMIADLDMDLAAARKRRSPYVRIVSKQMVGVFLTVWVRRGLRRCVHNVGVSTVGVGAMGYIGNKGAVSVSMSVYQTMFCFVCTHLAAGEKPADLHKRNADVQEIHRRTHFAGVGMPRNIYDHERIFWLGDLNYRIDVTKGRAFDGWTEGVLEFAPTYKYELNSAKYIGDDQRGGRRTPAWCDRILSFGKGVKLMSYGRAELTMSDHRPVVATYAAEVEVFSSRKLQRALTLTDAEVEAGTVVAVPDHLAGF >ONIVA01G06110.1 pep chromosome:AWHD00000000:1:4363195:4367809:1 gene:ONIVA01G06110 transcript:ONIVA01G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10530) TAIR;Acc:AT3G10530] MASAKPAAEQKAMEGGEQEALDEMEKKVHKYSRGKAAYLGTLRDKKLKGQLAAREKLYGHSAKAAVQTEKWLLPTEGGYLEPDDDGLEKTYRYQQTSLREDVDLLSSRKPFDMILPLLGPYTLGYTGNGRYMVVGGRKGHVAMMDMLNLELVKEFQVRETVRDVAFLHNEQLYAVAQKKYPYIYNRHGTEIHCLKEHGKSLKLQFLEKHFLLSSINSFGQLHYQDISTGEMVANYRTGLGRTDVMRVNPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHSGPVTAIAFDRGGHLMATAGVDRKVKIWDLRKYEVINSYAARAQSLDFSQKGLLACSNGSQVEIFRDTGGQDYKIYMKHRMVKGYQIGKVLFRPYEDVLGIGHSMGLSAILVPGSGEPNFDTFVDNPVETSKQRREKEVQSLLNKLQPETIMLNPNMIATVRPSRKKEKKTKKEIEEEMEDAVEAAKSIEFKKKTKGRSKASKRAKKREEEVLKAKRPFLEQHKENDGRPDKKLRVSEEIELPKALQRFAKSRQ >ONIVA01G06100.1 pep chromosome:AWHD00000000:1:4339504:4358976:1 gene:ONIVA01G06100 transcript:ONIVA01G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREVDDGPAVLQLYRWKSSQPHLELSKFREAFISPTRRLFGLLSDHGDLILSMTNVDQSQVESPSLSDSCSPVVFDCFSSIPRVKSLAWGQCYDASSQLEDSIFNEVLVVSDDDSITLHGFCHSYKSTLTDNSKLDTGDLHGEWKEWRPTQCSVPEDDEAGTKNSFRSFLTTISGSVSDGKYQAKFPLKSSLPHSAEVVSFSIYDITLSFIKFWSSNYPSKTWMQNDTKSQQGFLGHVPTTETSCNCQWECLKVLPSSSGYLIGLVLIRNEPVSCEVHQRNMKDILVVVIELNQWGIQWNFVADLQNVYDGAVPNQQWVDFQLSDDFLACLNSGGFVVIWNVRTCNIAASFSVLERCRTDIDIPMGSITPTVKTSDGENIYIGNHARRMFKRLVLASYSLVLAVVDEVGVIYLFYAKDILDFKTSMHGNFHPPSMFYRGDSFAAWEAAGHDIGSVTFCCHRSVQQLVYDFSERDNPGVVRPRKRRKHCSSNENQVDSWPSGFSTTTPMKDGLAYPDTMAVSNPLRRVILPPCRLQENIISLSPFGLTRIFKSHNADGNKHFRIVHTNFLMASLHLDERDIDASFLKRSSFQKDSTFTGECVLCSFQGYVYLITQDSLSVVLPSISVSSFSSHNNGIKFWQPTSAGGSACDALNLSSVNRPETRWKPWQIEVLDRALLYEGPAFADRLCWENGWDLKFSRLRWLQLALHYTKISDLEQLMVLAVRFATRMIKCYGLLKQNKDAPDNSVKLHEMAFLLGVIRSIQSRISAKNQNSIRMGDDKNSSKIGAEVSLNTSLPIVVVDGVSAGQSGGIESRDRQESTPAMFELVSGSNGQLALTPVESSISATMHHAIDTDQVVIPSTQGNIKDMMNRWETNNLDLRTVVKEALQSGRLPLAVLQLQLLRQRESFSNDPEDVFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDIESDLRQLMQGTVRRLLRRQIAEEMKKRGYMSSNEWKMLEIISLIEQFYPSSSFWDTYLGRENVIHDAAKIVTLPGEDKPVLVLDIHNHCPIECGDVDGAVIGSWANVNDYTNLKEFSESNLSDGYWACAAIWSDAWDQRTVDRIILDQPCHAHAYAHFSWESQFEYFVAHNDVLEVCKLLDSIPDSVLLEGILRVNVDNSQATYNTLSDVATHDYKMYLFDSEEIEPVCMEIPHVKVFRSLCNHESTSWMRMIMLQELAKKHIFMKEYWQSTTEIIPILARAGMLVNTSELCSDVLHGAYHQDCDGALHKLVLRFCVQYNTPNLLDLYLDYCNLALEKDSIPLLKEATGDCKWAQWLLFSRVKGYEYEASFCNALWNLSQEMVRHNNLAAIEIDEILYTVDDMAERIGEMSALATLMYASTPIQKSICTGSVNRNRGVSSQCTLENLSPHLQQFPTLWKTLLSSCFGQDGYGCLNCSPTNGYSEANALSLEASIQKSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRASQLKSANASQVISVQSSVQADVQLILAPLSQTERPILLSVAPLAITNFEDSTLVASCTFLLELCGLCANMLRLDIAALQRISSYYKSFQQNKQCDLSSPRSPGLHVLSHGADLAPTLARTLAEDYIQSDHLHVLEQKQPSKAPKREHSSQPLKAILHHLEKASLPVLEEGRTCGFWLLNGIGDASLYRTQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQVSGFPIEVVIEVAAKEIRDSRLRTHILTILKNMMSARRKSSSNVSSGSDSSFFAVDGDNSMELFSVLAVCEKQKNPGEALLNKAKQMQWSLLAMIASCFSDVTPLSCLSVWLDITASREMSLIKVDDISSKIAKNVGSAVEATNKLPSMSRNVEYRYNRKNPKRRRFLEASQESFTSGFSSCGPSPTATPNFPNFDVQQKILKPISEETKIPVDIDERFASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLPFIRSLQAFCQMRLSEASAHLASFSARLKDETSHAQLNSSKEESAMAGWVAVTVVKAADAVLSTCPSIYEKRCLLQLLAVVDFSDGGSSAAYFRRGYWKIILAEPSVCKDGDTYKWNDSMDDASLLASLEKDGRWEEARTWARQLESSDVAWESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWSHCQSLFMKYSLPPLQAGSFFLKHAEAVGEEIPARELHEILLLSLQWLSGTMTNSSPVYPLHLLRDIETRVWLLAVESESQCKADGEYAPSSVTQNLATGNNTNIIEQTADVITKIDNSMSSPRMRITERNGIRDNNTPSFHQHLQLFESNGEGVHNTRARRRSKTNTLLRRVAKDSVESSINDSGDNSNSFNSSKIAGQARSLLSEEEFAKMEVSLSGWEQNVRPVDMEKAVLSLLEFGQITAATQLQQKLSPSYVPEELVLVDIALRVANNSSNGEISLSCFDPEALSILQSLGSNTTDPSEVMEKLAMKCGEGRGRALVRRIAAVVQTAKVLGLPFSEAFEKQPIELLQLLSLKAQDSFDEAKFLVETHTMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVVELLILSYHFYMSSSCLDGVDVLVTFAANRVESYVSEGDFSCLARLITGVSNFHSLSFILSILIENGQLELLLQKYSATDSATGAPASVRGFRMAVITSLKHFIPSDDDALSLVYKHFDMKHEAASLLESRAEQYMNSWLSRYDKERRNDELLEAMHHLVEMAEVLSTIDAGQRTHRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVLVLPLQPPMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLATLATGFSDVLDACNSVLDKVPENAGPLILRKGHGGTYLPLM >ONIVA01G06100.2 pep chromosome:AWHD00000000:1:4340007:4358976:1 gene:ONIVA01G06100 transcript:ONIVA01G06100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSREVDDGPAVLQLYRWKSSQPHLELSKFREAFISPTRRLFGLLSDHGDLILSMTNVDQSQVESPSLSDSCSPVVFDCFSSIPRVKSLAWGQCYDASSQLEDSIFNEVLVVSDDDSITLHGFCHSYKSTLTDNSKLDTGDLHGEWKEWRPTQCSVPEDDEAGTKNSFRSFLTTISGSVSDGKYQAKFPLKSSLPHSAEVVSFSIYDITLSFIKFWSSNYPSKTWMQNDTKSQQGFLGHVPTTETSCNCQWECLKVLPSSSGYLIGLVLIRNEPVSCEVHQRNMKDILVVVIELNQWGIQWNFVADLQNVYDGAVPNQQWVDFQLSDDFLACLNSGGFVVIWNVRTCNIAASFSVLERCRTDIDIPMGSITPTVKTSDGENIYIGNHARRMFKRLVLASYSLVLAVVDEVGVIYLFYAKDILDFKTSMHGNFHPPSMFYRGDSFAAWEAAGHDIGSVTFCCHRSVQQLVYDFSERDNPGVVRPRKRRKHCSSNENQVDSWPSGFSTTTPMKDGLAYPDTMAVSNPLRRVILPPCRLQENIISLSPFGLTRIFKSHNADGNKHFRIVHTNFLMASLHLDERDIDASFLKRSSFQKDSTFTGECVLCSFQGYVYLITQDSLSVVLPSISVSSFSSHNNGIKFWQPTSAGGSACDALNLSSVNRPETRWKPWQIEVLDRALLYEGPAFADRLCWENGWDLKFSRLRWLQLALHYTKISDLEQLMVLAVRFATRMIKCYGLLKQNKDAPDNSVKLHEMAFLLGVIRSIQSRISAKNQNSIRMGDDKNSSKIGAEVSLNTSLPIVVVDGVSAGQSGGIESRDRQESTPAMFELVSGSNGQLALTPVESSISATMHHAIDTDQVVIPSTQGNIKDMMNRWETNNLDLRTVVKEALQSGRLPLAVLQLQLLRQRESFSNDPEDVFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDIESDLRQLMQGTVRRLLRRQIAEEMKKRGYMSSNEWKMLEIISLIEQFYPSSSFWDTYLGRENVIHDAAKIVTLPGEDKPVLVLDIHNHCPIECGDVDGAVIGSWANVNDYTNLKEFSESNLSDGYWACAAIWSDAWDQRTVDRIILDQPCHAHAYAHFSWESQFEYFVAHNDVLEVCKLLDSIPDSVLLEGILRVNVDNSQATYNTLSDVATHDYKMYLFDSEEIEPVCMEIPHVKVFRSLCNHESTSWMRMIMLQELAKKHIFMKEYWQSTTEIIPILARAGMLVNTSELCSDVLHGAYHQDCDGALHKLVLRFCVQYNTPNLLDLYLDYCNLALEKDSIPLLKEATGDCKWAQWLLFSRVKGYEYEASFCNALWNLSQEMVRHNNLAAIEIDEILYTVDDMAERIGEMSALATLMYASTPIQKSICTGSVNRNRGVSSQCTLENLSPHLQQFPTLWKTLLSSCFGQDGYGCLNCSPTNGYSEANALSLEASIQKSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRASQLKSANASQVISVQSSVQADVQLILAPLSQTERPILLSVAPLAITNFEDSTLVASCTFLLELCGLCANMLRLDIAALQRISSYYKSFQQNKQCDLSSPRSPGLHVLSHGADLAPTLARTLAEDYIQSDHLHVLEQKQPSKAPKREHSSQPLKAILHHLEKASLPVLEEGRTCGFWLLNGIGDASLYRTQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQVSGFPIEVVIEVAAKEIRDSRLRTHILTILKNMMSARRKSSSNVSSGSDSSFFAVDGDNSMELFSVLAVCEKQKNPGEALLNKAKQMQWSLLAMIASCFSDVTPLSCLSVWLDITASREMSLIKVDDISSKIAKNVGSAVEATNKLPSMSRNVEYRYNRKNPKRRRFLEASQESFTSGFSSCGPSPTATPNFPNFDVQQKILKPISEETKIPVDIDERFASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLPFIRSLQAFCQMRLSEASAHLASFSARLKDETSHAQLNSSKEESAMAGWVAVTVVKAADAVLSTCPSIYEKRCLLQLLAVVDFSDGGSSAAYFRRGYWKIILAEPSVCKDGDTYKWNDSMDDASLLASLEKDGRWEEARTWARQLESSDVAWESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWSHCQSLFMKYSLPPLQAGSFFLKHAEAVGEEIPARELHEILLLSLQWLSGTMTNSSPVYPLHLLRDIETRVWLLAVESESQCKADGEYAPSSVTQNLATGNNTNIIEQTADVITKIDNSMSSPRMRITERNGIRDNNTPSFHQHLQLFESNGEGVHNTRARRRSKTNTLLRRVAKDSVESSINDSGDNSNSFNSSKIAGQARSLLSEEEFAKMEVSLSGWEQNVRPVDMEKAVLSLLEFGQITAATQLQQKLSPSYVPEELVLVDIALRVANNSSNGEISLSCFDPEALSILQSLGSNTTDPSEVMEKLAMKCGEGRGRALVRRIAAVVQTAKVLGLPFSEAFEKQPIELLQLLSLKAQDSFDEAKFLVETHTMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVVELLILSYHFYMSSSCLDGVDVLVTFAANRVESYVSEGDFSCLARLITGVSNFHSLSFILSILIENGQLELLLQKYSATDSATGAPASVRGFRMAVITSLKHFIPSDDDALSLVYKHFDMKHEAASLLESRAEQYMNSWLSRYDKERRNDELLEAMHHLVEMAEVLSTIDAGQRTHRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVLVLPLQPPMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLATLATGFSDVLDACNSVLDKVPENAGPLILRKGHGGTYLPLM >ONIVA01G06100.3 pep chromosome:AWHD00000000:1:4358975:4362754:1 gene:ONIVA01G06100 transcript:ONIVA01G06100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDPPPREAAAAPPAMKRSASFDRVPEEARRILHRLAGELWGGDVDPAALAVSQLKGAMTNEVFRITWPGGGEGEGEGDHRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLGRFPNGRIEEFINARTLSAADLRDTEISSLIAKKLREFHDLDMPGPKNVSLWQRLRRWLEEARGRCSPEEARQFSLEKLGDEIAMLDIALSGVDQRVGFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMSADYHSATPHVLDFTKYPGIDEQRRFVQTYLRSSGENPSDAEVEHLLGLIAKYSLASHIFWGLWGIISGHVNKNIDFEYQEYARQRFDQYWKTKDQTLGSKSN >ONIVA01G06090.1 pep chromosome:AWHD00000000:1:4333093:4333652:-1 gene:ONIVA01G06090 transcript:ONIVA01G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQYSFAATRRSQAVPTLVATVSRHRSIGSSYLAVCPCGDAMRDTSIVGIDGADNRTLQHVWSSGDGAESVIPLIQQAGTLILVSLSGACLV >ONIVA01G06080.1 pep chromosome:AWHD00000000:1:4312811:4327981:-1 gene:ONIVA01G06080 transcript:ONIVA01G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPGRAVMALGHHGAARQPPTTMAAAASSSTTSAAAAPATATTTVAFSFQHPTPTPSCEEGDHRGRPQMGNKGEAAAAMGAMGINDAGNNTAAAAAAQHHLGVGAVRMKKVGGGGGGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSRDDDDAARASAEMTFIWNVPYGALLNSYGIPHFMPSPSTHASHLPPRGQLVASVDACGPSDADRIFPLRSTARETRSRENINSSDLMDLSAIAIAHCISTKQPNHKVGASRERKKERQ >ONIVA01G06080.2 pep chromosome:AWHD00000000:1:4312811:4327981:-1 gene:ONIVA01G06080 transcript:ONIVA01G06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPGRAVMALGHHGAARQPPTTMAAAASSSTTSAAAAPATATTTVAFSFQHPTPTPSHHHHHHGVLGYSPLVLDHHHPTTAAASSHAPSPPTLHHHHGGGLHAAAPPPRSSPPHPWSCEEGDHRGRPQMGNKGEAAAAMGAMGINDAGNNTAAAAAAQHHLGVGAVRMKKVGGGGGGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSRDDDDAARASAEMTFIWNVPYGALLNSYGIPHFMPSPSTHASHLPPRGQLVASVDACGPSDADRIFPLRSTARETRSRENINSSDLMDLSAIAIAHCISTKQPNHKVGASRERKKERQ >ONIVA01G06070.1 pep chromosome:AWHD00000000:1:4301937:4308413:-1 gene:ONIVA01G06070 transcript:ONIVA01G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gigantea protein (GI) [Source:Projected from Arabidopsis thaliana (AT1G22770) TAIR;Acc:AT1G22770] MSASNEKWIDGLQFSSLFWPPPQDSQQKQAQILAYVEYFGQFTADSEQFPEDIAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLSYDRNGFPFMSFISLFSHTSEKEYSEQWALACGEILRVLTHYNRPIFKVDHQHSEAECSSTSDQASSCESMEKRANGSPRNEPDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYDSGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTSAALLFRILSQPTLLFPPLRHAEGVELHHEPLGGYVSSYKRQLEVPASEATIDATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVTNDLRLTNGRNRCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGNHSNLKDSIKIVIPGKTNGISNELHNSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKQCKWDAEISTRASSLYHLIDLHGKTVTSIVNKAEPLEAHLTLTPVKKDEPPIEEKNINSSDGGALEKKDASRSHRKNGFARPLLKCAEDVILNGDVASTSGKAIASLQVEASDLANFLTMDRNGGYRESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLECRLSTTIRCLSHPSAHVRALSMSVLRDILNSGQINSSKLIQGEHRNGIQSPTYQCLAASIINWQADVERCIEWEAHSRRATGLTLAFLTAAAKELGCPLTC >ONIVA01G06070.2 pep chromosome:AWHD00000000:1:4301937:4308413:-1 gene:ONIVA01G06070 transcript:ONIVA01G06070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:gigantea protein (GI) [Source:Projected from Arabidopsis thaliana (AT1G22770) TAIR;Acc:AT1G22770] MSASNEKWIDGLQFSSLFWPPPQDSQQKQAQILAYVEYFGQFTADSEQFPEDIAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLSYDRNGFPFMSFISLFSHTSEKEYSEQWALACGEILRVLTHYNRPIFKVDHQHSEAECSSTSDQASSCESMEKRANGSPRNEPDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYDSGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTSAALLFRILSQPTLLFPPLRHAEGVELHHEPLGGYVSSYKRQLEVPASEATIDATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVTNDLRLTNGRNRCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGNHSNLKDSIKIVIPGKTNGISNELHNSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKQCKWDAEISTRASSLYHLIDLHGKTVTSIVNKAEPLEAHLTLTPVKKDEPPIEEKNINSSDGGALEKKDASRSHRKNGFARPLLKCAEDVILNGDVASTSGKAIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLECRLSTTIRCLSHPSAHVRALSMSVLRDILNSGQINSSKLIQGEHRNGIQSPTYQCLAASIINWQADVERCIEWEAHSRRATGLTLAFLTAAAKELGCPLTC >ONIVA01G06070.3 pep chromosome:AWHD00000000:1:4301937:4308425:-1 gene:ONIVA01G06070 transcript:ONIVA01G06070.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:gigantea protein (GI) [Source:Projected from Arabidopsis thaliana (AT1G22770) TAIR;Acc:AT1G22770] MSASNEKWIDGLQFSSLFWPPPQDSQQKQAQILAYVEYFGQFTADSEQFPEDIAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLSYDRNGFPFMSFISLFSHTSEKEYSEQWALACGEILRVLTHYNRPIFKVDHQHSEAECSSTSDQASSCESMEKRANGSPRNEPDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYDSGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTSAALLFRILSQPTLLFPPLRHAEGVELHHEPLGGYVSSYKRQLEVPASEATIDATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVTNDLRLTNGRNRCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGNHSNLKDSIKIVIPGKTNGISNELHNSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKQCKWDAEISTRASSLYHLIDLHGKTVTSIVNKAEPLEAHLTLTPVKKDEPPIEEKNINSSDGGALEKKDASRSHRKNGFARPLLKCAEDVILNGDVASTSGKAIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLECRLSTTIRCLSHPSAHVRALSMSVLRDILNSGQINSSKLIQGEHRNGIQSPTYQCLAASIINWQADVERCIEWEAHSRRATGLTLAFLTAAAKELGCPLTC >ONIVA01G06070.4 pep chromosome:AWHD00000000:1:4301937:4308425:-1 gene:ONIVA01G06070 transcript:ONIVA01G06070.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:gigantea protein (GI) [Source:Projected from Arabidopsis thaliana (AT1G22770) TAIR;Acc:AT1G22770] MSASNEKWIDGLQFSSLFWPPPQDSQQKQAQILAYVEYFGQFTADSEQFPEDIAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLSYDRNGFPFMSFISLFSHTSEKEYSEQWALACGEILRVLTHYNRPIFKVDHQHSEAECSSTSDQASSCESMEKRANGSPRNEPDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYDSGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTSAALLFRILSQPTLLFPPLRHAEGVELHHEPLGGYVSSYKRQLHIDLYFHTCSFNCTKLEVPASEATIDATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVTNDLRLTNGRNRCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGNHSNLKDSIKIVIPGKTNGISNELHNSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKQCKWDAEISTRASSLYHLIDLHGKTVTSIVNKAEPLEAHLTLTPVKKDEPPIEEKNINSSDGGALEKKDASRSHRKNGFARPLLKCAEDVILNGDVASTSGKAIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLECRLSTTIRCLSHPSAHVRALSMSVLRDILNSGQINSSKLIQGEHRNGIQSPTYQCLAASIINWQADVERCIEWEAHSRRATGLTLAFLTAAAKELGCPLTC >ONIVA01G06070.5 pep chromosome:AWHD00000000:1:4301937:4308413:-1 gene:ONIVA01G06070 transcript:ONIVA01G06070.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:gigantea protein (GI) [Source:Projected from Arabidopsis thaliana (AT1G22770) TAIR;Acc:AT1G22770] MSASNEKWIDGLQFSSLFWPPPQDSQQKQAQILAYVEYFGQFTADSEQFPEDIAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLSYDRNGFPFMSFISLFSHTSEKEYSEQWALACGEILRVLTHYNRPIFKVDHQHSEAECSSTSDQASSCESMEKRANGSPRNEPDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYDSGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTSAALLFRILSQPTLLFPPLRHAEGVELHHEPLGGYVSSYKRQLHIDLYFHTCSFNCTKLEVPASEATIDATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVTNDLRLTNGRNRCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGNHSNLKDSIKIVIPGKTNGISNELHNSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKQCKWDAEISTRASSLYHLIDLHGKTVTSIVNKAEPLEAHLTLTPVKKDEPPIEEKNINSSDGGALEKKDASRSHRKNGFARPLLKCAEDVILNGDVASTSGKAIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLECRLSTTIRCLSHPSAHVRALSMSVLRDILNSGQINSSKLIQGEHRNGIQSPTYQCLAASIINWQADVERCIEWEAHSRRATGLTLAFLTAAAKELGCPLTC >ONIVA01G06060.1 pep chromosome:AWHD00000000:1:4298789:4301252:1 gene:ONIVA01G06060 transcript:ONIVA01G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKKRRPEEEEAAVAEEEMHLAFRGAANALSQVYGQAVAAQEKSFRAGERRAMENVYRWICSKHQEGLEVSVADLVAFLQTEIEHRAGEVPGSLQHTSAQPACQFPSANVQSNSFSFGNVTDALNSHTAQTGQTQTAGVLNAPPNPLRQNLHSNHHPIHCSAYGTINSLPDGIGAQSNHPPQHQNFMHCNSATL >ONIVA01G06050.1 pep chromosome:AWHD00000000:1:4295276:4297444:-1 gene:ONIVA01G06050 transcript:ONIVA01G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLQQRCKPTDTGTAAKLGCSTMQSLKPGKYINKSSGNASTKYRRAGASSPQLYTEKQSAPKDGSFMEALFHDSKNVSARPPRHSGKIALQLFPIDEEFQKSLQQKNHNPYLELTVAPRKKISSVLQHLNTKWGNSQCARGELMLFPDGTRLDNINGSERWTRSDSCTAADVHVAVGSPSTFRLREQKQMTALSEFPSNFAAPSTEANTVKTMKQDNQSKETPLSWIDCISNISFGALLAEAAPSQDSKQLLPQNNSSLQQIPLTADSFDAAIASLIARQQASSQPKVSTPSLWDAEETCHAFPSQNRISRRTPGTTPSSCGASTLSVLGTILESGTDGEKQCSTEDRREEPNPQASLLANDDNNVKPDIPVSESTGEPRLGASCFQSESTGEPEVGASCSRLLSGTDSLSVSDLLANSLDAFQKFSVF >ONIVA01G06040.1 pep chromosome:AWHD00000000:1:4290522:4291037:-1 gene:ONIVA01G06040 transcript:ONIVA01G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGGGGYSPRFQRQASCSCAPSISMSRRYVRGGFDLAGDYDDDDEEEYGYLGAGVFDGVHHADGKPGAASRGAGAPASASASSGRGCGTRLKGLWRRILRENKKRILLCATGCVPASSSAAAAARVPYDAYSYAQNFDDGAAWVEPENLSRSFSARFAVPSRVLQRVAV >ONIVA01G06030.1 pep chromosome:AWHD00000000:1:4286316:4290116:-1 gene:ONIVA01G06030 transcript:ONIVA01G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKVERAAAAAMAVAAVRAAAADAAVTFLWVLCVSTLGASTAAVTSYLRIHEGIHYALLVTVSLLSVLLFAFNLLCDALGGASFNPTALAAFHAAGLSSPRHSSLFPLALRFPAQAAGAVGGAMAISELMPEQYKHMLGGPSLKVDLHTGAAAELVLTFVITLAVLWIIVKGPRNPIVKTWMLSISTVCLVLTGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWICPFVGAVLAAWVFRAVFPPPAPKPKAKKA >ONIVA01G06030.2 pep chromosome:AWHD00000000:1:4286316:4288058:-1 gene:ONIVA01G06030 transcript:ONIVA01G06030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVRAAAADAAVTFLWVLCVSTLGASTAAVTSYLRIHEGIHYALLVTVSLLSVLLFAFNLLCDALGGASFNPTALAAFHAAGLSSPRHSSLFPLALRFPAQAAGAVGGAMAISELMPEQYKHMLGGPSLKVDLHTGAAAELVLTFVITLAVLWIIVKGPRNPIVKTWMLSISTVCLVLTGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWICPFVGAVLAAWVFRAVFPPPAPKPKAKKA >ONIVA01G06020.1 pep chromosome:AWHD00000000:1:4279265:4282702:1 gene:ONIVA01G06020 transcript:ONIVA01G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRPPYVLLERVVFFGGRELPDGTWKDAAGIVIGWSRARLLSRREAMEAMEPHPFLADPPQVSSLRMMSPTPAHAQQLGSIRDGDIASTHKGIVVIYAGFYRPGCSDDLGGCYLLYDAPTNALTAIPPLPDSPRFPTLLHLGRTAVLVDDSRSADDYILADIVTNSGLGLPEATIFAWSSLTMKKSGGEWVKSSIPRLPLPAHLCGPKHLFQIDLAFSLDSGRICWVDLLQGILFCDRILAPDGPKLGFIPLPTGYCIDVHHRLRHQMMPLARRSMACVSGAVKFVALVGLEDIHCPPNEVMLKTWVLSPDFKEWKEDSRSLSVEEMWASESFKQMGLPCVVPVSPVLSLTQDGVMYTILNVIEQVPAQVDEFGIVVVDDDLVPIANYMIRFDIRRNKVLSSTKISQHGELQWLIPNLIATDFTAYLQDHQRAEEAGKVGASAKGKRKQMEYY >ONIVA01G06010.1 pep chromosome:AWHD00000000:1:4278465:4278900:1 gene:ONIVA01G06010 transcript:ONIVA01G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNSGNLILTNPLNSLESFNYPTYTFFPGAKLGWNKITGLNHRIIFKKNLVDPAIELDPTGVNQALLAPVNSTPYWSSGAWNGEYLSSIPEMASHIFF >ONIVA01G06000.1 pep chromosome:AWHD00000000:1:4275079:4276686:-1 gene:ONIVA01G06000 transcript:ONIVA01G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVGGGARCGRRLRKGRLQRRRGGPRSAAVAAAEGEVSGGCGGEGRDHHDLCITIPRDLTV >ONIVA01G05990.1 pep chromosome:AWHD00000000:1:4270228:4274756:-1 gene:ONIVA01G05990 transcript:ONIVA01G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLGGRGTSRSIESHGGGKGCDIGCRRRTAPPRRRRRSAALVVVVAALPPSSSSQRRSHLRRHRNCRRRNSRGVKVARQPSGAGGQPRRCSVARQQGGQAALGLLLAGSLLRRIAVLDPATAAVDVGDAAPSPRPSESGKLHAGDLATAAVDAVTPTETMIHSGGRGRRRRRRRRRGSKGPYERGGGGDGDQAAKGCMDAAATGAKTGSTLSSGCSAAKAPAWMEEFSGDSTLCKKKDNTLDEVKEVVHVKQDAPPPSSFLPNSSGLQSLFVKACGVNISVQVDLSNTKVDYLINSACQKMRVNVKDTYAVLCGKILEYNKSLSDYPLYRNSTIEIRFRGRAGQPMTFDEKFDDIHANTWFYTVDLVPALQRQTRTNPPVLPWLSVRYFSDFASYNIQKVLNHITAMHWENLSYNGAFNSDNIIFHNGAVTIQGVLTVQFNGVTCAKDFAKLYSIFIAKFTPHERMSQLEHLDNIYPRHPGHSTTNISALGTFSWQDKVVRVPELRRAFIYGPNGRAYLVNGVWHNLNPLYTNDPKGCLHFANNFLKHAQNKFMLHEVEAAFSNCLEEYLPHILKAIARLAQHNPQGQQYLVDVKQQSGGAMNESYLARLMEHGGMAACWNTKKNNHSCCTV >ONIVA01G05990.2 pep chromosome:AWHD00000000:1:4270228:4274756:-1 gene:ONIVA01G05990 transcript:ONIVA01G05990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLGGRGTSRSIESHGGGKGCDIGCRRRTAPPRRRRRSAALVVVVAALPPSSSSQRRSHLRRHRNCRRRNSRGVKVARQPSGAGGQPRRCSVARQQGGQAALGLLLAGSLLRRIAVLDPATAAVDVGDAAPSPRPSESGKLHAGDLATAAVDAVTPTETMIHSGGRGRRRRRRRRRGSKGPYERGGGGDGDQAAKGCMDAAATGAKTGSTLSSGCSAAKAPAWMEEFSGDSTLCKKKFMLHEVEAAFSNCLEEYLPHILKAIARLAQHNPQGQQYLVDVKQQSGGAMNESYLARLMEHGGMAACWNTKKNNHSCCTV >ONIVA01G05990.3 pep chromosome:AWHD00000000:1:4270228:4274756:-1 gene:ONIVA01G05990 transcript:ONIVA01G05990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLGGRGTSRSIESHGGGKGCDIGCRRRTAPPRRRRRSAALVVVVAALPPSSSSQRRSHLRRHRNCRRRNSRGVKVARQPSGAGGQPRRCSVARQQGGQAALGLLLAGSLLRRIAVLDPATAAVDVGDAAPSPRPSESGKLHAGDLATAAVDAVTPTETMIHSGGRGRRRRRRRRRGSKGPYERGGGGDGDQAAKGCMDAAATGAKTGSTLSSGCSAAKAPAWMEEFSGDSTLCKKKINRQETRLERFRDRKLDSEMKQQSGGAMNESYLARLMEHGGMAACWNTKKNNHSCCTV >ONIVA01G05980.1 pep chromosome:AWHD00000000:1:4263819:4264236:1 gene:ONIVA01G05980 transcript:ONIVA01G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVAQEVAHCGAWPAAKSRPRWQRQRRCAAGGVTILPVEQTAVAIDKLVCEPVVVADEPAAAANEPVVKGTVAANEPTVREGIVAPTRLVGPERGDDLERGAAHGREGTATGERPSNRSIQKEGVDGEN >ONIVA01G05970.1 pep chromosome:AWHD00000000:1:4263079:4263751:1 gene:ONIVA01G05970 transcript:ONIVA01G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGEPSSRAGKGEGAQIAAVGGDRGAHTAAATTGLDKERASGIGYGGERGGGGKTTSSATTDLGDGRDRGGGGDVQGAQIDQGEERPGHASGGSNMHGA >ONIVA01G05960.1 pep chromosome:AWHD00000000:1:4254711:4260971:-1 gene:ONIVA01G05960 transcript:ONIVA01G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGSASAESSSTRPPPGAWPGGFDLYGWAYSEGLHRHHGGDTLWRVRSLAPSSPLPALNVSRQITGTLTDLTLRAIMGECGFRWREEFLETLGEA >ONIVA01G05950.1 pep chromosome:AWHD00000000:1:4252205:4253760:-1 gene:ONIVA01G05950 transcript:ONIVA01G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTACSASALAWGLGLGGFGFGSALSLFGSGGGIAGTREGSWTPERRGEEEKEEERVLGSWPVAFKVSAPSTSESESCSCSCRFAKSEGIQSHLVAGSMKSTGREIPDNLFDGSNFLKFLKIYCFRQHDESQGIIIVSPAAQLIPLINLFSL >ONIVA01G05940.1 pep chromosome:AWHD00000000:1:4242868:4246257:-1 gene:ONIVA01G05940 transcript:ONIVA01G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FH61] MAATGDSAAAAGEVRRLLAHLDSHQQLLASCHDAWSRALAHFASLDEDLAARSASLDDALAAAGASTSESLAALEAREAAVPARLAEAEAALSAAVAEAGSAEPPPADVRGALRWMCGRMDAPALWRFMAARRRELAAVRKEVGPAVAASVDPPRLVLDALADFLAAEDGAGEDQFWVLGILLRSLFDSDGRKPPEIGDTLVERAAGVAKNWSEKFGIKMELYAPDNNEVEMTEAPLVENATATEKKEEHVDEEEEEEEEDPEEMVPASEEEADAEEVEKEEEDPEEVEKEGGEAEAKVANAAKTGEVEKRKVEEDKKASGREVKEGEKGGQAEVQIFLQMVAAFGLKDRYDVDFLRRLLVDNGRRRELARIACVLGFEDSLRDVIEEFIKSGNEIEAIHIAHEAGLLERFPPVPLLKSYIKRITNKTQVALRGGRHSNSVVEEANNSECNAYKSIIRCVETCQLTSAFNLDGIRKKVARMEKEKADRRKPSGMNRFQNNKRARGASGPQSFPPSKYSRGSNSNYGSSFRNPASHSFPYTDRAGFVGPAPGARPHFAPGSSMGTRRAGVLYGGPGATFGAGHGYGAGAGHQSYHH >ONIVA01G05930.1 pep chromosome:AWHD00000000:1:4234131:4240394:1 gene:ONIVA01G05930 transcript:ONIVA01G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRRAATVRTRRGQIHRVTAMPPPPQQAPEPAGLQLLLQPEVVAPNHPPPAPPAPAAVPAPPQPQGEARYRRPLVRLQAVPNEDHVPDNYGDGPDELGITPAVYQALERHLPPDLAGAPAEVKRYFMRSVLRNYVPSPSQRIRTQNQREYRERILSAYQPLHPELYTNDPSTFILPAFLQAINGNTEESITSIMMEPAPGVFAFPMLKPSFCQMLMSEVNNFLRWAQSANQRIMRPTSLDRHGRGAALSDFGLQEMLDNLMKDFISPMSTVLFPEVGGNTLDSHHTFVLEYGEADGARGFHVDDSEVTLNICLGKHFTGADMYFRGIRCGNHVNSGTHDEEYFVHPNVPGQVLLHHGSHRHGVFSVTSGRRVNMVMWCKSSVFREMKKFMTDFSGFCRECQFQRTARQVQHLQELTARISISGQESKDETP >ONIVA01G05920.1 pep chromosome:AWHD00000000:1:4223785:4229638:1 gene:ONIVA01G05920 transcript:ONIVA01G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSVSQIKRLLGRKYSDPELQRDIAAFPFRVSEGPDGFPLVHARYLGEERVFTPTQLMAMVLSNLKGIAESNLNTAVVDCCIGIPVYFTDLQRRAVLDAATIAGLCPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGYKKGQLKILSHAYDRSLGGRDFDEVLFKHFAAKFKDEYKIDVYQNARACIRLRVACEKLKKVLSANPESPMHIECLMDEKDVRGFIKREEFEKISAPILERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKILTDFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNDGFPFSIAMSWKPDSQNGDNQQTVVFPKGNPLPSVKALTFYRSNTFQVDVTYVDTGDLQISPKISTYTVGPFNPGKGDKAKLKVKVRLNIHGVVTVESATMLEEEEVEVPVAATTEPPKDSAKMETDDAPNEAASGTDVNMQEAKAPADAAADGAENGAPNSEEKSVPMETDAKVEPSKKKVKKTNVPVAELVYGALGTTELQKAVEKEYEMALQDRVMEETKDKKNAVESYVYDMRNKLYDKYNDFVTAEDKEAFIAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGGPIEARYKEWMDRGPSIDQLAYCINSFRDAALSKDPKFDHIEMEEKQKVINQCSEAEVWLREKIQQQDALPKHANPVLLSSDLKKKAETVDRFCKPIMMKPKPAPKPQTPPQTPPTETPAGGAQTPEQQPQGAEAAGEASEGGASESTGEQMETDKPEGTEAA >ONIVA01G05910.1 pep chromosome:AWHD00000000:1:4215150:4219184:-1 gene:ONIVA01G05910 transcript:ONIVA01G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKRARPPASSPVDPPASPPAPEDPRVLLRRSWELASVLHFLRVFEPVIEGNLGLSAEEIETALIANNHDLARIHIALIKGIPPTIKNLKVDDGWIKATAKKLSDWWTWVAEGANPFKINPGKEIDTYKQQDPIKRLLILKALCEVRSEQNDAVWYVNDEMKRGVDISNFRKEKLGSSSNGTIYWYDGDSTIGHRLYSEYVTLDFKRNLKGKNGRLTKPVINIQWETVATNLDEFVEISDKLCSKGRPESAIGEHLKTEIIPDVEKLQKKKERDLKRQQKKDELLAYATSFQTRSLRERRPVSYNYSDYDRSIKEAIKAAAKSKESDPQEAGKKEKRASHQGNKGANGGSDIGPEQKEDGQEDAGGSDVNPEQDKDGGQEDVESLDDLSSDEDEDRDYNGKDDDSSGSDGENDVGSDGENDAYDPNKGDTEEEEVFVPRKRTRLASRRFDKPPQGLRRSRRNMKNDEYVMRPGQLTPRSMTKRTMRQRPTSISKQFSLSGSEDDREMIVADSEEESGSP >ONIVA01G05900.1 pep chromosome:AWHD00000000:1:4204121:4213773:-1 gene:ONIVA01G05900 transcript:ONIVA01G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS homolog 7 [Source:Projected from Arabidopsis thaliana (AT3G24495) TAIR;Acc:AT3G24495] MQPRRRQQQQQSILSFLQKKPAAAAGEEGATPERPPRPPAASVAGIMERLVRPQRQQQQGRDQDASQARHVEQRALPVKNQTTSNECSSALFLESCNAGDNKAAMLIAEEGSNMTPLQEPLKSLWPSKDDFVRASTLSPELGLDQNLPPHCPKKLPFESSNNNCIGANSSFEEFDVQTPQDTSKTVFWRSSRGADTPLTESDSDQTPLQHPSKFSFVSPNGEYVRGATLFALDSNYTPRRESSEKLSSGPSDLPYIKATKLFTEFDSNGTPSQNHLKKLSSVPKNDKRIGAGAELFSEFDPSPLKPETPVMRAVIPRLKRVQEDQRVTTNDSCSPFWGPNKKVKPAQCSPVENKVHDEMAESARSKFEWLNPSNIRDANRRRLADPLYDKTTLFIPPDALRKMSTSQKQYWNIKCKYMDVVLFFKVGKFYELYEVDAEIGQKELDWKMTISGVGKCRQVGISESGIDVAVEKLLARGYKVGRIEQMESADQAKSRGSNSVILRKLVHVSTPSTVGDSNIGADAVHLLSLKEITLASNGSRVYGFAFLDYAALKIWVGSVHDDDTFAALGALLVQVSPKEIIYETSGLSKETHRLIKKYASAGSVKMQLTPLYGLYFSDVSEIQTLIDSRGYFKASTSSWLSALNSSVNKDAVICALGGLVSHLTRLMLEDALKNGEVLAYHVYRTCLRMDGQTLVNLEIFSNNFDGGSSGTLYKHLNHCITPCGKRLLRRWICHPLKDIDAINERLDIVEGFIQNCGLGSVTLEHLRKVPDLERLLGRVKSTVGLSSAVLLPFVGEKILKRRIKTFGMLVKGLRVGIDLLDILQRQDHGISALSKAVDIPTLSSLGELIHHFEEAIDDDFPRYQDHSVKDDDANTLAMLVDLLVGKASEWSLVINALSTIDVLRSFAAMALSSFGTTCRPNILLKGKAPVLQMKGLWHPYAFAESVNGLVPNDLSLGQDLSGQNRFALLLTGPNMGGKSTIMRATCLAIVLAQLGCYVPCQSCELTLADAIFTRIGAMDRIMSGESTFLVECTETASILENATEDSLVLLDELGRGTSTFDGYAIAYAVFRHLVEAVRCRLLFATHYHPLTKEFASHPHVTLQHMACMLKPRNGGDGGEKELTFLYRLTSGACPESYGLQVATMAGLPRSIVERASAAGEMMRSKIAGNFRSSEERAEFSTLHEEWVRTIVAIGGVKDAHLDEDTMDTLFCVFHELKAHFRKRK >ONIVA01G05890.1 pep chromosome:AWHD00000000:1:4184358:4186192:-1 gene:ONIVA01G05890 transcript:ONIVA01G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPMSSQAGGGGGGGGGGGKVGFGLAAMLRRMVVSDTDAGGGGGEAGRVRGGGGGGAALFAVPRLFVGLAAKRGAGDGGEPASRSPTSPLDPKALLLRSPRSPRTWWDAEPVGLALAAAADDDAAKNCLLLSPRVGPLKSFASLPKDCGGGHSPRPGELAKAMSCAAAATATASAAGGMSVPCGVFFYGDLKSGPEATRSGGAHPNAKRRSFDLGGGKIPGPGSLPASIGGVRRFIGSVSASEIEQSEDYTCIIARGPNPKTTHIFGDCILEPQTMDASASAAAMDVTEAPTESYWVVKCDAGAAPASGGGGGNFLSSCFTCKKKLEGNDIYIYRGEKAFCSANCRDQQILIEEEAENNTTIVSSPRSSCSSLHEDIFMAGMFVAT >ONIVA01G05880.1 pep chromosome:AWHD00000000:1:4177653:4181986:-1 gene:ONIVA01G05880 transcript:ONIVA01G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLLAPSPAPRPLAFRCRRGGRARRGAAIVASSSASSSSSSGDAGPSHSAAAAGAYVLARRGVLLGVSALPLLRAREAAAAAAVATPNSGDLATVYGMSFPQGFIRRKRVDFPRIDHQAQTCYKTFKIGRNTVICRPNEAIVDETKDIQKPDEPQPGETQAESPLPEALQPESSLPVTQEQTPGNPLSGLLNAIAVAASGVLAGLYGTSQQEKKALESVVSSMESKLAENEAAISLMRENYEKRLLDQQTAQKKQAMKFQEQEASLLDQLSSTKKTVTSLSEEFRREKTLAEELREEIRRLESSLAQAGDDKDVLEAKLKEKLGDVNILQEKVSLLSQEIDNKGIRIRELSSLLSSKEADYRNLCSFSDQTKESLELAEAKIQQLEEEVHRTRNDLSSKISSIDLLNEELQALNSAKNEAEEKLSELTKDYTDLKASSEARESRNSELLLEKDNMIKQLDGKLSDALSDSSKDREIIAALNKELDATKAMLENEVAAVKSLRESLQSTEEALTDSRSEVSKLSVELDEANRMNQDLVLQISKLQDEFNEMQEGLTNKLGEVESVSKALSDELVSVKEMVHKGQEELEATSNELASIVEARDNLKKELLDVFKKLESTSQELVDERKTVTTLNRELEALVKQLQMDSEARKALEADLDEATKSLDEMNRSALSLSKELEETNSRKDTLEAEKEMLSKALAEQQKITTEAHENTEDAQNLISRLQTEKESFEMRARHLEEELALAKGEILRLRRQISTSRSQKAKTLPNTNASPEVSQAPDEQPVNDNQNTSKVAAGSQYTAKRTTRRRKGGAST >ONIVA01G05870.1 pep chromosome:AWHD00000000:1:4156095:4157802:-1 gene:ONIVA01G05870 transcript:ONIVA01G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGFLLLVVFAVAVAGGAVAAAADLDGGDGGGGVAAEWRFPSSRMRDAYVALQTWRREAIFSDPGNLTADWVGPDVCNYTGVFCAPLPWDRREVAVAGVDLNHGDIAGYLPPELGLLADLALLHLNSNRFCGVLPATLRRLRLLHELDLSNNRFVGRFPEVVLDMPALRFLDLRFNDFEGGVPRQLFDRPLDAIFLNHNRFRFDLPDNFGNSPVSVIVLAHNSFGGCLPASLGNMSGTLNEILLINTGLSSCLPPEVGMLREVTVFDVSFNRLAGPLPSAVAGMRKVEQLDVAHNLLTGAIPQAHRNSLCCCGVERDWEVVGVWGFGGFGNI >ONIVA01G05860.1 pep chromosome:AWHD00000000:1:4155665:4157563:1 gene:ONIVA01G05860 transcript:ONIVA01G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNILRRNSINAAGEEVVIHMVRCGSVAMSCGVAEGEVVVSHMVLHGQVAMARGSKVVVVVVTMLVLRRRRVAVAVVVAAAPDMADAVVARVVAAAAAAAMAVVVKAEAVMSTVAVGQAVPPRGTARAQDGGSPVKKLYAKVKFLRRGSSHTACGIAPVSRLCATSSCSTFLIPATADGSGPASRLKLTSNTVTSRSIPTSGGRQELRPVLMSKISLSVPDMLPRLAGRQPPKLLCARTMTETGELPKLSGRSKRKRLWLRKMASSGRSNSWRGTPPSKSLKRRSRNRSAGMSSTTSGNLPTKRLLLRSSSCRRRRRRRVAGSTPQKRLELRWSSARSASRPSSGGRYPAMSPWLRSTPATATSRRSHGSGAQNTPV >ONIVA01G05850.1 pep chromosome:AWHD00000000:1:4144891:4147945:-1 gene:ONIVA01G05850 transcript:ONIVA01G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAAGICSGLAYKARHGQTKTNALIWEFMSLVQRKHTHELSLSGVRSWGSMTLGSGGMAAEEGGGNGEAAARGERCVVVAVEETCCACAQLMVGPPNPMMARYVYAFVFLATNLLAWTLRDFGHPVLAELRRLRGSCQGAGYCLGAEGVLRGVSGDPARQCHPVHHLAERLLPVRDQPEEMVQVVSIAAYVGSILGVVLMYVWYAPRPSCKLNILFITVTLVLVQIMTGVSLSSKVKAGYLAPGLMGVYIVFLCWTAIRSEPHTEICNKKAEVATSADWVNIASFVIAVIVIVTATFATGIDSKCLQFKKAESEQPEDDDIPYGFGFFHFVFAMGAMYFAMLFVGWNANQTMEK >ONIVA01G05840.1 pep chromosome:AWHD00000000:1:4141413:4141612:1 gene:ONIVA01G05840 transcript:ONIVA01G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDTVWPAAVEAKARFGPRCRTLGITLTSKEVFTWANNNNQRLLHIGDIDRTSKEMTL >ONIVA01G05830.1 pep chromosome:AWHD00000000:1:4137352:4141581:-1 gene:ONIVA01G05830 transcript:ONIVA01G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMWSSRWLLLLAQVNTSLLVKLIIYSDNGGSTMRDVGRAEANAARFRVPSRRGGRYIYHHPAPLQNPSYFYDTSPPPVSFSLVSRSVGRSSGGARSTAVTPSAMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAASNARPPTVQIRGQPVNQKTSCCSS >ONIVA01G05830.2 pep chromosome:AWHD00000000:1:4137352:4141581:-1 gene:ONIVA01G05830 transcript:ONIVA01G05830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMWSSRWLLLLAQVNTSLLVKVIPSVRQRGPKRAFASTAAGHCHQQPRRAEANAARFRVPSRRGGRYIYHHPAPLQNPSYFYDTSPPPVSFSLVSRSVGRSSGGARSTAVTPSAMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAASNARPPTVQIRGQPVNQKTSCCSS >ONIVA01G05820.1 pep chromosome:AWHD00000000:1:4129579:4131033:-1 gene:ONIVA01G05820 transcript:ONIVA01G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FH48] MEEEAVANEAHHFLVVTYPAQGHINPARHLARRLARAAPGARVTISTAVSACRKMFGDAAAAGAGGELVDEGGVRYAPYSDGYDDGFDRAVHDSASYMTQVRVVGARTLAAVIEGFRAAGRPVTRVVYTLLLTWVADVARDHGVPVALYWIQPAAVLAAYLHYFRGTGGVDRDIAAAAAARDRMAPVRVPGLPPLRLRDLPSFLAIADDDDPYAFVLDAFRDIVAVLGRGDSPTVLANTFDAMEPEAVASLRQHGVDVVPVGPVLSFLDAAKSGGGGGAITTTTSNDLFKQNDTGYLEWLDARPAGSVVYISFGSLSTMSRRQIAEVSRGMAASGRPFLWVLRKDNRGEADDVAIAGGVVVEWCDQVRVLGHPAVGCFVTHCGWNSTLEAVASGVPAVCVPQWTDQGTNAWLVAERLGAGVRAAVSEVDGVLEAGELRRCIDAATSEAVRASAAAWREKARAAVADGGSSEKNLQAYVGKIRAN >ONIVA01G05810.1 pep chromosome:AWHD00000000:1:4118660:4127178:1 gene:ONIVA01G05810 transcript:ONIVA01G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGSDRPSATDATESVQGLDGEETLSERASVGAGQGPNSQNGGGKEEPSSSSSAGSKRKCTSLSSDRVELNGLDAAGTSSGDSTWSEDSFNGRHGRSLPRNKDGHLEHSVNSGEVAVIRQPRGVLRLRKLAQNVSIKSGTGGRKVLRSNGITKATQVQRRKQRKSQTLKENRVGSNDPINCLKVENGTCDQDNSTNFCSENDVSVEKQPNLSGEPSKHVHPTKESSVHVQEEDNVNLEENAARMLCSLSDNMCASSLRKSAKSLNRSSKAYFVQHSEHFKDSCNKDKGMAGPARLLRNRDGKASSKKRRPRRHFYEVSPHDVDPFCIVKERIRVFWPLDEIWYFGLVKEYDPMTRLHHVRYDDKDEEWINLQNERIKLLLLPSEARHKSNRRNPRSIFKPKYEVDEREDIDRNSTGSSESGPIISLLSRSNHARSATSSNTNKQNHTHSDISPVMFDQKQSMLCSDDRPGGSPANAGEEIPEDRTTLKDSRFRFVYSRKRSCRRKNGFLNTSEQDSDLKYRKVTGALFASVAGWGSVTENVTSRRHGILVLSQPLKSIYKIMSEACHVWLLNALVLLHRGAMVSLWPAVHLEILLVDDTLGLKHLLLETSLRSAVSLFCLLVGCFNSYSKASTRNESKMLCTSVRVRISGLHGRSQVVFLMFSFVGVKYPKWKHLQGQLQHHHIKRGLSKVNCACADIKQLTNGTDQRVCTSTEHFSKGLSLDAQDSLFFTESRYSNVDPIIFCLDERSKSVQNHLDVAAAPSLLFFHHLKLRSESNLTSKSLPEFMPITLEEDQQSLPQHTSDLVHLAASASEVCSVYVSPSNTGSLDMGTAGCINHSGSASSKLNTAKRTVSLDCNSRGIGGANITSRSFPDQIMDGSLSAVCPPQKYQKRCSSISIPGDNISDPPDDKLLNKEEKAMQPTSDLVQELNEYPIGRVTPTAPRTPYHRNRFTSLSRTFGDGSKLWQEDIMVTGFAGGSKKPRTQVSYSVSPRSDEPGSKHKGHFRKIQSHSSAKRLPDNSRSEQSSPESLACVANVLVTVGDRGWREYDTQITIDSDGQSERRICVKLAEGTKYAHKVLQVLQPGATNRYTHAMIWKGGTEWCLEFPDRSQWLIFKQMHDECYSHNIRAASVKNIPIPGVCFAEAHDDHDAVSFVRSQDYIGHIGTDVEMALDESRVIYDMDSDDEVWVSRWRKLGKDSTSVTDDLFERIMDKFEKLAYSHNCNELTIDQMKELDSDNIPLDTIKVIHDYWQDKRKKKGMPLIRHFQSAMWKIHEQQLQEWESTALRIQGSSNGYQVKLPPKPALFAFCLRPRGLQPQIPYKGPKQRSHKKLMSSGSFSREQDGFYRPGRKYSEYVGDGRACESYDGGSIYSPTGYSPRFSVKTESPRAFDGLERSSTPRFLRTNSVKRTASFAFSEDHQPSPSFRHQRVKRGAPDHWNAVIHEWQSSKHLLPGASQSPRVDIEELKQRDASSAAQHAVAMAKLKREKAHLLMHKADLALHKATVALMMADAIKASSRDSSRDGRRDFRDD >ONIVA01G05810.2 pep chromosome:AWHD00000000:1:4119097:4127178:1 gene:ONIVA01G05810 transcript:ONIVA01G05810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGSDRPSATDATESVQGLDGEETLSERASVGAGQGPNSQNGGGKEEPSSSSSAGSKRKCTSLSSDRVELNGLDAAGTSSGDSTWSEDSFNGRHGRSLPRNKDGHLEHSVNSGEVAVIRQPRGVLRLRKLAQNVSIKSGTGGRKVLRSNGITKATQVQRRKQRKSQTLKENRVGSNDPINCLKVENGTCDQDNSTNFCSENDVSVEKQPNLSGEPSKHVHPTKESSVHVQEEDNVNLEENAARMLCSLSDNMCASSLRKSAKSLNRSSKAYFVQHSEHFKDSCNKDKGMAGPARLLRNRDGKASSKKRRPRRHFYEVSPHDVDPFCIVKERIRVFWPLDEIWYFGLVKEYDPMTRLHHVRYDDKDEEWINLQNERIKLLLLPSEARHKSNRRNPRSIFKPKYEVDEREDIDRNSTGSSESGPIISLLSRSNHARSATSSNTNKQNHTHSDISPVMFDQKQSMLCSDDRPGGSPANAGEEIPEDRTTLKDSRFRFVYSRKRSCRRKNGFLNTSEQDSDLKYRKVTGALFASVAGWGSVTENVTSRRHGILVLSQPLKSIYKIMSEACHVWLLNALVLLHRGAMVSLWPAVHLEILLVDDTLGLKHLLLETSLRSAVSLFCLLVGCFNSYSKASTRNESKMLCTSVRVRISGLHGRSQVVFLMFSFVGVKYPKWKHLQGQLQHHHIKRGLSKVNCACADIKQLTNGTDQRVCTSTEHFSKGLSLDAQDSLFFTESRYSNVDPIIFCLDERSKSVQNHLDVAAAPSLLFFHHLKLRSESNLTSKSLPEFMPITLEEDQQSLPQHTSDLVHLAASASEVCSVYVSPSNTGSLDMGTAGCINHSGSASSKLNTAKRTVSLDCNSRGIGGANITSRSFPDQIMDGSLSAVCPPQKYQKRCSSISIPGDNISDPPDDKLLNKEEKAMQPTSDLVQELNEYPIGRVTPTAPRTPYHRNRFTSLSRTFGDGSKLWQEDIMVTGFAGGSKKPRTQVSYSVSPRSDEPGSKHKGHFRKIQSHSSAKRLPDNSRSEQSSPESLACVANVLVTVGDRGWREYDTQITIDSDGQSERRICVKLAEGTKYAHKVLQVLQPGATNRYTHAMIWKGGTEWCLEFPDRSQWLIFKQMHDECYSHNIRAASVKNIPIPGVCFAEAHDDHDAVSFVRSQDYIGHIGTDVEMALDESRVIYDMDSDDEVWVSRWRKLGKDSTSVTDDLFERIMDKFEKLAYSHNCNELTIDQMKELDSDNIPLDTIKVIHDYWQDKRKKKGMPLIRHFQSAMWKIHEQQLQEWESTALRIQGSSNGYQVKLPPKPALFAFCLRPRGLQPQIPYKGPKQRSHKKLMSSGSFSREQDGFYRPGRKYSEYVGDGRACESYDGGSIYSPTGYSPRFSVKTESPRAFDGLERSSTPRFLRTNSVKRTASFAFSEDHQPSPSFRHQRVKRGAPDHWNAVIHEWQSSKHLLPGASQSPRVDIEELKQRDASSAAQHAVAMAKLKREKAHLLMHKADLALHKATVALMMADAIKASSRDSSRDGRRDFRDD >ONIVA01G05800.1 pep chromosome:AWHD00000000:1:4103776:4117175:1 gene:ONIVA01G05800 transcript:ONIVA01G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) TAIR;Acc:AT2G25660] MSHCLRASPFLSPPPPLLHPSRRRRHREGGCIHTSPGTRPLVARARFDPPPLLRLKVSDSSDCPAPHHPHSQHQPLLPTRRRQQQPPPPYQALVASLAPLWREGLFLVRCSVFAAALSVAAALSWYAQLRARSFVESRLLPAACAALGEYLQREVHLGRVRSVSPLGITLHTCSIGPHAEEFSCAEVPVMKIRVRPFASLRRGRVVVDAVLSEPSALVAQRKDFSWLGLPAPSEGSPKRHSGEEGIDYRTKTRRLAREKAAEQWNEERDKAAREAAEMGYIVPSAQSISPSIDEMMEDDGPVDTGKSSPHLCPDEMHRKDHHIDAGIDSGSKHADLEKSFGVKARIPGISFWSRMIPNPSRRRYRRKAHSKLISDTDNSSQQRILRRSAYAAVAYFQNECSGNPDDSLPGPGESSSDGGHTNGGGEEGSPNDGPTEYSETTSMDYGELPPEKSNFASTMLIGNTDVLNGSSHNQQPSQISSHSWENNEQVSEAPVLKKRKNISEDDYRQEFDFGAFGSCTYAHNWLSFWPFQLKGFPVRFNAPSASLNVQIQKLRSLFAIGPGDNSAELSQGVGQIHPGAVQQTLPITLDSVYFNGGNLMLLGYGDQEPREMKHANGHIKFKNSYNRVHVHVTGNCMEWRQDRTSQGGGYLSTDVFVDIAEQTWHANLNVVNAFAPLFERILEIPVVWNKGRATGEVHLCMSKGDSFPSIHGQLDVKGLAFQILDAPSSFSDIVATLSFRGQRVFLHNASGWFGDAPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMKTMKMRPLMFPLAGAVTAVFNCQGPLDAPVFVGSGIVSRKSLSVSGMLPSAASEAVMQNKESGAVAAFDHIPFTHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNVWICPEGEGDDSAMDINLSGSILLDKVLHRYIPGGIQLIPLKIGELNGETRLSGSLIRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMVNSSSVSFDLNTRIQTSYIDDYLLHKEMYQRKKIMPLIVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRFKFQGKVVKYSQLVDEKNHGAIQGTIDQSKLENDVSRLVGEISLSGIKLNQLMLAPQSTGFLSISPDSVMLNATGRPDENFSIEVNVPLFFGTHEAIQDGRLLSIFLQKGQLRSNICYHPDNLTSLEVRNLPLDELEFASLRGFVQKAELQLNFQKRRGHGLLSVIRPKFSGMLGESLDIAARWSGDVITMEKSVLEQANSKYELQGEYVFPGTRDRFPMESQSNGFIEKAMGGHLGSMMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPAIRSRSKELFMQTLHSVGFNAESLRDQLKALEMYPDWLDDDTIEDITLPGLAELRGYWRGSLDASGGGNGDTMADFDFNGEDWEWGTYKTQRVLASGSFSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQAIESSTTDSIHFLRQWLTPIKGILHMEGDLRGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSVPVTYVDSNSIEEDLEGGDGKQGIIRIPVWAKDRGLTNDISETRIMRDKPDEGWEFQLAESLKGLSWNMLEPGEVRINADIKDGGMTLITALSPYSNWLQGYAEVLLQVKGTVDHPVVDGSASFHRATVASPFLRTPLTNFAGNVHVISNRLCISSMESRVGRKGRLSMKGTLPLHNSEPSANDKIELKCEVLDIRAKNILSGQVDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGAVATRLSSNKSISVPAGFDQRTVSRDVSHFLGSLSTSPDGQQSETERTPEHGSFKPNIDARLNDLKLTFGPELRIVYPLILNFAVSGDLELNGMVHPKYIRPKGVLTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPILDLVLVGSEWQFKIQSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGKRLQASVVRQMKDSEMAMQWSLIYQLTSRLRVLFQSTPSNRLLFEYSATSQG >ONIVA01G05790.1 pep chromosome:AWHD00000000:1:4099747:4103703:1 gene:ONIVA01G05790 transcript:ONIVA01G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRGAAAEEVKLNTGNVFAALETLKKKKKGGDKAKGGSSSSSGRKREQQGAQPSSQQQQQQQQKEVFWAPAPLTTKSWADVEDDDDDDYFATTAPPRPVWGTGHGDDGAKEEDEEDDDDAVHAALQEEVESEDEDLDDEVDDGAVDEPEHEVDDTSAEPAGKKAAVPVAPPKDTERQLSKKELKKKELAELDAVLAELGISGGSSNAAQDENNAEKKGSNQTGDGDAPAPSESKSSKKKKNKKAKEAKESQEPADGTEETASAEPDEDTTSVDVKERLKKMASMKKKKSGKESDTAAKIAAAEAAARSARLAAAKKKEKNHYNQQPVR >ONIVA01G05780.1 pep chromosome:AWHD00000000:1:4094235:4099169:1 gene:ONIVA01G05780 transcript:ONIVA01G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:syntaxin of plants 32 [Source:Projected from Arabidopsis thaliana (AT3G24350) TAIR;Acc:AT3G24350] MNPGRPAPASFRDRTNEFRAAVESAARYASSSAAAAPSSSSGGGVGGPLDVSRGAASAHSEFNRRASKIGLGIHQTSQKLARLAKLAKRTSVFDDPTVEIQELTAVIKKDITALNSAVVDLQVLCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAANNASNPFVRQRPLVTRDGPESSVPPAPWASDSATTPLFQRKKTNGDHGASSSSSQPFMQQQLVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >ONIVA01G05770.1 pep chromosome:AWHD00000000:1:4086288:4087610:-1 gene:ONIVA01G05770 transcript:ONIVA01G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSVERLGQRRVVPAEPTPAGPLRLSWLDRYPTQMALIESLHVFKPALDRAIGGDDVAVGPARTIERALARALVHYYPLAGRLAFSDSGEVCVDCGDAGVWFTEAEASCSLEDVDYLEYPMMVPKDELLPPTPAGEEERELVLLVQVTAFACGGFVVGFRFSHAVADGPGAAQFMAAVGELARGAGGVSVEPVWGRDAIPDPAAAVIGSLPDPAGAKRLEYLAVDISADYINHFKNQYNAEAHAAAAGVAGVARCSAFEVLIAKAWRSRTRAAGFEPDTTVNLCFAMNARPLLHASLPRGGAGFYGNCYYIMRVSAPAGKVAGSSVTEVVKIIKDGKRRMPSEFSRWAAGDMAGGDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVRPWAHKPGARLITQCVTPDRVAAFHEGLLDLN >ONIVA01G05760.1 pep chromosome:AWHD00000000:1:4078015:4085545:1 gene:ONIVA01G05760 transcript:ONIVA01G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPAPWAVAAAAAAGYRQEQHAEGGSPSKPPWHLPSEDSTRDEPAMDSGIIGSTIGIFMQVIFDKYLSSKLEQWADRANLGGEFQNLCRQLDMAKAILMTLKGSPVMEEGIWQLVWDLKSSAYDAEDVLDELDYFRLMEIVDNRSENKLAASIGLSIPKALRNTFDQPGSSLFPPFKKARPTFDYVSCDWDSVSCKMKSISDRLQRATAHIERVAQFKKLVADDMQQPKFPNSRQTSSLLTEPEVYGRDEEKNTIVKILLETKFSNIQNRYKSFLVLPVVGIGGVGKTTLVQYVYNDLATITCFEVRAWACVSGFLDVKQVTIDILQSIDEEGHNQFISSLSLNNIQTMLVKKLKKRKFLIVLDDVWSCSNWELLCAPLSSGTPGSKIIITTRHHNIANTVGTIPSVILGGLQDSPFWSFFKQNAFGDANMVDNLNLIGRKIASKLNGIPLAAKTIGKLLHKQLTTEHWMSILDSNLWELRQGPEDIMPVLLLSYQHLPANIQRCFVFCSAFPKDYSFCEEELIFSWMAHGFIQCMRRDKTLEDTAREYLYELASASFFQVSSNDNLYRMHDLLHDLASSLSKDECFTTSDNLPEGIPDVVRHLYFLSPDHAKFFRHKFSLIEYGSLNNLPERRPPGRPLELNNLRTIWFMDSPTISLSDAYDDGFWNMSINYRRIINLRMLCLHHINCEALPVTIGDLIHLRYLDLRFSDIAELPESVRKLCHLQVLDVRSCKNLVKLPTGVNNLISIRHLLVDASSKLLAGYAGISYIGKLTSLQELDCFNVGKGNGFSIEQLKELREMGQSLAIGDLENVRNKEEASNSGVREKYRLVELNLLWNSNLKSRSSDVEISVLEGLQPHPNLRHLKIINYRGSTSPTWLATDLHTKYLESLYLHDCSGWEMLPPLGQLPYLRRLHFTGMGSILSIGPELYGSGSLMGFPCLEELHFENMLEWRSWCGVEKECFFPKLLTLTIMDCPSLQMLPVEQWSDQVNYKWFPCLEMLDIQNCPSLDQLPPLPHSSTLSRISLKNAGIISLMELNDEEIVISGISDLVLERQLFLPFHNLRSLKSFSIPGCDNFMVLPLKGQGKHDISEVSTTMDDSGSSLSNISELKICGSGISEDVLHEILSNVGILDCLSIKDCPQVTSLELNPMVRLDYLIIEDCLELTTLKCMKTLIHLTELTVLRSPKFMEGWKNLVVEAEGSHLRITASLKRLHIDDLSFLTMPICRTLGYLQYLMIDTDQQTICLTPEQEQAFGTLTSLKTLVFSECSYLRSLPATLHQISSLKSLHLSSCESIDSLPHLGLPGSLERLFIAGCDLLRDKCVEGGIDQHKIAHVRETILA >ONIVA01G05750.1 pep chromosome:AWHD00000000:1:4067242:4071210:1 gene:ONIVA01G05750 transcript:ONIVA01G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGNASHRDHTIDILRNDATFPSTSHQDNHNNLDELHQTRGPLNDVPHVPESSASATPASISRNASFARRDQGHRQPNPLNSGFWISIELIVVLLLFLTFIGDFSTAIGRTQSKNQQIRVYALVACFKLALDCFFAVWFVVGNVWIFGGRTSLHDAPNLYRLCIVFLAFGFIGYALPFILCTMICCCLPCIISMMGIHEDLDFNRGATAEAIDALVAYKFQSKKFQDGEAGEDNGGVLAAGTDKERTISAEDAVCCICLSKFSNNEDLRELPCNHVFHLECVDKWLKINALCPLCKADLGGSTNAPDSSSRSSHDSNNSRVRNDVESQQ >ONIVA01G05750.2 pep chromosome:AWHD00000000:1:4067242:4071210:1 gene:ONIVA01G05750 transcript:ONIVA01G05750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGNASHRDHTIDILRNDATFPSTSHQDNHNNLDELHQTRGPLNDVPHVPESSASATPASISRNASFARRDQGHRQPNPLNSGFWISIELIVSLCQIIAAITVLSVSRNEHPHAPLAQWLIGYTIGCVATLPHLYWRFLHRNRQNTEQESTNQVSSERDVYEPNSYVVVSSAHGSEVVDSGNNGGVARIASPRVYALVACFKLALDCFFAVWFVVGNVWIFGGRTSLHDAPNLYRLCIVFLAFGFIGYALPFILCTMICCCLPCIISMMGIHEDLDFNRGATAEAIDALVAYKFQSKKFQDGEAGEDNGGVLAAGTDKERTISAEDAVCCICLSKFSNNEDLRELPCNHVFHLECVDKWLKINALCPLCKADLGGSTNAPDSSSRSSHDSNNSRVRNDVESQQ >ONIVA01G05740.1 pep chromosome:AWHD00000000:1:4057621:4058908:1 gene:ONIVA01G05740 transcript:ONIVA01G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPSSVLVLLGVVLLVAGGRLCECAASGGGFSVEFIHRDSPRSPFHDPAFTAHGRALAAARRSVARAAAIAGSASSSASGGGAADDVVSKVVSRSFEYLMTVNLGSPPRSMLAIADTGSDLVWVKCKKGNNDTSSAAAPTTQFDPSRSSTYGRVSCQTDACEALGRATCDDGSNCAYLYAYGDGSNTTGVLSTETFTFDDGGAGRSPRQVRVGGVKFGCSTATAGSFPADGLVGLGGGAVSLVTQLGGATSLGRRFSYCLVPHSVNASSALNFGALADVTEPGAASTPLVAGDVDTYYTVVLDSVKVGNKTVASAASSRIIVDSGTTLTFLDPALMGPLVDELSCWITLPPVQSPDGPLEFGGGAEAGEREGTLCLAIVATTEQQPVSILGNLAQQNIHVGYDLDAGTVTFAVADCAGSS >ONIVA01G05730.1 pep chromosome:AWHD00000000:1:4050598:4052605:-1 gene:ONIVA01G05730 transcript:ONIVA01G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indole-3-acetic acid inducible 15 [Source:Projected from Arabidopsis thaliana (AT1G80390) TAIR;Acc:AT1G80390] MSVETERSSTESSAASGLDFEDTALTLRLPGSLAAAAAAPDPDRKRSSPSSSDAADAADNSSPLAAAADAPPAPKARVVGWPPVRSFRKNALAAKFVKVAVDGAPYLRKVDLEAYSGYDQLLRALQDKFFSHFTIPIDGRKVAGKFADDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKSSEAVNLAPRAAQ >ONIVA01G05720.1 pep chromosome:AWHD00000000:1:4039840:4043718:1 gene:ONIVA01G05720 transcript:ONIVA01G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGGGGGVWIRAVVAVAAGGAIAARAVRRKSVDSTAVFVGVPAMVAHTVAGYRFAGMLLVFFFTASRMTRVGEKRKRALDPDFKEGGQRNWFTFRVEASDFGSDCSRVGECGKSLERQNSYLVHTTLIGRKQVLSNSGIASVLVVLIALVTGGSDRCLDTRESSLVTALIGGVIGHYACCNGDTWSSELGILSKAEPRIITTFKRVRKGTNGGVTIDGLLAAAAAGFSIGLTFVLLGFLTTQCSSDVFWRQLLVIPLATAAGLCGSLIDSLLGATLQYSGYCSLRKKVVGVDGPTVTRISGRNILDNNGVNVVSVFLTTLITALACVYVF >ONIVA01G05710.1 pep chromosome:AWHD00000000:1:4035729:4039500:1 gene:ONIVA01G05710 transcript:ONIVA01G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G19950) TAIR;Acc:AT5G19950] MAAAAETPGSSASPHQERFLQSLSARGWCFRDPSNEIIQELLHASPSPSPEAVETELVDVDLRLFGGKSLPDRAAAAATGRRLSYLHGPIVLQVVSVRDIYRSIIDASFKNPQQHRLLRFVLTDGISEAVAIEFFPIPFIIEDIAPGTKICLENKIPIHNGILCLSAKNISIMGGVVQSLYEEWQMNQKFSGLSRPSLRLSQNDDGVGPPPFEKLDVEARPSRTSRSQTNSVSEAIPVQNQAAAQKLLQKMTQAAPEDRYGRGHRFKGKGRQEDTPVFTLDEWEKRKSAGLKSTAQSYIDDTSRDEELARQLQEQLDLEDSYVGSTSLIRTPLCFKTSITAIPRMLNSHGIVLFCRECQRVQTQIVCG >ONIVA01G05700.1 pep chromosome:AWHD00000000:1:4028752:4035253:-1 gene:ONIVA01G05700 transcript:ONIVA01G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80360) TAIR;Acc:AT1G80360] MRGGGGGEMGSFGRLARRAVETEAPVMVKMQELLRGNKDVMSLAQGVVYWQPPEAAMNKIKEIVWEPSISKYGSDDGLPELREALLEKLRRENKLTKSSIMVTSGANQAFVNVVLTLCDAGDAVVMFAPYYFNSYMSFQMTGVTDILVGASNPETLHPDVDWLEKVLQENNPIPKLVSVVNPGNPSGAFIPKPMLERISELCRNAGAWLVVDNTYEYFMYDGMEHYCLEGNHIVNLFSFSKAYGMMGWRVGYIAHPNEADGLHAQLLKVQDNIPICASIIGQRLALYALEAGPEWIRERVRDLVKNRELLMEAMSPLGKDSVKGGEGAIYLWAKLPEKCSDDFEVVRWLANKHGVAVIPGSASGGPGYIRVSFGGLKESDTRLAAERLRRGLQELVTEGMVQ >ONIVA01G05690.1 pep chromosome:AWHD00000000:1:4010579:4019936:-1 gene:ONIVA01G05690 transcript:ONIVA01G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 4 [Source:Projected from Arabidopsis thaliana (AT2G26910) TAIR;Acc:AT2G26910] MWAAEAAFARSGSWREEEDEQEALRWAALQRLPTVARARRGLLRSPAPGEDRVQGDDALCEVDVAGLSPGDRTALVDRLLADSGDVEDFFRRIRSRFDAVQIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDNVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKVSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNEGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFAGMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQYVSVSKFAEAFKTFVIGKRLHDELAVPYNRHRNHPAALSTSNYGVRRLELLKSNFQWQHLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHRDSVDDGIIYLGALYFAIVMILFNGFTEVSLLVTKLPILYKHRDLHFYPPWAYTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTRCLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPAWWIWGYWISPMMYAQNAISVNEFLGHSWSQQFANQNITLGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNFLFTLFLTLLNPIGNIQAVVSKDDIQHRAPRRKNGKLALELRSYLHSASLNGHNLKDQKGMVLPFQPLSMCFKNINYYVDVPAELKSQGIVEDRLQLLIDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDVNTRRVFVEEVMELVELNALSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDEHPLLTHSYAGQLLFMKRGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRQSKLFQQTQEMVDILSRPRRESKELTFATKYSQPFFAQYAACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAVKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGITTTTAVDFLRDHFGFRHDFLGVVAGMVAGFCVLFAVVFALAIKYLNFQRR >ONIVA01G05690.2 pep chromosome:AWHD00000000:1:4010579:4019936:-1 gene:ONIVA01G05690 transcript:ONIVA01G05690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 4 [Source:Projected from Arabidopsis thaliana (AT2G26910) TAIR;Acc:AT2G26910] MWAAEAAFARSGSWREEEDEQEALRWAALQRLPTVARARRGLLRSPAPGEDRVQGDDALCEVDVAGLSPGDRTALVDRLLADSGDVEDFFRRIRSRFDAVQIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDNVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKVSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYGRSFHHNVLGVVPYLLGSLCFDVNQYVDMLVELLRREKNEGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFAGMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQYVSVSKFAEAFKTFVIGKRLHDELAVPYNRHRNHPAALSTSNYGVRRLELLKSNFQWQHLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHRDSVDDGIIYLGALYFAIVMILFNGFTEVSLLVTKLPILYKHRDLHFYPPWAYTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTRCLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPAWWIWGYWISPMMYAQNAISVNEFLGHSWSQQFANQNITLGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNFLFTLFLTLLNPIGNIQAVVSKDDIQHRAPRRKNGKLALELRSYLHSASLNGHNLKDQKGMVLPFQPLSMCFKNINYYVDVPAELKSQGIVEDRLQLLIDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDVNTRRVFVEEVMELVELNALSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDERGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRQSKLFQQTQEMVDILSRPRRESKELTFATKYSQPFFAQYAACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAVKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGITTTTAVDFLRDHFGFRHDFLGVVAGMVAGFCVLFAVVFALAIKYLNFQRR >ONIVA01G05690.3 pep chromosome:AWHD00000000:1:4010579:4019936:-1 gene:ONIVA01G05690 transcript:ONIVA01G05690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 4 [Source:Projected from Arabidopsis thaliana (AT2G26910) TAIR;Acc:AT2G26910] MWAAEAAFARSGSWREEEDEQEALRWAALQRLPTVARARRGLLRSPAPGEDRVQGDDALCEVDVAGLSPGDRTALVDRLLADSGDVEDFFRRIRSRFDAVQIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDNVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKVSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYGRSFHHNVLGVVPYLLGSLCFDVNQYVDMLVELLRREKNEGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFAGMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQYVSVSKFAEAFKTFVIGKRLHDELAVPYNRHRNHPAALSTSNYGVRRLELLKSNFQWQHLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHRDSVDDGIIYLGALYFAIVMILFNGFTEVSLLVTKLPILYKHRDLHFYPPWAYTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTRCLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPAWWIWGYWISPMMYAQNAISVNEFLGHSWSQQFANQNITLGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNFLFTLFLTLLNPIGNIQAVVSKDDIQHRAPRRKNGKLALELRSYLHSASLNGHNLKDQKGMVLPFQPLSMCFKNINYYVDVPAELKSQGIVEDRLQLLIDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDVNTRRVFVEEVMELVELNALSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDEHPLLTHSYAGQLLFMKRGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRQSKLFQQTQEMVDILSRPRRESKELTFATKYSQPFFAQYAACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAVKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGITTTTAVDFLRDHFGFRHDFLGVVAGMVAGFCVLFAVVFALAIKYLNFQRR >ONIVA01G05680.1 pep chromosome:AWHD00000000:1:3999615:3999911:-1 gene:ONIVA01G05680 transcript:ONIVA01G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLKSNDENDNNLRSGGGLRSARWIHRHWPRLGQIPSVHGSDVDDDRAGLGRGISNDGSPGMASTKSVAAIMGMVAAMMTNCNGGDFDWGLGLWFL >ONIVA01G05670.1 pep chromosome:AWHD00000000:1:3980200:3981428:-1 gene:ONIVA01G05670 transcript:ONIVA01G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSHLKNPLCFDFRAARRVPETHAWPGLDDHPVVDGGGGGGEDAVPVVDVGAGDAAARVARAAEQWGAFLLVGHGVPAALLSRVEERVARVFSLPASEKMRAVRGPGEPCGYGSPPISSFFSKLMWSEGYTFSPSSLRSELRRLWPKSGDDYLLFCDVMEEFHKEMRRLADELLRLFLRALGLTGEEVAGVEAERRIGERMTATVHLNWYPRCPEPRRALGLIAHTDSGFFTFVLQSLVPGLQLFRRGPDRWVAVPAVAGAFVVNIGDLFHILTNGRFHSVYHRAVVNRDRDRVSLGYFLGPPPDAEVAPLPEAVPAGRSPAYRAVTWPEYMAVRKKAFATGGSALKMVSTDAAAAADEHDDVAAAADVHA >ONIVA01G05660.1 pep chromosome:AWHD00000000:1:3973204:3980117:-1 gene:ONIVA01G05660 transcript:ONIVA01G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKPIQGTGNLKFGEGSKENDEVGMPTRLASESRHCDIWPSQARIQASDRDMNPLLRRQR >ONIVA01G05650.1 pep chromosome:AWHD00000000:1:3963539:3975452:1 gene:ONIVA01G05650 transcript:ONIVA01G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) TAIR;Acc:AT3G20630] MDLLRSHLHKVRIPEPGSRIHKDECCVSFDTPRSEGGLYVDMTSFLGFGREHVAWNYEKSGNPVYLHIVQRRKPEPDDEADRPLKKPTLLAIGVEGGFTDQEPEYDEAFEIVILPEFTSLPFPSIDLPEKVRIAVDKVILAESADRKQQLASWVADKKKVSAHAMDLKQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRRLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDHNTNYDWNRIQESGKDAELLYGPGYTGLVNLGNSYFEKQSLKAAFAIAPADPTLDLNMQMTKLAHGMLSGKYSVPNQEGQEGIHPRMFKTVIAAKHPEFSSMRQQDALDFFLHLIDQVDQANTGNHELNPFTGFKFIIEERLQCPSGKVSYNKRSDYILSLNIPLHEATNKEQLEAFHEKKAAMDLDGKEVSNEEIVRPRVPLEACLASFSGAEEVPEFYSTALNSKTTAIKTAGFKTFPDYLVLQMRKFVMEAGWVPKKLDVYVDVPDIIDISHMRSKGIQPGEELLPEGASGDNKAEPVHPVASEDIVSQLASMGFNYLHCQKAAISTSNTGVEEAMNWLLSHMDDPDINDPVSKDSQAAEQTVDETSVQTLVSFGFQEDVARKALAASGGNIERATDWIFSHPEAFSSVPTDSSTSNMEDDDAHIPDGSGRYKLMAFVSHMGTSTHCGHYVAHVLKDGRWVIFNDSKVAASVDLPKDMGYLYFFQRI >ONIVA01G05650.2 pep chromosome:AWHD00000000:1:3963539:3975452:1 gene:ONIVA01G05650 transcript:ONIVA01G05650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) TAIR;Acc:AT3G20630] MDLLRSHLHKVRIPEPGSRIHKDECCVSFDTPRSEGGLYVDMTSFLGFGREHVAWNYEKSGNPVYLHIVQRRKPEPDDEADRPLKKPTLLAIGVEGGFTDQEPEYDEAFEIVILPEFTSLPFPSIDLPEKVTVLDIRDCTYYIVVTYYSTTPQVRIAVDKVILAESADRKQQLASWVADKKKVSAHAMDLKQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRRLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDHNTNYDWNRIQESGKDAELLYGPGYTGLVNLGNSYFEKQSLKAAFAIAPADPTLDLNMQMTKLAHGMLSGKYSVPNQEGQEGIHPRMFKTVIAAKHPEFSSMRQQDALDFFLHLIDQVDQANTGNHELNPFTGFKFIIEERLQCPSGKVSYNKRSDYILSLNIPLHEATNKEQLEAFHEKKAAMDLDGKEVSNEEIVRPRVPLEACLASFSGAEEVPEFYSTALNSKTTAIKTAGFKTFPDYLVLQMRKFVMEAGWVPKKLDVYVDVPDIIDISHMRSKGIQPGEELLPEGASGDNKAEPVHPVASEDIVSQLASMGFNYLHCQKAAISTSNTGVEEAMNWLLSHMDDPDINDPVSKDSQAAEQTVDETSVQTLVSFGFQEDVARKALAASGGNIERATDWIFSHPEAFSSVPTDSSTSNMEDDDAHIPDGSGRYKLMAFVSHMGTSTHCGHYVAHVLKDGRWVIFNDSKVAASVDLPKDMGYLYFFQRI >ONIVA01G05640.1 pep chromosome:AWHD00000000:1:3952799:3961799:1 gene:ONIVA01G05640 transcript:ONIVA01G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional corepressor LEUNIG [Source:Projected from Arabidopsis thaliana (AT4G32551) UniProtKB/Swiss-Prot;Acc:Q9FUY2] MAQQQQQSAWEAEKMLDVYIHDYLLKRNLQSTAKAFQAEGSVSSDPVGGFLLEWWSVFWDIFIARTNEKHSDVAASYIETQSIKAREQQPSQLQQQEAHSQQSSQQIQMQQLLLQRHAQQQQQQQSQQQPQQQRRQQKQQQRSESSHLPTSAHNGLVSADPPTRQSTSAASSLSAKMYEERVKNSVQRDTLDEAPAKQRFTENIGQLLESNSSSMLKSVAITAQASGQIFHGSTGGVSGTLQQVQARNQQLQASTQEIKVDTNAAVHMRAAGADGSLIGVPGANPAGNNLTLKGWPLTGLDQLRSGFLQQKSFMQSPQPLHHLQFLTPQQQQLLLQAQQNMTSSPGEMDSRRLRMLLSSRNIVPGRDGQSNAYTEVIPSVGPSLQNMCSPVQRMETDMLMKKIAAIQQHQQSSNQQQLLQHSLLSQQPPISNHLPGQQEKMGAGSVTIDGSLSNSFRGSEQVSKNQNGRKRKQPISSSGPANSSGTGNTAVPSEPSTPSSQSPGDTISMPSLHHNASLSKALVVYGTSTAGTMGSPSNQLADMDRFVEDGCLEDHVDSFLSHDDADRRDGSRMESTKGFIFREVSSVQASTNKVVCCHFSSDGKLLATGGHDKKVVLWHAETLKQKSVLEEHSLLITDVRFSPSIPRLATSSFDKTVRVWDADNQGYSIRTFTGHSAAVMSLDFHPNKDDLICSCDGDNEIRFWSINNGNIVRIFKGGSSQLRFQPRHGGYLAVASENAVSILDVETQACLRRFEGHTKHVDSVCWDPSGEYVVSVSEDTVKVWSVNAGSDDRCSLELWDMSENRTMTLAAHDSLITALASSSSGLVASTSHDKFVKLWK >ONIVA01G05630.1 pep chromosome:AWHD00000000:1:3935590:3936692:-1 gene:ONIVA01G05630 transcript:ONIVA01G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTKAVAAAAGRRGCIRSTRGPWTVRRRGRGGGVTTSLRHPTARERENNRQRERRRRQVATRIYAGLRAGAGYALPKHADQNDVLRALCAEAGYLVDDDGNVSRRHDERLAGAGAGGGGSSDQLQVSSYSGATEAAVAIQHQEQEQEISLELTLSFTYM >ONIVA01G05620.1 pep chromosome:AWHD00000000:1:3931332:3935249:1 gene:ONIVA01G05620 transcript:ONIVA01G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSLQLVGALLLPLLAVVSSFDPFHRDANPMGGGAGQGPFIPHEYVRFADVKRQCKSVLSSAAELTFDANRANGLMPELSFVKGDWKHDGDGDGGGGAPLLPFDGTDVAEDAAAGAARDPLPLASFSLTHVDAARRGRTALNVSGVLGVAISRNGTGPEMGPYVSPEFKVWPGNTELKVLLEGVYTENDDGESVLCMVGDAVLPARGGDAANPWGWAKHSDRDRFQPPITKDGNILLVLRYPKTLTLTTRAVHGELTSTNGKTHAAYFDAVHLLSQLGAYSNYQFGSEELVGTACKPHPYRDDVLAGGGGDRGLYKGTSFCGILDRFTSEDVLAVVPNWRCNTTDDALCRRLGPFETDKAVDATDGGFAGVRIVMQEVRCEPRTDGGEISARVSAVFRAVPPWEHAYTAAKRSGLGGATLSAEGVWRASSGQLCMVACLGVGAKACHSRVCLYLQTTFSATRRSITVGQITSIGGGAAHFPPLTFQRTVHPMELWSRFGVTGGEPLSLAYSYTKTKQAGEFLRRSEPFDFGTVIAKSLLSYPRKSGDAADETTSLSNLAEELTLHVAAVPDPFPRGRFERPFLQLEVLSLGSLVGRASPATFPGTPAAVGQSMASSSSSTTTKLDATAILNVSAELTISGDAYVNVSTLSLEGVYNPVDGRMYLIGCRRIQAPWRAFSAMGGVEEGMDCSIEVRVEYPPTTARWLINPTAKVHIASTRGGGDDPLRFNATALQTLPILYREQRQDILSRRSVEGILRVVTLAAAIAAEFSQLMYIKSHTDVMPYVSVVMLGVQAVGYSVPLITGAEALFARIAASSGDGGATPPPSYEVDKSQLYWTIDCVVKILILAAFLLTLRLVQKVWRSRIRLLTRSPLEPGRVPSDKKVLVYTSGAHLVGFAVVLAAHYVSVLARPVRSEASYMDARGEAHALREWAVTLEEYIGLAQDMFLLPQVIGNVVWRINCRPLKTGYYAGLTAVRLLPHVYDYVRAPAINPYFAEEYEFVNTSLDFYSRSGDVAIPLAAVALAAAVYVQQRWNYKIISKTVKTQQKKLQHLGSRVYERLPSMSSANFEAELVAGVNEGVGHGLRRDASLS >ONIVA01G05610.1 pep chromosome:AWHD00000000:1:3925480:3927627:1 gene:ONIVA01G05610 transcript:ONIVA01G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G03500) TAIR;Acc:AT2G03500] MASSSSDLTLDDHHHLTAVAAASGQATQKLQEFLSRLEEERLKIDAFKRELPLCMQLLNHAMEAYRQQLEAYQMGSQHGAAAAAAARAPLVLEEFIPVKNIGIDVVAADKAAAAAGGNSVSSEKASWMVSAQLWNAPASASAADTAAKGPQTPKEHSEHHPLDTSPKLITALDGGGGGGAFLPFSKDNAMGDGSAAAAAALPELALAPAEKAADAITIAAGEVDKKPYAHDNGVVARSREAQNGGKPPSTPPDGQAVPPPPQPHRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPSPAPPTAATPQLVVLGGIWVPPEYATQAAGPAIYGAHPATQPHYTAAVAAQEYYHHHHHHLQHHPAAAALVHHRAVAPPPPLPPQQQLAPPYSAKSSASARLGSPDSDGRGSGGGGGAAASGAGRDMSESIEEEGEGEEREDDDDDDEMAATNNAHAVDGDDDDDEINTTTTTSAGAINY >ONIVA01G05600.1 pep chromosome:AWHD00000000:1:3911590:3915178:-1 gene:ONIVA01G05600 transcript:ONIVA01G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFTEEEKAVDDSLGYPKAYARLCRGGGGGGGGLPYGHGPPHGFLPYVLHPHEAMRAKDLNEMFPVADAEAAPTANPRGFANLLWKQLDHLGNAGFDPALFRVDAYGNVLYLYADSASPLAWDIDHWFPCARGGRTVPSNLRIVQAQVCRKKHNKLEFLVPWWDLQLGISVNQFLSIFASKNADFRNRAFTFLFVDGASEELTSMQAVEAHAFPHHFSDLTKKVGLAPAAIVSSRGSDSSVLKSLDANRPLRPNYPLIAAKKFTGEKDENFNVPMSNHGPNSTKENNNPDADGYISNPYLSIATARDSLRQREEAKKKQAELTELENEATELKQKNEEERVAIQDMEALLIKRRRPVEKCRRLAEAQSNYKAVLEKMIRDAMHQSVVYKEQLRMNQAATSTLMARLEAQRAMCDSSETELRKKYQQKDELEKQIKPFTDQARKRYRVDDDGLLEERHSLEERHSERVKYFPGIRLRSRSPLKQELRVFLEEDQRASDAYISLEEEEIAGETSTMGNFRNSPFKVINFPRRSMEDNTVDTERGRASVREKLEHLAIKERQRGRRRERTMSSRGSRATSTPVRSRDGNGKGKAAMVQCESETERSQTVSVPRTSSVPPSPPYRVTGMYGTPRYPAEKSVLLKKNNVIHRQGVGRSEGDANMNHTGKGTVDKWLQMLMEDQQQQEDPAAAYHSSEDHNTADEIASDEHQMQSRIDDESCRNEITECSDEIVEVGGEGATEQQDRCRNSFEIKERGEEKKIWFPRSDSSRGFRSLPSSPSKILGMRRGVECMSRKPKVVGDDNGRYGYEDSVSTSSSKFLTRCKQAIKKANFRSSHDIDLRHFGVGVAAAAPAAPPLPFFPLAGVRRSMPLSESSTRATSALMPPNQNVLHLKNPPPPPLPPPPRRLLSVLSLST >ONIVA01G05590.1 pep chromosome:AWHD00000000:1:3905470:3912113:1 gene:ONIVA01G05590 transcript:ONIVA01G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSSSQGQSPRTPSPRATSATAEHTRSSSEPWLVAAAVASTCDDSCVNDVENFARTVAAAKSRQLVGSSAASRPDMLASVLSHYAAKWLPDVVAASSSSSSPATSASGRFLPPESPTATWLKKRLLLESLVAALPPDPPAPGGGGGAADDGITCDFLLKLLRAGSMVGADAALLQELESRAARRLDQATLGAVMIPAFGHGYACGTLLDVPLVLRLVRGFLKDAGAGGGGAAKAGGGGGAAAARVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARPADDALYRAVDTYLKSLCRLIDARKLTAEAAAHAVQNDRLPVRSVLQVLFSEHGKLNRLAELSGGASSFGAPSPAFDLPGARCPSKREVLAQHHELRRLREDFARLQVQCSALQAQVDRLSTERRRRGGGGSGGGGGFFKWSTFWFGGMSADVARVEDSESGIERRTPASGKKGRGGAAGAAAATPTPKWRKSMS >ONIVA01G05580.1 pep chromosome:AWHD00000000:1:3896427:3897817:-1 gene:ONIVA01G05580 transcript:ONIVA01G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRLRSLDWIISRFSHPSGNPNSVAGKGSFTSCTARPAASTAVTNPAILAAFTGVVTKLTCAPCSASRRAMPVMGIMCPCAIIGTSTKCAFIVVDLRCWSTAWPAEDMAVVVSSQAEGSWKSPPLQELVDCQAANIFHTK >ONIVA01G05570.1 pep chromosome:AWHD00000000:1:3891280:3899673:1 gene:ONIVA01G05570 transcript:ONIVA01G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDTAGHASGGYTTAAAAAHFVLVPMMAQGHAIPMTDMARLLASTARRGLVVTPVNAARMTGFAAGVEEAGLPVQLVELPFPAAEFGLPDGCENVDMLPSKDLFSNFLLACGALREPLAARLRQRRPPASCIISDMMHSWAGDIARELGVPWLTDIIYRKNLLKSLTDDEIVKVSGFPTPLELPKARCPGTLCVPGLKQISDKIYEAETRSDGRIMNSFQEMESLYIESFERTIGKKIWTIGPMCLCHRDSNAMAARGNKASMDDAKCLQWLDSKKPGSVIFVSFGSLSSTDPQQLVELGLGLEASKKPFIWVIKAGKKFPEVEEWLADGFEERVKDRGMIIRGWAPQMMILWHQAIGGFMTHCGWNSTLEGISAGVPMITWPHRSEQFVNEKLVVDHLKIGVEVGVKGVTQWGTEQKEVKVTRTAVETAVSMLMDEGEVAQEIRMRAKDFGMKARRALEEGAMSSAGHAVDQQRKSTTMKAHFVLVPMMAQGHMIPMTGMARLLAEHGAQVSFVTTPVNAARMAGFVTAVEAAGLAVQLVKLPFPATEFGLPDGCENLDMIQSRDLSRNFMEACGALREPLTARLRQLCPPPSCIISDMVQWWTGEIARELGIPRLTFDGFCTFASLARYIIFRDKLLDNVADEEIVTFSGFPMLLELPKARCPGSLCVPGMEQIRDKMYEEELQSDGNVMNSFQELETLYIESFEQITGKKVWTIGPMCLCDRDSNMMAARGNKASVDEAKCLQWLDSKKPGSVIFVSFGSLASTAPQQLVELGLGLEASKEPFIWVIKAGNKFPEVEEWLADGFEERVKDRGMIIRGWAPQVMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKFVVNLLKIGLEIGVKGVAQWGSEHKEVRVTRNAVETAVSTLMNDGEAAQEMRMRAKDLGVKARRALEEGGSSYDNIRLLIQEMGNKQNASG >ONIVA01G05570.2 pep chromosome:AWHD00000000:1:3894267:3899673:1 gene:ONIVA01G05570 transcript:ONIVA01G05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASHAGAGAGDQQHRCCSRTVHFVLVPMMAQGHTIPMTDMARLLAEHGAQISLVTTPVNAGRMAGFVAAVEEAGLPVQLLELPFPAADFGLPDGCENIDMLQCKDDMRKFLEACGALREPLMARLRQHDLPPSCIVSDMMYWWTSDIARELGIPRLTFSGFCTFASLARDIVYRNNLLRDLTDEEEVVKLSGFPTPLELPKARLPGSLCVPGLEEIREKIYDEEMRSDGKVMNSFDELETLYMESYKQVTDKVWTIGPMCLCHRDRNTMAARGNKASLDEVKCLQWLDSKKPGSVIFVSFGTLVSTAPQQLVELGLGLEASNKPFIWVIKAGNKFPVVEKWLADGFEERVIDRGMIIRGWAPQMMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKLVVDHLKIGMEVGVKGVTQWGSEQKEAQVTRNSVETAVSTLMNEGEAAQGMRMRAKDFGIKARRALEEGGSSYNNIRLLIQEMGNEQNASAMSSAGHAVDQQRKSTTMKAHFVLVPMMAQGHMIPMTGMARLLAEHGAQVSFVTTPVNAARMAGFVTAVEAAGLAVQLVKLPFPATEFGLPDGCENLDMIQSRDLSRNFMEACGALREPLTARLRQLCPPPSCIISDMVQWWTGEIARELGIPRLTFDGFCTFASLARYIIFRDKLLDNVADEEIVTFSGFPMLLELPKARCPGSLCVPGMEQIRDKMYEEELQSDGNVMNSFQELETLYIESFEQITGKKVWTIGPMCLCDRDSNMMAARGNKASVDEAKCLQWLDSKKPGSVIFVSFGSLASTAPQQLVELGLGLEASKEPFIWVIKAGNKFPEVEEWLADGFEERVKDRGMIIRGWAPQVMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKFVVNLLKIGLEIGVKGVAQWGSEHKEVRVTRNAVETAVSTLMNDGEAAQEMRMRAKDLGVKARRALEEGGSSYDNIRLLIQEMGNKQNASG >ONIVA01G05570.3 pep chromosome:AWHD00000000:1:3891280:3894191:1 gene:ONIVA01G05570 transcript:ONIVA01G05570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDTAGHASGGYTTAAAAAHFVLVPMMAQGHAIPMTDMARLLASTARRGLVVTPVNAARMTGFAAGVEEAGLPVQLVELPFPAAEFGLPDGCENVDMLPSKDLFSNFLLACGALREPLAARLRQRRPPASCIISDMMHSWAGDIARELGVPWLTDIIYRKNLLKSLTDDEIVKVSGFPTPLELPKARCPGTLCVPGLKQISDKIYEAETRSDGRIMNSFQEMESLYIESFERTIGKKIWTIGPMCLCHRDSNAMAARGNKASMDDAKCLQWLDSKKPGSVIFVSFGSLSSTDPQQLVELGLGLEASKKPFIWVIKAGKKFPEVEEWLADGFEERVKDRGMIIRGWAPQMMILWHQAIGGFMTHCGWNSTLEGISAGVPMITWPHRSEQFVNEKLVVDHLKIGVEVGVKGVTQWGTEQKEVKVTRTAVETAVSMLMDEGEVAQEIRMRAKDFGMKARRALEEGGSSYNNIKLLI >ONIVA01G05560.1 pep chromosome:AWHD00000000:1:3889954:3891718:-1 gene:ONIVA01G05560 transcript:ONIVA01G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAHECIMSEMMQLAGGRRCRSLAASGSRSAPQAKRKLLKRSLDGSMSTFSQPSGRPNSAAGKGSSTSCTGRPASSTPAANPSMSFGSKESDVWTIKQSEGRNFVEIGLGSHEST >ONIVA01G05550.1 pep chromosome:AWHD00000000:1:3888238:3889743:-1 gene:ONIVA01G05550 transcript:ONIVA01G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FH14] MAAEFHFLVVPLIAQGHIIPMVEVARLLAARGARATVVTTPVNAARNGAAVEAARRDGLAVDLAEVAFPGPEFGVPEGLENMDQLADADPGMYLPLQRAIWAMAPPLERLVRALPRRPDCLVADYCNPWTAPVCDRLGIARVVMHCPSAYFLLATHNLSKHGMYGLAAGDGELEPFVVPDFPVRAVVDTATFRRFFQWPGLEEEERDAVEAERTADGFVINTFRDIEGAFVDGYAAALGRRAWAIGPTCAAAAGGGTDADARASRGNRADVDAGRILSWLDARPPASVLYISFGSISHLAAKQVIELARGIEASGRPFVWAIKEAAAGAVREWLDGEGYEERVKDRGVLVRGWAPQVSILSHPATGGFLTHCGWNAALEAIAHGVPALTWPTILDQFSSERLLVDVLGVGVRSGVTAPPMYLPAEAEGVQVTAAGVEKAVAELMDGGADGAARRARARELAATARAAVEEGGSSHADLTDMIRHVAEVARTKRQEREVRPT >ONIVA01G05540.1 pep chromosome:AWHD00000000:1:3882159:3888185:-1 gene:ONIVA01G05540 transcript:ONIVA01G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAARSPPPICKFPLFPCMDEMYQCKGIRVKGKQVNVFVFLDAAASPPLLFFSAASIRFALDYKYPLPRRRAAEAAEPASPPPPSNSRVSLPRRRAASIAAAAAAAATPRAIDLLDD >ONIVA01G05530.1 pep chromosome:AWHD00000000:1:3876698:3880986:-1 gene:ONIVA01G05530 transcript:ONIVA01G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLMFLYANMILAPTMYIFFASALPVIAFGAQLSRETNGILTTVETLASTALCGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGERLYLAWAGWVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPRDADHSSPIYQFQWLYVNGLLGVIFSIGLLYTALRSRRARSWVYGQGWLRGFIADYGVPLMVIVWTAFSYTLPKDVPSGVPRRLFSPLPWESSSLQHWTVAKDLFSVPPAYIFAAILPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTANEGLMNRASSLEIYGKIQGVFIEMDCEKNVVLYGKCSSKSTYRSKIVLQTDSVDKELKSLKDAMLQEGDKEGTLAEEFDPIKHIEAHLPVRVNEQRLSNLLQSLLVGACVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERVLEGPHASFMESVPSKTIIVFTIFQLVYLLICFGITWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHHDHTLEDGESDSGSCGSRDDAEIFDELTTNR >ONIVA01G05520.1 pep chromosome:AWHD00000000:1:3858157:3864169:-1 gene:ONIVA01G05520 transcript:ONIVA01G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKTPFKGVVADIEGRAAWYKHDWLEGFYSGFRILAPTMYIFFASALPVIAFGAQLSRETNGILTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGERLYLAWAGWVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPGGVDHSSPIYRFQWLYVNGLLGVIFSIGLLYTALRSRRARSWVYGQGWLRGFIADYGVPLMVIVWTALSYALPKDVPSGVPRRLFSPLPWESSSLHHWTIAKDLFSVPPAYIFAAILPALMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTATEGLMNRASSLEIYGKMQGVFIEMDCEKNTDSVDKELKSLKDAMLHEGFDKEDKLAEEFDPKKHIEAHLPVRVNEQRLSNLLQSLLVGACVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIRLMFIPSSRRYKVLEGPHASFMESVPSKTITVFTIFQLVYLLICFGITWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHHDHTLEDGASDSGSCGSRDDAEILDELTTNRGELKHRTFSHREERHLQAHSNAVQPRCGDTENWSEC >ONIVA01G05510.1 pep chromosome:AWHD00000000:1:3854931:3858026:1 gene:ONIVA01G05510 transcript:ONIVA01G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPDKAPILNLDERRRKGSSDGSRAVHRREPTPTKETEIPGGHGRPKRSSSALSLDGAGDSNPTWVILNRVGARRESFRGDRTTSAASRTSGGKEISVSFELAPPPETSLLTLDWPQGPRPSEGTTSYPYVIAAHGNVVLLEIISTAKCPRPIDIDYFVYKAKPNGEPSLTRLPVCYWKGASGRDNPRPRIMSRVAMGVLSCSKDSFVVAEMERRSYQPSSANIYMFFSGSDDWRVFRDVRIDHIHGAGWWSTDAVLSYRRRYLIWVDYLRGMIVAKLAHPGGDAQEPVLWYVPLPVDPVMGNPYDSERGRGCPEASRNVCATHRGIKFVNVNRCGGSFSITLWSWCWCEDGTWREDATLDAAQLWDLECENRLPNVRPEFPIVDMENPYTVCFLLNEGNHIVPEATTWMIKVHMKKKILLGCTSFSKNGSLSHQNTTYMIARRMSERLSFISSEMPYYLSGQTMKSSLPLIGCELLRLRATVNYRQNWFDAI >ONIVA01G05500.1 pep chromosome:AWHD00000000:1:3848109:3853673:-1 gene:ONIVA01G05500 transcript:ONIVA01G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFVYCRPTDDGTSESALLRAAFDGNLGRLKGILKSLGIEKGKTQYAVLALNKHGIGVLHAAACQGHLNVCKFLVEELGGDVNIAGKEDITPFMAAAESGDVPTVQYFLDHGGDVTKADVRGCTVLHHAAGTGCCKVTEFLLSKGIPVDIDCGLGTPLFHAANNGKDKTLKILLDHKADPNVIINNGAGSPLMSSLIYRSLKCMKLLIKAGADVNGKGTVVTPLMLAASQGGYTNFIQFLLKAGANPNIPDDDQHQKESRKVVLKAQADLVFKQKNYAAAAKIYDLAIAHGPTAVLYANRSICRLLMGDGEGALSDAYRCRMMRPNWAKACYRQGAAHMLLKEYKHACDALMDAQKLDSGNVEIERELRKARELMAKPPDEQ >ONIVA01G05490.1 pep chromosome:AWHD00000000:1:3844211:3844627:-1 gene:ONIVA01G05490 transcript:ONIVA01G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASGAAFSVRPSPAAARPCVAASASAAGAARFRGDGSGGGGGGGGGGKWWAPLLGWSGQPDYIDAQPAAREEARPNPMAAAEQRGGGGKRFGVLTEEKARQLRARMMETESFHDCMYHSAIASRLASAAPADDGKH >ONIVA01G05480.1 pep chromosome:AWHD00000000:1:3838152:3839265:-1 gene:ONIVA01G05480 transcript:ONIVA01G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAELCPHVTLTRRLGGETLAAAAPPPCFAAVGARRRQSRATRWDGGGGAISLGSISPRRGCGDDGEVVAAERRGRGDGVVVELPAAMAARRDDSTGSAAALRSAGGGSYGQPAVLSQRALWCRLPRGLGRPADLLRLLPATGKAPPSPSLLVLQIQFSPSSVVVLPMLSDGAAPAPLFLGAPPFLGKAASSRCRGVPWFGRGGVRSCFGVLFAGRWPRRWFPSAGFLGVRWSLVELQGWLLRWSRSCLSCKLGNDDPQRTSRQRDEGFEVEQFERALGAVFSLEMSSCWFSLPSGENRVPFGTGVDNILDVVSLLKASLRRFLLH >ONIVA01G05470.1 pep chromosome:AWHD00000000:1:3833567:3837273:-1 gene:ONIVA01G05470 transcript:ONIVA01G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGGTSSVASGGGKRLEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSTAWFDVADLSEDAPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCYAHGKYGNGNPYPVNLTVSVGLSGWLPCARSLKNKIESSQEAAQKASSIPLLLCHGKADDVVLYKHGEKSADALKTTGFSNVVFKSYNSEELGAWVYGHGVAWRVIMRTCLTAS >ONIVA01G05470.2 pep chromosome:AWHD00000000:1:3833722:3837096:-1 gene:ONIVA01G05470 transcript:ONIVA01G05470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGGTSSVASGGGKRLEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSTAWFDVADLSEDAPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCYAHGKYGNGNPYPVNLTVSVGLSGWLPCARSLKNKIESSQEAAQKASSIPLLLCHGKADDVVLYKHGEKSADALKTTGFSNVVFKSYNRLGHYTVPEEMDEVCKWLTANLGVSSSSSA >ONIVA01G05470.3 pep chromosome:AWHD00000000:1:3833722:3837273:-1 gene:ONIVA01G05470 transcript:ONIVA01G05470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGGTSSVASGGGKRLEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSTAWFDVADLSEDAPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCYAHGKYGNGNPYPVNLTVSVGLSGWLPCARSLKNKIESSQEAAQKASSIPLLLCHGKADDVVLYKHGEKSADALKTTGFSNVVFKSYNRLGHYTVPEEMDEVCKWLTANLGVSSSSSA >ONIVA01G05460.1 pep chromosome:AWHD00000000:1:3825409:3832994:1 gene:ONIVA01G05460 transcript:ONIVA01G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLCFMPGWSHMKRSQGQVVSLEVILEQAGKSFDTTYMHLRETRQSDENKRVYVPGKSSNVKSDRVQAQGPYVIFSSYQTARYHYPWLGEELEKGMASLQVHKQKGSHGEVGVDRPHERAREIEPRAASSYFVLVFVSTPDLSDENTVSRVWNVNCSPNLSDENAVSRAVSQPAIQQFRNYSSGLGGDSSAKGDSSSTRVAADPDTHQDFQPTSKSSNMSFDDIVARDIKENPVLIYMKGFPESPMCGFSALAIKVLKLYDVPISARDILGDLKLKECVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQASELIVPRFYYQKGQLKDVLGDISQKHEQKESS >ONIVA01G05450.1 pep chromosome:AWHD00000000:1:3822912:3829868:-1 gene:ONIVA01G05450 transcript:ONIVA01G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G26700) TAIR;Acc:AT2G26700] MLANGFRFRSCIMVLHFDDPVPLQTRMRPFLSPALLQAMGNGIWLSTVLLVSMAAIKEESDYDSSRSSLTAPDSRRSWISDIGSSSSVSACSFGGGGDTPASSCRYKPHKANQAEWEAIRRLRAGAGRVGLEHFRLVRRLGSGDLGNVYLCRLREPWSSSSMTTTAGGCLYAMKVVDKDALAFRKKLRRAEVERDILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFTISSTRFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPKLLRPAKSAGAGGKPPLPPPSSCVPPTIQPVLSCIFRGVHKCHHAKECAGGGAAAGNNGDGDEEAETETAEPEVVVVEPVAARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMLHGRTPFKGESNEKTLINIIKQPVTFPRLAAAAAGEWEEMKTAQDLMLQLLAKNPKKRMGSTMGSAEVKRHPFFKGVNWALVRSVRPPEVPAPPAPAPKKVMMMSKKERQEPYNYRPEDHFDYF >ONIVA01G05450.2 pep chromosome:AWHD00000000:1:3822910:3830134:-1 gene:ONIVA01G05450 transcript:ONIVA01G05450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G26700) TAIR;Acc:AT2G26700] MDLVRPFLSPALLQAMGNGIWLSTVLLVSMAAIKEESDYDSSRSSLTAPDSRRSWISDIGSSSSVSACSFGGGGDTPASSCRYKPHKANQAEWEAIRRLRAGAGRVGLEHFRLVRRLGSGDLGNVYLCRLREPWSSSSMTTTAGGCLYAMKVVDKDALAFRKKLRRAEVERDILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFTISSTRFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPKLLRPAKSAGAGGKPPLPPPSSCVPPTIQPVLSCIFRGVHKCHHAKECAGGGAAAGNNGDGDEEAETETAEPEVVVVEPVAARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMLHGRTPFKGESNEKTLINIIKQPVTFPRLAAAAAGEWEEMKTAQDLMLQLLAKNPKKRMGSTMGSAEVKRHPFFKGVNWALVRSVRPPEVPAPPAPAPKKVMMMSKKERQEPYNYRPEDHFDYF >ONIVA01G05450.3 pep chromosome:AWHD00000000:1:3822910:3830134:-1 gene:ONIVA01G05450 transcript:ONIVA01G05450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G26700) TAIR;Acc:AT2G26700] MAAIKEESDYDSSRSSLTAPDSRRSWISDIGSSSSVSACSFGGGGDTPASSCRYKPHKANQAEWEAIRRLRAGAGRVGLEHFRLVRRLGSGDLGNVYLCRLREPWSSSSMTTTAGGCLYAMKVVDKDALAFRKKLRRAEVERDILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFTISSTRFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPKLLRPAKSAGAGGKPPLPPPSSCVPPTIQPVLSCIFRGVHKCHHAKECAGGGAAAGNNGDGDEEAETETAEPEVVVVEPVAARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMLHGRTPFKGESNEKTLINIIKQPVTFPRLAAAAAGEWEEMKTAQDLMLQLLAKNPKKRMGSTMGSAEVKRHPFFKGVNWALVRSVRPPEVPAPPAPAPKKVMMMSKKERQEPYNYRPEDHFDYF >ONIVA01G05450.4 pep chromosome:AWHD00000000:1:3825698:3830134:-1 gene:ONIVA01G05450 transcript:ONIVA01G05450.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G26700) TAIR;Acc:AT2G26700] LLKLLRICLGLRRIGGDAASFPGAGVGEAARRRPAGAVRSRATGHFPSTCGLRLLQEFSEAIPFSSSSPSHGQTADEQRLRQARQHLRHGRNRWQEGS >ONIVA01G05450.5 pep chromosome:AWHD00000000:1:3822912:3824928:-1 gene:ONIVA01G05450 transcript:ONIVA01G05450.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G26700) TAIR;Acc:AT2G26700] MAAIKEESDYDSSRSSLTAPDSRRSWISDIGSSSSVSACSFGGGGDTPASSCRYKPHKANQAEWEAIRRLRAGAGRVGLEHFRLVRRLGSGDLGNVYLCRLREPWSSSSMTTTAGGCLYAMKVVDKDALAFRKKLRRAEVERDILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFTISSTRFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPKLLRPAKSAGAGGKPPLPPPSSCVPPTIQPVLSCIFRGVHKCHHAKECAGGGAAAGNNGDGDEEAETETAEPEVVVVEPVAARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMLHGRTPFKGESNEKTLINIIKQPVTFPRLAAAAAGEWEEMKTAQDLMLQLLAKNPKKRMGSTMGSAEVKRHPFFKGVNWALVRSVRPPEVPAPPAPAPKKVMMMSKKERQEPYNYRPEDHFDYF >ONIVA01G05440.1 pep chromosome:AWHD00000000:1:3814761:3819142:-1 gene:ONIVA01G05440 transcript:ONIVA01G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGMEWEVGRRRDSEDVIVLSPGPPARRRPPPVKAVEPDPGGFAYEPPEKLFYKTRVCETFVTSGRCMFEDGCTFAHGDEELRPSLTACAGGWRKPSPSLAAPPVAVAVAPTPPPAQVVHELLARGSGSGGGHRAITKVCFEFRDKGTCYFGETCAFPHVSAAEIRQGSRLSSMSSSSWEMPARRSVAVTVPRTFVSVPPVAPPPPHYRVNNNSSSSSRYNAASMAAAAPPAASDANLVAQQPPPEQGGRKMTRLEMLSLKKMTGIYGDWLEGAIEHYLNAGVVSIKLWMNRFDYRGLMQPFDGICEIPIIKLRPYQLNYYNQEAVGKGQHRWGKWFDLSIAQEKLVNSTGGSTASSSHLVYPSKSKRLSWHPRIFLYEGFLSDMECDHLVSTGRGNMDSSLAFTDGDRNSSYNNIEDIVVSKIEDRISLWSFLPKENGENIQVLKYGVNRRGSIKEEPKSSTGGHWLATILIYLSDVKQGGETVFPRSEMKDAQAKEGAPSQCSGYAVRPAKGNALLLFNLRPDGEIDKDSQYEECPVLEGEKWLAIKHIHLRKLDSPKSSLASEDECTDEDDRCVSWAASGLSNLILSAYNHEKIIT >ONIVA01G05430.1 pep chromosome:AWHD00000000:1:3813457:3815796:1 gene:ONIVA01G05430 transcript:ONIVA01G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSMSIHRRERHGSQLAVEKQRRSLGGHLTYPRDGSTTGVQPRVINEVPTAKYDGVFTWNGNLTSRNVSSQYTACNVSVLSSE >ONIVA01G05420.1 pep chromosome:AWHD00000000:1:3803563:3808601:-1 gene:ONIVA01G05420 transcript:ONIVA01G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLRRLAGTHRGRVPLAAAAAVAGGAALFCASSPPIIALMEEKGEDAATKVALNPDKWLEFKLQEKATVSHNSQLFRFSFDPSTKLGLDVASCLITRAPIGEEVEGRRKFVIRPYTPISDPDSKGYFDLLIKVYPDGKMSQYFASLKPGDVVEVKGPIEKLRYSPNMKKQIGMIAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKRELDRLASSYPNFKVFYTVDKPSNDWRGGVGYISKDMALKGLPRPGEDSLILVCGPPGMMNHISGDKAKDRSQGELTGVLKELGYTADMPRFVAASISSSSPRRSGETEREGRVSGWAAMRGRFSPRYACDANPMPATRIRAHPWCSAAAARGVLGLRPAIDCACGCAAVVPRGTRDRFRHRRLSGCRRRRGGRVWDWNHEVASRRGFGFLSPLLGWDSCGKRGEKTATRFGLLRARVWGIGGQRSWNPRLNLPDNTV >ONIVA01G05410.1 pep chromosome:AWHD00000000:1:3802694:3803029:-1 gene:ONIVA01G05410 transcript:ONIVA01G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSQTFRRSGSSGLVWDERLMLDGHSERDQEDGALELRHSRSVGSIRLQLRHGNGAGHTRCNNSQAFHTRRMPPAQDPPSPKVPGCIFCGIFRKPVLSEPSKPRRF >ONIVA01G05400.1 pep chromosome:AWHD00000000:1:3795029:3795844:-1 gene:ONIVA01G05400 transcript:ONIVA01G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HY5-like [Source:Projected from Arabidopsis thaliana (AT3G17609) UniProtKB/Swiss-Prot;Acc:Q8W191] MAAQEQEQEKQQAKTSTTSSLPSSSERSSSSAPNNLKEGGGGVESDEEIRRVPEMGGGGGSASSGAGADERQGKEDGKQQGGGGGGGGAAAGGGQEQAPPARKRGRSAGDKEQNRLKRLLRNRVSAQQARERKKAYMTELEAKAKDLELRNAELEQRVSTLQNENNTLRQILKNTTAHAGKRGGGGGGGGGKGGDGGKKHHFTKS >ONIVA01G05390.1 pep chromosome:AWHD00000000:1:3788401:3795457:1 gene:ONIVA01G05390 transcript:ONIVA01G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAAPMPLAAMAASGRDDGDDVPLFFLHAVGAAAHLVVAVAVAARMVFGWWCRRGKDGEVRGGGGGGGGGGFRWRWVAASATWVLGGFGVILAAYEGYLGGGGGGGWSRGAVVEEADAAARAVAWLLLGAYLQFRFGRRREERFPAPLRLWWALFLLLSLLAVAVHAVTGLDGRPVPAHSWALDAVWVLAAVALLFAGFLGRREPGGSAIEEPLLNGGASATAAGENNSNNCAADASMFTGAGFLSVLTFSWMGPLLAVGHRKTLDLDDVPGLDPGDRVAGLLPPFKTNLEALAGDGSGRKVTAFTLSKALVRTVWWHVAVTAFYALVYNVSTYVGPYLIDSLVQYLNGDERYASKGQLLVLAFIVAKVFECLSQRHWFFRLQQAGIRARSALVAVVYQKGLVLSSQSRQSRTSGEMINIISVDADRVGLFSWYMHDLWLVPLQVGMALFILYSTLGLASLAALAATVVVMLANVPPGQMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIDLRKTETNWLKKYLYTSTIVTFVFWGAPTFVAVVTFIACMLMGIPLESGKVLSALATFRVLQEPIYNLPDTISMLIQTKVSLDRIASFLCLEELPTDAVLKLPSGSSDVAIEVRNGCFSWDASPEVPTLKDLNFQARQGMRIAVCGTVGSGKSSLLSCILGEIPKLSGEVKTCGTMAYVSQSAWIQSGKIQDNILFGKQMDNEKYDRVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGELASKTVVYVTHQIEFLPAADLILVMKGGRIAQAGKYDEILGSGEEFMELVGAHKDALTALDAIDVTNGGNEASSSSKTASLARSVSVEKKDKQNGKEDDANAQSGQLVQEEEREKGRVGFWVYWKYLTLAYRGALVPFILLAQILFQVLQIASNYWMAWAAPVSKDVEPPVSMSTLIYVYVALAFGSSLCILVRALILVTAAYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIAYQMGSVAFSIIQLVGIIAVMSQVAWQVFVVFIPVLAACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSTTIRSFGKENQFVSTNSHLMDAFSRPKFYNAAAMEWLCFRLDMLSSLTFAFSLIFLVNLPTGLIDPGISGLAVTYGLNLNMLQAWVVWSMCNLENKIISVERILQYMSIPAEPPLSVQDDKLTQDWPSEGEIMLNNVHVRYAPHLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVDPTVGQILVDSIDICTIGLHDLRSRLSIIPQEPTMFEGTVRTNLDPIGEYTDSQIWEALDRCQLGDEVRRKELRLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFSDATVITIAHRITSVLDSDMVLLLDNGVAVERDTPTSLLEDKSSLFSKLVAEYTMRSTHT >ONIVA01G05380.1 pep chromosome:AWHD00000000:1:3763290:3763613:-1 gene:ONIVA01G05380 transcript:ONIVA01G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDSRLPVRPDLLRPCRRSPFLSRSVPAHRRPAAPARVVARFAHHLLGPPAGRCGCCIAGRFCAAVGDGARCLSLRCPDLSCSVAGRTNNKTLAYQIWHELSHSS >ONIVA01G05370.1 pep chromosome:AWHD00000000:1:3754690:3757328:1 gene:ONIVA01G05370 transcript:ONIVA01G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTAGVKCGGGGAALPLSTLNHVSLVCRSLSTSLTFYRDFLGFVSVRRPGSFDFDGAWLFNYGIGIHLLQAEDPESMPPNKKEINPKDNHISFTCESMEAVQRRLKEMGVRYVQRRVEEGGVYVDQIFFHDPDSFMIEICTCDKLPVVPLDAAAAHSIFAGRSPPPPVACKIRPVKQPSATKLGSVAAGGCVGEVIVVDAINGAAAGGGGGAMS >ONIVA01G05360.1 pep chromosome:AWHD00000000:1:3700539:3715291:-1 gene:ONIVA01G05360 transcript:ONIVA01G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRKTAPTGVAIISSSQRSSRTFAPARSPFNYDNPGYHRGEARCSREIDIALDGERKKGSTKWRWAWAGTGAESHHIWAKIYARIGGAACMDKAVRWMLRPVNPKRNIILPSPKKIEKKTYFVGQLLLAHPPVPTLPARRRRRDLAVGVASHPRIAGGGGGPAPPYPTYDVIAMRQLRRPMLASPPLPLPASPPHGTPVSGAAAAACCNDDAWSASESATIDLHRRSQLSSTSGSLWYRTCSLTVI >ONIVA01G05350.1 pep chromosome:AWHD00000000:1:3696669:3700521:-1 gene:ONIVA01G05350 transcript:ONIVA01G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMILDAFASSLGDILIETMKEEASLMLGVSDEIRKLYDTLNSLKKFLQDAEKKHITSSYAQDWVRKLKGAMYEASDITDLVQIKAEERRISMDTSSGCFHSFLLCLQDPLFAKRIGSQIKSVNQKMDDLCKQAAQLNFITNLTDGNGKHKVIDKTAPGLVPRDAVGKKLEQDTRMLVEVLTKEEKASGGESNNVNVVANSDTESNNVTVVAILGIGGIGKTTLAKKIYSDQAVEDSFNTKIWLSVTQDFNEVDLLRTAIVAAGGDHCGAQEKSLLEPILVSALTAKKFLLVMDDIWNQKPWEKVLRVPTIKAGARGSRVLITTRNEGVAREMNAVHLHHVSKLGPQDAWAMLKEQLDLSGPETKRLKESGMKIVEKCDGLPLAIKVVGGVLCKRNKTENDWEKVLGNQVWSKIGLPDELNKAIYLSYEDLVPNLKQCFVYYSLFPKDEIIGPDKVVAMWTAEGFLGNDGNSTQLGMDYYKELIMRNLLEPHDDYYNQEYCLMHDVVRSFAQYVARDEALVVGDTENMTNLTLSNFFRLSISANEIEWSNLQKQHSLRTLLLFGNIKFKPGNSLSNLPFLRTIHIRDARCATLIGSLCHLKHLRYLELGYTNISALPQNIGKMKFLEHIGLRGCHSLAELPSSITELPKLRHLSIDETKINAIPRGFKRLENLEMLWGFPVHIIIENAGEYRCSLEELGPLSKLRKLKLIGLENVPYSSMATLAKLKTKENLICLELWCTSGVTVSGRVKESIAMADQEQIVDVFDKLCPPLCLEELTIGGYFGDKLPSWIMMPAKFLKNMRRLDLQDMANCAHLPSGLGQLQDLDCLVINRAPQIEQVGYDFFVQGGQRKTDNRNPTHAVFFPKLHELCLQGMIKWKEWTWEKHVEAMPVLSVLNIRNCKLHYLPPGLSYQAKALRRLSIANVQHLNCLENFSSVIKLDAYDNPDLERIANLPNMQNLTVVGCPKLMVFNNVKSLRSIQLGISEMETLPAYLQDTKLEQLEIACSLKLLKLMVKKESWSEWGKISNIMHVKGFASENGRRWYISYTKHPFSFDTNIEYTLELPEETGYEANIAMEKI >ONIVA01G05340.1 pep chromosome:AWHD00000000:1:3693888:3694684:-1 gene:ONIVA01G05340 transcript:ONIVA01G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFVFLLVVLERSYEALQLGEERARSLLISFLALVPFEEEESGKASSQAEKKHASPLLSCVKLLSLLVALMEEEEIDEESLQAEKHPSRHLSRVDLFPLAAPMEEQSDEAFLQAEKKHANPLLSCVELPLVAPMEEQIDEEPLQEEKHSGHLLSCVELFPLVARMKKEEKHPSHLFPLVAPMEDEIGEEDSDEVNLQSRATGDGDGPFMESRTAVVGGGGPFMNFGGSGP >ONIVA01G05330.1 pep chromosome:AWHD00000000:1:3686507:3686713:1 gene:ONIVA01G05330 transcript:ONIVA01G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTYCSLSCSKLMTCNCGVGCCKKAPLLPSPEKSGPASKVVDQQPPATPMPPPPSPAKDPAAGGLAT >ONIVA01G05320.1 pep chromosome:AWHD00000000:1:3669179:3674285:1 gene:ONIVA01G05320 transcript:ONIVA01G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLGCYTIKSHGTKVARLHMYDWIILLLLAVIDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTVPFWAVPLIGIVLPCAIFGGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKDVYDNVTTGVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKITVFDRRGHVAKLCIVFLPLLTAALVAVSRVDDYWHHWQDVFAGSLIGLTVASFCYLQFFPYPYDADAFWPHAYTFQLAEASRNNNTANSYSVRPTGFETVNVPEGHGGIALRDTNLEAGRRP >ONIVA01G05320.2 pep chromosome:AWHD00000000:1:3669475:3674285:1 gene:ONIVA01G05320 transcript:ONIVA01G05320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLGCYTIKSHGTKVARLHMYDWIILLLLAVIDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTVPFWAVPLIGIVLPCAIFGGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKDVYDNVTTGVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKITVFDRRGHVAKLCIVFLPLLTAALVAVSRVDDYWHHWQDVFAGSLIGLTVASFCYLQFFPYPYDADAFWPHAYTFQLAEASRNNNTANSYSVRPTGFETVNVPEGHGGIALRDTNLEAGRRP >ONIVA01G05310.1 pep chromosome:AWHD00000000:1:3655960:3659408:1 gene:ONIVA01G05310 transcript:ONIVA01G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT1G60560) TAIR;Acc:AT1G60560] MGTEAEAEAAEVVESVSDLAVQDPPGEEFSAADLRWVKYASSEHQRDDVALIPYERMDAFIAGECSNPECPTRFHIERGRKRDRGTLREVRSDDYLLYRMYWCSFGPENYGEGGTILPSRKYRLNTRNRAARPQSMRGCTCHFAIKRLYARPSLVLIIYHERRHINKSGFICHGPLDRDAIGPGARRVPYVGSEIQQQTMSLIYLGVPEENILQTHMEGIHRYCGSDAKVDSLASQYVQKLGMIIKRSTHELDLDDQASIRMWVDRNKKSVFYYQDSTDTDAFVLGIQTEWQLQQMIRFGHQDLLASHSSFGVSKLKYPLHTLLVFDSRQHALPVAWIITRSVTKQDTLRWMKALTERIYSVDSTWRIGGFVIDDPASELDPIRDVFSCPILFSLWHIRRTWLKNIIKKCSNSEMWIDTIRSLPLASQESSGTIEGYHLKLKVKAYDDSQLDALQRVDWLVHKLTTELHSSYWLNLYADESGSFPEVKAEYIASTSWHRALQIPDDAVIFDDKEPFSAKVTSQKDTSQMWTVWNPGSEFSLCDCSWSMQGNLCKHIIKVNMMCGPRKDFQPSLSFQSFQRVLLDLWQKPMDDSFSLDLSVAWVMQMQERIQKVTELATADGIAEVSNRLPIQWTNKKGRKVAAKRTSPLRVLPHSNGIVQKDFTPKKNRKRKRLSTVSG >ONIVA01G05300.1 pep chromosome:AWHD00000000:1:3647739:3651729:-1 gene:ONIVA01G05300 transcript:ONIVA01G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQGFMAFRRIRGDEQEEDRDDGGWPEAKRKRQTVPSFISSPPMLVNSVDSSLKLAFAKRLQLPIFTNNKLVDVDNNPLQVHLLHMSSTTTSHHHLPMIKKLEVLVLDGDFSHGDEGWSSDEFSGAIVREREGRRPLLVGTLNVAMADDHLGVAFIDDVAFTDNSSWTRSRRFRIGVRAVAVAGSSDGGGLRIREAVSESFMVKDHRGESYKKHFPPRPDDEVWRLKNIRKDGPIHKRLESERVRNVQGFLNLHATNPEKLRKLVVMSDRLWKATLHHAKTCDFRAAEMMQVKQSSIEAYQNWDQLDEAETNKTASGNLGQAHEGSLQADEIGTVSGPHFQSRNQMDSEDSLSAAAVTEDADDALWSPCMTSDGHGLIMWKNNTSVWDQTN >ONIVA01G05290.1 pep chromosome:AWHD00000000:1:3628004:3628666:-1 gene:ONIVA01G05290 transcript:ONIVA01G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAGVGRFRGPSVAALLAEMWAPLAVALAALATLPSLLRRLQVLILRLRSRGKEVISSHISTYYSSGDDSDSDGTDEDEEEEDDEESSSGEEEKGRRRERRIGYYEGVADDDEDGCFPWGGTVVRTWQDLPRRISGGARLLAPGTSSAAAVRLWDSITASGGGGAWWDADEGGRAPAAQAPPVVLGWRRDHPHPHADEHAARRRRRRAGLLAAVATSPK >ONIVA01G05280.1 pep chromosome:AWHD00000000:1:3623602:3624081:-1 gene:ONIVA01G05280 transcript:ONIVA01G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKRSPPLPPLSSVAAVVVLLVLLLLFTFMATEANAQVFCRSQFNLANEACSLRTFSGPNPAVPLRQLNESAVAVMAEHREREHGHGGGGGGGGGRLRSHRADPYDTACCRRLMGIDNACICQAMSYLPVFMSRVKHAIKLTPVPGCDVSFECAAAY >ONIVA01G05270.1 pep chromosome:AWHD00000000:1:3619730:3622782:1 gene:ONIVA01G05270 transcript:ONIVA01G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSLVHITRFASLLRSSSSFSLLRFASKSPAISPPKTSPPPTTTINKPLLLLLFFLLRLAISPPPPPHSLTSCHVIRAIDRVVASAAFAANGFFLLPSHPPPSKLVYEGRKNTAKSLHDLQMMVPSSRKVRVFCSDPDATDSSDEDDQNKKERRFSREILIPMENSKASKPVKTLVQCGTKTVKDSEKEPTSKYRGVRRRAWGKWAAEIRDPVRKSRKWIGTFNSEEEAAAAYLAQSNQFHEELMALKIQSSVSEQEDLSSSVTISCVSSSQSCDQKIQAKPQEHKRVSVVVNRETVEQKFKAQPQAQKIKAQPEVQKRVSVKISHETEDEHLLNLPSTPKGKEISMGAVLGRIDEIPVSNCVGHIDEFPPDDFTRLADAFPVSDFIGMADVPLGDDYIGLADISHLPLPITDLKFDLNAELNWDGFDFASLEQELNCL >ONIVA01G05260.1 pep chromosome:AWHD00000000:1:3602915:3608605:1 gene:ONIVA01G05260 transcript:ONIVA01G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTILGAKKFLLVLDDVWADQIHQDFLKVSLQAQQGSRILLTTQDEGVLRQIASDDIHKVNKLSFPDCWSLLCSSACLDEQDCDALTDIGITIIQKCNKVPLAIKVLGGLLGTKNPRREEWQEVISESEGWTLENVPDGMEEICLPIYLAYYSLPYHLKLCFDYCLQLPEGFVIRPQIVTQLWIAEGFIREQDNRNPEDIAEQYYKELVLRNLLQPEIGCFDMSKCTVHDCVKSLLQPSTKDKKSTDSTEGTKFFRSFRTAFVYKNPSGDRGLNWLINLRSLINLRSLDLTGTCIRYIPKSLEHLHHLRLLNLSLTQVLELPESIESLSNLQFLILRCCYWLETLPEEISNLVSLRSLDLEGTTPHIVLSRLSALEQLTALHGFIVDHNAAVPDNDHQNGWPMKELSPLKSLRSLQIMGIDRVPDESRAQEANLASKSHLTHLELCGSSTSDSQVFVPEEEQDRWLSVLCGLQPPQCLEYLKIASYYGSSLPDWILQLRNLQRLVLTDCKLCDSLPALGQLQQLKFLTINGCPKLRIIEWRTGATTKLVFPKLEQLDLSDMQALESLDRFKHGDLLSLTKFHLENSPKLRSLPSGLGYCKVLTSMKIVGADSLQVIDNLPMLKELVVQDCRELVKISNLPVLQVLVVVDCSMLQDLRGVGGLRHVRLVDRVTKELPDWLTGHEAPLLQTFTIVGTTELLRKLVPNTKGWSAIRNMDRVYANLPDGAPFLAYNKGKPDFQMIKTIVSPQLEDPSADVILGKLVRMASQTGLADTVKRYFLPPLAIALVFLLLVTRDFTLIGVFLAFFAACVAGFSVIYIQKTSS >ONIVA01G05250.1 pep chromosome:AWHD00000000:1:3599383:3599706:-1 gene:ONIVA01G05250 transcript:ONIVA01G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHLVGAAATLLLPCAHRPTPYVVSTVTVTPLDLALDGCLCHRLRSHNHPSLLPTPPPRAGTSSTPPGAGLLLDLGFGGLISTSSLALVPRPLQLGGQKSPIGPYL >ONIVA01G05240.1 pep chromosome:AWHD00000000:1:3569116:3569394:-1 gene:ONIVA01G05240 transcript:ONIVA01G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAAHAASAQRAGARRRWQPLAPVLLRLPPPELLLLLLLPPPPQPARPHATAALPVPSMSKNVDGARPAGGQEAAKAAMVAMELDNRRAC >ONIVA01G05230.1 pep chromosome:AWHD00000000:1:3566970:3568406:-1 gene:ONIVA01G05230 transcript:ONIVA01G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEITEGVRNLAVEGEPTAAAAAAGGGGEGAQRRAAGSSSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVELSALGMAIATVVTVAEILKNNGFAVEKKIRTSTVEINDESRVRPLQKAKIEIVLEKSEKFDELMAAAAEEREAAEAEEQA >ONIVA01G05220.1 pep chromosome:AWHD00000000:1:3561913:3564885:1 gene:ONIVA01G05220 transcript:ONIVA01G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPEGGSLIMEGDIPGTGLEKIPLIPQKRSELLAHSNSTVNLLEMSRNGRIIAINDTGNPVVPQRIWVEAKYTFVLLLVLTRSSEAQKRPLYNVLDFNATGDGNTDDTKAFLAAWEETCNNEGWPILIIPGGRTFLLKQIKFNGSCKSPIKIQMDGNIVAPNYIWASEADNLITFYSVNNLTLDGNGQIDGKGAIWWACYTEKILAFAACNNLSVTNIHLTNSADKHMTVYRCSQVHVHNVTIVAPGDSPNTDGITMAISDHVYISNCSIQSGDDCVSMLSYTTDVNITDITCGPGHGISVGSLGRFETALVERITVSNCNFIGTKNGVRIKSWQGGMGQATGFIFENINMTAVESPIIIDQFYCPQGNCPLKDGGVAISDARFINIRGTSSEQEAIKILCSQSVHCQGIYLSNINLSWENHTALANATILNANGTVEGSVVPKVVFS >ONIVA01G05210.1 pep chromosome:AWHD00000000:1:3560031:3560600:1 gene:ONIVA01G05210 transcript:ONIVA01G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPPPQRHHHLVLLALASCLASGTATPTPTTSSQSQQPQPAGTLLQPALAADLAARTCWYTVQIKTSCASPRRTSDAVSLAFGDAYRNEVYAARLAGSSSSPQPSSSAAFERCATDTFRVGGPCGYGVCYLYLRRSGRDGWTPQWVRVYEPTSDTPSTFYYGDPLPNAVWYGFNRCPRLAASAAAQ >ONIVA01G05200.1 pep chromosome:AWHD00000000:1:3558087:3566032:-1 gene:ONIVA01G05200 transcript:ONIVA01G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSACCYRPLSAPPLHRRRGPPPQQQQLRTAVGGPRPRPSLQVAAAAATGGGQSVKAATDAEFFQTSDTRPIMLFDGVCNLCNGGVRFVQEHDPNRSIRYIPLQSESGRKLLQRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMGYLNLPFPQLATFLNFVPLFLRDFAYDNVADNRYAVFGRSESESWMIKIGQPSLLQVSSHAARNAFSAEKMHGKGSRTHARHARKPSSPGMQKYWEKNSMCTYIKIIQDR >ONIVA01G05200.2 pep chromosome:AWHD00000000:1:3561179:3566032:-1 gene:ONIVA01G05200 transcript:ONIVA01G05200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSACCYRPLSAPPLHRRRGPPPQQQQLRTAVGGPRPRPSLQVAAAAATGGGQSVKAATDAEFFQTSDTRPIMLFDGVCNLCNGGVRFVQEHDPNRSIRYIPLQSESGRKLLQRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMGYLNLPFPQLATFLNFVPLFLRDFAYDNVADNRYAVFGRSESESWMIKIGQPSLLQVSSHAARNAFSAEKMHGKHYPLTLSD >ONIVA01G05180.1 pep chromosome:AWHD00000000:1:3549088:3553397:-1 gene:ONIVA01G05180 transcript:ONIVA01G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0E0FGW9] MTASEVASLTLSLFSRNFIISLDPICSPSSSTLPISALAIAILLLSLTGEDASLRRSPPAMAQMLLHGTLHATIFEAASLSNPHRASGSAPKFIRKFVEGIEDTVGVGKGATKVYSTIDLEKARVGRTRMITNEPINPRWYESFHIYCAHMASNVIFTVKIDNPIGATNIGRAYLPVQELLNGEEIDRWLDICDNNREPVGESKIHVKLQYFDVSKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGKNYEPHRCWEDIFDAISNAQHLIYITGWSVYTKITLVRDSNRPKPGGDVTLGELLKKKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETENYFHGSDVNCVLCPRNPDDSGSIVQDLSISTMFTHHQKIVVVDHELPNQGSQQRRIVSFVGGLDLCDGRYDTQYHSLFRTLDSTHHDDFHQPNFATASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLLQLRDLSDTIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPDTPEEAAKAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYAWKPEGIKPEDIGALHLIPKELALKVVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYTDITEALQAKGIEANPKDYLTFFCLGNREVKQAGEYQPEEQPEADTDYSRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRMALWYEHLGMLDDVFQRPESLECVQKVNRIAEKYWDMYSSDDLQQDLPGHLLSYPIGVASDGVVTELPGMEYFPDTRARVLGAKSDYMPPILTS >ONIVA01G05180.2 pep chromosome:AWHD00000000:1:3549088:3552544:-1 gene:ONIVA01G05180 transcript:ONIVA01G05180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0E0FGW9] MAQMLLHGTLHATIFEAASLSNPHRASGSAPKFIRKFVEGIEDTVGVGKGATKVYSTIDLEKARVGRTRMITNEPINPRWYESFHIYCAHMASNVIFTVKIDNPIGATNIGRAYLPVQELLNGEEIDRWLDICDNNREPVGESKIHVKLQYFDVSKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGKNYEPHRCWEDIFDAISNAQHLIYITGWSVYTKITLVRDSNRPKPGGDVTLGELLKKKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETENYFHGSDVNCVLCPRNPDDSGSIVQDLSISTMFTHHQKIVVVDHELPNQGSQQRRIVSFVGGLDLCDGRYDTQYHSLFRTLDSTHHDDFHQPNFATASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLLQLRDLSDTIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPDTPEEAAKAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYAWKPEGIKPEDIGALHLIPKELALKVVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYTDITEALQAKGIEANPKDYLTFFCLGNREVKQAGEYQPEEQPEADTDYSRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRMALWYEHLGMLDDVFQRPESLECVQKVNRIAEKYWDMYSSDDLQQDLPGHLLSYPIGVASDGVVTELPGMEYFPDTRARVLGAKSDYMPPILTS >ONIVA01G05170.1 pep chromosome:AWHD00000000:1:3544541:3547070:-1 gene:ONIVA01G05170 transcript:ONIVA01G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGGGGGGGGEGGSASRVGDWGVDLGEGWDWRSIPKLLSSACLFICSGGCFGCCDKAVRHVGQLSKSLITPDQNYTIGDELWSTTTIEVEQSWALDQQGVGSSQGPTEFVNHGLVLWKEIRKDWTAKTRQIPETKQIREPILSWNAAYESLLGSNKPFHQPIPLHEMVDFLVDIWEQEGLYD >ONIVA01G05160.1 pep chromosome:AWHD00000000:1:3538281:3542450:-1 gene:ONIVA01G05160 transcript:ONIVA01G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATARGPRYAPPDPTLPKPWRGLIDGNTGYLYFWNPETKAVQYDRPTAPPPSSPPAQQPPERPRNSDPAESQAQAGASRTQNAAPADDRARNDHLNDHFERHTEAVGSHAQNVPFTEQNTRSNPSSQPCSAAGVYPAQNVFSEAASGDRTSPEAYRAKHEITIVGNEAPAPFMTFQSTGFPPEILREVQQAGFSAPTPIQAQSWPIALRNRDIVAVAKTGSGKTLGYLIPGFILLKRLQHNSRDGPTVLVLSPTRELATQIQDEAKKFGRSSRISSVCLYGGAPKGPQLRDLERGADIVVATPGRLNDILEMRRVSLHQVSYLVLDEADRMLDMGFEPQIRKIVKQVQPKRQTLMFTATWPKEVRKIASDLLSNPVQVNIGNTDQLVANKSITQYVDVITPPEKSRRLDQILRSQEPGSKIIIFCSTKRMCDQLARNLARQYGASAIHGDKSQAERDSVLSEFRSGRCPILVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCDQDSKYASDLVKILEGANQSVSQQLRDMVSRGGYGGRSRRWASSDDSYGGRGYDSGYTSRSTDNYNSGYGSQSGNASITATVAISLAILLASKPAFITAAATIKPVTIQAFMPATTINLVMVSAFMPGSIALLEAAIRAEQTMLAFVIEVGVLQAIATMKILGPRLLVSPTGKC >ONIVA01G05150.1 pep chromosome:AWHD00000000:1:3535387:3539580:1 gene:ONIVA01G05150 transcript:ONIVA01G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAAASRATAWSTARHGAARVTASASFSGGGGIVAGAALPLRVRGGQLMSLPLLSGGRAVTARVAAAEAPLPADDADAAAGRERGALAETAQLGAMIVAWYLLNIYFNIYNKQVLQPLPFPYTITAFQLAFGSFVIFLMWALKLHPAPRISISQLAKIAPLAAGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVLLSAFFLGETPSLLVLGSLVPIVGGVALASLTELSFNWIGFWSAMASNLLYQSRNVLSKKLLGGEEEALDDINLFSILTILSFLLSLPLMLFSEGVKFSPGYLRSTGLNLQELCVRAALAGFCFHGYQKLSYLILARVSPVTHSVANCVKRVVVIVASVLFFRTPISPVNALGTGVALGGVFLYSRLKRTKPKNA >ONIVA01G05140.1 pep chromosome:AWHD00000000:1:3529951:3533126:-1 gene:ONIVA01G05140 transcript:ONIVA01G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:asparagine-linked glycosylation 3 [Source:Projected from Arabidopsis thaliana (AT2G47760) TAIR;Acc:AT2G47760] MARVGKHGESSPPSDRRPLHFAAFLLLADAALVALIVAFVPYTKIDWDAYMAQVDAFVEGERDYTKIEGDTGPLVYPAGFLYVYSAIKLLTAGQVFPAQILFGVLYIVNLSLVLLMYVKTEVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTFLHAAMVLIMYHKWYLGLVIFSAAVSIKMNVLLFAPSLLLLMLKAMSIKGVFFALLGAAALQVLLGMPFLLSHPVEYISRAFNLGRVFIHFWSVNFKFVPEKFFVSKELAVALLVLHLTTLLVFAHYKWLKHEGGLFHFLHSRFKDATSIGQLIFAKPKLSTLNKEHIVTVMFVGNFIGIVCARSLHYQFYSWYFYSLPFLLWKTRFPTFVRVILFLAVELCWNIYPSTAYSSLLLLFIHISILFGLWSSPAEYPYANGKK >ONIVA01G05140.2 pep chromosome:AWHD00000000:1:3529825:3533126:-1 gene:ONIVA01G05140 transcript:ONIVA01G05140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:asparagine-linked glycosylation 3 [Source:Projected from Arabidopsis thaliana (AT2G47760) TAIR;Acc:AT2G47760] MARVGKHGESSPPSDRRPLHFAAFLLLADAALVALIVAFVPYTKIDWDAYMAQVDAFVEGERDYTKIEGDTGPLVYPAGFLYVYSAIKLLTAGQVFPAQILFGVLYIVNLSLVLLMYVKTEVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTFLHAAMVLIMYHKWYLGLVIFSAAVSIKMNVLLFAPSLLLLMLKAMSIKGVFFALLGAAALQVLLGMPFLLSHPVEYISRAFNLGRVFIHFWSVNFKFVPEKFFVSKELAVALLVLHLTTLLVFAHYKWLKHEGGLFHFLHSRFKDATSIGQLIFAKPKLSTLNKERKIKKGYGNLKL >ONIVA01G05130.1 pep chromosome:AWHD00000000:1:3526615:3528895:1 gene:ONIVA01G05130 transcript:ONIVA01G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLRRSPRIKELNEKVQVNNDQVPATKPIVLQDSNTRKRKGKLPIGQARDKNAQRTPPHQLNLQSSRQMNIGGSAYMSHLLGINPTQVHDQFGFSSASFGQSSSLPQNQATYSGILPREGQTTAYLYYPFLSSFTPDASTPSGSTSKQGTPVAHDNTTEQLRKLTEANTIGGSNPRTSSHLLNHHISSFFPVTPLPNTNHGSLAPLQPPRNEQPPLRPYVSSEITTTGNRPAESFFQPPPPPPPRPLDTGAGDNDDMAAVERGPRCDLQTVDLLSLVESVGTPGFLANSARVLGSMHEPRRGVAAAAARGGAAPPPPEPSLVLGLGDGNGDGREKAWSYWNNSSAMARTMERKRRSETATAMATATQASQALPVKTTLGLGEDAMKRRP >ONIVA01G05120.1 pep chromosome:AWHD00000000:1:3520455:3523898:-1 gene:ONIVA01G05120 transcript:ONIVA01G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDWMVGFFSVEEREEEEEEEGDSFTWLPERAGVNVRLDSGDLSLSSRLAQIRSERRGRERERNRRREGGGGGGGRKVGEGEAVEKSRTRTQSPKRLITAAMPSSTMAVDTTASEYWLNWRFMLCAVWVYSCMVLACFLIWKYEGPSSQDGNGDGGEDSEDARPPRAASGVVYLEDCWKPCLEQIHPGWLLAFRVVSFFILASLLAVDVVVDGWSVFLYYTQWTFLLVTLYFGLGSVLSIYGCYQYSYKNGDNRSGADHGTYIIAPAGESVYDQSIKNPCYSKMHGGKEIAGFWGYLFQIMFQLLIGTHSINVVFMIGDTAMNSLRFPWFRIAYFLLWTGAFVNVQWLIHASISIWWPYPFLDLAFPKAPVFGGSSDAFPLLCFIRPGYEAKTISAGKMVSSQLHLRVANKNIYSVTISLLLHPANANYMELLLTVNS >ONIVA01G05110.1 pep chromosome:AWHD00000000:1:3514339:3519530:-1 gene:ONIVA01G05110 transcript:ONIVA01G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLLPWTAAPAPTPTVGEMTAKAGIHRAVLWFVAFYYPSIPFIGFGGIAYMFCFCAMPDDTFSGCVRRRDLWRLTPLLLCAAYMSLLALVSMHTRLFLPRAPNAVLTDLLDVGTVRVGIPLAWLACVGTGAGFTFAIALDCVFVVLIARVLAIWSRLVRTYLHSVLPWTAAPAPTPTVGETTARTGIHRAVLWFLAVYFPSIPIFTTSGAAYALCTALQYPTFSGCVRRDLWRLTTLTLWAAYMSLLALVSMHMRLFLPRAPDAVLTDLLDVGAARIGIPLAFLACLAASLGVTRVAIALDCVFVVLIAHFETAPMNGGACTDADGRRDDRQGGHTPCHVVSPSVPFICFGGVVYSFCSTREDVPTTTFSSCVRRDLWRLTPLVLWAATCRCSCW >ONIVA01G05100.1 pep chromosome:AWHD00000000:1:3507279:3511511:-1 gene:ONIVA01G05100 transcript:ONIVA01G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAALHADAAPASAAPGSSFPDAYGDRARAAQLPPRKQQPQVAYALRRASATSSAHLHGATSRSFTGVATEGYEQIRVFLGIGCCDEVKARYSRYEAIVKLASSIGTVVPVALSIVPYFYQVYTGNFERTIEVYGAPAMLAGSINSIIWLTYSIMASKKDLNLVLILMHALLCMSTFTYLMCICACKRATKEGYILGAFFIGCLSAISIVVHWDLNPSKVVKIIFRCFGGISLLSCHYILLNDILGSVPKRSQKVATGFDLILSCITNLVTFIITFHDHPEHTTSLHIVTGVGMIPLEDALGTPETDDFIAVLTHEPQVPQFEVSYLILQVRNGFEIYSLRSMVRCQGTET >ONIVA01G05090.1 pep chromosome:AWHD00000000:1:3506237:3506458:1 gene:ONIVA01G05090 transcript:ONIVA01G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDMACLLGWWTPRQLWLTGVDALSVSVDVADSPWGQDCSRGTKGPALSTLAYGAPWLTAWSARQEAAAAL >ONIVA01G05080.1 pep chromosome:AWHD00000000:1:3500324:3504738:-1 gene:ONIVA01G05080 transcript:ONIVA01G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVDAAAPGGGEGAGGVRSRCGRGALHLAAANGRTHVCRFLLEGLGLPVDALSASGAPPSRPAAAARSSHVSSMLAERLISCFVFDAAAHAGETPLLLAATFGHTSTAAYLLDRGADPSTPDPNGGDTPLHWAAYNGDRELAKLLLLRGADVGAANPRGTALHVAAARGHAAVVSVLLNHGADPNKIANIVFTPLVSSLLGGSLECMKLLIQAGANVNGAGFNGATPLLLACSRTGSIGFIKCLVESGADPNIPDELDRLPIEIAAIHAEREVIEVLLPLTHQVPTLLDWSVGGIIRYVKYPAYKEWARNASCKRKDELKLQGNSAFKNEDYDAAILLYSMAMKFDNTDAKLYSNRSACWLNLGIGDEALSDAQICSKMQPDWAKGYYRQGMAFSLLQDYASASYVLRRALKLDPQNATVAKALRCYENKIYMTENVLEKRGDVLETACPRMEESGLAFVHQKKRDWSPAAAGRSVPDATFQIDKKILPVWVKIWGVPRRPLLGCGWMYLPPVNNVPESSAPEEQQITVLGSE >ONIVA01G05070.1 pep chromosome:AWHD00000000:1:3491787:3496781:-1 gene:ONIVA01G05070 transcript:ONIVA01G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRWWAAPLAAVLAVILLPSSTATLSPAGINYEVVALMAIKTELQDPYNVLDNWDINSVDPCSWRMVTCSADGYVSALSVQPPICSFLRSRLRRAPAMAANLVVSSLLAENNAISGTIPASIGRLGMLQTLDMSDNQITGSIPSSIGDLKNLNYLKLNNNSLSGVLPDSLAAINGLALVDLSFNNLSGPLPKISSRTFNIVGNPMICGVKSGDNCSSVSMDPLSYPPDDLKTQPQQGIARSHRIAIICGVTVGSVAFATIIVSMLLWWRHRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGFLRDGAIVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTENERLLVYPYMPNGSVASQLRELVNGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRLANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKFMDFAADESSLGLEAMELSGPR >ONIVA01G05060.1 pep chromosome:AWHD00000000:1:3489382:3489720:-1 gene:ONIVA01G05060 transcript:ONIVA01G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARRLKMLVLVSLVPLALRATSLLAGHVAPPCSPESLRPEHQPAGAGDGVTMGGGGASASSYRRNRRRMEGGLAAAAVAFHARRFRPHGGGGFEADKRLAPTGSNPLHNLR >ONIVA01G05050.1 pep chromosome:AWHD00000000:1:3485162:3486910:1 gene:ONIVA01G05050 transcript:ONIVA01G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G66345) TAIR;Acc:AT1G66345] MALIAAKSGGGVLAQRGGGGGNLFGLAAASALSASTSTAAATTPQRISHYLAHHPRATWEALSAAFPAADHVDAVLLSLAKHLHSSSSSSSPELVARNALTFFYWAASSSSSSTPHTLRAYCLLVHLLSRAALIRDASVLLESAIAKHSSSSPASAFLDAFFAAYEDSGTAATTRGLHLLVHAYARARLPEEALEACRYLAQRGVVPSLPAFNAVLHAAQRTGRFGVAWEVFELMTLKRVYANQSTVELVIGVLSREGALARMAALVERIHGKKCAPGVVAHVALTLKIFEEGRTEQGILLLRRMLQRNMVFDNIAYSLIVHAHCQAGDLKSACEQRDDMVRRGCRLNSFVYTCLIRVHCRAGDVDEAMQLFEEMISIGLKPYDATYSHLTAGCFRQGRMKEGSEYMDKMLHQGSVPDIGTCNDMLEALCDSGHVSKANELLTALMDKGFVPDQNTYLRMTNGYGKVGDAQGIIKIYHEMEHRGLNIGVDVFSSLIRALCKCGDLKEAEKFLAILERKLLAPTSEIYDLLISGNCEKGNTKKALWFYDRMMTGNDKLVPSADTFMMLVRRVIKPKSTCSPNC >ONIVA01G05040.1 pep chromosome:AWHD00000000:1:3483061:3484747:1 gene:ONIVA01G05040 transcript:ONIVA01G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRACCCGPKGFTRLVGFWARRRRSGTPPPGTSSSAAGRCRRRRNTAPPPGATPDCCCFYAELHFDRKPPSRQEQLTDKQPRPNKKKKQWREMEIHEFCPSYEEALRLNPTDEAVSEEELRHSHCC >ONIVA01G05030.1 pep chromosome:AWHD00000000:1:3476348:3480588:1 gene:ONIVA01G05030 transcript:ONIVA01G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAGCCVRFIGCCLAADGDAALSQSTAALHRMASAFLDAHGEPLVLLDHRVLCSHGVATVGHSQAFAAAMRQRREEIPPAPFRILLQEEYVGWAEVAACANGNKIVDKKSWRASVRNMLDAVYRMICQVLASAVRSVWAIGTYMLPLSAAEFFRRRLPASAVPEKFSWRGVISTFRFQIFPDTCAIVACSVCIEAQHRLEFERLHGQGTFILELPDSTRKLRRFCLERKAWFKGKGAHIDSLLSLIQETGGVPAISTTNTRSSLLLPLHSYDYFSLRGCWTNLTPQQAAQLIFTGGPCIGNLWVDGSYTSKHHYSDDNDDDEEDMLVYRGCDPKKKIHRDKETGLHAVVCYAYRFIGKELHIRVQDNMPICSPHNWILFQAFDMFYTLRVMPLDASRLYDPLWKMTVPPKISQKIGKDRAWLHANLRRLHEATMIQKGKEKVC >ONIVA01G05020.1 pep chromosome:AWHD00000000:1:3470367:3475585:1 gene:ONIVA01G05020 transcript:ONIVA01G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGLARDAAAAYLRRGGAPAHVFSAASRAPGPVVANPGGELRAFGLFRSPMARRADAFEVPSAAGRHGAQGVWSRSSVPALVRAGAPNSRALPFLVGRVVRGFYPQLSGHKLVKGLGMGSTLAATFCSQKVAYAEEVAEQPSEGLIGPSTKHQISKLWTIIRKYQLPVGLIALIALGWQNPLGLFINVLLILYSSRPSPYSIYLFLQEVRHGEMHQNRAFWKEEAVLTRKVDTKDYKLFSIGTVESADREVLHVIGILGNWWIYRASYGKSKNLFVMGGRKIGVAVDFSSCSKAALRWASTNLTRSGDQLVLIHVNSSYHNEQGAVQLWEQSGSPLIPLAEFSDPHVAKTYAVSPDKETLEILNQMSNQRGVEVLAKILYGDPAKKLYEAVDLVPLNCLVVGNRGLSTLKRALMGSVSSYIVNNATCPVTVVKENI >ONIVA01G05010.1 pep chromosome:AWHD00000000:1:3458988:3465007:-1 gene:ONIVA01G05010 transcript:ONIVA01G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILPCCLGSNSSCSKSRSSREEEDNPLQLQPASIQTELISSSSSNSKPTKFREIQERYERDRSTSLTMASSGCSSNRLRQTIVVALLLLASSSCLASAKHRGNGTTTTVPFHGKDELRRYRKIMAQVARLKKASSPDGDVIDCVPAHLQPAFDHPKLRGQKPEAEPEERPKVGGAAATEAEEAVFPQAWTDGGESCPEKTVPVRRTRRRDVLRSSSAVRFGMKQPRAAGVVRRDSTSDGHEHAVGYVTGDQFYGAKASLNVWSARVATAAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNNPRFFTYWTTDAYQATGCYNLHCSGFVQTNNRIAIGAAISPTSVYNGRQFDISLLIWKDPRRGHWWLQLGSGPLVGYWPSSLFTHLGGHANMVQFGGEVVNTRPSGSHTPTQMGSGHFPREGFNRAAYFRNLQVVDWDNNLLPAAALRLVADHPSCYDIQGGYNRAWGNYFYYGGPGRNVRCP >ONIVA01G05000.1 pep chromosome:AWHD00000000:1:3449968:3454094:1 gene:ONIVA01G05000 transcript:ONIVA01G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGLSRRRRPDAAALLCCVVAVVAACMVGGALAADAQGAALLAWKRTLRGGDTALPDWNPADASPCRWTGVRCNANGRVTELSLQQVDLLGGVPDNLSAAMGTTLERLVLAGANLSGPIPAQLGDLPALTHLDLSNNALTGSIPASLCRPGSKLESLYVNSNHLEGAIPDAIGNLTALRELIIFDNQLDGAIPASIGQMASLEVLRGGGNKNLQGALPPEIGNCSKLTMLGLAETSISGPLPATLGQLKNLNTLAIYTALLSGPIPPELGRCTSLENIYLYENALSGSIPAQLGGLANLKNLLLWQNNLVGVIPPELGACTGLAVVDLSMNGLTGHIPASLGNLSSLQELQLSVNKVSGPIPAELSQCTNLTDLELDNNQISGAIPAELGKLTALRMLYLWANQLTGTIPPEIGGCAGLESLDLSQNALTGPIPRSLFRLPRLSKLLLIDNTLSGEIPPEIGNCTSLVRFRASGNHLAGDIPPEVGKLGSLSFLDLSTNRLSGAIPPEIAGCRNLTFVDLHGNAIAGVLPPGLFQGTPSLQYLDLSYNAIGGAIPANIGMLGSLTKLVLGGNRLSGQIPPEIGSCSRLQLLDLSGNSLTGAIPASIGKIPGLEIALNLSCNGLSGAIPKGFAGLARLGVLDVSHNQLTGDLQPLSALQNLVALNISYNNFTGRAPETAFFARLPASDVEGNPGLCLSRCPGDASDRERAARRAARVATAVLLSALVALLAAAAFVLFGRRRQPLFGRGSTSPADGDGKDADMLPPWDVTLYQKLEISVGDVARSLTPANVIGQGWSGAVYRASIPSTGVAIAVKKFRSSDEASVDAFACEVGVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGGAAIGAAVVEWEVRLSIAVGVAEGLAYLHHDSVPAILHRDVKSDNILLGERYEACLADFGLARVADDGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEIITGRRPIEAAFGEGQTVVQWVREHLHRKRDPAEVIDSRLQGRSDTQVQEMLQALGIALLCASTRPEDRPTMKDVAALLRGLRHDDSAEARKAGSGSAIKWADPRQPGSPTKPMAQAQAHSHTSSLAYSTTGSV >ONIVA01G04990.1 pep chromosome:AWHD00000000:1:3438959:3445284:1 gene:ONIVA01G04990 transcript:ONIVA01G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G34140) TAIR;Acc:AT4G34140] MDGGGGDAVDGEASGARFEWDEDSQLYYHASTGFYHDPVAGWYYSGRDGQYYIYDNGNYVPWTPDAGNEPKTHNPCDESNQDFLEPSSGLEQDIPDNQNETQRPPSEWSSDVLCLRFAYRMEETLINMFLSGYSNAEVNADISLGDSQINDGDSSETAENKPGNFASENAPSSPCDASLHQTEDELQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPLFPSIDLWDWDMVKESVSKGQPMARLVGRLVKGSSKPHPSLPACGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKYLASLSSYDSSNPTKDWGFPNIYANSDNDLDKQSSAQCQSEVMDVFSMKGVSAASAKEHKIHAYRDRAAERRILHRGIGIGPGQKQSSSTNFYEHEETSEGMDLMGGASVDMNFRSSGLNSAKKMMENMGWKEGEALGKSTKGMVEPIQPTVNKHGAGLGWKQTR >ONIVA01G04990.2 pep chromosome:AWHD00000000:1:3438959:3445284:1 gene:ONIVA01G04990 transcript:ONIVA01G04990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G34140) TAIR;Acc:AT4G34140] MDGGGGDAVDGEASGARFEWDEDSQLYYHASTGFYHDPVAGWYYSGRDGQYYIYDNGNYVPWTPDAGNEPKTHNPCDESNQDFLEPSSGLEQDIPDNQNETQRPPSEWSSDVLCLRFAYRMEETLINMFLSGYSNAEVNADISLGDSQINDGDSSETAENKPGNFASENAPSSPCDASLHQTEDELQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPLFPSIDLWDWDMVKESVSKGQPMARLVGRLVKGSSKPHPSLPACGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKYLASLSSYDSSNPTKDWGFPNIYANSDNDLDKQSSAQCQSEVMDVFSMKGVSAASAKEHKIHAYRDRAAERRILHRGIGIGPGQKQSSSTNFYEHEETSEGMDLMGGASVDMNFRSSGLNSAKKMMENMGWKEGEALGKSTKGMVEPIQPTVNKHGAGLGWKQTR >ONIVA01G04990.3 pep chromosome:AWHD00000000:1:3438959:3443510:1 gene:ONIVA01G04990 transcript:ONIVA01G04990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G34140) TAIR;Acc:AT4G34140] MDGGGGDAVDGEASGARFEWDEDSQLYYHASTGFYHDPVAGWYYSGRDGQYYIYDNGNYVPWTPDAGNEPKTHNPCDESNQDFLEPSSGLEQDIPDNQNETQRPPSEWSSDVLCLRFAYRMEETLINMFLSGYSNAEVNADISLGDSQINDGDSSETAENKPGNFASENAPSSPCDASLHQTEDELQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPLFPSIDLWDWDMVKESVSKGQPMARLVGRLVKGSSKPHPSLPACGGLLRTAPVHEVHLDLVRVSSGKIYRLRNPSRKYLASLSSYDSSNPTKDWGFPNIYANSDNDLDKQSSAQCQSEVMDVFSMKGVSAASAKEHKIHAYRDRAAERRILHRGIGIGPGQKQSSSTNFYEHEETSEGMDLMGGASVDMNFRSSGLNSAKKMMENMGWKEGEALGKSTKGMVEPIQPTVNKHGAGLGWKQTR >ONIVA01G04980.1 pep chromosome:AWHD00000000:1:3428082:3431461:-1 gene:ONIVA01G04980 transcript:ONIVA01G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G40390) TAIR;Acc:AT5G40390] MSCCGSHTKTSINWAQISLPSLQQSPRLSKTQANQSFHLFFQFKDLQASRKLKASLAMAPNLSKAKDDLIGDVVAVDGLIKPPRFTLKGKDLAVDGHPFLLDVPANIRLTPASTLVPNSDVPAAAAGSFLGFDAPAAKDRHVVPIGKLRDTRFMSIFRFKVWWTTHWVGTNGRDVENETQMMILDRSGTKSSPTGPRPYVLLLPIVEGPFRACLESGKAEDYVDMVLESGSSTVRGSVFRSAVYLHAGDDPFDLVKDAMRVVRAHLGTFRLMEEKTPPPIVDKFGWCTWDAFYLKVHPEGVWEGVRRLADGGCPPGLVLIDDGWQSICHDDDDLGSGAEGMNRTSAGEQMPCRLIKFQENYKFREYKGGMGGFVREMKAAFPTVEQVYVWHALCGYWGGLRPGAPGLPPAKVVAPRLSPGLQRTMEDLAVDKIVNNGVGLVDPRRARELYEGLHSHLQASGIDGVKVDVIHLLEMVCEEYGGRVELAKAYFAGLTESVRRHFNGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPSGDPDGTFWLQGCHMVHCAYNSLWMGAFIHPDWDMFQSTHPCAAFHAASRAVSGGPVYVSDAVGCHDFDLLRRLALPDGTILRCERYALPTRDCLFADPLHDGKTMLKIWNVNKFSGVLGAFNCQGGGWSREARRNMCAAGFSVPVTARASPADVEWSHGGGGGDRFAVYFVEARKLQLLRRDESVELTLEPFTYELLVVAPVRAIISPELGIGFAPIGLANMLNAGGAVQGFEAARKDGDVAAEVAVKGAGEMVAYSSARPRLCKVNGQDAEFKYEDGIVTVDVPWTGSSKKLSRVEYFY >ONIVA01G04970.1 pep chromosome:AWHD00000000:1:3421501:3425347:-1 gene:ONIVA01G04970 transcript:ONIVA01G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSQFMDKQILGLAASASTSSGVGVGGGGPELLDLMSPNPQEEGEDRLRRRHSSSNGSADDVLPSYDFQPIRTTAPSAAASASAAPASWGSLDSGSKAASASYNLKSAGVLEPHVLKKVSHEEDRSNFGTVTMADIDRTMKKYSDNLLHALEGVSSRLSQLEGRTHHLENSVDDLKITIGNYNGSTDGKLRQLENMLREVQAGVQILRDKQEIVETQLHLAKLQPPKSDALASDNVGSSQTDSHQHAVAPQQAAIQPQHQALTSSQPLALPALPAPNAPPPPPMLQSQPPSQYPGHLPHSQVPPVPPSAPVPSVPALPRDPYYAPPAQPTETMHQQYQAPPVPQPQAPPAPPQQYQTPPQFPQYSQPPQSGMVNPSTPLPPAAPQQPEEAMSYAPPQSYPPNVRPPSPYMPPPSGPAPPFYGQNQSMYEPPVGRPNSGPPPSYGAGGYGPQGGSGFSESYGYSGSPSHRGNAGMKSSPSPFAPSGPSSGGSGNYGRLPTAQILPQAVPINSSPSGSSGNRVPVDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNDSDVQQPQKGWFGR >ONIVA01G04950.1 pep chromosome:AWHD00000000:1:3405324:3409192:-1 gene:ONIVA01G04950 transcript:ONIVA01G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRVGTRAVEGRFQASNGGGGGGGGMAPSSRLFAAHREAKPRSSHSAAPWKLPRRRAGAMPLWRVAVFASVALNVATLALLLHHYATSPPPHHHHHDAGLATRSSDAAVHRRARTASSMAPSTGKPAVTTDSVINLDHGDPTMFEEFWRETGDAAEVVIPGWQTMSYFSDVTNVCWFLEPELDRQVRRLHRVVGNAAVDGYHVLVGTGSTQLFMAALYALAPDAAAAAAGEPISVVSTAPYYSSYPAVTDFLRSGLFRWAGDADAFKGDSYIELVCSPNNPDGAIREAVLDPKTGNGRTVHDLAYYWPQYTPITKRASHDIMLFTVSKSTGHAGTRIGWALVKDRAIARKMTKFVELNTIGVSKDSQMRAAKVLAAVSDGYERRPEQTKETMTTPLRLFDFGRRKMVERWSMLRAAAAASGIFSLPEETSGFCNFTKETAATNPAFAWLRCDREDVEDCAGFLRGHKILTRSGAQFGADARYVRVSMLDRDDAFDIFINRLSSLK >ONIVA01G04940.1 pep chromosome:AWHD00000000:1:3387258:3401584:-1 gene:ONIVA01G04940 transcript:ONIVA01G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27670) TAIR;Acc:AT3G27670] MASSSSAAAAAAAAGAGAGAGAGTVALGRLVDRTRVPDPTLQRHAVAALFRHLLTSVPPPLPSAAHDALSSLLASPHPAVAAHAAASVARLAASRADLLSPELALPLLIAPLSASPSPRLASCFVKAVAALVSCALRSGSAASRFPPHDHPFVQALASGADGARAELQRQAARLVAEGVHGVVGFLRPFVMFAAVRKGDTAFVKDLIGALAAAAVAAARPDSAVPVLKLLAECLLHFGRGDGEEVRLWLSSVECLVDAYVVLLRKFAHAQMATYDAQANSVELIEMLLSQCSLHHQFMGNTSVVLGLSKHLFLAQKDLGLCYLPEISVVLSSLAFILSGLEFEHEQLSGLKLLAFLIEWRHENDLLASCSSEQQDSSMVHHISKPTSILPKLVHHLWSQSSSSGFIFTKYITSRGLAESAGNSTEPNYWTHQINEYLSALRKEKLSLDSLSSKKIQSVAISSLLSSAVSVLVMHPKIGTSAAQSLALLGATDPKLGMPLLVLILFYTKVLYSNNNCDANILLSLLESLPSLAVHGFVLPLSLQLISSMLKKDVKSVLYPIAVRLLCKMWTVTDWAFQNLQGTLDPENFSNSVDDREVFTSIAASVRDVCKQNPDRGVDLILSVSACIESRDSVVQALGLESLSYLCEEDVVDFYTAWKVISKQLLDFSIDPTVSHGLCILLRWGAMDSEAYPGTSKHLIQILWSIGTYREKNVDPLWVKARGTAFQSLSHYKSQIVGKSAHHRFPGAALLTLNFTPKDILHEGKSKDLPRVHAAYEQALVEMAESMYISRNMVVALLALHSWKSFVSNWMQAVIACLDTKESSKLNKASKAADDIFKILCKCVPVSTPSVVVSIALAIGALCLVVPPTAHLVISSASDFLLRWLFQYEHEHQQWSTALSLGLISNCFHPTDKRSKLQVINGLLEVISKTESYLVKGACGLGLGYCCQALLARADNAADSELEATTQLTERASVEEILHTLTTSLVQLCPFSCYSLKKLSICGIKSLEGMEEKYVSLEDDPWAVAGLVLGLGNSVVSLYRLGAYEAIIEVKNILISWIPDVDSSSLLFDEEDSASLCMGSCLALPSVLAFCQKVELLNDDLDALFNRYTSLATNLLSLKKSGTIFQNLLMAICIGAGSFLSSILNDGVHAMKFTDVKDLLDTLKHIYTHPYPPLVHLGGMLGTVNAFGAGAGDLTGICRQPTNSQIKHEKESSLVRGPVLTSSVGETLSTSMIQEIFLLAKDAEDDHIKDYAAWAISFLRSRWLSKNQIIFDDDCSQRNSSDSNQSTSFSDESLVWNLSQWLRDLNFEKPDSMVSTSTVATVLRCLSKAPRLPSIDWGVIVRRCMNVEVHIPDMLTNHHDPKLLREECLYISLAHASHISPLLHFIDDLTDLSRFRRLEINLQSILLQYLSTLMKLFSLSRLDKLSEDLTEYLYSPTSSYLDYSSEQRSMLRTSFWKGIRECLVEDVSEESSGFSCIKKCIQSLSPLLSLHKDGQPEFIEEWSAAIKCLTVAQKGLLGDMLQVEISSSFNELEHIDVAKKIIIRARMCSSGCGSVDELGNIKTTILSTRLDGVWWNVLVEVAGALYYADSRMKKQWLLDALDIGCVTAHPSTVLHFVGLLCGSCCIYMPLLIVNPTNVLSDLPVTLPSFLSSSIWDDLRNSVADKLWLLTARIYTWAEQLTHSVGLARHDHIHGSEAEMAIFLANILRCTCIAVEDHLAVEKKLKLANLEAL >ONIVA01G04930.1 pep chromosome:AWHD00000000:1:3378450:3379413:-1 gene:ONIVA01G04930 transcript:ONIVA01G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTSNSAISSVVASAVATTTPGAGSPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDTAHAELLRYACGEVGIPTALPVTAAPRLAAAMPAPGQLAAAVGAGMYGGGRRLGVIDGGGIAPPSPHTAGCYYARNNNNAGSIGADVAPVLPYASVANWTVNAISTTTTNSGSESIGMDHKEGGDSSICGDLRFGLDERGSERLQEDGSPFIPG >ONIVA01G04920.1 pep chromosome:AWHD00000000:1:3363206:3363578:1 gene:ONIVA01G04920 transcript:ONIVA01G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSFAPRSSSLLLLLITLLLVVVSRPQYSLDASALSDHLAVGDAPQHGAGRRLLSTQNQVTPPPPAPVSSPPIGPAPTLLPPPPPAK >ONIVA01G04890.1 pep chromosome:AWHD00000000:1:3344128:3346136:-1 gene:ONIVA01G04890 transcript:ONIVA01G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVSLISAMFRRMFASAGLRPGSAVVDDDGTTLHFWVHSSLLILPSKNGAAAAAAAAGRRPVVVLVHGFGPDPTWQWGAQVGPLSRHFDLVVPTLLFFGASTTRAAPRSDAFQAAAIAALLTSGGAHLPGLGGERRELHIVGTSYGGLVARHLARALSTSAGDGQVEVGKVVLCDADLAKGAEDDATLAAKGGVAEVTELMAPADGKAFRRLMALCVHRPPKYIPDFLVRDLLRKYFADKREEKIRLIKEIVTQEQDSQLNSPLPQEILIIWGEFDQIFPVEKAHKVKEMLGEKATVKIIPNTGHLAHQEDPKMFNDILLKFLLPSPAVANGAK >ONIVA01G04880.1 pep chromosome:AWHD00000000:1:3327885:3343131:1 gene:ONIVA01G04880 transcript:ONIVA01G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQVQQQQQAAPQQQPPPAAAAAAGRRYGVHFSASSFIQAPLSALLEYSGILRADPGGGPHQVGGGGGGGEVSIRIVGSGEAAGAASERGEEGVVEDEAGAAPQANPSTSAAAAATATAGGGEAGRESSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKMSVLVGITILFVVHVFGVYWCYKNGDLVRPLVALAPKEIPPFWHAIFIILVNDTMVRQTAMIIKCMLLMYYKNSKGRSYRRQGQMLTVVEYFLLLYRALLPAPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSHKDFHYGSYATSEQVGATGDMCAICQEKMHTPILLRCPTGQAEAGSSDDQDIRLLSVSWNQDFGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNAHYPPNKVMIWDDHRSHCIGEFAFRSDVRAVKLGKDYIVIVLERKIYVYNFTDLKLLHQIETQSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKVTRMISAHDSHISCMALTMDGLLLATASMKGTLIRIFNTMDGTRLQEVRRGLDKADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRSLEGPRMDHQNSSSSIDPLIQTNTGSNASSSLSFMKGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCSFDQVNGGQMLQKEYFRFIKADLTPLRTSAP >ONIVA01G04880.2 pep chromosome:AWHD00000000:1:3332710:3343131:1 gene:ONIVA01G04880 transcript:ONIVA01G04880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAGHGGRRRRAWRWAMRAAASAVVWTAVVQLASIAGLWRPRVLADCGGGGGAATGLAALAGEDRVAARLSPPALVPRRIYKSNGYLLVTCNGGLNQMRAGICDMVTIARYLNLTLVVPELDKRSFWADSSEFGDIFDVSHFINSLRDELMIVKELPMKLKLKTKRRLYSMPPVSWSNETYYLKRVLRLARKHKVIHFNKTDARLANNGLPVKLQRLRCRVNFEALRFTPQIEALGRKLISTLQKSGQFVVLHLRYEMDMLSFSGCTHGCSDEETEELTRMRYAYPWWKEKEIDSEVKRFQGLCPLTPEEITLVLKALGFKKDTLIYIASGEIYGGERRLAALKAAYPRLVRKEKLLSPEELQPFQNHSTQMAALDYMVSIASDVFIPSYDGNMARVVEGHRRYTGFRKTILLDRVKLVELLDSFQGGAMSWNEFSAAVKKAHQHRMGQPTERKVIPGRPKEEDYFYANPQECLGSREGLRDIL >ONIVA01G04870.1 pep chromosome:AWHD00000000:1:3320457:3323466:-1 gene:ONIVA01G04870 transcript:ONIVA01G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSSSRRALHALHRRLLLHPLPSRHAPPIPRHHFPPPPSSSSSSSRFFTTTRPDARLLQLHARRLVVGGARSFAASVGGGGSSKLAPLGQGVKGLGLGRPLDAAKNAAARYREAVGLQVEAFWRRNYMLLVGAGAVVVCIALWRVMFGIASTFVGLSEGMAKYGFLALATAMVAFAGMYTRARFTINPDKVYRIAMTKLNTSAAILEVMGAPLAGTDVRAYVMSGGGPKLKDFKFRLGGKRCFLIFPIKGSERKGLVSVEVKKKKGQYDMKLLTVDIPMASGPDQRLFLVGDEQEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDAEDEAREREEAERRQQEEEAEALRREEERLREEYEERKRREAENLEKTT >ONIVA01G04860.1 pep chromosome:AWHD00000000:1:3316590:3318809:-1 gene:ONIVA01G04860 transcript:ONIVA01G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTYPQFMFLTIDVDELMDFSSSWDIRATPTFFFLKNGEQVDKLVGANKPELEKKVAALADSA >ONIVA01G04850.1 pep chromosome:AWHD00000000:1:3306508:3311349:-1 gene:ONIVA01G04850 transcript:ONIVA01G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMQRMPTRKSHSWWWDSHISPKNSKWLAENLEEMDKQVKDMLKLIEDEGDSFAKKAEMYFERRPLLVTHVENFYRMYRALAERYDNVTGELRKNIPSSLQSQGSLSISESDSETQSAPPTPKPDSEETTPKQKRKPRAAGFDVFLGSGGSSDISKKGSDGSSSSSSESDSEVDELREDNGDGSPFALNERIAELEDELQEAREKLEALEEKNTRCQCEKLEEKLKDSHSEISSLQKELEGQLAHHDHEIEKCKKELEHVHEKYSHDKSTLETEIIKLQDIVKNFEGDLAKMSQEKLQLEAQVKELEQASRSLDDSSAQIMKLQEIIKDLQRRLDNDSNEKKMLEERAIEFEQVRKELEGSRTEVAELQATINNLKADLGRALEEKSQLESRINDLEHTIACNLEEFLQEKSSLGAEIQKLKEANASLEGKLTSTESQLQQLHAEKSEASISSEKQISDLNQAIADLETKLELLSSEKTTVDNKVASLLTDVTARDEKIREMDSHLHQLHLEHVKLIAEADAATKAVSELRARVSELEEEVEEQKLMVSDGAEGKREAIRQLCFSLEHYRHGYQQLRQLLQGHHKRPLVMAN >ONIVA01G04840.1 pep chromosome:AWHD00000000:1:3300899:3302742:-1 gene:ONIVA01G04840 transcript:ONIVA01G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLERNPTKKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLQLIEEDGDSFAKKAQMYYQRRPMLITHVENFYRMYRALAERYDNVTGELRKNIPTRLQYQGSLAGSDSELQRSPSPSPEPQKSWTREQSPRAAGFDVFLSNKSNGSPSPASRKEPEDLASQSESDAKSEDGEDDGIAYTLHQRVLELEDELNTVNQKLHDANEKLEVLEEKSLRCHCDSKENGNGADQSAINEKLQSSQEEINNLKNSLEVLSEEHSRLLGQNKKLEAEIVNLKEEIASDRQQYEEKLSRSDAEIDKCRQELADASEKLLQEKLSNSSVTAELQETIESIRIKLEEVSEEKLLVENKFKQLEEANSEAEKYNQELSHATEKLSEEKFRHEAEILALNQAIENLKSKLESIAKEKSLLKSWFADLEQVVERGRRIFPE >ONIVA01G04830.1 pep chromosome:AWHD00000000:1:3294768:3298597:-1 gene:ONIVA01G04830 transcript:ONIVA01G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRAQGRLNVGGGAHRHFIMVPIDDDMSWSSYVKAVFNGTEWNCLEIFVQAEICSLTEGISSERALMAIKPLYAQRQNGQPQNPEQDMSFVIPSTVNVSPLNGHPQNTRQRKPRKSTRTFSDDGCPDQNGASEAVDTTSYDLIGQYDADHRARALASGQTDKLTVLAKEAASQKGCSRGKCRAFVDQVTRTCVEVIGELGGSSLCDIVDLVPCSSTAATTAAEPEAEQQRDKEEDIHHSMAPDQETESGLGSEKRSRSRTRRTQADRTVQTRSTGKRKRGRSAPRFMYHKKLWDEGTAWCSNQLIIS >ONIVA01G04820.1 pep chromosome:AWHD00000000:1:3292127:3294013:-1 gene:ONIVA01G04820 transcript:ONIVA01G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66631) TAIR;Acc:AT5G66631] MSRLPPSAARVALYLRRARLIDSLRLRLRSPSSPPPPPPPDDPVVALHAIRAAPTPASAISVFRALPPPQPLPLFQVLASRLAAFAALPDLRSHLASFPLPPPPLARLRLLAAAGDHASALDAFASVPAKPHRPAEAHNLLMGLHVRAADHAAAVGAFRAMVREGALPNARTYTVVIEHLASAGFVDQAVEVFRLLPSLRARRTTRQYNVLAEALASAGKFDQLRWLVREMVAVDGVMPGPQMRAAIAAMREAGHAEGTEDFVEELSPNERIGYAVDDVDGEGDSDDEEEEGDDDDGVDGRDKGRGRATLKPWLDPRELARALEGWEPREVAELEAAGIVWTPRLVCKLLRAFKKAETAWEFFCWVACRPGGYAHDRHTVARMVAILARAGHVELVERLLGKVRADGIVLPFATVRLVVDFYGLSKKADAAIRVFREADSICGAVSRPNLALLCSSLLRTLAKCRRAADAMELLEEMMSRGVLPDLQTFSGLMEHLAGAGELKGVHRLLGLVRQCELQPDGYMYSVLIKAYCKKERAALALKLFDEMRGAGVAPDAPTKALLVKSLWREGKLREAAQVEERCEEAAGDGLPEASPGHVWTASAADLKKVSDIYYGCFTQPAAQTVSTP >ONIVA01G04810.1 pep chromosome:AWHD00000000:1:3281058:3282478:1 gene:ONIVA01G04810 transcript:ONIVA01G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPGRPAWSITVRLRHRGWLDLRAAAENVVLPGWGRGGERLSLLVRLRRGLRLAVTSRCGRAPPEKNPRACRIFRFLRSKLARFPSIWRRKKPPPPARATAASQLWRNRATMAWPAHGGRRWPARTTATAALYLVAALAVVMASTAAVRAMNGYGRGYYEPVGHSKSWRFLVAKKIARLLELEPRLYAWLMKISLNRLLNW >ONIVA01G04810.2 pep chromosome:AWHD00000000:1:3281058:3282101:1 gene:ONIVA01G04810 transcript:ONIVA01G04810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPGRPAWSITVRLRHRGWLDLRAAAENVVLPGWGRGGERLSLLVRLRRGLRLAVTSRCGRAPPEKNPRACRIFRFLRSKLARFPSIWRRKKPPPPARATAASQLWRNRATMAWPAHGGRRWPARTTATAALYLVAALAVVMASTAAVRAMNGYGRGYYEPVGHSKSWRFLVAKKIARLLELEPRLYAWLMKISLNRLLNW >ONIVA01G04800.1 pep chromosome:AWHD00000000:1:3282285:3290723:-1 gene:ONIVA01G04800 transcript:ONIVA01G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPQSPRSTKRTLPLPPKVMRYTQNKARRYIPFDATKQLDSIINDINVLKERRFSEKRTCPLDESGYERSKQSNCYFPHSFENHNNKFFPEDEDMFCEPKAEECWRSKHGRLDDNLTDENSERLWKMEPFNSEDRFPTPIVEQFDTRDYGFKDRYSPEQRTSTRTNTRFETSATHDLFSDQSMMDNGNDTVLFDWERRFREKEKSTEDGSCKKPSLSVECEENKMNEKDDFHISFDNLDIPKMDAHLDGVSLFDNLEEHHKRTDDQNNLEAGYWSDKATEKQRTREPSCRLSLKEKFSNWGSTSPTTHWKGRTGLSNPSSCTVLHEDKPFNSASEMSTYQTAGSSSPERRPASKVSPVFHRPDNAIFDDDINLQSSVSDIFGDRIEFSKPNCSMGLQSDIDMSTFLAEKVDKRKEDNFDTSKNQNADMFLPNKSVSSVRQNVGSQHRSCAQQPGKDSLLQGFSPGIDFQDSRLNSFWEDGLADNGTFQGDIELTDLLTRKNSDKNEDRIEKLSKPETKMLTETPQAYPDHRNEMRETETCSDGSEVTNPPGVQKQTSLATQVPANLGCLQETSREMLKVHAHTECVKREKIEYPCVDFSTPLHLRNKIPDVDHSKSNFMFHSPFVGEEVGIEKKIIASVSPNNSDVQYKVMLEHRVLRRLCVQKIVVDTPIKNKLDKDNHFRMMEDGYHVLPKSLFDAPSLTY >ONIVA01G04800.2 pep chromosome:AWHD00000000:1:3281853:3290723:-1 gene:ONIVA01G04800 transcript:ONIVA01G04800.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPPQSPRSTKRTLPLPPKVMRYTQNKARRYIPFDATKQLDSIINDINVLKERRFSEKRTCPLDESGYERSKQSNCYFPHSFENHNNKFFPEDEDMFCEPKAEECWRSKHGRLDDNLTDENSERLWKMEPFNSEDRFPTPIVEQFDTRDYGFKDRYSPEQRTSTRTNTRFETSGITATHDLFSDQSMMDNGNDTVLFDWERRFREKEKSTEDGSCKKPSLSVECEENKMNEKDDFHISFDNLDIPKMDAHLDGVSLFDNLEEHHKRTDDQNNLEAGYWSDKATEKQRTREPSCRLSLKEKFSNWGSTSPTTHWKGRTGLSNPSSCTVLHEDKPFNSASEMSTYQTAGSSSPERRPASKVSPVFHRPDNAIFDDDINLQSSVSDIFGDRIEFSKPNCSMGLQSDIDMSTFLAEKVDKRKEDNFDTSKNQNADMFLPNKSVSSVRQNVGSQHRSCAQQPGKDSLLQGFSPGIDFQDSRLNSFWEDGLADNGTFQGDIELTDLLTRKNSDKNEDRIEKLSKPETKMLTETPQAYPDHRNEMRETETCSDGSEVTNPPGVQKQTSLATQVPANLGCLQETSREMLKVHAHTECVKREKIEYPCVDFSTPLHLRNKIPDVDHSKSNFMFHSPFVGEEVGIEKKIIASVSPNNSDVQYKVMLEHRVLRRLCVQKIVVDTPIKNKLDKDNHFRMMEDGYHVLPKTNFYQLRSRFKLIFMSHA >ONIVA01G04800.3 pep chromosome:AWHD00000000:1:3282247:3290723:-1 gene:ONIVA01G04800 transcript:ONIVA01G04800.3 gene_biotype:protein_coding transcript_biotype:protein_coding METPPQSPRSTKRTLPLPPKVMRYTQNKARRYIPFDATKQLDSIINDINVLKERRFSEKRTCPLDESGYERSKQSNCYFPHSFENHNNKFFPEDEDMFCEPKAEECWRSKHGRLDDNLTDENSERLWKMEPFNSEDRFPTPIVEQFDTRDYGFKDRYSPEQRTSTRTNTRFETSGITATHDLFSDQSMMDNGNDTVLFDWERRFREKEKSTEDGSCKKPSLSVECEENKMNEKDDFHISFDNLDIPKMDAHLDGVSLFDNLEEHHKRTDDQNNLEAGYWSDKATEKQRTREPSCRLSLKEKFSNWGSTSPTTHWKGRTGLSNPSSCTVLHEDKPFNSASEMSTYQTAGSSSPERRPASKVSPVFHRPDNAIFDDDINLQSSVSDIFGDRIEFSKPNCSMGLQSDIDMSTFLAEKVDKRKEDNFDTSKNQNADMFLPNKSVSSVRQNVGSQHRSCAQQPGKDSLLQGFSPGIDFQDSRLNSFWEDGLADNGTFQGDIELTDLLTRKNSDKNEDRIEKLSKPETKMLTETPQAYPDHRNEMRETETCSDGSEVTNPPGVQKQTSLATQVPANLGCLQETSREMLKVHAHTECVKREKIEYPCVDFSTPLHLRNKIPDVDHSKSNFMFHSPFVGEEVGIEKKIIASVSPNNSDVQYKVMLEHRVLRRLCVQKIVVDTPIKNKLDKDNHFRMMEDGYHVLPKTRFSKAN >ONIVA01G04800.4 pep chromosome:AWHD00000000:1:3282285:3290723:-1 gene:ONIVA01G04800 transcript:ONIVA01G04800.4 gene_biotype:protein_coding transcript_biotype:protein_coding METPPQSPRSTKRTLPLPPKVMRYTQNKARRYIPFDATKQLDSIINDINVLKERRFSEKRTCPLDESGYERSKQSNCYFPHSFENHNNKFFPEDEDMFCEPKAEECWRSKHGRLDDNLTDENSERLWKMEPFNSEDRFPTPIVEQFDTRDYGFKDRYSPEQRTSTRTNTRFETSGITATHDLFSDQSMMDNGNDTVLFDWERRFREKEKSTEDGSCKKPSLSVECEENKMNEKDDFHISFDNLDIPKMDAHLDGVSLFDNLEEHHKRTDDQNNLEAGYWSDKATEKQRTREPSCRLSLKEKFSNWGSTSPTTHWKGRTGLSNPSSCTVLHEDKPFNSASEMSTYQTAGSSSPERRPASKVSPVFHRPDNAIFDDDINLQSSVSDIFGDRIEFSKPNCSMGLQSDIDMSTFLAEKVDKRKEDNFDTSKNQNADMFLPNKSVSSVRQNVGSQHRSCAQQPGKDSLLQGFSPGIDFQDSRLNSFWEDGLADNGTFQGDIELTDLLTRKNSDKNEDRIEKLSKPETKMLTETPQAYPDHRNEMRETETCSDGSEVTNPPGVQKQTSLATQVPANLGCLQETSREMLKVHAHTECVKREKIGSFHSIAREYPCVDFSTPLHLRNKIPDVDHSKSNFMFHSPFVGEEVGIEKKIIASVSPNNSDVQYKVMLEHRVLRRLCVQKIVVDTPIKNKLDKDNHFRMMEDGYHVLPKSLFDAPSLTY >ONIVA01G04800.5 pep chromosome:AWHD00000000:1:3278818:3290723:-1 gene:ONIVA01G04800 transcript:ONIVA01G04800.5 gene_biotype:protein_coding transcript_biotype:protein_coding METPPQSPRSTKRTLPLPPKVMRYTQNKARRYIPFDATKQLDSIINDINVLKERRFSEKRTCPLDESGYERSKQSNCYFPHSFENHNNKFFPEDEDMFCEPKAEECWRSKHGRLDDNLTDENSERLWKMEPFNSEDRFPTPIVEQFDTRDYGFKDRYSPEQRTSTRTNTRFETSGITATHDLFSDQSMMDNGNDTVLFDWERRFREKEKSTEDGSCKKPSLSVECEENKMNEKDDFHISFDNLDIPKMDAHLDGVSLFDNLEEHHKRTDDQNNLEAGYWSDKATEKQRTREPSCRLSLKEKFSNWGSTSPTTHWKGRTGLSNPSSCTVLHEDKPFNSASEMSTYQTAGSSSPERRPASKVSPVFHRPDNAIFDDDINLQSSVSDIFGDRIEFSKPNCSMGLQSDIDMSTFLAEKVDKRKEDNFDTSKNQNADMFLPNKSVSSVRQNVGSQHRSCAQQPGKDSLLQGFSPGIDFQDSRLNSFWEDGLADNGTFQGDIELTDLLTRKNSDKNEDRIEKLSKPETKMLTETPQAYPDHRNEMRETETCSDGSEVTNPPGVQKQTSLATQVPANLGCLQETSREMLKVHAHTECVKREKIGSFHSIAREYPCVDFSTPLHLRNKIPDVDHSKSNFMFHSPFVGEEVGIEKKIIASVSPNNSDVQYKVMLEHRVLRRLCVQKIVVDTPIKNKLDKDNHFRMMEDGYHVLPKSLMASTPPFVSFCC >ONIVA01G04790.1 pep chromosome:AWHD00000000:1:3273134:3275645:-1 gene:ONIVA01G04790 transcript:ONIVA01G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRNIQLSLSVLLFGVGVATVTDLQLNAVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFIVGPFLDGFLTNQNVFAFDYTSQVVFFIVMSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVIGMVSYSYFCTKEAPPKPTEASPQLNQVKESESDPLISDSLSTAENGGNAGDDEALKVPMWSSKYSKA >ONIVA01G04780.1 pep chromosome:AWHD00000000:1:3271283:3272095:1 gene:ONIVA01G04780 transcript:ONIVA01G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FGS3] MALNPLFTVTFDVSSGDNYGDFIAGIRSRVANPRHFSRNRPVLPPVEPPPPPRRWFHVVLRASPTAALTLATRADNLYLEGFRSSDGRWWELTPGILGAAPGGAAATYVGFGGSYRDLLGDTDRLTGVTLGPQQMAQAVNALAARRPADLANGAAQRRAMDAVAALLLMVHEATRFQTVSRLVAGLMHPKAASKSGAITAAMRKQVNGWQVLSAAMLGTDARPPARFAPLRDMGVDTVEEAAATVGILLFVEVPGGMTAARALQLFHHGN >ONIVA01G04770.1 pep chromosome:AWHD00000000:1:3259197:3261338:-1 gene:ONIVA01G04770 transcript:ONIVA01G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYKPLVLPLMLILLHTQLIIVPSSSAATYANHTGALPPAVVPCLPDQASALLRLKRSFSITKNSSSTFRSWKAGTDCCHWEGIHCRNGDGRVTSLDLGGRRLESGGLDPAIFHLTSLNHLNLACNSFNGSQLPQTGFERLTMLTYLNLSSSDFVGQVPTASISRLTNLVSLDLSTRFEVEEFTQGHAVLSFDSVESSVQRANFETLIANHKKLRELYLGAVDLSDNGMTWCDALSSSTPNLRVLSLPNCGLSGPICGSFSAMHSLAVIDLRFNDLSGPIPNFATFSSLRVLQLGHNFLQGQVSPLIFQHKKLVTVDLYNNLELSGSLPNFSVASNLENIFVSETSFYGEIPSSIGNLKYLKNLGVGASQFSGELPSSIGWLKSLNSLEISGTTIVGTIPSWITNLTSLTILQFSRCGLTGSIPSFLGKLPQHISNFTNLSTLFLNSNNLVVNSSSTHIPKLQILALSGCNITKFPDFLRSQDELLWLDLSKNQIHGAIPSWAWESWNDSGVASLILAHNKFTSVGSNPFIPLQIDWLDLSNNMFEGTIPIPQGSARLLDYSNNMFSSIPFNFTAHLSHVTLFNAPGNNFSGEIPPSFCTATELQYLDLSNNNFSGSIPSCLIENVNGIQILNLNANQLDGEIPDTIKEGCSFHALYFSGNRIEG >ONIVA01G04760.1 pep chromosome:AWHD00000000:1:3257318:3259112:-1 gene:ONIVA01G04760 transcript:ONIVA01G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRRLQVLVLKSNKLFGHVVQSLTDEESTCAFPNAIIIDISSNNFSGPLPKDKWFKKLESMLHIDTNTSLVMDHAVPSVGLVYRYKASLTYKGHDTTLAQILRTLVFIDFSNNAFNGSIPEIVGELVLTHGINMSHNFLTGPIPSQLGGLKQLEALDLSSNQLSGVIPQELASLDFLEMLNLSYNKLEGKIPESLHFLTFTNSSFLGNNDLCGPPLSKGCINMTILNVIPSKKKSVDIVLFLFSGLGFGLGLAIAVVVSWGIPIRKQATRHATERIRRGSDSGGRRSGNAASWEEERLVYGVYRPRRWATPWEGEKVHDEEDDLAVDRSEISPAPVEKSNGAGSWPSITYTTPTGRATPAAARRSAAPPPLLDGSTIGPRSLAIL >ONIVA01G04750.1 pep chromosome:AWHD00000000:1:3251766:3255313:-1 gene:ONIVA01G04750 transcript:ONIVA01G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F target gene 1 [Source:Projected from Arabidopsis thaliana (AT2G40550) TAIR;Acc:AT2G40550] MVGPQYDLVGNPLGAVRSTFERAAAESGGHEPVAAFRGKDWGATEVFRSFLFEQGGLDKVPVLDESNLGLIKPNTLVRFRGMVQDMLGNEFYVGAFKDGSTWRTNKFSDSSPFSMPHPCDSHLWERHLFHCVPVPGQNSWTRESSPGPDLRRIASCFTSEQREKRKRGADNDAMDVSESGSGETSSSSKKTLADWESDLNCLTFPQKDDGVKISNSSVEMSMNEEHHVPEMNGGDHHIPGSSFSCLVKVYDMPESQVKLNDVSEFIGVYTFDPELAAPNDNSDDIMFDLMEDVTAQLPPSKVPRLHCLVWRNLSAHDFLPRPPAVEPSPILLRGIRQSLLSHLTLVLGKDELAAQCLLLHLLSRLRNKVDVVTVGRLSLNFTGFNRESVSIFGNQLNNLFQRLLPYSQVIPLSIEYLNTATLQPRKDNQSGRLVTGVLQLPQGTHLTFDETLLQSGSLTSKGVENTMLLKNLMESQKVEYDFEFYKLEMATDVQLLILSEGKSNILPSDLIVPFRPSSVPAVNASSEELESWRWYLATVRSLPQSTETDTYQMIQDEMVNAMRDDRSLGCSELSRWLTMAQIMAASFGEKSLSMEHWQMVKELERLRKERLQ >ONIVA01G04740.1 pep chromosome:AWHD00000000:1:3248010:3251953:1 gene:ONIVA01G04740 transcript:ONIVA01G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIRSFAFVLVLAFSVAVAESRDSFNVLAHKSFPLENKKTGLTSANGKLCQLCEQYSTEALFYLQQNETQTEILSILHHACANVGPLKQQCITLVDYYIPLFFLEVSVVTPEKFCESVHLCRKGTMLRLPSRGDTCGICHHVLVEVLIMLKDPDMQLEIVEIFLKACSKADNYVQQCKKMVLEYTPLILVKSQKFLETTDVCSTIHACKTGTQASAETMLLSAAS >ONIVA01G04730.1 pep chromosome:AWHD00000000:1:3240943:3241683:-1 gene:ONIVA01G04730 transcript:ONIVA01G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKKEKEEEEEASKLQLKPAGSKVFSKLLSRESAAAAPSFRVYYGVASAGSVPFLWESQPGTPKNAMSDAVLPPLTPPPSYYTAGKVSAGGGGGGGGRKYGKHGILRLFVLPKIRLRRGGRPVSGSPTSSCASSTSSSSSSASFYSSYSLSFRSTQSPTCSSMRSLQGHGGGGRAFGDDDDDDDDGGDDDMAASTACFRVRHESFRAIKNCRVAMTVRSAISSVAAGAGAGGHGSSAAVAQKAA >ONIVA01G04720.1 pep chromosome:AWHD00000000:1:3224623:3231714:1 gene:ONIVA01G04720 transcript:ONIVA01G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSAGRNGVVHHGLGCLVVACTPLTGIAARGVPMPTAAAAEEEEEEELRSGAVPAAVLVGNACHGLFPQKKVSSHGFNWIMSLTPKPQGVKYELHTLPVDSKAVTDGDTITVHVVTADHPGSLNVPQEVQRTAADRAEALMTKNYQRADELQKIILDAGFRLFCLEFSTIFSFLHMVSACDTSETFQCRQVTDSRGGQVLMKKYRIRLRGIDAPETSMPYGREAKEELTMLVQGKRLKISVYGNDRYSRLVGDVDCNGVFVQEHMLKKGLAWHYIAYDQRPELARWENQAKASQIGLWSLPNPDKPWEWRKEKRIRNSRQGKISRGFQLIFTLQSSSPEARAMPSSSSSSSAAAVAGGGSAFTPPNPSRVSFAGLKASEMNTIGWDFECFDVLKA >ONIVA01G04720.2 pep chromosome:AWHD00000000:1:3231283:3234517:1 gene:ONIVA01G04720 transcript:ONIVA01G04720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRAVEMSSGVYTYKHHCEGGFDIHEIYIKRSKFRVLFSYVGEIFLFANVLHALLLKESLCINSFWSISFAFAVAKCLKYKPVKKESLLIMPTFGVQLEQHFWSGRVHRQFVPVGKLLKPVLNEHVTPITCYWSLVLLLHSEDKLVRVFKKVYPPVKMMVPIWKALDAFTNYGGMGNSVALQPNPLLINVEQGSAEIYQPIYHLGHISVDLKI >ONIVA01G04720.3 pep chromosome:AWHD00000000:1:3231283:3234517:1 gene:ONIVA01G04720 transcript:ONIVA01G04720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRAVEMSSGVYTYKHHCEGGFDIHEIYIKRSKFRVLFSYVGEIFLFANVLHALLLKESLCINSFWSISFAFAVAKCLKYKPVKKESLLIMPTFGVQLEQHFWSGRVHRQFVPVGKLLKPVLNEHVTPITCYWSLVLLLHSEDKLVRVFKKVYPPVKMMVPIWKALDAFTNYGGMGNSVALQPNPLLINVEQGSAEIYQPIYHLGHISVDLKI >ONIVA01G04720.4 pep chromosome:AWHD00000000:1:3231283:3234536:1 gene:ONIVA01G04720 transcript:ONIVA01G04720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRAVEMSSGVYTYKHHCEGGFDIHEIYIKRSKFRVLFSYVGEIFLFANVLHALLLKESLCINSFWSISFAFAVAKCLKYKPVKKESLLIMPTFGVQLEQHFWSGRVHRQFVPVGKLLKPVLNEHVTPITCYWSLVLLLHSEDKLVRVFKKVYPPVKMMVPIWKALDAFTNYGGMGNSVALQPNPLLINVEQGLDLKI >ONIVA01G04710.1 pep chromosome:AWHD00000000:1:3220413:3223780:1 gene:ONIVA01G04710 transcript:ONIVA01G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGLCSPSPEYQPHGAHPAVAALGRDIQQFEATSQVPDGLSRHVVSSKKAQANWYKKLIVTWKKARPTPRTPEEAARLVVTTLKNHQKADVEGFLVFYGLPIPNAAASTPAPHTAHVPKPQGCKFELHTLPVDAKAVADGDTITVYIDTADPRESGNVPREIQKAAAERTRARAARDYQKADGLQKMIADAGYRQVPNARGEEVLAKKYRIRLRGIDAPESAMPYGKEAKEALLKMVQGKSLKVYVYDEDRYGRCVGDIYCDGVFVQEQMLKKGCAWHYTAYDQRPELAKWEKQAQSGRKGLWAASRPQKPWEWRRDKRNGTA >ONIVA01G04700.1 pep chromosome:AWHD00000000:1:3218838:3219386:1 gene:ONIVA01G04700 transcript:ONIVA01G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHVTAKEFKVVRLGVEVGKLHLHAIVLTVGDARGWRAPAAIGNHDDAFLGFTDDDASIDSDVHAPGVGGRDGCLHWSFRTDYLDKPPRRPLLLGSRRRRFLPPWLVAALRYLDDDGDLSMAEKKKRRRRKLLLATTAQEAHIYDPDSDSLRKMASIAGRATTIQCDSCCTRRALSGFLAS >ONIVA01G04690.1 pep chromosome:AWHD00000000:1:3215393:3216230:-1 gene:ONIVA01G04690 transcript:ONIVA01G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLLSVQIAIVVDSYAVLDGSRSSNTEMTQQTIGRVPHDLVTTRLQGKVEGLHASLPGRKDICGTHLSFTQKRYNGQEEQVRGRGYSPAPGIGDAARAPRWSGCAAAAQPRSGLHPRRQRGVGIRILRLRAYLSASTRTWRKPEEGWMKLNFDGSSKHSTGIASISAVLRRGLELAVQNGWRLI >ONIVA01G04680.1 pep chromosome:AWHD00000000:1:3209716:3214045:-1 gene:ONIVA01G04680 transcript:ONIVA01G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEEPPAAAAMAAVLTDDFLLRSILKCLCPRDVVRAALANKSWRRAAALAVPRTPPLVGYLLHPEKIRSAQIPPVFVPSDASFPRLSLPLAPDDLSGMQIKKLTPMDDESQRLVDWLEKGVYDALKKKFLKTIIFSIYDEKGPLLEEYCLSFTYFSEITIDLRLTGSTQSIWTMRSDAAESFVNQIWISACSLVQKCISVMSGLEKIPEERIIMMRLLYFDDQTPLDYEPPFFESYDDMRKCCPLPLHTTLSDYSQDLISLHDVKSVLSNNPFHSNKVFAMDPKSKIQQGHLFCITKKDVHFYFDSGASHHMCDDDKLFKNLHEVPTEHQDTVYDASGDPVCLHMSGEVIYDQIKLSPVFYHSTLKFKVISLGELDDSNTLMYVGDKRIKIFDVNKGEMIGEGYLHEKRNEYIVRSIICRKEEQQAITRSMLSSTAIDEKNLWVVDSLCCNHMTGIKGLLSDTRHEVQSFVTPRGAFLSSKIGNVKTSTVTLFNVLYCKGLRQNLISEGQLDRDGYSCTRLAHKCKIQWRGTQEVVGLAHQDENALYYVDYFHYVSDPSLKHTRNDGECIQKLKRPRQDGSSPSSRKRTA >ONIVA01G04680.2 pep chromosome:AWHD00000000:1:3209716:3214045:-1 gene:ONIVA01G04680 transcript:ONIVA01G04680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEEPPAAAAMAAVLTDDFLLRSILKCLCPRDVVRAALANKSWRRAAALAVPRTPPLVGYLLHPEKIRSAQIPPVFVPSDASFPRLSLPLAPDDLSGMQIKKLTPMDDESQRLVDWLEKGVYDALKKKFLKTIIFSIYDEKGPLLEEYCLSFTYFSEITIDLRLTGSTQSIWTMRSDAAESFDFSMFIGPKMHLSHEWLGKNSGGDLISLHDVKSVLSNNPFHSNKVFAMDPKSKIQQGHLFCITKKDVHFYFDSGASHHMCDDDKLFKNLHEVPTEHQDTVYDASGDPVCLHMSGEVIYDQIKLSPVFYHSTLKFKVISLGELDDSNTLMYVGDKRIKIFDVNKGEMIGEGYLHEKRNEYIVRSIICRKEEQQAITRSMLSSTAIDEKNLWVVDSLCCNHMTGIKGLLSDTRHEVQSFVTPRGAFLSSKIGNVKTSTVTLFNVLYCKGLRQNLISEGQLDRDGYSCTRLAHKCKIQWRGTQEVVGLAHQDENALYYVDYFHYVSDPSLKHTRNDGECIQKLKRPRQDGSSPSSRKRTA >ONIVA01G04680.3 pep chromosome:AWHD00000000:1:3209716:3214045:-1 gene:ONIVA01G04680 transcript:ONIVA01G04680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEEPPAAAAMAAVLTDDFLLRSILKCLCPRDVVRAALANKSWRRAAALAVPRTPPLVGYLLHPEKIRSAQIPPVFVPSDASFPRLSLPLAPDDLSGMQIKKLTPMDDESQRLVDWLEKGVYDALKKKFLKTIIFSIYDEKGPLLEEYCLSFTYFSEITIDLRLTGSTQSIWTMRSDAAESFPLDYEPPFFESYDDMRKCCPLPLHTTLSDYSQDLISLHDVKSVLSNNPFHSNKVFAMDPKSKIQQGHLFCITKKDVHFYFDSGASHHMCDDDKLFKNLHEVPTEHQDTVYDASGDPVCLHMSGEVIYDQIKLSPVFYHSTLKFKVISLGELDDSNTLMYVGDKRIKIFDVNKGEMIGEGYLHEKRNEYIVRSIICRKEEQQAITRSMLSSTAIDEKNLWVVDSLCCNHMTGIKGLLSDTRHEVQSFVTPRGAFLSSKIGNVKTSTVTLFNVLYCKGLRQNLISEGQLDRDGYSCTRLAHKCKIQWRGTQEVVGLAHQDENALYYVDYFHYVSDPSLKHTRNDGECIQKLKRPRQDGSSPSSRKRTA >ONIVA01G04670.1 pep chromosome:AWHD00000000:1:3202944:3204278:-1 gene:ONIVA01G04670 transcript:ONIVA01G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRTSKKRKTGDERSAACGVTVTLPDHVVTEVLVRLPARSLARLRCTCRSWNAEVSLPGFQDRHHALAAAKLTFLEPAPTHMGSYRIRRRLSRRTLPWLSNCFDCPRVIGSKPCWGLVLIARPCEGYSVCNPTTGEILHLPRSHRPHCGTVMGFHAPAREFKVVQLGIDEEVVGKLHAIVLTVGDARGWRAISSFQLGLGFTDDAASIDRNVQPVFADGCLHWSFRTNYLDKPHGVLSFSLADESFRRVPQPPFSMVDLVPVHLNGVRNYRLLRAKGIRSGSGEEVAMPVGKTLAELDGRLCMVRDVRHRSDHDVLLEIWKLQDYDTGSWSLDYRVDLPAPGQRQRQLLTAPWLVVPLSYLGGSRPGDKKRKLLVATTAHEAHVYDPDSGTLRTVASIDSSGDGDDDSIRLLLYQESLVRLPGMQHGLGNIKFVQLSNSEHM >ONIVA01G04660.1 pep chromosome:AWHD00000000:1:3199155:3199667:1 gene:ONIVA01G04660 transcript:ONIVA01G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLRCCLACVLPCGALDLVRIVHLSGRVDEYGRAVSAGEVLAAHPNHVLSRPCSSQQGAAGRILIVSPESELERGEIYFLIPAASVPDAKRRTSTGGGGAGRGHHVRSKSEGSAVAADRLGSPAGSASPETTRMMRAQKQQHQHRRRMSTGSHASPWQPHLSCITEDP >ONIVA01G04650.1 pep chromosome:AWHD00000000:1:3184821:3188661:-1 gene:ONIVA01G04650 transcript:ONIVA01G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKKTQTENEFRELPEKELGGVVFCCNNNTFDECFTKQLFGLPQRNILYVKNVKPGLPLFLFNYSNRQLHGIFKATSTGQLNIDRFAWMSEQSNDAKTNAKTTPFPAQVRFSTRTECPPLPESKYKSVIINNYRKDKPSHFRFELDHRQTRDLISLFLPAPVRANQNKLSIPKPPATAHTVPNPWNRPLPFLTAKAPVVSDKVKSESNVKDVDQFNVSSHSHDIVPHTLPDVEVDLASTSTTSRSNLNKDASGCDDLVAGLIKEDKESVDDDQHAKMDLPVKLQELSSLQQKEANFLEDAPVSTSAQSIRQDTRFAATLPKDSFNATSQCDTSLKDTSFVQCHEYAELYQIINDLSKKTEEMEKMKVDSDQEILLLKKLVKVMERKVEHLEQQLEKSHSSSAPLFGVTNDDVEGPSILLTGGHNGINWLSSLDSYCPATDILETLMPMSSARAYAAVATLKDHVFIFGGWNGIRSLWYNTVECYNRGANKWIGLPCLNHEKGHLAGATLNGKIFAIGGGDGSQSFSEVEMFDPAVGKWIYSLSMQQPRCAPAAAELNGVLYVIGGYDGNMSAERYDPREGFWTQLPRMRTRRGSHSVVVLGDSLHALGGLNRNTTFSSVEIFDTRANSWRRGSPLSVPRAHGCAVTLDGNAYLIGGIQSSEEYVETVEVYKEGQGWSISGSKAFGKRAFACAVAI >ONIVA01G04650.2 pep chromosome:AWHD00000000:1:3184821:3188661:-1 gene:ONIVA01G04650 transcript:ONIVA01G04650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKKTQTENEFRELPEKELGGVVFCCNNNTFDECFTKQLFGLPQRNILYVKNVKPGLPLFLFNYSNRQLHGIFKATSTGQLNIDRFAWMSEQSNDAKTNAKTTPFPAQVRFSTRTECPPLPESKYKSVIINNYRKDKPSHFRFELDHRQTRDLISLFLPAPVRANQNKLSIPKPPATAHTVPNPWNRPLPFLTAKAPVVSDKVKSESNVKDVDQFNVSSHSHDIVPHTLPDVEVDLASTSTTSRSNLNKDASGCDDLVAGLIKEDKESVDDDQHAKMDLPVKLQELSSLQQKEANFLEDAPVSTSAQSIRQDTRFAATLPKDSFNATSQCDTSLKDTSFVQCHEYAELYQIINDLSKKTEEMEKMKVDSDQEILLLKKLVKVMERKVEHLEQQLEKSHSSSAPLFGVTNDDVEGPSILLTGGHNGINWLSSLDSYCPATDILETLMPMSSARAYAAVATLKDHVFIFGGWNGIRSLWYNTVECYNRGANKWIGLPCLNHEKGHLAGATLNGQQKGMIQGKASGPNFHVCGQEEDPIQHALGGLNRNTTFSSVEIFDTRANSWRRGSPLSVPRAHGCAVTLDGNAYLIGGIQSSEEYVETVEVYKEGQGWSISGSKAFGKRAFACAVAI >ONIVA01G04640.1 pep chromosome:AWHD00000000:1:3181047:3183002:-1 gene:ONIVA01G04640 transcript:ONIVA01G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLPLDVWGWITNLPPFSQWRSNAMSLCICPTPSASESSQPSVNLSVVKTPPTQPSFVTFSIFANYRVPISLWTSKPVHLKSYTQQSLDEQEMLELFVDIVDWVLRSGPNKKLSFQFPRAQIHGNLKDVFNIVFLSLAFLVCIYEAPHALRCRCLESLRTQLTGPKCKDAAKTFVRMLGANLEEQWMQTMNLAVTNWIVEQRSSHHSFGVPSPLFSYALSASGLWKVQLYCPLIAMSMEDPAVPTQDERLLFSLTYQQLEGVIQLAYKTIRRDNWIDVEIKVDNIRCDVDSLVSEILMTERGHGSEEKHFPSRVMLQITPMQQSDVLSVSVSKSSDNPTHEFGLEKGIEGSFDPPNTFGLKASVSESLTLTMKPWKFEQSVHGNTTTLNWFLHDGVNGREVYSSKPSKLSLLQPRAWFRDRYSTVYRPFTKKGGVIFARDEYGDSVWWKICGAALGKTMDWEIRGWIWLTYWPNKQKTFHSETRRLEFRECLQLPLMKSP >ONIVA01G04630.1 pep chromosome:AWHD00000000:1:3178711:3185979:1 gene:ONIVA01G04630 transcript:ONIVA01G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEGRRGDCSVQVRKKRTRRKSDGPDSIAETIKWWKEQNQKLQEENSSRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTALEAAHAYDEAARAMYGPTARVNFADNSTDANSGCTSAPSLMMSNGPATIPSDEKDELESPPFIVANGPAVLYQPDKKDVLERVVPEVQDVKTEGSNGLKRVCQERKTMEVCESEGIVLHKEVNISYDYFNVHEVVEMIIVELSADQKTEVHEEYQEGDDGFSLFSY >ONIVA01G04630.2 pep chromosome:AWHD00000000:1:3178711:3184189:1 gene:ONIVA01G04630 transcript:ONIVA01G04630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEGRRGDCSVQVRKKRTRRKSDGPDSIAETIKWWKEQNQKLQEENSSRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTALEAAHAYDEAARAMYGPTARVNFADNSTDANSGCTSAPSLMMSNGPATIPSDEKDELESPPFIVANGPAVLYQPDKKDVLERVVPEVQDVKTEGSNGLKRVCQERKTMEVCESEGIVLHKEVNISYDYFNVHEVVEMIIVELSADQKTEVHEEYQEGDDGFSLFSY >ONIVA01G04630.3 pep chromosome:AWHD00000000:1:3178711:3183582:1 gene:ONIVA01G04630 transcript:ONIVA01G04630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEGRRGDCSVQVRKKRTRRKSDGPDSIAETIKWWKEQNQKLQEENSSRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTALEAAHAYDEAARAMYGPTARVNFADNSTDANSGCTSAPSLMMSNGPATIPSDEKDELESPPFIVANGPAVLYQPDKKDVLERVVPEVQDVKTEGSNGLKRVCQERKTMEVCESEGIVLHKEVNISYDYFNVHEVVEMIIVELSADQKTEVHEEYQEGDDGFSLFSY >ONIVA01G04630.4 pep chromosome:AWHD00000000:1:3178711:3183565:1 gene:ONIVA01G04630 transcript:ONIVA01G04630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEGRRGDCSVQVRKKRTRRKSDGPDSIAETIKWWKEQNQKLQEENSSRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTALEAAHAYDEAARAMYGPTARVNFADNSTDANSGCTSAPSLMMSNGPATIPSDEKDELESPPFIVANGPAVLYQPDKKDVLERVVPEVQDVKTEGSNGLKRVCQERKTMEVCESEGIVLHKEVNISYDYFNVHEVVEMIIVELSADQKTEVHEEYQEGDDGFSLFSY >ONIVA01G04630.5 pep chromosome:AWHD00000000:1:3179142:3180582:1 gene:ONIVA01G04630 transcript:ONIVA01G04630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEGRRGDCSVQLCGIIELPHWVRKKRTRRKSDGPDSIAETIKWWKEQNQKLQEENSSRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTALEAAHAYDEAARAMYGPTARVNFADNSTDANSGCTSAPSLMMSNGPATIPSDEKDELESPPFIVANGPAVLYQPDKKDVLERVVPEVQDVKTEGSNGLKRVCQERKTMEVCESEGIVLHKEVNISYDYFNVHEVVEMIIVELSADQKTEVHEEYQEGDDGFSLFSY >ONIVA01G04620.1 pep chromosome:AWHD00000000:1:3165941:3177091:-1 gene:ONIVA01G04620 transcript:ONIVA01G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWQVWGKPDGSLVWVPASDGPPSAQPLPPAAAAASEAPLPRPDPQPVATALGEARSGDAIPEGPGAADGCSVPSMADLFNQALDKLVAADRMAEAIEDSGKGAVFCTGLGGSVAVSERAVERAKALVGEVAEETSNERRQPFGDGSNLECGLGESNVSFKGGIHKDSLSPMFQTGSGKMVSLSKGSIQKARAVLEGNAENSSVIAVQSMFHTGLVRPDPISRSSTDNAMTVLEGQTNPKQGDVADVYDKENFPLFQTGSGKAVSVSVASIQKAKAVLEQNNTENTEDLGRPDQSLIFQTGSRRPVLISERSSSVVKDGGAENIVFQTGLGRPVVVSQTSIQKARTVLDQECAKRSGHGDTNVSTTTFQTETPTSVLMSGGLTMNDRSVTPEGGVSMQGNFLGPDDHLPLFQTGLGRSISVSKGSIKRASALLEPRNITKELEDEAHSDDGCATPMFKTGSGRSITASENSRKKAHVVLEGEEPVKNVNNDTGEAIAPMLHAGMQKFAPQNRNSSHKAITLMDQGSSMKEEYIDRGNEPPMFRTGSGKSVLISHSSVQKARAVLEEEGNMKKENHKQLSNVDKYIPIFTSPLKTSCARTVHISSVGVSRAATLLGLEENTLSTQLLGHVGDKLGTKITVERENSEHQFGVASVSGISGGCPISSGPAENQVLMDPHQHFAFSKTTFSDSSEQAIKFSTAGGRTMAISSDALQRAKNLLGESDLEVSPNNLLGHSSASACKENIQNSTGLRKEGEPGLLKSRGNSKTEPAQFSIPAKPDRKHTDSLEYAVPDATLANGNSVRLHAARDFHPINEIPKIPKPSSRCSFGTENASDTKDKARRLQMPSGPLIDITNYIGTHSVNTDYLAGEKRRFGGRNSISPFKRPRSSRLIPVEQSYLHAILFNIKENRTEDVTDEVKLMDAKKAEKYKFKTDTGAEEFQEMLLACGASLTYATKEWVSNHYKWIVWKLASLERCYPTRAAGKFLKVGNVLEELKYRYDREVNNGHRSAIKKILEGNASPSLMMVLCISAIYSCPDLNNSKPEDDRANTDDDNSENKSLRAAKRNMSTKIELTDGWYSLDASLDLALLEQLEKRKLFIGQKLRIWGGSLCGWAGPVSFHEASGTVKLMIHINGTYRARWDETLGLCKHAGVPLAFKCIKASGGRVPRTLLGVTRIYPVMYRERFSDGRFVVRSERMERKALQLYHQRVSKIAEDIQSEHGEHCDNTDDNDEGAKICKMLERAAEPEILMSSMNSEQLLSFSYYQEKQKIVRQNEVAKKVENALKVAGLSSRDVTPFLKVRVMGLISKHSATKSGHREGLITIWNPTEKQKSDLVEGQIYSVTGLLASSYFTEVSYLSGRGSSTAWTPLATAQTTNFEPFFTPRKAVELSHFGEVPLTSEFDIAGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKYEEQDDCLLAVSFSSKTTGEDSAFFNYALSGNIVGFSNLVKRDKDQMRHVWVAEATESSTYSLSHEIPKKSHLKEAATSAEKWASNSHPMIQHLKERVLQIVGDSGRDWHVEGQISGFGRDILLARKWLDSHHDIILLAFERSKFQHGASCCPPNPIEHAHLLVVRYEPMNYRLRASGVRIGNVKNELPILTCNYPVYKVVYGGLV >ONIVA01G04620.2 pep chromosome:AWHD00000000:1:3165941:3177091:-1 gene:ONIVA01G04620 transcript:ONIVA01G04620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWQVWGKPDGSLVWVPASDGPPSAQPLPPAAAAASEAPLPRPDPQPVATALGEARSGDAIPEGPGAADGCSVPSMADLFNQALDKLVAADRMAEAIEDSGKGAVFCTGLGGSVAVSERAVERAKALVGEVAEETSNERRQPFGDGSNLECGLGESNVSFKGGIHKDSLSPMFQTGSGKMVSLSKGSIQKARAVLEGNAENSSVIAVQSMFHTGLVRPDPISRSSTDNAMTVLEGQTNPKQGDVADVYDKENFPLFQTGSGKAVSVSVASIQKAKAVLEQNNTENTEDLGRPDQSLIFQTGSRRPVLISERSSSVVKDGGAENIVFQTGLGRPVVVSQTSIQKARTVLDQECAKRSGHGDTNVSTTTFQTETPTSVLMSGGLTMNDRSVTPEGGVSMQGNFLGPDDHLPLFQTGLGRSISVSKGSIKRASALLEPRNITKELEDEAHSDDGCATPMFKTGSGRSITASENSRKKAHVVLEGEEPVKNVNNDTGEAIAPMLHAGMQKFAPQNRNSSHKAITLMDQGSSMKEEYIDRGNEPPMFRTGSGKSVLISHSSVQKARAVLEEEGNMKKENHKQLSNVDKYIPIFTSPLKTSCARTVHISSVGVSRAATLLGLEENTLSTQLLGHVGDKLGTKITVERENSEHQFGVASVSGISGGCPISSGPAENQVLMDPHQHFAFSKTTFSDSSEQAIKFSTAGGRTMAISSDALQRAKNLLGESDLEVSPNNLLGHSSASACKENIQNSTGLRKEGEPGLLKSRGNSKTEPAQFSIPAKPDRKHTDSLEYAVPDATLANGNSVRLHAARDFHPINEIPKIPKPSSRCSFGTENASDTKDKARRLQMPSGPLIDITNYIGTHSVNTDYLAGEKRRFGGRNSISPFKRPRSSRLIPVEQSYLHAILFNIKENRTEDVTDEVKLMDAKKAEKYKFKTDTGAEEFQEMLLACGASLTYATKEWVSNHYKWIVWKLASLERCYPTRAAGKFLKVGNVLEELKYRYDREVNNGHRSAIKKILEGNASPSLMMVLCISAIYSCPDLNNSKPEDDRANTDDDNSENKSLRAAKRNMSTKIELTDGWYSLDASLDLALLEQLEKRKLFIGQKLRIWGGSLCGWAGPVSFHEASGTVKLMIHINGTYRARWDETLGLCKHAGVPLAFKCIKASGGRVPRTLLGVTRIYPVMYRERFSDGRFVVRSERMERKALQLYHQRVSKIAEDIQSEHGEHCDNTDDNDEGAKICKMLERAAEPEILMSSMNSEQLLSFSYYQEKQKIVRQNEVAKKVENALKVAGLSSRDVTPFLKVRVMGLISKHSATKSGHREGLITIWNPTEKQVRPFFTPRKAVELSHFGEVPLTSEFDIAGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKYEEQDDCLLAVSFSSKTTGEDSAFFNYALSGNIVGFSNLVKRDKDQMRHVWVAEATESSTYSLSHEIPKKSHLKEAATSAEKWASNSHPMIQHLKERVLQIVGDSGRDWHVEGQISGFGRDILLARKWLDSHHDIILLAFERSKFQHGASCCPPNPIEHAHLLVVRYEPMNYRLRASGVRIGNVKNELPILTCNYPVYKVVYGGLV >ONIVA01G04620.3 pep chromosome:AWHD00000000:1:3165941:3177091:-1 gene:ONIVA01G04620 transcript:ONIVA01G04620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWQVWGKPDGSLVWVPASDGPPSAQPLPPAAAAASEAPLPRPDPQPVATALGEARSGDAIPEGPGAADGCSVPSMADLFNQALDKLVAADRMAEAIEDSGKGAVFCTGLGGSVAVSERAVERAKALVGEVAEETSNERRQPFGDGSNLECGLGESNVSFKGGIHKDSLSPMFQTGSGKMVSLSKGSIQKARAVLEGNAENSSVIAVQSMFHTGLVRPDPISRSSTDNAMTVLEGQTNPKQGDVADVYDKENFPLFQTGSGKAVSVSVASIQKAKAVLEQNNTENTEDLGRPDQSLIFQTGSRRPVLISERSSSVVKDGGAENIVFQTGLGRPVVVSQTSIQKARTVLDQECAKRSGHGDTNVSTTTFQTETPTSVLMSGGLTMNDRSVTPEGGVSMQGNFLGPDDHLPLFQTGLGRSISVSKGSIKRASALLEPRNITKELEDEAHSDDGCATPMFKTGSGRSITASENSRKKAHVVLEGEEPVKNVNNDTGEAIAPMLHAGMQKFAPQNRNSSHKAITLMDQGSSMKEEYIDRGNEPPMFRTGSGKSVLISHSSVQKARAVLEEEGNMKKENHKQLSNVDKYIPIFTSPLKTSCARTVHISSVGVSRAATLLGLEENTLSTQLLGHVGDKLGTKITVERENSEHQFGVASVSGISGGCPISSGPAENQVLMDPHQHFAFSKTTFSDSSEQAIKFSTAGGRTMAISSDALQRAKNLLGESDLEVSPNNLLGHSSASACKENIQNSTGLRKEGEPGLLKSRGNSKTEPAQFSIPAKPDRKHTDSLEYAVPDATLANGNSVRLHAARDFHPINEIPKIPKPSSRCSFGTENASDTKDKARRLQMPSGPLIDITNYIGTHSVNTDYLAGEKRRFGGRNSISPFKRPRSSRLIPVEQSYLHAILFNIKENRTEDVTDEVKLMDAKKAEKYKFKTDTGAEEFQEMLLACGASLTYATKEWVSNHYKWIVWKLASLERCYPTRAAGKFLKVGNVLEELKYRYDREVNNGHRSAIKKILEGNASPSLMMVLCISAIYSCPDLNNSKPEDDRANTDDDNSENKSLRAAKRNMSTKIELTDGWYSLDASLDLALLEQLEKRKLFIGQKLRIWGGSLCGWAGPVSFHEASGTVKLMIHINGTYRARWDETLGLCKHAGVPLAFKCIKASGGRVPRTLLGVTRIYPVMYRERFSDGRFVVRSERMERKALQLYHQRVSKIAEDIQSEHGEHCDNTDDNDEGAKICKMLERAAEPEILMSSMNSEQLLSFSYYQEKQKIVRQNEVAKKVENALKVAGLSSRDVTPFLKVRVMGLISKHSATKSGHREGLITIWNPTEKQKSDLVEGQIYSVTGLLASSYFTEVSYLSGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKYEEQDDCLLAVSFSSKTTGEDSAFFNYALSGNIVGFSNLVKRDKDQMRHVWVAEATESSTYSLSHEIPKKSHLKEAATSAEKWASNSHPMIQHLKERVLQIVGDSGRDWHVEGQISGFGRDILLARKWLDSHHDIILLAFERSKFQHGASCCPPNPIEHAHLLVVRYEPMNYRLRASGVRIGNVKNELPILTCNYPVYKVVYGGLV >ONIVA01G04620.4 pep chromosome:AWHD00000000:1:3165941:3177091:-1 gene:ONIVA01G04620 transcript:ONIVA01G04620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWQVWGKPDGSLVWVPASDGPPSAQPLPPAAAAASEAPLPRPDPQPVATALGEARSGDAIPEGPGAADGCSVPSMADLFNQALDKLVAADRMAEAIEDSGKGAVFCTGLGGSVAVSERAVERAKALVGEVAEETSNERRQPFGDGSNLECGLGESNVSFKGGIHKDSLSPMFQTGSGKMVSLSKGSIQKARAVLEGNAENSSVIAVQSMFHTGLVRPDPISRSSTDNAMTVLEGQTNPKQGDVADVYDKENFPLFQTGSGKAVSVSVASIQKAKAVLEQNNTENTEDLGRPDQSLIFQTGSRRPVLISERSSSVVKDGGAENIVFQTGLGRPVVVSQTSIQKARTVLDQECAKRSGHGDTNVSTTTFQTETPTSVLMSGGLTMNDRSVTPEGGVSMQGNFLGPDDHLPLFQTGLGRSISVSKGSIKRASALLEPRNITKELEDEAHSDDGCATPMFKTGSGRSITASENSRKKAHVVLEGEEPVKNVNNDTGEAIAPMLHAGMQKFAPQNRNSSHKAITLMDQGSSMKEEYIDRGNEPPMFRTGSGKSVLISHSSVQKARAVLEEEGNMKKENHKQLSNVDKYIPIFTSPLKTSCARTVHISSVGVSRAATLLGLEENTLSTQLLGHVGDKLGTKITVERENSEHQFGVASVSGISGGCPISSGPAENQVLMDPHQHFAFSKTTFSDSSEQAIKFSTAGGRTMAISSDALQRAKNLLGESDLEVSPNNLLGHSSASACKENIQNSTGLRKEGEPGLLKSRGNSKTEPAQFSIPAKPDRKHTDSLEYAVPDATLANGNSVRLHAARDFHPINEIPKIPKPSSRCSFGTENASDTKDKARRLQMPSGPLIDITNYIGTHSVNTDYLAGEKRRFGGRNSISPFKRPRSSRLIPVEQSYLHAILFNIKENRTEDVTDEVKLMDAKKAEKYKFKTDTGAEEFQEMLLACGASLTYATKEWVSNHYKWIVWKLASLERCYPTRAAGKFLKVGNVLEELKYRYDREVNNGHRSAIKKILEGNASPSLMMVLCISAIYSCPDLNNSKPEDDRANTDDDNSENKSLRAAKRNMSTKIELTDGWYSLDASLDLALLEQLEKRKLFIGQKLRIWGGSLCGWAGPVSFHEASGTVKLMIHINGTYRARWDETLGLCKHAGVPLAFKCIKASGGRVPRTLLGVTRIYPVMYRERFSDGRFVVRSERMERKALQLYHQRVSKIAEDIQSEHGEHCDNTDDNDEGAKICKMLERAAEPEILMSSMNSEQLLSFSYYQEKQKIVRQNEVAKKVENALKVAGLSSRDVTPFLKVRVMGLISKHSATKSGHREGPFFTPRKAVELSHFGEVPLTSEFDIAGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKYEEQDDCLLAVSFSSKTTGEDSAFFNYALSGNIVGFSNLVKRDKDQMRHVWVAEATESSTYSLSHEIPKKSHLKEAATSAEKWASNSHPMIQHLKERVLQIVGDSGRDWHVEGQISGFGRDILLARKWLDSHHDIILLAFERSKFQHGASCCPPNPIEHAHLLVVRYEPMNYRLRASGVRIGNVKNELPILTCNYPVYKVVYGGLV >ONIVA01G04610.1 pep chromosome:AWHD00000000:1:3158439:3166404:1 gene:ONIVA01G04610 transcript:ONIVA01G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSMRSSMSMRSRRDLPPPQQTIEKLENMVAEGNYYEAQQMYKSTGARYIAAQKYLEALDILQSGALVQLKHGQVTCGGELAIMFVDTLVKAALPYNEETFDRIRKMYDAFPRISVPHFLGDDYDDDGQKLSEAISAAKVRSESCSSFLRAAIRWSAEVGASRSGSPELHVMLAEYIYSESPETDMTKVSSHFVRGNDPKKFASMLANFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDELKDQLKSADLEIPKTDLIQFIKYLLPTLERDAYPLFRTLRQKYKTSTDRDPVFEELLDEIAAKFYGIRSQSALEGLFGDMFRV >ONIVA01G04600.1 pep chromosome:AWHD00000000:1:3155474:3158193:-1 gene:ONIVA01G04600 transcript:ONIVA01G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT2G30170) TAIR;Acc:AT2G30170] MAASSTATRLSPPRLHAPTTPSPHLPLRRSRFSPLRAAKLEAVLSIGTHLIPHPRKAETGGEDAFFVNGDDGGVFAVADGVSGWAEKDVNPALFSRELMAHTSTFLKDDEVNHDPQLLLMKAHAATTSVGSATVIIAMLEKTGILKIASVGDCGLKVIRKGQVMFSTCPQEHYFDCPYQLSSEAIGQTYLDALVCTVNLMEGDMIVSGSDGFFDNIFDQEIVSVISESPGVDEAAKALAELARKHSVDVTFDSPYSMEARSRGFDVPSWKKFIGGKLIGGKMDDITVIVAQVKAVMIPDDEGVDEEKGQGDEQGSAVAVASSEQKEDSITT >ONIVA01G04590.1 pep chromosome:AWHD00000000:1:3151707:3154888:-1 gene:ONIVA01G04590 transcript:ONIVA01G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FGP1] MSSSSSSSLAAAAARKRALTEQRFSELSPALSPEVVKALKGGGFRRCTPVQAAAIPLLLSHKDVAVDAATGSGKTLAFVVPVVEILRRRPSPPKPHEVLGIIISPTRELSSQIYNVAQPFFATLKGVSSMLLVGGFDIKAELKKLEEEGANILVGTPGKLFDVMERLDTLNYKNLEILILDEADRLLDLGFQKQITSIISKLPKLRRTGLFSATQTEAVKELAKAGLRNPVRVEVKTEVKPTSKDGAQQELGPSKTPLGLRLEYMICEASNKSSQLVDFLVQNNGKKIMVYFATCACVDYWAIVLPLLDSLKGSPIIPYHGKMKQGPREKALASFSALSSGILVCTDVAARGLDIPHVDLIVQYDPPQDPNVFIHRAGRTARYDQEGDAIVFLLPKEDTYVEFLKRRGVPLTERECSTNAVDIVPQIRSAALEDRNVMEKGLTAFVSFVRAYKEHHCSYIFSWKDLEIGRLGMEYGLLQIPSMPEVKHHSLSLEGFTPVKDVDVTKIKYKDKAREKQRQKTLKRKAEELALRPEIERRRKAPEKPEKPKRKKTGKQRQAVQTKEDMDELTNEYRLLKKLKRGVIDEDEYEKLTGFGESDDEDSSDGGDSDLDERKERGNKVLKKIKQKGKAKGSRRFEGRSKQKTRRR >ONIVA01G04580.1 pep chromosome:AWHD00000000:1:3145569:3152684:1 gene:ONIVA01G04580 transcript:ONIVA01G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGGGGGSYGGRGGGGGGRGRGGGGGGGGYGGGGGGGGYGGGGGGYGGGGGGYGGGGRGGSGGGYGGGGGGGRXXXXGVGVAVAEVAAGGVEDVTVTGFAQTRGGLNATSVEHLLLLEVEVEVEGIINPVEGVEATTVVVAILVLEVAVATTEVVEITTLVAVVVALEGEEEEEVTIEVVAMIVDLMTTVVAGVVMGEETKGTTKGGTKVVMTLVAMGKFLLKVLLPMVGLVVTMQHLQAPMEAIMHTIQILQCHLLVAMVVVQVHIHQVMVHHLRTRHIAVVLQVAKVAYLLHMMVGMVVGLCLGVEALVHRHPIMVVVVVAAAVVAADTLAVLLRSQLRRIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKNKGDACLAYEDPSAAHSAGGFYNNYEMRGYKISVAMAEKSAPRAPAYEVDVVAMVEVAGITSEMEEAMGLTGIKVVVHEA >ONIVA01G04580.2 pep chromosome:AWHD00000000:1:3145569:3150798:1 gene:ONIVA01G04580 transcript:ONIVA01G04580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYGSDDYRGGGGGGSYGGRGGGGGGRGRGGGGGGGGYGGGGGGGGYGGGGGGYGGGGGGYGGGGRGGSGGGYGGGGGGGRXXXXGVGVAVAEVAAGGVEDVTVTGFAQTRGGLNATSVEHLLLLEVEVEVEGIINPVEGVEATTVVVAILVLEVAVATTEVVEITTLVAVVVALEGEEEEEVTIEVVAMIVDLMTTVVAGVVMGEETKGTTKGGTKVVMTLVAMGKFLLKVLLPMVGLVVTMQHLQAPMEAIMHTIQILQCHLLVAMVVVQVHIHQVMVHHLRTRHIAVVLQVAKVAYLLHMMVGMVVGLCLGVEALVHRHPIMVVVVVAAAVVAADTLAVLLRSQLRRIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKNKGDACLAYEDPSAAHSAGGFYNNYEMRGYKISVAMAEKSAPRAPAYEVDVVAMVEVAGITSEMEEAMGLTGIKVVVHACCCTICAANTVCCTSMLPALLSLQRIVKP >ONIVA01G04570.1 pep chromosome:AWHD00000000:1:3122923:3123177:1 gene:ONIVA01G04570 transcript:ONIVA01G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSMWRYENEPPAEGISVASRGLGAPDLAPSLSSDQVERGQATTVGKGEQRRGGNRGRAAPPVVRVDGRGGGARGGGWEGEV >ONIVA01G04560.1 pep chromosome:AWHD00000000:1:3121262:3143847:-1 gene:ONIVA01G04560 transcript:ONIVA01G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLHLAILVSLLAAGATANNGYTTPSPPPPPPPQQHTPSPPPPATPPPAHQSDKVLVRVEGKVYCQSCEHRNSWSLDGARPLRGAEVSVTCRDAKNRAAWWRLAVADESGYFLAEFGVTGASDFLGADPRGACYARLLSSPDRKCDGLTNINAGMVGAPLRDEGKRWPGQGYDNVQYTPPAYSDKLLVRVEGMVYCQSCAYRNTHSLDGAVQLPKAEVSVTCHDAKNRVMECKRAIADESGYFLTELGVTKVSDFFMGDPRKACHVRLQASPDFKCNNPTNINYSSIEGAPLRDEDKRWTGQGYDNLPLAILVSLLAAGATANNGYTTPSLPPPPQQQYTPPPPAHSDKLLVRVEGMVYCQSCVYRNTHSLNGAMPLPKAEVSVTCHDAKNRVMECKRAIADESGYFQTELGVTKVSDFFMGDPSKACHVRLQASPEFKCNNPTNINYSSIEGASLPMAAFLPLAILVSLLAAGATANNGYTTPSPPPPQQYTPPPPAHSDKLLVRVEGMVYCQSCAYRNTHSLNGAMPLPKAEVSVTCHDTKNRVMECKRAIADESGYFQTELGVTKVSDFFMGDPSKACHVRLQASPDFKCNNPTNINYSDIKGAPLRDEGKRWTGQGYDNRGSDVFGSGGSGRRKVERGSLAATAMAAFHPLAIIMFVSLLAAGVTANYGYTTPSPSPPPPPPQQQYTPPAHSNKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDAKNHVMVRCRRAVTDDNGYFRAELDETKVSDFYMGDPRKACYVRLRASSDFECNNPTNINYSSIEGAPLRDEGKRWADHDYYNVIAMADFLPLAILVFVSLMSTGATANYGYTTPSPSPPPPPPQQYTPPAHSDKLLVKVEGMVYCQSCMQRNTHSLEGAKPLPKAEVSVICHDAKNRAMVRCRRAVANDNGYFLAELDETKVSDFYMGDPRKACYVRLRASPDIECNNPTNINYSSIEGAPLRDEGKRWADHGYYNVIAMAAFHPLAVIVFVSLLAAGATANYGYTTPSPPPPPPPQQHTPPAHSNKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDAKNRVMVRCHRAVANDNGYFLAELDETKVSDFYMGDPRKACYVRLRASPDFECNNPTNINYSSIEGAPLRDEGKRWADHDYYNVMYATGPLAFRPAICPPKH >ONIVA01G04560.2 pep chromosome:AWHD00000000:1:3121262:3135439:-1 gene:ONIVA01G04560 transcript:ONIVA01G04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHASTDALLRVSTSSPMNCISALTTTTMKRSGARIRQGSTGAEQILHRRLAGTVDPATATREVADGELMTGRWISVGVVASSWHKGVVSAKTNGQRGSDVFGSGGSGRRKVERGSLAATAMAAFHPLAIIMFVSLLAAGVTANYGYTTPSPSPPPPPPQQQYTPPAHSNKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDAKNHVMVRCRRAVTDDNGYFRAELDETKVSDFYMGDPRKACYVRLRASSDFECNNPTNINYSSIEGAPLRDEGKRWADHDYYNVIAMADFLPLAILVFVSLMSTGATANYGYTTPSPSPPPPPPQQYTPPAHSDKLLVKVEGMVYCQSCMQRNTHSLEGAKPLPKAEVSVICHDAKNRAMVRCRRAVANDNGYFLAELDETKVSDFYMGDPRKACYVRLRASPDIECNNPTNINYSSIEGAPLRDEGKRWADHGYYNVIAMAAFHPLAVIVFVSLLAAGATANYGYTTPSPPPPPPPQQHTPPAHSNKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDAKNRVMVRCHRAVANDNGYFLAELDETKVSDFYMGDPRKACYVRLRASPDFECNNPTNINYSSIEGAPLRDEGKRWADHDYYNVMYATGPLAFRPAICPPKH >ONIVA01G04560.3 pep chromosome:AWHD00000000:1:3135459:3143847:-1 gene:ONIVA01G04560 transcript:ONIVA01G04560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLHLAILVSLLAAGATANNGYTTPSPPPPPPPQQHTPSPPPPATPPPAHQSDKVLVRVEGKVYCQSCEHRNSWSLDGARPLRGAEVSVTCRDAKNRAAWWRLAVADESGYFLAEFGVTGASDFLGADPRGACYARLLSSPDRKCDGLTNINAGMVGAPLRDEGKRWPGQGYDNVQYTPPAYSDKLLVRVEGMVYCQSCAYRNTHSLDGAVQLPKAEVSVTCHDAKNRVMECKRAIADESGYFLTELGVTKVSDFFMGDPRKACHVRLQASPDFKCNNPTNINYSSIEGAPLRDEDKRWTGQGYDNLPLAILVSLLAAGATANNGYTTPSLPPPPQQQYTPPPPAHSDKLLVRVEGMVYCQSCVYRNTHSLNGAMPLPKAEVSVTCHDAKNRVMECKRAIADESGYFQTELGVTKVSDFFMGDPSKACHVRLQASPEFKCNNPTNINYSSIEGASLPMAAFLPLAILVSLLAAGATANNGYTTPSPPPPQQYTPPPPAHSDKLLVRVEGMVYCQSCAYRNTHSLNGAMPLPKAEVSVTCHDTKNRVMECKRAIADESGYFQTELGVTKVSDFFMGDPSKACHVRLQASPDFKCNNPTNINYSDIKGAPLRDEGKRWTGQGYDNVVYAASPLAFRPAICPPKH >ONIVA01G04550.1 pep chromosome:AWHD00000000:1:3114018:3121229:-1 gene:ONIVA01G04550 transcript:ONIVA01G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQIKLDAEVSVICHDANNHVMVRCRRAVANDNGYFLAELDETKVSDFYMGDPRKACYVQLRASPDFECNNPTNINYSSIEGAPLRDEGKRWADHDYYNYTPPAHSDKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDANNRVMVRCRRAVANDNGYFLAELDETKVSDFYMGDPRKACYVRLRASPDFECNNPTNINYSSIEGAPLRDEGKRWADHGYYNVMYATGPLGTSNAITPQTSTTLASRVRRSVTRANGGPTMATITSCTPLAHSLSGRRSALLSTRLLMNVPAFVTLTLAHLRVSSIWETWSSNWMVDMWFFCVSVLIVVALV >ONIVA01G04540.1 pep chromosome:AWHD00000000:1:3109520:3111552:-1 gene:ONIVA01G04540 transcript:ONIVA01G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGRSGSAQPPAASGLSVERRTPTCRLQLCSACSCSADGLEQPPAWLPPGPLQAAVPAAARGTPSPVGHGLGGQGARRVGNWGKIANGLICGKIRPQQYTLPAQSDKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDTKNHAIVRCRRAVADDNGYFRAELDETNVSDFYMGDPRKACYVRLRASPDFECNNPTNINYSSIEGAPLRVEGKRWADHDYYNIMYATGPLAFRPAICPPKH >ONIVA01G04530.1 pep chromosome:AWHD00000000:1:3102968:3108533:-1 gene:ONIVA01G04530 transcript:ONIVA01G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSHSQEVCIGGLLSSARPQLSIEPIRLQDKDLKFRKKMHPTWKRASNLIVPNVKYHQEASLKNAQNLNLRSGISQSVAPPFASIGHVHQAKIELPQITKICNQILEVYPREAPGGSRETKLVRLPIPAGIWPVKLGGEMLRWVSSVSISNPVAGSCDPLKSLPPRLRYLSDVRLKTAVSRPPLCRCRPPRSREVTRPPPSSQRMPSQRQQSVPAGHDRNAVADAVVAENDRFSWSSAAAWSGKHGSELAIKPFFSSSGAAAAASGGASIPAAA >ONIVA01G04520.1 pep chromosome:AWHD00000000:1:3097923:3101639:-1 gene:ONIVA01G04520 transcript:ONIVA01G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDMSKEMRAVHPIAIGLIVLSYHHVFEEMRLSAAAMYRDLTVEVVASEVQIIELDQVPNPRRYGPRHAAIVER >ONIVA01G04510.1 pep chromosome:AWHD00000000:1:3096132:3107589:1 gene:ONIVA01G04510 transcript:ONIVA01G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPQTPSSHPPHCHRRHTHTAILHPCCCQQQQHHHQMPDISVIRPPPAEELSSSLSSWQPDTDCCRWEGVTCRMASGHVVVLNLSDGYLQSNGLHPALFNLTLLTNLALSGNDFMGAQLPDSGFERLSKLVSLDLSATNFAGQIPIGIGNLSSMLALDLSNNPNLYLSEPSFQTFIANLSNLRELYLDEMDLSSSGSTWSSDLAASAPQIQILSFMSCGLSGFIDPSFSRLRSLTMINLRLNVISGMVPEFFANLSFLTILELSGNAFEGQFPTKIFQLKRLQFIDLYWNDKLCVQLPEFLPGSRLEVLDLILTNRSNAIPASVVNLKYLKHLGLTTVEASMNSDILLIRELHWLEVLRLYGGSGQGKLVSFSWIGSLKHLTYLELGNYNFSGLMPSSIINLTNLTSLTLYNCSMSGPIPSWIGNLIQLNNLNFRSNNLNGTIPKSIFALPALQSLYLDSNQLSGHLEDIPIPSSSSVYDIDLSNNWLHGPIPKSFFCLPNLEYLNLESNHLTGIVELRPFWRLRSLYFLGFSNNKLSVIDGEDSPSQYLPKIQHLGLACCNLTKLPRILRHLYDILELDLSSNKIGGVIPGWIWEIWKDTLGSLDLSNNAFTSLENSPSLVTFTHLSHLNLSFNRLQGEIPIPAISLPYGVVVLDYSNNGFSSILRTFGSMTKLQFLYLSDNNFSGFVPSCLVEGRSLRVLNLRGNKFNGMLPKGIKEGCKLETIDLNSNQIEGRLPRTLSNCKSLELLDVSNNHILDLFPLWLGNLPKLRVLVLRSNQLYGTIKGLHNSDLTRDHFSSLQILDLANNTLSGQLPPKWFEKLKSMMANVDDGQVLEHQTNFSQGFIYRDIITITYKGFDMTFNRMLTTFKAIDFSNNSFVGVIPGTIGSLVSLHGLNMSHNNFTGAIPQQLGNLAQLESLDLSWNQLSGVIPHELIFLTSLAWLNLSNNNLTGRIPQSNQFLSFSNSSFEGNLGLCGRPLSKDCDSSGSITPNTEASSEDSSLWQDKVGVILLFVFAGLGFVVGFVLTIIFQLVCQMERLRRALGDVRRRHYRPLLGETEAAGLPPAKMSPRVAQREYEPLLLVLLLLLQTLIASSLPCLPDQAAALLQLKRSFSATTASATAFRSWPAGTDCCRWEGIRCDDGGGRVTSLDLGGRHLQSGGLDTAVFSLTSLRYLNLGGNDFNGSQLPATGFEMLTELTHLNISPPSFTGQIPAGIGSLTNLVSLDLSSRIYVVNQGDDSATVMSNLLPSWGFSRVNFENLIANLGNLRELYLGLVYMSNGGEGWCNALANSTPKIQVLSLPLCEISGPICQSLFSLRSLSVVDLQGNDLSGAIPEFFADLSSLSVLQLSRNKLDGFFPPRIFHNRKLTVIDIGYNYEIYGNLPNFPPNSSLIKLHVSGTKFSGYIPSSISNLTGLKELGLSANDFPTELPSFLGMLKSLSLFEVSGLGLVGSMPAWITNLTSLTELQFSHCDLSGSLPSSIGNLKNLRRLSLFKSNFSGNIPLQIFNLTQLHSLHLPLNNFVGIVEVTSFWRLPYLSHLDLSNNKLSVVDGLVNDSAVSSPKVTFLRLASCNILKFPNALRHQDKIDFLDLSNNQIHGAIPPWAWETWKNLFFLDLSNNKFTSLGHDTLLPLDTRYINLSYNMFEGPIPIPEESTASQLDYSNNRFSSMPFDLIPYLAGALSLKVSMNNVSGEVPSTFCTVKSLQILDLSHNILNGSIPSCLMENSSTLKIINLRGNQLRGELPHNIKEDCAFEALDFSYNRFEGQLPTSLVACKNLVVLDVGNNQIGGSFPCWMHLLPKLQVLILKSNRFYGQLGPTLAKDEDSCELQDLRILDLASNNFSGILPGGWFIKLKSMMSVSSNETLVMKDGDTYGAFYHKPYIFTTRVTYKGLDLTFTKILKTLVLIDVSNNRFHGSIPETIATLSMLSSLNMSHNAITGPIPNQLASLHQLESLDLSSNKLSGEIPKKLASLDFLSTLNLSDNMLEGIIPESPHFLTLPNSSFIRNAGLCGPPLSNECSNKSTSNVMPHLSEEKSADVMLFLFVGLGFGVGFAIAIVVRKPCIGKYT >ONIVA01G04500.1 pep chromosome:AWHD00000000:1:3091566:3093253:-1 gene:ONIVA01G04500 transcript:ONIVA01G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPHSDNDDNAVHHAVSARSIRAPHGAHSKLAPLAILVFVSLLAAGATANNGYTMPSPSPPPPPQQHTPPAHSDKLLVRVEAELGETQVSDFYMGARACYVRLRVSPDFKCNNPTNINYSSIEGAPLRDEGKRWADHDYYNIMYATGPLAFRPAICSPKH >ONIVA01G04490.1 pep chromosome:AWHD00000000:1:3082615:3085588:1 gene:ONIVA01G04490 transcript:ONIVA01G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLVLLLQSLLARSLPCLPDQAAALLQLKRSFSATSAFRSWRAGTDCCRWAGVRCENDATKNGSGGGRVTSLDLGGRRLQSGGIDTAVFSLTSLRYLNLGGNDFNASQLPATGFERLTELTHLNISTPSFAGQIPPGIGHLTNLESLDLSTRFYIADQEDDKARKITLSYPSWGLSKINFGRLIENLGNLRELYLGFVYMSNGGEGWCNALVKSTPKIQVLSLPFCKISGPICRSLFSLRSLAVVDLQENDLSGPIPEFFADLPSLSVLQLSRNYFEGLFPSRIFQNRKLATIDISYNYKIYGSLPDFPPNSSLINLHVSGTKFSGQIPSSISNATGLKELGLSANDFSTELPSSLGTLKSLNLLEVSGLGLIGSMPTWITNLTSLTELQFSHCGLSGSLPPTIGNLKNLRRLSLFKCNFSGSIPLQIFNLTQLQSLDLPLNNFVGTVELTSFWRLPYLSNLDLSNNKLSVVDGLVNDSVVSSPKLEALSLVSCNISKFPNALRHQDEIHFIDLSNNQMQGEIPRWAWETWEELFFLDLSNNKFTSLGHDPLLPCLYTRYINLSYNMFEGPIPMPKESSDSELDYSNNRFSSMPFDLIPCWSLQILDLSYNILNGSIPSCLMEKSSTLKVLNLKANQLRGQLPHNITEDCAFEALDFSYNLIEGQLPASLVACKNLVVLDVENNQIGGHFPCWMHVLPKLQVLVLKSNKFFGQLGPTLANDEGKCEFQQLRILDVSSNNFSGILPEKWFRKLKSMMTVSANKTLVMKDGEMYGVFNHMTYLFTTTVTYKGLYMTFTKILETLVLIDVSNNKFYGSIPESIGVLGVLTGLNMSHNALTGPIPNQLANLHELESLDLSSNKLSGEIPQKIASLDFLSTLNLSNNMLEGRIPESPHFSSFPNSSFIQNAGLCGPPLSKECNDKSTLDVVSHPSKKKSVDIMLFLFVGLGFGVEFAIAVVVTWKPCIGK >ONIVA01G04480.1 pep chromosome:AWHD00000000:1:3062679:3086387:-1 gene:ONIVA01G04480 transcript:ONIVA01G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSQGRRYIKNAELLKFTLSFVVGKSRPQLSKELIGLQDKDLEFRKNMHPTREMTSNLIVLNVKYYQGSKGSCPRLDTRLNASNLGEDTTESLTKPSTTDNLLFERSRFDKYGSLQNEVVKIQTSHNEKIGALSKMSRETKLVRLPIPAGIWPVKLGGEMLRWVSSVSISNPVAGSRDALKSLPPRLRYLSDVRLKTAASRPPLCRRRPPRSREVTRPPPSPSPSQRMPAQRQQSVPARHDRNAVADAVVAENDRFSWSSAAAWSGKHGSELAIKSINWVTSPTRKLLSEDEAPGLAWPYTEIPNHRHQLDLAGTRGHLLCRPATPPNRRCAAAESGGGSAMNGSLLGCPRKSMPVVRLLRHRALLPPPPRGHQVFEGMCHRPTSQNDWNQTANMKPTVNPRPEKMNKRIMPSLSCQKLLALCDKLSPRNETSFPNDFGIGPPNELSERSIALKVLLKPRYWEFGSPKVTGSKVKVSKRGSRRLLIKYNSLRSREMTLPEAMPQVTPSQWQQSDPDRHDGKFIPMKEALSFGLIQRTTQFSSSIIRIDCCVEILDTVMRAARDQTVSSEGVKLRRGVIKLVPETDLGGGRTWYIIQFDGDTYELKT >ONIVA01G04480.2 pep chromosome:AWHD00000000:1:3077986:3086387:-1 gene:ONIVA01G04480 transcript:ONIVA01G04480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSQGRRYIKNAELLKFTLSFVVGKSRPQLSKELIGLQDKDLEFRKNMHPTREMTSNLIVLNVKYYQGSKGSCPRLDTRLNASNLGEDTTESLTKPSTTDNLLFERSRFDKYGSLQNEVVKIQTSHNEKIGALSKICRRHREGSEAVAAVGRRRTGSLPAILAVSAAWHLLALAPASYARDEAHAVVRGGLHRCAPLACEPFPRRPLLRVIPLGMLCELPIPFPTIGRTLRSPTTGLSR >ONIVA01G04480.3 pep chromosome:AWHD00000000:1:3071257:3072631:-1 gene:ONIVA01G04480 transcript:ONIVA01G04480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGIWHEGVERKHKMAMNGSLLGCPRKSMPVVRLLRHRALLPPPPRGHQVFEGMCHRPTSQNDWNQTANMKPTVNPRPEKMNKRIMPSLSCQKLLHPGAPNVGSMIVI >ONIVA01G04470.1 pep chromosome:AWHD00000000:1:3059191:3059538:-1 gene:ONIVA01G04470 transcript:ONIVA01G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAALAAAAWAVRPTSPSCLRKVIRSTKPRGLDEETVAAPFVDRLVSELASRATLASSSSWPLATAPVPVATPPIAASSSLTRSLPSPSSVPLVPPRFALGSPAANSPQPLPS >ONIVA01G04460.1 pep chromosome:AWHD00000000:1:3056135:3056939:-1 gene:ONIVA01G04460 transcript:ONIVA01G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVYDKSDKFLEGTNSNGDLTRKAAIREIEVDHICELRKPRCWESGSQKIIVSKAKVSKRGEVKECRIETIAYQVQLTEVKGNDPAGGHVTGDTLPVAAVRSSSP >ONIVA01G04460.2 pep chromosome:AWHD00000000:1:3056135:3058965:-1 gene:ONIVA01G04460 transcript:ONIVA01G04460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTESDKFLEGTNSNGDLTRKAAIREIEVDHICELRKPRCWESGSQKIIVSKAKVSKRGEVKECRIETIAYQVQLTEVKGNDPAGGHVTGDTLPVAAVRSSSP >ONIVA01G04450.1 pep chromosome:AWHD00000000:1:3053801:3056065:-1 gene:ONIVA01G04450 transcript:ONIVA01G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCVMLGCSITMNGDSKKAGAENIRLKIQLDNCSVVNSLTFEFQKGQAFRIQGMSIIAQRASCTEGLSKGRSQESVRADEHCQLSIAALHPHHISVEIRRLRKAKGR >ONIVA01G04440.1 pep chromosome:AWHD00000000:1:3046703:3053210:-1 gene:ONIVA01G04440 transcript:ONIVA01G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLQERVNPVVASALCFCLVLKLERWDQAIKVFTQHQYRLDGLIRLRYSSEVSLKSTGSSWPLSKPQSPKSSHVTRPSASPQLTPSHRQQSSPAFHESKAAMDSLVMEKELFSWSRAAAWSGKHGTAGDMALESIGDMSLVTNDGMVMRGSTGRSGERGGSPSGHAAKAPTRVASALGWAEIAVGKWALIAGGHYPTRHLTAQHDTRQCYSATRLGHGGAAMACLGRRRRRGGCVRRRTKGLKPAILVVSAGHGQHLPARQGQGGTRRSHTLGLIVHALLSGAPTPLAARLRRRENATRQS >ONIVA01G04440.2 pep chromosome:AWHD00000000:1:3049405:3049898:-1 gene:ONIVA01G04440 transcript:ONIVA01G04440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLSRSNPVDGISDRLKLFPPRLRYSSEVSLKSTGSSWPLSKPQSPKSSHVTRPSASPQLTPSHRQQSSPAFHESKAAMDSLVMEKELFSWSRAAAWSGKHGTAGDMALV >ONIVA01G04430.1 pep chromosome:AWHD00000000:1:3045793:3061926:1 gene:ONIVA01G04430 transcript:ONIVA01G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRAGSPLNYVLKETFLYQGAFRRTRTRRKVERDDVQLSSRIDSLLQRLHDDRMVSETFPDRAPCRRLLALTGRIFSPAKTGGERRRGTGQQGVHDEPERMTAARPTLPLARSIMMTFQTKTLLMLSLHLLIPCSSAAATYTNHTRAISPAVPCLPDQAAALLQLKSSFSITNESMAAFDSWKAGEDCCRWEGVSCGDADGRVTWLDLGDCGLESGQLDPVLFKLTSLEYLNLGGNNFSLSEIPSTGFERLSHVPAHSIGQLTNLISLDLSFRYRTFELFDIGYHYEGNYTYPWQLILRNLTALVANCSNLEELHLGFVYLSNKQTDEWCNALGMYTLNLRVLSLPFCWLSSPICGSLSSLHSLSVIDLEFNRLTGPFPELFANLSSLSVFQLNCNYLEGWELGLGGHGFYGKLPSSMGMMKSLNLLKVSGLELVGSIPTWITNLTSLVFLEFSDCGLSGSIPSFTGDLKKLRKLAFYNCKFSGKIPPHVSNLTQLDTLFLHSNKFTGTVELASLWTLANLTDLNLSDNKLTVIDGESNSSQVSFPHITFLNLASCNMTRLPNILRHLNSEIIGIDLSRNQIQGAIPHWAWEKWKDADLFFLNLSHNKFTSVGYDSFLPSHIDILDLSYNLFEGPIPLPKLSGTVFVYSNNRFSSMPSNISTQLGETAFFKASKNNLSGSIPASFCTTSLQFLDLSYNFFSGSIPPCLMEDANALQVLNLKQNQLHGELPHYINESCTLEALDFSNNRIEGNLPRSLASCQNLEVLDIRNNLINDSFPCWMSKLPRLQVLVLKSNKFFGQVTHSVPEVSTCEFPRLRILDVAFNNFSGTLKEEWLTKLKSMMVEFNNDTPVMEYKVNQSPVYQVNIVLTYKGSAITIDKILRTFVFIDISNNAFRGSIPQAMGELVLLLALNMSHNSLTGPIPSQLSRLNQMEVLDLSSNELSGVIPQELASLHFLTTLNLSYNKLVGRIPESTQFSTFSNSSFLGNDGLCGPPLSKGCSNITLNVTLPDRKSADILLFLFSGLGFGLGFAIAIVIAWGVPIRKRGSLDAIGGRKPVSKMISPKQQINILIFLLCSYAIHSDTAAQHDTAVHCRPDQASSLLRLKASFIGTNLLPSWRAGSDCCHWEGVTCDMASGRVISLDLSELNLISNRLDPALFNLTSLRNLSLAYNDFLGAALPASGFAQLTNMIHLNFSYSSFSGQIPIGIGSLKKLVTLDFSRNYGLYFVKPSFQTVMANLSNLRELHLDDVNILSSRSSWSVILADNTPQLEILSLSQCGISGSIHSSFSRLRSLKIIDLSVNWELNGKVPEFFAELSSLSILDISGNSFEGQFPTKIFHLKSLRTLDLSMNTDLSINLPEFLDGNNLETLSLTWTNLPYHTPSSFANLKSLKSLAISTTGTSKELLPSLIGELPSLKELEMWGSEWSLEKPVLSWVGNLKQLTDLTLGSYNFSQSTPSWIGNLTSLATLEMWGCNLSTSIPHQIGNLANLTSLRFEDCDFFGQKIPSWIGNFTKLRDLRIDNCGLSGPIPSTIGNLTQLEYLIIRSNDQLNGKIPQLLFTLSGLKYEVIGNQLSGSLEDIPSPLTSSLSSIDLSDNQLSGPIPKSFFQLTNLNYLNLGSNKFIGSVELSFVWKLKNLDLLSLSNNLISLIDDEGETILLASCKLTKIPGTLRYLDAISHLDLSSNQITGAIPSWIWENRTYQLKTLNLSHNMFTTVEQSPSLVNMTYLTYLDLSFNRLQGSIPIPVTASSEIALDYSNNHFSSIIPNFGIYLENASYINLSNNKLSGHLPSSICNASKAIIMDLSGNNYSGSVPACLTGSVNLSVLKLKDNQFHGVLPNNSREGCNLQSIDVNGNQIEGKLPRSLSYCQHLELLDVGNNQIVDSFPFWLGTLPNLRVLVLRSNKFIGTIRGLKGGYQNSDQFTRLQIIDLASNHLSGNIHSEWFEHFQSMMKNDNDEGEILEYDTKVNAKGSYQDITAVSYKGGMLTFTKILTTFKLIDLSDNSFGGPIPKSLQKLVLLRGLNMSYNALIGEIPPQLSSLTQVESLDLSWNKLSGEIPPELTSLTSLASLNLSYNNLTGRIPQGNQFGSFSNSSFEGNAYLCGKPLSKQCDTPGSTSRNASATSETSSFWQDKLGVILLFFFSGLGFTVGFILAVWFQSFFHIERWTHKH >ONIVA01G04430.2 pep chromosome:AWHD00000000:1:3061926:3078264:1 gene:ONIVA01G04430 transcript:ONIVA01G04430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTIHYMYGVYPPKDMICVYSTLRTTRGGNLSAVAAAAWHLQDGARVGQGAGERSREYDARGRGALPSASKQMRRCQTGLRTRPALGASTQDSSKMMISIKQRLPTPILILLICCYSIVTAANNDTTVPCLPDQASSLLQLKASFIGINLPSWRSGSDCCHWEGVTCGMASGRVISLDLSELYLISNRLDPALFNLTSLRNLNLASSYFWRPELPVSGFEKLTDMIHLNLSDSSLTGQIPIGVARLKKLVTLDFSLNYGLYFDEPRFQIIMANLRNLRGLYLDGVQIFGSTWSVVLADNIPQLEILSLSMCGISAGSIHPSFSQLRSLKKFNLRHNWGLGAKVPEFFAELSSLRILYISGNYFEGQFPTKIFQLKSLRTLDLSYNPNLSVSLPEFPNGNNLEKLNLEVTNLSYHIPSSFANLKSLKSLSISTTGTSKELPSLISKLPSLTELELQGSESGLEKAVLSWVGNLKQLTSLAVVSYDLSESAPSWIGNLTNLKFLKIWDCNFSGSIIPYQIGNLANLVGLYIGDCEFYRFQIPPWIGNLTKLTYLEISDCGCSGSIPSTIGNLTLLERLQITSNPQLNGKIPQSLFALPRLEKITNLKCLSLESNKFTGTVELNYVWQQKNLFFLSLSNNLISLIDDEGERVPPSLPNIRYLYLSSCNLTKIPGTLKYLDAVFNLDLSSNQISGAIPCWIWENWKDHLLRLNLSHNMFSILEKTPSLVNMTFLNYLDLSFNRLQGSIPIPVTTSSEVALDYSNNHFSSIVPNFGIYLENASYINFSNNKLSGRVPSSICKASKVIVMDLSGNNYSGSVPACLTESVNLSVLKLRDNQFNGVLPENSREGCNLQSLDVNGNQIEGKLPRSLSYCQHLELLDAGNNQIVDSFPFWLGTLPNLRVLVLRSNKLNGTIWGLKDGHQNSNFTRLQIIDLASNHFSGNIYSEWFEHLQSMMNSVSDDEQILQFRTNVSILGSYQDITSITYKGNTLMFTKILTTFKAIDLSDNSFGGPIPKSLGKLVSLRGLNLSHNAFTGHITSQLSSLTQLESLDLSWNKLSGEIPPELASLTSLAWLNLSYNNLTGRIPQGNQFGSFSNSSFEGNVNLCGRPLSKQCDTPGSTSPSASAPSYTNSFWQDRLGMILLFIFSGLGFTVGFILAVWFQSFCHIERWIHKHR >ONIVA01G04430.3 pep chromosome:AWHD00000000:1:3045793:3061926:1 gene:ONIVA01G04430 transcript:ONIVA01G04430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRAGSPLNYVLKETFLYQGAFRRTRTRRKVERDDVQLSSRIDSLLQRLHDDRMVSETFPDRAPCRRLLALTGRIFSPAKTGGERRRGTGQQGVHDEPERMTAARPTLPLARSIMMTFQTKTLLMLSLHLLIPCSSAAATYTNHTRAISPAVPCLPDQAAALLQLKSSFSITNESMAAFDSWKAGEDCCRWEGVSCGDADGRVTWLDLGDCGLESGQLDPVLFKLTSLEYLNLGGNNFSLSEIPSTGFERLNHRMFFFFPIYRGSLDAIGGRKPVSKMISPKQQINILIFLLCSYAIHSDTAAQHDTAVHCRPDQASSLLRLKASFIGTNLLPSWRAGSDCCHWEGVTCDMASGRVISLDLSELNLISNRLDPALFNLTSLRNLSLAYNDFLGAALPASGFAQLTNMIHLNFSYSSFSGQIPIGIGSLKKLVTLDFSRNYGLYFVKPSFQTVMANLSNLRELHLDDVNILSSRSSWSVILADNTPQLEILSLSQCGISGSIHSSFSRLRSLKIIDLSVNWELNGKVPEFFAELSSLSILDISGNSFEGQFPTKIFHLKSLRTLDLSMNTDLSINLPEFLDGNNLETLSLTWTNLPYHTPSSFANLKSLKSLAISTTGTSKELLPSLIGELPSLKELEMWGSEWSLEKPVLSWVGNLKQLTDLTLGSYNFSQSTPSWIGNLTSLATLEMWGCNLSTSIPHQIGNLANLTSLRFEDCDFFGQKIPSWIGNFTKLRDLRIDNCGLSGPIPSTIGNLTQLEYLIIRSNDQLNGKIPQLLFTLSGLKYEVIGNQLSGSLEDIPSPLTSSLSSIDLSDNQLSGPIPKSFFQLTNLNYLNLGSNKFIGSVELSFVWKLKNLDLLSLSNNLISLIDDEGETILLASCKLTKIPGTLRYLDAISHLDLSSNQITGAIPSWIWENRTYQLKTLNLSHNMFTTVEQSPSLVNMTYLTYLDLSFNRLQGSIPIPVTASSEIALDYSNNHFSSIIPNFGIYLENASYINLSNNKLSGHLPSSICNASKAIIMDLSGNNYSGSVPACLTGSVNLSVLKLKDNQFHGVLPNNSREGCNLQSIDVNGNQIEGKLPRSLSYCQHLELLDVGNNQIVDSFPFWLGTLPNLRVLVLRSNKFIGTIRGLKGGYQNSDQFTRLQIIDLASNHLSGNIHSEWFEHFQSMMKNDNDEGEILEYDTKVNAKGSYQDITAVSYKGGMLTFTKILTTFKLIDLSDNSFGGPIPKSLQKLVLLRGLNMSYNALIGEIPPQLSSLTQVESLDLSWNKLSGEIPPELTSLTSLASLNLSYNNLTGRIPQGNQFGSFSNSSFEGNAYLCGKPLSKQCDTPGSTSRNASATSETSSFWQDKLGVILLFFFSGLGFTVGFILAVWFQSFFHIERWTHKH >ONIVA01G04430.4 pep chromosome:AWHD00000000:1:3061926:3072185:1 gene:ONIVA01G04430 transcript:ONIVA01G04430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTIHYMYGVYPPKDMICVYSTLRTTRGGNLSAVAAAAWHLQDGARVGQGAGERSREYDARGRGALPSASKQMRRCQTGLRTRPALGASTQDSSKMMISIKQRLPTPILILLICCYSIVTAANNDTTVPCLPDQASSLLQLKASFIGINLPSWRSGSDCCHWEGVTCGMASGRVISLDLISGFEKLTDMIHLNLSDSSLTGQIPIGVARLKKLVTLDFSLNYGLYFDEPRFQIIMANLRNLRGLYLDGVQIFGSTWSVVLADNIPQLEILSLSMCGISAGSIHPSFSQLRSLKKFNLRHNWGLGAKVPEFFAELSSLRILYISGNYFEGQFPTKIFQLKSLRTLDLSYNPNLSVSLPEFPNGNNLEKLNLEVTNLSYHIPSSFANLKSLKSLSISTTGTSKELPSLISKLPSLTELELQGSESGLEKAVLSWVGNLKQLTSLAVVSYDLSESAPSWIGNLTNLKFLKIWDCNFSGSIIPYQIGNLANLVGLYIGDCEFYRFQIPPWIGNLTKLTYLEISDCGCSGSIPSTIGNLTLLERLQITSNPQLNGKIPQSLFALPRLEKVYLYENHLSGSLEDIPYPLTSPLSFIQLNDNQLSGLIPKSFFQITNLKCLSLESNKFTGTVELNYVWQQKNLFFLSLSNNLISLIDDEGERVPPSLPNIRYLYLSSCNLTKIPGTLKYLDAVFNLDLSSNQISGAIPCWIWENWKDHLLRLNLSHNMFSILEKTPSLVNMTFLNYLDLSFNRLQGSIPIPVTTSSEVALDYSNNHFSSIVPNFGIYLENASYINFSNNKLSGRVPSSICKASKVIVMDLSGNNYSGSVPACLTESVNLSVLKLRDNQFNGVLPENSREGCNLQSLDVNGNQIEGKLPRSLSYCQHLELLDAGNNQIVDSFPFWLGTLPNLRVLVLRSNKLNGTIWGLKDGHQNSNFTRLQIIDLASNHFSGNIYSEWFEHLQSMMNSVSDDEQILQFRTNVSILGSYQDITSITYKGNTLMFTKILTTFKAIDLSDNSFGGPIPKSLGKLVSLRGLNLSHNAFTGHITSQLSSLTQLESLDLSWNKLSGEIPPELASLTSLAWLNLSYNNLTGRIPQGNQFGSFSNSSFEGNVNLCGRPLSKQCDTPGSTSPSASAPSYTNSFWQDRLGMILLFIFSGLGFTVGFILAVWFQSFCHIERWIHKHR >ONIVA01G04430.5 pep chromosome:AWHD00000000:1:3061926:3072185:1 gene:ONIVA01G04430 transcript:ONIVA01G04430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTIHYMYGVYPPKDMICVYSTLRTTRGGNLSAVAAAAWHLQDGARVGQGAGERSREYDARGRGALPSASKQMRRCQTGLRTRPALGASTQDSSKMMISIKQRLPTPILILLICCYSIVTAANNDTTVPCLPDQASSLLQLKASFIGINLPSWRSGSDCCHWEGVTCGMASGRVISLDLSELYLISNRLDPALFNLTSLRNLNLASSYFWRPELPVSGFEKLTDMIHLNLSDSSLTGQIPIGVARLKKLVTLDFSLNYGLYFDEPRFQIIMANLRNLRGLYLDGVQIFGSTWSVVLADNIPQLEILSLSMCGISAGSIHPSFSQLRSLKKFNLRHNWGLGAKVPEFFAELSSLRILYISGNYFEGQFPTKIFQLKSLRTLDLSYNPNLSVSLPEFPNGNNLEKLNLEVTNLSYHIPSSFANLKSLKSLSISTTGTSKELPSLISKLPSLTELELQGSESGLEKAVLSWVGNLKQLTSLAVVSYDLSESAPSWIGNLTNLKFLKIWDCNFSGSIIPYQIGNLANLVGLYIGDCEFYRFQIPPWIGNLTKLTYLEISDCGCSGSIPSTIGNLTLLERLQITSNPQLNGKIPQSLFALPRLEKVYLYENHLSGSLEDIPYPLTSPLSFIQLNDNQLSGLIPKSFFQITNLKCLSLESNKFTGTVELNYVWQQKNLFFLSLSNNLISLIDDEGERVPPSLPNIRYLYLSSCNLTKIPGTLKYLDAVFNLDLSSNQISGAIPCWIWENWKDHLLRLNLSHNMFSILEKTPSLVNMTFLNYLDLSFNRLQGSIPIPVTTSSEVALDYSNNHFSSIVPNFGIYLENASYINFSNNKLSGRVPSSICKASKVIVMDLSGNNYSGSVPACLTESVNLSVLKLRDNQFNGVLPENSREGCNLQSLDVNGNQIEGKLPRSLSYCQHLELLDAGNNQIVDSFPFWLGTLPNLRVLVLRSNKLNGTIWGLKDGHQNSNFTRLQIIDLASNHFSGNIYSEWFEHLQSMMNSVSDDEQILQFRTNVSILGSYQDITSITYKGNTLMFTKILTTFKAIDLSDNSFGGPIPKSLGKLVSLRGLNLSHNAFTGHITSQLSSLTQLESLDLSWNKLSGEIPPELASLTSLAWLNLSYNNLTGRIPQGNQFGSFSNSSFEGNVNLCGRPLSKQCDTPGSTSPSASAPSYTNSFWQDRLGMILLFIFSGLGFTVGFILAVWFQSFCHIERWIHKHR >ONIVA01G04430.6 pep chromosome:AWHD00000000:1:3072654:3082584:1 gene:ONIVA01G04430 transcript:ONIVA01G04430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDTPPPRPLCRRCRLRTPPLHIFGSEASPAGKAGGRAFRRGPIGGGGWGFRHSQFSLPPPAKMSPRVAQRENEPFLLVLVLLLIQTLIASSLPCLPDQAAALLQLKRSFSATTASATAFRSWRAGTDCCRWAGIRCDGDGDGGGRVTSLDLGGRRLQSGGLDAAVFSLTSLRYLNLGGNDFNASRLPATGFEMLTELTHLSISPPSFTGQIPAGPIPIPEESTDSKLDYSNNWFSSMPFDLIPYLAGALSLKVSMNNVSGEVPSTFCTVKSLRILDLSQNILNGQIPNQLASLHQLESLDLSSNKLSGEIPRKLASLDFLSTLNLSDNMLEGSIPESPHFLTLPNSSFIRNAGLCGPPLSNECSNKSTSNVMPHLSEEKSADIILFLFVGLGFGVGFAIAIVPR >ONIVA01G04430.7 pep chromosome:AWHD00000000:1:3069743:3078264:1 gene:ONIVA01G04430 transcript:ONIVA01G04430.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKFHNRCLLFRGWKKFTFMKTTFPITNLKCLSLESNKFTGTVELNYVWQQKNLFFLSLSNNLISLIDDEGERVPPSLPNIRYLYLSSCNLTKIPGTLKYLDAVFNLDLSSNQISGAIPCWIWENWKDHLLRLNLSHNMFSILEKTPSLVNMTFLNYLDLSFNRLQGSIPIPVTTSSEVALDYSNNHFSSIVPNFGIYLENASYINFSNNKLSGRVPSSICKASKVIVMDLSGNNYSGSVPACLTESVNLSVLKLRDNQFNGVLPENSREGCNLQSLDVNGNQIEGKLPRSLSYCQHLELLDAGNNQIVDSFPFWLGTLPNLRVLVLRSNKLNGTIWGLKDGHQNSNFTRLQIIDLASNHFSGNIYSEWFEHLQSMMNSVSDDEQILQFRTNVSILGSYQDITSITYKGNTLMFTKILTTFKAIDLSDNSFGGPIPKSLGKLVSLRGLNLSHNAFTGHITSQLSSLTQLESLDLSWNKLSGEIPPELASLTSLAWLNLSYNNLTGRIPQGNQFGSFSNSSFEGNVNLCGRPLSKQCDTPGSTSPSASAPSYTNSFWQDRLGMILLFIFSGLGFTVGFILAVWFQSFCHIERWIHKHR >ONIVA01G04430.8 pep chromosome:AWHD00000000:1:3072654:3078264:1 gene:ONIVA01G04430 transcript:ONIVA01G04430.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDTPPPRPLCRRCRLRTPPLHIFGSEASPAGKAGGRAFRRGPIGGGGWGFRHSQFSLPPPAKMSPRVAQRENEPFLLVLVLLLIQTLIASSLPCLPDQAAALLQLKRSFSATTASATAFRSWRAGTDCCRWAGIRCDGDGDGGGRVTSLDLGGRRLQSGGLDAAVFSLTSLRYLNLGGNDFNASRLPATGFEMLTELTHLSISPPSFTGQIPAGQIPNQLASLHQLESLDLSSNKLSGEIPRKLASLDFLSTLNLSDNMLEGSIPESPHFLTLPNSSFIRNAGLCGPPLSNECSNKSTSNVMPHLSEEKSADIILFLFVGLGFGVGFAIAIVVRKPCIGKYS >ONIVA01G04420.1 pep chromosome:AWHD00000000:1:3042751:3045789:1 gene:ONIVA01G04420 transcript:ONIVA01G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYKLLFMPLLLLLLHTQLVVPSSSATSATYTNHTGAPPPAVPCMPDQASALLRLKRSFSVTTKSVIAFRSWNAGEDCCRWAGVRCGGGGGGDDDGGRVTWLDLGDRGLNSGHLDQVIFKLNSLEYLNLGGNDFNLSEIPSTGFERLSKLTHLNLSSSNFAGQVPVHSIGQLTNLISLDLSFRFKVTELFDMGYLYTGAFAHEWQLVLPNLTALVANLSNLEELRLGFLDLSHQEADWCNALGMYTQNLRVLSLPFCWLSGPICASLSNLRSLSVIDMQFSGLTGRFPDFFANLSSLSVLQLSFNHLEGWLPPYIFQNKKLVAIDLHRNVGLTGTLPDFPVDSSLEILLVGHTNFSGTIPSSISNLKSLKKLGLDASGFSGELPSTIGTLRHLNSLQISGLEVVESFPKWITNLTSLEVLEFSNCGLHGTISSFIADLKKLTKLELYACNLFGEIPQHIFNLTQLDTIFLHSNSFTGTVELASFLTLPNLFDLNLSHNKLTVINGESNSSLTSFPNIGYLGLSSCNMTRFPNILRHLNKNEVNGIDLSHNHIQGAIPHWAWENWKDAQFFFLNLSHNEFTSVGYTIFPFGVEMLDLSFNKFEGPIPLPQNSGTVLDYSNNHFSSIPPNISTQLRDTAYFKASRNNISGDIPTSFCTNKLQFLDLSFNFFSGSIPPCLIEVAGALQVLNLKQNQLHGELPHYFNESCTLEALDFSDNRIEGNLPRSLASCRKLEVLDIQNNHIADSFPCWMSALPRLQILVLKSNKFFGQVAPSVGEDSSCEFPSLRILDLASNKFSGTLSEEWFTRLKSMMIDSVNGTSVMEYKGDKKRVYQVTTVLTYKGSTMRIDKILRTFVFIDVSNNAFHGSVPKAIGELVLLNTLNMSHNSLTGLVPTQLSHLNQMEALDLSSNELSGVIPQELASLHFLTTLNLSYNRLVGRIPESTQFSTFLNNSFLGNDGLCGPPLSKGCDNMTLNVTLSDRKSIDIVFVPLFWIGIWTWICNCNCNSMGSSH >ONIVA01G04410.1 pep chromosome:AWHD00000000:1:3033779:3036742:-1 gene:ONIVA01G04410 transcript:ONIVA01G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCLPDQAAALLRLKRSFTVVTDESGCTLASWRAGTDCCRWEGVRCGVGIGVGRVTSLDLGECGLVSSALDPALFELTSLRRLDLAWNYFNGSQIPTVGFERLIHLTHLNLSSTRFAGEIPDAIGRLTNLVSLDLSTKFYLLDLDDEFLSVASYSPEWVLVAPNIRSLVKKPHNLTELYLSNVDLSDNNEVEWCGAFSNSTTPQLQVLSLPECELEVPICESLSGIRSLSEINLQYNYIYGPIPESFGDLPSLSVLSLTYNDLEGRFPWKIFQNRNLTSVDVRYNFELSGSMPKNISSNDILTQLLVSSTNFSGPIPSSIGNIKSLKNLGLASSDFSQELPSSIGHLRSLKSLEITGAGIVGSIPSWIANLTSLTLLQFSNCGLSGQIPSSIGSIKNLTRLALYKCNFTGQIPSQLFNLTQLMVISIYSNNFLGTVELSSLWKLPDLSSLNLSNNRLSVVDGEKDNSSWVSTDYFYSLRLAYCNISNFPNALRRTPRIGTLDLSGNQIQGAIPHWARETWTHLYRLNLSDNKLSNIGYDSLPDNIQLVDLSFNLFEGPLPMPRPSTWFFDCFHNRFSSMPLNFGSQLSEMAILDASRNNLSGEIPPSICEARELLFLDLSYNNFSGSLPSCLMEDINSLNVLIMKGNQLHGELPRHIKKGCALQALDFSDNMFEGQLPKSLVACRDLEVFDIGNNLISDAFPCWMNVLPKLQILVLRSNTFIGEVGSSAFKEESNCEFAYLRILDLASNNFSGSLPHKWFKKLKSMMVKYSNETMPMQYQQDVHGPTYEYTTSITYKGNDMTFSKILRTLVVIDVSDNALHGAIPESIGELVPLRVLNMSHNALTGTIPSQLGALQELESLDLSSNDLSGEIPQELAQLHFLSVLNLSYNGLVGRIPDSPQFSNNLSYLGNIVLCGFPLSKECSNMTTPPSSHPSEEKHVDVILFLFVGLGVGIGFAVIIVVTWGIRIKKRSQDSRFPFWKKVLCM >ONIVA01G04400.1 pep chromosome:AWHD00000000:1:3023143:3026502:-1 gene:ONIVA01G04400 transcript:ONIVA01G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTIGSVPTASDAAAPPPAPAAATAPREATLGRHLARRLAEVGARDVFTVPGDFNLTLLDELEAEAGHGGVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINAVAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHEQIDAAISTAIKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEAAVETAAAFLNKAVKPVLVGGPKMRVAKACKSFVELADACGYPVAVMPSAKGLVPEHHPRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIGHGPAFGCVLMKDFLHALSARLKKNTAAYENYRRIYVPPGEPPLSEPGEPLRVNVLFQHIQKMLSANSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQEVSTMLRWGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGVVEAFHNGEGKCYTAKVRTEEELKEAIEEAQGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >ONIVA01G04390.1 pep chromosome:AWHD00000000:1:3015462:3017631:1 gene:ONIVA01G04390 transcript:ONIVA01G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECQPLQLQEGKKLQELQPYDGCNPSVYRGPILLPRQANSAPPAVPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLTTLRRMIPDTKQMDKATLLARVVDQVKDLKRKASEITQRTPFPPETNEVSIECFTGDAATAATTVAGNHKTLYIKASISCDDRPDLIAGITHAFHGLRLRTVRAEMTSLGGRVQHVFILCREEGIAGGVSLKSLKEAVRQALAKVASPELVYGSSHFQSKRQRILESHCSIMSI >ONIVA01G04390.2 pep chromosome:AWHD00000000:1:3015864:3017631:1 gene:ONIVA01G04390 transcript:ONIVA01G04390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAECQPLQLQEGKKLQELQPYDGCNPSVYRGPILLPRQANSAPPAVPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLTTLRRMIPDTKQMDKATLLARVVDQVKDLKRKASEITQRTPFPPETNEVSIECFTGDAATAATTVAGNHKTLYIKASISCDDRPDLIAGITHAFHGLRLRTVRAEMTSLGGRVQHVFILCREEGIAGGVSLKSLKEAVRQALAKVASPELVYGSSHFQSKRQRILESHCSIMSI >ONIVA01G04380.1 pep chromosome:AWHD00000000:1:3011670:3012063:-1 gene:ONIVA01G04380 transcript:ONIVA01G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAEKAAELQDPEIRAELDRRARDDGKTVIKSGTGGKSLDAQERLAEGPRTTESGKERADDDTGAVLIEPDDKMLKEAKKNLGRK >ONIVA01G04370.1 pep chromosome:AWHD00000000:1:3010380:3010628:1 gene:ONIVA01G04370 transcript:ONIVA01G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAASMRVALVSVVLVGLILVSAAHAARPEKLPAVVSPSIAPAVAEVVDAAINAVDLLGVSKMQEANPYTRGCSRINRCRG >ONIVA01G04360.1 pep chromosome:AWHD00000000:1:3003171:3008321:-1 gene:ONIVA01G04360 transcript:ONIVA01G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQGDGREEDGAKVGLPALDISLAFPQATPASIFPPSASDYYQIDDLLTTEEQSIRKKVRAIMEKEIAPIMATYWEKAEFPFHAIPKLSSLGVAGGTIKGYGCPGLSITASAITMAEIARVDASCSTFILVHSSLAMVTIALCGSEVQKQKYLPSLAQLTAVGCWALTEPNHGSDASSLITTATKVPGGWHIDGQKRWIGNSTFADVLVVLARNANTKQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGVNSFQDISKVLAISRVMVAWQPIGISMGVFDVCHRYLKERKQFGVPLVAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKGWTSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALAKARL >ONIVA01G04350.1 pep chromosome:AWHD00000000:1:2994767:2999167:-1 gene:ONIVA01G04350 transcript:ONIVA01G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVLIDSASAKVRSYLCMLEMMQPLKLALHTMGMWQLDRMIFHLQVEWHNIQGEFARGSSQIDNRTAAVKRKNPVIYPEYSINGDGYCAGSSSSTQFSNYPQPAPFSESLHRQMPPSVGPINWNDQSLVNQEGSQRNVRARHNFNNISLEPRPVHSTSNVSQSTSMKRNGPSFSTRMRTMPSGASGMHSGEMPYTMGSSNSSVPVPTLQGSSSSAIFASGVFAPRHVHGDTVPSYIHLPSVASSSSTAIPHEVIIPSYQPATSATTSTPMRASQPLPVRAVASSRHARNVLIGHANSGRNRRARSSYYGIQPLMIDAQQLIMMQQFALRESREAQDPHRAMRLDIDNMSYEDLLALGESIGNVCTGLVDEKISGCVREVIYCSSDEQQNDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCVKKWLKEKNSCPICKCLKKQSWSLNA >ONIVA01G04350.2 pep chromosome:AWHD00000000:1:2994767:2999167:-1 gene:ONIVA01G04350 transcript:ONIVA01G04350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVLIDSASAKAYWTDCFMARHHGNHSLLPEMVQPNNEQLNQPLPLGQKLFVHAGNDAALKIGPSYHGNVAIRSNDLPSSSRVAQYSGHRVKNTGTLHNSYVHYPAGSSGGHVSYNPQTEPVITYPHRSEGEFARGSSQIDNRTAAVKRKNPVIYPEYSINGDGYCAGSSSSTQFSNYPQPAPFSESLHRQMPPSVGPINWNDQSLVNQEGSQRNVRARHNFNNISLEPRPVHSTSNVSQSTSMKRNGPSFSTRMRTMPSGASGMHSGEMPYTMGSSNSSVPVPTLQGSSSSAIFASGVFAPRHVHGDTVPSYIHLPSVASSSSTAIPHEVIIPSYQPATSATTSTPMRASQPLPVRAVASSRHARNVLIGHANSGRNRRARSSYYGIQPLMIDAQQLIMMQQFALRESREAQDPHRAMRLDIDNMSYEDLLALGESIGNVCTGLVDEKISGCVREVIYCSSDEQQNDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCVKKWLKEKNSCPICKCLKKQSWSLNA >ONIVA01G04350.3 pep chromosome:AWHD00000000:1:2995050:2999167:-1 gene:ONIVA01G04350 transcript:ONIVA01G04350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVLIDSASAKAYWTDCFMARHHGNHSLLPEMVQPNNEQLNQPLPLGQKLFVHAGNDAALKIGPSYHGNVAIRSNDLPSSSRVAQYSGHRVKNTGTLHNSYVHYPAGSSGGHVSYNPQTEPVITYPHRSEGEFARGSSQIDNRTAAVKRKNPVIYPEYSINGDGYCAGSSSSTQFSNYPQPAPFSESLHRQMPPSVGPINWNDQSLVNQEGSQRNVRARHNFNNISLEPRPVHSTSNVSQSTSMKRNGPSFSTRMRTMPSGASGMHSGEMPYTMGSSNSSVPVPTLQGSSSSAIFASGVFAPRHVHGDTVPSYIHLPSVASSSSTAIPHEVIIPSYQPATSATTSTPMRASQPLPVRAVASSRHARNVLIGHANSGRNRRARSSYYGIQPLMIDAQQLIMMQQFALRESREAQDPHRAMRLDIDNMSYEDLLALGESIGNVCTGLVDEKISGCVREVIYCSSDEQQNDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCVKKWLKEKNSCPICKSAAA >ONIVA01G04350.4 pep chromosome:AWHD00000000:1:2995050:2999831:-1 gene:ONIVA01G04350 transcript:ONIVA01G04350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRFSAPDSYLSTPPISSSSINTRALSIPISSSSPSTPHRTDKYSTRERERENFNFRRKLWPRQKLFVHAGNDAALKIGPSYHGNVAIRSNDLPSSSRVAQYSGHRVKNTGTLHNSYVHYPAGSSGGHVSYNPQTEPVITYPHRSEGEFARGSSQIDNRTAAVKRKNPVIYPEYSINGDGYCAGSSSSTQFSNYPQPAPFSESLHRQMPPSVGPINWNDQSLVNQEGSQRNVRARHNFNNISLEPRPVHSTSNVSQSTSMKRNGPSFSTRMRTMPSGASGMHSGEMPYTMGSSNSSVPVPTLQGSSSSAIFASGVFAPRHVHGDTVPSYIHLPSVASSSSTAIPHEVIIPSYQPATSATTSTPMRASQPLPVRAVASSRHARNVLIGHANSGRNRRARSSYYGIQPLMIDAQQLIMMQQFALRESREAQDPHRAMRLDIDNMSYEDLLALGESIGNVCTGLVDEKISGCVREVIYCSSDEQQNDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCVKKWLKEKNSCPICKSAAA >ONIVA01G04350.5 pep chromosome:AWHD00000000:1:2992133:2993596:-1 gene:ONIVA01G04350 transcript:ONIVA01G04350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFASTMQAMQRLVLCLVVSMAIAASAQGPTAAPAAPAPATPAAPATPAAPAPASTKTTNITGVLAKAGQFNTLIRLMRSTGAAEQIDNQLNSSRNGLTVFAPTDNAFTSLPSGTLNSLSDQQKNSLVQYHVLSTLIPMSQFDTVSNPLRTQAGSNSPGQYPLNVTAEGQQVNISTGVVNATVGNALYTGDNLVVYQVDKVLLPMAIYGTPAPAPAPLSPATKKKGKTPATSVADAPEAADATPDATTPSLAAARVTAGAGVGVVLALASVWLGL >ONIVA01G04350.6 pep chromosome:AWHD00000000:1:2992133:2993842:-1 gene:ONIVA01G04350 transcript:ONIVA01G04350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTCLGQICRSDREQQTTTASTMQAMQRLVLCLVVSMAIAASAQGPTAAPAAPAPATPAAPATPAAPAPASTKTTNITGVLAKAGQFNTLIRLMRSTGAAEQIDNQLNSSRNGLTVFAPTDNAFTSLPSGTLNSLSDQQKNSLVQYHVLSTLIPMSQFDTVSNPLRTQAGSNSPGQYPLNVTAEGQQVNISTGVVNATVGNALYTGDNLVVYQVDKVLLPMAIYGTPAPAPAPLSPATKKKGKTPATSVADAPEAADATPDATTPSLAAARVTAGAGVGVVLALASVWLGL >ONIVA01G04350.7 pep chromosome:AWHD00000000:1:2992133:2994803:-1 gene:ONIVA01G04350 transcript:ONIVA01G04350.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAVVELECVSGRFRKGHYQVIDASTMQAMQRLVLCLVVSMAIAASAQGPTAAPAAPAPATPAAPATPAAPAPASTKTTNITGVLAKAGQFNTLIRLMRSTGAAEQIDNQLNSSRNGLTVFAPTDNAFTSLPSGTLNSLSDQQKNSLVQYHVLSTLIPMSQFDTVSNPLRTQAGSNSPGQYPLNVTAEGQQVNISTGVVNATVGNALYTGDNLVVYQVDKVLLPMAIYGTPAPAPAPLSPATKKKGKTPATSVADAPEAADATPDATTPSLAAARVTAGAGVGVVLALASVWLGL >ONIVA01G04340.1 pep chromosome:AWHD00000000:1:2986933:2987755:-1 gene:ONIVA01G04340 transcript:ONIVA01G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIKIPRPDPVVPRTKLDVIPNPSKLGFETMTDMIQLYKRLPFHFTLLHSTTGSARLLP >ONIVA01G04330.1 pep chromosome:AWHD00000000:1:2986016:2986902:-1 gene:ONIVA01G04330 transcript:ONIVA01G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPHPPHRNGNHVPAPSGESFAKFFECWISEQSRDLAALRSAASAATNPAAPPDDAELHRLVNRVLGHYEHYYRTKSAAASTDVLRMFSPSWTSTTENLYLWCGGWRPTAALHLLYSKSGAQLETQLPVFLAGGGLGAGDLGDLSAEQLQAADQLQRITVSKEREIENAAASAQESLATVKMVELAGGGGMDAEGMEMEMRSKADGMRRVLEMADGLRLETMREVVALLRPSQAVHFLIAAAELHLAVHEFGRRKDGDGAASPPPA >ONIVA01G04320.1 pep chromosome:AWHD00000000:1:2979489:2985077:-1 gene:ONIVA01G04320 transcript:ONIVA01G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors;zinc ion binding;sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT5G05660) TAIR;Acc:AT5G05660] MPFSYAAAASGSASSSRKPVPVAAAAARRPAPSPAAAAAPAPSPSNPSAVSDSDPSSYSSSGEETDLTVSDPAAASVISSYLSVAGDGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSASCFALLHLHCIQSWAHQSSSAAPSPTWGCPKCRFPYPKSQTPTSYLCFCSKTVDPAPDPWILPHSCGDVCGRRLNADRDSGCEHNCLLLCHPGPCPPCPAIVPNAMCFCGSHRETRRCSHQRYSCSGKCNKRLGCGIHRCPVDCHDGPCPPCAVRGKHKCECGETMEERLCSERVFQCKRECGGMLQCGKHSCERGCHAGKCGGCPLQGRRTCPCGKKDYPSLDCDAEAATCGSTCEKVLGCGRHKCPERCHRGSCVETCRLVITKSCRCGGLKKEVPCYQELTCERKCQRLRNCGRHACRRRCCEGDCAPCPEICDKRLRCGNHKCLSPCHRGACAPCPLMKTISCNCGQTFFEVPCGTEKNQKPPKCSKKCNIARLCRHKLECRPHKCHYGACPPCKLICGEELSCGHRCKLRCHGPIAPPNPEFTLKPIKRKKGKHIDSTPGTPCPPCQEVVLVPCFGQHLGQERAILCSKRRQFPCQNLCGNPLNCGNHYCTKACHVLQIPLSQPEGDQSAILSLASASAFAEPCEECNLPCQRVREPPCSHPCPLPCHLNDCPPCKALVKRPCHCGAMVHAFECMYYNNLNATKQQKVRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDQCMKKVNVRCACNNLKKEWICQDVLKEYRQSGRDPKQIPKNQYAVGLLACGEDCVKKVKAADSELHLRKIQEIKTPAVEVENVPKRRKRRNRGQESVESSKFQEIKAVALKFLLVIFLCIIVVAGLYLLWKGVYRLSDWMNDMEEQRARQRHLKPGRFNNT >ONIVA01G04320.2 pep chromosome:AWHD00000000:1:2980091:2985077:-1 gene:ONIVA01G04320 transcript:ONIVA01G04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors;zinc ion binding;sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT5G05660) TAIR;Acc:AT5G05660] MPFSYAAAASGSASSSRKPVPVAAAAARRPAPSPAAAAAPAPSPSNPSAVSDSDPSSYSSSGEETDLTVSDPAAASVISSYLSVAGDGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSASCFALLHLHCIQSWAHQSSSAAPSPTWGCPKCRFPYPKSQTPTSYLCFCSKTVDPAPDPWILPHSCGDVCGRRLNADRDSGCEHNCLLLCHPGPCPPCPAIVPNAMCFCGSHRETRRCSHQRYSCSGKCNKRLGCGIHRCPVDCHDGPCPPCAVRGKHKCECGETMEERLCSERVFQCKRECGGMLQCGKHSCERGCHAGKCGGCPLQGRRTCPCGKKDYPSLDCDAEAATCGSTCEKVLGCGRHKCPERCHRGSCVETCRLVITKSCRCGGLKKEVPCYQELTCERKCQRLRNCGRHACRRRCCEGDCAPCPEICDKRLRCGNHKCLSPCHRGACAPCPLMKTISCNCGQTFFEVPCGTEKNQKPPKCSKKCNIARLCRHKLECRPHKCHYGACPPCKLICGEELSCGHRCKLRCHGPIAPPNPEFTLKPIKRKKGKHIDSTPGTPCPPCQEVVLVPCFGQHLGQERAILCSKRRQFPCQNLCGNPLNCGNHYCTKACHVLQIPLSQPEGDQSAILSLASASAFAEPCEECNLPCQRVREPPCSHPCPLPCHLNDCPPCKALVKRPCHCGAMVHAFECMYYNNLNATKQQKVRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDQCMKKVNVRCACNNLKKEWICQDVLKEYRQSGRDPKQIPKNQYAVGLLACGEDCVKKVKAADSELHLRKIQEIKTPAVEVENVPKRRKRRNRGQESVESSKFQEIKAVALKFLLVIFLCIIVVAGLYLLWKGVYRLSDWMNDMEEQRARQRHLKPGRL >ONIVA01G04310.1 pep chromosome:AWHD00000000:1:2978173:2979026:-1 gene:ONIVA01G04310 transcript:ONIVA01G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPELPEPALGINFSRDTMYRSDWVALLSVFSDSWLLAVAFFHGARLDRDDRYTAPDSSLLSRSHTMLLPLLI >ONIVA01G04300.1 pep chromosome:AWHD00000000:1:2975475:2976668:-1 gene:ONIVA01G04300 transcript:ONIVA01G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDLPTVYEVVFGLEQSDEQSGMDNGAKDTPAPQKLNFKLQKEDDSNITAGLQHDKKRKVLEKDFSPN >ONIVA01G04290.1 pep chromosome:AWHD00000000:1:2951192:2953952:-1 gene:ONIVA01G04290 transcript:ONIVA01G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICARAGSPSAMPPPLSSLASAASPPLPSLASAVAAAVISSSSPSNPSHELRPLHQLSSRLFSPLPPKPHSLSCSRPQAPRATTTDGSGAGDHGSDSGGNSGKGSGGGGGSDDDDCEEAEFGPLLRFDEVLRLAVARGVSLPADMEAAKDAGIWEVLLLRYFDLQAAPWPLAAMIRAFSMLHNRMLADPSFLFKVGTEVVIDSRCATFAEDFWAEFELLQIICFKQYRRISLD >ONIVA01G04290.2 pep chromosome:AWHD00000000:1:2951832:2953952:-1 gene:ONIVA01G04290 transcript:ONIVA01G04290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEICARAGSPSAMPPPLSSLASAASPPLPSLASAVAAAVISSSSPSNPSHELRPLHQLSSRLFSPLPPKPHSLSCSRPQAPRATTTDGSGAGDHGSDSGGNSGKGSGGGGGSDDDDCEEAEFGPLLRFDEVLRLAVARGVSLPADMEAAKDAGIWEVLLLRYFDLQAAPWPLAAMIRAFSMLHNRMLADPSFLFKVGTEVVIDSRCATFAEDFWAEFELLNYRVE >ONIVA01G04280.1 pep chromosome:AWHD00000000:1:2943348:2946440:-1 gene:ONIVA01G04280 transcript:ONIVA01G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHDPTLRMLLLLLLVQMSQLVAVGSLLPPAAAAPTHTEHLTGAVPLPQAAAEAAAVVPCRPDQEWALLRLKRSFSITDKFMAAFRSWRAGTDCCRWDGVSCGYADGRVTSLDLGGRHLVSGGLDPSLFRLTSLEYLSLASNDFNVSELPSTGFERLTNLTHLNLRSTNIAGNVPAGIGSLTNLVSLDLSADFKIYTLTDDNYVLYLNSSRNPHQLIESNFENLVANLRNLRELNLGLLLDLSDNGDRWCKALVNSCPKLQVLGLSYCLLSGPICGELSHLHSLSVIDLSFNHLSGPIPDFSNIPNLTVLQLRCNWLEGWVSPLIFQHKNLVTIDLYHNLEIHGNLPIFSTGSHLETVSVSETKFNGTIPDSIGNLKSLKELGLGASGFSGNLPPSIGNLRSLNSLEISGLGLSGSMPSWVANLSSLTTLQFTDCGLSGSIPSFLGDMRNLTKLVLSNCNFSGTIPSHIFNLTQLQILLLHSNNFIGTVELTSMWILPDLFILDLSDNKLVVVDGTDNSSIVSIPKLRVLRLSRCNISKFPNFLRHQDEIISLDLSHNQIDGAIPQWAWETWNEMERLFLGNNKFTSVGHDPFLPMSHIDGLDLSFNMFEGPIPIPQGYANMLDYSNNRFSSIPFNFTTHLKDVSFFKAARNNFSGKIPQSFCSATSLQLIDLSYNSFDGTIPSCLMDNLQYLEVLNLKENELQGEFPNNINENCSFESLIFSGNRIEGQLPRSLAFCKYLEVLDVGNNQINDSFPCWMSTLNALQVLVLKSNKFFGQVAQHFAEEKSTCEFQSARIVDLASNRFSGTLPQEWFKKLKAMMIEDSNVTLVMEFDITRLGKYDYTVALTYKGSEIIFTKILRTLVFIDLSDNAFHGSIPEAIGELVLLNVLNISHNSLTGPIPSQLGRLAQLESLDISSNELSGEIPQQLASLDFLTVLNLSYNKLEGEIPESPHFLTFSNSSFLGNDGLCGRPLSKGCINITSLNVIPSKKNSLDVLLFLFAGLGFGFGFALSIVVIWGIPIRKRSRVR >ONIVA01G04270.1 pep chromosome:AWHD00000000:1:2942566:2943711:1 gene:ONIVA01G04270 transcript:ONIVA01G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATGARGNQTLGAGRAQDNPMQTVDDRTNHRSPEMNYWRMSRNEAILDRFNTVRKSKDANCCGISPESSFDEISNDSS >ONIVA01G04260.1 pep chromosome:AWHD00000000:1:2936403:2941784:1 gene:ONIVA01G04260 transcript:ONIVA01G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAAEHSVQSVEQQLCTLITSSLRATVPDLDVEPMLEVSKPGFGDYQCNNAMSVFSRIRGSATNFRNPMAVGQAIANNLPQSNIIESISVAGPGYINITLSSNWIAQRIQDMLVCGIKTWAPILPVKRAVLDFSSPNIAKEMHVGHIRSTIIGDTLAHMFEFTNVEVLRRNHVGDWGTQFGMLIEFLFEQFPDWEDVGNQAVGDLQSFYKASKKRFDDDPDFKERARQAGESFYNPYIPPVLEDLTNKGLIVESKGARVIFVEDHPLIVIKQDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQRHFHMLFTAAKMAGWLPEQNGKKYPKASHVGFGLVLGSDGKRFRTRCSEVVRLVDLLDEAKARSKAQLIKRFTGNGQIADWTDDELDRTSEAIGYGAVKYSDLKNNRLTDYTFSFDQMLSDKGNTAVYLQYAHARICSIIRKASKDVEKLKMTGAITLGHPYERFLGLHLIQFTEVVEQACADLQPHRLCDYLYSLSLTFSKFYTNCQVVGSPEETSRLLLCEATGIIMRQCFHLLGITPVHKL >ONIVA01G04260.2 pep chromosome:AWHD00000000:1:2937178:2941784:1 gene:ONIVA01G04260 transcript:ONIVA01G04260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVSKPGFGDYQCNNAMSVFSRIRGSATNFRNPMAVGQAIANNLPQSNIIESISVAGPGYINITLSSNWIAQRIQDMLVCGIKTWAPILPVKRAVLDFSSPNIAKEMHVGHIRSTIIGDTLAHMFEFTNVEVLRRNHVGDWGTQFGMLIEFLFEQFPDWEDVGNQAVGDLQSFYKASKKRFDDDPDFKERARQAGESFYNPYIPPVLEDLTNKGLIVESKGARVIFVEDHPLIVIKQDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQRHFHMLFTAAKMAGWLPEQNGKKYPKASHVGFGLVLGSDGKRFRTRCSEVVRLVDLLDEAKARSKAQLIKRFTGNGQIADWTDDELDRTSEAIGYGAVKYSDLKNNRLTDYTFSFDQMLSDKGNTAVYLQYAHARICSIIRKASKDVEKLKMTGAITLGHPYERFLGLHLIQFTEVVEQACADLQPHRLCDYLYSLSLTFSKFYTNCQVVGSPEETSRLLLCEATGIIMRQCFHLLGITPVHKL >ONIVA01G04250.1 pep chromosome:AWHD00000000:1:2934119:2935089:-1 gene:ONIVA01G04250 transcript:ONIVA01G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phloem protein 2-A1 [Source:Projected from Arabidopsis thaliana (AT4G19840) TAIR;Acc:AT4G19840] MGASPSREEAPSNSSFSGNGKAMAVASSASSSGSNQAQSKRAPALHMFQEIVAEKDFTASSLEDQIYTGIFLAGKTKKYWVDERTRNNCFMLFPRGLSITWSEDPRFWTWKPLKEGSSDADTDTQIEVPSLQNVCWLEIHGKLERSYLTPGVTYEVLFEVMLIDPAYGWSVPVNLQLKFPDGTVQQRKENLEEKIRMKWLELKVGEVKTQQGQKGEIEISMFEYDGGLWKRGLLIKGIKILPKQ >ONIVA01G04250.2 pep chromosome:AWHD00000000:1:2934121:2935066:-1 gene:ONIVA01G04250 transcript:ONIVA01G04250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phloem protein 2-A1 [Source:Projected from Arabidopsis thaliana (AT4G19840) TAIR;Acc:AT4G19840] MGASPSREEAPSNSSFSGNGKAMAVASSASSSGSNQAQSKRAPALHMFQEIVAEKDFTASSLEDQIYTGIFLAGKTKKYWVDERTRNNCFMLFPRGLSITWSEDPRFWTWKPLKEGSSDADTDTQIEVPSLQNVCWLEIHGKLERSYLTPGVTYEVLFEVMLIDPAYGWSVPVNLQLKFPDGTVQQRKENLEEKIRMKWLELKVGEVKTQQGQKGEIEISMFEYDGGLWKRGLLIKGIKILPKQ >ONIVA01G04240.1 pep chromosome:AWHD00000000:1:2929876:2933463:1 gene:ONIVA01G04240 transcript:ONIVA01G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FGI1] MAAAAVLLAAILLALSPLPMSLSAGGGGGGDTGTAEAAADRITALPGQPRVNFSMYSGYVTVDAAAGRALFYWLIEAADPASAPLVLWLNGGPGCSSVGYGASEELGAFRINPDGRSLYLNPYPWNRVANMLFLDSPAGVGYSYSNTTSDLFTAGDNKTAHDSYAFLVNWLERFPQYKYRDFYIAGESYGGHYVPQLSQLVYRNNKDVEKPILNFKGFMVGNAVIDDYHDYVGTFEYWWTHGLISDDTYQKLQVACDFESSAHASEACNKIYEVAEAEQGNIDAYSIYTPTCKKTSFLKRRLIRGNSPWLPRGYDPCTEKYSTKYYNLPEVQKAFHANVTGIPYAWTTCSDDLFYYWKDSPRSMLPIYRELIAAGLRIWVFSGDADSVVPLTATRYSIDALYLPTVTNWYPWYDDEEVAGWCQVYQGLTLVTIRGAGHEVPLHRPRQALKLFEHFLQDKPMPQPVHSIQSF >ONIVA01G04230.1 pep chromosome:AWHD00000000:1:2927847:2928620:-1 gene:ONIVA01G04230 transcript:ONIVA01G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSLPRLTLTPTPRANPGRRSARPTPASITCGPRDNRGPLQRGRSLSTEAILAVQSLKRLTSSDRSPAAAAAAASTSLGRLLKGDLLAAMAELQRQGHWSLALAALHVARAEPWYRPDPALYATFVSSSPATEDGAAAVDALVEAFLEEKERGGGFVDGEEDVYKLTRLVRALVAKGRARAAWRVYEAAVRMGGCEVDEYMYRVMARGMKRLGFEAEAAEVEADLREWEARISPPARDVLDEMRAREKSSTTAA >ONIVA01G04220.1 pep chromosome:AWHD00000000:1:2922927:2928570:1 gene:ONIVA01G04220 transcript:ONIVA01G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:importin alpha isoform 9 [Source:Projected from Arabidopsis thaliana (AT5G03070) TAIR;Acc:AT5G03070] MADDSASPSPSSASPLQHHREALKSSVRNTAASRRREQAIAIGKERREALIRAKRVCRAPISGSDEAEMEEGDMVVDEEKACLEAKTAHAVEELKSALSIQGKGVQKKKIEALRDLRRLLSQPEVPLVDTAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALRPLTRLMFSNKGSTARTAAWAMSNLIKGPDPKAANELITIDGVLNAIIASLEKEDEELATEVAWVVVYLSALSDRGISLIVRSSVPQLLIGRLFSSENLQLLIPVLRGLGNLIAADDYMVDSVLTVGNNIIGLIKCLKSDNRVLRKESSWALSNIAAGSFEHKKLIFASEATPVLIRLVTSAQFDIRREAAYTLGNLCVVPTGNCELPKIIVEHLVAIVDGGALPGFIHLVRSADVDTAGLGLQFLELVMRGYPNKQGPKLVEMEDGIEAMERFQFHENEQMRNMANGLVDEYFGEDYGLDE >ONIVA01G04220.2 pep chromosome:AWHD00000000:1:2922927:2928570:1 gene:ONIVA01G04220 transcript:ONIVA01G04220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:importin alpha isoform 9 [Source:Projected from Arabidopsis thaliana (AT5G03070) TAIR;Acc:AT5G03070] MADDSASPSPSSASPLQHHREALKSSVRNTAASRRREQAIAIGKERREALIRAKRVCRAPISGSDEAEMEEGDMVVDEEKACLEAKTAHAVEELKSALSIQGKGVQKKKIEALRDLRRLLSQPEVPLVDTAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALRPLTRLMFSNKGSTARTAAWAMSNLIKGPDPKAANELITIDGVLNAIIASLEKEDEELATEVAWVVVYLSALSDRGISLIVLRGLGNLIAADDYMVDSVLTVGNNIIGLIKCLKSDNRVLRKESSWALSNIAAGSFEHKKLIFASEATPVLIRLVTSAQFDIRREAAYTLGNLCVVPTGNCELPKIIVEHLVAIVDGGALPGFIHLVRSADVDTAGLGLQFLELVMRGYPNKQGPKLVEMEDGIEAMERFQFHENEQMRNMANGLVDEYFGEDYGLDE >ONIVA01G04210.1 pep chromosome:AWHD00000000:1:2920125:2922340:1 gene:ONIVA01G04210 transcript:ONIVA01G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein [Source:Projected from Arabidopsis thaliana (AT2G37110) TAIR;Acc:AT2G37110] MGAADDANHRHEEASPLLPPGGGGAGAGGKKLHQSPPPSPPEAAKCCADGVPVVMGEPLGAPAPPRESWNSGVLSCLGRNDEFCSSDVEVCLLGSIAPCVLYGSNVERFAARPGTFANSCLPYTGLYMLGNALFGWNCIAPWFSHHTRTAIRRRYNLEASFGSFEAFTKQCGCCHGLVEDEGNREHLEVACDLATHYFCHPCALCQEGRELRRRVPHPGFNGRSVLVMTPPKEQTMGRGM >ONIVA01G04200.1 pep chromosome:AWHD00000000:1:2917191:2919866:-1 gene:ONIVA01G04200 transcript:ONIVA01G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFYEGGFQPAMTRREAGLILGVRENVHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDVLLGKTKGGGSVF >ONIVA01G04190.1 pep chromosome:AWHD00000000:1:2914806:2916725:1 gene:ONIVA01G04190 transcript:ONIVA01G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0FGH5] MDSEERSKKRLRLWSRAVVHFSLCFAIGVFAALLPLAATGATSIDSIRASFRPTVAATPPVPELDLLLIVTVTRPDDDDDDGMSQEASLTRLGHTLRLVEPPLLWIVVGAENTTATARAVNALRGTRVMFRHLTYAAENFTGPAGDEVDYQMNVALSHIQLHRLPGVVHFAAASSVYDHRFFQQLRQTRGIAAWPIATVSSADQTVKLEGPTCNSSQITGWYSKDSSSNITETTWDSSSNTTQTTWDSSSNKTQTTTLAALDTNASKQNSSSGPPEINMHAVGFKSSKLWDSERFTRRDNSSTGINQDLIQAVRQMMINDEDKKRGIPSDCSDSQIMLWHLDMPRHTPKIEQATPEKESLTKGDEEESHDMTLDNVVAKTEEHETLEKENLMKGDEKGSHDMMLDNGDEKETHDMMLDNVVAKIEEQETPEEGKTKEG >ONIVA01G04180.1 pep chromosome:AWHD00000000:1:2913199:2914083:1 gene:ONIVA01G04180 transcript:ONIVA01G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPQREQMALMVPELLLVVATSEGALASIELACNLLDSIEFDVLVDIAHGVPFNTTGGGEQPLYMGGGCGGGGSGWRGASRSFGVPMDSTGGGEGSFSVDALAYEPFTFGVPMDTSGGGVEHTGGDGAATNVAADHKGRKAGIDYWANTLASAFATDGPLNAAHREITRLITLHGVAAHLLIRCLELHDFPHGDEAAWQRWWEHHDAFVPRAHDALLRLSSATSASAAAEDFLRLRSALSPGRNDWPSEAKQLVRDAGRDIGEARDAVILMRDAAVREFFETWMILKRSQASR >ONIVA01G04170.1 pep chromosome:AWHD00000000:1:2912178:2912774:-1 gene:ONIVA01G04170 transcript:ONIVA01G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVEVELTWMALFQRRVVMADAHCHKLHGLLRGLFGVLDGQAWREMVAVAEETRRMLESASTELGLAIANMGAATLLAPGGEAPRAWAPAVPLRSVDDGGIDVPRVWLVHFRLQVAAETARRLHDRLEATRVHVCAAEHLVALEEDDDGGDDDMAPWMHGLSASEQIDGLMELREMLNLAVDLVAMTAMAGRRCSD >ONIVA01G04160.1 pep chromosome:AWHD00000000:1:2910553:2911185:1 gene:ONIVA01G04160 transcript:ONIVA01G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACAYNPRKRERERSLGEEERWMKLARTVPAILMRIGTSRKAIKSTMKGMKAMKAAKRGDGGVFSDQMRHASEHLDGAHGRIARLIATHAEAGHLFVHCAAHIGGLKGGGGGAPAWQAWEGHRADAVLHARDARWWLCRAGGAVEAALDVFRVVEGRSGSGSHRPREAKRLRRRARDDVSKALHALTDMRHAIVLEFFDAWMVLNQNR >ONIVA01G04150.1 pep chromosome:AWHD00000000:1:2909722:2910282:-1 gene:ONIVA01G04150 transcript:ONIVA01G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEENPWKPLFWWRVDAINQRVRVVREDLAAVEQQLASRVDKLSVASCAGDKLLMEASRALGLAVCYMEAARLLARHRGGLIAQGRIPSRHGRVHDDDLAVRRALFYLRLAKARAEEACDALDRCRGHLGAVKMLLRRREAPAGVADHVDGERASAVGDLEAARQLMVDTDAFISAALGDRSDVD >ONIVA01G04140.1 pep chromosome:AWHD00000000:1:2899045:2904376:-1 gene:ONIVA01G04140 transcript:ONIVA01G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAWRERFRQRVAEVDDLFVEAFELLVDNARIHLEAQMLVGDAAAAARARIQLAQGALEDASGKLASAMSLMVGAKLLVLRGGSHDPLMPYHDIGHLGDEYAAEKNACAKLRGAEREAEEACARIGMCSGHLETISLLLDHENLPGVNDLIENERLDAAVDDLLAAIGKVESGKKMANDARLDMAAEAWRARFRERVVEAASRMARMERVQGHLAAAQGHLALAAPLLADNAAAAAARDRIQRVLGALGEASSDLAFAMSVMNGAKLLVFSDVIGIEQLGDQYFPEGNAGVVLHDSVEDVEEAFAMVDSCRSHLDAVLLLLDHPRLPGVDGLIQEELAAADGDLQAAIGNAELGTELAVGARQDVSGAN >ONIVA01G04130.1 pep chromosome:AWHD00000000:1:2887191:2887763:-1 gene:ONIVA01G04130 transcript:ONIVA01G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAWRARFRERVVEAAGRWERVREGLATALAHVTSPMLAADEEAAAAARTRIQLAMGQLEDASRDLASAMSLMKAADLLALHGDSVNPSTFLGGIGHLGAQYLAERIAVTKLREAWEDARDAYTNVEWCRSHLDAILLMLDHPHLPSVDGLIEEERAAADGFLQAAIGRAELGNERAVDARQDVSGAN >ONIVA01G04120.1 pep chromosome:AWHD00000000:1:2885467:2886039:-1 gene:ONIVA01G04120 transcript:ONIVA01G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAWRSRFRERVVEAAERWESVGESLATALTHLKSPMHAGDEEEAAAARTRIQLAMGELVDASRNLASAMSLMKVAELLALHGGSVNPSTHLGEISLLGDQYLAERNAGIKLLEAGKDARKAYISVDGCRGNLDAILLLLDHPRVPCVDDFIEEELFVAGDNLQGAIGNAKLGTERAVGARQDVSGAN >ONIVA01G04110.1 pep chromosome:AWHD00000000:1:2880261:2881857:-1 gene:ONIVA01G04110 transcript:ONIVA01G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTNNNSNSSSSSEMPGKKARKPYTITKPRERWSEEEHERFLDALIMYGRDWKKIEEHVGTKTTIQIRSHAQKYFLKVQKMGLAAGLPPQYPRRRLVMQQQQSSPAGSSSVAAQAILHGQPQCLPPHHNVAVQSSIDMQNLEWASTSGAAAWGDHHGLIEPTAAFDSFPGESSFMGAASFSNMSMDWTGTTSEMVTASIVQDETIELPLSPDDMQFAQVYRFIGDIFDPDSPCPVETHLQKLKNMDVITVKTILLVLRNLEDNLLSPQFEPIRRLLSTYDPNRGLSGHL >ONIVA01G04100.1 pep chromosome:AWHD00000000:1:2879052:2906087:1 gene:ONIVA01G04100 transcript:ONIVA01G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFMETIMVEHEANHRRRDPSDRGGGGGGGGNDLIGYLCDDVLVHVLSSLPTITQQQATFLILQQRLSINCVPIFVSIQTAQTEARKSERERESSIGGTRRVGGACVEISSEMEAVVRLIDVAHSKLQVLGTLFRRIHLGMPAAIAMDLFGDPATVGVSPTEILEEARREISLSGARHGKTMHVFARYVVAHLHVQQDDPGTHYQDAIRFIDKGAGEAAWHAEVAKDAVDIAETLLLDPAVSSDPGPNPSRPFSSASITRSITVLGFLPTATDLMRACAVSRWWCRLGARVPLLRFLCIDRAFDRQETLDRFVAFINNVLTRRAAGQSDAGVEELTISLKSGMSSVDVAEVDAWIRYGMQHVSNTFTLELNIPLRSGNNSNHRYLDDDEDDDDDNNGMILAELPSSPRLKSVMLSLSNARLRLPTAAAFDSLNVRLEDNSIHLLNRLLSPACCPRLQRLRFNKLTVGRQVEELHLESDELLELSLNCISRCITFSLQIKTPRLRVFHMRYTSLIGKLTISAPRLEEFILPYTGRVSVINVEDMPCVRILEIDLWLLGGSQYDNGNAEVELMKDVPELPHVTSLSLRVIEMNEMYDIASVLCVIGRCKFLKHLELDIKMAHCEGPTEVSNQNQKDYHIISLEHLQEIKITCSYMRNHEVGLIKFLHTSAPALKKMRIAFISGFMCSQSLDIFFMRSRSLEILGKKCEEFLRSIALGTCRVANTNKTIDRATRDQAYEVSTESVGAGYILPGADGQLGAQLRVPYRGLEVTIGGGELLLDQAVDARETRMVQQEEDRIQLDRSAAMDEWEELAWRLPVTLMRVSSGMEDVKLIEVALGKFQKRAAMMGRILDGTPAAIAEQEFDDPAPVGECPKVSLEKAHREISHSAASHAMARGVFFLCAVHLRTQDEPPFLHWDARHQVAIGHFERAMRSITDAMGHYAAAKDVVVVNEAFLPQEDVWRRWASAAKLLIDRAASLTTQALDEARQAHHVVALGYSEACSGMEDVKLIEVALAKFQKRSAMMGRILDGTPAAIAEQELDDPAPVGERPTVSLEKAYREISYSAARHAMARGVFFLCAVHHRTQDEPPFLHWDARHQVAIGHFERAMQSITDAMGHYAAAKDVVIVNETFLPQEDVWRRWASAAKLLVDRAASLTTLALDEARQVHHVVALELSEASSILRQWRARLVQIVSATDQRGIKHTKSRRNQFAPTSSRASLAIFFPDSTFPIAARRSSTAASRRSFSIKSLTPGRFSWSSRRLIVSSHISPRPATLSINCVLVFISIQTATHTRAENTPNPKPKRERAREGEIDRRCRPPAMDEWEELARTVPATLMQVGSGMEAVRKIQVAHRKIQGRAGLMRNIRFGMPAAIAMSLFDDPAPVGVCPTVTLEEARCEISRGAARHAMADHVFVRYIELLGIQHEPPCASRDTHHREAIRFTAMALEKVREAASLAEAAKDAVDIAETLLPQPELKTEWALAAQDLAERADYEATQALEFVKRARDVVALEFFDTWKILRRGRARSAEMKEWRELALKVPGTIMLIGDAELETLELIQAAVSKFQKHVELLQEVRHGTATATAVDNFTDPDPEGALPTELLENARRGMSKSAVRHAKAHHIFARYAAFLGIQGDEEYRSWDNKHQEAAGSMVAALKKVIDAVSDAEAAKDAVAMVGILPYQCPLWELWALRAQNQTSLSSFNATLAILDVRQAREAFFVEVLRAWLILRRYGSLQLLDDSASLWSSSTPA >ONIVA01G04090.1 pep chromosome:AWHD00000000:1:2877615:2878986:1 gene:ONIVA01G04090 transcript:ONIVA01G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTEEAGTGTSKATAGTATWDRTTPAMAAAAAGVATAASTRAACSAAESGSEAASSTYTTCPPAFCPATPTYLHEYEYQPCGVRVYIHT >ONIVA01G04080.1 pep chromosome:AWHD00000000:1:2872729:2877701:-1 gene:ONIVA01G04080 transcript:ONIVA01G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADELGDQPLHAAVLAASVHFKMPRYDDRDRYDDRYGGNTRLYVGRLSSRTRTRDLEDLFGRYGRVRYVDMKHEFAFVEFSDARDADEARYNLDGRDFDGSRMIVEFAKGVPRGPGGSREYMGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGDRGHIERDCRNSPKNLKRGRSYSRSPSPRRGRSRGRSYSRSRSRSYSRSQSPRRDSRNERRSRSPRDSRSPRGSPRDSRSPRGSPRDSRSPKGSPRDTQSPRGSPRDSRSPRRSASPPNGRNRSPTPNASRSPAPRDSRSPMRADSRSPADHERRDMSTAANGRSPSPRDYEDNGNHRASPRGSASP >ONIVA01G04070.1 pep chromosome:AWHD00000000:1:2868453:2873319:1 gene:ONIVA01G04070 transcript:ONIVA01G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVENEGLSLNIVVLLLCFGQGKTEAFAELPAKVLIINCAIQIEVQISTAKMIKLRSALGVLEILSVLCISLVAAYTPVDNYLISCGSSVDTPVGQRLFVADDSGTVVLTSPASDAVKASPSAVSGLRDDAAMYQSARVFKAPSSYSFRIRDPGRHFVRLHFFPFVYLGYDLATASFKVSTQDAVLLDGFAPPAAARGNASTTTTTPAAAVCEEFLLDVARDTLVVTFVPLAGRLAFVNAIEVVSVPDDLIGAADSSLSTSDSTGQQLNPAVMPLQTVYRVNVGGPAVAPESDTLWREWTIEQPFLVSTVTTAVTKKVSYNRTLNYLAGQATADDAPAIVYATGRELIIMNGSVFDGMKQMAWQFDVDGSASYLIRFHFCDIVSSVPGRLHMNAYVDSSNAIQDLDLSAIGNGTLAFPYYRDFVLAASTPSGKLAVYVGSTSQKITTPAAILNGLEIMRILTTAGNVAVVEPTTPPGTKKKNNLAVVLGSVCGAFGFVSVAAALVIVLRRKEEKEELRTPTTSQPSTAWMPLLGRISFRSAPPSAVGSRSPSFTIDTNANTPGGGATPGMAAAASSSPSYRFPFAALQDATGNFDEGLVIGEGGFGKVYAAVLQDGTKVAVKRANPESRQGAREFRTEIEMLSGLRHRHLVSLIGYCDEQDEMILLYEYMEHGSLRSRLYGGGAATARATALSWAQRLEACAGAARGLLYLHTATAKPVIHRDVKSSNILLDDGLTAKVADFGLSKAGPDMDETHVSTAVKGSFGYVDPEYVRTRKLTAKSDVYSFGVVLLEALCARPVVDPRLPKPMVNLVEWGLHWQRRDELEKIVDRRIAGTVRPAALRKYGETVARCLADRGADRPAMEDVVWSLQFVARLQEVDGLDASDVSSLNMVHQLMPPTSLHARQRSAGESETGRTDADEDSSVVDDDYTDASMRGIFWQMVNVRGR >ONIVA01G04060.1 pep chromosome:AWHD00000000:1:2857726:2857986:1 gene:ONIVA01G04060 transcript:ONIVA01G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPPQPAVDDDVLGAPLLPAIEVFITDAVADPLAAGHGGCRRNRTPLPLSLSLTALAVAASPAATAAGSGEQWATATGRAAVPS >ONIVA01G04050.1 pep chromosome:AWHD00000000:1:2856327:2865185:-1 gene:ONIVA01G04050 transcript:ONIVA01G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARAVLGYADAVAHHAGQAVAEGAKILNDRMSTQNYKSVKKMVKRLEEAAVSSRGEDRLQVLRHWLRALQEVEAQLGGLDGAAEQNAHSSEPNTSKPPFARVLFYDADIGGAPMNFRDVFLYSQALEGITLSMILQAPNEEEVPLLLEIFGLCLTGGKEINNAIMSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNSDIMRIDAEAVELWKKLNEKETSRVQQTQEDHAKTTERTSATTVESFKEALSEVRFCSRMEELLLKKKTSTAGDSLEIRSQKACFHVDKLKVLATSLSNSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEARLKKVNISLNAAIGRLKQTREERDQFDEANNQMIFSLKAKDNELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKRTNDELERCTDNFLKLTKYHLSTFKEILSPSIERICTYVDNLAVLQSRDVSTEHDNEELSEKTSPQKSLEEEYLETEKKIVIAFSITDHMKKLFYSEQGVNSRRDDEDVRNLFSEIEKLRERFESVERPTLDIEVRRAKVPTKERAESSPSPVQVPSTPKAETVVSPKSPAKPDQPLDLDSELAKLELEFGQVNKYSPEEISGWEFDELEEELRADISKSQ >ONIVA01G04050.2 pep chromosome:AWHD00000000:1:2854164:2865185:-1 gene:ONIVA01G04050 transcript:ONIVA01G04050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARAVLGYADAVAHHAGQAVAEGAKILNDRMSTQNYKSVKKMVKRLEEAAVSSRGEDRLQVLRHWLRALQEVEAQLGGLDGAAEQNAHSSEPNTSKPPFARVLFYDADIGGAPMNFRDVFLYSQALEGITLSMILQAPNEEEVPLLLEIFGLCLTGGKEINNAIMSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNSDIMRIDAEAVELWKKLNEKETSRVQQTQEDHAKTTERTSATTVEVDKLKVLATSLSNSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEARLKKVNISLNAAIGRLKQTREERDQFDEANNQMIFSLKAKDNELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKRTNDELERCTDNFLKLTKYHLSTFKEILSPSIERICTYVDNLAVLQSRDVSTEHDNEELSEKTSPQKSLEEEYLETEKKIVIAFSITDHMKKLFYSEQGVNSRRDDEDVRNLFSEIEKLRERFESVERPTLDIEVRRAKVPTKERAESSPSPVQVPSTPKAETVVSPKSPAKPDQPLDLDSELAKLELEFGQVNKYSPEEISGWEFDELEEELRADISKSQ >ONIVA01G04050.3 pep chromosome:AWHD00000000:1:2856327:2865185:-1 gene:ONIVA01G04050 transcript:ONIVA01G04050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARAVLGYADAVAHHAGQAVAEGAKILNDRMSTQNYKSVKKMVKRLEEAAVSSRGEDRLQVLRHWLRALQEVEAQLGGLDGAAEQNAHSSEPNTSKPPFARVLFYDADIGGAPMNFRDVFLYSQALEGITLSMILQAPNEEEVPLLLEIFGLCLTGGKEINNAIMSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNSDIMRIDAEAVELWKKLNEKETSRVQQTQEDHAKTTERTSATTVEVDKLKVLATSLSNSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEARLKKVNISLNAAIGRLKQTREERDQFDEANNQMIFSLKAKDNELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKRTNDELERCTDNFLKLTKYHLSTFKEILSPSIERICTYVDNLAVLQSRDVSTEHDNEELSEKTSPQKSLEEEYLETEKKIVIAFSITDHMKKLFYSEQGVNSRRDDEDVRNLFSEIEKLRERFESVERPTLDIEVRRAKVPTKERAESSPSPVQVPSTPKAETVVSPKSPAKPDQPLDLDSELAKLELEFGQVNKYSPEEISGWEFDELEEELRADISKSQ >ONIVA01G04050.4 pep chromosome:AWHD00000000:1:2854164:2856320:-1 gene:ONIVA01G04050 transcript:ONIVA01G04050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTACYPLRRVLALPAVIVIAFSITDHMKKLFYSEQGVNSRRDDEDVRNLFSEIEKLRERFESVERPTLDIEVRRAKVPTKERAESSPSPVQVPSTPKAETVVSPKSPAKPDQPLDLDSELAKLELEFGQVNKYSPEEISGWEFDELEEELRADISKSQ >ONIVA01G04040.1 pep chromosome:AWHD00000000:1:2849038:2850060:1 gene:ONIVA01G04040 transcript:ONIVA01G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FGF7] MEFKKPTIGISSFLLLLLVSAAAAARPTRQLVANDGTVAAPAVAPAAADVAAGVADAPVAAANADVPAAAAAMGPVAGAAAAGAPIGASTIPVAAGAGAVGMNGGGGGGDHPMVFFMHDILGGTNPSARIVAGIVDNTAVTGQLPFARPNGAVLPLNSGVNVKSGAAGAIDNNNIPFLTGLGGATNAAFTSNSNNNNNGVPVFAGGSLPQGTTLQKLLFGTMTVVDDELTEAPGLGSPAVGRAQGFYIASSEEGVSQTVAVTAMFKEGEFADSISFFGVHRTADSESHLAVVGGTGKFAGAKGFAKVAVVRPGGVAATAAEHETDGVETVLQFTVLLIMY >ONIVA01G04030.1 pep chromosome:AWHD00000000:1:2845133:2847628:-1 gene:ONIVA01G04030 transcript:ONIVA01G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FGF6] MPPLLYNLFVLIVVFLGGGAPACSAATDTVKPGHVVGGKDKVVSNNGKFALGFFKAPAPNQEKWFLGIWFNTVPNRTTVWVANGGEPIMDAADAGSPELTISGDDGDLVALHPTTKSIAWSTNVSAKNSTSNSNNTAAVLLNSGNLVLQDTSNMSQSRTLWQSVDHPTDTLLPGAKLGRDKLTGLNRRLVSKKSMAGPSPGAYCFEVDEDTPQLVLKLCNSSVTYWSSGPWNGQYFTGIPELIGNSPGFHLGFFDNSREEYLQFNVSNEAVVTRNFIDVDGRNKQQVWLDSSQSWLTLYSNPKVQCDVYGVCGAFSVCSFSLLPLCSCMKGFTVGSVKDWEQGDQTGGCVRKNQLDCVGSNTSSSDSTDKFYSMSDIILPDKAESMQDVDSSDECMKVCLNNCSCTAYSYGSKGCLVWHTELLNAKLQQQNSNGEIMYLRLSARDMQRSKKRRVIIGVVVGACAAGLAVLMFILMFIIRRNKDKNRSENYGSLVAFRYKDLRSATKNFSEKIGEGGFGSVFRGQLRDSTGIAVKRLDGRSQGDKQFRAEVRSIGTIQHINLVNLIGFCSDGDSRFLVYEHMPNRSLDTHLFQSNGKFLDWNTRYQIALGVARGLCYLHESCHDRIIHCDIKPQNILLDASFLPKVADFGMAKFVGRDFSRALTTMRGTIGYLAPEWISGTAITPKVDVYSYGMVLLELVSGRRNSARSEEECTTTTTTSTSTDTDGNYSVYFPVQASRKLLDGDVMSLLDQKLCGEADLKEVERVCKIGCWCIQEDEVDRPTMGQVVQILEGVLDCDMPPLPRLLQRIFERPSSVSTSTPVFLFVGSPERQ >ONIVA01G04020.1 pep chromosome:AWHD00000000:1:2844029:2844310:1 gene:ONIVA01G04020 transcript:ONIVA01G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARGRGRKERAPRGYVPILIGGQGEERERILVRTEQLKQPHFLALLDLAVQEFGYEQRGILCIPCTTKAFRSIVGAATPTAAAVAGGEIKS >ONIVA01G04010.1 pep chromosome:AWHD00000000:1:2818619:2841207:-1 gene:ONIVA01G04010 transcript:ONIVA01G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHAAPAPHVVEDYRGVIQLLSDGTVVRSDAGAGAGALLPPEDFPDVPGVQWKDLVYDATHGLKLRVYRPPTAGDAERLPVLVCFHGGGYCLGTFEKPSFHCCCQRLASELRAVVLSADYRLGPEHRLPAAIDDGAAVLSWLRDQAMSGPGADSWLAESADFARVFVAGESAGGNMSHHVAVLIGSGQLTVDPLRVAGYMLLTPFFGGVERAPSEAEPPAGAFFTPDMSDKLWRLSLPEGATRDHPVANPFGPDSPSLAAVAFPPVLVVVAGRDILHDRTVHYAARLKEMEKPVELVTFEEEKHLFLSLQPWSEPANELIRVMKRFIHKDEVLLNDAMDRGPSIIAGWNEPTVHTEYVPRSRRHRLLCPFIRRGSESTYSLMSTMSGSGDNAAPHVVEDFYGVVKLLSDGSVVRGDESVLIPSDDVPGGVQWKDVVYDATHSLRVRVYTPRTAAAAAAGDDGGKLPVLVYFHGGGYCIGALDQSICHGFCLRAAYELPAVVLSVQYRLAPEHRLPAAIDDGAAFISWLRGQAALGAGADPWLAESADFARTFISGLSAGANLAHHVTARVASGQLAAVDPARFAGYVLVDPFLAGVERTAAEANPPADVSTLTVEMADQMWRMSLPVGATRDHPVANPFGPESPSLEAVALPAALVVASGGDVLYDRVVDYAARLKEMGKAVELAEFEGEQHGFSAAKPSSPATKEIIASCGNFQVSFLLTTVDFPSHVKKLALTFNFASSSSCCNGQSTVGATVGLRKRSLLWLEITYLESSFSTLSSTLPMLPTIRSLAKETGEELYDIITGLGKADFLSLGINQETM >ONIVA01G04000.1 pep chromosome:AWHD00000000:1:2814701:2824922:1 gene:ONIVA01G04000 transcript:ONIVA01G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPVSNIINLLTKEHMVGSIANVFDSVEKLDADHVISNESKEPYLKPMVAPGAFCPLQQLIDAQLNTDTSFFTCEGKLNHYHGIRVACGYFTVMKGSICPKCGYAMEKAMAHVKATGFVVGTARYTVKDDLSIVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLRTKTVLTDVFLLKKKVVVCSSSSTLHRHSPPSSSQASGCGSGGGGGGDPSGKIMAMKTLSIKLLIDTKAQKVCFAEAGNDVIEFLASLLCLPMSTIINLLTKERMVGSMGNVLDSMEKLEDKHVTTNQSKQRYLSPTAAPNALCPLQQLLDTELNANTSFFTCEGKLNSTSFNATRFACGYFSVVKGSICPLCYTPMHKAIPHVNTSRVMAGTGTYTIKDDLSMTPASSVSSISLLAQCGVKDLTTLQERTMKIGKEEALEILLASLKSKTVLTDVFLPKKKESYYYYYPCSSIIVLDSSPSPPSSLPSQDGGSGSGGHQRRSIVGKIMAAIRLSMKLLIDTKAQKVCFAEAGSDVIEFLSCLLCLPMSTIINMLTKERMVGSMGNVLDSVEKLDSKYVISSQSKERFLSPTVAPTVLCPLQQLLLDAKLNVNASFFTCEGKSTVVSYSTTKVPCGYFSVSNGAVCPVCSTQMHRAIPHVKTVGFVVGTATYTVRDDLSMTPASSVSSVSLLAQCGVKDLSALQERTVKIGKEEALEILLASLKSKTVLTDVFLPKRKVSCKREASDRLTNAN >ONIVA01G04000.2 pep chromosome:AWHD00000000:1:2814701:2824922:1 gene:ONIVA01G04000 transcript:ONIVA01G04000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPVSNIINLLTKEHMVGSIANVFDSVEKLDADHVISNESKEPYLKPMVAPGAFCPLQQLIDAQLNTDTSFFTCEGKLNHYHGIRVACGYFTVMKGSICPKCGYAMEKAMAHVKATGFVVGTARYTVKDDLSIVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLRTKTVLTDVFLLKKKVVVCSSSSTLHRHSPPSSSQASGCGSGGGGGGDPSGKIMAAIRLSMKLLIDTKAQKVCFAEAGSDVIEFLSCLLCLPMSTIINMLTKERMVGSMGNVLDSVEKLDSKYVISSQSKERFLSPTVAPTVLCPLQQLLLDAKLNVNASFFTCEGKSTVVSYSTTKVPCGYFSVSNGAVCPVCSTQMHRAIPHVKTVGFVVGTATYTVRDDLSMTPASSVSSVSLLAQCGVKDLSALQERTVKIGKEEALEILLASLKSKTVLTDVFLPKRKVSCKREASDRLTNAN >ONIVA01G04000.3 pep chromosome:AWHD00000000:1:2814701:2824922:1 gene:ONIVA01G04000 transcript:ONIVA01G04000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPVSNIINLLTKEHMVGSIANVFDSVEKLDADHVISNESKEPYLKPMVAPGAFCPLQQLIDAQLNTDTSFFTCEGKLNHYHGIRVACGYFTVMKGSICPKCGYAMEKAMAHVKATGFVVGTARYTVKDDLSIVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLRTKTVLTDVFLLKKKVVVCSSSSTLHRHSPPSSSQASGCGSGGGGGGDPSGKIMAMKTLSIKLLIDTKAQKVCFAEAGNDVIEFLASLLCLPMSTIINLLTKERMVGSMGNVLDSMEKLEDKHVTTNQSKQRYLSPTAAPNALCPLQQLLDTELNANTSFFTCEGKLNSTSFNATRFACGYFSVVKGSICPLCYTPMHKAIPHVNTSRVMAGTGTYTIKDDLSMTPASSVSSISLLAQCGVKDLTTLQERTMKIGKEEALEILLASLKSKTVLTDVFLPKRKVSCKREASDRLTNAN >ONIVA01G04000.4 pep chromosome:AWHD00000000:1:2814611:2817194:1 gene:ONIVA01G04000 transcript:ONIVA01G04000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPVSNIINLLTKEHMVGSIANVFDSVEKLDADHVISNESKEPYLKPMVAPGAFCPLQQLIDAQLNTDTSFFTCEGKLNHYHGIRVACGYFTVMKGSICPKCGYAMEKAMAHVKATGFVVGTARYTVKDDLSIVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLRTKTVLTDVFLLKKKVSCKREAAT >ONIVA01G04000.5 pep chromosome:AWHD00000000:1:2814701:2825009:1 gene:ONIVA01G04000 transcript:ONIVA01G04000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPVSNIINLLTKEHMVGSIANVFDSVEKLDADHVISNESKEPYLKPMVAPGAFCPLQQLIDAQLNTDTSFFTCEGKLNHYHGIRVACGYFTVMKGSICPKCGYAMEKAMAHVKATGFVVGTARYTVKDDLSIVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLKSKTVLTDVFLPKRKVSCKREASGLL >ONIVA01G03990.1 pep chromosome:AWHD00000000:1:2812461:2813355:-1 gene:ONIVA01G03990 transcript:ONIVA01G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTSKAATLTMKLLVDTKAQRVLYAEGSKDVVDFLLSLLAVPLAGVTKLLTAGDMVGSVGNLYGSVVDKLGADYACRGDVKAALLAPTAALLLGSPADADNGGGALYRCSGCACSRSCYNFVTKVNGTPCPVCKRKMATEVSLVEPDDVSGAGAKVVTSPAAPSGEASSSKAGYAPGKVTYTVMDDLTVAPSSTVSAVAALVALGVTDIRGLQEKTVEVGYDEGLAVLKASLQSKTVLTDVFLGARRTAHRRHPMLV >ONIVA01G03980.1 pep chromosome:AWHD00000000:1:2807226:2807459:1 gene:ONIVA01G03980 transcript:ONIVA01G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTAPSTLPPPPRPHLIAEPLVAGDRRRRQRSWWWQDGRQSTRWRGLRLTLNPLPFDHATSAASVMHAELASLKP >ONIVA01G03970.1 pep chromosome:AWHD00000000:1:2802944:2811491:-1 gene:ONIVA01G03970 transcript:ONIVA01G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETTSSKALSMKLLVDSKAQRVLYAEAGKDVVDFLFSLLTLPVGTVVKVLSKDSMVGSIGELYASVEDLDATYVRSADARNVLLAPAGGFDTGKLLQLPETAAPLATKLYRCSSCDYNECYDYVSTVSGLRCQIARCPGKMTVAMNLVVSSTSTTATGSASGGEAAQPAYAVAGTGFVQGVVTYTIMDDLRVAPMSTISGITLLTTFGVTDITSLQEKTVQIGYTEGLAMLKASLQSKTVLTDINAAAPPPASTELYRGSASRFGDCCNYVSTVSGLPCQLPQCSGKMSLPVKHVMVSSTTIAGSTGGEVPATASAPSAIAGTGFVQGLVTYAVMDDLKVAPMSTIALVKSGVTHIKSLQEKTVSIGYTESKTVLTDVFLGKKRKKWPFVLVLLLLFILLAGKPQAQKASSDLMSMTLLIDTKAQRVLYAEARKDVVDFLLSLLALPIASGIKLLGKGSMVGCVGNLYASVEKLDDAFVQADTAKDSLLSPVVLSPAASSNTSVLRLPAPSSAQSSKSFFRCSYSSNACRSFVTNASGTKCPNCGNQMATACTYVAGGQDQNTQNAAAEGAKGGGFVQGIVTYTVMDDLTVAPMSSISSITLLNRFAVKDLGALKEKTVQLGYTEGLAILKASLQSKTVLTDVFIGLKPAS >ONIVA01G03960.1 pep chromosome:AWHD00000000:1:2800807:2801835:1 gene:ONIVA01G03960 transcript:ONIVA01G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGDAAPPPHVVEDFFGVIQLLSDGSVVRADDAALLAMPMPELQDVPGVQWKDAVYDATHGLRVRVFKPAAAAAGDDGGKLPVLVYFHGGGYCIGALDQSPFHTFCLRAADELPAVVLSVQYRLAPEHRLPTAIDDGAAFFSWLRGAGSADPWLAESAELARTFISGVSAGANLAHHVAVRVASGRQPVVDDVDPVVRVAGYVLLDAFFGGVERTAAEANPPADVSLLTVEMADQFWRLALPAGATRDHPLANPFGPESPSLEAVALPPALVVASGGDVLYDRVVGYAARLKEMGKAVELVEFEGAQHGFSVIQPWSPETSEVIQVLKRFVHKAIRPAEG >ONIVA01G03950.1 pep chromosome:AWHD00000000:1:2790751:2792015:-1 gene:ONIVA01G03950 transcript:ONIVA01G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAVPSSCASADAAPSTTPTIKLLIAKEAQVVLFAEAGKDVVDFLVGLLAMPVGAVVKLLAGENALGGVANVYASVRRMDAAYMQSAEARDALLNPAPAHPCLAATAGGFPSLVQPPRVQAPYVAPPPPPPPPPGHPAYQCSTIRPTTPSLPSLKAAFPPFGAGMSSDTGCRCSTCLAAAQTGKGFVRDVVTYTVMDDLTFMPMSSISSIALLSKLGVEDLSALEEKTVKIGYQEGLEILKASLQSKTVLTDVFLNRKKKARAGDKHHRSGDKNVDARATGEKKDAAAAVQMEKSAPPMPHDFDV >ONIVA01G03940.1 pep chromosome:AWHD00000000:1:2789103:2789961:1 gene:ONIVA01G03940 transcript:ONIVA01G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTTTAALSMKLLVDTNAQRVLFAEASKDVVDLLFSLLALPVGTAVKLLGKDSMVGCVGNLYASVERLDDTYVQADAAKDALLSPVVLSPEASSNTTVLRLPSPSSAQPKSFFRCHNTSYSACRSYVTNASGTKCPTCHSQMTAACTYVAGGQDQNTQNAAAEGAKGGGFVQGIVTYTVMDDLTVSPMSSISSITLLNTFAVKDLGALKEKTVQLGYTEGLAILRASLQSKTVLSDVFLARRPAKQA >ONIVA01G03930.1 pep chromosome:AWHD00000000:1:2776865:2786252:1 gene:ONIVA01G03930 transcript:ONIVA01G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class II (D, K and N) family protein [Source:Projected from Arabidopsis thaliana (AT4G33760) TAIR;Acc:AT4G33760] MASSLLRASPLSLLSRLKPLNPSPTASHLRRLLLLSTASSTSSASCSPSPPRTLAATDAATPPPDEDAAAAPAAEAKLERLQPLQWPARDALCGELGAGDAGRRVRLCGWVALHRAHAGLTFLTLRDRSGTVQVTTLPEYPEVYAVVNKLRVESVVAVEGVVRSRPTEAINTDMKTGAIEVAADNIYVLNSVTRSLPFPITTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKHIRRFLEDRHDFVEIETPVLSKSTPEGARDYLVPSRVQPGMFYALPQSPQLFKQMLMVSGFEKYYQIARQPEFTQLDMEIAFTSMEDMLKLNEELMRHIFQEVGDIKLPNPFPRLTYTEAMNRYGTDRPDLRFDWQLKDVSDAFLGSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKVMDNGELEGIGPLVSSLKPEKKEQLLKHLDAKPGDLILFALGEQSAANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGENSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFRT >ONIVA01G03930.2 pep chromosome:AWHD00000000:1:2776865:2785850:1 gene:ONIVA01G03930 transcript:ONIVA01G03930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class II (D, K and N) family protein [Source:Projected from Arabidopsis thaliana (AT4G33760) TAIR;Acc:AT4G33760] MASSLLRASPLSLLSRLKPLNPSPTASHLRRLLLLSTASSTSSASCSPSPPRTLAATDAATPPPDEDAAAAPAAEAKLERLQPLQWPARDALCGELGAGDAGRRVRLCGWVALHRAHAGLTFLTLRDRSGTVQVTTLPEYPEVYAVVNKLRVESVVAVEGVVRSRPTEAINTDMKTGAIEVAADNIYVLNSVTRSLPFPITTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKHIRRFLEDRHDFVEPGMFYALPQSPQLFKQMLMVSGFEKYYQIARQPEFTQLDMEIAFTSMEDMLKLNEELMRHIFQEVGDIKLPNPFPRLTYTEAMNRYGTDRPDLRFDWQLKDVSDAFLGSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKVMDNGELEGIGPLVSSLKPEKKEQLLKHLDAKPGDLILFALGEQSAANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGENSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFQSLKQINFVVTIVG >ONIVA01G03930.3 pep chromosome:AWHD00000000:1:2776865:2786252:1 gene:ONIVA01G03930 transcript:ONIVA01G03930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class II (D, K and N) family protein [Source:Projected from Arabidopsis thaliana (AT4G33760) TAIR;Acc:AT4G33760] MASSLLRASPLSLLSRLKPLNPSPTASHLRRLLLLSTASSTSSASCSPSPPRTLAATDAATPPPDEDAAAAPAAEAKLERLQPLQWPARDALCGELGAGDAGRRVRLCGWVALHRAHAGLTFLTLRDRSGTVQVTTLPEYPEVYAVVNKLRVESVVAVEGVVRSRPTEAINTDMKTGAIEVAADNIYVLNSVTRSLPFPITTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKHIRRFLEDRHDFVEIETPVLSKSTPEGARDYLVPSRVQPGMFYALPQSPQLFKQMLMVSGFEKYYQIARQPEFTQLDMEIAFTSMEDMLKLNEELMRHIFQEVGDIKLPNPFPRLTYTEAMNRYGTDRPDLRFDWQLKDVSDAFLGSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKVMDNGELEGIGPLVSSLKPEKKEQLLKHLDAKPGDLILFALGEQSAANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGENSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFRT >ONIVA01G03930.4 pep chromosome:AWHD00000000:1:2776865:2786252:1 gene:ONIVA01G03930 transcript:ONIVA01G03930.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class II (D, K and N) family protein [Source:Projected from Arabidopsis thaliana (AT4G33760) TAIR;Acc:AT4G33760] MASSLLRASPLSLLSRLKPLNPSPTASHLRRLLLLSTASSTSSASCSPSPPRTLAATDAATPPPDEDAAAAPAAEAKLERLQPLQWPARDALCGELGAGDAGRRVRLCGWVALHRAHAGLTFLTLRDRSGTVQVTTLPEYPEVYAVVNKLRVESVVAVEGVVRSRPTEAINTDMKTGAIEVAADNIYVLNSVTRSLPFPITTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKHIRRFLEDRHDFVEPGMFYALPQSPQLFKQMLMVSGFEKYYQIARQPEFTQLDMEIAFTSMEDMLKLNEELMRHIFQEVGDIKLPNPFPRLTYTEAMNRYGTDRPDLRFDWQLKDVSDAFLGSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKVMDNGELEGIGPLVSSLKPEKKEQLLKHLDAKPGDLILFALGEQSAANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGENSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFRT >ONIVA01G03930.5 pep chromosome:AWHD00000000:1:2776865:2786252:1 gene:ONIVA01G03930 transcript:ONIVA01G03930.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class II (D, K and N) family protein [Source:Projected from Arabidopsis thaliana (AT4G33760) TAIR;Acc:AT4G33760] MASSLLRASPLSLLSRLKPLNPSPTASHLRRLLLLSTASSTSSASCSPSPPRTLAATDAATPPPDEDAAAAPAAEAKLERLQPLQWPARDALCGELGAGDAGRRVRLCGWVALHRAHAGLTFLTLRDRSGTVQVTTLPEYPEVYAVVNKLRVESVVAVEGVVRSRPTEAINTDMKTGAIEVAADNIYVLNSVTRSLPFPITTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKHIRRFLEDRHDFVEPGMFYALPQSPQLFKQMLMVSGFEKYYQIARQPEFTQLDMEIAFTSMEDMLKLNEELMRHIFQEVGDIKLPNPFPRLTYTEAMNRYGTDRPDLRFDWQLKDVSDAFLGSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKVMDNGELEGIGPLVSSLKPEKKEQLLKHLDAKPGDLILFALGEQSAANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGENSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFRT >ONIVA01G03920.1 pep chromosome:AWHD00000000:1:2775181:2776033:1 gene:ONIVA01G03920 transcript:ONIVA01G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0FGD7] MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKGEKGSGEGKKDRAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >ONIVA01G03910.1 pep chromosome:AWHD00000000:1:2767588:2770248:-1 gene:ONIVA01G03910 transcript:ONIVA01G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59900) TAIR;Acc:AT5G59900] MVKLLADILHHTPPSTWPSALAAPLLRGRLAAAHVSSLLLLPPSLSRPDLSRRFLLLLPPHLVSPVSLSLLALSFLSSSPSSSSSSFSSRHAASLLLSLASSAPSASSSFSSLSHASSLSAFPPGAASTAAALLASSYLRLRRARDAAAVLGLSLSSGITVNQYTASQILFSLVKIRQFALARDLFDKMLQSGVRLDEYVYTAGIRAYCESRNLDGARGLVVRMESEGVKASAVPYNVLMYGLCKNMHVQEAVEVKNGMVNRGVTADEVTYRTLVYGFCRMEELEMALRITHDMISLGFVPSEANCSFMIDELRKKELVEEAFSLACKLGDLGMVPNVFAYNALIDKLCKNERFDDADRLFKEMAGRGLEPNEVTYAISIHALCKRGMIEDALCLFDKMRDKGIKVTVYPYNSLINGYCKQGSLDRARGLLSGMVKEGLTPTAASYSPLIAGLCRNGDLSSAMELHKEMAERGIAWNNYTFTTLINGFCKDKKMDEAARLFDKMIDSNVIPNEVSFNVMIEGYCLVGNIRKAFQLYDQMVEMELKPDNYTYRSLISGLCLTSGVSKANEFVADLENSYAVLNNFSLTALLYGFFREGRFTETYHLWDEMAVRGVKLDLVSFTIIVYAALKQHDKEKSCVLFREMKEQGVKPDDIFYTCMIDALSKEENMIQALNCWDQMVVDGYSPNTVTYTVLINNLCKSGYLGSAELLCKEMLAGNVLPNKFTYNCFLDYFATEGDMEKAKDLHSAMLQGHLASIVSFNILIKGLCKAGKIQEAIDLTSKITESGFFPDCISYSTIIHELCKMGDINKAFELWNEMLYKGLKPDVVAYNIFIRWCNVHGESDRALRIYTNMIRSGVQPNWDTYRALLSGISLMASKGQALLLTT >ONIVA01G03900.1 pep chromosome:AWHD00000000:1:2765243:2767485:-1 gene:ONIVA01G03900 transcript:ONIVA01G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVASEGQNNTYKFLYMSSWILHITSYKISCFNEIVPQDIFPGNSVSSRPKLYEHNIPFRFCTAVVKGYPSSRSHRRIWFVDDHKSGEIILEFNDRLNKWGVISLRSDVKVKKLSPGPQVSFPTHQSGYMPTSPSLLLPVPWIMIHERGKEKAYGRKYH >ONIVA01G03900.2 pep chromosome:AWHD00000000:1:2765756:2767485:-1 gene:ONIVA01G03900 transcript:ONIVA01G03900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVASEGQNNTYKFLYMSSWILHITSYKISCFNEIVPQDIFPGNSVSSRPKLYEHNIPFRFCTAVVKGYPSSRSHRRIWFVDDHKSGEIILEFNDRLNKWGVISLRSDVKVKKLSPGPQVSFPTHQSGYMPTSPSLLLPVPWIMIHERGKEKAYGRKCSGLLLTEIYLG >ONIVA01G03890.1 pep chromosome:AWHD00000000:1:2764328:2764738:-1 gene:ONIVA01G03890 transcript:ONIVA01G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMCVMATHMQETDGDGDDTTTCVQERAAAAGSGDADARSNTAEIDGASAAQIDRATEVRGLIDHDSRSNFADDAMKDQATAHNQFSSDDDNLEDCMNEENDSVCEDIDTECIQIYVHCTSID >ONIVA01G03880.1 pep chromosome:AWHD00000000:1:2763177:2763640:-1 gene:ONIVA01G03880 transcript:ONIVA01G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0FGD2] MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >ONIVA01G03870.1 pep chromosome:AWHD00000000:1:2753651:2754946:-1 gene:ONIVA01G03870 transcript:ONIVA01G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDDMAALTEDILLQIFSRVGSIKDLFKFAVTCRRWLRRFTDPAFLRGLCLDSGEGHRARLLGFFFQQTRFYRCEKMIKMRVTQQSSVCPPTFLPAPWSPLGLTDRTLTSFLATDDDTFNYAEPLAARCGIVLMRLVPRTALMIACSHLLGVCNPITGECHVLPPLNLSGLHRYLTSYAIITSTDSDLDGKQPPSSSSSGRSTFSQLYLVVQHKKDCNEYFYSYSAATRSWSAPTMCVDGRRFSLVGERSALVHKGAAHWLFIDRVSSATQDDILYKLTAAVDTSEISLTKLPFGAGGSPLLCVSGDGKLSVACVFPIHMRVWTQQDGTPATWLRTVIRIPLAVPYPDYSHICQPREKWFNFNRGSMLVLYRSNGVFILDLEKKVMEKVMDCLLPLFSDKLNRTAVAYEMDLVEFFVLQFSGLCRGSTG >ONIVA01G03860.1 pep chromosome:AWHD00000000:1:2750169:2750632:-1 gene:ONIVA01G03860 transcript:ONIVA01G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0FGD0] MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >ONIVA01G03850.1 pep chromosome:AWHD00000000:1:2744625:2745375:-1 gene:ONIVA01G03850 transcript:ONIVA01G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSPPARLPEGSVSAATGVGVRRSHVTPSQLQKLVLLFQEASALELLPLNAALKASSVASSLLLPPPPPPATAATIVRMKRRDNSSLRLIMC >ONIVA01G03840.1 pep chromosome:AWHD00000000:1:2743458:2743910:-1 gene:ONIVA01G03840 transcript:ONIVA01G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0FGC8] MAPKAEKKPAAKKPAEEEPAAEKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >ONIVA01G03830.1 pep chromosome:AWHD00000000:1:2738632:2761386:1 gene:ONIVA01G03830 transcript:ONIVA01G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLLSFILAVGAANAGGSSSDEAALLAFKARISEHRSLALASWNSSNSLCNWEGVTCSRRRPTRVVALSLPSSNLTGTLPEVIGNLTFLQRLNLSSNGLNGEIPPSLGRLRRLRILDLGSNSFSGAFPINLSSCISLTNLSLAYNQLAGRIPVELGNTLIRLEKLALENNTFTGPIPASLNNISSLGYLNMDYNYLEDQIPPSLGNIPALHQLSLAENSLSGEFPPSLWNLSKLTVLEVFSNMLQGSIPANIGDSFPDITYFGVADNRFSGVIPPSLFNLSSLTAMYLSGNRFRGFVPPTVGRMQSLVYLHLHDNRLEANNRKGWEFITSLANCSRLQELVLSNNSFRGQLPNSIVNLSTTFQRLYLGECSISGSIPQDIGNLVGLEILYLAFTSLSGAIPTSIGKLANLVEIGLYNTSLSGFIPSSFGNLTSLNRLYAYYTSLEGPIPPSLGKLKELFVLDLSTNRHNGSIPKEILELPSLSWYLDLSYNSLSGPLPLEVGTLANLNQLILSGNQLSGQIPDSIGNCEVLEFLQLDKNSFEGGIPQSLTNLKGLNLLNLTMNKLSGRIPETIGRMGNLQQLCLAHNNFSGPIPATLQNLTMLWKLDVAFNNLQGEVPDGGVFKNLSSALVAGNDKLCGGIPQLHLAPCPILDASKNKKRWPKSLIIALPTTGSIFLLLSATVLIVLVCRKCKQRPNSQATSPGTDEHYHRVSYYALARGSNGFSKDNLLGKGSYGSVYRCTLEDEVAIVAVKVFNLQQSGSAKSFEVECEALRRVRHRCLTKIITCCSSINPQGQEFKALIFEYMPNGSLDGWLHPTSSNRTPSNTLSLLQRLSIAVDILDALDYLHNHCQPPIIHCDLKPSNILLAEDMSAKVGDFGISRILPENIAKTLQNSISIVGIRGSIGYIPPEYGEGSAVSRLGDIYSLGILLLEIFTGRSPTDDMFKDSVDLHKFASAAFPDRVLEIADRTIWLHEEANNKDITDASITRSIIHECLVSVLWLGISCSMQQAKERMLLADAVSKMHAIRDEYLMSQVRASLADSPASFSKMSLMKEFMMDMALEEMPMSGWTCLSTLKINTTRPMTMMSLRLELSLLFILTIVAAVAGGGGGGSSSDEATLLAFKAAFRGSSSSALASWNSSTSFCSWDGVTCDRRTPTPVAALTLPSGNLAGGLPPAIGNLSFLQSLNLSSNELYGEIPASLGHLRRLQILDLGGNSFSGELPANLSSCISLKNLGLAFNQLVGRIPVKLGSTLTQLQKLQLQNNSFTGPIPASLANLSSLQYLHMDNNNLVGLIPPEVGKAAALREFSFEQNSLSGIFPSSLWNLSSLTMLAANGNMLQGSIPAHIGDKFPGTQHFGLADNQFSGVIPSSLFNLSSLIAVLLYGNIFSGFVPTTVGRLQSLRRLYLYGNQLEANNRKGWEFITSLTNCSQLQQLVISENNFSGQLPNSIVNLSTTLHKLYLDNNSISGSIPEDIGNLIGLETLDLGFTSLSGVIPASIGKLSNLVEVALYNTSLSGLIPSSIGNLTNLNRLYAFYTNLEGPIPASLGKLKRLFVLDLSTNRLNGSIPKEILELPSLSWYLDLSYNYLSGPLPIEVGTLANLNQLILSGNQLSGQIPDSIGNCQVLESLLLDKNSFEGGIPQSLTNLKGLNILNLTMNKLSGRIPDTIGRIGNLQQLFLAQNNFSGPIPATLQILTMLWKLDVSFNNLQGEVPDEGVFKNLMYASVAGNDNLCGGIPQLHLAPCPIIDASKNNKRWHKSLKIALPTTGSILLLVSATFLILFCRKLKQRQNSQATFSGTDEHYHRVSYYTLARGSNEFSKANLLGKGSYGSVYRCTLQDEGAIVAVKVFNLQQSGSAKSFEVECEALRTVRHRCLIKIITCCSSINPQGQEFKALVFEYMPNGSLDGWLHPASSNPTPSNTLSLSQRLNIAVDILDALDYLHNHCQPPIIHCDLKPSNILLAEDMSAKVGDFGISRILPESIVKTLQHSNSTVGIRGSIGYIPPEYGEGSEVSRLGDIYSLGILLLEIFTGRSPTDDMFKDSVDLHKIASAAFPDLVLEIVDRTIWLHEEAKSKDITDASITRSIVQDSLVSVLRLGISCSKQQAKERMLLADAVSKMHAIRDEYILSQVSTLFFPFFRPAFFPSPLPFSPLPAGRRFSALGFFPAGAFSAFSAAGSSSAGFFAAGFFSALGAMDAALFFSANGTKERNHMRLLVLLSLISVLTIAGGSTDEATLLAFKAGLSSRTLTSWNSSTSFCNWEGVKCSRHRPTRVVGLSLPSSNLAGTLPPAIGNLTFLRWLNLSSNGLHGEIPPSLGRLQHLRILDLGSNSVSGAFPDNLSSCISLINLTLGYNQLSGHIPVKLGNTLTRLQKLHLGNNSFTGPIPASLANLSSLEFLKLDFNHLKGLIPSSLGNIPNLQKIGLDGNRLSGEFPPSIWNLSKLTVLQVYENKLKGSIPANIGDKLPNMQHFVLSVNQFSGVIPSSLFNLSSLTDVYLDGNKFSGFVPPTVGRLKSLVRLSLSSNRLEANNMKGWEFITSLANCSQLQQLDIAENSFIGQLPISIVNLSTTLQKFFLRGNSVSGRIPTDIGNLIGLDTLDLGSTSLSGVIPESIGKLADLAIITLYSTRLSGLIPSVIGNLTNLNILAAYDAHLEGPIPATLGKLKKLFALDLSINHLNGSVPKEIFELPSLSWFLILSDNTLSGPIPSEVGTLVNLNSTELSGNQLSGQIPDSIGNCEVLEYLLLDSNSFEGGIPQSLTKLKGLTILNLTMNKFSGSIPDAIGSMGNLQQLCLAHNNLSGSIPETLQNLTQLWHLDVSFNNLQGKVPEEGAFRNLTYASVAGNDKLCGGIPRLHLAPCPIPAVRKDRKERMKYLKVAFITTGAILVLASAIVLIMLQHRKLKGRQNSQEISPVIEEQYQRISYYALSRGSNEFSEANLLGKGRYGSVYKCTLQDEGEPVAVKVFDLKQLGSSRSFQAECEALRRVRHHCLTKIITCCSSIDPQGQEFKALVFEYMPNGSLDGWLHPTSSNPTPSNTLSLSQRFSIVVDILDALDYLHNSCQPPIIHCDLKPSNILLAEDMSAKVGDFGISKILPKSTTRTLQYSKSSIGIRGSIGYIAPEYGEGSAVTRAGDTYSLGILLLEMFTGRSPTDDIFRDSMDLHKFVAASFLESAMNIADRTIWLHEEANDTDGTNASTKRRIIQQCLVSVLRLGLSCSKQQPRDRMLLPDAASEIHAIRDEYLRSWMVENEQSTLN >ONIVA01G03830.2 pep chromosome:AWHD00000000:1:2738632:2761386:1 gene:ONIVA01G03830 transcript:ONIVA01G03830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLLSFILAVGAANAGGSSSDEAALLAFKARISEHRSLALASWNSSNSLCNWEGVTCSRRRPTRVVALSLPSSNLTGTLPEVIGNLTFLQRLNLSSNGLNGEIPPSLGRLRRLRILDLGSNSFSEYGEGSAVSRLGDIYSLGILLLEIFTGRSPTDDMFKDSVDLHKFASAAFPDRVLEIADRTIWLHEEANNKDITDASITRSIIHECLVSVLWLGISCSMQQAKERMLLADAVSKMHAIRDEYLMSQRASLADSPASFSKMSLMKEFMMDMALEEMPMSGWTCLSTLKINTTRPMTMMSLRLELSLLFILTIVAAVAGGGGGGSSSDEATLLAFKAAFRGSSSSALASWNSSTSFCSWDGVTCDRRTPTPVAALTLPSGNLAGGLPPAIGNLSFLQSLNLSSNELYGEIPASLGHLRRLQILDLGGNSFSGELPANLSSCISLKNLGLAFNQLVGRIPVKLGSTLTQLQKLQLQNNSFTGPIPASLANLSSLQYLHMDNNNLVGLIPPEVGKAAALREFSFEQNSLSGIFPSSLWNLSSLTMLAANGNMLQGSIPAHIGDKFPGTQHFGLADNQFSGVIPSSLFNLSSLIAVLLYGNIFSGFVPTTVGRLQSLRRLYLYGNQLEANNRKGWEFITSLTNCSQLQQLVISENNFSGQLPNSIVNLSTTLHKLYLDNNSISGSIPEDIGNLIGLETLDLGFTSLSGVIPASIGKLSNLVEVALYNTSLSGLIPSSIGNLTNLNRLYAFYTNLEGPIPASLGKLKRLFVLDLSTNRLNGSIPKEILELPSLSWYLDLSYNYLSGPLPIEVGTLANLNQLILSGNQLSGQIPDSIGNCQVLESLLLDKNSFEGGIPQSLTNLKGLNILNLTMNKLSGRIPDTIGRIGNLQQLFLAQNNFSGPIPATLQILTMLWKLDVSFNNLQGEVPDEGVFKNLMYASVAGNDNLCGGIPQLHLAPCPIIDASKNNKRWHKSLKIALPTTGSILLLVSATFLILFCRKLKQRQNSQATFSGTDEHYHRVSYYTLARGSNEFSKANLLGKGSYGSVYRCTLQDEGAIVAVKVFNLQQSGSAKSFEVECEALRTVRHRCLIKIITCCSSINPQGQEFKALVFEYMPNGSLDGWLHPASSNPTPSNTLSLSQRLNIAVDILDALDYLHNHCQPPIIHCDLKPSNILLAEDMSAKVGDFGISRILPESIVKTLQHSNSTVGIRGSIGYIPPEYGEGSEVSRLGDIYSLGILLLEIFTGRSPTDDMFKDSVDLHKIASAAFPDLVLEIVDRTIWLHEEAKSKDITDASITRSIVQDSLVSVLRLGISCSKQQAKERMLLADAVSKMHAIRDEYILSQVSTLFFPFFRPAFFPSPLPFSPLPAGRRFSALGFFPAGAFSAFSAAGSSSAGFFAAGFFSALGAMDAALFFSANGTKERNHMRLLVLLSLISVLTIAGGSTDEATLLAFKAGLSSRTLTSWNSSTSFCNWEGVKCSRHRPTRVVGLSLPSSNLAGTLPPAIGNLTFLRWLNLSSNGLHGEIPPSLGRLQHLRILDLGSNSVSGAFPDNLSSCISLINLTLGYNQLSGHIPVKLGNTLTRLQKLHLGNNSFTGPIPASLANLSSLEFLKLDFNHLKGLIPSSLGNIPNLQKIGLDGNRLSGEFPPSIWNLSKLTVLQVYENKLKGSIPANIGDKLPNMQHFVLSVNQFSGVIPSSLFNLSSLTDVYLDGNKFSGFVPPTVGRLKSLVRLSLSSNRLEANNMKGWEFITSLANCSQLQQLDIAENSFIGQLPISIVNLSTTLQKFFLRGNSVSGRIPTDIGNLIGLDTLDLGSTSLSGVIPESIGKLADLAIITLYSTRLSGLIPSVIGNLTNLNILAAYDAHLEGPIPATLGKLKKLFALDLSINHLNGSVPKEIFELPSLSWFLILSDNTLSGPIPSEVGTLVNLNSTELSGNQLSGQIPDSIGNCEVLEYLLLDSNSFEGGIPQSLTKLKGLTILNLTMNKFSGSIPDAIGSMGNLQQLCLAHNNLSGSIPETLQNLTQLWHLDVSFNNLQGKVPEEGAFRNLTYASVAGNDKLCGGIPRLHLAPCPIPAVRKDRKERMKYLKVAFITTGAILVLASAIVLIMLQHRKLKGRQNSQEISPVIEEQYQRISYYALSRGSNEFSEANLLGKGRYGSVYKCTLQDEGEPVAVKVFDLKQLGSSRSFQAECEALRRVRHHCLTKIITCCSSIDPQGQEFKALVFEYMPNGSLDGWLHPTSSNPTPSNTLSLSQRFSIVVDILDALDYLHNSCQPPIIHCDLKPSNILLAEDMSAKVGDFGISKILPKSTTRTLQYSKSSIGIRGSIGYIAPEYGEGSAVTRAGDTYSLGILLLEMFTGRSPTDDIFRDSMDLHKFVAASFLESAMNIADRTIWLHEEANDTDGTNASTKRRIIQQCLVSVLRLGLSCSKQQPRDRMLLPDAASEIHAIRDEYLRSWMVENEQSTLN >ONIVA01G03830.3 pep chromosome:AWHD00000000:1:2738632:2761386:1 gene:ONIVA01G03830 transcript:ONIVA01G03830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLLSFILAVGAANAGGSSSDEAALLAFKARISEHRSLALASWNSSNSLCNWEGVTCSRRRPTRVVALSLPSSNLTGTLPEVIGNLTFLQRLNLSSNGLNGEIPPSLGRLRRLRILDLGSNSFSGAFPINLSSCISLTNLSLAYNQLAGRIPVELGNTLIRLEKLALENNTFTGPIPASLNNISSLGYLNMDYNYLEDQIPPSLGNIPALHQLSLAENSLSGEFPPSLWNLSKLTVLEVFSNMLQGSIPANIGDSFPDITYFGVADNRFSGVIPPSLFNLSSLTAMYLSGNRFRGFVPPTVGRMQSLVYLHLHDNRLEANNRKGWEFITSLANCSRLQELVLSNNSFRGQLPNSIVNLSTTFQRLYLGECSISGSIPQDIGNLVGLEILYLAFTSLSGAIPTSIGKLANLVEIGLYNTSLSGFIPSSFGNLTSLNRLYAYYTSLEGPIPPSLGKLKELFVLDLSTNRHNGSIPKEILELPSLSWYLDLSYNSLSGPLPLEVGTLANLNQLILSGNQLSGQIPDSIGNCEVLEFLQLDKNSFEGGIPQSLTNLKGLNLLNLTMNKLSGRIPETIGRMGNLQQLCLAHNNFSGPIPATLQNLTMLWKLDVAFNNLQGEVPDGGVFKNLSSALVAGNDKLCGGIPQLHLAPCPILDASKNKKRWPKSLIIALPTTGSIFLLLSATVLIVLVCRKCKQRPNSQATSPGTDEHYHRVSYYALARGSNGFSKDNLLGKGSYGSVYRCTLEDEVAIVAVKVFNLQQSGSAKSFEVECEALRRVRHRCLTKIITCCSSINPQGQEFKALIFEYMPNGSLDGWLHPTSSNRTPSNTLSLLQRLSIAVDILDALDYLHNHCQPPIIHCDLKPSNILLAEDMSAKVGDFGISRILPENIAKTLQNSISIVGIRGSIGYIPPEYGEGSEVSRLGDIYSLGILLLEIFTGRSPTDDMFKDSVDLHKIASAAFPDLVLEIVDRTIWLHEEAKSKDITDASITRSIVQDSLVSVLRLGISCSKQQAKERMLLADAVSKMHAIRDEYILSQVSTLFFPFFRPAFFPSPLPFSPLPAGRRFSALGFFPAGAFSAFSAAGSSSAGFFAAGFFSALGAMDAALFFSANGTKERNHMRLLVLLSLISVLTIAGGSTDEATLLAFKAGLSSRTLTSWNSSTSFCNWEGVKCSRHRPTRVVGLSLPSSNLAGTLPPAIGNLTFLRWLNLSSNGLHGEIPPSLGRLQHLRILDLGSNSVSGAFPDNLSSCISLINLTLGYNQLSGHIPVKLGNTLTRLQKLHLGNNSFTGPIPASLANLSSLEFLKLDFNHLKGLIPSSLGNIPNLQKIGLDGNRLSGEFPPSIWNLSKLTVLQVYENKLKGSIPANIGDKLPNMQHFVLSVNQFSGVIPSSLFNLSSLTDVYLDGNKFSGFVPPTVGRLKSLVRLSLSSNRLEANNMKGWEFITSLANCSQLQQLDIAENSFIGQLPISIVNLSTTLQKFFLRGNSVSGRIPTDIGNLIGLDTLDLGSTSLSGVIPESIGKLADLAIITLYSTRLSGLIPSVIGNLTNLNILAAYDAHLEGPIPATLGKLKKLFALDLSINHLNGSVPKEIFELPSLSWFLILSDNTLSGPIPSEVGTLVNLNSTELSGNQLSGQIPDSIGNCEVLEYLLLDSNSFEGGIPQSLTKLKGLTILNLTMNKFSGSIPDAIGSMGNLQQLCLAHNNLSGSIPETLQNLTQLWHLDVSFNNLQGKVPEEGAFRNLTYASVAGNDKLCGGIPRLHLAPCPIPAVRKDRKERMKYLKVAFITTGAILVLASAIVLIMLQHRKLKGRQNSQEISPVIEEQYQRISYYALSRGSNEFSEANLLGKGRYGSVYKCTLQDEGEPVAVKVFDLKQLGSSRSFQAECEALRRVRHHCLTKIITCCSSIDPQGQEFKALVFEYMPNGSLDGWLHPTSSNPTPSNTLSLSQRFSIVVDILDALDYLHNSCQPPIIHCDLKPSNILLAEDMSAKVGDFGISKILPKSTTRTLQYSKSSIGIRGSIGYIAPEYGEGSAVTRAGDTYSLGILLLEMFTGRSPTDDIFRDSMDLHKFVAASFLESAMNIADRTIWLHEEANDTDGTNASTKRRIIQQCLVSVLRLGLSCSKQQPRDRMLLPDAASEIHAIRDEYLRSWMVENEQSTLN >ONIVA01G03820.1 pep chromosome:AWHD00000000:1:2733781:2740582:-1 gene:ONIVA01G03820 transcript:ONIVA01G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSEAEVQKVEPFQVGQRLRKVRFPMTSGSVPVRLLDGRLSATTRVGLRRLHVTPSQLHKLLLLFHEASASERCSLILALKASSAASSLLLPPAFAAPTARMKDNNTSLMGIWDLLEDGDVVVLALGC >ONIVA01G03810.1 pep chromosome:AWHD00000000:1:2731920:2732332:1 gene:ONIVA01G03810 transcript:ONIVA01G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAYNTNLEGPIPANIGELKKLLVTRNRAIGAARRRGTDWMGWSRSTNTRDRDGRGTAQPATRLCGTNTGPMGVDGSENAGGAACLFCATARQWQTCTRAVG >ONIVA01G03800.1 pep chromosome:AWHD00000000:1:2725054:2726553:-1 gene:ONIVA01G03800 transcript:ONIVA01G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHRTHASDCHPVASQPDVQCLVCTRPFTLDAQVTDTFEALAICRDCKMTVLNDDERDEITSTYRQNTRRRQISRTASIDFLEDAFLQEFSQLIDLARRQGRETDIDSSSVAPQHASFNSTPSQSQRWHASDDESDGLNYVDSVFGEIESAISLGDYGGDSDTSIEEHSVMTRRRISIQLDNDSYMNTDTDIDPMNARLDQWDSDDQEDVEESGFDEIVNTMTQHQQQSHDIQLSGLSEDESEDGVWNWSVSVRQRANVTNLLDDMEEPEMRTTFVGNPDDYVDARQFEMLLEQFAEDNDSRRGAPPAATSFIENLPSVIICASHQTNGDVICPVCKDPIPTRARAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTDDAEYERSKQATTNVRDIQVVEEISDEQEVQVTRQMAAGVIEETNTSEHNVRVDEQPNSARRRSGWLFIAAAPVVSLIGFALVLCFTNPARSGRRQLYCRSPSATEVHVDTKKSWWSMF >ONIVA01G03790.1 pep chromosome:AWHD00000000:1:2712223:2712976:1 gene:ONIVA01G03790 transcript:ONIVA01G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPGKKLRKKRRREEEDMINSNHLEGMIPPDLGKIPATKILTLQLNNLSGVFPPSLESVNTDVALSNCQHATRKHSYQHWCLGDKLPDMQSFELSHNRFSGVIPSSLFNLSSLIDVYLDTNKFTGSVPSTVGRLQSLSSLSLYSNRLDANNKMGWEFITSLTNCSRLQHLTIADNSFTVGSCQARL >ONIVA01G03780.1 pep chromosome:AWHD00000000:1:2708029:2708490:-1 gene:ONIVA01G03780 transcript:ONIVA01G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPSELAKHAVSEGTKAVTKFTSS >ONIVA01G03770.1 pep chromosome:AWHD00000000:1:2701903:2706017:-1 gene:ONIVA01G03770 transcript:ONIVA01G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPQTAAVAAATDSSPHIRNPPPPLKKPLRHRPPPQAASKPRRHQHHCAGLRLPCTAATTPAFAGHAPLPPCRAGLCLLRQPKPPPLHGLRAPPSAAESASPTTPPGAAHAVATKAGRSFSPSPDASATRGAQGRPDPGGGALDPALGDPNPASPHAGEPAVAASTPPPTSPPTPSPPLHPLPPRRLLHPAATSFTPPPSSPRQPAGQAGGAVFAPQAASVPPPPTGGRDEAPLPLSLWLRGFAGGRSGGGEAAEVGGGADDGGGGVRLPCRPPQDIVCKRTCGSETPSEQTAHGGADGLRRHRVSGSAPEQPVPRKMTDDGGGGGGGGGGRAASPAGGSIAGLINSLLLFCCGMGKILCSKVSSHSLXLGDTAAAAAEEHRAVATTSSHGTATTIFAPAAGSFPATIAIPAAIAIPAAFSRRRPAPRAPAFAVAIPAAVAAVLPAASRRPARSGRSGLDLAVTAVPPGGSPARPVKGRRGAPPPPSLRPAGFAGGRSSGGEAEGKEGRERGGRVVASRAAPGEDDARVHLYLGDSPSSEVGGYAKPKNSH >ONIVA01G03760.1 pep chromosome:AWHD00000000:1:2700221:2702052:1 gene:ONIVA01G03760 transcript:ONIVA01G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNAFSGEFPANLTACVRLTTVYLQYNQLGGRIPALAINSNHLEGMIPPGIGSIAGLRCALEDEGAGVTMAVKMFNLQMSGSSRSFEAECEALRRVRHRCLIKIITCCSSIDQQGQEFKALLFEFMPNGSLDGWIHPKSSNLTSNTLSLPQRLSIAVDILDALDYLHNHCQPPIIHCDLKPSNILLAEEKNAKVGDLGMSKILPNSTTKTLQNSKSSIGIRGSIGYIAPEYGEGSAVTRAGDTYSLGVLLLEMFTGRSPTDDIFRDSMDLHKFIAASFLDRALDSCQTIWLHEEANDTDVTNASTKRRIIQQCLVAVLRLGISCSKQQPRDRVLLADAVSEIHAIRDKYLRSWMVGIELYVG >ONIVA01G03750.1 pep chromosome:AWHD00000000:1:2697306:2701350:-1 gene:ONIVA01G03750 transcript:ONIVA01G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWWLTVVVKIIQCIQDVNSNAESLRKAECIAKWDMEASEAGVFHRTSNHSPQPMKRYGIEPQVE >ONIVA01G03740.1 pep chromosome:AWHD00000000:1:2696799:2700205:1 gene:ONIVA01G03740 transcript:ONIVA01G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGEFPHSLWNLSALRVIGVGLNMLQGSIPANIGDKFPAMRFFGLHENRFHGVIPSSLSNLSRLTDLYLANNNFTGFVPPTLGMLHSLMYLHIGTNQLEADNRKGWEFVTSLANCSQLQEFVLSNNFFGGQLPRSIVNLSMMLQMLDLENNSFSGTIPHDISNLIGLRLLDLGFNPISGVIPESIGKLTNLADLALYNTGLSGLIPSTIGNLTKLNRLLAFHTNLEGPIPATIGRLKNLFNLDLSINRLNGSIPREILELPSLAWILDLSYNSLSGHLPSEVGTLANLNQLILSGNQLSGQIPNSIGNCEVLEFLLLDNNSFGGDMPQSLTNLKGLNVLNLTVNKLSGRIPNAISKIGNLQYLCLAHNNFSGPIPAALQNLTLLKQLDVSFNNLQGEVPVKGVFRNLTFSSVVGNDYLCGGIPQLHLPPCPILDVSKNKNQHLKSLAIALPTTGAMLVLVSVIVLILLHNRKLKRRQNKQATSLVIEEQYQRVSYYALSRGSNDFSEANLLGKGRYGSVYRFTLDNEDALVAVKVFDLQQLGSSKSFEAECEALRRVRHRCLIKIITCCSSIDPQGQEFKALVLEFMPNGSLDGWIHPKSSKCSPSNTLSFSQRLDIVIDIFEAMDYLHNHCQPSIIHCDMKPSNILLAEDMSAKVGDFGISKILPKSTTKIHLNSKSSIGIRGSIGYIAPEYGEGSAASKLGDIYSLGIMLLEMFTGTSPTDDMFKDSLNLHELATAAFPDRALEIADQTIWLHETNYTDATDASMTRGIIQQSLVSLFGLGISCSKQQPRERMVLADTVSKIHAIRDEYFKSRMVSDLAGTLSPAIGNLTFLRRLNLSSNDLHSEIPQSVSRLR >ONIVA01G03730.1 pep chromosome:AWHD00000000:1:2690429:2696458:-1 gene:ONIVA01G03730 transcript:ONIVA01G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGISPQRPLELRFSLRRKVRFPMAGESVPARLLDGRFSDATRVGLRRLQVTPSQLQKLALLLFHEASALELLPVSPALKASSEASSLLDAAITAIVGTGRSPSKPHETLHNP >ONIVA01G03720.1 pep chromosome:AWHD00000000:1:2685914:2686381:-1 gene:ONIVA01G03720 transcript:ONIVA01G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0FGB4] MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKGEKGSGEGKKDRAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >ONIVA01G03710.1 pep chromosome:AWHD00000000:1:2681762:2683259:-1 gene:ONIVA01G03710 transcript:ONIVA01G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDIIAALPEDVLLQVLSRVANVKSLFMLAATCRRWLCRFTDRAFLRDLWGGQRAGDLLGFFFHPQRNSASTFAFLPAPPLRSLIPSSYDGEPLTARRGILLMRLSPSNDWSEATSHLLGLLNPITGEHHALPHLKGPSNLGSFTVTSCAIIVSDDLAGKQPPSSGRFMFSQLLVTTKHKSIKTVYLHSYSATRSSWAAPAVFLDLRRFSLVGEGSSSSAVVHRGAAHWLCTDHVARATRDDYLYKLSVEVGGTATATPRVSMTKLPVLDGGTPTPLLCVGGDGELTIVCVFIMHVRVWKQQRRGDGDGDGAAAWRRDVIWMPTEVSNYPKSYTMAHGLGRWGSVAMMYSSTGAVFVLDLDKKVMEKAMDCLLPLRMDHSLDRPPVSYEMDLVEFFLLQLGGLCRAIFVH >ONIVA01G03700.1 pep chromosome:AWHD00000000:1:2679530:2680756:-1 gene:ONIVA01G03700 transcript:ONIVA01G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGIIAALPEDVLLQVLSRVADVKSLFMLAATCRRWLRRFTDRAFLLDLWGGQRAGDLLGFFFHLQRIKVSTFGFLPVPSSPLRPLASSGSSNSVQPLAARRGVLLMRLPITRLLFLSNPVTGERHVVPRLEEYSDLGPYKVTSYAIVVSDDLAGKPQQPASSGRFTFSQLLVTTKHANSITMYVNSYSAAGGWAAPAEFLDLLRFSLAGRSTPSAVVHRGAAHWLCTDDVASATRGDRLYKLSVEVGVPAAATPRVSMTNLPVRAGGATATLLCVGGDGELTIACVFPMHVRIWKQQRRGDGDDDAAAWRRDVMWMTLPAPYPYCVPLMHGLDMGSVAMMYRSSGAVFVVDLDKKVIDKAMDCFLPLRIGREMDLPPVPYEMDLMEFFLLQLGGLCGGGSTSTG >ONIVA01G03690.1 pep chromosome:AWHD00000000:1:2674286:2678985:1 gene:ONIVA01G03690 transcript:ONIVA01G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALASFVLLLLSVFMSIFLHFMAVRADGEDASALLAFKAVAVGNGGGNGVLASWNGSAGPCSWEGVACGRHGRVVALSLPGHDLSGTLSPAVGNLTSLQKLDLSYNWLHGGIPASLGQLHRLRELDLSFNTFSGEVPSNLTSCTSLEYLVLGSNKFAGHIPSELGNTLTRLQVLGLDNNSFIGHWPASLANLTSLQYLSLRMNSLEGTIPPEFGSNMPSLHILDVCSNNLIGALSSSLYNLSSLTDFDAGNNKLNGNIPTDIDEKFPHLRSFAVFNNQFSGEIPSSFTNLTNLTSLQLSENGFSGFVPRDLGRLNALQNLQLGVNMLEAGDIKGWEFVESLTNCSKLETLVLSDNNFTGQFPISIVNLSTTLQTLYLGGSRISGSIPSDFGNLVGLRSLYLFSTDISDVIPESIGKLENLTTLYLNNNSLSGHVPSSVGNLTNLMKLFMQGNNLEGPIPANLGKLESLNVLDLSRNHFNGSIPKEILELPSISQYLNLSYNSLSGPLPSEVGSLTSLNELVLSGNQLSGQIPSSIKNCIVLTVLLLDSNSFQGTIPVFLGDIKGLRVLNLTMNKFSGVIPDALGSIHNLQELYLANNNLSGPIPAVLQNLTSLSMLDLSFNDLQGDVPKEGIFKNLSYLSLAGNSDLCGGVSHLNLPPCSTHAVRKRSKGWLRSLKIALASIAVVLFLALVMVIIMLIRRRKPVHRKKGQSLTPVVEEQFERVSYKELSNGTKGFSQNSLLGKGSYGVVYKCTLFDEEIIVAVKVFNLEQSGSTRSFVAECEALRSVRHRCLLKIITCCSSINNQGQDFKALVFEFMPNGSLNGWLHPKSDMPTVANTLSLTQRLDIAVDIVDALEYLHIHCQPPIVHCDLKPSNILLAEDMSARVGDFGISRILTESASKTQQNSSNTIGIRGSIGYVAPEYGEGSAVSTFGDVYSLGILLLEMFTGMSPTDDMFRDSLDLHSFSEAAHPDRILEIADPTLRVHVDAEDSITRSRMQECLISVIGLGLSCSKHQPKERMPIQDAALKMHAIRDDAYLMFSGSLSVDMEEETKQISSDLKQQ >ONIVA01G03680.1 pep chromosome:AWHD00000000:1:2669548:2672444:1 gene:ONIVA01G03680 transcript:ONIVA01G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15200) TAIR;Acc:AT3G15200] MPPPRASAILSAACRSSRTLFVLRRLGFLGSCTPTVRQNASEELPGAGLYPRFHQSILPIHCVVGSLRLCHSTALDGSKDVHVSEIVKILKSRDGDSELAEVLNQFADEMDEDVVLKVLQKQRSNWKVALSFFKWAAGLPQYNHGSRAYTEMLDILGRMKKVRLMRQLFDEIPVESRQSVVTNRMFAVLLNRYAGAHKVQEAIDMFYKRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFLQKKDEFPPVIKSWNIILNGWCVKGSLADAKRVWNEIIASKLKPDLFTYGTFINSLTKSGKLSTAVKLFTSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDHGCQADVATYNTLIKHFCKINRMEKVYELLDDMEVKGVSPNNMTYSYILKTTEKPKDVISLMQRMEKSGCRLDSDTYNLILNLYVSWDYEKGVQLVWDEMERNGSGPDQRSFTIMVHGLHSHDKLDEALHYYRTMESRGMTPEPRTKLLVKAIRMKKDEPATEEQPLTRKNLKLDPISRLFHVRK >ONIVA01G03670.1 pep chromosome:AWHD00000000:1:2667742:2668808:-1 gene:ONIVA01G03670 transcript:ONIVA01G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSLSVSGILARHPVLFYAATWTAVATAAVSVAAFAPELAFVWAVTPGAAPLASSTAGCPGGIVLPLDGPPWDAACVPAALFGRVTLDVLVPPVFAAAVVSTALWFTKAVGVWEDDDDEDDSDEATMLDKCSVKVYTDSKIDSLSWPSLLCN >ONIVA01G03660.1 pep chromosome:AWHD00000000:1:2663988:2668148:1 gene:ONIVA01G03660 transcript:ONIVA01G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSGSTAEQVTAGVDATGLTVIVTGGASGIGLETSRVFAMRGAHVIIAARNTEAASVVRKKIIEENPKAHIDVLKLDLSSLKSVRAFADQFNSMNLPLNILINNAGVMFCPFGLSEDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHLHTYPKGIEFDKLNDEKTYDDKMAYGQSKLANILHAKELSRRLKEEGANITINCVHPGLIMTNLMRHSFFLMRVLQFATYILWKSVPQGAATTCYVGLNPQLKGVTGQYFADCNVEKTSRFARNDALAKQLWEFSEKLIKSSSK >ONIVA01G03660.2 pep chromosome:AWHD00000000:1:2663988:2668148:1 gene:ONIVA01G03660 transcript:ONIVA01G03660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSGSTAEQVTAGVDATGLTVIVTGGASGIGLETSRVFAMRGAHVIIAARNTEAASVVRKKIIEENPKAHIDVLKLDLSSLKSVRAFADQFNSMNLPLNILINNAGVMFCPFGLSEDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHLHTYPKGIEFDKLNDEKTYDDKMAYGQSKLANILHAKELSRRLKEEGANITINCVHPGLIMTNLMRHSFFLMRVLQFATYILWKSVPQGAATTCYVGLNPQLKGVTGQYFADCNVEKTSRFARNDALAKQLWEFSEKLIKSSSK >ONIVA01G03660.3 pep chromosome:AWHD00000000:1:2664491:2668148:1 gene:ONIVA01G03660 transcript:ONIVA01G03660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSGSTAEQVTAGVDATGLTVIVTGGASGIGLETSRVFAMRGAHVIIAARNTEAASVVRKKIIEENPKAHIDVLKLDLSSLKSVRAFADQFNSMNLPLNILINNAGVMFCPFGLSEDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHLHTYPKGIEFDKLNDEKTYDDKMAYGQSKLANILHAKELSRRLKEEGANITINCVHPGLIMTNLMRHSFFLMRVLQFATYILWKSVPQGAATTCYVGLNPQLKGVTGQYFADCNVEKTSRFARNDALAKQLWEFSEKLIKSSSK >ONIVA01G03650.1 pep chromosome:AWHD00000000:1:2647088:2661631:1 gene:ONIVA01G03650 transcript:ONIVA01G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGLENPLLGGGAVSSPDSRRRRPCTALAIAAAALLALAACVVLHLSSGGDDRSRVVSGGGGGVRLSPHEVEAGVGAVATDDGRCSEVGAAALRAGGHAVDATVAATLCLGVVHPMSSGVGGGAFIVARDAASGDAVAFDARETAPAAATPDMYAGNPTSKYKGALAMGIPGELAGLHAAWSRYGRLPWKDLFAPAIKLARDGFTVVPYLEIALKKTERDVLADPGLRAVLAPEGRILAAGEVCRNPALADTLEAIASGGVEAFYGGAVGERFVADVRRAGGIATVDDLRAYKVEVSDAMRSDAMGYTFLGMPPPSSGGVGVALILNILSGYKSLEFLKGFLGLHRFIEAFKHMLAIRMDLGDPDYVNITGNVSEMLSPAFADKLRQRIVDNTTFPPSYYFPKWSQLDDHGTSHLCVVDGDRNAVAMTTTENHLFGAHLLSPSTGIVDGQLAGVVGGSGGPFIIATVVQLVPNEVVYENVTVVDGEVFELSGEAREFLRRRGHRLTSTDSGAVCQFIVQDLLTPVAAAGDENVFHGMLTAVSDPRKDGRPAGIPASIESKESHNQFTALNSNSQRLQLLQQLFADIALKVDDRARDVILSTNDDGIAPVDEREDTRLCFYEILANHFVKVPESGRRILDLIVQLWSQSFAANIFALLFHRWLFEVPLDGKEVSLRYSSALVQGATNYLLEEVALVPDQLSKISVQAGRGLFLLLSRFMLFYDQDYYVIELTDQLQKLKVEPVLLHYLSRLTILQGLELRMSTSTRLKACLYSFTSPGGPTYPTRAVGRYPRHVISLFFRLLYPWYWPSSCGNFIMTCVKTVYYYILNLIVSSWENMRRPNHQRMHRD >ONIVA01G03650.2 pep chromosome:AWHD00000000:1:2644482:2653615:1 gene:ONIVA01G03650 transcript:ONIVA01G03650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGLENPLLGGGAVSSPDSRRRRPCTALAIAAAALLALAACVVLHLSSGGDDRSRVVSGGGGGVRLSPHEVEAGVGAVATDDGRCSEVGAAALRAGGHAVDATVAATLCLGVVHPMSSGVGGGAFIVARDAASGDAVAFDARETAPAAATPDMYAGNPTSKYKGALAMGIPGELAGLHAAWSRYGRLPWKDLFAPAIKLARDGFTVVPYLEIALKKTERDVLADPGLRAVLAPEGRILAAGEVCRNPALADTLEAIASGGVEAFYGGAVGERFVADVRRAGGIATVDDLRAYKVEVSDAMRSDAMGYTFLGMPPPSSGGVGVALILNILSGYKSLEFLKGFLGLHRFIEAFKHMLAIRMDLGDPDYVNITGNVSEMLSPAFADKLRQRIVDNTTFPPSYYFPKWSQLDDHGTSHLCVVDGDRNAVAMTTTENHLFGAHLLSPSTGIVVNNQMDDFSVPAEGTPPPDNLPPAPANFIAPGKRPLSSMTPTIILKDGQLAGVVGGSGGPFIIATVVQVFVNHFIVGMRPLAAVLNPRVYHKASIS >ONIVA01G03650.3 pep chromosome:AWHD00000000:1:2659326:2661826:1 gene:ONIVA01G03650 transcript:ONIVA01G03650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSKASNSIFLSKANDEKPVWQQTRTANASPLNCSNHILEQSQIVAAAASQQSSNNSDTSRAIITRQVLTSLATPVHQMADDEAPHMASCHIIYLAQSSTESMNHSSWQGQGDTYRFAHAPCHSRGAREAIPTQKLSISLYIFHVI >ONIVA01G03640.1 pep chromosome:AWHD00000000:1:2641123:2644439:1 gene:ONIVA01G03640 transcript:ONIVA01G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGLENPLLGGGPVSSQGSRRRRPWTALAIAVALLAVACVVLLLLSSGGAEQGGDRSRVVSGGGGGGVGLSPHEVEAGVGAVAADDGRCSEVGAAALRAGGHAADAAVAAALCLGVVHPMSSGVGGGAFIVTRDAASGDAVAFDARETAPAAATPDMYAGNPTSKYKGALAMGVPGELAGLHAAWSRYGRLPWKDLFAPAIKLARDGFTVVPPVAGALKEAERDVLADPGLRAVFAPQGRILAAGEVCRNPALADTLEAVASGGVEAFYGGAIGERFVADVRRAGGIATVDDLRAYKVEVSDAMRADAMGYTFLGMPPPSSGTVGMALVLNILDGYKSLEFLKGFLGVHRFIEALKHMLAIRMALGDPDYVNVAGNVSQMLSPAFADKIRQRIVDNTTFPPSYYFPKWSQLNDHGTSHLCVVDGDRNAVAMTTTVNSYFGAHVLSPSTGIVLNNEMDDFSVPAERTPDHLPPAPANFIAPGKRPLSSMTPTIILKASI >ONIVA01G03630.1 pep chromosome:AWHD00000000:1:2635497:2640011:1 gene:ONIVA01G03630 transcript:ONIVA01G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:63 kDa inner membrane family protein [Source:Projected from Arabidopsis thaliana (AT2G28800) TAIR;Acc:AT2G28800] MAKALLSSSLLPSLQPRAAAARLPMLTLPSLRRHGGRRASACRVRASLHGLDSIAGLDLHAALERAEAALYTLADAAVVAADAAAGGGGGGGGGGGEAAASVAQKNGGWFGFISEALEVVLKVLKDGLSAVHVPYSYGFAIILLTVIVKAATLPLTKQQVESTLAMQNLQPQIKAIQQRYAGNQERIQLETARLYKQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLLPFVDGHPPLGWHDTICYLVLPVLLVASQFVSMEIMKPPQTDDPSQKNTLLVLKFLPFMIGWFSLSVPSGLSIYWFTNNILSTAQQVWLRKLGGAKPVVNQGGSGIITAGRAKRTSAQPAQPGERFKQLKEEESKRKGNKALAAGDSDLSASTSEDEESDDETTEEVNSVGQYGCVVDLGHNFDDQLGRLIFRCRTDTKHSSGTGVLLAHSNMKIVQYTTIGLRG >ONIVA01G03620.1 pep chromosome:AWHD00000000:1:2631928:2633639:1 gene:ONIVA01G03620 transcript:ONIVA01G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLLTTLSMENTNSHPCTRLSMDPAGSHAASGDSSGGGGGGGSTGAGGGGGGGGGDRELFIIPRRESAHPGPPDINLPLSADPSPPPPPHPPSWGIDQFDMLDVGLGTQTYESEVALTLPKLTGNGNTAVGVGARKCAKRGDSIWGAWFFFNHYFKPALVEKPKGKVTRDSSGSVSGFEKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFARSHRMQRKHYRGLSNPQCLHGIEIVSSPNLSAVPEAEMKRWAELTGRELNFSIPPEASDFESWRNLPSTDFELDRPLPPSSKITHGSHSHKKALNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFAHGADEDCVMANNSCSDREQEIEVHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDDEGYLIMVSMLFSDPHSVKVSWRNTLTHGIVKISCVSTARMPFVKRHDRTFKLTDPFPEHCPPGEFVREIPLATRIPEDAKLEAYYDETGTGLEIMVPKHRVGPEEHEVQESNK >ONIVA01G03610.1 pep chromosome:AWHD00000000:1:2619380:2620827:1 gene:ONIVA01G03610 transcript:ONIVA01G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNASGVRRLYLSLYNWIVLIGWVQVSWFMILALLKNGYDAVYAAVEQHLLFAQTAAIMELYNFFVSLLMEIERC >ONIVA01G03600.1 pep chromosome:AWHD00000000:1:2610082:2614320:-1 gene:ONIVA01G03600 transcript:ONIVA01G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGQQIKMQEVKDPSGTQEQRWNNQPSKEDNPIGVTYLSDAPAYEQGGKLRKMPQIMNNLPVICGRVEDTGDLTNPSQQLRLQ >ONIVA01G03590.1 pep chromosome:AWHD00000000:1:2600198:2605984:-1 gene:ONIVA01G03590 transcript:ONIVA01G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGAIGSNRRGGGREWGQHGCASESLSPARHGGYWLGKPETEKRRGLRRRAAAAAAGLPPHEADVWAPPRHGPPVSDTDNGLHETFDHRCAAQMTNLTPHQYGLWAACDWKPKAHGLNPSPRSPSSAGAPACRQRLPIGQIFFTSSSSGSAAGFTARKLHKMPQYALQSRLRVGSLFP >ONIVA01G03580.1 pep chromosome:AWHD00000000:1:2599245:2611977:1 gene:ONIVA01G03580 transcript:ONIVA01G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FG96] MDEILRLSWSKPLAMNPSCSAMAADGSMVRRLYLSIYNWVAFIGWAQVLCYMILALLDKGHEAVYAAIERALLFTQTAAILEVLGELEIERVGEVSSVFYSPTNYWKVTRYSFYGMKESFGFTPSWLLWLRYSTFIACFPVGVVSEICLAYTVLPFMKASEKYCLRMPNKWNFSFNYFYANYPPWRAGERLSLAQPCCPHSLPPPLLFEPMAP >ONIVA01G03570.1 pep chromosome:AWHD00000000:1:2584550:2597177:1 gene:ONIVA01G03570 transcript:ONIVA01G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G39550) TAIR;Acc:AT2G39550] MTLKPNPSPRRLPPPSRSSAMAADGSVLRRLYFSVYNWVVFIGWAQVLLSMILALLDDGHEAVYAAIERHLLFAQTAAIMEILHSILGLVRSPVSSTLPQITGRLFITWGILWSFPETHSHILVTPLIISWSITEVIRYSFFGIKESFGFTPSWLLWLRYSTFIVCYPVGMVSEVGLIYIAFPFMKASEKYCIGMPNKWNFSFDYFYFSTFLMALYVPVFPYLLRHMVAQRKKALSKAKTT >ONIVA01G03570.2 pep chromosome:AWHD00000000:1:2584550:2592635:1 gene:ONIVA01G03570 transcript:ONIVA01G03570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G39550) TAIR;Acc:AT2G39550] MAEPEQPEFARERHVLFLEAMASELPADYASQEVNHLTLAYFAVAGLSLLRELDSVNKDQIAKWILSFQVHPKTDNELDNGQFYGFCGSRTTQFPSTNMKDPCHNGSHLASTYSALAILKIVGYDLANIDNKVLLSSMRNLQQPDGSFMPTHIGAETDLRFVYCAAAICSMLKDWTGMDKEKAKQYILSCQSYDGGFGLVPGSESHGGGTFCAVAALCLMGFIQVDLASNLQEPSSIDVRLLLEWCLQRQAADGGFQGRRNKSSDTCYAFWIGGVLKIIGAYRFIDHGALRSFLLYCQSPYGGFTKFLYDQFPDIYHSYYGLAALSLLEEEGLEPLCTELGILSAALLTA >ONIVA01G03570.3 pep chromosome:AWHD00000000:1:2584550:2592850:1 gene:ONIVA01G03570 transcript:ONIVA01G03570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G39550) TAIR;Acc:AT2G39550] MAEPEQPEFARERHVLFLEAMASELPADYASQEVNHLTLAYFAVAGLSLLRELDSVNKDQIAKWILSFQVHPKTDNELDNGQFYGFCGSRTTQFPSTNMKDPCHNGSHLASTYSALAILKIVGYDLANIDNKVLLSSMRNLQQPDGSFMPTHIGAETDLRFVYCAAAICSMLKDWTGMDKEKAKQYILSCQSYDGGFGLVPGSESHVSQVEGHSVLLQLCA >ONIVA01G03560.1 pep chromosome:AWHD00000000:1:2579332:2582747:-1 gene:ONIVA01G03560 transcript:ONIVA01G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G55360) TAIR;Acc:AT3G55360] MKVSVVSRSGREVVRGGVELKDSPCRGEGLEFWFLGGGGVKISWKGLRLRLRSRICRMPSMPRSERNLKKDRLHGMMETGNVVELTWQYTIDSKKYYPARQRLTLPIQPGKSGKPVVLSAKASLSEYCEKGSGSLTVVFKDLGPQVFYSTLFFFEYLGPLLIYPMFYYLPVYKYFGYEGERVMHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPVSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSELQMKIGFGFGILCQIANFYCHILLRNLRSPSGNGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYIFLVVAASIMTNWALGKHRRLKKASTLFDGKEGRPKYPRRWVILPPFL >ONIVA01G03550.1 pep chromosome:AWHD00000000:1:2574566:2576057:-1 gene:ONIVA01G03550 transcript:ONIVA01G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFNYQHPPFRQAATDRNLSENPLKFPIPRTCDPFLFLLPFLFGEFAFPPTFHFTNSLLAAKAPPNPRIGHQIPFFLGCVGCRRHLKSKV >ONIVA01G03540.1 pep chromosome:AWHD00000000:1:2570640:2574477:-1 gene:ONIVA01G03540 transcript:ONIVA01G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHHQDSAFYGKELHGRRWSILQFFGFRRRLRSTKMISDKKQSQGKGSGGSRRRGSYVPLKDEDTSVMDDDKHTETTKKNKSSKKSSGKGSLGSLILKKLYGKEGHKEKMLPVAPKLLRTLSIHYLESNEYVLDGESASNGDGSSQSIMPNSTDTNIQNATFNTQDGCDNDTSSSLLKRGDIHVKQRSHRSISMDGVLHKVPYGHKASEDVIKEGLSRSASATYDRDGLKSYTVTAAKRPVNQGFRRSRSLTESLEKYSHLLDSISSNQSKRTLTSSKSTRDHSLDAPAVMTGLQSLVMTAENLVIPEHALAPCVPERIIVDGDVDAAMDEISVHKDADGSENTVLIEEYIVDKKCGMHTTKVSEDGRISEEHTTTSDDKQIHSSDVLKSREDSSLKPRVLHLSDTDDSADMDLNTSTSMELSTAGLGHKNVQESDSDELSSLQADPKNEDGLIYVKNIFMKSSFRNEVLFDEWCSQNITVLQEEDCQHYEAAAAAFDFTEMSADQLLLSDLTNEVLLDIYKKYSVSKSLLSRFSSFDRPKPVGNHALKELWSKVSCHLDEQPQSSIEIDTILSNDLSKNDHWVNFQRDADHLGNMLADFVFDRLLTEFTLQLAKF >ONIVA01G03540.2 pep chromosome:AWHD00000000:1:2570640:2574477:-1 gene:ONIVA01G03540 transcript:ONIVA01G03540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHHQDSAFYGKELHGRRWSILQFFGFRRRLRSTKMISDKKQSQGKGSGGSRRRGSYVPLKDEDTSVMDDDKHTETTKKNKSSKKSSGKGSLGSLILKKLYGKEGHKEKMLPVAPKLLRTLSIHYLESNEYVLDGESASNGDGSSQSIMPNSTDTNIQNATFNTQDGCDNDTSSSLLKRGDIHVKQRSHRSISMDGVLHKVPYGHKASEDVIKEGLSRSASATYDRDGLKSYTVTAAKRPVNQGFRRSRSLTESLEKYSHLLDSISSNQSKRTLTSSKSTRDHSLDAPAVMTGLQSLVMTAENLVIPEHALAPCVPERIIVDGDVDAAMDEISVHKDADGSENTVLIEEYIVDKKCGVSVSTEANICTPPLLSEVIDISQGHVATCDDDQVHSSTEDNMCTVHSKSEEIDVPEEHGTTCDDDHTNLSTEAGMHTTKVSEDGRISEEHTTTSDDKQIHSSDVLKSREGTFCVPRPSQEIEAEINLSCEQETDSPMSVLDMTFPDDPASPVKYTILDDSSLKPRVLHLSDTDDSADMDLNTSTSMELSTAGLGHKNVQESDSDELSSLQADPKNEDGLIYVKNIFMKSSFRNEVLFDEWCSQNITVLQEEDCQHYEAAAAAFDFTEMSADQLLLSDLTNEVLLDIYKKYSVSKSLLSRFSSFDRPKPVGNHALKELWSKVSCHLDEQPQSSIEIDTILSNDLSKNDHWVNFQRDADHLGNMLADFVFDRLLTEFTLQLAKF >ONIVA01G03530.1 pep chromosome:AWHD00000000:1:2564823:2566241:-1 gene:ONIVA01G03530 transcript:ONIVA01G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCQCGSGCGGCKMYPEMAEEVTTTQTVIMGVAPSKGHAEGLEAGAAAGAGAENGCKCGDNCTCNPCNCGK >ONIVA01G03520.1 pep chromosome:AWHD00000000:1:2559679:2562985:-1 gene:ONIVA01G03520 transcript:ONIVA01G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALSLLCVLMTIGTGTASDEPALLALKAGLSGSSSSALASWNTSASFCGWEGVTCSHRWPTRVAALDLPSSNLTGTLPPAVGNLTFLRRLNLSSNQLHGEIPPAVGRLRRLLVLDMDHNSFSGVIPANLSSCISLTILRIQSNPQLGGRIPPELGNTLPRLEKLQLRKNSLTGKIPASLANLSSLQHLSLSYNKLEGLIPPGLGDIAGLRYLFLNANNLSGELPLSLYNLSSLMMLQVGNNMLHGSIPSDIGRMLPGIQVFGLDVNRFTGVIPPSLSNLSTLTDLYLPDNKFTGFVPPNLGRLQYLQYLYLVGNQLEADNTKGWEFLTSLSNCSQLQEFVLANNSFSGQLPRPIGNLSTTLQMLNLENNNISGSIPEDIGNLVGLSFLDLGFNSILSGVIPESIGKLTNLVEISLYNTSLSGLIPASVGNLTNLNRIYAFYCNLEGPIPPSLGDLKKLFVLDLSYNHLNGSIPKEIFELQSLSWFLDLSYNSLSGPLPSEVGSLVNLNGMDLSGNQLSGQIPDSIGNCEVMEALYLEENSFEGGIPQSLSNLKGLTILNLTMNKLSGRIPNTIARIPNLQQLFLAHNNFSGPIPATLQNLTTLWQLDVSFNKLQGEVPVKGVFRNLTFASVVGNNLCSGIPQLHLAPCPILNVSKNKNQHLKSLAIALPTTGAILVLVSAIVVILLHQRKFKQRQNRQATSLVIEEQYQRVSYYALSRGSNEFSEANLLGKGRYGSVFRCTLDDESALVAVKVFDLQQSGSSKSFEAECEALRRVRHRCLIKIITCCSSIGPQGQEFKALVFEFMPNGTLDGWIHPKSSNLTPSNTLSLSQRLNIAVDIFDALDYLHNHCQPPIIHCDLKPSNILLSEDKSAKVGDFGISRILPKSTTKTLQSSKSSIGIRGSIGYIAPEYGEGSTVTRAGDTYSLGILLLEMFTGRSPTDDIFRDSMDLHKFVAASFLHQPLDIADPTIWLHEEENDADVKNESIKTRIIQQCLVSVLRLGISCSKQQPRERMMLAEAVSEMHATRDEYLRSWMVGHEEHSTVS >ONIVA01G03510.1 pep chromosome:AWHD00000000:1:2557839:2558300:1 gene:ONIVA01G03510 transcript:ONIVA01G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0FG86] MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKAEKGSGEGKKAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >ONIVA01G03500.1 pep chromosome:AWHD00000000:1:2553071:2556023:-1 gene:ONIVA01G03500 transcript:ONIVA01G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLYALAWKFLEKLGQLIEDEVIMTLSVKRGIESLKKNLEFFNAVHEDAEALAMEDPGIDSWWKNMRDVMFDVDDIVDLFMVHATKAEIKMNKEMFGLERTNRQQIQITIVDRSQTSPVDELEVVGEDIRRAIDDMVKMIVSSNYNESRSTVFGIQGMGGIGKTTLAQKIYNEQRIREKFQVHIWLCISQNYTETSLLKQAIRMAGGICDQLETKTELLPLLVDTIRGKSVFLVLDDVWKSDVWIDLLRLPFLRGLNSHILVTSRNLDVLVEMHATYTHKVNKMNDCDGLELLMKMSLGPYEQSREFSGVGYQIVKKCDGLPLAIKVVAGVLSTKRTRAEWESIRDSKWSIHGLPRELGGPLYLSYSNLPPELKQCFLWCALLPSNFVIRRDAVAYWWVAEGFVTEVHGYSIHEVAEEYYHELIRRNLLQPRPEFVDKGESTMHDLLRSLGQFLTKDHSIFMNMEYSKALPNLRHLCISNDVEEIPAIEKQKCLRSLLVFDNKNFMKINKDIFRELKHIRVLVLSGTSIQIIPESVGNFLLLRLLDLSYTKIQKLPESIGKLTSLEYLSLHGCIHLDSLPDSLMRLSNISFLELEQTAIDHVPKGVAKLQQLYNLRGVFDSGTGFRLDELQCLSNIQRLRIVKLEKAAPGGSFVLKNCLHLRELWLGCTIGGHDKTYYQANEIERIQQVYELLIPSPSLLYIFLVGFPGVRFPDWLCSEPERKMPNLGHMHLNNCTSCSVLPPAGQMPELLVFKIKGADAIVNMGAELLGKGVNSAKHITIFPKLELLLITNMSNLESWSLNTWNLCGKSEQLVLMPCLKRLFLNDCPKLRALPEDLHRIANLRRIHIEGAHTLQEVDNLPSVLWLKVKNNRCLRRISNLCNLKDLLAQDCPALYQAENLISLKRLYMVDCHNAKQFRMSLLEDQQLAVHVVTVGADGRDIFPDESLYN >ONIVA01G03490.1 pep chromosome:AWHD00000000:1:2545006:2545467:1 gene:ONIVA01G03490 transcript:ONIVA01G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0FG84] MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKAEKGSGEGRKAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >ONIVA01G03480.1 pep chromosome:AWHD00000000:1:2536923:2540925:-1 gene:ONIVA01G03480 transcript:ONIVA01G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKCARERSGEERVSRRAVVEEFLEFGLSFGVEIRLRAYGPIQVAVFATGPNSERKTKSIGLDAPVELGGAFHRTPFAHRSPRAPIRYVTLRYVTCEMNQRICSLFILELYDVILIQAQFDLGPAYLCLWVVVAVFGFARRSISLSCVDLNTKIFMGRFCLKNFKHLPLERSSGNPGSSTMGTVLDALAWKFLEKLGQLIEDEVIMTLSVKRGIESLKKNLEFFNAVREDAEALAMEDPGIDSWWKNMRNVMFDVDDIVDLFMVHSQKFLLPPRPVCCNQPLFSSFAKFSFDHRIAKRIDNINEKFEEIKMNKEMFGFERTNRQQVQITIVDRSQTSPVDELEVVGEDIRRAVDDMVKMIVSNYNDNRSTVFGIQGMGGIGKTTLAQKIYNEQRIREKFQVHIWLCISQNYTETSLLKQAIRMAGGICDQLETKTELLPLLVDTIRGKSVFLVLDDVWKSDVWIDLLRSPSERGLNSRIPVTSRNLDVLVEMHATYTHRVNKMNDYDGLELLMKMSLGPYEQRREFSGVGYQIVKKCDGLPLAIKVVAGVLSSKKTKAEWESIRDSKWSIHGLPKELGGPLYLSYSNLPPELKQFFLWCALLPSNFGIHRDAVAYWWVAEGFVTKMHGYSIHEAAEEYYHELIRMNLLQPKPEFVDKWMSTMHDLLRSLGQFLTKDHSLFMNMENSKALLNLRHLVISNDVKEIPAIEELKCLRSLLIFNNKNFKTINKDIFRELKHIRVLVLSGTSIQVIPESVGNLLLLRLLDLSYTKIKKLPESIGNLTSLEYLSLHCCRHLDSLPASLMRLSNISFLELEQTGIDHVPKGIAKFQKLYNLKGVFESASGFRLDELQCLPNIQRLWIVKLEKATPGGAFVLRNSLRLRELWFRCTLGANTHDITHYQMNEIERIQQVYEMLSPSSSLIYLFFEGFPGVRFPDWLCLEPEYKMPNLGHMYLNECISCSELPPAGQMPELLILQIRCADAVVNIGSELLGKGVSSATHITIFPKLKLLHIIDMSNLESWSLNTGNLRGRSEQLVLMPCLKRLFLNGCPKLRALPEDLHRIAN >ONIVA01G03470.1 pep chromosome:AWHD00000000:1:2536277:2536905:-1 gene:ONIVA01G03470 transcript:ONIVA01G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTTFLQCYGSRSRITGDLFAQDCPALDQAENLISLKRLHMVDCQNAKQFRMCLLEDQQLAVDVVTVVVESRVARPKETVVLVYLKCYRKQLNFCGNKWYSKCSPVVNAAWCRPNSRKQHRLPKL >ONIVA01G03460.1 pep chromosome:AWHD00000000:1:2531187:2535515:-1 gene:ONIVA01G03460 transcript:ONIVA01G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCQCGSGCGGSRERVQVRRQLHLQPLQLRQVEASNLLIRKPRRWKDLRGTHGAGGRRRRPAGEQLGVGGGRRLRGSRPLYPTVATLLTLSDAVCHHGETPEIAQLLPVLANSDG >ONIVA01G03450.1 pep chromosome:AWHD00000000:1:2527413:2527714:1 gene:ONIVA01G03450 transcript:ONIVA01G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTRRRTTPHRCAIPVLTTSTPLVLKDADLLPIDSGGYPKSSLSRTAPHRCAVPMLAISTPFVLDDADLLPTTATSSRVFAAHPGPF >ONIVA01G03440.1 pep chromosome:AWHD00000000:1:2524524:2530675:-1 gene:ONIVA01G03440 transcript:ONIVA01G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLATEKLYRMLGMYEVLTDIKSDLESLFTGYARNFFSFEVTSIVAQLGNTIRHLSMQFWIRIREGEGRRQRREEGFVGTLLRCSRVTAVVASPRHQRLLLVLLTPPPEPSCSPAGRRPWPAAPPPPPVEREGERWRGEGKKRLMCRTRVGPMLSQMSRWVQNASY >ONIVA01G03430.1 pep chromosome:AWHD00000000:1:2519214:2522517:1 gene:ONIVA01G03430 transcript:ONIVA01G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSQKKRRSKGKDGAVAPVGLRLNRLGRASPREAAGKPVYLAVEHDDDQEVPAHSIVELGGGGGEAHLVLHNVRGMSFATVESRYGPRIVGVGGKLFTTVYDPKTSMEIPGPFLVEPKLRPVLIPRGSKLYALSRTPSVVPGLDFLPWFVYLDLNYVLVAPHDARTMGWHHLPPPPIFPVRLNPLEYRDPPEVRVASYAVVGSHILLSVQQDKGTCAFDMDTNQWDMVDANNLPFIGKAVPLGGHLFIARSIANGGAAAVYDIRVFPLQPTSSGSHKTELSILNIPVVSKGIVPGQLFCSLGKGIFSSIDVRSAATPGPDAKLHKARKQNDHVKV >ONIVA01G03420.1 pep chromosome:AWHD00000000:1:2505114:2508788:-1 gene:ONIVA01G03420 transcript:ONIVA01G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMAERQHKKRKPEKMAGARTSGHDKEEEKKVKAPSVYLVVGHEVSWATYSVFKVDPYAAAKRGGGGGDEEDPAPVPIPRRLARISAKFCMSFTPVPVRSWIVGVGGDSADEDYAPETIVFDTETRAVIRGPNLLSTKLHPVVLTIGHKIYALARYPSVTGQLDFVPWFEVLDLSQARVVDGHLESCEWKPLPRPPFFPWDLTPLQYLSPPMVTVESYVALASCILVSLSQQEGTHMFDVDKEQWSKLDDNSLPFVRGAVPHGPIFLGLSRAKKTITAYNITVVCQPRSGGTAPSLSIVEFPVVSDMEEEILSTPIFLSLGSPGFCSLKSWSDHPTTDDPCTRARIKLMAYHTEEPRSQECIESSHGLLIPNQWKQVYEICDSSRELIWQCLITAMSL >ONIVA01G03410.1 pep chromosome:AWHD00000000:1:2496983:2502551:1 gene:ONIVA01G03410 transcript:ONIVA01G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGDPGGRVGEAKADATRAANKRRAPSPAGGGGVEAKRRKDAMGSSVSGSRSGGGGGRRLRHGRGRRARAFRRKLEEENKNSNNRPRASNVVIAYTPNLIRRYGTLLRNISFLLPYDGQGKAYACPSDKINVFLKWSRCNFCLFFEWAEGDQMMICHMFNTLKEIHISFLINPAFLDESMEVKVTNPLLSFSSNFVEDETWALVKEMLMMMFSPVQEDERAASDLYVFTKSRDSVYFRIFKITSIPAGESENSLVLDEVGLNFCLKLLDVHGAFVGSDCDQALDGLQSQTRNELKLSPDIYYCVPNDKLGCCTLHSVALNNQNYLFFLENGLDLSHFEGFCLTLEEFLERNSFLKIKHIVGSRNDEECNFV >ONIVA01G03410.2 pep chromosome:AWHD00000000:1:2496983:2500878:1 gene:ONIVA01G03410 transcript:ONIVA01G03410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGDPGGRVGEAKADATRAANKRRAPSPAGGGGVEAKRRKDAMGSSVSGSRSGGGGGRRLRHGRGRRARAFRRKLEEENKNSNNRPRASNVVIAYTPNLIRRYGTLLRNISFLLPYDGQGKAYACPSDKINVFLKWSRCNFCLFFEWAEGDQMMICHMFNTLKEIHISFLINPAFLDESMEVKVTNPLLSFSSNFVEDETWALVKEMLMMMFSPVQEDERAASDLYVFTKSRDSVYFRIFKITSIPAGESENSLVLDEMSTVLLLAQTVIKLWMVFKVRFLFDFGRISREEFFSEDKAYCWVKE >ONIVA01G03410.3 pep chromosome:AWHD00000000:1:2498858:2502551:1 gene:ONIVA01G03410 transcript:ONIVA01G03410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHLVNLFSLGKCLRMGTDLLSRRKILLKGSDVKFFQLEVIAYEEDEAINNVLQVVELVKSCFVGEMIPAYLQGDLHDLEENPLKRLESVIDSSSLMSAKEKKGLLVNLHTEYKTNISPAISEDDRDSLFKHIPYLDCWMNRTEDNEYLKLVANLDSKKVALKVNKVGTDKRKPSKNGILQFDFMRNSDVHIPEKALKDGITPFRLSWTDYILTSRYPTYLRAIQKSLEPYMKSKKKLK >ONIVA01G03400.1 pep chromosome:AWHD00000000:1:2475465:2485100:-1 gene:ONIVA01G03400 transcript:ONIVA01G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGVRRGKEEALGAGGGQLCPECGYEYPNANPNPRLRRSHSKNCRRKMKMPVVAEEAGVAVHKRRRGLAVENASRGGGGDKVVHEGSSEQGCSAKAKEISAEPKLMADDPMSSHEKHMICHRHYKLYPTKVAPQCNDLSFGPFLGLRRKAMIVTKGIKVMSGETLDYKPEGGRIMFLFEAGVVDELHASAIRDPIGIYLKDKNETICIGSITKAQPRARLWLMLSEEMKLFHNSERRSVYFNGYEFYPMYKCLHPLETYRKLWVVEVKPFEDASFNPVEDERKYYLLKVFSTAIPKEEIDVHVRSNKEVKRLGVISSYDTSFSCKLDFEDQFTLSHTSQEEDVVFYGYTLRHGAFCTYDPEYRASKALTAKREPEMTIVPYVPDTVFNRPTS >ONIVA01G03400.2 pep chromosome:AWHD00000000:1:2475465:2485100:-1 gene:ONIVA01G03400 transcript:ONIVA01G03400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGVRRGKEEALGAGGGQLCPECGYEYPNANPNPRLRRSHSKNCRRKMKMPVVAEEAGVAVHKRRRGLAVENASRGGGGDKVVHEGSSEQGCSAKAKEISAEPKLMADDPMSSHEKHMICHRHYKLYPTKVAPQCNDLSFGPFLGLRRKAMIVTKGIKVMSGETLDYKPEGGRIMFLFEAGVVDELHASAIRDPIGIYLKDKNETICIGSITKAQPRARLWLMLSEEMKLFHNSERRSVYFNGYEFYPMYKCLHPLETYRKLWVVEVKPFEDASFNPVEDERKYYLLKVFSTAIPKEEIDVHVRSNKEVKRLGVISSYDTSFSCKLDFEDQFTLSHTSQEEDVVFYGYTLRHSCLSHVPVFFAQSKYQVFNRPTS >ONIVA01G03400.3 pep chromosome:AWHD00000000:1:2475467:2481237:-1 gene:ONIVA01G03400 transcript:ONIVA01G03400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGVRRGKEEALGAGGGQLCPECGYEYPNANPNPRLRRSHSKNCRRKMKMPVVAEEAGVAVHKRRRGLAVENASRGGGGDKVVHEGSSEQGCSAKAKEISAEPKLMADDPMSSHEKHMICHRHYKLYPTKVAPQCNDLSFGPFLGLRRKAMIVTKGIKVMSGETLDYKPEGGRIMFLFEAGVVDELHASAIRDPIGIYLKDKNETICIGSITKAQPRARLWLMLSEEMKLFHNSERRSVYFNGYEFYPMYKCLHPLETYRKLWVVEVKPFEDASFNPVEDERKYYLLKVFSTAIPKEEIDVHVRSNKEVKRLGVISSYDTSFSCKLDFEDQFTLSHTSQEEDVVFYGYTLRHGAFCTYDPEYRASKALTAKREPEMTIVPYVPDTVFNRPTS >ONIVA01G03400.4 pep chromosome:AWHD00000000:1:2476360:2485100:-1 gene:ONIVA01G03400 transcript:ONIVA01G03400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGVRRGKEEALGAGGGQLCPECGYEYPNANPNPRLRRSHSKNCRRKMKMPVVAEEAGVAVHKRRRGLAVENASRGGGGDKVVHEGSSEQGCSAKAKEISAEPKLMADDPMSSHEKHMICHRHYKLYPTKVAPQCNDLSFGPFLGLRRKAMIVTKGIKVMSGETLDYKPEGGRIMFLFEAGVVDELHASAIRDPIGIYLKDKNETICIGSITKAQPRARLWLMLSEEMKLFHNSERRSVYFNGYEFYPMYKCLHPLETYRKLWVVEVKPFEDASFNPVEDERKYYLLKVFSTAIPKEEIDVHVRSNKEVKRLGVISSYDTSFSCKLDFEDQFTLSHTSQEEDVVFYGYTLRHSCLSHVPVFFAQSKYQMIQSIVRLRHSLQRENQR >ONIVA01G03400.5 pep chromosome:AWHD00000000:1:2475467:2481237:-1 gene:ONIVA01G03400 transcript:ONIVA01G03400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGVRRGKEEALGAGGGQLCPECGYEYPNANPNPRLRRSHSKNCRRKMKMPVVAEEAGVAVHKRRRGLAVENASRGGGGDKVVHEGSSEQGCSAKAKEISAEPKLMADDPMSSHEKHMICHRHYKLYPTKVAPQCNDLSFGPFLGLRRKAMIVTKGIKVMSGETLDYKPEGGRIMFLFEAGVVDELHASAIRDPIGIYLKDKNETICIGSITKAQPRARLWLMLSEEMKLFHNSERRSVYFNGYEFYPMYKCLHPLETYRKLWVVEVKPFEDASFNPVEDERKYYLLKVFSTAIPKEEIDVHVRSNKEVKRLGVISSYDTSFSCKLDFEDQFTLSHTSQEEDVVFYGYTLRHSCLSHVPVFFAQSKYQVFNRPTS >ONIVA01G03400.6 pep chromosome:AWHD00000000:1:2476362:2481237:-1 gene:ONIVA01G03400 transcript:ONIVA01G03400.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGVRRGKEEALGAGGGQLCPECGYEYPNANPNPRLRRSHSKNCRRKMKMPVVAEEAGVAVHKRRRGLAVENASRGGGGDKVVHEGSSEQGCSAKAKEISAEPKLMADDPMSSHEKHMICHRHYKLYPTKVAPQCNDLSFGPFLGLRRKAMIVTKGIKVMSGETLDYKPEGGRIMFLFEAGVVDELHASAIRDPIGIYLKDKNETICIGSITKAQPRARLWLMLSEEMKLFHNSERRSVYFNGYEFYPMYKCLHPLETYRKLWVVEVKPFEDASFNPVEDERKYYLLKVFSTAIPKEEIDVHVRSNKEVKRLGVISSYDTSFSCKLDFEDQFTLSHTSQEEDVVFYGYTLRHSCLSHVPVFFAQSKYQMIQSIVRLRHSLQRENQR >ONIVA01G03390.1 pep chromosome:AWHD00000000:1:2461719:2474936:1 gene:ONIVA01G03390 transcript:ONIVA01G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteolysis 6 [Source:Projected from Arabidopsis thaliana (AT5G02310) TAIR;Acc:AT5G02310] MAGMDGGGGPSDAPPELSTQELIEQKLILFGVPQEQLQEHQEGLLIYLEEHKELIPEIAKLVLSVGADLLEARKASNKDGDSSNSEACDEILSWLQWLMFNNEPHAMLDDLERSTAGERAVCGSVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDTTAWKREGFCSRHKGAEQIKPLPEELASSVGPVLDVLLQFWKERICLVEGPPHAEGDGGNSCKRVAEELTTCVANMLLEFCSCSESLLSFVAQRICECPDLLDALTKAERLLDKKAVKKLHELLLKLISEPAFKYDFAKVFIHYYPVTFGEVIKGCNDSLLEEYPLMPTFSVQIFTVPTLTPRLVREVNLLGVLLGCLTDLFLSCIGEDGHLQTNKWTNLYDATVRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHGEDENENLSAPFVLGHYLGVCHGSLVKGAFAPPEQHESTDVTICSTAAKSIESSENQRLAKVGRVSQNSSVCNLGSKDSSSVGGLPPPAAWLILQCLKAIEGWLAPGIALRGKLSFLDASSSDPHNFMALLEEPLTSNKGKPNINIAEVNAKINEEPRPGDIADIPESFSSSVQDPDNLMLIDQIGLPHPSNMAGKRKMHESSNAADTQLHPENAISYTMTDGSLLYAHPDSRIEELGIINTVGWPHVVFDVSSQETSFHIPLHRMLSFLLRKAMGKCFGEDVKPGHCSVVQTNEFFSQVLRGCEPYGFASIVMEHPLRLRVFCAQVRAGMWRKNGDAAILSAEWYRSVQCPEGNNVTRLSRLEQGLESDLFLLQCCAALSSPELFVKTIQERFGLSNYTSLDLAEQNDQIVKSLPRALSSSDQLQNVLDSLAVYSNPSGMKQGKYVLRKTFWKELDLYHPRWNSRELQIAEERYYRFCKVSALNAQLPQWTHVFRPLHNISKIATSKAVLQIVRAVLFYAVYSDPLSVSRAPDNVLVTGLHLLWLALDICESESKRYANQYGMDIVQHDDESWVVLSSYAEEAFPILTYSTELVPPVSGKVKNESMLTLLVSLMHKYKEENDISFSGSKYCNIPSLIESLLKKFANLSKECFSAIRQMAPHIVPSMPQDTSCKQNPGSSDSMDKKAKARQRQAAIMAKMKAEQSKFAESMKSSGSEGQDVPMSEPDVSCSTGVVSEESLPVCSLCRDSDSKSPLCYLILLQRSRLATFVEMGHPSWENPTKSNKISGSIRREESADPSGASSFSSEEFIADTAVEPSFDSDAMEVDAFLDFSNEQHPLIRYISSLPGVYSNSNAGDTTSLETIEDDVCKTILDHMFGPNNVETKDDEQTVNTSNLSIGSKKNRSPRSSVLGTYVNCLSTKHLHPSKSSGSVTRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFETGHIVDPDLGELLCPVCRRFANSILPASPDFSSKSLRKTMPSVQIPSEAPPASSWTTASNLQFPHALWLLETAGKIVGQSKFLKALSGKVNDTTEPALDPSLRRLCMLYYRRSHNSFSASERLNPSLFLWDTLRYSVVSTEIASRGRIASYSESKSCIESLRHELNSSNGFILSLLFRVAHSARNLSRLEVLLRFEGLQLLASSICSGTSGDKDLLNATKRKGIMPPMGDPTSEGGVFPDIQFWKQCADPVLAQDPFSSLLSTLFCLPVQFLTSTEFFIPFVHLFYVVCVVQALITCYGEETFDRSSFSNCLLNDVCQTMSTIDIAREYFVSKHIDPSCHPKDMVRRLTYPYLRRCALLWELLRSSSSAPLYDSSNIWEGSSHLYLNNSAADSLAVELNGIRELEDLFQIQSLDPILQDESVHMLALKWTQHFCEDYKTRKYRGVHFSTPAVPFRLMELPPVYQVLLERTGKCLNHASQCGAGVGIFLLVRKTTILLQRSIRLAFWPSPYLDAFGEEDHDMHRGKPLYLSQERYAALTYLVASHSLDRTSEVLRQTTISIYGSD >ONIVA01G03380.1 pep chromosome:AWHD00000000:1:2450097:2453288:-1 gene:ONIVA01G03380 transcript:ONIVA01G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:triosephosphate isomerase [Source:Projected from Arabidopsis thaliana (AT3G55440) TAIR;Acc:AT3G55440] MGRKFFVGGNWKCNGTTDQVDKIVKILNEGQIASTDVVEVVVSPPYVFLPVVKSQLRPEIQVAAQNCWVKKGGAFTGEVSAEMLVNLSIPWVILGHSERRSLLGESNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMDVVAAQTKAIAERIKDWTNVVVAYEPVWAIGTGKVATPDQAQEVHDGLRKWLAANVSAEVAESTRIIYGGSVTGANCKELAAKPDVDGFLVGGASLKPEFIDIINSATVKSA >ONIVA01G03380.2 pep chromosome:AWHD00000000:1:2450097:2452478:-1 gene:ONIVA01G03380 transcript:ONIVA01G03380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:triosephosphate isomerase [Source:Projected from Arabidopsis thaliana (AT3G55440) TAIR;Acc:AT3G55440] MRKRSTRNGTTDQVDKIVKILNEGQIASTDVVEVVVSPPYVFLPVVKSQLRPEIQVAAQNCWVKKGGAFTGEVSAEMLVNLSIPWVILGHSERRSLLGESNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMDVVAAQTKAIAERIKDWTNVVVAYEPVWAIGTGKVATPDQAQEVHDGLRKWLAANVSAEVAESTRIIYGGSVTGANCKELAAKPDVDGFLVGGASLKPEFIDIINSATVKSA >ONIVA01G03370.1 pep chromosome:AWHD00000000:1:2445207:2448707:-1 gene:ONIVA01G03370 transcript:ONIVA01G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTPSRAAAGSNSAAAAASPYRRRGRCTIRRARVRRCRMKLMYFLMDKEEKHRKRAELELEVSELEAALDKETRLGRILHCSLQGRVVCHCCLSTLVPNKIRGLLAELAIVEDEIFYLEKKVDDLRLRLHRERKWTDQCIFQQQQQNWPQNRHQRHSICSLGGRRELQGAELLPRLPCPGSDEALECESKASVGSVSSKGEEVEQIRRSSHSFENLKLPERKICLSGPNKLSEELIRLTVNIFHKLNKTTDAAELEMSSTSKLNISCIGPRSLVPKSSAITGAAISTLKNRRMSQGGDGAEKEIGCHKRFVEFTKSSFDVSRISSCLVDIKNLRILMQRLCNVDPSFLTNKQKLAFWINIYNFCIMHHGLPPSPDKLLGLLNQASVNVGGTVLNVLSIEHLILRHSPEGKQFDSNSTMINLMNKKGIMDERERDLQLSYGLGYPEPNVVFALCRGSRSSPALRVYTAEDISNELERAKVEYLESSVRAASKKKVVVPKLLHWHMRDFADDVASLLEWIYSQLPGRSSSTGQLKRTIRELLAGAVAGGKAAAAKAVEVEPYSAEFHYLLPL >ONIVA01G03370.2 pep chromosome:AWHD00000000:1:2445207:2448707:-1 gene:ONIVA01G03370 transcript:ONIVA01G03370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTPSRAAAGSNSAAAAASPYRRRGRCTIRRARVRRCRMKLMYFLMDKEEKHRKRAELELEVSELEAALDKETRLGRILHCSLQGRVVCHCCLSTLVPNKIRGLLAELAIVEDEIFYLEKKVDDLRLRLHRERKWTDQCIFQQQQQNWPQNRHQRHSICSLGGRRELQGAELLPRLPCPGSDEALECESKASVGSVSSKGEEVEQIRRSSHSFENLKLPERKICLSGPNKLSEELIRLTVNIFHKLNKTTDAAELEMSSTSKLNISCIGPRSLVPKSSAITGAAISTLKNRRMSQGGDGAEKEIGCHKRFVEFTKSSFDVSRISSCLVDIKNLRILMQRLCNVDPSFLTNKQKLAFWINIYNFCIMHHGLPPSPDKLLGLLNQASVNVGGTVLNVLSIEHLILRHSPEGKQGIMDERERDLQLSYGLGYPEPNVVFALCRGSRSSPALRVYTAEDISNELERAKVEYLESSVRAASKKKVVVPKLLHWHMRDFADDVASLLEWIYSQLPGRSSSTGQLKRTIRELLAGAVAGGKAAAAKAVEVEPYSAEFHYLLPL >ONIVA01G03360.1 pep chromosome:AWHD00000000:1:2444362:2444643:-1 gene:ONIVA01G03360 transcript:ONIVA01G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANDHRWPSERMDCRTSETEDNAYCQEDQRIEAGIEMLRQACPRRRPPILEATTTGGREGYSLGLKFPPLIEIPRIHSFEY >ONIVA01G03350.1 pep chromosome:AWHD00000000:1:2439668:2443233:-1 gene:ONIVA01G03350 transcript:ONIVA01G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMDDYIDPYEEAEAEAAAEAAGLTSAAAAEDSSDEGDSEDDSEAESDYEERSYGLLRSGNHRVRNPDGTFRCPFCPGKKKQDYKLKDLLQHADGIGASSKRRRHGRERAFHRAFARFVRTDPSFAQDLAAINGIATTADASSNSSATGAKEKADANGGTDGSASVAAAAAAGNRQEEERFAWPWSGVLAAGAGFNAEDFADRVAMFGLDDVVPLVVDDAEGADSFAIVRFANGWGGFGDALTLENHFNKNKLGKNEWDARGSAGDAVKGEDGETDVKVYGWVAREGDYNAGNVVGRYLRKHTNLTTIDEVSKSESEKSGKMVAILATQIEAKNRFLQDLETKKNATELSIARLEEDNRKLHDAYNEEMRNLHRRARDYALRVFQDNENLKLELDSRRRELNSRAKQLEKITAENANDRKKLDDQKQKAKDDNSELELASIEQQRADEDVMKLVEDQKREKEDVLARMLQLEKELHEKQQLELEVTRLNGTLQVMKHLEGDDDGDIHDKMEKLSERLEHEKKRLEELSGELVKKERESNDELQEARKELIMGLEDMLTGRTAIGIKRMGELDEKPFQNACKRKYGNDDYETKAAELVSSWQEEIKKPSWHPYKIITVDGEDKEVVDDDDTKLRHLWIEYGDDVCNAVKTALSEVNEYNASGRYVVPELWNFRKGRKATMKEVLKYIFGQIETTSKRRRP >ONIVA01G03340.1 pep chromosome:AWHD00000000:1:2432987:2435339:-1 gene:ONIVA01G03340 transcript:ONIVA01G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDSTAVGGESSQRGGLRASELSASFGLVDGGEDSRRISREIDDLRSHLAAKMKELEYCEEIKNLRIELAQKAKEIESLKKLNKEVEAKGESSPKNREKEDFLARMLQLEKELYEKHQLELEVTQLNGTLQVMKHLEGDDDGDIHDKMEKLSGRLERKKECLEELSRELLKKERESNDELQEARKELIMLKQQLQVMKYLDKMEKLSEILECEKKRLEELSGELVKKERESNDELQEARKELTMEVVDDDDTKLRDLWIEYGDDVCNAVKTALSEVNEYNASGRYVVPELWNFRKGRKATMKEVLKYIFGQIETTSKRRRP >ONIVA01G03330.1 pep chromosome:AWHD00000000:1:2426507:2431071:-1 gene:ONIVA01G03330 transcript:ONIVA01G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREDAAAAAAAGVTDEAKLWWLRFNNGAVDDDDDDDEEVEEEESDEEVVVEEEEEEGGEGEGGAAGETEGKGSCGQMERQSSPRSCGSSPSPGEEVSEDEYVQDSQRISQENENLRLQLALKTKELEHEENQKLRLQLELKNKDIESLKKQNDELKAEIEYYKKTTKPPRVARRCRWCEEYTTHDYRNCPQRRSY >ONIVA01G03320.1 pep chromosome:AWHD00000000:1:2417255:2421276:1 gene:ONIVA01G03320 transcript:ONIVA01G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FG58] MPRRGETPVVPIEMGGGGGGGGGGGGGQERPKAPRRHGSHGPGHYGNHHHHHHHHRSRPPPPPPPPPSEFRPFRRWFPFLVPFFVVVNVALFVVTMYINDCPAHMQATGDAIGGDVGEGAASQGCWLEPELGRFAFQSYKENPLIGPSSATLLKMGALETSKVTNDHEGWRLIACIWLHAGVVHILANMLSLLLIGIRLEKEFGFMRIGTLYVISGVGGSLLSALFMVSNISVGASGALFGLLGSMLSELITNWTIYENKFAALLTLVIIILINLAVGILPHVDNFAHLGGFTSGFFLGFVLLVRPQFGYINQKNSPLGLPMGTTKSKYKTYQIILWVIATLILISGFTIGFILVLKGFNASEHCSWCHYLSCVPTSKWSCNTPNNYCMSSQLGNQLNLTCESNGKTEAYTLNNPNSTEAIKHLCVHLCS >ONIVA01G03310.1 pep chromosome:AWHD00000000:1:2416939:2425156:-1 gene:ONIVA01G03310 transcript:ONIVA01G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAGGGGRGDLSAAVSDEANAWSVRFKNAAVDDGGDDDDDVDDDGEEEGGEEGKGPGGGETEKDSCVEKERPRRSRGEEVSEDDYVQAGGGLERRKRKATVTPQKVQTSKVGIKNKKVQAQYLSDLAKEAERLSQENENLRWELKFKTKDLEHAVQTVEWKNKEIEVLKKENNELKTENENYKKNGRVEHLATQPTERSMSSDVTMADAEAETHNAGGGELLVWPWTGILATTTDDDDATADAASTLAFHAHQHFAGVPTTALQEATAGDGHHHHFLVLHFGKSWAGLRDAMSLPGRFPGAGRREWRRRRRGEGATAGAVYGWLAGEDATSGAVYGWPAGEDDLHDGDGVVGRFLRDAGGAARSAEDVERDEGRVAAKLAAIAGEHERRAVFLERKCEEMAGAAQNAEAGNTSLHDELKELRKIAEIIVPEMNRDVHAQMLHKIHKQDMEAIYAKLNQLEKQLEQRQSLESIIRQMNMNLQAGGSLRKEDHEHIYSIMICLRTIVDEEKEMLVDSCAEIMKRLRTNSDELEEYRQELIKGVENMTITASTIIGIKRMGELDERPFHLACKRKHREDDPRGKAAMLISYWQEELKNPSWHPFKIIQVDGEDKGVVDEDDPKLRQLCKDYGDSVCNAVKAAMAELNEYNPRGRHTMNELWNFREGRKATTKEVVNCTHGKSKRRVLLFPYKLEC >ONIVA01G03300.1 pep chromosome:AWHD00000000:1:2411300:2416060:1 gene:ONIVA01G03300 transcript:ONIVA01G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPYIDEDGEPLMDPYDTRDPSPEPQQQPYDDLEDDLGDDWNRGRSPTPVHGDDGAGSSSKPRKRLLKKGGGGGGGGGGGGHGMPGDGLDDWGEEAAGLADDDVDPEADAAKKRKGSSALRDLARGGGKEKKDKKRRKEDGREREGGRGMGMAREKRGGSGGKGFGGGGGGGHGDQDEGEREIQELWDTIAGGDSEDDQEGVRTLDDDNFIDDTGVDPADRYGSDNDGHSPRHYPQAEEGEEDDEIERLFKGGKKKKKNDRPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLMKLPLLIDVLSKKNLQQEFLDHGVLTLLKNWLEPLPDGSLPNMNIRTAVLKLLTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKELVDKWSRPIFNKSTRFEDMRRYDDERAPYRRPQMKKPSSSSSGMESRDDDLDADFSQRKSGQGGARQHASRPEASPLDFVIRPQSKIDPEQIRARAKQVVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMIKYL >ONIVA01G03290.1 pep chromosome:AWHD00000000:1:2403227:2403607:1 gene:ONIVA01G03290 transcript:ONIVA01G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANIGEMEEQLAAAAGAFTAGNGTPSLIKALSSAGFSTIAMAVGMLVYKPAHGALFEHHIFAAYYYLALVLIFVAGVVEIFVAFWVSDDQHGRRRAIGGAVLRASVVPLAAVVGLGGYAVLVMG >ONIVA01G03280.1 pep chromosome:AWHD00000000:1:2398775:2398993:-1 gene:ONIVA01G03280 transcript:ONIVA01G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGAQSGKEEVPAGDIRTRAQSDDIVAGLPLEVEKAGVDGIGALEGVVHGEKRGRGRGKRAGGGGADE >ONIVA01G03270.1 pep chromosome:AWHD00000000:1:2393610:2394113:1 gene:ONIVA01G03270 transcript:ONIVA01G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRQFGSYNLQISVVGTAPATGAVNPTGEVQAGSDNLELGAVEIQVPSGEEVIPAVSGTGPLVKGLRGSGLLTLLVSVTTILNKPPHGVLFARHVIAYYLTLAGIFVAGVLEIGTVLWVTGGARGGGGGGGGRRAFGRVVLWASVVPLTVVSGIGGYNVVVNLLG >ONIVA01G03260.1 pep chromosome:AWHD00000000:1:2381738:2386501:1 gene:ONIVA01G03260 transcript:ONIVA01G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGGDNLNLELGMVEAQVAGGEDPAAGTPPETSTVKALRGVGFLTLVISVGTLVYKPPHGLLFQHHALAYYLTLVGIFFAGVVEVWTAFWVSETAGVGGGRRALGRAVLWASVVPLAAALGIGGYTVLANVPS >ONIVA01G03260.2 pep chromosome:AWHD00000000:1:2381738:2387414:1 gene:ONIVA01G03260 transcript:ONIVA01G03260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGGDNLNLELGMVEAQVAGGEDPAAGTPPETSTVKALRGVGFLTLVISVGTLVYKPPHGLLFQHHALAYYLTLVGIFFAGVVEVWTAFWVSETAGVGGGRRALGRAVLWASVVPLAAALGIGGYTVLANVPS >ONIVA01G03260.3 pep chromosome:AWHD00000000:1:2381738:2384193:1 gene:ONIVA01G03260 transcript:ONIVA01G03260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGGDNLNLELGMVEAQVAGGEDPAAGTPPETSTVKALRGVGFLTLVISVGTLVYKPPHGLLFQHHALAYYLTLVGIFFAGVVEVWTAFWVSETAGVGGGRRALGRAVLWASVVPLAAALGIGGYTVLANVPS >ONIVA01G03250.1 pep chromosome:AWHD00000000:1:2370983:2371898:1 gene:ONIVA01G03250 transcript:ONIVA01G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQAGRDNLEMLQVSSYSLEIGAGEAAGAAGEEYRSSGTPAAPAGQFVKELLGVGSLTLAMAAGTLVYKPPHGLLFQRHVVAYYLTLVVIFLAGVAEVWTAFWLSEAAGDGRRRRRRGALGRAVLWASVLPLAAIAGIGGYTVLEEFSSIRRPPLAAAVYKRHGGAVRRWHGFHSWNRRNISLASLERTTQKFKVKHDGCL >ONIVA01G03240.1 pep chromosome:AWHD00000000:1:2362176:2362549:-1 gene:ONIVA01G03240 transcript:ONIVA01G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVTRRDEAEANVDAALWVLGLATISAAITLATTTTPLQADLGNNGAAYYSYLALALAVAFLAGVAQVIAFVWVSDDPRRAGAAGNWFFVYASVASLVVAVSALAVL >ONIVA01G03230.1 pep chromosome:AWHD00000000:1:2358661:2358999:1 gene:ONIVA01G03230 transcript:ONIVA01G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVARLDQADGAVGAASKIAAARALGAFGLATASLAINLAATRGPPSCFGDSAYYHLALVGSFLSGVAQVAAAVWVADDPRGRHAVGKKIMYASIAPLLVAAGLTGAALLW >ONIVA01G03220.1 pep chromosome:AWHD00000000:1:2338316:2354140:1 gene:ONIVA01G03220 transcript:ONIVA01G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALMGADRREPEATLGAVGIATVSAATAALAAALEPPPGSLVAETFYRLTLTGAFLGGSPWSSLQSGWLTTRPPAVPPARMSSAPPSRRSSPPSGYRWWRCSTKPVKCSCTSPCPTASPSAGVDL >ONIVA01G03210.1 pep chromosome:AWHD00000000:1:2332665:2333066:1 gene:ONIVA01G03210 transcript:ONIVA01G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPQIALPEGVVIVAPVDLILHAETGGGAKLSRGRAPPKLGGDGAPTAAADTALACLSRLAPLTPAPWSSAGLPPSPPNFGGACPPAQLRTAARLSPVAYPLKKRKEKKREEIGDDVDSMTCGGRCGWGMIL >ONIVA01G03200.1 pep chromosome:AWHD00000000:1:2330039:2330374:1 gene:ONIVA01G03200 transcript:ONIVA01G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVRREAEAHGAASSKIPAALGLFGVATISLIINLVAACDPPQGFADSTCYHLALVGSFLAGMGQVGAAIWVAEDPRGHRAAVGKKIMIASIAPLLVAVGLTGAVALLW >ONIVA01G03190.1 pep chromosome:AWHD00000000:1:2321640:2330401:-1 gene:ONIVA01G03190 transcript:ONIVA01G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLRGGSVTPITVSGGGAARITRGLRANCAACYGGRGNSEAPTVVRIEAWGARSSTSTLGLGFAGLYNQRFDVGASKPRVAVERLRSHRARELTVRRAMEVEGIVKLRPLYASRRGVPGAAL >ONIVA01G03180.1 pep chromosome:AWHD00000000:1:2307073:2312077:1 gene:ONIVA01G03180 transcript:ONIVA01G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRELSSTLRNLKFMQRAAVAQKVEEKPKVEAEAAAAAAAAAEEEEEVVTVPSGGVGSSVKVARKCVVIMEGNPHPGAVKGRMSFLNFNPSIDKLTQEATSGRQSQSASPSNNHQDGSNSSRTDEVPRTRFSDFNIDSSESISLNELKRKQPELDMETPPSHRQPKTTGKSVDGDSSSQSNGRGSHKSNKREKLDWNLLRPRKSK >ONIVA01G03180.2 pep chromosome:AWHD00000000:1:2306904:2312077:1 gene:ONIVA01G03180 transcript:ONIVA01G03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKLQVTRHPSDMWAREGGSFSPSSYDMWARFMQRAAVAQKVEEKPKVEAEAAAAAAAAAEEEEEVVTVPSGGVGSSVKVARKCVVIMEGNPHPGAVKGRMSFLNFNPSIDKLTQEATSGRQSQSASPSNNHQDGSNSSRTDEVPRTRFSDFNIDSSESISLNELKRKQPELDMETPPSHRQPKTTGKSVDGDSSSQSNGRGSHKSNKREKLDWNLLRPRKSK >ONIVA01G03170.1 pep chromosome:AWHD00000000:1:2304724:2306650:-1 gene:ONIVA01G03170 transcript:ONIVA01G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEEYLNLQSATFAQLYHCLPISLLKENADDGNRVFMPVSALDRLGYLHIEYPMQFQIQNAATLQTSYCGVLEFTADEGFIHIPTMMMEHLGLRENDLVLLRSTSIPKATFIKLQPHTSDFHKLSEPRYLLEYNFRNYFCLTTGETIAVAAGDRFYYLDVVETRPADAVCVIETDCEVEFDQALDQAEPAAAAAMQVDGVGAGEPEPARFTGFRMRMDGKPVEEEKKTMPPSPPKRGLRFGSSAPAAGGGVKEAKSGEKDDGNRFTGKKYSLQF >ONIVA01G03160.1 pep chromosome:AWHD00000000:1:2295167:2300919:-1 gene:ONIVA01G03160 transcript:ONIVA01G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGYRPDRETDFAGFPSTTPIVIDNGASTFRIGWAGEAEPRVAFRNIVQRPRHRSSGETVTVVGDTDPALMKYFDCTRSAIRSPFDDDVVYQFEYMEYILDFGFDRLGATSEVGHPILMTECECNPSFSRARMSELLFETYGVPSIAFGIDDVFSYKYNQKLGNCGEDGLAISCEHGTCHVVPAGIDEMVSISLRRLMEDEAVKERLCQSILVTGGCSLIPGMIPRLESGIRQFRPYLSPLRLVRAADPLIDAWRGAAAFAASSKFGRHTFSLADYREHGENLFHRYNIVYSL >ONIVA01G03150.1 pep chromosome:AWHD00000000:1:2292645:2294106:-1 gene:ONIVA01G03150 transcript:ONIVA01G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIRKLKRGISTKRGDHPVQNGQNPVDEWEEQQRYWPSPRAPPVSPMGSPRTPNGSQKKPVLGKVKSKAKKWMHLLHHKKKPQEDMMWTPRAGPSADDSKEHNDADYGSPSTARHPHSCRIPHSRAQLHTRSNHTSRFESEMKEANEMLRESKQLRVNTTKPKTVTFAPILEQGPEPVKNDWHSKELSETATEIFSHAYAIVCEAVLRMVSIIQGAMSSYNIDRRQMLEKIVSFKRYMMLKLAPGEGDKVLSEVITEAVLDMFDAWCENVERPLVQRAKEVYSWFLPERREELPPVPLSTHPCVYEDAEEFYSLEN >ONIVA01G03140.1 pep chromosome:AWHD00000000:1:2289329:2293383:1 gene:ONIVA01G03140 transcript:ONIVA01G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVDTATPSSQPTSDSPPCQCAILIKMLQCYAGTQNVSTIQKEEAWKVNVRINDCDLEQGYLCGTMEAVNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSVDLSNYAYIFMVLHHLTFHRRKEQYFANVGVDCGLTIAGFYYVCFSCSDGSISGYYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQ >ONIVA01G03130.1 pep chromosome:AWHD00000000:1:2289012:2290393:-1 gene:ONIVA01G03130 transcript:ONIVA01G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRPIGLGGAEALSGGGDLGGRRAPLGKEVDEPEVGWEDGVAVSTTLTGILGGGGRRRRRRRERDDGGKNSRRGDQRLVD >ONIVA01G03120.1 pep chromosome:AWHD00000000:1:2281249:2288891:-1 gene:ONIVA01G03120 transcript:ONIVA01G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTILGSLKLTPSPPSATPVRSSASSSLHFHLANAGAAALVAASLLVADPALAFKGGGPYGQQVTRGQDLTGKDFSGQTLIRQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVSKKVIDHFLIKLLPVCQAWISQVNLTNANLEGALATGNTTFKGSNIYGAGKLLILQMFHCETISVNTSAKLLMGDRSSGGGGRGWAAWIGEGSEWMSRRSVNPSRRVADGGLPSVGGLLHPKSRSPPVLTIALVVLGVIILIAYFNSGSGVTVTSREAVSRSEGSCTPEVMQALPYLKKAYGNELHKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMADIKFPLPYRQDSFNLVIVSDALDYLTPRYLNKTLPDLARISTDGLVIFAGNPGQQKAKVSELPKFGRPLHHQTETEVERVLALLSSIVFAWQEEEEEEEEAMEGLLPFLYRAIVHYANGGQTPIGNPFTNESPSASPRAPYYVRLAGGAADSGRLRFAEVPVFPSPASRDAQLL >ONIVA01G03110.1 pep chromosome:AWHD00000000:1:2275941:2280341:-1 gene:ONIVA01G03110 transcript:ONIVA01G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSAAAAAAAAATTAAAFLPGVSSASRAGTPLLSLQRQPLAGSLRAAAQGSSASSGQVVMDSKVKAKKKKGSGAGNLPGAIDAEIREAQDYLAIDEQEPVPENFPFEILDEEGMSVVILKRDYKDEKIEVIVSMPNLEGGPEFDDEEAEGEGKNASKDDEDEEEDESAGDSSVSLKVTVSKGSGPKLEFTCTAFREEITIDDMLIVENAATEGDEKFPYEGPEFTELPVNVQKGLFKYLEQRGITLPTTNYMHDYMVTKQTKEYVGWMTKLKDFPRSMPFSSSAPLTKPAFDSELVRIIDNAINDAEEESDGDQDRAKEIPNNSPFKIFKFFKINYGEGSNAITLTRTYHGEKIELQVSMDSLESDDEPEPATAKVKCEKRWTSYESEYEEIQVPSKSSIPLTVTISKGDGQILEFSCTAHPEEIVIDTLSLMQPPEDDKNEMIADEGPDFDDLDESLQKALNKYLELRGITPMAAKFLQEYMIYKENEEYLLWLRKLKDFVSL >ONIVA01G03100.1 pep chromosome:AWHD00000000:1:2272249:2274046:-1 gene:ONIVA01G03100 transcript:ONIVA01G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIVSSARNATQAGKQFASSSAGQQARIEGLVTVSCLLVGVLVLCNSRRRHDGRTLHKLLVWGAFLFNYPVISYTIGLMQSSSIQNELFVVWACFLLLLLGSADTMTAFSFNDSSQQTRSMMNQTLHVVYLLFLILYYKGQLRGTFLVSLFLLWENQVVYEYMKYEPLNSAGIQGGSYDPKTMEEYIYLVDGEEVEKVDNGEVIKVSYKVPETVDVEKVWQCKGKLLQLSGDGGDRGVARRRDLCLSFALFRMLRLRFAADHVGHINFPLQYDKCRDFVVKGLLANDEDLGRAFRDTLAPDLAVYIVILATSLFTLFSPDLLRYRPQGPIGSNAANNILIHGFNLDLLVTRLVIVWYIFLESYQFLAFIFSDWHKVKMLCRYVRNESWHKAFMEVPLKHPTSLGQDLPLEAQALDSSLMTRSVRLMPVVRDAVLRELNNCDGKITDGRMWMYRTGIIDLNLDRDVLLGHTYARYILTWHIATSICDYGLSMDTTAGGIPAGAGPGQHVHEHFDGPWHTAECLRLPRQMRVRQ >ONIVA01G03090.1 pep chromosome:AWHD00000000:1:2267281:2269796:-1 gene:ONIVA01G03090 transcript:ONIVA01G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEECDPGREAVRLQLLRAAGEDRGPSDSGLPPRRRPCPTNSRRRYHGGALLRLLVWGAFMFNYPVISYTIGLMQSSSIQNELFVVWACFLLLLLGTADTMTAFSFNDSSQQTRSMMNQALHVVYLLFLILYYKGQLRGTFLVSLFLLWSLSVVAYGVPETVDVEKVWQCKGKLLKLSDGGRDRGVARRRDLCLSFALFKMLRLRFAVDHVGRFALPFQEGKSWDFIVKGLLADDQDLDRAYRVVEAELGFLFDFFYARYPSIKNSLAPDLAVYVAILTTSLFTLFSPDLLRYRPLRPGPGDGGDASNIIIHGFNLDLLVTRLVIVWYIFLESYQFFTFIFSDWHKVKMMCRYVRNESWHRALMEVPLKVLCHFSTITRYWKGTIGQYFLLDNIHPHWIKTFLSWFSIEAEALDSWLMTRSIRLTPEVSHAVLRELKNCDGNITDGRMWLYQKGIIDMDLDRDVLLGNPYANYILKWHIAMSICDYGLSMENGATTTDDEFARNHEVAMKLSGYCAYLPAFQPELVQDNTYRSTSTVQGTLQNARDFLGGCKSHGENYDSDEEKVKKMIELDNSTSNDTVNVLKILSQGASIAVYLVDRMEDTRERWKVLAAFWANLMLYISPSDRAVAHATRMATGGEFITILWALLTHAHVVDPLQSRGGNSGLHMQLEEEERRRPLIEEQEMELVTRRKLREEQERNMQMQGQPPIQP >ONIVA01G03080.1 pep chromosome:AWHD00000000:1:2263555:2265851:-1 gene:ONIVA01G03080 transcript:ONIVA01G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAAARRAATSTLPFLRASASAAGTTRGAAALLRPLAAAAAARPQPRSMPFSSAPSTRPSSDGELLRIIDAEIKFAEESDDHDRVEEIPDNFPFKISDEKGFNSITLTRTYQGENIEVLVSMPSLVTGDEPDRENEADEDRNEDDQEEETQKAPKSSIPLTVTISKGEEGPSLEFICTAYPDEILIDALSVMPSESGEDEMITYEGPDFNDLDENLQRAFHKYLEMRGITPMATNFLHEYMINKDSREYLIWLRRLKDFVRN >ONIVA01G03070.1 pep chromosome:AWHD00000000:1:2262351:2263759:1 gene:ONIVA01G03070 transcript:ONIVA01G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVENERYLAIVNHLVAVGNPDACFIVGVTLDMAQGLFLLDKAATAGHKTAAYVLGLLLYKSDEARATGKKYISQVEGDGDEAATTDAGNKRTNQECRRCRKIAEDAVQEQTKGKVLNISTR >ONIVA01G03060.1 pep chromosome:AWHD00000000:1:2256167:2259221:-1 gene:ONIVA01G03060 transcript:ONIVA01G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVDGGRAAMGRRHIGTVAHLAAGGFAGAVSKTCTAPLARLTILFQVAGMHSDVAALKKYSIWHEASRIVREEGFGAFWKGNLVTIVHRLPYSAISFYSYERYKKFLQRVPGLDEDSNYVGVARLLSGGLAGITAASVTYPLDVVRTRLATQKTTRYYKGIFHAVSTICRDEGVKGLYKGLGATLLGVGPSIAISFTVYESLRSHWQMERPQDSPAVVSLFSGSLSGIASSTATFPLDLVKRRMQLQGAAGTSSVCKSSITGTIRQIFQKEGLRGFYRGIVPEYLKVVPSVGIAFMTYETLKSLLSSIDEDDES >ONIVA01G03050.1 pep chromosome:AWHD00000000:1:2233175:2234008:1 gene:ONIVA01G03050 transcript:ONIVA01G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQAMDRARPRASAGGRQQPIYRQSCAHGRASTVSRCQQRPVPAFGNIFPGGGRRRVTPRRNEKMALFRNCRCLVDSQSFCSSRLRIRNISQETDLCDSRWYIIFNQHTLQSESKL >ONIVA01G03040.1 pep chromosome:AWHD00000000:1:2197245:2198973:-1 gene:ONIVA01G03040 transcript:ONIVA01G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDRGGGGGRDGSSGSGVWDCGSTSTVVKMRRGSGEGGRSASGASRGRLMDPQHNMPQLQETIIIVYPSLRYELEVTKEWGYKQFRYFCNGSLRYKDRWREHAI >ONIVA01G03030.1 pep chromosome:AWHD00000000:1:2192077:2192484:1 gene:ONIVA01G03030 transcript:ONIVA01G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRKYHHLCGKNRMKPLKYHLIRGKNRMIPNRYHLIPCKYHLIRGRNRLILDEGDTCKVSSDTYEVSDDTY >ONIVA01G03020.1 pep chromosome:AWHD00000000:1:2191659:2191902:1 gene:ONIVA01G03020 transcript:ONIVA01G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPATSSGVENAAAWTTDAAAGDELPTEDAVAGDELRCGPWTGKDAAASDEVRVRCGPWTGEDAAAVDDASTPSS >ONIVA01G03010.1 pep chromosome:AWHD00000000:1:2162916:2163293:1 gene:ONIVA01G03010 transcript:ONIVA01G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKGHGQRRRRLRSGRRPALLFTDAIHAGQRRHDAGQPTSTQPTPAEGARLHQPRPALRCCRETRASLASWTEELRDKSAATDDARKGGEGSGMIETSTKVKNDDDEEKLAANASAATPRRSR >ONIVA01G03000.1 pep chromosome:AWHD00000000:1:2154153:2167750:-1 gene:ONIVA01G03000 transcript:ONIVA01G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNGGNYVKAFPLYMNALEYFKTHLKYEKDPKIKDAIAAKFTEYLRRAEEIRAVLDGHIGGGGSEQAKPRGMLRSAIVAAKPSVKWSDVAGLESAKEALQEAAILPIKFPHFFTGKRSPWKAFLLYGPPGTGNISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGECNENEASRRIKTGLLVQMQGFDNSNDKVLVLAATNMPHVLDQAMRRRFDKCIYIPLPDLKARKDTFKIHIGDTPHSLTEGDFESLAYQTEGFSGSDIAVCILLPPISKIDFDEVLAETNGKENTLLPSPLFPFFPISIFLPLSSSSSSSPPPHRVKPHPEATAAAAESATGDPNPRRRGPIPTPIRRNPAGPPARARPAAAPPSPPMYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDEGGGGGGANGGDAAVATRPKTKGKDGEGGGDDSEQSKLRAGLNSAIITEKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLNESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQSGAVQTTMQELASKGLAAKILPPPISRTDFEKVLARQRPTVSKKDLEVHERFTKEFGEEG >ONIVA01G03000.2 pep chromosome:AWHD00000000:1:2154153:2167750:-1 gene:ONIVA01G03000 transcript:ONIVA01G03000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNGGNYVKAFPLYMNALEYFKTHLKYEKDPKIKDAIAAKFTEYLRRAEEIRAVLDGHIGGGGSEQAKPRGMLRSAIVAAKPSVKWSDVAGLESAKEALQEAAILPIKFPHFFTGKRSPWKAFLLYGPPGTGNISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGECNENEASRRIKTGLLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLNESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQSGAVQTTMQELASKGLAAKILPPPISRTDFEKVLARQRPTVSKKDLEVHERFTKEFGEEG >ONIVA01G02990.1 pep chromosome:AWHD00000000:1:2140783:2141892:1 gene:ONIVA01G02990 transcript:ONIVA01G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSFSSTSSGASTATTESGGAVRMSPEPVVAVAAAAQQLPVVKGVDSADEVVTSKPAAAAVAQQSSRYKGVVPQPNGRWGAQIYERHARVWLGTFPDEEAAARAYDVAALRYRGRDAATNFPGAAASAAELAFLAAHSKAEIVDMLRKHTYADELRQGLRRGRGMGARAQPTPSWAREPLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLRRAASSDSASAAATGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSRSAYGPDKLLFIDCKKNNAAVAATTTCAGDERPTTTTSGAEPRVVRLFGVDIAGGDCRKRERAVEMGQEAFLLKRQCVVHQRTPVLGALLL >ONIVA01G02980.1 pep chromosome:AWHD00000000:1:2121435:2121683:-1 gene:ONIVA01G02980 transcript:ONIVA01G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPELGTVDFATDNSGTQNFGPVFGHNRSNRMLLRKGPEHGLVEDGRQRREIASVDDDLSKMKGAGLDSLRIREGGGKLLVV >ONIVA01G02970.1 pep chromosome:AWHD00000000:1:2113284:2114228:1 gene:ONIVA01G02970 transcript:ONIVA01G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAAMVVFSCNSGSDGSSSTTDSKQEEEELAAMEEDELIHVVQAAELRLPSSTTATRPSSRYKGVVPQPNGRWGAQIYERHARVWLGTFPDEEAAARAYDVAALRFRGRDAVTNRAPAAEGASAGELAFLAAHSKAEVVDMLRKHTYDDELQQGLRRGSRAQPTPRWAREPLFEKAVTPSDVGKLNRLVVPKQQAERHFPFPLRRHSSDAAGKGVLLNFEDGDGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRPGDTVAFSRSAAAWGTEKHLLIDCKKMERNNLATVDDDARVVVKLFGVDIAGDKTR >ONIVA01G02960.1 pep chromosome:AWHD00000000:1:2098848:2101479:-1 gene:ONIVA01G02960 transcript:ONIVA01G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPGPFITSASDRYRGFFRVAFFPIAAAAATTTTTAIAHLSVAGYVAGDHPTLQPALISIVSRASRAEERRFLSSSAAASTTIIIECCDEKA >ONIVA01G02960.2 pep chromosome:AWHD00000000:1:2098413:2101479:-1 gene:ONIVA01G02960 transcript:ONIVA01G02960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPGPFITSASDRYRGFFRVAFFPIAAAAATTTTTAIAHLSVAGYVAGDHPTLQPALISIVSRASRAEERRFLSSSAAASTTLWEYFVMATQSSKVFPSNLHQSQSPNDL >ONIVA01G02950.1 pep chromosome:AWHD00000000:1:2093629:2094102:1 gene:ONIVA01G02950 transcript:ONIVA01G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSSELRHKYNVRSIPIRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKARGRAADKAKGKFTADDVAAAAGGAAATGASLQEID >ONIVA01G02940.1 pep chromosome:AWHD00000000:1:2087069:2093397:1 gene:ONIVA01G02940 transcript:ONIVA01G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Leucine-rich repeat domain [Source:Projected from Arabidopsis thaliana (AT1G25570) TAIR;Acc:AT1G25570] MQLLLLPLLVVAAVGLARAAATDDPFLSGAPGNHSYNVDCGGAADFVSVFGRRWLADQFFSAGGQAGMVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPPGRYYLRLFSVYDNYDSKLRTPSFDVSAAATLVLSFRSPWPEPASRYGAYSDLIFPSATSPTSDICFYSLSTDAPVVASIEVAPVHPLAYDGATTGADLILVNYGRLTCGNNLFGPGFTNDSDAFSRVWQSDIDFRNNDLNYDAITAGGRKIFGSNQPPNYFPTKLYTSAITTGGDASNEIEYLMPVDTRMSYMVWLHFAEIDAGIGSAGQRVFDVMLAGKNVTRIDIFKQVGGFTAFKWTYIVENLTSSTMSVKLVPVVGRPILCGLENYAMVPLETRTVPNQVAAMKALKDSLKIPARMGWNGDPCAPRTWDAWEGVTCLRKDKGLVITQLDLASQGLKGYITDEISHLTDLELELQFFDWKLTSGLRATFTCYIGSVIKPVYWRNSWHHSLLNNNQLDGQVPERLYSIGVHGGVIDLSGNKGLCGVPTLPACALFWEKGGLNRTGKIALGASLGFVLLVTLIVVYILCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMEAHGPDGYYTNGGAH >ONIVA01G02930.1 pep chromosome:AWHD00000000:1:2080382:2081884:1 gene:ONIVA01G02930 transcript:ONIVA01G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHILLPLLLLLAAVSPRPVLSRRHASPPLATETLDVAASLSRARAAVSAEAAPLHQSAAAVSTEVIGEEHEEGRLALRLHSRDFLPEEQGRQRHASYRSLVLARLRRDSARAAAVSARAAMAADGVSRFDLVPANVTAFEASAAEIQGPVVSGVGLGSGEYFSRVGVGSPARQLYMVLDTGSDVTWVQCQPCADCYQQSDPVFDPSLSTSYASVACDNPRCHDLDAAACRNSTGACLYEVAYGDGSYTVGDFATETLTLGDSAPVSSVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISATTFSYCLVDRDSPSSSTLQFGDAADAEVTAPLIRSPRTSTFYYVGLSGISVGGQILSIPPSAFAMDGTGAGGVIVDSGTAVTRLQSSAYAALRDAFVRGTQSLPRTSGVSLFDTCYDLSDRTSVEVPAVSLRFAGGGELRLPAKNYLIPVDGAGTYCLAFAPTNAAVSIIGNVQQQGTRVSFDTAKSTVGFTSNKC >ONIVA01G02920.1 pep chromosome:AWHD00000000:1:2065412:2067251:-1 gene:ONIVA01G02920 transcript:ONIVA01G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKRRKKPALAIKNAVCGARTPQPIPQRSLRFPLDAYAARDLCLLGARSISASLQRAISGCSQATGVGSQVQRRAPRPGSGGHGDVVLVEQQVHACQAALPGALELGAAAWHPASLTKDIKDFAGAKLTRTKNW >ONIVA01G02910.1 pep chromosome:AWHD00000000:1:2064717:2064974:-1 gene:ONIVA01G02910 transcript:ONIVA01G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPFSSCFGGKNELDFGETSRDPDYHPLSTTPSGNSYQGGDATETRYPYQQQRKPAAAPSTDGSAKAPPLAAAGWSNNKVAHHA >ONIVA01G02900.1 pep chromosome:AWHD00000000:1:2057930:2058232:-1 gene:ONIVA01G02900 transcript:ONIVA01G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKLGTCFGVGVGGGDDYYRGYTTVATDETGRKANNDVARKPVTAAAAATRDVYGRAADQPSPKPPAAARNSKVADDTGVKQPADAAAPVISRYPGHVY >ONIVA01G02890.1 pep chromosome:AWHD00000000:1:2053093:2063812:1 gene:ONIVA01G02890 transcript:ONIVA01G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTYVSYPVKQSTVPAWGVPLYDQVTGDVICHGEKSFLKDGRKSFPSGHTSWSFAGLVFLSLYLSGKIKVFDRQGHVAKLCIMILPLLIASLVGISRIDDYRHHWEDVFAGGLLGFIMAMLCYLHFFPPPYHHQGWGPYAYFHMLEELQVANSHNAESQQSVCGHHVELSRQHNSRTSRNDLEAGRV >ONIVA01G02890.2 pep chromosome:AWHD00000000:1:2053093:2056627:1 gene:ONIVA01G02890 transcript:ONIVA01G02890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTYVSYPVKQSTVPAWGVPLYDQVTGDVICHGEKSFLKDGRKSFPSGHTSWSFAGLVFLSLYLSGKIKVFDRQGHVAKLCIMILPLLIASLVGISRIDDYRHHWEDVFAGGLLGFIMAMLCYLHFFPPPYHHQGWGPYAYFHMLEELQVANSHNAESQQSVCGHHVELSRQHNSRTSRNDLEAGRV >ONIVA01G02880.1 pep chromosome:AWHD00000000:1:2040559:2042676:-1 gene:ONIVA01G02880 transcript:ONIVA01G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPAAGVGVGGGGAGAGYPESTESSPRSRGGDSWDEPFPSSAAAAAAAAGGGRLRLMCSFGGRIVPRPTDKSLCYLGGETRIVAVERNASLADVHARLSRSLLGGQPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRIAATSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSESWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSTHSRGGPPNSAPAEEQRANQQKLAAAAAVAAARHPHDVQSVPDSPMIDKNSSFGSTSSAPSLSNLPPIRVRPEDRPPDSRLAPQVPVEDHFAQMGISDQPVQPVIGGYMQPPPQVPIPNMAVPSISPSDASSRVFSDDDKSDHGGGGGRKPQPPKPEVPPVADPTNRAMYYNDRSPPAELKRDMPVGTDAASYRVPVPAQDAAAAAAAAAAAQQPPAGYVYAQMHAPPPQQQPPPPQQQQPVPQQYVTAQNQHFIHNPATGTFIPIQSYYHHPVPQQAPQPPMPQPVPQPQQSHAFDPNTGMYYIPMRTNAPQAYSMPPGAAAVPPPTLVDTTPKPTVPIPQMAVKQPELQQPGLYRTTAAPTPVPASNAPAYAGMGYHHVIQTHHHPAPQPAATVAGNFGYDYGDPTRAQVFYSQAAAPPTLPPQYQPMGSPDAGQADLKQARAS >ONIVA01G02870.1 pep chromosome:AWHD00000000:1:2035253:2037287:1 gene:ONIVA01G02870 transcript:ONIVA01G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FG07] MAITVRTHRTTKLFGRERPLHDALGGHKAADIILWRERRVSVSIVAGATVAWYLFEVAEYHFLSLACYLAMLGMLVVFIWANASAFFNLPAPRIPEIFVSERTTRQVILALHSRLTWFVHRLYDIACGKDIKMFILTVFSLFIASVIASCFSSLTLLYLVVLGTMTLPVMYENYESEVDHLVSTAVHDLRSHVGDIDSGVFKKIPRGRGATAN >ONIVA01G02860.1 pep chromosome:AWHD00000000:1:2030678:2033548:-1 gene:ONIVA01G02860 transcript:ONIVA01G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 77, subfamily A, polypeptide 5 pseudogene [Source:Projected from Arabidopsis thaliana (AT3G18270) TAIR;Acc:AT3G18270] MSNLRTNSYSIKEKLVVVFLLEPLHKIRQNPVLQSVAVAVAMDSAISTSSSFSPLRLSQNHGSIMSGSTRAQVLPVGRQQQQPPAGGATRLRAVSPSPSPTPPAPQPAETFGFDALKEAFSVDVVAAEARPLNVPLAAPFTIASSRLDAVSNVAVRVELRSGAVGWGEAPVLPSVTAEDQPGALAAATRACGALAGAPAAPLGAVLQDVASALPGHDFASARAGVEMALIDAIANSIRIPLWRLFGGASDSVTTDITIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLAHPDCSFILDANEGYTANQAIEALDRLNEMGVTPVLFEQPVHRDDWEGLRDVSIVAKEKYRVAVAADESCRSLLDAQKIIDGNLAHVINIKLAKLGILGALEVIDAARKARIALMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSEDPVFGGYEVSGPVYKFTNARGHGGFLHLDNNGLK >ONIVA01G02850.1 pep chromosome:AWHD00000000:1:2027389:2029622:1 gene:ONIVA01G02850 transcript:ONIVA01G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPDLARILPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHTLLDSFEPIHGVLLCEGEDVDPSLYGGGGAGSGGLSAEQLDAVRSLHPSDAAIDHEKDSIELRLARLCLERNIPFLGICRGSQVLNVACGGTLYQDVDHELPAAAAAVRHINYDNYDGHRHPVRVLPGTPLHEWFAESLDGEDSRLTVNSYHHQGVRRLAERFVPMAFAPDGLVEGFYDPDAYNPGEGKFIMGLQFHPERMRKAGSDEFDYPGCPMAYQAFVRAVVAYQEKLAAAAAMPASPKLNQEMEKQRKVLVRSFSLAKNLYVSGAEAGTPRPAEQRDLDAGAEFLEQSNTAALSVQQEKRLKQMGATVRNASGYINRLKLNEEREAAARALMAKMSIDQLSDLASFYHIMGTICSEVLDRKLHSAAPAP >ONIVA01G02840.1 pep chromosome:AWHD00000000:1:2023725:2027271:-1 gene:ONIVA01G02840 transcript:ONIVA01G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRVTATKVKGANDWKLLHVPKRCGIWGALNCRLPAAWLAASRADRLPSRGELTQPKGAINSDAPEKPENGRIRVYEQVVMKTVLNHGSTGTELVAMCTSCSALESEEPIGRHR >ONIVA01G02830.1 pep chromosome:AWHD00000000:1:2019446:2021507:-1 gene:ONIVA01G02830 transcript:ONIVA01G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNAKLYDKYTALKKRKLLDEGLDQKRAADIKELQQAMKDWVADLQGENERLIAKLTQKEQQLVEVQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAEMIIENQTPIPPAKKTPKSKSRERNIHFIEKATVPCNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHMLAESVVGMKFSVKNQTEGFSLSVSHEASGYSFTLTWVDQPGGGEWSYQYSSLGTLDRIAMGWMKEDIKFSRAMCPVFFQQISRILRQG >ONIVA01G02820.1 pep chromosome:AWHD00000000:1:2014892:2018371:1 gene:ONIVA01G02820 transcript:ONIVA01G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCGRRFAAGGAPATARRCNLERFLEATTPVVPTTACSSKKSMNGWKQSDEENALPFFSLGDLWDGFRESSAYGIAVPIVLNGCSDGVVQYYVPYLSAIQLYRRLRRHFYHSRPSGEDSDGDYCQDTGSEEMSDLEHDSCPSSTDAFSVQDTTCETSTSEASSDESESTRISHEQLIFEFLESEPPYQREPLADKACQRLLQELLSLARGFPELNTLRSCDLSPTSWMSVAWYPIYRIPTGPTLRDLDACFLTYHPLSTQLTGGICPEPKGNNSGVPVTTAMCLPTFAMASYRLKVAAWAPGGRDRQLVASLSHAADAWLGLLGVHHPDHRFFAARRVVSRR >ONIVA01G02820.2 pep chromosome:AWHD00000000:1:2014892:2018371:1 gene:ONIVA01G02820 transcript:ONIVA01G02820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANCGRRFAAGGAPATARRCNLERFLEATTPVVPTTACSSKKSMNGWKQSDEENALPFFSLGDLWDGFRESSAYGIAVPIVLNGCSDGVVQYYVPYLSAIQLYRRLRRHFYHSRPSGEDSDGDYCQDTGSEEMSDLEHDSCPSSTDAFSVQDTTCETSTSEASSDESESTRISHEQLIFDLARGFPELNTLRSCDLSPTSWMSVAWYPIYRIPTGPTLRDLDACFLTYHPLSTQLTGGICPEPKGNNSGVPVTTAMCLPTFAMASYRLKVAAWAPGGRDRQLVASLSHAADAWLGLLGVHHPDHRFFAARRVVSRR >ONIVA01G02810.1 pep chromosome:AWHD00000000:1:2003438:2007637:-1 gene:ONIVA01G02810 transcript:ONIVA01G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKVRRLSRGARCGTVLKKKSSLLLGLILNGVRPRSVEALTKPVRQLDVYDHEGAIMVEDVLDSDGHKQNTLEFTPAATGDSSGRMVGRGRKKRATLEREGRFPFII >ONIVA01G02800.1 pep chromosome:AWHD00000000:1:1998684:2003013:-1 gene:ONIVA01G02800 transcript:ONIVA01G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSFNVQAGAGEMAAIGVSVQVRAREGRGVWRMIEGMEGGKEFIECLDHEKVLMQKYLLPCHMPIGTSSRGGTIPPGTEQYHSLDSTRSTEKVTKEEAPHLIHRLRDAKRRIDEKLDRLLEMFGVKVDKESNGAEEFNDSTKELTPTTEAATSSSPHVSRFPMPTKCSTICSDHNTMPDLTMATMVTSTTASMSSMELMVGEDAISDPYIGISNHPKEKHTECSTVVLNSNNGIVQVEGHQDFFDAIGESYDLFTIKELWNYSLIGILLCMRINTKSLQLELASMLLELVWFRGVRRSAIVILFDWKKWKFVNLGGYIDSAIPIMQKYLAEQKLDIHEPWIAGDENGSIDLFWDCWPQHVSFQWQQSWIGGHVFSFVYSEGSLLLVNFMQDVSKDGIISYDIEGIAECTQVSYFASILPDIAILPTEMMLTVTVRHWAVHLMLPWPPPHENSTVLLIDAHAFVKLGRANMVEAKEHMKLSVAKLYVLDLSCATPSIGHFGNHGLFQLSMCKMWPQFMLWKIWSFEAKMKLLIVGHPKQYIKDTILVLVEVRVRSFPQVTDALPDADEYYEHGRGRERKVCS >ONIVA01G02790.1 pep chromosome:AWHD00000000:1:1995155:1996293:-1 gene:ONIVA01G02790 transcript:ONIVA01G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAIPPLTAMLDESGCGSCGDVDAAGSSGGEAWGAAAADPEAGDLAAVKLGGRESCGGGSGAGDLPIQPLRRWWAIGLGAPAVVAVLLLPLCSIRLFHAWMQVAQSTEVWCEHNARIFRHKVSTLALILAKIEEEARAWAKVEQLNSKSSEF >ONIVA01G02780.1 pep chromosome:AWHD00000000:1:1975842:1978724:-1 gene:ONIVA01G02780 transcript:ONIVA01G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFCLSLVLWAGCIQSDGREEHNRANSSRWIPRRRRRRSLPSANSLEVGAVREAGHPSSPRVTRRGGRRWCGSATNFQIGLATDDPRSEYLYLLGDRDCITIIEHGMELGCQRNHFPQKQRKGFDTVVILVAWSIWKERNNRVFKHRQRSWREVAKDAVDG >ONIVA01G02770.1 pep chromosome:AWHD00000000:1:1964949:1966150:-1 gene:ONIVA01G02770 transcript:ONIVA01G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDRRKGSSSSPAAMDDDVTASARKREKEAAAWSRRRRRRANPVVGEAKRGGGSGESGGRRGGAADEKTDKGGEPERRCKGGELEGDPCRGHTVVVLLCGRGPEPAATSPAPAAASAPPLPPSLLFTAPVAKLLVVAASPAAVAAGSDEGWGDSDGDDGGRWL >ONIVA01G02760.1 pep chromosome:AWHD00000000:1:1962124:1962512:-1 gene:ONIVA01G02760 transcript:ONIVA01G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGSGEPVTVGNYGSGSSAGRGGCYCCSYTSSPGTLGRSLSRSERGARFISRGDRNNGNTSEAIGPRGLNFRIDP >ONIVA01G02760.2 pep chromosome:AWHD00000000:1:1958271:1962512:-1 gene:ONIVA01G02760 transcript:ONIVA01G02760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGSGEPVTVGNYGSGSSAGRGGCYCCSYTSSPGTLGRSLSRSERGARFISRGDNDKT >ONIVA01G02750.1 pep chromosome:AWHD00000000:1:1951055:1956423:-1 gene:ONIVA01G02750 transcript:ONIVA01G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQPMPCHVSLEGLCTVFSLLPGIPSPSRRRPAAVTPRRWQVTPTGGRRGMKTVRALASAQEELAFPTALQAVDRSAVQHSTRIPERGTLAFWLGMELRWTVRTGV >ONIVA01G02750.2 pep chromosome:AWHD00000000:1:1952870:1956423:-1 gene:ONIVA01G02750 transcript:ONIVA01G02750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQPMPCHVSLEGLCTVFSLLPGIPSPSRRRPAAVTPRRWQVTPTGGRRGMKTVRALASAQEELAFPTALQAVDRSAVQHSTRIPLANGRPGHLD >ONIVA01G02740.1 pep chromosome:AWHD00000000:1:1942932:1996843:1 gene:ONIVA01G02740 transcript:ONIVA01G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKLLLLPILASLQLLPGAANGATVDPSCPPATCGNLTITYPFWLGSQNQSSCGPPSFRLTCNDRASGPFLRNSYIKVLGFDYGRRSLVAVHALLAADAACTVMFNVSSAFAITDRFSISQSNRELYVLSRCRERLPPPDAVPVTNCRANSSGMYAYLGGSYGTGQTPVNNGGCELSVFLVLGSSNAADMTAANYRQLIAGGFLLEWEPVGDCNACTASGGRCRYDASTSAFACLCSDGGMRPSICDGKNRGKLALIVSLSAASGLVFAFLAWLMYRQRQKFRSAICGVYSGNTKNEEEMLKKCESLALKRYKYSELKKITKSFEDNLGEGGYGVVFKGRLQNGRMVAVKILTVSKGNGEDFLNEVMSISRTSHVNIVTLLGFCLEGPKRALVYEYMPNGSLKNYIHSESSNLATGWEMLLKIVIGIARGLEYLHQGCNTRIIHFDIKPRNILLDHEFCPKIADFGLAKLCHLNESILSMAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMLLLEIVKVRKNIKGIADNFSETFFPHWVYDSLVSEMQCCETAYGTEEIARKMTIVGLWCIQMTPETRPSMSRVIEMLERSISELEMPPKPFLCSPIHSTNVSSYKSVNFTILLLLFSSLTLVAAAISADEQGGGSCSPRTCGNVTISHPFGFVPEQETDTKCGRLGFEVHCSNNTPYLGYYRRKYRFQVLDIFYGNSSLLVADVHKLDDFRNSSSKGCHVMTANTSSKVGQPFSVSSANLNLIFYNCTAETAAAAVRRDGGLVETKCSGGGTLVRVGGHYSDSGSYEEYSVEGCGATLVPVLGTSSGEANASSYEELISDGFLLTWQPPSVVKSQDVPFGALQNDRQLKYFGLGFMMVHPISASSTIRRDFPSRSPLTTRFPTSQAFRTSADANPEEGCAASTVCGKVTISSPLAVVPEQATESKCGWLGFQVICRNDTPYLGYYKLGYRIQVLDIFYGNNSLLVSDVHKLGGFDVFSGASKEYSCHVPRTNTSSKVALPFSISTTNLNLFLYSCSKALVPRDGDGDLVETRCGNKTFARVGGNYSDSGDYPAFYMEGCNATVVPVLGTDARSYEQLIRDGFLLTWQGPPSSGKFTIYLITRILPFFSDLSNSAHLRGCIPSEQLQENPRSFRNSRRSKLKLILIVSLSATTSLILTCLVWITCRQKEKGSLLTLQKYVANESKIEEALKEYDSLAPKRYNYSELKKITRSFKDKLGQGGYGMVFKGILQDGRIVAVKLLTGTKGNGEEFLNEVISIGRTSHVNIVSLLGFCLQGSKRALVYEYMANGSLDNYIYSEESKIVVGWGKLQQIAIGIARGLEYLHCRCNTRIIHFDIKPQNILLDEDFCPKVADFGLAKLCRLKDSALSMAEARGTVGFIAPEVFSRGFGVVSTKSDVYSYGMLLLELVGGRRHANELTTSHSTGNYFPNRIYDCLVKDLQTHAIITEEEEIAKLMTLVGLWCIQTNPGNRPSISRVIEMLEKNINEMEVPPKPFLLIMHQTSSQLVLASFLLLLCHHAHADCEPATCGNLTINPPFWLDEPGRPPCGPPTFQLQCRGSEAFVAHSFFQTYQVVRIFTGNSSVVVVDRSLPLESGCPVPWFNISIGFVMGPFLISRANKELVFVHNCTTTKRRPPQGFRRMPCSPDESFVFLGDGRPRLLLPGCSMSVVPVLGLQDGDYVASMRRGLLLEWMLVPGDCQKCSASGGQCEYSSDGMGFSCKCPSGVHNPTSCVAGDSKSNGRKKTLIVLIPVAVSLLFPCAYVLIWHRKGQILCYLLCNKTRSRNESNIEKLIVSYGSLAPKRYKYSEVAKITSFLSNKLGEGGYGVVFKGKLQDGRLVAVKFLHDSKGNGEEFVNEGSKRALIYDYMPNSSLDNYIYSENPKETLGWEKLYDIAIGIARGLEYLHHGCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCCTKESKLSMTGARGTIGFIAPEVLYRSFGVVSIKSDVYSYGMMLLEMIGGRKNVKSMVQNSSEKYFPDWIYDHFYQGDGLQACEVTSEVEEIAKKMTLIGLWCVQVLPMHRPTITQVLDMFERSLDELEMPPKQNFSELLDHPVHELNTESTNYTRNTKSAQGLSEVLRVEETSLELDNYHRHRLTAPNFSRENTLFTLLATATRAQPVVGESCAPAACGGLTIKYPFWLRGRQPAYCGHPTFAVTCDDDPTGATATPPSLNGSYLRVLAIHYGNSSVVAFHANLVDSSACAATRFNMSSILALSLLAVSRANSELLFSANCSRTPPTGSLPRRRRVVPVPEPDVRSRRPQAGVGLGVDTMGCLYSVVPVLPWSELRSAGDYAGLVRRGFLLEWTAVPGDCAACNASGRECRYDAGAMAFGCFCPGGRLQPATCDLFLPFQFSAALHSSMCSSQAAASSTI >ONIVA01G02740.2 pep chromosome:AWHD00000000:1:1942932:1962435:1 gene:ONIVA01G02740 transcript:ONIVA01G02740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKLLLLPILASLQLLPGAANGATVDPSCPPATCGNLTITYPFWLGSQNQSSCGPPSFRLTCNDRASGPFLRNSYIKVLGFDYGRRSLVAVHALLAADAACTVMFNVSSAFAITDRFSISQSNRELYVLSRCRERLPPPDAVPVTNCRANSSGMYAYLGGSYGTGQTPVNNGGCELSVFLVLGSSNAADMTAANYRQLIAGGFLLEWEPVGDCNACTASGGRCRYDASTSAFACLCSDGGMRPSICDGKNRGKLALIVSLSAASGLVFAFLAWLMYRQRQKFRSAICGVYSGNTKNEEEMLKKCGYGVVFKGRLQNGRMVAVKILTVSKGNGEDFLNEVMSISRTSHVNIVTLLEGPKRALVYEYMPNGSLKNYIHSESSNLATGWEMLLKIVIGIARGLEYLHQGCNTRIIHFDIKPRNILLDHEFCPKIADFGLAKLCHLNESILSMAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMLLLEIVKVRKNIKGIADNFSETFFPHWVYDSLVSEMQCCETAYGTEEIARKMTIVGLWCIQMTPETRPSMSRVIEMLERSISELEMPPKPFLCSPIHSTNVSSYKSVNFTILLLLFSSLTLVAAAISADEQGGGSCSPRTCGNVTISHPFGFVPEQETDTKCGRLGFEVHCSNNTPYLGYYRRKYRFQVLDIFYGNSSLLVADVHKLDDFRNSSSKGCHVMTANTSSKVGQPFSVSSANLNLIFYNCTAETAAAAVRRDGGLVETKCSGGGTLVRVGGHYSDSGSYEEYSVEGCGATLVPVLGTSSGEANASSYEELISDGFLLTWQPPSVVKSQDVPFGALQNDRQLKYFGLGFMMVHPISASSTIRRDFPSRSPLTTRFPTSQAFRTSADANPEEGCAASTVCGKVTISSPLAVVPEQATESKCGWLGFQVICRNDTPYLGYYKLGYRIQVLDIFYGNNSLLVSDVHKLGGFDVFSGASKEYSCHVPRTNTSSKVALPFSISTTNLNLFLYSCSKALVPRDGDGDLVETRCGNKTFARVGGNYSDSGDYPAFYMEGCNATVVPVLGTDARSYEQLIRDGFLLTWQGPPSSGKFTIYLITRILPFFSDLSNSAHLRGCIPSEQLQENPRSFRNSRRSKLKLILIVSLSATTSLILTCLVWITCRQKEKGSLLTLQKYVANESKIEEALKEYDSLAPKRYNYSELKKITRSFKDKLGQGGYGMVFKGILQDGRIVAVKLLTGTKGNGEEFLNEVISIGRTSHVNIVSLLGFCLQGSKRALVYEYMANGSLDNYIYSEESKIVVGWGKLQQIAIGIARGLEYLHCRCNTRIIHFDIKPQNILLDEDFCPKVADFGLAKLCRLKDSALSMAEARGTVGFIAPEVFSRGFGVVSTKSDVYSYGMLLLELVGGRRHANELTTSHSTGNYFPNRIYDCLVKDLQTHAIITEEEEIAKLMTLVGLWCIQTNPGNRPSISRVIEMLEKNINEMEVPPKPFLLIMHQTSSQLVLASFLLLLCHHAHADCEPATCGNLTINPPFWLDEPGRPPCGPPTFQLQCRGSEAFVAHSFFQTYQVVRIFTGNSSVVVVDRSLPLESGCPVPWFNISIGFVMGPFLISRANKELVFVHNCTTTKRRPPQGFRRMPCSPDESFVFLGDGRPRLLLPGCSMSVVPVLGLQDGDYVASMRRGLLLEWMLVPGDCQKCSASGGQCEYSSDGMGFSCKCPSGVHNPTSCVAGDSKSNGRKKTLIVLIPVAVSLLFPCAYVLIWHRKGQILCYLLCNKTRSRNESNIEKLIVSYGSLAPKRYKYSEVAKITSFLSNKLGEGGYGVVFKGKLQDGRLVAVKFLHDSKGNGEEFVNEGSKRALIYDYMPNSSLDNYIYSENPKETLGWEKLYDIAIGIARGLEYLHHGCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCCTKESKLSMTGARGTIGFIAPEVLYRSFGVVSIKSDVYSYGMMLLEMIGGRKNVKSMVQNSSEKYFPDWIYDHFYQGDGLQACEVTSEVEEIAKKMTLIGLWCVQVLPMHRPTITQVLDMFEKALDELDMPPKQSFCESLEHPVHKLNAESTSSATDKAYAVSEILNVEEISLLNKKAEATLQFVRESSTRAKAKGVGYLLSPLEMKRAPLSDLDKLLPNVPGLLVYEQQ >ONIVA01G02740.3 pep chromosome:AWHD00000000:1:1942932:1962435:1 gene:ONIVA01G02740 transcript:ONIVA01G02740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKLLLLPILASLQLLPGAANGATVDPSCPPATCGNLTITYPFWLGSQNQSSCGPPSFRLTCNDRASGPFLRNSYIKVLGFDYGRRSLVAVHALLAADAACTVMFNVSSAFAITDRFSISQSNRELYVLSRCRERLPPPDAVPVTNCRANSSGMYAYLGGSYGTGQTPVNNGGCELSVFLVLGSSNAADMTAANYRQLIAGGFLLEWEPVGDCNACTASGGRCRYDASTSAFACLCSDGGMRPSICDGKNRGKLALIVSLSAASGLVFAFLAWLMYRQRQKFRSAICGVYSGNTKNEEEMLKKCESLALKRYKYSELKKITKSFEDNLGEGGYGVVFKGRLQNGRMVAVKILTVSKGNGEDFLNEVMSISRTSHVNIVTLLGFCLEGPKRALVYEYMPNGSLKNYIHSESSNLATGWEMLLKIVIGIARGLEYLHQGCNTRIIHFDIKPRNILLDHEFCPKIADFGLAKLCHLNESILSMAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMLLLEIVKVRKNIKGIADNFSETFFPHWVYDSLVSEMQCCETAYGTEEIARKMTIVGLWCIQMTPETRPSMSRVIEMLERSISELEMPPKPFLCSPIHSTNVSSYKSVNFTILLLLFSSLTLVAAAISADEQGGGSCSPRTCGNVTISHPFGFVPEQETDTKCGRLGFEVHCSNNTPYLGYYRRKYRFQVLDIFYGNSSLLVADVHKLDDFRNSSSKGCHVMTANTSSKVGQPFSVSSANLNLIFYNCTAETAAAAVRRDGGLVETKCSGGGTLVRVGGHYSDSGSYEEYSVEGCGATLVPVLGTSSGEANASSYEELISDGFLLTWQPPSVVKSQDVPFGALQNDRQLKYFGLGFMMVHPISASSTIRRDFPSRSPLTTRFPTSQAFRTSADANPEEGCAASTVCGKVTISSPLAVVPEQATESKCGWLGFQVICRNDTPYLGYYKLGYRIQVLDIFYGNNSLLVSDVHKLGGFDVFSGASKEYSCHVPRTNTSSKVALPFSISTTNLNLFLYSCSKALVPRDGDGDLVETRCGNKTFARVGGNYSDSGDYPAFYMEGCNATVVPVLGTDARSYEQLIRDGFLLTWQGPPSSGKFTIYLITRILPFFSDLSNSAHLRGCIPSEQLQENPRSFRNSRRSKLKLILIVSLSATTSLILTCLVWITCRQKEKGSLLTLQKYVANESKIEEALKEYDSLAPKRYNYSELKKITRSFKDKLGQGGYGMVFKGILQDGRIVAVKLLTGTKGNGEEFLNEVISIGRTSHVNIVSLLGFCLQGSKRALVYEYMANGSLDNYIYSEESKIVVGWGKLQQIAIGIARGLEYLHCRCNTRIIHFDIKPQNILLDEDFCPKVADFGLAKLCRLKDSALSMAEARGTVGFIAPEVFSRGFGVVSTKSDVYSYGMLLLELVGGRRHANELTTSHSTGNYFPNRIYDCLVKDLQTHAIITEEEEIAKLMTLVGLWCIQTNPGNRPSISRVIEMLEKNINEMEVPPKPFLLIMHQTSSQLVLASFLLLLCHHAHADCEPATCGNLTINPPFWLDEPGRPPCGPPTFQLQCRGSEAFVAHSFFQTYQVVRIFTGNSSVVVVDRSLPLESGCPVPWFNISIGFVMGPFLISRANKELVFVHNCTTTKRRPPQGFRRMPCSPDESFVFLGDGRPRLLLPGCSMSVVPVLGLQDGDYVASMRRGLLLEWMLVPGDCQKCSASGGQCEYSSDGMGFSCKCPSGVHNPTSCVAGDSKSNGRKKTLIVLIPVAVSLLFPCAYVLIWHRKGQILCYLLCNKTRSRNESNIEKLIVSYGSLAPKRYKYSEVAKITSFLSNKLGEGGYGVVFKGKLQDGRLVAVKFLHDSKGNGEEFVNEGSKRALIYDYMPNSSLDNYIYSENPKETLGWEKLYDIAIGIARGLEYLHHGCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCCTKESKLSMTGARGTIGFIAPEVLYRSFGVVSIKSDVYSYGMMLLEMIGGRKNVKSMVQNSSEKYFPDWIYDHFYQGDGLQACEVTSEVEEIAKKMTLIGLWCVQVLPMHRPTITQVLDMFEKALDELDMPPKQSFCESLEHPVHKLNAESTSSATDKAYAVSEILNVEEISLLNKKAEATLQFVRESSTRAKAKGVGYLLSPLEMKRAPLSDLDKLLPNVPGLLVYEQQ >ONIVA01G02740.4 pep chromosome:AWHD00000000:1:1996807:2012719:1 gene:ONIVA01G02740 transcript:ONIVA01G02740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKLLFFPLLATLLLLCHPAHAECEPATCSNLTVRYPFWLGGPNLNQSSPSSASCGHPAFEVWCSPDGVASLRGSQILVLSIDYTNSSFVVAHKRVADGGDGVCRTDFNISSSLALSPFTVSSSNLAICFLYSCNGTEPPEIDGLVNATIPSCSKPIYSYLGGSYDRDKPPAMKDGNCTYSYLPVLWPEPPVNLTAGTNYSPQFKKGFVLEWQKNGFGDCDACNASGGQCRYINDSAAAFACLCSDGKLRRSTCAGSKTTGRTILIVLTAAAAGLLLPCIYVLIWHKKGKKLRYFLCTKTSSTSERNIEALIVSYGSIAPTRYKYSEVTKITSFLNYKLGEGGYGVVFKGKLQDDRLVAVKFLHDSKGNGEEFVNEVMSIGRTSHINIVSLFGFCLEGSKRALLYEYMPNGSLDNYIYSENPKEILGWEKLYEIAIGIARGLEYLHHRCNTRIIHFDIKPQNILLDQDFCPKIADFGLAKLCRTKESKLSMTGARGTIGFIAPEVIYRSIGIVSTKSDVYSYGMMLLEMVGGRKNAKSMVENSSARYFPDWIYDHFALDDGLQACEVTSEVEQIAKKMTLIGLWCVQVLPMHRPTITQVLDMFERSLDELEMPPKQNFKIIQFTSWIQKVQVILEIPNLHKVLVNCAPRRCGNVTIAYPFWLPDSSPPPSSSSAPCGPAAFQVNCDNGSRASLARSFRSGYKILGVSYANRTVVVANDNVQTDASGCPVPKIDVSASLSLAPFTASPANSQLVFLFNCTSSSRPPPAGFVNVTCPGAKAVVRLDTSYNNTAARVVAGGCDYAAVPVVGVPGASPTDYPQLLRGGYMLEWRAPAGDCMACNASGGQCGYDADTEAFACICSDGSSRPGICDAKKSGNKVILIVSLSICATGLVLLACIAIVYKCRRRMQNRFSFLNAMDGAIRTDTAKVEKLLQSYGSLAPRRFRYSELKKITKSFSQRLGEGGYGTVFSGALADGRAVAVKFLHHSKPNGEEFLNEVVSIGRTSHVNIVSLLGFCLEGSKRALVYEYMPNGSLDKYIYSTSAAAAEAEEAEATASPDRDVLEWKVLQEIAVGVARGLEYLHDGCNTRIIHFDIKPHNVLLDEGFRPKIADFGMAKLCNPKESILSMADTRGTIGFIAPEVFSRGFGDISTKSDVYSYGMLLLEMVGGGSNVKAYAEKGASGAFFPLWVYDHLLEDGGVLQSVAAAAAAATAGGGAGKPGGEEIARKMALIGLWCIQTVPANRPSMGKVLEMLERSVHELAMPPRPYHSNSSSPSRPSSYPSSASDFTQRSRLSTPGSTA >ONIVA01G02730.1 pep chromosome:AWHD00000000:1:1941426:1945857:-1 gene:ONIVA01G02730 transcript:ONIVA01G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSECAAETGQVNRTGVAAMRSCLGQGNCRGRRGGGTGRALASTQAGRQGQARKAKTKPDAADRDTESKEEVQVNAYTFHERKPEPEEITAKRFFAGADGAMRMDGQGLRCHGAVVATEEVMETASSDYLALAKGPAKGYDRKLLGKLTTEDGES >ONIVA01G02720.1 pep chromosome:AWHD00000000:1:1927835:1941204:-1 gene:ONIVA01G02720 transcript:ONIVA01G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPALLLLPLASLVHAAAAIGHNETSTSGNTSCTPARCGNLTISYPFSLSGVQPVSCGYPVLDLTCDNRTGRAFLSRTFRDHLFRVDSIFYENNSLVAAVETTFAGDADCPVPDFNVTSSLSPYPFIISNTNKYLAFIYNCSIPEHVEQLQPPCGNRTMGAYISDKWNSTPPSGVRGNCNSVSVPVRGYYDGMKPVSGHYEQLIKDGFVLEWMRSEMGDQDCDGCRRRGGECRFEQLSFQCFCPDGLLCSNSTRTNTTSSHPSGKVNRGVKIAAGTAAAVVCLGILGVGSTVLYTRRKRKRSASFEGLIHGGTPLPSLTKEFSLAGLAYTHIFTYEELDEATDGFSDARELGVGGFGTVYKGILRNGDTVAVKRLYKNSYKSVEQFQNEVGILSRLRHPNLVTLFGCTSQSNSRDLLLVYEFVPNGTLADHLHGAAAARSASLDWPTRLGIAVETASALEYLHTVEPQVVHRDVKTNNILLDEGFHVKVADFGLSRLFPADATHVSTAPQGTPGYLDPMYHQCYQLTDKSDVYSFGVVLVELISSKPAVDMNRRGGDVNLANMAMHMIQSYEMEQLVDPQLGYGSDGETRRTVDLVAEVAFRCLQPEQDVRPPIGEVLDALREALRMDKVGYVKDDAGLVKKSRDGSPDCNATMPTPSSPPLWLFLFLSNLIATTHAAAEIIPNATTPSCPSYRCGHAVDIRYPFWIDDNTSGGGAYYCGYPSLRLECRRDTPVLALPSGEYAVTHILYGDRTVSLFDLGVFSRSNTCPLVGRNLSLPAGSPLSLTDRDANLTFFIHCSFMGMPAHLVACLEGDGRHHSYVFRDGDDRTPYGYAALCQDVVGMPVLRRSLLGGNYSSPLDAAVVPALNMGFELGWRPREDGDCGDCEKAGGWCGHRRRAAHEPWTFACFRTVTITARADTKSPAMLLLITLPIASSTVDAINTTNTTSPFCEPARCGNLTIGYPFWLAGKHPPECGYRAFQVTCDHKNASLKNGFWTYQIQHIFYHNSSFMVTNEQLTDGQCVIESFVNASSDLGLTLFKISPINRELVFLYNCSQGRSQLPISWAPVGCAKNDSSNSYAWLAGKYKPDDDFRQLPGNCTVSMIPVLGYDGAVAKNYERLIKGGFLLDYTAAAGPDDCEDCSRSGGWCRVNVTDDGLECQCPEGLTPSGFTCVLLAAVFATATHAQLVGESCAPAACGNLTIKYPFWLRGRQPAYCGHPTFAVTCDDDDDPTGATAAPPPSLNGSYLRVLAIHYGNSSVVAYHANLVESSACRATRFNMSSSLALSLLDVSRANAGLLFSANCSRTPPTGSLPVNCTGFSGGGEWFLSLNRMYDPGGPKRAVDTVGCQYSVVPVLPWSELRSARDYAGLVRRGFLLEWTAVPGDCAACNASGGECRYDAGAMAFGCSCPGGRLQPATCGRENQPTQEDRIRELHRN >ONIVA01G02710.1 pep chromosome:AWHD00000000:1:1921759:1927601:-1 gene:ONIVA01G02710 transcript:ONIVA01G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTLLCLPLLASLLLLCHPARAECEPATCGNLTVRYPFWVGGPDLNRSSSAISCGHPAFEVWCTGGVASLRGSQILVLSIDYNSSSFVAAHKRVADGGDGVCRTDFNISSSLALSPFTISSSNLAICFLYSCNGTEPPEIDGLVNATIPSCSKPIYAYLGGSYDRDKPPAIQAGNCTYSYLPVLWPDSPANLTAGANYSPQFKKGFVLEWQKNGFGDCDACNATGGQCRYINDSAAAFACLCSDGKLRRSTCPAAAADAQGGGEGCKAGRCGNVSILQPFGLVTEQDEETSCRWFGFQVTCNDSIPYLGYPRKNRQFKFQIIDIFYSNSSLLVTDVQKMDDFDSSSDCHVPRSNTSSKLGLPFSISPVNKKLVFYNCTEPPTAAERRVLGLVGTKCRNNTYARLEERYNESVRFLEGCDAVIVPVRGRYGDANASNYEQLISDGFLLTWQPPQQQSAIGTPKTRTRGRRSFAYPRSNISSPHRHVPESFNHIIPSILPQTSQAITNSEIPLFSPSVSMAPSFFFALVVVSAWWTAFMLAVAAREAEERGSGCPTKCGNLNISSPFWITQSQMDRPCGPLDFQVDCNQSTGVGTLRTSSIFGFQIINISYGERTLLALDRRKLDDLTSLNRCQIPSWNTSAKLAVPFRISSAANLDLVFYNCTKAPPAERHEQLGLVETRCRNNSFARLGERYDDRSNYDAYYLEGCRATFLPVLEPPGSEANASRYVELVRGGFLITWGNLPPPELPVTSSGKFTLPETIRIKFV >ONIVA01G02700.1 pep chromosome:AWHD00000000:1:1917079:1921278:-1 gene:ONIVA01G02700 transcript:ONIVA01G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLFLLFACLAWASQAANTAADNRPQEGCVASTVCGKVTISSPFAVVPELATESKCGWLGFQVICHNDTPYLGYYKPRYRIQILDIFYGNNSLLVSDIHKLGDFIVFSGVSKEYSCHVPRTNTSSKVGLPFSISTTNLNLFLYSCNKALVPRDGDDDLVETRCGNKTFARVGGNYSVSGDYAAFYMEGCNATVVPVMGTDAGSYEQLIRDGFLLTWQGPPSSACFLKLPAPASSSNSSSPGCLPTPCGNLTISYPFWLEEPGRPPCGSPPFQLKCNASGAYLTHTIYEAYRVVDIFTGNNTVHVVDENLPLATGCPAPPFNISDGIWQAPFVISEANAELRFLSCNKSLPAAAAPPGFHRLPCDDQNSSVRVYCARWERSQPNTALHEDGIPPGCNFTVVPIVQRHNGSMAGYIASMRSGFLLEWAVVSGDCPKCQVSGGNCTYSDDLEFACNCPDGMHPDKCREFRKSEEHACGSGGVILLIVSIFIFAWHKRKKRKQTRDLKDLMHSSSSMQSYSKDLELGGSPHIFTYEELEEATAGFSASRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVILYGCTSRSSRDLLLVYEYIPNGTVADHLHGPRAGERGLTWPVRMTIAIETAEALAYLHAVEIIHRDVKTNNILLDNNFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLIELISSKPAVDMSRSHSDINLANMALNRIQNHEVDQLVDPEIGYETDSETKRMVDLVAELAFQCLQMDRDSRPPIKEVVEVLNCIKNGECPAEKMNKNASPKEDSHLLKDNLQYSPDSVIHRFHSQSTNHSVASNSSG >ONIVA01G02690.1 pep chromosome:AWHD00000000:1:1916428:1920549:1 gene:ONIVA01G02690 transcript:ONIVA01G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEQALQSAAASSPRLGPRARADSPPGRPGGRRIPHPKTEAKFSTGCARSSVPGGPDSSAWTPRAARQVRFGSAPRRGIRRESVASATAFLQPGCIPSGQLQANSRSSLYVQFPPLT >ONIVA01G02680.1 pep chromosome:AWHD00000000:1:1914378:1914848:-1 gene:ONIVA01G02680 transcript:ONIVA01G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTLYRPRVKSFWVLVRRLLCCRRKSYRPDYAAAGEEDDGEKSSLLLTSRSSLEELLVSDDADDDGAIDDAAVTCRNASLCAKKDGQAPVVVLPPPGLHHPVMARPAHGMVTTSSGGGRDGAAVQCRRRFMFGGLRRRLMMRRPWRPVLVAIPE >ONIVA01G02670.1 pep chromosome:AWHD00000000:1:1911131:1911583:1 gene:ONIVA01G02670 transcript:ONIVA01G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSLDLWDPFDSVFRSVVPATSDNDTAAFANARIDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKAGMENGVLTVTVPKAEVKKPEVKAIEISG >ONIVA01G02660.1 pep chromosome:AWHD00000000:1:1889994:1894958:-1 gene:ONIVA01G02660 transcript:ONIVA01G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAPKAEPMKQRVNRCLLRLSDRDTEAMAAAELDGIARGLEADELPAFLAAALLPRLLKLLRSSAFKAKPALISLIGAASAASGGGAAATVVPSLRDALTGEDWAARKAAAEALALLALEHGDNLVEQKPSCIAVFEAKRFDKVKIVRESMNRMIEAWKEIPDMDEEVCSSDVPPSPQSQTRSSSTDSASDGRYPADSLGSNSVQSVRRRNLSPTKKSPPREALHNVSNRRTSSSSIGNKKNSPPSRHNSGQAKNFECKVNVTDALDATPIKTVTEEKLLKDGNVRARLEARRVLFQKNGEERYNKVPGLKSGSRVVPYNGDDDSEEIAESEDVHEEFQSGHKEEDLSKIRMQLVQIENQQTSLLNLLQKFMGSSQNGIRSLETRVNGLEMALDEISRDLAASSGRMPSSEPDMNCCILSPKFWRRHDGSRYSSKYSISDIANYSEESRTSYKWERQKFGVQGVVTNPLAEPNASFAGNTVVAQEARRQNSAQYKSRHSIFIERGGAMVTTRGLRGQRVAGGNG >ONIVA01G02660.2 pep chromosome:AWHD00000000:1:1889994:1894958:-1 gene:ONIVA01G02660 transcript:ONIVA01G02660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAPKAEPMKQRVNRCLLRLSDRDTEAMAAAELDGIARGLEADELPAFLAAVSDARPTDRTPLRRHSLRLLALLAAAHPRDAVAPLVPRLVAAALRRVRDPDSSVRAALVDAARAAAAAAAAADAALAPLVGALLHEQDQCAQLASALAAAAAVEASAPSADLAAYLQALLPRLLKLLRSSAFKAKPALISLIGAASAASGGGAAATVVPSLRDALTGEDWAARKAAAEALALLALEHGDNLVEQKPSCIAVFEAKRFDKVKIVRESMNRMIEAWKEIPDMDEEVCSSDVPPSPQSQTRSSSTDSASDGRYPADSLGSNSVQSVRRRNLSPTKKSPPREALHNVSNRRTSSSSIGNKKNSPPSRHNSGQAKNFECKVNVTDALDATPIKTVTEEKLLKDGNVRARLEARRVLFQKNGEERYNKVPGLKSGSRVVPYNGDDDSEEIAESEDVHEEFQSGHKEEDLSKIRMQLVQIENQQTSLLNLLQKFMGSSQNGIRSLETRVNGLEMALDEISRDLAASSGRMPSSEPDMNCCILSPKFWRRHDGSRYSSKYSISDIANYSEESRTSYKWERQKFGVQGVVTNPLAEPNASFAGNTVVAQEARRQNSAQYKSRHSIFIERGGAMVTTRGLRGQRVAGGNG >ONIVA01G02650.1 pep chromosome:AWHD00000000:1:1864092:1867097:1 gene:ONIVA01G02650 transcript:ONIVA01G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADLDCSVGITLLFVSMVISTVADAQHHNELGCHSMEFQLNDLPRNDFNRCAVVPSKQISKLIAARVSEVLLLLAMKLEHDFHMAIGEAEDNYANNSRLQRKALLKTKPVLDKAVRQVCMALHPRAMIVADLGCSVGANTLLFVSDVVNTVADAQHHDELRCHPMELQFFLNDLSGNDFNQVFKSVKQFTKSIAASHPKGVALPPFYISGLPGSYYTRLFPCQSVHLFHSSYCLHWRSQMIKDMDEKMSDINGGNIYIAKSTPPSVVKMFQDQFQKDMSLFLKLRHQELVPGGQMLLTFLGRKKEGVLDGDLSHLCALLAEALQALVTEGLVEREKLESFNLPLYGPSIDEVKAVIALNKLFGIDHIQLFESNWDPYDDMENDGMCSSPEHGVNVAKSIRAVFEPLLASHFGECILDELFQRYARNVERHLAEDNTKYSPSAPHCFTHPHTDTERNSDRNKLWMVSELQV >ONIVA01G02640.1 pep chromosome:AWHD00000000:1:1859349:1883264:-1 gene:ONIVA01G02640 transcript:ONIVA01G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPKSSHPICHSEMDIDICKDVKMEEELEFHGMAPELIMVLSISYRVDHIGDEEESIRKEFEAQAQRVNRKRCHGEKKKNHGLPHHQSSKILTFPTHQRTRTGGPNQTGSDMTCNGPIWAHQVKQAILCQPSS >ONIVA01G02630.1 pep chromosome:AWHD00000000:1:1850260:1859161:-1 gene:ONIVA01G02630 transcript:ONIVA01G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPSPSPVVPVKREPDGATTAVSDAYTPRPPLRKRRRLPATPTQPLLLTPQAMSSTRDSFAGERSGLAPASVPTSVKRELGADGDGDGDGDRDARGKAVSVAEGNLQPRKTALAELPTLLANRRRLDRLLHELVRSHRWGDAAGVISALVSGTRHPESFDEMRSVFAVGMEIHRRLAENSGIQQNTRSRYYLRTQKLYDVWMRRLMWLPTCERKYMVKLELALFYLSQGCIDSAYNTTKTLIAKDGLKTPIVNLIHGLISYDNWYSGLPEDMQLEEFDVYCESRTVSMATHHCDENGQQDTSDDNCSIDADSSSPGCSSKSSINNWNIDKQRKFPEKPGFVHSAREDDSVGSQVDEKMVDTDFRSVFFNTANSPTCGLEKSLLPLRLKHSDGTPNACFDSYWKYKSTPNAFYEDAEKCLRVALYSTPPIMAALLPLIQILLLGDKLKDALAELEKICHSSTTALPFRLRGRLLEYFDQNQVSIISSCYAEALRRDPTCTYSMERLTRLHRKGYYNTIELLEAIALHLDSVNGKPCIWEELVSCFLRLFSEWTTDYGDCMSCNVQGDATFTASSKFCCVFFEQNTRETWKVRCTWWMNRHFSQSICTSETLTGDCKLLASKAACACHLFGPEFEYVEAVESYLSGQKADDEIAFLSRNMQNSTPRSRLAHRLDRHAIAATGELCSLLSLMDRVAVASPIY >ONIVA01G02620.1 pep chromosome:AWHD00000000:1:1847122:1849905:1 gene:ONIVA01G02620 transcript:ONIVA01G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAAGAGGEPQKQLLSIIRDFAAEKSHGGFSRDPLAASELLAERRVTDLKRRLDDLRAASDAAAAELEAAKRAREGAEQELRGGQVQVAIAAASIQALEATISHLQEEISKAGSDLDALKGKGDIERDEFISQMDQLNTKIRQFQQTVSVEFKRQKCSELPSGEGQHVRDMSEIEESEGILKDLIDKVNNADAELHVLEEEYKKDLLHHDEVRRELADTQAKRALMEAVMGETKQLQELGEYPFLGFVQKFSNSLHLVLFPVQIHQAFCKRRFFNGKQAGSRNGESARFACGGVAEAVRVPRLWSQQHGWVGGGGGGQLKMALAGWLLYIDKA >ONIVA01G02610.1 pep chromosome:AWHD00000000:1:1829509:1829837:-1 gene:ONIVA01G02610 transcript:ONIVA01G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNYLGCDDSSCPKEEGVECEGCNGAGWLLCDFCKGKKNNVKSESSRIYRRCPTCKAAGYILCPRCRVYKCITYPESNDS >ONIVA01G02610.2 pep chromosome:AWHD00000000:1:1829509:1829843:-1 gene:ONIVA01G02610 transcript:ONIVA01G02610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNYLGCDDSSCPKEEGVECEGCNGAGWLLCDFCKGKKNNVKSESSRIYRRCPTCKAAGYILCPRCRVYKCITYPESNDS >ONIVA01G02600.1 pep chromosome:AWHD00000000:1:1822103:1829800:1 gene:ONIVA01G02600 transcript:ONIVA01G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isoamylase 1 [Source:Projected from Arabidopsis thaliana (AT2G39930) TAIR;Acc:AT2G39930] MASLPHCLSARPLVVAAAPGRPGPGPGPWLRGGARRRNAAFSAGNAGRRVGLRRSVASAVEVGVGEDEEGVEEEEEEVEAVVMPERYALGGACRVLAGMPAPLGATALDGGVNFAVYSAGASAASLCLFTPDDLEADEVTEEVPLDPLFNRTGNVWHVFIEGELHNMLYGYRFDGMFAPHCGQYFDVSNVVVDPYAKAVISRGEYGVPGPGGDCWPQMAGMIPLPYSTFDWQGDLPLRYPQKDLVIYEMHLRGFTKHSSSNVEHPGTYIGAISKLDYLKELGVNCVELMPCHEFNELEYFSCSSKMNFWGYSTINFFSPMIRYSSGGIRNCGRDAINEFKTFVREAHKRGIEVIMDVVFNHTAEGNEKGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGCSLWDPVNVYGSPVEGDMTTTGTPLATPPLIDMISNDPILGDVKLIAEAWDAGGLYQVGQFPHWKIWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNKKYNSSNGEDNRDGENHNLSWNCGEEGEFAGLSVKRLRKRQMRNFFVSLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEESSDLQRFCSLMTKFRKQCESLGLADFPTAQRLHWHGHQPGKPDWSETSRFVAFSMKDETKGEIYVAFNASHLPAVVGLPERPGYRWEPLVDTGKPAPYDFLTDDLPDRAHAVHLFSHFLNSNLYPMLSYSSIILELQPDD >ONIVA01G02600.2 pep chromosome:AWHD00000000:1:1822103:1830976:1 gene:ONIVA01G02600 transcript:ONIVA01G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:isoamylase 1 [Source:Projected from Arabidopsis thaliana (AT2G39930) TAIR;Acc:AT2G39930] MASLPHCLSARPLVVAAAPGRPGPGPGPWLRGGARRRNAAFSAGNAGRRVGLRRSVASAVEVGVGEDEEGVEEEEEEVEAVVMPERYALGGACRVLAGMPAPLGATALDGGVNFAVYSAGASAASLCLFTPDDLEADEVTEEVPLDPLFNRTGNVWHVFIEGELHNMLYGYRFDGMFAPHCGQYFDVSNVVVDPYAKAVISRGEYGVPGPGGDCWPQMAGMIPLPYSTFDWQGDLPLRYPQKDLVIYEMHLRGFTKHSSSNVEHPGTYIGAISKLDYLKELGVNCVELMPCHEFNELEYFSCSSKMNFWGYSTINFFSPMIRYSSGGIRNCGRDAINEFKTFVREAHKRGIEVIMDVVFNHTAEGNEKGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGCSLWDPVNVYGSPVEGDMTTTGTPLATPPLIDMISNDPILGDVKLIAEAWDAGGLYQVGQFPHWKIWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNKKYNSSNGEDNRDGENHNLSWNCGEEGEFAGLSVKRLRKRQMRNFFVSLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEESSDLQRFCSLMTKFRKQCESLGLADFPTAQRLHWHGHQPGKPDWSETSRFVAFSMKDETKGEIYVAFNASHLPAVVGLPERPGYRWEPLVDTGKPAPYDFLTDDLPDRAHAVHLFSHFLNSNLYPMLSYSSIILELQPDD >ONIVA01G02590.1 pep chromosome:AWHD00000000:1:1797224:1799578:-1 gene:ONIVA01G02590 transcript:ONIVA01G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >ONIVA01G02590.2 pep chromosome:AWHD00000000:1:1797224:1799578:-1 gene:ONIVA01G02590 transcript:ONIVA01G02590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >ONIVA01G02580.1 pep chromosome:AWHD00000000:1:1784814:1791595:-1 gene:ONIVA01G02580 transcript:ONIVA01G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIARRSAAERTSTTAGLTTAASGLRARNRVSCWRRQRRKIGGGHSGISQRRMRGVRCGTDLVDNRSRREEERNGWVTFWRAEGASAPPPSGVVTAAAAPEKGEEGKPPRVGGTPSRSIDRIESPAAAASSPSSSTAPPRCPPPPPPPPATRRSLRELVLVLAALNPARRRAGAVMVVIEQEPEVEETPAAAEEPAAEEQAAGGAAGGEAARADGEDEEEAFEDALTDEQLREKARSQANDAKAEGNKFFGAGEYERALSQYETALQIAAELESAEDIRSACHSNRAVCFLKLGKYDETIKECTKALELNPSYLKALLRRGEAHEKLEHYDEAIADMKKIIELDPSNEQAKRSLFRLEPLAAEKREKMKEEMIGKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSKRVVWKKLLSRDGFDVLRGLLTFDPGERLTAAAALGHRWFAGADAEVRYWNHERSLGLGILTTVHDAAAVEFPPPSAVAAHMARNPWLSGE >ONIVA01G02570.1 pep chromosome:AWHD00000000:1:1784440:1784946:1 gene:ONIVA01G02570 transcript:ONIVA01G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIVSIDGVSATGLDAAAGKNASAATTVSPSFDVAVRLRRRWFRLLPYTYTNGTVSVSFAGAGGAAVARGALRDVTLTAFSPSVVSATARAPPTALLAAGELRRGGEVRLDVTVSYDRSQVHEPYSPLSHGFRAMCAATALGGGNSTAAASCTVVRIPSPNDLSWFQ >ONIVA01G02560.1 pep chromosome:AWHD00000000:1:1778235:1778951:1 gene:ONIVA01G02560 transcript:ONIVA01G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCTRGAKPPRRVDPNISRPPARAAVLPTHTVSPGDGATASSHLSMAPVVAIVLCTLVTIAAILFLSFFGSWMAPVVSIDGVTAGAAGNATTTTISPSFDVAVRVKMQRFHLAPEAYARGSVAVSCAGGAVTARGELPDFLLYVTSPSVVSATARAAPPTTALSAGARGRLAGELRRGEVWLDVSVSYRRSEDDPGSMLSNGFRAMCAATASLGHGNSTATAAAAAAAACTVVKQ >ONIVA01G02550.1 pep chromosome:AWHD00000000:1:1775046:1775565:-1 gene:ONIVA01G02550 transcript:ONIVA01G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEGEWGWMAEVAGEELEKLEAAHPGRFGPLKAELKRLIADPAAATPLVSPHSDATVTSSSSQSDSVLRIVSTQESSSRKKRRCGGNGGAGGEQEGKRRRSAAAAAGKDSAEMAIERAERCLRRIRAFKASLLGFSD >ONIVA01G02540.1 pep chromosome:AWHD00000000:1:1770577:1774001:-1 gene:ONIVA01G02540 transcript:ONIVA01G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nijmegen breakage syndrome 1 [Source:Projected from Arabidopsis thaliana (AT3G02680) TAIR;Acc:AT3G02680] MVWALTPVDTVRGAQRCYIFAAGTYKVGRKDCDVIVQTDTSISRVHAEIVVEKMVAWDPQSGAPANPSYVRVVDRSKYGTFFNKVQGTQGSRLHKDEDAMLADGDTVTFGTGSATFRLSFVPIVVFFHGKKSGRISPSLQAVMTSIGAYATRKWSDECTHVLVDESCSLTPELLDAVLAKKQIVLGDWFKVMAEKNIHTEMPSSTQYIPKLTLDGMEIQVVEIKLIESCLAGYTFILGSSEKYKFGDKLHALLESTGAKYLHVDEFCANSQDSGAGENDKDILLVPAKSPLEFSKISGLFPLSKITDVKLFAAILSDIVASSNSTDETIVVDSDVEIDTATSDHTVAASKSEHHIEHISDDKKEVVAISEEDAVNLVEAKTSINLHSYQEKDEIVKPMEEDVKVIEKTATMRGFKVEGEDIPVMTKVPKDETLDSRDETCHVIYTQNLVVKSILQSARAESIETGGINFKRFRKRGAVSGNSFKDLIPYSREPYRESDYKRGTVIDFMREEKKRRQMEAIAEDLFNNAKPKKKAAAGSSIHTMLTGRR >ONIVA01G02530.1 pep chromosome:AWHD00000000:1:1766227:1767339:1 gene:ONIVA01G02530 transcript:ONIVA01G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19950) TAIR;Acc:AT3G19950] MSNPAAYYAAVARKQYFCYQCNRTVLLPASAAAAGALSCPECRGDFIEEVNVPAPAIIPFPFAFPPMMPTATSASAAAAAAASPTQSSSSSAATSPSSDLSAFLNSMLGPLNLRTDERMPGTTSAAGTATPEDEPDGFDAVTFFQNYLQNLMDGGANIQVLLDDASVGLAPGIGRVGGASFGDYFVGPGLEQLIEQLAENDPNRYGTPPAAKSALSTLPDVIVTDAMVAAADGAECAVCKEDFSPGEGAKQMPCKHIYHADCIMPWLDLHNSCPICRFELPTDDPDYEGRKKSNPQPTAGVDAGAASGSSTAAEEREESGESARLVERRFNVSLPWPFSGLGSQTPQQDGSNGGAGASGSKDGGASSDKK >ONIVA01G02520.1 pep chromosome:AWHD00000000:1:1761604:1761911:-1 gene:ONIVA01G02520 transcript:ONIVA01G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGMGKEELAVVRKEKLAGDELAGMGKDELVGGQMCAERCERGELTTRLRWTEWYGTKKIRTPVVYSESSANWTINP >ONIVA01G02510.1 pep chromosome:AWHD00000000:1:1753532:1761430:-1 gene:ONIVA01G02510 transcript:ONIVA01G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKVQEEAGIETREEEDDESGTKRERESGEIEGGGGEPSRKKRDPEAVSRFKQRSAAWFAKLKEEFERKVAEHPDVDWSDSLAIDASSYRKDWDYVWSQAYGSFDKINQSLRLSHRCAILRLILGRITHPNMMQCRSFVELRRGLQWPIHVFGLVAARDVIDHNRNIVFNRTRDDCQLLTQEVPYLLLTGPTRAVVVVDPVDFEVALKVKGSIESEDKDLSFLAVQLTRISNISGTHLINKEYTSKLSTLELTFGYIVRSVEATINVRVIDGSWPEEGFSARITAHTSSLKDYRVLLLDSGYEMKKMSVTADGMIELSRRVVSVEFEGELEVSVAAFGSNCNYMEAEEKFTPRTMVKARPSLMLAFYLPVPSPPTPTPHREFAGSLVADPILPASDPGRRRSSCCCFRRILFGGFNRIPMSHVRSASAAADAASDWQKVRAEWFARFKEEYERKVAEHPDVDWSDELAVDARHYRESWERIYARAYGGPFDKSTSIPPMQYTAEPVPFDASEQYMLQIFCVKIKELRRGLQWPIHVFGLIAARDTIDHNRNMVFDRTRDDCQTLTQEDPYLLLTGPTRAVVVCDPVYLEAVLRVKGSTESEDEDLSFFTVPLTDVNRPRETCLITREYTSKLSTLELTFGYVVRSVEATIKARIVDGSWPEEDGSSARFTACTSSLKHNGVLLLDSGDKRRKMRVDADGVVGLSRRVVSVEFEGELEVSVVTFDGSNICSKMEAEIRFVPEEVGESCVELDVGFCKMEITVAWSCLSLSCR >ONIVA01G02510.2 pep chromosome:AWHD00000000:1:1753532:1761430:-1 gene:ONIVA01G02510 transcript:ONIVA01G02510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKVQEEAGIETREEEDDESGTKRERESGEIEGGGGEPSRKKRDPEAVSRFKQRSAAWFAKLKEEFERKVAEHPDVDWSDSLAIDASSYRKDWDYVWSQAYGSFDKINQSLVDLDPLFISPIPPMRYTTADPGPDYASEHDAVQIFCVKIRELRRGLQWPIHVFGLVAARDVIDHNRNIVFNRTRDDCQLLTQEVPYLLLTGPTRAVVVVDPVDFEVALKVKGSIESEDKDLSFLAVQLTRISNISGTHLINKEYTSKLSTLELTFGYIVRSVEATINVRVIDGSWPEEGFSARITAHTSSLKDYRVLLLDSGYEMKKMSVTADGMIELSRRVVSVEFEGELEVSVAAFGSNCNYMEAEEKFTPRTMVKARPSLMLAFYLPVPSPPTPTPHREFAGSLVADPILPASDPGRRRSSCCCFRRILFGGFNRIPMSHVRSASAAADAASDWQKVRAEWFARFKEEYERKVAEHPDVDWSDELAVDARHYRESWERIYARAYGGPFDKSTSIPPMQYTAEPVPFDASEQYMLQIFCVKIKELRRGLQWPIHVFGLIAARDTIDHNRNMVFDRTRDDCQTLTQEDPYLLLTGPTRAVVVCDPVYLEAVLRVKGSTESEDEDLSFFTVPLTDVNRPRETCLITREYTSKLSTLELTFGYVVRSVEATIKARIVDGSWPEEDGSSARFTACTSSLKHNGVLLLDSGDKRRKMRVDADGVVGLSRRVVSVEFEGELEVSVVTFDGSNICSKMEAEIRFVPEEVGESCVELDVGFCKMEITVAWSCLSLSCR >ONIVA01G02510.3 pep chromosome:AWHD00000000:1:1753532:1761430:-1 gene:ONIVA01G02510 transcript:ONIVA01G02510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKVQEEAGIETREEEDDESGTKRERESGEIEGGGGEPSRKKRDPEAVSRFKQRSAAWFAKLKEEFERKVAEHPDVDWSDSLAIDASSYRKDWDYVWSQAYGSFDKINQSLVDLDPLFISPIPPMRYTTADPGPDYASEHDAVQIFCVKIRELRRGLQWPIHVFGLVAARDVIDHNRNIVFNRTRDDCQLLTQEVPYLLLTGPTRAVVVVDPVDFEVALKVKGSIESEDKDLSFLAVQLTRISNISGTHLINKEYTSKLSTLELTFGYIVRSVEATINVRVIDGSWPEEGFSARITAHTSSLKDYRVLLLDSGYEMKKMSVTADGMIELSRRVVSVEFEGELEVSVAAFGSNCNYMEAEEKFTPRTMVKARPSLMLAFYLPVPSPPTPTPHREFAGSLVADPILPASDPGRRRSSCCCFRRILFGGFNRIPMSHVRSASAAADAASDWQKVRAEWFARFKEEYERKVAEHPDVDWSDELAVDARHYRESWERIYARAYGGPFDKSKLRRGLQWPIHVFGLIAARDTIDHNRNMVFDRTRDDCQTLTQEDPYLLLTGPTRAVVVCDPVYLEAVLRVKGSTESEDEDLSFFTVPLTDVNRPRETCLITREYTSKLSTLELTFGYVVRSVEATIKARIVDGSWPEEDGSSARFTACTSSLKHNGVLLLDSGDKRRKMRVDADGVVGLSRRVVSVEFEGELEVSVVTFDGSNICSKMEAEIRFVPEEVGESCVELDVGFCKMEITVAWSCLSLSCR >ONIVA01G02510.4 pep chromosome:AWHD00000000:1:1753532:1761430:-1 gene:ONIVA01G02510 transcript:ONIVA01G02510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKVQEEAGIETREEEDDESGTKRERESGEIEGGGGEPSRKKRDPEAVSRFKQRSAAWFAKLKEEFERKVAEHPDVDWSDSLAIDASSYRKDWDYVWSQAYGSFDKINQSLVDLDPLFISPIPPMRYTTADPGPDYASEHDAVQIFCVKIRELRRGLQWPIHVFGLVAARDVIDHNRNIVFNRTRDDCQLLTQEVPYLLLTGPTRAVVVVDPVDFEVALKVKGSIESEDKDLSFLAVQLTRISNISGTHLINKEYTSKLSTLELTFGYIVRSVEATINVRVIDGSWPEEGFSARITAHTSSLKDYRVLLLDSGYEMKKMSVTADGMIELSRRVVSVEFEGELEVSVAAFGSNCNYMEAEEKFTPRTMVKARPSLMLAFYLPVPSPPTPTPHREFAGSLVADPILPASDPGRRRSSCCCFRRILFGGFNRIPMSHVRSASAAADAASDWQKVRAEWFARFKEEYERKVAEHPDVDWSDELAVDARHYRESWERIYARAYGGPFDKSSPTRAVVVCDPVYLEAVLRVKGSTESEDEDLSFFTVPLTDVNRPRETCLITREYTSKLSTLELTFGYVVRSVEATIKARIVDGSWPEEDGSSARFTACTSSLKHNGVLLLDSGDKRRKMRVDADGVVGLSRRVVSVEFEGELEVSVVTFDGSNICSKMEAEIRFVPEEVGESCVELDVGFCKMEITVAWSCLSLSCR >ONIVA01G02500.1 pep chromosome:AWHD00000000:1:1742485:1742934:-1 gene:ONIVA01G02500 transcript:ONIVA01G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFADFWDPFDGVLRSLVPATSDRDTAAFANARVDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKASMENGVLTVTVPKAEVKKPEVKAIEISG >ONIVA01G02490.1 pep chromosome:AWHD00000000:1:1738871:1739380:1 gene:ONIVA01G02490 transcript:ONIVA01G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKLFDTLAFDAWNPFSIFGTTVAADAWLASDTSAFANTYIESRETAEAYVFRADLPAGVKKDEVRVEVDEGNVLVITGERSVRREEKGQRSHHIERSCATFFGRFHLPDDAVVDLVRASMDGGMLTVTVPKVVTDKQPAIAAAAAAAPVPAVVAPAVEAKAIEASP >ONIVA01G02480.1 pep chromosome:AWHD00000000:1:1731918:1732370:1 gene:ONIVA01G02480 transcript:ONIVA01G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSGNVLDPMSVDFWADADPFGAVRSLAERCPVLTNVRVDWKETPTAHVFTADLPGVRKDQAKVEVEDGGVLVISGERAREEDVDGKNDERWHHVERSSGKFQRRFRLPRGARVDQVSASMDNGVLTVTVPKEETKKPQLKAIPISG >ONIVA01G02470.1 pep chromosome:AWHD00000000:1:1729022:1729567:-1 gene:ONIVA01G02470 transcript:ONIVA01G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTTEKKMPQQQQVERPTALAPADAEIERVFTRFDADGDGRISPSELAAVTRAIAPPPSESAGGREVAAMMNELDTDRDGFVDLGEFAAFHGRGRGDAEHEAELRAAFDVYDVDGDGRITAAELGKVLGRIGEGCSAEECERMIASVDVDGDGCVGFEEFKKMMCRDAAATGGADKAKTE >ONIVA01G02460.1 pep chromosome:AWHD00000000:1:1723867:1724852:-1 gene:ONIVA01G02460 transcript:ONIVA01G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAAGVPAAGRGGARLRGELARRAAVGDEEHDDDSGPPLACSCPGGWKSSACALSVEAVGLRRRPEAGEDGGGLPQRPPLLSHRLNQDASHRPN >ONIVA01G02450.1 pep chromosome:AWHD00000000:1:1712692:1720912:-1 gene:ONIVA01G02450 transcript:ONIVA01G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTKGVVFDVNLLENSTLEDGLAGWAAVGECTALSVHNEEPEKVPTETINTVADDYKPSGRYILAAGRAGEEDGLRRAVAGALKPRVTYRVAGWISLGDGAEGSHPVRVNLRLDDDDECVVEGGAVCAQAGRWTEIKGAFRLKASPCGATVFVQGAPDGVDVKVMDLQIFATDRRARFRKLRKKTDKVRKRDVVLKFGGAGSISGASVRVMQMDSSFPFGACINGGVIQNPAFVDFFTKHFDWAVFENELKWYWTEAQQGQLNYADADALLDFCDRYGKPVRGHCIFWAVDNVVQQWIKGLDHDQLTAAVQGRLTGLLTRYAGRFPHYDVNNEMLHGSFYQDRLGDDINAFMFRETARLDPGATLFVNDYNVEGGNDPNATPEKYIEQITALQQKGAAVGGIGLQGHVTNPVGEVICDALDKLATTDLPVWLTELDVCESDVDLRADDLEVVLREAYAHPAVEGVMFWGFMQGHMWRQDACLVNSDGTVNDAGERFIDLRREWTSHARGHIDGDGHFKFRGFHGTYVVQLATATGKMHKTFTVEKGDTPLVLDMDETTHLVMNHVEHCEDGGLAVAGWTPSGSCTLSVHDDPAPETPPPHPLSATEDDADEPRTRPSGRYVLAAHRAGERDGLCREFSRAPAAKVTYRVAGWVGLQGAGAADGCCHPVRVEVCTDDGRPVGGGVVVAEAGKWGEIMGSFRVDDDEPPRCAKVFVHGPPPGVDLKVMDLQVFAVNKIARLRHLRKKTDKLGRRTGGTAIRVVQVENSFPIGACINKTAIQNAAFVDFFTKHFDWAVLENELKWYYTEPVQGQVSYSDADELIAFCDRHGKPVRGHCIFWAVENAVQPWVRALNGDQLRAAVEGRLRSLVTRYGGRFPHYEVNNEMLHGAFFQQRLGDDINARMFRETARMDPSPALFVNDYNVESANDPNATPERYVELVTDLQKRGAAVGGIGVQGHVTHPVGDVICDALDKLAVTGLPVWITELDVSAADEAVRADDLEIVLREAFAHPAVEGIMLWGFMQGNMWRSHAHLVDADGKLNEAGHRYVGLRQEWTSHARGQVDGSGHFKFRGFHGKYVVQLTTGAGEMKHQQFDVGKGDGPLVLDMDL >ONIVA01G02440.1 pep chromosome:AWHD00000000:1:1707884:1710408:1 gene:ONIVA01G02440 transcript:ONIVA01G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like [Source:Projected from Arabidopsis thaliana (AT1G73805) TAIR;Acc:AT1G73805] MAAKRLHDGYGQEDQPDDKRVRRMPSFSTVIREALMVKQMQTLFVALEPLLRRVVQEELQAGLVRSPRYIERMSPETPPAQPPMWKLAFRFKPQLPIFTGSKIEDVNGNPLEIILVDVDTGAPATISQPLRVEVVPVLGDFPPDDREHWTAEEFQQRGIVKERSGKRPLLTGDVSLTMRDGCVAVNELQFTDNSSWVRCRRFRIGVRVVPGSYDGPRIGEAMTEPFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLTQHNVRNVQEFLRLLTVKPDELRAIMGDGMTDRMWEVTTSHAKKCVPGDKVYMYSTPHGTVYVNSIFELVKVELAGVEYQLHQLNRAQKVFVQQLLLAAYEQRNNLQEADAMALHCNDVPLLQNAAEITIPALGDTQLWIQNSLNSQEIDFQVDEIPQANFALQWTGQMYNISG >ONIVA01G02440.2 pep chromosome:AWHD00000000:1:1707884:1710640:1 gene:ONIVA01G02440 transcript:ONIVA01G02440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like [Source:Projected from Arabidopsis thaliana (AT1G73805) TAIR;Acc:AT1G73805] MAAKRLHDGYGQEDQPDDKRVRRMPSFSTVIREALMVKQMQTLFVALEPLLRRVVQEELQAGLVRSPRYIERMSPETPPAQPPMWKLAFRFKPQLPIFTGSKIEDVNGNPLEIILVDVDTGAPATISQPLRVEVVPVLGDFPPDDREHWTAEEFQQRGIVKERSGKRPLLTGDVSLTMRDGCVAVNELQFTDNSSWVRCRRFRIGVRVVPGSYDGPRIGEAMTEPFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLTQHNVRNVQEFLRLLTVKPDELRAIMGDGMTDRMWEVTTSHAKKCVPGDKVYMYSTPHGTVYVNSIFELVKVELAGVEYQLHQLNRAQKVFVQQLLLAAYEQRNNLQEADAMALHCNDVPLLQNAAEITIPALGDTQLWIQNSLNSQEIDFQVDEIPQANFALQWTGQMYNISG >ONIVA01G02430.1 pep chromosome:AWHD00000000:1:1702616:1702855:-1 gene:ONIVA01G02430 transcript:ONIVA01G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPGRLGLRCVVVLVAATMLRRRALHCMATVLPPCRGATSTTAVLKDGREVRREKCRGKETRREDKEDKDESHET >ONIVA01G02420.1 pep chromosome:AWHD00000000:1:1694262:1698235:1 gene:ONIVA01G02420 transcript:ONIVA01G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEYLRQFVEETAWYNEIFLSHVVPGDWWRALPHPLQSWLRNGLGGYLIYFACGFLWCFVIYYWKRHAYIPKDSIPTIEAMKKQIIVASKAMPLYCALPTLSEYMVENGWTQCYVNISEVGWPMYLVYLALYLIFVEFGIYWMHRELHDIKPLYKYLHTYHHIYNKENTLSPFAGLAFHPLDGILQAIPHVFALYLIPTHFRTHIALLFIEAVWTTNIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTVWMDWMFGTLREPEDILKKD >ONIVA01G02410.1 pep chromosome:AWHD00000000:1:1683427:1685928:1 gene:ONIVA01G02410 transcript:ONIVA01G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEGISMDEALDRILERFELMEANRRQEEKFNQILQKLEEVEARRSKAAEETIAAIRATTAVLKAKSPTTPMAPPTPAPTKCLTECPNNNLTWATASSSHITEDTAPTAAWELGNNKHKGHASCVVTKDSPEVTPTMLVTSATTAAASMELVAAGNAIGATYMNNLDHPKVTHAKCSMSGSGVKRGTEQVVLAFPLMASPVEFTTSLVEPSPPTGLKLGAAICVGDQVPMKCSMKCTESDNKPLMEHPKRNPWPPAWLGWKKWYVSWTAVNYSEMRFYFIPPWPPPLKVGWLALVFSKFGAAHTDMMDIMLHWTDMKPWPPPNQNLRSIMVHLFAWKHWKVSVEVSLFAWNTKQYMNSVLLITVGTKWLIQSAVKDCFLQGKPFKLVDPLELMQVILVLLVRDPDVEMFQIGSYSLQPENYQLTNYLVARLLKQGNLKKVLDGVDHSKNIKKSDVDVGEDNPGNINTAAKMFIDGLGIKEDSEMLCPSAQYIDNWSTKLLDEIRNGCNIYLLVALIDDELNPWCFLIDKRWYDILVLLFFTGATWKVESYALPIKDVIHMVVYFVQPLQGILLQTRQGKIENPVILDITSATQTVKFLLCYKSTIKIHPSCSSMVQISASKFRACGKENICYMLLLLVLNIGDCTSLRSTSYMLHASIACAEYWCLHFSEVVQHAICIGWIINWAILFWMEQAICSPRIILQMPWDPGGGKLFIASGCRLGDKPDSKEGVLLGIGPAALRPNTVSSPILGPSKPNRELERKGCCTLRKRNRTEIKHASAASATTAAAATWFRLNLVDLPLFLYYLSCYYLYCSY >ONIVA01G02400.1 pep chromosome:AWHD00000000:1:1679441:1680139:1 gene:ONIVA01G02400 transcript:ONIVA01G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYLSWIRRRLLRVATSLNGLLRTAASGGAAVIAAAPPVAATLSELVVRKLSMDSPILGPSKPNRELERKGCYTLRKRNRTEIKQASAAAATWFRLNLVDLHLFLYYLSCYYLYCSY >ONIVA01G02390.1 pep chromosome:AWHD00000000:1:1671578:1673724:1 gene:ONIVA01G02390 transcript:ONIVA01G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:leucine-rich repeat transmembrane protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G68400) TAIR;Acc:AT1G68400] MPRNSGGGASAILLPLFLSALLLRCFVCYADGGGGGSLDADVAALSDFRLAADRSGALASWDLAANPAPCGTWRGVSCAGGRVTRLVLEGFGLSGDAALPALARLDGLRVLSLKGNGLTGAIPDLSPLAGLKLLFLAGNSLSGPIPPSIGALYRLYRLDLSFNNLSGVVPPELNRLDRLLTLRLDSNRLSGGIDGIALPVLQDFNVSNNLLTGRIPVAMAKFPVGAFGGNAGLCSAPLPPCKDEAQQPNASATPPCPPAAAMVASSPSAKPAGATTSGKGKMSCAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRRSARRLREGEKIVYSSSPYGATGVVTAAGSTFERGKMVFLEDVSSGGGKRFELDDLLRASAEMLGKGGCGTAYKAVLGDGSVVAVKRLRDATAAAASKKDFEHHMAVLGRLRHPNIVPLNAYYYARDEKLLVYEFMPNGSLFSLLHGNRGPGRTPLDWAARMRIASAAARGLAYIHHASRRGSGTPRLAHGNIKSTNILLDKAGVGRLADCGLAQLGSSPAAAAARSAGYRAPEAPPPPRPWASQKGDVYAFGVVLLELLTGRCPGSELPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCASAAPDQRPKIGYVVKMIEEIRACGEASPSHESMDESSGVSVSDSPAVSEGGAISQ >ONIVA01G02380.1 pep chromosome:AWHD00000000:1:1667860:1669491:1 gene:ONIVA01G02380 transcript:ONIVA01G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHSGSSLLKAVPMLLLFSSGFILGMISIANFPKFYESPLLSPMLHSLVPSSSRPIMQPSPSPETPCVWPPPLPSSTPTPAPSPPSTPTGLMGFLAPSGVTHNMTDEELLWRASMAPRVSRAPYSRVPKVAFLFLVRAKLPLRLLWEKFFAGHGKELYSIYVHSDPPFAASLPTDSVFYGRMIPSQRTTWGDANLVEAERRLLANGLLDLSNERFALLSESCIPIFDFPTVYAHLTGSNDSFVDCFDNAGAHARYRPALFAPHNITAAQWRKGSQFFEMDRALAVEVVSDERYFPAFRDSCAGRRGCLIDEHYIPTLVSLLRWRRNANRTLTYTEWRPRRPHPRSHGARDVTEELFGKMRGGAGNCTYNGKASDVCFVFARKFSPDALAPLLELAPKVIGFG >ONIVA01G02370.1 pep chromosome:AWHD00000000:1:1661562:1663482:1 gene:ONIVA01G02370 transcript:ONIVA01G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTNKPMAASSHSGSRLLKAVFFLLIFSLGFIMGMISMANFPNFYGSPLLSPMLLSVSSLAPSSTPMPTPTAPSPSPETPCVWPPSPPSPTDPSASLPSPTERPAAPMGLTAFLAPTSVVHTMTDEELLWRASMAPKVSRTPYSRVPKVAFLFLVRNQLPLRPLWEKFFAGHNQSLYSIYVHSYPPFAASLPTDSVFYGRMIPSQKTTWGDSNLVEAERRLLANALLDMSNERFALLSESCIPIFDFPTVYAHLTGSNDSFVDCFDNNGAMARYRQDVFAPHNITQAQWRKGSQWFEMDRALAVEVVSDEVYFPAFRGCRHCVIDEHYIPTLVSLLRWRRNANRTLTYMEWRPRSPHPRSHGARDVTEELLRKMRSGAANCTYNGAPSDICFVFARKFTPDTLGPLLDLAPKVMGFG >ONIVA01G02360.1 pep chromosome:AWHD00000000:1:1654377:1661360:1 gene:ONIVA01G02360 transcript:ONIVA01G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G25375) TAIR;Acc:AT1G25375] MGSPSYRLAAAITIPSTDEFLLVRQPPPPSPAPAPEEEEYLYRGYVDSELYDLPSAPLRPLAGELRSDVAIRGADSVAGRLDISRLDVSASLDQICDQFGLPSGICGEWRLLKYVEEAEFGPDAGLNTVLIIGSLESKLEALQDSWRWMSKECASGLLSGAKSGGTRIGPYAYIGLLKPELPSNWTPFRTTNLVVVVATDTPGGSACSDCVVYGDALLIDPGCCSQVHGKLAELVNSLPKKLVVLVTHHHHDHVDGLSVVQRCNPDAVLLTHKNTMSRIGKVAGGENICIGGQQLQVVFAPGHTDGHMGVLHVNTNALIVGDHCVGQGSATLDNRAGGNMKDYFQTTYNFLDMSPHVLIPMHGRINLWPKHMLCGYLRHRRAREVSILQSIENGARTLFDIVSKTYADVDRKLWIPASFNVRLHVDHLNSQNKLPKSFSMDKFEVSCGTNFMLWWAVAYVQARSSPAILAATALAGGLAIAYALKRNSGNEP >ONIVA01G02360.2 pep chromosome:AWHD00000000:1:1654377:1660818:1 gene:ONIVA01G02360 transcript:ONIVA01G02360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G25375) TAIR;Acc:AT1G25375] MGSPSYRLAAAITIPSTDEFLLVRQPPPPSPAPAPEEEEYLYRGYVDSELYDLPSAPLRPLAGELRSDVAIRGADSVAGRLDISRLDVSASLDQICDQFGLPSGICGEWRLLKYVEEAEFGPDAGLNTVLIIGSLESKLEALQDSWRWMSKECASGLLSGAKSGGTRIGPYAYIGLLKPELPSNWTPFRTTNLVVVVATDTPGGSACSDCVVYGDALLIDPGCCSQVHGKLAELVNSLPKKLVVLVTHHHHDHVDGLSVVQRCNPDAVLLTHKNTMSRIGKVAGGENICIGGQQLQVVFAPGHTDGHMGVLHVNTNALIVGDHCVGQGSATLDNRAGGNMKDYFQTTYNFLDMSPHVLIPMHGRINLWPKHMLCGYLRHRRAREVSILQSIENGARTLFDIVSKTYADVDRKLWIPASFNVRLHVDHLNSQNKLPKSFSMDKFEVSCGTNFMLWWAVAYVQARSSPAILAATALAGGLAIAYALKRNSGNEPATHFIEYIDPDSDPDV >ONIVA01G02350.1 pep chromosome:AWHD00000000:1:1648765:1653746:1 gene:ONIVA01G02350 transcript:ONIVA01G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidic GLC translocator [Source:Projected from Arabidopsis thaliana (AT5G16150) TAIR;Acc:AT5G16150] MAGTGASVKMIRYVVKCGGQQQHVVVAARGDRKSPAIAAPPPATVRMPGRVLCCGMRSRGADLAGVEMAAGPQPQGGVSGLFRGPRSSPRYSRVRATATVDPEDIPLEKVQAKSSGSVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIAENAVLQGWVVSTTLAGATAGSFTGGALADKFGRTRTFILDAIPLAVGAFLSATAHDVRTMIIGRLLAGIGIGISSALVPLYISEVLEHAQQLSYLRLRLQISPTEIRGALGSVNQLFICIGILAALVAGLPLAGNPAWWRTMFGISIVPSILLALGMAVSPESPRWLFQQGKLSQAETAIKKLYGREKVAEVMYDLKAASQGSSEPDAGWLDLFSKRYWKVVSVGAAMFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYSGPLAVAGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVVYIAGNVVETKGRSLEEIERALSSAS >ONIVA01G02340.1 pep chromosome:AWHD00000000:1:1643037:1643621:-1 gene:ONIVA01G02340 transcript:ONIVA01G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSSSKPILGRAMAILALPLTPISKAKGPIARNLLLFKKRGAAGKARRMLSSYGYKPHRHYNYDYVGEYQFSPSSSPLIAYPPGVSSWRRATKKRRSKARMILASLLCGGDGDLDVAVLDGLPRADEPRAAVEWEECRRDGGGAYGEGDQYEEEEEEEDDEGVDGRAERFIERFYEEMRLQRQRSLVQRLL >ONIVA01G02330.1 pep chromosome:AWHD00000000:1:1638620:1642218:1 gene:ONIVA01G02330 transcript:ONIVA01G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAIVEKRPAPFVGGGGGCAGGVLLHLLDWHRRLARKRRLFSPRRLLPTSLRSSPRRLPSPPQASHPPPAPRLSSAATAAGVAAPGVGVVARLMGLESWPATGPVGAPPAARRPQKQRKVEVASPTPRADEPDVVLVLPPSQRPLPLSPAARNHHGADLPARSPRRSRLVHAAATKLLEPSARASSRASARLALAYACSSPQHRMDGHSNALQSSSMPDDFLSRSDSLPLERSSRLQPVVAQPPVLPAETEWDNVITSSRHEKHSIDTISSSDAADVVSGDAIVVLRSGFDDANVSRSSSGADAMPKDHKARTDRLSNCSRMRSSGAGVRAGEERSLRKRGTHSLQDVEGNIGSRSLVSSTHPAAGSARELMSGSRRAAHHGSGQRRELMGTITPQRSSRREVMGSSNPQRNTRRSSIDRSGLTSTTTSRIAVSTVSGQKRGSRKNVGRDNAACNREVNNPVAFASSSSVNPVTRNSSQSKVSEKRGCRRTQVISTSCSTRLPVVESSPSVVGSSEKEEFSRLLKAKINELGLSDRIESSDALSGKLTASVLQELISALTNDTNTSDSQHSNYSNAYNSQHSNYSDAVDCLNNNMSACNSNDQSHDFQNCYQRDREVESSATCMNNEPNQPSPTSVLEACFSNDTSSLGSPTEKNEGKEYFVSIENKMEDLFNLESDIVDLAISIDKTKTDAEEIFHGIDKMSSVHNLMARDFKLLEARLHSIGEAISNAELLLGNSPLSTKTSNLSLHCFIIEMLEVIMDLFGGSKSLGLTEEKKYQQTNFIFDCIIESVNSKFCDFGKCGYKAWLRLPLSLTEDLLKREISKEICNWRETRETTPNRVAEKELDQMTPRWDACQVEAFDISIAIEHDILEALVDEFAFDQW >ONIVA01G02320.1 pep chromosome:AWHD00000000:1:1617540:1631988:-1 gene:ONIVA01G02320 transcript:ONIVA01G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVATPASARLSPLTTSSPEPCRRRRLLLSAAAPLRRTRLRRRIAVVASVPDPAARPAEYTPWLIAGLGNPGSKYHGTRHNVGFEMVDRIARDEGITMNTIQSKSLLGIGSIGEVPVLLVKPQSYINYSGEAMYDEMSLPNGVLRLQRKGGHGRHNGLQNVMECLDSSRELPRLSIGIGSPPGKMDMRAFLLQKFSSEERLQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFHSGWDSKAILCRASTTSAKLLQCAAR >ONIVA01G02320.2 pep chromosome:AWHD00000000:1:1618758:1631988:-1 gene:ONIVA01G02320 transcript:ONIVA01G02320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVATPASARLSPLTTSSPEPCRRRRLLLSAAAPLRRTRLRRRIAVVASVPDPAARPAEYTPWLIAGLGNPGSKYHGTRHNVGFEMVDRIARDEGITMNTIQSKSLLGIGSIGEVPVLLVKPQSYINYSGEAMYDEMSLPNGVLRLQRKGGHGRHNGLQNVMECLDSSRELPRLSIGIGSPPGKMDMRAFLLQKFSSEERLQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFHSRHNIDSRDGTQRRFFAEVIHHHDRN >ONIVA01G02310.1 pep chromosome:AWHD00000000:1:1616718:1617521:-1 gene:ONIVA01G02310 transcript:ONIVA01G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSPRLKLFGFDVSEEETAEHEEQYSAEPENAPVCGGNGGGGGGGSDSSSSSTTTTTTATAGGDGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAAAAGRVAGGAAALYPRANPMVSAFAPTPHLLGGGEAGPTSWVYFSPRAAAVAGGAQGQQFHVSHGCVFPARGAGPAAVAAASPAVFSYTPATSAAAVAAASAPYVTTDEHHGRRIHASSAASLARYPGPAMVVAEPVATVPEDTCLGLDLQLSLAPAGL >ONIVA01G02300.1 pep chromosome:AWHD00000000:1:1604800:1606607:-1 gene:ONIVA01G02300 transcript:ONIVA01G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSAGAAAGPTAAAAAAAVQKQKTLLQKADADVSSLVDNFAAFINIARVNDPPVRNTQEAFQMDMRGSRLTHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEILSQQAEGTERMLERIGQEAAGSLKELEAHYYSSVVRTPPDE >ONIVA01G02300.2 pep chromosome:AWHD00000000:1:1604800:1606607:-1 gene:ONIVA01G02300 transcript:ONIVA01G02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSAGAAAGPTAAAAAAAVQKQKTLLQKADADVSSLVDNFAAFINIARVNDPPVRNTQEAFQMDMRGSRLILSQQAEGTERMLERIGQEAAGSLKELEAHYYSSVVRTPPDE >ONIVA01G02290.1 pep chromosome:AWHD00000000:1:1596187:1599232:1 gene:ONIVA01G02290 transcript:ONIVA01G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRDHRRVLPGARRSVS >ONIVA01G02280.1 pep chromosome:AWHD00000000:1:1591584:1593391:-1 gene:ONIVA01G02280 transcript:ONIVA01G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKHAVVDLSEEEERRGGGAPGEGSSDEVGDAVGLGRGAESTEPEGSSAVDDAGHGVAAEVAKNDDLEEPLLEPEGVTAVGSGGGDGTASDGGENELHEVPKNPMATRFAFRATDANATPVSTYRGMLSRSRKNAGPTRFVSAGAPPSADPETPALSTSSSELVKSSPENASAVPDADHGASPVRGNEQDLEAAEKQSEARFVSGHTAANAMPPSTFRIRPSRSRKQSSPTRSILREADPPLPAPDAAAAAAAESSHREPSRSKKQPRPERFIPEEGEAAARAKARRSGIALDRFITSQLNNPSGPSTEWEREVTAADVVGGGQGEECTTSDQPSCSIAISDSGPPEEPLPDDRRRIYSVLAVLGVSLAVSMAVLALFYIFGSESPSPPSDPNQEVIFKQY >ONIVA01G02270.1 pep chromosome:AWHD00000000:1:1586801:1587526:-1 gene:ONIVA01G02270 transcript:ONIVA01G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAPELIGPRISFSHDGVVATAGAATPAARSDTSLVVVMSSWRRLPEPEFDFANAAAADVAPADRLFAGGKLLPVPPLPPVHPKPSPCKQQQATSGGGVKPTSYQRRPGSWTSPFTRSCSVNSATTAAPRSGSGSFSCPSFPLMRSRSAGSAAAAQGGGLGGVVSGGGHHRLPQHKKAGATAAAYYYGGSRNGSSGHGVRVSPVINVPSIGTSMVNMLSYLLCDCGNKTTKNRGFGLNC >ONIVA01G02260.1 pep chromosome:AWHD00000000:1:1583830:1585456:-1 gene:ONIVA01G02260 transcript:ONIVA01G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPRSCSDHAAAISVWGTRRRLHIRMAELAAREPGSPQLDSLQISMRSQHLGCADDGRTADASIACGIELHGLAWPRRTPPCAATTEARRCASHPCGAARSSAARAMAIGCRKSGSSLFDQRVKPSATPHIYSGQVRWRLTAE >ONIVA01G02250.1 pep chromosome:AWHD00000000:1:1580375:1580873:-1 gene:ONIVA01G02250 transcript:ONIVA01G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVQGHKVNEFVDAHRYLTIQARIGEVEVGERSEPIEFSIFGGTAGTQGLGVGCETEECRFKTITVHAPPTEIKYRDKMRRSIAANSLPGTTIGSILPCRKDDRRINPEERLL >ONIVA01G02240.1 pep chromosome:AWHD00000000:1:1580243:1583860:1 gene:ONIVA01G02240 transcript:ONIVA01G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVWALLLFLLHLPTIATGSSAHFGGNNTVRCHPNQAAALLQLKQSFFWVNSPVILPTWQDGTDCCTWEGVGCDASSHLVTTLGTSSTTKDAEFDRLTSLTHLNLSNSGLDGQIPMGINKLINLVSLDLSKRYVNDNSDISFNESDDEIIFTGDSYNHLQESRLMSLVENLSNLKELYLDHVDMSTNVDDWCKTLAQSVPRLQVLSLDGCSLNTPIHHSLLRLHSLTVINLQSNPGIAVNLFPDFFMGFANLTVLRLSHNNLEGWFPDKFFQLKNLRILDLSFNMNLLGHLPKVPTSLETLRLEGTNFSYAKPISSSNFNMLKELGLEGKLISKDFLTSFGLIWSLCHLELLNSELLGDSGSNLLSWIGAHKNLTCLILSEFDFSSTKPSSISNFKNLRSLRLFGCNLTRPIMSAIGDLVDLQSLDMSNCNTYSSMPSSIGNLTNLKSLYINSPGFLGPMPAAIGNLKSLKSMVFSNCEFTGPMPSTIGNLTKLQTLEIAACRFSGPIPYSIGQLKELRALFIEGCNMSGRIPNSIVNMSKLIYLGLPANYLSGKIPARLFTLPALLFLDLFGNHFSGPIQEFDAVPSYLMSLQLTSNELTGEFPKSFFELTSLIALEIDLNNLAGSVDLSSFKRLKKLRALNLSHNNLSVIMDDEGDNSSSTYLSELKELGLACCNITKFPSILTRLSDMSYLDLSCNKISGNIPKWIWEKWSSNIVHLNLSHNMLTNSYTKLAEFLDYSHNAFSSILPNFTLYLSKTWYLSMSKNNISGNIPHSICNSSLLVLNLAHNNFSGPFPSCLMEQTYFINILNLRGNHFEGMLPTNVTRCAFQTIDLNGNKIEGRLPRALGNCTYLEVLDLGNNKIADTFPSWLGSLSNLRVLVLRSNRLYGSIGYMFEDKSGDHFPNLQIIDLASNNFTGSLHPQWFEKFISMKKYNNTGETISHRHSISDGFYQDTVTISCKGFSMTFERILTTLTAIDLSDNALEGSIPESVGKLVSLHVLNLSHNAFSGRIPPQIGGITALESLDLSSNWISGEIPQELTNLTFLTVLNLSNNQLEGKIPESRQFATFENSSYEGNAGLCGDPLPKCASWSPPSAEPHVESSSEHVDIVMFLFVGVGFGVGFAVGILMKTSWINRWFHSAVSRQRT >ONIVA01G02230.1 pep chromosome:AWHD00000000:1:1572960:1576126:-1 gene:ONIVA01G02230 transcript:ONIVA01G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVILAVQAALIMGIFAAVAKENAVGESKAIDINPGQLKCCSNCNFSFSGLYTCDDIVKKCDPVCKKCAVVKTYPVKMFKCTDTFLGIGALNDGVENKLKVVAMKSSTLMVILVLQAVLVMGIFAAVAKENAVGESKGNTETNGGKLKCCSNCNFSFSGLYTCEDVVKKCDPVCKSCAVVKTHPVKKFKCTDTFLGMCGPPCKH >ONIVA01G02210.1 pep chromosome:AWHD00000000:1:1561492:1563938:1 gene:ONIVA01G02210 transcript:ONIVA01G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQQQQMLLKKVMAKKPKTKRLSGFGLKPSAAFSRPHVPSAAASLQLSRRVRVVFEDPDATDSDSDDDEDAGAASKKRYFELFIGKPASSTKQASPASTVAAYANIGKVGSTCYRGVRLRKWGKWAAEIRNPFTGHREWLGTFDTADAASAAYQSASRNFAEEKRRRGVASSASPASSATPTPTASSSSSTSAAPFAHPSPSSVLEATKPAPKPESPPLPEQAATPLLVEATNETAELPDDPEFYKDILRGLQLPDIDPMDFRAGLDALDISDVPAYMNGEQDVLFTEDMLLGDFAEEDDLDLDDIGDDFCEDFPEIPSGYDFGRGDMFRQVDFCV >ONIVA01G02200.1 pep chromosome:AWHD00000000:1:1553983:1554510:1 gene:ONIVA01G02200 transcript:ONIVA01G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNNNNNNKRARDAEDEADEAKRLRAEDLLDMLDDDTDAGGAAGDLASVMRSFEEEIVAGDVAGDVAPTTQPELGFLLEASDDELGLPPATASSSEEEAGAGEPEDAIGFGGQIWGFEDEIGGGGYAGFALTSPEAVAAAAAAAEWDDDGFDAGLFGFGDEVSALRHETMPAV >ONIVA01G02190.1 pep chromosome:AWHD00000000:1:1546409:1546936:-1 gene:ONIVA01G02190 transcript:ONIVA01G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSLAHKALEARARPKSPDHKQVEPTSRTNTSPHPPIDSTTKVWKQTGQQKGGASRKARRSGLPEEELKVEDRATETSESSMFPTRSKKSFVAEATSPPRTIGLCKRPSLATETTTSNFTTNAAKSWGEQSNRATRATELGKRGREEKEGVGGGEEGRWQQRWVGGGDGHLERR >ONIVA01G02180.1 pep chromosome:AWHD00000000:1:1527178:1527702:-1 gene:ONIVA01G02180 transcript:ONIVA01G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRAQQPKLQEGQDGAGAAGNANPKPQRRAKQPRQPKAASAAAKKAAAAAAARESSSSSVGAGAAVTSAASSSCSSGADMAPTVPDVCGGGGGGAGYEAGAATTVEWDLDGGLSNGSSWWTFGVEEEKLLGWFPFVEEDFRCLGGRGDAEMAFDDDIWRIHQIYEIPNYAAK >ONIVA01G02170.1 pep chromosome:AWHD00000000:1:1524657:1525409:-1 gene:ONIVA01G02170 transcript:ONIVA01G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDEDFAWAVEDDLKLSKRLVLPGGRPRPAPSLLTRPLLTAPMCPATSHTYTAASTRPSSSHSTCVSHLVGGRRGKGSGEGLTGGIYVASHADIPRRHATWV >ONIVA01G02160.1 pep chromosome:AWHD00000000:1:1523425:1523825:1 gene:ONIVA01G02160 transcript:ONIVA01G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNARIGVSVSVFLSLACLPCRPPPPQPVTTTTTTAIPFRRRPCRPPWLDWTISVSVSLYERIPADTAIRYGVIRACAMVHVIIQCYKDQGTRRERCKGAQSPPESFLVAPNDWQQLE >ONIVA01G02150.1 pep chromosome:AWHD00000000:1:1514081:1518964:1 gene:ONIVA01G02150 transcript:ONIVA01G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMLASLSSSSRAAISCIPLCLLFLTLASSNGVFAAAPPKVGSGYKLVSLVEHPEGGALVGYLQVKQRTSTYGPDIPLLRLYVKHETKDRIRVQITDADKPRWEVPYNLLQREPAPPVTGGRITGVPFAAGEYPGEELVFTYGRDPFWFAVHRKSSREALFNTSCGALVFKDQYIEASTSLPRDAALYGLGENTQPGGIRLRPNDPYTIYTTDISAINLNTDLYGSHPVYVDLRSRGGHGVAHAVLLLNSNGMDVFYRGTSLTYKVIGGLLDFYLFSGPTPLAVVDQYTSMIGRPAPMPYWAFGFHQCRWGYKNLSVVEGVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLEFLDKIHAQGMKYIVLIDPGIAVNNTYGVYQRGMQGDVFIKLDGKPYLAQVWPGPVYFPDFLNPNGVSWWIDEVRRFHDLVPVDGLWIDMNEASNFCTGKCEIPTTHLCPLPNTTTPWVCCLDCKNLTNTRWDEPPYKINASGQTARLGFNTIATSATHYNGILEYNAHSLYGFSQAIATHQALQGLQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLRYSISTMLNFGIFGMPMVGADICGFYPQPTEELCNRWIELGAFYPFSRDHANFASPRQELYVWESVAKSARNALGMRYRLLPYLYTLNYQAHLTGAPVARPVFFSFPDFTPCYGLSTQYLLGASVMVSPVLEQGATSVSAMFPPGSWYNLFDTTKVVVSRGEGAVKLDAPLNEINVHVFQNTILPMQRGGTISKEARATPFTLVVAFPFGATEAEAEGAVYVDDDERPEMVLAEGQATYVRFYATVRGKAVTVRSEVELGSYSLQKGLLIEKLSVLGLEGTGRDLAVHVDGANATAIATSRPYFAGAEAELHGHRDVEGHKKSVMVEVGGLALPLGKSFTMTWNMQIEA >ONIVA01G02140.1 pep chromosome:AWHD00000000:1:1499946:1500341:-1 gene:ONIVA01G02140 transcript:ONIVA01G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTTDATTAKRKRPAASDIADDAPTTVDEVSDAEVEEFYAILRRMRDATRRLGARPPPPRAPAWRPSFSWEDFADAPPKQAPPPPQQPADHERVAENATPPRRPAPGLDLNVEPPSDAPATPRSARAPA >ONIVA01G02130.1 pep chromosome:AWHD00000000:1:1495212:1499141:-1 gene:ONIVA01G02130 transcript:ONIVA01G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLKLPLGLWETLTITCNDEDNELGGAQLQTDQKLGWLWVLETPLLAIAGPIVDVTSNDEKGWPPLPTLLPLRARWVADKGAPGGKKDSQEGERRRSREQLKRSEA >ONIVA01G02120.1 pep chromosome:AWHD00000000:1:1487910:1494895:-1 gene:ONIVA01G02120 transcript:ONIVA01G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGEKQAAAAEGWRLSVNDFQLPERPKDPHFVKRVIKRCHGKHRKIAKYYKKQENLLKDFSEMETMNEIGSLDQNAPTEEELRQMAKGERLAINLSNIINLILFIGKVLASVESLSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYSYPIGKRRMQPVEHQVFDHRKELWMIGSMSSVAVVKFFLMLYCRSFKNEIVRAYAQDHFFDVITNSVGLVSALLAVRYKWWMDPVGAILIAVYTITTWARTVVENVGTLIGRSAPAEYLTKLTYLIWNHHEEIRHIDTVRAYTFGTHYFVEVDIVLPGDMPLSHAHDIGESLQEKLEQLPEVERAFVHVDFEFTHRPEHKAEKYYYIYISISGGHVTGAVVMNRTSLSLAPDMSIQVSLGPIQLGQKNSQKYKGQTMHEDSACDLFLLVRFIGRVAAGCYYVGALSDRTNYQ >ONIVA01G02110.1 pep chromosome:AWHD00000000:1:1472029:1473041:1 gene:ONIVA01G02110 transcript:ONIVA01G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDNCAIRPCLQWIRSPDAQANATVFLAKFYGRAGLINLITAGPEHVRPAIFRSLLYEACGRMLNPVYGSVGLLWSGNWQLCQSAVESVLRGMPIAQPPPSATAVPPLPTCDIRHVGARRGDVHGAAAGPVADLHRLDISSRAKFKRPGGGAAAAHRSDHAAFELVFSKPAAAMAVDVIRQAQPLNWAPGALSHESASHDAAPPESEGHSNDTADTVDGSHVSQSEPEPRATSAATEVHDTGLDLTLGLPPPPPPVQKTEPADSDGGSQQQHDHRKEKPVELGLAISTSVAAQ >ONIVA01G02100.1 pep chromosome:AWHD00000000:1:1457174:1459665:-1 gene:ONIVA01G02100 transcript:ONIVA01G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1666) [Source:Projected from Arabidopsis thaliana (AT1G73850) TAIR;Acc:AT1G73850] MRAAAAAALGWRRRCMRKGHGSCDEHQVTETSGREEFAGADDIAGVQQQQAEMLFWFDEAVFEDTALLGGEGKDQLMYDAATTPPTPTPARCLQVESTFPMVESTRRISPHHRQCSFSHEEPKAAATASSQKDIIPAAVPSPENVPVEAGEEDGTGEHGEEDDEEEKRFRGDDVKLFVNSRALADTKKLLLEGAMAGKGGVQREREEESRLGASTLTSESTSKSSVEWRSSTVTKDSETEYPFSSSSRRSSSRWESYALFRKYDEDMVYFHRVGAQKLTETESYRSIKYQPRSVSERIVQKLTPKPRPAIGLRDPYPELERVYVAQICLTWEALNWNYASFRRHAGDIAARCCPARVAQEFQQFQVLLHRFIENEPYEYGLRPEVYARMKNSSPKLLLVPEFRDEEDEKDDLISAVQFLYILEESIRTFMAFLRADKRSHYQMFREMVKRRTSSMDQTLVITLKKANKKKKSRLKDLTRPRRCLRRTKLREEEEMSILLGLIDLKIVARVLRMPEITDQQLHWCEEKMNRVKVDPAQGKMQRDPSPLFFPAH >ONIVA01G02100.2 pep chromosome:AWHD00000000:1:1457174:1459672:-1 gene:ONIVA01G02100 transcript:ONIVA01G02100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1666) [Source:Projected from Arabidopsis thaliana (AT1G73850) TAIR;Acc:AT1G73850] MRNASGSSCCSRVAAPLHAQVLGSPSPSHGYGGAGDGCLLQAPPPAVVCGEMLALTTPAPPSLLHALASLNVLLLVAYLLLFLLAKLAAGLHRRGHGSCDEHQVTETSGREEFAGADDIAGVQQQQAEMLFWFDEAVFEDTALLGGEGKDQLMYDAATTPPTPTPARCLQVESTFPMVESTRRISPHHRQCSFSHEEPKAAATASSQKDIIPAAVPSPENVPVEAGEEDGTGEHGEEDDEEEKRFRGDDVKLFVNSRALADTKKLLLEGAMAGKGGVQREREEESRLGASTLTSESTSKSSVEWRSSTVTKDSETEYPFSSSSRRSSSRWESYALFRKYDEDMVYFHRVGAQKLTETESYRSIKYQPRSVSERIVQKLTPKPRPAIGLRDPYPELERVYVAQICLTWEALNWNYASFRRHAGDIAARCCPARVAQEFQQFQVLLHRFIENEPYEYGLRPEVYARMKNSSPKLLLVPEFRDEEDEKDDLISAVQFLYILEESIRTFMAFLRADKRSHYQMFREMVKRRTSSMDQTLVITLKKANKKKKSRLKDLTRPRRCLRRTKLREEEEMSILLGLIDLKIVARVLRMPEITDQQLHWCEEKMNRVKVDPAQGKMQRDPSPLFFPAH >ONIVA01G02090.1 pep chromosome:AWHD00000000:1:1454369:1455027:1 gene:ONIVA01G02090 transcript:ONIVA01G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFEGKEPSTGDQGEAVADSRRSRVAKEVTVAPVGEKAKRGREKGARLLADFGRAEGGESAWEREALPPRLGHTRAAAQRWGRRQSGRGRLRGAGGPGRTATEGGWPPRRERTRERAKAVEV >ONIVA01G02080.1 pep chromosome:AWHD00000000:1:1435863:1437906:1 gene:ONIVA01G02080 transcript:ONIVA01G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEGSPLDLNNLPDEFGKQTVESSTTTAASSAEASRVTKKKSNGGKDEAGKVYECRFCSLKFCKSQALERETETLNRARQLVFGNDSLAAVGAQLKDVNMGGGGAAAPPTMQMGGGGFRGGGVGGDPCIPLRPVQPRLSPPQPPPYHHYLYTTTAPPSALHPMSYPATYPAPPRHQQPAAVGDYVIGHAVSAGDALVAPPPPPHRASFSCFGAPLAAPPANVQPDNGNCNCSFGCGHSNRNVNAAS >ONIVA01G02070.1 pep chromosome:AWHD00000000:1:1418924:1435270:-1 gene:ONIVA01G02070 transcript:ONIVA01G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRALEKKREREREREILEGKDACMLGRAVVKYWEFRVEEAREDTWASQEMDAYRGAGMDGRARGQKERTGRGGVVKDLHSGQQGRQAGQSSQAQLNETIVTSTGFGCKRNSDNTSVVTIEMYLAPDVLKWNNHSNFLSTKLSSVFY >ONIVA01G02060.1 pep chromosome:AWHD00000000:1:1416650:1426471:1 gene:ONIVA01G02060 transcript:ONIVA01G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18370) TAIR;Acc:AT3G18370] MAKKKLKKLHAKDALEFFNQVMVEQPLLPFLVPLVLFAWFVERWVVPFSNWVPLLAAVWATIQYGRFKRRSAIEDLNKRWKHLILNTTPTTPIEPCEWLNKLLVEVWPNYMEPKLSKKFQSTVEDKIELQEFSLGCCPPTLGEHGMRWMTSGDQKVMRLGFDWDSNEMSVMFLAKLAKPLIGAARIVINSIHIKGDLLLLPILDGEAILYSFESTPEVRIGVAFGSGGSQAVPGMELPGVSTWLVKLLTETIVKTMVEPRRLCFSLPPVDLRKRAVGGVLSVTVVSASNVGRNTTNEIGIRQSSSGGSTSGIADNKTGVVKFNLYELDSGGVKVTYLTSCEIKVKYVLDDSTIFWAIGHNSGAVAKRTELCGQEVGMVVPFEDIRGELTVTLVLKEWQFSDGSVTLSNSLSNGSHSSFDVSPKLQSRTGRKLRVAVVEGKALAVNGKSGKCDPYVKVQYGKALYKTKTLSHTTRPVWNDKFEFDEITGGEYLKIKCYSADTFGDESIGSARVNLEGLLDGDSREVWVPLEKVDSGEIRLQIEPIKSDFNGILKTSSGRVEATWIELVIIEARDLIAADLRGTSDPYVRVHYGSKKKRTKVVYKTLSPDWNQTFEFPETGEPLILHVKDHNAVLPTASIGQCTVEYSMLPPNQPAVKWIPLQGVKSGEVHVKITRKVPHLEKKTSFQTDASSLGKGHKISSQMRDSLKKFTGLVDEGGDTEAMSLALTEIESIQDEQDMYIQQLEREKAALLRKIQELGSEIVRTSSGPARMPY >ONIVA01G02060.2 pep chromosome:AWHD00000000:1:1416650:1426471:1 gene:ONIVA01G02060 transcript:ONIVA01G02060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18370) TAIR;Acc:AT3G18370] MAKKKLKKLHAKDALEFFNQVMVEQPLLPFLVPLVLFAWFVERWVVPFSNWVPLLAAVWATIQYGRFKRRSAIEDLNKRWKHLILNTTPTTPIEPCEWLNKLLVEVWPNYMEPKLSKKFQSTVEDKIELQEFSLGCCPPTLGEHGMRWMTSGDQKVMRLGFDWDSNEMSVMFLAKLAKPLIGAARIVINSIHIKGDLLLLPILDGEAILYSFESTPEVRIGVAFGSGGSQAVPGMELPGVSTWLVKLLTETIVKTMVEPRRLCFSLPPVDLRKRAVGGVLSVTVVSASNVGRNTTNEIGIRQSSSGGSTSGIADNKVSQTFIEVEVGSLVRKTSTSKGPNPAWNSTFNLVLHGETGVVKFNLYELDSGGVKVTYLTSCEIKVKYVLDDSTIFWAIGHNSGAVAKRTELCGQEVGMVVPFEDIRGELTVTLVLKEWQFSDGSVTLSNSLSNGSHSSFDVSPKLQSRTGRKLRVAVVEGKALAVNGKSGKCDPYVKVQYGKALYKTKTLSHTTRPVWNDKFEFDEITGGEYLKIKCYSADTFGDESIGSARVNLEGLLDGDSREVWVPLEKVDSGEIRLQIEPIKSDFNGILKTSSGRVEATWIELVIIEARDLIAADLRGTSDPYVRVHYGSKKKRTKVVYKTLSPDWNQTFEFPETGEPLILHVKDHNAVLPTASIGQCTVEYSMLPPNQPAVKWIPLQGVKSGEVHVKITRKVPHLEKKTSFQTDASSLGKGHKISSQMRDSLKKFTGLVDEGGDTEAMSLALTEIESIQDEQDMYIQQLEREKAALLRKIQELGSEIVRTSSGPARMPY >ONIVA01G02060.3 pep chromosome:AWHD00000000:1:1416650:1426125:1 gene:ONIVA01G02060 transcript:ONIVA01G02060.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18370) TAIR;Acc:AT3G18370] MAKKKLKKLHAKDALEFFNQVMVEQPLLPFLVPLVLFAWFVERWVVPFSNWVPLLAAVWATIQYGRFKRRSAIEDLNKRWKHLILNTTPTTPIEPCEWLNKLLVEVWPNYMEPKLSKKFQSTVEDKIELQEFSLGCCPPTLGEHGMRWMTSGDQKVMRLGFDWDSNEMSVMFLAKLAKPLIGAARIVINSIHIKGDLLLLPILDGEAILYSFESTPEVRIGVAFGSGGSQAVPGMELPGVSTWLVKLLTETIVKTMVEPRRLCFSLPPVDLRKRAVGGVLSVTVVSASNVGRNTTNEIGIRQSSSGGSTSGIADNKVSQTFIEVEVGSLVRKTSTSKGPNPAWNSTFNLVLHGETGVVKFNLYELDSGGVKVTYLTSCEIKVKYVLDDSTIFWAIGHNSGAVAKRTELCGQEVGMVVPFEDIRGELTVTLVLKEWQFSDGSVTLSNSLSNGSHSSFDVSPKLQSRTGRKLRVAVVEGKALAVNGKSGKCDPYVKVQYGKALYKTKTLSHTTRPVWNDKFEFDEITGGEYLKIKCYSADTFGDESIGSARVNLEGLLDGDSREVWVPLEKVDSGEIRLQIEPIKSDFNGILKTSSGRVEATWIELVIIEARDLIAADLRGTSDPYVRVHYGSKKKRTKVVYKTLSPDWNQTFEFPETGEPLILHVKDHNAVLPTASIGQCTVEYSMLPPNQPAVKWIPLQGVKSGEVHVKITRKVPHLEKKTSFQTDASSLGKGHKISSQMRDSLKKFTGLVDEGGDTEAMSLALTEIESIQDEQDMYIQQLEREKAALLRKIQELGSEIVRTSSGPARMPY >ONIVA01G02060.4 pep chromosome:AWHD00000000:1:1417629:1419138:1 gene:ONIVA01G02060 transcript:ONIVA01G02060.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18370) TAIR;Acc:AT3G18370] MAGLYRLSQGHKRLYGNTYEDKRHGWRFRRGGEEQSPAGKAGDFAGCGCGGLDRKGGILEAVRGIRSNSEGGKLQFFQLLPSACVEWSHLAFSASGIQYPQCKRGQ >ONIVA01G02050.1 pep chromosome:AWHD00000000:1:1413090:1417318:-1 gene:ONIVA01G02050 transcript:ONIVA01G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLWACRCAADIEHTKESKAAVAAVSWGDLKEYVEEMVAYCHADEYDYLRETCDSLRQFIADQRHHISQLSAQAEAKELQLQANYEALNQSKLGCADLKKKLECEKAWTRTIVSMMILFYVLLVAVMIRQLSKEYIRVQVTHGIEEIGGIEPPRSIPSIGNFAAHDDVAGELAIFRGTSTSWVVVAGAVSIAPVVVASAAPIAVEIAVGELGFCKQWGMGNGEQRWLSQTGIAGLYRLSQGHKRPYGNT >ONIVA01G02040.1 pep chromosome:AWHD00000000:1:1411364:1411917:1 gene:ONIVA01G02040 transcript:ONIVA01G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISKAIEEPLPSRGQHQNSLEETTTHHRRQASPHPISEQLRLHQQKQSKSLTPTTRNEMDPRMRRPRRAQDHQRSSMHWNFSEVTPPRRTRRVTPPSLVRKPEQGFHLEIVGDMKECHDNASKKGNDAHGRRRRRTGQRHGKAFASIFTSPHKHPHIDGHRIVHDVSQAPIPQP >ONIVA01G02030.1 pep chromosome:AWHD00000000:1:1402409:1406998:-1 gene:ONIVA01G02030 transcript:ONIVA01G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDLAGSSSSSSAMDAVVADPSHGWQKVTYPKRHRKQGAAALPSAAAPDLGFLPNGGGKVNVFEAVDRNAEKRHRALLAARDAADPDAARIAAATASAYSDDDDDSDEAQATRPEGEVKKPKVKKPKKPKVTVAESAALIDAENLAAHLVQISESYENQQDIQLMRFADYFGRSFASVSAAQFPWAKMFKESLVSKMVDIPLCHIPEPVRNTASDWINQRSPDALGDFVMWCIDSIMSELSGQAVGAKGSKKAAQQTPRAQGPEDCMVVAIFVVLALTVRRKPEVLTNVLPKIMGNNKYLGQEKLPIIVWVIAQASQGDLVTGMFCWAHFLFPTLCAKPSGNPQTRDLVLQLLERILSAPKARGILLNGAVRKGERLIPPVTFDLFMRAAFPVSSARVKATERFEAAYPTIKELALAGPPGSKTVKQAAQQLLPLCVKAMQENNADLTGESAGVFIWCLTQNAESYKLWERLHPENVEASVVVLSTIVTKWSELSHKLSAESLKVTLKNLRTKNEAALEAATDSGKQASIKAADKYSKEILGRLSRGGACLKGSLLVITLAVAAGFVLSPNLEIPSDWDKLQAMVASHLSF >ONIVA01G02030.2 pep chromosome:AWHD00000000:1:1402409:1406998:-1 gene:ONIVA01G02030 transcript:ONIVA01G02030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDLAGSSSSSSAMDAVVADPSHGWQKVTYPKRHRKQGAAALPSAAAPDLGFLPNGGGKVNVFEAVDRNAEKRHRALLAARDAADPDAARIAAATASAYSDDDDDSDEAQATRPEGEVKKPKVKKPKKPKVTVAESAALIDAENLAAHLVQISESYENQQDIQLMRFADYFGRSFASVSAAQFPWAKMFKESLVSKMVDIPLCHIPEPVRNTASDWINQRSPDALGDFVMWCIDSIMSELSGQAVGAKGSKKAAQQTPRAQVAIFVVLALTVRRKPEVLTNVLPKIMGNNKYLGQEKLPIIVWVIAQASQGDLVTGMFCWAHFLFPTLCAKPSGNPQTRDLVLQLLERILSAPKARGILLNGAVRKGERLIPPVTFDLFMRAAFPVSSARVKATERFEAAYPTIKELALAGPPGSKTVKQAAQQLLPLCVKAMQENNADLTGESAGVFIWCLTQNAESYKLWERLHPENVEASVVVLSTIVTKWSELSHKLSAESLKVTLKNLRTKNEAALEAATDSGKQASIKAADKYSKEILGRLSRGGACLKGSLLVITLAVAAGFVLSPNLEIPSDWDKLQAMVASHLSF >ONIVA01G02020.1 pep chromosome:AWHD00000000:1:1398946:1401365:-1 gene:ONIVA01G02020 transcript:ONIVA01G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:abscisic acid (aba)-deficient 4 [Source:Projected from Arabidopsis thaliana (AT1G67080) TAIR;Acc:AT1G67080] MAALLLLSSAARVGVAAPLALRQQRPVVLPGGQLRTGSGAGAASAWAARPLRPELAAVSRPAVPARGRAPLFRPRAWMASSQIASSAFTWGTIAVLPFYALMVVAPNADVTKRAVDSSAPYVALGILYAYLLYLSWTPDTLRAMFASKYWLPELTGIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGIKNNIETRHSVSLCLLFCPIGIATHVLTKVHLESLHHGIQASVIEVLHGRLYDAVVPHL >ONIVA01G02010.1 pep chromosome:AWHD00000000:1:1396352:1405143:1 gene:ONIVA01G02010 transcript:ONIVA01G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPLLRLRLLPLAAFVAVVSLTAAPRRAEAWGKQGHIIVCKIAEKYLSEKAAAAVEELLPESAGGELSTVCPWADEVRFHYYWSRPLHYANTPQVCNFKYSRDCHNSRHQQGMCVVGAINNYTDQLYSYGDSKSSYNLTESLMFLAHFVGDVHQPLHVGFEEDEGGNTIKVHWYRRKENLHHVWDNSIIETAMKDFYNRSLDTMVEALKMNLTDGWSEDISHWENCGNKKETCANDYAIESIHLSCNYAYKDVEQDITLGDDYFYSRYPIVEKRLAQAGIRLALILNRIFGEDKPDGNVIPLQVQ >ONIVA01G02000.1 pep chromosome:AWHD00000000:1:1393379:1395022:-1 gene:ONIVA01G02000 transcript:ONIVA01G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT1G68290) TAIR;Acc:AT1G68290] MQQSIMSTLPLLLLLLFSSLFPAPSHAWGVHGHLIVCQIAQARTFFRLLPRSAPRLLSSDRLGRLSDAAAAAVRGLLPSYAGGNLSSLCSWADGVKLRYPWSAPLHYIDTPDHLCSYTYDRDCKDEDSFRGRCVAGSINNYTSQLLTYDATSPSTQYNLTQALLFLAHFVGDIHQPLHVGFTSDKGGNTIDVHWYTRKTVLHHVWDDNIIETAENDYYGEGVAEFVDALMQNITGEWSQRVPGWEECSKNQTTCPDTYASESIAAACDWAYKDVTEDSVLEDAYFGSRLPVVNLRLAQGGVRLAATLNRIFS >ONIVA01G01990.1 pep chromosome:AWHD00000000:1:1380307:1381553:-1 gene:ONIVA01G01990 transcript:ONIVA01G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRVAGPMGVMSKQQQEAMAEEMMELRRGPWTLEEDNLLMNYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLTPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLKVDANSTVFRDAVRCYWMPRLLEKMAGAATDPTPPPPPPLHHHAAMATASSSPFDFDTHLQQAEAATACVVPNNVYHHHIDPSPSTSTSAGSTAAAAAFQPLPCFSELRWDQQMQSSGELDDGAAGVFVDSASALGSLSLDGLDLGPAEYYSDSTLLDYLNSSCTGSAMMTMMTASGNAGSYNSCGGAMDGGDTWRSDEVCQAAARKLGEWGGGI >ONIVA01G01980.1 pep chromosome:AWHD00000000:1:1364861:1372086:1 gene:ONIVA01G01980 transcript:ONIVA01G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFP5] MAGPTPPPPEEEPSSPSLRLRCAVQHYEWGRRGEASLVARLSDANADDHGPDPARPYAELWMGTHPSAPSSLLADGLLRDWLARHPAALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKDLAEVLHALRPATYKDGNHKPEMAIAVTEFRVLCGFAGIQELKDVLRTVPEVENLVGPEDAAKLLSVKEYHGVNEVKSCLRSAFTKLMTASKEAVSEAITKLIFRLNAESKVRTLTEKENLVLSLEKQYPEDVGVLSAFFFNYIKLSPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQVSYRSETMTISNFDHMPRPRKDAFPRSSENSSSQDPGYKPALTYVFPEILRGVPVQPYVRRYTPPFDEFEVDCCSLPPGELVVISPVPGPSVYLVMAGEGEIQVDSMPNGEKSKQGDVFFVPAYTEVKFSASGPECMQLYRAGVNSRFFN >ONIVA01G01980.2 pep chromosome:AWHD00000000:1:1364861:1371666:1 gene:ONIVA01G01980 transcript:ONIVA01G01980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFP5] MAGPTPPPPEEEPSSPSLRLRCAVQHYEWGRRGEASLVARLSDANADDHGPDPARPYAELWMGTHPSAPSSLLADGLLRDWLARHPAALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKDLAEVLHALRPATYKDGNHKPEMAIAVTEFRVLCGFAGIQELKDVLRTVPEVENLVGPEDAAKLLSVKEYHGVNEVKSCLRSAFTKLMTASKEAVSEAITKLIFRLNAESKVRTLTEKENLVLSLEKQYPEDVGVLSAFFFNYIKLSPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQVFPEILRGVPVQPYVRRYTPPFDEFEVDCCSLPPGELVVISPVPGPSVYLVMAGEGEIQVDSMPNGEKSKQGDVFFVPAYTEVKFSASGPECMQLYRAGVNSRFFN >ONIVA01G01980.3 pep chromosome:AWHD00000000:1:1364861:1371225:1 gene:ONIVA01G01980 transcript:ONIVA01G01980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFP5] MAGPTPPPPEEEPSSPSLRLRCAVQHYEWGRRGEASLVARLSDANADDHGPDPARPYAELWMGTHPSAPSSLLADGLLRDWLARHPAALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKDLAEVLHALRPATYKDGNHKPEMAIAVTEFRVLCGFAGIQELKDVLRTVPEVENLVGPEDAAKLLSVKEYHGVNEVKSCLRSAFTKLMTASKEAVSEAITKLIFRLNAESKVRTLTEKENLVLSLEKQYPEDVGVLSAFFFNYIKLSPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQVFPEILRGVPVQPYVRRYTPPFDEFEVDCCSLPPGELVVISPVPGPSVYLVMAGEGEIQVDSMPNGEKSKQGDVFFVPAYTEVKFSASGPECMQLYRAGC >ONIVA01G01970.1 pep chromosome:AWHD00000000:1:1352997:1359122:1 gene:ONIVA01G01970 transcript:ONIVA01G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSCGWCGRWRRSSGGRGGGADREANGGGGGAASGREEEQWSLFIELPVLEAATRGFSDDNLLGRGGFGPVYKGVLENGHEIAVKKLSLESRQGVREFLNEVRLLLKVQHRNLVSLLGCCASSGHKMLVYPYFPNGSLDHFLFDRKKSVQLDWPKRYQIIVGLARGLLYLHEESPVKIIHRDIKASNVLLDEQLNPKISDFGMARLFLEDATHVNTFRISGTYGYMAPEYAMNGYLSTKTDVFSFGMLVLEIVSGRKNIDKHLDAEKTDLLNYTWKLSEEGRQMEIVDPAMAEWDADEARLCVQLGLLCCQAVVSDRPDMYTVHLMLSSDSFTLPKPGKPAIHGRVGRWMSTTTTASSAASTANTATTLGTDTMTTRASASAAALGGIAEDDSRNSISISFTTEGR >ONIVA01G01960.1 pep chromosome:AWHD00000000:1:1350742:1351280:1 gene:ONIVA01G01960 transcript:ONIVA01G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGNLLTLTLGALLLAGIPISSALNIRLPTDGGDEWPSPPWDCCDKLKQSPLRIWPPKYKCLDEVDHCAAACEDCKRADGGGYVCRDWYWGVNPGPKCTGGGGEEAVRSRPWKCCDDAVCTRSMPPTCSCQDKVRSCSGGCGKCVQVESQPPRFRCLDRYHGFPGPKCHNQPA >ONIVA01G01950.1 pep chromosome:AWHD00000000:1:1343141:1349496:1 gene:ONIVA01G01950 transcript:ONIVA01G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLTRPFLRPSPPSPPPPRPTRRSSRAHCLAPSASARGPSFRRPYTSVLVVPTGVGAAVGGFAGDALPVARALGAVADCVISHPNVLNAAMLYWPMPNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIEEELRLRHLQVADAARASLGLPVVEYIVTDTPLEIKTWFDPKCGKSTGSVGNSDSLLRAVEALVNRSDVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKKFKIPAAHAPAVLPPVLSLSVSPRSAAEEIGHTFLPCVLAGLSNAPQYVMRRNGNMDNGCIVASDVDSVILPKDACGGDGTIAFARTATNNKPLIITVQENETVLDDTPDKFNIEALNVQNYWEAIGVIAAHKAGLQVSSQPPPRLISEHLPAGDPPSPLSRSRKRRSFSEEEDELLLKLHALLGNRWSLIAGRLPGRTDKEVMNHWNSKLMDSTIEHPPSLHDDEHRRRRRRLRSEAHDERGAKHPGHNSSSSSKDVNGDECTVADDASSCNHQDDNRAVAELNLELTLSTPCIYLLLGQDREDGSKSNQGLI >ONIVA01G01950.2 pep chromosome:AWHD00000000:1:1343141:1349496:1 gene:ONIVA01G01950 transcript:ONIVA01G01950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLTRPFLRPSPPSPPPPRPTRRSSRAHCLAPSASARGPSFRRPYTSVLVVPTGVGAAVGGFAGDALPVARALGAVADCVISHPNVLNAAMLYWPMPNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIEEELRLRHLQVADAARASLGLPVVEYIVTDTPLEIKTWFDPKCGKSTGSVGNSDSLLRAVEALVNRSDVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKKFKIPAAHAPAVLPPVLSLSVSPRSAAEEIGHTFLPCVLAGLSNAPQYVMRRNGNMDNGCIVASDVDSVILPKDACGGDGTIAFARTATNNKPLIITVQENETVLDDTPDKFNIEALNVQNYWEAIGVIAAHKAGLQVSSQPPPRLISEHLPAGDPPSPLSRSRKRRSFSEEEDELLLKLHALLGNRWSLIAGRLPGRTDKEVMNHWNSKLMDSTIEHPPSLHDDEHRRRRRRLRSEAHDERGAKHPGHNSSSSSKDVNGDECTVADDASSCNHQDDNRAVAELNLELTLSTPCIYLLLGQDREDGSKSNQGLI >ONIVA01G01940.1 pep chromosome:AWHD00000000:1:1339009:1342939:-1 gene:ONIVA01G01940 transcript:ONIVA01G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 6 [Source:Projected from Arabidopsis thaliana (AT1G32500) TAIR;Acc:AT1G32500] MSSPSPALCAAASCSTPLRVASPVVRFRRPGAPAPVVSAARASSAAAPAVSDDLVLRIAEQLEDSVAASSPLLDPLRSASALSLLSTRWPTRRSNEAYRFTDISYLRSLPISLPSRDAPAVAPPASPYASHVHFSDGVLTSSSGAHVSALADLPPGHARDRAAAALAASAGFADKDLFFDFNAVGAKDVVVVHVPEGVSMADDPVHIMFSYSGCGDGSMIMSNPRVLVVAEKGAEVAIIEEHFGQEDGGCYWANPVMEIIVEEDAKVVHSYVQQQSFAAAHTKWTVVQQNTSSNYEFVEVSIGARLNRHNLHIQQLGPETNTQLSTFHFSAQNKQIHDLHSKLILDYPRGRSQQIHRLIASGTGNGVFDGNIKVNRYAQQTDAGQETKCLLLSSKALVNVKPNLQIIADDVKCTHGAAISGEHDPNAIYFLQARGIDAKTAADALNFAFGAHVINQIPFKPIEKKTLAHFKALLASSRQNDE >ONIVA01G01930.1 pep chromosome:AWHD00000000:1:1313970:1319705:-1 gene:ONIVA01G01930 transcript:ONIVA01G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCANHALVLGTTFLSSFAAVMSVRAAAAAARRSSSRRLAEEAIAAMARRRRRRRRQSGQAMATAESRRRPDAAPPADEQARRRQSGQAMAAAAPYEEKAAMSPRRIQHLAAVLLAAVVVVAAARDEPAGKNYQTQWDTVMSILNCKSDSLIPSYICSVISKSRWGWGSDDPADDYTPAAAARPLRSTADLPKYVDALPQMPKILGYGIGPFGFPVPINLTIGMYHTTWQFHRNMTPTPVFVYGQSLQTATFPGPTIVARHNVPLYVTWENHLPDAHILPSLDRTIDVAIPKNGGVPTVVHLHGAAQAPDSDGHALAWFTRDFAEKGSTWTKKTYTYPNVQPAAGNIWYHDHALGLTRASLLAGLLAAYIVEWPELEMPFNLPSGEFDLHLVIADRQFNANGTIYMNSTGVVPSVHPQWNPEYFGEVITVNGKAWPYLRVQRRRYRLRILNASNARYLNIRFSNGLPFTVIASDASYLSAPVTVSNLLLSPAEIFDVIVDFSLAPEATEIEMLNSAPYPYPTGNATNPDLDGKVMKFLLAPKGQLDDMPLPDNSTVPEHRTRYIVLYENMTKPDNLTMNLYINGLRLEDPPTETPRSGTTELWHVINLTPDNHPLHLHLAEFQAVKMQQLVDPDTFTSCMKQHNDTVICNLDQHAVGALLPVPEEEKTWKNVVKLPPAYVTSVVVAFRLVHNNMPYPFDATAAPGYVYHCHSIQTKNAISNGGPRRAHLVGLLLCRVWADGPLKSSGTAKPFHNGPQVHRLHGIEMAIGPYLALSPLLPHSEQYVPSSWALKQSNGPLEPM >ONIVA01G01930.2 pep chromosome:AWHD00000000:1:1313970:1319705:-1 gene:ONIVA01G01930 transcript:ONIVA01G01930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCANHALVLGTTFLSSFAAVMSVRAAAAAARRSSSRRLAEEAIAAMARRRRRRRRQSGQAMATAESRRRPDAAPPADEQARRRQSGQAMAAAAPYEEKAAMSPRRIQHLAAVLLAAVVVVAAARDEPAGKNYQTQWDTVMSILNCKSDSLIPSYICSVISKSRWGWGSDDPADDYTPAAAARPLRSTADLPKYVDALPQMPKILGYGIGPFGFPVPINLTIGMYHTTWQFHRNMTPTPVFVYGQSLQTATFPGPTIVARHNVPLYVTWENHLPDAHILPSLDRTIDVAIPKNGGVPTVVHLHGAAQAPDSDGHALAWFTRDFAEKGSTWTKKTYTYPNVQPAAGNIWYHDHALGLTRASLLAGLLAAYIVEWPELEMPFNLPSGEFDLHLVIADRQFNANGTIYMNSTGVVPSVHPQWNPEYFGEVITVNGKAWPYLRVQRRRYRLRILNASNARYLNIRFSNGLPFTVIASDASYLSAPVTVSNLLLSPAEIFDVIVDFSLAPEATEIEMLNSAPYPYPTGNATNPDLDGKVMKFLLAPKGQLDDMPLPDNSTVPEHRVGVPYASVTALPPPLKTRYIVLYENMTKPDNLTMNLYINGLRLEDPPTETPRSGTTELWHVINLTPDNHPLHLHLAEFQAVKMQQLVDPDTFTSCMKQHNDTVICNLDQHAVGALLPVPEEEKTWKNVVKLPPAYVTSVVVAFRLVHNNMPYPFDATAAPGYVYHCHSIQTKNAISNGGPRRAHLVGLLLCRVWADGPLKSSGTAKPFHNGPQVHRLHGIEMAIGPYLALSPLLPHSEQYVPSSWALKQSNGPLEPM >ONIVA01G01930.3 pep chromosome:AWHD00000000:1:1313970:1335069:-1 gene:ONIVA01G01930 transcript:ONIVA01G01930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIQHLAAVLLAAVVVVTAARDEPAAAKNYQTQWDTVMSILNCKSDSLIPSYICSVISKSRWGWASDDPNDDDEYTPDHPLPAPAAGRRRWPVMTSLNLTKYVDALPRIAKIRGYGIRHGRPVPIKLTIGMYSKTWQFHRDMPPTPVFVYGQSLQTATFPGPTIVARQGVPLAVEWQNHLPDAHILPWDPKVPTAIPKKGGVPTVVHLHGGAHPPEFDGHAFAWFTRDFAENGSTWTRKTYTYPNVQAPGNLWYHDHALGLTRVSLLAGLLAAYVIEKPELEAPMSLPCGDHDLHLVIADREFYTNGSISIDQEWKPEYFGLVITVNGKAWPYLSVHRRRYRLRILNASNARYFNVTLSNGALPFTVIGSDSSYLSRPVTVSNLVLSPAEIFDVIVDFSRLPAAVTEIEMLNTAPYPFPNGTQTDPNLDGKVMLFKVAGKGKVDDMPDKSKVPEHGVPYASVAALPPPTTTRYIVLYENQTAPGNLYINGLRLEDPVTETPKSGTTELWQVINLTGDNHPLHLHIATFQAVKMTKIEGFQEFKTCMIANNNTATCNLTQHAVGPVVPVPEEEKTWKNAVKIPPEFMTSVVVAFRLVEANQPYPFDATTEPGFVYHCHMGPRIQQLAAMLLAAVVVVAAARDEPAAAKNYQTQWDTVMSILNCKSDSLIPSYICSVISKSRWGWASDDPDDYTPAARPLRSTADLPKYVDPLPQMARIQGYGINQFGFPVPTNLTIGMYNKTWQFHRNMTPTPVFVYGQSLQTATFPGPTIVARHNVPLYVTWENHLPDAHILPSLDRTIDVAIPKNGGVPTVVHLHGAAQAPDSDGHALAWFTRDFAEKGSTWTKKTYTYPNVQPAAGNIWYHDHALGLTRASLLAGLLAAYIVEWPELEMPFNLPSGEFDLHLVIADRQFNANGTIYMNSTGVVPSVHPQWNPEYFGEVITVNGKAWPYLRVQRRRYRLRILNASNARYLNIRFSNGLPFTVIASDASYLSAPVTVSNLLLSPAEIFDVIVDFSLAPEATEIEMLNSAPYPYPTGNATNPDLDGKVMKFLLAPKGQLDDMPLPDNSTVPEHRVGVPYASVTALPPPLKTRYIVLYENMTKPDNLTMNLYINGLRLEDPPTETPRSGTTELWHVINLTPDNHPLHLHLAEFQAVKMQQLVDPDTFTSCMKQHNDTVICNLDQHAVGALLPVPEEEKTWKNVVKLPPAYVTSVVVAFRLVHNNMPYPFDATAAPGYVYHCHSIQTKNAISNGGPRRAHLVGLLLCRVWADGPLKSSGTAKPFHNGPQVHRLHGIEMAIGPYLALSPLLPHSEQYVPSSWALKQSNGPLEPM >ONIVA01G01930.4 pep chromosome:AWHD00000000:1:1325322:1335069:-1 gene:ONIVA01G01930 transcript:ONIVA01G01930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIQHLAAVLLAAVVVVTAARDEPAAAKNYQTQWDTVMSILNCKSDSLIPSYICSVISKSRWGWASDDPNDDDEYTPDHPLPAPAAGRRRWPVMTSLNLTKYVDALPRIAKIRGYGIRHGRPVPIKLTIGMYSKTWQFHRDMPPTPVFVYGQSLQTATFPGPTIVARQGVPLAVEWQNHLPDAHILPWDPKVPTAIPKKGGVPTVVHLHGGAHPPEFDGHAFAWFTRDFAENGSTWTRKTYTYPNVQAPGNLWYHDHALGLTRVSLLAGLLAAYVIEKPELEAPMSLPCGDHDLHLVIADREFYTNGSISIDQEWKPEYFGLVITVNGKAWPYLSVHRRRYRLRILNASNARYFNVTLSNGALPFTVIGSDSSYLSRPVTVSNLVLSPAEIFDVIVDFSRLPAAVTEIEMLNTAPYPFPNGTQTDPNLDGKVMLFKVAGKGKVDDMPDKSKVPEHGVPYASVAALPPPTTTRYIVLYENQTAPGNLYINGLRLEDPVTETPKSGTTELWQVINLTGDNHPLHLHIATFQAVKMTKIEGFQEFKTCMIANNNTATCNLTQHAVGPVVPVPEEEKTWKNAVKIPPEFMTSVVVAFRLVEANQPYPFDATTEPGFVYHCHMGPRIQQLAAMLLAAVVVVAAARDEPAAAKNYQTQWDTVMSILNCKSDSLIPSYICSVISKSRWGWASDDPDDYTPAARPLRSTADLPKYVDPLPQMARIQGYGINQFGFPVPTNLTIGMYNKTWQFHRDMPPTPVFVYGQSLQTATFPGPTIVARYNVPLYVTWENHLPDAHILPWDPTVPTAIPKNGGVPTVVHLHGAAQAPDSDGHALAWFTRDFAENGSTWTQKTYTYPNVQPAAGNIWYHDHALGLTRASLLAGLLAAYIVEWPELEMPFNLPSGEFDLHLVIADRKFNTDGTIFMDTVGAVPSVHPQWQPEYFGEVITVNGKAWPFQAVQRRRYRLRILNASNARYLNIRFSNGLPFTVIASDATYLSRPVTVSNLLLSPAEIFDVIVDFSLVVNPNATDIELLNSAPYPFPTGTPANATLDGKVMAFNVSAKWQVGDDMPMQEPENSTVVPEIGVPFAKVTALPPTMKTRYIVLYENMTSNDPNTALTMNLYINGLRLEDPPTETPISGTTELWHVINLTPDNHPLHLHLAEFQAVQMLQLVDPDMFKSCMLQHNDTFACNLSQHAVGALQPVPEEEKTWKNVVKIPPAYVTSVVVAFRLVHNNMPYPFDATAAPGYVYHCHILDHEDNAMIRPLTLLP >ONIVA01G01920.1 pep chromosome:AWHD00000000:1:1310300:1312809:1 gene:ONIVA01G01920 transcript:ONIVA01G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGENRARVVALVVAVVVVVVGVAGNVAAAQAAVTAADLQRVAGSLQMYVDALPQMAKIRGYGFQRGQAVPINLTIGMYQKTWKFHRDLPATPVFVYGQCPDSATFPGPTIMARHDVPLFVRWENHLPASHILPWDPTVPTAIPKNGGVPTVVHLHGSAHPPQSDGSAFAWFTAGFAEKGPAWTQATYRYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPEVDTPMDLPCDDDDLHLVIADRSFNVDGSLYMNSTGVAPNIHPQWAPEYFGEAITVNGKAWPFLVVHRRRYRLRILNASNARYFNVSLSNGLPIHVVGSDASYLSAPVTVSNLLLSPAEIFDVVVDFSQSPTAEVELLNSAPYPFPTGAAPGPLNGKVMKFVVQPNGPLDPPDNSTVPDHEVPYASVTALPPTTMTRYIVMYEYLTPTGQSTHLYINGLRLEDPVTETPKSGTTELWQVINLTGDNHPLHIHLGMFQAVKMQQLVNLQAFTDCMTAVNDAVKCNVDQHAVGPVVPVPDHEKTWKNVIKVPPGFVTSVVIAFKLVDTNQTYPFDTTAEPGYVYHCHVNATSNATCPSYWVNFFF >ONIVA01G01910.1 pep chromosome:AWHD00000000:1:1302746:1307123:1 gene:ONIVA01G01910 transcript:ONIVA01G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDARSAAENASRHHHHHHHRAHAIADRSERGLPEEHSRGSVDERFVFPWMGILVNLPTEWKNGRQVGESGNRLKEGFARFCTHKVIPLWNHRGHTGNAIVEFAKDWTGFENALAFEVHFEQQGYGRRAWMGNKYRGSQMFGWVARADDHNSPGPIGVYLRKNGDLKTVADLENEGTRKTDKLVANLASQVEVKKRHVEELESQYNETTASLERVMEQREQQLQAYNEEIRKMQDLALRHSQRIMDENKKLRSDLESKMQLLDSRSKELDKLAVQSNSDRMNLEKEKEKNDIKTKHLKMATLEQQKADESVLKLVEEHKREKQAALDKILKLEQQLNAKQKLELEIQQLQGKLEVMKHMPGKEDSEAKSKIHELSEELKDKYAEMESIESLNQALVIKERQSNDELQHARKVLIEGFQDIIVGRTNIGIKRMGVIDFKAFTNAYKQRSLEEDADVSAAELCSLWENEIKNSDWHPFRVVMVDGKEMEILSEDDGKLCELKEEHGEEICAMVTKALLELNEYNPSGRYPVPELWNFKENRKATLKEVVQYVLNQWKKNKRKR >ONIVA01G01910.2 pep chromosome:AWHD00000000:1:1303409:1307385:1 gene:ONIVA01G01910 transcript:ONIVA01G01910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPDQRGPVLSVRASMPDARSAAENASRHHHHHHHRAHAIADRSERGLPEEHSRGSVDERFVFPWMGILVNLPTEWKNGRQVGESGNRLKEGFARFCTHKVIPLWNHRGHTGNAIVEFAKDWTGFENALAFEVHFEQQGYGRRAWMGNKYRGSQMFGWVARADDHNSPGPIGVYLRKNGDLKTVADLENEGTRKTDKLVANLASQVEVKKRHVEELESQYNETTASLERVMEQREQQLQAYNEEIRKMQDLALRHSQRIMDENKKLRSDLESKMQLLDSRSKELDKLAVQSNSDRMNLEKEKEKNDIKTKHLKMATLEQQKADESVLKLVEEHKFSEAILNECLIAWKMQREKQAALDKILKLEQQLNAKQKLELEIQQLQGKLEVMKHMPGKEDSEAKSKIHELSEELKDKYAEMESIESLNQALVIKERQSNDELQHARKVLIEGFQDIIVGRTNIGIKRMGVIDFKAFTNAYKQRSLEEDADVSAAELCSLWENEIKNSDWHPFRVVMVDGKEMEILSEDDGKLCELKEEHGEEICAMVTKALLELNEYNPSGRYPVPELWNFKENRKATLKEVVQYVLNQWKKNKRKR >ONIVA01G01900.1 pep chromosome:AWHD00000000:1:1297140:1302709:1 gene:ONIVA01G01900 transcript:ONIVA01G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRFLGVCLLVAVLVLRAAVLGRGDDGGGGGRLLDPGKLEMFVDELPDMPRMRGYGVAEGGKLVAGNLTIGMYETMWKFHRDLPATRVFAYGTSKETATVPGPTIEAMQGVPTYVTWTNHLPPRHFLPWDPTLTAAAPGSGVPAVVHLHGGVQHSGSDGHSLAWFTAGFAATGPRFSSPAAYEYPNQQPPGNLWYHDHAMGLTRVNILAGLLGAYRVASPAEEAALNLPSGEAFDRNLVLFDRDFLADGSLFMNRTGNNPSVHPQWQPEYFGAVVVANGKAWPYLRVRRRRYRLRILNASNARFFRLSLSGGLRFVHVASDSVYLARPVPTRAFLLAPSEIADVVVDFAESGNATAIVLRSDAPAPYPGDPGDKAETVPVMKFVIDDDDDALSTEPDTSSVPARLTSPSQYAKPDAREAVLTRRIAMYEYTKEGTDEPTHLYLNARSYMDPVTETPREGTSELWDVINLTDDNHPLHVHLALFVALEQRSLRDVDDLKECMMARGSGGGGADACGLERHLAGGRKHVVPKQERGWKNVFKVRPGTVTRLLVRFRPLSPPDSRRFPFDVAAGPGYVYHCHILDHEDNEMMRPMKIVR >ONIVA01G01900.2 pep chromosome:AWHD00000000:1:1297140:1302419:1 gene:ONIVA01G01900 transcript:ONIVA01G01900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRFLGVCLLVAVLVLRAAVLGRGDDGGGGGRLLDPGKLEMFVDELPDMPRMRGYGVAEGGKLVAGNLTIGMYETMWKFHRDLPATRVFAYGTSKETATVPGPTIEAMQGVPTYVTWTNHLPPRHFLPWDPTLTAAAPGSGVPAVVHLHGGVQHSGSDGHSLAWFTAGFAATGPRFSSPAAYEYPNQQPPGNLWYHDHAMGLTRVNILAGLLGAYRVASPAEEAALNLPSGEAFDRNLVLFDRDFLADGSLFMNRTGNNPSVHPQWQPEYFGAVVVANGKAWPYLRVRRRRYRLRILNASNARFFRLSLSGGLRFVHVASDSVYLARPVPTRAFLLAPSEIADVVVDFAESGNATAIVLRSDAPAPYPGDPGDKAETVPVMKFVIDDDDDALSTEPDTSSVPARLTSPSQYAKPDAREAVLTRRIAMYEYTKEGTDEPTHLYLNARSYMDPVTETPREGTSELWDVINLTDDNHPLHVHLALFVALEQRSLRDVDDLKECMMARGSGGGGADACGLERHLAGGRKHVVPKQERGWKNVFKVRPGTVTRLLVRFRPLSPPDSRRFPFDVAAGPGYVYHCHILDHEDNEMMRPMKIVR >ONIVA01G01890.1 pep chromosome:AWHD00000000:1:1292822:1297050:1 gene:ONIVA01G01890 transcript:ONIVA01G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKVELAVLLLVLVGVAAGTRPPSAPPPVTEDTLQKVAGSLEMYVDELPQMPKIYGFSMRHGHPSPIRLTIGMYQKKWKFHRDLPASTVFVFGTSAATATFPGPTIEAAQGVPLSVTWQNYLPARHILPWDPTVPTAIPRRGGVPTVVHLHGGAHPPQSDGSAFAWFTAGFGETGPAWSTPTYTYPNAQSPGVLWYHDHALGLTRANLLAGLLGAYVIRNPAVEAPLGLPCGDEFDRVLMLADRSFYADGSIYMNYTGIIPNIHPQWQPEYFGEAITVNGKAWPFLAVARRRYRFRIINTSNARYFNLSLTNGLPFTVVGSDTNYLSKPVTAASLLVSVAETFDVVVDFSQSTSSEAELVNTAPYPYPDGQAPNDLNGKVMKFVISPAKAKDTSRVPAKLLDYVAVAEEEAVQRRYIVMYEYEDAATGNPTHLYINGKRLEDPATETPRPGTTEVWEVINLTPDNHPLHLHLATFQATRVRGLVDEDAFKGCMTKLNDAVRCNVSRHAVGEEVAVPEHEKGWKNVVKIAPGYMTTIVVKFFMVDSGKPYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIK >ONIVA01G01890.2 pep chromosome:AWHD00000000:1:1294219:1297050:1 gene:ONIVA01G01890 transcript:ONIVA01G01890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKVELAVLLLVLVGVAAGTRPPSAPPPVTEDTLQKVAGSLEMYVDELPQMPKIYGFSMRHGHPSPIRLTIGMYQKKWKFHRDLPASTVFVFGTSAATATFPGPTIEAAQGVPLSVTWQNYLPARHILPWDPTVPTAIPRRGGVPTVVHLHGGAHPPQSDGSAFAWFTAGFGETGPAWSTPTYTYPNAQSPGVLWYHDHALGLTRANLLAGLLGAYVIRNPAVEAPLGLPCGDEFDRVLMLADRSFYADGSIYMNYTGIIPNIHPQWQPEYFGEAITVNGKAWPFLAVARRRYRFRIINTSNARYFNLSLTNGLPFTVVGSDTNYLSKPVTAASLLVSVAETFDVVVDFSQSTSSEAELVNTAPYPYPDGQAPNDLNGKVMKFVISPAKAKDTSRVPAKLLDYVAVAEEEAVQRRYIVMYEYEDAATGNPTHLYINGKRLEDPATETPRPGTTEVWEVINLTPDNHPLHLHLATFQATRVRGLVDEDAFKGCMTKLNDAVRCNVSRHAVGEEVAVPEHEKGWKNVVKIAPGYMTTIVVKFFMVDSGKPYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIK >ONIVA01G01880.1 pep chromosome:AWHD00000000:1:1281924:1288384:1 gene:ONIVA01G01880 transcript:ONIVA01G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 23 [Source:Projected from Arabidopsis thaliana (AT2G16920) TAIR;Acc:AT2G16920] MENLPNGSANIAEKNQDNEMSTDAGEPEEVADIFIYREDVVSLKSKEDTRGLVLEVAGEYDSEGSITDDDTDTEEHEHKSSRRTENGGADGDNVSNGVDVDSQSSLPDNKVRVLWIDGVEKTEDIDSVVVMDRSFLHGDIVASATDPTGQMGLVADVSLVVDLQGPHGEIIKGVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGSVCKVARADPMRLRPALGPLNPNASCPFYPGQRVKAVNSSVYKTSRWLNGLWKASRLEGTVTKVETVAVIVYWIASAHFATNQESVPPEEQNPKDLTLLSCFSFANWQLTDWCLPNQYTSSCTDDSLIESSEIKDSDDIPESSDVKTELTQKTDMDENPGRMDGDSSADGSNMVYEDNTCLAKQSESGTIASTVPKEGSQDNATYRKKLRKVFVKKDKRTRRRDESFERALLIANTYTKVDVIWQDGTKGCGASSTSLIPIHSPNDHEFFPEQYVVDKVGNDVDDSSETKRVGLVRSVNAKDRTASVSWFKPSLHPEEPREIECNEIVSAYELDGHPDYDYCYGDVVVRLPSVSLPVESTNRENTMELDNVNSTEVSATPVADAEEQFPQKESSLEFTSLSWAGNIVGFEDGDIIVIWGDGSVSKVGPHEIYVVGREDDGASLDDGTASDGASWETVDDNQTDLPDDSAQDDSQNVADSNIERENGSFNSQDGSSVATGPLSVAFGFVTRLASELFARGKKHLDGSNSDAMDEVESHQSNEISESGDDIDKAEGENNVATSESTVVTTNDASGGKSVDVDMADKPGDSDGFKHFDVQQCPPDHHYLENMAQGTGGRKWVKKVQQEWNILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMHFEDFAKSHFSKRGKYILKACEAYLQGNGVGTLTDDACTTERSKEQPCSVGFKLALAKIMPRLITALKDAGANCDQYEHLGKTETAQEEH >ONIVA01G01880.2 pep chromosome:AWHD00000000:1:1282183:1288384:1 gene:ONIVA01G01880 transcript:ONIVA01G01880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 23 [Source:Projected from Arabidopsis thaliana (AT2G16920) TAIR;Acc:AT2G16920] MENLPNGSANIAEKNQDNEMSTDAGEPEEVADIFIYREDVVSLKSKEDTRGLVLEVAGEYDSEGSITDDDTDTEEHEHKSSRRTENGGADGDNVSNGVDVDSQSSLPDNKVRVLWIDGVEKTEDIDSVVVMDRSFLHGDIVASATDPTGQMGLVADVSLVVDLQGPHGEIIKGVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGSVCKVARADPMRLRPALGPLNPNASCPFYPGQRVKAVNSSVYKTSRWLNGLWKASRLEGTVTKVETVAVIVYWIASAHFATNQESVPPEEQNPKDLTLLSCFSFANWQLTDWCLPNQYTSSCTDDSLIESSEIKDSDDIPESSDVKTELTQKTDMDENPGRMDGDSSADGSNMVYEDNTCLAKQSESGTIASTVPKEGSQDNATYRKKLRKVFVKKDKRTRRRDESFERALLIANTYTKVDVIWQDGTKGCGASSTSLIPIHSPNDHEFFPEQYVVDKVGNDVDDSSETKRVGLVRSVNAKDRTASVSWFKPSLHPEEPREIECNEIVSAYELDGHPDYDYCYGDVVVRLPSVSLPVESTNRENTMELDNVNSTEVSATPVADAEEQFPQKESSLEFTSLSWAGNIVGFEDGDIIVIWGDGSVSKVGPHEIYVVGREDDGASLDDGTASDGASWETVDDNQTDLPDDSAQDDSQNVADSNIERENGSFNSQDGSSVATGPLSVAFGFVTRLASELFARGKKHLDGSNSDAMDEVESHQSNEISESGDDIDKAEGENNVATSESTVVTTNDASGGKSVDVDMADKPGDSDGFKHFDVQQCPPDHHYLENMAQGTGGRKWVKKVQQEWNILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMHFEDFAKSHFSKRGKYILKACEAYLQGNGVGTLTDDACTTERSKEQPCSVGFKLALAKIMPRLITALKDAGANCDQYEHLGKTETAQEEH >ONIVA01G01880.3 pep chromosome:AWHD00000000:1:1283489:1288384:1 gene:ONIVA01G01880 transcript:ONIVA01G01880.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 23 [Source:Projected from Arabidopsis thaliana (AT2G16920) TAIR;Acc:AT2G16920] MENLPNGSANIAEKNQDNEMSTDAGEPEEVADIFIYREDVVSLKSKEDTRGLVLEVAGEYDSEGSITDDDTDTEEHEHKSSRRTENGGADGDNVSNGVDVDSQSSLPDNKVRVLWIDGVEKTEDIDSVVVMDRSFLHGDIVASATDPTGQMGLVADVSLVVDLQGPHGEIIKGVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGSVCKVARADPMRLRPALGPLNPNASCPFYPGQRVKAVNSSVYKTSRWLNGLWKASRLEGTVTKVETVAVIVYWIASAHFATNQESVPPEEQNPKDLTLLSCFSFANWQLTDWCLPNQYTSSCTDDSLIESSEIKDSDDIPESSDVKTELTQKTDMDENPGRMDGDSSADGSNMVYEDNTCLAKQSESGTIASTVPKEGSQDNATYRKKLRKVFVKKDKRTRRRDESFERALLIANTYTKVDVIWQDGTKGCGASSTSLIPIHSPNDHEFFPEQYVVDKVGNDVDDSSETKRVGLVRSVNAKDRTASVSWFKPSLHPEEPREIECNEIVSAYELDGHPDYDYCYGDVVVRLPSVSLPVESTNRENTMELDNVNSTEVSATPVADAEEQFPQKESSLEFTSLSWAGNIVGFEDGDIIVIWGDGSVSKVGPHEIYVVGREDDGASLDDGTASDGASWETVDDNQTDLPDDSAQDDSQNVADSNIERENGSFNSQDGSSVATGPLSVAFGFVTRLASELFARGKKHLDGSNSDAMDEVESHQSNEISESGDDIDKAEGENNVATSESTVVTTNDASGGKSVDVDMADKPGDSDGFKHFDVQQCPPDHHYLENMAQGTGGRKWVKKVQQEWNILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMHFEDFAKSHFSKRGKYILKACEAYLQGNGVGTLTDDACTTERSKEQPCSVGFKLALAKIMPRLITALKDAGANCDQYEHLGKTETAQEEH >ONIVA01G01870.1 pep chromosome:AWHD00000000:1:1273713:1279596:1 gene:ONIVA01G01870 transcript:ONIVA01G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQETYACSPATERGRGILLAGDPKTETIAYCTGRSVIIRRLDAPLDCWAYPDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTQPFRIVTCGEDFLANYYEGPPFKFKHSIRDHSNFVNCIRYAPDGSKFISVSSDKKGLIYDGKTGDKIGELSSEGSHTGSIYAVSWSPDSKQVLTVSADKTAKVWDILEDASGKLNRTLACPGTGGVDDMLVGCLWQNDYLVTVSLGGTFNVFSASNPDKEPVTFAGHLKTVSSLAFFPQSNPKTILSTSYDGVIMRWIKGVGYGGRLMRKNNTQIKCFTAAEEELVTSGYDNKVFRIPLNGDQCGDAESVDVGGQPNALNLAIQKPEFALVTTDSGIVLLHKSKVISTTKVNYTITSSAVSPDGTEAIVGAQDGKLRIYSINGDTVTEEALIEKHRGAITCIHYSPDVSMFASADANREAVVWDRATREIKLKNMLFHSARINCLAWSPDNRLVATGSLDTCAIVYEIDKPASSRITVKGAHLGGVHGLTFVDNDSLVTAGEDACIRVWKLVPQ >ONIVA01G01860.1 pep chromosome:AWHD00000000:1:1271383:1273181:-1 gene:ONIVA01G01860 transcript:ONIVA01G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLARHFLPHLRLGLPARCLGDPSSSPSALAIARCRNVAGPSTPPIWALGGTRFFADDRSRYDLFGKRRPGDEEFRKAWQENVDEEDCLWTGSEDEDEEENDTKMEREIKKVKKQAKENANLIDADDSDELRSICSESDEDDMALWSGSEDDDDDDIPTDAHPNERSDSYIDKVFEFDEAPKYRTISELLKAEKEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNIQFFKDIQARMRDPNFKFSPELKLKPKSKLVPRKKWQKAQARKRKNEKR >ONIVA01G01850.1 pep chromosome:AWHD00000000:1:1264440:1268186:1 gene:ONIVA01G01850 transcript:ONIVA01G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKLVKIQTHVLRVNIHCDGCKHKVKKLLQKIEGVYSVALDVDNHKVTVTGNVDSDTLIRKLTRGGKHAELWSQQKGGSNQGHKGSNNQQKQQQQQGQQQHGQQHQKQGANPSKDGNKNNNILKDQGKQGGVGGLIQGLKAFKNQHSKNQLPELDSEDDDLYDDEDDEFDDDYEDDLRFLGDKMSQLGFLRQQAAAAAAANVKNKNANTATVNNHHNNVNGKKGGGGGGGAGGGNHHQNNHHQNQKNPNVINMAAANAKMANGAQKNTGAINGMMGLNHGLGTAGATPGFQGYTGFNHPSYASAGYGGLQQQHLQQQQQSNNLMASMQGYHHHPAATAAMMNNLRGLNNNMMMMHQPQQQPQMMYHRSPQISPYTAYYNPYSYYYHPGAAGYHPASNGDVETMFSDENTKGCVVM >ONIVA01G01840.1 pep chromosome:AWHD00000000:1:1261958:1265913:-1 gene:ONIVA01G01840 transcript:ONIVA01G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVHNLLVSYDNYPSTGQQLRITRCGGGGGCSWGYVVWKAVDGVAAIASENQEFRIATGSTSRQLLIVRSAVEQLCTCTALLPPTGKKGAGNRWAPRGFPYEFCV >ONIVA01G01840.2 pep chromosome:AWHD00000000:1:1261218:1263845:-1 gene:ONIVA01G01840 transcript:ONIVA01G01840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQRHQHTLGPHHCVCSELCMHTQIHYTEETHTGRERRREQVRCSWGYVVWKAVDGVAAIASENQEFRIATGSTSRQLLIVRSAVEQLCTCTALLPPTGKKGAGNRWAPRLAI >ONIVA01G01840.3 pep chromosome:AWHD00000000:1:1263933:1265913:-1 gene:ONIVA01G01840 transcript:ONIVA01G01840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVHNLLVSYDNYPSTGQQLRITRCGGGGVKKWKAVTSIKLREFEKEMGREWEKRGEEMEKKSGRRGAMASGYRSVATLFLS >ONIVA01G01840.4 pep chromosome:AWHD00000000:1:1261958:1263845:-1 gene:ONIVA01G01840 transcript:ONIVA01G01840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQRHQHTLGPHHCVCSELCMHTQIHYTEETHTGRERRREQVRCSWGYVVWKAVDGVAAIASENQEFRIATGSTSRQLLIVRSAVEQLCTCTALLPPTGKKGAGNRWAPRGFPYEFCV >ONIVA01G01840.5 pep chromosome:AWHD00000000:1:1262621:1265913:-1 gene:ONIVA01G01840 transcript:ONIVA01G01840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVHNLLVSYDNYPSTGQQLRITRCGGGGGCSWGYVVWKAVDGVAAIASENQEFRIATGSTSRQLLIVRSAVEQLCTCTALLPPTGKKGAGNRWAPRWQCLQ >ONIVA01G01840.6 pep chromosome:AWHD00000000:1:1262621:1263845:-1 gene:ONIVA01G01840 transcript:ONIVA01G01840.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQRHQHTLGPHHCVCSELCMHTQIHYTEETHTGRERRREQVRCSWGYVVWKAVDGVAAIASENQEFRIATGSTSRQLLIVRSAVEQLCTCTALLPPTGKKGAGNRWAPRWQCLQ >ONIVA01G01840.7 pep chromosome:AWHD00000000:1:1265315:1265913:-1 gene:ONIVA01G01840 transcript:ONIVA01G01840.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVHNLLVSYDNYPSTGQQLRITRCGGGGVKKWKAVTSIKLREFEKEMLLHLVLTAITVYVHPQYMGLQANKSPKNS >ONIVA01G01830.1 pep chromosome:AWHD00000000:1:1247547:1248537:1 gene:ONIVA01G01830 transcript:ONIVA01G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFL5] METTSTGSSRQRSSVATIDLDALDCTICYNPLQPPVFQCGVGHVICSSCHGKLLDTSRCHMCSRDGGYRRCVAVDHILYAITVPCPNAAHGCAARTPYHDSHGHAAGCPHAPCFCPEPGCGFAAGATAALLAHFTGTHGWPATVMWRRRAAVGVPLQEGKRVLSLLDDDGRGSHLFLLNVAQAGEAGLVGTVLAVEAAAAHGHGDAPRFECKVSFDRRGTGWRQSSTFGVRSTNLSGGLPADGFAFVAPNPPPAAASVTITLFDISSGEPGSALCPVLPRSRRSRTRLSATTTATAAVLLR >ONIVA01G01820.1 pep chromosome:AWHD00000000:1:1241131:1245147:1 gene:ONIVA01G01820 transcript:ONIVA01G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFL4] MWRISSSLNKRKLGEAQHDGDRVVIKKRQSVSMDMEVLHCPVCFQILRPPVFQCDLGHLMCSPCRDNLPAGGKCPSPSCSGTPSVRCVAMERVVNSVEVACAYAEHGCPDKIAYANITEHEKTCPHAPCFCPEPGCGFAAASAAALADHFTAPRHNWPSHKLSYSQPFELRVHPGKNVLVGEEDGALFLLNVSPAAEHAVVSLFSVQPHHGASGFGRSASHFGCSVEFSCFLGHLQCSTLVTVTSSSLSDGMPEEWFFSVPELQDSVDGDAGVGVDIRITIDEAVPLFSCVDGMEDDDDEDCDDDVDANNGDDDENDGDTSDDEDEDDEDGTKLNFLGHCEASTLEAVKISSLSDGLPKDRFFSVPKQQDGDAGVVLGITIDDVEDVEDEDSDEECE >ONIVA01G01820.2 pep chromosome:AWHD00000000:1:1234823:1241744:1 gene:ONIVA01G01820 transcript:ONIVA01G01820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFL4] MDVLDCPVCFEPFKPPIFQCSVGHFICSSCCNKLNKCPGCSRTTFERCLGMERIVESAVVPCTYVEHGCTNKVTYFNKKSHEQACSYEPCFCPDSSCSFSGTVATLWEHFTTQHKWLSTEFKYYTPFDVRVKPGAHFLRAGDGQLFVMNMVPMEPVGHGVSLVCVQPNTSESSFGCNVAFSSFTGHARRCLTGCQRTTSASCPRLLMLVLPSFSESPLTLSCRRRPAPPRPAGIRGAADRGGFAADEAGGSSLINKDGKRGARLTPPLFKPSVALPISIKSMGSSADKKQGVTIGMDVLDCPVCYEPFKPPILQCSVGHFICSSCRMKLKKCPVCSRSNFERCFGMERVVESIVVPCSYAENGCTNKIHYFNKKIHEQTCSHGPCFCPDSTCGFSGPVATLLKHFATQHKWPSTEFKYYTPFDLRVKPGAHFLRADDGQLFVMNMVPVEPVGHGVSLVCIQPNTSESSFRCNVVFSSFTGHHQISTLESVRCSSLSDGLPKNYFCIVPKSPGGGAAVLLRITIDTKLVLEVEDEQEEEDDDDYDEDEDEDDESDDEDGN >ONIVA01G01810.1 pep chromosome:AWHD00000000:1:1231198:1231731:-1 gene:ONIVA01G01810 transcript:ONIVA01G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLLFLLLAGAHGTADDDTTTNTIRLPTDGGSAQQAPTTKRPWKCCDNIEQLPEKISPPFWRCNDELEPSQCVAQCEVCQEAPGPFPGPLICSDVYWGADPGPFCTPRPWGDCCDDAVCTREYIPICRCVDKVASCAAACKDCRPVESSEPPRYVCQDQFKGQPGPMCTPGTGK >ONIVA01G01800.1 pep chromosome:AWHD00000000:1:1220478:1228384:-1 gene:ONIVA01G01800 transcript:ONIVA01G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAMATPILLFFLLGGAAHGAPDDTTTNTIRLPSHDGARQAPMTKRPWKCCDNIERLPTKTNPPQWRCNDELEPSQCVAQCEVCQEAPGPFPGPLICSDVYWGADPGPFCTPRPWGDCCTNTTCTRSIPPICRCNDKVKKCAAACKDCKRVKSSKPPRYVCQDQFTGQPGPKFFDSALSSVSVVLESIHLRAICGGLTVAVAAHGTADDDTNTIRLPSDGAKSPKMPTEKRPWKCCDDIEEQPARIFPPFWRCNDELEPSQCAAQCEVCQDQKASSPGRVICGDVYWGADPGPFCTPRPWGDCCDKAICSRSYIPICSCADEVESCAAACKDCQPVESSSSLSEPPRYVCHDRFKGEPGPSSSPSPIRYLPLLGLPFFGSTHK >ONIVA01G01790.1 pep chromosome:AWHD00000000:1:1212193:1216859:-1 gene:ONIVA01G01790 transcript:ONIVA01G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLQWLLLLLFFAVGVLQSRAQPDSKGFISIDCGIPPKTSYVDNETKISYAADDSFTDGGSNHNVSTEYIFGPGRSRLDNLRAFPDVGARNCYTLRSLYLIRATFFYGNYDGLNKLPVFDLYIGVNFWTTVNITSLGVVYRYEAIVVVPDDFMQVCLINIGAGTPFISGLDLRPLENRLYPQVNATQGLLLLMRRNFGPTDNSLEIRYPDDPHDRFWGTWDSSSSNEWKEISTASRVENVDGDTFDAPTAVTPRNASDNILFFWEPDQPQPKDPTPSYIAIFHFAELQLLTNNASRQFYINLNGEPLIVYTPEYLKAGGYYSLEPFERTSSYNISINATANSTLPPLINAVEIFSIISTAVISTDSQDASSMMAIKDKYQVKKNWMGGPCMPKTFAWDKLTCSYTNSSRARIISLNLSSSGFSADISSSFGNLKALQYLDLSNNSLTGSIPDVFSQLPSLRVLDLTGNQLSGSIPSGILKRIQDGSLNVRYGNNPNLCINGNSCKAAKKKSKLAIYIVIPAVLVVLIASVTTLFCLLRRKKQGPMNNSLKQQNKRSTSTSQVLRNSGYGDNVSLRLENRRFTYKELEKITNKFKRVLGRGGFGYVYHGFLEDGTQVAVKLRSESSSQGAKEFLVEAQILTRIHHKNLVSMIGYCKDGIYMALVYEYMPEGTLQEHIAGENNKGKYLTWRERLNIALESAQGLEYLHKGCNPPIIHRDVKATNILLNTRLEAKIADFGLSKAFNNDNITHVSTNALVGTLGYVDPEYQMTMQATTKSDVYSFGVVLLELVTGKPAILHDPNPISVIHWTRQRVARGNIEDVVDICMPSDYDVNGVWKAMDIAFTCTAQASMQRLTMTDVVMQLQECLELEDARCAIGDAHNRFYPSTRSDPNSNYNIYDTDRSNDVSQNSGVAMMEHNFGRVPTMASGPAAR >ONIVA01G01780.1 pep chromosome:AWHD00000000:1:1197552:1208481:-1 gene:ONIVA01G01780 transcript:ONIVA01G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTTMATSTILLFLLAGLAAAHGDGDTTIRLPSDGAKASRSRPAKPWDCCDNIEISPLMIYPPLYRCNDEVKQCAAACNECVEAPGGDFNGGAFVCSDWFSTVDPGPKCTVALDGPSMERPWKCCDNIKRLPTKPDPPQWRCNDELEPSQCTAACKSCREAPGPFPGKLICEDIYWGADPGPLCTPRPWGDCCDKAFCNKMNPPTCRCMDEVNKCAAACKDCQRVESSEPPRYVCKDRFTGHPGPMSNTTMATSTILLFLLAGLVAAHGDGDTTIRLPSDGAEAPPRPPKPWDCCDNIEMSPLEIFPPFWRCDDELEPGKCTAACKSCREAPGPFPGPLICEDVYWGTNPGPFCTPRPWGKCCDKAFCNKMNPPTCRCMDEVNKCAAACKDCQRVESSEPPRYMNPPTCRCMDEVNKCAAACKDCQRVESSEPPRYVCKDRFTGQPGPIDGAKSAGDAPPPPPAKPWDCCDDIEMSPLNIFPPLYRCNYEVKQCSAACKECAAAPADGDSPCGGGAFVCRDWYSTEDPGKPCTPEREWPDRTTEPWKCCDSIRRLPPRIHPPFWRCDDELKPGQCFGACKARREAPGPFPGPLICDDVYWGDDPGPLCTPRPWGDCCDKAGCTKSFPPICSCGDEVAACDAACKDCQPVASSSEPPRFVCKDQFTGQPGPNSKAPSKVIKMTMKVKSTMMAASMLLFLLVLAGIVTATTTTTDSNIRLPSNGAAGETDGNKQAKSRPWECCDNIEMSVLKIYPPRWRCNDEVKQCAAACENCLQLVPGAGGEDVVFVCDDWYPTTNPGPVCTPRPWGDCCDKAFCTRSLPPICHCADEVASCAAACKECDMVNSSSEPPRYICRDHFTGEPGPMCA >ONIVA01G01770.1 pep chromosome:AWHD00000000:1:1194437:1195000:-1 gene:ONIVA01G01770 transcript:ONIVA01G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSILFFFLAGLAAAHGSTADDTTTTTTNTIRLPIDGAVAARRRTRPWKCCDNIVRLPERIDPPFWQCDDELEPGQCFRQCEACRDPPGRPFPGRPLICDDVFWGDDPGTSCAPSSEWPWGPCCDIAVCTKSLPPICHCSDEVESCAAACGQCEMVDSWSWRPLFVCRDSFTGDPGPRCTPEMHN >ONIVA01G01760.1 pep chromosome:AWHD00000000:1:1189155:1189724:1 gene:ONIVA01G01760 transcript:ONIVA01G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFK7] MELARSRAIGHLLRCIRFACKNRRYGCPSFLPRQDMDEHELSCDHEPCFCPILRCGFAGAADSLARHLTARHGWGRLRVAYGEAAVVPVQSPTILRADDGRIFHLSCTRERGGGGGTAMSMVCIRPDHVAGAEEEFTYEVRTACQRLQMQAAVEGTSLRYGMKDAVQARVTVPDDMLLRQGDVRRRSRQ >ONIVA01G01750.1 pep chromosome:AWHD00000000:1:1184709:1185194:1 gene:ONIVA01G01750 transcript:ONIVA01G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRVGDRIAIPAAGTARRRRRDPTTGRQSPVYLEGSRWSLSPAYQPSRRRRHDEEGYSRRRGRSRSNSPRRRRRRRPRSCRSRSRTRSYECEDSRCCHTRSSPRSDDREDDDDEQDGSWYHPPADNEFTVRIDGVGADDGIFQCDACFAMLSSPIYEVV >ONIVA01G01740.1 pep chromosome:AWHD00000000:1:1182962:1183600:-1 gene:ONIVA01G01740 transcript:ONIVA01G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMEEITNSSKAMMRMKLLVDSKAQRVLYAEEAGKDVVDFLSSLLTLPVATVVKLLSKDSTDHAAGMRRQDDFAGEARATVELELEQQHNCTSSGSSGGEAAAVATYTIMDDIKVAAMSATVLLKSGSVNDINLPPGEDRADRLH >ONIVA01G01730.1 pep chromosome:AWHD00000000:1:1181145:1182075:1 gene:ONIVA01G01730 transcript:ONIVA01G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGTAKKTRSVKKVAGLCTDQQQDVEEETTKMTYSIDSDSLIEFICARTGTPRAAPAASSWAGNARAATSPSATSGAGRWRRCSLPCPLPASSGRAAARRPSATPRARSHEASCPHAPCRCPFDGCTYLGLLLYNHILDEHATDAVVAMGSLRHRGITVTVHKSKPFHVVLHRGGTRVFLLLNGGDVLSGRSLSLVSVGPPPPGNCELRYKIELAAVGPGQGELALSASGTVPCVRQLDVFEAKAFLFVPEAYWGSSGTVSVTVHI >ONIVA01G01720.1 pep chromosome:AWHD00000000:1:1178387:1178801:-1 gene:ONIVA01G01720 transcript:ONIVA01G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAPAMLAPSSLGRRQHGWRPPPATSVRVRHGGRRTAPERLAPSHWMTAPATLALKRTISGINYFHHFWGY >ONIVA01G01710.1 pep chromosome:AWHD00000000:1:1174363:1175334:1 gene:ONIVA01G01710 transcript:ONIVA01G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFK2] MQNEKTDAADCGAPKRPRTTAGGLYAYANQQHDGEDETTETGGYSIERDALECGICFMPFQAEIYMCNNGHAACGSCCAGMHRACPSCRQPIGDIRCRPLEKAVAAISSPCKFRVSGCMKILGYTERRSHEASCPHAPCRCPFDGCYYQGSMLYHHIQEEHATDGVDVAIRRCTAVTLHRSKPFHVLLNRGGTRVFVLPNGGDVPMGRSLSLVTVGPPPLPPPPPQRGTPYAIKVGAVDGLDQLSISGTIPRVRCVQGFEAKSFLFVPDAYWGSSGTIDVAVTIG >ONIVA01G01700.1 pep chromosome:AWHD00000000:1:1169656:1173209:-1 gene:ONIVA01G01700 transcript:ONIVA01G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMKLLVDSKAQRVLYAEEAGKDVVDFLSSLLTLPVATVVKLLSKDSMVASIVKLYATVQERGAATTTYDDVCSAAAAMNIQLEPATKLYRCSTSRFSDCHDYLPECDGKMTLPVKRVLLSSSSLSSSTSSGSSGGEAAAVATYTIMDDIKVAAMSATALLKSGSVNDINYLQEKTVQIGYIEHIHRPATPTQISIRPSVSMGPWAEVPDSVFVPLGRSAVLVSAAGADDDDYIFADIVTSRSPRGINPALPKATIFARVKNGGEWIQSSIPHLPLPPHLCGPTYFFHIDTAFSFAGTIFWVDLLKGILICDDILSSPQGPRLVFVPLPHCIDAHDKPRHCFSPDGHRSIGRVSGAIKFLALIGYCEASCPANEVKLKTWSLSPDFKHWEEETTLTVGDIWASESFNQMGLPHVLPFSPLISVNEDGIMYAVLNHVEKEPIPDQLNEFGESLGMQLIPKANYMIRFGMLQNKVLSSTKISKKPTLRWFTMTFLASDFSAYLQDRQNAEAAGKVGASAKGKRKRMSSRQAVVQYN >ONIVA01G01690.1 pep chromosome:AWHD00000000:1:1169261:1169611:1 gene:ONIVA01G01690 transcript:ONIVA01G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHVLLREEDSRVFLLLNGGDVPKGRSLSVVCVGPSAAAGEAELYTMAVSGGAPGALSLSASGSVPRVRQWVRYPTGGFLFVPDAYWRASGGSVSVTVHVKKPPPPELEEDTTAA >ONIVA01G01680.1 pep chromosome:AWHD00000000:1:1168586:1168819:1 gene:ONIVA01G01680 transcript:ONIVA01G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSVEKENGVATPPKKPRTAVVKKAAPEDQTAATTMPDLSNVRLDPETLQCNICFLPFQPPIYQASICTRSLSSH >ONIVA01G01670.1 pep chromosome:AWHD00000000:1:1160016:1161098:1 gene:ONIVA01G01670 transcript:ONIVA01G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFJ8] MESAAPVGGKKKARMESESPIPPTPNVRVKQEAADQETHREAATPTPPAAEESPAAARVEVAVRIDAAILHCPLCLLPLKPPIFQVTNHSFIRFVFVFSALRFGIHVFVQCVAGHLACGACHGKLADVHCQACGDGAAYAHNPALDAIARSTKIRCPNDRYGCDRYVTYCDVADHQRACPHAPCTCPEPGCGFLAAPPALLDHLTADHSWPSQEITYRAVHPLVVSASRRRLLLAVRGDGDGGGEQRRVFLLAVGAHGAATTVSVSCVRANAAAGPPYTCKVWTQAPPDAETGVKDTIMMEANVRSFSVPGEVAMEDGTVLCVPPRMLHGASMEMPLRVRIDKLGAGTTNRSAIATQTKK >ONIVA01G01660.1 pep chromosome:AWHD00000000:1:1156724:1159528:1 gene:ONIVA01G01660 transcript:ONIVA01G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFJ7] MSLPSKRVSTEEVEAEISKRRKMTLRSFEKPGQEQQEAAVVAGRLDSEISVKMDSRVLECSICFEPLKSPIFQCDIGHVVCSACLGKIGENCHMCCKTTGYSRCFALEQFIDAIKVACSNAKYGCDEFLPYYQKEKHENECIHVPCFCPVHGCSFRGSTGSLLDHLVNKHEWSPTNLEYNKPLKISMAQDRQFALFVGEDLSMFLLANILTDIGNALTIVCIGSHDSGSSYSSKISVVDRVARDKGKFVFLMDPLVATSTLLGGVQLGKFFLLVPPELLDESTHELTINIRIDKINP >ONIVA01G01650.1 pep chromosome:AWHD00000000:1:1147266:1152992:1 gene:ONIVA01G01650 transcript:ONIVA01G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFJ2] MAEQNKRSSSAAENGHAGGKKARANVEVKQEEEEAEEGEMSQEEESAKTGPLVVATAMDDPQIDVRIAVGLLHCHACLLPLKPPVFKCEAAHVVCSGCRGQHGQLCRRAAAYAHCAELDAIVGAAKVACAHAPYGCDSYVVYGAAAEHQRACPCAPCSCPDPGCGFRGSPAALLGHFATDHPWPVTQISYAKPCKLAVPLPRRCHVLVGEDDRAVFLVVSPSPCGVGVGAAGAAVCVACVRANGDDAAAAQYKCKLWVEVPTNSDNMVMMTSKVRSSDLSGGFPAAEQGMFLVVPPELLHEVSGETPILSIRIDRAAAAIAKPTTPRARSQRRLHQQGHTQEPIATTATTTMQHGEQSGAKKAAAWVVSPNGQVKREMAVEAARGEGAAAGAGAGEEEEVQAGGMIAAAVGDGFEGVEISVRIDLAVLHCPLCLLPLKPPTYQCAAGHLACSSCHGDVPGKPCHACGGGGGVYARCPGLDTFLRAAKILCPNDLFGCRSYVAYHDAAAHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADHSWPVSKVPYGEVLTIHVPESERRHLVVAGGAGGDDERVFVLSVGALGVARAVSVACVRANAAAGPRFRCKLWAHAPGGADADFVHMDSAVASSAAAPGGEVAVDEEARFLTVPPCFLHLLDAGTSKEMLIRLSISIDIEIDMS >ONIVA01G01650.2 pep chromosome:AWHD00000000:1:1147266:1151434:1 gene:ONIVA01G01650 transcript:ONIVA01G01650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFJ2] MAEQNKRSSSAAENGHAGGKKARANVEVKQEEEEAEEGEMSQEEESAKTGPLVVATAMDDPQIDVRIAVGLLHCHACLLPLKPPVFKCEAAHVVCSGCRGQHGQLCRRAAAYAHCAELDAIVGAAKVACAHAPYGCDSYVVYGAAAEHQRACPCAPCSCPDPGCGFRGSPAALLGHFATDHPWPVTQISYAKPCKLAVPLPRRCHVLVGEDDRAVFLVVSPSPCGVGVGAAGAAVCVACVRANGDDAAAAQYKCKLWVEVPTNSDNMVMMTSKVRSSDLSGGFPAAEQGMFLVVPPELLHEVSGETPILSIRIDRAAAAIAKPTTPRARSQRRLHFTMC >ONIVA01G01650.3 pep chromosome:AWHD00000000:1:1152744:1155040:1 gene:ONIVA01G01650 transcript:ONIVA01G01650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFJ2] MPLKFRKRKSFSMENDDRRGGGDRPGKQEAGAMVVAPEPEAIAVRIDMAMLHCPICFLPLKPPIFQCDAGHMACSSCRGKVAGGRCHSCEVVGVGYARSRAMEAFVSSTKIQCPYEAHGCRSYVAYYAVDDHQRACPHAPCSCPEPGCGFAGSPPALLDHLSAAHSCNADKVEYGKALCLRVPASERRRLLVGEEDKRVFLLAVAAVGAASVVTLVRVAASAETAARYRCKMWAKAPAPAAVAGAVSGKADMVMVDMEVASSGAAPGGVAVEEAAFLAVPPRMLHGQHKEIILGICIDKKTS >ONIVA01G01650.4 pep chromosome:AWHD00000000:1:1151371:1152992:1 gene:ONIVA01G01650 transcript:ONIVA01G01650.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFJ2] MQHGEQSGAKKAAAWVVSPNGQVKREMAVEAARGEGAAAGAGAGEEEEVQAGGMIAAAVGDGFEGVEISVRIDLAVLHCPLCLLPLKPPTYQCAAGHLACSSCHGDVPGKPCHACGGGGGVYARCPGLDTFLRAAKILCPNDLFGCRSYVAYHDAAAHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADHSWPVSKVPYGEVLTIHVPESERRHLVVAGGAGGDDERVFVLSVGALGVARAVSVACVRANAAAGPRFRCKLWAHAPGGADADFVHMDSAVASSAAAPGGEVAVDEEARFLTVPPCFLHLLDAGTSKEMLIRLSISIDIEIDMS >ONIVA01G01650.5 pep chromosome:AWHD00000000:1:1151447:1152992:1 gene:ONIVA01G01650 transcript:ONIVA01G01650.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFJ2] MQHGEQSGAKKAAAWVVSPNGQVKREMAVEAARGEGAAAGAGAGEEEEVQAGGMIAAAVGDGFEGVEISVRIDLAVLHCPLCLLPLKPPTYQCAAGHLACSSCHGDVPGKPCHACGGGGGVYARCPGLDTFLRAAKILCPNDLFGCRSYVAYHDAAAHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADHSWPVSKVPYGEVLTIHVPESERRHLVVAGGAGGDDERVFVLSVGALGVARAVSVACVRANAAAGPRFRCKLWAHAPGGADADFVHMDSAVASSAAAPGGEVAVDEEARFLTVPPCFLHLLDAGTSKEMLIRLSISIDIEIDMS >ONIVA01G01640.1 pep chromosome:AWHD00000000:1:1139359:1139794:-1 gene:ONIVA01G01640 transcript:ONIVA01G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTNRKELALYGAVSRPTLSVSPTSDSTPPLAATMIFLAAPRSGRRRGGTPPCPCRRRRGTPAGPARRGTC >ONIVA01G01630.1 pep chromosome:AWHD00000000:1:1138691:1142805:1 gene:ONIVA01G01630 transcript:ONIVA01G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFJ0] MFSLTESIDQYARVMLLVCSDRSQKHLSATQEKGDQLPRPLEWSGDMVEQNKRARANGEVKQEQQQEEEEEVEEGEVSQEETQRTGAFPLVTMAAMEQTEEETQIDVRIAVALLHCHACLQPLKPPVFKCDEAHIVCSGCRCGHHGQLCGGAAVYSHCAELDAIVATAKVPCAHAPYGCSSYVVYAGVADHQRACPCAPCSCPEPGCRFRSSPAALPGHLAAGHSWPVTEIAYGKPRKLAVPPPAHVLVGEADRAVFLVSSCAVGAGAAVCVVCVRANGGGGDNAAAVARYKCKLWVEVPSNDDNMAMMTSMVRSSDLAGGFPAADKGMLLWVPPEMLHGVPGGETAILSIRIDRAAAATPKFTTTRARSQKGMH >ONIVA01G01620.1 pep chromosome:AWHD00000000:1:1132476:1136144:1 gene:ONIVA01G01620 transcript:ONIVA01G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADKWLLPLVSVSFVSLLLFLSALSGFSASSSLFARLPPPSYVRRGAAAPPSFAYVLSGGRGEGRKLLRLLLAVYHPRNRYLLHLSADAPESERVELAAAVSRAAPAVRAFGNVDVVGRPTAGTPMGSSGLAATLRAAAALLRLDSEWDWFVTLNAADYPLVTQDDLIHVFSSVPRHLNFIDHTSDIGWKETQRVQPIIVDAGIYLAGRNQFFQATEKRDTPDGSPWVILNRRFIEYCIFGWENLPRTLLMYFTNVMLPQEGYFHSVVCNSDFRNSTVNSDMRYMEWDDPPQMEPHFLNTTHYDEIVESGVPFARKFRENEPLLDKIDERVLHRWRHRPVPGAWCTGRKRWFNDPCSQWSNVNIVRPGPQAEKFRKHMNQIIEESASGNNSCKQ >ONIVA01G01610.1 pep chromosome:AWHD00000000:1:1124046:1130176:-1 gene:ONIVA01G01610 transcript:ONIVA01G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT2G01320) TAIR;Acc:AT2G01320] MEVRGLGQLLAALAAALFVRAVAGPGPALLPPADDEDSDADPEAGGEGGGVPPVTIRWARITCALKNKRGDVARFLLSNASGEAKSGRLLALMGPSGSGKTTLLNVLAGQLTASPSLHLSGFLYINGRPISEGGYKIAYVRQEDLFFSQLTVRETLSLAAELQLRRTLTPERKESYVNDLLFRLGLVNCADSIVGDAKVRGISGGEKKRLSLACELIASPSIIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYGKFDDIVLLSEGEVIYMGPAKEEPYHCPDHVNPAEFLADLISVDSSSAESVQSSRKRIENLIEEFSNKVAITESNSSLTNPEGSEFSPKLIQKSTTKHRRGWWRQFRLLFKRAWMQAFRDGPTNKVRARMSVASAIIFGSVFWRMGKTQTSIQDRMGLLQVTAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMSKLHPTFSRFAKFCGIVTVESFAASAMGLTVGAMAPTTEAAMALGPSLMTVFIVFGGYYVNPDNTPVIFRWIPKVSLIRWAFQGLCINEFKGLQFEQQHSYDIQTGEQALERFSLGGIRIADTLVAQGRILMFWYWLTYLLLKKNRPKYQQLLPPSEEDQNKQQVKEREAAPSSQHLGMRMRGSLNHAAIK >ONIVA01G01600.1 pep chromosome:AWHD00000000:1:1123143:1123580:-1 gene:ONIVA01G01600 transcript:ONIVA01G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSLLTSPAELGRRGPALKARRSSPAACCAFRRDQYSGGALVDSSMAVLRRRMREARMAENNYEAPAGWSAWEKRYYPAYVSDVSAAVGALQLLLMGTRPSVAIAAAALLFAGVPVSAVAAVHHLAQLAAESALLLQHHVVP >ONIVA01G01590.1 pep chromosome:AWHD00000000:1:1117272:1117748:-1 gene:ONIVA01G01590 transcript:ONIVA01G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAISQFPAAAVRLAGPALPSRRPPQKTTTSVTLRKPAAVRSRRRDDADQFFSGGGGGGVVDEGMIELRRRIHEMRAAESGWEPPAEWAAWEKEWYGSYDADVCALVGAVQAFLMSSRPGVGVGIVAAVAVSVPATAFVLVSGLLHASQSLLANLQH >ONIVA01G01580.1 pep chromosome:AWHD00000000:1:1098691:1103077:-1 gene:ONIVA01G01580 transcript:ONIVA01G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGAGAGAAVVVVAAFVAAAVASGDTLADLGGAAKGIDSVPEVNNLGPWAKGLLKGMPDSAAGPAEGPIAKYPLVLAEERTRRPDVLDHLRMYGGGWNITNKHYWASVSFTGIAGFVLAAGWFISFGIAVAASCFWKSRIDKENDFHADILRLVLLVVFIFTLTAGSVILFCGQSKFGQEATSTVDFVVNQSDFTIQTLRNVTDYLSLAKTISVAALYLPSDVQGQIDNLKVDLNKAADTISQKTSENYRRIRKVLHNLSVALICIAALMPVLAFLGYVLELYGQRSTVYVFVTLCWTVVATLFILLGIFLILNSAAKDTCEAMDEWAQHPQAETALSNILPCVDESTTNQTLYQSKHVVVILVGIVNRAISALSNRRPHHKHPGQFMPYLCSPYDANLTDRQCKSREVTFDNATTAWLNYTCTVPDSDLCSGPRTITPEIYSQLVLAANVSYALYHYAPLMLNLQDCKFVRNTFSSIASQYCPPIWRDLSLVSAGLALIASGLTLGLLLMLFADRPQREEVSELPSGSRITPVDCSP >ONIVA01G01570.1 pep chromosome:AWHD00000000:1:1086460:1088659:-1 gene:ONIVA01G01570 transcript:ONIVA01G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDRLLTESTLDAAIRKQVADLQAETVAIDYCCDGDGDGGSARKMVECRICQEEDWDTSMEAPCACCGSLKYAHRKCIQRWCNEKGDTVCEICLQQFKPGYTAPQQLFHYGSIPMNFRGNWEIARQDLHDSQIITMVPSERDFMDGYEDYLPIRTRSSTLCCRTVAIIFMSLLVLRHTLPLMIGGDGEYSLALFSLLVLRTAGILFPILVMVRALATFHRRRRQQERREMYMTSSDSEEEEDYSDTDPAQPIHSQTRLVPIY >ONIVA01G01560.1 pep chromosome:AWHD00000000:1:1082802:1087634:1 gene:ONIVA01G01560 transcript:ONIVA01G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFI3] MAGIRWPPEDPEIFPSRMVTGGGGGGAGGGPPGPPGEMASDDDRSVAADSWSIKSDYGSTLDDEQRYADAAEVLLASSSSAAASGPAAATTASVAANPSGDFSFDKDVPDSADMEPPLLGLPNYHQDGSYAEYLANFQERSHTDDWFGTENMDVLVSWTKNLCSNKDLRSCSVLDIGTGSGRLSQQLAKQGFSDLTGIDHSEGAIEVARNLAIRDGFEHINFLVDDVLESKLERRFELVMDEGTLDTIGLHPDGPVKRMMYWQSVAGLVSPGGILVITSCSRTKDELVQEVESFNQRKLSAMGSEGAQASDTAVFKYIDHVQTYPIVDSSCITTVAFSHS >ONIVA01G01550.1 pep chromosome:AWHD00000000:1:1080691:1081296:1 gene:ONIVA01G01550 transcript:ONIVA01G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITPSCIPLAPVAGGSSSTACKIIHVDGTVTRLARPVRASELMVDYPGQFVCDSGRLAVGCRVPGVAADELLEPRRAYFLLPMDMLYSVLTDEEMAALSSFHAATAASSSWKRIATGGGRRRDGSHGGRSSEPTDHEGDDGSDDGARFFPVLSLQLHAAPDAAAAAAAGVKPSGGGGGVRRHRSWHPRLEAIDEVPCTGG >ONIVA01G01540.1 pep chromosome:AWHD00000000:1:1063204:1078319:-1 gene:ONIVA01G01540 transcript:ONIVA01G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit A [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFI1] MATFAKPENALKRAEELIHVGQKQAALQALHDLITSKRYRSWQKPLERIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMQLSNEKAEQARNQAQALEDALDVEDLEADKRPEDLMLSYVSGEKGKDRSDREHVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKIATELSLWQEAFRSVEDIHGLMSMVKKTPKPSVLVVYYAKLTEIFWISDCHLYHAYAWLKLFYLQKSYNKNLSQKDLQLIASSVLLAALAVSPYDHKYGASHLELENEKDRNLRIANLVNFSLDSKRENREVPSRASLFSELAAKGVIACASQDVKDLYNLLEHDFLPLDLVSKAQPLLSKISKIGGKLSSAPSVPEVFLSQYLPALEKLTTLRVLQQASQIFQSVKIDMLSRMIPFFDFSVVEKISVDAVKHNFVAMKVNHLSGAVHFGKMDIESDCLSNHLSVLADSLNKARSLIHPPVKKPSKLGENLTSLAAVVENEHKRLLARKSIIEKRKEDLERQILEKEKEEEKKRLSVLKKSAEDERIRLLNDVKLREQERIRRQLVEKEKIEAEELLQKQIKEIAKRGGKKPVLQGEVTKEAVMELAMNEQFKERQEMEKKLQKTGKQMDYLERAKRQEEAPLIEQAFQKRLEVEKILHEQEQLREIELSKQHHAGDLQEKNRLSRMLEHKNIFQERIVQRREAEFSRLKKERDERTSQLISSRKRERDTVRKLMYYLNLEEQRLQRLREEEEARKQEERRKREETERKAKLDAIAAKQLQRERELEEKKEKQRMEALMGRGAGAAEPARTPDAAPVAQPAQPVAAPAAAAAAAAPAAGVDRFRRHPTAAMATFAKPENALKRAEELIHVGQKQAALQALHDLITSKRYRSWQKPLERIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMQLSNEKAEQARNQAQALEDALDVEDLEADKRPEDLMLSYVSGEKGKDRSDREHVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKIATELSLWQEAFRSVEDIHGLMSMVKKTPKPSVLVVYYAKLTEIFWISDCHLYHAYAWLKLFYLQKSYNKNLSQKDLQLIASSVLLAALAVSPYDHKYGASHLELENEKDRNLRIANLVNFSLDSKRENREVPSRASLFSELAAKGVIACASQDVKDLYNLLEHDFLPLDLVSKAQPLLSKISKIGGKLSSAPSVPEVFLSQYLPALEKLTTLRVLQQASQIFQSVKIDMLSRMIPFFDFSVVEKISVDAVKHNFVAMKVNHLSGAVHFGKMDIESDCLSNHLSVLADSLNKARSLIHPPVKKPSKLGENLTSLAAVVENEHKRLLARKSIIEKRKEDLERQILEKEKEEEKKRLSVLKKSAEDERIRLLNDVKLREQERIRRQLVEKEKIEAEELLQKQIKEIAKRGGKKPVLQGEVTKEAVMELAMNEQFKERQEMEKKLQKTGKQMDYLERAKRQEEAPLIEQAFQKRLEVEKILHEQEQLREIELSKQHHAGDLQEKNRLSRMLEHKNIFQERIVQRREAEFSRLKKERDERTSQLISSRKRERDTVRKLMYYLNLEEQRLQRLREEEEARKQEERRKREETERKAKLDAIAAKQLQRERELEEKKEKQRMEALMGRGAGAAEPARTPDAAPVAQPAQPVAAPAAAAAAAAPAAVVLAARDLLWHLSKTVGVHGMIAHVLICVRSAKRHPLLAMLLPQPAKMVLQAHGDLQDTQVHHLPQPGAAGATETVCFQMWTARVRFFAVQGHC >ONIVA01G01530.1 pep chromosome:AWHD00000000:1:1059989:1060846:-1 gene:ONIVA01G01530 transcript:ONIVA01G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKFLQLLEEKKKRILEKKEAPLKWEQKLEAAAKAKADAEAKEKKLKSRKHRRRGHSSSDSESDSDSDGDRKHRKRKDRKRHRKHGHSDSDEAKRHKRRSKRRSSDSSDDTDSDEYDSGSEEENRRKKRSHRRKHRRHSSRSDSDASDYSSDDEERRSSKKDHSSSRSHRHHHHRSSGDDSGSESEERGRSRHKKHHRSSDEDATSDSNNHKHRRSRSLEESSDDAAAGEYEKVRNGKRPHKTGHRRHHHRHHHHHHDHRSSSEPNDKKRQDGQKALEGGNVD >ONIVA01G01520.1 pep chromosome:AWHD00000000:1:1056332:1059520:1 gene:ONIVA01G01520 transcript:ONIVA01G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSAPRRLHHLRPHHLSTAAALAAAPPTPTEWTTAPVASVGAATADASLFHVSLDLSSRADLLSSHVAAGQFLPFRLPAAPYPIFLAISSSPPAPGLATSFDFLVKRLPGTPSACLCDLRPGDLVHVGGSVVGRGFEVGRIADARDVLVFATGSGISPIRSLIESGFGKNENIDVKLFYGVRNLQRMAYQERFTNWESSGIKIIPVLSRPDDQWTGERGYVQQNAFSRMKKVVNPSSMGAILCGHKQMSEEITRALVADGVPKDRILTNF >ONIVA01G01520.2 pep chromosome:AWHD00000000:1:1056332:1062202:1 gene:ONIVA01G01520 transcript:ONIVA01G01520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSAPRRLHHLRPHHLSTAAALAAAPPTPTEWTTAPVASVGAATADASLFHVSLDLSSRADLLSSHVAAGQFLPFRLPAAPYPIFLAISSSPPAPGLATSFDFLVKRLPGTPSACLCDLRPGDLVHVGGSVVGRGFEVGRIADARDVLVFATGSGISPIRSLIESGFGKNENIDVKLFYGVRNLQRMAYQERFTNWESSGIKIIPVLSRPDDQWTGERGYVQQNAFSRMKKVVNPSSMGAILCGHKQMSEEITRALVADGVPKDRILTNF >ONIVA01G01510.1 pep chromosome:AWHD00000000:1:1051451:1055652:-1 gene:ONIVA01G01510 transcript:ONIVA01G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSASLLPPPSTFAPVLSPLRKPPPQHLAIRGSPHRRRGRRLSLAASSAASPDLEKEPSPSPSPSPQEKSPGDLSAVAESVKVLKEAAKTRKVPSPELLAALAKIKKAKLDTSTFFETLGGTQSPGRTWMLIFTAKGRLEKGQYFPVTAVQRFDAAGKRIENGVYLGPVGSLTFEGRLSWKKKILAFIFERVRIKVGPFGPLEIGLGGGNDGREPSTKDPFFVWFYVDEEIAVAQGRGGGVAYWCRCKRVP >ONIVA01G01500.1 pep chromosome:AWHD00000000:1:1046706:1049433:-1 gene:ONIVA01G01500 transcript:ONIVA01G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine-tRNA ligases;nucleic acid binding;ligases, forming aminoacyl-tRNA and related compounds;nucleotide binding;ATP binding [Source:Projected from Arabidopsis thaliana (AT3G16565) TAIR;Acc:AT3G16565] MGTEAAAPARAMGPTKLAYFDDMWALSSTATVVSLLQEEGGRRAVLVDSTVFYPQGGGQPADTGVISAGGGARFIVDDVRMKDGVVFHYGRFEDAGDGCNSGFSEGQSVSLEVDAERRNLNSRLHSAGHLIDICMSNIGLSHFDPGKGHHFPDGPFVEYKGVIPPDQLQDKKNELEKEANELITKGAKVLAYILPYEEAAQLCGGALPSYISKDSTPRIVKFGDYPGGPCGGTHVADISIINNIKVTNIRVKKGLTKVSYSVNL >ONIVA01G01490.1 pep chromosome:AWHD00000000:1:1036334:1046165:-1 gene:ONIVA01G01490 transcript:ONIVA01G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT4G04350) TAIR;Acc:AT4G04350] MAVAMMQTPLQLRLQPRPPAVVAARHRRRLQSGFPPPNPRRGGGWCRCCANADAGKAQAQARRAYPYDEIEPRWQRHWEEHRTFRTPDIGHGLDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMQGFNVLHPMGWDAFGLPAEQYAIETGTHPKITTERNINRFRSQLKSLGFSYDWDREISTTEPTYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVIRKPMRQWMLRITSYADRLLEDLDELDWPESIKEMQRNWIGRSEGAELEFSAVDKEGHDLGANLLVYTTRPDTIFGATYVVLAPEHSLLSSLISEEQRVHVEEYIELTARKSELERTDLQKEKTGVFSGSYAKNPATGEIVPIWVADYVLGSYGTGAIMAVPAHDSRDHEFALKYKLPIIKVVSPLNGNCDSEEAYADDGIMINSSSSSSGLNIDGMLSKDAALKVTEWVEANGFGKKKVNYKLRDWLFARQRYWGEPFPVIYRDDTNEMLPLQENQLPLTLPELDDFTPTGTGEPPLTKATDWVKTVEPLSGKPARRETSTMPQWAGSCWYYLRFMDPQNASMLVDKAKESYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFKCLINQGLILGEVEYTAYRDNKGRWVSADSNSSLIDCYQEKVPADKVTKVGDHYVLKDDANIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLVVGTPLPDGSYGVGTTVTDEEPTLDQLRVLHKCIARVTEEIQETRFNTAISAMMEFVNAAYKWDTQPKSVIESFILLLSPFAPHMAEELWFRLGNSRSLAHEQFPEGKSEYLKESKLVLPVQINGKTRGTILVDKECSEDDAFQIAASDEKLSKYLTGKGIRKRIYVPGRILNRKGRNEKPTQAQ >ONIVA01G01490.2 pep chromosome:AWHD00000000:1:1036334:1046165:-1 gene:ONIVA01G01490 transcript:ONIVA01G01490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT4G04350) TAIR;Acc:AT4G04350] MAVAMMQTPLQLRLQPRPPAVVAARHRRRLQSGFPPPNPRRGGGWCRCCANADAGKAQAQARRAYPYDEIEPRWQRHWEEHRTFRTPDIGHGLDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMQGFNVLHPMGWDAFGLPAEQYAIETGTHPKITTERNINRFRSQLKSLGFSYDWDREISTTEPTYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVIRKPMRQWMLRITSYADRLLEDLDELDWPESIKEMQRNWIGRSEGAELEFSAVDKEGHDLGANLLVYTTRPDTIFGATYVVLAPEHSLLSSLISEEQRVHVEEYIELTARKSELERTDLQKEKTGVFSGSYAKNPATGEIVPIWVADYVLGSYGTGAIMAVPAHDSRDHEFALKYKLPIIKVVSPLNGNCDSEEAYADDGIMINSSSSSSGLNIDGMLSKDAALKVTEWVEANGFGKKKVNYKLRDWLFARQRYWGEPFPVIYRDDTNEMLPLQENQLPLTLPELDDFTPTGTGEPPLTKATDWVKTVEPLSGKPARRETSTMPQWAGSCWYYLRFMDPQNASMLVDKAKESYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFKCLINQGLILGEVEYTAYRDNKGRWVSADSNSSLIDCYQEKVPADKVTKVGDHYVLKDDANIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRISDVHRDSKTWSTGGIEGVHRFLGRTWRLVVGTPLPDGSYGVGTTVTDEEPTLDQLRVLHKCIARVTEEIQETRFNTAISAMMEFVNAAYKWDTQPKSVIESFILLLSPFAPHMAEELWFRLGNSRSLAHEQFPEGKSEYLKESKLVLPVQINGKTRGTILVDKECSEDDAFQIAASDEKLSKYLTGKGIRKRIYVPGRILNRKGRNEKPTQAQ >ONIVA01G01480.1 pep chromosome:AWHD00000000:1:1028698:1029081:-1 gene:ONIVA01G01480 transcript:ONIVA01G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVPANSGVGGGYGEHQWSKGSAVVAAAQPGEAGSGGAPCGWRRPNRAATPGGGNGGTPASDWMGKQKGMGVLGTSNPFLPSISEDLQRMRRILKLCEWRKIRVSGIFPPVTVIESAGVGADLTR >ONIVA01G01470.1 pep chromosome:AWHD00000000:1:1028657:1030191:1 gene:ONIVA01G01470 transcript:ONIVA01G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQDRRQKKRNFNLFHLVKSAPTPADSITVTGGKIPETLILRHSQSFKIRRIRCKSSEIEESTDVGPVVGASEISTPGGDGLYDYIKLEGCKTCTLP >ONIVA01G01460.1 pep chromosome:AWHD00000000:1:1018371:1019199:-1 gene:ONIVA01G01460 transcript:ONIVA01G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQLLVFVAGSGRLRAGGGVEAAEEDAGLGEDGHPAAASFAAGISSEGGDCDESAGRRRIWWLRHRRWPAELRTTRAPCRETWSTARSGPDSRA >ONIVA01G01450.1 pep chromosome:AWHD00000000:1:1014232:1018612:1 gene:ONIVA01G01450 transcript:ONIVA01G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGEGRAGSSWVCETPTESMIHSGGRRRRHRRGSKGPYERGGDGEVDGSAREAKGCMDAAATDAQAGSTLGSGCCAAMAHAWMEEFSGDSTLCRNKLSINPLKETDMILLERGFCHCEKGEELPKKNQQEPPPHQLLQQKNHQQCQQQAAHSPKSMVQKPEWNTHEEVKEVHLKQNVRALSSYLHKSSNTQEEVKEVHVKENVPAPSSYLLKSSGLKSLFVKASGVSVSIQVDVSNTKVDYLINSACEKLGVKAQDTYAVLCGKVLDYDKSLSEYLLYQNSTVEIRYRGRAGQLNWDQKFNVNDTVLYYDVNLDPALQNAGIQAFQYARFFSDFSSYNIQKVLMHVTEQHRAGWSYDGGFNSQNIIFHDGAVSIENVPVVAFDKDPCARDYTSLYNIFSTRFGPVYPVHFDHLLEFLKTCPCGVNSRKDAIVAFVTNHPSIETYVDRMKQLMILDNVVHRHPAHLQANIQAMAAYASHASLRASMGPYAYAWKGSAQLVPELNNVLIYQPPGKPLQWKNPLYTDNAKGCLHFANNFLKHARNRFPEEQIEAAFALHMKNFLPKILQGLAELADRTPNRQYINDMLSNPLADISTGN >ONIVA01G01440.1 pep chromosome:AWHD00000000:1:1001772:1004918:1 gene:ONIVA01G01440 transcript:ONIVA01G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPRPPPCVLLERVVRFVEAAGLTSGGASRDPDVAAIIEVGGWSWSTVQMMGSVEEMERLMAPSVKPVAFLGDPPQVSSLHMLLPPPASLDLLGIGEISSTHKGIVVIYADKCYLLYDASNNHLTAIPPIPDSVTSAPIFLPLGRGAVLVSAAGADDDDYIFADIVTSPSTRGINPALPKATIFARVKNGGEWIQSSIPPLPLPPHLCGPTYFFHIDTAFSFAGTIFWVDLLKGILICDDILSSPQGPRLAFVPLPHCIDAHDKPRHCFSPNEHRSIGRVSGAIKFLALIGYCEPSCPENEVKLKTWSLSPDFKHWKEETTLTVGDIWASESFNQMGLPHVLPFSPVLSVNEDGIMYAVLNDVKKEPIPQLNEFGDSLGMQLVPKANYMIRFDMLQNKVLSSTKISKKATSRWLTNTFLATDFSAYLQDRQNAEAAGKVGASAKGKRKRMSSRQAGRSKCQGQA >ONIVA01G01430.1 pep chromosome:AWHD00000000:1:995602:997079:-1 gene:ONIVA01G01430 transcript:ONIVA01G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRPPCVLLDRIVLFVEGKELTIGGWSWSRVQMVEEMEWRMAPAMKPVPFLADPPQVSSLQMLLPPEYSHLAGIGEISSIHNGIVVIYAHRYYLLYDASNNHLTAIPPLPDSLCSPTFLPLGRTAVVVTAGDDDDDYILADIVTSSTTGLPDAKLFVCSSSSEWAETPPVRLPLPPHLCGPTYFFHVDTAFSFQGSIFWVDLLKGILICDHCSSRCPTAATSTAKPRHCFSPNEHRSIGCVSGAIKFVALIGYGEEASCPENEVKLKIWALSPDFKHWKEETTLTVGDSWASESFNEIGLPHVMPIPILSVNEDGIMYAVLNDIFQEPIPDHVNEFGQVLGDRLVAKANYMIRFDILQNKVLSFTKISQHGELRWLTPYLIATDFSSYLQDHTRGEASAKDEQQLED >ONIVA01G01420.1 pep chromosome:AWHD00000000:1:988476:991550:-1 gene:ONIVA01G01420 transcript:ONIVA01G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKAGYSYGGHHHHHQDAKLLKNLSRVEPRRFGLGLVAGFLIVTCAYFSTAKFDAIHIAMISSPAKNAAGFMNASSDGSNQQQLDLDRDAMSREGSKAQVLDTDGDDKISSLGPDLGHNASVVERKKRDETFAKDSGDASVSASTDEALAKDDDAIVGAVLPPLSSEEPTNSTQDSVLEDEELKVQETAPVATNPSPEKSSNNGSSPSVVPSDPATLPVQQIPPTQEAKDPPAQQIPAVPEAKVPPVQQIPTFPVVKTDSEAAPRRKEWKPLCDLWSNRRIDWCELDGDVRVAGANGTVSLVAPPGPADERTFRAESWHIKPYPRKADPNAMRHVRVLTVQSLPAPAASAAAPACTERHDVPGLVFSDRGYTGNYFHAYTDVILPLFLTARQYSGEVKLLVSDFQMWWLGKFLPVFKAVSNYDLINLDDDRRVHCFRHVQVGLTCHADFSIDPSRAPNGYSMVDFTRFMRATYRLPRDAPFPASGEQQPRRPWRPRLLVIARARTRRFVNADEIVRGAERAGFEVVVSEGEHEVAPFAELANTCDAMVGVHGAGLTNMVFLPTGGVVIQVVPLGGLEFVAGYFRGPSRDMGLRYLEYRITPEESTLIDQYPRDHPIFTDPDGVKSKGWNSLKEAYLDKQDVRLDMKRFRPILKKAIAHLRKNSGNNNTTHN >ONIVA01G01410.1 pep chromosome:AWHD00000000:1:958639:979286:-1 gene:ONIVA01G01410 transcript:ONIVA01G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVKPAKHGLRRHLNAGFFAGFLLVLLTYVIVSQQFAMETPTAVTSRAPRIDENESVTKARVETEKKREQEWQRPKDTSGAVSAEEFSKRDSTNAKPIENGKVVCGSNGFYSDTCDVDGDVRINGTALSVTLVPASRRSERRREWKIQPYPRRTVSGIAEVTVTRQQDRAAAPACTVTHGVPGVVFALGGLTGNYWHDFSDVLVPLFVASRRYGGEVQFLVSNIQPWWLGKYEAVVRRLSRYDAVDLDRDTEVRCFRRVAVGLRMHKEFSVKPELAPGGQRLTMADFAAFLRDTYALPRAAAAGARRPRLVVIRRAHYRKIVNMDEVVRAAEAAGFEAAVMSPRFDEPVEEVARKVNAFDAMVGVHGAGLTNAVFLPAGAVVIQVVPYGRLERMARADFGEPVADMGLRYMEYSVAADESTLLEMLGPEHQVVKDPEAVHRSGWDKVAEYYLGKQDVRINVARFAATLAAAFDHLRPSHSYNRKSRVDKVEVRMLAGVTKALEGSNCKNRHKKIGLTFDNHTTFRERGEGGEMGGDHGKLMKSLKGAAQKYLGVGFLLGFFLVLLTYFTVSEQFAIAAPNAIRKTSPGHASPTIPPPVEEKRPQLPPIIEQRQAPKAEHEHAAVVQEKTPSAEEIEIQKETEEDHTKEKPTDDVTTTVEESAPAKKPACDIQGPWASDVCSIDGDVRIHGAAHDVVIPPPIEGGGSNPNPREWRVVPYSRKHMGGLKEVAVREVASAADAPACDVRSPVPALVFAMGGLTGNYWHDFSDVLIPLYLQARRFDGEVQLVVENIQMWYVGKYKRVLDRLSRHDIVDMDRDDKVRCFPGAVVGIRMHKEFSIDPARDPTGHSMPEFTKFLRDTFALPRDAPVSLVDSAAAVRPRLMIISRRHPRKLMNVEEVVRVAERIGFEVVIGDPPFNVDVGEFAREVNRADVLMGVHGAGLTNSVFLPTGAVLIQVVPYGKMEHIGKVDFGDPAEDMRLKYMAYSAGVEESTLVETLGRDHPAVRDPESVHRSGWGKVAEYYLGKQDIRLDLARFEPLLRDAMDYLKHQPDHAAAELQERAAMAFVAQQHDGGRAVAMKAAARERKPRHSNGRVAAAAAAAKNLSKVEPGRHLAVVRLFPACLLALLICLCVVKFFSSLSSQSQRKDWNQEQDGEFLGRQRQYEYSENKSRSERQVAISTENDPPPGKEESLTKSPQTVSESEAPKPRSKISCDDKSKDEGFPYARPIVCHMSGDVRVSPATSSVILTMPSQQAEAAPQRIRPYARRDDFLLPLVREVAITSAASEGDAPSCNVSHGVPAVIFSIGGYTGNFFHDMADVLVPLYLTTFHFKGKVQLFVANYKQWWIQKYKPVLRRLSHRAVVDFDSDGDVHCFDHVIVGLVRDRDLILGQHPTRNPKGYTMVDFTRFLRHAYGLRRDKPMVLGETSGKKPRMLIISRRRTRKLLNLRQVAAMARELGFEVVVSEAGVGGGSGGVKRFASAVNSCDVLVGVHGAGLTNQAFLPRGGVVVQIVPWGRMEWMATNFYGAPAAAMELRYVEYHVAAEESSLARRYPREHAVFRDPMAIHGQGWKALADIVMTQDVKLNLRSGKRVGPETPNSPNTIGRRFVSLGPSWRPNYNRDTGLLGSTDHVMWEHVNPETERVPGSVVQFTHEKKLEFAWQYRCTALHQPNGTGIV >ONIVA01G01400.1 pep chromosome:AWHD00000000:1:956145:958444:-1 gene:ONIVA01G01400 transcript:ONIVA01G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGSSGRLHALRFESQRFRLLTIVVGCFLISLTFLLSSRPDATVFSTLNPRESLEDARMPAMKMLHRSSSAGLTRDFHVDILPQQGDRDTHAHLQQSVDQTAEKTEWVKDTVIIQEVAGERSEEAEEAAERVAADSGDEAAPAVVADEDNAVKTTATVATTARPDQPLVEEKKKQQLPVKLQDAGEPARTPEQTVTSLQLNFEIWAPTNVADNVRAMNADSVDRRPLCDFSDFRTDICDFSGDVRMAANTSEFVVVVDPAAAAAASHKVRPYPRKGDATCMGRVTEITVRTTGDAAGAPRCTRAHGVPAVAFSIGGYTGNIFHDFSDVIVPLYNTVQRYRGGVQLVMANVASWWLVKYDKLLRELSRHAPIDLAKAGAAGEVHCFPSAVVSLRAHRELIIERERSLDGLATPDFTRFLRRALSLPRDAPTRLGDGTGRRPRLLVISRGRTRLLLNLDAVVRAAEEVGFEVVVNESDVGNSIEQVGKLINSCDALLGVHGAGLTNMMFLPPGATMVQVVPWGGLQWIARMDYGEPAAAMGLNYIQYEIAVTESSLKDKYPAGDEIFTNPTGLHKRGFAFMKQTLMDGQDITIDVTRFRPVLQQALHNLAAK >ONIVA01G01400.2 pep chromosome:AWHD00000000:1:956145:958444:-1 gene:ONIVA01G01400 transcript:ONIVA01G01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGSSGRLHALRFESQRFRLLTIVVGCFLISLTFLLSSRPDATVFSTLNPRESLEDARMPAMKMLHRSSSAGLTRDFHVDILPQQGDRDTHAHLQQSVDQTAEKTEWVKDTVIIQEVAGERSEEAEEAAERVAADSGDEAAPAVVADEDNAVKTTATVATTARPDQPLVEEKKKQQLPVKLQDAGEPARTPEQTVTSHSVDRRPLCDFSDFRTDICDFSGDVRMAANTSEFVVVVDPAAAAAASHKVRPYPRKGDATCMGRVTEITVRTTGDAAGAPRCTRAHGVPAVAFSIGGYTGNIFHDFSDVIVPLYNTVQRYRGGVQLVMANVASWWLVKYDKLLRELSRHAPIDLAKAGAAGEVHCFPSAVVSLRAHRELIIERERSLDGLATPDFTRFLRRALSLPRDAPTRLGDGTGRRPRLLVISRGRTRLLLNLDAVVRAAEEVGFEVVVNESDVGNSIEQVGKLINSCDALLGVHGAGLTNMMFLPPGATMVQVVPWGGLQWIARMDYGEPAAAMGLNYIQYEIAVTESSLKDKYPAGDEIFTNPTGLHKRGFAFMKQTLMDGQDITIDVTRFRPVLQQALHNLAAK >ONIVA01G01390.1 pep chromosome:AWHD00000000:1:945191:952039:1 gene:ONIVA01G01390 transcript:ONIVA01G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--serine O-phosphatidyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G15110) UniProtKB/Swiss-Prot;Acc:F4HXY7] MEVNGHHKPRREYNGRECNGVQSVNNFGDIDPWTAWAYKPRTVSLLLMGTCFLIWASGALDPERSFSVDRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIVFMIVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRSLSEVDAVMVVHSLDDRGVASDDVPSCVDLENLPNNDKEKAMILLLLCSQFFPPFNIVV >ONIVA01G01390.2 pep chromosome:AWHD00000000:1:945415:952039:1 gene:ONIVA01G01390 transcript:ONIVA01G01390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--serine O-phosphatidyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G15110) UniProtKB/Swiss-Prot;Acc:F4HXY7] MEVNGHHKPRREYNGRECNGVQSVNNFGDIDPWTAWAYKPRTVSLLLMGTCFLIWASGALDPERSFSVDRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIVFMIVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRSLSEVDAVMVVHSLDDRGVASDDVPSCVDLENLPNNDKEKAMILLLLCSQFFPPFNIVV >ONIVA01G01380.1 pep chromosome:AWHD00000000:1:919004:929842:1 gene:ONIVA01G01380 transcript:ONIVA01G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASCLRGRLRLHRRPRPLIMPSPLFLSRNPNPSPPRSATATGTTILSPPSTSASASMSTSGVYVPPMRRLRSVIASTNGSLAPPPSAAAQAQPVRAPEWREPPPQPEPFRQRSAGYARGVYVPPMRRLRSVIASTNGSLSPPPSPPRPPRRATPPPPRQPPPQPEPFRQRSAGYARYAYDDFSEDDSDREMDRTSVSSRGGSTLDNIDEWKWKLHMLLRNEDEQEVISRERKDRRDFEQLSQLAERMGLYSRQYSRIVVFSKVPLPNYRSDLDDKRPQREVSIPSGLQREVDALLSDYLARKRTSSGSFPNAAFSRSSSTDSFATDESFLEQQDNQTSTSAVIERIQRRKSLQLRNQQESWQESHDGQSMMEFRRSLPAYKERQTLLEAIAQNQVVVVSGETGCGKTTQLPQYILESEIDAARGATCSIICTQPRRISAIAVSERVAAERGEKIGESVGYKVRLEGMKGRDTRLLFCTTGVLLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLVLMSATLNAELFSSYFGGAPMIHIPGFTYPVRSRFLEDILEITGHRLTPYNQIDDYGQEKSWKMQKQALRKRKSQIASVVEDTVQAADLRDYSARTRDSLSCWNPDSIGFNLIENVLCHICQKERAGAVLVFMTGWDDINALKEQLQANPLLGDPSKVLLLACHGSMASSEQKLIFDRPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQPGECYHLYPQCVYEAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVENAIEYLKVIGAFDRNEELTILGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVSGLSVRDPFLTPFDKKDLAESAKLQFSCRDYSDHLALVRAYEGWREAERDRNGYDYCWKNFLSVQTLKAIDSLRRQFLFLLRDTGLVDENMTACNKWSRDENLVRAVICAGLYPGVSSVVNKEKSISLKTMEDGQVMLYSSSVNGKETKIPFPWLVFNEKVKVNSVFLRDSTAISDSILLLFGGNIKQGGLDGHLKMLGGYLEFFMSRDLASTYLSLKSELDNLIHCKLQNPRMDIQTSEELLSAIRLLVTEDPCNGRFVYGRQEQRSKKAKTMFSAAPMSHGGGGNGGDNAKNQLQTLLTRAGHDNPSYKTKQIKNSLFRSTVEFNGMQFVGQPCANKKLAEKDAAGEALNWLTGGAPSDSRDPQDMDHMSMLQKPPRRKRHHHRRG >ONIVA01G01370.1 pep chromosome:AWHD00000000:1:915007:918670:1 gene:ONIVA01G01370 transcript:ONIVA01G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01140) TAIR;Acc:AT2G01140] MAMLTAKLTSPPAATTWLPGGGRRSAPPRRATVIRAAAVSYADELVSTAKSVASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKKFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHAIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKQKATPEAIAKHTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQEPNPWHVSFSYARALQNSVLKTWQGRPENVEAAQKALLVRAKANSLAQLGRYTGEGESDEAKKGMFQKGYTY >ONIVA01G01360.1 pep chromosome:AWHD00000000:1:906337:911344:1 gene:ONIVA01G01360 transcript:ONIVA01G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFF7] MGRDQAAAAVMHEKVKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIAYRNLISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLGKRHGVAKVVGTVVSIGGATVITLYKGLPLFNHNLNIKSLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGSELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSEEKKSKNNLQDQSSVQGGGGDDIRRHLLGQEDASRKDEEAAVTDELA >ONIVA01G01360.2 pep chromosome:AWHD00000000:1:907453:911344:1 gene:ONIVA01G01360 transcript:ONIVA01G01360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFF7] MGRDQAAAAVMHEKVKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIAYRNLISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLGKRHGVAKVVGTVVSIGGATVITLYKGLPLFNHNLNIKSLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGSELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSEEKKSKNNLQDQSSVQGGGGDDIRRHLLGQEDASRKDEEAAVTDELA >ONIVA01G01360.3 pep chromosome:AWHD00000000:1:906337:911344:1 gene:ONIVA01G01360 transcript:ONIVA01G01360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFF7] MGRDQAAAAVMHEKVKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIAYRNLISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLGKRHGVAKVVGTVVSIGGATVITLYKGLPLFNHNLNIKSLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGSELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSEEKKSKNNLQDQSSVQGGGGDDIRRHLLGQEDASRKDEEAAVTDELA >ONIVA01G01360.4 pep chromosome:AWHD00000000:1:908255:911344:1 gene:ONIVA01G01360 transcript:ONIVA01G01360.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0FFF7] MGRDQAAAAVMHEKVKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIAYRNLISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLGKRHGVAKVVGTVVSIGGATVITLYKGLPLFNHNLNIKSLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGSELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSEEKKSKNNLQDQSSVQGGGGDDIRRHLLGQEDASRKDEEAAVTDELA >ONIVA01G01350.1 pep chromosome:AWHD00000000:1:901371:905960:-1 gene:ONIVA01G01350 transcript:ONIVA01G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFRVSRRGRRFYPPPPPPPPAAAATAADRAAAPAEGSPLPPPLPWDVSALGYLFLSIVVCLKKTAIAIVWIELLGAAARSDVYDRDGVGSDDLDLGPSFALNLFPDGYSISDPGKRLLVSAKGMLLFLIGDDPQKRPYSKASQALFSDIEHGCLPQVILGDMPCKFRNGTIVCEVRDYRPFLSNAGDSSGDDFPIVNRVSLRLGTERVVKDLASVVNASWTYHDQLIAESTILRALQPRLNLDPTPCLERLQNSVKKIDLGLNKGRQKIKATSIDNTSADPPENCKPKEFITCEGAVVCIENEAPEVLPRGILNGLSMDCPLALQIKKAQSTAGSDPDTAIQYSSTLMNSSVSCNIKQSASCTPAPDLLLQSQQAQIAILQVDHENEQPQKETVQLQNRKEHSNLPREIHDCQSCRPSNKHSLLSSESTKCHFQKSIRSSNNKGLNLVSPNQQPVKVNLDQTTGSKDMRVQQQKSLSVFTADCPHPSSETNNSCVEKIPKEVNYSTVRLKDRNLPSTVGPGNYCVEELKDRTTPSVISCSESSRKAPSKPPKVVTEPQPSSSKRKVLGVYTYLYQEIDSKEKRQKKADTQSNTPCENVSPGEPDVTDGISSELGISPDIESCIGDPSYTIEPDIEKILSEVILTSKRHGLNERAAKLDGSERSWPLPPSKFFLSENTADIAYTQNEIMSNYPTGRTMNTRKIRRLSFHPVQYLCRGVVDECHYTLRLLESEAPDDHQVAVETIYGDEHVYISTLPTSHHANKLVDQFILLMRRDGYTLCNDIREQYEDASHLGYLTGGYPQYPVSRTMMINGSNNIGCTFHNGPPHVHANAQQQWVQAQQCPTLPSVQTNFWNPYHPGQQHYTGGILNHGGLYANRAFSMDLDQHQHIQQRQGVGWFPNGVFSMDLDQYQPVRQRQGVGQCWHCRHDIPGFFSERSYATHASTGSYNQWRQISTPLGGKVYQWDLPAFDRRVCGCTPVNYAGSSTPLSTLHPVGSPPMSSQSFGSNDGSLTSTPVQLEVPLGYQYMSHGMW >ONIVA01G01340.1 pep chromosome:AWHD00000000:1:870937:900249:1 gene:ONIVA01G01340 transcript:ONIVA01G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLAVAAALLVFSLVLNHGISNLDTAASAWEDKDFFSHCPPSRCSEHGPEMAPFRYQLSITPVMPGPRSEKVQKESSISLIPRSGGTTLRIRFTKESNILHESRFTCQTTSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKMYGASKPTRYTFSEVKKIARRFKAKVGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYELMPNDSLEKYIFSHDSNTSEEVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPNVENQNVVYFPEWIYEQVTAGQDLALGREMTQEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRFQNLQVPPKPFFSADSHPALLTYIHKMSVLPLAAALVIASSILLNHGGNLARAWDDKDFFSHCPPSRCSEHGPEIRFPYSLESSNTSSLCRASCMNLTCSGQDTIVYHPSLGPWKVTAIDYRRAILTIISLADTSSLFPCPVPKFIASTNHEPYGNYPGSCAIYNTIDTILVQCSTEFIPTSNVRGSVADGIAGPFSCISNATRFSYLLAPYVPMSLLPLGCEVVSDHPISMPAAETCDPFTGNCYDNSTFKEKVGRILSFTETMVDLQLLNNCWLCERRGRRCAFSSQRNQTFCMPHGSHVKVIAATSSAAAFVVILLMVTIALYLSLKKRYNKEVHLKVEMFLQTYGTSKPTRYNFSEVKKIARRFKDKVGQGGFGSVYRGELPNGVPVAVKMLESSKGEGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKYIFPCDSNTSQELQVPNKMLGVALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSVVTLTAARGTMGYIAPELYSQNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYFPEWIYEQVNDSRRERNNETAGHCGIMVHSMEPKEPAINDKGGEHANGKVARSADGVHTENRMRGFVAAALLVLSLLLNLHTAASAWEDKDFFKSCPPSRCSEHGPEIRFPFQLESNNNTTPSSCGLPCMKLSCSGQDTILHNKYSYLGRPYKVTAIDYKYGLLIVVPLADEDNSSSSPCPLLKSIMASSAYYDLENPCQTYDTYYAALVSCSTEFALASVPGPATDNDYIAGPISCLSNQTHFSYLVAYHVTMSLLPLDCEVVSDGFIPIPAFRYPGYAWTKFRGSAERILNFSETTVWWNFYDCLQCEQQGGRCAFSSQRNQTFCIRRGILLNPYTTGSTIPVYLLYSSHVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKISRRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSLGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSDTSQQLLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVTIGRDLELGREMSEEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFSADSHPVLQDLQNMLA >ONIVA01G01340.2 pep chromosome:AWHD00000000:1:870899:900249:1 gene:ONIVA01G01340 transcript:ONIVA01G01340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLAVAAALLVFSLVLNHGISNLDTAASAWEDKDFFSHCPPSRCSEHGPEVRFPFQLESNNTPSACGVPCMKLSCSGQDTILDIKNLGRPYKVTAIDYKHALLTVVPFANEDKSSSSPCQLLKSIESSVITGFSYYDTWYQYPCKTYDTHYYAAVVSCSTEFALAPPSVPGPAADFIAGPISYLVDVFVPMSLLPLDCEVISDGPIPIPAFNYPRYAWATFRESAERILNFSDTTIWWYFSTCAYYCELQGRRCAFGSQRNQTFCMSPGSRVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKMYGASKPTRYTFSEVKKIARRFKAKVGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYELMPNDSLEKYIFSHDSNTSEEVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPNVENQNVVYFPEWIYEQVTAGQDLALGREMTQEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRFQNLQVPPKPFFSADSHPALLTYIHKMSVLPLAAALVIASSILLNHGGNLARAWDDKDFFSHCPPSRCSEHGPEIRFPYSLESSNTSSLCRASCMNLTCSGQDTIVYHPSLGPWKVTAIDYRRAILTIISLADTSSLFPCPVPKFIASTNHEPYGNYPGSCAIYNTIDTILVQCSTEFIPTSNVRGSVADGIAGPFSCISNATRFSYLLAPYVPMSLLPLGCEVVSDHPISMPAAETCDPFTGNCYDNSTFKEKVGRILSFTETMVDLQLLNNCWLCERRGRRCAFSSQRNQTFCMPHGSHVKVIAATSSAAAFVVILLMVTIALYLSLKKRYNKEVHLKVEMFLQTYGTSKPTRYNFSEVKKIARRFKDKVGQGGFGSVYRGELPNGVPVAVKMLESSKGEGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKYIFPCDSNTSQELQVPNKMLGVALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSVVTLTAARGTMGYIAPELYSQNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYFPEWIYEQVNDSRRERNNETAGHCGIMVHSMEPKEPAINDKGGEHANGKVARSADGVHTENRMRGFVAAALLVLSLLLNLHTAASAWEDKDFFKSCPPSRCSEHGPEIRGSAERILNFSETTVWWNFYDCLQCEQQGGRCAFSSQRNQTFCIRRGSHVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKISRRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSLGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSDTSQQLLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVTIGRDLELGREMSEEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFSADSHPVLQDLQNMLA >ONIVA01G01340.3 pep chromosome:AWHD00000000:1:870899:900249:1 gene:ONIVA01G01340 transcript:ONIVA01G01340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLAVAAALLVFSLVLNHGISNLDTAASAWEDKDFFSHCPPSRCSEHGPEVRFPFQLESNNTPSACGVPCMKLSCSGQDTILDIKNLGRPYKVTAIDYKHALLTVVPFANEDKSSSSPCQLLKSIESSVITGFSYYDTWYQYPCKTYDTHYYAAVVSCSTEFALAPPSVPGPAADFIAGPISYLVDVFVPMSLLPLDCEVISDGPIPIPAFNYPRYAWATFRESAERILNFSDTTIWWYFSTCAYYCELQGRRCAFGSQRNQTFCMSPGSRVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKMYGASKPTRYTFSEVKKIARRFKAKVGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYELMPNDSLEKYIFSHDSNTSEEVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPNVENQNVVYFPEWIYEQVTAGQDLALGREMTQEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRFQNLQVPPKPFFSADSHPALLTYIHKMSVLPLAAALVIASSILLNHGGNLARAWDDKDFFSHCPPSRCSEHGPEIRFPYSLESSNTSSLCRASCMNLTCSGQDTIVYHPSLGPWKVTAIDYRRAILTIISLADTSSLFPCPVPKFIASTNHEPYGNYPGSCAIYNTIDTILVQCSTEFIPTSNVRGSVADGIAGPFSCISNATRFSYLLAPYVPMSLLPLGCEVVSDHPISMPAAETCDPFTGNCYDNSTFKEKVGRILSFTETMVDLQLLNNCWLCERRGRRCAFSSQRNQTFCMPHGSHVKVIAATSSAAAFVVILLMVTIALYLSLKKRYNKEVHLKVEMFLQTYGTSKPTRYNFSEVKKIARRFKDKVGQGGFGSVYRGELPNGVPVAVKMLESSKGEGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKYIFPCDSNTSQELQVPNKMLGVALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSVVTLTAARGTMGYIAPELYSQNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYFPEWIYEQVNDSRRERNNETAGHCGIMVHSMEPKEPAINDKGGEHANGKVARSADGVHTENRMRGFVAAALLVLSLLLNLHTAASAWEDKDFFKSCPPSRCSEHGPEIRFPFQLESNNNTTPSSCGLPCMKLSCSGQDTILHNKYSYLGRPYKVTAIDYKYGLLIVVPLADEDNSSSSPCPLLKSIMASSAYYDLENPCQTYDTYYAALVSCSTEFALASVPGPATDNDYIAGPISCLSNQTHFSYLVAYHVTMSLLPLDCEVVSDGFIPIPAFRYPGYAWTKFRGSAERILNFSETTVWWNFYDCLQCEQQGGRCAFSSQRNQTFCIRRGILLNPYTTGSTIPVYLLYSSHVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKISRRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSLGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSDTSQQLLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVTIGRDLELGREMSEEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFSADSHPVLQDLQNMLA >ONIVA01G01340.4 pep chromosome:AWHD00000000:1:870899:900249:1 gene:ONIVA01G01340 transcript:ONIVA01G01340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLAVAAALLVFSLVLNHGISNLDTAASAWEDKDFFSHCPPSRCSEHGPEVRFPFQLESNNTPSACGVPCMKLSCSGQDTILDIKNLGRPYKVTAIDYKHALLTVVPFANEDKSSSSPCQLLKSIESSVITGFSYYDTWYQYPCKTYDTHYYAAVVSCSTEFALAPPSVPGPAADFIAGPISYLVDVFVPMSLLPLDCEVISDGPIPIPAFNYPRYAWATFRESAERILNFSDTTIWWYFSTCAYYCELQGRRCAFGSQRNQTFCMSPGSRVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKMYGASKPTRYTFSEVKKIARRFKAKVGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYELMPNDSLEKYIFSHDSNTSEEVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPNVENQNVVYFPEWIYEQVTAGQDLALGREMTQEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRFQNLQVPPKPFFSADSHPALLTYIHKMSVLPLAAALVIASSILLNHGGNLARAWDDKDFFSHCPPSRCSEHGPEIRFPYSLESSNTSSLCRASCMNLTCSGQDTIVYHPSLGPWKVTAIDYRRAILTIISLADTSSLFPCPVPKFIASTNHEPYGNYPGSCAIYNTIDTILVQCSTEFIPTSNVRGSVADGIAGPFSCISNATRFSYLLAPYVPMSLLPLGCEVVSDHPISMPAAETCDPFTGNCYDNSTFKEKVGRILSFTETMVDLQLLNNCWLCERRGRRCAFSSQRNQTFCMPHGSHVKVIAATSSAAAFVVILLMVTIALYLSLKKRYNKEVHLKVEMFLQTYGTSKPTRYNFSEVKKIARRFKDKVGQGGFGSVYRGELPNGVPVAVKMLESSKGEGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKYIFPCDSNTSQELQVPNKMLGVALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSVVTLTAARGTMGYIAPELYSQNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYFPEWIYEQVNDSRRERNNETAGHCGIMVHSMEPKEPAINDKGGEHANGKVARSADGVHTENRMRGFVAAALLVLSLLLNLHTAASAWEDKDFFKSCPPSRCSEHGPEIRFPFQLESNNNTTPSSCGLPCMKLSCSGQDTILHNKYSYLGRPYKVTAIDYKYGLLIVVPLADEDNSSSSPCPLLKSIMASSAYYDLENPCQTYDTYYAALVSCSTEFALASVPGPATDNDYIAGPISCLSNQTHFSYLVAYHVTMSLLPLDCEVVSDGFIPIPAFRYPGYAWTKFRGSAERILNFSETTVWWNFYDCLQCEQQGGRCAFSSQRNQTFCIRRGSHVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKISRRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSLGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSDTSQQLLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVTIGRDLELGREMSEEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFSADSHPVLQDLQNMLA >ONIVA01G01340.5 pep chromosome:AWHD00000000:1:870899:900249:1 gene:ONIVA01G01340 transcript:ONIVA01G01340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLAVAAALLVFSLVLNHGISNLDTAASAWEDKDFFSHCPPSRCSEHGPEVRFPFQLESNNTPSACGVPCMKLSCSGQDTILDIKNLGRPYKVTAIDYKHALLTVVPFANEDKSSSSPCQLLKSIESSVITGFSYYDTWYQYPCKTYDTHYYAAVVSCSTEFALAPPSVPGPAADFIAGPISYLVDVFVPMSLLPLDCEVISDGPIPIPAFNYPRYAWATFRESAERILNFSDTTIWWYFSTCAYYCELQGRRCAFGSQRNQTFCMSPGSRVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKMYGASKPTRYTFSEVKKIARRFKAKVGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYELMPNDSLEKYIFSHDSNTSEEVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPNVENQNVVYFPEWIYEQVTAGQDLALGREMTQEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRFQNLQVPPKPFFSADSHPALLTYIHKMSVLPLAAALVIASSILLNHGGNLARAWDDKDFFSHCPPSRCSEHGPEIRFPYSLESSNTSSLCRASCMNLTCSGQDTIVYHPSLGPWKVTAIDYRRAILTIISLADTSSLFPCPVPKFIASTNHEPYGNYPGSCAIYNTIDTILVQCSTEFIPTSNVRGSVADGIAGPFSCISNATRFSYLLAPYVPMSLLPLGCEVVSDHPISMPAAETCDPFTGNCYDNSTFKEKVGRILSFTETMVDLQLLNNCWLCERRGRRCAFSSQRNQTFCMPHGSHVKVIAATSSAAAFVVILLMVTIALYLSLKKRYNKEVHLKVEMFLQTYGTSKPTRYNFSEVKKIARRFKDKVGQGGFGSVYRGELPNGVPVAVKMLESSKGEGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKYIFPCDSNTSQELQVPNKMLGVALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSVVTLTAARGTMGYIAPELYSQNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYFPEWIYEQVTTGQDLELGRSMTQEEKETMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQDLQDERICCSSPPGVEPSSQPAHSSVSVGRQGFLQIMPAVEVQRAWPGDQMASFRYQLFVTPVMPGPNSEEVQKESSISLRPRSGGISTIAYSVNNREDVAHSARKGIKHSAYVEVFS >ONIVA01G01340.6 pep chromosome:AWHD00000000:1:870899:890001:1 gene:ONIVA01G01340 transcript:ONIVA01G01340.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLAVAAALLVFSLVLNHGISNLDTAASAWEDKDFFSHCPPSRCSEHGPEVRFPFQLESNNTPSACGVPCMKLSCSGQDTILDIKNLGRPYKVTAIDYKHALLTVVPFANEDKSSSSPCQLLKSIESSVITGFSYYDTWYQYPCKTYDTHYYAAVVSCSTEFALAPPSVPGPAADFIAGPISYLVDVFVPMSLLPLDCEVISDGPIPIPAFNYPRYAWATFRESAERILNFSDTTIWWYFSTCAYYCELQGRRCAFGSQRNQTFCMSPGSRVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKMYGASKPTRYTFSEVKKIARRFKAKVGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYELMPNDSLEKYIFSHDSNTSEEVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPNVENQNVVYFPEWIYEQVTAGQDLALGREMTQEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRFQNLQVPPKPFFSADSHPALLTYIHKMSVLPLAAALVIASSILLNHGGNLARAWDDKDFFSHCPPSRCSEHGPEISFSTIAGSANDEGDAAHSALKGIKHSACLTVHMSRSLQLHHQQPHLL >ONIVA01G01340.7 pep chromosome:AWHD00000000:1:889822:900249:1 gene:ONIVA01G01340 transcript:ONIVA01G01340.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIALYLSLKKRYNKEVHLKVEMFLQTYGTSKPTRYNFSEVKKIARRFKDKVGQGGFGSVYRGELPNGVPVAVKMLESSKGEGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNDSLEKYIFPCDSNTSQELQVPNKMLGVALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSVVTLTAARGTMGYIAPELYSQNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYFPEWIYEQVNDSRRERNNETAGHCGIMVHSMEPKEPAINDKGGEHANGKVARSADGVHTENRMRGFVAAALLVLSLLLNLHTAASAWEDKDFFKSCPPSRCSEHGPEIRFPFQLESNNNTTPSSCGLPCMKLSCSGQDTILHNKYSYLGRPYKVTAIDYKYGLLIVVPLADEDNSSSSPCPLLKSIMASSAYYDLENPCQTYDTYYAALVSCSTEFALASVPGPATDNDYIAGPISCLSNQTHFSYLVAYHVTMSLLPLDCEVVSDGFIPIPAFRYPGYAWTKFRGSAERILNFSETTVWWNFYDCLQCEQQGGRCAFSSQRNQTFCIRRGILLNPYTTGSTIPVYLLYSSHVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKISRRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSLGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSDTSQQLLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVTIGRDLELGREMSEEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFSADSHPVLQDLQNMLA >ONIVA01G01340.8 pep chromosome:AWHD00000000:1:897723:900249:1 gene:ONIVA01G01340 transcript:ONIVA01G01340.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNTIRSSYKLKAGNGNNLDMLANACSHVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKISRRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSLGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSDTSQQLLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVTIGRDLELGREMSEEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFSADSHPVLQDLQNMLA >ONIVA01G01330.1 pep chromosome:AWHD00000000:1:869039:900560:-1 gene:ONIVA01G01330 transcript:ONIVA01G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSGFGHLRLLVGINREGHTEHHRINHDGDKSQQQQNKTGGAMAKSNASRSRPSAAPCRKLYYFLQKALLVSSLLAIVATDAAGAGQNPQYCPPSSCGHLRNISYPFRLQGDSRQCVATPRPWYNLSYSSGKATIHINTGTYYVTSIDYTGEVFSVVDATLQDDDTNGTSCPLPRSDHLPYIDSWPPYLGERSTDSYGFVDLATASDTWACFVNCSRAITDTMPWYRPVTCLLPNNSFVFVSSSKCAVRELQPSCRYLAMIPFDDWHISDNSLQLQNASYTDIIGFIRKGFYVHFPYHPYQRVRMSATKCLKDSKRYFKERISRPSILNLTRAIFWSETNSEVDCGYEVASIKDRIFLGTIVSAIDITKFHFGSLVIFIFLAHKYWKTRITIDVVEKFLRMQQMIGPMRFAYTDIIAITSHFRDKLGQGGYGFVYKGVLLPGNVHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSVEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYSSRVYRELTRRETSEISDIADMHELEKKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGTDELQVPPRPFFCDDEQFPGVESYNMPSELTAISEEHEDDDDESICLFENYHASILSDKNSRRGLLWHPAAPSLASMKGNKDDVSASEIMQKLEHKTTVLLSPVVICRTYPTLSVSQVTHLSVDNTNNSCPLPGWGQHPYTSKDWLIDSDGFGELAPCSHLSACFLNCSRPLTDYRWYTPVNCLSGNHVFVFVSTDSCDFAGVPSSCGYLAMVPFDDSRDPWDFLNESYADIVGLTRKGFHVKFPFPVDFGDIPLTNVRCLSDSIRYFKEQITGASILNITRALFWSEIHFVYCRTTYHAYTTQLIWSDLSTCRLVWEVLFRFVLAPLVVFIFIAHKYWKTRITNDAVEKFLRMQQMLGPTRYTYTDIIAITSHFRDKLGQGGYGSGYKEMTRALVYEYMPRGSLDKYIFSPEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDESFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLILEMAGGRRNADPNAANSSQAYYPSRVYRQLTRRETCEISDIVDMHELERKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGIDNLQVPPRPFFCDDEQFTEVEPYHPSSNLTAISEEEQEDDDDDESKCLFRSSQDGLGREAAAMAKSLLLSSLLVVFAVEVVGAGPNPQYCPPSSCGHLGNISYPFHLEGDSRQCVATPRPWYNLSCSSGKATIQINTGRYYVTSINYTGEVFWVVDATLQDDDTNGSSCPLPRSDHLPYINYWSLYSGETSTDSYGFIDLVTDSNTWACFVNCSRAITDIMPWYRPVTCLLPNNSFVFVSSSNCAVGELQPSCRYLAMIPFESWHISDNSSQLQNASYTDIIRFIRKGFRVQFPYHPSQSARVSAMECLKDSKRYFKEHISRPSILNLTLALLWSETNSEIECRYDVASKKDLIFLETIVSAIDITKFHFVLSRLVLGSPVVFIFLAHKYWKTRITIDAVEKFLRM >ONIVA01G01330.2 pep chromosome:AWHD00000000:1:874444:900560:-1 gene:ONIVA01G01330 transcript:ONIVA01G01330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSGFGHLRLLVGINREGHTEHHRINHDGDKSQQQQNKTGGAMAKSNASRSRPSAAPCRKLYYFLQKALLVSSLLAIVATDAAGAGQNPQYCPPSSCGHLRNISYPFRLQGDSRQCVATPRPWYNLSYSSGKATIHINTGTYYVTSIDYTGEVFSVVDATLQDDDTNGTSCPLPRSDHLPYIDSWPPYLGERSTDSYGFVDLATASDTWACFVNCSRAITDTMPWYRPVTCLLPNNSFVFVSSSKCAVRELQPSCRYLAMIPFDDWHISDNSLQLQNASYTDIIGFIRKGFYVHFPYHPYQRVRMSATKCLKDSKRYFKERISRPSILNLTRAIFWSETNSEVDCGYEVASIKDRIFLGTIVSAIDITKFHFGSLVIFIFLAHKYWKTRITIDVVEKFLRMQQMIGPMRFAYTDIIAITSHFRDKLGQGGYGFVYKGVLLPGNVHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSVEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYSSRVYRELTRRETSEISDIADMHELEKKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGTDELQVPPRPFFCDDEQFPGVESYNMPSELTAISEEHEDDDDESICLFENYHASILSDKNSRRGLLWHPAAPSLASMKGNKDDVSASEIMQKLEHKTTVLLSPVVICRTYPTLSVSQVTHLSVDNTNNSCPLPGWGQHPYTSKDWLIDSDGFGELAPCSHLSACFLNCSRPLTDYRWYTPVNCLSGNHVFVFVSTDSCDFAGVPSSCGYLAMVPFDDSRDPWDFLNESYADIVGLTRKGFHVKFPFPVDFGDIPLTNVRCLSDSIRYFKEQITGASILNITRALFWSEIHFVYCRTTYHAYTTQLIWSDLSTCRLVWEVLFRFVLAPLVVFIFIAHKYWKTRITNDAVEKFLRMQQMLGPTRYTYTDIIAITSHFRDKLGQGGYGSGYKEMTRALVYEYMPRGSLDKYIFSPEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDESFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLILEMAGGRRNADPNAANSSQAYYPSRVYRQLTRRETCEISDIVDMHELERKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGIDNLQVPPRPFFCDDEQFTEVEPYHPSSNLTAISEEEQEDDDDDESKCLFRSSHAGWLSAEKKGLGGTCRFWNLPVSMFTTFVIDGRFFGFH >ONIVA01G01330.3 pep chromosome:AWHD00000000:1:874444:900560:-1 gene:ONIVA01G01330 transcript:ONIVA01G01330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSGFGHLRLLVGINREGHTEHHRINHDGDKSQQQQNKKALLVSSLLAIVATDAAGAGQNPQYCPPSSCGHLRNISYPFRLQGDSRQCVATPRPWYNLSYSSGKATIHINTGTYYVTSIDYTGEVFSVVDATLQDDDTNGTSCPLPRSDHLPYIDSWPPYLGERSTDSYGFVDLATASDTWACFVNCSRAITDTMPWYRPVTCLLPNNSFVFVSSSKCAVRELQPSCRYLAMIPFDDWHISDNSLQLQNASYTDIIGFIRKGFYVHFPYHPYQRVRMSATKCLKDSKRYFKERISRPSILNLTRAIFWSETNSEVDCGYEVASIKDRIFLGTIVSAIDITKFHFGSLVIFIFLAHKYWKTRITIDVVEKFLRMQQMIGPMRFAYTDIIAITSHFRDKLGQGGYGFVYKGVLLPGNVHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSVEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYSSRVYRELTRRETSEISDIADMHELEKKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGTDELQVPPRPFFCDDEQFPGVESYNMPSELTAISEEHEDDDDESICLFENYHASILSDKNSRRGLLWHPAAPSLASMKGNKDDVSASEIMQKLEHKTTVLLSPVVICRTYPTLSVSQVTHLSVDNTNNSCPLPGWGQHPYTSKDWLIDSDGFGELAPCSHLSACFLNCSRPLTDYRWYTPVNCLSGNHVFVFVSTDSCDFAGVPSSCGYLAMVPFDDSRDPWDFLNESYADIVGLTRKGFHVKFPFPVDFGDIPLTNVRCLSDSIRYFKEQITGASILNITRALFWSEIHFVYCRTTYHAYTTQLIWSDLSTCRLVWEVLFRFVLAPLVVFIFIAHKYWKTRITNDAVEKFLRMQQMLGPTRYTYTDIIAITSHFRDKLGQGGYGSGYKEMTRALVYEYMPRGSLDKYIFSPEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDESFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLILEMAGGRRNADPNAANSSQAYYPSRVYRQLTRRETCEISDIVDMHELERKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGIDNLQVPPRPFFCDDEQFTEVEPYHPSSNLTAISEEEQEDDDDDESKCLFRSSHAGWLSAEKKGLGGTCRFWNLPVSMFTTFVIDGRFFGFH >ONIVA01G01330.4 pep chromosome:AWHD00000000:1:874444:900560:-1 gene:ONIVA01G01330 transcript:ONIVA01G01330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSGFGHLRLLVGINREGHTEHHRINHDGDKSQQQQNKMRIQSTEEKMMVGINRNTRYFKERISRPSILNLTRAIFWSETNSEVDCGYEVASIKDRIFLGTIVSAIDITKFHFGSLVIFIFLAHKYWKTRITIDVVEKFLRMQQMIGPMRFAYTDIIAITSHFRDKLGQGGYGFVYKGVLLPGNVHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSVEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYSSRVYRELTRRETSEISDIADMHELEKKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGTDELQVPPRPFFCDDEQFPGVESYNMPSELTAISEEHEDDDDESICLFENYHASILSDKNSRRGLLWHPAAPSLASMKGNKDDVSASEIMQKLEHKTTVLLSPVVICRTYPTLSVSQVTHLSVDNTNNSCPLPGWGQHPYTSKDWLIDSDGFGELAPCSHLSACFLNCSRPLTDYRWYTPVNCLSGNHVFVFVSTDSCDFAGVPSSCGYLAMVPFDDSRDPWDFLNESYADIVGLTRKGFHVKFPFPVDFGDIPLTNVRCLSDSIRYFKEQITGASILNITRALFWSEIHFVYCRTTYHAYTTQLIWSDLSTCRLVWEVLFRFVLAPLVVFIFIAHKYWKTRITNDAVEKFLRMQQMLGPTRYTYTDIIAITSHFRDKLGQGGYGSGYKEMTRALVYEYMPRGSLDKYIFSPEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDESFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLILEMAGGRRNADPNAANSSQAYYPSRVYRQLTRRETCEISDIVDMHELERKLCIVGLWCIQMRSCDRPTMSEVIEMLEGGIDNLQVPPRPFFCDDEQFTEVEPYHPSSNLTAISEEEQEDDDDDESKCLFRSSHAGWLSAEKKGLGGTCRFWNLPVSMFTTFVIDGRFFGFH >ONIVA01G01320.1 pep chromosome:AWHD00000000:1:867223:868897:-1 gene:ONIVA01G01320 transcript:ONIVA01G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMMRSCDRPTMSEVIEMLEGGSDDLQVPPRPFFCDDEQFLGVESYNMPSELTVISEDHEDDDDESIWWDGRGGWQEMGRGEAIGVPMVLGSEDYGPYYYGEVAMAAGHRTGCH >ONIVA01G01310.1 pep chromosome:AWHD00000000:1:862779:863831:-1 gene:ONIVA01G01310 transcript:ONIVA01G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTPAVLQHPTGEVCTTVDGGVVTNNMLAQQDRASSMATFSPHPPPAPLADAAAMAFNRQSNWKPSVPQDPIYNGNTAADVIGVSNHLPAHTTLRARCPTQISSSAAARPPDLPWGEYPYSYLMGIVQQQAANFGGVVHGDLPGVLCRLACHVHQCPTKRRRGAPIVRRLVRLALAGPAAGNPCTRWQRMDDDDASGRAMARLARAAVDGRHRRCCMRFKQLERAREMFYFKSQRERGCSSLERGKGDRCRRTWLWSPAASASATRRKAAAAATRRTWWCG >ONIVA01G01300.1 pep chromosome:AWHD00000000:1:838470:852206:1 gene:ONIVA01G01300 transcript:ONIVA01G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAGCCNQSFVIARPAPAYPSSLYATWPQRQRGRIKISSAHAQQSSVARLRSGSRVRIIAATSSAAALVVLLLLGATVLYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRWTRWFKIKKIARRFKIKLGQGGFGSVYRGELPNGVPVAVKMLENSKGEGEEFINEVSTIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFSDGYNILQELLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVNSGQDLALGREMTQEEKETVRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFLSADSYPVLMRGFLAAALLVFGILLNLDTAASAWEHKDFFSYCPPSRCSEHGPEVRFPFQLESNNTTQSSCGLPFMKLSCSGQDTILDNKCLGRPYKVTAIDYKHPVLTAVPLADEGNSSSPCPLLKSISIRPSLKADNGYHYMMYQNPCETYDEHYYAALVSCSTEFAPASIPDATDDIVGPISCLSNQTHLSYLVEVHASMSLLTLDCEVISDGPIPIPVFYYSIYDLPTFRGSAERILNFSDTTVWWYLYDCPQCEQLGGRCAFSSQRNQTFCMRRGSHVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKAKVGQGGHGSVYRGELPNGVPVAVKMLENYEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSDTSQEVLVPSKMLDIAIGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGVISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQWAGFGTW >ONIVA01G01300.2 pep chromosome:AWHD00000000:1:841400:852206:1 gene:ONIVA01G01300 transcript:ONIVA01G01300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKTYGTSKPTRWTRWFKIKKIARRFKIKLGQGGFGSVYRGELPNGVPVAVKMLENSKGEGEEFINEVSTIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFSDGYNILQELLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVNSGQDLALGREMTQEEKETVRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFLSADSYPVLMRGFLAAALLVFGILLNLDTAASAWEHKDFFSYCPPSRCSEHGPEVRFPFQLESNNTTQSSCGLPFMKLSCSGQDTILDNKCLGRPYKVTAIDYKHPVLTAVPLADEGNSSSPCPLLKSISIRPSLKADNGYHYMMYQNPCETYDEHYYAALVSCSTEFAPASIPDATDDIVGPISCLSNQTHLSYLVEVHASMSLLTLDCEVISDGPIPIPVFYYSIYDLPTFRGSAERILNFSDTTVWWYLYDCPQCEQLGGRCAFSSQRNQTFCMRRGSHVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKAKVGQGGHGSVYRGELPNGVPVAVKMLENYEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSDTSQEVLVPSKMLDIAIGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGVISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQWAGFGTW >ONIVA01G01300.3 pep chromosome:AWHD00000000:1:838470:842593:1 gene:ONIVA01G01300 transcript:ONIVA01G01300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKTYGTSKPTRWTRWFKIKKIARRFKIKLGQGGFGSVYRGELPNGVPVAVKMLENSKGEGEEFINEVSTIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFSDGYNILQELLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVNSGQDLALGREMTQEEKETVRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFLSADSYPVL >ONIVA01G01300.4 pep chromosome:AWHD00000000:1:838479:842593:1 gene:ONIVA01G01300 transcript:ONIVA01G01300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKTYGTSKPTRWTRWFKIKKIARRFKIKLGQGGFGSVYRGELPNGVPVAVKMLENSKGEGEEFINEVSTIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFSDGYNILQELLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVNSGQDLALGREMTQEEKETVRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFLSADSYPVL >ONIVA01G01290.1 pep chromosome:AWHD00000000:1:823064:828514:1 gene:ONIVA01G01290 transcript:ONIVA01G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPLDCEVISDGPIPIPAFYYSGYIPSMFTTSADRILDYPSGYVLSTFRESAERILNSSETMIWWYFYKYDGRHCEQQGRRCAFSSQRNQTFCMGRGSHVKVIAATSSVAAFVILLLMVATALYISLRTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIASRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSKGEGDEFINEVATIGRIHHANIVRLLGFCLEGTRRALIYEYMPNDSLEKYIFSHDSDTSQEVLVPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGMMGYIAPELYSRNFGEISYKSDVYSFSMLVLEMVSGRRNSDPSVESQNMVYFPEWIYEQVTAGQDLALGREMTEEEKATTRQLAIVALWCIQWNPNNRPSMTKVVNMLTGRLQNLQVPPKQFFSADSHPTL >ONIVA01G01280.1 pep chromosome:AWHD00000000:1:814809:818559:1 gene:ONIVA01G01280 transcript:ONIVA01G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELALVAGPCLLLLSSLLLQLQATAWEDKDFFSYCPPSRCSEHGPEIRFPFQLESNNNTTPSSCSVPCMKLACSGQDTILDSRYSYLGSPYKVTAIDYKHTTLTIIPIRDLDSCPLLNSVPLPSQPLHYHYHGANWSSCDIYNWGSAALVSCSEELTQTDVPSTHIAGPISCLSTNSTHFSSYLVSYDVPTFLVPIQCEVVSNGPIPIPYFYSGHASLTFRQSAERILNFADMTAYWRSFANPAAYNCSQCEQQGRRCAYSSQRNQTFCMRRGKLVTGYVHLIKISIKSKFSCLVFAGSHVKVIAATSSVTAFVVLLSMVAIALYLSLKTRYNEEIHMKVEMFLKTYGTSKPTRYTFSEVKKIARRFKVKVGQGGFGKGTRRALIYEYIPNDSLEKYIFSHDSNTPQELLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNEVYFPECIYEQVTTGRDLELGREMTQEEKETMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLHVPPKPFFSADSHPIM >ONIVA01G01270.1 pep chromosome:AWHD00000000:1:806468:810325:1 gene:ONIVA01G01270 transcript:ONIVA01G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMNTGRVKMSSGHALAAALLLFLLGAQLKKVTAWEDKDFFSYCPPSRCSEHGPEIRFPFQLESNNTTPSSCGLPCMKLSCYGQDTILDNKYLGRPYKVIAIDYRHITLTISPLAVAALEYSSSCPLLKSVPIPSVQFPAVPLPSVPFPYYRHFENWTSCDIYEWEPAALVSCSSQFEPATIPDAADYIAGPISCLSNTSHFSYLVAYDVPTFLIPLDCEVVSDGRIPIPRFSYGGVYKFRECADRVLSFSETTTTWTFLGNAVAFNCSWQCEFQGRRCAFSSQRNQTFCMRPELEAEMNTRIIQLHGHGCTCRKLLKKQGLPAITDVIFQLSAAAVQGSRVKVIAGFSEVKKIARRFKAKVGQGGHGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSHDSNTSQELLVPNKMLDIALGIARGMECLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGKRNSDTSVESQNEVYFPEWIYEQVTTGQDLALGREMTQEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQMPPKPFFSANSHPKL >ONIVA01G01260.1 pep chromosome:AWHD00000000:1:807602:852990:-1 gene:ONIVA01G01260 transcript:ONIVA01G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREAMAKSLLVSSLLAVVAAVVVGAGPNPQYCPPSSCGHLGNISYPFRLQGDSRDCVATPRPWYNLSCSSGRAAIQINTGTYYVSSINYTGEVFSVVDATLQDDDTNSSCPLPRSDHLPFPDWYRDYRPADSYGFFDLATASGTWACFVNCSRAITDIMPWYKPVTCLLPNNSFVFVSFVNCAVGELQPSCRYLAMIPFESRHISDNSSQLQNASYTDIIGFIRKGFRVRFPIGPYQRVRMSATECLKDSKRYFKERISHPSILNLTRAIFWSETNSEVDCGYEVAPQKDRIFLGTIVSVIDITKFHFGGHGIACSFGDEVGKRASGSGRQGRIVRERADEHVSFMHGKPQEDDVLLGSNRKGGRASDDEAALRLHECMANSIAIFRRSTTYGPLQKAALTTICCMLAVAAGPNPQECLPFSCGDLGNISYPFRLQGDSRQCVSTPRPWYNLSCSRGKATIHINTRKYYVISINYTRENFLVVDATMQLDDDTNGTSCPLPRSDHLPYMIYWSDLSSIDSYGFKDLVTASDTWACSVNCSRAITNDSRYKPVTCLSGNNSFPYVYVYIYDDYGCCPVKRLQPSCRYLGMIPFDYVYQPGSYDDDIMSNVLQSASYRDIVGFIRKGFRVNFPLYNNDQRAPTIVERCLNNSMSYFKDQISGASIPHLTRALFWTEIHFVQCVSTNHDYTIKFVLAPLVVLTFLAHKYWKTRIAIDAVEKFLRMQEMLGPKRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGDIHVAIKMLDGKSDCNGEDFISEVATIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLNRYIFSSERSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPDNILLDDNFIPKVADFGLAKLYPREKSFVSDRALRGTFGYMAPEMACRSFGVISSKSDVYSFGMLLLEMAGGRKNADPNANSNSSRAYYPAWVYDQLIVDQQVDEISSAIDMHEKERKLWLVGFWCIQMKSYDRPTMSEVIEMLEGDVDALQVPPRPFFCDGDSTPPPPVPVMDSICLYSSELTAISEEEDVDGITQLDSSCLLGYERTFLIRRRAGRSPEPPPTLLRRRALTVSPTPEPTCIFLYPPPSSLLPGLHPVPMWSTSSPSTTTTHLAGSGGLDGMRREGNSAGKDDPRKRVETTSQGEHHRINHGEDKSQQRHNKAGEMETIQQCSTRPEAACSLVNRSSIHPAVWKKTYRDGLGMEAAAMAKSLLLSSLLAVVAAEVVGTGLNPQYCPPSSCGHLGNISYPFRLEGDSRQCVATPRPWYNLSCNNGRAAIQINKGTYYVTSINYTGEEFWVVDATLQDDDTNGSSCPLPRSDHLPYTKNYWRLYSGKTSTDSYGAIDLYTVSRNWACFVNCFRAITDIIPRYRPVTCLLPNNSFVFVSFDDCAVGEFQPSCRYLAMIPFDGWHISDSQLQNASYTDIIGFIRKGVSVSFPIGPYQSNDISVTECLKGSKRYFKQHTSRASIQDLTRALFWNETYSEVDCSNLGASKKDMIFLGIMVSAIDITKFHFVLFRLLLGSLVIFIFLAHKYWKTRITIDAVEKFLRMQQMIGPMRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNVHVAIKMLSGNCNCNGDEFISEVSTIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVRAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYPSRVYRELTRRETREISDITDMHELEKKLCIVGLWCIQMRSCDRPTMSEVIEMLQGGTNKLQVPPRPFFCDDEQFPEVESYNMPFDLTAISEEHEDDGDESICRKLPVPVQEECQP >ONIVA01G01260.2 pep chromosome:AWHD00000000:1:820324:852990:-1 gene:ONIVA01G01260 transcript:ONIVA01G01260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRREAMAKSLLVSSLLAVVAAVVVGAGPNPQYCPPSSCGHLGNISYPFRLQGDSRDCVATPRPWYNLSCSSGRAAIQINTGTYYVSSINYTGEVFSVVDATLQDDDTNSSCPLPRSDHLPFPDWYRDYRPADSYGFFDLATASGTWACFVNCSRAITDIMPWYKPVTCLLPNNSFVFVSFVNCAVGELQPSCRYLAMIPFESRHISDNSSQLQNASYTDIIGFIRKGFRVRFPIGPYQRVRMSATECLKDSKRYFKERISHPSILNLTRAIFWSETNSEVDCGYEVAPQKDRIFLGTIVSVIDITKFHFGGHGIACSFGDEVGKRASGSGRQGRIVRERADEHVSFMHGKPQEDDVLLGSNRKGGRASDDEAALRLHECMANSIAIFRRSTTYGPLQKAALTTICCMLAVAAGPNPQECLPFSCGDLGNISYPFRLQGDSRQCVSTPRPWYNLSCSRGKATIHINTRKYYVISINYTRENFLVVDATMQLDDDTNGTSCPLPRSDHLPYMIYWSDLSSIDSYGFKDLVTASDTWACSVNCSRAITNDSRYKPVTCLSGNNSFPYVYVYIYDDYGCCPVKRLQPSCRYLGMIPFDYVYQPGSYDDDIMSNVLQSASYRDIVGFIRKGFRVNFPLYNNDQRAPTIVERCLNNSMSYFKDQISGASIPHLTRALFWTEIHFVQCVSTNHDYTIKFVLAPLVVLTFLAHKYWKTRIAIDAVEKFLRMQEMLGPKRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGDIHVAIKMLDGKSDCNGEDFISEVATIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLNRYIFSSERSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPDNILLDDNFIPKVADFGLAKLYPREKSFVSDRALRGTFGYMAPEMACRSFGVISSKSDVYSFGMLLLEMAGGRKNADPNANSNSSRAYYPAWVYDQLIVDQQVDEISSAIDMHEKERKLWLVGFWCIQMKSYDRPTMSEVIEMLEGDVDALQVPPRPFFCDGDSTPPPPVPVMDSICLYSSELTAISEEEDVDGITQLDSSCLLGYERTFLIRRRAGRSPEPPPTLLRRRALTVSPTPEPTCIFLYPPPSSLLPGLHPVPMWSTSSPSTTTTHLAGSGGLDGMRREGNSAGKDDPRKRVETTSQGEHHRINHGEDKSQQRHNKAGEMETIQQCSTRPEAACSLVNRSSIHPAVWKKTYRDGLGMEAAAMAKSLLLSSLLAVVAAEVVGTGLNPQYCPPSSCGHLGNISYPFRLEGDSRQCVATPRPWYNLSCNNGRAAIQINKGTYYVTSINYTGEEFWVVDATLQDDDTNGSSCPLPRSDHLPYTKNYWRLYSGKTSTDSYGAIDLYTVSRNWACFVNCFRAITDIIPRYRPVTCLLPNNSFVFVSFDDCAVGEFQPSCRYLAMIPFDGWHISDSQLQNASYTDIIGFIRKGVSVSFPIGPYQSNDISVTECLKGSKRYFKQHTSRASIQDLTRALFWNETYSEVDCSNLGASKKDMIFLGIMVSAIDITKLLLGSLVIFIFLAHKYWKTRITIDAVEKFLRMQQMIGPMRFAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNLHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALIYEYMPRGSLDKYIFSPEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPAVWESVRDRLGLRCPKPASDFQGLWCVARKKIAKIDRKTFDAGIILITWLIWKERNARVFEGVATSSSLLCAAIEDEWESWKAASLLTAL >ONIVA01G01260.3 pep chromosome:AWHD00000000:1:803535:852990:-1 gene:ONIVA01G01260 transcript:ONIVA01G01260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRREAMAKSLLVSSLLAVVAAVVVGAGPNPQYCPPSSCGHLGNISYPFRLQGDSRDCVATPRPWYNLSCSSGRAAIQINTGTYYVSSINYTGEVFSVVDATLQDDDTNSSCPLPRSDHLPFPDWYRDYRPADSYGFFDLATASGTWACFVNCSRAITDIMPWYKPVTCLLPNNSFVFVSFVNCAVGELQPSCRYLAMIPFESRHISDNSSQLQNASYTDIIGFIRKGFRVRFPIGPYQRVRMSATECLKDSKRYFKERISHPSILNLTRAIFWSETNSEVDCGYEVAPQKDRIFLGTIVSVIDITKFHFGGHGIACSFGDEVGKRASGSGRQGRIVRERADEHVSFMHGKPQEDDVLLGSNRKGGRASDDEAALRLHECMANSIAIFRRSTTYGPLQKAALTTICCMLAVAAGPNPQECLPFSCGDLGNISYPFRLQGDSRQCVSTPRPWYNLSCSRGKATIHINTRKYYVISINYTRENFLVVDATMQLDDDTNGTSCPLPRSDHLPYMIYWSDLSSIDSYGFKDLVTASDTWACSVNCSRAITNDSRYKPVTCLSGNNSFPYVYVYIYDDYGCCPVKRLQPSCRYLGMIPFDYVYQPGSYDDDIMSNVLQSASYRDIVGFIRKGFRVNFPLYNNDQRAPTIVERCLNNSMSYFKDQISGASIPHLTRALFWTEIHFVQCVSTNHDYTIKFVLAPLVVLTFLAHKYWKTRIAIDAVEKFLRMQEMLGPKRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGDIHVAIKMLDGKSDCNGEDFISEVATIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLNRYIFSSERSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPDNILLDDNFIPKVADFGLAKLYPREKSFVSDRALRGTFGYMAPEMACRSFGVISSKSDVYSFGMLLLEMAGGRKNADPNANSNSSRAYYPAWVYDQLIVDQQVDEISSAIDMHEKERKLWLVGFWCIQMKSYDRPTMSEVIEMLEGDVDALQVPPRPFFCDGDSTPPPPVPVMDSICLYSSELTAISEEEDVDGITQLDSSCLLGYERTFLIRRRAGRSPEPPPTLLRRRALTVSPTPEPTCIFLYPPPSSLLPGLHPVPMWSTSSPSTTTTHLAGSGGLDGMRREGNSAGKDDPRKRVETTSQGEHHRINHGEDKSQQRHNKAGEMETIQQCSTRPEAACSLVNRSSIHPAVWKKTYRDGLGMEAAAMAKSLLLSSLLAVVAAEVVGTGLNPQYCPPSSCGHLGNISYPFRLEGDSRQCVATPRPWYNLSCNNGRAAIQINKGTYYVTSINYTGEEFWVVDATLQDDDTNGSSCPLPRSDHLPYTKNYWRLYSGKTSTDSYGAIDLYTVSRNWACFVNCFRAITDIIPRYRPVTCLLPNNSFVFVSFDDCAVGEFQPSCRYLAMIPFDGWHISDSQLQNASYTDIIGFIRKGVSVSFPIGPYQSNDISVTECLKGSKRYFKQHTSRASIQDLTRALFWNETYSEVDCSNLGASKKDMIFLGIMVSAIDITKLLLVPLVVFIFLAHKYWKTRITIDAVEKFLRMQQMIGPTRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNVHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVRLIGFCSEEMRRALVYEYMPRGSLDKYIFSVQKSFSWDKLIEIALGIARGINYLHQGCEMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPVSAARGTIGYIAPEMISRSFGTISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYPSRVYKQLTRRETCEISDIVDMHELEKKLCVVGLWCIQMRSSDRPTMSEVIEMLEGGSDDLQVPPKPFFCDDEQPLGVEPYHLSSDLTAISEAEEDDDDESISLFQSFSYQ >ONIVA01G01250.1 pep chromosome:AWHD00000000:1:801318:801710:1 gene:ONIVA01G01250 transcript:ONIVA01G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIAPELYSRNFGAISYKSDVYSFGILVLEMVSGRRNLDPSIETQHEVYFPEWIYEKVFTGQQLTVGMEMTQVEKDRVRQLAIVALWCIQWNPRNRPSTTQVVNMLTGRLQDLQMPPKPFFSSASESIP >ONIVA01G01240.1 pep chromosome:AWHD00000000:1:794236:798435:-1 gene:ONIVA01G01240 transcript:ONIVA01G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVVSGPNYDDEYNDDYDEYDEDYDDYGGTGHSDDIQHPTKEKESSKKSSSMVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKDSLQLPSRDVSKPLILPICDVIKSQSTGQLAAFGKLETGAIRIGSKVLISPCGEVATVKSIERDSNSCDIARAGDNVAVSLQGIDGSKLIAGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVEEFSKCRALGRAFLRSCGSTIAVGVVTRILGQDQN >ONIVA01G01230.1 pep chromosome:AWHD00000000:1:792127:793258:-1 gene:ONIVA01G01230 transcript:ONIVA01G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAPAVVYGRLRRPPPAAADLPVAINSRACRPAAPISTPRAASPGVLPHPLRCMVWFDLVSSSGGPVRSFVRSAPKSQAASSAGGGPFSPVVFASRDDDACSPVASALRRLLRDFMRKKRGVLGRHQKPQMKMRQRLLTS >ONIVA01G01230.2 pep chromosome:AWHD00000000:1:791939:793258:-1 gene:ONIVA01G01230 transcript:ONIVA01G01230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAPAVVYGRLRRPPPAAVYEKKERRARQAPETTDENAAEAIDQLEIFDRYKGSRAPILTGIA >ONIVA01G01220.1 pep chromosome:AWHD00000000:1:788392:789116:1 gene:ONIVA01G01220 transcript:ONIVA01G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLVVVLLLSLLIYAATAWDAKSFFNFKSCPPNQCSKHGPKVRFPLRLASQSPSCGTPLMELSCSGQDTILYHPVLGSCKVTAISYKHAAMSIILLVDSTPHCPLQKLISTNLSTDVYKPQKLEAASLPDCKVVSKGIQAPSTFKETENGVIGVDELVFTWYSSDITRDCQKCENEGKHCGFSSQRGRAFCYYGIFSF >ONIVA01G01210.1 pep chromosome:AWHD00000000:1:786451:791423:-1 gene:ONIVA01G01210 transcript:ONIVA01G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWYCSDSSGEPTAYRIFVIFTNIDSYFSICGRHATQLPHPFVVLLMLVPQTFWILRVISDDGTDQLQSKCASRLHSGIPDELATVAMAGAFRRSAALQAMAAFSVLALVVPDQTPYNENYRLGEDSHRRVQVQLSPDVDWFATFVKCSQEMNSSNVMYRPVACRRGNSSFVYVLTGLGSYLAENLEPSCGYLAMTPLALGGLENWRTATAAATLEDVNYEDVVRSMSEGFAVRFPFRSGGFIDCLRGLISDSSGEPTVYRIFVIVTNIDSYFSICGRHATQLPHPFVVLLMLVPQTFWILRVISGT >ONIVA01G01210.2 pep chromosome:AWHD00000000:1:786451:791423:-1 gene:ONIVA01G01210 transcript:ONIVA01G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWYCSDSSGEPTAYRIFVIFTNIDSYFSICGRHATQLPHPFVVLLMLVPQTFWILRVISDDGTDQLQSKCASRLHSGIPDELATVAMAGAFRRSAALQAMAAFSVLALVVPDQVQGRGKCPSFSCGRLSYVHFPFRRQGDPAECGVPSYELTCADSNATIQIDKATYLVTDINYSDQYFWLVDASLDSANNCPLPRWSQTPYNENYRLGEDSHRRVQVQLSPDVDWFATFVKCSQEMNSSNVMYRPVACRRGNSSFVYVLTGLGSYLAENLEPSCGYLAMTPLALGGLENWRTATAAATLEDVNYEDVVRSMSEGFAVRFPFRSGGFIDCLRGLISDSSGEPTVYRIFVIVTNIDSYFSICGRHATQLPHPFVVLLMLVPQTFWILRVISGT >ONIVA01G01210.3 pep chromosome:AWHD00000000:1:787843:791423:-1 gene:ONIVA01G01210 transcript:ONIVA01G01210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWYCSDSSGEPTAYRIFVIFTNIDSYFSICGRHATQLPHPFVVLLMLVPQTFWILRVISDDGTDQLQSKCASRLHSGIPDETT >ONIVA01G01210.4 pep chromosome:AWHD00000000:1:790918:791423:-1 gene:ONIVA01G01210 transcript:ONIVA01G01210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWYCSDSSGEPTAYRIFVIFTNIDSYFSICGRHATQLPHPFVVLLMLVPQTFWILRVISGMNLFMV >ONIVA01G01210.5 pep chromosome:AWHD00000000:1:786451:787522:-1 gene:ONIVA01G01210 transcript:ONIVA01G01210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFRRSAALQAMAAFSVLALVVPDQVQGRGKCPSFSCGRLSYVHFPFRRQGDPAECGVPSYELTCADSNATIQIDKATYLVTDINYSDQYFWLVDASLDSANNCPLPRWSQTPYNENYRLGEDSHRRVQVQLSPDVDWFATFVKCSQEMNSSNVMYRPVACRRGNSSFVYVLTGLGSYLAENLEPSCGYLAMTPLALGGLENWRTATAAATLEDVNYEDVVRSMSEGFAVRFPFRSGGFIDCLRGLISDSSGEPTVYRIFVIVTNIDSYFSICGRHATQLPHPFVVLLMLVPQTFWILRVISGT >ONIVA01G01210.6 pep chromosome:AWHD00000000:1:788623:791423:-1 gene:ONIVA01G01210 transcript:ONIVA01G01210.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWYCSDSSGEPTAYRIFVIFTNIDSYFSICGRHATQLPHPFVVLLMLVPQTFWILRVISGA >ONIVA01G01200.1 pep chromosome:AWHD00000000:1:783343:785626:1 gene:ONIVA01G01200 transcript:ONIVA01G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHGVFHCSTTAILRVISVLCLLAVLVPDAGGRHHRHGCPPFTCGNLSSVSSPFRRRGDPSECGVLSYELNCADDKATIQIDNGTYYVTGINYTDSTFLIVDADISDSPSSCPLPRWNPLRKPSFQRKSHRTIELAPAIWTRIASFVNCSQEIKNSSWIMYMPVACLSTSRSFVYVLTGRQSTLIQSLEPSCGYLAMTPLGGRDSVAPVNESLSLSYADVVKSMRKGFRVQFPLRYRTGSFKQCLMDSIPICLADLEDDCRFLLMPLAVLVFLAHKYWKTRVTIDAVEKFLRMQQMLGPTRYAYTDITAITGHFREKLGQGGYGSVYKGVLLPGDLHVAVKMLNGNSNCNGEEFISEVATIGMIHHVNVVRLVGFCSEEMRRALVYEHMPRGSLDKYIFLSERSFSWDKINEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVPDRVLRGTVGYMAPEMVSRSFGVISGKSDVYSFGMLLLEMAGGRRNVDPNADSSKSKAYYPSWVYDQLIADQQFDEISNVANMHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGGVDVLQVPPRPFFCDGDGMPPPQVMDSYFHSSELTAISKEDDGIAE >ONIVA01G01190.1 pep chromosome:AWHD00000000:1:781991:782788:-1 gene:ONIVA01G01190 transcript:ONIVA01G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTNLLITVLLLLSPLKYKSDVATASDDEDFFKTCSSHRCSKHGPEIRYPFRLSTQSPSCGAPGMQLSCSGQDTILDHPVLGSCKVTMIYYRHAIMNAIPLVDSSPHCLLHKFISVNQSTAVYRPHTLKAASLVGCSRDSIDTNQYNSIVGPTSCLSLANNASQFWYLAYPYAYMSILPLGCTIVSKDIPMPYSYDKNGPNFGISIFTETAKRVISTGETVFTWYSSNVTSICQQCEHEGRRCGFSSQRDQAFCQHHSMSLSQV >ONIVA01G01180.1 pep chromosome:AWHD00000000:1:779713:780105:-1 gene:ONIVA01G01180 transcript:ONIVA01G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIAPELYSRNFGAISYKSDVYSFGMLVLEMVSGRRNTDPTVENQNEFYFPEWIYERVINGQDLVLTMETTQGEKEMVRQLAIVALWCIQWNPKDRPSMTKVVNMLTGRLQNLQVPPKPFISSQNQLVI >ONIVA01G01170.1 pep chromosome:AWHD00000000:1:770130:778572:-1 gene:ONIVA01G01170 transcript:ONIVA01G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTQGEKEMVRQLAIVAWRHGGGMGDAEAWSRIYREETAPYPSVDGGEKRRRRQTAALTGALAGKSGGGRRKEKGREMEKGMMIAGPQFSEAEISNFWNKVIIKKDVVWLDVKV >ONIVA01G01160.1 pep chromosome:AWHD00000000:1:768401:770753:1 gene:ONIVA01G01160 transcript:ONIVA01G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPGPFFRRSTALQVFSVLCALRFVVPDPDIEWPLIHGIPACPPFTCGHLSKVASPFHRRGYECGVASYELTCTDDKAIIQIDNGTYFVTGIDYSDSTFWVVDANISDSRNNICPLPRWKRIPYYYDDVSSDDEESSPYNIQVELDPASRWWSFFVNCSKEINNNVMYSPVACMGTSSSFVYVLTGRLSCYIENLEPSCGYLAMTPLDGLGRVAPAVDSLVMKTYKSTGIGKLSDWFSPSVIENFVDCATAKIPSPYNILLGIILYALMFWTMLARTYLLAPLVVLIFLAQKYLKRMITIDAVEKFLQMQQMLGPTRYAYIDIIAITGHFREKLGQGGYGSVYKGVLLPGDLHVAIKILNGYSNCNGEEFINEVATIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKHIFSSERRFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVSDRALRGTVDYMAPKMVSRSFGIISGKSDVYSFGMLLLEMVGGRRNEDPNADSSASKAYYPSWVYDKLIADQQVDEISNFANMHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGGVDALQVPPRPFFYDGDGIGNGMPSPQVMDSYFHSSDLTAISEEDDGIAELASS >ONIVA01G01150.1 pep chromosome:AWHD00000000:1:757808:764016:-1 gene:ONIVA01G01150 transcript:ONIVA01G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNDSHAFSSRSSTRKTLCNDSCPLPPCRLPSLSTPPPPPSRAPAAACLLFPRRRLHYDARATDWLVGMAARDGTALKPVLSGLSMKPVPSDE >ONIVA01G01140.1 pep chromosome:AWHD00000000:1:754772:757142:1 gene:ONIVA01G01140 transcript:ONIVA01G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVFTILCVLALMVSDADHVVPVQGRRECHPFSCGHLQDVSYPFRRRGDPARCGVRSYELDCSDSKATIRINTGTYHVTSINYTTSVFWVVDASLKDTNSSCPLPRSDYVPYIQGIPGSRHSWELVLDDFIPWACFFNCSQAVTNSSIYLPVDCLMGNTSWFVYVRADALDIFSYCPIIESIEPSCGYLAMIPLGGRDNMVGVGYTNYEDVVKHMMGGFAVKFPEPGDPNWFGLFKECLNKSIISLSADFDGSLARVGIKDRILDILSMDFTFLGCTLGVERNDFLDIRLSIKNMIRYYDEPHFYLVFIVSTLWLVKWIVVLCRFVFAPLVVIIFLALKYWKTRITIDAVENFLRMQDMLGPKRYAYTDIIAITSHFRDKLGQGGYGSVYKGVFLPGDVHVAIKMLDGNSNCNGEDFISEVATIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGPLNKYIFSSERSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPDNILLDDNFVPKVADFGLAKLYPREKSFVSDRALRGTVGYMAPEMVSRSFGVISDKSDVYSFGMLLLEMAGGRRNADPNANSNASRAYYPAWVYDQLIADQQVDEISNVADMHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGGVDALQVPPRPFFCDGDGIGNGMPPPQVMDSYFHSSELTAISEEDDGISELASS >ONIVA01G01130.1 pep chromosome:AWHD00000000:1:748735:751927:-1 gene:ONIVA01G01130 transcript:ONIVA01G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFLAISLLLTLLSHCAYSYSRATASAWRDQDFFGYCRVSQCSDGGPEIRFPHRLQSSNTSSACGASCARLACSGPDTILHHPFLGPCNVTSIDYRKATMKIIPLRSPCPLQKLIFNTLPPNDNLSCELYSNDPAKIVQCSKKFTPSGTSPVDGEAFMTTADYIAGPISCLGDTKHFSYLVFARLYMYVLPLDCRIVSKGSIPIPRPLNIYGPTFKERAEAIINLAEITVSWWPDEVTFNYTKCDEVTFNCTKCERQKQRCQRVKVISVTSSVAAFVVLSFIVATALYLSLKSRYDEEVHLKVEMFLRTYGTSKPTRYSFSDIKKIARRFKEQIGQGGFGSVYKGELPNGVPVAVKMLEHSTGKGEEFINEVATIGQIHHTNVVHLLGFCSEGTRRVLIYEFMPNESLEKYIFLCGPNTSQELLAPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTKARGTMGYIAPELYSRNFGEISYKSDVYSFGMLMLEMVSGRRNSDPSIENQNEVYFLEWIYDKVITGQDFVLSGEMTEEDRLKVRQMALVALWCIQWNPRNRPSMTKVVNMLTGRLQNIQVPPKPFVSYESHAVP >ONIVA01G01120.1 pep chromosome:AWHD00000000:1:729028:747093:1 gene:ONIVA01G01120 transcript:ONIVA01G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIIPGSFHRSTALRVISVLFVLAVVVPDAGGRHQHHRHDCLPFTCGRLSNVSSPFRRRGDSSECGFTSYELTCTDDKATIQIYEGTYSVTGINYSDSTFWVVDANISDSPNTLPQRIVPPYDYWSNYNPEYWLRHTIHIELEPASSRWSAFVNCSQEINNNEKYRPVACLNNTSRSFVYVLIGSIYSCYIDNLEPSCGHLAITPLHGNDTRVLEENPSCEDVVKIMRGGFAVRFPYTIDAAYSFKECRAETFRHFREEPASSAGIKYTMADTATFDIRYMACVIRVARLPAAVKLFVLLTIIAMWLLKWIAVIFRFGGGGGAPLVVMTFLAHKYWKKRITIDAVDKFLRMQLMLGPTRYAYTDITAITGHFREKLGQGGYGSVYKGVLLPGNIHVAVKILANYSCDGEEFINEVSTIGSIHHVNVVSLVGFCAEETRRALVYEYMPNGSLEKYIFSPEKSFSWEKLNEIALGIARGINYLHRGCEMQILHFDIKPHNILLDSKFIPKVADFGLAKLYPQDNSFVPVSAARGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMVGGRRNSKQDMSSSSQAYYPSWVYNQLAQQELGEFRHALFVHTLGLYSRDEHVYGVACRFVLVMLLMWTSVAYMYWKIKMRVDEVEKFLQLQQMLTPTRYSYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGDVRVAIKMLKGDANCKGEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPQGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHHGCDMQILHFDIKPHNILLDNNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRGFGAISSKSDVYSFGILLLEMAGGRRNADPNAENSSQAYYPSRVYRQLTRQETGEITAAADMHELEKKLCIVGLWCIQMRSCDRPMMSEVIEMLEGGVDCLQIPPRPFFCDDDYIPAMDWSRCICPPRWSWLQSPRRKTRRVSLG >ONIVA01G01110.1 pep chromosome:AWHD00000000:1:727647:728181:-1 gene:ONIVA01G01110 transcript:ONIVA01G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKLLFTYYSLLNYESYVAAALEDDEDFFETCSSQRCSKHGPEIRFPFRLSTQPSSCGAPGMHLSCSGQDTILNHPVLGPCKVTEISYSYATMNVIPPVDSSPPCPLLKLMSKNQSTSVYKPQGPQSQGTTLVVCSRDPIPANQYDIVGPISCLGHNNQFWYLADPYTYMSVLP >ONIVA01G01100.1 pep chromosome:AWHD00000000:1:723255:725945:-1 gene:ONIVA01G01100 transcript:ONIVA01G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQRAEGEAANGGGLRVTLVAATSSVATFVILSLVVATAFYISLKSRYNKEIHLKVEMFLKTYGTSKPMRYTFSDVKKITRRFKNKLGHGGFGSVYKGELPNGVPVAVKMLENSLGEGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFSNGSNISREFLVPEKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNISLDYSFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRSFGAISYKSDVYSFGMLVLEMVSGRRNTDPTIENQNEFYFPEWIYERVINGQDLVLNMETTQGEKETVRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLQNLQVPPKPFISSENHLAT >ONIVA01G01090.1 pep chromosome:AWHD00000000:1:715628:720357:1 gene:ONIVA01G01090 transcript:ONIVA01G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGAYAPDAVQALATGFLFVVASLVLDHVEGRHHECPSFSCGHLKDVSAPFRRAADPPGCGSKSYELVCSDTNATILIDNATYHVKEIDYDYRRFWVVDANIAGSTCPLPRWNHLDQYKRKVSGHRIEVELAPAAYNHANFVRCSREVKDNGIYRPVTCASSNYSFIYVLLSIDSENPGYIESLEPSCGYLAMTPLGDWSTTVPRNASYEDVKKFMREGFAIRFPRRYGTGSINECLMDSIRVPSPEGFLIAFGMWIVKCIAVLCRFVLVPLAILAFLAHKYWKTRLAIDAVEKFLQMQQVLGPTRYVYTDLTAVTSHFRDKLGQGGYGSVYKGVLLSGDVHVAVKMLNGTSTYDGEEFISEVSTIGRIHHVNVVRLVGFCSEELRRALVYEYMPQGSLDKYIFSSERSFSWDKLNEIAIGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRSKSFVSDRALRGTVGYIAPEMVSRSFGVISSKCDVYSFGMLLLEMAGGRRNADPNTNPNASQSYYPSWVYGQLTGEQVGETSGAADMHELQKKLCLVGLWCIQMKSHDRPTMSETIEMLEGDVNALQVPPMPFFCDGDLMPNVMDSYLHSSELTAISEDVGAIEFASN >ONIVA01G01080.1 pep chromosome:AWHD00000000:1:711898:715302:1 gene:ONIVA01G01080 transcript:ONIVA01G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPGSFCCPIALQVFISLLCVLAVLVPDADGRRHHRPACPPFTCGHLSEVSPPFRRLGDPPKCGVASYELSCTNDKAATIQIDNGTYLVTDINYKDSTLWVVDANISDSRNNCPLPRWNRDFGYYNQMLYERHGEDSRRRSIQAELMPPYRSTRATFVTCSQEMRNNGKYRPVACMSTNSSFVYVLTGMNSNHIGSLEPSCGYLAMTPMGAGDRPLVLENASYADVVKLMRGGFAIRFLYTSYFLVYSSKIKRCIAQSFQNIVQEEQPTSFRIFYTVIFDYHFWGCLLPHPVVQLNVGVIPLAMWTLKWIAVFCRFILAPLVILTFLARKFWKIRITTDAVEKFLRMQLVIGPTRYAYTDLIAITGHFGEKLGQGGYGSVYKGVVLPGNAHVAVKVLGNSNCNGEEFISEVSTIGRIHHINVVHLVGFCSEEIRRALVYEYMPRGSLDKYIFSSERSFSWDKLNEIALGIDRGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLCPRDQSFVPLSALRGTIGYIAPEMISRSFGIISSKSDVYSFGMLLLEMAGGRRNSDMYAENSNQTYFPFWVYDQLTEQQVGVGEIPAGTVANMHELERKLCIIGLRCIQMKSHDRPTMSEVIEMLEGGVVGLQMPPRPFFCDDEPVSLAVNSHQFSSELSEILAEDE >ONIVA01G01070.1 pep chromosome:AWHD00000000:1:706996:711227:-1 gene:ONIVA01G01070 transcript:ONIVA01G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPNPHGTLSLKLKKIARRFKDKLDYGGFGSVYKGELPNREREKEFINEVATIGRIHHANIVRLLGFCSDGTRWALIYEFMPNESLEKYIFQIDSNVSRQFLIPKKMLDISLGVARGMEYLHQGCNQRILHFDIKSCNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSGVYSFGVLVLEMVSGRRNTDPTVENQNEFYFPEWIYERVINGQELVLTMETTQGEKEMYNVSLSNPILLIISHPFIHGITNGLIICRLPDSNDNPLPSAVTKDRIVDILSIDLRFWGCIIDVEASRYINTRLSIQNMFYAIDLSLFLRFITPLLFILCLLKWIAVLCRFLFAPLAVMIFLTRKYWKTRIAIDVVEKFLRMQDMLGPKRYAYTDIVAITSHFRDKLGQGGYGTVYKGVLLPGGVHVAIKMLDGNSNCNGEDFISEVATIGEVLWGSAPKK >ONIVA01G01060.1 pep chromosome:AWHD00000000:1:704088:706972:-1 gene:ONIVA01G01060 transcript:ONIVA01G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGSLDKYIFSSERSFSWDKLNEIALGIARGIKFYTLTLSHTTSFLMITFFPKVADFGLAKLYPRDKSFVSDRALRGTVGYIAPEMVSRCFGVISSKSDVYSFGMLLLEMAGGRRNADPNANSNASRAYYPAWVYDQLIADQQVDEISTVANMHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGDVDALQVPPRPFFCDGDSIPPSQKKEKKKKIITFFFLRPPPLFPARAPVNAAVCLRRRFSPPSTDG >ONIVA01G01050.1 pep chromosome:AWHD00000000:1:699890:703899:-1 gene:ONIVA01G01050 transcript:ONIVA01G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQSLAALHFFFNLVKKMQHWDATHMQMQITTVLCVVAAALIVVHVQGRHHKVGCRPFSCGHLHNISYPFRRRGDPHRCGVESYELDCSSSSKVTIQINTRTYYVSSIDYNAYVFWVIDANMQDTGCPLLVKDTNTTSGCCPLPRWDSHSFFWTNYMADNVDLLVPRDTITWAIFVNCSQELVITNNSSIKYIPARGLSDHDFFLRLYACCYSLTVFISCHIHRKH >ONIVA01G01050.2 pep chromosome:AWHD00000000:1:699890:703124:-1 gene:ONIVA01G01050 transcript:ONIVA01G01050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTFVIEGRATHMQMQITTVLCVVAAALIVVHVQGRHHKVGCRPFSCGHLHNISYPFRRRGDPHRCGVESYELDCSSSSKVTIQINTRTYYVSSIDYNAYVFWVIDANMQDTGCPLLVKDTNTTSGCCPLPRWDSHSFFWTNYMADNVDLLVPRDTITWAIFVNCSQELVITNNSSIKYIPARGLSDHDFFLRLYACCYSLTVFISCHIHRKH >ONIVA01G01040.1 pep chromosome:AWHD00000000:1:693324:695654:-1 gene:ONIVA01G01040 transcript:ONIVA01G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVHLVLTCALFFEPGNCDSWCSALLYCHANAAGGRRHHRRHDCPPFTCGHLSDVSFPFRRRGDPPECGVQSYELTCADDKATIQIDKETYSEIRNSSWMYMPVACLSTSRSFVYPSCGYLATTPLGGSKLNSTSALQNVSYQDVVKLMMTGFAVRFPFTVSGWNFKECLALSIRQRRKGSKERVSNIAIIDFSFWSCFLLGDRSHDNLIYMYMVVDTVSIALLILKWTAVLCRFVLAPLAVFIFLAHKYWRNKITIDAVEKFLQMQLTLGPTRYAYTDLTAITGHFGEKLGQGGYGSVYKGVLPGYVNVAVKVLGNSNCNGEEFISEVSTIGRIHHVNVVCLVGFCSEEMRRALVYEYMPRGSLDKYIFSSKRSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDNSFVPLNALRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSDMRADNSSQAYYPSWVYDRLIEQQMKSHDRPTMSEVIEMLEGGVVGLQMPPRPFFCDDESMSPMMDSYQFSSGLTEILEEDE >ONIVA01G01040.2 pep chromosome:AWHD00000000:1:693324:695654:-1 gene:ONIVA01G01040 transcript:ONIVA01G01040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVHLVLTCALFFEPGNCDSWCSALLYCHANAAGGRRHHRRHDCPPFTCGHLSDVSFPFRRRGDPPECGVQSYELTCADDKATIQIDKETYSVSDINYGDSTLWVVDASFLDSRSSCLLPRWNPLLRDPRLQAKSHHIIELAPPVGVTWASFVNCSQEIRNSSWMYMPVACLSTSRSFVYPSCGYLATTPLGGSKLNSTSALQNVSYQDVVKLMMTGFAVRFPFTVSGWNFKECLALSIRQRRKGSKERVSNIAIIDFSFWSCFLLGDRSHDNLIYMYMVVDTVSIALLILKWTAVLCRFVLAPLAVFIFLAHKYWRNKITIDAVEKFLQMQLTLGPTRYAYTDLTAITGHFGEKLGQGGYGSVYKGVLPGYVNVAVKVLGNSNCNGEEFISEVSTIGRIHHVNVVCLVGFCSEEMRRALVYEYMPRGSLDKYIFSSKRSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDNSFVPLNALRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSDMRADNSSQAYYPSWVYDRLIEQQVGVGEISAATVANMHELERKLCIIGLHCIQMKSHDRPTMSEVIEMLEGGVVGLQMPPRPFFCDDESMSPMMDSYQFSSGLTEILEEDE >ONIVA01G01040.3 pep chromosome:AWHD00000000:1:693324:695955:-1 gene:ONIVA01G01040 transcript:ONIVA01G01040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMRQKKTEEYHSVPLPRPTRCSKTCSDAAGGRRHHRRHDCPPFTCGHLSDVSFPFRRRGDPPECGVQSYELTCADDKATIQIDKETYSVSDINYGDSTLWVVDASFLDSRSSCLLPRWNPLLRDPRLQAKSHHIIELAPPVGVTWASFVNCSQEIRNSSWMYMPVACLSTSRSFVYPSCGYLATTPLGGSKLNSTSALQNVSYQDVVKLMMTGFAVRFPFTVSGWNFKECLALSIRQRRKGSKERVSNIAIIDFSFWSCFLLGDRSHDNLIYMYMVVDTVSIALLILKWTAVLCRFVLAPLAVFIFLAHKYWRNKITIDAVEKFLQMQLTLGPTRYAYTDLTAITGHFGEKLGQGGYGSVYKGVLPGYVNVAVKVLGNSNCNGEEFISEVSTIGRIHHVNVVCLVGFCSEEMRRALVYEYMPRGSLDKYIFSSKRSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDNSFVPLNALRGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSDMRADNSSQAYYPSWVYDRLIEQQVGVGEISAATVANMHELERKLCIIGLHCIQMKSHDRPTMSEVIEMLEGGVVGLQMPPRPFFCDDESMSPMMDSYQFSSGLTEILEEDE >ONIVA01G01030.1 pep chromosome:AWHD00000000:1:681245:684578:-1 gene:ONIVA01G01030 transcript:ONIVA01G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGSLFYRSPALQVIYVLCVLADLVPDAGGRRHHQIQIQPACPTFTCGAHLRNVSYPFRRRGDPPECGVASYELTCTDDKAIIQIDNGTYLVRGINHTDATFSVVDANMLDSSNSTCPLPRWNRIPYKRWYWVVEDSSRNIVQELMPSYSTITAAFVTCSLEVTNNGVYMYMPVACLSTNSSFVYVLTGWFADFYIKNLEPSCGYLAMTPLSIGGLPVRALNASYADVVKLMRGGFAIQFPYKIDRFDYCKLKDFMAQSFQFILAPLVVLTFLVHKYWKRRMIIDAVEKFLRMQLMIGPTRYAYTDITAITGHFREKLGQGGYGSVYKGVLLPGNVHVAVKVLGNSNCNGEEFISEVSTIGRIHHVNVVRLVGFCAEEMRRALVYEHMPNGSLDKFIFSHDMRFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRDNSFVPVSAARGTIGYIAPEMISRSFGAISSKSDVYSFGMLLLEMVGGRRNSKQDMLSSSQAYYPSWVYNQLVQQELGEMVTAFNMHELEKKLCIVGLHCIQMKSHDRPTMSEVIEMLDGGADGLRLPSRPFFCDDEPMPHLVGSYHLSSGLTEISEEDEY >ONIVA01G01020.1 pep chromosome:AWHD00000000:1:679066:679517:-1 gene:ONIVA01G01020 transcript:ONIVA01G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTGGRPGGGGGPALQRLRRHAAGKVASRSLARCREGARRRGRQAAGQRHSGRQGSNESTTQSQPTVTVIEDLKLEIGKLIVNMSKRTLLTYYFSLSNTNGSDPPSSKVILHPVMSV >ONIVA01G01010.1 pep chromosome:AWHD00000000:1:677494:677987:-1 gene:ONIVA01G01010 transcript:ONIVA01G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGAPAICCCCGGGGGGAKNGLNRPDLQCSSIPMALHHRVSLPAERIHRSSSPRLDPTLRRRGSVSTKASTSSLLLDHAVRRWKRRQISTPSLTLVEVLALSMAWIGICKQ >ONIVA01G01000.1 pep chromosome:AWHD00000000:1:671309:673610:-1 gene:ONIVA01G01000 transcript:ONIVA01G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFVFIFAVLALAGDVEGRQGCYPFSCGHLQNISHPFRRRGDPQRCGVPSYELDCSDSNATIRINTGTYYVTSINYTTSVFWVVDASLKDTNSSCPLPRSDQLPFVSGGIQGSHGGWDLALDPGPGATWVSFVNCSQAVRNNSVYVPVDCLSTSSSFVYVFGSWIMPPSVFPIIGNLETSCRYLAMIPLGGWDSPLPHNASFSDIVRSMRNGFAVHFPIIHRWSRIGHIKDCLMGSIRFVIAPLTLLTFLAFKYWKTRIKIDAVEKFLRMQLMLGPTRYAYTDIIAMTSHFRDKLGQGGYGSVFKGVILPGDVHVAIKMLANYNCNGEEFISEVSTIGSIHHVNVVRLVGYCAEEMRRALVYEYMPHGSLDRFIFSPDKSLSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPQDNSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSKQDMSSSSQSYYPSWVYNQLVQQKMGEIANAFNMHELEKKLCVVGLHCIQMKPPDRPTMSEVIEMLEGDVDGLQLPSRPFFCDDEPLPLLVDSCRFSSELTEISEEDE >ONIVA01G00990.1 pep chromosome:AWHD00000000:1:654108:671289:-1 gene:ONIVA01G00990 transcript:ONIVA01G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRKGEYKAGARKCDLVALLQPPGALSSLGHCDVMLAGQRGPLLHGGAPCLCSGAGTGRKSMGTLKPSRGSGGVVGAGGNEEQQSHKVGQQWCQPFSCGHLSNISHPFRRRGDPHRCGVSSYELECSSGKATTQINTGTYYVTSINYNDSSFWVVDANLQDANSNCPLPRSNHRPFIWGIPGPSYTRELTLQGIWWATFVNCSQAVKNNNKYIHVDCLNTSSSFVYVLNVAPYYALMENLEPSCGYLAMIPVSWTPASGKKYQYFAELMRKGFSVRFPFVLDHPTAFGLIKQCLNRSIRGFREEPFSTTAHVKNRIADIVFMDLIFWACIIGKTSQTSDYFETPLYMVEMLHGKRIFYSGFVLQFVMWTFKWIHVICRFVLAPLAVLTFLAQRYWKTRITIDAVEKFLRMQLMLGPTRYTYTDIIAMTSHFRDKLGQGGYGSVFKGVILPGDVYVAIKMLDNYNCNGEEFISEVSTIGSIHHVNVVRLVGFCAEEMRRALVYEYMPHGSLDKFIFAPEKSFSWDKLNEIALGIARGINYLHQGCDVQILHFDIKPHNILLDSNFVPKVADFGLAKLCPRDNSYVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSKQDMSSSSQSYYPSWVYNQLVQQKMGEIANAFNMHELEKKLCVVGLHCIQMKPPDRPTMSEVIEMLEGDVDGLQLPSRPFFCDDEPLPLLVDSYRFSSELTEISEEDD >ONIVA01G00990.2 pep chromosome:AWHD00000000:1:654108:671289:-1 gene:ONIVA01G00990 transcript:ONIVA01G00990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRKGVLAGVVQGQQWCQPFSCGHLSNISHPFRRRGDPHRCGVSSYELECSSGKATTQINTGTYYVTSINYNDSSFWVVDANLQDANSNCPLPRSNHRPFIWGIPGPSYTRELTLQGIWWATFVNCSQAVKNNNKYIHVDCLNTSSSFVYVLNVAPYYALMENLEPSCGYLAMIPVSWTPASGKKYQYFAELMRKGFSVRFPFVLDHPTAFGLIKQCLNRSIRGFREEPFSTTAHVKNRIADIVFMDLIFWACIIGKTSQTSDYFETPLYMVEMLHGKRIFYSGFVLQFVMWTFKWIHVICRFVLAPLAVLTFLAQRYWKTRITIDAVEKFLRMQLMLGPTRYTYTDIIAMTSHFRDKLGQGGYGSVFKGVILPGDVYVAIKMLDNYNCNGEEFISEVSTIGSIHHVNVVRLVGFCAEEMRRALVYEYMPHGSLDKFIFAPEKSFSWDKLNEIALGIARGINYLHQGCDVQILHFDIKPHNILLDSNFVPKVADFGLAKLCPRDNSYVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSKQDMSSSSQSYYPSWVYNQLVQQKMGEIANAFNMHELEKKLCVVGLHCIQMKPPDRPTMSEVIEMLEGDVDGLQLPSRPFFCDDEPLPLLVDSYRFSSELTEISEEDD >ONIVA01G00980.1 pep chromosome:AWHD00000000:1:651610:699586:1 gene:ONIVA01G00980 transcript:ONIVA01G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSTCCTHHLLPLLLLAAIVATTTTNHAAAAATLNITNLCPFTVWPAAVPVGGGRRLDPGTSWALDVPAGAAPGRVWARTGCTFDATGTNGTCLTGDCGGALSCTGYGEPPQTLAEFSLGQADGQDLFDISLVDGFNVPMDFLPAPPPDQSPPCSKGPRCPANVTAQCPGELRAHGGCNSACRVFKQDKYCCTGNGTNTCEPTTYSLPFVRMCPDAYSYSRNDASSPGFTCPQGKKVIAGIIIASVIGSTSVLTMVMAYTSIKRRTRRRREIHEEEQELEEITLQGMPRRFTFQQLQEATDQFRDKLGEGGFGSVFLGRIGGERVAVKRLDRSGQGMREFLAEVQTIGSIHHINLVRLIGFCAEKSQRLLVYEHMPKGSLDRWIYHQQGVAIVPSVPPLDWQTRHKIITQVAKGLSYLHEECTKRIAHLDVKPQNILLDDKFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNVDTSRSEQSIHLITLLQEKVKSDQLVDLIDKDNNDMQVHEQEVKEMMKLAMWCLQIDCKRRPQMSEVIKALEGTISIETNIVHDFVAVNPLWAQLHLLPQIYPDPEHLNIVSVEIAVLANLILCTKMSKVIATTLLLSVISHGAYPAMGSAWEEEDFARNCPPSRCSGSGPEIRFPHQLESSNSSSSSCSASCARLACSGPDTILHHPFLGPCKVTAIDYKKAVFQIIPLVDSLSSCPLEKLIIDNLPEPDYRSRRCSLYNAHPGKIVCCSKALTPSMSPTNDYDGNIADHAVLGPISCLSDPSHFSYLVDAYEDIYSLPLDCKAVSKGIVPISGAVTADGPTFKQLAERILNFAETNVSWSEGGIPDNCVQCEQQGQRCAFSLQRNQTFCMGHKPHGSRVKVIAATSSVAAFVVISLVVATAIYLSLKLRYNEEVHLKVEMFLRTYGTSKPTRYTFSEVKKIARRFKEKVGQGGFGTVYKGKLPNGVPVAVKMLENPTGDGEEFINEVATIGTIHHTNIVRLLGFCSEGTRRALVYELMPNESLEKYIFLRDPNTQELLSPDKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCPRDQSIITLTKARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRSWDPSIENQNEVYFPEWIYEKGNDRRRETNGETAGPCGTVVYSVEPKKSALNDKGCKHDNWKVAEHTATLNITNRCSFTVWPAAVPVGGGMRLDPGESWALDVPANSGAGRVWARTGCSFDANGNGSCQTGDCGGVLKCKNSGKPPQTLAEFTVDQTSVQDFFDISLTDGFNVPMDFLPVPAPEQRHGAPPCSKGPRCPANITSQCPSELKAPGGCNSACNVFKQDKYCCTGTTGTKTCEPTTFSLPFVRMCPDAYSYSLDDSSSTTFTCPSGTNYQIIFCPPTDLTSSSPVPPPAPIAIGPSGLDSSSKRGGRLVATIVVSVIGSTSVLTIIIASIIIIKRRIRRHQEMQEEEQEFEELPLQGMPRRFTFQQLQEATDQFRDKLGQGGFGSVFLGQIGGERVAVKRLDQSGQGMREFMAEVQTIGSIHHINLVRLIGFCAEKSQRLLVYEHMPKGSLDRWLYHQQGSPAPALDWWTRYKIITQVAKGLSYLHEECMMRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEMISGRKNLDTSRSEQSIHLITLLQEKVKGDQLADLIDKHSNDMQVHRQEIIEMMKLAMWCLQIDCKRRPQMSEVVKVLEGTTSIETDIDHDFVATNPVSFGVAGIVDSDPPQVMMKTSSRLVHPSGAASMDQRSGPRVTIIAATSSVGTFIVLSLIVATALYISLKSRYNEEIHLKVEMFLKTYGTSKPTRYTFSKVKKIARRFKDKLGHGAFGTVYKGELPNGVPVAVKMLENSVGEGQEFINEVATIGRIHHANIVRLLGFCSEGTRQALIYELMPNESLEKYIFPHGSNISRELXXXXDTILCCNFLAN >ONIVA01G00980.2 pep chromosome:AWHD00000000:1:651610:673975:1 gene:ONIVA01G00980 transcript:ONIVA01G00980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSTCCTHHLLPLLLLAAIVATTTTNHAAAAATLNITNLCPFTVWPAAVPVGGGRRLDPGTSWALDVPAGAAPGRVWARTGCTFDATGTNGTCLTGDCGGALSCTGYGEPPQTLAEFSLGQADGQDLFDISLVDGFNVPMDFLPAPPPDQSPPCSKGPRCPANVTAQCPGELRAHGGCNSACRVFKQDKYCCTGNGTNTCEPTTYSLPFVRMCPDAYSYSRNDASSPGFTCPQGKKVIAGIIIASVIGSTSVLTMVMAYTSIKRRTRRRREIHEEEQELEEITLQGMPRRFTFQQLQEATDQFRDKLGEGGFGSVFLGRIGGERVAVKRLDRSGQGMREFLAEVQTIGSIHHINLVRLIGFCAEKSQRLLVYEHMPKGSLDRWIYHQQGVAIVPSVPPLDWQTRHKIITQVAKGLSYLHEECTKRIAHLDVKPQNILLDDKFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEIISGRKNVDTSRSEQSIHLITLLQEKVKSDQLVDLIDKDNNDMQVHEQEVKEMMKLAMWCLQIDCKRRPQMSEVIKALEGTISIETNIVHDFVAVNPLWAQLHLLPQIYPDPEHLNIVSVEIAVLANLILCTKMSKVIATTLLLSVISHGAYPAMGSAWEEEDFARNCPPSRCSGSGPEIRFPHQLESSNSSSSSCSASCARLACSGPDTILHHPFLGPCKVTAIDYKKAVFQIIPLVDSLSSCPLEKLIIDNLPEPDYRSRRCSLYNAHPGKIVCCSKALTPSMSPTNDYDGNIADHAVLGPISCLSDPSHFSYLVDAYEDIYSLPLDCKAVSKGIVPISGAVTADGPTFKQLAERILNFAETNVSWSEGGIPDNCVQCEQQGQRCAFSLQRNQTFCMGHKPHGSRVKVIAATSSVAAFVVISLVVATAIYLSLKLRYNEEVHLKVEMFLRTYGTSKPTRYTFSEVKKIARRFKEKVGQGGFGTVYKGKLPNGVPVAVKMLENPTGDGEEFINEVATIGTIHHTNIVRLLGFCSEGTRRALVYELMPNESLEKYIFLRDPNTQELLSPDKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCPRDQSIITLTKARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRSWDPSIENQNEVYFPEWIYEKGNDRRRETNGETAGPCGTVVYSVEPKKSALNDKGCKHDNWKVAEHTATLNITNRCSFTVWPAAVPVGGGMRLDPGESWALDVPANSGAGRVWARTGCSFDANGNGSCQTGDCGGVLKCKNSGKPPQTLAEFTVDQTSVQDFFDISLTDGFNVPMDFLPVPAPEQRHGAPPCSKGPRCPANITSQCPSELKAPGGCNSACNVFKQDKYCCTGTTGTKTCEPTTFSLPFVRMCPDAYSYSLDDSSSTTFTCPSGTNYQIIFCPPTDLTSSSPVPPPAPIAIGPSGLDSSSKRGGRLVATIVVSVIGSTSVLTIIIASIIIIKRRIRRHQEMQEEEQEFEELPLQGMPRRFTFQQLQEATDQFRDKLGQGGFGSVFLGQIGGERVAVKRLDQSGQGMREFMAEVQTIGSIHHINLVRLIGFCAEKSQRLLVYEHMPKGSLDRWLYHQQGSPAPALDWWTRYKIITQVAKGLSYLHEECMMRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEMISGRKNLDTSRSEQSIHLITLLQEKVKGDQLADLIDKHSNDMQVHRQEIIEMMKLAMWCLQIDCKRRPQMSEVVKVLEGTTSIETDIDHDFVATNPVSFGVAGIVDSDPPSSSP >ONIVA01G00970.1 pep chromosome:AWHD00000000:1:646818:653143:-1 gene:ONIVA01G00970 transcript:ONIVA01G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIQELNIQGYLLKLLLLFMYLPAPSCSSLDAGVSHNHATAIDAGDEDVRSIGGQKMICSTLSYKNPKNS >ONIVA01G00960.1 pep chromosome:AWHD00000000:1:646536:649164:1 gene:ONIVA01G00960 transcript:ONIVA01G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFILSGQQCITHCFDYFPQKLTTAISGALFLPGIFQTLSILAVLGVLVTKSGAADFQEQPACVPFSCGHLEDIRYPFRLQGDPLGCGDEAYELVCRDGRAIIHINTGKYFVTDISYNESRFWVVDANLDNSSCPLPLWNNLPYFNDMGTKLYTSAVRWATFLNCSRAINNGMYMPVACLSGNTSFVYVLTTSSSYYVQNIEPSCGYLAVIPVDDHTKNVPDYASYADVVKFMRNGFPVLFPRVESPSHSPVIKACARDTFQNFKEQMSSRNIQNWTSAIIGTELQFLGCVINYYSSATQVWVTLVLVFAVEIVKCIIVLCRFILAPLTVLTFLGYKYRKTRISIDAVEKFLRMQQAHGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMPCGSLDKYIFSPEKNLSWDKLNEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSNFTPKVADFGLAKLYPRDDSLVPVSAARGTIGYIAPEMISRSFGTISCKADVYSFGMLLLDIAGGRRNREQNTSNSAHLYYPALVYDCLTQQEVSEISEDVGIHWVERKLCIVGFWCIQMKPAERPSMSEVVEMLESDDPDNLQVPPRPFFGVDDHISEMDDCCHSSSKSSAISEDD >ONIVA01G00950.1 pep chromosome:AWHD00000000:1:643868:646312:-1 gene:ONIVA01G00950 transcript:ONIVA01G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLVTALLFSLLNYGAVMATESDEADFFRNCPPSRCSSDGPDIKFPFRLESSSSSCGAPGMQLSCSGQDTLLLHHVLGLSKVTGIDYIYGVINIVPLAESWSQCALQKIISANYSTSVYKQYGFQYASLVSCSEEFIWDSADSIFGPISCLSNASQSLYLVAPYAFVSILPLYCKVVSTEIMIPYTSNQGRKDYNASASTEFNESAKRITTFSEITFTWSSPNITDVCIDCERQQRLCGFSSQRRTAFCKPHGSKSPAKVIIVAVSVPTIVVLTLVVASALYLSLKTKNDDEIQLKVEMFLKTYGTSKPTRYTFSEVKRITRRFKHKLGTGGFGSVYKGELSKGVPVAVKMLENSKGEGEEFINEVATIGRIHHVNVVRLLGFCSEGTRHALIYEFMPNNSLEKYIFSRDYISSQEVLVPDKMLKIALGIAQGIEYLHQGCSQRILHFDIKPHNILLDHSFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAVSYKSDVFSFGMLVLEMLSGKRNSDPSINSQNEVFVPEWIYETIVSAQESEFAKDMTQEEKEKLRKLAIVALWCVQWNPANRPSMRKVVNMLTGSLQNLKNPPRPFVSSLS >ONIVA01G00940.1 pep chromosome:AWHD00000000:1:641901:644358:1 gene:ONIVA01G00940 transcript:ONIVA01G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRANDEHFLEDAAALRRTKSIEGAGRQSRSIAGGGITAGRLSQAVAASFSTPAPPEAEHRGIAAAAAAAVLQMKGGRERRFISEMERTVVAGVDRLNASHGALSRLLMVPDPLALADLGGACSSGDADGPWQRRRQSDYHYNQTKPMLLLLLRQAASEQVEVLPAPELCKAKVRNLWAEAVV >ONIVA01G00930.1 pep chromosome:AWHD00000000:1:635882:636325:1 gene:ONIVA01G00930 transcript:ONIVA01G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKTTMPQVALQLKDLVVDSISAVLTAPICSFLAPTLRELGIKDDVDRVSSFSDEQEWALELLVSLKKLSFDGLWVLQSLPEGSALEEESKRFREEKQRYYSESDD >ONIVA01G00920.1 pep chromosome:AWHD00000000:1:632081:634474:1 gene:ONIVA01G00920 transcript:ONIVA01G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGAANWVVGMVLNKLSDELMASRELGLNMDQIKRDLNYMLALLQAAEGRDIADNLADLCNKADEAEDVLDELHYFVIRDELDGTREATPDLGDGLGAQLQHARHAARNTAAGNYWLSCFSSCCRSQSQQDDTVTGNNRNSSMAISKTEEHDQSGANGHIQESLFDRVAMSKKIKSLIQDVHSLCPPISDLLQKCSPCVPPSMERPNTSSVITQKKLYGRDAIFDQTMEQLLKGGAMHHTQNYIMSVLPIVGPGGVGKTTFAQHLYNDHRTKQHFTVMIWVCVSTTFDVTELTTKILNSLNATESQGTNIRESSLDQLHKSIQDKLKSKRFLIVFDDIWEHDFSKAASTKRFSKTEWEKLLAPFGTGETNGNMVLVTTRFPKVAETVKKGANQVDLHGLEPDEFWDFFQLCAFSETQDDNDKEKLFDIGKQIAKKLKCSPLAAKTVGPLFRKKPTRKHWMEILEKEEWLKQKDGDDSIITALKISYDYLPFYLKRCFSYLALFPEDYEFDSLEISCYWDSIGIINSSGKNDTIEGIGSQYLNELYDNGFLMKGDDNHYVMHDLLHELSQIVSSRECANINYSSFRADDILPSIRHLSITIQDKYTESFKEEMEKIKKRVDIRNLRSVMIFGSYRSRRIANVVRDTLNEIRALRVLFIFMNSPHSLPDNFSKLVHLRYLKIGSPWGFKVCIPSTVSKLYHLKFLDLKSWGGSNNNLPNDFNRLINLRHFLAKKEFHSNVPEVGKMKCLQELKEFHVKKDKIGFELAQLGQLEQLRGSSVYLALKMQLGKKLLKLN >ONIVA01G00910.1 pep chromosome:AWHD00000000:1:624903:625133:1 gene:ONIVA01G00910 transcript:ONIVA01G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKKPTAAAAALILILCAVMQAQVEARSMKRAPPPPAAHRAVNEDGTLPPAASPSPPPPLAAADAPPAGVYILS >ONIVA01G00900.1 pep chromosome:AWHD00000000:1:609236:624512:-1 gene:ONIVA01G00900 transcript:ONIVA01G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEARINLARSHEAHLSATGFVVVVSILGRLRWSSSIATSDRYIAGGPTLEGQWREYTRAKAVLAPAVADANSRWTRVRSSMESGPDQVSDKKINAKKITERKGGDPAVAVAVNHGVVVVFFLNKKAMD >ONIVA01G00890.1 pep chromosome:AWHD00000000:1:605779:609090:-1 gene:ONIVA01G00890 transcript:ONIVA01G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03440) TAIR;Acc:AT3G03440] MESPPTAAAAAAAAERPSEAAALRALVDRVRAGEVEAAREVRRLTRSSSRHRRKLAAAVEPLVAMLRSPAPDAGEAALLALLNLAVRDERNKTKIVDAGALEPLLGYLQSSDLNLQEYATAALLTLSASSTTKPIISASGAIPLLVKVLKEGNSQAKNDSVMALYNLSTVTDNLQTILSVQPIPSLIELLKGGKRSSKTADKCCALLESLLSFDQGRAALISEEGGVLTIVEVLEEGSLQGREHAVGALLTMCESDRNKYRDIILNEGAIPGLLELTVHGTPKSRVKAHVLLDLLRNSPYSRSKLPADTLENIVSNIASQIDGEDRGGKAKKMLAEMVKVIGTFCNGSRHSGNNEFAGDAGPGLDRTE >ONIVA01G00880.1 pep chromosome:AWHD00000000:1:603065:604024:-1 gene:ONIVA01G00880 transcript:ONIVA01G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDHAGKKVDVVVVGNVDGEHVGVEQARHDPHEEAATAADHHATRGLAIGFLIREVMVEGLASFLVVFWSCVAALMQEMYGTLTFPMVCLVVAMTVAFVLSWLGPAHFNPAVTITFAAYRRFPVWPKLPLYVAAQLAGSLLACLSVNAVMRPRHDHFYGTAPVVVHGTRLPFLMEFLASAVLMIVIATVATDGTAGKTVGGIAIGAAVGGLGLVIGPVSGGSMNPARTLGPAIVLGRYDGVWIYVVAPVAGMLVGALCNRAVRLSHRIVAFLCGTSVGIAGSP >ONIVA01G00870.1 pep chromosome:AWHD00000000:1:598296:601449:-1 gene:ONIVA01G00870 transcript:ONIVA01G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNVAILVGSGILGSVLVGGDAKLPSAGEVLSGAAKFVKKHGNEGKDTSSNTDAHTAQLLSQVNHLRQEIQSLGSRPVTVVTNAARSGPGTFTITVVAVAGAVGYAYIKWKGWKLSDLMFVTKRGLSDACNVVGSQLDKVSDDVTSARKHLAGRIDRVDISLDETQEIIEGTRDEVTVIHGDLSAFQEDLQSVNLVVRSLESKLVSLEYTQVPAASVPPAIGSSERVVRRVSSLPQSTALPVLPTTTPAAEPSPRAEAPQEEQWGFVSRTSSCREGSGRFQEQRSVVTRTSSIREGSPESSNGASSSTGASTGTNTSTSRFGGLRLPGLGFLASSTR >ONIVA01G00860.1 pep chromosome:AWHD00000000:1:591729:596313:-1 gene:ONIVA01G00860 transcript:ONIVA01G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAAITNPSAAAAAAAVAANPIVLTPGAAPPPPTSSALPTQIPPSDWSLSPADPALATAASFLSTSLSSTSVSLPRFRSLLASFLTTLSNSLSLPAPSPNLPQAIRSVSPYFPAALASPVASRAASLAEYDVLLALAECGLLRHPPPSLLSSLSEADRPELVCAVVRQAADLRSSELLATLRCFLSPASDAAYDAMMSVKNRWKEAAVLAVNRCKEKGAGKKVDAMARQAALLLMMGYDGFTSPEVCLHYLFASENVDSVVFGAAISELDGGEVVRLMKYLTKWIGKYQRFPEAQACPEAVGMPGLELCDIVPSFRVVAGALGLVFDQHFSHLALNAELKEDLKAAEMMVKQLATEAESAGPILDLLRRLSLTVLPLRYRYLIKRPPPAAAAAAMA >ONIVA01G00860.2 pep chromosome:AWHD00000000:1:591670:596313:-1 gene:ONIVA01G00860 transcript:ONIVA01G00860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAAITNPSAAAAAAAVAANPIVLTPGAAPPPPTSSALPTQIPPSDWSLSPADPALATAASFLSTSLSSTSVSLPRFRSLLASFLTTLSNSLSLPAPSPNLPQAIRSVSPYFPAALASPVASRAASLAEYDVLLALAECGLLRHPPPSLLSSLSEADRPELVCAVVRQAADLRSSELLATLRCFLSPASDAAYDAMMSVKNRWKEAAVLAVNRCKEKGAGKKVDAMARQAALLLMMGYDGFTSPEVCLHYLFASENVDSVVFGAAISELDGGEVVRLMKYLTKWIGKYQRFPEAQACPEAVGMPGLELCDIVPSFRVVAGALGLVFDQHFSHLALNAELKEDLKAAEMMVKQLATEAESAGPILDLLRRLSLTVLPLTSSCCCSSSNGVKLRGNGTARPPNLITEQCQ >ONIVA01G00850.1 pep chromosome:AWHD00000000:1:589437:590042:1 gene:ONIVA01G00850 transcript:ONIVA01G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAELTKGAPAITPSSPLLFFYLATTSLDYTTTSLSLSLAAMASYRLLVILVFSALVALAAGDTYPADCPYPCLLPPPTPVTTDCPPPPSTPSSGYSYPPPSSSSSNTPPSSSSYWNYPPPQGGGGGYIPYYQPPAGGGGGGGGFNYPAPPPPNPIVPWYPWYYRSPPSSPATAVTARGRSLLASVAVVTAAAAALITVF >ONIVA01G00840.1 pep chromosome:AWHD00000000:1:583576:584097:1 gene:ONIVA01G00840 transcript:ONIVA01G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLQLPCSLLLVIMLVAATAAAADVVVRDDEKCAACGLPSPCGTTCTYASPPPPDVLPTPVYYPPPPPVYYPPPSPPPVAYPPPTTPSTNCPPPPYGGGGYNPTPSYNPTPGYNPTPSGWFTPPNMPSYLTPPGTLYPQDPGFRPNAAAGGAASWRAVVLAAAAVAGALAL >ONIVA01G00830.1 pep chromosome:AWHD00000000:1:581771:582966:1 gene:ONIVA01G00830 transcript:ONIVA01G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRPILLAAAAAVAVVSLWPAARVVADIDPSSGMPSPPATTSPFPFCPTTPAGISTQPFPWAEPSPTTTTTMFPQDPGFLASAACHARTAALAWIPVVLEVICHLSIYRESTS >ONIVA01G00820.1 pep chromosome:AWHD00000000:1:581704:582123:-1 gene:ONIVA01G00820 transcript:ONIVA01G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTIHCKNTKKAENTNTTGIHASAAVLAWHAADARNPGSCGNMVVVVVGDGSAQGNGCVEIPAGVVGQNGNGEVVAGGDGIPDDGSMSATTRAAGHRDTTATAAAAASKIGRRDGIFALLLERICAKRRSELTNPPS >ONIVA01G00810.1 pep chromosome:AWHD00000000:1:580848:581686:-1 gene:ONIVA01G00810 transcript:ONIVA01G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFAALRDVGDRPMPIGRLPVLAVERRQNDRLHFTSDRSMNQVTVRARQCIVQSVQHQCTFS >ONIVA01G00800.1 pep chromosome:AWHD00000000:1:578570:579100:-1 gene:ONIVA01G00800 transcript:ONIVA01G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAILLHLHSDETVPLLVVIVAAVAAVALVIVSLCTSSKHGKLWKQQQQRSGSSLPQLKAEESAGGGGGASRKQLLATLSGIGGKAAAMAKMVSWNRRSSRQGCSSDDDDEAGGGGLAVEEEEEALWRKTIMMGDKCRPLQFSGHIAYDSDGNQLPATTISKEAADADAVNNIYV >ONIVA01G00790.1 pep chromosome:AWHD00000000:1:570607:573662:1 gene:ONIVA01G00790 transcript:ONIVA01G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVDPLVVGRVIGEVVDLFVPSISMTAAYGDRDISNGCLVRPSAADYPPLVRISGRRNDLYTLIMTDPDAPSPSDPSMREFLHWIVVNIPGGTDASKGEEMVEYMGPRPTVGIHRYVLVLYEQKARFVDGALMPPADRPNFNTRAFAAYHQLGLPTAVVYFNSQREPANRRR >ONIVA01G00780.1 pep chromosome:AWHD00000000:1:562067:563465:-1 gene:ONIVA01G00780 transcript:ONIVA01G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASSKRGMLLPREAVLYDDEPSMPLEILGYHGNGVGGGGCVDADYYYSWSGSSSSSSSSVLSFDQAAVGGGGGGCARQLAFHPGGDDDDCAMWMDAAAGAMVENTSVVAGGGNNYCHRLQFHGGAAGFGLASPGSSVVDNGLEIHESNVSKPPPPAAKKRACPSGEARAAGKKQCRKGSKPNKAASSSSPSPSPSPNKELPQSAAAKVRRERISERLKVLQDLVPNGTKVDLVTMLEKAINYVKFLQLQVKVLATDEFWPAQGGKAPELSQVKDALDAILSSQHPNK >ONIVA01G00770.1 pep chromosome:AWHD00000000:1:556274:559756:1 gene:ONIVA01G00770 transcript:ONIVA01G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTQPKPVVEGVVRQRRAGWVSRSDSGRKPNPSSLAGWLDPNLPSAPAPRLAPPCAACGGGHVQSALRQLSPRLGSPATPSARSPRWKRKQLEVCDAGNASHVLGLVESNF >ONIVA01G00760.1 pep chromosome:AWHD00000000:1:553200:555888:1 gene:ONIVA01G00760 transcript:ONIVA01G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRVVVVVEDVGAARAALQWAVRNFIRAGDCITLLHVCPPARSRRRRRSLRLGGFQLALAFRELCNGIAEAKVEIVVREGEVGETVVATVNQLAATTLVVGLHDKSFLYRSTSPYERMRRVGCRVLGIRQHATARDGSFNAELTQIETINLHVPPPKIPFPMFTLPLGVLWRKRSKAKKRK >ONIVA01G00750.1 pep chromosome:AWHD00000000:1:546895:551809:1 gene:ONIVA01G00750 transcript:ONIVA01G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPSSSTSSSAGPPAAAGSSGGGAAASPDSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGVQIPASTKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPASASSSLPSAGSAVLPDLSSQAAQYGLQRPSFQSNIPLYQPGSAPWGSSAPPSAGNASGLSVPPMYWQGYYPPGGLPPHLQQPPLLQPGLSVPQGLQYAGLNPTLSSGPQKLSELHPPLLQPPGTTQGPSSGILPTTTAPSSANLLSPETSKPLLPNMGPLFTPPVPSVGATLPLASLPTSIAESSAMAPHNFSSLVSNKTADIPGSTLAYQSVSQAVSSTVASSSSAHMDMPVPLLASSGQLLQNAPSMLSSSQSMQTPLQMSSKDFKAVESKTRVVEPLLPDPPSRALPENNEPILPLPKQTPQKFFSSTSKTRNQSLLVCKHMLKHKLVIHSISVHILVEFIIARINFEIMIYGSSTMELVHTAITTTGAVEEVEAARSLTIVLQFLLSLVFAAPLAIFSQSVTNFTEEFDFMAMNEKFNKDEVWGHLGKKSHSRDKDGELGDDVFDEDLEDEETENPELAAKPVYVKDDFFDSLTSGTFGRGGQNGRSRFSEQRKLDTETFGDFPRHRQPYRGGGRGYRGGGRARGSYYGGRGYGSMGARGGQGNSYPHRGSY >ONIVA01G00740.1 pep chromosome:AWHD00000000:1:544739:545756:-1 gene:ONIVA01G00740 transcript:ONIVA01G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G74070) TAIR;Acc:AT1G74070] MSHQILHTSNPTLPTPPQPHNHHPPVPPPPKLGRRAALAIAAAPAILSATPAPSRAQEAAAAAAAPCIADLPVTAKAFLDVSIGGEPAGRITIGLFGDAAPAGASRFLSLVTGVGYRRKEFVKIVPGYVQHGGVVSYPAIPAVTERLAAEMGAVRAQCGGERSPHAAAGAVSIVVRDPSLPPPKPKLVARGGKLEVDQEQVGVVPNGTEFVITTGDAPELDASALVVGRVVDGMDVLGKIAAVPTVKDNTASPYFRVAKLIGDKRAVVAERGFNRPYTKILITNCGVIEQQQEQ >ONIVA01G00730.1 pep chromosome:AWHD00000000:1:544032:544514:1 gene:ONIVA01G00730 transcript:ONIVA01G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGFIATTCNKTHNAKCVAVLTANPDSADASTVSDLAGVALDLAVAAASDAGALINDRSSRYGGGAPEGEALRACSGAYFDAANDLDIDAHDSLGSGDYAAASRLVSGASGAADTCDAALAAAKVSSVMADVDQKMKDRCGVARDLINLLIKFPPSDN >ONIVA01G00720.1 pep chromosome:AWHD00000000:1:535170:537642:-1 gene:ONIVA01G00720 transcript:ONIVA01G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPQSRKLLQLQALSLLLFIIALHSRLHGCSGQGEAADGSASTAAAPMEEKEKRALYAAIEGFVGKGWNGSALYPDPCGWSPIQGVSCDLFNGLWYPTVMSIGPVLDNSLQCSADAKFSPQLFDLKRLKTLSFYSCFPATNPTPIPATSWDKLAGSLETLEFRTNPGLTGPIPASLGRLSSLQSLVFVENNLTGAVPAELGSLVRLRRLVLSGNGLSGQIPASLGGLKGLLKMDLSNNLLQGSLPPELAGLGSLTLLDLRNNSFTGGLPSFLQGMASLQDLLLSNNPLGGSLGQLGWERLRGLATLDLSNLGLVGAIPESMAALTRLRFLALDHNRLTGDVPARLAELPNIGALYLNGNNLTGTLQFSPAFYQRMGRRFASWDNPGLCYSNAAVDAAHAPPGVTVCKVAGGVGDGRKPEASSSLMATSSASNLINGFCFFLWMVATSLL >ONIVA01G00710.1 pep chromosome:AWHD00000000:1:520788:530459:-1 gene:ONIVA01G00710 transcript:ONIVA01G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase 4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/Swiss-Prot;Acc:Q8GVE8] MTDTTDDIAEGISFQAFEDDCRLLGSLLHDVLLRELGPRFIHLLERITILAQSAVNMRSAGVEDTAVVVEKQLGAELAAMSLEDSLCLARAFSHHLNLMTIADTYHRVRKARNFADLSKSCDDTFAKLIQSGVSPEELYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRIAHLLEFNERADLSLEDKEILIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAIPHYLRRVSNALKKNTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSILSQWMAIDLYIRELDTLSFELSINRCSEKLANLANDILLKESASEDQKTNTWNQTGPQNNLKLQHSLALPAQLPSGADLPSCTECNDGESQIRMSKLPGNPKHKLALNITEKREDSPLPSPSHRPMGRTPSGGQLRKMFTESQIGRSSFRKLLEPSISERPGSTPYRVVLGDVKEKLMNTQRRLELLLEDLPCDRDTSEYYDTSDKLLEPLLLCYQSLQSCGSSVLADGRLADLIRRVATFGMVLMKLDVRQESGRHTETLDAITSYLDLGVYSEWDEQKKLDFLTRELKGKRPLVPPYIQVTADVQEVLGTFRVAAELGSDALGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVNDLREAGPAIRKLLSIDWYRDHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNAFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTSVRQLEIYTTAVLLATLRPPQPPRDDKWRGVMEEISRVSCAQYRSTVYENPEFIKYFQEATPQAELGYLNIGSRPAKRRTTPGISNLRAIPWVFAWTQTRLVLPAWLGVGRGLQDACDKGHTHELRAMYEEWPFFQSTVDLIEMVVAKADAPMAKHYDDVLVHDAGRRALGAELRQELARTENCVLAVEVLRRLRQDDDNRKLRDALLITINGIAAGMRNTG >ONIVA01G00710.2 pep chromosome:AWHD00000000:1:520788:530459:-1 gene:ONIVA01G00710 transcript:ONIVA01G00710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase 4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/Swiss-Prot;Acc:Q8GVE8] MTDTTDDIAEGISFQAFEDDCRLLGSLLHDVLLRELGPRFIHLLERITILAQSAVNMRSAGVEDTAVVVEKQLGAELAAMSLEDSLCLARAFSHHLNLMTIADTYHRVRKARNFADLSKSCDDTFAKLIQSGVSPEELYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRIAHLLEFNERADLSLEDKEILIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAIPHYLRRVSNALKKNTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSILSQWMAIDLYIRELDTLSFELSINRCSEKLANLANDILLKESASEDQKTNTWNQTGPQNNLKLQHSLALPAQLPSGADLPSCTECNDGESQIRMSKLPGNPKHKLALNITEKREDSPLPSPSHRPMGRTPSGGQLRKMFTESQIGRSSFRKLLEPSISERPGSTPYRVVLGDVKEKLMNTQRRLELLLEDLPCDRDTSEYYDTSDKLLEPLLLCYQSLQSCGSSVLADGRLADLIRRVATFGMVLMKLDVRQESGRHTETLDAITSYLDLGVYSEWDEQKKLDFLTRELKGKRPLVPPYIQVTADVQEVLGTFRVAAELGSDALGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVNDLREAGPAIRKLLSIDWYRDHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNAFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTSVRQLEIYTTAVLLATLRPPQPPRDDKWRGVMEEISRVSCAQYRSTVYENPEFIKYFQEATPQAELGYLNIGSRPAKRRTTPGISNLRAIPWVFAWTQTRLVLPAWLGVGRGLQDACDKGHTHELRAMYEEWPFFQSTVDLIEMVVAKADAPMAKHYDDVLVHDAGRRALGAELRQELARTENCVLAVSGHKKLSANNRSLRKLIDSRLTYLNPMNMLQVEVLRRLRQDDDNRKLRDALLITINGIAAGMRNTG >ONIVA01G00700.1 pep chromosome:AWHD00000000:1:512796:515801:-1 gene:ONIVA01G00700 transcript:ONIVA01G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPSPGTATPATAAAAPSTATPTPATQPNATPADPSITPPAASPPLPSAATPPPQPDSPPPSPPPGPPVAVPPPATVPPPPVPVASPPPTPSATLPPPSPPASVPVPPTPATTPPKPSPVQQPPVAASPPPSSPADLPPPNPPARSDTPPVVQSPPPPHRRSPRTPWAPPMAPSPSGSPTKPSPASPSPIAGDPIIPTPNNPSSPLATPSAPGSGTPVVTPSAPVSGPPSPGTAPATAADRSNKSLSPNTQDGSVSSSDGGMSSSAKAGIGVVVAILVLSLVGAAFWYKKKRRRATGYHAGFVMPSPASSPQVLGDELSKYNSFFAQGKTNYSAGSPDYKETMSEFSMGNCRFFTYEELHQITNGFAVKNLLGEGGFGSVYKGCLADGREVAVKKLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISEDQRLLVYDFVPNDTLHHHLHGRGMPVLEWSARVKIAAGSARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVADFGLARLAMDAVTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTEAIETGNVGELIDSRLDKNFNEAEMFRMIEAAAACIRHSASRRPRMSQVVRVLDSLADVDLSNGIQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFTQSSWDSRSRDVDASGSRPL >ONIVA01G00700.2 pep chromosome:AWHD00000000:1:512796:515801:-1 gene:ONIVA01G00700 transcript:ONIVA01G00700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPSPGTATPATAAAAPSTATPTPATQPNATPADPSITPPAASPPLPSAATPPPQPDSPPPSPPPGPPVAVPPPATVPPPPVPVASPPPTPSATLPPPSPPASVPVPPTPATTPPKPSPVQQPPVAASPPPSSPADLPPPNPPARSDTPPVVQSPPPPHRRSPRTPWAPPMAPSPSGSPTKPSPASPSPIAGDPIIPTPNNPSSPLATPSAPGSGTPVVTPSAPVSGPPSPGTAPATAADRSNKSLSPNTQDGSVSSSDGGMSSSAKAGIGVVVAILVLSLVGAAFWYKKKRRRATGYHAGFVMPSPASSPQVLGKTNYSAGSPDYKETMSEFSMGNCRFFTYEELHQITNGFAVKNLLGEGGFGSVYKGCLADGREVAVKKLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISEDQRLLVYDFVPNDTLHHHLHGRGMPVLEWSARVKIAAGSARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVADFGLARLAMDAVTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTEAIETGNVGELIDSRLDKNFNEAEMFRMIEAAAACIRHSASRRPRMSQVVRVLDSLADVDLSNGIQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFTQSSWDSRSRDVDASGSRPL >ONIVA01G00690.1 pep chromosome:AWHD00000000:1:507478:511564:1 gene:ONIVA01G00690 transcript:ONIVA01G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHSDSDLLKPRDVCIVGVARTPIGALLGSLSSLPATKLGSVAIQAALRRANVEPALVQEVFMGNVLSANLGQAPARQAALGAGLPDTVPCTTVNKVCSSGMKAVMLAAQTIQLGMHDVVVAGGMESMSNAPKYVAAARRGSRFGHDVLIDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDLYAIQSNERAIAARDSGAFSWEIAPVEISSGRGKPPLIVDKDESLAKFNSAKLRKLGPTFKKNGSVTAGNSSSISDGAAAIVLVSGQKAKSLGLQVIARIRGYADAAQAPELFTTTPALAIPKAVSNAGLQTSQIDYYEINEAFSVVALANQKLLGIPSGKLNLSGGGVSLGHPIGCSGARIIVTLLGILRHKNGKIGVAGVCNGGGGASALVVELMQPSLFTRSSL >ONIVA01G00690.2 pep chromosome:AWHD00000000:1:507478:510617:1 gene:ONIVA01G00690 transcript:ONIVA01G00690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHSDSDLLKPRDVCIVGVARTPIGALLGSLSSLPATKLGSVAIQAALRRANVEPALVQEVFMGNVLSANLGQAPARQAALGAGLPDTVPCTTVNKVCSSGMKAVMLAAQTIQLGMHDVVVAGGMESMSNAPKYVAAARRGSRFGHDVLIDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDLYAIQSNERAIAARDSGAFSWEIAPVEISSGRGKPPLIVDKDESLAKFNSAKLRKLGPTFKKNGSVTAGNSSSISDGAAAIVLVSGQKAKSLGLQVIARIRGYADAAQAPELFTTTPALAIPKAVSNAGLQTSQIDYYEINEAFSVVALANQKLLGIPSGKLNLSGGGVSLGHPIGCSGARIIVTLLGILRHKNGKIGVAGVCNGGGGASALVVELM >ONIVA01G00680.1 pep chromosome:AWHD00000000:1:502687:504269:-1 gene:ONIVA01G00680 transcript:ONIVA01G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRQWRRGLALAALLALHLAVAAAQSPAAAPAQPTPTPVPTAPAKSPPAPATPAPTATPTPPVAPAKAPPVAPAVAPVTPPPPTPKKAPPPPVTPPPVTPPPVTPPPVSPPPATPPPALPPSTPPPVAAPAEAPAALPPATTPPPVAEAPAELPPAEAPTKSKNKHRKKNKRGKKASAPAPEPLSPPAPAALSPADNQADVSGPAPSAFDLNGSNRQYGQWGFVLQTVMAALLLSLAWISGCSEL >ONIVA01G00670.1 pep chromosome:AWHD00000000:1:496753:503898:1 gene:ONIVA01G00670 transcript:ONIVA01G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKHAFVDYSLLKKDLKRMQHDYSPQGTIITTSTPHDHHQQQQSVAAPSSYNLSHCRLLLHKLPAAFFGSNNADHAGAIQVRRRVGRGEVYETEVTPEMETTAATAAREFFARLDAQLNKVNHFYKAKEEEFLHRGHSLRKQMDIFLDLKSRSSSSLSGHHRAAAGDDPSISSSSATSGAEDESTRYVTSATDTDESQHETAVMRDPEELSAEQGLEDSGSLSRQSVGRTVSSCQRKNLKINIPLTTPCRTISALTDLLRDDLVSQPKNKCDSDAGITFTTINKTKLRHAEKMIKGAFIELYKGLGYLTTYRNLNMMAFVKILKKFEKVSGKQVLSVYLRAVESSYFNSSGEALKLMDEVEDVFVRHFAAGNRRKAMKYLKPTQRKESHTVTFFIGLMTGCFVALFLGYCIMAHIAGMYTQRRDSIYMETVYPVFSMFSLMFLHLFMYGCNMVAWRKARINYSFIFEFAAGRELKYRDVFLVCTASMAVIVGVMFAHLSLAVRGFHAQAIPGFLLLGFLLLLFCPFNMVYRSTRFQFLRILRNIVFSPLYKVVMVDFFMADQLCSQVPMLRSLEYVACYYISGSYRTQEYGYCINTKHIRDLAYAVSFLPYYWRAMQCARRWFDESDTGHLVNLGKYVSAMLAAGAKVAYEKDRSLGSLSLIVIVSSSATMYQLYWDFVKDWGLLQPNSKNPWLRNDLILKSKSIYYLSMGLNLVLRLAWLQTVIHPNFGSLDSRVTSFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFHEADEED >ONIVA01G00670.2 pep chromosome:AWHD00000000:1:503382:504314:1 gene:ONIVA01G00670 transcript:ONIVA01G00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTHGWMDLSNADGAGPDTSAWLSAGDSAAGAGGLNGSGAGAEAFLPRLFFFLCLFLLLVGASAGGSSAGASATGGGVVAGGNAAGASAGAATGGGVDGGRAGGGVAGGGDTGGGVTGGGVTGGGVTGGGGAFFGVGGGGVTGATAGATGGALAGATGGVGVAVGAGVAGAGGDLAGAVGTGVGVGCAGAAAGDCAAATARWSASRAARARPRRHCRSSAIVDDLVVSLSSFVV >ONIVA01G00660.1 pep chromosome:AWHD00000000:1:489075:489716:-1 gene:ONIVA01G00660 transcript:ONIVA01G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFVEELKVEQAKMQGLLDHVDAFPAGGASPTREDYYTAEEIISHGISDMQICRVHKAIVMGSAPLYDAKTMGAILPPPSCPLTTDLAERLALLPPLEQTISYPDCDDVGLEGFAMRVQHAVTALGFAEEALESSFYTFNDAISLIFTKPIPPLAHATKRKARSSIALALRCAAYAHAQATIALTRIAPPLTTTTPANNIAHSNIASNQQHI >ONIVA01G00650.1 pep chromosome:AWHD00000000:1:484895:486558:-1 gene:ONIVA01G00650 transcript:ONIVA01G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARANEMVRADSRMMVVFSALASKSGPLTFEDSLRFVKKVKARNYMLYLSLFDILGRMELSRLEAYRELQLLFQNYPDLHEELEKFRPPVPIKHPANNIWPWLFACAVPLVAVSLIPALGNPVLWFVQQTLGEKMKA >ONIVA01G00640.1 pep chromosome:AWHD00000000:1:474219:483280:-1 gene:ONIVA01G00640 transcript:ONIVA01G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFATGAGQRGGTGGEEEASLLFFFFASPSPRSSPILPSRVSRLAEAISRLSSPRVAFASRCALFAPRSGGLAGASGGQIRRRGSAAAAAAATGRLFCRRRRWFGWVKGGGGGGGRESVYGGMKRARDDALMGSQLKRPNVGRSDPTAQPQHMPLGPASAAAPPPQAAAAPPAQPPAGGATAGQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNTFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKAFLDILNMYRKDNKSIQDVYHEVAVLFADHKDLLEEFQHFLPDTSVPPQAVAPSRPGIRRDDRTSLVPPASRNEKRDKAHPHADRESVDRPDLDHVIQRRRPKDRHDYDRGDKDGELDSKDLDIGLKRKPFPRKMEDPTSADAHHGGPLENHGILGASASLYDNKDALKSVYTQEFHFCEKVKEKLEHDTYQEFLKCLHIYSQEIITRSELKNLVNDILQQHPDLMDGFNEFLEHCENIGQTGRIVKTEERKEGGKGTEKEPDRIEKVPAYKEAPSQKPVFSSKEKYIYKPVSELDLSNCQRCTPSYRLLPKHYPMPPAGNKTELGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFDYVDSNIHEDMYKIIKYSCGEVCSSSDQLDKVVRIWTTFLEPILGVQPRTHGAEDADAVKPKSRTTKSGLATVGEINTTAAGAVAKHGHDENIPQEQTPSSLARMVNGVATDTQNGFHDVDRTARRAEEPSNTAVNGRVQGASPGTNEIPAVSTQNMPTERSAENIPVARTEQHGNAKANLEPTSGVNASRSSHAGNDTAAEARAGNETLPSVEGGETGRSGSTLNGGGASEGNKGRLFNEASASHNTPKVEREEGELSPNGDFEEDNFAPFEDGAVDGVSKAKEGSTSRPFQGRPGEAQPSCAEAAGENDADADDEGEESAQRSTEDSENASEGGEDASGSESGDGEECSREDHDEEEEDMDHDDQDAKAESEGEAEGTTETHDVEGGISLPLSERFLHSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFISALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHEESIYRFECCSNPTKLSIQLMEYGHEKPEVTAVSIDPNFSSYLFNEYLSSMSDRKLSEGVFLERNKRKHSNNDEPSDSLKAMDGVKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRRFSPVGNVPEKLQASKTYAAKSLL >ONIVA01G00640.2 pep chromosome:AWHD00000000:1:474219:483280:-1 gene:ONIVA01G00640 transcript:ONIVA01G00640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFATGAGQRGGTGGEEEASLLFFFFASPSPRSSPILPSRVSRLAEAISRLSSPRVAFASRCALFAPRSGGLAGASGGQIRRRGSAAAAAAATGRLFCRRRRWFGWVKGGGGGGGRESVYGGMKRARDDALMGSQLKRPNVGRSDPTAQPQHMPLGPASAAAPPPQAAAAPPAQPPAGGATAGQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNTFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKAFLDILNMYRKDNKSIQDVYHEVAVLFADHKDLLEEFQHFLPDTSVPPQAVAPSRPGIRRDDRTSLVPPASRNEKRDKAHPHADRESVDRPDLDHVIQRRRPKDRHDYDRGDKDGELDSKDLDIGLKRKPFPRKMEDPTSADAHHGGPLENHGILGASASLYDNKDALKSVYTQEFHFCEKVKEKLEHDTYQEFLKCLHIYSQEIITRSELKNLVNDILQQHPDLMDGFNEFLEHCENIGQTGRIVKTEERKEGGKGTEKEPDRIEKVPAYKEAPSQKPVFSSKEKYIYKPVSELDLSNCQRCTPSYRLLPKHYPMPPAGNKTELGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFDYVDSNIHEDMYKIIKYSCGEVCSSSDQLDKVVRIWTTFLEPILGVQPRTHGAEDADAVKPKSRTTKSGLATVGEINTTAAGAVAKHGHDENIPQEQTPSSLARMVNGVATDTQNGFHDVDRTARRAEEPSNTAVNGRVQGASPGTNEIPAVSTQNMPTERSAENIPVARTEQHGNAKANLEPTSGIHFCSLEPLLGGETGRSGSTLNGGGASEGNKGRLFNEASASHNTPKVEREEGELSPNGDFEEDNFAPFEDGAVDGVSKAKEGSTSRPFQGRPGEAQPSCAEAAGENDADADDEGEESAQRSTEDSENASEGGEDASGSESGDGEECSREDHDEEEEDMDHDDQDAKAESEGEAEGTTETHDVEGGISLPLSERFLHSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFISALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHEESIYRFECCSNPTKLSIQLMEYGHEKPEVTAVSIDPNFSSYLFNEYLSSMSDRKLSEGVFLERNKRKHSNNDEPSDSLKAMDGVKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRRFSPVGNVPEKLQASKTYAAKSLL >ONIVA01G00640.3 pep chromosome:AWHD00000000:1:474350:483280:-1 gene:ONIVA01G00640 transcript:ONIVA01G00640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWFATGAGQRGGTGGEEEASLLFFFFASPSPRSSPILPSRVSRLAEAISRLSSPRVAFASRCALFAPRSGGLAGASGGQIRRRGSAAAAAAATGRLFCRRRRWFGWVKGGGGGGGRESVYGGMKRARDDALMGSQLKRPNVGRSDPTAQPQHMPLGPASAAAPPPQAAAAPPAQPPAGGATAGQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNTFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKAFLDILNMYRKDNKSIQDVYHEVAVLFADHKDLLEEFQHFLPDTSVPPQAVAPSRPGIRRDDRTSLVPPASRNEKRDKAHPHADRESVDRPDLDHVIQRRRPKDRHDYDRGDKDGELDSKDLDIGLKRKPFPRKMEDPTSADAHHGGPLENHGILGASASLYDNKDALKSVYTQEFHFCEKVKEKLEHDTYQEFLKCLHIYSQEIITRSELKNLVNDILQQHPDLMDGFNEFLEHCENIGQTGRIVKTEERKEGGKGTEKEPDRIEKVPAYKEAPSQKPVFSSKEKYIYKPVSELDLSNCQRCTPSYRLLPKHYPMPPAGNKTELGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFDYVDSNIHEDMYKIIKYSCGEVCSSSDQLDKVVRIWTTFLEPILGVQPRTHGAEDADAVKPKSRTTKSGLATVGEINTTAAGAVAKHGHDENIPQEQTPSSLARMVNGVATDTQNGFHDVDRTARRAEEPSNTAVNGRVQGASPGTNEIPAVSTQNMPTERSAENIPVARTEQHGNAKANLEPTSGVNASRSSHAGNDTAAEARAGNETLPSVEGGETGRSGSTLNGGGASEGNKGRLFNEASASHNTPKVEREEGELSPNGDFEEDNFAPFEDGAVDGVSKAKEGSTSRPFQGRPGEAQPSCAEAAGENDADADDEGEESAQRSTEDSENASEGGEDASGSESGDGEECSREDHDEEEEDMDHDDQDAKAESEGEAEGTTETHDVEGGISLPLSERFLHSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFISALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHEESIYRFECCSNPTKLSIQLMEYGHEKPEVTAVSIDPNFSSYLFNEYLSSMSDRKLSEGVFLERNKRKHSNNDEPSDSLKAMDGVKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRRFSPVGNVPEKLQASKTYAAKVQRFHRFLSKP >ONIVA01G00640.4 pep chromosome:AWHD00000000:1:474350:483280:-1 gene:ONIVA01G00640 transcript:ONIVA01G00640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWFATGAGQRGGTGGEEEASLLFFFFASPSPRSSPILPSRVSRLAEAISRLSSPRVAFASRCALFAPRSGGLAGASGGQIRRRGSAAAAAAATGRLFCRRRRWFGWVKGGGGGGGRESVYGGMKRARDDALMGSQLKRPNVGRSDPTAQPQHMPLGPASAAAPPPQAAAAPPAQPPAGGATAGQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNTFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKAFLDILNMYRKDNKSIQDVYHEVAVLFADHKDLLEEFQHFLPDTSVPPQAVAPSRPGIRRDDRTSLVPPASRNEKRDKAHPHADRESVDRPDLDHVIQRRRPKDRHDYDRGDKDGELDSKDLDIGLKRKPFPRKMEDPTSADAHHGGPLENHGILGASASLYDNKDALKSVYTQEFHFCEKVKEKLEHDTYQEFLKCLHIYSQEIITRSELKNLVNDILQQHPDLMDGFNEFLEHCENIGQTGRIVKTEERKEGGKGTEKEPDRIEKVPAYKEAPSQKPVFSSKEKYIYKPVSELDLSNCQRCTPSYRLLPKHYPMPPAGNKTELGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFDYVDSNIHEDMYKIIKYSCGEVCSSSDQLDKVVRIWTTFLEPILGVQPRTHGAEDADAVKPKSRTTKSGLATVGEINTTAAGAVAKHGHDENIPQEQTPSSLARMVNGVATDTQNGFHDVDRTARRAEEPSNTAVNGRVQGASPGTNEIPAVSTQNMPTERSAENIPVARTEQHGNAKANLEPTSGIHFCSLEPLLGGETGRSGSTLNGGGASEGNKGRLFNEASASHNTPKVEREEGELSPNGDFEEDNFAPFEDGAVDGVSKAKEGSTSRPFQGRPGEAQPSCAEAAGENDADADDEGEESAQRSTEDSENASEGGEDASGSESGDGEECSREDHDEEEEDMDHDDQDAKAESEGEAEGTTETHDVEGGISLPLSERFLHSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFISALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHEESIYRFECCSNPTKLSIQLMEYGHEKPEVTAVSIDPNFSSYLFNEYLSSMSDRKLSEGVFLERNKRKHSNNDEPSDSLKAMDGVKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRRFSPVGNVPEKLQASKTYAAKVQRFHRFLSKP >ONIVA01G00630.1 pep chromosome:AWHD00000000:1:469155:471562:1 gene:ONIVA01G00630 transcript:ONIVA01G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVKRMVDNSYHDVMESIQAEESAAESARNRLLAVSTLLEQQRGALEVVSGRMASWAIREVEMSAITAELLLLDDVAAGACDAAARLRGIKTTLELVKLIKADDVTLTLATDDLEHYALKQPGDATFAPAPSPEELDEAMKHAEAAQEHVLRCCARVRAVVRCFQGVERVGVAGAEQGGVIAEGELGVAHESLDAAVGELLKAEAAAAASTANARNVSARKQNATVPDPPIPEREAN >ONIVA01G00630.2 pep chromosome:AWHD00000000:1:469155:470457:1 gene:ONIVA01G00630 transcript:ONIVA01G00630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVKRMVDNSYHDVMESIQAEESAAESARNRLLAVSTLLEQQRGALEVVSGRMASWAIREVEMSAITAELLLLDDVAAGACDAAARLRGIKTTLELVKLIKADDVTLTLATDDLEHYALKQPGDATFAPAPSPEELDEAMKHAEAAQEHVLRCCARVRAVVRCFQGVERVGVAGAEQGGVIAEGELGVAHESLDAAVGELLKAEAAAAASTANARNVSARKQNATVPDPPIPAGLALAVITFL >ONIVA01G00630.3 pep chromosome:AWHD00000000:1:470613:473519:1 gene:ONIVA01G00630 transcript:ONIVA01G00630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIHGWNQRIHHHAHGETRGERAKYRRESRGDRSELAMEMDPAAAAGNGGEDEAVAVKKHLATTALGALRLVTISASDAYSHTLDALHALRSAYANIVEVAPPDLAAAEALLAHDCNHSIALASRLVTHMELMAMEATIHVDRWLTSTDAAVRLQGIPAVVWRYKMDAVVVWLGNARKKLLDASADCHAVTPLLAVAAAVDEYAPDVRSQWTAAANTGLFGALGHLRGACNIIANAPAVAALARDATTTLFDLLLLL >ONIVA01G00620.1 pep chromosome:AWHD00000000:1:455647:468779:-1 gene:ONIVA01G00620 transcript:ONIVA01G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRAPRRKRQAQHRCTSSSSRGDGAAGSRAESARAGNFPAKSRFVQLPSHSPNPGNLPAKSRKFAPAYSAAISPNLQLPSLDLGAAEMSTAAAGRKKKGGTPEASDAAAAAPAPCYPNDIEPHEDDDFLEADDFEVFTVEELLAEDEIIEELLAEEFKAAAKQEQRHQSQTAQVA >ONIVA01G00610.1 pep chromosome:AWHD00000000:1:454080:462176:1 gene:ONIVA01G00610 transcript:ONIVA01G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPSRIKLASPNKSHGLPFLLPVSAGFLPFSHRLACLLAFPIPISHQPQTAANPTSARPHRNLARFSEEEMGEYCGAAPEEDPAMALVTPLPTTTTTTTAAAAAIKQPHYYGCFDRCSTKQVFDNLHGNISLDPLAREFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYWLAGEAMNNLRLYQGEELGIDRVDMQTVKLAGLLHDIGHGPFSHLFEHEFLPRVVPGSTWTHENMSALLLDSIVDKHQIDIEADHLKIVMEMIVASSKFTATESTKEKRFLYDIVANGRNGIDVDKFDYIGRDCRACGLGCNFQYWRLLQGMRVMGDEICYPAKDYLSIHKLFTTRADLHRTVYTHAKVKAVELMLVDALVEANEYLGIALHAQDPADFWKLDDTIIKSIETAPNDELNKAKGIIQRIRRRELYKFCNEYSVPKDKLEHFKNITAQDIVCSQKSSKVLLKEEDVAVSNVKIDLTRGKDNPLESIKFFKDFGCDEKFPITDERVSHLLPAYNQDRIVRVYAKKPELVEAVSEAFENLQLRMYGEKTQVHDTPRKKRIRFH >ONIVA01G00600.1 pep chromosome:AWHD00000000:1:452171:453458:-1 gene:ONIVA01G00600 transcript:ONIVA01G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQNPNEGAFCKGKSIPKGDRRVWGGDRWGRRETGLRRSATGDEAAAATTREQEGLPPRRRRRRRRRNAVSCLRWALRRKSAAAARDKAAESKDQTSGFLGEKTEKAKQKATETDETARWRVLHRLPAPVPISMPAAFCYILIIVRVPLEPLDADGSEARVLHQDFILLRRRRQAEDHRDGYTKESAVAGKDKTGSVLQQASEQVKSTVVGAKDAVMNTLG >ONIVA01G00590.1 pep chromosome:AWHD00000000:1:452011:453343:1 gene:ONIVA01G00590 transcript:ONIVA01G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATLEGKPRRRWRARTMVTRCRRRPSADPLGCAVDWLKRDANLQMHMRRHSDKYKSVPMLTKPPAAVNITKGSRHGNWDRCREPMQNPPPRRLVGLGGLLLGLLGLLAEEAASLVLALGGLVPRRLRRAGGLVLRRMCRHTAADFLRRAHLRQLTAFLRRRRRRRRGGRPSCSRVVAAAASSPVADRRSPVSRLPHRSPPHTRRSPCSVRERKRREVERGGEEEAEMWDLRGPHAESAATSDKTRVKTVKDVVYTGFGFIS >ONIVA01G00580.1 pep chromosome:AWHD00000000:1:439642:441000:1 gene:ONIVA01G00580 transcript:ONIVA01G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSAGVLLKLLDGMKAGAAKPVGEHRTALLQVTDIVPADLDDKDLLPRHGKFYVKVSDSSHSIYATLPLPQADLVLSNKLQLGQFVHLDRLDPGSPVPVIVGARPLPGRHPLVVGTPDPATRAKPAAPRRGSWGPENHAGILASPRVIKPTTLNFEDRTPVKERPPAPSPARSTASSLRKSSSVLPRITRSKSFVADRAPDHPKIPKSPFPAEKISMSCYTASRAMSRRAPPKEEEPSSPSSDDELCSSATSSKKRPSTSTRVPVPGKLNLLGKASPSTQFPS >ONIVA01G00580.2 pep chromosome:AWHD00000000:1:439768:442384:1 gene:ONIVA01G00580 transcript:ONIVA01G00580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSAGVLLKLLDGMKAGAAKPVGEHRTALLQVTDIVPADLDDKDLLPRHGKFYVKVSDSSHSIYATLPLPQADLVLSNKLQLGQFVHLDRLDPGSPVPVIVGARPLPGRHPLVVGTPDPATRAKPAAPRRGSWGPENHAGILASPRVIKPTTLNFEDRTPVKERPPAPSPARSTASSLRKSSSVLPRITRSKSFVADRAPDHPKIPKSPFPAVRNPPLYTPSSIRLDSI >ONIVA01G00570.1 pep chromosome:AWHD00000000:1:434572:452148:-1 gene:ONIVA01G00570 transcript:ONIVA01G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVAHIDGTPKGIRGWTTAATRHHRPRSPASARLALQRRSFRHRRLVARCLLLSRLAPTTSPHPPGGLPLAVAEKEKSEREREEGWSGNGRGRMLTWHPDMWAREGSDGLKILRRDGRAFGPGRRAARWRVVFGPWAECQKRPIGNPINRLRLRRWTTSSFSCPNAGAAASSALRLHTIHALKDQAAGCQANVTSHFQPVGDPGGVEADPGAERLGVESVEDLVADDGEAGVHHLRAFFLQHADHLVQPHRPLPLPLPLLPDRLAHHHHVQRPILAPHLHHRHPAAAAIAGAGGECRRLLLPLLQLVDPPLELLDVRRRLLQEGGDEEEEVE >ONIVA01G00560.1 pep chromosome:AWHD00000000:1:434078:437560:1 gene:ONIVA01G00560 transcript:ONIVA01G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKNSTTSTKAAGSCHTSSSGGGGGGGNCYSSSSSKMERKDVEKNRRLHMKGLCLKLSSLIPAAAPRRHHHHYSTSSSSSPPSSTKEAVTQLDHLEQAAAYIKQLKGRIDELKKRKQQAAALTTSTSNGGGGGMPVVEVRCQDGTLDVVVVSEAIGEERERERERAVRLHEVIGVLEEEGAEVVNASFSVVGDKIFYTLHSQALCSRIGLDASRVSHRLRNLLLQY >ONIVA01G00550.1 pep chromosome:AWHD00000000:1:424075:430047:1 gene:ONIVA01G00550 transcript:ONIVA01G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEKADGGGRRRRSSGSGASSTAAERKEMERRRRQDMKGLCVKLASLIPNEHCSKSKGATRTQLGSLDEAAAYIKKLKERVDELHHKRSMMSITSSRCRSGGGVPAAAAGQSTSGGGGEEEEDMTRTTAAAAVVEVRQHVQEGSLISLDVVLICSAARPVKFHDVITVLEEEGADIISANFSLAAHNFYYTIYSRAFSSRIGIEASRISERLRALAMTTEYTALATWSKDTYIAPTSKFGVGSGVELSSPWVPVWLDAAAGGGGGDARSEDRWMNRRKRLVPCGGGGGYIVVGDREKPAPCGGASCFVVGVRDVTVPMNRQKKLAPYGSVSGFVIGVREVAAPDGGGSFLRPASPGQAIAQSLLLVVLDCPLARWRRFCRCMLECFLCHGSISQVSQDFSPPFPHVDPRRQQFVCHHSSALVGLGIWMWAPSSSVFPTSSFHAGFVVRVELTLLRFNDELRGLLLLSPVMLPQNLRLSSKPPFCAVFVEATGWGLPVCQACCTPKEAQGCIRHGIAAAPCRCAPSLQCGFITNFPVLSKRMVLCFLLIFCNVLGFIPVNSVALY >ONIVA01G00540.1 pep chromosome:AWHD00000000:1:392465:418457:1 gene:ONIVA01G00540 transcript:ONIVA01G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAGRLAAASVSDHPSSSSAAATDGANADHLLHVMRAVEGAEATIRNQLEENNRLKEELMQKTRQLQRIREDAASQASSSGVAQDRRSFVATKMDASRSPASAASSDNSRTPSALHHNGAFESGEPSMQQTIRQNNALSNGASKRSSGEQPALDSAAVSQFSTPSSHSLSPTRHRKEGEHDPRLNLAGQGLLPASEMASNMSWKQDLTAKIKENEEEIAQLRKHLADYSLKQARILDDKYMLERRIAQMRMAFDQQQQDLIDAASKALSYRQDIIEENIRLAYAVQAAQQERTTFISSLLPLLSEYENLQPSVLDAQSIVSNLKVLFKHLQEQLIITEEKLKESRYQITPWQTELVNDTTLPVHSPTDPLGKALNKSNLDIVTQTPYPHIQSPMSSPVQVRGDWGVAGNKNHQVIPTDVPPRNVDHGDMGRNSLSSRRDVSAQVSQHDPHSVPLDFEPENQNPPFKRLSRSDVSDASEGAEVQHAREHSAHWGHGDSANLVSGIEDTNPSYPYLPTVLEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSTNGTTSCNFEWVRHLEDGSVNFIEGARQPNYLVTADDVDTLLAIEVQPLDDRKRKGEIVRFYANDQRKITCDPETKELIKRTLETGHVSYEVQLPVKFLDMWEPAILAIKREGYSIKCTGQRGVVLTEKFQQATSINIPYGRPTEFLITSADGVEYNLKPAENALPRDTIVLVLRLFRIMAVEKRRGRKKSLFFNDVCLAGLSATAGDLARIPAWSSLPSPSRRPPPLAALPYPLSLASPASPHRCLRQICPARAASDAHLLRGLGAVPTAGSGVSAGLIEAWPVLTNGVAAAAIITGTDLTESPLRREQSSRRQILLHRCPSANPYAPTAAISERVYLPHPSCCRRLSILSRAMGSVSGDAARLSYPPTRRDDSVVDMYHGVPVTDPYRWLEDPESEDTKEFVASQVELAESVLAGCFDRENLRHEVTRLFDHPRHGAPFRRGDKYFYFHNSGLQAQSVLYMQDSLDGEAEVLLDPNALSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTICVMNIADKQTLSDKLSWVKFSSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSKDILCWKDPEHPKYSFGASVTEDGKYIILGTYEGCDPVNKLYYCEICTLPQGIEGFKETKGMLPFVKLIDNFDAQYHVVANDGDEFTFLTNRNAPKNKLVRVDIKKPELWTDILPEHERDVLESADAIRDLLTGNLLHKLPLEIGSVSEISCRREDMDVFIGFTSFLSPGIIYRCNLTSAIPEMKIFREISVPGFDRTNFEVKQIFVNSKDGTKIPMFIMSKRDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGARAMKQNCFDDFIACAELLISAGYTSYRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFVNCCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDTPQVNPIIGRIDVKSGHGAGRPTKKMIDEVADRYSFMANMLDASWTE >ONIVA01G00540.2 pep chromosome:AWHD00000000:1:392465:418457:1 gene:ONIVA01G00540 transcript:ONIVA01G00540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAGRLAAASVSDHPSSSSAAATDGANADHLLHVMRAVEGAEATIRNQLEENNRLKEELMQKTRQLQRIREDAASQASSSGVAQDRRSFVATKMDASRSPASAASSDNSRTPSALHHNGAFESGEPSMQQTIRQNNALSNGASKRSSGEQPALDSAAVSQFSTPSSHSLSPTRHRKEGEHDPRLNLAGQGLLPASEMASNMSWKQDLTAKIKENEEEIAQLRKHLADYSLKQARILDDKYMLERRIAQMRMAFDQQQQDLIDAASKALSYRQDIIEENIRLAYAVQAAQQERTTFISSLLPLLSEYENLQPSVLDAQSIVSNLKVLFKHLQEQLIITEEKLKESRYQITPWQTELVNDTTLPVHSPTDPLGKALNKSNLDIVTQTPYPHIQSPMSSPVQVRGDWGVAGNKNHQVIPTDVPPRNVDHGDMGRNSLSSRRDVSAQVSQHDPHSVPLDFEPENQNPPFKRLSRSDVSDASEGAEVQHAREHSAHWGHGDSANLVSGIEDTNPSYPYLPTVLEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSTNGTTSCNFEWVRHLEDGSVNFIEGARQPNYLVTADDVDTLLAIEVQPLDDRKRKGEIVRFYANDQRKITCDPETKELIKRTLETGHVSYEVQLPVKFLDMWEPAILAIKREGYSIKCTGQRGVVLTEKFQQATSINIPYGRPTEFLITSADGVEYNLKPAENALPRDTIVLVLRLFRIMAVEKRRGRKKSLFFNDVCLAGLSATAGDLARIPAWSSLPSPSRRPPPLAALPYPLSLASPASPHRCLRQICPARAASDAHLLRGLGAVPTAGSGVSAGLIEAWPVLTNGVAAAAIITGTDLTESPLRREQSSRRQILLHRCPSANPYAPTAAISERVYLPHPSCCRRLSILSRAMGSVSGDAARLSYPPTRRDDSVVDMYHGVPVTDPYRWLEDPESEDTKEFVASQVELAESVLAGCFDRENLRHEVTRLFDHPRHGAPFRRGDKYFYFHNSGLQAQSVLYMQDSLDGEAEVLLDPNALSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTICVMNIADKQTLSDKLSWVKFSSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSKDILCWKDPEHPKYSFGASVTEDGKYIILGTYEGCDPVNKLYYCEICTLPQGIEGFKETKGMLPFVKLIDNFDAQYHVVANDGDEFTFLTNRNAPKNKLVRVDIKKPELWTDILPEHERDVLESADAIRDLLTGNLLHKLPLEIGSVSEISCRREDMDVFIGFTSFLSPGIIYRCNLTSAIPEMKIFREISVPGFDRTNFEVKQIFVNSKDGTKIPMFIMSKRDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGARAMKQNCFDDFIACAELLISAGYTSYRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFVNCCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDTPQVNPIIGRIDVKSGHGAGRPTKKMIDEVADRYSFMANMLDASWTE >ONIVA01G00540.3 pep chromosome:AWHD00000000:1:392465:418457:1 gene:ONIVA01G00540 transcript:ONIVA01G00540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAGRLAAASVSDHPSSSSAAATDGANADHLLHVMRAVEGAEATIRNQLEENNRLKEELMQKTRQLQRIREDAASQASSSGVAQDRRSFVATKMDASRSPASAASSDNSRTPSALHHNGAFESGEPSMQQTIRQNNALSNGASKRSSGEQPALDSAAVSQFSTPSSHSLSPTRHRKEGEHDPRLNLAGQGLLPASEMASNMSWKQDLTAKIKENEEEIAQLRKHLADYSLKQARILDDKYMLERRIAQMRMAFDQQQQDLIDAASKALSYRQDIIEENIRLAYAVQAAQQERTTFISSLLPLLSEYENLQPSVLDAQSIVSNLKVLFKHLQEQLIITEEKLKESRYQITPWQTELVNDTTLPVHSPTDPLGKALNKSNLDIVTQTPYPHIQSPMSSPVQVRGDWGVAGNKNHQVIPTDVPPRNVDHGDMGRNSLSSRRDVSAQVSQHDPHSVPLDFEPENQNPPFKRLSRSDVSDASEGAEVQHAREHSAHWGHGDSANLVSGIEDTNPSYPYLPTVLEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSTNGTTSCNFEWVRHLEDGSVNFIEGARQPNYLVTADDVDTLLAIEVQPLDDRKRKGEIVRFYANDQRKITCDPETKELIKRTLETGHVSYEVQLPVKFLDMWEPAILAIKREGYSIKCTGQRGVVLTEKFQQATSINIPYGRPTEFLITSADGVEYNLKPAENALPRDTIVLVLRLFRIMAVEKRRGRKKSLFFKLEDPESEDTKEFVASQVELAESVLAGCFDRENLRHEVTRLFDHPRHGAPFRRGDKYFYFHNSGLQAQSVLYMQDSLDGEAEVLLDPNALSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTICVMNIADKQTLSDKLSWVKFSSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSKDILCWKDPEHPKYSFGASVTEDGKYIILGTYEGCDPVNKLYYCEICTLPQGIEGFKETKGMLPFVKLIDNFDAQYHVVANDGDEFTFLTNRNAPKNKLVRVDIKKPELWTDILPEHERDVLESADAIRDLLTGNLLHKLPLEIGSVSEISCRREDMDVFIGFTSFLSPGIIYRCNLTSAIPEMKIFREISVPGFDRTNFEVKQIFVNSKDGTKIPMFIMSKRDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGARAMKQNCFDDFIACAELLISAGYTSYRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFVNCCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDTPQVNPIIGRIDVKSGHGAGRPTKKMIDEVADRYSFMANMLDASWTE >ONIVA01G00540.4 pep chromosome:AWHD00000000:1:392465:418606:1 gene:ONIVA01G00540 transcript:ONIVA01G00540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAGRLAAASVSDHPSSSSAAATDGANADHLLHVMRAVEGAEATIRNQLEENNRLKEELMQKTRQLQRIREDAASQASSSGVAQDRRSFVATKMDASRSPASAASSDNSRTPSALHHNGAFESGEPSMQQTIRQNNALSNGASKRSSGEQPALDSAAVSQFSTPSSHSLSPTRHRKEGEHDPRLNLAGQGLLPASEMASNMSWKQDLTAKIKENEEEIAQLRKHLADYSLKQARILDDKYMLERRIAQMRMAFDQQQQDLIDAASKALSYRQDIIEENIRLAYAVQAAQQERTTFISSLLPLLSEYENLQPSVLDAQSIVSNLKVLFKHLQEQLIITEEKLKESRYQITPWQTELVNDTTLPVHSPTDPLGKALNKSNLDIVTQTPYPHIQSPMSSPVQVRGDWGVAGNKNHQVIPTDVPPRNVDHGDMGRNSLSSRRDVSAQVSQHDPHSVPLDFEPENQNPPFKRLSRSDVSDASEGAEVQHAREHSAHWGHGDSANLVSGIEDTNPSYPYLPTVLEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSTNGTTSCNFEWVRHLEDGSVNFIEGARQPNYLVTADDVDTLLAIEVQPLDDRKRKGEIVRFYANDQRKITCDPETKELIKRTLETGHVSYEVQLPVKFLDMWEPAILAIKREGYSIKCTGQRGVVLTEKFQQATSINIPYGRPTEFLITSADGVEYNLKPAENALPRDTIVLVLRLFRIMAVEKRRGRKKSLFFK >ONIVA01G00530.1 pep chromosome:AWHD00000000:1:386650:390365:-1 gene:ONIVA01G00530 transcript:ONIVA01G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3506) [Source:Projected from Arabidopsis thaliana (AT1G27510) TAIR;Acc:AT1G27510] MSAATACASPAAARPPVHIPLRSPPSAAHLPSAAASRRASSAACRCTASASASPSTWDWTRWTRHFADVDQAESYASLLKFQLEEAVDNEDFAEASKLKKAILEATGNDAVAQVMSELKTAIEEQRYQDASRLTKLARTNLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSFSPRQLVTASSGTPLFEIFLVRDDDETYTMKVVHMRPTKGTSSASSVSSATAESPAKEENESSLESSAISEGITDEANTDTTLKGDEDVEDKEQDVGNAKDSSVEGLKSVLNFFKSRIPEFKVQVINVDVSEEAELASDSSEELVQDDVKSTSENSLEDSTTEELQQDDVRDGDSDSAEDSKSPEMKLFISGVVHNKEDAGAKSYVRVPAEINNLEKDSFELYIPGKGSDRDLADTKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLKLTLSKARVKLTENTIFNRIITDSNGSDPFSGLYVGAFSPYGPEVVQLRRKFGHWNSTDEVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLKLPE >ONIVA01G00520.1 pep chromosome:AWHD00000000:1:382537:385968:1 gene:ONIVA01G00520 transcript:ONIVA01G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLPCNLLTRRRALTACAAVAALTAAAALFLLATPPTEDPTHPYLLASLLHNTSNQADSAAASSLSPAQPPLPSTSILQLQTNLPSGFTTVPSMFLVPSPSPAENLDDGSMEETDPPDLKENPPAESAHFLQEVPVPLWSTAADEELIYAKKEITIAPLVSNDPDLHAPLFRNVSVFRRSYELMERLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEGNQHFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKKYIDFISAKFPYWNRTKGADHFFVACHDWGPYTTKMHDELRKNTIKALCNADLSEGVFIHGRDVSLPETFLRSPRRPLRGIGGKPAAERSILAFFAGQMHGRVRPVLLQYWGGKDADMRIYDRLPHRITRRMNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFDDAFNWSAFSVVILEKDVPKLKQILLEIPDDQYMAMQSNVQRVQKHFIWHPNPIKYDIFHMILHSIWYSRVNQIQLE >ONIVA01G00510.1 pep chromosome:AWHD00000000:1:379326:380318:1 gene:ONIVA01G00510 transcript:ONIVA01G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRKRCAACYREFNKKEHLVEHMRTSLHSAHDPRCGVCGKHCRSLDALRDHLTGALPKPECAAAFASRGCPLCLHVVLPPTAAAHSCPAAAPPLGGVLALGCKMVGAGSDGSLDVCARVCVVDEQERVVLDTFVKPHIPVTHYRYDTTGIRPEHLRDAMTPKQAARRVQELLLNGEAAWKARSSRGRARILVGHGLDHDLESLGMDYPEYLKRDTARYPALMKTSNSRLSNSLKYLTLAYLGYHIQLAGRHHHPYDDCVAALRLYRRMRGARPHTCRDAGVGPHAPPPTPAEAFPAWRQRELERMSPEELLQLSTSDYYCWCLDASATD >ONIVA01G00500.1 pep chromosome:AWHD00000000:1:364782:368418:-1 gene:ONIVA01G00500 transcript:ONIVA01G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFKQQQQQGGGNGGGQGKRLERRLSLGEYKKAVSWSKYLVAPPGAKIRGGGEELWSADLSKLEIWTKFATGRHSRVYSGRYAARDVAIKMVSQPEEDAALAAELERQFASEVALLLRLRHPNIISFVAACKKPPVFCIITEYMAGGSLRKYLHQQEPHSVPIELVLKLSLEIARGMSYLHSQGILHRDLKSENILLDGDMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKHHTRKVDVYSFGIVLWEILTALVPFSEMTPEQAAVAVALKNARPPLPPSCPVAISHLITQCWATNPDRRPQFDDIVAILESYIEALEEDPSFLQSYIPPPHPLHHHHHHHQHHNHHHQQSLLRCFPRYRTTRRSASLRV >ONIVA01G00490.1 pep chromosome:AWHD00000000:1:362441:363016:1 gene:ONIVA01G00490 transcript:ONIVA01G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRDHLAVIAEALCAIAADMNAAARALDPSTVISLACAAFTVAIVLVCYADICGRLATLHSQTQTPAGEDEDNNATPPEAPAASSLKDRQRSSHGTDTSSSSQSSSSSSSSSSSESSSSSSSSSSESSSSSSDDDLARRRQQRNPSPPPQYKEEARRSPSPSPPSSSSTSSSSSSSGSSWWTRSARSFY >ONIVA01G00480.1 pep chromosome:AWHD00000000:1:361516:362265:-1 gene:ONIVA01G00480 transcript:ONIVA01G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRPLFSAHFKSSHLLVLPSLHIFIFRLLSFPSLLLRLRLLLATPPLHPQQHHLLPSIRALSGNSRVSFKITVKRTCPTNIERL >ONIVA01G00470.1 pep chromosome:AWHD00000000:1:355905:358992:-1 gene:ONIVA01G00470 transcript:ONIVA01G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSSTPSPQDGSGGGEFSDNLVLQTPQPMREDYIQNAVNFLGHPKVKGSPVFYRRSFLEKKGLTKEEIDEAFRRVPDPQPNSTDVAAVASQQAGIANQSAGVQPYETVQAPQAINTGPIVPHAQPQLSWSRTLIGVGVFLGVGASAAVILKKLFVPRLKSWIQGAHVEGDEISGNELKSKFYEEIKAAIQDSASAFSDIAKTNQELLASKDEDKKILTKLAQAFDSQAEAFRSLSDSLNRMSENRFYQYNLMEDHFQSAPWNGPTTNSWRASQQTNAYNTSPRSDFDSGRHPLMPVPEEPSPGAFPARSYVEQQRMQRPGYGFQPQMSNDRWNPGSPLTNYHGAPPYQQYHHGSTNAIDEAPAPAPAPPAESPFQRRWVPPQPPGVVMPEAVAAIRQPRQQVAAASRPSESAAATEQPQSGDVAGGAAMANAGNGEAEQEREAAA >ONIVA01G00460.1 pep chromosome:AWHD00000000:1:347469:353192:-1 gene:ONIVA01G00460 transcript:ONIVA01G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate reductoisomerase [Source:Projected from Arabidopsis thaliana (AT5G62790) TAIR;Acc:AT5G62790] MALKVVSFPGDLAAVSFLDSNRGGAFNQLKVDLPFQTRDRRAVSLRRTCCSMQQAPPPAWPGRAVVEPGRRSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFKPKLVAVRNESLVDELKEALADCDWKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAQKHKVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRIPILYTMSWPDRIYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKIGYLDIFKVVELTCDAHRNELVTRPSLEEIIHYDLWAREYAASLQPSTGLSPVPV >ONIVA01G00450.1 pep chromosome:AWHD00000000:1:344212:352115:1 gene:ONIVA01G00450 transcript:ONIVA01G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAPAPAGAGEGSSSAAGPSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >ONIVA01G00440.1 pep chromosome:AWHD00000000:1:307980:343841:1 gene:ONIVA01G00440 transcript:ONIVA01G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREEVLCGEEGKLTRKLIECVNTFLLKDGPNLGCKSVDIHNAVQDFMFHSWPVTHDRALKSLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVLNLVVDMWERSACTLLSSADCLAHVHALLRNLTANCDVGHNTDGRSQGCKVASNENQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRFSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELTYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCITARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRWGVTSGDNHCKTNAAISCPSCWLLSIRTVLLKLMLRLASSFRAPHLPPELFLLSLRMHHKKLLLGEIIYRGDVSVDNADTISCWHSDPDVVSAVWTLVDLCSSSSVANEASSAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVYLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHRAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEDTNKRIPLPREIRSICQLELVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ONIVA01G00440.10 pep chromosome:AWHD00000000:1:307980:343841:1 gene:ONIVA01G00440 transcript:ONIVA01G00440.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREESLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVLNLVVDMWERSACTLLSSADCLAHVHALLRNLTANCDVGHNTDGRSQGCKVASNENQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRFSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELTYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCITARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRLYYLYSGELHPETTIARPMLQYLVQDLEPLPSFDCLKDIQVFHASLSGSYDSRDQFLKGSSLATRAVSAEAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVYLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLYRSFATCPFMFLAFLQLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHRAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQICYNAQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ONIVA01G00440.11 pep chromosome:AWHD00000000:1:307980:343841:1 gene:ONIVA01G00440 transcript:ONIVA01G00440.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREESLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVLNLVVDMWERSACTLLSSADCLAHVHALLRNLTANCDVGHNTDGRSQGCKVASNENQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRFSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELTYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCITARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRLYYLYSGELHPETTIARPMLQYLVQDLEPLPSFDCLKDIQVFHASLSGSYDSRDQFLKGSSLATRAVSAEAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVYLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHRAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEDTNKRIPLPREIRSICQLELVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ONIVA01G00440.12 pep chromosome:AWHD00000000:1:307980:343841:1 gene:ONIVA01G00440 transcript:ONIVA01G00440.12 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREEDGPNLGCKSVDIHNAVQDFMFHSWPVTHDRALKSLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVNQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRFSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELTYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCITARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRLYYLYSGELHPETTIARPMLQYLVQDLEPLPSFDCLKDIQVFHASLSGSYDSRDQFLKGSSLATRAVSAEAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVYLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLYRSFATCPFMFLAFLQLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHRAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQICYNAQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ONIVA01G00440.13 pep chromosome:AWHD00000000:1:307980:343841:1 gene:ONIVA01G00440 transcript:ONIVA01G00440.13 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREESLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVLNLVVDMWERSACTLLSSADCLAHVHALLRNLTANCDVGHNTDGRSQGCKVASNENQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRFSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELTYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCITARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRWGVTSGDNHCKTNAAISCPSCWLLSIRTVLLKLMLRLASSFRAPHLPPELFLLSLRMHHKKLLLGEIIYRGDVSVDNADTISCWHSDPDVVSAVWTLVDLCSSSSVANEASSAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLYRSFATCPFMFLAFLQLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHRAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQICYNAQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ONIVA01G00440.14 pep chromosome:AWHD00000000:1:307980:343841:1 gene:ONIVA01G00440 transcript:ONIVA01G00440.14 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREESLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVNQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRFSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELTYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCITARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRLYYLYSGELHPETTIARPMLQYLVQDLEPLPSFDCLKDIQVFHASLSGSYDSRDQFLKGSSLATRAVSAEAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVYLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLYRSFATCPFMFLAFLQLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHRAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEDTNKRIPLPREIRSICQLELVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ONIVA01G00440.2 pep chromosome:AWHD00000000:1:307980:343841:1 gene:ONIVA01G00440 transcript:ONIVA01G00440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREEVLCGEEGKLTRKLIECVNTFLLKDGPNLGCKSVDIHNAVQDFMFHSWPVTHDRALKSLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVLNLVVDMWERSACTLLSSADCLAHVHALLRNLTANCDVGHNTDGRSQGCKVASNENQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRFSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELTYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCITARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRWGVTSGDNHCKTNAAISCPSCWLLSIRTVLLKLMLRLASSFRAPHLPPELFLLSLRMHHKKLLLGEIIYRGDVSVDNADTISCWHSDPDVVSAVWTLVDLCSSSSVANEASSAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVYLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHRAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQICYNAQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ONIVA01G00440.3 pep chromosome:AWHD00000000:1:307980:343841:1 gene:ONIVA01G00440 transcript:ONIVA01G00440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREEVLCGEEGKLTRKLIECVNTFLLKDGPNLGCKSVDIHNAVQDFMFHSWPVTHDRALKSLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVLNLVVDMWERSACTLLSSADCLAHVHALLRNLTANCDVGHNTDGRSQGCKVASNENQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRFSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELTYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCITARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRLYYLYSGELHPETTIARPMLQYLVQDLEPLPSFDCLKDIQVFHASLSGSYDSRDQFLKGSSLATRAVSAEAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVYLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLYRSFATCPFMFLAFLQLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHRAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQICYNAQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ONIVA01G00440.4 pep chromosome:AWHD00000000:1:307980:343841:1 gene:ONIVA01G00440 transcript:ONIVA01G00440.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREEVLCGEEGKLTRKLIECVNTFLLKDGPNLGCKSVDIHNAVQDFMFHSWPVTHDRALKSLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVLNLVVDMWERSACTLLSSADCLAHVHALLRNLTANCDVGHNTDGRSQGCKVASNENQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRFSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELTYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCITARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRLYYLYSGELHPETTIARPMLQYLVQDLEPLPSFDCLKDIQVFHASLSGSYDSRDQFLKGSSLATRAVSAEAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVYLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHRAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEDTNKRIPLPREIRSICQLELVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ONIVA01G00440.5 pep chromosome:AWHD00000000:1:307980:343841:1 gene:ONIVA01G00440 transcript:ONIVA01G00440.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREEVLCGEEGKLTRKLIECVNTFLLKDGPNLGCKSVDIHNAVQDFMFHSWPVTHDRALKSLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVLNLVVDMWERSACTLLSSADCLAHVHALLRNLTANCDVGHNTDGRSQGCKVASNENQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRFSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELTYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCITARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRWGVTSGDNHCKTNAAISCPSCWLLSIRTVLLKLMLRLASSFRAPHLPPELFLLSLRMHHKKLLLGEIIYRGDVSVDNADTISCWHSDPDVVSAVWTLVDLCSSSSVANEASSAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLYRSFATCPFMFLAFLQLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHRAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQICYNAQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ONIVA01G00440.6 pep chromosome:AWHD00000000:1:307980:343841:1 gene:ONIVA01G00440 transcript:ONIVA01G00440.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREESLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVLNLVVDMWERSACTLLSSADCLAHVHALLRNLTANCDVGHNTDGRSQGCKVASNENQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRFSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELTYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCITARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRWGVTSGDNHCKTNAAISCPSCWLLSIRTVLLKLMLRLASSFRAPHLPPELFLLSLRMHHKKLLLGEIIYRGDVSVDNADTISCWHSDPDVVSAVWTLVDLCSSSSVANEASSAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVYLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLYRSFATCPFMFLAFLQLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHRAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQICYNAQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ONIVA01G00440.7 pep chromosome:AWHD00000000:1:307980:343841:1 gene:ONIVA01G00440 transcript:ONIVA01G00440.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREESLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVLNLVVDMWERSACTLLSSADCLAHVHALLRNLTANCDVGHNTDGRSQGCKVASNENQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRFSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELTYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCITARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRWGVTSGDNHCKTNAAISCPSCWLLSIRTVLLKLMLRLASSFRAPHLPPELFLLSLRMHHKKLLLGEIIYRGDVSVDNADTISCWHSDPDVVSAVWTLVDLCSSSSVANEASSAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVYLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHRAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEDTNKRIPLPREIRSICQLELVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ONIVA01G00440.8 pep chromosome:AWHD00000000:1:307980:343841:1 gene:ONIVA01G00440 transcript:ONIVA01G00440.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREEVLCGEEGKLTRKLIECVNTFLLKDGPNLGCKSVDIHNAVQDFMFHSWPVTHDRALKSLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVLNLVVDMWERSACTLLSSADCLAHVHALLRNLTANCDVGHNTDGRSQGCKVASNENQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRFSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELTYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCITARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRLYYLYSGELHPETTIARPMLQYLVQDLEPLPSFDCLKDIQVFHASLSGSYDSRDQFLKGSSLATRAVSAEAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLYRSFATCPFMFLAFLQLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHRAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQICYNAQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ONIVA01G00440.9 pep chromosome:AWHD00000000:1:307980:343841:1 gene:ONIVA01G00440 transcript:ONIVA01G00440.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREEVLCGEEGKLTRKLIECVNTFLLKDGPNLGCKSVDIHNAVQDFMFHSWPVTHDRALKSLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDLSATALYLAYKCTPRTSHNKKKLKTEHVMTAIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPSKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTNISASSSSLSSVNQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRFSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELTYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCITARYGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRLYYLYSGELHPETTIARPMLQYLVQDLEPLPSFDCLKDIQVFHASLSGSYDSRDQFLKGSSLATRAVSAEAGISDVHQVIFHVPNLTEKYPIQPHISKEDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGRTYKQWLCTLVGSLICHCDDIILRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVYLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLYRSFATCPFMFLAFLQLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKYIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHRAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKCPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDTLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQICYNAQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEVPVVTASIPVDPNCQYEEGSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGSTRSGGAHGRYGTGDWTYHQCRETMTNEKDKRRAFVKVCNNFRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >ONIVA01G00430.1 pep chromosome:AWHD00000000:1:305206:306048:-1 gene:ONIVA01G00430 transcript:ONIVA01G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEQEQPPQRYWFPYWTSPPPPPPSSSRYRPPPPPSSRHPHPTIPAARAAPPLGPTNRRLHQQPPPPASRDGRHEPPPKPKDVVVIPTDTVLHHKQPPPTHHHQHQQHKVKDQEEKKGDLRKDLKAGLAGMLSAASHGQQGTSIITLAGDNKGASMKISSPAPGSKGAGDDKRSKGGVKAMINSNVQSINNSLLLHSSCSGGDLGVHLKLKLSSNSKSKSKTKSKEKQQHNVVADTSNKEKKPDSSQEKKEAGASAAKPNKPSAAAKGNKPAGAANK >ONIVA01G00420.1 pep chromosome:AWHD00000000:1:304078:304728:-1 gene:ONIVA01G00420 transcript:ONIVA01G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITGAVLSSKPCSLAKAARILDLFADSAASNLPSSDAATYLHTAADATKNHHRFRLDLLNYYHRGDTASASASDKKKRKRSEDHHQAATHVKQEQEAQQVAFAADLVAEEETDKETSKKNRKKKKHESQQENARDVKQNGGAPEQRFASPEKKRNKKNHPEEEEVKTVVKGIIVSAGDSVATEKKRKKKRERADDTDNDKEQVEHTRKKPRNRS >ONIVA01G00410.1 pep chromosome:AWHD00000000:1:299678:300782:-1 gene:ONIVA01G00410 transcript:ONIVA01G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEEKKSRILVVGGTGYIGRHVVLASARLGHPTTALVRDLSPSDPAKSQLLQSFRDAGVTLLHGDLYDHASLLSAVRDADVVISTLGALQIADQTKLIAAIKEGGGGNVRRFLPSEFGLDPDHTGAVEPARSIFTGKAAVRRAVEAAGVPYTYVVSNYFAGYALPTIGQNLPPARPVDSVVILGDGATKVVFVEEGDIGTYTVLAAVDPRAENKTVNIRPAKNAVSHEELVALWEKKTGKKLERVYVPEDAVLKQIQESEIPLNIVLSIAHAGYIRGETTTPLDPATAVEATQLFPDVQYTTVDDYLNRLL >ONIVA01G00400.1 pep chromosome:AWHD00000000:1:293517:294555:-1 gene:ONIVA01G00400 transcript:ONIVA01G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDQTTTKSRILVVGGTGYIGRHVVASSARLGHPTTALVRDLAPSDPAKAQLLHTFRDAGVTLLHGDLHDHASLLRAVRDADVVISAVRATQVPDQTRLIDAIKEAGGGRVRRFIPSEFGMDPGRGASAAVEPVRSMYGSKVGIRRAVEAAGIPHTYVACNYFAGFALPSIGQFMPKAAPVDSVVILGEGHTKVVFVEEGDIGTYTVLAAVDPRAENKTLHIRPPANTMSHDELVSMWEKKTGKKLERVYVPEDAVLTKIKELEYPKNVLVSIAHAAYCRGEMSSPLDDPQDVEATQLYPEIQYTTVDEYLNTLL >ONIVA01G00390.1 pep chromosome:AWHD00000000:1:290232:293270:1 gene:ONIVA01G00390 transcript:ONIVA01G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAADSGTAGAAAAAAKWRTDASRAFQYYLDRSTPHATGRWIGTLAVAAIYALRVYLVQGFYIVTYGLGIYLLNLLIGFLSPMVDPEAHAAASSDGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFLIAFVMTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIVHMIKYKYVPFSVGKQKYGGKKSAGSSSSKD >ONIVA01G00380.1 pep chromosome:AWHD00000000:1:287225:288326:1 gene:ONIVA01G00380 transcript:ONIVA01G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQASRRWGGGRRGEEARRAAGGGAAPPARGRGAAAAWGWRGRRAVPGPRLLLAHAIRHRYALADAHRAYAASLRDAAAAAALHDFLRGVQVLPSSSTCSTGRGTTAERSPSSGRGRRSVAARAAS >ONIVA01G00370.1 pep chromosome:AWHD00000000:1:286652:290944:-1 gene:ONIVA01G00370 transcript:ONIVA01G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVRSDLNLDLGGIGNEPELELGEAPDEGLELVGAAGGEGGAVGGGSGVGLGVDHGGEEADEEVKEVDPEAVGDDVEALDEVDAEGVDGGDGEGADPAPRGVRGGAVQVVLERPRGIGPPLGRRRRGARRAGVGRAVHGKSWTEAGRGGGGGESFPSLPLLLLMPRTRTMPMRCGTEGAVDIQHRTRSSRLQAGGRRAVSMIGC >ONIVA01G00360.1 pep chromosome:AWHD00000000:1:278917:282190:-1 gene:ONIVA01G00360 transcript:ONIVA01G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G19600) TAIR;Acc:AT1G19600] MGAEAEHRMSPSPAPAPAPPTPVGRTDGDAPPMVLGLQLSALIDHVARVDWSLLNRIPGDRGGSQQVCIEELNHILAEVNAQILPCRDDLSPIRTIAGGSVANTIRGLSAGFGISTGIIGACGDDSQGVLFVSNMSFSGVDLTRLRTKKGHTAQCACLVDASGNRTMRPCLSSAVKLQANEFKKEDFKGSKWLVVRYARQNMEQILEAIRIAKQEGLSVSLDLASFEMVRDYRTQLIDLLETGNIDLCFANEDEARELLGGELTFDPEEALAFLAKYCKWAVVTLASKGCIAKHGKQVVQVAATGESNAVDATGAGDLFASGFLYGLVKGLALEECCKVGACSGGSVVRALGGEVRPENWQWMYKQMNASGLLLPDLKN >ONIVA01G00350.1 pep chromosome:AWHD00000000:1:276241:277745:-1 gene:ONIVA01G00350 transcript:ONIVA01G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAALALALRRATSSAHKQKQKQALALTDAAASRIRQLLSLRHRPYLRLGVKARGCNGLSYTLNYADEKGKFDEVVEDKGVKVLIDPKALMHVISTKMDYVDDPLRFMICAQHFLLSTYYLLSLPYGGFSCRSEFVFINPNSKGECGCGESFMTTSSKGSTS >ONIVA01G00340.1 pep chromosome:AWHD00000000:1:272446:273188:-1 gene:ONIVA01G00340 transcript:ONIVA01G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEQQLPADFQLIGNLRRNKEVADKKPEKKIQILGIPALEAGSIGGSIQRCWEQEQRAWKAMGSGHLARDDYHRGWRRKRRRPS >ONIVA01G00330.1 pep chromosome:AWHD00000000:1:272352:275975:1 gene:ONIVA01G00330 transcript:ONIVA01G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSSSSLCDNHLLQDDLIPWPSMPFAPAPNTFGLNHQWSQPPMLSSSTDQLSSYELESLQSVESQLAAAAPPTLSPHLQAHQLSTVLMMQELGFQWSSCAAPADQHSIASSTNNNSNVMMNEEELRPRPDQSLISNPRSCSATTLLPPPHLHLDGAVLPSINVSRLQKLAAGDEPPQICCKRQAAAAAVVGHSSIRDEHVPCPYAGPPAHLIQGPSNTLQMKRNTNAAAQGRGGRHGSSTEHRSSTALPPSSKKPRLESHSSSMLPSFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYLRSSKNSKKLACRAAQQQRKGTSNGGDAAAKLDLRSRGLCLVPLSCTSYVTNENGVWPPPNFRGN >ONIVA01G00320.1 pep chromosome:AWHD00000000:1:257629:262445:1 gene:ONIVA01G00320 transcript:ONIVA01G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSERMAPRLAPSARPSTSATKVIAATQLIIKRVVSDKQLVKHLQIEVARLETELRIPDRASSSEIIIMERNRKIRQVEKEMEELKKQRDNAQSKLELQKKMGDNQPRRLAGAAHRSDNDDRGCGGASTGLDVLCSSEDEAPSTYIPMAAFLTSSTNSSSVQREPDDGLEDGELDNC >ONIVA01G00310.1 pep chromosome:AWHD00000000:1:240810:245619:-1 gene:ONIVA01G00310 transcript:ONIVA01G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGECEYRGEAVLVTPSSATPRRSLYLSNLDDQRFLRFSIKYLYVFPPSAAVAADALRAALARALVHYYPLAGRLRHHADDKLVLDCNAEGALFAEAFLPTLTAADFLRAGATAKPHKSWRKLLYRVHAATFVAVPPLVVQVTQLGCGGMVVCTAISHCVCDGIATANFLHAWAAFAAAADRDDDLSIVLHDRRALRPRCPPRVAFTHPEYSSSSSIQPPGQLMPPLAPVSLTFTAAHLRRLKARSLRSCTSFEALAAHVWRAWVLSLAPPPDMRVKLLFSVNVRRRLKPELPWTYCGNAFVLACAEATPTELAAVGAGVRLVQEAKECIDDDYVRSTVDLLDERRGAKPDLAATLVISAWTRLGLEDVDFGKGRAAHMGPLTSEIYCVFLPVLADPNAVTVLLSLPQPAADTFEHHCCSCFLLDLDDDQPAVPVLVDNVLPHHQHDILA >ONIVA01G00290.1 pep chromosome:AWHD00000000:1:217837:225276:-1 gene:ONIVA01G00290 transcript:ONIVA01G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFRSANLASRVFDRQFLSPRPGATVNTVRQFYENLVPSYTICDIDCPDYSFRKFTDDGNYLVAFSRNHQDLIVYRPIWPTFSCNEPCDSHDLPPKAKKFDSFFKQLYSISLASSNEYICKDFFLYMECHQFGLFATSTAQSNDSSATEGAIHGVPSIEKITFYLVRLDDGAILDEKAFRNDFINLAHSIGAYLYEDLLCIVSLRYQTIHVLQIRDSGNLVEVRKIGAFCQEDDELFLHSHGQAARGVSFLPGIKQRLLSFIFRKTWNEESDQTLRVQHLKKKFYFHFQDYVDLIIWKVSRSTEQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEYFYDHFHTNPQNSSHGNFISSHSNNVHALDQLRTIKNKANSTSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFISVKQPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNLHFRR >ONIVA01G00280.1 pep chromosome:AWHD00000000:1:210536:212304:1 gene:ONIVA01G00280 transcript:ONIVA01G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQQQVERQPDLPPGFRFHPTDEEIITFYLAPKVVDSRGFCVAAIGEVDLNKCEPWDLPGKAKMNGEKEWYFYCQKDRKYPTGMRTNRATEAGYWKATGKDKEIFRNHHMLIGMKKTLVFYKGRAPKGDKTNWVMHEYRLADASPPPPPSSAEPPRQDDWAVCRIFHKSSGIKKPVPVAPHQVPAAANYQQQQQMAMASAGIIQVPMQMQMPSMSDQLQMLDDFSTTASLSLMAPPPPPPSYSTLPAGFPLQINSGAHPQQFVGNPSMYYHQQQQMDMAGGGFVVSEPSSLVVSPQDAADQNNNAADISSMACNMDAAIWKY >ONIVA01G00270.1 pep chromosome:AWHD00000000:1:195775:198510:1 gene:ONIVA01G00270 transcript:ONIVA01G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHRHHHHGHRGDDDDDDRRRHPAPAPAYGQAPPPADPYARHPPSHDYAHPPPAYGGGGYGNVVHVSHEVSDHQRPTPHYGGSEYISPVQETRPYHGGGGAPPVTHRIYCKAGEDNYSLAVRDGKVCLVRSDRDDHTQHWVKDMKYSTRVKDEEGYPAMALVNKATGDALKHSIGQSHPVRLVRYNPEYMDESVLWTESRDVGSGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTLVLWEWCEGDNQRWKIVPWYALQEKADHETAIR >ONIVA01G00260.1 pep chromosome:AWHD00000000:1:189280:189645:-1 gene:ONIVA01G00260 transcript:ONIVA01G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGVHVGCHTARFCADGSCGGGEKWWASLLGWSGQADYINAQPTSRKEARPSIGNPAAEQQGGGKRFSVLMEEKAWQPHRLAGLSPRAPSSNLLANHRSTTWKWGQQRMVLPGRRRRRGR >ONIVA01G00250.1 pep chromosome:AWHD00000000:1:185083:186580:1 gene:ONIVA01G00250 transcript:ONIVA01G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIDLQLPAAACGDHHTAAGAGLPPGFRFHPTDEELLLHYLGKRAAAAPCPAPVIAEVDIYKYNPWELPAMAVFGESDGEWYFFSPRDRKYPNGVRPNRAAGSGYWKATGTDKPISISETQQTVLLGVKKALVFYRGRPPKGTKTSWIMHEYRLANAASSSSSYTSNMKQLASSSSSSSSSASMRLDEWVLCRIYKKKEANQQLQHYIDMMMDDDNDDEHNLQVQQQAQSHRMPRPPSISDYLLDYSDDLPPSTDQTPSLHLGFTAVNEGNNKRHKTMEEYYSISISTADMLHASSSTSNNKSTQINFSSIFEPQTPAAAGHQLMSSHNDDTSI >ONIVA01G00240.1 pep chromosome:AWHD00000000:1:178693:179665:-1 gene:ONIVA01G00240 transcript:ONIVA01G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINEAVDKALKLIIGLDPLDVSTTQWTVLKAQYACPDKEIQCSEGGEEKEGAGGGENLGAERERRDSVSASG >ONIVA01G00230.1 pep chromosome:AWHD00000000:1:175342:178415:-1 gene:ONIVA01G00230 transcript:ONIVA01G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / C-type lectin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G52310) TAIR;Acc:AT1G52310] MAAAILRVFFFFFFFILPASLTATASTSTSSCPDGWQITPALDKCFIYIPTPLSWDRSEALCRNNFTAHLAALSSFQDLNLAKSLCGPSPSGCWVGGHRNNTASAFAWKWSDDSSSWNDTAFPADPLRANCSTTGCALATTNDACTLVTNTHAALTAKRCSDSHGLICMINHEDRCYHDHCHKEYFIVLVVVSGFILLTTLAVVVWLLVYRRSKRRRRSREGSSTSATALVPPLWKVFTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSRVAIKRLKRSSLQRKKDFYSEIGRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHVPRGGRCLDWPMRMRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAATYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPTQSVESVGWQTIFEWATPLVQSHRYLELLDPLIQELPDVGVIQKVVDLVYACTQHVPSVRPRMSHVVHQLQQLELKSAASEQLSGTSTSATSPMLPLEVRTPR >ONIVA01G00220.1 pep chromosome:AWHD00000000:1:170822:183716:1 gene:ONIVA01G00220 transcript:ONIVA01G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDMRNIPPPLLHLLKQCLLHLANLYAAIPSADLPDRLALCYVHPVASSSSSSSPPQLKVVYRPGEKFNLRDFHHAVNNLPLDAFRPNQHGSLHTTGDVSLTNLFSNRAIYSWATDDTSKKVIALCMSAQNTQALRRSLMDAAEQCITVEFVLLETGAAFVCDGVSENSNGFIDTICDLENCVVRRYSPETQVLHGLVKRWLEELKDDKEEALQAVFVFRVPIISTVNQISCSMYASANHIIDGFPSCQICRCHGRPIDLANTNKAKWMCPITSRQLTASDVTDTAVKIGEQTVLFLPDSEGVSSLRRASSSISFDVIERTNLASLNEGLIIGTPHIVIPSSNDVEVALDDECSDQNTQLFYGLCETLFKLDQGLVCSSKCNTETMKIGSLECYYLLQPSEKGPMLLRRLAGSEEILPLPVVSRPCNSTGTKEVQNLIETSLSKIVLKDYNPLQHERGFHSRLNCLVKDSLQFGSIAPACGAKDPHHLDSLSEPQILTFRGPEENKVLRLCREEGGDIQSFSFSEPQAASKEKASPRPSITEEWEQLIIIDDDFTSAVTCSTSRANPKLPSPVKPLGLDDKTSRILERLEAPRAKKQRATTSTRTGNSNTTPPPPASSIGAGTQIKKPLLPFEPSASQPLRPTFNKLRRKPTAAT >ONIVA01G00220.2 pep chromosome:AWHD00000000:1:170822:183296:1 gene:ONIVA01G00220 transcript:ONIVA01G00220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDMRNIPPPLLHLLKQCLLHLANLYAAIPSADLPDRLALCYVHPVASSSSSSSPPQLKVVYRPGEKFNLRDFHHAVNNLPLDAFRPNQHGSLHTTGDVSLTNLFSNRAIYSWATDDTSKKVIALCMSAQNTQALRRSLMDAAEQCITVEFVLLETGAAFVCDGVSENSNGFIDTICDLENCVVRRYSPETQVLHGLVKRWLEELKDDKEEALQAVFVFRVPIISTVNQISCSMYASANHIIDGFPSCQICRCHGRPIDLANTNKAKWMCPITSRQLTASDVTDTAVKIGEQTVLFLPDSEGVSSLRRASSSISFDVIERTNLASLNEGLIIGTPHIVIPSSNDVEVALDDECSDQNTQRRSNVFYGLCETLFKLDQGLVCSSKCNTETMKIGSLECYYLLQPSEKGPMLLRRLAGSEEILPLPVVSRPCNSTGTKEVQNLIETSLSKIVLKDYNPLQHERGFHSRLNCLVKDSLQFGSIAPACGAKDPHHLDSLSEPQILTFRGPEENKVLRLCREEGGDIQSFSFSEPQAASKEKASPRPSITEEWEQLIIIDDDFTSAVTCSTSRANPKLPSPVKPLGLDDKTSRILERLEAPRAKKQRATTSTRTGNSNTTPPPPASSIGAGTQIKKPLLPFEPSASQPLRPTFNKLRRKPTAAT >ONIVA01G00220.3 pep chromosome:AWHD00000000:1:170822:183296:1 gene:ONIVA01G00220 transcript:ONIVA01G00220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDMRNIPPPLLHLLKQCLLHLANLYAAIPSADLPDRLALCYVHPVASSSSSSSPPQLKVVYRPGEKFNLRDFHHAVNNLPLDAFRPNQHGSLHTTGDVSLTNLFSNRAIYSWATDDTSKKVIALCMSAQNTQALRRSLMDAAEQCITVEFVLLETGAAFVCDGVSENSNGFIDTICDLENCVVRRYSPETQVLHGLVKRWLEELKDDKEEALQAVFVFRVPIISTVNQISCSMYASANHIIDGFPSCQICRCHGRPIDLANTNKAKWMCPITSRQLTASDVTDTAVKIGEQTVLFLPDSEGVSSLRRASSSISFDVIERTNLASLNEGLIIGTPHIVIPSSNDVEVALDDECSDQNTQLFYGLCETLFKLDQGLVCSSKCNTETMKIGSLECYYLLQPSEKGPMLLRRLAGSEEILPLPVVSRPCNSTGTKEVQNLIETSLSKIVLKDYNPLQHERGFHSRLNCLVKDSLQFGSIAPACGAKDPHHLDSLSEPQILTFRGPEENKVLRLCREEGGDIQSFSFSEPQAASKEKASPRPSITEEWEQLIIIDDDFTSAVTCSTSRANPKLPSPVKPLGLDDKTSRILERLEAPRAKKQRATTSTRTGNSNTTPPPPASSIGAGTQIKKPLLPFEPSASQPLRPTFNKLRRKPTAAT >ONIVA01G00210.1 pep chromosome:AWHD00000000:1:166037:169697:1 gene:ONIVA01G00210 transcript:ONIVA01G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGSSRPANVAAAAATEARFHSHPPQQDRRSGWAGCLSGLSCFGSQKGGKRIVPAARVPDGNASTSRGNAHQSGANSNQSAALNLSLLAPPSSPVSFSNSAIPSTAQSPNCFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLLSSMDLKTAGKDHNMPYLSTAYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEVRTAHWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSKDKQDVEEVEAYRASFGFSADEIVTTQTYVEIPDALDEGFSISPFGNNAPATEVDKSLFNVKVITGPKKSTEQKLSNGSPQNVVHLDIFKGTKGGDVCEDEGMVKDCHPFRKGRDEISLKPIEVRKKVGGGQSCSDAEIEYRRARSLREANGVVSWRSTLARQLQ >ONIVA01G00210.2 pep chromosome:AWHD00000000:1:166037:169572:1 gene:ONIVA01G00210 transcript:ONIVA01G00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGSSRPANVAAAAATEARFHSHPPQQDRRSGWAGCLSGLSCFGSQKGGKRIVPAARVPDGNASTSRGNAHQSGANSNQSAALNLSLLAPPSSPVSFSNSAIPSTAQSPNCFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLLSSMDLKTAGKDHNMPYLSTAYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEVRTAHWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSKDKQDVEEVEAYRASFGFSADEIVTTQTYVEIPDALDEGFSISPFGNNAPATEVDKSLFNVKVITGPKKSTEQKLSNGSPQNVVHLDIFKGTKGGDVCEDEGMVKDCHPFRKGRDEISLKPIEVRKKVGGGQSCSDAEIEYRRARSLREANGVVSWRSTLARQLQ >ONIVA01G00210.3 pep chromosome:AWHD00000000:1:166037:169905:1 gene:ONIVA01G00210 transcript:ONIVA01G00210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGSSRPANVAAAAATEARFHSHPPQQDRRSGWAGCLSGLSCFGSQKGGKRIVPAARVPDGNASTSRGNAHQSGANSNQSAALNLSLLAPPSSPVSFSNSAIPSTAQSPNCFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLLSSMDLKTAGKDHNMPYLSTAYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEVRTAHWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSKDKQDVEEVEAYRASFGFSADEIVTTQTYVEIPDALDEGFSISPFGNNAPATEVDKSLFNVKVITGPKKSTEQKLSNGSPQNVVHLDIFKGTKGGDVCEDEGMVKDCHPFRKGRDEISLKPIEVRKKVGGGQSCSDAEIEYRRARSLREANGVVSWRSTLARQLQ >ONIVA01G00200.1 pep chromosome:AWHD00000000:1:160019:166571:-1 gene:ONIVA01G00200 transcript:ONIVA01G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIAAAQRRRKERRHNPHCTLECLRLSRLANGEGCAVVERQQAIIGAIFVDAARMPLHLKEIDQWRRALEEEKVERMRERICRALVGEHPQRECPGLGAGSVVGGLGSCTHAAAKEEEGAEDAGVVGGGEVGGEEAEEDGVGEEARFGGPRRGAKKLGPRSRRRGARASVDGVAAC >ONIVA01G00190.1 pep chromosome:AWHD00000000:1:155420:159109:-1 gene:ONIVA01G00190 transcript:ONIVA01G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPHPHPYAPAELHLPGFVPLQLSQAQILVPYLATSLFLLLAVWLISGRCSRRLSDTDRWLMCWWAFTGLTHIIIEGTFVFAPNFFSNQNPSYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVGVSLPLPPSPLPYLMRGSRQKCRDREEEVEDGGVASSHSVAPRGKLLSCSASERGCVWYLSLAATHLHRRYGLDPAIPELAVACPRAQCRVLESDEERGAAWMERRPRFGHGGDEEPTSEAEEDAAAGMTRGFGVEAVVWRSAQRRGGVVLCYAIASGKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWTSPFYFWAYFIGANSSWVVIPTMIAIRSWKKICAAFQVEKVKTK >ONIVA01G00190.2 pep chromosome:AWHD00000000:1:155420:159109:-1 gene:ONIVA01G00190 transcript:ONIVA01G00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPHPHPYAPAELHLPGFVPLQLSQAQILVPYLATSLFLLLAVWLISGRCSRRLSDTDRWLMCWWAFTGLTHIIIEGTFVFAPNFFSNQNPSYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVYAIASGKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWTSPFYFWAYFIGANSSWVVIPTMIAIRSWKKICAAFQVEKVKTK >ONIVA01G00180.1 pep chromosome:AWHD00000000:1:155041:165322:1 gene:ONIVA01G00180 transcript:ONIVA01G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQWSIDLDRALRSRHPTPVGKQIVLGTLEDNFKADMLLSLSRLASKPCFGAELHIYDSNPENRDGISVPSGLQLSLTLCLQWKCVLGVTLISRSYSKLYCVLAASSASCCLDATGTRSKEFEIHKKTAGMVGLNTKLMQYIEDDLRKKREKKRKKVRVEEKEMVTAFARFEASDSGMGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVRKS >ONIVA01G00170.1 pep chromosome:AWHD00000000:1:140597:146446:1 gene:ONIVA01G00170 transcript:ONIVA01G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dihydrosphingosine phosphate lyase [Source:Projected from Arabidopsis thaliana (AT1G27980) TAIR;Acc:AT1G27980] MELAMDFALRLRDAANHHLSRYEPLVLLAAPLLALLAARTLHAAAAAVADRGLRTVLLALAMTAIKLLPGVSAYINAEKRKVVDQLQSGGTSTKSTLRTELPTVGLSNQVINDLETLKARDVNWQGKCSGTVYIAGSESEGHFALINKAYSMFSHTNPLHQDVFKSVAQLEAEVVAMTAALLGIKEKSSGGQICGNMTSGGTESILLAVKTSRDYMRTKKGITKPEMIIAESAHSAYDKAAQYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFARKLGYPIPPFDFCVKGVTSISTDVHKYGLAPKGTSIVLYKNHEIRKHQFVAVTEWTGGLYVSPTIAGSRPGGLIAGAWAAMTSLGLNGYMENTGHIMEVSKKIQRGIEDIPGLFVIGKPDMTVVAFGSDSVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHTVIYEEFLKDLKDSVDTVKANPGPISGGRAPIYGAAGKMPDRGMVRELLVEFMDASC >ONIVA01G00160.1 pep chromosome:AWHD00000000:1:134782:139734:1 gene:ONIVA01G00160 transcript:ONIVA01G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKIVIVIGSGIVGTLLTSGEAKIALPDFRDVLSGAFKVNHLREDLQLLSRSNQVAIVTVDGRPGPGAYGITAVVAGAIGYLYIRWKDWKLSDLMFVTKRGLSDACDVVGKQLEHVSENVNAAKRHLAGRIDHVDCTLDECQEITESTRKEVTVIHEDISAFQEEMQSVHLVVRTLETKLGRLAYTQDRTARGIYDLCEFTKRLDKSPKTDTRQASCFFASKFRTGVFWPSITCCAFSYNYVGIRTEYARCFEPSKFYERRIPGAIKWVIKFRGTNHWEECSKFKTVWRVWFFEEFCQLRYMALSWFYPKELD >ONIVA01G00160.2 pep chromosome:AWHD00000000:1:134782:139734:1 gene:ONIVA01G00160 transcript:ONIVA01G00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKIVIVIGSGIVGTLLTSGEAKIALPDFRDVLSGAFKVNHLREDLQLLSRSNQVAIVTVDGRPGPGAYGITAVVAGAIGYLYIRWKDWKLSDLMFVTKRGLSDACDVVGKQLEHVSENVNAAKRHLAGRIDHVDCTLDECQEITESTRKEVTVIHEDISAFQEEMQSVHLVVRTLETKLGRLAYTQVLSSTPLPAIESPERITRVVHSPTTMSASGLSMLVETSMPPKRGVLSRASSMKEGSQELSNGSSSSGEPTIGRNVPNSRLFGGFGFLKSSAS >ONIVA01G00160.3 pep chromosome:AWHD00000000:1:134782:139734:1 gene:ONIVA01G00160 transcript:ONIVA01G00160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKIVIVIGSGPGAYGITAVVAGAIGYLYIRWKDWKLSDLMFVTKRGLSDACDVVGKQLEHVSENVNAAKRHLAGRIDHVDCTLDECQEITESTRKEVTVIHEDISAFQEEMQSVHLVVRTLETKLGRLAYTQDRTARGIYDLCEFTKRLDKSPKTDTRQASCFFASKFRTGVFWPSITCCAFSYNYVGIRTEYARCFEPSKFYERRIPGAIKWVIKFRGTNHWEECSKFKTVWRVWFFEEFCQLRYMALSWFYPKELD >ONIVA01G00150.1 pep chromosome:AWHD00000000:1:131193:132981:1 gene:ONIVA01G00150 transcript:ONIVA01G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEQPQQQVVKLFNCWSFEDVQVNDISLADYLAVSSTKHATYLPHTAGRYSAKRFRKAQCPLVERLTNSLMMHGRNNGKKIMAVRIVKHAMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGAVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >ONIVA01G00140.1 pep chromosome:AWHD00000000:1:125930:131029:1 gene:ONIVA01G00140 transcript:ONIVA01G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFAVQSRCAPTNSLCCYAIAISLLQDYHVEELASLIKDNLYSKHLVLSTEETLVGILQNQYHNSDDDEDEDDIVAAYRGTNRNILELQPASSYQRLLLHRLADIYGFVHESVGEGEDRHLVLQRCPETAIPPVLVSDVLWEYDNKDTSTYVVVKRKDTDLEEAWKEDAQENISAEISHLKNDADLKALQKSVAPPAPSLKEREAAYRAARERIFSAHDAKGNGTAVANPRHVPAVAQRMIAHALGKKVESPTETAAVKNGKGKEPAESSRNKLNPRTAGGKEDSRYVENGRMRLHTGNPCKQSWRTSNSRAASSVSPDELKREQVGAAKRMFVHALRLPGVEGSDGPVRKGK >ONIVA01G00140.2 pep chromosome:AWHD00000000:1:125930:131075:1 gene:ONIVA01G00140 transcript:ONIVA01G00140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINFAVQSRCAPTNSLCCYAIAISLLQDYHVEELASLIKDNLYSKHLVLSTEETLVGILQNQYHNSDDDEDEDDIVAAYRGTNRNILELQPASSYQRLLLHRLADIYGFVHESVGEGEDRHLVLQRCPETAIPPVLVSDVLWEYDNKDTSTYVVVKRKDTDLEEAWKEDAQENISAEISHLKNDADLKALQKSVAPPAPSLKEREAAYRAARERIFSAHDAKGNGTAVANPRHVPAVAQRMIAHALGKKVESPTETAAVKNGKGKEPAESSRNKLNPRTAGGKEDSRYVENGRMRLHTGNPCKQSWRTSNSRAASSVSPDELKREQVGAAKRMFVHALRLPGVEGSDGPVRKGK >ONIVA01G00130.1 pep chromosome:AWHD00000000:1:121779:124616:1 gene:ONIVA01G00130 transcript:ONIVA01G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPGSMDFRLLPLSTLLTYNCQNTSQGPSVSLKCNGCRIPPRDHYVSWQFIDLPRQPAAAVGFQFNLTAKQHGDDKHVSSVSGTINSDNFTDDKLKTFRGRDSNVLKIQLFPQTYINHHNLKLLQPLVQDFTQVSILASIGGLYAFSVAIFLCLMAQGVIDIERVGEMQESSSSRQA >ONIVA01G00120.1 pep chromosome:AWHD00000000:1:116436:120177:1 gene:ONIVA01G00120 transcript:ONIVA01G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) TAIR;Acc:AT5G48450] MEEYYFLSFFLTQLLLFFFRRKKKRKGKGKLLGLTPTCGWSCCTPVPASLHSPSPPTLTSASNSNSNPMDSIRRRSAGGILGILFLVLLRWAGAGDPYAYYEWEVSYVWGAPLGGVKKQEAIGINGQLPGPALNVTTNWNLVVNVRNGLDEPLLLTWHGVQQRKSPWQDGVGGTNCGIPPGWNWTYQFQVKDQVGSFFYAPSTALHRAAGGYGAITINNRDVIPLPFPLPDGGDITLFLADWYARDHRALRRALDAGDPLGPPDGVLINALGPYRYNDTLVPPGVTYERINVDPGRTYRLRVHNVGVATSLNFRIQGHNLLLVEAEGSYTSQQNYTNMDIHVGQSYSFLLTMDQNASTDYYVVASARFVPDADKLTGVAILHYSNSQGPPSGSLPDAPDDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSMPPELIDGQMRATLNGISYIAPSTPLMLAQLFNVPGVYKLDFPNRPMNRLPKLDTSIINGTYKGFMEIIFQNNATSVQSYHLDGYAFFVVGMDYGLWTDNSRGTYNKWDGVARSTIQTTAFYLEKTFEFRKYCLKYNLLMNSIQYSGTAFSLTCLCARLQVFPGAWTAVLVFLDNAGIWNLRVENLDAWYLGQEVYISVVNPEDSSNKTVLPLPDNAIFCGALSSLQKEQSHRFQYSEASQITQLWKMVFFMAWLALW >ONIVA01G00110.1 pep chromosome:AWHD00000000:1:113416:115202:-1 gene:ONIVA01G00110 transcript:ONIVA01G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKTSLFAVGACEQQQSSFSLAFATVSGARPLRRGFRNNITCYPVPTLLDAGTSATGTNRKRIEERRRGLADIWVPRHDRRDGGDDVEDARRVERHSGVGTQLHRHREGRCPERRRDHRQGQLQHRRRRRHGLPQSCRRCCSAPRVHLVSVPLASLLHIRADRATYEAWKLGRKIDALLLDIIESRRRCEGGGRKTTTTDLLWLLLAGNEASAAAERKLTTALALSWTLLMLATHPEWRAAVREEVEEVTGWSGPMDAAAMGKLTKMGCMLNEVLRLYPPSPNVQRPAACDAEVVRGKR >ONIVA01G00100.1 pep chromosome:AWHD00000000:1:104921:116326:1 gene:ONIVA01G00100 transcript:ONIVA01G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGQDNGDTAGDYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKGSKMLKPEKWHTCFDNDGKVIGFRKALKFIVLGGVDPTIRAEVWEFLLGCYALSSTSEYRRKLRAVRREKYQILVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKETHIAEEVSTSQQTSQNTAGSLVEDSDYGPGGAQQSQKRESCSKSAELVGFNVHNDTSLYDSSNFIVSSTEVNNCSKDSQDYNDMGEPRYDTETFDDYPSLPVTNFFSTDGVASNGVDKNHCSFSVPEDRLRHRDERMHSFQINNNIDLIIESNSCSSDVFRASNSDSAIFHSDAYKQDRWLDDNGYNREVIDSLRISDAPEADFVDGTKSNSVVASKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMEITDVELFEHLSTIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFNEDVILHLEENCLEPLLVDMRNDLSCEVKEEHRVNSYTRRKSKSRKPHHRNGEMRVACNLGMKPNTRNPLCGLSGATIWARHQQMPHISTNVLAKNGDDDLPIFCVAAILVINRHKIIRETRSIDDAIKASLSHNFLSYCLQTSDP >ONIVA01G00100.2 pep chromosome:AWHD00000000:1:104921:115645:1 gene:ONIVA01G00100 transcript:ONIVA01G00100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGQDNGDTAGDYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKGSKMLKPEKWHTCFDNDGKVIGFRKALKFIVLGGVDPTIRAEVWEFLLGCYALSSTSEYRRKLRAVRREKYQILVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKETHIAEEVSTSQQTSQNTAGSLVEDSDYGPGGAQQSQKRESCSKSAELVGFNVHNDTSLYDSSNFIVSSTEVNNCSKDSQDYNDMGEPRYDTETFDDYPSLPVTNFFSTDGVASNGVDKNHCSFSVPEDRLRHRDERMHSFQINNNIDLIIESNSCSSDVFRASNSDSAIFHSDAYKQDRWLDDNGYNREVIDSLRISDAPEADFVDGTKSNSVVASKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMEITDVELFEHLSTIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFNEDVILHLEENCLEPLLVDMRNDLSCEVKEEHRVNSYTRRKSKSRKPHHRNGEMRVACNLGMKPNTRNPLCGLSGATIWARHQQMPHISTNVLAKNGDDDLPIFCVAAILMFNDNMLKINVKRCVRMAIKLRKKYIYKGICTYAQYT >ONIVA01G00100.3 pep chromosome:AWHD00000000:1:104921:115645:1 gene:ONIVA01G00100 transcript:ONIVA01G00100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGQDNGDTAGDYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKGSKMLKPEKWHTCFDNDGKVIGFRKALKFIVLGGVDPTIRAEVWEFLLGCYALSSTSEYRRKLRAVRREKYQILVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKETHIAEEVSTSQQTSQNTAGSLVEDSDYGPGGAQQSQKRESCSKSAELVGFNVHNDTSLYDSSNFIVSSTEVNNCSKDSQDYNDMGEPRYDTETFDDYPSLPVTNFFSTDGVASNGVDKNHCSFSVPEDRLRHRDERMHSFQINNNIDLIIESNSCSSDVFRASNSDSAIFHSDAYKQDRWLDDNGYNREVIDSLRISDAPEADFVDGTKSNSVVASKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMEITDVELFEHLSTIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFNEDVILHLEENCLEPLLVDMRNDLSCEMFNDNMLKINVKRCVRMAIKLRKKYIYKGICTYAQYT >ONIVA01G00100.4 pep chromosome:AWHD00000000:1:104921:115645:1 gene:ONIVA01G00100 transcript:ONIVA01G00100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGQDNGDTAGDYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKGSKMLKPEKWHTCFDNDGKVIGFRKALKFIVLGGVDPTIRAEVWEFLLGCYALSSTSEYRRKLRAVRREKYQILVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKETHIAEEVSTSQQTSQNTAGSLVEDSDYGPGGAQQSQKRESCSKSAELVGFNVHNDTSLYDSSNFIVSSTEVNNCSKDSQDYNDMGEPRYDTETFDDYPSLPVTNFFSTDGVASNGVDKNHCSFSVPEDRLRHRDERMHSFQINNNIDLIIESNSCSSDVFRASNSDSAIFHSDAYKQDRWLDDNGYNREVIDSLRISDAPEADFVDGTKSNSVVASKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMEITDVELFEHLSTIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFNEDVILHLEENCLEPLLVDMRNDLSCEVKEEHRVNSYTRRKSKSRKPHHRNGEMRVACNLGMKPNTRNPLCGLSGATIWARHQQMPHISTNVLAKNGDDDLPIFCVAAILVINRHKIIRETRSIDDAIKARNLYICTVYLKTRDEAVEG >ONIVA01G00090.1 pep chromosome:AWHD00000000:1:100726:101071:-1 gene:ONIVA01G00090 transcript:ONIVA01G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRRRREVVVKTSVEHLDPASLILATDGAGPFLIHLDLTAPRSAGGGHHLPVQRRPWDPALVAEHIAFEDAASF >ONIVA01G00080.1 pep chromosome:AWHD00000000:1:98770:99876:-1 gene:ONIVA01G00080 transcript:ONIVA01G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAEFEESLPAPCLATSDVLDSPSVPATASQAFCAYRFLCLCLLRVLGSKTGDDDPDGASSLEDEAVHKHYQQQKHDVSSRKQW >ONIVA01G00070.1 pep chromosome:AWHD00000000:1:78105:78695:1 gene:ONIVA01G00070 transcript:ONIVA01G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIRNPTVMRKATAEVRRAFAAAGTVSEDGLGELPYLHLVIRETFRLHPPLPLLLPRECREPCRLLGYDVTRGTQVLVNAWALGRDERYWPGGSPEEFRPERFEDGEATAAVNFRGADFEFLPFGGGRRMCPGIAFALATVELPLASLLFHFDWEVPGMADPTKLDMTEAFGITARRKADLHLRPLLRVSVPGV >ONIVA01G00060.1 pep chromosome:AWHD00000000:1:73630:75670:1 gene:ONIVA01G00060 transcript:ONIVA01G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDASDGDGYVYVGLAVVSLFVVLLAWRSRSPAVHGEGDGGLRLPPGPWTLPVIGSLHHLAGQLPHRAMRDLARRHGPVMLLRIGEVPTLVVSSRDAAREVMKTHDMAFATRPLSATLRVITCDGRDLVFAPYGDYWRQVRKIAVTELLTVRRVSSFRSIREEEVAAVLRTVAAAAAVGEAAPARTVEMRAALSALVTDITARTAFGNRCKDREEYLVLLERIIEIAGGFNPADLWPSSRLAGRLSGVVRRAEACRNSAFKILDGIIQEHQERTGAGSEDLVDVLLRIQKEGELQFPLAMDDIKSIIFDIFNAGIETSGTTLQWAMAELIRNPKVMHKATAEVRHAFAAAGAVSEDALGELRYLQLVIRETLRLHPPLPLLLPRECREPCRVLGYDVPRGTQVLVNAWAIGRDERYWPGGSPEEFRPERFDDGEATAAVDFRGADFELLPFGGGRRMCPGMAFGLANVELPLSSLLFHFDWEVPGMADPTKLDMTEAFGITSRRKENLHLRPLLRVSVPGV >ONIVA01G00050.1 pep chromosome:AWHD00000000:1:68827:69733:1 gene:ONIVA01G00050 transcript:ONIVA01G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHMQDIFGAGSETSATTLEWVMAELIRNPKAMRKATAEVRRAFAADGVVLESALGKLHYMHLVIRETFRLHTPLPLLLPRECREPCRVLGYDVPRGTQVLVNVWAIGRDERYWPGGSPEEFRPERFEDGEAAAAVDFRGADFELLPFGAGRRMCPGLAFGLANVELALASLLFHFDWEAPDVADPAEFDMTEGFGITARRKADLPLRPTLRMPVLVSGV >ONIVA01G00040.1 pep chromosome:AWHD00000000:1:64707:65654:1 gene:ONIVA01G00040 transcript:ONIVA01G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDYFYLGLALASLLVVLFARRRRSAAHGDGGLRLPPGPWQLPVIGSLHHLAGKLPHRAMRDLARRHGPVMMLRLGEVPTLVVSSRDAAREVMRAHDAAFASRPLSATVRVLTSGGRGIIFAPYGGSWRQLRKIAVTELLTARRVASFRAIREEEVAAMLRAVAAAAAAGRAVELRAALSALVADTTVRAVIGDRCKDRDVFLRKLQRTIELSAGFNPADLWPSSRLAGRLGGAVREAEECHDTVYGILDGIIQEHMERTSSGSCGAGDGDGDGDGDGEDLLDVLLRIQKEGGLEFPLDMLAIKQVIFVSNYYQ >ONIVA01G00030.1 pep chromosome:AWHD00000000:1:62743:64526:1 gene:ONIVA01G00030 transcript:ONIVA01G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRERRRGERGGGGGGRGGEARRRRRRADRPRRRLSPALASRPPAPPPVGVDAVAVSSFVGSMSAADRPNDDDVASAWALTTSATARRRVEKPTAARPAARARHRSPLPPPPTPRRRADTTFLIWFGRRTDTTSGLAAAASSTATAAYSANLNTKI >ONIVA01G00020.1 pep chromosome:AWHD00000000:1:43371:62621:1 gene:ONIVA01G00020 transcript:ONIVA01G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHTYLYLGLALVSLLAVQLARRRRSSAAHGSGALRLPPGPWQLPIIGSLHHLVGKLPHQAMRDLARRHGPVMMLRLGEVPTLVVSSPEAAREVTKTHDTSFASRPLSATTRVFSNGGRDIVFAPYGDYWRQLRKITVTELLSARRVASFRAIREEEVAAMLRAVAASAAAGRAVEMRPLLSALVSDSTVRAVMGDRFPHRDVFLRELDRSIELVAGFNPADLWPSSLLAGCLTGTMRQAKKCWDTMSSVLESTIQEHLQKNGSSGGGAGATDEDLIDVLLRIQKEGGLQFPETSATTLGWAIAELIRNPMAMKKATAEVRQAFAAAGVVSEAALSELRYLHLVIKETLRLHPPGPLLLPRECREQCKVLGYDVPRGTQVLVNVWAIGRDPRYWPGGSPEEFRPERFGDGEPAAALDFKGTDYELLPFGAGRRMCPGLAFGLANVELPLASLLFHFDWEVPGMADPTKLDMTEAFGIGVRRKADLIIRPILRVPVPGPSAPASCLRDTAMADGYFYLGLALVSLLVVLFARRRRSAAAAHGDAGLRLPPGPWQLPVIGSLHHLAGKLPHRAMRDLARRHGPVMMLRLGEVPTLVVSSRDAAREVMRTHDAAFASRPLSASVRAATKGGRDIAFAPYGDYWRQLRKIAVTELLSARRVLSFRPIREEEVAATLRAVAAAAADGRTVELRAALCALVADSTVRAVVGERCAGLDVFLRQLDRAIELAAGLNVADLWPSSRLAGRLSGAVRQAERCRDTMFGVLDGIIQAHLEKTGGAGEDILDVLLRIHKEGGLEFPLDMDAVKCVVVDVISGGCETSATTLGWAFAELIRNPAAMKKATAEVRRDFEAAGAVSESALAVGELPYLRLVVRETLRLHPPLPLLLPRECREPCRVLGYDVPRGAQVLVNAWAIGRDERYWPGGSPEEFRPERFGDGEAAAAVDFKGADFELLPFGGGRRMCPGMAFGLANVELPLASLLFHFDWEASGVADPTEFDMTEAFGITARRKANLLLRPILRVPVPGVYTY >ONIVA01G00010.1 pep chromosome:AWHD00000000:1:11755:20752:1 gene:ONIVA01G00010 transcript:ONIVA01G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAHDAKMEDASHGYVYLAMAVVALLGVLLTKRSRTATAQRLPPGPWQLPVIGSLHHLAGKLPHHAMRDLARRHGPVMMLRLGEVPTLVVSSPEAAQEVMRTHDAVLATRALSATVRAATMGGRDIAFAPYGDRWRQLRKIAATQLLSARRVASFRAIREEEVATMLRAVAAAAADGRAVEMRAALCVVVADSTARAMVGESCQERDAFLREIDRSMELVSGFNPEDLWPSSRLAGRLSGAVRKIEASLHTVLGILDRIIQKRLQEKIGGAGAAAAGEDILDVLLRIHKDGGAGGLQVPLDMDDITLVITDLFSGGGETVATLLVWAMAELIRNPMAMQKATAEVRRAFALPGVVSEGEGALGELRYLHLVIRETFRLHPPGPLLLPRECSEPCQVLGYDVPRGTQVLVNVWAIGRDERCWPAAAGGGSPEEFWPERFEDGAEAVDLRGNNFELLPFGAGRRMCPGVAFALANIELTLASLLFHFDWEVPGMVDPAKLDMAEALGITARRKGDLLLRPVLRMPVPGV >ONIVA01G00010.2 pep chromosome:AWHD00000000:1:4848:11824:1 gene:ONIVA01G00010 transcript:ONIVA01G00010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDAKMADVLSQGYVYLAMALVALLGVLLTKRSRTATAQRRLPPGPWQLPVIGSLHHLIGKLPHHAMRDLTRRHGPVMMLRLGEVPTLVVSSPEAAQEVMRTHDAVFATRALSATVRAGTMGGRDIAFAPYGDYWRQLRKIAATELLSAPRVASFRAIREEEVAATLRTVAAAAADGRAVELRAALCALVTDSTSRAVVGDRCKESDALIRAFDRSMELASGFNPADLWPSSRLAGLLSGGVREIEANLHTVFGILDRLIEKRLQQKKTAPSSAAGEDILDALLRIHKEGGGLQFPLDMDSIKLIIADLFSGGGETVATLLVWAMAELIRNPMAMQKATTEVRRAFALAGAVSEGKGALGELRYLHLVIKEASRLHPPAPLLLPRECSEPCQVLGYDVPRGTQVLVNAWAIGRDERCWTGGSGDGSSPEEFRPERFEDGAEAVDLRGNNFELLPFGAGRRMCPGMAFALANIELTLASLLFHFDWEVPDMADPAKLDMTETLGITARRKGDLLLRPVLRMPVPGVY >ONIVA12G06270.1 pep chromosome:AWHD00000000:12:5607215:5608926:-1 gene:ONIVA12G06270 transcript:ONIVA12G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPIATTDMAESPVSITDTAEFPVATTDDHSAAEIQHSESDLGEKESLVDPDRSGDGRQAAAAGEEHTSDLLLLLLRRRLHAELRKLREVVKAELDRDARSARLILLSLLVALAMPILLWFSAGEPLLLVWRLSLLLSAYFFLCAALLFVTKGLLAVVVDFSYGALLAYFADHVFSPRVGMVVIFLNSISTAGLAGYALAERRQSDGAERSADEIPTLSHAKEEYADCCRITLAMLSFLVLVAPTVFIAWELLWHIADYPVDEIVGDLSIVVMLYVLCFTLLITQQLLLRGALIGVENHMYTIITVFLVVAALPLFFSIFFGDVAAMVVFWIGILALTVFFGYRLRLYSSYQQHKVVMMRPDDKLDDQKQELAKGKDTSADDDHEPVGASSSGHRHHRHQQLARSSLSY >ONIVA12G06280.1 pep chromosome:AWHD00000000:12:5643187:5644248:1 gene:ONIVA12G06280 transcript:ONIVA12G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLSRAMSASRQTCARHWRASYSIMTRTKAATSAALYMPYYAPTSNPAVLADWTNYAASTRVMQLLPCTIVALATYPVVTLVAHTIEHRIRAKHRPPHEFRHTLKVALPEYNAPEEDEIPKNAKVYLILSRGLEKNNGTRTPAP >ONIVA12G06290.1 pep chromosome:AWHD00000000:12:5690042:5690831:-1 gene:ONIVA12G06290 transcript:ONIVA12G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFNSGGAPRLLPRVAASRRRAADLITTLSRRLLEMAMRMPLQTAVVTDICRQGGLENMQHFVGSTSICFIGVVLLVGFVHA >ONIVA12G06300.1 pep chromosome:AWHD00000000:12:5693926:5695902:-1 gene:ONIVA12G06300 transcript:ONIVA12G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATTAAVVDSRRSAQRAEGPATIIAIGTANPANIVPQDDFADYYFGLTKSEHLTELKDKMKRICKKSGIEKRYIHLDEEIIRAHPEIIDKHQPSLEARVEIAAAEVPKLAESAARKAIAKWGRPATDVTHLIFSTYSGCRAPSADLQLASLLGLRPSVSRTILSLHGCSGGGRALQLAKELAENNRGARVLVALSELTLVCFSTPDESKIVGHGLFGDGAGAIIVGAGPFSDGECPLFEMVAASQTMIPGTEHALGMQATSTGIDFHLSVQVPMLIKDNIQQSLLESFQSVGYTDPDWNNLFWAVHPGGRAILDNIEGKLQLQPWKLAASRQVLCEFGNMSGATIAFVLDELWHRREKDEDESQQHEWGVMLAFGPGITIETIVMRNPLARGLKQN >ONIVA12G06310.1 pep chromosome:AWHD00000000:12:5698970:5702262:-1 gene:ONIVA12G06310 transcript:ONIVA12G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRRFLNLIVENGADPGIRSLSCIDLTRYPLFHPPLPTATTMEACSSSSIISQQQQTADAFNTKNKHADGAAFMVQEKFQLPDPIFSFKAQAADPDYGWNIDCFPLADRKVVCVDQSGRAFLFDADTDPVVTMPSLNKPKWRPFSLFVPGTDNDGGDGEGSSLYIMEKSPKSEAGCSARCSDQFEAFVYRKPTVTASFMSWYCQLLPPPPYVRDYAYSQRRHRITSYAVVAGDDDGTYCLDVASNMWSRVGEWTLPFLGKVEYVPELKLWFGLSAEDQLLAAADLSAMDSQPELVSSWKELEQNRLWQVTQDPQLVNLGSGICIARFIEKLELGGDFDNKLTWQNFVILTGVEVTKVVNHDNCSGNRNGRVELQMTTHKSRFHLANGAYIDAVSEPFDLPAEAPRNQRTSME >ONIVA12G06320.1 pep chromosome:AWHD00000000:12:5703640:5705660:-1 gene:ONIVA12G06320 transcript:ONIVA12G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSWPPRGRRSGLGDGVSCAAGTHLSLAIFLASATTAPPRRTTSLMVAAQEQARVSHGELDGAGAPALLSWPAMRWGGRLEEGGDNLDDGCTHQRWSGSRVATGQYSDIGTKDYTSKLSAIGNVVLHCEPSSYHPLMGWRYRIEMIAKNIPG >ONIVA12G06330.1 pep chromosome:AWHD00000000:12:5706947:5708377:1 gene:ONIVA12G06330 transcript:ONIVA12G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSEEAGVTERGKWHDVPSALTSPCVMNSNVPLINSIHFSLRFNYTIIFIAHMCSLRATKLGAEITRFAGREWLAERIDATRMVEFLVTNIVGEEREVANKLSNHSVHQGGSRRIRQGTPDAQVRHRLWLGLRYALPGQ >ONIVA12G06340.1 pep chromosome:AWHD00000000:12:5709979:5714182:1 gene:ONIVA12G06340 transcript:ONIVA12G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photolyase 1 [Source:Projected from Arabidopsis thaliana (AT1G12370) TAIR;Acc:AT1G12370] MPPTSVSPPRTAPGPANPSPAHPSRVRVIHPGGGKPGGPVVYWMLRDQRLADNWALLHAAGLAAASASPLAVAFALFPRPFLLSARRRQLGFLLRGLRRLAADAAARHLPFFLFTGGPAEIPALVRRLGASTLVADFSPLRPVREALDAVVGDLRREAPGVAVHQVDAHNVVPVWTASAKMEYSAKTFRGKVSKVMDEYLVEFPELPAVVPWDREQPEGVDWDALIARVCSEAENVPEIDWCEPGEEAAIEALLGSKDGFLTKRIKSYETDRNDPTKPRALSGLSPYLHFGHISAHRCALEAKKCRHLSPKSVDAFLEELVVRRELADNFCYYQPQYDSLSGAWEWARKTLMDHAADKREHIYTREQLENAKTHDPLWNASQLEMVHHGKMHGFMRMYWAKKILEWTSGPEEALSTAIYLNDKYEIDGRDPSGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFDVDAYISYVKRLAGQSKKRNAEESPNPVVKLSKSQH >ONIVA12G06350.1 pep chromosome:AWHD00000000:12:5715347:5721529:-1 gene:ONIVA12G06350 transcript:ONIVA12G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAEAEISPPPPAADGGAIADTAEAGVAAEKESDGMEVEDRGEVVSQEATAVKPDEAEEPEEDPEEVGEGAEEAPAAGPGDVAAAAAESGPVAMEAGDGEPALEVAITAHEPVEEEPKDMEEEEPEDADSEESMEEEAGAEVSEEEAAADDMKEIADKDSEEAQDEDKHGDNHKGEVADQLSNDEDVGGRENDESPNDELDTSLLVLDSVPDGNDKTLELFVGGLPKDCVEEDIRVVFSQCGEVESIRIVKKRKRIAFVRYADISATKKALTEFKDGIKVNGKSVRVSVAEPHRKSSEQKALTKVKTVYLEHFPRSWDERNIEECCEGYGNIQKVNILRSKKKVVSFVEFSSRKSALACVEGISKAKINDREVKLAASLARPQSKVQLANESSKGGFNVHSGATSKSPDKSKMKKDQTDETIVKKSQLKLLKGDESKLPSKDDVEVPQTSTHSKGKAKVGKRQNTSIDERPSKKSRESGESKLTSQDEGEVGKSKSKSVNERPLKKASKNRDDVKLPSQSGLEEPQTSNRSKRKRKVRKNRSTTVNERPVEKAWKNRNMKHPAGSRYVTSNQAYPTVGATSRSKPHSHDLAPHAGFIPPSSRVQRTPAHDHQRTAPYNIRQISGSPYARERVAPRPAYSVHTSNAAGYEAGYAYTYLPPPPPPPPSISYHPPPPASISYHPGSGPYIIRRYY >ONIVA12G06350.2 pep chromosome:AWHD00000000:12:5715347:5721529:-1 gene:ONIVA12G06350 transcript:ONIVA12G06350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAEAEISPPPPAADGGAIADTAEAGVAAEKESDGMEVEDRGEVVSQEATAVKPDEAEEPEEDPEEVGEGAEEAPAAGPGDVAAAAAESGPVAMEAGDGEPALEVAITAHEPVEEEPKDVMETGEEAIEPEEDEEPEEAEVEEDEEPEELEMEEEEPEDADSEESMEEEAGAEVSEEEAAADDMKEIADKDSEEAQDEDKHGDNHKGEVADQLSNDEDVGGRENDESPNDELDTSLLVLDSVPDGNDKTLELFVGGLPKDCVEEDIRVVFSQCGEVESIRIVKKRKRIAFVRYADISATKKALTEFKDGIKVNGKSVRVSVAEPHRKSSEQKALTKVKTVYLEHFPRSWDERNIEECCEGYGNIQKVNILRSKKKVVSFVEFSSRKSALACVEGISKAKINDREVKLAASLARPQSKVQLANESSKGGFNVHSGATSKSPDKSKMKKDQTDETIVKKSQLKLLKGDESKLPSKDDVEVPQTSTHSKGKAKVGKRQNTSIDERPSKKSRESGESKLTSQDEGEVGKSKSKSVNERPLKKASKNRDDVKLPSQSGLEEPQTSNRSKRKRKVRKNRSTTVNERPVEKAWKNRNMKHPAGSRYVTSNQAYPTVGATSRSKPHSHDLAPHAGFIPPSSRVQRTPAHDHQRTAPYNIRQISGSPYARERVAPRPAYSVHTSNAAGYEAGYAYTYLPPPPPPPPSISYHPPPPASISYHPGSGPYIIRRYY >ONIVA12G06360.1 pep chromosome:AWHD00000000:12:5741044:5743892:-1 gene:ONIVA12G06360 transcript:ONIVA12G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATTAVVGSRRGTQHAEGPATIIAIGTANPANIVPQDEFADYYFGLTKSEHLTELKDKMKRIFNTVDPSFYIVRSMYVKRKCQVRTQLSLLCSHEHRSTRLSTPLFFLLSIYSSKIIYIKTQ >ONIVA12G06370.1 pep chromosome:AWHD00000000:12:5751169:5752699:-1 gene:ONIVA12G06370 transcript:ONIVA12G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATTAVVDSRRGTQHAEGPATILAIGTANPTNIVPQDEFTDYYFGLTKSEHLIELKDKMKRICLKSGIEKRYIHLDEEIICAHPNIIDKQQPSLETRVEIAATEVPKLAESAARKAIAEWGHPATDITHLIFSTYSGCRAPSADLQLASLLGLRHSVSRTILSLHGCSGGGRALQLAKEIAENNHGARVLVALSELTLVCFSTPNESKIVGHGLFGDGAGAIIVGAGSLADGERPLFEMVAASQTTIPGTGHALGMQATGSGIDFHLSIQVPTLIKDNIQQTLLDTFRSVGNNNPNWNDLFWAVHPGGRAILDNIEGKLQLQPWKLAASRQVLHDYGNMSGATIAFVLDELRRRREKEEHELQQHEWGVMLAFGPGITIEAIVMRNPQLSGLKEN >ONIVA12G06380.1 pep chromosome:AWHD00000000:12:5769860:5770463:-1 gene:ONIVA12G06380 transcript:ONIVA12G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCYCATSSSSLYHAATSFHDSIVDYRSPPSCPLHYQSQDTDQSLHPYSKIQQRNIYAIKFVIFEKAYVGS >ONIVA12G06390.1 pep chromosome:AWHD00000000:12:5770508:5770941:-1 gene:ONIVA12G06390 transcript:ONIVA12G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNGTMAVDSGGWRRLRQRRGFNPRCRTPGNVLTNKEVFTWAKRNNRRLLHVGDIDKTSKLHRSFICTSCSIWLSTEDMVESAGDGGCLLFLFCSSSL >ONIVA12G06400.1 pep chromosome:AWHD00000000:12:5773469:5773723:1 gene:ONIVA12G06400 transcript:ONIVA12G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVAGLLDDALGAPESVKAAPEERDGNCGAVEMVEAADGGDGGLIWIDQLGGMLGALSNAEMATRARVVLAGDGGRAGGWLA >ONIVA12G06410.1 pep chromosome:AWHD00000000:12:5779335:5788435:-1 gene:ONIVA12G06410 transcript:ONIVA12G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPVPLPNPAGSAAAAVLPRPAWNTNRNLVVTHPLLSLLESCASFRRLLQLHALLTVTGLAAHRFPASRLLAFCALSTPPRLAHAAAILARASPGPNAYMLGTMMRGFLRARLPARALGLFRRVVRDRLPADARTFVFAVKAAAAAAESEHGGTPSGGEAIHCAALKCGFVGESVLVGNALVHFYANHKSLDDAGKMFDEMPERDVVSWTTLVDGYARAGLADEAWRLFCRMVVVGGMRPNAVTLVAAVSAIGQMGLLAFGIMLHKYVTEGGVARSVNLDNALVDMFGKCGCVRYAREVFDGMEVKDVYSWTSMVNAYAKCGDLESAEQLFEDMPRRNVVSWSCMIAAYSQLNQPEEAVWLFREMIAAGVDPIDATLVSVLSACAQLGCLDLGRWIYENYIVSNKIGLTVNLGNALIDMFAKCGDVGEASKLFDEMAERNVVSWNTMIMAHAVHGQSEEAIRLFEQLKGENIVPDQITFLGLLASCSHSGLVSEGRRYFKEMEMFYRIEPRVEHYACMIDLLGKVGLLEEAFEVARGMPMEADEAGWGALLNACRMHGNVEIGACVADKLVELDPSDSGIYVLMSQIYASKNKWDQVKMLRMTMRDRGVKKNPGCSSIEVEGKFHDFLVADVSHACSEEIYSALKNIYFHLKQEDMSWQGTIGNLIEPRSTVMACVPPAAAPKSSAPPFLNGEDESKDDTFTAQLIASVSLKPAEQRRAVLLRGAVYSDPKPWRCCTRRPLLQAATVSSGLTASSLRCGMAGGGGANGCTNLQLGQDGEDLQSMTRRWQLTQGTATMLPPGPELTMVPPFFVSSATTATGVAAAPVLPPSIMVGTTTTVGWVVEMLSSRFTLCSLGLIQLFFKVFLFRSRHCSQSRGRGSLSWSSRLACDTGSSQVKCFLFFLELPSDSDDELLVLLVVEETKPGAGHVGPSESSGCSSILFTLRLIMNQEGILETGSKKEAGDSRVDGRFY >ONIVA12G06410.2 pep chromosome:AWHD00000000:12:5779335:5783955:-1 gene:ONIVA12G06410 transcript:ONIVA12G06410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGANGCTNLQLGQDGEDLQSMTRRWQLTQGTATMLPPGPELTMVPPFFVSSATTATGVAAAPVLPPSIMVGTTTTVGWVVEMLSSRFTLCSLGLIQLFFKVFLFRSRHCSQSRGRGSLSWSSRLACDTGSSQVKCFLFFLELPSDSDDELLVLLVVEETKPGAGHVGPSESSGCSSILFTLRLIMNQEGILETGSKKEAGDSRVDGRFY >ONIVA12G06410.3 pep chromosome:AWHD00000000:12:5783626:5788435:-1 gene:ONIVA12G06410 transcript:ONIVA12G06410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPVPLPNPAGSAAAAVLPRPAWNTNRNLVVTHPLLSLLESCASFRRLLQLHALLTVTGLAAHRFPASRLLAFCALSTPPRLAHAAAILARASPGPNAYMLGTMMRGFLRARLPARALGLFRRVVRDRLPADARTFVFAVKAAAAAAESEHGGTPSGGEAIHCAALKCGFVGESVLVGNALVHFYANHKSLDDAGKMFDEMPERDVVSWTTLVDGYARAGLADEAWRLFCRMVVVGGMRPNAVTLVAAVSAIGQMGLLAFGIMLHKYVTEGGVARSVNLDNALVDMFGKCGCVRYAREVFDGMEVKDVYSWTSMVNAYAKCGDLESAEQLFEDMPRRNVVSWSCMIAAYSQLNQPEEAVWLFREMIAAGVDPIDATLVSVLSACAQLGCLDLGRWIYENYIVSNKIGLTVNLGNALIDMFAKCGDVGEASKLFDEMAERNVVSWNTMIMAHAVHGQSEEAIRLFEQLKGENIVPDQITFLGLLASCSHSGLVSEGRRYFKEMEMFYRIEPRVEHYACMIDLLGKVGLLEEAFEVARGMPMEADEAGWGALLNACRMHGNVEIGACVADKLVELDPSDSGIYVLMSQIYASKNKWDQVKMLRMTMRDRGVKKNPGCSSIEVEGKFHDFLVADVSHACSEEIYSALKNIYFHLKQEDMSWQGTIGNLIEPRSTVMACVPPAAAPKSSAPPFLNGEDESKDDTFTAQLIASVSLKPAEQRRAVLLRGAVYSDPKPWRCCTRRPLLQAATVSSGLTASSLRCGMAGGGGANGCTNLQLGQDGEDLQSMTRRWQLTQGTATMLPPGPELTMVPPFFVSSATTATGVAAAPVLPPSIMVGTTTTVGWVVEMLSSRFTLCSLGLIQLFFKVFLFR >ONIVA12G06410.4 pep chromosome:AWHD00000000:12:5779335:5784010:-1 gene:ONIVA12G06410 transcript:ONIVA12G06410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAQGLQRLDGVELAVRHGRRRWRQRLHELAVGTGWGGLAEHDEEVAIDTRHGHHVASRSRADDGATLLCLLCNNSNWSSSSSGASSIDNGGDDDDGWLGSRDVELQVHALLLGPDPAFLQGLPVQCDGEELTCRSRHCSQSRGRGSLSWSSRLACDTGSSQVKCFLFFLELPSDSDDELLVLLVVEETKPGAGHVGPSESSGCSSILFTLRLIMNQEGILETGSKKEAGDSRVDGRFY >ONIVA12G06410.5 pep chromosome:AWHD00000000:12:5783626:5788435:-1 gene:ONIVA12G06410 transcript:ONIVA12G06410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPVPLPNPAGSAAAAVLPRPAWNTNRNLVVTHPLLSLLESCASFRRLLQLHALLTVTGLAAHRFPASRLLAFCALSTPPRLAHAAAILARASPGPNAYMLGTMMRGFLRARLPARALGLFRRVVRDRLPADARTFVFAVKAAAAAAESEHGGTPSGGEAIHCAALKCGFVGESVLVGNALVHFYANHKSLDDAGKMFDEMPERDVVSWTTLVDGYARAGLADEAWRLFCRMVVVGGMRPNAVTLVAAVSAIGQMGLLAFGIMLHKYVTEGGVARSVNLDNALVDMFGKCGCVRYAREVFDGMEVKDVYSWTSMVNAYAKCGDLESAEQLFEDMPRRNVVSWSCMIAAYSQLNQPEEAVWLFREMIAAGVDPIDATLVSVLSACAQLGCLDLGRWIYENYIVSNKIGLTVNLGNALIDMFAKCGDVGEASKLFDEMAERNVVSWNTMIMAHAVHGQSEEAIRLFEQLKGENIVPDQITFLGLLASCSHSGLVSEGRRYFKEMEMFYRIEPRVEHYACMIDLLGKVGLLEEAFEVARGMPMEADEAGWGALLNACRMHGNVEIGACVADKLVELDPSDSGIYVLMSQIYASKNKWDQVKMLRMTMRDRGVKKNPGCSSIEVEGKFHDFLVADVSHACSEEIYSALKNIYFHLKQEDMSWQGTIGNLIEPRLKVSSGLTASSLRCGMAGGGGANGCTNLQLGQDGEDLQSMTRRWQLTQGTATMLPPGPELTMVPPFFVSSATTATGVAAAPVLPPSIMVGTTTTVGWVVEMLSSRFTLCSLGLIQLFFKVFLFR >ONIVA12G06410.6 pep chromosome:AWHD00000000:12:5784569:5788435:-1 gene:ONIVA12G06410 transcript:ONIVA12G06410.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPVPLPNPAGSAAAAVLPRPAWNTNRNLVVTHPLLSLLESCASFRRLLQLHALLTVTGLAAHRFPASRLLAFCALSTPPRLAHAAAILARASPGPNAYMLGTMMRGFLRARLPARALGLFRRVVRDRLPADARTFVFAVKAAAAAAESEHGGTPSGGEAIHCAALKCGFVGESVLVGNALVHFYANHKSLDDAGKMFDEMPERDVVSWTTLVDGYARAGLADEAWRLFCRMVVVGGMRPNAVTLVAAVSAIGQMGLLAFGIMLHKYVTEGGVARSVNLDNALVDMFGKCGCVRYAREVFDGMEVKDVYSWTSMVNAYAKCGDLESAEQLFEDMPRRNVVSWSCMIAAYSQLNQPEEAVWLFREMIAAGVDPIDATLVSVLSACAQLGCLDLGRWIYENYIVSNKIGLTVNLGNALIDMFAKCGDVGEASKLFDEMAERNVVSWNTMIMAHAVHGQSEEAIRLFEQLKGENIVPDQITFLGLLASCSHSGLVSEGRRYFKEMEMFYRIEPRVEHYACMIDLLGKVGLLEEAFEVARGMPMEADEAGWGALLNACRMHGNVEIGACVADKLVELDPSDSGIYVLMSQIYASKNKWDQVKMLRMTMRDRGVKKNPGCSSIEVEGKFHDFLVADVSHACSEEIYSALKNIYFHLKQEGVAFMALFTRPKSTL >ONIVA12G06410.7 pep chromosome:AWHD00000000:12:5784010:5788435:-1 gene:ONIVA12G06410 transcript:ONIVA12G06410.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPVPLPNPAGSAAAAVLPRPAWNTNRNLVVTHPLLSLLESCASFRRLLQLHALLTVTGLAAHRFPASRLLAFCALSTPPRLAHAAAILARASPGPNAYMLGTMMRGFLRARLPARALGLFRRVVRDRLPADARTFVFAVKAAAAAAESEHGGTPSGGEAIHCAALKCGFVGESVLVGNALVHFYANHKSLDDAGKMFDEMPERDVVSWTTLVDGYARAGLADEAWRLFCRMVVVGGMRPNAVTLVAAVSAIGQMGLLAFGIMLHKYVTEGGVARSVNLDNALVDMFGKCGCVRYAREVFDGMEVKDVYSWTSMVNAYAKCGDLESAEQLFEDMPRRNVVSWSCMIAAYSQLNQPEEAVWLFREMIAAGVDPIDATLVSVLSACAQLGCLDLGRWIYENYIVSNKIGLTVNLGNALIDMFAKCGDVGEASKLFDEMAERNVVSWNTMIMAHAVHGQSEEAIRLFEQLKGENIVPDQITFLGLLASCSHSGLVSEGRRYFKEMEMFYRIEPRVEHYACMIDLLGKVGLLEEAFEVARGMPMEADEAGWGALLNACRMHGNVEIGACVADKLVELDPSDSGIYVLMSQIYASKNKWDQVKMLRMTMRDRGVKKNPGCSSIEVEGKFHDFLVADVSHACSEEIYSALKNIYFHLKQEATCPLSTGACMQASKHQLLARLP >ONIVA12G06410.8 pep chromosome:AWHD00000000:12:5779335:5782690:-1 gene:ONIVA12G06410 transcript:ONIVA12G06410.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKRIQWREGGLLLFYNGLLIDGVMQGRAWTLKKDQEGILETGSKKEAGDSRVDGRFY >ONIVA12G06420.1 pep chromosome:AWHD00000000:12:5782538:5784297:1 gene:ONIVA12G06420 transcript:ONIVA12G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFLRVEKYLSVKRMELQPELSLGPTWPAPGFVSSTTKSTKSSSSESDGSSRKKRKHFTWEEPVSHANLELQLNDPLPLDWEQCLDLQSGRMYYLNRKTLKKSWIRPKEQSVNLELNISTTQPTVVVVPTIIDGGSTGAAATPVAVVAEETKKGGTIVSSGPGGNMVAVPCVNCHLLVMLCKSSPSCPNCKFVQPLAPPPPAMPHRKLDAVKPLETLSLLH >ONIVA12G06430.1 pep chromosome:AWHD00000000:12:5790070:5795484:1 gene:ONIVA12G06430 transcript:ONIVA12G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVSSGAPVQERRLGVLLSHLRPCTPPAARRGNHHHHHHHDLRVREAEGTGGLAASPCAADGSGETSGGQRCVFCEIVKGNKPAYKLYEDDVCLCILDTKPLSTGHSLIIPKRHFPSLQATPPSVIAAICCKLPLLSSAIVKATQCDAFNVLVNNGKVAGQVIFHTHVHLIPRRKGDNLWSSETYERNSIKHNQETKDLVSGIKELLFPPQDDSAEGSTIPKEL >ONIVA12G06430.2 pep chromosome:AWHD00000000:12:5790070:5795032:1 gene:ONIVA12G06430 transcript:ONIVA12G06430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVSSGAPVQERRLGVLLSHLRPCTPPAARRGNHHHHHHHDLRVREAEGTGGLAASPCAADGSGETSGGQRCVFCEIVKGNKPAYKLYEDDVCLCILDTKPLSTGHSLIIPKRHFPSLQATPPSVIAAICCKLPLLSSAIVKATQCDAFNVLVNNGKVAGQVIFHTHVHLIPRRKGDNLWSSETYERNSIKHNQETKDLVSGIKELLFPPQDDSAEGSTIPKEL >ONIVA12G06440.1 pep chromosome:AWHD00000000:12:5795596:5798172:1 gene:ONIVA12G06440 transcript:ONIVA12G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVLAYCNKMDTPVDPVASITAARNLPLGRGKQPSSWCYAERETTIIMVVLPDVGVVLMLTVVASGHRGRANAGGRESRRGESIPRGMGGAVW >ONIVA12G06450.1 pep chromosome:AWHD00000000:12:5798495:5804060:1 gene:ONIVA12G06450 transcript:ONIVA12G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKEDRLVEEKDRADLLVPLLAAQQQGIGIQTPKDPPSPPPSPPLSLAPPAAGDPPAGARSPDLAASAASNRSPQLPLPLFLSRQSPTPLCCTGLGVGWSGRSIQDSSEMPRREGGRSRSAAYLVLFASCLLAVAAASHQEFHEAAGSRTLLMSHEHTNQVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHPDNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFHNRHNNLVDNSQGRCLADLCGALHCDLMLEFKKPKSKCSATAAARNRHLCEIVAFLLIKGNLPAVFMKQTNRFYLALCALTIGNEERRSKFKTNFESCPKEKTILGT >ONIVA12G06450.2 pep chromosome:AWHD00000000:12:5798971:5804060:1 gene:ONIVA12G06450 transcript:ONIVA12G06450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRREGGRSRSAAYLVLFASCLLAVAAASHQEFHEAAGSRTLLMSHEHTNQVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHPDNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFHNRHNNLVDNSQGRCLADLCGALHCDLMLEFKKPKSKCSATAAARNRHLCEIVAFLLIKGNLPAVFMKQTNRFYLALCALTIGNEERRSKFKTNFESCPKEKTILGT >ONIVA12G06460.1 pep chromosome:AWHD00000000:12:5806644:5806886:1 gene:ONIVA12G06460 transcript:ONIVA12G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAGSGEGMPTGVMSAPARSRRSSRWPRANGGQRQADRGRRWPAAACMDDDGPSAAVVEDVDPCPDLAVPRPNPKLPR >ONIVA12G06470.1 pep chromosome:AWHD00000000:12:5806896:5807222:1 gene:ONIVA12G06470 transcript:ONIVA12G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQQQRRRRSPTTGRRWRSCAASLGNDGAIRLTVIPTTSVVDQIGIYDNDGGGGEEEVLSIVVHDDDPDNSRGLVPGDNLTVCGRGFVVGYGESETANPPPQPSIS >ONIVA12G06480.1 pep chromosome:AWHD00000000:12:5807927:5820841:1 gene:ONIVA12G06480 transcript:ONIVA12G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDEALYEIRRLASGSHEIPREGEITGTSGAAGGVLSYLSLQGVSKLKERWTRNSALGWSKKRSRINAALLFVSRNADYVGVAVGNRITVLRKGDGYASPCGVYTNNDRMAFFTNGAWLEAQGILGVVDDLNTLHLIKENGEALTRRTSNQLKLSYPIVNIVVHDGSSSERPGFYIFTSDGMVHKFDYMQDHEANLQKVAILIQDAVSAKTPQLPHSVSCVDYHQDHSLVVLIGNPNAFLSSNGSSGACFLYVLHFNGNLEFSLSFPSLQLEGTFFPPKDQATFASSAKVRISPQSKHIATLDLNGSVNIFVLANDKRSASLHPPRNGTQLSDVKDISWWTDNILMVVKEKGSINMYSISGNRVVSEDGHVLSTPQLEKARAVEGYTFILQSSRYEGNNTFEEVDSNSMPNLQNVSRNNQRSEMDKIIWSLISFSKITVPEKYSILIRGNRYKEALDFACKHNLDKDEVLKAQWLSSDGDVHDIDTYLANIKDQVFVLSECLNKVGPTEIALKALLSFGLRITDRFKFSKLDNSIDTSAWDSRIIRLRLLRYNDLLETFLGINMGRFSAVEYRKFRLMPLVETAVALAESGKIGALNLLFKRHPYTISFDILHILSAIPETVSVQSYSQLLPGKSPPSVVILRDGDWVECEQMASFINTCSDQLEKNGEFKTELLLKHSAGFSWPSIAELCEWYKSRARDIDCLSGQLENCLAMIELGCQKGIVELEPFFDDIKCLYEVVYSDELSEFIMNLAMWEDLPNYEKFKIILKGAKEGTVVQRLEEKAIPFMKKRSHLIFLSNEEKHRESYLIRWLKEVASQNELSICLAVFENGCGDSPIHGLFKDIAEMIETAVHCIYLCSATNQWNTMSSILSKLHHKMKREKSMLASEEDYNFKDAKQALGTCVVSFDDMQYVCTRILSGLSSPGDSYSHDSINYQLDNIKSLDMLEKKLKVAEGHVEVGRLFAYYQVPKPIHFFLSTHLDEKNAKQIVRLLLSKFGRRQPVRSDNEWANMWRDLKHFQEKAFPFLDSEFMLVEFIRGLLKAGKFSLARNYLGGTSAVSLSIEKAENLVVQAAREYFFSASTLSCNEIWKARECLNLLPNSISVQAETDIIDALTVRLPYLGVTILPVQFRQIKDPMEIIRMVITSQTGAYLHFEEITDVAKLLGLKNEEEIAAVEEAIAREAVVNGDLQLAFDICLTLTKKGHGAVWDLCAAIARGPQLDNLDTSTRGKLLGFSLSHCDEESVGELLNAWKELDVHDKFEQLMISTGTNPPNFSTDGSSITPLPVQSVQDILDLREDISDDRGIDHVGIVKQMLSKVCTDLSNEDAYRWESSLAESRKLFSFSALELPWLLKLSNDEEHDGKKQSLKTDHPIRRYQFSTKVKAINCIIHWLAVSGFSPNDDLVMSLAKSVIEPPVDEEDYVLGCSILLNLMDPFNGVKIIEEELKKRECFQEISSIMNLGMTYSSLNSLKKECSTPEQRRNLLLEKFHEKFTSVESDELDQIDEANATFWREWKAKLEEERRLADQAMMLKQVLPDVDTSRFLSGDVNYIKNVLFSFIDSVKLEKKHILKEAVKIAETYGLRRTEVLLRFLGCALLSQSWDNNDILSEISEFRDDIVNSAKGVIDMIHSDVYPEINGYNKQRLSYIYDILSACHSYLKRSSEIELTYPEHVHTHKFEPFQYYKVLAEECKKVSFIDGLNYKNIAGLDNLNFEHFNEEVCKNIHASTVRALADMVQALVSMYVDVLAKGLISRQGVYKHYVLGLLASLEGRTEARSDNIDSEKLQAVLSEIELNYDSCKEYIQVLPATDISCIIIRYCMLCFPCDLTRNHPQEPSWKKPLDVLVMLWIKLIDDIPVESMDACPYGRAEYLDSNRLSHCMRVFRQLLVDDKITVCQGWDAISMYVKIGLGDEIPMEISYFCRSMILSGCAFESVAQVYHGGQEQLENESVDPSNPLDLLELYSATLDDCLSDLIKSPSESQILLHKLLSSLSRSTEKHAGTLEMIRSGVWGKLISFSENMQLDSQLRVYALQLMQCITGRNLKSLPNELVSQVEPWELWYEPGTGSSVADDNNSPSSSITGTLVALRSTQMITTVLPNANITPDNLGTLDSAVSCFLHLSESASSVETIAVMEAVLEEWEQLFSSKEEYVPPQESPKETNDWSDDWDDGWEALPEELESPTKKHGRTSLSVDPLHTCWMEIIRKLVELGEPHKVIELLDRASSRNSMLIEDDEANRLLELISAMEPLMALKIMLLLPYETTRLRCLQMVEAKMREGTVSTSSNADDHELLALVLSSGVLQRIVTEVEYSKLFSHICHLVGHLARSSQNDLLVKWNDEANAPGTSKTNKSLLFARVLFPSFISELVLRGQYLLAGFVISRWMHTHPSLGLMDVAEASVRRYLNGQIVQAQQLGGTDVFLTDNELSVSHALSTLRSNLVSLVQAALATLPNQDL >ONIVA12G06490.1 pep chromosome:AWHD00000000:12:5823085:5823816:1 gene:ONIVA12G06490 transcript:ONIVA12G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLAASLLIALVVSGSNVAATPSPSVVVGNGDIASTAQEMQRARYFTFVMLIRMVQEKIPHNTTFLMPNDRMLSTATIPESQVMEFLSRHSIPAPLMFDDLIKLPNATIVPTAHSSQTITITNVKHQKIYFNNIELISPDVCRVGDLFRCHGINGVIRPIVPRGKGSACPGHLAPATAAPGPASGANRSLETSSLTSPNMSSATSPSLQPAAESPQSSDTSTSQIASSYTTLILVLVFSIF >ONIVA12G06500.1 pep chromosome:AWHD00000000:12:5823222:5831009:-1 gene:ONIVA12G06500 transcript:ONIVA12G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDADQNRGKGRKRAHQPNPDPSAHLASRPNLSHASLAYHSTPRPRETQPQRNPTQPNPALAASPRVRYQPAQVVISSSPARPRARSRSPAPTTPDPEAPLRRPRDRGPRHKEQQPPASSSTATPAVYPDWANFQGYPPIPPHGFFPSPVASSPQGHPYMWGAQPMIPPYGTPPPPYVMYPPGVYAHPSMPPGTTTTAAAAAAAGGETGGKSSEGKEKSPIKRSKGSLGSLNMITGKNSTEHGKTSGASANGAISQSGESGSESSSEGSEANSQNDSHHKESGQEQDGEVRSSQNGVSRSPSQAKLNQTMAIMPMTSSGPVPAPTTNLNIGMDYWANTASSTPAIHGKATPTAAPGSMVPGEQWVQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRAEVLKQENASLRDEVNRIRKEYDELLSKNSSLKEKLEDKQHKTDEAGVDNKLQHSVNCYQVVIILFWAGQHIDLQQMHGKLLKAGKFGLMLLQVAGMDLWQNSCWERLAKTSPRTGLPLKLVQVLQLLEQGGQGKLTLFLLIFWCFTLVIVIVWLEWAVGTIVALGSLMRSSNMSGAGMECLDRNSITWLSGMVAVDSILSLGIRKVVLCGIFSCTILMSMTKVK >ONIVA12G06500.2 pep chromosome:AWHD00000000:12:5823222:5831009:-1 gene:ONIVA12G06500 transcript:ONIVA12G06500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDADQNRGKGRKRAHQPNPDPSAHLASRPNLSHASLAYHSTPRPRETQPQRNPTQPNPALAASPRVRYQPAQVVISSSPARPRARSRSPAPTTPDPEAPLRRPRDRGPRHKEQQPPASSSTATPAVYPDWANFQGYPPIPPHGFFPSPVASSPQGHPYMWGAQPMIPPYGTPPPPYVMYPPGVYAHPSMPPGTTTTAAAAAAAGGETGGKSSEGKEKSPIKRSKGSLGSLNMITGKNSTEHGKTSGASANGAISQSGESGSESSSEGSEANSQNDSHHKESGQEQDGEVRSSQNGVSRSPSQAKLNQTMAIMPMTSSGPVPAPTTNLNIGMDYWANTASSTPAIHGKATPTAAPGSMVPGEQWVQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRAEVLKQENASLRDEVNRIRKEYDELLSKNSSLKEKLEDKQHKTDEAGVDNKLQHSGCRDGLVAELMLGEVSEDVSKDRFAPEAGPGAAVAGASLMRSSNMSGAGMECLDRNSITWLSGMVAVDSILSLGIRKVVLCGIFSCTILMSMTKVK >ONIVA12G06510.1 pep chromosome:AWHD00000000:12:5836407:5836604:1 gene:ONIVA12G06510 transcript:ONIVA12G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGTCGTGRWPCRSSCRPTSVLVAAMTELPFSFLLFPDLVTEPTAPTTSFYRIWWTLSKNQHIK >ONIVA12G06520.1 pep chromosome:AWHD00000000:12:5836645:5836998:1 gene:ONIVA12G06520 transcript:ONIVA12G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSGQPDTSASQPISPRRIWRRTDRGGKHVSQRATDAGPRLGRVSLQAMASLSTSLLLPGSSYRIWQPLSRIWQSEAEWARRGRRWQPGGAEIEERKRRQWRHGDDGCRWARMRR >ONIVA12G06540.1 pep chromosome:AWHD00000000:12:5853631:5854735:-1 gene:ONIVA12G06540 transcript:ONIVA12G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSSCLHQDSFGQRYWMCRNYAYNPEKPKPVPKGKKGKANMPVKWIYKEMTEFYKGMIQTWREHQKEREERQRQRAAKEKAERERREELDLREFARLNREKEERAKDQARKWPSPSPVNMFSWLADRSAPAKMALGLGTLAPQALALRSDLAASVEPQTCNPSRWLRVRTSAPAKMALCLGTSAQAKMALYLGTSAP >ONIVA12G06550.1 pep chromosome:AWHD00000000:12:5867414:5879454:-1 gene:ONIVA12G06550 transcript:ONIVA12G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEQDLCGINVSERGKMNLELELLMKQCRNMAEHYHELEKDLKLHDVLLLLQILPAVRQDLGWIRLYTNNASDQDNTQLLHQQWNAQLAINPPAKDLQHILFLTYLPRGPGNQQKVLRETFNNHKWELKEKIKSNNTSHVDIRKLLYAKKNKSQKDDVDATFKLNMIELSLSEDPNSLPCIALCLVYQSNKSPMLYLIQSLVHRLQIQVRPSAQIEQKKIVKNSTLIINWHTLSDADNGKYSEDEDDYKRSHKYLMKGKYKVVDEHSYNNIQSAITLRDTIVRRLDTWLQQHQDIASTIEALLPFQNDLDDFILEKMNDMLSVVHRTLVKRKGTLKGSQVFEENKRACLRQEPAIESSQIKDFSNLALQTGEVITIRGQSQQYHEASIVCTNHPEQVEAVQEFQPQQYHEASIVRTNHPKQVEAVQEFQPRQYPQVSSIPINEAPQGEALQCPEQAWLQEVIKFPEFKELLKSCSKYDDQENDFPSEMRINELPSSPSVMAAYNSQDEILDPEVPPLLGSFAVKLTETEPLDSYNDLKGHFEDIENWEAS >ONIVA12G06550.2 pep chromosome:AWHD00000000:12:5867414:5879454:-1 gene:ONIVA12G06550 transcript:ONIVA12G06550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEQDLCGINVSERGKMNLELELLMKQCRNMAEHYHELEKDLKLHDVLLLLQILPAVRQDLGWIRLYTNNASDQDNTQLLHQQWNAQLAINPPAKDLQHILFLTYLPRGPGNQQKVLRETFNNHKWELKEKIKSNNTSHVDIRKLLYAKKNKSQKDDVDATFKLNMIELSLSEDPNSLPCIALCLVYQSNKSPMLYLIQSLVHRLQIQVRPSAQIEQKKIVKNSTLIINWHTLSDADNGKYSEDEDDYKRSHKYLMKGKYKVVDEHSYNNIQSAITLRDTIVRRLDTWLQQHQDIASTIEALLPFQNDLDDFILEKMNDMLSVVHRTLVKRKGTLKGSQVFEENKRACLRQEPAIESSQIKDFSNLDIFTTTKHQILNTSQVSQNEVVITQQSQQYHEASIVCTNHPEQVEAVQEFQPQQYHEASIVRTNHPKQVEAVQEFQPRQYPQVSSIPINEAPQGEALQCPEQAWLQEVIKFPEFKELLKSCSKYDDQENDFPSEMRINELPSSPSVMAAYNSQDEILDPEVPPLLGSFAVKLTETEPLDSYNDLKGHFEDIENWEAS >ONIVA12G06550.3 pep chromosome:AWHD00000000:12:5867414:5879454:-1 gene:ONIVA12G06550 transcript:ONIVA12G06550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEQDLCGINVSERGKMNLELELLMKQCRNMAEHYHELEKDLKLHDVLLLLQILPAVRQDLGWIRLYTNNASDQDNTQLLHQQWNAQLAINPPAKDLQHILFLTYLPRGPGNQQKVLRETFNNHKWELKEKIKSNNTSHVDIRKLLYAKKNKSQKDDVDATFKLNMIELSLSEDPNSLPCIALCLVYQSNKSPMLYLIQSLVHRLQIQVRPSAQIEQKKIDADNGKYSEDEDDYKRSHKYLMKGKYKVVDEHSYNNIQSAITLRDTIVRRLDTWLQQHQDIASTIEALLPFQNDLDDFILEKMNDMLSVVHRTLVKRKGTLKGSQVFEENKRACLRQEPAIESSQIKDFSNLDIFTTTKHQILNTSQVSQNEVVITQQSQQYHEASIVCTNHPEQVEAVQEFQPQQYHEASIVRTNHPKQVEAVQEFQPRQYPQVSSIPINEAPQGEALQCPEQAWLQEVIKFPEFKELLKSCSKYDDQENDFPSEMRINELPSSPSVMAAYNSQDEILDPEVPPLLGSFAVKLTETEPLDSYNDLKGHFEDIENWEAS >ONIVA12G06560.1 pep chromosome:AWHD00000000:12:5880888:5881079:-1 gene:ONIVA12G06560 transcript:ONIVA12G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAATATATAEVAGDNGDSGGQLGTAFDKEARGREPAAPVRGRATTGSVRRLLGATYGDGR >ONIVA12G06570.1 pep chromosome:AWHD00000000:12:5883315:5887639:1 gene:ONIVA12G06570 transcript:ONIVA12G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNSGLRKTCFSVSPCTDNTIILPPQHTNLAMLPPASACPWLPAYKKKEYSFPVSVMHLAKKVGGQ >ONIVA12G06580.1 pep chromosome:AWHD00000000:12:5890426:5893314:1 gene:ONIVA12G06580 transcript:ONIVA12G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFTNGAWLEAQGILGVVDDLNTLHLIKENGEALTRRTSNQLKLSYPIVNIVVHDGSSSERPGFYIFTSDGMVHKFDYMQDHEANLQKVAILIQDAVSAKTPQLPHSVSCVDYHQDHSLVVLIGNPNAFLSSNGSSGACFLYVLHFNGNLEFSLSFPSLQLEGTFFPPKDQATFASSAKVRISPQSKHIATLDLNGSVNIFVLANDKRSASLHPPRNGTQLSDVKDISWWTDNILMVVKEKGSINMYSISGNRVVSEDGHVLSTPQLEKARAVEGYTFILQSSRYEGNNTFEEVDIPEKYSILIRGNRYKEALDFACKHNLDKDEVLKAQWLSSDGDVHDIDTYLANIKDQVFVLSECLNKVGPTEIALKALLSFGLRITDRFKFSKLDNSIDTSAWDSRIIRLRLLRYNDLLETFLGINMGRYVMTIIMIFFTHIDAIRSTS >ONIVA12G06580.2 pep chromosome:AWHD00000000:12:5890426:5893314:1 gene:ONIVA12G06580 transcript:ONIVA12G06580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFTNGAWLEAQGILGVVDDLNTLHLIKENGEALTRRTSNQLKLSYPIVNIVVHDGSSSERPGFYIFTSDGMVHKFDYMQDHEANLQKVAILIQDAVSAKTPQLPHSVSCVDYHQDHSLVVLIGNPNAFLSSNGSSGACFLYVLHFNGNLEFSLSFPSLQLEGTFFPPKDQATFASSAKVRISPQSKHIATLDLNGSVNIFVLANDKRSASLHPPRNGTQLSDVKDISWWTDNILMVVKEKGSINMYSISGNRVVSEDGHVLSTPQLEKARAVEGYTFILQSSRYEGNNTFEEVDSNSMPNLQNVSRNNQRSEMDKIIWSLISFSKITVPEKYSILIRGNRYKEALDFACKHNLDKDEVLKAQWLSSDGDVHDIDTYLANIKDQVFVLSECLNKVGPTEIALKALLSFGLRITDRFKFSKLDNSIDTSAWDSRIIRLRLLRYNDLLETFLGINMGRYVMTIIMIFFTHIDAIRSTS >ONIVA12G06590.1 pep chromosome:AWHD00000000:12:5903895:5905414:1 gene:ONIVA12G06590 transcript:ONIVA12G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYVYERWRRPDRPAARGTASPCFSVAAAPLRRSWRRWPPRGGAGGGRRRRNAGEKRAAEPSIEAPPRSSKYYALCVAGGGRTWLRPWKAPGVDVCCANPGGRILLRRAGAPRAAGSRLVGKSL >ONIVA12G06600.1 pep chromosome:AWHD00000000:12:5909628:5910775:-1 gene:ONIVA12G06600 transcript:ONIVA12G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPSSSSSPPTYSDSSMHHALSFSSALPTAPTEIPGSGGGFVDDKGSMFSLPNVAGSAPPPSYYSLPSFYIHRSTSSHSLLHHRLSDLLNSNAAFSYSSAPACQLQPLPPVSSSTSSSSGDLLEFSSGTLRRVFSTGDLQAMNVPPSPPPPPPFSGDICSQEVGGPFSQKVGRYSAEERKERIERYRVKRQQRNFHKKITVLAYKTTFPFPLQAIDHGSYYSSSSSSSPGRFQYACRKTLADSRPRVQGRFARNAETEADAEADAVAGLDTEVYGNGYGYCAYSGLTNSTSSNCYDNQSQSQWWGTPAGAANWQHQQQKQQLGFDVAVDGDDEDYELWASIADMCSGT >ONIVA12G06610.1 pep chromosome:AWHD00000000:12:5913838:5916568:-1 gene:ONIVA12G06610 transcript:ONIVA12G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDTTLHEMEDRVKAMLNLIGADGDSFGKKAELYFKSRPELINHVEEMFRSYQALADRYDRISSELHKANHTIATAFPDQIQFSMQDADGEGFQKAISGIDLSNFKFPALEGLPMGSRGASRGTSPVPKRTQMHRRITSHMNKENAQEEIDKLQKQILVLQTEKEFLKTSYDSALGRYLDIEKQVVELQDEVCSLQDAFSTGAAIEDNEARALMAARAIVSCEDTLVNLQDQQRRSSEEARTEFKRFIEAKKKLDTFKAECGQPHTQNDEPDNSDKEYIHAMPSGDVDDSVQNEIRFDLQEVCQKVKELIELHPGVSVTDLADKVDRLVEKVIDLELTTTSQNAQINRMKTEIDDLHKRLQALEEEKSALVADSSKLVDRLKQVEEVLQAVQHLGNSIQNGTQNIHKEMNAACSELAEFVEKLHEPEPQNNGFMNSSQESSCQEEDSEVTCQYAKKQTSDSIDGSKNEVEKQDKGSEGPLVQQHPDTNGSDGEDKILLEGYASVLQSYKGTEQKLSEIEKTNQEYHSRSMSELKDLKSANAMKDEEIHSLRRMLSSLQRKMNAPAPENVDKSEETSKISTTPVTEDKEIAEIEEYMKQCQVEEQLASSISEEKFRAEIDRVLENNLGFWLRFSTSYHQIRNFQTSFDKLKTEMDKLIEAQAQCGADGVPISYQVAKLESAVLEKKFRDLNTDLQVWIEKNVLLKGELENRFSSLCGIQEEISKIATLDKSDEVHFTPFQAAKLQGEVLNMKQENNKVAKELEAGLDHVRGLQVEVGRVLLKLRENLELSIARSNRAQQNFRNLSTKAGVPLRTFLFGTKPKKPSLFSCMGPGVHKHHSGSRAGRR >ONIVA12G06620.1 pep chromosome:AWHD00000000:12:5931888:5935374:1 gene:ONIVA12G06620 transcript:ONIVA12G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGENKLTALRSVLKDRVP >ONIVA12G06630.1 pep chromosome:AWHD00000000:12:5934890:5935084:-1 gene:ONIVA12G06630 transcript:ONIVA12G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECHHQYSNSMKEKRPPPRRGQLKRQIARTLSNLMVPGGGKQIAAGSEEGQAAAKAHGCFRLR >ONIVA12G06640.1 pep chromosome:AWHD00000000:12:5936958:5937200:1 gene:ONIVA12G06640 transcript:ONIVA12G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGYGCYSYYQQYKSSGSFISGKEKRPPLKRGQLKRQIVRTLSNLMAPATRSSGDAAAAADSKKKAADRSSFRREASYN >ONIVA12G06650.1 pep chromosome:AWHD00000000:12:5943834:5950309:-1 gene:ONIVA12G06650 transcript:ONIVA12G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKNFLERQSEPSGQEEKGEAAGRVVDSSGIGGSSLLREKIVQGPHKIEIWKPIRPSLGNIEQIMSVRVEEKQSPASGNQDTKDVIHPVKVQEGKLSEDSDDEFYDVDKVETSQEMHSSDSANTGIDNRGQEENFPSMEELECLVHGGLPMALRGELWQAFVGIGARRVKGYYDSLLVAEGELEDTSGSRSSTSDVAGENTEVSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLLAYARHNPAVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYFSEEMIESQVDQLVLEELVREKFPKLANHLDYLGLQVAWVTGPWFLSIFTNVLPWESVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVDETGLQELRNKHRPSVLSSMEERAKGLGALDTNGLASKLYNFKHDPEPLVSISDSQDQMSDVGDGDANQSDSGNMDDMYGGLTVSSEIEALPDPKDQISWLKFELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQSEQELSELRQTLLDKQEQEQAMCQVLLRVEQELKIAEEARISAEQDAAAQRYAVNVLQEKYEEAMASLAKMENRAVMAETMLEATLQYQTSQQKALLSPLPSPRTSMIDASPGRANHDSSQEFQPKKINLLSPFSLSWRDKNKGKQNNVDDSAKLTDAHDQREEITNNKDEKQVETPKLDVLEESMGSPKEDNKSRVEVPKEDSDLASVQVVANDMNGQHEQLQEIKLD >ONIVA12G06660.1 pep chromosome:AWHD00000000:12:5958560:5959628:-1 gene:ONIVA12G06660 transcript:ONIVA12G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSQSAQLGMATAFFGALSFLLAILGELNKPPHGTPIRGRGVVVCKFPADPTVALGALSAVAAACGAGVGALAVFFPYNGKPVPRKALFDYTLLYVFFHLAIGITVAGIATTAWVTASEAMHRVRNVHGVDPEYACPTAKTGVLGGAAFLNLDASLFWLLCLMLAGNVKEEYFDDGGGGGEVGDGVAGLEEKLPVSHPGLYTTRAGKSPPQICIKIRTYTTQVGKNNVTREKYSTHHGNDRTADGLGAEKPRPMTRSICV >ONIVA12G06690.1 pep chromosome:AWHD00000000:12:5977970:5983130:1 gene:ONIVA12G06690 transcript:ONIVA12G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDYVDVPLGGGGQSTLPPVAPLKKQPSRLASGMKRLASMVPDTMKLKRTHSSAQPALRGLRFLDKTSAGKDGWKNVEKRFDEMSADGRLPQESFAKCIGMADSKEFASEVFVALARRRSIKPEDGITKEQLKEFWEELTDQNFDSRLRIFFDMCDKNGDGQLTEDEVKEVIVLSAAANKLAKLKSHAATYASLIMEELDPDHRGYIEIWQLETLLRGMVTAQGPPEKVKLASASLARTMVPSSHRSPMQRRFNKTVDFIHENWKRIWVLSLWAILNIALFMYKFVQYSRRDAFQVMGYCVCIAKGAAETLKLNMAVILLPVCRNTLTRLRSTALSKVVPFDDNINFHKVIALTIAIGAATHTLAHVTCDFPRLVSCPRDKFEATLGPYFNYVQPTYSSLVASTPGWTGILMILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVIAYILLVLHSYFIFLTKQWYNRTTWMFLAVPVLFYSCERTIRRVRESSYGVTVIKAAIYPGNVLSIHMNKPSSFKYKSGMHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVSSKKATLARLETTIIADDGPFGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNGDVQSTHDAELGYTFKSNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHDNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANAHQNSRIGVFYCGSPTLTKMLRDLSLEFSQTTTTRFHFHKENF >ONIVA12G06700.1 pep chromosome:AWHD00000000:12:6007313:6015366:1 gene:ONIVA12G06700 transcript:ONIVA12G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPTAILLLLLLLALPPPSAVVALTADGLALLALKAAVTDDPLSVLSSWSESDPDPCRWPGVTCSNVTAAGGEPRRRVVGVALAGKNLSGYIPSELGSLLFLRRLNLHDNRLAGGVPAALSNASSLHSLFLYGNRLTGALPAALCDIPRLQNLDVSRNALSGGLPGDLRNCRSLQRLILAGNSFSGEVPAGIWAEMASLQQLDISSNGFNGSIPADLGELPRLAGTLNLSHNRFSGVVPPELGRLPATVTLDLRFNNLSGAIPQTGSLASQGPTSFLNNPGLCGFPLQVPCRAVPPPTQSPPAPTTTTTTTTPSSASAAAAAASEHHQPIRTSLIALISIADAAGVALVGVILVYVYWKVKDRKKQGRSSTVAAGDENESRHGLCRCIWGHRGVDSDTDTDDSSASENGGGGGKYGEGELVAIDRGFRVELDELLRSSAYVLGKGGKGIVYKVVVGNGATPVAVRRLGGGGGGGERCKEFAAEARAVGRARHPNVVRLRAYYWSADEKLVVTDFVGNGNLAAAMRGRPGQTPLSWSTRLKIARGAARGLAYLHECSPRRFVHGEVKPSNILLDADFTPRVADFGLARLLAIAGCADDVVDAPPAAPSSGGLLGGAIPCAAKPASSVAADHRGGGYRAPEARAAGARPAQKWDVFSFGVVLMELLTGRGPGDHASPSTSASFSAPSSSSAATTDRSGSGEHGHGAGVGGAVVPEVVRWVRRGFEEEARPVAEMVDPALLRDAPPLPKKEVVAAFHLALACTELDADLRPRMKAVADTLDKIASS >ONIVA12G06710.1 pep chromosome:AWHD00000000:12:6018070:6022428:1 gene:ONIVA12G06710 transcript:ONIVA12G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginosuccinate synthase family [Source:Projected from Arabidopsis thaliana (AT4G24830) TAIR;Acc:AT4G24830] MAHALGCGAATAPAGVGLLHHHEKAGALFSAAGSIVRVQQLSGKGSKSQAIRCAMPSASEHGGISTATASSSDQKKGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGDIELEGLEKKAKASGASQLVVKDLKEEFVSEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPIPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVAPENAPSKPEYLEIGIIAGVPVSINGKDLSPASLLAKLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELESLTLDRETMQWKDMLALKYAELVYAGRWFDPLRQSIDAFMENITATTTGSVTLKLYKGSVNVASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI >ONIVA12G06720.1 pep chromosome:AWHD00000000:12:6028699:6033410:-1 gene:ONIVA12G06720 transcript:ONIVA12G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKTRKDASFHVDFNIFIQEISPWPPSESLKSLKSVVLFWENGERNSGKTNAVAPSIGSGTTVGKVEFNEFINLQAVFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDTSVPVPLNSKRSLRNNVQPMVYLRIQPLDGDNSSVSSRDALSKEASVDRDSKELMSEYTEDTEFASFTDDEEEEAPYPYRSDGNLRAGSNRSQESLKGKDVRTVGNEGSRSPFDSQREMPSSSTKVRSEEVEKYPIQVQKANGHPGNLSLLSDLPGEQTPSFPAQNALRAGRKMSFAYGMSDSNQRNFGERTYSTLTSDRAKNMRYSMRVPDFSGSVINKKVDSQKEEVKEVDSQDIAVSNDTRTDTYDGAQAQVPIRISNNRNDTKVRELELRVELLEAELRETAAAEIGLYSVIAEHGSSSNKVHTPARRLSRHFIHALKNWSRDKMGSAARSASSGLVLVAKACGYDVARLSFWLSNCVVLRAIVSETSKQSNSNAINNGSKTGPRRNSASMWESLNRKKGKLLSPEFDNWEDVDTFIAALKKIESWIFSRIVESIWWQAFTPHMQSANISSEPMPSSNAKKCYGRITVVGNQQQATLSIDIWKKAFKEASEKLCPVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPVPSGKFSFGAGVQLKNAIGSWSRCLSDSFGMDMDDYPEVESGDGDNGAAELRKPFYLLNALSDLLMIPKDVLMETSTRKEACTLILFNKCTLFPSELVKFSFLLCPTFSSSIIKRILDGFVPDDFCPDPVQHSLLEALESEDHLEGNTKGIRAVPCSASPILYPYPASGAILSMIGDPRKSGSAILRKSNTSDDELDELSSPLTFISKASSNPLAKLKQIGNPNSARYRLLHEVWKLDDQ >ONIVA12G06730.1 pep chromosome:AWHD00000000:12:6057917:6060085:1 gene:ONIVA12G06730 transcript:ONIVA12G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQGFIVLVSDRDSSMSNCSDDGLLQKYPVHTFSLGKAEDPTELVTIAKESKGTFSSISGNSKIMEAFAICLAGLKSVIAVKARVKISPKESANTSNVKVYKPVGINDTDFRMERDNEAVALGVLYAGEVKDLIVGIEFTVEDVKGFRSIDVLAATVEYYKDVQQEQLPKSTAKCTMQVHFCGTLFASGCTNDRTPFPMVVQQMARFDVLLLMAEIRGKLDAVKKKKEDGIMLPYEAWRMLKSRWEESKNSDEYFYSWRQAQRVGVDLGRIENDIHAMVSCLKRGLGLGCVYSWVSSYQMQRATTTGLPTTSSFLTPAMEDMVHQARKQSEKDAAATSAAGGGTRMALRPGEARIVEVLEQIAKRLEDVETKLDHRGEPSRNPHRNPTTPGRREQTNNR >ONIVA12G06740.1 pep chromosome:AWHD00000000:12:6061829:6062130:-1 gene:ONIVA12G06740 transcript:ONIVA12G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEEGAGSVEGGELGGRERESGGGAVMREGREWCREEKVEAPIGGGGSGGVDSAWAMARRIGAARRSAPEGKGVATRRRPSRRDDGLGVDEDK >ONIVA12G06750.1 pep chromosome:AWHD00000000:12:6066367:6068136:-1 gene:ONIVA12G06750 transcript:ONIVA12G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVDFEEGWRLLATSLAKQRSIIDGSMSKSSSEDDNMQLYMYVLRSMRQINGDTLLKGLVDRWRNHKKIVISETRFFFYLDRYYISRKSLVPLEQLNLCSFRDQVYSELKDKITRTVVDMISDEREGKVIDHALLKDVLDVYVQIGLGMECYKVDFENAFLESTRNYYSNKAQTLILEYNGPDSPEYMLKAVECLQAELERASHYLHSSTEPKLMQDLQSELMIAPGEPHTEEAN >ONIVA12G06770.1 pep chromosome:AWHD00000000:12:6132639:6136800:1 gene:ONIVA12G06770 transcript:ONIVA12G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDVPSMELMTELLRRMKCSSKPDKRVILVGPPGCGKGTQSPLIKDEFCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKTSCQKGFILDGFPRTVVQAQKLDEMLAKQGTKIDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKTPGLDDVTGEPLIQRKDDTAAVLKSRLEAFHVQTKPVIDYYTKKGIVANLHAEKPPKEVTVEVQKALS >ONIVA12G06780.1 pep chromosome:AWHD00000000:12:6141528:6146580:-1 gene:ONIVA12G06780 transcript:ONIVA12G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60160) TAIR;Acc:AT5G60160] MASAAVAIAPVVSDLVDFLNASPTAFHAVDEAKRRLKAAGFSQLSEREEWAGLQPGRKYFFTRNHSTIVAFAIGAKYAAGNGFHIIGAHTDSPSLKLKPVSKVTKGGYLEVGVQTYGGGLWYTWFDRDLTVAGRVIVREKKDGAVSYAHKLVRVQEPVMRIPTLAIHLDRNISSEGLKINNQNHLVPVLATSVKNEMQKLVAENGSESSESKNTKHHPLLLQLIAKEANCKPDEICDFELQLCDTQPSTVAGAMKEFIFSGRLDNLCMSFCSLKALIESTSSEESLAHESGVRMVALFDHEEVGSDSAQGAGSPAMLDALSRITGSFNSSNSRLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTAFIFREIAERHHLPIQDFVVRNDMGCGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDIKHSYEHFKAYFEEFTELDSKVKVDC >ONIVA12G06790.1 pep chromosome:AWHD00000000:12:6153904:6155533:1 gene:ONIVA12G06790 transcript:ONIVA12G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREASSPPPPPPPPPPAVVHGAGSSLSGQKRKAAMDDGSGEDDNVPPWLKLSLGPVAYGVATGVVDDDSSSCAPAVTTSIEVRPPVATGVVSGSAAQPSIEHVPADDAVVTPSFVASAAGVLFTGCASGLIRNGAVSVFPCFNFLGPSMSSSSLSHLHQQFSSTRRQSNASMARSSRTRGGDDDMAPSNIAAPNITNGGGNNNNDGNALPDPPYPWATNEPAKHHSLAELARRDITTIQGDARCRRCDARKARWMNPIVPNCDDCGHEKCLRPVIAAEKERINWLFLLLGETLGLCTLDQLKYFCAHTNRHRTGAKDRVLFSTYEELCNQLVPGLITRHDQLRMR >ONIVA12G06800.1 pep chromosome:AWHD00000000:12:6159401:6166281:-1 gene:ONIVA12G06800 transcript:ONIVA12G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGQWSASQPKSPPDLLCGMPAFTAAVGLHPRRPRHKIPDRTANSIDIQGADVDPDGYAEAAGNLKAQGKT >ONIVA12G06810.1 pep chromosome:AWHD00000000:12:6177989:6192692:1 gene:ONIVA12G06810 transcript:ONIVA12G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCESEDATMDGVDDGLICSTSCYNMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQVSHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPIFLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDPQLLVPCTAALSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHNCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLVQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVPDA >ONIVA12G06810.2 pep chromosome:AWHD00000000:12:6177989:6191208:1 gene:ONIVA12G06810 transcript:ONIVA12G06810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCESEDATMDGVDDGLICSTSCYNMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQVSHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDPQLLVPCTAALSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHNCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGVYPKTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLVQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVSSPKTTTGLELPSGGHNNKGNILRYVYMSMI >ONIVA12G06810.3 pep chromosome:AWHD00000000:12:6177989:6192692:1 gene:ONIVA12G06810 transcript:ONIVA12G06810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNCLKTDPQLLVPCTAALSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHNCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLVQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVPDA >ONIVA12G06810.4 pep chromosome:AWHD00000000:12:6177989:6192692:1 gene:ONIVA12G06810 transcript:ONIVA12G06810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGVYPKTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLVQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVPDA >ONIVA12G06810.5 pep chromosome:AWHD00000000:12:6177989:6191208:1 gene:ONIVA12G06810 transcript:ONIVA12G06810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCESEDATMDGVDDGLICSTSCYNMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQVSHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPIFLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDPQLLVPCTAALSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHNCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGVYPKTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLVQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVSSPKTTTGLELPSGGHNNKGNILRYVYMSMI >ONIVA12G06810.6 pep chromosome:AWHD00000000:12:6177990:6191208:1 gene:ONIVA12G06810 transcript:ONIVA12G06810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCESEDATMDGVDDGLICSTSCYNMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQVSHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPIFLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDPQLLVPCTAALSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHNCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLVQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVSSPKTTTGLELPSGGHNNKGNILRYVYMSMI >ONIVA12G06810.7 pep chromosome:AWHD00000000:12:6177989:6191208:1 gene:ONIVA12G06810 transcript:ONIVA12G06810.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGVYPKTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLVQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVSSPKTTTGLELPSGGHNNKGNILRYVYMSMI >ONIVA12G06810.8 pep chromosome:AWHD00000000:12:6177989:6191208:1 gene:ONIVA12G06810 transcript:ONIVA12G06810.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCESEDATMDGVDDGLICSTSCYNMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQVSHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPIFLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFATGKNAMEKGNCLKTDPQLLVPCTAALSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHNCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLVQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVSSPKTTTGLELPSGGHNNKGNILRYVYMSMI >ONIVA12G06810.9 pep chromosome:AWHD00000000:12:6177989:6192613:1 gene:ONIVA12G06810 transcript:ONIVA12G06810.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCESEDATMDGVDDGLICSTSCYNMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQVSHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPIFLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDPQLLVPCTAALSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHNCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGVYPKTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNSEITSVHGDTLSLTNVGMERQEARIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDIFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLVQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVPDA >ONIVA12G06820.1 pep chromosome:AWHD00000000:12:6194484:6195392:-1 gene:ONIVA12G06820 transcript:ONIVA12G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASAARAHHKAVIRRTPSSSHHVNLCSCLVFLAPFALQTKLWSNVEKADRASKTKQHTNHHIQLSLNKHTGSSSTANQKGLSKICATTTKV >ONIVA12G06830.1 pep chromosome:AWHD00000000:12:6204034:6211721:1 gene:ONIVA12G06830 transcript:ONIVA12G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G14260) TAIR;Acc:AT5G14260] MASSASVSPAAASHLPCSPRRLPRPRPRLVACHADTLLPSSSPAAAAAACASTASANGFSDWLREHGLPPGKVAILDRPVPCFREGKDLPLHYVAAGQDLEAGDVAFEVPMSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGQDSFWYPYIKELDRQRGRGQLAVESPLLWTESELNYLKGSPIKDEVVARDEGIRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTAVGDSVRLVVDRPYKAGEPIIVWCGPQPNSRLLLNYGFIDEDNPYDRIVIEASLNIEDPQFQEKRMVAQRNGKLAIQNFHVSPCTERAVLDQLVGYLESRLADYPTTLDEDDAMLADGNLEPKKEVATRLVRLEKKLLHGCLQAANEFINDLPDHTVSPCPAPFAPELK >ONIVA12G06830.2 pep chromosome:AWHD00000000:12:6204034:6211721:1 gene:ONIVA12G06830 transcript:ONIVA12G06830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G14260) TAIR;Acc:AT5G14260] MASSASVSPAAASHLPCSPRRLPRPRPRLVACHADTLLPSSSPAAAAAACASTASANGFSDWLREHGLPPGKVAILDRPVPCFREGKDLPLHYVAAGQDLEAGDVAFEVPMSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGQDSFWYPYIKELDRQRGRGQLAVESPLLWTESELNYLKGSPIKDEVVARDEGIRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTAVGDSVRLVVDRPYKAGEPIIVWCGPQPNSRLLLNYGFIDEDNPYDRIVIEASLNIEDPQFQEKRMVAQRNGKLAIQNFHVSPCTERAVLDQLVGYLESRLADYPTTLDEDDAMLADGNLEPKKEVATRLVRLEKKLLHGCLQAANEFINDLPDHTVSPCPAPFAPELK >ONIVA12G06840.1 pep chromosome:AWHD00000000:12:6219099:6219963:-1 gene:ONIVA12G06840 transcript:ONIVA12G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRKQNLISLLCLIDVNFLLKNLFIHEFRMIDRTTFIACAGELCRADVLLNAYGKMLREQCDKLVPGIGTIIKDHAKYANVMVMILTPQMMCFPADVVRKIEEADAAADDAREKVDCSLYYSINRKADKLEELSRLKAGALRKLKSLVCDCSGGQKRSLTEVDDGVLGKKKVKGTPRLIGGAKAKMRSLRQRAPRHCR >ONIVA12G06850.1 pep chromosome:AWHD00000000:12:6233892:6234309:-1 gene:ONIVA12G06850 transcript:ONIVA12G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRAPYSAAQLFHGRHVLTPSLPNQSQEEAGAASFCSTPPSQNPTKSRRLKLYTFYVDIRTVYWP >ONIVA12G06860.1 pep chromosome:AWHD00000000:12:6238459:6238944:1 gene:ONIVA12G06860 transcript:ONIVA12G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASQLTETWRGKKRDEAGMGDKGDSGIPLISRLNKGVDEDSEDEGGETGDDL >ONIVA12G06870.1 pep chromosome:AWHD00000000:12:6246431:6246934:1 gene:ONIVA12G06870 transcript:ONIVA12G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGAQGTGNSESTKAAVGEVVYSDEVSTQLVKLATTKVLSTEVAVQLARTDEVVTNGKEQQQEPVSIAQELQHVSASLLSPVEHVLDCNVQSELFPENSRLAGDGRVASTKNLMAVLATNEDQLSASRAATSKAQDSAQAVGARSPTRRRRRRPVDPIPTRQSER >ONIVA12G06880.1 pep chromosome:AWHD00000000:12:6257619:6258071:1 gene:ONIVA12G06880 transcript:ONIVA12G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAALVMTTTTMETRGLFTADPTVGRDVGSGCGSEEASRRRIRRWEVRRRRIREQEGTRAMDPAVGGMRASDPAVGRYSGGGSSGGEARGWAGGAWLRVWAGNEAQTVADPVAGRLAVASGLVELGHDYVYGRGLRVRVLRHVGHSKDN >ONIVA12G06890.1 pep chromosome:AWHD00000000:12:6260166:6265058:1 gene:ONIVA12G06890 transcript:ONIVA12G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMVVSAYKGVIDSVLAKLRELMGGDMCANLIGVSSRDILFLRDELPAMSALLEKLDDAEELDSETKNWRNQVREMTYDIEDCIDDFSNNITSVDAKTGFLYKASQFLKTCRAHLEAAWQIKELKTRLQEINERRKRYKVGHYISNTTSVTVDPRISAFYKETAGLVGIDSPKRELTKLVMDEETQLKVMSIVGFGGLGKTTLASQVYREVGVQFNCKAFVSVSQKPDMVRLLTSLLLQLKQHPSHACGVQELIDNLREYLMDKRYFIVVDDLWDVPSWNIITCAFPQNNQHSRVIITTRHGDVARTCSSDHGSINNMKPLSAQNSRELFFNRIFGSKDYCPSYLEEVSCKILKKCGGLPLAIVTVASILACQPTRLKEEWEYIQSSLATNKFARKSTLEDMMQILELSYKSLPHHLKACFLYLGAYPEDCVISKVDLIKRWVAEGFVSHSPGQDAWVVAESYFNELVNRSMIQLPYQGYYNEVSHCKVHDMMLDMILMRCKEDNFISVIQDPRAAIEVQDKIRRLTIDLNGAMGDTMDMNITRKVSQVRSLGVFGGSKWIPPLLEFKFLRVLFLEFFLREMIIDLTGINQLSQLRYLKVECKECLMDGDIPSQVSIVLPSQIRRLQHLETLELPWVSECSIPSISGIIDLPRLTHLVLRQHKGGLPDGIRKLKSLRTLHGFNLPVSSLENIDALGELTSLADLSLHCGKQDTKSTTPGWMTALSCSIEKLGNLKGLYVRSNSPSCCADAMSSWFSPPFLNLEKLDLLDWTFSKVPRWIGQLHSLRELALGGKKILQEDVSMIGTMLPFLTHLSLRIVTCNIAVKESRIMIEGSIGFAALRFFCFDSNRMSHLEFGVGAMPQLKRLLLALDPWEWDEATPVGLKHLLYLEEIRVLTASTAVASAGSESMNGKSALVKGVFHDAANALQSRPAFTVLPRIRSLSDHVNCCKINMETVACK >ONIVA12G06890.2 pep chromosome:AWHD00000000:12:6260504:6265058:1 gene:ONIVA12G06890 transcript:ONIVA12G06890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMVVSAYKGVIDSVLAKLRELMGGDMCANLIGVSSRDILFLRDELPAMSALLEKLDDAEELDSETKNWRNQVREMTYDIEDCIDDFSNNITSVDAKTGFLYKASQFLKTCRAHLEAAWQIKELKTRLQEINERRKRYKVGHYISNTTSVTVDPRISAFYKETAGLVGIDSPKRELTKLVMDEETQLKVMSIVGFGGLGKTTLASQVYREVGVQFNCKAFVSVSQKPDMVRLLTSLLLQLKQHPSHACGVQELIDNLREYLMDKRYFIVVDDLWDVPSWNIITCAFPQNNQHSRVIITTRHGDVARTCSSDHGSINNMKPLSAQNSRELFFNRIFGSKDYCPSYLEEVSCKILKKCGGLPLAIVTVASILACQPTRLKEEWEYIQSSLATNKFARKSTLEDMMQILELSYKSLPHHLKACFLYLGAYPEDCVISKVDLIKRWVAEGFVSHSPGQDAWVVAESYFNELVNRSMIQLPYQGYYNEVSHCKVHDMMLDMILMRCKEDNFISVIQDPRAAIEVQDKIRRLTIDLNGAMGDTMDMNITRKVSQVRSLGVFGGSKWIPPLLEFKFLRVLFLEFFLREMIIDLTGINQLSQLRYLKVECKECLMDGDIPSQVSIVLPSQIRRLQHLETLELPWVSECSIPSISGIIDLPRLTHLVLRQHKGGLPDGIRKLKSLRTLHGFNLPVSSLENIDALGELTSLADLSLHCGKQDTKSTTPGWMTALSCSIEKLGNLKGLYVRSNSPSCCADAMSSWFSPPFLNLEKLDLLDWTFSKVPRWIGQLHSLRELALGGKKILQEDVSMIGTMLPFLTHLSLRIVTCNIAVKESRIMIEGSIGFAALRFFCFDSNRMSHLEFGVGAMPQLKRLLLALDPWEWDEATPVGLKHLLYLEEIRVLTASTAVASAGSESMNGKSALVKGVFHDAANALQSRPAFTVLPRIRSLSDHVNCCKINMETVACK >ONIVA12G06900.1 pep chromosome:AWHD00000000:12:6270789:6279291:-1 gene:ONIVA12G06900 transcript:ONIVA12G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSDNGKAPEKGGEASGPSSAPQEGEISNEPQRRRPLSGRTTGPTRRSTKGNWTPEEEDDIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKVDSYMSSGLLTQVSCLPLNEYSAHCNSSPALTQQNSEDSGSYAVREVENSSVCSQSSLAKVSCSQVHNANVALGCDLQVNANVDNNEAHDSQSSVGHEACYTSVGAVATAIPEVHYHVSSSNFDPDQHLQEEFAQGLNLHMSMDEVPSNSSFADNPIICSIENHERSLEPYDVAMEMPLSMLPSDSGAEQKLHFMSEADFNSPNCLKSELWQDISLQSLLSGPDAVETDSFSRSNHQSDVYSSQADNEFLAPPYLLQTSNSSSVMEATYGQSPQMSVPPSLICSNVMTDVPSDNRSEPKEMTVSQAEMVTQSSSSSGDAEMSANPVSSNGSDIPSMMERIPECADQHVTNAEEPEASIEKEPSVTPSATADEKQDEGALFYEPPRFPSMDVPFVSCDLVTSGDLQEYSPLGIRQLMRSTMNVCTPMRLWGSPTHDESPDILLKSAAKSFICTPSILKKRHRDLVSPIPDKRIEKKSGTEKDCGVSDTSSIGIQTCFINATKDDAVITKSVLRIERSASSKPLEKKLEFSDENKENLDNTIEQAKDGQSAGNYKHIDEQARGERRTATNITTTYDDLPGNLQPAGILIEHNGDDFVSPDYGKNTMKQKQNTNMESLSVCKEGVSAKKPAELIVEKSSACINVDYEYVNILADTPGIKRGLESPSAWKSPWFVDMQFQGSYFVSPANGSYDALGLVKQINVQTAAALAEAREVLASGGQSENINSDKENLENPDAKKEPGATTKLQAKIKTEGKILDFNECATPIRSSDKKAGSSLGRSLSSPIPSSHLLKSFR >ONIVA12G06910.1 pep chromosome:AWHD00000000:12:6289114:6302324:-1 gene:ONIVA12G06910 transcript:ONIVA12G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:exocyst complex component sec10 [Source:Projected from Arabidopsis thaliana (AT5G12370) TAIR;Acc:AT5G12370] MPSATDPPAALPLTLDLDDFKGDFSFDALFGTLVDELLPEFRGDDAPGAPPPPPPVLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVATQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVPSAVGSANASRGLEVAVANLQEYCNELENRLLARFDTASQRREMSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVDIMSIDIQVVLGEEGPQADHICIAEGLSVLYKEIADTVRREATTIMAVFPSPNEVMSILVQRVLEQRVTAILDKLLIKPSLANLPPIEEGGLLHYLRVLAVAYDKTKELAKELQSIGCGDLDIEGLTESIFVSHKDEYTEFEQASLRQQYQSKMAELRAEAKQQSESTGTIGRSNGAAVTTSLQQQISVTVVTEFVRWNEEAISRCTLLFSQPATVAANVRSIFACLLDQVSQYLTEGLDRARESLNHAATQRDRYVIGTSVSRRVATAAANAAEAAAAAGESSFRSFMIAVQRCASSVAILQQYFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLQCIDTVMSEVERLLSSEQKATDYRTPDDGAAPDHRPTNACIRIVAYLSRVLEVAFSALEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKTAKIASMLNSIMAE >ONIVA12G06910.2 pep chromosome:AWHD00000000:12:6289114:6302324:-1 gene:ONIVA12G06910 transcript:ONIVA12G06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:exocyst complex component sec10 [Source:Projected from Arabidopsis thaliana (AT5G12370) TAIR;Acc:AT5G12370] MPSATDPPAALPLTLDLDDFKGDFSFDALFGTLVDELLPEFRGDDAPGAPPPPPPVLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVATQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVPSAVGSANASRELENRLLARFDTASQRREMSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVDIMSIDIQVVLGEEGPQADHICIAEGLSVLYKEIADTVRREATTIMAVFPSPNEVMSILVQRVLEQRVTAILDKLLIKPSLANLPPIEEGGLLHYLRVLAVAYDKTKELAKELQSIGCGDLDIEGLTESIFVSHKDEYTEFEQASLRQQYQSKMAELRAEAKQQSESTGTIGRSNGAAVTTSLQQQISVTVVTEFVRWNEEAISRCTLLFSQPATVAANVRSIFACLLDQVSQYLTEGLDRARESLNHAATQRDRYVIGTSVSRRVATAAANAAEAAAAAGESSFRSFMIAVQRCASSVAILQQYFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLQCIDTVMSEVERLLSSEQKATDYRTPDDGAAPDHRPTNACIRIVAYLSRVLEVAFSALEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKTAKIASMLNSIMAE >ONIVA12G06920.1 pep chromosome:AWHD00000000:12:6314462:6314869:-1 gene:ONIVA12G06920 transcript:ONIVA12G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLPRQCSDRCVPEAQKWWICTPKTQQTVVPSARGLTATDQVLPRQRSDRSACPRPKNGGSACPRPDGRIPLTSPTSRPSSLLLLSLSLRSMSLWPSPTTASRCVGGLRGEDEFCRRRCRPLPTGSSVGERER >ONIVA12G06930.1 pep chromosome:AWHD00000000:12:6315829:6320480:1 gene:ONIVA12G06930 transcript:ONIVA12G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGLWRPRVESRGTFRRRLLTVTAGCFLFFIVFLLSSRHDAIVLLDTRLGVGRPTAASLRSPAAADTLPDAGSAGRRNHEAPSSPAFSSGVRETVTGVETNDDGAERGAEPAERDNAAPAAAAAISGGDQEAQPGTAAVDAAPGHDKSLRTAAATGTSPPRHEQPGETTTRADSVDQPRHPLCDLSDHRTDVCDLAGDIRMDANASAFVVVVDPAVGADGPTYKVRPGYTGNLFHDFTDVIVPLYNTAARYCGDVQLVVTDGNAATRRWLARYGAVLRGLSRHVPLDLAAEAAAGGGEVHCFGHTVVGLRAHGELIIDRERSPDGLGMPDFTQFLRRALSLPRDAPTRPGGGHGDATKPQPRPRLLIISRRGTRLLLNTDAVARAAEQVGFEAVASELDMAGADHDDVARVARLVNSFDAVVGVHGAGLTNMVFLPPGAAAVQIVPWGGLRWLARADFGEPAVAMGLRYIQYEVAAGESTLKDKYPRDHEIFTNPTALHKKGFTFMRHTFLNGQDIIVDIDRFKPVLLRALNSLAR >ONIVA12G06930.2 pep chromosome:AWHD00000000:12:6315829:6321579:1 gene:ONIVA12G06930 transcript:ONIVA12G06930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGLWRPRVESRGTFRRRLLTVTAGCFLFFIVFLLSSRHDAIVLLDTRLGVGRPTAASLRSPAAADTLPDAGSAGRRNHEAPSSPAFSSGVRETVTGVETNDDGAERGAEPAERDNAAPAAAAAISGGDQEAQPGTAAVDAAPGHDKSLRTAAATGTSPPRHEQPVSYGIKSGRAEEAPLTKS >ONIVA12G06930.3 pep chromosome:AWHD00000000:12:6315829:6323473:1 gene:ONIVA12G06930 transcript:ONIVA12G06930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGLWRPRVESRGTFRRRLLTVTAGCFLFFIVFLLSSRHDAIVLLDTRLGVGRPTAASLRSPAAADTLPDAGSAGRRNHEAPSSPAFSSGVRETVTGVETNDDGAERGAEPAERDNAAPAAAAAISGGDQEAQPGTAAVDAAPGHDKSLRTAAATGTSPPRHEQPGETIGGERA >ONIVA12G06940.1 pep chromosome:AWHD00000000:12:6316018:6316197:-1 gene:ONIVA12G06940 transcript:ONIVA12G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWREERRKTMKKRKQPAVTVSSRRLNVPRDSTRGRHNPPPPPFMAGDDDDEPGRELA >ONIVA12G06950.1 pep chromosome:AWHD00000000:12:6328095:6329178:1 gene:ONIVA12G06950 transcript:ONIVA12G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLRGRKFPDVWCLSSLPWNRCHGAYPHQLAGNGGSPHSTFEDSKIGTIAGDARLFGHALAWPPRWAPGVSKRTARVPQRPVGHATEHHGVGVGAWRSCWHPRRVDLLRDRRNDLRACALHRRVGFLTSMTGARMSIV >ONIVA12G06960.1 pep chromosome:AWHD00000000:12:6331275:6351462:-1 gene:ONIVA12G06960 transcript:ONIVA12G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNHLINLALPPEISSPLPLSAQLPPPLSLLLACLRLTPPPLASPRSRLLAAESGAAADVASPLLCLLARARTPANAARRRAFVSPQCGVVTGGARWIEAKLEATRMKHLDRSKGRKRCHPHPQQGDLLQGKLSSGGFGNALPAKPKDEDLTLFADMQKIENDNFLLEPSEDFDESISKLSYFPDVKLGVNIPTRRESHDLLDVDGDKNDYEWLLTPPETPLFRSLDDEEEQSAGQDSRGRTKSKPIRISGSSTVDNTQRSSRSSASPIRLSPSPRSMSRTRPSSAASRSSPPFALQPPTPSRRPSTPPSAKTLTPPRRSPSPASTLTPPRRSPSPASRRMSTGSTPALNRTRGPSPVKTNRISSSPKLQGWHSNVPGFSHDAPANLRTSLPDRPLSHSRGGSPSPPISGRDMGSRGRRQSLSPTPSRRASSSHSIERDRLSSYSKASATSSGEDDLDSMQSLPVAYSTSPAVKKSLSMMKTRTIASSKKPSTTFSTSSVPKRSFDSAVWLMDHRKAPNDMFRPLLSSVPTTTFNAGKGNVVQRPMFSHNSYMTTSSNASSEHSASFGPYVDNDQEQHDQISEWDDNHQVHGDIFMFDKLDELNEETSHEETTKFVESDRQDIDMEKGWAASQTSGTNSSHVGYGEMATCTRCGNVFKVMDVDRQGDYCEECGLLLSICSAGPVTQTLQEAHQQDEITANCKSYAESGTSIASDCVEYREEASLGHQFNDEPPADCIKACSPLQSMVDTNEEMLLAHEVSDNQQASAEHEHFRDQINSHSESLPQCLPELNHQHNDSISQTASGDNYQLGSTAYSSPKVENTDATGISVLLLQKSSSNKWPVVEGRTLSATNILCSEPYYTRDSISAMRRSFGRDSSSATSSIDLGSSRQSDVRFERLRSGKRGDFEKARMSSTMSHQSVASVSDMSISGSSASLCPQSDVIGDTCFPIDTLERSASRTTVSIEEHDSSCMDALSSGMECSSAVQPIINDEILVDLNTSGFHRLSETEDILIKNHNMEMVADNDHLSTNLCLSDIEMPSDALESSAAEESYIPKTEEDTSTKAHCYTISTLEHPSDENNFDDLQMQSEAVQSSNEENKSNGCCTLAVSEDDVLVSGTDTNIKELPNDESPEAVEGSRKEIQRCFTLEEATDTILLCSSIVHDLAYKAATIALDHEQERVHAEPTRPSVTIVGKSIPKEDGLLKLTHRRTPNRKVKRKRLEGETTITENAEKKDDISTDHSPVRSSSGITRTSESMKPPKLESKCNCIIIPVQSTPTAAPLHCLLLAAATHRIHLQQHGNPSQPIPTRRGAPNAAAAAAFPAASSRICAPTHTTRLPRFGASACREAECGEEEEEAAESMPPSPSLRRSPSKEISHRRGHSFGSTVPAKPKDDELALFNDMRKNEEDNFLLESSDNFDETISKLSYFPDLKLGVNIARREESRDFLNTDGDKNDYDWLLTPPETPLFRSLDDDEDKLAGPAPRGRAQTKPILISRSSTMENAQRSSRSSASPNRLSLSPRSSSSNTALTRTRSTNSSSRCSPPLSLQSSTPSRRSPTPPGNKTLTPPRRSPSPASRRMSATSSGPTLNGTRGASPVKTNRRSSSPKFQGWQSSDPGFSFDAPPNLRTSMSDRPLSRSRGGSPSSFSGLNMVSRGRRQSMSPTPSRRTISSHSTERDHFSSYSKASATSSGEDDLDSMQSVPIDYSSSPAVKKSLAVMKTRTIASSQKPSKSFSPSSAPKRSFDSAVWLMDHRKAPQSMFRPLLSSVPTTTFVAGKGNVVHRPTFSHISSVTTSSNASSEHGATFSPCVDIDHERHDLVGQWETNDSSRIHEEIFMFDKSDELNEGSNCHQHSLSTTCSGLENSSGRVNCVESTKEGLDMKSRRTADQISCGFASSSEVGDGEMATCTRCGKIFNVMYLSGDNYCEECDFGDGIFSAGSKIQTTEGLHQKDHKFTHSKVCIPSEDRRPIALDCVEDSSDVSLDHQLVSNEPPADYLQRYSTESVVHTNEEKMLGKHLMNLKENISPHDIGDSSMGNSNDISPHTCSVSDYQEAEAAHVTEYKLFRLQKGNQNHEMAQCLSESDCQHNGFISGMVASGSHELGSTGPPSLKVENAEGNGISVLLLEETRSKKWPIVEGRTLVTTNIHCLEPYYTADSVSLMKRSIGRDSSSAASSIDLGPSGQSDVRFERLRSGKKGDFEKSQISCQSIASVSDMSISGSSASHYPQSYVNGDGCHPIYSLETSALTAAVFTKEHDGSCKEALSSAIECWSVAQAIVNDDCEVEDEVTQNQDKERMARDDNLGVDMCSSYTEVPSDMPQSPAADGSFIEKTQNDSQEGPAIADYSVATPEHPCDENNSDIPRILSETVAASDDESKLDDCGVSSVPEEGALVSARDETKDEGRRKQFQRCFTLEEATDTILFCSSIVHDLAYKAATVGLEREQEAEFAHAPRPTVTMVEKFIPREDGLLRGPQRRTTRRKVERKIPEGDGESITDTARTEVITKEPAPVRSSSEITTFDSLKPPKMESKCNCTIM >ONIVA12G06960.2 pep chromosome:AWHD00000000:12:6331275:6351462:-1 gene:ONIVA12G06960 transcript:ONIVA12G06960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNHLINLALPPEISSPLPLSAQLPPPLSLLLACLRLTPPPLASPRSRLLAAESGAAADVASPLLCLLARARTPANAARRRAFVSPQCGVVTGGARWIEAKLEATRMTDQKAVKDATLTLNKEISFKGNFPQEAKLSYFPDVKLGVNIPTRRESHDLLDVDGDKNDYEWLLTPPETPLFRSLDDEEEQSAGQDSRGRTKSKPIRISGSSTVDNTQRSSRSSASPIRLSPSPRSMSRTRPSSAASRSSPPFALQPPTPSRRPSTPPSAKTLTPPRRSPSPASTLTPPRRSPSPASRRMSTGSTPALNRTRGPSPVKTNRISSSPKLQGWHSNVPGFSHDAPANLRTSLPDRPLSHSRGGSPSPPISGRDMGSRGRRQSLSPTPSRRASSSHSIERDRLSSYSKASATSSGEDDLDSMQSLPVAYSTSPAVKKSLSMMKTRTIASSKKPSTTFSTSSVPKRSFDSAVWLMDHRKAPNDMFRPLLSSVPTTTFNAGKGNVVQRPMFSHNSYMTTSSNASSEHSASFGPYVDNDQEQHDQISEWDDNHQVHGDIFMFDKLDELNEETSHEETTKFVESDRQDIDMEKGWAASQTSGTNSSHVGYGEMATCTRCGNVFKVMDVDRQGDYCEECGLLLSICSAGPVTQTLQEAHQQDEITANCKSYAESGTSIASDCVEYREEASLGHQFNDEPPADCIKACSPLQSMVDTNEEMLLAHEVSDNQQASAEHEHFRDQINSHSESLPQCLPELNHQHNDSISQTASGDNYQLGSTAYSSPKVENTDATGISVLLLQKSSSNKWPVVEGRTLSATNILCSEPYYTRDSISAMRRSFGRDSSSATSSIDLGSSRQSDVRFERLRSGKRGDFEKARMSSTMSHQSVASVSDMSISGSSASLCPQSDVIGDTCFPIDTLERSASRTTVSIEEHDSSCMDALSSGMECSSAVQPIINDEILVDLNTSGFHRLSETEDILIKNHNMEMVADNDHLSTNLCLSDIEMPSDALESSAAEESYIPKTEEDTSTKAHCYTISTLEHPSDENNFDDLQMQSEAVQSSNEENKSNGCCTLAVSEDDVLVSGTDTNIKELPNDESPEAVEGSRKEIQRCFTLEEATDTILLCSSIVHDLAYKAATIALDHEQERVHAEPTRPSVTIVGKSIPKEDGLLKLTHRRTPNRKVKRKRLEGETTITENAEKKDDISTDHSPVRSSSGITRTSESMKPPKLESKCNCIIIPVQSTPTAAPLHCLLLAAATHRIHLQQHGNPSQPIPTRRGAPNAAAAAAFPAASSRICAPTHTTRLPRFGASACREAECGEEEEEAAESMPPSPSLRRSPSKEISHRRGHSFGSTVPAKPKDDELALFNDMRKNEEDNFLLESSDNFDETISKLSYFPDLKLGVNIARREESRDFLNTDGDKNDYDWLLTPPETPLFRSLDDDEDKLAGPAPRGRAQTKPILISRSSTMENAQRSSRSSASPNRLSLSPRSSSSNTALTRTRSTNSSSRCSPPLSLQSSTPSRRSPTPPGNKTLTPPRRSPSPASRRMSATSSGPTLNGTRGASPVKTNRRSSSPKFQGWQSSDPGFSFDAPPNLRTSMSDRPLSRSRGGSPSSFSGLNMVSRGRRQSMSPTPSRRTISSHSTERDHFSSYSKASATSSGEDDLDSMQSVPIDYSSSPAVKKSLAVMKTRTIASSQKPSKSFSPSSAPKRSFDSAVWLMDHRKAPQSMFRPLLSSVPTTTFVAGKGNVVHRPTFSHISSVTTSSNASSEHGATFSPCVDIDHERHDLVGQWETNDSSRIHEEIFMFDKSDELNEGSNCHQHSLSTTCSGLENSSGRVNCVESTKEGLDMKSRRTADQISCGFASSSEVGDGEMATCTRCGKIFNVMYLSGDNYCEECDFGDGIFSAGSKIQTTEGLHQKDHKFTHSKVCIPSEDRRPIALDCVEDSSDVSLDHQLVSNEPPADYLQRYSTESVVHTNEEKMLGKHLMNLKENISPHDIGDSSMGNSNDISPHTCSVSDYQEAEAAHVTEYKLFRLQKGNQNHEMAQCLSESDCQHNGFISGMVASGSHELGSTGPPSLKVENAEGNGISVLLLEETRSKKWPIVEGRTLVTTNIHCLEPYYTADSVSLMKRSIGRDSSSAASSIDLGPSGQSDVRFERLRSGKKGDFEKSQISCQSIASVSDMSISGSSASHYPQSYVNGDGCHPIYSLETSALTAAVFTKEHDGSCKEALSSAIECWSVAQAIVNDDCEVEDEVTQNQDKERMARDDNLGVDMCSSYTEVPSDMPQSPAADGSFIEKTQNDSQEGPAIADYSVATPEHPCDENNSDIPRILSETVAASDDESKLDDCGVSSVPEEGALVSARDETKDEGRRKQFQRCFTLEEATDTILFCSSIVHDLAYKAATVGLEREQEAEFAHAPRPTVTMVEKFIPREDGLLRGPQRRTTRRKVERKIPEGDGESITDTARTEVITKEPAPVRSSSEITTFDSLKPPKMESKCNCTIM >ONIVA12G06960.3 pep chromosome:AWHD00000000:12:6331275:6351462:-1 gene:ONIVA12G06960 transcript:ONIVA12G06960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNHLINLALPPEISSPLPLSAQLPPPLSLLLACLRLTPPPLASPRSRLLAAESGAAADVASPLLCLLARARTPANAARRRAFVSPQCGVVTGGARWIEAKLEATRMTDQKAVKDATLTLNKEISFKGNFPQEAKLSYFPDVKLGVNIPTRRESHDLLDVDGDKNDYEWLLTPPETPLFRSLDDEEEQSAGQDSRGRTKSKPIRISGSSTVDNTQRSSRSSASPIRLSPSPRSMSRTRPSSAASRSSPPFALQPPTPSRRPSTPPSAKTLTPPRRSPSPASTLTPPRRSPSPASRRMSTGSTPALNRTRGPSPVKTNRISSSPKLQGWHSNVPGFSHDAPANLRTSLPDRPLSHSRGGSPSPPISGRDMGSRGRRQSLSPTPSRRASSSHSIERDRLSSYSKASATSSGEDDLDSMQSLPVAYSTSPAVKKSLSMMKTRTIASSKKPSTTFSTSSVPKRSFDSAVWLMDHRKAPNDMFRPLLSSVPTTTFNAGKGNVVQRPMFSHNSYMTTSSNASSEHSASFGPYVDNDQEQHDQISEWDDNHQVHGDIFMFDKLDELNEETSHEETTKFVESDRQDIDMEKGWAASQTSGTNSSHVGYGEMATCTRCGNVFKVMDVDRQGDYCEECGLLLSICSAGPVTQTLQEAHQQDEITANCKSYAESGTSIASDCVEYREEASLGHQFNDEPPADCIKACSPLQSMVDTNEEMLLAHEVSDNQQASAEHEHFRDQINSHSESLPQCLPELNHQHNDSISQTASGDNYQLGSTAYSSPKVENTDATGISVLLLQKSSSNKWPVVEGRTLSATNILCSEPYYTRDSISAMRRSFGRDSSSATSSIDLGSSRQSDVRFERLRSGKRGDFEKARMSSTMSHQSVASVSDMSISGSSASLCPQSDVIGDTCFPIDTLERSASRTTVSIEEHDSSCMDALSSGMECSSAVQPIINDEILVDLNTSGFHRLSETEDILIKNHNMEMVADNDHLSTNLCLSDIEMPSDALESSAAEESYIPKTEEDTSTKAHCYTISTLEHPSDENNFDDLQMQSEAVQSSNEENKSNGCCTLAVSEDDVLVSGTDTNIKELPNDESPEAVEGSRKEIQRCFTLEEATDTILLCSSIVHDLAYKAATIALDHEQERVHAEPTRPSVTIVGKSIPKEDGLLKLTHRRTPNRKSTPTAAPLHCLLLAAATHRIHLQQHGNPSQPIPTRRGAPNAAAAAAFPAASSRICAPTHTTRLPRFGASACREAECGEEEEEAAESMPPSPSLRRSPSKEISHRRGHSFGSTVPAKPKDDELALFNDMRKNEEDNFLLESSDNFDETISKLSYFPDLKLGVNIARREESRDFLNTDGDKNDYDWLLTPPETPLFRSLDDDEDKLAGPAPRGRAQTKPILISRSSTMENAQRSSRSSASPNRLSLSPRSSSSNTALTRTRSTNSSSRCSPPLSLQSSTPSRRSPTPPGNKTLTPPRRSPSPASRRMSATSSGPTLNGTRGASPVKTNRRSSSPKFQGWQSSDPGFSFDAPPNLRTSMSDRPLSRSRGGSPSSFSGLNMVSRGRRQSMSPTPSRRTISSHSTERDHFSSYSKASATSSGEDDLDSMQSVPIDYSSSPAVKKSLAVMKTRTIASSQKPSKSFSPSSAPKRSFDSAVWLMDHRKAPQSMFRPLLSSVPTTTFVAGKGNVVHRPTFSHISSVTTSSNASSEHGATFSPCVDIDHERHDLVGQWETNDSSRIHEEIFMFDKSDELNEGSNCHQHSLSTTCSGLENSSGRVNCVESTKEGLDMKSRRTADQISCGFASSSEVGDGEMATCTRCGKIFNVMYLSGDNYCEECDFGDGIFSAGSKIQTTEGLHQKDHKFTHSKVCIPSEDRRPIALDCVEDSSDVSLDHQLVSNEPPADYLQRYSTESVVHTNEEKMLGKHLMNLKENISPHDIGDSSMGNSNDISPHTCSVSDYQEAEAAHVTEYKLFRLQKGNQNHEMAQCLSESDCQHNGFISGMVASGSHELGSTGPPSLKVENAEGNGISVLLLEETRSKKWPIVEGRTLVTTNIHCLEPYYTADSVSLMKRSIGRDSSSAASSIDLGPSGQSDVRFERLRSGKKGDFEKSQISCQSIASVSDMSISGSSASHYPQSYVNGDGCHPIYSLETSALTAAVFTKEHDGSCKEALSSAIECWSVAQAIVNDDCEVEDEVTQNQDKERMARDDNLGVDMCSSYTEVPSDMPQSPAADGSFIEKTQNDSQEGPAIADYSVATPEHPCDENNSDIPRILSETVAASDDESKLDDCGVSSVPEEGALVSARDETKDEGRRKQFQRCFTLEEATDTILFCSSIVHDLAYKAATVGLEREQEAEFAHAPRPTVTMVEKFIPREDGLLRGPQRRTTRRKVERKIPEGDGESITDTARTEVITKEPAPVRSSSEITTFDSLKPPKMESKCNCTIM >ONIVA12G06960.4 pep chromosome:AWHD00000000:12:6337258:6351462:-1 gene:ONIVA12G06960 transcript:ONIVA12G06960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNHLINLALPPEISSPLPLSAQLPPPLSLLLACLRLTPPPLASPRSRLLAAESGAAADVASPLLCLLARARTPANAARRRAFVSPQCGVVTGGARWIEAKLEATRMKHLDRSKGRKRCHPHPQQGDLLQGKLSSGGFGNALPAKPKDEDLTLFADMQKIENDNFLLEPSEDFDESISKLSYFPDVKLGVNIPTRRESHDLLDVDGDKNDYEWLLTPPETPLFRSLDDEEEQSAGQDSRGRTKSKPIRISGSSTVDNTQRSSRSSASPIRLSPSPRSMSRTRPSSAASRSSPPFALQPPTPSRRPSTPPSAKTLTPPRRSPSPASTLTPPRRSPSPASRRMSTGSTPALNRTRGPSPVKTNRISSSPKLQGWHSNVPGFSHDAPANLRTSLPDRPLSHSRGGSPSPPISGRDMGSRGRRQSLSPTPSRRASSSHSIERDRLSSYSKASATSSGEDDLDSMQSLPVAYSTSPAVKKSLSMMKTRTIASSKKPSTTFSTSSVPKRSFDSAVWLMDHRKAPNDMFRPLLSSVPTTTFNAGKGNVVQRPMFSHNSYMTTSSNASSEHSASFGPYVDNDQEQHDQISEWDDNHQVHGDIFMFDKLDELNEETSHEETTKFVESDRQDIDMEKGWAASQTSGTNSSHVGYGEMATCTRCGNVFKVMDVDRQGDYCEECGLLLSICSAGPVTQTLQEAHQQDEITANCKSYAESGTSIASDCVEYREEASLGHQFNDEPPADCIKACSPLQSMVDTNEEMLLAHEVSDNQQASAEHEHFRDQINSHSESLPQCLPELNHQHNDSISQTASGDNYQLGSTAYSSPKVENTDATGISVLLLQKSSSNKWPVVEGRTLSATNILCSEPYYTRDSISAMRRSFGRDSSSATSSIDLGSSRQSDVRFERLRSGKRGDFEKARMSSTMSHQSVASVSDMSISGSSASLCPQSDVIGDTCFPIDTLERSASRTTVSIEEHDSSCMDALSSGMECSSAVQPIINDEILVDLNTSGFHRLSETEDILIKNHNMEMVADNDHLSTNLCLSDIEMPSDALESSAAEESYIPKTEEDTSTKAHCYTISTLEHPSDENNFDDLQMQSEAVQSSNEENKSNGCCTLAVSEDDVLVSGTDTNIKELPNDESPEAVEGSRKEIQRCFTLEEATDTILLCSSIVHDLAYKAATIALDHEQERVHAEPTRPSVTIVGKSIPKEDGLLKLTHRRTPNRKVKRKRLEGETTITENAEKKDDISTDHSPVRSSSGITRTSESMKPPKLESKCNCIIIPVQSTPTAAPLHCLLLAAATHRIHLQQHGNPSQPIPTRRGAPNAAAAAAFPAASSRICAPTHTTRLPRFGASACREAECGEEEEEAAESVIVLNGLSSPRSDILERRRIAKFSRRDF >ONIVA12G06960.5 pep chromosome:AWHD00000000:12:6331275:6337248:-1 gene:ONIVA12G06960 transcript:ONIVA12G06960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSLRRSPSKEISHRRGHSFGSTVPAKPKDDELALFNDMRKNEEDNFLLESSDNFDETISKLSYFPDLKLGVNIARREESRDFLNTDGDKNDYDWLLTPPETPLFRSLDDDEDKLAGPAPRGRAQTKPILISRSSTMENAQRSSRSSASPNRLSLSPRSSSSNTALTRTRSTNSSSRCSPPLSLQSSTPSRRSPTPPGNKTLTPPRRSPSPASRRMSATSSGPTLNGTRGASPVKTNRRSSSPKFQGWQSSDPGFSFDAPPNLRTSMSDRPLSRSRGGSPSSFSGLNMVSRGRRQSMSPTPSRRTISSHSTERDHFSSYSKASATSSGEDDLDSMQSVPIDYSSSPAVKKSLAVMKTRTIASSQKPSKSFSPSSAPKRSFDSAVWLMDHRKAPQSMFRPLLSSVPTTTFVAGKGNVVHRPTFSHISSVTTSSNASSEHGATFSPCVDIDHERHDLVGQWETNDSSRIHEEIFMFDKSDELNEGSNCHQHSLSTTCSGLENSSGRVNCVESTKEGLDMKSRRTADQISCGFASSSEVGDGEMATCTRCGKIFNVMYLSGDNYCEECDFGDGIFSAGSKIQTTEGLHQKDHKFTHSKVCIPSEDRRPIALDCVEDSSDVSLDHQLVSNEPPADYLQRYSTESVVHTNEEKMLGKHLMNLKENISPHDIGDSSMGNSNDISPHTCSVSDYQEAEAAHVTEYKLFRLQKGNQNHEMAQCLSESDCQHNGFISGMVASGSHELGSTGPPSLKVENAEGNGISVLLLEETRSKKWPIVEGRTLVTTNIHCLEPYYTADSVSLMKRSIGRDSSSAASSIDLGPSGQSDVRFERLRSGKKGDFEKSQISCQSIASVSDMSISGSSASHYPQSYVNGDGCHPIYSLETSALTAAVFTKEHDGSCKEALSSAIECWSVAQAIVNDDCEVEDEVTQNQDKERMARDDNLGVDMCSSYTEVPSDMPQSPAADGSFIEKTQNDSQEGPAIADYSVATPEHPCDENNSDIPRILSETVAASDDESKLDDCGVSSVPEEGALVSARDETKDEGRRKQFQRCFTLEEATDTILFCSSIVHDLAYKAATVGLEREQEAEFAHAPRPTVTMVEKFIPREDGLLRGPQRRTTRRKVERKIPEGDGESITDTARTEVITKEPAPVRSSSEITTFDSLKPPKMESKCNCTIM >ONIVA12G06970.1 pep chromosome:AWHD00000000:12:6361677:6361895:1 gene:ONIVA12G06970 transcript:ONIVA12G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAAVVDTAARELAAGDTARSDGGGAQIHAMAGRIWGSGSRQRGMKVAAVDDVAARELETAVGGDCGCRRR >ONIVA12G06980.1 pep chromosome:AWHD00000000:12:6382052:6394103:-1 gene:ONIVA12G06980 transcript:ONIVA12G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETLDFSARFQGVGSRAEIMKEVIKKEKEAGITPDPDIDAYMKIMGLDKCADVKVGNAMRRGISGGEMKRLTTEGHNTRFYNPNVSQNTNLKEIQAELAKWSLNNSKHYLVLFGLRPSTMSCRIVTTVGPNFSTQLDFLMGEMIVGPCKVLLMDEISTGLDSSTTFQIVSCLQQLAHISEYTILVSLLQPAPETYDLFDDIILMGEGKVVYHGPKNLIMTFFESCGFKCPERKGPADFLQEVLSKKDQQQYWSRSEQWYNFITVDQFCDKFKASQVGQSLAEDLSKLYEKSKANKNALSCSIYSLSKWHLLKACFDRELLLMKRNAFLHITKAVQLGLLAIITGTVFFRTHKNFDIVSANYYMGSLFYALILLMVNGIPELVMSISRLPVFYKHRDHYLYPGWAYAIPAFILKIPASLVAALSWTSISYYLIGYTPEAPRYFRQLLVLFLVHTGALSLYRCVGSYCQTIAVGPIAATMSLLVILLFGGFLIPRPSMPNWLKWGFWLSPLSYAEIGLTGNEFLAPRWLKITISGVTIGRRILIDRGLDFSVYFYWISVAALIGFILLYNIGFAIGLTIKQSPGASQAIISNDKIRMCHGRDQEKSKDIKIGMRRMALPFTPLTISFRDVNYYVDTPPEMRKKGYMGRKLQLLRNITGAFQPGILSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRMGGYPKVQQTFSRISGYCEQNDVHSPQITVGESVAYSAWLRLPAEIDTKTRKEFVDEVLEIIELDEIRDALVGTPGVNGLSREQRKRLTIAVELVSNPSIVFMDEPTSGLDARAAAIAMRAVKNVAETGRTVVCTIHQPSIEIFEAFDELMLIKRGGELIYAGPLGQHSCKVIQYFQSIPGVPKIKDNYNPSTWMLEVTSTSMEAQLGVDFAQIYTGSSICKDKDELIKGFSMPPPGTSDLHFPTRFPQKFLEQFKACLWKQFLSHWRTPSYNLVRIVFMAFSSIIFGVLYWQQGNIRHINDQQGLFTILGCMYGITIFTGINNSQSAMPFVAVERSVMYRERFAGMYSPWAYSFAQVAMEIPYVLMLALLFMLIAYPTIGYAWTAAKFCWFFYTMFWTLLYFVYFGMLIVSITPNLQVASIYASSFYMTQHLLSGFVVPPSQIPKWWIWLYYISPMSWTLNLLFTTQFGFEDSSNILVFGETKPIAAFVRDYFGFHRELLPLSAIILAAYPVLFAILYGYSISRFNFQKR >ONIVA12G06990.1 pep chromosome:AWHD00000000:12:6408954:6409409:-1 gene:ONIVA12G06990 transcript:ONIVA12G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNGGGVDPPQRPEEEEDEEDAAAGSSCRVTATNGHHHQQQLVVSGELEGGGGGGREKEDDELKRKWAAIERLPTADRLRLSLLSSTRGGGSNGDVSEGGGGAASSELEVVDVRGLGAAERRAVVQRLVADVKHDHVRMLRKQRERMER >ONIVA12G07010.1 pep chromosome:AWHD00000000:12:6484788:6491792:1 gene:ONIVA12G07010 transcript:ONIVA12G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAAVRSKRPPCRWRSASRCTWPSASASRASTGIGALWRLRWVVAASPSSASPAALARPPRYSTTSSHGQIIGNFLKKVKMPYDQNLEIIGMDTGPLQNAYISTGSSCGYFRQDNSMLYIGNPSPVDHTSSDGFLLHIVNPLEMESLLINLEGKVVERSVVHHHD >ONIVA12G07020.1 pep chromosome:AWHD00000000:12:6491730:6494090:-1 gene:ONIVA12G07020 transcript:ONIVA12G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFRCMLRNRVSLYLVLAGGSRGNGDGDEATTPALALGGEGGIVADLISCLEAVLEEKSGELAFPGLRQVFMLNNKDAIVRRAVRSDLAMFLLSGWARAREERMDRYIKSYLDVSWTPVMSRLAAVAAKPATVTVLRRRRDPLATFNSVLENACSAQRCWKVPRPVLRHVLRRTVSEHVMPAYRRCVEEVEQPAAVRTER >ONIVA12G07030.1 pep chromosome:AWHD00000000:12:6498780:6502485:1 gene:ONIVA12G07030 transcript:ONIVA12G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVFWRGGVTMVVLACAMVAAATVACVLMARALRRAVAREAALDADLVRHKDALRQAERKSMNKSNAFASASHDIRSALAAVAGLVEVSRPEAAAATNPNITDNLNQMELCTNKLLDILNSILDTTKMESGKMQLEEVEFNMADILEESVDMANVVGINKGIEVIWDPCDFSVMKCDNAIGDSKRFKQILDNLLGNAMKFTQEGHVILRAWANRPIVRGSIGAPSRFAYRSLENNSFSFFFGAKGDGVAQNSFNPLQNDPNLVEFYFEVIDTGIGIPKEKRESVFENYVQVKEGHAGTGFGLGIVQSFVRLMGGEISIKEKEPGERGTCFGFNVLLKTSGSQATEEDIEEGPSTGWHCILFVHGDETIRVLQAWMESIGMKVWMVLGVESISSTLEKARSSRDDCDADRCFSSKEMVSQVLPTTLRSNNIMARNLGEHHPLCMLLIVDVSNGQLENIQRQARDFAQMRSQVPCKFVCLTDLRTSYKDFRRFEEMSCDLMLRKPVHGSRLYSLLMTLRDVQSSPMHRSSLVGHENSVSRQQDSANIVASAEVGRLDQGLKAEKDRPLDGMHVLLVEDTLVLQTIQRKMLNQLGATVELAGDGAKAVDMFKDAIERTSVSEEHSVPLPYDVIFMYCQMPQMDGYEATRRIREEESRYGIRTPIIALTAHSMEEDLQKAISVGMDLHMTKPIERRIVEAVHGVCKDKN >ONIVA12G07040.1 pep chromosome:AWHD00000000:12:6503034:6503799:-1 gene:ONIVA12G07040 transcript:ONIVA12G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQKQNHIQSSNIIWSSMPSTQQRTDTQNQRLDNGSFIHDIGAHLADQTNASASMLPQMKFDTRTSEEKLKQKNTYDLGSSKLQGGFNSSSCNFDDLLNSIIKVEKDDLPFMDNKLGCDLFPLGACIRIHHFGH >ONIVA12G07050.1 pep chromosome:AWHD00000000:12:6503830:6506014:-1 gene:ONIVA12G07050 transcript:ONIVA12G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEKLTRENVASHLQKYRLYLKRLRAVASQQASIVAPFGGRDSSLHMGAFEGLQSYQPFAPSAALPSFNPHGLLSRTNTAAAFGLQELAAPSSTIQTATGNVTIGHCLEESQHGNLAQGLTAAIGKPQLQQNWIHQESNGLSDVFSGSALTNTLSSTLQRVPSSSLPPQELLECKQTKVSMPPSIRIPPSSSALLERTLGVSTNLGDSSISQQGALVDGGFSADRLPLHSSFDGAVATKLDTSLAASQREIGQQGKFSVSMLVSPSDNLALAKNAKTGASSSGSTVILPLDTARHLDYL >ONIVA12G07060.1 pep chromosome:AWHD00000000:12:6507108:6518068:-1 gene:ONIVA12G07060 transcript:ONIVA12G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTAAGLGGLVYNKDNHPPDIAKGGDPKEHENGNSSEVEESLIIEDRQSTVANINMNSEALRKRVQSFTEGLVKMASPVLLALKMNKVDLRSKGNAFIRGSISSLVAAILEVSIFLFLWLWLCSSQLLKDKKRKFMYTMSKMLVHFCGMLLMALASCILLLIIGMDKKLFLLILVPFLCITFLSIATSAMRSEDDDASLHEEVKCEDELERSVDFSASITALVFLGLGRFAFEVDDPQKADVSEHLAVAAIISFVICVLGVFFTLYGTIPLLPSINALRDMELMEGGKAQEHVREKLEMSSMVLAATVLGIVAWITWVILKLWACLLTIPLLASLFAGIYNHIIKQLQNRDSEPKKPQSAQDPARVINPAQPQGVVEGQRKPPPASSGETSAGQTTATSIPQPQPAGTGSSSGETRSTTLELHSTSSEVTSAGQTTSTAEIPPGTSSSSVDTRPASDEHPATSTSGEEARRTAHDETTTVTSSAAQSESQPDTDTTSSGETKAAPLELTKATFTAFLLVAIPSFGDSSIHGYTHAFIFLTAAALVSGLLLRLLTHRTVYPPSVVRAAKVASFFAHLCLAAAVIPFTLMAIAAQKLPPSCTHTCAGAANTTSS >ONIVA12G07060.2 pep chromosome:AWHD00000000:12:6507108:6518145:-1 gene:ONIVA12G07060 transcript:ONIVA12G07060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASIPGAYVLTSIITQCLAALNCRPKKPKREGQKGGDPKEHENGNSSEVEESLIIEDRQSTVANINMNSLGRDSEETDKGNSSEEALRKRVQSFTEGLVKMASPVLLALKMNKVDLRSKGNAFIRGSISSLVAAILEVSIFLFLWLWLCSSQLLKDKKRKFMYTMSKMLVHFCGMLLMALASCILLLIIGMDKKLFLLILVPFLCITFLSIATSAMRSEDDDASLHEEVKCEDELERSVDFSASITALVFLGLGRFAFEVDDPQKADVSEHLAVAAIISFVICVLGVFFTLYGTIPLLPSINALRDMELMEGGKAQEHVREKLEMSSMVLAATVLGIVAWITWVILKLWACLLTIPLLASLFAGIYNHIIKQLQNRDSEPKKPQSAQDPARVINPAQPQGVVEGQRKPPPASSGETSAGQTTATSIPQPQPAGTGSSSGETRSTTLELHSTSSEVTSAGQTTSTAEIPPGTSSSSVDTRPASDEHPATSTSGEEARRTAHDETTTVTSSAAQSESQPDTDTTSSGETKAAPLELTKATFTAFLLVAIPSFGDSSIHGYTHAFIFLTAAALVSGLLLRLLTHRTVYPPSVVRAAKVASFFAHLCLAAAVIPFTLMAIAAQKLPPSCTHTCAGAANTTSS >ONIVA12G07060.3 pep chromosome:AWHD00000000:12:6507108:6513383:-1 gene:ONIVA12G07060 transcript:ONIVA12G07060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLGRDSEETDKGNSSEEALRKRVQSFTEGLVKMASPVLLALKMNKVDLRSKGNAFIRGSISSLVAAILEVSIFLFLWLWLCSSQLLKDKKRKFMYTMSKMLVHFCGMLLMALASCILLLIIGMDKKLFLLILVPFLCITFLSIATSAMRSEDDDASLHEEVKCEDELERSVDFSASITALVFLGLGRFAFEVDDPQKADVSEHLAVAAIISFVICVLGVFFTLYGTIPLLPSINALRDMELMEGGKAQEHVREKLEMSSMVLAATVLGIVAWITWVILKLWACLLTIPLLASLFAGIYNHIIKQLQNRDSEPKKPQSAQDPARVINPAQPQGVVEGQRKPPPASSGETSAGQTTATSIPQPQPAGTGSSSGETRSTTLELHSTSSEVTSAGQTTSTAEIPPGTSSSSVDTRPASDEHPATSTSGEEARRTAHDETTTVTSSAAQSESQPDTDTTSSGETKAAPLELTKATFTAFLLVAIPSFGDSSIHGYTHAFIFLTAAALVSGLLLRLLTHRTVYPPSVVRAAKVASFFAHLCLAAAVIPFTLMAIAAQKLPPSCTHTCAGAANTTSS >ONIVA12G07060.4 pep chromosome:AWHD00000000:12:6507108:6513926:-1 gene:ONIVA12G07060 transcript:ONIVA12G07060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEALRKRVQSFTEGLVKMASPVLLALKMNKVDLRSKGNAFIRGSISSLVAAILEVSIFLFLWLWLCSSQLLKDKKRKFMYTMSKMLVHFCGMLLMALASCILLLIIGMDKKLFLLILVPFLCITFLSIATSAMRSEDDDASLHEEVKCEDELERSVDFSASITALVFLGLGRFAFEVDDPQKADVSEHLAVAAIISFVICVLGVFFTLYGTIPLLPSINALRDMELMEGGKAQEHVREKLEMSSMVLAATVLGIVAWITWVILKLWACLLTIPLLASLFAGIYNHIIKQLQNRDSEPKKPQSAQDPARVINPAQPQGVVEGQRKPPPASSGETSAGQTTATSIPQPQPAGTGSSSGETRSTTLELHSTSSEVTSAGQTTSTAEIPPGTSSSSVDTRPASDEHPATSTSGEEARRTAHDETTTVTSSAAQSESQPDTDTTSSGETKAAPLELTKATFTAFLLVAIPSFGDSSIHGYTHAFIFLTAAALVSGLLLRLLTHRTVYPPSVVRAAKVASFFAHLCLAAAVIPFTLMAIAAQKLPPSCTHTCAGAANTTSS >ONIVA12G07060.5 pep chromosome:AWHD00000000:12:6507108:6518145:-1 gene:ONIVA12G07060 transcript:ONIVA12G07060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRTASIPGAYVLTSIITQCLAALNCRPKKPKREGQKGGDPKEHENGNSSEVEESLIIEDRQSTVANINMNSEALRKRVQSFTEGLVKMASPVLLALKMNKVDLRSKGNAFIRGSISSLVAAILEVSIFLFLWLWLCSSQLLKDKKRKFMYTMSKMLVHFCGMLLMALASCILLLIIGMDKKLFLLILVPFLCITFLSIATSAMRSEDDDASLHEEVKCEDELERSVDFSASITALVFLGLGRFAFEVDDPQKADVSEHLAVAAIISFVICVLGVFFTLYGTIPLLPSINALRDMELMEGGKAQEHVREKLEMSSMVLAATVLGIVAWITWVILKLWACLLTIPLLASLFAGIYNHIIKQLQNRDSEPKKPQSAQDPARVINPAQPQGVVEGQRKPPPASSGETSAGQTTATSIPQPQPAGTGSSSGETRSTTLELHSTSSEVTSAGQTTSTAEIPPGTSSSSVDTRPASDEHPATSTSGEEARRTAHDETTTVTSSAAQSESQPDTDTTSSGETKAAPLELTKATFTAFLLVAIPSFGDSSIHGYTHAFIFLTAAALVSGLLLRLLTHRTVYPPSVVRAAKVASFFAHLCLAAAVIPFTLMAIAAQKLPPSCTHTCAGAANTTSS >ONIVA12G07060.6 pep chromosome:AWHD00000000:12:6507108:6511083:-1 gene:ONIVA12G07060 transcript:ONIVA12G07060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLGRDSEETDKGNSSEEALRKRVQSFTEGLVKMASPVLLALKMNKVDLRSKGNAFIRGSISSLVAAILEVSIFLFLWLWLCSSQLLKDKKRKFMYTMSKMLVHFCGMLLMALASCILLLIIGMDKKLFLLILVPFLCITFLSIATSAMRSEDDDASLHEEVKCEDELERSVDFSASITALVFLGLGRFAFEVDDPQKADVSEHLAVAAIISFVICVLGVFFTLYGTIPLLPSINALRDMELMEGGKAQEHVREKLEMSSMVLAATVLGIVAWITWVILKLWACLLTIPLLASLFAGIYNHIIKQLQNRDSEPKKPQSAQDPARVINPAQPQGVVEGQRKPPPASSGETSAGQTTATSIPQPQPAGTGSSSGETRSTTLELHSTSSEVTSAGQTTSTAEIPPGTSSSSVDTRPASDEHPATSTSGEEARRTAHDETTTVTSSAAQSESQPDTDTTSSGETKAAPLELTKATFTAFLLVAIPSFGDSSIHGYTHAFIFLTAAALVSGLLLRLLTHRTVYPPSVVRAAKVASFFAHLCLAAAVIPFTLMAIAAQKLPPSCTHTCAGAANTTSS >ONIVA12G07070.1 pep chromosome:AWHD00000000:12:6559760:6564967:-1 gene:ONIVA12G07070 transcript:ONIVA12G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lojap-related protein [Source:Projected from Arabidopsis thaliana (AT1G67620) TAIR;Acc:AT1G67620] MLSAARSGALARWRPRETLLPRLLSSSAASPPARQAALLELPEVEKVLRDVRAGDVRVFPVGEGGLHGGSCADYMVVATGRSDWHIKQKQKGSDRILMPSVEGQQAGKWIVIDSGSIIIHALEERAREYYDLESIWTKEVSPNISVQVNKDDKVVSSIRPD >ONIVA12G07070.2 pep chromosome:AWHD00000000:12:6560345:6564967:-1 gene:ONIVA12G07070 transcript:ONIVA12G07070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lojap-related protein [Source:Projected from Arabidopsis thaliana (AT1G67620) TAIR;Acc:AT1G67620] MLSAARSGALARWRPRETLLPRLLSSSAASPPARQAALLELPEVEKVLRDVRAGDVRVFPVGEGGLHGGSCADYMVVATGRSDWHIKQKQKGSDRILMPSVEGQQAGKWIVIDSGSIIIHALEERAREYYDLESIWTKEVSPNISVQVNKDDKVVSSMYLHPMNFEDG >ONIVA12G07090.1 pep chromosome:AWHD00000000:12:6594121:6597936:-1 gene:ONIVA12G07090 transcript:ONIVA12G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPVVHRHAGGGGGGDDDDQACMYALELLGGSVVSMTLKAAIELGLVDELLAAAAAGVTVEELAARLRLPAAAAAAAVDRMLRLLASYGVVRCATEAGPDGKARRSYAAAPVCKWLAGGSSGGEESMATLGLLNLDKYLAQDANERSNTLFNQAMASHSVVITNKLLQFFRGFDDGAGVDVLVDVGGGTGATLRMITARHPHLRGVNYDLPHVIAQAPSAVEGVEHVGGSMFDHVPSGSAILLKWILHLWGDEDCAKILKNCYKALPAKGKVILVEYVLPASPEATLAAQEAFRLDVMMLNRLAGGKERTQQEFTDLAVDAGFSGDCKPTYIFTNVWALEFTK >ONIVA12G07100.1 pep chromosome:AWHD00000000:12:6615320:6616402:1 gene:ONIVA12G07100 transcript:ONIVA12G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAAAIAIKVRRGSGGAGRAALVTATTTTSGGGLRRRRHRQWELGWREFGGGVVLVATMAWWCLSCGEVEVIIRCGQ >ONIVA12G07110.1 pep chromosome:AWHD00000000:12:6619437:6620625:1 gene:ONIVA12G07110 transcript:ONIVA12G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHAHVQPGKACVHRVSDKQGIRLAVAIDPSQHTSHVPLLIGPSPRTKRIMAAKIPDLHSTSSTVVPPSHVHLEECGGALFIPVAPRHAPICWVNSAGENLLPNVGMVIATFLEVSHWRFNVGAASLVLSLLDQLRWIGCGKIWVIDCLLLSYSRTLSSILV >ONIVA12G07120.1 pep chromosome:AWHD00000000:12:6628885:6629664:-1 gene:ONIVA12G07120 transcript:ONIVA12G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKIGVIAFVLLVSVGLSNAARVARYTTEGGGGGGGEGGGGGGGDGGGGGSGYGSGYGEGYGQGGGTSGGGYGQGGGGGGGGGQGGGSGSGYGSGYGQGGGASRGGYGKGGGGGGGGGQGGGGGSGYGSGYGSGYGQGGGASGGGYGQGGGGGGGGAQGGGNGSGYGSGYGSGYGQGGGASGGGYGQGGGGGGGGGQGGGNGSGYGSGYGSGYGQGGGAHAGGYGQGGGGGGGGGQGGGSGSGSGYGSGYGGGAGGRT >ONIVA12G07130.1 pep chromosome:AWHD00000000:12:6642278:6642938:-1 gene:ONIVA12G07130 transcript:ONIVA12G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAHVVAICVAVAALALAAAVLGVVGEATKSKAPPSSRSNTRYSYGRYDGVNCVYRRTPALLATVSAAAFVVGAFRSQSGERRRREDGVETYYRCTVLVAGMFAGASSLAFLAAFVGIAS >ONIVA12G07140.1 pep chromosome:AWHD00000000:12:6657262:6658275:1 gene:ONIVA12G07140 transcript:ONIVA12G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGGCCLAPRYGAASAGAGGQAAMAWQMGRIMLKFRPIAPKPAMAPAPTPAPVAGVGAGKGKRKAVSGSGGGRRGRKPKKAATVATLAAAHAPAPTPSVAGKTVPKVVGHCKEMEREKEKEKSLSSPSSSSSGMTSVESSPPPPPSAMLPLLPVRPLDTTMTTQAAAPGEQLPPPVAPAHAAAQSVVVAPPPRALLPAAAVVTVEDVTSVWRDGGSGAARAGDDGDGAPAFVSDQWGRVTWKNAAFHRAVAPDAAAPDQARVALAAKDGDAAAAVPAWGTCAGFTCRVRVHPSPSSPRRGSVVAPCDVWRLDAGGCYLWRLDLQAALSLSLGALP >ONIVA12G07150.1 pep chromosome:AWHD00000000:12:6666984:6668314:-1 gene:ONIVA12G07150 transcript:ONIVA12G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPLIMRPRPPASSPPAGARSGAPPAPSTSPSGLIRRGDDFFSLRDAFFRSAHAALADAFLHRDPQDWGRKHDVVAGVVSHPVARRVEELRVAAVKSADGPSSDKEVAEMEGEFHLSLDSSTQPSETLRVLDLTGCGGFSLSAGTALPRLTTLRL >ONIVA12G07160.1 pep chromosome:AWHD00000000:12:6673453:6676464:1 gene:ONIVA12G07160 transcript:ONIVA12G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAYYAGLVAGTPPPPCRPGRLRRCRRSHQAIRVTNGVNMDSRAKLAAPVAVVTGASRGIGRAIAVALGKAGCKVIVNYAKSGMEAEEVCREIEESGGTAITFSADVSIEAEVESMMRAAIDTWGTLDVLVNNAGITRDALLMRMKRTQWQEVVDVNLTGVYLCAQAAAGVMMMMKKGRIINITSVSGIIGNIGQANYCAAKAGVNAVAPGWVTSDMTTKLGDNVERKALETIPLGRFGKPEEIAGLVEFLAVLPVDGGLSI >ONIVA12G07170.1 pep chromosome:AWHD00000000:12:6677398:6678177:1 gene:ONIVA12G07170 transcript:ONIVA12G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAEAAAESMFLPFLAMFAAIYLVGYFVVFRRWGGAQRAEASSCFASLFHGTPAAALALRAVLSNPRGCCVAAGDLAAPNTRADELALDFSTAYFAVDLAHYLVLLPGERLFVAHHLATLYVLATCRAAARRGACALLAMEVLAEATSLAQNLWTLAGMRRAGSPAAAAAHAWLSLPFYAAYTAMRAVLGPAWFVRMVRFYYASDGGGGGGEALPAWARASWTVVIGAGIVVSVLWVSNLWLEFFRENKRKESSKEQ >ONIVA12G07180.1 pep chromosome:AWHD00000000:12:6678235:6693245:-1 gene:ONIVA12G07180 transcript:ONIVA12G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha 2 [Source:Projected from Arabidopsis thaliana (AT1G67630) TAIR;Acc:AT1G67630] MVATGALRPRSDGGCTANSIRRGGVRDAARSEHEWWTQAHPELTDGRTSSVLTYCINYKMSPADLVSNWEVYYLNRQLNGLKLESSYLDGFLSHLQNEVKDRIIKEETSLHIYSSNDVDMLLSSTHTDEEGFLDTPSTKQEKPNGESCNSELTPLTTDRPSSSRLAKTNGDRITPFSQRVNKFTQQYVLNSDNVASVPSKDEIESTEDEVIRRVQPSKRCTLQVQHSQPEPGCRFMYDRIEDRDKEVWKLVFCIWALWRTCRCYPCFRVGMVICDGEGHLNEKSILLQGSVEHSRGQRVRLDLKDVDRFSLFPGQVVGIQGHNPSGHCFVVSKLNDSIPNFVDAQLPRAKKQAVDSEGNQSSDVVSRVLSSMGPFIDSDHPEIKKGAVDQSFQDIFLFEILRKLQDFTQYLGHNVRVILIPSVRDAHHDFVFPQPAFDLNLPEDITHQIHFGCCTMDILKHLSGEEISRKPPGEKHGDRIGRICTHILKQQSYYPLYPPPAGVPLDFSLANGALEIASAPDVLLLPSDLAPFVKVLSLDESSEEPKRFICMNPGRLAKGIGGGTFVELNYNEDTDKTSASLIRIYLILMKIL >ONIVA12G07180.2 pep chromosome:AWHD00000000:12:6679723:6693245:-1 gene:ONIVA12G07180 transcript:ONIVA12G07180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha 2 [Source:Projected from Arabidopsis thaliana (AT1G67630) TAIR;Acc:AT1G67630] MVATGALRPRSDGGCTANSIRRGGVRDAARSEHEWWTQAHPELTDGRTSSESNPRRPGQTTVTRDAAEPMEEEIRAEFESSGFSIGGADPGAAAEILSTLLTYCINYKMSPADLVSNWEVYYLNRQLNGLKLESSYLDGFLSHLQNEVKDRIIKEETSLHIYSSNDVDMLLSSTHTDEEGFLDTPSTKQEKPNGESCNSELTPLTTDRPSSSRLAKTNGDRITPFSQRVNKFTQQYVLNSDNVASVPSKDEIESTEDEVIRRVQPSKRCTLQVQHSQPEPGCRFMYDRIEDRFNYLEDRIRRSGSLFSASGLCGEPADATLASEESMFSVGMVICDGEGHLNEKSILLQGSVEHSRGQRVRLDLKDVDRFSLFPGQVVGIQGHNPSGHCFVVSKLNDSIPNFVDAQLPRAKKQAVDSEGNQSSDVVSRVLSSMGPFIDSDHPEIKKGAVDQSFQDIFLFEILRKLQDFTQYLGHNVRVILIPSVRDAHHDFVFPQPAFDLNLPEDITHQIHFGCCTMDILKHLSGEEISRKPPGEKHGDRIGRICTHILKQQSYYPLYPPPAGVPLDFSLANGALEIASAPDVLLLPSDLAPFVKVLSLDESSEEPKRFICMNPGRLAKGIGGGTFVELNYNEDTDKTSASLIRI >ONIVA12G07180.3 pep chromosome:AWHD00000000:12:6679723:6687349:-1 gene:ONIVA12G07180 transcript:ONIVA12G07180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha 2 [Source:Projected from Arabidopsis thaliana (AT1G67630) TAIR;Acc:AT1G67630] MEDLSIAESNPRRPGQTTVTRDAAEPMEEEIRAEFESSGFSIGGADPGAAAEILSTLLTYCINYKMSPADLVSNWEVYYLNRQLNGLKLESSYLDGFLSHLQNEVKDRIIKEETSLHIYSSNDVDMLLSSTHTDEEGFLDTPSTKQEKPNGESCNSELTPLTTDRPSSSRLAKTNGDRITPFSQRVNKFTQQYVLNSDNVASVPSKDEIESTEDEVIRRVQPSKRCTLQVQHSQPEPGCRFMYDRIEDRFNYLEDRIRRSGSLFSASGLCGEPADATLASEESMFSVGMVICDGEGHLNEKSILLQGSVEHSRGQRVRLDLKDVDRFSLFPGQVVGIQGHNPSGHCFVVSKLNDSIPNFVDAQLPRAKKQAVDSEGNQSSDVVSRVLSSMGPFIDSDHPEIKKGAVDQSFQDIFLFEILRKLQDFTQYLGHNVRVILIPSVRDAHHDFVFPQPAFDLNLPEDITHQIHFGCCTMDILKHLSGEEISRKPPGEKHGDRIGRICTHILKQQSYYPLYPPPAGVPLDFSLANGALEIASAPDVLLLPSDLAPFVKVLSLDESSEEPKRFICMNPGRLAKGIGGGTFVELNYNEDTDKTSASLIRI >ONIVA12G07180.4 pep chromosome:AWHD00000000:12:6678235:6693245:-1 gene:ONIVA12G07180 transcript:ONIVA12G07180.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha 2 [Source:Projected from Arabidopsis thaliana (AT1G67630) TAIR;Acc:AT1G67630] MVATGALRPRSDGGCTANSIRRGGVVARSILHSSCIELNPKLQREFEQWQLNGLKLESSYLDGFLSHLQNEVKDRIIKEETSLHIYSSNDVDMLLSSTHTDEEGFLDTPSTKQEKPNGESCNSELTPLTTDRPSSSRLAKTNGDRITPFSQRVNKFTQQYVLNSDNVASVPSKDEIESTEDEVIRRVQPSKRCTLQVQHSQPEPGCRFMYDRIEDRDKEVWKLVFCIWALWRTCRCYPCFRVGMVICDGEGHLNEKSILLQGSVEHSRGQRVRLDLKDVDRFSLFPGQVVGIQGHNPSGHCFVVSKLNDSIPNFVDAQLPRAKKQAVDSEGNQSSDVVSRVLSSMGPFIDSDHPEIKKGAVDQSFQDIFLFEILRKLQDFTQYLGHNVRVILIPSVRDAHHDFVFPQPAFDLNLPEDITHQIHFGCCTMDILKHLSGEEISRKPPGEKHGDRIGRICTHILKQQSYYPLYPPPAGVPLDFSLANGALEIASAPDVLLLPSDLAPFVKVLSLDESSEEPKRFICMNPGRLAKGIGGGTFVELNYNEDTDKTSASLIRIYLILMKIL >ONIVA12G07190.1 pep chromosome:AWHD00000000:12:6696937:6697464:1 gene:ONIVA12G07190 transcript:ONIVA12G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKANATCRCCSATAAEAQAYGLQRQWICCREPDAQAFAMVDLMKEPMILSASAEVTRGS >ONIVA12G07200.1 pep chromosome:AWHD00000000:12:6700726:6701127:1 gene:ONIVA12G07200 transcript:ONIVA12G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWRVAAAGGLLLILLVAQQASAQAAEKVISVSAVVQPNTKKKPPHQQPKIRKCTEAQKQDILHECRGYVTAGSHIILPDLHSACCDAARSVQNLDMDCIVDLLTSEERSRYNANRIKHLVVPEVPAVSDML >ONIVA12G07210.1 pep chromosome:AWHD00000000:12:6746085:6752532:1 gene:ONIVA12G07210 transcript:ONIVA12G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTSQLGAMACGAAPSTSALAARRSGQLFVGRKPAAASVQMRVPRAGRARGVAMRVACEKVVGIDLGTTNSAVAAMEGGKPTVITNAEGQRTTPSVVAYTKGGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMAEVDDEAKQVSYHVVRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKKVVDWLASNFKKDEGIDLLKDKQALQRLTEAAEKAKMELSTLSQTNISLPFITATADGPKHIETTLSRAKFEELCSDLIDRLKTPVTNALRDAKLSVDNLDEVILVGGSTRIPSVQELVKKITGKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPKDEVERMVEEADKFAQEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVDAKLNELKEAIAGGSTQSMKDAMAALNEEVMQIGQAMYNQQPNAGAAGPTPGADAGPTSSGGKGPNDGDVIDADFTDSN >ONIVA12G07220.1 pep chromosome:AWHD00000000:12:6753351:6753743:-1 gene:ONIVA12G07220 transcript:ONIVA12G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRVLKHGVIPRDAAQVVGIRGFAIASKAKKGGKGAADAAKTPVLSKELKSTTVFGANILKEGSDPKLQPDSEYPEWLWHLLDKRPMLSELRRKDAKTLPYEDLKRFVKLVNRARIKEQNALTAKN >ONIVA12G07230.1 pep chromosome:AWHD00000000:12:6755599:6756911:1 gene:ONIVA12G07230 transcript:ONIVA12G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRIEGGARRQRRDEGNDKNCGKLAPLTALAGQRTYGAAANREGSADKHGGTVSGVSTCRSGIGESRRSEWGCGARLRKKSGDTQDRLQREAALLKTVVTDFYNTRFG >ONIVA12G07240.1 pep chromosome:AWHD00000000:12:6757673:6761670:1 gene:ONIVA12G07240 transcript:ONIVA12G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSAMNTSRAEEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPFAMSELGWGPGVAAMIMSWVITLYTLWQMVEMHECVPGRRFDRYHELGQHAFGDKLGLWIVVPQQLVVEVGVCIVYMVTGGKSLKKFHDLVAPPSAPPIRTSYFIVIFGCLHLVLSQLPNFNSITGVSLAAAVMSLSYSTIAWAASLHHRNHNNGAAAVDYSLTAATPAGRTFNFLSALGDVAFAYAGHNVVLEIQATIPSTPERPSKGPMWRGVVLAYGVVAVCYLPVAFAGYYVFGNAVDDNVLITLERPAWLIAAANMFVVVHVVGSYQIYAMPVFDMLETFLVKKLRFKPGMPLRLIARSLYVLFTMFVAIAVPFFGGLLGFFGGFAFAPTTYFLPCIMWLSIMKPKRFGLSWCINWFCIIIGVLLSVFAPIGGLRSIIVNAQSYKFFS >ONIVA12G07250.1 pep chromosome:AWHD00000000:12:6760993:6764307:-1 gene:ONIVA12G07250 transcript:ONIVA12G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAFRTGSPKKHELKSKQKLEKKLSFYTKVKDAVTSLNATKTICKKSKQRSRQKKLKAYDLSMLSEFLPETDASNLHTEAKLNCKSKQALVQREAAQLNAVLTNPQFQLDPFAAIHQHLLSTQPPSARKESNSAKQGKDPKDKKRKKNKKKNASSASEAMDI >ONIVA12G07260.1 pep chromosome:AWHD00000000:12:6781349:6781894:-1 gene:ONIVA12G07260 transcript:ONIVA12G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRPHHGRRRQRTEGRRGSGRRRRRGGKPAARGSGAVVVARRGRRRRDAAARGHRRGGSRRRGRGRGESWWRVDLEWRELSAASRSSSSSRREAAGSEEGCGGARTSSWRAPAARTWERREPAARGSRAEGAVGGVAVVVVVEEGGGQRGGRRRLADVGEEGAGSARTWERREPGDSS >ONIVA12G07270.1 pep chromosome:AWHD00000000:12:6785839:6796211:1 gene:ONIVA12G07270 transcript:ONIVA12G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGDAALAGLRVAERAYWKEFVDRVLKDTSSIDITASNIAVPTRLPVELQVLIKVMIHQILNTQPGAIPPLAFHWCCNQLLQHLVNSVRTQGQPTVEPNVHGHQRCRGAGWSSGIPERLADRNVSEDGGIGGVDSRRNIREMNGKIKETNASRESPRILKPTGEPNPSTGGIRIQNNRQEQPAEMTIFHPWKNITDISYYGCELRRIVAGSVEARHAQLGTQEYTSDLSADQEKLAGHYGAEFNSLRAFEDRVFNETSSIDDYISKISTKMAILERPQSSSGIQHQGQMGNQTRLPTTVMQEQPQPQQQPHLMSNNHHQTHGGWQSNVARVQMITGVGEVNWREEMFQKISVLKNSFFSELTDFDRLLHNCQKTEEQLQSLPKKQADQYKRITKLKDAVRSALDLLQLQKSSIDEGMKVKFCKYESSIHSLFRFYRETKAKINEMNANRHNNQQEQPAGLPRQRITDRTPSSARRQNRTDNVIGQSEDKLRCRVESVVAKKKPIDRLINALRHSVEDDRTDVKRQKTRHVNSALANEIDAMNAKLIDTVVRIAGEKDGGTEIEFSYTAVSLAPDMKQLFAVYGTSPVKPVKLFVPADYPRSSPVVSNNNDDGDEQRRGMFGEISGMVSAAFHCALRELPPSMSVKQMASEWNSCVQMIMKKFAIRHGGGTFSSRHGQWMDCTVE >ONIVA12G07270.2 pep chromosome:AWHD00000000:12:6785839:6796211:1 gene:ONIVA12G07270 transcript:ONIVA12G07270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGDAALAGLRVAERAYWKEFVDRVLKDTSSIDITASNIAVPTRLPVELQVLIKVMIHQILNTQPGAIPPLAFHWCCNQLLQHLVNSVRTQGQPTVEPNVHGHQRCRGAGWSSGIPERLADRNVSEDGGIGGVDSRRNIREMNGKIKETNASRESPRILKPTGEPNPSTGGIRIQNNRQEQPAEMTIFHPWKNITDISYYGCGGSLLDQSRHAMRSWVLKNIEKLAGHYGAEFNSLRAFEDRVFNETSSIDDYISKISTKMAILERPQSSSGIQHQGQMGNQTRLPTTVMQEQPQPQQQPHLMSNNHHQTHGGWQSNVARVQMITGVGEVNWREEMFQKISVLKNSFFSELTDFDRLLHNCQKTEEQLQSLPKKQADQYKRITKLKDAVRSALDLLQLQKSSIDEGMKVKFCKYESSIHSLFRFYRETKAKINEMNANRHNNQQEQPAGLPRQRITDRTPSSARRQNRTDNVIGQSEDKLRCRVESVVAKKKPIDRLINALRHSVEDDRTDVKRQKTRHVNSALANEIDAMNAKLIDTVVRIAGEKDGGTEIEFSYTAVSLAPDMKQLFAVYGTSPVKPVKLFVPADYPRSSPVVSNNNDDGDEQRRGMFGEISGMVSAAFHCALRELPPSMSVKQMASEWNSCVQMIMKKFAIRHGGGTFSSRHGQWMDCTVE >ONIVA12G07280.1 pep chromosome:AWHD00000000:12:6803994:6805590:-1 gene:ONIVA12G07280 transcript:ONIVA12G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISGKFGIIMVAMILVVRIIAFASASDNDELPKPGKAAQVGSDCFNECWRDCILGTPFTSLCDQLCYQKCLLLCASGPRQTSLCLPMVTVKLWNANHKSRSPSTSNSGLILSQANRIEVRVGVVCFERVVMATLDVLV >ONIVA12G07290.1 pep chromosome:AWHD00000000:12:6806777:6809514:-1 gene:ONIVA12G07290 transcript:ONIVA12G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTWVLLDRFVKPTIFDEEESKGKGESTGAPVKYLPARLRQEVPAGMRDVKPYPEVADPPIVSRFSMLISRKAIRVVKSVRVECADKSLVLFYAGTGFPGFSHGCHLIYDAIDGSLTAVHTFPFPVSGVVWVGTAAVLRHAGGGGGGGGTTAYVIAELLRPFHGSLPDATLVMWLSNSPASTSGSNGQWVKEDVRLPGEVCTGTDPFTTDLVFSFGESCLCWADLFMGILFCDLATLRAPRFRFIPLPKACSFDPVGKYGRPHMPEFRSMGRVNGVIRLIDMEGFTNEYLAVDEVKLTIWTLSADLSEWEKGPVCTVGDIWASEEFVAMGLPQLRPMCPILSMVDEDVVCVVMTEVEIEESDVTDFDDEGNKLKFKAQYVLDIDVRRKRVLSITQHHIESMGDLIPDLIACEFTAYSELSKGMQAMVEGNEGEESTKRMKVK >ONIVA12G07300.1 pep chromosome:AWHD00000000:12:6809782:6818128:1 gene:ONIVA12G07300 transcript:ONIVA12G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYATRNKHKGSLVCRLGSLCTGERREEREERRTPLSCGLGIGAGDEKAIGAVEGRVRDSTLFLRCPLSHSFRTLMIRRRRSRSRCRGRDRGDADGLGAHGRGTSSAGCRCSDSRPMVVSLLAPMAVPSSLEPAATLEKKDLRQKLIVDDQEPPAPTSAAASHEAAVAATELASTSKDGLVDLSLSRCFLMDKEKEHTLTTLEVSGDIDLSCLLNKGTWRYLGNMAFLQIKKSPEQGESEVTDTDSKLKRKEISGAKSSCVSSTRTAEDADKDGQPVPCMNDAKSLTQLLVKVCRNTVSVQEDLNVITVKQFVKAACQKIGVHAYDFYAVYGGKPLKDDKLMSCYPIYRGSTVCLRQRLRAGSPQVILFKSYTFDEMIENRAGLFHVVHLSQHSTNSMTQVGTVTYLSDYSQYIIHEVLIYICGKHRKGWSFGGNFESTDILFCSGRVKIAKRVHRVNFNKDTCGMDYMKLYEIFVEAFAVGMKDNQQVFPMFLPHLLEYLFACPAGENSNHELAITFLTNHPALASYMDRIKQCILLDSMVDSLDPVDLLSLKQLLNWVWKWSQDPVKVPGMNSVYIHNAKFHPVTHQMLVNARYIPNPLGCLHFAGNYFSHAHNHQKFWIVEPPESKHQATDNILTVPRQLHASLKAFDSTRLSAAIG >ONIVA12G07310.1 pep chromosome:AWHD00000000:12:6819053:6821228:-1 gene:ONIVA12G07310 transcript:ONIVA12G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWVLLDRFVDMFPLDGDEESEDSGGEESIPAGMWSVKPNPQVADPPGISSFPLLISRKAMNQVRHAMVVCASKNLVVFLTGEYYPINYRPGCYLIYDAIHDSLTPVPHVPGPDSLLAIGSGTSVISFGGSGSNAFVLAELLMTDVRKFPEEAALFTWWSTAVVTPSGYTAADWMKEKVTLPPEVRTPTYFFVSDMAFSFGESCLCWVDLLMGILICDLVPSRDQPFLSKKEKDPPRFRFIPLPEECSIDISNRHRPIMSVFRSVSYAGGAIKFLTMEGYDEDWPAEEMKLTTWKLSPDLSEWKKGPVCALRDIWASDKYIAMGVPQLSPICPVLSVLDDDVVWVVMNDADLADHVEGVHSELKIKTQYFLSIDMRHKQVLSITQFHPQTLIDPVPNLMACEFGAYLERSKIMIEGNDAEENTKRKKLK >ONIVA12G07320.1 pep chromosome:AWHD00000000:12:6852536:6852883:1 gene:ONIVA12G07320 transcript:ONIVA12G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRSSGQKKSAWPWSIGRRSAQMRVVASKESVGTAVVARQRSATAGIPGAEDGRIIEVTDGEIKRRRQDERRLIEMGAITGIGLYRNGRRMDAARWSEQYETKTKGKYKYPNYP >ONIVA12G07330.1 pep chromosome:AWHD00000000:12:6861961:6862278:1 gene:ONIVA12G07330 transcript:ONIVA12G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPPSRRCPATSPPAGYGGGEAAQPCAASLPSRRRCRYDRPATAGGEGTTRSSCVAAAVRRLLAATTRPPPAVAGVGGLGRGRRGEAAGGRGRERGRGRRWRRK >ONIVA12G07340.1 pep chromosome:AWHD00000000:12:6862855:6864397:1 gene:ONIVA12G07340 transcript:ONIVA12G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFGVREYRQFERMTPERRAEYLVGMAGVLTLVTSIVLLLSGSTYGYSPKVCTGRGVFFGPTIALGLLLMAAFILGTCGQRYGDVCLFGCYLLGLLIAFPLLLAFIIFGYVAVGGIDLGGVSVREYNLEEYSGWLRGRVADPHYWETTSACLRDGDVCSGMTRLVRDPDTGIFVPELSPYERWLKEHGIKKGVHVMSPIESGCCKPPSSCGFTYVNGTTWIPTPAAAGAPAAATNVDCSRWSNNQQTLCFQCDSCKAGFLDDIKKAWSFDALYPILALVGAFLSCFAGVKYWRPRLDTGYSLIRERAVA >ONIVA12G07350.1 pep chromosome:AWHD00000000:12:6872757:6879093:-1 gene:ONIVA12G07350 transcript:ONIVA12G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVIRARRSSLPVGIPKLPRVRQRELMNFSFIELEAATHNFATTIGQGGSGTVYKGVLSDGVQVAIKKHTDGFPSQYDEMPKKHPLILLRSMLEHKNIVKLVGYCHEYREIEMPKENATNGNVSAKEEFLLLVEEYMTNGNLGNLIYGGLLDWSSRLKIIEGITQGVVYLHTHSEKPIVHLDLKPDNILLDSNMNPKIGDFGLSEELQDDDINASVSGTLGYMPPEYIIEGTVSLKNDVYGFGVTLLETISGMSESGRGARHQASIEWAWNVRLSGGMNKLFDPRLCDESQLKEIKRCMDIGLLCTQNKATERPTMQDVLKMIQGKKKVPTPKQPGYIKRARAAGPLGFSLCGIKKDGVCLCATKKFEPPSLPSSNFIALSTPFRTLEADSIISGRINGGHWSADTSCPYSNSCSSPNGSGTTWWFPYCSSTGYHAGRFSGCWNCRQCTSGQ >ONIVA12G07360.1 pep chromosome:AWHD00000000:12:6918376:6920114:1 gene:ONIVA12G07360 transcript:ONIVA12G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSHDARQQHPTCQPSHGVPDRRLRHHVADALASASHDATSRPKLDKIPILLTHIQAGRSDDATLIPPSCCYKAASAPEDSATKPPWAMPHRVPINDSTSHASSHNEPWRRLQAVVHWPRRAARGGVGIGSRAAASAGDSRVGASAGGSLGGGLCGGACAAAWEAAEMRGPPGGSGSRADRLRWQRQGDAYKVFDEMATKDVEMWS >ONIVA12G07370.1 pep chromosome:AWHD00000000:12:6931788:6932699:-1 gene:ONIVA12G07370 transcript:ONIVA12G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLGSVRHIAELALKIRQAVETVRQNNQECVQIRRRVVRVSSILSQLEDTVIIRSNPAMAAALEELDATLRHAHTLIAACQERNIVCLFCAATALSKKLRRVQDDISDQMMEGMLATIASTRTKY >ONIVA12G07380.1 pep chromosome:AWHD00000000:12:6935762:6936058:-1 gene:ONIVA12G07380 transcript:ONIVA12G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCSGGAEKKVQHAPKVFDEMHFRKKAETDGSPNGNRIRVDDAAKVRSSQDSGSMLRSGMIAYVIRFQKREC >ONIVA12G07390.1 pep chromosome:AWHD00000000:12:6943263:6952386:-1 gene:ONIVA12G07390 transcript:ONIVA12G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSSLKHITQMALKIKEAVDTVRRNKEDCLQIRRRVVRVSDILSQLQETGNIMSNPAMSAALEDLSETLRHAHTLVVSCQEKNIVCLLCAATALSKKLRRVNDDISDQMMVGIFVTTVHTTIALSQIQGDAQHDVMYALPRTEITDDIEATLPQKEEPKPPSPPREAEPEPEPPLPPPEEPTPLVRNEPSPAPTPEKPEATQVVECHPSPERKSTYTAPQEKPEATLVVECHPSPERKSTYPAPPEKPEATMVLECYPSSEEEPTPPLSPQIGEVRAEDSGFHYRTARGECKGRCGKYRYSRTSSASSMASSRPISGKKKFQKRTLMKLGFSEMEIATHHFATRIGQGGSATFYKGVLRDGLEVAIRKHENAHPNRYDDKPEMHREQLDWSSRFQIIQGITLGIIYLHTHSGKPTIVHLDLKPDNILLDSDMNPKIGDFGLAKVLEDDEINASVRGTLGYMPPEYIVEGVISVKNDVYGFGVTLLETISGMSKSGRDTRHQASIEWAWGKRNSGVMNKLFDPSLCDNSQLKEIKRCIEIGLLCTQKKLTDRPTMPDVLQMLQGTKKVPTPKQPGYIKRVREAERYKQV >ONIVA12G07400.1 pep chromosome:AWHD00000000:12:6954791:6955030:1 gene:ONIVA12G07400 transcript:ONIVA12G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCQHRHIFLDYLSLFSGNCVLLRQFSLYTVLAPRPFRRPPLLVSSDIGLRFMVVPSLARTVLATPACMFVPSCLEVW >ONIVA12G07410.1 pep chromosome:AWHD00000000:12:7040800:7042569:-1 gene:ONIVA12G07410 transcript:ONIVA12G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0J8M2] MGLSSTDKKDRRNMLFAAIPSICASSPKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNEVIPGESNEQQRLLRISLRICSAVVESLPTARCAPKCEKTVQALLCRNLNVKSATLLNATSSRRIRLQDDIVTGFHFSGMGVKGGLHTTGAKWFMIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFLLYTMFVWWRDVLRESTLEGHHTKAVQLGPRYGSILFIVSEVMFLFAFFWASSHSSLAPTVEIGGIWPPKGIGVLDPWEIPLLNTPILPSSGAAVTWAHHAILAGKEKRAVYALVATVLLALVSTGFQGMEYYQAPSTISDSIYGSTFFLATGFHGFHVIIGTLFLIVCGIRQYLGHLTKKHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >ONIVA12G07420.1 pep chromosome:AWHD00000000:12:7048860:7049096:1 gene:ONIVA12G07420 transcript:ONIVA12G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAWAFAQLDYQSSIMANEGTQTRSRQLEEQVRAMRESMDKIQTDLAERMQQQSEEFQAQKQLQQQQFEKQVVESIF >ONIVA12G07430.1 pep chromosome:AWHD00000000:12:7056351:7057441:-1 gene:ONIVA12G07430 transcript:ONIVA12G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGILGGGSGFGGPAGRSPSPEASHASTTRQWRMLARCHCHRAWQVGAAKECKRSLQWHHA >ONIVA12G07440.1 pep chromosome:AWHD00000000:12:7111844:7115669:1 gene:ONIVA12G07440 transcript:ONIVA12G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADAYEEMPLVEESTAAIISRAGAAAVARSARFLLPRAGATAAALPGCAPCAGPVLGDGHRVEFHGWPCSSAVWRRWVERLRPRHEPLWREVGILDAVLATAAACRVRRDGGLVLQLAAFWSGATSSFAFPWGEATVTLEDVAVLGGLPLLGAPVTARLPGTLAGDVAALEAVRAALHRSKKEAEAEAQSLLEHGAFLATWLSLYVLPSPPLKAVRPQVFPLAARLARGQSVALAPATLASIYNDLTALKRHLASSTKWRHPFVAWAPLHILQLWAWERFPELRPDRAATSAHATTDAATATDGHGAPPPWAARWHNARTELQPAHIHAVLMLPMEFEWRPYGSSGFALQLDKVGIWIHGRDIARSRELLSFAHCLRPCELVGLRCVEHYLPHRVARQLGFDQDVPGNVPRVSSISSVAWATYKMEPQDVKFTLPRHEPAVTVEYAQWWEPYSSACAGAVANAAKMKQLDGVDCPRKRNAEGFVDGDSGKRRHFETAEDPEDEIPLIDRQKSIIMTMNKNSNHVEIVGIGKDSMASWARNGGNGSPLHKSTQQALSDEEAVLETTVGEDEASDHVIAEDKKNSSSGDGESEVCCLVEDAASDDSNKTIGPAASVTRKSIPKDVVVISDDESDEELSGKDDEMDAMHLSTDPMETTKWEADKRGKLNKKRLAALEGDEKENEDMSVSNQEIGSHMDCQEVNKKGNNESSSSILVDGNADHVKKNVSTKTPCKNADQEGFHQSREVGMEEMVRGASEAQQAQKAQLEAAIDGLKEEIAMLERQVRDTNPRKA >ONIVA12G07450.1 pep chromosome:AWHD00000000:12:7117321:7119669:-1 gene:ONIVA12G07450 transcript:ONIVA12G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRAPAASAARLHAHVLELHGCGGGGGGGGLALRRAHAASLVSGALATSLPLAGALLLSYAALSDLASAHLVLRHHPLRLRSAFLWNSLSRALSSASLPSEALRVYNLMLRSAVRPDDRTFPFALHAAAAAVAFAEDKGLELHASALRRGHLADVFTGNTLLAFYAACGKACDARRVFDEMPARDVVSWNSLVSAFLVNGMFHDTRRALVSMMRSGFPLNVASLVSVVPACGMEQEEKFGLSIHALAVKVGLNTMVNLANALVDMYGKFGDVEASMRVFDGMLEQNEVSWNSAIGCFLNAGLYGDVLRMFRKMSEHNVMPGSITLSSLLPALVELGSIDLGREVHGYSIKRAMDLDIFVANSLVDMYAKFGSLEKASTIFEQMKDRNVVSWNAMIANLVQNGAETEAFRLVTDMQKSGECPNSITLVNVLPACARMASLKMGKQIHAWSIRRGLMFDLFISNALIDMYSKCGQLSLARNIFERSEKDDVSYNTLILGYSQSPWCFESLLLFKQMRSVGIDYDAVSFMGALSACTNLSVFKHGKEIHCVLVRRLLSGHPFLSNSLLDLYTKGGMLVTASKIFNKITKKDVASWNTMILGYGMHGQIDIAFELFELMKGDGLDYDHVSYIAVLAACSHGGLVDKGKKYFSQMVAQNIEPQQMHYACMVDLLGRAGQLSKCAEIIRDMPFPANSDVWGALLGACRIHGNIELAQWAAEHLFELKPEHSGYYTLMINIYAETGRWNEANKIRKLMKSRKVPKNPAYSWVQDQDGNKLQAFLVGDG >ONIVA12G07460.1 pep chromosome:AWHD00000000:12:7120214:7120519:1 gene:ONIVA12G07460 transcript:ONIVA12G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLLTAKLLVEEGASRTPWKLLNLAPTMLTSMPSLLTSSTVTGPCAAMTMRVQHAQPQSAPLRSVQPLELALQPHRGERSMPAMVELTIEEGLQNPPRR >ONIVA12G07470.1 pep chromosome:AWHD00000000:12:7123469:7128080:-1 gene:ONIVA12G07470 transcript:ONIVA12G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J8M8] MARRGRRSPASPAVAIALFVFLAYGGGGGGGGVCEAAPASAVVKSVPGFDGALPSKHYAGYVTVEEQHGRNLFYYLVESERDPAKDPLVLWLNGGPGCSSFDGFVYEHGPFNFESGGSAKSLPKLHLNPYSWSKVSSVIYLDSPAGVGLSYSKNTSDYNTGDLKTAADSHTFLLKWFQLYPEFLSNPFYIAGESYAGVYVPTLSHEVVKGLHDGVKPTINFKGYMVGNGVCDTVFDGNALVPFAHGMALISDDIYQEVQTACHGNYWNTTTDKCENALYKVDTSINDLNIYDILEPCYHSKTIKKVTPANTKLPKSFQHLGTTTKPLAVRTRMHGRAWPLRAPVRAGRVPSWQEFARGSRPSGVPCMSDEVATAWLNNDDVRAAIHAQPVSSIGLWLICTNVLDFIHDAGSMISYHKNLTGQGYRAFIYSGDHDMCVPYTGTEAWTRSLGYGVIDSWRPWHLNGQVSGYTQGYEHGLTFATIKGAGHTVPEYKPQESLAFYSRWLAGSKL >ONIVA12G07480.1 pep chromosome:AWHD00000000:12:7139521:7140227:1 gene:ONIVA12G07480 transcript:ONIVA12G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIACVQLGLATGGLTAWGSPTIRDEPPGGLLRQDKLAIPRVKGAECYGRGAIPVIWNCNYGDSGNKKADRW >ONIVA12G07490.1 pep chromosome:AWHD00000000:12:7145106:7145294:1 gene:ONIVA12G07490 transcript:ONIVA12G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDGARIFVEAVPRRLQATAIWRHGGGQTKIGGIANESKGRAAGIGVTAAERRGAGGDEL >ONIVA12G07500.1 pep chromosome:AWHD00000000:12:7151563:7152342:1 gene:ONIVA12G07500 transcript:ONIVA12G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRPTPHPPRSSTSGEGEEEAAARSMRPSRRCRAHRAVSISLAVRIKKKEKVPHKRHIDVIWEVNPPQKKEALYPVFRLRDVIHASATDEGVLWKWKEMVVLVELLFKKDMHTI >ONIVA12G07510.1 pep chromosome:AWHD00000000:12:7153508:7154476:1 gene:ONIVA12G07510 transcript:ONIVA12G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPPPPSLPPASPLPLPPWRPDPSPAVLPWPDLLAGAAAATRRLIAAHSRHFLALSSLLLLPLSLLLLSLPAPFLPPVSPSVSLRSTPPPPQALPLPLLAAAALLYLAAFAAAAASAHAGFFGRPVKLLASLLSVPASLLRLLLTALPAAPLLLLPLLPLPFPLTAALAVLGLLLLVPFWSLAGAAAVVESSAGVSPLRQSCRLLNGARLAALSAFLVFGAGIGVTLWGFGGVAAETYDASAGWAGMAPVVVKAVVGTAVLVVLMLYGMVTNVVLYMHCRALHGELTGEIYNEFANSYVFLPFDEGKDRHVVSVVTVWP >ONIVA12G07520.1 pep chromosome:AWHD00000000:12:7163726:7168156:1 gene:ONIVA12G07520 transcript:ONIVA12G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J8N3] MHKDNMSCCSSLLRLMILPVAFAVLVIASVAHGAVVEHTFNVGNLSISRLCQPEMIITAVNGQLPGPTINVTEGDTVVVHLVNQSPYNMTIHWHGVFQRGSQWADGPSMITQCPVGPSGNYTYRFNVTDQEGTLWWHAHISFLRATVYGAIVLNPRTAAPFPAKPDAEHVVLLGEWWNANVVDLERMAFLTGVPARNADAYTINGKPGDLYNCTAANQTEVFRVRRNETHLLRIINAALNTPLFVKVAGHGFTVVAVDASYTTPYATDVVVIAPGQTVDALMVADANATASPGGRFYMAATPYDSAVPSGPPFSQTTATAVVEYVGEADDDAVPPVLPARPDYNDTATAHRFWSNLTALVLPGKPTVPLAVDTHMFVTVGLGVSECQPAQLLCNRSAPPVFSSSMNNASFVAPTSISLLEAHFSNASAGVYTRDFPDTPPVVFDYTGDESDNATMQFTTKSTKVKTLRYNETVEMVLQNTRLIAKESHPMHIHGLNFFVLAQGFGNYDEATAAPLFNLVNPQERNTIAVPTGGWAVIRFVANNPGMWYMHCHFEAHIEFGLAMVFEVLDGPTPETSLPPPPADLPRC >ONIVA12G07530.1 pep chromosome:AWHD00000000:12:7173818:7175308:1 gene:ONIVA12G07530 transcript:ONIVA12G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSHQHHHLLVLQLILLVPLLHLVVPGTPAAAGKFSDVLASGRNVSDGDVLVSPGGSFTLGFFSPAGATTRRRYLGIWFSVSPDAAVHWVANRDHALNDTSGTLTLTDAGVLLLLDGSGKVVWSSSATAPPSATTSAAARLLDSGNLVVHGQGSGTALWQSFDYPTNTLLPGMKIGKNRWTGAEWYLLSWRSPADPSPGSYRYVTDGDEALPENVVLDGNGTEVYRTGVWNGRRFNGVPEMASFADMFTFQLTVSPGEVTYCYVAKAGAPFSRVVVTDDGVVRRLVWDAVTRAWKTFFQAPGDSCDSYAKCGAFGLCDSSAGATSICRCVKGFSPASPAEWSMREYSGGCRRDVALDCGTGDGFAVLRGVKLPDTRNASVDMGVKLDECRARCVANCSCVAYAAADMSGGGSGSGCIMWTKPFVDLRFIDNGQDIYQRLAKSELKGITLSEEKKYLKGN >ONIVA12G07540.1 pep chromosome:AWHD00000000:12:7191712:7201054:1 gene:ONIVA12G07540 transcript:ONIVA12G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQQSADESIRSGLPEGLTAVKVLKQSGCSTYRRELRSMFLLRHANLVRLLGFCNQDTGQAFRALVYEYMGKKSLDIYIFGDKVQRATLGWLRRLNIIYEIAEGMKYMHVGSGVQMIHRDLKPHNILLDDNWTPKIPDFGLAMLFSPDEAKQHTQHIALQGYTAPECFSSSDVTPKSDVYSFGVVLLEIITGRQNEISQRLLPHVWNFWDNHRSHCPNHGPGCTLELLDRDVPRPDEESLRRLQICVTVGLLCVQDSRDDRPDMPAVADMLKSQDLPRINPSRQTLHGMEVGESSSGTTATEDLP >ONIVA12G07550.1 pep chromosome:AWHD00000000:12:7209267:7211644:-1 gene:ONIVA12G07550 transcript:ONIVA12G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLKHANLVRLLAFCKHDAGQPFRALVYEYMANKSLKVYILGDKAKRVMLDWTLRLDIIIGIAEGIKYLHEEHVIHRDLEPQNILLDSNWTPKISDFGLAKLLCPGEATQYMQYTADKGYTAPECFEMGYKPSTSSDVYSFGVWNYWDNHHGPDCTVQLLDPDVPQPDEQTLRRLQICVTVGLLCVQYSPEDRPDMSAVVDMLKSQDLPQINPKRPTLHAMEMVNRRAHLK >ONIVA12G07560.1 pep chromosome:AWHD00000000:12:7212885:7213438:1 gene:ONIVA12G07560 transcript:ONIVA12G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVALFLAISVLIARQKSIGSLSKAPLLIVGWSTLWPSHLFPCSRNRAWFVIRVELGPPAQFRLSGLLLEFLIFNDESRGDASLSPVMLTPKSTAQQPTSILCRSRGAVAVLRCRGSLVVTAASRLPVGLLLFLLFGYI >ONIVA12G07570.1 pep chromosome:AWHD00000000:12:7218021:7219478:-1 gene:ONIVA12G07570 transcript:ONIVA12G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILNHQHRLLVLQIFFVLFLSPHIVVPGSPAAGKFSDVLANGRNVSDGDVLVSAGGSFTLGFFSPAGSGAAQPATRSRRYLGIWFSISPEAVHWVANRDRALNDTSGALKMSDAGVLLLLDGAGKVVWSSSSSSSAGSSSSSTAQLLESGNLVVHAQGSGSGSGTALWQSFDYPCNTLLPGMKIGKNRWTGAEWYLLSWRTAVDPSLGNYRYVTDADGALPENDLLDGNDTKMYRTGVWNGKRFNGVPEMASFADMFSFQLTVSPGEVTYSYVAKAGAPFSRVVVTDDGVVRRLVWDAATRAWKTFFQAPGDSCDSYAKCGAFGLCDSNAWATSICRCVKGFSPVSPAACSMREFSGGCRRNVALDCINGIGTDGFEVLHGVKLPDTHNASLDMALKLGECKVRCLANCSCVAYAAADFSGSGCIIWTNPFVDLRFVDDGQDIYLRLASSEIGNVLCCIVLKKNLFYCFVSLDLMNCISYLPTV >ONIVA12G07580.1 pep chromosome:AWHD00000000:12:7225681:7244715:1 gene:ONIVA12G07580 transcript:ONIVA12G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEWRHWWAISCKSELEIITLPRGRASAAMAWDRDAGLTVSPGEVTYGYVAKAGAPFSRVVVTDDGVVRRLVWDAATRAWKTFFQAPGDSCDSYAKCGAFGLCDSNAGATSICRCVKGFSPASPAEWSMREYSGGCRRDVALDCSTDGFAVLRGVKLPDTRNASVDMGVKLDECRARCVANCSCVAYAAADLSGGGCIMWTKPFVDLRFIDNGQDIYQRLAKSETGRPPHWKFPVVITVAVVLVIIVVFVLVWAVKRKSREGGIRRSVSPGITSIDRITSIDRVTLQNATGNFAKKNLIGEGNYGRVYKGILPAESTITGSRQENEIVAVKLLQPSGTGTFVAELEAMFNAIHVNLVRLLAFCSDNDDRHTGEKFRALVYEYMPNNSLHHYIFAQNSELRAMLDWPLRLKIVDGIVEGIRYLHVGSNTPIIHRDLKPSNILLGRDWTPKISDFGLARGYTAPECWQLGRVEPESDVYSFGVILLEMISGKPNGLMQQLLPHVWNLWYNSGGPDCTAELLDPEVPPPDEQSFRRLQICVKVGLLCVQESFQIRPNMSVVADMLRSQDMPPIDPIRPTLRNMEVGQPSGTTATDEDLT >ONIVA12G07590.1 pep chromosome:AWHD00000000:12:7255955:7259081:-1 gene:ONIVA12G07590 transcript:ONIVA12G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNLPVLQVQSWQLQQVQERLAAYQPSQASQCSSHGQTAAGQPSQAAAIPGCGQPASSVGARQLKP >ONIVA12G07600.1 pep chromosome:AWHD00000000:12:7267466:7268759:-1 gene:ONIVA12G07600 transcript:ONIVA12G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEDVQFEVALAAGDTTNVFDSLVSLDEWSESHSSDACVTLVVAIQPRDPSRRYEALGAPKVAVVQLPIATDAHRRSSASRPSTRCPRAASRTCSASSRSHRGPRRRAPSAMSGGVLVDNTNRN >ONIVA12G07610.1 pep chromosome:AWHD00000000:12:7283129:7292585:-1 gene:ONIVA12G07610 transcript:ONIVA12G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G61770) TAIR;Acc:AT1G61770] MSQIGSASEGSNSMAAAASPRLLLLVLLLLLVPVSNAIYCDEDDCYDLLGVKQDANVSEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTRGQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLIISVFQYLNQFGRLKALEFERTGGISSKKKGHKQMDKKVEEVLSNEVELQIQGVEKPSVWRLYGVQFILLPYSIGKVLSWKFCWFWRYRIKKLPYAWEDACYLTRTSLKIPANTWQNIDDYRKENLVMKRLWEKNNMERYIAEMRKESKRRR >ONIVA12G07610.2 pep chromosome:AWHD00000000:12:7283129:7292552:-1 gene:ONIVA12G07610 transcript:ONIVA12G07610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G61770) TAIR;Acc:AT1G61770] MSQIGSASEGSNSMAAAASPRLLLLVLLLLLVPVSNAIYCDEDDCYDLLGVKQDANVSEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTRGQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLIISVFQYLNQFGRLKALEFERTGGISSKKKGHKQMDKKVEEVLSNEVELQIQGVEKPSVWRLYGVQFILLPYSIGKVLSWKFCWFWRYRIKKLPYAWEDACYLTRTSLKIPANTWQNIDDYRKENLVMKRLWEKNNMERYIAEMRKESKRRR >ONIVA12G07610.3 pep chromosome:AWHD00000000:12:7283131:7292585:-1 gene:ONIVA12G07610 transcript:ONIVA12G07610.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G61770) TAIR;Acc:AT1G61770] MSQIGSASEGSNSMAAAASPRLLLLVLLLLLVPVSNAIYCDEDDCYDLLGVKQDANVSEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTRGQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLIISVFQYLNQFGRLKALEFERTGGISSKKKGHKQMDKKVEEVLSNEVELQIQGVEKPSVWRLYGVQFILLPYSIGKVLSWKFCWFWRYRIKKLPYAWEDACYLTRTSLKIPANTWQNIDDYRKENLVMKRLWEKNNMERYIAEMRKESKRRR >ONIVA12G07610.4 pep chromosome:AWHD00000000:12:7283131:7292552:-1 gene:ONIVA12G07610 transcript:ONIVA12G07610.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G61770) TAIR;Acc:AT1G61770] MSQIGSASEGSNSMAAAASPRLLLLVLLLLLVPVSNAIYCDEDDCYDLLGVKQDANVSEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTRGQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLIISVFQYLNQFGRLKALEFERTGGISSKKKGHKQMDKKVEEVLSNEVELQIQGVEKPSVWRLYGVQFILLPYSIGKVLSWKFCWFWRYRIKKLPYAWEDACYLTRTSLKIPANTWQNIDDYRKENLVMKRLWEKNNMERYIAEMRKESKRRR >ONIVA12G07620.1 pep chromosome:AWHD00000000:12:7295451:7296829:-1 gene:ONIVA12G07620 transcript:ONIVA12G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFKRTSNRPPPPHLCRRLPEGAAYRLPPPLPPDPAEGRVPAPPVGHLCNPLPTASPPLPLDPVEGRVPPPPVDRHLLPCVVSCRLPSRQIRRRGGCHYCPSTAASPPAAAALRPLPPL >ONIVA12G07630.1 pep chromosome:AWHD00000000:12:7305357:7308745:1 gene:ONIVA12G07630 transcript:ONIVA12G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J8P7] MARSWSLLLLPFALALVASVAQAAVVEYTFNVGNLSISQLCQQEMIITAVNGQLPGPTIVATEGDTVVVHMVNESPYNMTIHWHGIFQRGTPWADGPAMVTQCPVRPGGNYTYRFNVTGQEGTLWWHSHFSFLRATVYGALIIKPRGGAKAYPFPVPDEEVVVILGEWWKRNVYDLQQEALHTGIPAAHADAYTINGKPGGFYDNCSAPNQTHKFELKQNKTYMLRIINAALNTPLFFKVANHSFNVVAADACYTKPYKTDVVVISPGQTVDALLVPDAGVAAAVGGRYYMAVIPYNSAVNAINASVLYSLTNGTAIVEYAGGPATSPPMLPEMPEYNDTATAHRFLSNMTALVPNRVPLAVDTHMFVTVSMGDTFCGPEQTMECNKNRTIFASSMNNASFILPNTTSMLEAMYKGSIDGIYTRDFPDTPPIVFDYTADASEQNATLKHTFKSTKVKTLKYNSTVQMVLQNTRLVSKESHPMHLHGFNFFVLAQGFGNYNETTDPAKFNLVDPQERNTVAVPTGGWAVIRFIADNPGVWFMHCHFDAHLEFGLGMVFEVQNGPTPETSLPPPPSDLPQC >ONIVA12G07640.1 pep chromosome:AWHD00000000:12:7312686:7312865:1 gene:ONIVA12G07640 transcript:ONIVA12G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVQSVRYLSLPLQVLREEWWIAMAILEEVMEEGGLLVQPLQPVQSVTGVPGVMSEQE >ONIVA12G07650.1 pep chromosome:AWHD00000000:12:7317593:7319015:-1 gene:ONIVA12G07650 transcript:ONIVA12G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEVAAPADLIKEGAVEDEADQPLILIPASTTRILGSLAATGCCFMAQKKRIYGLLVDDSDHTALFEKKASVKQIGIEEMRGKAKYVSSEEVKSSDDDEDMVIRGYAQDPYDDSGLEDLLQDQDALEKSVKKFLECFKSAKFR >ONIVA12G07660.1 pep chromosome:AWHD00000000:12:7345727:7357814:1 gene:ONIVA12G07660 transcript:ONIVA12G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELATSCAGGVDVTVYEKEDSLGGSFARTVGVDGGAGGEVVHLHLGFMPFNSAAAAPPLGHSSFRRRDLVGGVVRGSREGTRAVQPQSLRSMGPAAPSAGASGERGATLRRGGGSPVAVRRALTAPPSCVTSPNMMQWFADLGANMERSDMSFSVRTQLDACGECEWASGNGISGLLAKRSNALSPSFWRMISETLKFKRDALRYLEDCENNLDLEQSETLGQFVQSHGYCQFFQEAYLFPICGWMWSCPSQRVLGFSASSVLSFFRKHNLLQLFSRTQPLIVNGRSQSYFNKVREDLESRSCRIKTNCHVKSISSFDRGYRVLEVDGSEEMYDRIIVGIHAPDALKLLGAEATHEESRILGAFQYVSSNLYLHCDESFMPCNSSTWSACNITRTRSGSVCVTYWLNLLQNIESTRTFLVTLNPSYVPDHVLLKWNTNHFVPTVAASKASLELDQIQGKRGSGFHEDGFQAGKAAAQSLLGNKIDPLTNPKQMVLSWTETGARLLVLRFLKQYISVGNLILFEEGGTMFSFGEACEKCNKKSVLRVQDPLFYWQVATEADLGLADAYINGCFSFVNKREGLLNLFLILIASRDAHRSSCRNSSRRGWWTPLLFTAGVASAKYFLRHISRKNSVTQTRQNVSQHYDLSNDFFSLFLDKSMTYSSAIFKDEEESLEEAQLRKINLLIHKAKVGQDDEVLEIGSGWGSLAMEVVKQTGCKYTGVTQSVEQLKYAQRRVKEAGLEDRITFLLCDYREIPCHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAENGIFVTQFTSIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCIEHVENIGYHYYTTLIRWRDNFMANKDKILALGFDEKFIRTWEYYFIYCAAGFKSRTLGDYQIVFSRPGNTKMGSGF >ONIVA12G07660.2 pep chromosome:AWHD00000000:12:7345727:7358511:1 gene:ONIVA12G07660 transcript:ONIVA12G07660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELATSCAGGVDVTVYEKEDSLGGSFARTVGVDGGAGGEVVHLHLGFMPFNSAAAAPPLGHSSFRRRDLVGGVVRGSREGTRAVQPQSLRSMGPAAPSAGASGERGATLRRGGGSPVAVRRALTAPPSCVTSPNMMQWFADLGANMERSDMSFSVRTQLDACGECEWASGNGISGLLAKRSNALSPSFWRMISETLKFKRDALRYLEDCENNLDLEQSETLGQFVQSHGYCQFFQEAYLFPICGWMWSCPSQRVLGFSASSVLSFFRKHNLLQLFSRTQPLIVNGRSQSYFNKVREDLESRSCRIKTNCHVKSISSFDRGYRVLEVDGSEEMYDRIIVGIHAPDALKLLGAEATHEESRILGAFQYVSSNLYLHCDESFMPCNSSTWSACNITRTRSGSVCVTYWLNLLQNIESTRTFLVTLNPSYVPDHVLLKWNTNHFVPTVAASKASLELDQIQGKRGSGFHEDGFQAGKAAAQSLLGNKIDPLTNPKQMVLSWTETGARLLVLRFLKQYISVGNLILFEEGGTMFSFGEACEKCNKKSVLRVQDPLFYWQVATEADLGLADAYINGCFSFVNKREGLLNLFLILIASRDAHRSSCRNSSRRGWWTPLLFTAGVASAKYFLRHISRKNSVTQTRQNVSQHYDLSNDFFSLFLDKSMTYSSAIFKDEEESLEEAQLRKINLLIHKAKVGQDDEVLEIGSGWGSLAMEVVKQTGCKYTGVTQSVEQLKYAQRRVKEAGLEDRITFLLCDYREIPCHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAENGIFVTQFTSIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCIEHVENIGYHYYTTLIRWRDNFMANKDKILALGFDEKFIRTWEYYFIYCAAGANRKLYV >ONIVA12G07660.3 pep chromosome:AWHD00000000:12:7345727:7358511:1 gene:ONIVA12G07660 transcript:ONIVA12G07660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELATSCAGGVDVTVYEKEDSLGGSFARTVGVDGGAGGEVVHLHLGFMPFNSAAAAPPLGHSSFRRRDLVGGVVRGSREGTRAVQPQSLRSMGPAAPSAGASGERGATLRRGGGSPVAVRRALTAPPSCVTSPNMMQWFADLGANMERSDMSFSVRTQLDACGECEWASGNGISGLLAKRSNALSPSFWRMISETLKFKRDALRYLEDCENNLDLEQSETLGQFVQSHGYCQFFQEAYLFPICGWMWSCPSQRVLGFSASSVLSRTQPLIVNGRSQSYFNKVREDLESRSCRIKTNCHVKSISSFDRGYRVLEVDGSEEMYDRIIVGIHAPDALKLLGAEATHEESRILGAFQYVSSNLYLHCDESFMPCNSSTWSACNITRTRSGSVCVTYWLNLLQNIESTRTFLVTLNPSYVPDHVLLKWNTNHFVPTVAASKASLELDQIQGKRGSGFHEDGFQAGKAAAQSLLGNKIDPLTNPKQMVLSWTETGARLLVLRFLKQYISVGNLILFEEGGTMFSFGEACEKCNKKSVLRVQDPLFYWQVATEADLGLADAYINGCFSFVNKREGLLNLFLILIASRDAHRSSCRNSSRRGWWTPLLFTAGVASAKYFLRHISRKNSVTQTRQNVSQHYDLSNDFFSLFLDKSMTYSSAIFKDEEESLEEAQLRKINLLIHKAKVGQDDEVLEIGSGWGSLAMEVVKQTGCKYTGVTQSVEQLKYAQRRVKEAGLEDRITFLLCDYREIPCHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAENGIFVTQFTSIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCIEHVENIGYHYYTTLIRWRDNFMANKDKILALGFDEKFIRTWEYYFIYCAAGANRKLYV >ONIVA12G07660.4 pep chromosome:AWHD00000000:12:7345727:7357814:1 gene:ONIVA12G07660 transcript:ONIVA12G07660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELATSCAGGVDVTVYEKEDSLGGSFARTVGVDGGAGGEVVHLHLGFMPFNSVTSPNMMQWFADLGANMERSDMSFSVRTQLDACGECEWASGNGISGLLAKRSNALSPSFWRMISETLKFKRDALRYLEDCENNLDLEQSETLGQFVQSHGYCQFFQEAYLFPICGWMWSCPSQRVLGFSASSVLSFFRKHNLLQLFSRTQPLIVNGRSQSYFNKVREDLESRSCRIKTNCHVKSISSFDRGYRVLEVDGSEEMYDRIIVGIHAPDALKLLGAEATHEESRILGAFQYVSSNLYLHCDESFMPCNSSTWSACNITRTRSGSVCVTYWLNLLQNIESTRTFLVTLNPSYVPDHVLLKWNTNHFVPTVAASKASLELDQIQGKRGSGFHEDGFQAGKAAAQSLLGNKIDPLTNPKQMVLSWTETGARLLVLRFLKQYISVGNLILFEEGGTMFSFGEACEKCNKKSVLRVQDPLFYWQVATEADLGLADAYINGCFSFVNKREGLLNLFLILIASRDAHRSSCRNSSRRGWWTPLLFTAGVASAKYFLRHISRKNSVTQTRQNVSQHYDLSNDFFSLFLDKSMTYSSAIFKDEEESLEEAQLRKINLLIHKAKVGQDDEVLEIGSGWGSLAMEVVKQTGCKYTGVTQSVEQLKYAQRRVKEAGLEDRITFLLCDYREIPCHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAENGIFVTQFTSIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCIEHVENIGYHYYTTLIRWRDNFMANKDKILALGFDEKFIRTWEYYFIYCAAGFKSRTLGDYQIVFSRPGNTKMGSGF >ONIVA12G07660.5 pep chromosome:AWHD00000000:12:7345727:7357814:1 gene:ONIVA12G07660 transcript:ONIVA12G07660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELATSCAGGVDVTVYEKEDSLGGSFARTVGVDGGAGGEVVHLHLGFMPFNSVTSPNMMQWFADLGANMERSDMSFSVRTQLDACGECEWASGNGISGLLAKRSNALSPSFWRMISETLKFKRDALRYLEDCENNLDLEQSETLGQFVQSHGYCQFFQEAYLFPICGWMWSCPSQRVLGFSASSVLSRTQPLIVNGRSQSYFNKVREDLESRSCRIKTNCHVKSISSFDRGYRVLEVDGSEEMYDRIIVGIHAPDALKLLGAEATHEESRILGAFQYVSSNLYLHCDESFMPCNSSTWSACNITRTRSGSVCVTYWLNLLQNIESTRTFLVTLNPSYVPDHVLLKWNTNHFVPTVAASKASLELDQIQGKRGSGFHEDGFQAGKAAAQSLLGNKIDPLTNPKQMVLSWTETGARLLVLRFLKQYISVGNLILFEEGGTMFSFGEACEKCNKKSVLRVQDPLFYWQVATEADLGLADAYINGCFSFVNKREGLLNLFLILIASRDAHRSSCRNSSRRGWWTPLLFTAGVASAKYFLRHISRKNSVTQTRQNVSQHYDLSNDFFSLFLDKSMTYSSAIFKDEEESLEEAQLRKINLLIHKAKVGQDDEVLEIGSGWGSLAMEVVKQTGCKYTGVTQSVEQLKYAQRRVKEAGLEDRITFLLCDYREIPCHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAENGIFVTQFTSIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCIEHVENIGYHYYTTLIRWRDNFMANKDKILALGFDEKFIRTWEYYFIYCAAGFKSRTLGDYQIVFSRPGNTKMGSGF >ONIVA12G07660.6 pep chromosome:AWHD00000000:12:7345727:7358511:1 gene:ONIVA12G07660 transcript:ONIVA12G07660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELATSCAGGVDVTVYEKEDSLGGSFARTVGVDGGAGGEVVHLHLGFMPFNSAAAAPPLGHSSFRRRDLVGGVVRGSREGTRAVQPQSLRSMGPAAPSAGASGERGATLRRGGGSPVAVRRALTAPPSCVTSPNMMQWFADLGANMERSDMSFSVRTQLDACGECEWASGNGISGLLAKRSNALSPSFWRMISETLKFKRDALRYLEDCENNLDLEQSETLGQFVQSHGYCQFFQEAYLFPICGWMWSCPSQRVLGFSASSVLSRTQPLIVNGRSQSYFNKVREDLESRSCRIKTNCHVKSISSFDRGYRVLEVDGSEEMYDRIIVGIHAPDALKLLGAEATHEESRILGAFQYVSSNLYLHCDESFMPCNSSTWSACNITRTRSGSVCVTYWLNLLQNIESTRTFLVTLNPSYVPDHVLLKWNTNHFVPTVAASKASLELDQIQGKRAAQSLLGNKIDPLTNPKQMVLSWTETGARLLVLRFLKQYISVGNLILFEEGGTMFSFGEACEKCNKKSVLRVQDPLFYWQVATEADLGLADAYINGCFSFVNKREGLLNLFLILIASRDAHRSSCRNSSRRGWWTPLLFTAGVASAKYFLRHISRKNSVTQTRQNVSQHYDLSNDFFSLFLDKSMTYSSAIFKDEEESLEEAQLRKINLLIHKAKVGQDDEVLEIGSGWGSLAMEVVKQTGCKYTGVTQSVEQLKYAQRRVKEAGLEDRITFLLCDYREIPCHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAENGIFVTQFTSIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCIEHVENIGYHYYTTLIRWRDNFMANKDKILALGFDEKFIRTWEYYFIYCAAGANRKLYV >ONIVA12G07660.7 pep chromosome:AWHD00000000:12:7345727:7357814:1 gene:ONIVA12G07660 transcript:ONIVA12G07660.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELATSCAGGVDVTVYEKEDSLGGSFARTVGVDGGAGGEVVHLHLGFMPFNSVTSPNMMQWFADLGANMERSDMSFSVRTQLDACGECEWASGNGISGLLAKRSNALSPSFWRMISETLKFKRDALRYLEDCENNLDLEQSETLGQFVQSHGYCQFFQEAYLFPICGWMWSCPSQRVLGFSASSVLSFFRKHNLLQLFSRTQPLIVNGRSQSYFNKVREDLESRSCRIKTNCHVKSISSFDRGYRVLEVDGSEEMYDRIIVGIHAPDALKLLGAEATHEESRILGAFQYVSSNLYLHCDESFMPCNSSTWSACNITRTRSGSVCVTYWLNLLQNIESTRTFLVTLNPSYVPDHVLLKWNTNHFVPTVAASKASLELDQIQGKRAAQSLLGNKIDPLTNPKQMVLSWTETGARLLVLRFLKQYISVGNLILFEEGGTMFSFGEACEKCNKKSVLRVQDPLFYWQVATEADLGLADAYINGCFSFVNKREGLLNLFLILIASRDAHRSSCRNSSRRGWWTPLLFTAGVASAKYFLRHISRKNSVTQTRQNVSQHYDLSNDFFSLFLDKSMTYSSAIFKDEEESLEEAQLRKINLLIHKAKVGQDDEVLEIGSGWGSLAMEVVKQTGCKYTGVTQSVEQLKYAQRRVKEAGLEDRITFLLCDYREIPCHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAENGIFVTQFTSIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCIEHVENIGYHYYTTLIRWRDNFMANKDKILALGFDEKFIRTWEYYFIYCAAGFKSRTLGDYQIVFSRPGNTKMGSGF >ONIVA12G07660.8 pep chromosome:AWHD00000000:12:7345727:7357814:1 gene:ONIVA12G07660 transcript:ONIVA12G07660.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELATSCAGGVDVTVYEKEDSLGGSFARTVGVDGGAGGEVVHLHLGFMPFNSVTSPNMMQWFADLGANMERSDMSFSVRTQLDACGECEWASGNGISGLLAKRSNALSPSFWRMISETLKFKRDALRYLEDCENNLDLEQSETLGQFVQSHGYCQFFQEAYLFPICGWMWSCPSQRVLGFSASSVLSRTQPLIVNGRSQSYFNKVREDLESRSCRIKTNCHVKSISSFDRGYRVLEVDGSEEMYDRIIVGIHAPDALKLLGAEATHEESRILGAFQYVSSNLYLHCDESFMPCNSSTWSACNITRTRSGSVCVTYWLNLLQNIESTRTFLVTLNPSYVPDHVLLKWNTNHFVPTVAASKASLELDQIQGKRAAQSLLGNKIDPLTNPKQMVLSWTETGARLLVLRFLKQYISVGNLILFEEGGTMFSFGEACEKCNKKSVLRVQDPLFYWQVATEADLGLADAYINGCFSFVNKREGLLNLFLILIASRDAHRSSCRNSSRRGWWTPLLFTAGVASAKYFLRHISRKNSVTQTRQNVSQHYDLSNDFFSLFLDKSMTYSSAIFKDEEESLEEAQLRKINLLIHKAKVGQDDEVLEIGSGWGSLAMEVVKQTGCKYTGVTQSVEQLKYAQRRVKEAGLEDRITFLLCDYREIPCHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAENGIFVTQFTSIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCIEHVENIGYHYYTTLIRWRDNFMANKDKILALGFDEKFIRTWEYYFIYCAAGFKSRTLGDYQIVFSRPGNTKMGSGF >ONIVA12G07670.1 pep chromosome:AWHD00000000:12:7360070:7360317:1 gene:ONIVA12G07670 transcript:ONIVA12G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWETLACILRNVWALLDGWIAVCCLAADELAGLLRSALGSSVALSFLLWLIIA >ONIVA12G07680.1 pep chromosome:AWHD00000000:12:7364038:7364364:1 gene:ONIVA12G07680 transcript:ONIVA12G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFDEDLAAAAATKIGASVVPSPFAAASCFAGACGGTDDGRRAEKGVEPRNPGGCDPQRRWVGETTLVTRRARVRDADRWFDASSEFDAAVPHSIDAAPGGQQRWR >ONIVA12G07690.1 pep chromosome:AWHD00000000:12:7371448:7374783:1 gene:ONIVA12G07690 transcript:ONIVA12G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGSPPLPSANHSALHPGSLASASPVCLRAAPGQRLRGGTPRRSPLQSPTLLPVAQLALDLRRLPVAAASAGIAAVSSASASTGIPSHRRRLALTVTPHCRFLPACLHHRHGESVADPTAAFAGVTGSTPQSPVAKPSLHRRLNGWPTRRRSQGSRAPERSQIISNCLSD >ONIVA12G07690.2 pep chromosome:AWHD00000000:12:7371448:7374783:1 gene:ONIVA12G07690 transcript:ONIVA12G07690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGSPPLPSANHSALHPGSLASASPVCLRAAPGQRLRGGTPRRSPLQSPTLLPVAQLALDLRRLPVAAASAGIAAVSSASASTGIPSHRRRLALTVTPHCRFLPACLHHRHGESVADPTAAFAGVTGSTPQSPVAKPSLHRRLNGWPTRRRSQGSRAPERSQIISNCLSD >ONIVA12G07690.3 pep chromosome:AWHD00000000:12:7371660:7374522:1 gene:ONIVA12G07690 transcript:ONIVA12G07690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHPLIGEFNHRQHHQPPALSDWTRFKGTENPPLQEHYKGIFRAMVVTGLKTMELEVRIFNLSGFRNIMDSVWQSVLHIRYIGRQHQIVLFVVCHGGATAGQRACLSMEAGLRPKVALEESLSMHGSRVEAVL >ONIVA12G07690.4 pep chromosome:AWHD00000000:12:7372187:7374522:1 gene:ONIVA12G07690 transcript:ONIVA12G07690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRVVEARGVGRLNGRRSSPTASPIDEAAPSDWFKGTENPPLQEHYKGIFRAMVVTGLKTMELEVRIFNLSGFRNIMDSVWQSVLHIRYIGRQHQIVLFVVCHGGATAGQRACLSMEAGLRPKVALEESLSMHGSRVEAVL >ONIVA12G07690.5 pep chromosome:AWHD00000000:12:7371660:7374783:1 gene:ONIVA12G07690 transcript:ONIVA12G07690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHPLIGEFNHRQHHQPPALSDWTRFKGTENPPLQEHYKGIFRAMVVTGLKTMELEVRIFNLSGFRNIMDSVWQSVLHIRYIGRTYFLEESLSKHGSRVEAKSGIGRELVYAWKQG >ONIVA12G07690.6 pep chromosome:AWHD00000000:12:7372187:7374783:1 gene:ONIVA12G07690 transcript:ONIVA12G07690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPRVVEARGVGRLNGRRSSPTASPIDEAAPSDWFKGTENPPLQEHYKGIFRAMVVTGLKTMELEVRIFNLSGFRNIMDSVWQSVLHIRYIGRTYFLEESLSKHGSRVEAKSGIGRELVYAWKQG >ONIVA12G07690.7 pep chromosome:AWHD00000000:12:7367599:7371664:1 gene:ONIVA12G07690 transcript:ONIVA12G07690.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGETSMGSNRRRLRRRATGLGHGRLESDSLPIGMNCEPEQPVIGELSQSDHVPCSSSVGVRICSLHNEGSEIVSICSVLRKI >ONIVA12G07700.1 pep chromosome:AWHD00000000:12:7392991:7398106:-1 gene:ONIVA12G07700 transcript:ONIVA12G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63290) TAIR;Acc:AT5G63290] MLRSTFPLVSHLPSRKPPPIRPRPPPVRPYASSADSPPPPPPPPASAYVHLPFCRKRCHYCDFPIVALGTSSPPTSPHGGDGDDPRIADYVRLLLREVAATRPVSDDGVPLETVFFGGGTPSLVPPRLVAAVLDALRARFGLSASPEVSIEMDPGTFDAAKLRELVGLGVNRVSLGVQAFQEDLLRACGRAHGLREVHDAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQLYTPGVYPLPNEKDSANFYKIASKRLSEAGYNHYEISSYCKTGYECKHNVTYWQNRSFYAFGLGSASYINGIRFSRPRRMKEYAEWVQKLENGVWSHESGNSGIKDMAMDVVMLSLRTAWGLDVQSFSKTFGRSLTESLCNTFRPFVESGLVIAMDMERRALQPSEFELDLQHDGENGSRVAFIRLSDPDGLIGEG >ONIVA12G07710.1 pep chromosome:AWHD00000000:12:7403453:7407982:1 gene:ONIVA12G07710 transcript:ONIVA12G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G34160) TAIR;Acc:AT1G34160] MSESAAAAARHLESLLPRLASLRHYLQFHARLLTSGHLGAHPGLRARFLDRLALSPHPAALPHALLLLRSLPTPAINDLNAALRGLAASPHPARSLLLLAGRLLPALLPRPDALSLSFALKASARCSDAHTTVQLHALVLRLGVAADVRLLTTLLDSYAKCGDLASARKVFDEMTVRDVATWNSLLAGLAQGTEPNLALALFHRLANSFQELPSREEPNEVTIVAALSACAQIGLLKDGMYVHEFAKRFGLDRNVRVCNSLIDMYSKCGSLSRALDVFHSIKPEDRTLVSYNAAIQAHSMHGHGGDALRLFDEMPTRIEPDGVTYLAVLCGCNHSGLVDDGLRVFNSMRVAPNMKHYGTIVDLLGRAGRLTEAYDTVISMPFPADIVLWQTLLGAAKMHGVVELAELAANKLAELGSNVDGDYVLLSNVYASKARWMDVGRVRDTMRSNDVRKVPGFSYTEIDGVMHKFINGDKEHPRWQEIYRALEDIVSRISELGYEPETSNVLHDIGEEEKQYALCYHSEKLAIAFGLIATPPGETLRVIKNLRICGDCHVVAKLISKAYGRVIVIRDRARFHRFEDGQCSCRDYWLAVADTFNLVPIEINLLPHKDLSLLQQWKATTQTLSSTRGKRYRMWTEKKMLDAQDSGGSGKRSVGSNTVKLEGRSMFQKAIQLANKNTISVQIILASIYSG >ONIVA12G07710.2 pep chromosome:AWHD00000000:12:7403453:7411131:1 gene:ONIVA12G07710 transcript:ONIVA12G07710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G34160) TAIR;Acc:AT1G34160] MSESAAAAARHLESLLPRLASLRHYLQFHARLLTSGHLGAHPGLRARFLDRLALSPHPAALPHALLLLRSLPTPAINDLNAALRGLAASPHPARSLLLLAGRLLPALLPRPDALSLSFALKASARCSDAHTTVQLHALVLRLGVAADVRLLTTLLDSYAKCGDLASARKVFDEMTVRDVATWNSLLAGLAQGTEPNLALALFHRLANSFQELPSREEPNEVTIVAALSACAQIGLLKDGMYVHEFAKRFGLDRNVRVCNSLIDMYSKCGSLSRALDVFHSIKPEDRTLVSYNAAIQAHSMHGHGGDALRLFDEMPTRIEPDGVTYLAVLCGCNHSGLVDDGLRVFNSMRVAPNMKHYGTIVDLLGRAGRLTEAYDTVISMPFPADIVLWQTLLGAAKMHGVVELAELAANKLAELGSNVDGDYVLLSNVYASKARWMDVGRVRDTMRSNDVRKVPGFSYTEIDGVMHKFINGDKEHPRWQEIYRALEDIVSRISELGYEPETSNVLHDIGEEEKQYALCYHSEKLAIAFGLIATPPGETLRVIKNLRICGDCHVVAKLISKAYGRVIVIRDRARFHRFEDGQCSCRDYWLAVADTFNLVPIEINLTLSSTRGKRYRMWTEKKMLDAQTGMISYKIAAHAADLAKCHPYAQAWDDTLSKARFEFRWLDQFALYLDPVTTMSFHDETLPSEGAKVAHFCSMCGPKSCSMKITEDIRKYADEHGYRIVEEAVIPGMNAMSAEFLAARKTISGEQHGEAGGEIYIILASIYSG >ONIVA12G07710.3 pep chromosome:AWHD00000000:12:7403453:7407982:1 gene:ONIVA12G07710 transcript:ONIVA12G07710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G34160) TAIR;Acc:AT1G34160] MSESAAAAARHLESLLPRLASLRHYLQFHARLLTSGHLGAHPGLRARFLDRLALSPHPAALPHALLLLRSLPTPAINDLNAALRGLAASPHPARSLLLLAGRLLPALLPRPDALSLSFALKASARCSDAHTTVQLHALVLRLGVAADVRLLTTLLDSYAKCGDLASARKVFDEMTVRDVATWNSLLAGLAQGTEPNLALALFHRLANSFQELPSREEPNEVTIVAALSACAQIGLLKDGMYVHEFAKRFGLDRNVRVCNSLIDMYSKCGSLSRALDVFHSIKPEDRTLVSYNAAIQAHSMHGHGGDALRLFDEMPTRIEPDGVTYLAVLCGCNHSGLVDDGLRVFNSMRVAPNMKHYGTIVDLLGRAGRLTEAYDTVISMPFPADIVLWQTLLGAAKMHGVVELAELAANKLAELGSNVDGDYVLLSNVYASKARWMDVGRVRDTMRSNDVRKVPGFSYTEIDGVMHKFINGDKEHPRWQEIYRALEDIVSRISELGYEPETSNVLHDIGEEEKQYALCYHSEKLAIAFGLIATPPGETLRVIKNLRICGDCHVVAKLISKAYGRVIVIRDRARFHRFEDGQCSCRDYWLAVADTFNLVPIEINLTLSSTRGKRYRMWTEKKMLDAQTGMISYKIAAHAADLAKCHPYAQAWDDTLSKARFEFRWLDQFALYLDPVTTMSFHDETLPSEGAKVAHFCSMCGPKSCSMKITEDIRKYADEHGYRIVEEAVIPGMNAMSAEFLAARKTISGEQHGEAGGEIYNTISVQIILASIYSG >ONIVA12G07720.1 pep chromosome:AWHD00000000:12:7408698:7412053:-1 gene:ONIVA12G07720 transcript:ONIVA12G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFVSASVGVLNPLLTKLSALVEGEYKLLKSVKKDIIFLRNELSSISVLLEHLSTKEDKLDGPTKEWRNNMLELAYDIEDCIDLFIHKLSCGDANANFVQKIGSKIKKLWGKHQITECIQELKNRVMEEDQRRKRNQIDDFISEPSVVEIDPRLPALYEEVERLVGIDGPREKIIKWIMTKGKPLEQRKVVSIVGLGGLGKTTLANEVYKTIQGDFKCITFVSISRTPNIRKILVDMLKGLGSNGDVSEDEQNLISHLRGFLKDKRYLIVVDDIWDIGAWKVVNCAFPENNLGSIIITTTRNTAVAEACSRTTSEGYLHSMQPLEEQDSQRLFYRRAFNSDSCCPPHLEDISHAIISKCRGLPLAIISIASLLSIKPDTEDQWMQVHNSIGVTLNSDVEVRKILMLSYYDLPYPLKNCLLYLSMYPEDYVIDRQELIWRWIAEGFIIEAKGKTREQVGENYFNELINRSLIQPVYIQYDGRASCCRVHDIVLDLIISLSTGQNFVTIVHEQQHWSSFKKIRRTWFPSNGTDNRIVKEITNNCSHVRSLFFDSPKPEQIPQFKKCHALRVLVLDGCMSLESQHINSLTYLFQLKYLKLNVANVTEMPKDIGRLQQLETLIIHGGGHVNEINIPSSVCRLQKLERLIVDYPMRLPDEIGFLQALEMLSLFYNIEYSIKCLQELRRLTRLRYLRIRTPFGGDVARFERYKDAFYMTLDELGKNSLQSLHVHVTTKFSDTLMDSCCSSAPGLRELSISGVGISKLSEQMVSLSNLAYLVIFYNTRSNDQKYINLLGCIPKLLYLKVIFPQGGEDGLTVGCGGFPCLKELMFRHSRLHWLLFEPGAMPKLQRLSIELFAQKAASNLGFEQSFVHLSSLQHLIVVLDCSDATTRDVKALEDAIRNVASIQTRCLTLEILRRYEDEMVKEDEEEQLKGSTEGGGTEAHHIQ >ONIVA12G07730.1 pep chromosome:AWHD00000000:12:7433390:7441521:-1 gene:ONIVA12G07730 transcript:ONIVA12G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVSASVGVLNPLLTKLSSLIEGEYNLLKSVKKDIIFLRNELSSISVLLEHLSNKEDKLDGPTMEWRNNMLELAYDIEDCIDLFIHKLSCGDANANFVRKIGSKIKKLWGKHQITECIQELKNRVMEEDQRRKRYQIDDFISEPSMVEIDPRLPALYEEVERLVGIDGPREKIVKWIMHKDWSSEQRKVVSIVGWVGRSSMSDATADAEDCSAKSLMPESKKEEGKGEMGGVVRSFSTQFAEFEVNSASNLPKIPLYVYEIHKTNLKKGKMAFSIPFSEQYINEHLPIEDLLVTVVGSTVSYPMRLMKSKDKQANFTTGWNKLVGDKLFELCDVCVFMFNPFTYRKAKLVMTGMFSCITNTESYLRAHCNNSYSHHIITVNERTKSSKSSRQDLIWRWIAEGFIVEVKNQTQEQVGENYFNELINRSLIQPVSIQYDGSAKYCRVHDIVLDLIISLSTGQNFVAIVDEQQHWSSFKKIRRTWFPSNGTDNRIVKKITNNCSQVRSLLFFENPKPKQIPQFKKCHALRLKYLKVFGVNVTELPKDIGRLQQLETLTIHAGDVDEINIPSSVCRLQKLQRLNFNYPMRLPDGIGVLQALEVLYLDSIRYSIKCLQELCWLTRLRQLRILCPGIYDFGGDVARFQRYKEAFYFTLNELGKNSLRSLHVTCSPEFSDTLMDSCCSSAPDLKELFIDGNGISKFSDRMILPLFVSGILQALIRKWSSSLGIPKLLYLEVFLSRGLGDGLTRLCFSLYAREAASNLGLEQSYVHLSSLQHLTFYLCCTNATITDVKAAEAAIRNVISIHTRRPTLVLRRYLQDRMVKEDEEEQLKDSTDGCGVEEHHIQ >ONIVA12G07740.1 pep chromosome:AWHD00000000:12:7451826:7453284:-1 gene:ONIVA12G07740 transcript:ONIVA12G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J8S3] MAAPSKPQHGAIGPVPFKDVIGDAMAQRSSPEEEYAGVVSSLPSYPKLRLRHYQGMWLMEYTLPGIMAIQRSFVPRRHGDVVLASPGKCGTTWLKALAFAVLARGAYSPASDRHPLLRLNPHDCVPFMEGAISEGWGGKIDELPSPRLMSTHMQHAALPKSIADEPGCKVVYICREPKDILVSAWHFFRIIEPDLSFQEVFEAACDGKFLTGAIWDHIIGYWNACKANPEKVLFLVYEDLLRDPANIVRKLADFLGQPFSSTEEESGLVTDIVRLCSFENLKSLEVNKMGEASFAFPNASYFRKGKAGDWKIHMTPEMVECFDTIVKEKMHGSGLVFA >ONIVA12G07750.1 pep chromosome:AWHD00000000:12:7462536:7481020:-1 gene:ONIVA12G07750 transcript:ONIVA12G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKWLCMLSVSTGAMSTLLPKLSLLIQGEYKHLKGVKGGISFLKDELSSMHTLLVKLANNEKLDDQVKDWRNKVRELSYDIEDCIDLFMHKVSSSNAKASLVQKTAAKIRKLWSRHKIANLIEELKARVIEESDRCLRYNFDEVADKFGHVQIDPRLPALYVEAEKLVGIDGPREKIIKWLEKDESRKLKIVSIVGFGGLGKTTLANQVYHKIKGQFDCSSFVPISRNPNTTKILADMLKELGSDVDTSDDQRQLISKLRTFLKHKRYLIIVDDIWSTQAWELVKCALPENNLCSRIILTTRNTDVASSCCSSLAGYIHNIQPLNEQDSQKLFFKRIFGDKSACPPYLEQVSHGIISKCHGLPLAIISIASLLAGKSRLKEQWEQVYNSIGFAFSHQGIRDILLLSYYDLPINLKTCLLYLSVFPEDYKIHRKELIWRWIAEGFISEVKGQTLDQIADNYFNDLVNRSMIQPFDIKYDGRADACKLHDMVLDLIISLSTQENFTTIMEGQQYKCSSNKIRRLSVHSKYLEDEVMQEIMTNCSQVRSISFYELQDQEISLLSTLNSLRVLAFNNSHHLGNKSIKYLGRFFQLTYLSIASRGITDLPEQIGGLQNLLTLDIRGSSVEKLPSTIGCLKNLVRLLVNEFVKLPNEVGDLQALQQLSFAGNYNSIVFVEQLKRLANLREIDILLHGSAQLGDRDMARFMEALKSSLAVMGKQGLQSLQISYGHDMVIGEKLMDLLCYSPCLRKLVIDSSRISRLSKQMALLVNLRHLDIGVSNIKQGNLCVLGSIPTLLFVRLFVKNGPDERLAISSHQFQCLKEFIFISYGGGLEMLFLQEAMPELRRLSLSFSAEETDCKMGFEFSFKHLASLEHLNVRINCNDATRSRVETAEASVRNAASAHPGCPRIEIIRKYENCMRDDKVDKEILKDIDGHEVISNVGSLTVNERILLALHFTQRVEVHGKYLIIVDDIWSTKAWEFVKSALPDNNLCSRIITTTRDTNVATSCCYTVAGHIHNIQPLSEQDSRELFLKRVFRDVSACPPYLEEVSCGIIRKCHGLPLAIISVASLLVGKPNIVEQWEEVYNSIGSAFTQQGMTDILLLSYYDLPHYLKTCLLYLSMFPEDYMIEREVLIWRWIAEGFISKVKGLRLDQVAENYFNDLVNRSMIQPIDIQYDGRANVGPDLQTLHCLRVLVFENCHGIGTQHIKHLESFFRLAYLSISSDGITELPEQIGDLKYLQTLDIRRSGIKKLPPTIGRLQNLARLLVGNDVELPNEIGDLQSLQELSDAGKYDSIKFVQELRRLTRLSVLRIMLHDSNKLGDHNTENYEESLKSSLAMMGEHALHIKQEELLIKLNSQHAPEERLIISSQQIRCLKGFEFGSYYHGGGLEML >ONIVA12G07750.2 pep chromosome:AWHD00000000:12:7461095:7462532:-1 gene:ONIVA12G07750 transcript:ONIVA12G07750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLRRLRFYIRAEETGSKIGFEFGFEHLASLQQLSVTVDCRGATRQRVEAAEAAMRDAASIHPGRPALEISRRWERDMIKDKDDHEEIGFNKNLVCTLHWFSLFLTFSPALVKLRTIMKNE >ONIVA12G07760.1 pep chromosome:AWHD00000000:12:7481060:7486943:-1 gene:ONIVA12G07760 transcript:ONIVA12G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVSVSTGALSTLLPKLSLLIQGEYKLLKGVKGGISFLRDELTSMHTLLVKLANNEEKLDEQVKDWRNKVRELSYDIEDCIDLFLHKVSSSNAKASLVRKTAAKIRKLWSRHKIANLIEELKARVIEESDRRSRYNFDEVADKFSHVQIDPRLPALYVEAEKLVGIDGPREKIIRWLEKDESLKLKIVCIVGFGGLGKTTLANQVYHKIKGQFDCFSFVPVSRNPNILKILADMLKELGSNVDTSDDQRQLISKLRTFLEHQRYLVIIDDIWSTQAWEVIKCVLPENNLRSRIISTTRNSDVATSCCSSLAGYIHNIQPLNDQDSQKLFFKRIFGDESACPPYLEQVSHGIISKCHGLPLALISIASLLAGKSRMKEQWEQVYNSIGFAFSHQGIRDILLLSYYDLPIHLKTCLLYLSVFPEDYKIGREELIWRWIAEGFISEVKGQTLDQVAENYLNDLVNRSMIQPVDIKYDGRADACKLHDMVLDLIISLSTQENFTTIVEGQQYKCSSNKIRRISIHSKCLEDEVMQEIMTNCLQVRSISFYGLQDQETSLLPTLNSLRVLAFENWHHRGSKSIKHLGRFFQLTYLRINSRGIYELPEQIGGLQNLLTLDIRGSEVKKLPSTIGCLKNLVRLLVNDYVELPNEIGDLQALQQLSYADNYNSIVFVEQLKRLANLREIGIQLHGSAQLGDHDMARYMEALKSSLAVMGKQGLQSLEISYGHDMVIGEKLMDLLCYSPCLRKLVIDSSRISRLSKQMALLVNLRHLDIGVSNIKQGDLCVLGSIPTLLFVRLFVENGPDERLAIISHQFRCLKQFIFISLGGGLEMLFLQEAMPELRRLSLSFSAEETDCKMGFEFSFKHLASLEHLKVTIDCGDATRSRVEAAEASVRNAASAHPGCPRIEMINRYSKTS >ONIVA12G07770.1 pep chromosome:AWHD00000000:12:7488577:7492151:-1 gene:ONIVA12G07770 transcript:ONIVA12G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRFNIMEHMVMSQGVQNIETSSHHASNSRHAEVGKDVILYAVLRSDTPVAKATIVSIDPSSLVGGQPLGVEFYEVVVNVVLKRDALLPRPYDDMQTMADAQYTSIAWPNNRLNVSKRSAMSKSANSKSADENFNTLVDGKVYKCSSSNIRRLSLQSSCVENDVMQDFMNKCSHIRSLSFFRENKETPHLPKFRYLRVLVFEDCDSLGNQHIKYLRFFCQLKYLRINSEGITELPDKIGDLKNLQTLDIHGSKIGKLPAAIGRLQNLLYLHVNSDVELPDEVGDLQALQVLSDAFSYNSIKFVEELRRLTKLRSLHIGLHSSLKLCYHDMRRYEEALKSSLTVLGKHSLRSLVISRADCLGDYLMDLLCDTVPCLQVLVMYGPWNGMLSERIASLDNLSFLAICVRSIKQKDLWVLGGLPSLLKLELHLLYGPDERLIISSQLFQCLKKFKLKYEFGGGLSMVCEKEAMPKLQMLHLRFKAIETKSNTGFELRLEHLSSLRHLSVTVDCDDATRRRVEAAEATIRNTVRIHPRCPTLEIKRKWESDMVKDEDEMERRECTIEEEEVQHQDP >ONIVA12G07780.1 pep chromosome:AWHD00000000:12:7501821:7509985:-1 gene:ONIVA12G07780 transcript:ONIVA12G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGATVSAATGVMGSLLAKLSALLGEEYRLLKGVNSDIRFLRDELTAINNFLIKMSNMEENLGEQENEWRNRVREIEDCIDLFMRKFNHGDVDANFARRTANKIGMLWSRHEIASQIHQLKDRVNEESARRLRYRFGESNARIVEIDPGLPALYVEAEKLVGIHGPMEKIIDLLTKQDGSSQQLKVVSIVGFGGLGKTTLANQVLKKIKHQFDCTALVSISRSPDIKKILFVLLKDRINKNNSNDEKHKKVVGIKAEKSDDEKQLINKLREYLTNEQDSYKLFLKRLSHTESDFPSHLGELSHAITKKCHGLPLAIICVASLLANKPETKDRWEHVHNSISSAFSSQIMKDILLLSYYDLPYHLKTCLLYLSIFPEDYWISKVDLLLRWIAEGFIPEVKDQALYQVAENLSIYYILKLH >ONIVA12G07790.1 pep chromosome:AWHD00000000:12:7517990:7529839:1 gene:ONIVA12G07790 transcript:ONIVA12G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLRQPSTDAEKTEVGEIDTRAPFESVKAAVSLFGEVRFSSDKSAARKPKPPQAERVLAKETELHLAQKELNKYKDQLNNAETTRVQALSELEKAKKTVEDLTNKLDAINKSKELAIQATEDAKTRTKQLEGGDSLEAVGKDGPLKQELDVAREQYVVALADLDAAKQELRKLKKDFEASLDMRLAAAQQEEESLHLAETNKQKADQLRKEIATIQESLTHVKAATEQAHEEEAQILAEKDVTRKTYKQALEEAEKKLSSLKKDFDPAVYKSLKEKLDETNLEISSMQKKIEDARAQDLESIATVSTELDDAKEMLQKVAEEESSLRSLVESLKQELEAVKEEHDQLKQKDTETESIVGDLHVKLQKCKSELEAAVAAESKATSASDDLMLALQQLSSESKNALQEAEVMQKSAADLRDEAEAARVALAEAEQKLQSALKEAEEAKSAEAKALDQIKQLSERASAARASTSESGAKITISKEEFESLSRKVEESEKLSEMKVAAAMAQVEAVRASENEAIKKLEAARKEMEDMELATEEALKRAEMAEAAKRAVEGELRRWREKEQKKAAEAQPAPEAQAHGTASSPVQKASAGKANEKNDGPHKNSRTLLKKSFMLPNITSMFHKKKNHADGSSPSHLPGDKSV >ONIVA12G07800.1 pep chromosome:AWHD00000000:12:7526289:7529769:-1 gene:ONIVA12G07800 transcript:ONIVA12G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLASSSHLSRRATAAASPSIPPPSPPPPPQRLRCGWVGRAAPPTRRAPGVCSVVSPSKPGVAAVDVPAATIPDAAATGVGVAERISVSSLLEVVADDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLLELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVSTAICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPQLREIIDSEFSETNSLATAIELVHRSGGIKRAHELAREKGEIAIQSLQCLPRSEFRSTLENMVKYNLERID >ONIVA12G07810.1 pep chromosome:AWHD00000000:12:7567749:7570405:1 gene:ONIVA12G07810 transcript:ONIVA12G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMGGAVGGLDGDRGRGELLLLLVLPLPRGLVLQRSRQRLIAAGRDELLPLPLPRVWRRLGAAGRDGLVLAAQSRKRTTCHSESGFDKMPPPTIQRIKCHFNRLLSGYFVTLEDFKTKIPLDVDKLEEGGAGKVEIDGTHASRRRAWGVGCDGRTGRGRR >ONIVA12G07820.1 pep chromosome:AWHD00000000:12:7647522:7652158:1 gene:ONIVA12G07820 transcript:ONIVA12G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATTSDAASATPAAAFLAMPGWTPGPSIPDGVESFANGAGVRLLRHARGIVEEDDLDLRWEAATGERVSEVVLLSRHTAVSNRPALTVHPIGVPHLRDGVDVPPQGGRPGWAAPPNPRIGPWLRLLRRIAADRGLVPEFEITLEGTHHGPVTNTPTLFVEIGSTEEYWGRQDAADAVALLLWKGLGLGEEESVGNWHGNGEKVLLGIGGGHYAPRHMDIVTKDGVWVGHLLSGYSLPMEEPKQMNGKNVADVGGMWKHSIKVSYEATKAAFPGGEIIAHIDQKSFKGWQKNAITSYLQELNIRVGKPNDFF >ONIVA12G07830.1 pep chromosome:AWHD00000000:12:7652859:7653047:1 gene:ONIVA12G07830 transcript:ONIVA12G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSERPASSGRHGGDNSSMVPPSLSPSTADASPILSPQRIWEATTTTTEGLAARRLQTATAG >ONIVA12G07840.1 pep chromosome:AWHD00000000:12:7664797:7668787:1 gene:ONIVA12G07840 transcript:ONIVA12G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLDFSPPPPPPEPALSPEPEILSPDHQSWKAEMMSALGESVSFGRFLAEPLEWGKWSAFAHNRYLEEAAHQSRPGSVAQKKAFFEAHYARKRKTDADADATGSDVDPDEDAAAAVSSARSSSSSCMTDEPAAEETTSCVVGGVVAAGPVEEMEELDVIADGGVGSSCGVDADGAAHHKQDGVHAGESREVLQAMEKQEAATPDPCADNSVPADADDKQPLKESSIVNQGSAESVKRRRLPSLLQKPAKFSSPSSGSKGPTSSAKRRSRLHSAKENSSPPNNESDQQATSSVPQNRSILEAFQKSKNFGRCETGNAASSSKNLGTTIAARISQLESATGPVKHTDSALSQVKPPMEAFPKDVPEITSRTSQLEEQRSSHVTRVKEKLFGFTSQSAHQKANTPRKEKGKTQNESFKARPLPNFYRRNKQAKDSIHQSSQDVNNSHAHPTSKEASKDKQICCFPLRRLG >ONIVA12G07850.1 pep chromosome:AWHD00000000:12:7669170:7670574:1 gene:ONIVA12G07850 transcript:ONIVA12G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAISKPLLLAILCCIVCLYSSSGGAIVAAARELGGDAAMAARHERWMAQHGRVYKDAAEKARRLEVFKANVAFIESFNAGGKNRYWLGVNQFADLTSEEFKATMTNNKGFSTPNGARVSTGFKYENVSADALPASVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDVDGNDHGCEGGEMDGAFQFILSNGGLTAEANYPYTAEDGQCKTTAAASVAASIRGYEDVPANDEPSLMKAVAGQPVSVAVDASKFQFYGGGVMAGECGTSLDHGVTVIGYGAASDGTKYWLVKNSWGTTWGEAGYLRMEKDIDDKRGMCGLAMQPSYPTA >ONIVA12G07860.1 pep chromosome:AWHD00000000:12:7675093:7682328:-1 gene:ONIVA12G07860 transcript:ONIVA12G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGACVGYVRLEERKKTPPPPQQPEMIPGQHTVEIRRMIYLTIMSSVDFEEAGHKLAEIKLEPAQEMELCIMLLECCSQERTYLPYYGLLAQRLCLINKVYQKNFEKCFAKQYSMIDRLDTNKLGNVANFFAHLLATDALPWHVLAYIRLTEEDTTSSSRIFIKILFHELSDHLGIRQLNKRLSDPKMKDYFDSIFLMDHPKNTRFWINFFTSIGLGGITETLRKYQQTMPAMQQQKSESSSDESGRNPSHSVAAPCQTWHDMWQVMKKKKNRMAKPRFPPPETLKIALLCLLLPLFSPATASIPSAASTSLDRSCIANGRAALLAIKATFFDPNSRLASWQGEDCCSWWGVRCSNRTGHVIKLRLRGNTDDCLSFYGDKLRGEMSYSLVSLQKLRYLDLSCNNFNWSQIPVFLGSLPSLRYLNLSYGFFYGSIPPQLGNISKLSYLDLTSYNYPYNQLYSVDLSWLSHLSSLKYLVMNYVNLTTAMDWVDEINMLPALKVLYLQQCGLRKTVPFLRRSNLTVLEVLDISWNNFRTTIAPNWFWNITSLTFLNIRPCGFYGSIPDEIGRMASLEEVYFQGNNLMSTMIPSSFRNLCNLKLGPEVPPWLRSQTSIQHLQIANTSITTIPDWFWIVFSRAEFLDVAYNQITGTLPATLEFMAAKTMDLSNNRFTGMVPKFPINVTYMYLQRNSLSGPLPSDFGAPLLQSLTLYDNLISGTIPSSLFSLEHLEILDLSGNILGGEIPTYQEDSNPRTGQLIVVNLNSNNLSGEFPLIFRSYPRLVFLDLSYNQFSGNLPLWMGKKFLPILSLLRLRSNMFSGHIPTELTKIDQLQFLDLAENYFSGSIPDSLVNLSAMARTSGYSVLLDEIVLTGQGAMYDIIFFYELVSVQTKGQQLEFSRGISRVVNLDLSKNNFTGAIPQDIGALVALKSLNFSWNLINGEIPETIGQLKQLESLDLSHNELSGEIPSSMQDLNALGTMNLTYNNLSGRIPRGNTMGSYDASSYIGNIGLCGPPLTRNCSGNATSKDLPGNHVDLEHISLYLGMAIGFVLSLWVVLCLLLFKTSWRKSYFMFVDRQQKKISVSVKIRCAVLKRKLGANNR >ONIVA12G07870.1 pep chromosome:AWHD00000000:12:7686603:7688507:-1 gene:ONIVA12G07870 transcript:ONIVA12G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33811) TAIR;Acc:AT1G33811] MQTKARSPLAALVVLLVVTMAAAAQPQQQLVPCMYIFGDSLVDSGNNNNILSLARANYQPYGIDFTGAAPPGRFTNGLTVVDMLADMLGLRPPLIPAYAMAQPADFARGLNFASGAAGIRPETGNNLGRHYPFSEQVEHFRAAVRQMGPNAGSPERLGRCIFYVGMGSNDYLNNYFMPNYYTTAQSYDPAAYAADLLQEYSRQLAALHALGARKFVLAAVGDIGCIPYELARISNNQDDDDAAPSSDSGTGISISLGGVGLTVGGGGGGGSTRAANASRSGGNGGGGACNEEINSAIAIYNRGLLSMVKRLNGGGGGGRMAGATVVYLDTVRTGRAVAASAAAHGFEVLDRGCCGVGRNNGQITCLPMQQPCGDRSKYVFWDAFHPTEAANRIYAARAFNSSAAAGDAYPINVSQLAAI >ONIVA12G07890.1 pep chromosome:AWHD00000000:12:7701773:7702257:1 gene:ONIVA12G07890 transcript:ONIVA12G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCYYKTRQGIIKQEEFTLSVDDKSKLPTDYSNAPIPTYKVAQIYVDQTGSNDRSNWGVIPVRP >ONIVA12G07900.1 pep chromosome:AWHD00000000:12:7704784:7724520:1 gene:ONIVA12G07900 transcript:ONIVA12G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSAAALLLQLQLLLVISLSTAQPGFISLDCGGDGDFTDDIGIQWTSDDKFVYGGKTANLSVQNDLPKQLKTVRYFPVDDRKYCYTMNVSERTRYLVRATFLYGNFENSNIFPKFDLSLGATPWTTVVVYDDTTPAVVEAIILASAPTLSVCLSNASTGQAPFISTLELRQLNGSLYETDYENQFFLKLSARINFGAESNASVRYPDDPFDRIWRSDLVRRANYLVDVAPGTERISTKRHISIRTDGEEPPEEVMRTAVVGQNGSLTYRLNLDETPGNSWAYAYFAEIEDLAPNETRKFKLAIPEMPEYSTPTVNVEENAPGKYRAYEAGYMNMSLPFVFKPVFQKTDDSSKGPILNAVEIYKYIQLTMGSQDANNMAILVSRYPQESWAQEGGDPCLPASWSWIQCSTEKAPRVLSICSSQRLEFWKDKNYFLFRRILSGKNITGSIPVELTKLPGLVEFHLEDNQLTGALPSSLGDLPNLKQFQQDGKNILVYECMHEGTLKEHIRGGPAYVKVTSWVKRLEIAEDAAKGIEYLHTGCSPTIIHRDLKSSNILLDKNMRAKVADFGISKPVVSGSHVSTMVRGTFGYLDPEYYGSQQLTEKSDIYSFGVILLELISGQEPISDDHFGPHCRSIVAWATSHIESGNIHAIIDQSLDTGYDLQSVWKVAEVAIMCLKPTGRQRPSMSEVLKEIQDAIALERGGRELVPSIQHPVSKCSPSVNMDSVVLEQNSRFDELLELPGLR >ONIVA12G07910.1 pep chromosome:AWHD00000000:12:7726430:7727052:-1 gene:ONIVA12G07910 transcript:ONIVA12G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0J8U0] MAPSVMASSATTVAPFQGLKSTAGMPVARRSGNSSFGNVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >ONIVA12G07920.1 pep chromosome:AWHD00000000:12:7730958:7731666:-1 gene:ONIVA12G07920 transcript:ONIVA12G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASWPTRAAVMARRSTANQRLQLWEWRLSEVWGVREERKAGASSTCCDTFGRSTLHGGSGESRRVGIRLDVSFAGVLSAGDTVSAEQREGCCAGAAGGRLGRAERCRVRVQPRGGVDWLRPAPGAAARPALQADVGLAVSASALQRRGRGRSGSSCSSAQLARQVLVKMPAWREREETGGEMGHWCFWQSGMWGPGLLGSPLRKVEKKERRGDFPENFGES >ONIVA12G07930.1 pep chromosome:AWHD00000000:12:7741953:7742899:-1 gene:ONIVA12G07930 transcript:ONIVA12G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVKMPWIQLGVEVKGPVHHDIDTSAKGMLVCTTIDKGGLIVYHLVGVNTDFSWEIKHERRWIDMMKDSISAFGFCHSMQLRSGLQPERLTERRLVRPIGVVDGRFVYIGVRQEWKTKDKIMCYNMVTGKTYGTGKELGNRYSKNPFYVYRNTMANIPHIAVPAQGKICEGSTGGCICAMYTGEVKGPVHHDIDTSANGMLVCTTIDKGGLPMYHLVGVNTDFNWEIKHDRRWIDMMKDSISAFGFCHSMQLHSGLQTNLIDACSKPAPEPAPI >ONIVA12G07940.1 pep chromosome:AWHD00000000:12:7742918:7743525:-1 gene:ONIVA12G07940 transcript:ONIVA12G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILETALHSDITDDVIRCNILPRLPFKLTTRLKVISSTPDIVGIPSGFDFLGCCLENRILSLLASTNGLVFILYTPTNFISHSRAPTLFIANPATQKAQSIPGTTKHITRFDKGIGLAFDPVDNFQENTQVQDSQGSGARTIEDNGTKFCFATLSSNTSCWAMSSTTVYVDTKINCNNKKVAYGSGIMYWDYQEIVL >ONIVA12G07950.1 pep chromosome:AWHD00000000:12:7746411:7747749:-1 gene:ONIVA12G07950 transcript:ONIVA12G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGGWRMRGIGSAPWWQMCNAVKTGETIGMRMWDVGWASHVRALIGGQEWRRRQPGSSALSSVRSGRRGGGNGALHLPDQEGEQTARADAPARSPFHSIQREGRLVPPPLHRATATSAASCGNSGGGGAEGSGAVLLLSIRR >ONIVA12G07960.1 pep chromosome:AWHD00000000:12:7748421:7749977:-1 gene:ONIVA12G07960 transcript:ONIVA12G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRLLFDHDVNVLVLKRHQNRHLFDFHISVIFMMPIWNPSYPVPMPGSQLAPIAFSVVVFEFKVLSGDKANRWANYEIMAANVHYEIEIGPC >ONIVA12G07970.1 pep chromosome:AWHD00000000:12:7751398:7753432:1 gene:ONIVA12G07970 transcript:ONIVA12G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCPFLRGGEGHDRSRSAGGSDGLQARRPARAAPLRSSLMGMEAFDTAAASPSSMFGRPALFPSSGKLMESYGVASRNCVQRLIAVDLETNIWPSLNFAPLSIPPAWLPHSSDKQDIGRSQNASNSCRLVFLCTIWMDQQLPCKFFTTSSTFML >ONIVA12G07970.2 pep chromosome:AWHD00000000:12:7751570:7753432:1 gene:ONIVA12G07970 transcript:ONIVA12G07970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCPFLRGGEGHDRSRSAGGSDGLQARRPARAAPLRSSLMGMEAFDTAAASPSSMFGRPALFPSSGKLMESYGVASRNCVQRLIAVDLETNIWPSLNFAPLSIPPAWLPHSSDKQDIGRSQNASNSCRLVFLCTIWMDQQLPCKFFTTSSTFML >ONIVA12G07970.3 pep chromosome:AWHD00000000:12:7752222:7753432:1 gene:ONIVA12G07970 transcript:ONIVA12G07970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCPFLRGGEGHDRSRSAGGSDGLQARRPARAAPLRSSLMGMEAFDTAAASPSSMFGRPALFPSSGKLMESYGVASRNCVQRLIAVDLETNIWPSLNFAPLSIPPAWLPHSSDKQDIGRSQNASNSCRLVFLCTIWMDQQLPCKFFTTSSTFML >ONIVA12G07980.1 pep chromosome:AWHD00000000:12:7759329:7762483:-1 gene:ONIVA12G07980 transcript:ONIVA12G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLPELGEDYSIMQALVSVEMVVLFVVSVFVIGGTLRAIDNMAQIGQLLGYPARSVNTFVSLISIWNYAGRVGAGYLSEMLLARMAPRILEKALHSDIPDDVIRCNILPRLPFKLATRLKVISKKYYGILTNNATLSARQARLCPPCPALIHMDLPDRHLGAYTSKVEAIDVLSSTPDIVGIPSGFDFLGCCLENGILSLLASTNGLVCILYTPTNFISHSRAPTLFIANPATQKAQSIPGTTKHITRFDKGIGLVFDPVDNFQENTVSKFVIVKAVGARTIEDNGTKFCFATFSSNTGCWAMSSTTVYVDTKINCNNKKVAYGSGIMYWDYQEIVLWFDIATDMAGIVKMPWIQLGVEVKGPVHHDIDTSANGMLVCTTIDKGGLIVYHLVRVNTDFSWEIKHERRWIDMMKDSISAFGFCHSMQLRSGLQPERLTERRLVRPIGMVDGRFVYIGVRQEWKTKVKIVCYNMVTGKTYDTGKELGNRYSKNPFYVYRNSMANIPHIAVPVQGKICEGSAGGCICAMYTGEG >ONIVA12G07990.1 pep chromosome:AWHD00000000:12:7801894:7802252:-1 gene:ONIVA12G07990 transcript:ONIVA12G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIMKMPWIQPRVKVKGPVNHDIDTSELNTNFNWEIKHERRWIDMMKDSISAFGFCHSMLLCSGLQTNVIDAGSKSDIGTGTYLISTSVPPSWCRLGIRHIQHLCRVPNQHQ >ONIVA12G08000.1 pep chromosome:AWHD00000000:12:7802286:7802735:-1 gene:ONIVA12G08000 transcript:ONIVA12G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYFLGCCLENGILSLLASTNGLVCILYTPIYFMFHSRAPILFIANPTTQKAQLILGITKHIARFNKGIGLAFDPENTISKFKIVNAVGARTIEDNRTKLCFATFSSNTSCWAMSSTTVYVHTKINCNNKKMAYGSGIMYWDY >ONIVA12G08010.1 pep chromosome:AWHD00000000:12:7802827:7807487:-1 gene:ONIVA12G08010 transcript:ONIVA12G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGNRQPAAAVDVRCGKDGRNHWREDVGCGLGFTFACVDWSAGVEVLVLKRHQKRHLFDFHISAVFVMPVGNLTYLAPMLDSQLAPMAFSVVVMAPRILEKALHSDIPDDVTRCNILPRLPFKLATCFKVISKKYYGILTNNALLSAWQARLCPLCPALIHMDLPDRHLGAYTSNVEAIDVL >ONIVA12G08020.1 pep chromosome:AWHD00000000:12:7813113:7814492:-1 gene:ONIVA12G08020 transcript:ONIVA12G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILEKALHSDILDDVIRCNILPLLPFKLATRFKVVSKKYYGFLTNNATLSARQARLCPLCPALIHMDLPDRHLGAYTRKVEAIDVLSSTPDIVGIPSGFDFLGCCLEDGIVSLLASTNGLVCILYTPIYSISHSWAPILFIANPATQKAQPIPGTAKHITRFDKGISLAFDPVDNFQENTVSKFKIVKAVGARTIEDNGTKFCFATFSSNTGCWAMSSTTVYVDTKINCNNKKGAYGSGIMYWDYQEIVLWFDIATDIAGIVKMPCIQLDVEVKGPVHHDIDTSADGMLVCTTIDKGGLIVYHLVGVNTDFNWEIKHERRWIDILKDSISAFNCAQGCSQKGSLRDDLYGQLEWKTKDKILCYNMVTGKTYDTGKELGNRYSKIPSTYTVIAWLIFHTLRCQFKVKFVKGVRVVAYVQCTLGKVNFFMGFAFSTT >ONIVA12G08030.1 pep chromosome:AWHD00000000:12:7824044:7824395:1 gene:ONIVA12G08030 transcript:ONIVA12G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFLFLFLFFLLLLLPPVIFLLSFFPCNNREREGALGLQWPGISDVGGNLCSRTTRIWGGWFPAEGDEELAGTAKELLFGCKGGGCGGCYGVGEKGKTGKMGSPEGGGVSGARI >ONIVA12G08040.1 pep chromosome:AWHD00000000:12:7835853:7836134:-1 gene:ONIVA12G08040 transcript:ONIVA12G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFQMWAGGALLGARKGRSFTAEIGSTSSLQRMKTAVMNQEGFPVQHQRPFFGGVEMQKKGDDTTREYSIVKGSTIDLLIPYRYRGAAADR >ONIVA12G08050.1 pep chromosome:AWHD00000000:12:7837169:7839771:-1 gene:ONIVA12G08050 transcript:ONIVA12G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAARLPPAAARRARLEATTRSSPFAVAAPRRVMAPTRRPRLGTRLVVVSAQSNFSRVVQTAWKVGRDAVEAGTTLVPGSVPRPVARIGVTFVALSIALFLLKSVVSTAFFVLAMMGLIYLGFLAMNPKEGSRVDEGANSSEDPTVI >ONIVA12G08050.2 pep chromosome:AWHD00000000:12:7837697:7839771:-1 gene:ONIVA12G08050 transcript:ONIVA12G08050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAARLPPAAARRARLEATTRSSPFAVAAPRRVMAPTRRPRLGTRLVVVSAQSNFSRVVQTAWKVGRDAVEAGTTLVPGSVPRPVARIGVTFVALSIALFLLKSVVSTAFFVLAMMGLIYLGFLAMNPKEGSRVDEGANSSEDPVEEARRIMEKYK >ONIVA12G08060.1 pep chromosome:AWHD00000000:12:7840268:7841158:-1 gene:ONIVA12G08060 transcript:ONIVA12G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLETVAGRRFTVEIWFFSTVRRIKEYVLRQEGIPVESQRLFFAGAELDDDGDTEHYSILQGSTVLLLLPEDGAAPPSSGGGGGGGGGTKAMVRVVVNAPAALAGKGGAVTVEVDAAACTVAGLKERVQEGTDGALPAARVALMFGKVEMEDGRAVAEYVPPGAAADGTATVVVSAVVRPPPPPTPTPTAASPVAVSKKRPPTPTPQPPQQPRVTVNVKWGAKAAAVEVSDMLAVKDLRAELGGAAAHLPLPKDGGYFFIYKQNVMEEDRTLRWHDVKNGDTIEIFNGRVTGGA >ONIVA12G08070.1 pep chromosome:AWHD00000000:12:7866664:7869689:-1 gene:ONIVA12G08070 transcript:ONIVA12G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLIGQIISIAKDLAAAARTAKQNRSRCQRLARDAEMIVELLEEEQRRSSGSEWASAAAHESAAARLLLCRLKDGIDDALKLVQSFGSRGPVDRFFHGRSLAGKLENVHEEINSCLRLYHLANRTLLYHNIKLLEEIICSLLRPEEGKELRRTLSSPSIPKDWSDDKKEVFMWFISHSNQDNKFQIKGKFERNQPVNNAIEIPAGNVEKHAGPYDGKGSELNIVSQDVATAENNSATEIVEELRKLAEMVIKTNHAMKKWLPLVRSAKLILDFMNYLQGLGMTAQDVASLNQLTRLKRLLGNAYNYLTMYSQSGWTTISRFGRSSRRIDEQITDAQNKIDLYLEQLPAVSHSQMSGLLGGILRSSESHDMKMLQELFKTFRKTM >ONIVA12G08080.1 pep chromosome:AWHD00000000:12:7881447:7884525:-1 gene:ONIVA12G08080 transcript:ONIVA12G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J8W0] MPRSQPHNRQLQLGFSSSSSSSRGVDDDGEWPSAAKDRSAKNKGGRDWCGEGSDDGEEGEEEEEDGVLSAFLCPITMEVMRDPVVVETGHAFEREAIARWFSECASLGAAPRCPVTMEVVDGADVKPVVALRAAIEEWTSRRETAALRRACRWLTKAASEKEALRGLDAVMRGWKLARVGKRVVRRDGMVPMVAAMLRNGSARVRLKALQALREFAREDDEYRDSVSEGDTIRRIVKFIDFEDCQERELAVSLLCELSKSEMVCEKISELNGAILILGKVACSKSQNPALAEEAEMTLENLEKCEKNVLQMAENGRLEPLLNLLIEGSPEKQLRIASSLEKIVLSNDLKNLVAQRVGLLFAGVVENGTLPAKEVAFKVLDHISTNTESAKVLIEDGILLPLFRVLSVDGVKFLPPRLQEAAAAVLSNLVACGIDFGTVPLDGNRTIVSEDIVHSLLHLISNTSPPIQCKLLEIFVMLSSSTTTVLSIISAIRSSGAITNLVQFVESDHQESRAASIKLLCKISFDMDHEIAQVLRSSPTLLGCLVRIVSENDANADEQDAALQILANLPKRDRRLTMELMEQGAFKYIARKVLNICRRGTANNIVDNTMLEGLVKVLARITYILREEPRCVALAREYNLASLFTSLLRLNGLDGVQLLSAKALVNLSVESRYMTGTPNFDEHEQKSGLTWFGKKPPGIQLCRVHSGICSIRDNFCILEGKAVERLVVCLSHQNKMVVEASLAALCTLLGDGVEITEGVSVLYMANAVEPIFEILKGNPTGTLQQRVTWAVERILRAENIAKAASSDRGLSSALVHAFQNGDSRTRRIAEASLKHINKLPTFSQIIDKHPSRRGSSIGSMERYFRSDR >ONIVA12G08090.1 pep chromosome:AWHD00000000:12:7898923:7899706:-1 gene:ONIVA12G08090 transcript:ONIVA12G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQPEVTGVVVHMWKIGCYSVREIHDEFCIDEMAFNMNGLYIRKVYQVYFPLNQTACHSELSTNRNCKLKTSCVGRPGDAQVTTMVMNVGFARHAFQNKEFKDKKEKIQFGLGLGQPGHTWTKTLVSLSSGVRFGRVSTRWIANFMAHVVDRAQDTNSFWFHRKSQNKLMVLQRSFHIVLYLILS >ONIVA12G08100.1 pep chromosome:AWHD00000000:12:7904647:7908609:-1 gene:ONIVA12G08100 transcript:ONIVA12G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J8W2] MAEGQDGYFDSSTDSLRVEPIYESFLCPLSKQVMRDPVTIESGATFEREAILKWFKDNGSGGRRLVCPVTNKELSSTELNPSIALRNTIDEWMHRNEAAKLDVARKSLTSDCSEGDILQALEYVAEICQRSRSSRHLVRKVGLISLITDLLKNSSPKVRQKALGSLRFVAKNDNDNKNEIAAGDNIRTIVKFLNHGHSQEKEQAVSLLYELSEYKPLSEKIGSVSGAILILVGLSSSKVENLLTVDRAEKTLENLESCEKNVRQMAENGRLQPLLRLLLEGSSDTQLSMAAHLGELVLSNDVKVLVAQTAGSTLVNIMKSGNREAREAALKALNQISSYDTSAKILIEAGILPPLITDLFTVGSNQLPMRLKEVSATILANIVASGASFESVPLDHNRQNLVSEEIVHNLLHLISNTGPAIECKLLQVLVGLTTSATTVQSIVDAIKSSGATVSLIQFVEAPQREVRLASIKLLNNISPFMGQELAEAFRGNFSQLSSLVRVIADSNGISEEQAAAAGLIADLPPRDSVLTRRLLQDGAFSTIITKVTMVRQGDIRGGRFVNPFLEGLVRVVSRITFILDDLDIIDVARDYNLTPLFTDLLQMNGLDTVQIVSATALENLSRQSKLLTRIVPAPNPGFCFSIFPCLSQKSVATGACRVHVGICSARESFCLLEGKAVEKLVACLDHNNEKVVEAALAALSTLLEDGVDIEQGVMVLCDAEGVNPILEVLCENRNEALRQRAVWAVERILRIDEIAYEISGNQNVGTALVEAFRHGDSRTRQIAERALKHVDKLPNFSGIFSKMGAA >ONIVA12G08110.1 pep chromosome:AWHD00000000:12:7916910:7921339:1 gene:ONIVA12G08110 transcript:ONIVA12G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASLLRSFSSQGRVRRAKNGRAQRLVVRADAKDIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELYDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVHGLIEELEKKARPVKGSGDIKAVASISAGNDELIGSMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKSIVEFENAKVLITDQKITSIKEILPILEKTTQLRAPLFIIAEDITGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAIVTGAEFLAKDLGLLVENATEEQLGTARKVTIHQTTTTLIADAASKDEIQARVAQLKKELSETDSIYDTEKLAERIAKLSGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGTAYVHLSTTVPAIKETIEDHDERLGADIIQKALVAPASLIAHNAGVEGEVVVEKIKDGEWEVGYNAMNDKYENLIEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKAPVAEPAEGTLTV >ONIVA12G08120.1 pep chromosome:AWHD00000000:12:7925541:7931182:-1 gene:ONIVA12G08120 transcript:ONIVA12G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPTGVRAALRIVRRAFGMVCDQWSSLLPVVVGIFLLNLALTLFMVVNLASPLADLHVMRPFYDIDQANLTAGVTTAAADTVTCSMVAAPGGGAHGHGAASPMWELHLTGELFWSLSMAVAMFSFSRVCRLQQEPEGMEVLQHARTWRDYCSIALAVLGWQTISYYAFGAMQAVDREDLLREFDAIFGCGYLLVIVVVSRENIHGFLAIEKAWGLVFQRFKVVSCISIGFLITLASMDHIYNKEIKTGLSQYHARKAILSSEAVKEDTTAEILTFSLVAALLDVIMQLVVCPALEASLTQTIQNSLATIEERVAALPRP >ONIVA12G08130.1 pep chromosome:AWHD00000000:12:7937553:7938566:-1 gene:ONIVA12G08130 transcript:ONIVA12G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGRERDPTGARTALRIVREAFAETRGKRWRLLLVAFVMLCVNFVLMILWVKMASQQAVNLDALRPFYEVEENSTKSAAAGGGEGKELASPLWELDVAGELFWDVSTVMAIFLFSKAMLFLQGLQPQLSRSFKRAGQHRRGIRSLQKECQSVGFAIVVWEVMGYFVFGTLQANGFEDLSHKFDAALGYGYVLTAVVISQEDVHYFSAVERAWELSGQKLKNVYVVGVMIILVRAAMEIVYHLLLKYRLVYHQHHVVATAVSRHDDTTADVVRFSLVAALLHVIMQLFVCSMVLALYRETRNNNRQDIRRNDAAAHND >ONIVA12G08140.1 pep chromosome:AWHD00000000:12:7963647:7968427:-1 gene:ONIVA12G08140 transcript:ONIVA12G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDSEGYSQCIERPKNQRRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFNVEHFKEILKEDIVIVDSLPPTYKRVKPYMRAPTSWSRASYYRDFSRILRKYKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALQYRKEIEELGRTLVDRLRNGMDHYIALHLRYEKDMLSFTGCNHNLTVHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGAHSMDALKLEYPNIYTHYSLATADELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMDWNEFQSEVKKHHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCRKVQKTSGICICTIL >ONIVA12G08140.2 pep chromosome:AWHD00000000:12:7963647:7968427:-1 gene:ONIVA12G08140 transcript:ONIVA12G08140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDSEGYSQCIERPKNQRRTNNATVGYLIVDANGGLNQMRMVAKIMNASLVIPTLDHQSFWTDPSDFKDIFNVEHFKEILKEDIVIVDSLPPTYKRVKPYMRAPTSWSRASYYRDFSRILRKYKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALQYRKEIEELGRTLVDRLRNGMDHYIALHLRYEKDMLSFTGCNHNLTVHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGAHSMDALKLEYPNIYTHYSLATADELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMDWNEFQSEVKKHHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCRKVQKTSGICICTIL >ONIVA12G08140.3 pep chromosome:AWHD00000000:12:7963647:7968427:-1 gene:ONIVA12G08140 transcript:ONIVA12G08140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTTSSTATTTTTTCSSPSSTTSPIHPAVPHRRRLNDIERVDYAHGAAADDCAACGGVAPDAALADDDECGHGHPVVGAVTPCGGGGRAALLLARRKRAWVVGAGGQAWMRGVVLCLLGLVAVVGFLGSHRRGGSGGGGGGAGSGAVGGGGGGDGDDGGRLVKKVEVADADVMGWTEENLTALTRRPPDPPIPEIWMKPDSEGYSQCIERPKNQRRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFNVEHFKEILKEDIVIVDSLPPTYKRVKPYMRAPTSWSRASYYRDFSRILRKYKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALQYRKEIEELGRTLVDRLRNGMDHYIALHLRYEKDMLSFTGCNHNLTVHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGAHSMDALKLEYPNIYTHYSLATADELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMDWNEFQSEVKKHHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCRKVQKTSGICICTIL >ONIVA12G08140.4 pep chromosome:AWHD00000000:12:7963649:7967851:-1 gene:ONIVA12G08140 transcript:ONIVA12G08140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTTSSTATTTTTTCSSPSSTTSPIHPAVPHRRRLNDIERVDYAHGAAADDCAACGGVAPDAALADDDECGHGHPVVGAVTPCGGGGRAALLLARRKRAWVVGAGGQAWMRGVVLCLLGLVAVVGFLGSHRRGGSGGGGGGAGSGAVGGGGGGDGDDGGRLVKKVEVADADVMGWTEENLTALTRRPPDPPIPEIWMKPDSEGYSQCIERPKNQRRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFNVEHFKEILKEDIVIVDSLPPTYKRVKPYMRAPTSWSRASYYRDFSRILRKYKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALQYRKEIEELGRTLVDRLRNGMDHYIALHLRYEKDMLSFTGCNHNLTVHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGAHSMDALKLEYPNIYTHYSLATADELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMDWNEFQSEVKKHHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCRKVQKTSGICICTIL >ONIVA12G08140.5 pep chromosome:AWHD00000000:12:7963649:7967851:-1 gene:ONIVA12G08140 transcript:ONIVA12G08140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTTSSTATTTTTTCSSPSSTTSPIHPAVPHRRRLNDIERVDYAHGAAADDCAACGGVAPDAALADDDECGHGHPVVGAVTPCGGGGRAALLLARRKRAWVVGAGGQAWMRGVVLCLLGLVAVVGFLGSHRRGGSGGGGGGAGSGAVGGGGGGDGDDGGRLVKKVEVADADVMGWTEENLTALTRRPPDPPIPEIWMKPDSEGYSQCIERPKNQRRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPRDFSRILRKYKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALQYRKEIEELGRTLVDRLRNGMDHYIALHLRYEKDMLSFTGCNHNLTVHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGAHSMDALKLEYPNIYTHYSLATADELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMDWNEFQSEVKKHHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCRKVQKTSGICICTIL >ONIVA12G08150.1 pep chromosome:AWHD00000000:12:7975646:7977669:1 gene:ONIVA12G08150 transcript:ONIVA12G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGTAAVTAAPAGLPSPPLLDPAGGRPGEGSVDEARGEDDAAWGGGSDDPSLLPFPALSEATSPPLLRSFLPLPALSVSGRRAEARRREEAGHGHQRWLEKGGAASAAVGGGAAGGRRQATGGGGRRLAASVVGGRWRCGGKWRCGGMRRQVGNVGGRRRIAGVSGRRRVTGVSGWRRVAGRPQAASSTRFGSGWWRYDL >ONIVA12G08160.1 pep chromosome:AWHD00000000:12:7981778:7982243:-1 gene:ONIVA12G08160 transcript:ONIVA12G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWIEQGRDPRLVVATGPVLSKGSGGAAQRGRCFSASLIAKSIGEGMGPREHETASSSHRVAASRRRQHRGSWGESDGGDGTPTWSEGYARGRSPSFYHAFSFAPGPPKPSTTIPQSSSSASSLCAHVGKGKEDKGT >ONIVA12G08170.1 pep chromosome:AWHD00000000:12:7983192:7985612:1 gene:ONIVA12G08170 transcript:ONIVA12G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTVLDFAVSQNPSRRVAVTRLTEQWATSPGPTPANRDQTSPSHLIHIHGIATLPTYQGPTCQPDILALAKPPPGNRRLHRPPTQSWTELSRHGDDAAGAQRPAPAAGGGGAGGGRGAGLLRQHGPQAPFQAAAERPVGRRGGWGGGRRRRRPAGASEAPRPRGQTPEVGAGRWGCERGGVCGDAILQRAQLHRQATPHDWYRVYQSGKTQ >ONIVA12G08180.1 pep chromosome:AWHD00000000:12:7985732:7987612:1 gene:ONIVA12G08180 transcript:ONIVA12G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRDAADAAQSAARLFGSSIAAPPAASQHPPPRARARHGGTAAPRPSPALPSARVARHRTRRETMVEVLHFEILHEG >ONIVA12G08180.2 pep chromosome:AWHD00000000:12:7986650:7988822:1 gene:ONIVA12G08180 transcript:ONIVA12G08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRTAATDGVWANLKQSSETPGPIKPMDSHGVRKVAKDQRAAAPAITFFPPYRAEILA >ONIVA12G08180.3 pep chromosome:AWHD00000000:12:7985732:7988822:1 gene:ONIVA12G08180 transcript:ONIVA12G08180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRDAADAAQSAARLFGSSIAAPPAASQHPPPRARARHGGTAAPRPSPALPSARVARAEILA >ONIVA12G08190.1 pep chromosome:AWHD00000000:12:7986156:7989421:-1 gene:ONIVA12G08190 transcript:ONIVA12G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;2 [Source:Projected from Arabidopsis thaliana (AT2G38060) TAIR;Acc:AT2G38060] MASIRSCVSVKPAAAVSPVKYRSARVGAAGLEPRGLRISCSSSSSSLAAGGGDGCRDAGCASSSGRGSGVVGSVGDGWWRRRGGQRERAVAAMCSAGMEGVRHGAAAVASVPAASASALPERAKVVALVAAVMLLCNADRVVMSVAVVPFAAQYGWSSSFLGIVQSSFLWGYVFSSMVGGALADRYGGKKVMAGAAALWSLATFLTPWAASQSTIMLLAIRALFGLAEGVAFPTMSTFLPKWFPTHERATAVGISMGGFHLGNVISFLATPIIMSHIGLAGTFAFFASLGYLWLSVWLFNVESDPLDSRTISKSELQLILAGRSASKIQGSKFPSLREILSKIEMWAIIVANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMALSGYVAGASADFLIKSGFSVALVRKIMQSIGFIGPGVSLLCLRFAQTPSVAAVLMTIALSLSSFSQAGYFCNVQDIAPKYAGSLHGLTNGIGTVAAIVSTIGTGYFVQWLGSFQAFLTLTAVLYFSATVFYNTYATGDLIFD >ONIVA12G08200.1 pep chromosome:AWHD00000000:12:7991369:7993911:1 gene:ONIVA12G08200 transcript:ONIVA12G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQQKKKSSRQATPHRRQLPLSPLRRRAKPSPRLAAAATRGHISRASTTRAPLLLRHHDCQHLRPPSPPGRIATATAAIFVLLGATTTLRAPPPRAAKPARTTPVGGSGAAASGGSRVVERRRRLHLARAAAAACHCSCSRHRGEENHKLFSMAR >ONIVA12G08210.1 pep chromosome:AWHD00000000:12:8009300:8011322:-1 gene:ONIVA12G08210 transcript:ONIVA12G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPKKQPELVDGPGGDIQVVQDPVWQQEDIEEAFELAEHEQANAEQAHVLAEQHNPVVEQQDDALAKQNNNPVVEQQGDALAEQNNNPVVEQQEQNNNPVVEQQDDDQARLSLETLIGENRWEEALRRLERMVRDGEANNGPVPTAPSHDIYRAHPELVLLLRGEEYLRIKRLPNAAADATRFYHEHIQNLYRSGTTGSSFVDTGVLKDIQDWENGSRATPSGHQSEIHMQETRQAVNDYLKLYFPAYRPQILRVNGRSLSRAWELGEKIQNNCRCLACHKRFNSWSVSNLKNHIQGLRQEKDGKQCPAFNNYILDRLKELLALDNKKAKKKPPKDQERPRRPPHGRRDGGGGAGAAPAAAAALSAAS >ONIVA12G08220.1 pep chromosome:AWHD00000000:12:8033847:8041415:-1 gene:ONIVA12G08220 transcript:ONIVA12G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein [Source:Projected from Arabidopsis thaliana (AT5G40670) TAIR;Acc:AT5G40670] MSSWNSVGMEVLYQVLGWVAFLAWSFSFYPQVFLNFKRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHETYGDKEMIPVAANDVAFSVHAVALTAFTLFQVFIYERGNQKISKVCISITAIVWTAAIVCLIVAWPKSNWLWLIDVFNSIQVGMTAIKYIPQAIMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDILFIIQHYVLYPVKRDENAANGYPILPIEAKHVAAA >ONIVA12G08220.2 pep chromosome:AWHD00000000:12:8033847:8041415:-1 gene:ONIVA12G08220 transcript:ONIVA12G08220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein [Source:Projected from Arabidopsis thaliana (AT5G40670) TAIR;Acc:AT5G40670] MSSWNSVGMEVLYQVLGWVAFLAWSFSFYPQVFLNFKRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHETYGDKEMIPVAANDVAFSVHAVALTAFTLFQVFIYERGNQKISKVCISITAIVWTAAIVCLIVAWPKSNWLWLIDVFNSIQVGMTAIKYIPQAIMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDILFIIQHYVLYPVKRDENGKAIISERVAPLIRPSDKPEEDTANGYPILPIEAKHVAAA >ONIVA12G08230.1 pep chromosome:AWHD00000000:12:8053509:8061015:-1 gene:ONIVA12G08230 transcript:ONIVA12G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADARAPPKSDPGATPIGSISPSSAAPAAGEDEVEVEVEVEEQLAGLAIADQGEELLLPKPTGWEDGPVVVAGDEVSGGEKLPGEVAAAVGVEGAAADSRPRFPRRPGEPDCTYYVKFGSCRFGMKCKFNHPARKKKSRVKGSNGGSGSGGSNNSSNKASSPDDEQAPKEEYGSYVPDISPEVDSLGFADKGSASNLENFKKYSYEIIDVKKGRVEPKELKVAKEKRKEFISEGSSQEECKYYSTPGGCKFGKACKYLHRDGKEGKTDAEKVDLNFLGLPLRPGEKECPYYMRTGSCKYATNCKFHHPDPSNVASKDPQLEHENGDAPQQDVQGSSSQPNASIWPDQRTVNEHHVPFIAPSPSYSAGMLPPQGMYPPPEWNGYHQVPLNPYYPPGVPFQHFPAAPINHPMYKAPEIPGHQQVPSEEYPERPGQPECQHFVKSGFCKFRMKCKYHHPRSPVPPAGALSPLGLPIKPDQPVCTYYGRYGVCKFGPACAYNHPFNFSPVPAAGPPLLPAQYPTPGNYTL >ONIVA12G08230.2 pep chromosome:AWHD00000000:12:8053509:8061015:-1 gene:ONIVA12G08230 transcript:ONIVA12G08230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADADARAPPKSDPGATPIGSISPSSAAPAAGEDEVEVEVEVEEQLAGLAIADQGEELLLPKPTGWEDGPVVVAGDEVSGGEKLPGEVAAAVGVEGAAADSRPRFPRRPGEPDCTYYVKFGSCRFGMKCKFNHPARKKKSRVKGSNGGSGSGGSNNSSNKASSPDDEQAPKEEYGSYVPDISPEVDSLIIDVKKGRVEPKELKVAKEKRKEFISEGSSQEECKYYSTPGGCKFGKACKYLHRDGKEGKTDAEKVDLNFLGLPLRPGEKECPYYMRTGSCKYATNCKFHHPDPSNVASKDPQLEHENGDAPQQDVQGSSSQPNASIWPDQRTVNEHHVPFIAPSPSYSAGMLPPQGMYPPPEWNGYHQVPLNPYYPPGVPFQHFPAAPINHPMYKAPEIPGHQQVPSEEYPERPGQPECQHFVKSGFCKFRMKCKYHHPRSPVPPAGALSPLGLPIKPDQPVCTYYGRYGVCKFGPACAYNHPFNFSPVPAAGPPLLPAQYPTPGNYTL >ONIVA12G08240.1 pep chromosome:AWHD00000000:12:8074388:8075579:-1 gene:ONIVA12G08240 transcript:ONIVA12G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGDHSSGPAELSPDCFDPEAPDDPATCFAHDEKDFESNETVWALYERWCSFHSAEHDHDDMVRWFGCFKDRARRIIEFNKSSKPYKWGVGAWGLNIFDDMTPEELFEFGNN >ONIVA12G08250.1 pep chromosome:AWHD00000000:12:8076191:8083520:1 gene:ONIVA12G08250 transcript:ONIVA12G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein [Source:Projected from Arabidopsis thaliana (AT1G59760) TAIR;Acc:AT1G59760] MATLKRKADSAAAELAEPVACVHDVSYPEGYDPSAPATHLLNGVGGAEGAGPAKTFPFQLDPFQAEAIRCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKSKFREDSFQKGLNALVPASENDKKRENGKWQKGLLTGKPSEDSDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNDDDEKANIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMILKGSADSLNSAFHLSYNMLLNQIRCEDGDPEKLLRHSFYQFQADRALPDLEKQVKELELERNSMIIEEEENLKSYYDLLQQYKNLKKDVRDIVHSPKYVLPFLQPGRLARVQYSTDEQSTFSIDENITWGVTINFEKVKTHSEDRRPEDSDYTVDVLTRCSVSKDKSGKKTMKIIPLKDRGEPVVISLPLSQIDGLSSIRMHIPKDLLPVEARENTLRKVDEVISRFAKDGIPLLDPEEDMKVQSSSFRKASRRIEALESLFEKHDVHNSPHIKQKLKVLHAKQELSTKIKAIKRTMRSSTALAFKDELKARKRVLRRLGYITSEDVVEVKGKVACEISSADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFFQLQETARRVANLQLDCKIQIDVESFVNSFRPDIMEAVYSWAKGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETQLEAKLEEAVSKIKRDIVFAASLYL >ONIVA12G08250.2 pep chromosome:AWHD00000000:12:8076101:8083520:1 gene:ONIVA12G08250 transcript:ONIVA12G08250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein [Source:Projected from Arabidopsis thaliana (AT1G59760) TAIR;Acc:AT1G59760] MATLKRKADSAAAELASPPPKAPRGSEALAESPSLAGGGSEPVACVHDVSYPEGYDPSAPATHLLNGVGGAEGAGPAKTFPFQLDPFQAEAIRCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKSKFREDSFQKGLNALVPASENDKKRENGKWQKGLLTGKPSEDSDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNDDDEKANIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMILKGSADSLNSAFHLSYNMLLNQIRCEDGDPEKLLRHSFYQFQADRALPDLEKQVKELELERNSMIIEEEENLKSYYDLLQQYKNLKKDVRDIVHSPKYVLPFLQPGRLARVQYSTDEQSTFSIDENITWGVTINFEKVKTHSEDRRPEDSDYTVDVLTRCSVSKDKSGKKTMKIIPLKDRGEPVVISLPLSQIDGLSSIRMHIPKDLLPVEARENTLRKVDEVISRFAKDGIPLLDPEEDMKVQSSSFRKASRRIEALESLFEKHDVHNSPHIKQKLKVLHAKQELSTKIKAIKRTMRSSTALAFKDELKARKRVLRRLGYITSEDVVEVKGKVACEISSADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFFQLQETARRVANLQLDCKIQIDVESFVNSFRPDIMEAVYSWAKGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETQLEAKLEEAVSKIKRDIVFAASLYL >ONIVA12G08260.1 pep chromosome:AWHD00000000:12:8085745:8095360:-1 gene:ONIVA12G08260 transcript:ONIVA12G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPPAVEPPEWLRTLPVAPEYHPTLAEFADPIAYILRIEPEASRYGICKIVPPLPRPPEDDTFRRLQAAFAAAASSNGDPSPTFPTRLQQVGLSARNRRAASRRVWESGERYTLEAFRAKAAEFEPPRHAAPPRNPTHLQLEALFWAACASRPFSVEYGNDMPGSGFASPDELPDAANATDVGETEWNMRVAPRARGSLLRAMARDVAGVTTPMLYVAMLYSWFAWHVEDHELHSLNFLHFGKAKTWYGVPRDAMLAFEETVRVHGYADDLNAIMAFQTLNEKTTVLSPEVLLSAGVPCCRLVQKAGEFVITFPGAYHSGFSHGFNCGEASNIATPHWLQVAKEAAIRRASTNCGPMVSHYQLLYELALSLRPREPKNFYSVPRSSRLRDKNKNEGDIMVKENFVGSVTENNNLLSALLDKNSCIIVPNADFFIPSFPVALESEVTVKQRFTAGPCSISQQGAENMAADHVAVDKVTEIQDMSGSLYPCETSLVGCSNRKLYETKYGQRDAAALCLSTSEIQSRGIDTARSHPAGGILDQGRLPCVQCGILSFACVAIIQPREAAVQFIMSKECISSSAKQGGIGASDDTSNWIDQSHEISPPPGPASGTDDNVKHAVSLVHVSDRCRELYASNTDGCTSALGLLASAYDSSDSDDETTEDVSKHSKKNDSVNQSTDPQILETSASCSSTVQCQKTNSHLHEEECEARATSLMKPVSHNSRPISQSNRDTDIDHFIELGKSGTQCSGYLDLVDDLTTSVLKSSSDTCVSAAKASMDPDVLTMLRYNKDSCRMHVFCLEHALETWTQLQQIGGANIMLLCHPEYPRAESAAKVIAEELGIKHDWKDITFKEATEEDVKKIQLALQDEDAEPTGSDWAVKMGINIYYSAKQSKSPLYSKQIPYNSIIYKAFGQENPDSLTDYGCQKSGSTKKKVAGWWCGKVWMSNQVHPLLAREREEQNSSVVYGKAMFTTISHGKVQDEASTRCNTSNRTPSRRTSRRKKGVSAEKSKPKNKRSTASDEASMLCSGLGMNSGVIHDQTENSDDYDKHGNGDEIEEGTNPQKYQQRKLQNVTRKSSSKKRKDEKRTDSFHELYDEDNGVDYWLNMGSGDDATLGNSRQQSPDPVKVKSGGKLQGKRKSSKYKSNDDLLNEENKLQKMNKKSSSKKQKNDKINRQLQEDQTEDDHMDHLVDVVVADEVTLDNEDKITEDKIDDVKVKSRGKSQNGKRKGSKHQATDGLRAGNKVAKFPCDIEGCDMSFSTQQDLLLHKRDICPVKGCKKKFFCHKYLLQHRKVHIDERPLKCTWKGCKKAFKWPWARTEHMRVHTGVRPYECQEPGCGQTFRFVSDFSRHKRKTGHSSDKRRKNST >ONIVA12G08270.1 pep chromosome:AWHD00000000:12:8100391:8101718:1 gene:ONIVA12G08270 transcript:ONIVA12G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGTGSVVAVELALEEEIHAGSRLIEHKLCNFPALKAMEVPGTERSGCGRPLRCLDEPHTAGCGCPSSRPELRCPLGPKFRSLLSPPPPRAPLPHQAQGGAEAMEVGELVAGAGRRPPAVNGGVSRGVAKRGFVEWRIVKLMVMVSCTLVTGISVDGSTSGGELWHASACRALARFSANPCMHMCLHGAWILTRHHARVGEDRTVVATGGMALALAEMTG >ONIVA12G08280.1 pep chromosome:AWHD00000000:12:8101759:8102070:1 gene:ONIVA12G08280 transcript:ONIVA12G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRLVELLGSFTPKEWGGIGIGKGKRWPEVRKTMLISHLGCGGHDGSVARSGVVHSGQNSDEIMAAVLGACSRADNGMATFPSLQWMK >ONIVA12G08290.1 pep chromosome:AWHD00000000:12:8103764:8115440:1 gene:ONIVA12G08290 transcript:ONIVA12G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGDEVSGSAGNIDYRSRGGASRSDSDGEVSETTVQKQLDMAQEKSSSGRKLVMPATSHT >ONIVA12G08300.1 pep chromosome:AWHD00000000:12:8115956:8124546:-1 gene:ONIVA12G08300 transcript:ONIVA12G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48425) TAIR;Acc:AT3G48425] MKRFFQPVPKDGSPAKKRPDAAAAASASDSDSLGGDAPAAAACAVGEGDSPPAPREEKPRRFVTWNANSLLLRMKSDWPAFCQFVSRVDPDVICVQEVRMPAAGSKGAPKNPGQLKDDTSSSRDEKQVVLRALSSPPFKDYRVWWSLSDSKYAGTAMIIKKKFEPKKVSFNLDRTSSKHEPDGRVIIAEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQQVDKPLIWCGDLNVSHEEIDVSHPDFFSSAKLNGYIPPNKEGVRMGILEQRVKMTSGIQLSQEKPLNTLRDQACSTSREEHTSASASKWGWSHFNQFNIGKGWEYANFSYKNINACEGIRFCPLYQCHNIIVDCGQPGFTLSERRRFGNILSQGKLVDAYRYLHKEKDMDCGFSWSGHPIGKYRGKRMRIDYFLVSEKLKDQIVSCDIHGRGIELEGFYGSDHCPVSLELSEEVEAPKPKSSN >ONIVA12G08310.1 pep chromosome:AWHD00000000:12:8134128:8134730:-1 gene:ONIVA12G08310 transcript:ONIVA12G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPRLLIYSHPRVVLIYSHALSLSRLSPSPLSPRVSPSPLSSGSCPAVAAGSQGWRLPRPPSSRFGGRGGVGLPRPVAARRRRQRPRPPLTCKSSTTAILATLKGKKEATQGPRHRRIILNAAVVRGYCSGSEDVEGITV >ONIVA12G08320.1 pep chromosome:AWHD00000000:12:8135144:8137900:-1 gene:ONIVA12G08320 transcript:ONIVA12G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARHVASYMGRFARCGYAGDTTCTAAAWTRPQLPHAPSGPLVILRRGIAMIPGDHSPGPADLSPDCFDPEAPDDPATCFAYDEKDLESEEAVWALYQRWCSFHDIERDRDDMVRRFVYFKDRAHKIIEFNKSGKSYAWGLNIFGDMTPQEQSELERSFQGNILAPGMISITKA >ONIVA12G08330.1 pep chromosome:AWHD00000000:12:8139113:8140193:1 gene:ONIVA12G08330 transcript:ONIVA12G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGKLVVIEFGASWCEPSRRIAPVFAEYAKEFAGVVFLKFDIDELEEIADSARPRVWGVTPGLSVRRFKCTLLLLTSWVHKNFLTVFAR >ONIVA12G08340.1 pep chromosome:AWHD00000000:12:8148002:8148298:1 gene:ONIVA12G08340 transcript:ONIVA12G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTDGFAQPLRLQPAGFRACGRLCLLLLATRQLATALQLEASSSCDLRRRRLLQPPPVASDSSHPKHPQPYPHLTCVSVKCGKWATGLGHYYRIGTT >ONIVA12G08350.1 pep chromosome:AWHD00000000:12:8180604:8185814:-1 gene:ONIVA12G08350 transcript:ONIVA12G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVIASQGVIMRSLTSKLDSLLLQPPEPPPPAQPSSLRKGERKKILLLRGDLRHLLDDYYLLVEPPSDTAPPPDSTAACWAKEVRELSYDVDDFLDELTTQLLHHRGGGDGSSTAGAKKMISSMIARLRGELNRRRWIADEVTLFRARVKEAIRRHESYHLGRRTSSSRPREEDDDDDREDSAGNERRRFLSLTFGMDDAAVHGQLVGRDISMQKLVRWLADGEPKLKVASIVGSGGVGKTTLATEFYRLHGRRLDAPFDCRAFVRTPRKPDMTKILTDMLSQLRPQHQHQSSDVWEVDRLLETIRTHLQDKRYFIIIEDLWASSMWDIVSRGLPDNNSCSRILITTEIEPVALACCGYNSEHIIKIDPLGDDVSSQLFFSGVVGQGNEFPGHLTEVSHDMIKKCGGLPLAITITARHFKSQLLDGMQQWNHIQKSLTTSNLKKNPTLQGMRQVLNLIYNNLPHCLKACLLYLSIYKEDYIIRKANLVRQWMAEGFINSIENKVMEEVAGNYFDELVGRGLVQPVDVNCKNEVLSCVVHHMVLNFIRCKSIEENFSITLDHSQTTVRHADKVRRLSLHFSNAHDTTPLAGLRLSQVRSMAFFGQVKCMPSIADYRLLRVLILCFWADQEKTSYDLTSISELLQLRYLKITGNITVKLPEKIQGLQHLQTLEADARATAVLLDIVHTQCLLHLRLVLLDLLPHCHRYIFTSIPKWTGKLNNLRILNIAVMQISQDDLDTLKGLGSLTALSLLVRTAPAQRIVAANEGFGSLKYFMFVCTAPCMTFVEGAMPSVQRLNLRFNANEFKQYDSKETGLEHLVALAEISARIGGTDDDESNKTEVESALRTAIRKHPTPSTLMVDIQWVDWIFGAEGRDLDEDLAQQDDHGFCMLPESSSRLQSRGNFVSCHFTVTVHLLKELMGGPIFSRVPRRYCASWVHFSANVYNIYPMVLTHVLTIIAHQHKTYTTTEISIEEDMPTGAEGTVIVPHTMEQFALHMSQAKQSHKLVVIQFTTSRCPASRYIAPAFTEYAKEFAGAVFIKVNVDSDELESVTDWYDIEGIVPTFFFVKDGEKIDKIPGANKELLRAKIRRHTASPYFLR >ONIVA12G08360.1 pep chromosome:AWHD00000000:12:8197871:8210347:1 gene:ONIVA12G08360 transcript:ONIVA12G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSAATAFTKCVVGKLLEVLDTRYKMLRDLSHESASMQNDLLLLAAFMDDQLRRSSSSPAAERPTAVLRAYTELMRELTHDMEDSIERFLHRVAPRDDHGGAGAPSWPRRAARWVATLRTRLRFAAEIRKLKTRLEDETKRLRNAVEAAAAAGGGGHSSATPALAAAAPRGGHVEPNPVGMEKPIEHLVQLLDEAGAGGGPQQLRVIAIVGFRGSGKTTLARAVYSRSGRQFRERAWVDASRWTDVGDLLADIVRQVCLGEYDVSESHEENLRNRLKNKRYLIVLDDISMEQWNAIESIFENNGRGSRVIVTTAILSVANSCTAYKSGANGGCCIRRHGCVYKMQTLGEAHAKELALGGGSDQRPPELEHGSATLMAKCDGLPLALVSVANHLRCLGNLTGRHCADLCHLLGSLLLDERNVPRLAGTAAAADSFARLRRVLMDSYAGLPDYAARTCLLYLAVFPNDGRRLKRSVLVRRWLAEGYARGGEDVLGNSTDVDVADGHFRSFVDQSIIVAHPADDDDDDDHRTRRCRTHGIVHEFVLHKSIAESFIFSSRAPPRRKRVRHLSIQGGGGNTTTAALSTTDLSCVRSLTVFGDGGDAVSNLRKCKLLRVLDLEQCTTALSDDHLADICKLWNLRYLSIGMSSNVTMLPDKIRRLKLLETIHLSKTKVTMLPLQVVGLPCLAHLVGKFKLLLPDQRGKKTVVISNELGKLAKKSNLQTLAGFVADESQQAFPQLMRHMRKLIKVKIWCEFGGEESDSVSTTATDHLADAIRSYIEAPKVEETDARSLSIDMEQCSKQLIRSCHGESKLLHSLKPPCRSYLTSLKLHGDLFRLHGLISMLKNLYELCLSSTTTTLTRDLVSAIGGLPLLLRLKLVANHIEHFAIGAGEFRSLQHLLLVVHRQNPILLPKIEEGALPQLVSLELLCKHLRGLSGIQIRHLQRLKEVALDSRVSEGTKREWEAEARRHPNRPSILLLKNRYSTVLPDDTDRLDDQMDGELAREKSAPDDDAGNQEQIAEVESESSAFQLANSTMNNSVAGKESTADDADEEGLGSTNAVPMEQINSTGSINETEVSNRGKFTMSYFEDCCPYHED >ONIVA12G08370.1 pep chromosome:AWHD00000000:12:8220410:8220760:1 gene:ONIVA12G08370 transcript:ONIVA12G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAGRLDEAEELVAAMPVHPDALIWGSLLAACRAHGEVERAERVMRQRTTDADADASDYVLMSNTYASNGRHGEAVKVRRQMRRNEIDKVPGCSLIEIDGVVNEFEAIPANSIR >ONIVA12G08380.1 pep chromosome:AWHD00000000:12:8223061:8224399:-1 gene:ONIVA12G08380 transcript:ONIVA12G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFPEISLDDYECVMAQVERQTYRTTKVPPIHVAPEDWQWLIDNLWSDEQFQRSKQNSINKSKQEMKSLIGTKSIVQIASELRNPETGAWPSAVDVWRAIYMKANGTWSIPNGAEILNNLEEAAETHKERIAAAPIPLAEHFALVLGRKPNHSRSVGIGAVNQGAKERYRIHARAEAADQRASDAQNQAAALLEEANNQLRDELRFQREELNSQKKTVEEQSVDMECLMHNE >ONIVA12G08380.2 pep chromosome:AWHD00000000:12:8223061:8224399:-1 gene:ONIVA12G08380 transcript:ONIVA12G08380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFPEISLDDYECVMAQVERQTYRTTKVPPIHVAPEDWQWLIDNLWSDEQFQRNPETGAWPSAVDVWRAIYMKANGTWSIPNGAEILNNLEEAAETHKERIAAAPIPLAEHFALVLGRKPNHSRSVGIGAVNQGAKERYRIHARAEAADQRASDAQNQAAALLEEANNQLRDELRFQREELNSQKKTVEEQSVDMECLMHNE >ONIVA12G08390.1 pep chromosome:AWHD00000000:12:8237760:8238930:-1 gene:ONIVA12G08390 transcript:ONIVA12G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTQHLGSEGDGAQVQYEATLAYLGAVAGVTIEELAGRTSSWSSVSYVLDLGFVHPHHAAHACLLWERRAPCLPCPLQNIIATAARPHLPPHTRGLHISLTASLTTSICISCMSGCVPCCHSTKEDRRTRDAKREWQGADVEVSNSTV >ONIVA12G08400.1 pep chromosome:AWHD00000000:12:8250882:8254103:-1 gene:ONIVA12G08400 transcript:ONIVA12G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTTGRRRCTGTSIVTREWAQPPPLLLAPQSVPAVTQALSAAGTCQQGAITLTQQSQGSSSTGMSKKKWTGLKASVGSNHVANPSNVANPSNEWAHALCKRYPRVIWLEDCKCGLWYHL >ONIVA12G08410.1 pep chromosome:AWHD00000000:12:8262785:8265603:-1 gene:ONIVA12G08410 transcript:ONIVA12G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTRHRRCPWPQIELLCCRRHGEGGRGVKYSLVEAVGGNTVSSRPPVPPCLPPPLPLEEEHGFRMGMVTTRWPGAATATGNGDRERDRESEDEGDAPPSLSMAADLAPVPSPARGRREECEGTRAFWLIVVDFVASGSEGTTTETAEQQLSERGA >ONIVA12G08420.1 pep chromosome:AWHD00000000:12:8299915:8307037:1 gene:ONIVA12G08420 transcript:ONIVA12G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVIDSPPPEMRHEYHLSMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVDFFDLLLSPASKILNNWFEGDVLKATLATDAVIGSMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVEIVTNAEVSQISVHHTSGKVQGIVLADGTEVLTSVVLSNATPYRTFVDLVPANVLPDNFLSAIKTADYSSATTKINVAVDRLPQFSCCKDFNPEGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKFFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVPV >ONIVA12G08420.2 pep chromosome:AWHD00000000:12:8299915:8307037:1 gene:ONIVA12G08420 transcript:ONIVA12G08420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVIDSPPPEMRHEYHLSMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVDFFDLLLSPASKILNNWFEGDVLKATLATDAVIGSMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGFPNICSSHFWEGTGVLADGTEVLTSVVLSNATPYRTFVDLVPANVLPDNFLSAIKTADYSSATTKINVAVDRLPQFSCCKDFNPEGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKFFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVPV >ONIVA12G08430.1 pep chromosome:AWHD00000000:12:8310377:8312990:-1 gene:ONIVA12G08430 transcript:ONIVA12G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSSSDDWVMLDSDNPADSSDDDDYVLALSSSCNTPACSDEEEEDDDKVGSDADGDDLYGGELEEEDSPRPPPPRPLSGLFYHTASDNQPGYLAFDAIRSAKHLIPDPRFSAFPEHVAVLASTRGLVCLRGETTGSYYVANPATFRRVRLPRHTRDHVDPAVVITFEEPTASASCFGGIGVEHYHVVVAFNLGGGVWSFESFSSRTWKWRVSPGISIVEQVESSSGVGAHGRAFWRTSIGFVYYDPEKGYPHEFPAPPEVEARPFWEIGEMEGNLCVTCMDQRVTEVAVLNLDMDVLAADGVGSWSWAGQFEGGSLRNREGVELLRSQGMAEVVMWDPSEERVVAMDLEGRTTRNIGPLTGEDYSRGFIPYVASIAEISSDQISSKCSASAADANTPNLGAADATTLNNLAAPAAQVH >ONIVA12G08440.1 pep chromosome:AWHD00000000:12:8316654:8317445:-1 gene:ONIVA12G08440 transcript:ONIVA12G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRSEMLAGDKDAVKSCRNHHHGECAVDLASSCCTYAPPPATTAVNSSSSSRKKRPRVVVADETTTATFFRSDDATTNTVVDDEDDDDPAALLRRRPARWKQGLEAVKTAVAWRLAAKDDEILRTRREMAERLRCACAVSRAWQSIAVAREGEKAALQGENAALRVELDHVLRAKPRWHHDDDDAESCCYGDNFTDDTGGKEEDEGGGGGDMSTVARCFGCGERACCWHLCASCAAAAAAAWACPACGYSNMDMDDACMV >ONIVA12G08450.1 pep chromosome:AWHD00000000:12:8318603:8322654:1 gene:ONIVA12G08450 transcript:ONIVA12G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFGSIISPSARKPIIHCTSQGVIGTAVPWMMTDSIFGSYLSAIWPINFRSRVISGSDSRDPNPILSISSFLS >ONIVA12G08460.1 pep chromosome:AWHD00000000:12:8319203:8322381:-1 gene:ONIVA12G08460 transcript:ONIVA12G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMTIVCSTRRFCTLVKHLSQDKKDEIERIGFGSLLSLPDITLERKLIGQIADRYDPNIESVIIQGTAVPITPWDVQCIMGLRADGLIIEPKPHMDDDDYKYSFIYKEKQGMNISLHHLEEQLIKAKNADEHFIRRFVLFTIGFLLCPTTKAFVSSHYLALVKDIEQIRHINWAKVTRDFLIKSLNALKTGQRSLEGNVALLQFWAWEHVHVEDESFNLNYVGRPPPLMAYWNEINVNSWLKFDKKGALDIGKLVLVIADPRTCHVTEDEVHICDDDKQQEVPEELVHIIDDEKHKDVTEGPVQISDDEKMAEKMAEPVNSECHNYTHDSPHGFSDDQVYAQTSPESSQKSQPTNVDLIMNQLLLIQKSCQFLDNKISTKLISIEGVCLENKRDIQEMKLKICKPSRSKKTDIAAGPSKHQFKSENQHRANEDDLKLSTIAGRVRRPDGRVIKPTHMSQTDFIYYKRKFANKEQKTKDLSLMEQVTLRYISKCEDDKLLSSIAGINLFSQFLRPLIQPKDAPAKSKWLSGSVIDAYVQIIMDVQSETPRAQGTAFLETDAHCQQWKMNGENKGTTSKRYRQQRADSAIKSSCPYIGTQTTGMLLSSMVQRKKNRYYSLRMDKSNYAADKDLKNTIKGIDKYLNYTNKENVSTSKWKNKKITSWPICPMQVPQQKDSWSCGLYTLRCMEHWNGKDLAPEYHAMVCYL >ONIVA12G08470.1 pep chromosome:AWHD00000000:12:8323746:8325291:1 gene:ONIVA12G08470 transcript:ONIVA12G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSKSAIQVLSPSRNLSICSKKRHGAGGRRRGRRRSLGPGAGGRSAPGEAVGRRGSRRLYSPGGGFQDNTFSGFDKYCWYGNDVTLTRSMQQQLAHIAAVNLPKIPLFHCTLKKTCVEKGKMTFSTVFSLRYLLKHIDSSKMDNLMVSMHGSIDTYLMKLMKSQDDRALITTGWNRLVDADPFMMDDVCVFQFTQIDDLLSLTIHVLN >ONIVA12G08480.1 pep chromosome:AWHD00000000:12:8334017:8335194:-1 gene:ONIVA12G08480 transcript:ONIVA12G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLWMLLYHHHRGDEDEAYFIGSMAELSKKRKKGKLLKEARQKLLTWWELHYRWPYPSEMEKIALAKSMGLEPKQINN >ONIVA12G08490.1 pep chromosome:AWHD00000000:12:8339170:8339683:1 gene:ONIVA12G08490 transcript:ONIVA12G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAESPTLGESLAHAPPRSSLAYGYHLGFPSFFSSVPWRLPLLLSMGAYFSVLYATTSYAQLFLPRTPVRVYDNIFDAGTWGGFFIICIMVCVVLGLRVDDARVLVACTCVVAAFVVGVVVVWVWLARTYGGDEDEDEASSESTSARLPV >ONIVA12G08500.1 pep chromosome:AWHD00000000:12:8341769:8347201:-1 gene:ONIVA12G08500 transcript:ONIVA12G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCEAGGPVGRSPPSGSGGGGRRKTLAEVMATRAPRPASIRPEATRAAEAAAREVLLRVAPTEEAERRRQDVVGYLRRLLGTALGCEVIAFGSVPLKSYLPDGDVDITVLGNTALDGACISDVHSILESEEQDSGAELEIKGLHFIDAEVKLIKCVIENIVVDISFNQIGGVSTLCFLELADRKVGKNHLFKRSIMLIKAWCYHESRILGAHHGLLSTYALETLVLYIFNIFHKSLHGPLEALYKFLEYFSKFDWDKYCISLNGPVLLSSLPSPAVEPSSIQDELLFGKKTLPEVSDGSNINFCLKHLNIIDPLKWSNNLGRSVSRGSFYRIRGALSFGAQKLGQILMLHSDLIPTEIFGFFANTLKRHGRGERSDVGNNDSIESLLDPEYALGKDAPDFTNSDRNQDENRNPNLQTTSYRYFHGDAKDRPWNKIWLTNFDIQYYNMVSGASLMSHSTSSTENGNHNIKQRCSRSLMEQQIYASNQPHILTPSTHKNTLDVINSCRAELNRSDLHEEKVILSPFSPSNLLDLSGDLDLHLRCLQKIQYHLESMFDGLVQLIQEAFLSGLLDEDSFKIPTRSFSNSTDERPTGLLPVASVDTGTRNLCPVSYFPSTGDVSHKSHTEDQVNAVCQKNVVLSSGTCIISNGFASSPSPPADLESYPVSWFHNTQEIMAHGTGMQTLKNVSLLPGTDVLSNVVGQLPFLAADSENYSFSRSHNTREKRVMRGTGTFLPRMSYYTYKERILSEKGRRERERLPDRPWKIKTNPTGYLHQHTSPEVGCSGASTGGITIDQNSSQQDYSRSSVPAEGGVFQKRALINHVSEQIQTSLPWDVHNNQHGYVYSDMNMVDNQNPGTTSEGLVGHNSESRELPIHHPPEVQFSETMASSPCILLPHCIGNGQGNLLQESNTCQPFPPATDVFHSIKTKQDENLEVESFRPIPFSSPCAKFGEAFPLPTSKGPAETESRPDGLYQLRDEADFPPLQTRSC >ONIVA12G08510.1 pep chromosome:AWHD00000000:12:8371900:8374207:1 gene:ONIVA12G08510 transcript:ONIVA12G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAAAAAAGDPTPFPPIESFLLCECAGSRMGLLSIIRKIKRKEKKRLAGASLFVLANKQDNQGALKPAEIAKAVCSSWMPWMSAGILID >ONIVA12G08510.2 pep chromosome:AWHD00000000:12:8371900:8374207:1 gene:ONIVA12G08510 transcript:ONIVA12G08510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAAAAAAGDPTPFPPIVTSLNLYKYKRLAGASLFVLANKQDNQGALKPAEIAKAVCSSWMPWMSAGILID >ONIVA12G08520.1 pep chromosome:AWHD00000000:12:8374765:8375359:-1 gene:ONIVA12G08520 transcript:ONIVA12G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDVEYRCFVGGLAWATDDRSLEAAFSTYGEILESKIINDRETGRSRGFGFVTFSSEQAMRDAIEGMNGKELDGRNITVNEAQSRRSGGGGGGGYGQRGGGGGYGGGGYGGGGGGGGYGQRREGGYGGGGGYGGGRGGGGYGGGYGSRGGGNSDGNWRN >ONIVA12G08530.1 pep chromosome:AWHD00000000:12:8378303:8382803:1 gene:ONIVA12G08530 transcript:ONIVA12G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARSLLLSAACRQRRCFSTEAPSSTPQQQLLPKGKRWDAVVIGGGHNGLAAAAYLARAGRSVAVLERRGVLGGAAVSESDLVPGFRFSRCSYLLSLLRPALIQELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSENDACAYPRYEEKLEKFCKLMDFVIDSPPPEMRHEYHLSMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVDFFDLLLSPASKILNNWFEGDVLKATLATDAVIGSMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVEIVTNAEVSQISVHHTSGKVQGIVLADGTEVLTSVVLSNATPYRTFVDLVPANVLPDNFLSAIKTADYSSATTKINVAVDRLPQFSCCKDFNPEGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKFFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGCEGIVPLWQWCTPRRWGDGRSGP >ONIVA12G08530.2 pep chromosome:AWHD00000000:12:8378303:8382803:1 gene:ONIVA12G08530 transcript:ONIVA12G08530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAARSLLLSAACRQRRCFSTEAPSSTPQQQLLPKGKRWDAVVIGGGHNGLAAAAYLARAGRSVAVLERRGVLGGAAVSESDLVPGFRFSRCSYLLSLLRPALIQELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSENDACAYPRYEEKLEKFCKLMDFVIDSPPPEMRHEYHLSMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVDFFDLLLSPASKILNNWFEGDVLKATLATDAVIGSMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGFPNICSSHFWEGTGVLADGTEVLTSVVLSNATPYRTFVDLVPANVLPDNFLSAIKTADYSSATTKINVAVDRLPQFSCCKDFNPEGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKFFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGCEGIVPLWQWCTPRRWGDGRSGP >ONIVA12G08540.1 pep chromosome:AWHD00000000:12:8406653:8409339:-1 gene:ONIVA12G08540 transcript:ONIVA12G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFRPYPTLSLAPAAAAGGNLSLSDRRRAASPRNILLRRLNKVGRTLMGGLGNNLFGAVNSSVETVTRPSHCDAICQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >ONIVA12G08550.1 pep chromosome:AWHD00000000:12:8410043:8419117:-1 gene:ONIVA12G08550 transcript:ONIVA12G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISQENGIPYGWLWSHCYCVSCLVDPFVGHQTEHQYSSKLVQGKLPPGSRGLPILGETLDFFSQSPSLELLGFFKRRLDNEQLRVPARGEAFRMWYPDSIMRIIGADSIITTLGSSHKYIKNMVFRLFGPENLRRDMIKDMQKTAEASLLSWLHHPSIELKEAASSGRKNVMKMLKEMMDERKKATGRQESIDFFDVLLEELKEEKHAMSENVALDLIFLLLFVSFETTASGITAILCFLSDNPKALQELTEEHDYIQKKRPDLNSEITWEEYKSMKFTSHVIHEALRLTNIAPVMFRKATEDVHIKVCTTVQDTAEPAGGASKDFMAFGGGRRLCVGADFAKLQMAIFLHCLVTKYRWKVIKGGKMVLCPGLQFPEGFHIRLLPKG >ONIVA12G08570.1 pep chromosome:AWHD00000000:12:8457197:8457511:-1 gene:ONIVA12G08570 transcript:ONIVA12G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRVMATHGQETDGGGNDAATCVQERAAAAGNGDADARSNTAEIDGASAAQIDRAAEVRGLIDHDSRSNFADDAMKDQATSHHQFSLDDENLEDCMNEEND >ONIVA12G08580.1 pep chromosome:AWHD00000000:12:8469655:8470146:-1 gene:ONIVA12G08580 transcript:ONIVA12G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEPASPSADSGVTSLTSTANNVAVVGRGGDSDDSDRDSSDGVGYDSDGLMDDMMDDMMDDMFEKFIERNELLDRIGARLVAPLLPAATRAQRRQILEQRERARAAREELRRGVARSRELTRKIRRLKRMASADVSGYPAARREAHERETRRLAREIFGSDA >ONIVA12G08590.1 pep chromosome:AWHD00000000:12:8480937:8481608:1 gene:ONIVA12G08590 transcript:ONIVA12G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAMVLIVLLVLVVLPSSTLCSRAGPSSKHGHGGGHAKRVPPPASVPPPPPAPAALVRATCNSTSYYDLCVAELSADPSSATADVRGLSSIAVSAAAANASGAAQAAAALANATDAGTTAGVAGDGGGAVVQRLLATCAAKYGDARDALAAAKGSIAQQDYDMASVHVSAAAEYPQVCRALFARQSPGDYPPELAATEVALRQLCSVALDIIALLSSSDNN >ONIVA12G08600.1 pep chromosome:AWHD00000000:12:8497578:8500564:-1 gene:ONIVA12G08600 transcript:ONIVA12G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANREEHYSTTGSTAPPLAMDAKLLMAECPEDRKLLKELVHKEDASKMMVVLASTTNQPAAEKPAAARAVMNPLLLAAASFGACEVFYFLFCREDAREPLPTMTARAFHAMLAGDTSGADGRWPSTHQQALDEIEEGGAGAAVAVGHQFTRRLPPPDAPLLEGATVEGDTALHVVATHGDAANFLECAEIICNRARGLLLATNDKGDTALHCAARARRLEMASRLIALAKARDDDEVERGQAASFVKVLLRTENERNETALHDAVRAGDGDMVRRLMDEDPDLALFPVQGTSPLYLAISLRNGTIAEILHEKSNGNISYSGSHGQNALHAAVHQDNVVMSTKHVLKWNNKLVKEGDENGSTPVHFAASVLQPKYQTYRTYHYRCPWIQFSKVPRIISSLLDADTGVDPFYQSDKNGMFPIHVAASVGAQITVAFLLNKFPESAGLRDANGRTFLHVAVEKKKLDVVLFACRTPSLNWILNMQDKDGNTALHLAIQGQATILRIFCALFGNCEVQLNLTNHNGHTPLDQSRSMLPRGLRYTRDRWDHIQEIYDRNAVSPEDQAKESEKVKDLTQTLGIISVLIATVTFGGMFAPPGGYRADDHPYQGTPIHAGSYIFDAFMMANTLAFICSSIATTGLLYSASSAFNLRARQYNIMIIIFLMDNSVTCLTTAFALGAYMVLPPVDHKTAVAICLLSPLVVVYRNIRKAVEWGYFGYCQGSD >ONIVA12G08610.1 pep chromosome:AWHD00000000:12:8506633:8513054:-1 gene:ONIVA12G08610 transcript:ONIVA12G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3411) [Source:Projected from Arabidopsis thaliana (AT5G24690) TAIR;Acc:AT5G24690] MASLAAFHPAAPRAGAHHPRRPNPATGLLRLRLPAPPRRRARAAPRLAVSASSAGAGAASPSPAAGWDRSDAASSLERCLAASGSAAPASAPTRAPPAMKGGKQYGSFGAVTLERKVDLSKGRKKITPELATGGGGGDIGKRIGHGGGDGGDDDGDDDDYFDDFDDGDEEEGGLFRRRIVIQELFNREFVDAVMQEWCKTMSNLPAGLRQAYEMGLVSSAQMVRYLAIFARPTHSRSFSRALPGWLSRGLVGRTLADPSFPHKIAFEFMATFFSSVWWEMNIRKERFEQEWDLAVVNALTASCCNVMVLGLLAPCRSYGSTSRFDFQNAIEKLPNNIFEKSYPLRQFDLQKRISAFFYKAAELSLLGVVAGSIQGGLSKALSARKERRLSVTIPSVSTNALGYGAFLGLYANLRYQLLCGLDQYMVRRFDVLGVAIFFSTALRLTNIQIGESSRRTWLGEEADPQYSDRLLRAYKRPVEVAGDQQDSRWFISKDAIVSGLGLLGIKQGGTEAALSKPRRKRVVRKKVAST >ONIVA12G08620.1 pep chromosome:AWHD00000000:12:8516286:8518703:1 gene:ONIVA12G08620 transcript:ONIVA12G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLAVFHPASATAAAAALRHHPPRPPPLHLHLPLHHQPSSPRRRFAAEFAVGGADGGTGGGGGRRARGGGGGVDVAAVGAALRDARTADEVETLVKGFLDDGGGGEEHLPLQVYTSVIRGLGKERRLDAAFAVVEHLKRGSGSGGGVNQFVYNCLLGAVKNSGEFGRIHDVLADMEAQGIPPNVVTFNTLMSIYVEQGKIDEVFRVFDTIEGSGLVPTAATYSTVMSSYKKAGDAFAALKFLTKLREMYNKGELAGNREDWDREFVKFEKLTVRVCYMAMRRSLVGGENPVGEVLKVLLGMDEAGVKPDRRDYERLVWACTGEEHYTIAKELYQRIRERGDGVISLSVCNHLIWLMGKAKKWWAALEIYEDLLDKGPKPNNLSYELIMSHFNILLNAAKRRGIWRWGVRLLDKMQQKGLKPGSREWNAVLLACSRAAETSAAVDIFKRMIDQGLTPDVVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLHAYTILVSIYIGKGNHAMVDSVLRGMLSAKVEPTVVTFNAIISACVRNNKGGSAFEWFHRMKVQNIEPNEITYQMLIEALVQDGKPRLAYEMYMRACNQGLELPAKSYDTVMEACQDYGSLIDLNSLGPRPVKKVEPIRIENKFSSSYYVGDLPSSTKHFGSTGTSNLYRYRTERWIM >ONIVA12G08630.1 pep chromosome:AWHD00000000:12:8520743:8525716:1 gene:ONIVA12G08630 transcript:ONIVA12G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSSGASSSLPLHLIIDDALALVSPLQQSFQRSQRHCFGDSAPGEFPLAANPSIVLHVLTSCNLEPDDLAHLEATCSFFRKPANFPPDFQLSMSELAALDMCQKRAIFKPMTQQEREMFKQRCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTTGGAVYTFGSNSSGQLGHGSLEEEWRPRIIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYAAQGSRVVTTPQLVESLKDIYIVQAAIGNFFTAVLSREGHVYTFSWGNDMKLGHQTEPNDVQPHLLAGPLENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQALNIQPVVVAAGAWHAAVVGKDGRGNNRHTNVLSPELDT >ONIVA12G08640.1 pep chromosome:AWHD00000000:12:8527899:8528216:-1 gene:ONIVA12G08640 transcript:ONIVA12G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGRHNEEVSGQRQAEEVEVEALGGRGGGARAGRLGRAWGRCSQRGGGPEQDKDGGWWRSGSGTRVVLVRGMWPYAAAAPEHGDDLAWRQRGERSSWRRWGVA >ONIVA12G08650.1 pep chromosome:AWHD00000000:12:8547830:8550432:1 gene:ONIVA12G08650 transcript:ONIVA12G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLTISAYEENKLVRNVADAYGFDEASRGSVLDYLHEIKVGCEKDPSFAGGRNMITYAAKLMESTSPNRYLSGVRILDTLIRFNSGAIGSGFPGQSMLISNTIGSASSGPILHNLVQMLDSKSPYDEEIRLRAARIVEHFVIDIRLDKILQGIQCISSLLDLKPFHQPDEPLEEYGHRISVGEEGQMQVRGIQILLKLSDDENNLRLMSNTDDLVSKIVALINDKELHLRKHDKWSRDIVEPGVKLIKRFMSATTRSNNILWREISTSLEAISALESIIDCDKCDEEVKKQAIRVLAQICWDTSSVMGDQNRERFIGSLIDMFLHKSKGSQFENLAGEVLAQLSFGFGSCATIILEKYGPNMIDCVGKTDSGLYNSIHRKIAADVLKHLYGNYSIDDEHFQNLKEAMIDLLPKVLREVLGWGLTETHIQRVPSYTAPAGSGLAATQDNDGRLQEALASLCATVYNRMVNTDADLADRFEDIAARMCDLAAEPLKTFSDLIQEAMQRQPPYYPPEHAMRSNDENPNECCIS >ONIVA12G08660.1 pep chromosome:AWHD00000000:12:8555394:8557254:-1 gene:ONIVA12G08660 transcript:ONIVA12G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPTSAAQIVPSCSTVTGVRLRIRLMNRASRVVVVVAGKVGGAVLGEAAVEDNVGAGGDGKSAPAAAADLGSGGGGWGRGRNRTRRLWRRGRSSGSGGGDAAAVHAGKKHRLRRRRRIRGSGGGSPRGEDEVFTRGAMSSRVEEEE >ONIVA12G08670.1 pep chromosome:AWHD00000000:12:8570156:8582481:1 gene:ONIVA12G08670 transcript:ONIVA12G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGQSRGHRLGLHIDSDWPEVLLINDYAVFMGYLSMVVTGTGFLVLTWSTVILLGGFVSMLSNKDFWSLTVITLVQTRIFDVFLNGKVSHIGYSLKRLCKAARFIALPHNHKKVGFRGAVRVLVFTIVLCPLFLLYMFGLFVSPWISLWRLIQQDYGVTAGDSSSKAHLQPALVVLYSLALFQGVLFYYRAISAWEEQKLVKDVADKYMFDTVSRSSVSDYLHEIKVGCENDPSFARGRNLITYAVKLMESTSPDGYLSGARILDTLIKFNRDADASGSEFPGQSMQIYNMIGSATSSPILHNLVQMLDSKSAYDGEIRLRAARIVDHFAGEIRLDKILQGIRCVSSLLELEPKLFQDSSEEEDDHQISVKEKDYYPKDYEQMQLIGMQILLNLSYDKNNLSLMSNTDDPALINKIVALITSKGSLHKKEHNEWSRMAELGVKILSRFMRFMYGPTKSNNILWHEISTSSKAIGTLESILECDQCDSVLKKHAIRILRRIFMDTSSAMGEGDRERFIGSLMDMSLHNSNGDFQNLAGVDLALKKQGLSILKEIYLNELNPSSIMDEGDRERFIGSLMDMFLDNSKGNFGNLPGEDLDLKKQELSILKEICMDPSSFMGESDREKFIGILMDMFLHNSKGDLFEKLAGDDLVQICRRSGSSAAIILKKYGHDIVNCIADTRSSVYSSMHRKIAAKILNHLCSPYSTDEEHLQNLKEAIIDLIPKVLREALGWGLTGKEIQGVAVSGLEGTQDDDWKLQEALASLCATVFNRIVSKDADLTARFNNIAAGICDQAAKPRVTFADLIKEAVKVHRMEFKKLEEQKPAASPALYEFMPAYYPPPHFMYLSEEDPNACCIS >ONIVA12G08670.2 pep chromosome:AWHD00000000:12:8570869:8582481:1 gene:ONIVA12G08670 transcript:ONIVA12G08670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGQSRGHRLGLHIDSDWPEVLLINDYAVFMGYLSMVVTGTGFLVLTWSTVILLGGFVSMLSNKDFWSLTVITLVQTRIFDVFLNGKVSHIGYSLKRLCKAARFIALPHNHKKVGFRGAVRVLVFTIVLCPLFLLYMFGLFVSPWISLWRLIQQDYGVTAGDSSSKAHLQPALVVLYSLALFQGVLFYYRAISAWEEQKLVKDVADKYMFDTVSRSSVSDYLHEIKVGCENDPSFARGRNLITYAVKLMESTSPDGYLSGARILDTLIKFNRDADASGSEFPGQSMQIYNMIGSATSSPILHNLVQMLDSKSAYDGEIRLRAARIVDHFAGEIRLDKILQGIRCVSSLLELEPKLFQDSSEEEDDHQISVKEKDYYPKDYEQMQLIGMQILLNLSYDKNNLSLMSNTDDPALINKIVALITSKGSLHKKEHNEWSRMAELGVKILSRFMRFMYGPTKSNNILWHEISTSSKAIGTLESILECDQCDSVLKKHAIRILRRIFMDTSSAMGEGDRERFIGSLMDMSLHNSNGDFQNLAGVDLALKKQGLSILKEIYLNELNPSSIMDEGDRERFIGSLMDMFLDNSKGNFGNLPGEDLDLKKQELSILKEICMDPSSFMGESDREKFIGILMDMFLHNSKGDLFEKLAGDDLVQICRRSGSSAAIILKKYGHDIVNCIADTRSSVYSSMHRKIAAKILNHLCSPYSTDEEHLQNLKEAIIDLIPKVLREALGWGLTGKEIQGVAVSGLEGTQDDDWKLQEALASLCATVFNRIVSKDADLTARFNNIAAGICDQAAKPRVTFADLIKEAVKVHRMEFKKLEEQKPAASPALYEFMPAYYPPPHFMYLSEEDPNACCIS >ONIVA12G08680.1 pep chromosome:AWHD00000000:12:8593001:8594522:1 gene:ONIVA12G08680 transcript:ONIVA12G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSHPGTHFDALTRLRSPPELAAQSSLSSKGRNPSRHVLVTSTTFCPGKHIPRRCCPVGRVASQPPLSIFTGAPAPNLPHPLSPHRVEDSTGLAGTEVDVEGYAEASEGHVPQGKPQFQSLIILKPSLGWTCGRGFGQVDMICNGGTRESSDRLALLRPSKCPTARTDQYPTGQ >ONIVA12G08690.1 pep chromosome:AWHD00000000:12:8597732:8606096:1 gene:ONIVA12G08690 transcript:ONIVA12G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C78, ubiquitin fold modifier-specific peptidase 1/ 2 [Source:Projected from Arabidopsis thaliana (AT3G48380) TAIR;Acc:AT3G48380] MEAAAGGSRRRPTLLRLLCPKKSLVSPPTPSLRWLLGSPRFLPALTVAAALRSLSLPDDASSPDLQREAEEIRGLLVRGFDIVGAVHVGSADAGGALELARAVRERLYGERASHGMVGGCVELGSGEIRFVVSEGDGVEAVEVTEVVWEDDPGRLLWEKGCLLRCELPLKLPLYVPSDDTSGIEARFYSLIESTASKLRDPHVSYLIEGPRTTPGESHYSIILHGNDLNSVPHLSRNGSTEEYDANIVSCSKFFPAKRSLSLTRENADAIQITILSNQSFNSSKASTPAVEYFPAPALASLRAINLKLDILCYTSVDFPVAAAVSELVIPGLADQLSIMKKAVVSELTTQQPQLSPYHFVPPGLLIPVTTIYDTRYGEIEEKQISGGIISLIDGSYEYYHYLHDGIDDNGWGCAYRSLQTIMSWYRLQQYSSINVPSHREIQQVLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGDELPEKCRELAIHFETQGTPVMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGADDLKKIVNGGWCGWKKSIDSKGRSFFLKDKFYNLLLPQRPNMV >ONIVA12G08700.1 pep chromosome:AWHD00000000:12:8608810:8617262:-1 gene:ONIVA12G08700 transcript:ONIVA12G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) TAIR;Acc:AT1G13170] MNPLCCIAPVSLDSAVEHHHQPPRILAAAAAAPTSPTPPAAAGAAAAAQVVLDAAQAAVAGVLHKWVNYGKGWRLRWFVLEGGVLSYYKLRVGGGGDSAASPAVAARVIGEGGALRRAREEAAAAGKQWKPFGEIHLKVSSIRASKSDDKRLYIFSGTKTLHLRCETEEDRNAWIQALQAAKDRFPRSLTSNDFSPMSDILLSTEKLRVRLLQEGLNETVVKECESIMMTELLELHNQMKSQQQQYSILIDRLRQLETEKVELERTVVHETKEREAHGYANGRYSVLSGGSASESDPDTASQVADVETDEDEVMYFDTRDFLSAESLRSASCRRRELLTNGCNGLEHIDPAANVVKTKYPSVKRRDKLPEPKEKENPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDHALQWGKQGDSLMRTLHVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRGWKFWGDSNLKGKFWGRSIQLDPIGVLTLQFDDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRIKGSGDYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGQKVAMLIGKWDEAMYYVLGDPSAKPKGYDPMSEAVLLWERDKSLNQTRYNLSPFAISLNELTPNLAKKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARRLQEKGWQPRWFSKDDDDSYRYVGGYWEAREKGNWDGIPDIFGQRSASPGWHISGTKPQVED >ONIVA12G08710.1 pep chromosome:AWHD00000000:12:8634081:8640222:-1 gene:ONIVA12G08710 transcript:ONIVA12G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKMAFFPPTPPSYALVDDPAAGVTTMTGQPHRENVEVLRLRTRRGNTVAAVYVRHPDAATTLLYSHGNAADLGHLYQLFLHLSFNLRVNVLGYDYSGYGQSSGKPSEHNTYADIEAAYKCLIENFGAKEEEIILYGQSVGSGPTVDLASRLHRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPQVTCPVLIIHGTADEVVDWSHGKQLWELCKEKYEPLWLKGGKHCDLELFPEYLRHLKKFVNTVEKSPSPRNAWRESLDRIEPSRKSVDCFEPSRRSVDFFEPSRKSTDRREKSRPTRDRMRGVEHRYSNVEKTDKLKFSFDHMEKSRRSIDIFERPKKNIEQLDCGRKSVDRLDRIWAS >ONIVA12G08720.1 pep chromosome:AWHD00000000:12:8657316:8660580:1 gene:ONIVA12G08720 transcript:ONIVA12G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGAAGEDVPGGGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >ONIVA12G08730.1 pep chromosome:AWHD00000000:12:8661646:8672058:-1 gene:ONIVA12G08730 transcript:ONIVA12G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplastic NIFS-like cysteine desulfurase [Source:Projected from Arabidopsis thaliana (AT1G08490) TAIR;Acc:AT1G08490] MVSAAAAASSLRCFPPSLGNRGPGAATARGGLVRLSSRRARSTAGVAAPSREAESAASLGDLTRVDFPILDQEFDGSKLVYFDNGATSQKPSCVMKTLDEYYRFYNSNVHRGIHVLSAKATDAYESARTKVANFVNAANSREIVFTRNATEAINLVAYSWGMSNLKQGDEIVLTIAEHHSAIVPWQFVSQKTGATLKYVGLTKEGVPDIEQLKGLLSNKTKIVVVHHVSNVLGSMLPIEDIVTWSNRIGAKVLVDACQSVPHMPVDVQRLGADFLVASSHKMCGPTGVGFLHGKFDLLSSMEPFLGGGEMIADVFQDKSTYAEPPSRFEAGTPAIGEAIGLGTAIDYLSQIGMQKIHEYENELATYLYESLIAVPNVRIYGPAPCQTVHRAPLCSFNVENVHPTDIAEILDLQHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDVFVDALKDTIDFLTSEH >ONIVA12G08740.1 pep chromosome:AWHD00000000:12:8681606:8685373:-1 gene:ONIVA12G08740 transcript:ONIVA12G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGKMPEKVRSVKFMGSQSECEIVLDQSQPSEGPTTRFKRARADGGSQQGAAKKRGHKNQQDRAVKHAALVEPPATKHSVFTRCSPKFANDACCALSPIHRQALAALGLGDLAKMTLNGLEQPDLTCWLMDRTDPKSMTIDIYENKKMVITPWKVKTVLGVPLGGEPLQLPDQDIMPDALVDLAIELDLPPKSDITASRLIEEIKNRPKDPSMVRYFIMVIVNKMLLPSTGLYIRPKDAWIGSDTQKVARINWSKAVFDALRDSLVLRHKNKTGPRQQTYIRCCVAFLVLLYIDNLKVPKDSLTVDRCQTPRIQLYTKQLVEDISQEDRVTDSSGNYVFGNLPMSGILGSCYSHPDYDKEKEPRGDNSSTPFADELVSAVEISFPSMFDTVGPHLSGLQDEHKQRVLDALGEYDRQSKLSADAIAKQIRLVQTCHARVSDHIVSIIRGESRNQPPLGPQPQPASHSQPDSQHGPVASPTSEEAQDHHIHNTPDISPTNSPAPQPCRIITPDAAFNPTPQITSTEPHPHLPGELFPTMDKTAIADENQALTPQPDADFQGGCDVGIPLQGIIDFTMTSEGTCTTQSHSADGFEGHHDLPDVDDEHGIETDTSMQGNTAVNVTTEGTNTAKSPSAHQNDGHHHYPDADVENNSDFDIPTPGFLLI >ONIVA12G08750.1 pep chromosome:AWHD00000000:12:8687094:8687914:1 gene:ONIVA12G08750 transcript:ONIVA12G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDLLDQSISRHPRTRPHDRLLLPCKECSGSGGVVHVTAVVPHQAATLYRRRSDRTSSATSSLNAAGDNSADRVHRAQDTPVSHRSAGNHAVGLLERFHGELSSSNSSSVWSPLPVTEEGQSSEATGLLERFLHGRGLGFGGRSSGQIPGGGANSRTDDAPPRLHRRRPVRTTHRRRSQLRLKLPPPMSDQGGDGHRCWTRAEVAPASPSPAANAGHPRRSLLAQEAEEAHAVARSPPSCNHRSTACRTRKPSSSLPNP >ONIVA12G08760.1 pep chromosome:AWHD00000000:12:8695110:8699934:1 gene:ONIVA12G08760 transcript:ONIVA12G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQTANLEEEHMYAIGHCRGDTNSTTCKNCISQALWDVQMVCALRMQAIIHYDLCSLRISSEKIHFDRNDMVHLIAMRSDKSYIKIQQEFDKAVILLITAVASKASNLSTKFATGQEMFLVECLSALLSFEEITANAHGKRIALFLDYDGTLSPIVDDPERHSCPLSLANILRYNPPQHL >ONIVA12G08770.1 pep chromosome:AWHD00000000:12:8744826:8745131:1 gene:ONIVA12G08770 transcript:ONIVA12G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGAGDRACCTRGEREQPRLQRAEGVGGTAAACEGRRRRDSRRGWERRWRRTGRERAAAPRRYRRLVGVLRDGDARLADVLRGHGGGISPGAVRTGSSA >ONIVA12G08780.1 pep chromosome:AWHD00000000:12:8748053:8750630:-1 gene:ONIVA12G08780 transcript:ONIVA12G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J943] MAERLLLPLSMVLVQLFTIGALLLAKLSFNSGMAPFVLLAYRNLIGTVTMLPFAFWFERQMMKKVNYKVLGWIFFNALFGLEKLKLKTRSGMIKVIGTVICVVGTMVVSLYRGKLLHLWPTHLLKPAQLRAIGGSDDSTFPTHHNMLIGTLFLCGSCLSYAFWFIVQAKVNKEFPSKYFSTMLACLMGTIQAVVIGIAVDRDRSAWALHWDLQLITIIYSGVFNTAATFCLITWAVSRRGPTYPSMFNSLALIITVVLDSMLLGSDISVGSLLGAFMIIIGLYSFLWGKGKEIKEQQQQVPTNTEADQSKITYPKSNGEVRIMLDT >ONIVA12G08790.1 pep chromosome:AWHD00000000:12:8772406:8777666:-1 gene:ONIVA12G08790 transcript:ONIVA12G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLAVVGKVGTFLLWLLFLVLQTATKIVGSLLAAGPPPADAQEAAAAAAARRRSPPASPHSGGGATDPYQPPQLWDPPPPSSPYSAGHAADEYSSSSSYRRRGASAPPAEDVVVSSSYSRPAAAVASAPQARTVSSAPPLRAVETRSPAPSRAAAAVGGKRPKLERKYSKIVDQYRSLDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKRSFNGMSLHHIGDSPNPYEQAITIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFSPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTSIMSKKISQSKKETEFALSALMEIPLQYKATLELGILGRRFAKSPERVPLPPPFASYNTISRAAPSRANSFRSMPSHPRDEAPVDSAITASVTSPPSVDARAAEPQLCPVCLSKPRDMAFGCGHQTCAECGPQVEHCPICRRPIDTRVKLY >ONIVA12G08790.2 pep chromosome:AWHD00000000:12:8772406:8777666:-1 gene:ONIVA12G08790 transcript:ONIVA12G08790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLAVVGKVGTFLLWLLFLVLQTATKIVGSLLAAGPPPADAQEAAAAAAARRRSPPASPHSGGGATDPYQPPQLWDPPPPSSPYSAGHAADEYSSSSSYRRRGASAPPAEDVVVSSSYSRPAAAVASAPQARTVSSAPPLRAVETRSPAPSRAAAAVGGKRPKLERKYSKIVDQYRSLDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKRSFNGMSLHHIGDSPNPYEQAITIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFSPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTSIMSKKISQSKKETEFALSALMEIPLQYKATLELGILGRRFAKSPERVPLPPPFASYNTISRAAPSRANSFRSMPSHPRDEAPVDSAITASVTSPPSVDARAAEPQSHMYLGNLFSNVQLCPVCLSKPRDMAFGCGHQTCAECGPQVEHCPICRRPIDTRVKLY >ONIVA12G08800.1 pep chromosome:AWHD00000000:12:8781507:8796563:-1 gene:ONIVA12G08800 transcript:ONIVA12G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAQAVRLRLVFDNQRILRRSLRESGLRRCWLLLPPELSTVSDLAAHIAARFRLRRSCPSCVILSIDGFVLPPFESTCIFRDNDIIRVKQKASKRITQHNDVHCIEGPEIVEKQPLPTDNEMLAIEYKKDDDSNQQEGVQYNHQNVVNAASHYNTRNDDITLKRKCQDGERGSPGTSKKKKLKVANTGKHTGCSNEDKAHQDQDHSGSKKLKSPCIDDAKKVMLAEADVTLEKEQKSKRDNQTKLNSETKEDDCNTQSDIKKVSRSARRKKLKRQLRQKAKEQLKEKEHCQEQPTVADCPSSNNRDVLPSPSSNQNNSSLPFVRHEADEEESDTSDDIVPVVVRPGHIRFESAGGESDKSPVKEIQTTFQWSGTTSKKKGQKWGMDNSNKKSSDISYHGRITGTDTEVNHHVAGNSKTSDNDFGLASNQKVGESSHVGSASEKIVAEKGKSSSEPLDFDSLYPLTRLPKVGKVLIYDPISLRIILLPVPEYPFTAGEKNGEDESEMLVDMSPYKEDGSLEIEYSSLLDIRLLKDTDSVQPAVSTPLPETGIKGGSHAQKPANLDNHKGKIHSEKLPNNTKDPEATQEKTQNTVWEENGEVANDEPAVQENGWGTWTPNASTSAWSYRALRSSALGPTLAHLRGKNTKRGRPYNRKYGK >ONIVA12G08810.1 pep chromosome:AWHD00000000:12:8801793:8803908:-1 gene:ONIVA12G08810 transcript:ONIVA12G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane protease ATP23 [Source:UniProtKB/TrEMBL;Acc:A0A0E0J947] MSGMDGSGVPETAGVEAASSSDARGDNRHMPSEDCVAGINSALQHPTVRFLREQMEKAGCPVLPRMIRAMNCMSTNHNGSYGSGLGITVCCDHMRSQDEINQLLIHELIHAYDDCVVKNMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKIRGHEQECVKRRALMSVKNNPYCSGTAAKDAVESVWDICYNDTRPFDRVP >ONIVA12G08820.1 pep chromosome:AWHD00000000:12:8805371:8805800:-1 gene:ONIVA12G08820 transcript:ONIVA12G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAAARHAAQGGVPPTARPRLTRALPAELLLPPSRFTTTSSSPTPATPVSSSAASASPSSRPPLRQPQVGACRGRLPDSAPRAWAALHKLSICCPGLLHAGVLLEPSDDGAG >ONIVA12G08830.1 pep chromosome:AWHD00000000:12:8819521:8821127:-1 gene:ONIVA12G08830 transcript:ONIVA12G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGALTTAEFARLLQRQADGRVNRVYTGELPSRSNPSWAGDDEAGTSKKRKRAVAKGGQVRTRRSAKAAVESDGEEEEEDAEAGSEEDGSHGYTPSPTPAKSGAGSHVSPTRPQDIVVANTLLAISSTAAKPVMAVRRNEKKGKVVQVGHGFSDSEGSDGTPTSPVLWRATCRGRRMSPPPASDAEAATGRSASAPAAGANVVEDERVDVVPSPIRQQEGKAPAVEASVSDVTLTAPHFVRADFATRPEITPFVDGVCQFIAPTEGLGLFTELNEFSESCAAVESLFVQGLAAHLNGYRLRLRKSEEDLRHKEDERRVVAETLKKANAENRSFRSDLEAARKLDAERDRQLASAEEKIKSHEAHLASAEATAATLVPATESAKEACYTLRLALNDLGARAEGAPGEGGTALDFSEWMQEAAGSVVEVAGAYGDCCTRVSAGFVLSLLHAHGCDHIGNFPDFVKEEWPSNTQCSGAALRALRPRVFLLFLFFP >ONIVA12G08840.1 pep chromosome:AWHD00000000:12:8838209:8846816:1 gene:ONIVA12G08840 transcript:ONIVA12G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASPSDNMIWETASSNGVAMDLGRSAHHQLIEEDGDLEAEATLGSERSFRAPNARTASYRKSSVVKIRGLNPPRNKQAHRARQDGHRKSVDSSHSNHSSIRQLANTMVNNVAEEKEEEEEVNSYERSVPTPPAKTDEEVKMPVFSKFRNKSSAAMSRVGSPCMSASEARSVRSRRTEETQVRSNDVVGSNFSGCGISYCWSGASKYRELYYDSDGPEQPLLSPEGTDAAFQENVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCLSLEEQRPCGFCKECVILFSGRSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIVDECHLLPEDAWSAILKSLDEPYRHAVYIMITSDIDSLPRTSITHCQKFHFPKIRVADIVYRLERICIEEGLEFDHDGLYFISAKSNGSLRDAEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMASAIDPLQLVSQLANLIMDILSGRCQSAVTEVSKSFLGRYALAEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFGTNESNLIAESNDMHAHSAIGYTDDWVSKVNSSSNFCHACNSNKSNCSERHCRRLKLENIWRRAIGKCQSRSAKNFLRKEGFLSSVHVTEELAIAEVGFSHPDHISRAEKMQSLIESTLQNVLGCNVEVKFKLVPRPVRKDARSKRQSFSLLSCSGRKQELSDSAVTDEDETVRHGARETPSKGYSSSQQQSPFIMQRTDSKPTVHGCEDDARSTLTSNRSMTDDMTRTCRSETNYSKGVSEQGRFDSIQEPDLQPNCFSRTLKLQKRFFSSDAAHTICLKIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSHATCSSRDDNL >ONIVA12G08850.1 pep chromosome:AWHD00000000:12:8839579:8847135:-1 gene:ONIVA12G08850 transcript:ONIVA12G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLTASAVFRSNQELTSLARSGQLAAARRLFEEMPRRNVVSYNAMVSALAHHGRLAEARRLFDEMPRRNPVSWNTMMVACSQHGRVEDARGLFDAMPARNEYSWTIMVSCYVRAGELTLARELLDRMPGEKCAACYNTMISGYAKNGRFEDAIALLQEMPAPDIVSWNSVLGGLIRNEEISRSVQFFDEMPDKDLVSWNLMLEGYVRAGDLDVASAFFSRIPSPNVISWVNLVNGYCQAGRMGEARELFDRMPERNVVAWNVLLSGYVQFSQVEAAYNLFIEMPEKNSISWTTMVSGFVRSGKLQEAKDVLSKMPSDNVGAKTALMHGYLKSNLIDDARQLFDGIVVRDAVCWNTMISGYVQCGMLDEAMVLFQQMPNKDMISWNTMIAGCAQGGQIRKAASIFRKMKRRNTVSWNSIISGFVQNGLFVEALQHFMLMRRDAKSADWCTYACCLSASANLATLQIGRQFHSLLVRTGFISDSSPGNALISAYAKCGRMLEARQVFDEMVVQDIVSWNALIDGYASNGNGSEVIAVFREMEANSVRPDEITLVVVLSACSHAGLIDEGLHFFNSMIKLYSLKPVAEHYTCMVDLLGRAGRLREAFELVQGMQIQPNAGVWGALLGACRVHKNHEIAWLAAEKLFELEPCKASNYVLLSNICVEAGKWDDADKVRVLMKESILRSCNIHISNKMLDNSGYRCYGASWKPRHCLWKWQEQKDFKALLELSLEFGSKKDDAVQQYDDHGLMDGRILSNL >ONIVA12G08850.2 pep chromosome:AWHD00000000:12:8839579:8847135:-1 gene:ONIVA12G08850 transcript:ONIVA12G08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLTASAVFRSNQELTSLARSGQLAAARRLFEEMPRRNVVSYNAMVSALAHHGRLAEARRLFDEMPRRNPVSWNTMMVACSQHGRVEDARGLFDAMPARNEYSWTIMVSCYVRAGELTLARELLDRMPGEKCAACYNTMISGYAKNGRFEDAIALLQEMPAPDIVSWNSVLGGLIRNEEISRSVQFFDEMPDKDLVSWNLMLEGYVRAGDLDVASAFFSRIPSPNVISWVNLVNGYCQAGRMGEARELFDRMPERNVVAWNVLLSGYVQFSQVEAAYNLFIEMPEKNSISWTTMVSGFVRSGKLQEAKDVLSKMPSDNVGAKTALMHGYLKSNLIDDARQLFDGIVVRDAVCWNTMISGYVQCGMLDEAMVLFQQMPNKDMISWNTMIAGCAQGGQIRKAASIFRKMKRRNTVSWNSIISGFVQNGLFVEALQHFMLMRRDAKSADWCTYACCLSASANLATLQIGRQFHSLLVRTGFISDSSPGNALISAYAKCGRMLEARQVFDEMVVQDIVSWNALIDGYASNGNGSEVIAVFREMEANSVRPDEITLVVVLSACSHAGLIDEGLHFFNSMIKLYSLKPVAEHYTCMVDLLGRAGRLREAFELVQGMQIQPNAGVWGALLGACRVHKNHEIAWLAAEKLFELEPCKASNYVLLSNICVEAGKWDDADKCYGASWKPRHCLWKWQEQKDFKALLELSLEFGSKKDDAVQQYDDHGLMDGRILSNL >ONIVA12G08860.1 pep chromosome:AWHD00000000:12:8850632:8852635:1 gene:ONIVA12G08860 transcript:ONIVA12G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGFDDAADKADSMAMYEAGLVSPRENIVDRSNAKREALQSIFDNVTEIECSRSSSSSSRRRRRSGTSGEGAMATEWKKLVDQPKAASGRALMIELET >ONIVA12G08870.1 pep chromosome:AWHD00000000:12:8862336:8871309:1 gene:ONIVA12G08870 transcript:ONIVA12G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKDRGKPASPTAARKDEAAAMAEDGEEAISSTTATKVAAAKQFIENHYKDQMRSLEERKERRRMLESKLADPDVSEEEQNNILKDFENREREIMRSRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDEEFLYLVMEYLPGGDMMTLLMRKDTLTDDESRFYVAETILAIESIHKHNYIHRDIKPDNLLLDRTGHLKLSDFGLCKPLDSTSFPNLSEFDYAVGKNINPSMDGDKLLSSSAAPRRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDLWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNADLRLGTKGAHEIKAHPWFKGLEWEKLYQMEAAFIPEVNDELDTQNFEKFEEIAPMQTSSKAGPWRKMLSSKDTNFLNFTYKNLELSDDPEHPGIAQVKKKNNKPTRRTFKSILHEFADTEEEPQSSSLNSTPSQLDQLPESLEPSPHSSISSEDSQSRHR >ONIVA12G08880.1 pep chromosome:AWHD00000000:12:8870627:8882883:-1 gene:ONIVA12G08880 transcript:ONIVA12G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSLAAAAPSLVAPPLHHKPHLAFPPHHPSPARGSIGVRCAHSPSPHPLRPSAATADEEVSLPPSLRVSRLAEEFRVSPDAADRARRLLARAAALPRLGEADRVAANRVMGCVAQVWLVGRCDGAGRMRFAADSDSELSRGYCACLVSALDGARPEEVLDVDPADLAPLGGAAAGTGARSRASTWHNVLIGMQKRARAAIAAREGRPAGEPFPSLIIGRDGAIRAQGTYAEAQVAGKLVSGCGGLWLLTAWRRRLVAGNGDDDDEGDVATEAMFLSPNESKTSELVKSLREKKIGIVAHFYMDPEVQGILTASKKHWPHIHISDSLVMADSAVKMAEAGCEYITVLGVDFMSENVRAILDQAGYSKVGVYRMSSDQIGCSLADAASSSAYTHFLKEASRSPPSLHVIYINTSLETKAHAHELVPTITCTSSNVVATILQAFAQIPGLNVWYGPDSYMGANIADLFQRMAVMSDEEIAEIHPSHNKKSINALLPRLHYYQDGNCIVHDMFGHEVVDKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLMEALDRNIDDHLQFVLGTESGMITSIVAAVRELFDSYKTSQQSANIEVEIVFPVSSDAVSNTSVNGSHHLDSSTVTDLDNVSVVPGVSSGEGCSIHGGCASCPYMKMNSLRSLLKVCHQLPDRDNRLVAYQASRFNAKTPLGKLVAEVGCEPILHMRHFQATKRLPDKLVHHVIHVVFGASELDCGGLKRKTQVPSVGSGLPETTWHSAYGAVSKYPFVFTPNSASTHTSHHHTSIVCDGTPTLRKRLAQVSLFALLHAHPRDELGVRFYERRVDGDRAGTSPWL >ONIVA12G08880.2 pep chromosome:AWHD00000000:12:8870627:8882883:-1 gene:ONIVA12G08880 transcript:ONIVA12G08880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSLAAAAPSLVAPPLHHKPHLAFPPHHPSPARGSIGVRCAHSPSPHPLRPSAATADEEVSLPPSLRVSRLAEEFRVSPDAADRARRLLARAAALPRLGEADRVAANRVMGCVAQVWLVGRCDGAGRMRFAADSDSELSRGYCACLVSALDGARPEEVLDVDPADLAPLGGAAAGTGARSRASTWHNVLIGMQKRARAAIAAREGRPAGEPFPSLIIGRDGAIRAQGTYAEAQVAGKLVSGCGGLWLLTAWRRRLVAGNGDDDDEGDVATEAMFLSPNESKTSELVKSLREKKIGIVAHFYMDPEVQGILTASKKHWPHIHISDSLVMADSAVKMAEAGCEYITVLGVDFMSENVRAILDQAGYSKVGVYRMSSDQIGCSLADAASSSAYTHFLKEASRSPPSLHVIYINTSLETKAHAHELVPTITCTSSNVVATILQAFAQIPGLNVWYGPDSYMGANIADLFQRMAVMSDEEIAEIHPSHNKKSINALLPRLHYYQDGNCIVHDMFGHEVVDKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLMEALDRNIDDHLQFVLGTESGMITSIVAAVRELFDSYKTSQQSANIEVEIVFPVSSDAVSNTSVNGSHHLDSSTVTDLDNVSVVPGVSSGEGCSIHGGCASCPYMKMNSLRSLLKVCHQLPDRDNRLVAYQASRFNAKTPLGKLVAEVGCEPILHMRHFQATKRLPDKLVHHVIHVVFGASELDCGGLKRKTQVPSVGSGLPETTWHSAYGVKTGRAVSKYPFVFTPNSASTHTSHHHTSIVCDGTPTLRKRLAQVSLFALLHAHPRDELGVRFYERRVDGDRAGTSPWL >ONIVA12G08880.3 pep chromosome:AWHD00000000:12:8870627:8882883:-1 gene:ONIVA12G08880 transcript:ONIVA12G08880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSLAAAAPSLVAPPLHHKPHLAFPPHHPSPARGSIGVRCAHSPSPHPLRPSAATADEEVSLPPSLRVSRLAEEFRVSPDAADRARRLLARAAALPRLGEADRVAANRVMGCVAQVWLVGRCDGAGRMRFAADSDSELSRGYCACLVSALDGARPEEVLDVDPADLAPLGGAAAGTGARSRASTWHNVLIGMQKRARAAIAAREGRPAGEPFPSLIIGRDGAIRAQGTYAEAQAMFLSPNESKTSELVKSLREKKIGIVAHFYMDPEVQGILTASKKHWPHIHISDSLVMADSAVKMAEAGCEYITVLGVDFMSENVRAILDQAGYSKVGVYRMSSDQIGCSLADAASSSAYTHFLKEASRSPPSLHVIYINTSLETKAHAHELVPTITCTSSNVVATILQAFAQIPGLNVWYGPDSYMGANIADLFQRMAVMSDEEIAEIHPSHNKKSINALLPRLHYYQDGNCIVHDMFGHEVVDKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLMEALDRNIDDHLQFVLGTESGMITSIVAAVRELFDSYKTSQQSANIEVEIVFPVSSDAVSNTSVNGSHHLDSSTVTDLDNVSVVPGVSSGEGCSIHGGCASCPYMKMNSLRSLLKVCHQLPDRDNRLVAYQASRFNAKTPLGKLVAEVGCEPILHMRHFQATKRLPDKLVHHVIHVVFGASELDCGGLKRKTQVPSVGSGLPETTWHSAYGVKTGRAVSKYPFVFTPNSASTHTSHHHTSIVCDGTPTLRKRLAQVSLFALLHAHPRDELGVRFYERRVDGDRAGTSPWL >ONIVA12G08880.4 pep chromosome:AWHD00000000:12:8870625:8882883:-1 gene:ONIVA12G08880 transcript:ONIVA12G08880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSLAAAAPSLVAPPLHHKPHLAFPPHHPSPARGSIGVRCAHSPSPHPLRPSAATADEEVSLPPSLRVSRLAEEFRVSPDAADRARRLLARAAALPRLGEADRVAANRVMGCVAQVWLVGRCDGAGRMRFAADSDSELSRGYCACLVSALDGARPEEVLDVDPADLAPLGGAAAGTGARSRASTWHNVLIGMQKRARAAIAAREGRPAGEPFPSLIIGRDGAIRAQGTYAEAQAMFLSPNESKTSELVKSLREKKIGIVAHFYMDPEVQGILTASKKHWPHIHISDSLVMADSAVKMAEAGCEYITVLGVDFMSENVRAILDQAGYSKVGVYRMSSDQIGCSLADAASSSAYTHFLKEASRSPPSLHVIYINTSLETKAHAHELVPTITCTSSNVVATILQAFAQIPGLNVWYGPDSYMGANIADLFQRMAVMSDEEIAEIHPSHNKKSINALLPRLHYYQDGNCIVHDMFGHEVVDKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLMEALDRNIDDHLQFVLGTESGMITSIVAAVRELFDSYKTSQQSANIEVEIVFPVSSDAVSNTSVNGSHHLDSSTVTDLDNVSVVPGVSSGEGCSIHGGCASCPYMKMNSLRSLLKVCHQLPDRDNRLVAYQASRFNAKTPLGKLVAEVGCEPILHMRHFQATKRLPDKLVHHLITITRLFGESRNQVTRKTQVPSVGSGLPETTWHSAYGVKTGRKRLAQVSLFALLHAHPRDELGVRFYERRVDGDRAGTSPWL >ONIVA12G08880.5 pep chromosome:AWHD00000000:12:8870625:8882883:-1 gene:ONIVA12G08880 transcript:ONIVA12G08880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSLAAAAPSLVAPPLHHKPHLAFPPHHPSPARGSIGVRCAHSPSPHPLRPSAATADEEVSLPPSLRVSRLAEEFRVSPDAADRARRLLARAAALPRLGEADRVAANRVMGCVAQVWLVGRCDGAGRMRFAADSDSELSRGYCACLVSALDGARPEEVLDVDPADLAPLGGAAAGTGARSRASTWHNVLIGMQKRARAAIAAREGRPAGEPFPSLIIGRDGAIRAQGTYAEAQAMFLSPNESKTSELVKSLREKKIGIVAHFYMDPEVQGILTASKKHWPHIHISDSLVMADSAVKMAEAGCEYITVLGVDFMSENVRAILDQAGYSKVGVYRMSSDQIGCSLADAASSSAYTHFLKEASRSPPSLHVIYINTSLETKAHAHELVPTITCTSSNVVATILQAFAQIPGLNVWYGPDSYMGANIADLFQRMAVMSDEEIAEIHPSHNKKSINALLPRLHYYQDGNCIVHDMFGHEVVDKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLMEALDRNIDDHLQFVLGTESGMITSIVAAVRELFDSYKTSQQSANIEVEIVFPVSSDAVSNTSVNGSHHLDSSTVTDLDNVSVVPGVSSGEGCSIHGGCASCPYMKMNSLRSLLKVCHQLPDRDNRLVAYQASRFNAKTPLGKLVAEVGQQRDYQTSSCTMKTQVPSVGSGLPETTWHSAYGVKTGRKRLAQVSLFALLHAHPRDELGVRFYERRVDGDRAGTSPWL >ONIVA12G08890.1 pep chromosome:AWHD00000000:12:8897086:8910832:-1 gene:ONIVA12G08890 transcript:ONIVA12G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGGGAGGGGGGGGADIASLLDKAKELDQLRKEQDEVVTEINKMHKKILSSPEMVDKQVDATLIRLRALYTRAKELCESEVSASSALVGLLDGLLQSGTSAAQRKKIEVGEQKKKRMKSDTDTTRFSSASMRSQLDQATNLKGEQVAAKVKSDEEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESAQKKYKLPMSDIIPFPKRGDPSSAPDFGQGRQVLAVYPSTTALYRATVASNRKESGKLTYLLLFDDDEEDGNLPQRAVPFYRVVPLPEGHRQ >ONIVA12G08890.2 pep chromosome:AWHD00000000:12:8897086:8908800:-1 gene:ONIVA12G08890 transcript:ONIVA12G08890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLEKLNHLDQLQKDQDSITEKINEIHKILRFSPEMVDKQVDATLIRLRALYTRAKELCESEVSASSALVGLLDGLLQSGTSAAQRKKIEVGEQKKKRMKSDTDTTRFSSASMRSQLDQATNLKGEQVAAKVKSDEEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESAQKKYKLPMSDIIPFPKRGDPSSAPDFGQGRQVLAVYPSTTALYRATVASNRKESGKLTYLLLFDDDEEDGNLPQRAVPFYRVVPLPEGHRQ >ONIVA12G08890.3 pep chromosome:AWHD00000000:12:8897040:8908800:-1 gene:ONIVA12G08890 transcript:ONIVA12G08890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLEKLNHLDQLQKDQDSITEKINEIHKILRFSPEMVDKQVDATLIRLRALYTRAKELCESEVSASSALVGLLDGLLQSGTSAAQRKKIEVGEQKKKRMKSDTDTTRFSSASMRSQLDQATNLKGEQVAAKVKSDEEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESAQKKYKLPMSDIIPFPKRGDPSSAPDFGQGRQVLAVYPSTTALYRATVASNLIYSCLMMTRRMEIYHKELCRSIEWFLSRRATGSENLSWIRLYPGQCSV >ONIVA12G08890.4 pep chromosome:AWHD00000000:12:8909104:8910832:-1 gene:ONIVA12G08890 transcript:ONIVA12G08890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGGGAGGGGGGGGADIASLLDKAKELDQLRKEQDEVVTEINKMHKKILSYTLLNIIENEQVAEPNKQNDS >ONIVA12G08900.1 pep chromosome:AWHD00000000:12:8919330:8935583:1 gene:ONIVA12G08900 transcript:ONIVA12G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:helicases;ATP-dependent helicases;nucleic acid binding;ATP binding;DNA-directed DNA polymerases;DNA binding [Source:Projected from Arabidopsis thaliana (AT4G32700) TAIR;Acc:AT4G32700] MASGSSRANIDQFFPAKKRRPSSRKDEPPSGSPRGAKGSLEGYLVRSPSTAAAASLDSPRGGGDAAGARRSLSAAMDVDVGHPATAVATDEGDFELKRFAMEFLSLSHYCSVIPSVMGGASNGEAGKQQKRSAIQSFLAPCSNASAKKQRVAHSGDLEALKDVGHKTPFREKCGTQYGGPEALEELGEGTKLSSEGFVALQRCSFTPNTGQKRVGFSTAPGAGETPMSVSTNSLISPGEEFWNAAIEFADGISARAEKGPGRPECDADDKSSCAVALCSKILPRSGNGGSDHENTVGSNETKQMDRSSYKEEPVAANNHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGAVDLSQKSQMKNRENLTHSVDNVNKSTFDMHIDSSATIHDECLSKLTTEGKNHPTRVGDSGSCLTRRDLNQLIYSEDKLLTAYSDHGKPSKDCTNKFASQEMEANTPTSSVPQKDHSKLSSWLPPELCAIYMKKGIPELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEILMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEISGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQKDEEFTAQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVQQGHSVLLFCSSRKGCESTARHVAKFLKVASVGSSDVGSEFPDATFAVEALKRSPSGLDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLAATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCTPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLACDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQRAGIRNRSPTRGSGGNALINEQALRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLPTMDEPPTRDSMVSVGRGQASDDTNNCFSYGSQRASTERTLGNDMHPGSSIQITESARIVNNANIVVQVASPFSIETKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDSIEMWDELTRRWNRVIEIMRQNSVKKMTWNLKFQIQALKYPCVSCQRLSRLHLDYKMLKNVEVLDNLYVFLQPVSVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALCSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIRKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCGKGKLHPSTDKQSLDHLRYKFDLFVVCVISRHCKFIILASVFFSFQCASHKLFMIQAFLKFKMYFLVYLVSTMVH >ONIVA12G08900.2 pep chromosome:AWHD00000000:12:8919330:8935583:1 gene:ONIVA12G08900 transcript:ONIVA12G08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:helicases;ATP-dependent helicases;nucleic acid binding;ATP binding;DNA-directed DNA polymerases;DNA binding [Source:Projected from Arabidopsis thaliana (AT4G32700) TAIR;Acc:AT4G32700] MASGSSRANIDQFFPAKKRRPSSRKDEPPSGSPRGAKGSLEGYLVRSPSTAAAASLDSPRGGGDAAGARRSLSAAMDVDVGHPATAVATDEGDFELKRFAMEFLSLSHYCSVIPSVMGGASNGEAGKQQKRSAIQSFLAPCSNASAKKQRVAHSGDLEALKDVGHKTPFREKCGTQYGGPEALEELGEGTKLSSEGFVALQRCSFTPNTGQKRVGFSTAPGAGETPMSVSTNSLISPGEEFWNAAIEFADGISARAEKGPGRPECDADDKSSCAVALCSKILPRSGNGGSDHENTVGSNETKQMDRSSYKEEPVAANNHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGAVDLSQKSQMKNRENLTHSVDNVNKSTFDMHIDSSATIHDECLSKLTTEGKNHPTRVGDSGSCLTRRDLNQLIYSEDKLLTAYSDHGKPSKDCTNKFASQEMEANTPTSSVPQKDHSKLSSWLPPELCAIYMKKGIPELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEILMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEISGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVQQGHSVLLFCSSRKGCESTARHVAKFLKVASVGSSDVGSEFPDATFAVEALKRSPSGLDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLAATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCTPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLACDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQRAGIRNRSPTRGSGGNALINEQALRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLPTMDEPPTRDSMVSVGRGQASDDTNNCFSYGSQRASTERTLGNDMHPGSSIQITESARIVNNANIVVQVASPFSIETKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDSIEMWDELTRRWNRVIEIMRQNSVKKMTWNLKFQIQALKYPCVSCQRLSRLHLDYKMLKNVEVLDNLYVFLQPVSVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALCSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIRKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCGKGKLHPSTDKQSLDHLRYKFDLFVVCVISRHCKFIILASVFFSFQCASHKLFMIQAFLKFKMYFLVYLVSTMVH >ONIVA12G08910.1 pep chromosome:AWHD00000000:12:8938401:8938778:-1 gene:ONIVA12G08910 transcript:ONIVA12G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNGDDQFCFWVFIPPNPSQHQPYTKRQMLIGISACQLMFTMVLNRWFCLGDRSTARIADQQEKEEEEEAEDKEDGGAGAITGMILAGALLVGVVGGFGAAGYVYKDQINTFLTQFSGFIDGNS >ONIVA12G08920.1 pep chromosome:AWHD00000000:12:8939058:8939451:1 gene:ONIVA12G08920 transcript:ONIVA12G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPSEKRRPLTPTGQAQDRDGEDGADPVVCDARRGRRRGAGRWRSATEAANGEPAAAAAREGGGGGGGVRGGGRIRTPATGSGGRQRWRREVEAAARRGGGTKTETTIAREAEAGTAAWSSGGGRGRG >ONIVA12G08930.1 pep chromosome:AWHD00000000:12:8940131:8940613:1 gene:ONIVA12G08930 transcript:ONIVA12G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHDVDRQLRYSARRSFTRAGRRTPARDDDGGTPPFPGYMASMASAKAKFWSMSTYAQGPLDRSSASAAYAYSEQCFPFADRLLPPIPSMSPIPSIASDIVFVRSSQPAVAQRSPRVKGPMTMTRSRSEGRQDAAALAPRSRCTTCRWSSTPLSGEEK >ONIVA12G08940.1 pep chromosome:AWHD00000000:12:8957635:8958409:1 gene:ONIVA12G08940 transcript:ONIVA12G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMFNVLKKKKSARLENLVLDRNSFSQMVQNINTLAFLVYAGRVEITVNDGGRHIVYPRNALAAEDITCGNVKYSQFVFRLDFKDRQMMMKDEVVDGEEPMHSPQLTTHGTNASNTPPIQVMQDVEAAATTTAKTFTIISIEIIAHEVCELYDKKIVFVSTQTIFSCIIAFG >ONIVA12G08950.1 pep chromosome:AWHD00000000:12:8965421:8966377:-1 gene:ONIVA12G08950 transcript:ONIVA12G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGTGVVTVYGSGTNGAALLEPSNHKSATFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDIRALRNMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLSDPKVERFAARSE >ONIVA12G08960.1 pep chromosome:AWHD00000000:12:8970989:8974945:1 gene:ONIVA12G08960 transcript:ONIVA12G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHHRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKRVMDRLPGSRIAFVGDGPFRAELQLMFTGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIEHLLTCEELRETMRKAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQLLRPIQWVSRRLFRPTPAPSTMNQS >ONIVA12G08960.2 pep chromosome:AWHD00000000:12:8970988:8974945:1 gene:ONIVA12G08960 transcript:ONIVA12G08960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHHRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKR >ONIVA12G08960.3 pep chromosome:AWHD00000000:12:8970989:8974945:1 gene:ONIVA12G08960 transcript:ONIVA12G08960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHHRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKRAELQLMFTGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIEHLLTCEELRETMRKAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQLLRPIQWVSRRLFRPTPAPSTMNQS >ONIVA12G08960.4 pep chromosome:AWHD00000000:12:8970988:8974945:1 gene:ONIVA12G08960 transcript:ONIVA12G08960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHHRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIQLMHFSLPKEFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKR >ONIVA12G08960.5 pep chromosome:AWHD00000000:12:8970754:8974945:1 gene:ONIVA12G08960 transcript:ONIVA12G08960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHHRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKRAELQLMFTGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIEHLLTCEELRETMRKAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQLLRPIQWVSRRLFRPTPAPSTMNQS >ONIVA12G08960.6 pep chromosome:AWHD00000000:12:8970754:8974945:1 gene:ONIVA12G08960 transcript:ONIVA12G08960.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHHRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPM >ONIVA12G08960.7 pep chromosome:AWHD00000000:12:8970754:8974945:1 gene:ONIVA12G08960 transcript:ONIVA12G08960.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHHRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIQLMHFSLPKEFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKR >ONIVA12G08970.1 pep chromosome:AWHD00000000:12:8978331:8987149:1 gene:ONIVA12G08970 transcript:ONIVA12G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPTRESEARDEEAEVSRSGEQGGGEASTTQQQQQPVPLRHQLLGACRADERLRPLLTLNLSCGAAEDRFISHLSQHFEASEVGLLYRCLCVPLVALRVGKVDRHGPLLCPTPIRGKLSLGLLPSSSMCIIFAGDDGHSEQLALLNNDHEVSEVCVEEISADNTGRSFVIRISESEVFYYWCAEKSKKHGMDLLAKMKNLLQGRPTLSDLTGISDSRLDAFATHLHAYLVASSIGDVKSLGSLNDFLGASSPQDQYLQPSSVVSKSSRFRTSAANAAKASSVYQTSLSPRCGAFKDGVPRMSCAKIAGRDKLKRRGDWLSSSTGPDDANLLTPKIVSSDSASEKCGGDCSENSANSPPLDLPLSFPLLPSLFPLATQYPLPKDSTEQPFKPYYCWCPPCPSSLQYSVTPLHMPVTSVEPLPLPPLSSLLSNDQPPTSTVSAKMDTTDLPSLNLPSILRDPLLHLPLPTSPLVSLHGSQVPTFTPLMSDPIVHVPVIDVCSSGQAYLVSCGPSMSATVPLLPSLKPLIPETESLVERSDRETLMRLIASTPSASNPQLVNILPAFLTDVPEMNVRKHLGVHPGDRLSSSCSVDVIGPGFAVTEDDASVGDGAHATFAEYDDIGDQQHFQSM >ONIVA12G08980.1 pep chromosome:AWHD00000000:12:8987863:8988451:-1 gene:ONIVA12G08980 transcript:ONIVA12G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARASPSRGWVAEKDVLDLNIISRNAVPLPEQDRLVPLAAIVESPSNSSTSDLSVGKVLQLADPTSLEGAMVEAAKEEEEGEDGKVESAREMAGVEATKDLST >ONIVA12G08990.1 pep chromosome:AWHD00000000:12:8991294:8995562:-1 gene:ONIVA12G08990 transcript:ONIVA12G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDMANSDDKALISEDTAHQISSDPWYQVGFVLTTGVNSAYVLGYSGSVMVPLGWIGGTCGLILAAAISLYANALLARLHEIGGKRHIRYRDLAGHIYGRKMYSLTWALQYVNLFMINTGFIILAGQALKATYVLFRDDGVLKLPYCIALSGFVCALFAFGIPYLSALRIWLGFSTFFSLIYITIAFVLSLRDGITTPAKDYTIPGSHSARIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPVWVKAMANLSAFLQTVIALHIFASPMYEFLDTKYGSGHGGPFAIHNVMFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKRHKLSTLQISWHWLNVAGFSLLSIAAAVAALRLIMVDSRTYHLFADL >ONIVA12G09000.1 pep chromosome:AWHD00000000:12:8999433:9000350:-1 gene:ONIVA12G09000 transcript:ONIVA12G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALLFLLLLLPPPAAPFSLDFFPESPSPPRLALSGAASLRPTAVSMASPRARLQLTHPVALGPAFSTYFSFSLSGPGSLSFFLTPHPHPDRHPFLLAIVFDAAARVRIDLAGHTTGTAASHLAPSSAPARLHSWIHYNATSATLQLRLSATSRRPALPLLSLHPLPPSALLLLRTKPMLAGFTSSATNCTLFAWAFRANNTMQHSQPLDPSHLLTTPPPHRPQPHPHHYYPWLSLLFAAACGAMLTFFLLFVWYSLLATRRPVAPVTTSDSDVVYEKIVLVGAKDDDAPAATTPSPDVAGNNN >ONIVA12G09010.1 pep chromosome:AWHD00000000:12:9001082:9002912:-1 gene:ONIVA12G09010 transcript:ONIVA12G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J982] MAPSLGSGSTRILLIVSLLLCLRQQAVVDAAIVEHTFHVGNLTVERLGQRQVITAVNGQFPGPKVEARNGDTLLVRVVNNSPYNITIHWHGVLQRLSAWADGPAMVTQCPILPGSGAGSSYTYRFNVTGQEGTLWWHAHVSFLRATVYGALLIRPRPGVPYPFPAPHAEHTLLLGEWWNASATLVDVERQAFLTGGQPANSVALTINGMPGLSHAHKEMHHLRVARGNTYLLRLVNAALNYQLFFKVAAHNFTVVAVDACYTDPYHTDVIIIAPGQTVDALMHAGAAPGRRYYVAAQVYQSIANATYSATARALLRYDDDAKDAAKTIIMSPRMPALNDSATAQRFYGSLTGLLRDGKPTVPQRVDTRMVVTYGLAIAPCLPAQTLCNRTRGSLAASMNNVSFQLPATMSLLEASRSRSSGVYTRDFPDRPPVMFDFTNAAAVNRNMSLMVTSKGTRVKALRYNETVEVVLQNTAVLGTENHPLHLHGFNFYVLAQGTGNYYYLIRKKKIRKNLVNPQQRNTIAVPAGGWAVIRFTADNPGVWLMHCHLEAHLPFGLAMAFDVQDGPTPDAMLPPPPNDYPPC >ONIVA12G09020.1 pep chromosome:AWHD00000000:12:9009470:9009769:-1 gene:ONIVA12G09020 transcript:ONIVA12G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPHPDLTSGSTDMKQAAAAPAKSTRSCEDASVAKRGGDSWRSLRRSESAWNLFVLDVILKSTASRQ >ONIVA12G09030.1 pep chromosome:AWHD00000000:12:9012282:9013036:1 gene:ONIVA12G09030 transcript:ONIVA12G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIPPTIPSQPPDPPPPPQPALSFAARRWIRPLPVAHAPSHRSCPIESPPDMQPRPLPPPPPMRETKREERDLGKVGEMRWERQEATRFALHSIGCDDNVPKTTGRGNGCCCYWWKLTSEEVHPDWPEE >ONIVA12G09040.1 pep chromosome:AWHD00000000:12:9018914:9031953:1 gene:ONIVA12G09040 transcript:ONIVA12G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74580) TAIR;Acc:AT1G74580] MPPPPPRFPPTVPLSALWPPPAASMPSTPPSPPRALASPPPPSTRSTSPPFAPTHAPAASATPWMPSSAWTSSPAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVRDNKLTRKHRMLLLNNLSHS >ONIVA12G09050.1 pep chromosome:AWHD00000000:12:9028732:9031681:-1 gene:ONIVA12G09050 transcript:ONIVA12G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ/MATH-domains containing protein [Source:Projected from Arabidopsis thaliana (AT2G39760) TAIR;Acc:AT2G39760] MTAAASWSRSVTETVRGSHQYTVKGFSMAKGVGAGRYVSSDTFAVGGYHWAVYLYPDGKNPEDNANYVSVFVALASDGADVRALFELTLLDQSGRGRHKVHSHFDRSLQAGPYTLKYRGSMWGYKRFYRRSLLESSDFLKDDCLVMNCTVGVVKNRLETPKNIHINIPPSDMGRCFNNLLNLRIGCDVSFEVGDERVQAHKWILAARSPVFKAQFFGPIGNPDLHTVIVEDVEPLVFKAMVNFIYSDELPSIHELAGSVSTWTSTVVVQHLLAAADRYGLDRLRLLCEEKLCDELTAETVATTLALAEQHHCTQLKSACLKFTAVRENLGAVMETEGFNYLEETCPSLLSDLLATVAVVDDDAASFNRKRGVGGNEGANPVESVEASDRRIRRRV >ONIVA12G09060.1 pep chromosome:AWHD00000000:12:9034375:9037130:1 gene:ONIVA12G09060 transcript:ONIVA12G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAGLESAWEYLITHFSEFQLASIGTFLLHESVFFLSGLPSLLFERLGLFSKYKIQKKSNTPDYQNRCVVRLVLYHVCVNLPLTILSYRTFKFMGLRSTLPLPHWTVVVSQVLFFFVLEDFIFYWGHRALHTKWLYQHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVAGPALTGPHLFTLWVWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGAEFHDYHHRVLYTKSGNYSSTFIYMDWLFGTDKDYRKTKALEEKERTKHL >ONIVA12G09060.2 pep chromosome:AWHD00000000:12:9034375:9036848:1 gene:ONIVA12G09060 transcript:ONIVA12G09060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAGLESAWEYLITHFSEFQLASIGTFLLHESVFFLSGLPSLLFERLGLFSKYKIQKKSNTPDYQNRCVVRLVLYHVCVNLPLTILSYRTFKFMGLRSTLPLPHWTVVVSQVLFFFVLEDFIFYWGHRALHTKWLYQHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVAGPALTGPHLFTLWVWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGAEFHDYHHRVLYTKSGNYSSTFIYMDWLFGTDKDYRKTKALEEKERTKHLFSMMMKMVCMLAGLLLDDDDQY >ONIVA12G09060.3 pep chromosome:AWHD00000000:12:9034375:9037148:1 gene:ONIVA12G09060 transcript:ONIVA12G09060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAGLESAWEYLITHFSEFQLASIGTFLLHESVFFLSGLPSLLFERLGLFSKYKIQKKSNTPDYQNRCVVRLVLYHVCVNLPLTILSYRTFKFMGLRSTLPLPHWTVVVSQVLFFFVLEDFIFYWGHRALHTKWLYQHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVAGPALTGPHLFTLWVWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGAEFHDYHHRVLYTKSGNYSSTFIYMDWLFGTDKDYRKTKALEEKERTKHL >ONIVA12G09070.1 pep chromosome:AWHD00000000:12:9054878:9055726:1 gene:ONIVA12G09070 transcript:ONIVA12G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMAKHYWALWGAGVRSGWPAAAHGGGAEPSWEEKAFAQDAAGHLGGCVWPPRSYTCSFCRREFRSAQALGGHMNVHRRDRARLRQCDDDDDDPIPPTVSICAPPPPPPPPPPLLPAAAAAPDSPSPPSLLLQISSPKSTTADHHQNHQQLQLQGTNSSPNSCIATIIKESRNKARLFITTMPAPAPATTHDLGLGGGKDDDDSISISMEEIRRKRRRVDQPLTPTPSYSSERERRREDDPAAADASNNKVIPSSSILVNQLAMDMVGRQEIDLELRLGST >ONIVA12G09080.1 pep chromosome:AWHD00000000:12:9058806:9059838:-1 gene:ONIVA12G09080 transcript:ONIVA12G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQPTMLSGSIVGQQCSRTGSGNGEAVGGRRGGGGAEASALTLVETDGRCGGGDLGQHIAERRWGRGCWRRGRLTFGWPGYCPVRGAPPLICGELLGRVEAMIGFNEEVDERCGGGGLGQRVGDGWRGVGRVWRRPCAADVWMVVSNWATNNGGRVAEGFKRKLSLMFHWANSDYAFGYGNPTEGAVEVPLLPRQGALVLWMDDKASFDVATFVRTSFLESKLCGGVVDPVATGLA >ONIVA12G09090.1 pep chromosome:AWHD00000000:12:9061021:9063607:-1 gene:ONIVA12G09090 transcript:ONIVA12G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraacyldisaccharide 4'-kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G20480) TAIR;Acc:AT3G20480] MEKWRRVVGGVAGTPDSGVPGLPFLHRALLLPLLFAASKALRLLSHLQRRTSTSTSLPVPVVSVGNLTWGGNGKTPMVDFLARAFHRIGVSPLILTRGYAGGDESRMLRRRLSDTSAKIGVGPNRAAVATSMLRKYGAQIGVAILDDGMQHLSLLRDVDIVMINALNPWGNKHLIPRGPMREPLTALTRAHILLIHHANLVSQPQLKTILSTVHDNGATCPVFFSKLVPSHIFQVNQPMHRLPLHVLHGIIVLCVSAIGCPDAFIHSVQEIGPLKIERLDFSDHHSFSSHDLQLIQDTLKKLVYQHKNNAVVLVTEKDYDRDPDVLRALDAKVWVLSSCLQIIPHEGQGDDEFMRKDWSINSILVAEYALLI >ONIVA12G09100.1 pep chromosome:AWHD00000000:12:9067675:9073480:1 gene:ONIVA12G09100 transcript:ONIVA12G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0J993] MAQQQGQGAGTTTVAMMSRNPSYYYSGEGELSLAVQRQDSLYRDASRAGQHEQAHGEGWARTLRLAFQCFGVLYGDIGTSPLYVYSTTFDGGIRHTDDLLGVLSLIIYSFLLFTIIKYVYIALRANDDGDGGTFALYSLISRHAKVSLVPNQQAEDELHLHISKSSSLRRPSVQRLASTAEERAQWVKDLLENSRPVRISLFLLTILATAMVISDACLTPAISVLSAVGGLKDKAPHLNTEQVVWVTVGILVMLFAVQRFGTDKVGYLFAPVVLLWLLLIGGVGVYNLAAHDVGVLRAFNPKYILDYFRRNGRHGWVSLGGVLLCFTGTEALFADLGCFSIRSIQLSFAFGLVPAVLLAYAGQAAYLRVYPDHVGDAFYASTPQVLFWPTLVLALAASVVGSQAMISCAFATISHSQAMGCFPRVKVVHTSRQYQGQVYIPEINLLLGAAACVVTVAARDTVVIGEAHGICVVLVMLITTLLLTVVMVLVWRVNIGWVLGFACVFASTESVYLTSVLYKFAHGGYIPVAMSAVLMGVMGVWHYVHVRRYKYEMERTVSTERVRELVSRRELQRVPGVGLFYTDLVQGIPPVFPHLIDKIPSIHTVLLFVSVKHLPVPHVDPSERFLFRQVEPQEHKLFRCVARYGYRDRLEDARDFVANLVERLQYYVRDVNLYGAAANNKVSYPSSRCDSMGIPKSASYAERLQLQRARSVAMLHSHSQHQQQPLPQQLGQLLQYSASTGEQQRRSVYAEEMLTPAESFSEMGTMAASGRQLMAVAVKMSLEEMARIEEEQRFIQREMEKGVVFILGESEVVARPHSSLLKKLVVNYAYSFLRRNCRQGDKMLAIPRSQLLKVGMSYEI >ONIVA12G09110.1 pep chromosome:AWHD00000000:12:9074362:9076706:-1 gene:ONIVA12G09110 transcript:ONIVA12G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLGRRLRSSPRRSRPGPSPPAYGGCAKRSAPPPPLLAGKTTRSNLVGCNFDFCPLKLPPFPRPSLLGVGGRKGKAPSEIHFGIGRKQQCCRSHGNGNGILDKIEAACSSSYSYCGSMEKATKWDNGKKQSLVKKEIGSSSSRRMRSLENALEKARAEIVEMEEEKRLMSRKLRKVAEEKAAAREELKLERHHRRELEGANGKLVKEVARARQRVETERKARELMEEACEELSKEVEEDQAEVEALRRECVSMREEMEEERRMLQMAEVWREERVQMKLSDAKAVLEHKYAHLNTLQSEMESFLLRHGHRTHNHAQLRRTVNMLAASVRGANADDGLFPPANTYKSPHAPDDVDKVFDHFRRNNTDTSSSVASPATDLFLEKLEDDDDGGWPWERETPRPPPHHTSNAACSNSNDHGGRSGVTEEEGGSGRSRRSGNFNTALIRRLWQSAISESRRKTAASASGRNRVLHNGFSPSRSSTVVDQAGSAAMEKENEINSKNKKKKKKSLMEKLMEERMDDHHTADKPCQPQIINYAS >ONIVA12G09120.1 pep chromosome:AWHD00000000:12:9080081:9089759:-1 gene:ONIVA12G09120 transcript:ONIVA12G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRLLLISCLLCVPNSFGFAFAVEEEEDGSGLMPQLSPTGSPNPLVPFLAPAPLAPFFNTTPPNLSGKCSLNFTAVGDLITTTAVDCFASFAPFLANVICCPQLQAMLTILIGQSSKQTGSLALDPTVATYCLSDVQQLLLSQGASDELHNICSLHLSNVTEGSCPVSTVDAFEAVIDSSKLLEACHKIDPVNECCSRTCQNAINDASQKISFKDGGLTSYAGSPKVDSCRNVVLRWLSSRLGPSSAKQMLRQISNCDVNGVCPLSFPDTSKVAKECSGTVKNGTSCCKAMDSYVSHLQKQSFITNLQALDCAQFLGDKLQKMNVSMNVYSSCQITLKDFSLQESGCLLPSMPSDASFDPATGISFTCDLNDNIAAPWPSSMQASSSSCNKSVNIPERPAATSAQNGVNQNRLELSLLIYLGTLVVAIWLQV >ONIVA12G09120.2 pep chromosome:AWHD00000000:12:9085019:9089759:-1 gene:ONIVA12G09120 transcript:ONIVA12G09120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRLLLISCLLCVPNSFGFAFAVEEEEDGSGLMPQLSPTGSPNPLVPFLAPAPLAPFFNTTPPNLSGKCSLNFTAVGDLITTTAVDCFASFAPFLANVICCPQLQAMLTILIGQSSKQTGSLALDPTVATYCLSDVQQLLLSQGASDELHNICSLHLSNVTEGSCPVSTVDAFEAVIDSSKLLEACHKIDPVNECCSRTCQNAINDASQKISFKDGGLTSYAGSPKVDSCRNVVLRWLSSRLGPSSAKQMLRQISNCDVNGVCPLSFPDTSKVAKECSGTVKNGTSCCKAMDSYVSHLQKQSFITNLQALDCAQFLGDKLQKMNVSMNVYSSCQITLKDFSLQGDGKKLRLLQSDDGTFGAAESSLLFPCSCGMSRA >ONIVA12G09120.3 pep chromosome:AWHD00000000:12:9080081:9081842:-1 gene:ONIVA12G09120 transcript:ONIVA12G09120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHFQTANIESGCLLPSMPSDASFDPATGISFTCDLNDNIAAPWPSSMQASSSSCNKSVNIPERPAATSAQNGVNQNRLELSLLIYLGTLVVAIWLQV >ONIVA12G09140.1 pep chromosome:AWHD00000000:12:9084816:9085084:1 gene:ONIVA12G09140 transcript:ONIVA12G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTASYGDMSSFGGGSSSVTQPTQPEVGDLEGNNNDLRRSNRERHELNRLSISGPRHAAGARKKTTKKASWNI >ONIVA12G09150.1 pep chromosome:AWHD00000000:12:9093810:9096506:-1 gene:ONIVA12G09150 transcript:ONIVA12G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPVVVAASSAAALRGFWEEVNESPAWQDGAFFSLSAAYALVSAVALIQLIRIQRRVPEFGWTTQKVFHLMNFLVNGVRALVFGFHLHVFLLSAKARSLPTDKLRIIYIAVNAIIYTIQVCIWVYLGINDNPLVELVSKIFIVVVSFVALLGFSVYGGRLFFLLRRFPIESKGRKKKLYEVGTVTAICCACFLIRCIVVAISAFDSDVSLEVLDHPVLDFFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIN >ONIVA12G09160.1 pep chromosome:AWHD00000000:12:9099242:9102753:-1 gene:ONIVA12G09160 transcript:ONIVA12G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQQESISSGGDGNNNNNKQVAVVVVEEASTPSSAFKFNVHAPEFVPAMSPTASPMSAPAGSSSFYSPFGLHVQPDHWSFFHDHEPVFFMPDFKFAAAAAASAQPKPTSAADMPHKIVKQVEYQFSDINLVANEFLLKIMNKDSEGYVPLSVIASWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKVRRRQPFTEKHKEELQSRMIIAENLPEDSSRNSLEKIFGVVGSVKNIKMCHPQEPSTARASKSDTLVSNKMHALVEYESSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRTEFDLNSDDEQSPMSSDLSPTATATAAELSAEAAGHDQGGEQQMMNSSKKGGGWARGGRGKLQVAAPHSPQSAPAGSVGHFEPASPRHKLPASPRHKCPSSPRQPPPHAHGPRMPDGTRGFTMGRGKPLLV >ONIVA12G09170.1 pep chromosome:AWHD00000000:12:9105207:9110630:1 gene:ONIVA12G09170 transcript:ONIVA12G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLFRDQHPIISVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQCVEHLVDFTTLKNDHDSTSMPMVDHHEINPRDFFIPTQENWLLVTADYSQIELRLMAHFSKDTALIELLSKPDGDVFTMIASRWTGKAESLICSKERETTKRFIYGILYGMGANSLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRHKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSAITNGSTVGATVDSIDVAMQNFSEIRGRCHLILQVHDELVLEVDPCMVAEAVRLLQTVMENSASLLGPSVSFLLLRATLNLYKTGYIASVTESIVENED >ONIVA12G09170.2 pep chromosome:AWHD00000000:12:9105666:9110630:1 gene:ONIVA12G09170 transcript:ONIVA12G09170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFSKDTALIELLSKPDGDVFTMIASRWTGKAESLICSKERETTKRFIYGILYGMGANSLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRHKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSAITNGSTVGATVDSIDVAMQNFSEIRGRCHLILQVHDELVLEVDPCMVAEAVRLLQTVMENSASLLGPSVSFLLLRATLNLYKTGYIASVTESIVENED >ONIVA12G09180.1 pep chromosome:AWHD00000000:12:9111574:9113424:1 gene:ONIVA12G09180 transcript:ONIVA12G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0J9A3] MAPTVMASSATSVAPFQGLKSTAGLPVSRRSTNSGFGNVSNGGRIKCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >ONIVA12G09190.1 pep chromosome:AWHD00000000:12:9125344:9129516:1 gene:ONIVA12G09190 transcript:ONIVA12G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0J9A4] MAPSVMASSATSVAPFQGLKSTAGLPVNRRSSSSSFGNVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >ONIVA12G09200.1 pep chromosome:AWHD00000000:12:9133325:9138583:1 gene:ONIVA12G09200 transcript:ONIVA12G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILTFALPRLHLQMPFSMPTITLVHHSGSLSNSGLNSALSGGFNCSRCLGAGHRPSPTKRQKVAIVGRHVARSLQFASDESSLQNEIQATPKPRKQRQKGPISTENLRRSPRFLGQEKQDLAFDNPKKKSKVQPVRALLLAISKGLPPPVPVAQLQKIGVEKCGLLPEEVAEAKLLKTKK >ONIVA12G09210.1 pep chromosome:AWHD00000000:12:9156681:9168127:1 gene:ONIVA12G09210 transcript:ONIVA12G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast sensor kinase [Source:Projected from Arabidopsis thaliana (AT1G67840) TAIR;Acc:AT1G67840] MPLLDTPYLALRVRLPRFPTPHNPRPAVAIEAQHSFPHGLLARAACQPLRHVAAPAEGEGEEVEDLGTPSAAAVAEAIRRASSASPVRFRRVHREENEKLRGEGGFTEPSTDFRRLCGEQLEMFRVVISRDAVLSVYVRPAGSYIMDQLELRRVALYPETNVSKGDTVILVGNFTISAGLRAAEAFLVKHQMEIITEFGAVVLPMVKHPFVVGFLVAELPELVGCTKNSETSDIQIPSHSFLDKSSDITPYTKGETWDFQTSGDQANSYAQLVTEWKNSALMISRTLAMAYVMDQIRGPLANIRALAKMLSVHTKRNEISYDIVEDVMIQGDHLKDALQQIQDAVYLTKVNIVRYNEETLKKIQGSPSSRTLPHYQSDPKNSSQKVDSLSSHDSDNGDMVIPMPPLWLAPLQPQDARPCDLSVVLEDLVGAAQPLAFRQQRTLDVTGISHPLQVAVEESALRQALSNLIEGALLRTQFGGRVQIYAGEAPAGGILVVIDDDGPDMQYMTQMHCLAPFGSDLADGLTVAREILENYGCVLRVISPRRPDAIIGTGGHV >ONIVA12G09210.2 pep chromosome:AWHD00000000:12:9156681:9168127:1 gene:ONIVA12G09210 transcript:ONIVA12G09210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast sensor kinase [Source:Projected from Arabidopsis thaliana (AT1G67840) TAIR;Acc:AT1G67840] MPLLDTPYLALRVRLPRFPTPHNPRPAVAIEAQHSFPHGLLARAACQPLRHVAAPAEGEGEEVEDLGTPSAAAVAEAIRRASSASPVRFRRVHREENEKLRGEGGFTEPSTDFRRLCGEQLEMFRVVISRDAVLSVYVRPAGSYIMDQLELRRVALYPETNVSKGDTVILVGNFTISAGLRAAEAFLVKHQMEIITEFGAVVLPMVKHPFVVGFLVAELPELVGCTKNSETSDIQIPSHSFLDKSSDITPYTKGETWDFQTSGDQANSYAQLVTEWKNSALMISRTLAMAYVMDQIRGPLANIRALAKMLSVHTKRNEISYDIVEDVMIQGDHLKDALQQIQDAVYLTKVNIVRYNEETLKKIQGSPSSRTLPHYQSDPKNSSQKVDSLSSHDSDNGDMVIPMPPLWLAPLQPQDARPCDLSVVLEDLVGAAQPLAFRQQRTLDVTGISHPLQVAVEESALRQALSNLIEGALLRTQFGGRVQIYAGEAPAGGILVVIDDDGPDMQYMTQMHCLAPFGSDLADGLTVAREILENYGCVLRVISPRRPDAIIGTGGHV >ONIVA12G09210.3 pep chromosome:AWHD00000000:12:9156681:9168127:1 gene:ONIVA12G09210 transcript:ONIVA12G09210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast sensor kinase [Source:Projected from Arabidopsis thaliana (AT1G67840) TAIR;Acc:AT1G67840] MPLLDTPYLALRVRLPRFPTPHNPRPAVAIEAQHSFPHGLLARAACQPLRHVAAPAEGEGEEVEDLGTPSAAAVAEAIRRASSASPVRFRRVHREENEKLRGEGGFTEPSTDFRRLCGEQLEMFRVVISRDAVLSVYVRPAGSYIMDQLELRRVALYPETNVSKGDTVILVGNFTISAGLRAAEAFLVKHQMEIITEFGAVVLPMVKHPFVVGFLVAELPELVGCTKNSETSDIQIPSHSFLDKSSDITPYTKGETWDFQTSGDQANSYAQLVTEWKNSALMISRTLAMAYVMDQIRGPLANIRALAKMLSVHTKRNEISYDIVEDVMIQGDHLKDALQQIQDAVYLTKVNIVRYNEETLKKIQGSPSSRTLPHYQSDPKNSSQKVDSLSSHDSDNGDMVIPMPPLWLAPLQPQDARPCDLSVVLEDLVGAAQPLAFRQQRTLDVTGISHPLQVAVEESALRQALSNLIEGALLRTQFGGRVQIYAGEAPAGGILVVIDDDGPDMQYMTQMHCLAPFGSDLADGLTVAREILENYGCVLRVISPRRPDAIIGTGGHV >ONIVA12G09210.4 pep chromosome:AWHD00000000:12:9156681:9164087:1 gene:ONIVA12G09210 transcript:ONIVA12G09210.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast sensor kinase [Source:Projected from Arabidopsis thaliana (AT1G67840) TAIR;Acc:AT1G67840] MPLLDTPYLALRVRLPRFPTPHNPRPAVAIEAQHSFPHGLLARAACQPLRHVAAPAEGEGEEVEDLGTPSAAAVAEAIRRASSASPVRFRRVHREENEKLRGEGGFTEPSTDFRRLCGEQLEMFRVVISRDAVLSVYVRPAGSYIMDQLELRRVALYPETNVSKGDTVILVGNFTISAGLRAAEAFLVKHQMEIITEFGAVVLPMVKHPFVVGFLVAELPELVGCTKNSETSDIQIPSHSFLDKSSDITPYTKGETWDFQTSGDQANSYAQLVTEWKNSALMISRTLAMAYVMDQIRGPLANIRALAKMLSVHTKRNEISYDIVEDVMIQGDHLKDALQQIQDAVYLTKVNIVRYNEETLKKIQGSPSSRTLPHYQSDPKNSSQKVDSLSSHDSDNGDMVIPMPPLWLAPLQPQDARPCDLSVVLEDLVGAAQPLAFRQQRTLDVTGISHPLQVAVEESALRQALSNLIEGALLRTQFGGRVQIYAGEAPAGGILVVIDDDGPDMQYMTQMHCLAPFGSDLADGLTVAREILENYGCVLRVISPRRPDAIIGTGELWPMGTS >ONIVA12G09220.1 pep chromosome:AWHD00000000:12:9169939:9185857:-1 gene:ONIVA12G09220 transcript:ONIVA12G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRRAAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHCESPCPACRGRVASGCLACRRWEHLLRDGDPIAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRSLVFHSMWFCEILYLQVLLRENINPKLQKQNVIHTCKIVTQQSCETCGSIRRAESKDPSEGCNCPKFPSDGRSGECCNCYTHNTRKRKRLYSWQRRSKKKQVCSVDESSAEWSKLNGSNFNMSNGPSENLAGKMNDQAQSVELTVDNTSLARSNDDSSSEIKVINATILSSEKSPCSVFDIRGSQGLSCHYSLSEVQYQSTCPQVGPSSYLHLNVAPDVTKNDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLQRESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVDLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYLDITIISTITVKMHSSTKYIHSKLCHYMRPKCHPIFYDSNINSPGTIRVNIYQAFLLCAMKFHCYIRSVSDANVSKLELLQVIKRTFRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >ONIVA12G09220.2 pep chromosome:AWHD00000000:12:9169939:9185857:-1 gene:ONIVA12G09220 transcript:ONIVA12G09220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRRAAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHCESPCPACRGRVASGCLACRRWEHLLRDGDPIAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRSLVFHSMWFCEILYLQVLLRENINPKLQKQNVIQCCWLPKSIKNLIRNSKRCQYKKLFLKHCSVKCKVAPDVTKNDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLQRESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVDLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYLDITIISTITVKMHSSTKYIHSKLCHYMRPKCHPIFYDSNINSPGTIRVNIYQAFLLCAMKFHCYIRSVSDANVSKLELLQVIKRTFRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >ONIVA12G09220.3 pep chromosome:AWHD00000000:12:9169939:9185857:-1 gene:ONIVA12G09220 transcript:ONIVA12G09220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRRAAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHCESPCPACRGRVASGCLACRRWEHLLRDGDPIAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRSLVFHSMWFCEILYLQVLLRENINPKLQKQNVIHTCKIVTQQSCETCGSIRRAESKDPSEGCNCPKFPSDGRSGECCNCYTHNTRKRKRLYSWQRRSKKKQVCSVDESSAEWSKLNGSNFNMSNGPSENLAGKMNDQAQSVELTVDNTSLARSNDDSSSEIKVINATILSSEKSPCSVFDIRGSQGLSCHYSLSEVQYQSTCPQVGPSSYLHLNVAPDVTKNDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLQRESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVDLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >ONIVA12G09220.4 pep chromosome:AWHD00000000:12:9169939:9185857:-1 gene:ONIVA12G09220 transcript:ONIVA12G09220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRRAAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHCESPCPACRGRVASGCLACRRWEHLLRDGDPIAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRSLVFHSMWFCEILYLQVLLRENINPKLQKQNVIQCCWLPKSIKNLIRNSKRCQYKKLFLKHCSVKCKVAPDVTKNDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLQRESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVDLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >ONIVA12G09240.1 pep chromosome:AWHD00000000:12:9205474:9212770:1 gene:ONIVA12G09240 transcript:ONIVA12G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVLREATPNTRESPPASSSAPATAASVSSDLREVGRGSEPVVGSSAAAKILAVWGGSVTPSTVSSGGAARITQSSRANCAACNGGQGDSEALTAVRIKAWGARSSTSTLGLVFAGLYNQRFDVEVRRPRKRPHGRRKAGGFLVAKGYFGNKRKYLESKYKFKL >ONIVA12G09250.1 pep chromosome:AWHD00000000:12:9224241:9224888:1 gene:ONIVA12G09250 transcript:ONIVA12G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYVLSLLRRRRRRCTIPTLPPLLPRLLSSSFSHCPSSLSPAKPPSLSARLSFVFDQLDALDRSRFSDHSARDAALRRIQSWRRPAALPDVLPAEAEPRPAPEPGEPVKKEPEAVDVAGKEELERMSVAEVLRREVELVHPWPEWIELMDRLAQQKYFDLGGTGGADEGCVAAAVPMDLAEVTQETGFDFSRDWTTVKNACMNFGRDRFDIVK >ONIVA12G09260.1 pep chromosome:AWHD00000000:12:9228935:9231044:1 gene:ONIVA12G09260 transcript:ONIVA12G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFISMSTLLPLASACLALVLNQCYRDTRVRKKICRVDVYLRVDSRPTRDDTHLTWVGESQGGREELQGLCSRCMVEKTLVVLVGKMTWCRRSLPRKDLQVLVGHGCPSMDPKVVFSAKLIRKLVHLDEGDGMGTCRKAGKGISGGWCMDAIELEFGVCEVQLWNQWCSCKINGVHWAQVCMSEVYSVPGGETYP >ONIVA12G09270.1 pep chromosome:AWHD00000000:12:9232831:9236953:1 gene:ONIVA12G09270 transcript:ONIVA12G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYITNVQVCSSCNLRNLCSRGYILTRKEDEARTLDVMRILLFYGFDHVKETVENKPLLKLKSVKTVVRKLIHDIAKLSAVPIDPNLPPPIIRKPPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHNRPPDEYTNSQMEANQSVPRKRLERPAHKSEVCNAWNFDFDDNESDGADVAAFEFADSSKARNSLSVDNTSSRGGSKFSEDNEFGINETWREGTERRFSERERVGFDDFDDEEDDIDSYELDLSKGGQTDGMSRMSYLDFEDACDSADLNGRAKIWNSKHGEEEDIIGSPEDEEFDHPSLRSSHLAASWRKPVSCNGSNNHRRESFGSESDDGIISDLDGDINEGLKCKRGHNKHYPRRVVVRHNELDDVPFSDMDCDIGGCVQSDRRNRFSTKFSDEFHARASNSNRKKMNERFKSSDMRDGHPPFDRTRTRGTTELHDGSRDLQSNARRNWVKSGGFDDNNRPLRRLNRR >ONIVA12G09280.1 pep chromosome:AWHD00000000:12:9259978:9261623:-1 gene:ONIVA12G09280 transcript:ONIVA12G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMSGHHYRWPISLTGVPLAISLHHRACLGEVNSFFLFPLSFPLRNQPPQPPPLVAGAVLLSPLFRPSSSLLPSTDLSGCVESGKTLDRRRRGRSTTGEPAPRSPSSLPPLGSKKQRRRRGRSVALRTPRLDAYSSQSLTTPSTSTARRTLVLELCATLATLLSLASVEPYSKFDYRIQGADVDPDGYAEAAGNLYAQGKT >ONIVA12G09290.1 pep chromosome:AWHD00000000:12:9262291:9262750:-1 gene:ONIVA12G09290 transcript:ONIVA12G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSEAFAAIGLNEPCNKESSKSMRSVAGVLVHAAATPWRPSPMTRRPVRWAGRPAMTGEERGEQQVAANDRLRPPRRSPPFSLSVRARRQGTMAGREREGGAGRSSSVCHITNT >ONIVA12G09290.2 pep chromosome:AWHD00000000:12:9262754:9264300:-1 gene:ONIVA12G09290 transcript:ONIVA12G09290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCVLFFMGTRLYVCVRPEGSPFTYFAQGSRSKVAAWVNMVALTAWLPAYDLLVVPALWRVMGREEGISQLQRIGIGLELSVVTMAVAVAVEHRQRWAGARSSWAWMVPQ >ONIVA12G09300.1 pep chromosome:AWHD00000000:12:9292492:9293019:-1 gene:ONIVA12G09300 transcript:ONIVA12G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDVAEPWGLSAWPPLTSLLLRAMRKRRTWAALFLAVYASLLCSSWRLVESVRAWYYSAAGGAGAVAAWPAAFYASVMYGAVFGLLSMGAALAVAAPAMLITWITVLVLLAFAGKPRRSLVAEGRRATADIARLALRVLLREGNAVAALCAAASFAALLLGRRDDDPAEGSGS >ONIVA12G09310.1 pep chromosome:AWHD00000000:12:9299445:9299708:1 gene:ONIVA12G09310 transcript:ONIVA12G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEYEIPDLNLDPGVQEVLQDEGDEIPDLNLDPAVKGEDAFQYEDEELPDNQCFAAHEDGQPDPAMQVVELSNGWSAQEICHLNM >ONIVA12G09330.1 pep chromosome:AWHD00000000:12:9391592:9391947:-1 gene:ONIVA12G09330 transcript:ONIVA12G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIENVAADMGDEPTNVTNEDEDASNIPEDKSSKASKQPPPLPPRRRPTPSKNKNHISFHSSATNSSTDTSSIGKFSLNSPSFILINTINSNI >ONIVA12G09340.1 pep chromosome:AWHD00000000:12:9393814:9402212:1 gene:ONIVA12G09340 transcript:ONIVA12G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASLRHVPLSSALAIHEPLPSSSIASLPNIFRGVRGGKVLDPNRVAPVTPLSGAACLLILSLTRLQDLNHSGDTSIPPTEIAIAAASSRKLALEPNTSKRKCARISELANMLRAVCDFTQKHKAATKSLK >ONIVA12G09340.2 pep chromosome:AWHD00000000:12:9393814:9402212:1 gene:ONIVA12G09340 transcript:ONIVA12G09340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASLRHVPLSSALAIHEPLPSSSIASLPNIFRGVRGGKVLDPKLGKVWDKKLALEPNTSKRKCARISELANMLRAVCDFTQKHKAATKSLK >ONIVA12G09340.3 pep chromosome:AWHD00000000:12:9393814:9402302:1 gene:ONIVA12G09340 transcript:ONIVA12G09340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASLRHVPLSSALAIHEPLPSSSIASLPNIFRGVRGGKVLDPKLGKVWDKKLALEPNTSKRKCARISELANMLRAVCDFTQKHKAATKSLKIERLSALLPDIGAIQKLI >ONIVA12G09340.4 pep chromosome:AWHD00000000:12:9393814:9402302:1 gene:ONIVA12G09340 transcript:ONIVA12G09340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASLRHVPLSSALAIHEPLPSSSIASLPNIFRGVRGGKVLDPPLLSSRKLALEPNTSKRKCARISELANMLRAVCDFTQKHKAATKSLKIERLSALLPDIGAIQKLI >ONIVA12G09350.1 pep chromosome:AWHD00000000:12:9405236:9421648:-1 gene:ONIVA12G09350 transcript:ONIVA12G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics;carbohydrate kinases;phosphoglucan, water dikinases [Source:Projected from Arabidopsis thaliana (AT5G26570) TAIR;Acc:AT5G26570] MTSLRPLETSLSIGGRPRRGLVLPPPGVGAGVLLRRGAMALPGRRGFACRGRSAASAAERTKEKKRRDSSKQPLVHLQVCLEHQVKFGEHVGIIGSTKELGSWEEQVELEWTTNGWVCQLKLPGETLVEFKFVIFLVGGKDKIWEDGNNRVVELPKDGKFDIVCHWNRTEEPLELLGTPKFELVGEAEKNTGEDASASVTFAPEKVQDISVVENGDPAPEAESSKFGGQWQGSKTVFMRSNEHLNKEADRMWDTTGLDGIALKLVEGDKASRNWWRKLEVVRGILSESFDDQSRQISCFEDGGHHRPNKHAEISRQIFRELEMMYYGKTTSAKDVLVIRKIHPFLPSFKSEFTASVPLTRIRDIAHRNDIPHDLKVQEIKHTIQNKLHRNAGPEDLIATEVMLARITKTPGEYSETFVEQFTIFYSELKDFFNAGSLFEQLESIKESLNESGLEVLSSFVETKRSLDQVDHAEDLDKNDTIQILMTTLQSLSSLRSVLMKGLESGLRNDAPDNAIAMRQKWRLCEISLEDYSFVLLSRFINTLEALGGSASLAKDVARNTTLWDTTLDALVIGINQVSFSGWKTDECIAIGNEILSWKQKGLSESEGCEDGKYIWSLRLKATLDRARRLTEEYSEALLSIFPEKVMVIGKALGIPDNSVSKLCTVLQKAIREVLGSTGWDVLVPGVAHGTLMRVERILPGSLPSSVKEPVVLIVDKADGDEEVKAAGDNIVGVILLQELPHLSHLGVRARQENVVFVTCEYDDTVTDVYLLEGKYIRLEASSINVNLSIVSEKNDNAVSTEPNSTGNPFQQKLQNEFSLPSDIEMPLQMSKQKSKSGVNGSFAALELSEASVESAGAKAAACRTLSVLASLSNKVYSDQGVPAAFRVPSGAVIPFGSMEDALKKSGSLESFTSLLEKIETAKVENGEVDSLALELQAIISHLSPPEETIIFLKRIFPQDVRLIVRSSANVEDLAGMSAAGLYDSIPNVSLMDPCAFGAAVGKVWASLYTRRAILSRRAAGVYQRDATMAVLVQEILQPDLSFVLHTVCPADHDPKVVQAEVAPGLGETLASGTRGTPWRLSCNKFDGKVATLAFSNFSEEMVVHNSGPANGEVIRLTVDYSKKPLSVDTTFRKQFGQRLAAIGQYLEQKFGSAQDVEGCLVGKDIFIVQSRPQP >ONIVA12G09370.1 pep chromosome:AWHD00000000:12:9551615:9554635:1 gene:ONIVA12G09370 transcript:ONIVA12G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETTGVSGGGFRRRLEHYLYSGEKKHVVAGIAIFAAIFGVPWYFMSRGTKHQSHQDYMEKANKARSERLSSGQSSAPKA >ONIVA12G09380.1 pep chromosome:AWHD00000000:12:9555229:9555929:1 gene:ONIVA12G09380 transcript:ONIVA12G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSAFSFSRASSSRVRRDSQVSELPLVKCLFCKGDSTVVERTCKKEENLNRKFYRCLTGQYTSAQCKFFMWQGDYAIWLMKEGFLHGWTDCNAHRTEDDVPESVKASLKGLHDEIEKIRCEAKEAMSRICMFGIAFVTAFVMFVAMNVMK >ONIVA12G09390.1 pep chromosome:AWHD00000000:12:9567910:9571694:1 gene:ONIVA12G09390 transcript:ONIVA12G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGASHKAASGSAPSGAAAANPTAMLSALMSKRAKLQEELRSIERQVYEMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSPAVDEHMAGRDDGREYGSGRSKGATTPANGQGKPKKGGRPGGRDGKRIRPSNDPDLDDEEDF >ONIVA12G09400.1 pep chromosome:AWHD00000000:12:9605508:9605835:-1 gene:ONIVA12G09400 transcript:ONIVA12G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRATATASGNGRGRRRATPYGDAGVSWRPSTLNSRWRLARRRYDAGVRGGAALARVFSGSVGGGPTRRGATRCGTRARPVRCTSRKGRCAAWQWLERATSGVRSG >ONIVA12G09410.1 pep chromosome:AWHD00000000:12:9605878:9606442:-1 gene:ONIVA12G09410 transcript:ONIVA12G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVGTAMTARAYHGVAGWRSSMARGAVWPKQGQPAVGFGSMHWRGLITYSVGSQERGEANCGIWRHITQ >ONIVA12G09420.1 pep chromosome:AWHD00000000:12:9608990:9611301:-1 gene:ONIVA12G09420 transcript:ONIVA12G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLKSFQNKFFKLHFHLWRNGGPDWYREYTLWVLEEENSWNKVGRKSKPISNGVIRPGVSFANVFSSEPTRSRAAVLIKKVFDTIKDSILDPNRPSVLPVRKLVFDCLDFPRRNVVQVSGSNLLHDGSSSKAGSLGSFKCSKCLATGHSRSDCHFRVCFKACFAYGHKARYCLAQIRSPLVRDTLVEAFGFQYSKFHTVHFRHHDRGSNWRAAHTNRRGWVMFLGYPLDFRNQHYINKEVSLFGRLVDWQERDPIPGSVMLRAVFDDIDAVPRVFLLKELPLRGGLGQSWTFGVFVLNTEFADIHLGDEDLPPLMVHLQEPTQQHNDHMDMQPDAPPQHDQPWGNWDQQGENNPENTGNSGISAGPNQNLAMSILENEASPVFFVLDSVQGKIQEIVLRNQGPPTVLLVHAPFISLVLPRRNVAFDSLPLVYHSSQLPLVVVQPLGHDEDMDHDMGSPLGHDEIFDVQPLAISEPLDQAQPKSPPRIGPVPLLLEPPRASVKKRDGKTVMFDPDRRQSSRLRSSSQELTQPDPRMGIGKPRGKSAKKLKELVGISNILTGNSSLSASDFHSDLDEECFSTSDSSPSDCSISLLVSLVSFPWIK >ONIVA12G09430.1 pep chromosome:AWHD00000000:12:9617134:9617541:1 gene:ONIVA12G09430 transcript:ONIVA12G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAAGIEVVRWCGGGQRASGSEASRGLGKTSPPVQCAVTAAAAAEGNDLMGSGGLRRRRRIGKTLSPAAAVGDDVGSGRRMGTCGRPRWMGKLQRWEDLASRSVRAGGGRGRRREE >ONIVA12G09440.1 pep chromosome:AWHD00000000:12:9617568:9618790:1 gene:ONIVA12G09440 transcript:ONIVA12G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNLRSVFTRGEGEIVRWRWVDDCISLRPARTRPVRAIQIIEQEIKSDPIQELRKETRESQNHKKTGATAHIRGKHAAAPRSWRLGERPTVRRKEDVIAKARKEDVIAKALTGRGCLHCAGEDNDAAEERAPPAEGRASPAEGGRRRRARVLLAAVGCG >ONIVA12G09450.1 pep chromosome:AWHD00000000:12:9620909:9623331:-1 gene:ONIVA12G09450 transcript:ONIVA12G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLSLLSKRCSSVLTLLFNREKMPFNASAAATAPPAKHLVGVRLNAMASSDGSSRSGDLFPSFTDPMVSPLPLARIRRRLRREGLDPATSSSPARIPSSLSAPLTLIRGRRRREGPDPVVSTVVAASLPPPLLHARPIHRTLPSPSSPLGGLSLWLELVVELIGVRDGGQDGEEMSPEVVSEAAMKMHSYLKYIRIGLDYLSSELISSYHYDDLGRVADSLLDDGFLAGGDGGGKVGLLALDVALAFPQATTSQFPPAGETSSLAFPVEKMFASYLAM >ONIVA12G09450.2 pep chromosome:AWHD00000000:12:9620909:9623064:-1 gene:ONIVA12G09450 transcript:ONIVA12G09450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNAPAAATAPPTKLLVGVRLNGDGVKVEELIRRRQRREQSIRRPLPLLHGSHGLSAPSCADPSSAATGGVRSGDLFLSRSDPVVSQRPAHADPWPATTGGSRSGGVHCGRGFPATAASARAAHTPHPAVSFFPVLFCTYDSMLLVLARLGGLSLWLELVVELIGVRDGGQDGEEMSPEVVSEAAMKMHSYLKYIRIGLDYLSSELISSYHYDDLGRVADSLLDDGFLAGGDGGGKVGLLALDVALAFPQATTSQFPPAVLGSLIWLQVEKMFASYLAM >ONIVA12G09450.3 pep chromosome:AWHD00000000:12:9620909:9623331:-1 gene:ONIVA12G09450 transcript:ONIVA12G09450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLSLLSKRCSSVLTLLFNREKMPFNASAAATAPPAKHLVGVRLNAMASSDGSSRSGDLFPSFTDPMVSPLPLARIRRRLRREGLDPATSSSPARIPSSLSAPLTLIRGRRRREGPDPVVSTVVAASLPPPLLHARPIHRTLPSPSSPCGDGGGKVGLLALDVALAFPQATTSQFPPAGETSSLAFPVEKMFASYLAM >ONIVA12G09450.4 pep chromosome:AWHD00000000:12:9620909:9623064:-1 gene:ONIVA12G09450 transcript:ONIVA12G09450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNAPAAATAPPTKLLVGVRLNGDGVKVEELIRRRQRREQSIRRPLPLLHGSHGLSAPSCADPSSAATGGVRSGDLFLSRSDPVVSQRPAHADPWPATTGGSRSGGVHCGRGFPATAASARAAHTPHPAVSFFPVLGGLSLWLELVVELIGVRDGGQDGEEMSPEVVSEAAMKAEMEAARWGCWRWMLHSRSRRPRRPSSRPPVEKMFASYLAM >ONIVA12G09450.5 pep chromosome:AWHD00000000:12:9620909:9623064:-1 gene:ONIVA12G09450 transcript:ONIVA12G09450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNAPAAATAPPTKLLVGVRLNGDGVKVEELIRRRQRREQSIRRPLPLLHGSHGLSAPSCADPSSAATGGVRSGDLFLSRSDPVVSQRPAHADPWPATTGGSRSGGVHCGRGFPATAASARAAHTPHPAVSFFPVLFCTYDSMLLVLARLGGLSLWLELVVELIGVRDGGQDGEEMSPEVVSEAAMKAEMEAARWGCWRWMLHSRSRRPRRPSSRPPVEKMFASYLAM >ONIVA12G09450.6 pep chromosome:AWHD00000000:12:9620909:9623064:-1 gene:ONIVA12G09450 transcript:ONIVA12G09450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNAPAAATAPPTKLLVGVRLNGDGVKVEELIRRRQRREQSIRRPLPLLHGSHGLSAPSCADPSSAATGGVRSGDLFLSRSDPVVSQRPAHADPWPATTGGSRSGGVHCGRGFPATAASARAAHTPHPAVSFFPVLRWWPRWRGDVTRSCLGSRNEGGDGGGKVGLLALDVALAFPQATTSQFPPAGETSSLAFPVEKMFASYLAM >ONIVA12G09460.1 pep chromosome:AWHD00000000:12:9623114:9623585:1 gene:ONIVA12G09460 transcript:ONIVA12G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERERQSEHPSPSTADQLLNLDAIALSRTPTRCLAGGAVAAADALNGIFSRLNKSVSTEEQRLERQATQYTFLSDFVTEIANSFIPCHSVADPSVRPQRQLFRRLLHLVTLVTSAGVGCALEVLELRFRCGCQEPVVL >ONIVA12G09470.1 pep chromosome:AWHD00000000:12:9625847:9629006:1 gene:ONIVA12G09470 transcript:ONIVA12G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKRSTSTSTSTSSKRGCSATRTATAGSIAGVDHNTYHCLIVLVLFETPSGFAMFGMSGDRLIQPNALQEIWANFGVDYRFIWLKEFREIKDKSSAINHDTGVSCDLAEMIMKWHHPGQKMAVGKPEYKEIIERSLSVPCMFDEIVMEVMWGLKNLMHVLVPQEKMKLSKDDYLPMSQGLYMLLNRYGLDVKPEMVTDSIIKLACFLLDCEYCDVKNSKHLRWTGEYIEKRSGIKCLDWDLMKLATERSTAEEAMFTQDELSKLVKDAHKYEGKIRKRSFMNAYSEMVEARQLIPMAQKQLEDLVKEAKDACEAEQST >ONIVA12G09480.1 pep chromosome:AWHD00000000:12:9647311:9649405:-1 gene:ONIVA12G09480 transcript:ONIVA12G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRPDLCQAAWGQHVVQLGHVLAHNTHVGFGLCLEVSVRLNGCEHGGSRSLLGRGSGLG >ONIVA12G09490.1 pep chromosome:AWHD00000000:12:9745430:9746059:-1 gene:ONIVA12G09490 transcript:ONIVA12G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFEDMFEFEFRVATGGEVSYQFRNLDGSPMSRVLLNESGVMQRMVWDRSVMSWSNFWSGPRDQCDNYGRCGAFGVCNVVDATVCGCIRGFTPRSPAEWYMRNTSGGCGRRTPLQCTGSGGGGGEDGFYLLRGVNLPEMHGCAVDATATLEECRWRCLSNCSCTAYAGADIRGGGSGCIQWFGDLMDTGFVDGGQELYVRLAKSELGL >ONIVA12G09500.1 pep chromosome:AWHD00000000:12:9764836:9771755:-1 gene:ONIVA12G09500 transcript:ONIVA12G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G31970) TAIR;Acc:AT1G31970] MGRSMLPEQQEDVSRKSKKEKKSKKDKKRKLEAEAEVVVVEAVAATSTDEATKSSKKKRAKGDLGQGEEAENGGGKVVAVTGKGSADAKYAPLSSFAATALPPQVLDCCKGFERPSPIQAYAWPYLLDGRDFIGIAATGSGKTIAFGVPSLMHVRRKMGEKSAKKGVPRVLVLSPTRELAQQIADVLCEAGAPCGISSVCLYGGTSKGPQISALKSGVDIVIGTPGRMKDLIEMGICRLNDVSFVVLDEADRMLDMGFEPEVRAILSQTASVRQMVMFSATWPPAVHQLAQEFMDPNPIKVVIGSEDLAANHDVMQIVEVLDDRSRDSRLVALLDKYHKAQRGWSAVSVHGDKAQHDRTKALSLFKEGSCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQENKGLAGELVNVLREAGQVVPPALTKFGTHVKKKESQIYGSHFKEIKADAPKSTKITFGDSDED >ONIVA12G09510.1 pep chromosome:AWHD00000000:12:9785545:9785969:-1 gene:ONIVA12G09510 transcript:ONIVA12G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENHFFMATTKSKGTRLLERGGAITAIYDMWDTKTVAPQKDRPNGHLPGLANLLVGPTGPGFHGVDSTASWSGTH >ONIVA12G09520.1 pep chromosome:AWHD00000000:12:9786622:9790528:-1 gene:ONIVA12G09520 transcript:ONIVA12G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKARRCKKVRVLDETGDFITGCPTYPFEIIRAATNGFSQENEIGRGGFGIVYKGQLPNGQEIAVKKLSKENTVQGLKEFMNEVDIIYESGRAMLSWKMRMDIILDIASGLQYLHKDSRHTIIHRDLKAANVLLDADMVAKISDFGIARLFSHNGGNQDCTITDRVVGTPGYMSPEYAMDGKLSFMQDVYSFGVLLLEIISGKHNQGTSSLIAHATTCIQVGLLCVQESPDQRPPMADVIHMLSREKALGQPRRPVVCTPMRRSPAAVVDDLGVQQTTTCGHSGRLTITDLEAR >ONIVA12G09530.1 pep chromosome:AWHD00000000:12:9809493:9814508:1 gene:ONIVA12G09530 transcript:ONIVA12G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCAGSLFNLANGLLIKMIRAYPSPPVVLASIHRALSDSGHRSPAVLDVLVDTYKKSGRVQDAAEVVLMMRDRGLAPSIRCCNALLKDLLRADAMALLWKVREFMVGAGISPDVYTYSTLIEAYCKVREFDTAKKVLVEMRERGCGLNTVTYNVLIAGLCRSGAVEEAFGFKKDMEDYGLVPDGFTYGALINGLCKSRRSNEAKALLDEMSCAELKPNVVVYANLIDGFMREGNADEAFKMIKEMVAAGVQPNKITYDNLVRGLCKMGQMDRASLLLKQMVRDSHRPDTITYNLIIEGHFRHHNKKDAFRLLSEMENAGISPNVYTYSIMIHGLCQSGEPEKASDLLEEMTTKGLKPNAFVYAPLISGYCREGNVSLACEIFDKMTKVNVLPDLYCYNSLIFGLSKVGRVEESTKYFAQMQERGLLPNEFTYSGLIHGYLKNGDLESAEQLVQRMLDTGLKPNDVIYIDLLESYFKSDDIEKVSSTFKSMLDQGVMLDNRIYGILIHNLSSSGNMEAAFRVLSEIEKNGSVPDVHVYSSLISGLCKTADREKAFGILDEMSKKGVDPNIVCYNALIDGLCKSGDISYARNVFNSILAKGLVPNCVTYTSLIDGSCKVGDISNAFYLYNEMLATGITPDAFVYSVLTTGCSSAGDLEQAMFLIEEMFLRGHASISSFNNLVDGFCKRGKMQETLKLLHVIMGRGLVPNALTIENIISGLSEAGKLSEVHTIFVELQQKTSESAARHFSSLFMDMINQGKIPLDVVDDMIRDHCKEGNLDKALMLRDVIVAKSAPMGCSSYLAIVDNLCRKGKLSEALNLLKEMDKRGICPSENQCLILLTNLHTSGYIQEHNTVLDNMLCHKWLQKDSKFCNSAGDNLESVNAE >ONIVA12G09540.1 pep chromosome:AWHD00000000:12:9830582:9839006:-1 gene:ONIVA12G09540 transcript:ONIVA12G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRCNPRPSAAVALRGAAAAPQVGNEAAFELLVGATEPCLRGRRRGAGIRCQRSAAASAVVVEKKSRAVEPAREGANAGHTESELTVVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGKTTNKLLMAGEKAVGCGAKNVSELDELTFIKELHFGTIDQLGLDRSIVSGSLYQYQLKLEAFHQAMKMAVTLFGRGGGVATASGIRRRQMERRCIRPQKVGYGGRLSDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAIAKRLHGDWVTGPAIPIVTGFLGKGWKTGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPNAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKARDMSKTVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIKQANELDHVIEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFESGFLPEVNDILQDDSVAHSNGTVYRH >ONIVA12G09540.2 pep chromosome:AWHD00000000:12:9830582:9839006:-1 gene:ONIVA12G09540 transcript:ONIVA12G09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRCNPRPSAAVALRGAAAAPQVGNEAAFELLVGATEPCLRGRRRGAGIRCQRSAAASAVVVEKKSRAVEPAREGANAGHTESELTVVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGKTTNKLLMAGEKAVGCGAKNVSELDELTFIKELHFGTIDQLGLDRSIVSGLSDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAIAKRLHGDWVTGPAIPIVTGFLGKGWKTGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPNAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIKQANELDHVIEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFESGFLPEVNDILQDDSVAHSNGTVYRH >ONIVA12G09540.3 pep chromosome:AWHD00000000:12:9830582:9839006:-1 gene:ONIVA12G09540 transcript:ONIVA12G09540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRCNPRPSAAVALRGAAAAPQVGNEAAFELLVGATEPCLRGRRRGAGIRCQRSAAASAVVVEKKSRAVEPAREGANAGHTESELTVVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGKTTNKLLMAGEKAVGCGAKNVSELDELTFIKELHFGTIDQLGLDRSIVSGLSDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAIAKRLHGDWVTGPAIPIVTGFLGKGWKTGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPNAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKARDMSKTVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEELDHVIEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFESGFLPEVNDILQDDSVAHSNGTVYRH >ONIVA12G09540.4 pep chromosome:AWHD00000000:12:9830582:9839006:-1 gene:ONIVA12G09540 transcript:ONIVA12G09540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRCNPRPSAAVALRGAAAAPQVGNEAAFELLVGATEPCLRGRRRGAGIRCQRSAAASAVVVEKKSRAVEPAREGANAGHTESELTVVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGKTTNKLLMAGEKAVGCGAKNVSELDELTFIKELHFGTIDQLGLDRSIVSGLSDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAIAKRLHGDWVTGPAIPIVTGFLGKGWKTGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPNAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKARDMSKTVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIKQANELDHVIEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFESGFLPEVNDILQDDSVAHSNGTVYRH >ONIVA12G09550.1 pep chromosome:AWHD00000000:12:9856195:9869069:1 gene:ONIVA12G09550 transcript:ONIVA12G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G07750) TAIR;Acc:AT3G07750] MVGLSEGEKHFIRGGIAQDLRTDGRRRLQFRAISVETGVIPQANGSARVRLGATEVIATVKAELGKPSILHPDKGKVSIFVDCSPTAAPMVEVLKNFLLSSVLHCKDAYSVVKVGQAVWRAKALHTRLNAIAPLPHEKTKRRKEEGNRSQAKQWMEQRRCQRGGAAIDLSSLIVVDGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSATTDQEPEVNVSDEEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRHGQIRGLTKRGGAGLDPSVIFDMISVAKHVSRQFISVLDSETLAAEAAE >ONIVA12G09550.2 pep chromosome:AWHD00000000:12:9856195:9869069:1 gene:ONIVA12G09550 transcript:ONIVA12G09550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G07750) TAIR;Acc:AT3G07750] MVGLSEGEKHFIRGGIAQDLRTDGRRRLQFRAISVETGVIPQANGSARVRLGATEVIATVKAELGKPSILHPDKGKVSIFVDCSPTAAPMFEGRGSEEFSAELCVALQRCLLGGKSGAGAAIDLSSLIVVDGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSATTDQEPEVNVSDEEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRHGQIRGLTKRGGAGLDPSVIFDMISVAKHVSRQFISVLDSETLAAEAAE >ONIVA12G09550.3 pep chromosome:AWHD00000000:12:9856267:9869069:1 gene:ONIVA12G09550 transcript:ONIVA12G09550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G07750) TAIR;Acc:AT3G07750] MPHARYAPAAPRTMVGLSEGEKHFIRGGIAQDLRTDGRRRLQFRAISVETGVIPQANGSARVRLGATEVIATVKAELGKPSILHPDKGKVSIFVDCSPTAAPMVEVLKNFLLSSVLHCKDAYSVVKVGQVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSATTDQEPEVNVSDEEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRHGQIRGLTKRGGAGLDPSVIFDMISVAKHVSRQFISVLDSETLAAEAAE >ONIVA12G09560.1 pep chromosome:AWHD00000000:12:9871389:9871691:-1 gene:ONIVA12G09560 transcript:ONIVA12G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSSKGDDETSLLPNTRLEKPIQAVAKVITAPTDRLDLDYDESSKATAMATMPAGCCDQIDLLLGAGRALF >ONIVA12G09570.1 pep chromosome:AWHD00000000:12:9886140:9901551:1 gene:ONIVA12G09570 transcript:ONIVA12G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIALGLTVVTGIDGDGWRLVLEKIEGISPMTANARGERARSAEAPVDGNGEVANGGALDIESGVELGTSSDPEIGISCV >ONIVA12G09580.1 pep chromosome:AWHD00000000:12:9900664:9904024:-1 gene:ONIVA12G09580 transcript:ONIVA12G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYENNPTMRYTKKLLNVTNYFNRAMRVACPGSRWIDDIDLWRHIYVTNPVADS >ONIVA12G09590.1 pep chromosome:AWHD00000000:12:9927728:9928111:1 gene:ONIVA12G09590 transcript:ONIVA12G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIELRASRRWGSVAARRLMRRRGSAAVDGGGVGGSAAVDGGGVGGSSRMAAVKDGGVNGSAVVDGDGVGRLVASWLTWKGAAWADQRRRDSRGRELSGSGEEREGGGRWIARWDVGAILSVGISPR >ONIVA12G09600.1 pep chromosome:AWHD00000000:12:9957867:9958130:1 gene:ONIVA12G09600 transcript:ONIVA12G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARKVVLSMAAAALMILGEGGGGRCVSRGGERGGGRGWAWSGRTPCRPTAAEQSRKAMGQCWRVLTAISAGKVREEHVEEAGTFN >ONIVA12G09610.1 pep chromosome:AWHD00000000:12:9963625:9971716:1 gene:ONIVA12G09610 transcript:ONIVA12G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGAGGGGGEGDSNGGGTSPGGVSAAAPAIGPHHLGVAAAEEAMWQMTLGGGESMESTPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPPNRKLAVAAARMNGEYPYRVGQPECQYYLKTGTCKFGATCKFHHPREKAALANRVQLNVLGYPMRPNEKECAYYLRTGQCKFASTCYAQVIVPQGLVQVPGWNPYAAQMGSSSPDDQQRTPVTTQYYGSRQSETGGMGDHGMYQSYQGGSVPVGVYTVQGENIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERLVPAPNCALNSLGLPLRPGEPVCTFYSRYGICKFGPNCKFDHPMGTLMYGSATSPRGDVSSMHYQLSPSPGHPGILLDGGSGRSHRVPQSDSQQIPSGDGNAEREAS >ONIVA12G09610.2 pep chromosome:AWHD00000000:12:9963625:9971716:1 gene:ONIVA12G09610 transcript:ONIVA12G09610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGAGGGGGEGDSNGGGTSPGGVSAAAPAIGPHHLGVAAAEEAMWQMTLGGGESMESTPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPPNRKLAVAAARMNGEYPYRVGQPECQYYLKTGTCKFGATCKFHHPREKAALANRVQLNVLGYPMRPNEKECAYYLRTGQCKFASTCKFHHPQPSNTMVAIRNSMYSPGQSATSPGQHTYPGAVTNWTLSRSASFIASPRWPGHSGYAQVIVPQGLVQVPGWNPYAAQMGSSSPDDQQRTPVTTQYYGSRQSETGGMGDHGMYQSYQGGSVPVGVYTVQGENIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERLVPAPNCALNSLGLPLRPGEPVCTFYSRYGICKFGPNCKFDHPMGTLMYGSATSPRGDVSSMHYQLSPSPGHPGILLDGGSGRSHRVPQSDSQQIPSGDGNAEREAS >ONIVA12G09610.3 pep chromosome:AWHD00000000:12:9963965:9971716:1 gene:ONIVA12G09610 transcript:ONIVA12G09610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGAGGGGGEGDSNGGGTSPGGVSAAAPAIGPHHLGVAAAEGGGGVICSVLLVRGSRDGAAMSRAVGVCGRSWGPRGRWLVRVPRWSLGGGDDSMGTPGNKAMWQMTLGGGESMESTPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPPNRKLAVAAARMNGEYPYRVGQPECQYYLKTGTCKFGATCKFHHPREKAALANRVQLNVLGYPMRPNEKECAYYLRTGQCKFASTCKFHHPQPSNTMVAIRNSMYSPGQSATSPGQHTYPGAVTNWTLSRSASFIASPRWPGHSGYAQVIVPQGLVQVPGWNPYAAQMGSSSPDDQQRTPVTTQYYGSRQSETGGMGDHGMYQSYQGGSVPVGVYTVQGENIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERLVPAPNCALNSLGLPLRPGEPVCTFYSRYGICKFGPNCKFDHPMGTLMYGSATSPRGDVSSMHYQLSPSPGHPGILLDGGSGRSHRVPQSDSQQIPSGDGNAEREAS >ONIVA12G09620.1 pep chromosome:AWHD00000000:12:9972986:9977032:1 gene:ONIVA12G09620 transcript:ONIVA12G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase [Source:Projected from Arabidopsis thaliana (AT1G10830) TAIR;Acc:AT1G10830] MASHLLLRLPAPPPLLLHFPQRRVTLPQSRVSPTAPLLGARLVFSPASAVRPARARGSSIGGAGEDDSDGEVDGAPRLVGEDSAAFRLGDQRVASWVYFGGILAVVLWGLNVLWIDPATGVGTRFLEAVAAVSDNHEVVQRFLVWTANEAIECDIDFLCASSLGKKSVVTMLLLTIIFAVVHSGMASLRETGEKIIGERAYRVMFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQMVGQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVIPFAAVIDGRQKLPKDYYREFIRLPYLAITALTLGAYFVHPLMQASSYQLPW >ONIVA12G09630.1 pep chromosome:AWHD00000000:12:9988458:9989054:-1 gene:ONIVA12G09630 transcript:ONIVA12G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPGSCEMCASCCTLLLLLVAALLILSYGVVFNVGVTVEDASLTRLDLAGANGTDLAYGISLTVAVHNPNMAVRAEYTRPLAAELRLATREELLHAVHLADAGQRVEAAETDRFGVTDEGVAAHLGGAALTELVKEMGARGGGGVVAGAEDHRRGEVPAGARRPDEEGGRDVPAEAVPTGDEHHAGQPQGHQMPLD >ONIVA12G09640.1 pep chromosome:AWHD00000000:12:9997414:9997686:-1 gene:ONIVA12G09640 transcript:ONIVA12G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVVAVGWAIRTIGWIVSPMTTKAVNKGIDLLNCDQEAQLRNLVACLEPQLRRQMEHMTSMPYLDKWMQSLRSAFYDAEDIVDIHDLP >ONIVA12G09650.1 pep chromosome:AWHD00000000:12:9998099:10000278:-1 gene:ONIVA12G09650 transcript:ONIVA12G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFAWPEVGWGKFSTRSMPNPRYRKIVSETIFFFPSIHGAHLPRLLTALGLEAARGPGAAYLQPPLVSFPPSVTAGLHPATAAAARHLSFLASDSPVAGEGKVEGNSPDIKKQNSSVVNLRFSIFGGFLQLFCTLIFFLFS >ONIVA12G09660.1 pep chromosome:AWHD00000000:12:10003264:10007481:1 gene:ONIVA12G09660 transcript:ONIVA12G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G26610) TAIR;Acc:AT5G26610] MSHRPTENLETEGLEQASVDTQLTSSNVGFRLLQKMGWKGKGLGKNEQGITEPIKAGIRDAKLGVGKQEQDDFFTSEDNVQRRKLNIELEETEEHIKKRELLASALMILGHFGHFLFGGSFSCLVQIMTIQVIAEREQKIRSEVKEIQKVFFCSLCNKQYKLAHEFESHLSSYDHNHRKRFKEMKEMQSSSSSSRDDRQKREQQREEKELAKFAQLADAHRKQQQQKQEPSESSSERITMKNLPNPSNQDQRKTLKFGFSKMAPSKQYLIVNLATLLHGQAPVGNVSKKPKKYRF >ONIVA12G09660.2 pep chromosome:AWHD00000000:12:10003264:10007481:1 gene:ONIVA12G09660 transcript:ONIVA12G09660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G26610) TAIR;Acc:AT5G26610] MSHRPTENLETEGLEQASVDTQLTSSNVGFRLLQKMGWKGKGLGKNEQGITEPIKAGIRDAKLGVGKQEQDDFFTSEDNVQRRKLNIELEETEEHIKKREKIRSEVKEIQKVFFCSLCNKQYKLAHEFESHLSSYDHNHRKRFKEMKEMQSSSSSSRDDRQKREQQREEKELAKFAQLADAHRKQQQQKQEPSESSSERITMKNLPNPSNQDQRKTLKFGFSKMAPSKQYLIVNLATLLHGQAPVGNVSKKPKKYRF >ONIVA12G09660.3 pep chromosome:AWHD00000000:12:10003264:10007141:1 gene:ONIVA12G09660 transcript:ONIVA12G09660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G26610) TAIR;Acc:AT5G26610] MSHRPTENLETEGLEQASVDTQLTSSNVGFRLLQKMGWKGKGLGKNEQGITEPIKAGIRDAKLGVGKQEQDDFFTSEDNVQRRKLNIELEETEEHIKKRELLASALMILGHFGHFLFGGSFSCLVQIMTIQVIAEREQKIRSEVKEIQKVFFCSLCNKQYKLAHEFESHLSSYDHNHRKRFKEMKEMQSSSSSSRDDRQKREQQREEKELAKFAQLADAHRKQQQQKQEPSESSSERITMKNLPNPSNQDQRKTLKFGFSKMAPSKAPVGNVSKKPKVATKMSSVFGNESDEES >ONIVA12G09670.1 pep chromosome:AWHD00000000:12:10011010:10014753:1 gene:ONIVA12G09670 transcript:ONIVA12G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein [Source:Projected from Arabidopsis thaliana (AT1G75710) TAIR;Acc:AT1G75710] MALSTLLLPSSSSSSSKTATATDTSDRRRHHHHHNHTKRKKKPPPPPLSPTPRTPPPAGSGSHRAMAASSKKSTKQVVVAAKTTTGHRPKKAAAAPTTPSRGAPSASAAPVSSSWEQLKSLLSCRSATAAARVHDPAAPSSALSRLRSHGAGACGASLCAIRDVVDAASSASAASTAAASLDRDTTPLTRSSRRAHRAATSSSGGGGGHHASLRGLSGCYECRAINVEPMSRRYPRPRELCACSQCGEVFTKADSLEHHQAIRHAVSELGPEDSGRNIVEIIFKSSWQKRDRPICQIDRILKVHNAARTVARFEAYRDAVRTRCRATAARAAADGNELLRFHPAALACPLGLNGATSLCDDDDACGVCAAIRHGFAPWAGAHPLGVRTTASSGRAHDCGAAAAAAQQAGGCRAMLVCRVIAGRVRRNDDDGGAEEEEGAFDSVAGDEAASSVYGNLEELFVANPRAILPCFVVIYRVVPE >ONIVA12G09680.1 pep chromosome:AWHD00000000:12:10022960:10024348:1 gene:ONIVA12G09680 transcript:ONIVA12G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPTCQTCVRNYLGSKEDPALSENPNQLAEQVTNQVAKTDNTVPGPNKEVATRNQHSSMDIYQILANQTQMLQEIAQNLASIQQQLLLPQPKVNPDKSKNEAAEIQGTQSVEGTDTHKEKNKEFLNVMITGMKTPLQANNCPNLQNSINKSVSRENSMIKEGSCHKRKTLPSEVDMQDVPQCQTLQSCQNLPKTPTLKSKKIRHVPGFICFICHEIGHYMRHCPQKPYMDALLQANMSISRMPFYPQGSPNSPNVNAVRPTFPDDKRAKASCDMCQNIQEKKEMQEYKRRKVMSLEIQAKEDLCRKTNSPAQYYQKASQHSNGSGSTSRSTMSEPGQQATMINSNSHEGSNSVPCPTPSKRRGYKAGVECFICHEMGHYSWCCPQKVKSKRVQPTTSLPNVSGPKSSKSPNSGPASLTSPPVGQGRLNHVQVETNEKVVNLEQVEGAGEEQVPQARAKPQ >ONIVA12G09690.1 pep chromosome:AWHD00000000:12:10034296:10037689:-1 gene:ONIVA12G09690 transcript:ONIVA12G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADEWTWIEAGSIDEAAKKIIDFLDDKSNNRSTVIYFEGGYYGLGSSAVLKEVAKRLRWSSVPEKLKLGLDKIIHVDCSLWQSKRALQKAIAQELKLPRWVMAVFDQRDEEDDFDGVEHGARGVIPDVTEAILNELAGHTCLVIFHNGSGGYIDVQECGVPAIMGLSSKRVLWTSQVRFGPWIGPDLQKIAGLSDVVMFANPINVQSMLHEEAEEVARSTGVPKPGMSPEIVKECIMYYKVVRLLDGNHGIDWATHAANYWVCSGIIRSAGNTSAWEIAQALHTNLRLDWNDNQNNNERKLATLQVPCDSVKASFFWMEATGRCKESLEDGMSQHSSVDRLRVINLSQCTFSFTSPPFLGCSSLRFLLLDRCKDKDKLCSGSTPNSTSAGDTEKETSISSGACFQKLWVLDLSYTDWYWLLSVEAQDLMVELRELNVKGVKHWSISHLLRDDNNSSTGVGSSTKPLGLLNLVKLQVATEPITEDQHQSQVSQQDQVAVTLFPNLSSCKIIKTIILDGCFELTRIDPHDLPPSLESFSFSSSSNDNDVDVTAKIENISFRGCTQLKSVLLRGVFERLKQLDVSGTCIKTLDLRSMRGNWSLKELLLLGCKELRAILWPKQDVSLE >ONIVA12G09700.1 pep chromosome:AWHD00000000:12:10044845:10047107:1 gene:ONIVA12G09700 transcript:ONIVA12G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPRRPVLWPPAGGRRTLLCASPDRRRVALVRAGPHALRLCSARWPARECLECHRHNVTALDHHTLDVARQDIRIQCGYQEVDLV >ONIVA12G09710.1 pep chromosome:AWHD00000000:12:10048199:10048706:1 gene:ONIVA12G09710 transcript:ONIVA12G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEGRRGSRGGNGGEREEAAVAGRRATMELCSRTKEELNFGMDKMEKKMRTENLLRDQST >ONIVA12G09720.1 pep chromosome:AWHD00000000:12:10054677:10060515:-1 gene:ONIVA12G09720 transcript:ONIVA12G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKEWTRIRADSIDEAAGRVIELLEDTSKGNVMYFHGWWGLGASAVLRAVAKRLTMRPSPSPGRRRWEKMIHVDCSVWQSKRALQKAIAQELQLPWSLMALFDQHDEEDDFSGVEQSARGVIQDVSQAILSILASRTFLLIFHNGSNGYIDLLECGVPVITGILNNTVLWTSRSSFRITYLGMDNVSKEDRDKLAGLSDAAIYACPTAYDEDSCVNLLGMVLHQEAEEVARYTGVPQSAGMSTELVKKCIMYQLMLRQQHVDYTQHWDTHAANYWVCSGIIQTSDTTSSTSCHSSSPWEIAQALYNNLILEFLTMDDYSSNPAAFAKAIQRALLRVPSDFVDKSSFFFWTCDAIGNNKVDTTTACCRQKSLEAKMFQHRSASWLRVIHLFKCTFSFASPPFLSCSSLRFLLLDHCKDKHNLSSAPNSTSAGDTDKETGISSGACFQKLWVLDLSYTDWYWLLSVEAQDLMVELRELNVKGVKHWSINHLPLGLLNLVKLQVTTEPITEDQHQSQVWKEDRVAATLFPNLSSCKIVKTIILDGCFELKRIDPHVLPPSLESFSFSSSSNDNDVHVSAKIESISFRGCTQLKSLLLRGLFQRLKQLDVSETCIKTLDLRAMRGNWSLKELFLLGCKELRAILWPKQDVSLEVLHIDTSSTELDHATGVEESSSFSPVEFKWYISVRDRRLLRSLNDTEYTYPLDAPCIEISSPPASVATATTDGSELGGTISKRRPIAVSRAEQRWLMSTKSRRPAADNKKLYADVDSTIQHLQLQATMNGNWMWPCKSEGSTSHYISLQDDKRMQTKPLSSPSLPGSICERASGLHVHDSLSIASITSHSNEARRWYNLEWCRVERCPNIEGVVFTPPSTGSSRIFWYLKTFWASQLARARHIWDWSTRGQLHFEPDGESFNLQVLHLDCCPRLIYVLPLYYGGPSYAYRWLETLEIVCCGDLKDVFRVDDNNQKLLVATIEFEDLKHIHLHELPSLQRICGHRIVAPKLETIKIRGCWSLTRLPAVGLDSTCKPKPKVDCEKEWWDGLQWDGLENGHHPSLYVPTHSCYNKKKLPRGSMLSDMVLCFEVKPSARLQWRVACSNIWGVSQETLDLAREAISYTSRSSRSRSLATCRLHPAFSFISDG >ONIVA12G09730.1 pep chromosome:AWHD00000000:12:10073082:10073567:-1 gene:ONIVA12G09730 transcript:ONIVA12G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERFLAEPLKATTVTCIPRLRGGGGGGARRHRRAASSGGGAAPPVSSSVLDRVRDVVLRLAMLSAASTTTKQGALPPQQQTATPIRTSPGRSAAATSRRSAAVRMSPAAPAYADSYRSEAVDECIEFLKRSAAGVGVGGAPASPAVGVMNSPSPLHACAM >ONIVA12G09740.1 pep chromosome:AWHD00000000:12:10080703:10081119:-1 gene:ONIVA12G09740 transcript:ONIVA12G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMYAIRGEAFDRSKLRPRPDVDDDAAGRRRRRLVEELDAVGDGLVVVRVQRVQVRAARPLRRIAAAAAASTVVVVVVVVDGGGEGDRRRQRREGEEADEHLPESVHDPGRPPHLGLAVMRMRCFPPKALCLKPRHE >ONIVA12G09750.1 pep chromosome:AWHD00000000:12:10081466:10083767:-1 gene:ONIVA12G09750 transcript:ONIVA12G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGGGGGGGDGVTVVGRAEIDMRAPFRSVKEAVVLFGEKEKPLLPVSGGVAAVTRHVPAELEEARQELEKERSEKKKMAGCILSLQEELSNAMSELNKLKARDDDGDGGEAAAKVIDLQVEDLKFVEIDDDKPRLRQQSSPAATKRRYVTFADPPPPTASAYDRAPPQAPLPDVVIELRHRHNHSSTPSPPQLREVRFMRQMSAGHGMMKAAAVAAADQEGRKKKKSLIPLVGALFMRKKKMSSSSSCSCHNDDSAINPRTSF >ONIVA12G09750.2 pep chromosome:AWHD00000000:12:10081466:10083767:-1 gene:ONIVA12G09750 transcript:ONIVA12G09750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGGGGGGGDGVTVVGRAEIDMRAPFRSVKEAVVLFGEKEKPLLPVSGGVAAVTRHVPAELEEARQELEKERSEKKKMAGCILSLQEELSNAMSELNKLKARDDDGDGGEAAAKVIDLQVEDLKFVEIDDDKPRLRQQSSPAATVTAAAGSASPGEFQKRRYVTFADPPPPTASAYDRAPPQAPLPDVVIELRHRHNHSSTPSPPQLREVRFMRQMSAGHGMMKAAAVAAADQEGRKKKKSLIPLVGALFMRKKKMSSSSSCSCHNDDSAINPRTSF >ONIVA12G09760.1 pep chromosome:AWHD00000000:12:10086303:10086548:-1 gene:ONIVA12G09760 transcript:ONIVA12G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEAGSATTMMGEAGGEGNNGGERVELDPAAAAVLRRSSTMVKVVPGGGCRDDDDGYATPTPSPLLPGDHGVGFGRRQPR >ONIVA12G09770.1 pep chromosome:AWHD00000000:12:10094224:10102241:1 gene:ONIVA12G09770 transcript:ONIVA12G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPLWFTSLAGLGAAYLAVVFLRLLPYLALYLRRPKDLRRCYGEWAVVTGPTRGLGRSMAMELARRGFNLVLLDLDRDNLREVSEAIREAHAGAVATRTVVLDLSTVGTGAGEEGMRRLREAVDGVEVGMLVNNAAVARPGALYFHEADVERLVAMIWVNAMALTAVTAAVLPAMARRGRGAIVNVGSGSTVAVPSFPLYTVYSSSKRYVEQLSKSLYVEYKGKGIDVQLQVPFYVHTNMLSAAIKDRMLLPAFVATADDYTRAAARWVGHGHIAVPDAGQQLQWFLAAFVPDFAHDCIS >ONIVA12G09780.1 pep chromosome:AWHD00000000:12:10102909:10108568:1 gene:ONIVA12G09780 transcript:ONIVA12G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGRAAAVSSGGRSSGVRRPARRGLGLAGRYSDRSRERSGKGKKKLISRRRLPEPLAASSRAPAGPPGAAASSERSPAGAAPPTPPPPHARPTLALPRCCRLLAAAGEPGLPSAGAFSNAAAASSRAASGDLGSPSASSSSRAPARDLWWVTALRSIDSRLRHREATIQSRRDGMGSQLKGALAAATIGRT >ONIVA12G09780.2 pep chromosome:AWHD00000000:12:10102909:10108568:1 gene:ONIVA12G09780 transcript:ONIVA12G09780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGRAAAVSSGGRSSGVRRPARRGLGLAGRYSDRSRERSGKGKKKLISRRRLPEPLAASSRAPAGPPGAAASSERSPAGAAPPTPPPPHARPTLALPRCCRLLAAAGEPGLPSAGAFSNAAAASSRAASGDLGSPSASSSSRAPARDLWWVTALRSIDSRLRHREATIQSRRDGMGSQLKGALAAATIGRT >ONIVA12G09780.3 pep chromosome:AWHD00000000:12:10102909:10108568:1 gene:ONIVA12G09780 transcript:ONIVA12G09780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGRAAAVSSGGRSSGVRRPARRGLGLAGRYSDRSRERSGKGKKKLISRRRLPEPLAASSRAPAGPPGAAASSERSPAGAAPPTPPPPHARPTLALPRCCRLLAAAGEPGLPSAGAFSNAAAASSRAASGDLGSPSASSSSRAPARDLWWVTALRSIDSRLRHREATIQSRRDGMGSQLKGALAAATIGRT >ONIVA12G09790.1 pep chromosome:AWHD00000000:12:10110635:10111945:-1 gene:ONIVA12G09790 transcript:ONIVA12G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDMIEDPGDTCIRVLKRMQADLVILVASRKAEKAPNGKEESHTEQKVCRCQPAHTLGPPLKRHIRSKSGRRVSSQLQPKEKEAVRSPCDVILQCTTVGYSAADKAAPRRPTTSLLALATAASSPSSAEVTTSTVPATSGGSGVVRKRDSTVGTTQGGEAARFSGEAVFRSLTATQFQKAEHCAEAWGRHGSCAGHVHSRQKRGSNSWQRRVQWIRIHVPGWMRRWVKPNWWLLGL >ONIVA12G09800.1 pep chromosome:AWHD00000000:12:10125967:10126182:1 gene:ONIVA12G09800 transcript:ONIVA12G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKQGTTSAGAAAAAHRIVVVFLLLLLQLAFFHAFAYDPLNGNKAACNPNCPAPGGPYSHGCQNIYQCQH >ONIVA12G09810.1 pep chromosome:AWHD00000000:12:10143745:10147321:-1 gene:ONIVA12G09810 transcript:ONIVA12G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVSALRVPLLPRADEPLSPPRRRWMGTRSSVAFKFLCKEDRYNVVDVKEVGLSVIESAPDL >ONIVA12G09820.1 pep chromosome:AWHD00000000:12:10150416:10159667:-1 gene:ONIVA12G09820 transcript:ONIVA12G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:A0A0E0J9J1] MAVGKNKRISKGKKGSKKKTVDPFAKKDWYDIKAPSVFNVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGKNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMVNQASSCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYKEDVGTKLERPAEDEVVVGQEVTAECPVVSPPSSGQPSPHARTRQLLDGLTPDLSPTTTNYFAALQAARGREERRGPLPAAPATAGATTISLSLQGVGGVWITARIIGGCRGLIRLLPRSHKSSEKHPMLEQKGASMGLRSKQPKALAFRCYAASHRSLTLAVWSLAALVVVVNFHLLIIHKEDESTSIHEINRSIVSELEEVEEEKFRVSPPRSRRNPRAVRRKGEQKPPSVVDEFLDESSAVHDMFFPERNMAIDPINGGNDSMYFYYPGRVWLDTDGNPIQAHGGGVLYDEKTETYFWYGENKDGKTYKAHSKGADRVDIVGVSCYSSKDLWTWRNEGVVLRGEKKNVTHDLHKSNVLERPKVIYNDRTGKYVMWMHIDDTNYTKASVGVAISDSPTGPFSYLYSKQPHDCESRDMTIFKDDNGKAYLIYSSEDNSELHIGQLTDDYLDVTDNMRRLLIAQHREAPALFKYEGTYYMITSGCTGWAPNTALAHAATAIMGPWETLGNPCVGGNDIFRSTTFFSQSTFVLPIPGLSGSFIFMADRWSPSELRDSRYVWLPLTVGGLPDEAADYSFMFPLWSRVSIYWHRRWRLPEGWRDS >ONIVA12G09830.1 pep chromosome:AWHD00000000:12:10268364:10268921:-1 gene:ONIVA12G09830 transcript:ONIVA12G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLAQATPSLVCKALNSTADLAKHLPTSVVLAFGVLSPSSTADGSCTAANRALTACLVGACALCCFLLCFSNSYRDGTGAVRYDFVTPSGRLRLIDGSGSLPPRDNRYRLGARDVLHGALSFAVFLAVAMVDHNVVAHFYPVESPATRQLLAAVPMAAGVADSFLFAMFPSTCRCIGFPVAAGA >ONIVA12G09840.1 pep chromosome:AWHD00000000:12:10281583:10285560:-1 gene:ONIVA12G09840 transcript:ONIVA12G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTGVILINGRQEKLAFGTSAYVTQDNVLMSTLSVREAVYYSAHLQLPDTMLASEKRAHAERVIREMGLSDTMDTRIGGRITKGISGGQRKRMSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHITRVAAREGMTVIAAVHQPSGDVFDLFHGLLLLAYGRMVFFGTVSNATEFFTQSGFPCPHLRNPSDHFLRTINKDFDEGTMESSKANRKTAAEATNILTNAYHSTYSEKTANEIGGTPFRRKEQASFLTKLLVLTRRSFLNMHRDIGYYWMRLGIYLGIGICLGTIFYQVGYSYSSIQSRCEVIMYTTALVTFMAIGGFPSFVEDIKLFRRERLSGHYGVMEFVISNTLSATPYLAVIAVIPGAMMYYLTGLTRGAEHFAYFVATLCMCTLLVESMMIIIAVIVPDFLMGIIIGAGIQGMMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFIGLSFPSDQLIEANATITGLQVLKERLQVEMGYSKWVNLAILFGMMVTYRMIFFVIVKIAEELRLKLRGIRFRRLK >ONIVA12G09840.2 pep chromosome:AWHD00000000:12:10281583:10285560:-1 gene:ONIVA12G09840 transcript:ONIVA12G09840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTGVILINGRQEKLAFGTSAYVTQDNVLMSTLSVREAVYYSAHLQLPDTMLASEKRAHAERVIREMGLSDTMDTRIGGRITKGISGGQRKRMSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHITRVAAREGMTVIAAVHQPSGDVFDLFHGLLLLAYGRMVFFGTVSNATEFFTQSGFPCPHLRNPSDHFLRTINKDFDEGGTPFRRKEQASFLTKLLVLTRRSFLNMHRDIGYYWMRLGIYLGIGICLGTIFYQVGYSYSSIQSRCEVIMYTTALVTFMAIGGFPSFVEDIKLFRRERLSGHYGVMEFVISNTLSATPYLAVIAVIPGAMMYYLTGLTRGAEHFAYFVATLCMCTLLVESMMIIIAVIVPDFLMGIIIGAGIQGMMMLNGGFFRLPNELPKPVWKYPCYYISFHKLQVEMGYSKWVNLAILFGMMVTYRMIFFVIVKIAEELRLKLRGIRFRRLK >ONIVA12G09840.3 pep chromosome:AWHD00000000:12:10281583:10285560:-1 gene:ONIVA12G09840 transcript:ONIVA12G09840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTGVILINGRQEKLAFGTSAYVTQDNVLMSTLSVREAVYYSAHLQLPDTMLASEKRAHAERVIREMGLSDTMDTRIGGRITKGISGGQRKRMSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHITRVAAREGMTVIAAVHQPSGDVFDLFHGLLLLAYGRMVFFGTVSNATEFFTQSGFPCPHLRNPSDHFLRTINKDFDEGGTPFRRKEQASFLTKLLVLTRRSFLNMHRDIGYYWMRLGIYLGIGICLGTIFYQVGYSYSSIQSRCEVIMYTTALVTFMAIGGFPSFVEDIKLFRRERLSGHYGVMEFVISNTLSATPYLAVIAVIPGAMMYYLTGLTRGAEHFAYFVATLCMCTLLVESMMIIIAVIVPDFLMGIIIGAGIQGMMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFIGLSFPSDQLIEANATITGLQVLKERLQVEMGYSKWVNLAILFGMMVTYRMIFFVIVKIAEELRLKLRGIRFRRLK >ONIVA12G09850.1 pep chromosome:AWHD00000000:12:10297102:10298037:-1 gene:ONIVA12G09850 transcript:ONIVA12G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLPRWAPTPSPSRPLWRWGWGGGATPDARADGGVTGWTSSFFAAVFPWTRRRRVDDRWAPSAGGGVGTFDGVEVPLPAAQAVSLPRAAADVVDDPAVFLTWEDVRVTVPGRTRGSPPARILDGITGHARPGEVLAIMGPSGCGKTTLLDTLAGY >ONIVA12G09860.1 pep chromosome:AWHD00000000:12:10328476:10329283:-1 gene:ONIVA12G09860 transcript:ONIVA12G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIHTIADLSEPEVEDDLPDINLEVEQVLDEEVANEEIDDAANGSADEEPALPLQKYIYSRLHCIKTTGRSTSFPHWQTSSEHAPSHSIRLSIAITPMKAQPQSAAPRILV >ONIVA12G09870.1 pep chromosome:AWHD00000000:12:10454143:10459725:1 gene:ONIVA12G09870 transcript:ONIVA12G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGDADLTDATSSSAASSATPPSFTAKDRYERSTWGGGVEPAAIAATSWHRGRLSVDLRSERRQQQ >ONIVA12G09880.1 pep chromosome:AWHD00000000:12:10465390:10471866:1 gene:ONIVA12G09880 transcript:ONIVA12G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHHESLRQRKQTERAKMDAVRSAARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEDFENWMKIMDFDCEISCRTVKGSGSSSRKKMETADDHEYCYYYYEEQASSVAVGALTMANTGLGFPSLLPFPSSTHRAGPAVWSCSGADADADHVLISGSGLGLGLGLGSFGFGSTLSLSCSGGGMARTQEGSWMPERRGEERRRRGLHVHQLELEKTNLLFPGTLYPLQEIDILLFIASDSMMGIIIVSYAAHLIPLINLFSL >ONIVA12G09880.10 pep chromosome:AWHD00000000:12:10468619:10471953:1 gene:ONIVA12G09880 transcript:ONIVA12G09880.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQTRRQGWRAARPGGDGNGDGMIARAPIRVGEDQPPLSWDPVPSPRGSLKSTGREIPDNLFNGSNFLKFLKIYCFRQHDGHYNSVLCCSPHSTHKPV >ONIVA12G09880.11 pep chromosome:AWHD00000000:12:10465349:10466507:1 gene:ONIVA12G09880 transcript:ONIVA12G09880.11 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHHESLRQRKQTGNPFDPLLLILPKTNFF >ONIVA12G09880.2 pep chromosome:AWHD00000000:12:10465390:10471866:1 gene:ONIVA12G09880 transcript:ONIVA12G09880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHHESLRQRKQTERAKMDAVRSAARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEGNWRF >ONIVA12G09880.3 pep chromosome:AWHD00000000:12:10465402:10471866:1 gene:ONIVA12G09880 transcript:ONIVA12G09880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRSAARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEDFENWMKIMDFDCEISCRTVKGSGSSSRKKMETADDHEYCYYYYEEQASSVAVGALTMANTGLGFPSLLPFPSSTHRAGPAVWSCSGADADADHVLISGSGLGLGLGLGSFGFGSTLSLSCSGGGMARTQEGSWMPERRGEERRRRGLHVHQLELEKTNLLFPGTLYPLQEIDILLFIASDSMMGIIIVSYAAHLIPLINLFSL >ONIVA12G09880.4 pep chromosome:AWHD00000000:12:10465402:10471868:1 gene:ONIVA12G09880 transcript:ONIVA12G09880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLNPGTRQAGNLKWRCSRSCNATTTNPSVSASKLRAKMDAVRSAARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEDFENWMKIMDFDCEISCRTVKGSGSSSRKKMETADDHEYCYYYYEEQASSVAVGALTMANTGLGFPSLLPFPSSTHRAGPAVWSCSGADADADHVLISGSGLGLGLGLGSFGFGSTLSLSCSGGGMARTQEGSWMPERRGEERRRRGLHVHQLELEKTNLLFPGTLYPLQEIDILLFIASDSMMGIIIVSYAAHLIPLINLFSL >ONIVA12G09880.5 pep chromosome:AWHD00000000:12:10465390:10471866:1 gene:ONIVA12G09880 transcript:ONIVA12G09880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHHESLRQRKQTERAKMDAVRSAARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEDFENWMKIMDFDCEISCRTVKGSGSSSRKKMETADDHEYCYYYYEEQASSVAVGALTMANTGLGFPSLLPFPSSTHRAGPAVWSCSGADADADHVLISGSGLGLGLGLGSFGFGSTLSLSCSGGGMARTQEGSWMPERRGEERRRRGFIASDSMMGIIIVSYAAHLIPLINLFSL >ONIVA12G09880.6 pep chromosome:AWHD00000000:12:10465390:10471258:1 gene:ONIVA12G09880 transcript:ONIVA12G09880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRSAARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEDFENWMKIMDFDCEISCRTVKGSGSSSRKKMETADDHEYCYYYYEEQASSVAVGALTMANTGTNSCIGTLLLMMMIDGALCCS >ONIVA12G09880.7 pep chromosome:AWHD00000000:12:10465402:10471868:1 gene:ONIVA12G09880 transcript:ONIVA12G09880.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLNPGTRQAGNLKWRCSRSCNATTTNPSVSASKLRAKMDAVRSAARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEDFENWMKIMDFDCERAIVNELNVGIKPSNDQCQHDSHQHYDRRSKYDAIVVTGDARFTRLHVHQLELEKTNLLFPGTLYPLQEIDILLFIASDSMMGIIIVSYAAHLIPLINLFSL >ONIVA12G09880.8 pep chromosome:AWHD00000000:12:10465402:10471258:1 gene:ONIVA12G09880 transcript:ONIVA12G09880.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVRSAARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEDFENWMKIMDFDCEISCRTVKGSGSSSRKKMETADDHEYCYYYYEEQASSVAVGALTMANTGTNSCIGTLLLMMMIDGALCCS >ONIVA12G09880.9 pep chromosome:AWHD00000000:12:10466370:10471866:1 gene:ONIVA12G09880 transcript:ONIVA12G09880.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPPLISLMTFCSTSTHTQRAKMDAVRSAARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINCLEDFENWMKIMDFDCEISCRTVKGSGSSSRKKMETADDHEYCYYYYEEQASSVAVGALTMANTGLGFPSLLPFPSSTHRAGPAVWSCSGADADADHVLISGSGLGLGLGLGSFGFGSTLSLSCSGGGMARTQEGSWMPERRGEERRRRGLHVHQLELEKTNLLFPGTLYPLQEIDILLFIASDSMMGIIIVSYAAHLIPLINLFSL >ONIVA12G09890.1 pep chromosome:AWHD00000000:12:10468770:10468964:-1 gene:ONIVA12G09890 transcript:ONIVA12G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEQDKDKVLPKPKLPKPKPKPKPEPEMSTWSASASAPEHDQTAGPYGTVRRRREREKRRKP >ONIVA12G09900.1 pep chromosome:AWHD00000000:12:10472594:10473577:-1 gene:ONIVA12G09900 transcript:ONIVA12G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLHDWECRFTPRKLASLNKWSSRAGLSPMELQSCAGEVTDEVTAIAWGGRIRYLHLAFGGSSCWRYVVGLREGNKNVGAIVRGFHDGVAMGVFSLVDSREAVEAPTASHGDGLESQCGGSHAAVQGEHNLEPWAEACVCSIAF >ONIVA12G09910.1 pep chromosome:AWHD00000000:12:10482763:10483062:1 gene:ONIVA12G09910 transcript:ONIVA12G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSNGAGQETARSRSGASSLPTDIGDGKGEDGGGEVMAVLGRTEEDPAGEWIWRRLGATNPSPPAALGGSNVWEGRRGADPPMAIHTHPPSSSLTV >ONIVA12G09920.1 pep chromosome:AWHD00000000:12:10484100:10488888:1 gene:ONIVA12G09920 transcript:ONIVA12G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRRRHIPAFGEWNQQCEELPITQYFDSAMEQGLVVTAGHYYHATAGELLFKVAGSPPPQKPPKKASILWPVRSTKNGMENQAARRRDGPSVVVDGGVVVHGSRRPRVVRAVDEDLYKVPSELLRKKAKGVHDYLLLLKMTSLN >ONIVA12G09930.1 pep chromosome:AWHD00000000:12:10509596:10510011:-1 gene:ONIVA12G09930 transcript:ONIVA12G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLLPQTSGVFAAAPASGSSAAAPASGSSAAEPSSGSSQPASGSSTAEPAFRVLRLRATAPASGVVRHVAVEACLRVAAGQPPDLAVGEGVMVIVPVGSGEEGSRGRRLWKDEREPWSPPRRLWKGNGWCDRV >ONIVA12G09940.1 pep chromosome:AWHD00000000:12:10519221:10519575:1 gene:ONIVA12G09940 transcript:ONIVA12G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVNLVVARGVVGESVAGKDVGVGSTAWRGAGSRQRRRRAVAVEEAYLCGAEVQCLSTNDTDSNTSTTLEAEM >ONIVA12G09950.1 pep chromosome:AWHD00000000:12:10521216:10522980:1 gene:ONIVA12G09950 transcript:ONIVA12G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKGRRRHVVPAFGEWNYYYHHQQYDDENHHQAPPEVMRSAPAVAVAAAAADDEWYAYGGGAAAEACSDVWFRYSPPPRRPTPKKARRPEGRVAPEKAAPYDDGGGKGRQQQQAARAARAYHSGGVAVARTPARGGATCRVVKRPVDADLYQVPPPEFVSRRPRRKGSQLDEDEMTSVCVCACVTAILQCHFLMPDLK >ONIVA12G09960.1 pep chromosome:AWHD00000000:12:10559989:10560679:1 gene:ONIVA12G09960 transcript:ONIVA12G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARRRPRRVPAFGEWNYYHGGDELPSAAAAGGAPDDQEASSDVWFRYSPAPRNVWFRYSPAPRKPAPKKARTRAADNRQKPVGGGNKRRPARTSSSDSGAATAASNTPAKLQQAAATAKVAVVRRPPAVDADLYQVPPPDFLPGEPIRRKKAGRSMWMGCLGLSC >ONIVA12G09970.1 pep chromosome:AWHD00000000:12:10570191:10582076:-1 gene:ONIVA12G09970 transcript:ONIVA12G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPMAYCQKSPSLPKSRRILSSANVAHPLVTDSPSTISLLSRAAPPSSSREVLADPLRRRRVNSSPIPSVVVARRRSPSPRWPSPILHLLCPVLADPPPPSRRHAADSRLSKTVVQRQWRLRRSPSTTDNDNATPLPPQEPPLPIRTHATVPPVPKVPNSEFADTNSEFALCLQNH >ONIVA12G09970.2 pep chromosome:AWHD00000000:12:10579516:10582076:-1 gene:ONIVA12G09970 transcript:ONIVA12G09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPMAYCQKSPSLPKSRRILSSANVAHPLVTDSPSTISLLSRAAPPSSSREVLADPLRRRRVNSSPIPSVVVARRRSPSPRWPSPILHLLCPVLADPPPPSRRHAADSRLSKTAFIFASSPDHCWSTGQQKRQWRLRRSPSTTDNDNATPLPPQEPPLPIRTHATVPPVPKGTGHPSPPLPHP >ONIVA12G09970.3 pep chromosome:AWHD00000000:12:10570191:10582076:-1 gene:ONIVA12G09970 transcript:ONIVA12G09970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPMAYCQKSPSLPKSRRILSSANVAHPLVTDSPSTISLLSRAAPPSSSREVLADPLRRRRSAPIPIPQVAVADPASPLSRPRRSPASFAPSRRRFSPLQDRFENPVQRQWRLRRSPSTTDNDNATPLPPQEPPLPIRTHATVPPVPKVPNSEFADTNSEFALCLQNH >ONIVA12G09980.1 pep chromosome:AWHD00000000:12:10605017:10606267:1 gene:ONIVA12G09980 transcript:ONIVA12G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKLDFLLELGFRFNPSPEQVVTYYLPCLVAGQQPKDTEGCIHSADVYGADEPRDLAGKYAPVARSSNGNRFFFTGCKRMKGKFCRSAGGGTWVSQSSKDLKNREGIKIGEVKNFRFKKDGKNTDWLMEEYHLCGQESGDVVEPVVCRIYVSPRAAPDSVAHQESAVLQPQEPAPLPVPAAPAPPRQVPVVTQQAPPPPPPLVPVITQDAPPLKRPAPVAAPPCAKKMRGDVSAFPVVRQSCVAAPRCAPRVVAPPPRHPPIQTYPTDPFESAPLDPFEPPPAAASVTGRHHTPQPSVPVPATPEQGLSLAASNSPELDPANIGIDMDELMRYLGNTPLDGVLPSQLFVLPTNDDEDVELAKVLEDGLQGGGGRQWQSTAVCDSSSATGILARHGATAASSTHPDLSQGSVRAQ >ONIVA12G10000.1 pep chromosome:AWHD00000000:12:10621050:10622674:1 gene:ONIVA12G10000 transcript:ONIVA12G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHHYPLADLLPRSLSSNSLAPSGLPRRGELIFPVSPLFPHPKPTTTATFFCCRSCALVAAVPVILLSPSAFLHPPQKPPSRPFNHRSTGALVPPSPLYPAGRRREEEEREEEEETYDKWAPCTTFVWSQTPSSNRRSVAPRTPRLNAYSCWRLTTPSTSTARRTLVLELYATLAALSSLTAAEPYSKFDYRIQGTDMDPDGYAEAAGNLEAQGKT >ONIVA12G10010.1 pep chromosome:AWHD00000000:12:10637203:10638675:1 gene:ONIVA12G10010 transcript:ONIVA12G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIENAGTDVDDIDVFECTHRHAKGKGQYANKKAEQLAVVYNDRVKEGENNQVDKQHVWVQLTKGRKRGRYYGLPGIIDRNHVGNSASAPSGSMETQPLYTQQQVQDIVQQAVTNAVHNAHQELASRIERLEQTMGKDKTETHSHDANGPSSSVVPEFLHALLMNGMNTTEEAPQAGHCGRTCENDDLWRF >ONIVA12G10020.1 pep chromosome:AWHD00000000:12:10651643:10652755:1 gene:ONIVA12G10020 transcript:ONIVA12G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFPPPGPPHPAPMADGGEPPPPWADLPIHIVRGIASRLLCKIDRRFGEGVCRSWREAFLQVGPPPLPLPLLVVANAGEHAFHCVPSNWRTHPIPIPMPRFAHHRYFGSYDGGWLFLSISQSSRHGLHNINKNVNSKHFRFSLPDQRLYQIRPTTAEADKFIVIVAATLSCQPTEPGCVAAGIIDLHSFPDHPRYIAFWKIGDKSIPAMNQEPEVVEDLLYSGHGAGAFLFLTNGEHIREFPQPIFPPPGTAKRVRNELYFKPRGDDGGGGRPVLARYLVESRDELLMVVRLGTRKSCTAGPRRGRSQSPPPPPPPTPTSAFQVFQREDQKVKSINDIDGVIRVEHSWIKLADLGGRMLFVGRGCSRS >ONIVA12G10030.1 pep chromosome:AWHD00000000:12:10652780:10659213:1 gene:ONIVA12G10030 transcript:ONIVA12G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVYFLDDRSFYDRTTVFKNDEKRKYHRSDIGKWSGSPPKTSPPFWILPDMFWQQIKQLSPPWAELVPDIVREIGRHLLCEIDRHHADGLCRSWRDALLQLRPPPPPLPRLVLPEADGPAFYCVPSGCRPHPFVLPPAELRARCFGSYDGAWIFQAVDQAANHVLLNLITHQQLNLPNLIRFPSFLLPGLTFDFEVAFVAATLSSQPTDQGCVGASIIGFKRRPLNPRHIAFWRMGDEAFSQSIESRWIDEELELDVVDLLYSGHGAGAFLFLTRGENIRVFRQPIFPLGDMQTTVLYFERRGDDDDDDGRPVLDRYLVESRGKLLMVVRLGDREPGRLPTTTFRVFEREDELFNNYWTKLPDLGGRMLFVGRGCSRSYEAADGYPGMEGVYFLDDRSFHDPMVVYKDAAQRRYPCSDNGRWLGAPPPAEVERCFPEQGPSNYSPPVWILP >ONIVA12G10040.1 pep chromosome:AWHD00000000:12:10698275:10703028:-1 gene:ONIVA12G10040 transcript:ONIVA12G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFFLSFSVHLLHLLSFSLRRHRAPPWPSRCASSPSTVNLGDVPPPPRRGRAVPVASHDALPPPPPRPSRPPSHPAAAAIGEDEEAVDKCVSLLGLISPESLKTGDFCGGDWNIGLVGAAAPPRAGGHGFEVGRGGEVNEKGKEAPTREKRPAKGASRGNGTVGGGGAARRAPRRWRDAGADEQHTMAMVRLTKVRMLFVRCRGGVRHSPEESVLDDDVWAAVRKKGKRKEERGRIVSKGARLPSFAKDKDDQPELTNVTKVSHTSYAKMSRQTRVL >ONIVA12G10050.1 pep chromosome:AWHD00000000:12:10706312:10711609:1 gene:ONIVA12G10050 transcript:ONIVA12G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAIQLYSLLFKLILRRRLSSLSASAASSSSFGVSSRAAADHHHPSPPSNPSFSSATGADAVATKDLHPDPLSSLHLRLFLPNPHHSATPAAAANAPPPLRRNSFPQPAHDAGSPAAAVGQELSRRASASFSGVSPSAAPCYGGYLPTARSGRRLPVIVQFHGGAFATGAADSAANDAFCRRVARLCDAIVVAVGYRLAPESRYPAAFEDGFTVLKWIAKQANLAACGRTMARGAGSGGADSFGAALVEPWLAAHADPSRCVLLGVSCGANIADYVARKAVEAGKLLDPIKVVAQVLMYPFFMGTNPTQSELKLANSYFYDKSTCLLAWKLFLPEGEFSLDHPAANPLVPGKGPPLKLMPPTLTVVAELDWMKDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDVLLKTPLAQACAEDIAIWVKKYISLRGHELSY >ONIVA12G10060.1 pep chromosome:AWHD00000000:12:10714549:10719753:1 gene:ONIVA12G10060 transcript:ONIVA12G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSPAASPPRRHRRHDDDSPRHRDDRKRRASPSPSPSPSDRDADRHRGKSRASPPDFNPAESNGGGAPKPGRDNGGDRSPRRARVPDADAEEGGRRRRRRSADSDDERGDRDRHRRRHRRRSPSSESSDGGRSRRHRRDESSRRQRDERRRDRGGREERRRSPDRKEPTPPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMMREVEDKSSPEYQRLSWDALKKSINGLVNKVNATNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRANPNFAEDEKAYENLKRSILGAESSDDEEGSDDASDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNEKLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQQQKPASSESGSSDSGSASDSSSSESESSSDESDKKRSKRRKR >ONIVA12G10070.1 pep chromosome:AWHD00000000:12:10736456:10738941:1 gene:ONIVA12G10070 transcript:ONIVA12G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGESPPWAELVPDIVREIGRHLLCEIDRHHADGLCRSWRDALLQLRPPPPPLPRLVLPEADGPAFYCVPSGCRPHPFVLPPAALRARCFGSYDGAWIFQAVDQAANHVLLNLITHQQLNLPNLLRFHSFMLPALTFDFEVTFVAATLSSQPTDQGCVGAGIISFNRVPHDPRHIAFWCMGDEAFSWSIQMTRNIGEALELDVVDLLYSSHGAGAFLFLTRREDIHVFRQPIFPQGDVMQSTPLYFERRGDDDDDDGRPVLDRYLVESRGKLLMVVRLGDREPGRLPTTTFRVFEREDELFNNYWTKLPDLGGRMLFVGRGCSRSYEAADGYPGMEGVYFLDDRSFHDPMVVYKDAAQRRYPCSDNGRWSGAPPPAEVERCFPEQAQKCLSADDILNVVFGLLALIGREDADTVAHPADRARLFLFIGLRFSCF >ONIVA12G10080.1 pep chromosome:AWHD00000000:12:10750917:10751897:1 gene:ONIVA12G10080 transcript:ONIVA12G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYECLGKIGEGAAGVVHMARDRTTGETVAVKRLHGGIGCGEEEWLREARCLQACRGHPHLVELRAAHREMRRGGGACCYVVMEYVDGPSLSRVVREERRGRPFPEAETRRLMRQLLDGVAAMHAAGVMHRDLKPDNVVVGPRGDLKICDFGMSRVAKAGAPPYTSPVVTLWYRAPELILGSQEYDSLVDTWSLGCIMAELLAGAPLFPGRSEMDQLNRVFDTVGMQDMKSWPGFARLPRAESALCSRARPPSRLREMFPKLSAAGFDVLSGLLACRPDRRLTAADALRCAWFTEADTPPDATPVTCGSARFTPCVSGVADAIVV >ONIVA12G10090.1 pep chromosome:AWHD00000000:12:10755191:10764396:1 gene:ONIVA12G10090 transcript:ONIVA12G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITREPSLCAATAAASGNDAAAIAVVRSARFRKERARAKRKKRRALHQAARTLAPAHKQAATPIPATTRRPSAVVATSTPTAPSRTTAPSLATILVPATTSAPKPATASSPTKVPTLSVNPILSIIGVPSPTTTSSLATTTTPTAVLFPRSTLSSASTPSFTTTSSPVTAPKSSTKYTVAIEIVLSPVFPPSCQTTSPCTGGVPISANRNVTFKKEGSSICAATRAAERKKRIVLQRTFVLPNQATTPNPATMPAAVNRADVISLPNTPSPDVMPTIAATGQRNTANWPTTQSNEEATGRLGSVGCLASPRPRPRPTWHAHACGSPTITSTATRSGSSGGRQEQPGAGAAGSGEAGPNRELRGGLGRSGGGRLRRQRATTMATATNSGSGVTARGGATALGDDVTATAVVHATRFREERARSSGATRAAEHKKRRPLHQTAMAAGPAPNQHAYSGSQKSMPGARNQHAAAPSQIAAPNLAIILVPAATRATRPAAASSPTKVGTNLSCCTILTANRHIKPGVDVKHEG >ONIVA12G10100.1 pep chromosome:AWHD00000000:12:10775923:10777524:1 gene:ONIVA12G10100 transcript:ONIVA12G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGGPSLGAATSAAPGDDMDANAVVHAARFRKERAMASGATRAAERKKRRALHHMATAVGSHAYSGSDKSTKPCGRNQHARCSQPNRCTKLGHYTRSDYHKRTQESHCIKPYKVRATTFKKDRSRASAATRATERKKKKAMLQTSVVSIQAVAPGPTAKVIPATASKRNSVVAPSMPVALSRVNEMSPPNTPSFDLVLTLAATDQPKTTNGSTAQRNKVSKSTENLNLELTLGTLG >ONIVA12G10110.1 pep chromosome:AWHD00000000:12:10784988:10787974:1 gene:ONIVA12G10110 transcript:ONIVA12G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPYAHRPPAIRCRLASIAVSIGCRPLPRCRLLSLLDQGRQPPPGVIHCHGRPPPDRAGQHSISNACEVNHVQRMLVEAWGLITNQTYFRGSNLLPEFSPLWHGQLHTLVVVDAECIVEAGNLIEGPMMIMVFVTSNIDLMVPAFDTTVGRPGVLKITSAVSISATDARWLLSAHWKDNIIGKVYFVGAVWQGFYWSNSGWNYICIGSILIGKDFIRPMLIRKAFP >ONIVA12G10120.1 pep chromosome:AWHD00000000:12:10795338:10796835:1 gene:ONIVA12G10120 transcript:ONIVA12G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSSSVVISRFLDELASGGCGVVYRARDRRSGEIVAIKCIRSYRDDCGELVDRSDFDREVAAMEACRGHPYIVQLRAHGRCDDGEAVLVMEFVGPTLRHVLRRERSGRTQRSELEVRVAMRQLLSGAKRMHDAGLMHRDLKPDNVLVDARGNLKICDLGLSQSTAEPPPYSNPIGTRWYGAPEILLGSTDYDERVDAWSLGCIMAELLARKPLALVDRSDFDREVAAMEVCRGHPYIVQPRAHGRCDDGEAVLVMEFVGPTLRQVLRRERGGRTRRSELEVRVAMRQLLSGAKRMHDAGLMHRDLKPDNVLVDARGNLKICDLGLSQSTAEPPPYSNPIGTRWYGAPEILLGSTDYDERVDAWSLGCIMAELLARKPLALGEIVDVLGVNDIKRWRGYKGQRLLGGCGPDSFLRGFFPSPADARMLRRPPLSEAGFEVLSGLLTCNPEKRMTVAQALRHRWFKEADSASLRHRR >ONIVA12G10130.1 pep chromosome:AWHD00000000:12:10808975:10840430:-1 gene:ONIVA12G10130 transcript:ONIVA12G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) TAIR;Acc:AT2G32810] MAASRGPPLLGFRALALALLLAILLLLGCSAPAAYAGAEGVLRQVVGRRGDDGGGGNFFEPFNVTYDHRAVLIGGKRRMLVSAGLHYPRATSEMWPSLIAKCKEGGADVIETYVFWNGHEPAKGQYYFEERFDLVKFAKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPFKAEMQTFVTKIVTLMKEEKLYSWQGGPIILQQIENEYGNIQGNYGQAGKRYMQWAAQMAIALDTGIPWVMCRQTDAPEEIIDTCNAFYCDGFKPNSYNKPTIWTEDWDGWYADWGGALPHRSAEDSAFAVARFYQRGGSLQNYYMYFGGTNFARTAGGPLQITSYDYDAPIDEYGILRQPKWGHLKDLHTAIKLCEPALIAVDGSPQYIKLGSMQEAHVYSTGEVHTNGSMAGNAQICSAFLANIDEHKYASVWIFGKSYSLPPWSVSILPDCENVAFNTARNLGLPVVQVDISLVSSHLHLEVRISQVLGGLPKRLLGILEHLNVTKDISDYLWYTTRVNISDADIAFWSSKGVLPSLTIDKIRDVARVFVNGKLAGSQVGHWVSLKQPIQLVEGLNELTLLSEIVGLQNYGAFLEKDGAGFRGQVTLTGLSDGDVDLTNSLWTYQVGLKGEFSMIYAPEKQGCAGWSRMQDSTMFSTPKGTDPVAIDLGSMGKGQAWVNGHLIGRYWSLVAPESGCSSSCYYPGAYNERKYHIPREWLKESDNLLVLFEETGGDPSLISLEAHYAKTVCSRISENYYPPLSAWSHLSSGRASVNAATPELRLQCDDGHVISEITFASYGTPSGGCLNFSKGNCHALSTLDLVTEACVGNTKCAISVSNDVFGDPCRGVLKDLAVEAKCSPPSTTKEPRGEM >ONIVA12G10130.2 pep chromosome:AWHD00000000:12:10808975:10840430:-1 gene:ONIVA12G10130 transcript:ONIVA12G10130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) TAIR;Acc:AT2G32810] MAASRGPPLLGFRALALALLLAILLLLGCSAPAAYAGAEGVLRQVVGRRGDDGGGGNFFEPFNVTYDHRAVLIGGKRRMLVSAGLHYPRATSEMWPSLIAKCKEGGADVIETYVFWNGHEPAKGQYYFEERFDLVKFAKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPFKAEMQTFVTKIVTLMKEEKLYSWQGGPIILQQIENEYGNIQGNYGQAGKRYMQWAAQMAIALDTGIPWVMCRQTDAPEEIIDTCNAFYCDGFKPNSYNKPTIWTEDWDGWYADWGGALPHRSAEDSAFAVARFYQRGGSLQNYYMYFGGTNFARTAGGPLQITSYDYDAPIDEYGILRQPKWGHLKDLHTAIKLCEPALIAVDGSPQYIKLGSMQEAHVYSTGEVHTNGSMAGNAQICSAFLANIDEHKYASVWIFGKSYSLPPWSVSILPDCENVAFNTARIGAQTSVFTVESGSPSRSSRHKPSILSLTSGDIAFWSSKGVLPSLTIDKIRDVARVFVNGKLAGSQVGHWVSLKQPIQLVEGLNELTLLSEIVGLQNYGAFLEKDGAGFRGQVTLTGLSDGDVDLTNSLWTYQVGLKGEFSMIYAPEKQGCAGWSRMQDSTMFSTPKGTDPVAIDLGSMGKGQAWVNGHLIGRYWSLVAPESGCSSSCYYPGAYNERKYHIPREWLKESDNLLVLFEETGGDPSLISLEAHYAKTVCSRISENYYPPLSAWSHLSSGRASVNAATPELRLQCDDGHVISEITFASYGTPSGGCLNFSKGNCHALSTLDLVTEACVGNTKCAISVSNDVFGDPCRGVLKDLAVEAKCSPPSTTKEPRGEM >ONIVA12G10130.3 pep chromosome:AWHD00000000:12:10811288:10840430:-1 gene:ONIVA12G10130 transcript:ONIVA12G10130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) TAIR;Acc:AT2G32810] MAASRGPPLLGFRALALALLLAILLLLGCSAPAAYAGAEGVLRQVVGRRGDDGGGGNFFEPFNVTYDHRAVLIGGKRRMLVSAGLHYPRATSEMWPSLIAKCKEGGADVIETYVFWNGHEPAKGQYYFEERFDLVKFAKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPFKAEMQTFVTKIVTLMKEEKLYSWQGGPIILQQIENEYGNIQGNYGQAGKRYMQWAAQMAIALDTGIPWVMCRQTDAPEEIIDTCNAFYCDGFKPNSYNKPTIWTEDWDGWYADWGGALPHRSAEDSAFAVARFYQRGGSLQNYYMYFGGTNFARTAGGPLQITSYDYDAPIDEYGILRQPKWGHLKDLHTAIKLCEPALIAVDGSPQYIKLGSMQEAHVYSTGEVHTNGSMAGNAQICSAFLANIDEHKYASVWIFGKSYSLPPWSVSILPDCENVAFNTARNLGLPVVQVDISLVSSHLHLEVRISQVLGGLPKRLLGILEHLNVTKDISDYLWYTTRVNISDADIAFWSSKGVLPSLTIDKIRDVARVFVNGKLAGSQVGHWVSLKQPIQLVEGLNELTLLSEIVGLQNYGAFLEKDGAGFRGQVTLTGLSDGDVDLTNSLWTYQVGLKGEFSMIYAPEKQGCAGWSRMQDSIPNHNKQMNAFDGLPANS >ONIVA12G10130.4 pep chromosome:AWHD00000000:12:10808975:10810504:-1 gene:ONIVA12G10130 transcript:ONIVA12G10130.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) TAIR;Acc:AT2G32810] MFSTPKGTDPVAIDLGSMGKGQAWVNGHLIGRYWSLVAPESGCSSSCYYPGAYNERKYHIPREWLKESDNLLVLFEETGGDPSLISLEAHYAKTVCSRISENYYPPLSAWSHLSSGRASVNAATPELRLQCDDGHVISEITFASYGTPSGGCLNFSKGNCHALSTLDLVTEACVGNTKCAISVSNDVFGDPCRGVLKDLAVEAKCSPPSTTKEPRGEM >ONIVA12G10140.1 pep chromosome:AWHD00000000:12:10854637:10857900:1 gene:ONIVA12G10140 transcript:ONIVA12G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETGAREEEEGYVASVGAGAYPAAGLPAHLRAGVGDPVFFLLAFVAVATSAAFTSMVAVAIPTMLAMRRAANSFTLLADAALEELPSTMAAVRLSGMEISDLTLELSDLSQEIADGVNKSAKVAQAVETGLGQMRDLAMQQATSMIEERANLQTIPNSAKKSNGSSTRQRQQEKGKDHSTNRMEP >ONIVA12G10140.2 pep chromosome:AWHD00000000:12:10854637:10857900:1 gene:ONIVA12G10140 transcript:ONIVA12G10140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETGAREEEEGYVASVGAGAYPAAGLPAHLRAGVGDPVFFLLAFVAVATSAAFTSMVAVAIPTMLAMRRAANSFTLLADAALEELPSTMAAVRLSGMEISDLTLELSDLSQEIADGVNKSAKVAQAVETGLGQMRDLAMQQATSMIEERANLQTIPNSAKKSNGSSTRQRQQEKGKDHSTNRMEP >ONIVA12G10140.3 pep chromosome:AWHD00000000:12:10855944:10857900:1 gene:ONIVA12G10140 transcript:ONIVA12G10140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAANSFTLLADAALEELPSTMAAVRLSGMEISDLTLELSDLSQEIADGVNKSAKVAQAVETGLGQMRDLAMQQATSMIEERANLQTIPNSAKKSNGSSTRQRQQEKGKDHSTNRMEP >ONIVA12G10150.1 pep chromosome:AWHD00000000:12:10857721:10871089:-1 gene:ONIVA12G10150 transcript:ONIVA12G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRPPPPFAPQNPTPAPNPAASSLPASFSNLHVSRAPPPFAPPGGNGPVPSSIRAPQAPPPGARPFPGSPPPPSQPPPPFARPAAPVQQQPPPFGGPPGVMPSQPLQQQQQQQRPAFGGPPSGAPPAQAQRTPFGGPPSAMSQGPPPFGGPPAAVAASQPPPFGGPPVAAAQPPPFGRPPSAAAAGQSAPLGGALFAAAQPPPFGGPPGAAPQPAPTGGLRTPYGGPPAPSQQVPFGGAPQWPGTQPPPFGAQAAPSSQPPPFMGVPGNAPPFRPPGWQGQARPGAMSAGMQPMPGGMLPNALGQGMPSTPSMPYSPHAGAQVSTPSKIDPNQIPRPMPETSVVIFETRQGGQAAVPPAASSEFIVKDTGNCNPRLMRCTLNQIPCTGDILTTSAMPLALMVQPFALPHPSEEPIQLVDFGGMGPIRCSRCKAYINPFMRFIDQGKHFVCNLCGFSNDTPREYLCNLGPDGRRRDADDRPELCRGTVEFIASKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQALSDLPVMKRGWQVWLITQSLIATATWDVSLLNDNAARWEGPRTMVGIATFDSAIHFYSLKRDQQQPLMLIVPDIQDVYTPLQTDLILPISECRENLEQLLESIPNMFENNRVADSAFGAAMKASFLAMKSTGGKLLVFQSEKKRQFMCILALAVLPSVGIGSLSAREAEGRSNISTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDISSISVVPSTTGGRVYHYYPFSALSDPAKLFNDLRWNFSRPQGFEAVMRVRCSQGLQVQDYSGNFCRRVPTDIDLPAIDSDKTIMVTFKHDDKLQENSECAFQCALLYTTVYGQRRIRVINLSLSCTNVLSNLFRYADLETQFTYVVKQAANAIPSTPLSQVRDQVTSTCINILQSYRKHCASVSSSGQLILPEALKLLPLYTLALIKSVGLRTDGRLDDRSYWVSTVSSISVLLAIPLVFPRMIALHDLASRSDDDSLIPNPLTLNSENTLDFGIYLLENGEDGFVYVGNAVNPATLEQIFGVSSLAGVPNQLVLEQYDNELSRKVNEVVNEIRRQRCSYLRYSLQVLNYVDDIGTFSPLTFSKLSCRLRLCKHGDPSGDFFRSLLVEDKAPGGLSYVEFLVHVHRQIQSKMT >ONIVA12G10160.1 pep chromosome:AWHD00000000:12:10879224:10880111:-1 gene:ONIVA12G10160 transcript:ONIVA12G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHLVFVPLMTQGHLIPAVDTALLLATHGSLCTIVAMPATAARVRTHRRLGPAVHLEAVLKEKSGELAFPRMRQVFMLNNTHAIVRHAVRTNLAMFLPPGWARAREEHNEEAASIDNDKKRLLVHLPS >ONIVA12G10170.1 pep chromosome:AWHD00000000:12:10887865:10888062:-1 gene:ONIVA12G10170 transcript:ONIVA12G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREISLAPTAAVAAAEPVAAPARVRRRRQLHLFSVATDAHEVSAGERRERGGGADADTHLVFFG >ONIVA12G10180.1 pep chromosome:AWHD00000000:12:10897847:10903261:1 gene:ONIVA12G10180 transcript:ONIVA12G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHTAPTLGLTMPNVVDPPQISFAAKDMDLTEWEGDILAVLVTETDVSKATSSSSRFTNAAAALAKLDGELGGLLSEASAEEEFAGRAGQSVALRLPAAPGLHGFKRVCLVGVGNNMPSSAAACRSTGETIAAVAKSAQARSAAVALASPPPGWVQGEDLRLNAAAAVASGVVLGLHEDRRYKSDSKKVHLKQVDLIGFGSGQEMGRKLQYANHVSSAVIFAKELVNSPANVLTPAVLAEEASNIASSYSDVLTATILDEEKCRELKMGSYLAVAAASANPPHFIHLCYKPPGGNVKRKLAIVGKGLTFDSGGYNIKIGAVTTIELMKKDMGGSAALFGAAKALGQIKPPGVEVHFISAACENMISGTGMRPGDIVTASNGKTIEVDNTDAEGRLTLADALVYACKLGVDKIIDLATLTGYCRIALGPSIAGILTPSDELDKEVAAAYEASGEKFWRLPLEESYWEQMKSSVADMLNTGSPLGGAITAGLFLKQFVDEKVQWMHVDMAGPVWNYKKQEATGFGVSTLVEWVLINSS >ONIVA12G10190.1 pep chromosome:AWHD00000000:12:10915372:10915998:1 gene:ONIVA12G10190 transcript:ONIVA12G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSGCNSVVAGEECESRKIGRKGGGVQKIEQQHREKETRRERRPKIAVCCTGGKGTHDDERRCLRCKDLDLEPLRTERLAVVDDDGGDWAPKT >ONIVA12G10200.1 pep chromosome:AWHD00000000:12:10923069:10924697:-1 gene:ONIVA12G10200 transcript:ONIVA12G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEDDTVGGGEACRSGQAALAARLLKSLAAGASGAAGGNLIFSPLSIHVAVAMMSAGAGGSTLAEILAVAGAPSRPELEAFVRGVVMDRVLADQSPAGGPCVSFACGSWLDASYSLKPSYRDAIVGTYKGAASTPVEARKEINAWVARATKNLITEVIKPESQSVDTRHVVGNAIYFKGEWLAPFDKSDTAEREFRRLDGSSVEVPFMQRPAGSYHHVACHDGFRVLRLPYKATGDTYNLKLRYSLPSFAMLVFLPDDRDGLPGLLDRITASPEFVDDHLPPGCVPVGRFRVPKFKLAFCHYGIADVLRGLGLRLPFDMFASEMSGIAVEDGGGGEDAAMFVSSVIHKAVVEVNEEGSEAAAYTEESDDDLGCSLYDDDYTPPRKLVDFVADHPFAFFIVEERSQAIVFAGHVLDPSEEE >ONIVA12G10210.1 pep chromosome:AWHD00000000:12:10932555:10932968:1 gene:ONIVA12G10210 transcript:ONIVA12G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKATTLLLLLAAAAAALLCHIHVGVAAGEDPEPCDPSDITIATVKTGRVVGGLPEFQVTIGNECSCPEGDVVLSCPDGVPAGVDRSKIHAAGGSDGLCLVNDGLQIVKGSPVVFTYAASAPISLAFDNATPRCQR >ONIVA12G10220.1 pep chromosome:AWHD00000000:12:10936164:10936574:-1 gene:ONIVA12G10220 transcript:ONIVA12G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKATTLLLLLAAAAAAALLCHVHVAVAGEDPEPCDPSDITIATVKTGRVVGGLPEFQVTIGNECSCPEGDVVVSCLDGVPAGVDRSKIHTAGSDGLCLVNDGLQIVKGSPVVFTYATSAPISLAFDNASPRCQR >ONIVA12G10230.1 pep chromosome:AWHD00000000:12:10955329:10962394:-1 gene:ONIVA12G10230 transcript:ONIVA12G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSLGGMDAPVSFSLGAMGPLLRKLDSLPVAPEIRLPEPLKDGIELLKEDLEEIGAAVVEQSTVDSPSHRARYWMDEVRDLSYHIEDCIDTMFSMRCGGDDGKPRSVRRHKVGRVKVDGFSKTQKPCTRLARIAELRALVREASERHERYQLGDGRASSSSSSSHRVFTAHGQVPAPCRNLVGMDEPKTKLTNMLTDEAELHMKVVCILGSAGIGKTTLAEQVYRKLRWQFDCHAFVRASRKPDMRRLLGAILSQVQPRIRISDTSTVQSLIDNLWEYLQKKRYFIVIDELYETATWDIITSAFPEDNNCSRIMTTAGIEGVALECCSYHSVNIFKMKPLGLDDSAKLFFNRVFGSEQQCPYELSEVSYRITAKCGGLPLAVIIIAGLLASLPCKTELWYNIDGCLCSSVTTDIDLDEILKEIISLGYDNLPHYLKTCLLYLSLYSEGFIIWTADLLKQWISEGFIAVIDGEDIEEVAESYFYNLVNRGMIQSVKTKYNNQVLCTVHHTVFDLIIHKSKEEKFISAIDYSQTMPGNSLEARRLSFHFSNTRYATEVAGITLSQVRSFAFLGLLKCMPSIMEFKLLRLRYLKISSQIIIELPAQIRGLKYLETLEIDARVTAVPSDIIHLRSLLHLYFQDGIVLPDGIGCIRSLRTLKYFDLGSNSEENIRSLGQLTNLRDLHLTCSAPKSNQQAKRNLVILASYTGKLGNLKSVKFSPGDSGMDISFLFYGIGISVDRSRTASSLPVSVRTLELPSICIFARLPDWIGQLRKLHTLNLAVRELIENDIDSLAGLPDLIVLSMHIMKAPMERIVFNRKAFPVLKYFKFICGTLRMAFQAGAMANLHRLKLGFNAHKGEKYDNILVGIEHLLNLKKIAVRIGGAAEAKESDRMAAEAALKEAIRKHLMFLDDLDIARVECVKEEYKCIKKKHKIKIEDSISEKNGDSKKQHSVEKKAVWGKTMKNIADSGVFPEDYTMSREQRVAEGFVVGIEKCRAEDAAERIIRNVPVDYDGLGQVSTSKIQDHLPELAPRAVQNEKFGSSNDLSIMIQINKYARLPSYEWRDTDISKLNFRLLRAPMLLEAVTARCHLLDLILIGSNNITVLDLGRPTITKLPASIECLPNLRYLRLQGTQLKSLSEVIVKMPTIRGLDIKNTKTEELPQGILRMKKLSHLSMGEKQKNIQVFMEKMQTLAETVQDSDDLSDETEGIADDDEGEFSTRANASTPKVDEDEVDRRANNFIARFRKQITIRNSGFPKRRAASTNDCGYEISMSANSPREVDDFKKKFDEIIARNRHTWKPIESPRSVKHGKILSGKP >ONIVA12G10240.1 pep chromosome:AWHD00000000:12:10975367:10976966:-1 gene:ONIVA12G10240 transcript:ONIVA12G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTPELSSQFLKEITNEFSDERKVGEGAFGIVYKGMLKDGTKIAAKKLRETSPIHDNQFKNEVGSLMKVNHRNIVKLIGYCYEIQKKVVEHNGKYILTEAVEKLLCYEYISNGSLDKHLFGESSRLDWHTRFNIIKGVCEGLHFLHKGSERPIIHLDIKPSNILLDDNMVPKIADFGLSWEQCLLIIEITTGEKNFPNREDIIAKNFIENVRRNRTNIVHISLKYPSLDTNCLQQVKTCIEIGLSCVQTNRKDRPSIGEIVNMLS >ONIVA12G10250.1 pep chromosome:AWHD00000000:12:10979352:10983721:1 gene:ONIVA12G10250 transcript:ONIVA12G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDVASCNSWFQTEQHSEAHTPRTPHTPPAMRCGFRVNGQANLARSCSELVCEDPSEVKRRGEAPLERLALHRCQMAEAALLALSKIGSYAAIEAAMVAKSKISNLMELSATTLETVEASKDLGEHLEKMIQLRNLWIGNIKAGHCAQLLASLSKMPLLYSLLLCASDEEEKLNIEKLVPTSTMLQKLIIRGCTSESTLECPVFQDHGRRLKYLALSRCHLGHDAFERLEKCVPNLTYLSLNKVHTNGAHTLVLPAKSFPLLKTLVLRNMSNVNLLKIGADALKCIEGLYIVSLSNLKSVPEGIESLSSLKKLTLLGLHNDFKAEWNKKRMHEKMKHVTELRV >ONIVA12G10260.1 pep chromosome:AWHD00000000:12:10984293:10989532:-1 gene:ONIVA12G10260 transcript:ONIVA12G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEAARRHRHRAISGGLTALAVRLADRLGAASPGRNLAFSPLSVHAALSLAAAGAAGGTLDEILAVLGAASRDDLAAFVGRTAETALADRGPESLGPRVVFASGVWCDAARPFKPAYRAAVAAEYNAEATVVDFKNKVGYSILIDAFILLLDPSMIDQCVLDLVSEKAEEARKQINAWARRATGKLITDVLPPRSVGPETAVVLGNAIYFKGKWDRPFNESDTERKPFYRHDGAAAAAAAVADVPYMSSRSYQRVAVHDGFKVLKLRYRSPRLLRDKRGGGGDVGGEFTRYAMAIFLPDARDGLRGLVERMASRPGFLHEHMPAAWPVPVGEFRVPKFKHKAVIEVNEEGTVAAAATMTRMLPSGVPPPPVDFVADHPFAYFIVEEMSSAVVFARHIVDPSME >ONIVA12G10270.1 pep chromosome:AWHD00000000:12:10994494:10994760:1 gene:ONIVA12G10270 transcript:ONIVA12G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVGEDGDGGDEDGWDDRWRRQWGSNLVEDGVGGGEDRWRRWRGTVGEDGGTAGRISLSRRTARASGREEGRRECGEKGAGVRKL >ONIVA12G10280.1 pep chromosome:AWHD00000000:12:10995275:10995975:-1 gene:ONIVA12G10280 transcript:ONIVA12G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLFGVNRRWTQSELLGTKLDWWTTTQGISSSLVPTCQKERRSGQEGLANNQWIADIRHNLIVELVKESFEENISRREKQS >ONIVA12G10290.1 pep chromosome:AWHD00000000:12:11041218:11063000:-1 gene:ONIVA12G10290 transcript:ONIVA12G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTPVEEQTPPAADASTLEEAEHAAATATEGEGEHKSVMPVPDELLATTKGGVVQSNESSAKVDEPLLLLRHLSPASIEEVEAAPDANAGGEEANRPRVEGDGEGVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKIPPTKLIPQHPLEGVTLTERTVRITGNKKQIEAAKDIIKQAMSQVLVEANGVTRTLVLNRPKQLNALSSAMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVPSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMRKASPTSMKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >ONIVA12G10290.2 pep chromosome:AWHD00000000:12:11041218:11063000:-1 gene:ONIVA12G10290 transcript:ONIVA12G10290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTPVEEQTPPAADASTLEEAEHAAATATEGEGEHKSVMPVPDELLATTKGEAAPDANAGGEEANRPRVEGDGEGVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKIPPTKLIPQHPLEGVTLTERTVRITGNKKQIEAAKDIIKQAMSQVLVEANGVTRTLVLNRPKQLNALSSAMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVPSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMRKASPTSMKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >ONIVA12G10290.3 pep chromosome:AWHD00000000:12:11041218:11063000:-1 gene:ONIVA12G10290 transcript:ONIVA12G10290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTPVEEQTPPAADASTLEEAEHAAATATEGEGEHKSVMPVPDELLATTKGGVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKIPPTKLIPQHPLEGVTLTERTVRITGNKKQIEAAKDIIKQAMSQVLVEANGVTRTLVLNRPKQLNALSSAMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVPSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMRKASPTSMKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >ONIVA12G10290.4 pep chromosome:AWHD00000000:12:11041218:11063000:-1 gene:ONIVA12G10290 transcript:ONIVA12G10290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTPVEEQTPPAADASTLEEAEHAAATATEGEGEHKSVMPVPDELLATTKGGVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKIPPTKLIPQHPLEGVTLTERTVRITGNKKQIEAAKDIIKQAMSQVLVEANGVTRTLVLNRPKQLNALSSAMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVPSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMRKASPTSMKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >ONIVA12G10300.1 pep chromosome:AWHD00000000:12:11068324:11069413:1 gene:ONIVA12G10300 transcript:ONIVA12G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLNFAIQVAVTHYYHIFYDGCLTNFDIGDHGAEATLLYPELASINQILSRYENSITPCLMVFVLYTSPFKYTLFYHQVDRGTWKVQMRLNYHVHVQTCFRTLRGLKYGYPVSNSNVQSSHSAQRNV >ONIVA12G10320.1 pep chromosome:AWHD00000000:12:11082077:11087196:1 gene:ONIVA12G10320 transcript:ONIVA12G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLIVGGTGYIGRRIVAASLAAGHPTFVLLRPEIGLDIDKLQILLAFKAQGARLLEASLDDHDGLVAAIRQVDVVVSAMSGAHIRSHNLMLQIKLVEAIKQAGNIKEVVVGGGGLALKVTCDDGMVTELGNDRPSLLTFQKTGVLKDDVRFLPSEFGMDPSRLGNALEPGRVTFDEKMEIRRAIENANIPHTYVSANCFAAYFSPNLCQLKTLLPPKERVGVYGDGNVKDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTQNELIAMWEKLSGKSLTKFHIHGDEFLASMKDTDFAHQVGVTHFYHIFYEGCLTNFDIGDNGAEATLLYPDVQYTRINEVLKRYL >ONIVA12G10320.2 pep chromosome:AWHD00000000:12:11082077:11087196:1 gene:ONIVA12G10320 transcript:ONIVA12G10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLIVGGTGYIGRRIVAASLAAGHPTFVLLRPEIGLDIDKLQILLAFKAQGARLLEASLDDHDGLVAAIRQVDVVVSAMSGAHIRSHNLMLQIKLVEAIKQAGNIKRFLPSEFGMDPSRLGNALEPGRVTFDEKMEIRRAIENANIPHTYVSANCFAAYFSPNLCQLKTLLPPKERVGVYGDGNVKDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTQNELIAMWEKLSGKSLTKFHIHGDEFLASMKDTDFAHQVGVTHFYHIFYEGCLTNFDIGDNGAEATLLYPDVQYTRINEVLKRYL >ONIVA12G10330.1 pep chromosome:AWHD00000000:12:11088322:11090468:1 gene:ONIVA12G10330 transcript:ONIVA12G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTQRIAAAVPSSSPVGHRQNRALPMSSPWSTPAGRRPYSSTWSSRPSHRVSSTSHMAPPPAAKNATRVRGRKERRKERRKKNSVRVLGFLI >ONIVA12G10340.1 pep chromosome:AWHD00000000:12:11117415:11122425:1 gene:ONIVA12G10340 transcript:ONIVA12G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLIVGGTGYIGRRIVAASLAEGHPTFVLLRPEIGLDIDKLQILLAFKAQGARLLEASLDDHDGLVAAVRQVDVVVSAMSGVHLRSHNLMLQLKLVEAIKEAGNVKRFLPSEFGMDPSRMGNALEPGRVTFDEKMEIRRAIEDAKIPHTYVSSNCFAAYFCPNLSQLTSFLPPKERVNVYGDGNVKAFFVDEDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTHNELIAMWEKLSGKSLTKFHIPAEEFLAPMKDMQFAFQVGITHFYHIFYEGCLTNFDIGDNGAEATILYPEVQYTRIDEFLKRYL >ONIVA12G10350.1 pep chromosome:AWHD00000000:12:11123307:11126368:1 gene:ONIVA12G10350 transcript:ONIVA12G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT4G01730) TAIR;Acc:AT4G01730] MGRGESTAAAAATMAWPRWRRRHGWQLPLHPLQLVGMAVFAVLVAAFYVVLGPYLGSTVAGNTLLALFSSSAAGAAALYVRCTAVDPSDRTHAKKMKRRRQLARARAGRRGGGVGRLPRLRYGYILWRYVVRLLRRVEVRVMNRWVRRSYLEQWNSSVQLDPMLPFAFTSLDDIVSPHAAAGHDISYCPVCDCEVKLRSKHCKTCDRCVDGFDHHCRWLNNCIGRRNYASFILLMFFVLLMLVIEGGTAIAIFVRCFTDSKGLKMEMEHRLHIRLPKGAHAALSMVFVIFTLYSTVALGQLFFFHVVLIRKGMRTYDYILAMREAAQAFDPFDDSDSSSDESIDFDSPERPSFLSRIFCRKDELSESTRKLSIRIENEPSDGTRRKDDIQINPWTLIKMSKEKAMAAAERARERIRNKLPSTTTSPMKPLPVETKRGPLNQERKHIMTGKEIVPVFTKSWLSGSPTSRLSSPRRRFSGSPSPKPQRHRSNFDLRLAEVSRELESHISKQVLCSVVMKGVEDEDSPS >ONIVA12G10360.1 pep chromosome:AWHD00000000:12:11130060:11136804:1 gene:ONIVA12G10360 transcript:ONIVA12G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J9R6] MAAAAAAARSGAHPPSFASSLGRCRVLPVVVVRRPGGAARPSPLLAPARCATAVGTAAPKVEGGGRRSSEQGQLAVAPARLVDELVEEALVWSSQHGLVVGDKNHPRSGKAPGVGLLHAPFALLPMSFSKVYWDQAVELAPLFNELVDRVSLDGDFLQETLARTKEVDSFTGRLLDIHAKMMKLNKKEDVRLGLTRSDYMVDGATDQLLQVELNTISTSSNGLACGVCELHRNLIRQHERELGLDPESVVGNTAIAQHAEALAGAWAEFNNQSSVVLVVVQPEERDGLPVAVVYFRAGYTPNDYPSEAEWRARLLIECSSAIKCPSIAHHLVGTKKIQQELAKENVLERFLDNKADIEKVRKCFAGLWSLENDSIVMSAIESPELFVLKPQREGGGNNIYGDNLRETLISLKKDGSNELAAYILMQRIFPPASLCYLVRDGTCIRENAVSEFGIFGAYLRNKDRVIINDQCGYLMRTKAASLNEGGVVAGYAFLNSVFLT >ONIVA12G10370.1 pep chromosome:AWHD00000000:12:11144026:11145350:-1 gene:ONIVA12G10370 transcript:ONIVA12G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCKARITRWHQGEHATLARQPPHRSVLPWCVHGAWEVAIVRAHCHSMAQGRRPGAGVAAGRGRGGLTCMWQCGGVGMARHDGNLSTGAGCAIRPRPRCGPRARSGVAAQQWKHGRTVASEGRQSVELIGALFFYFAFLPLLHLLLSFPLSALIAAKRACYRVHGMATGYCHGCRCQRCSSRPSRDRDGVAWAPLQHAAGEAPPMQRRFIDISDTFAQVEGPTMNFTLDRELNDLPQNLYGIRKLKKV >ONIVA12G10380.1 pep chromosome:AWHD00000000:12:11146179:11152095:-1 gene:ONIVA12G10380 transcript:ONIVA12G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFACQWSPLDHEPKALIFLCHGYAMECSISMRGTGVRLAKAGFTVHGLDYEGHGKSEGLQGYINSFDDVVVDCSNYFASVCERGECKGKKKFLLGESMGGAIVLMLHRKEPTNWDGAILVAPMCKIVEDMKPRPIVITILSKLSNVIPTWKIIPTEDVIDKAIKSEEWRQEVRNNPYCYKGRPRLKTGYELFMASLDIESTLDKVTLPFIIVHGGDDAVTDPSKCSFWWKDGFVLQGPMVYLHSRHRISNLFSYAQKEDISFESFMQHQEITSNFHFPISTQALRELQELNELMATIQVSTLEDTWTYIWGTNLYQSKKVNSLFFAPIHPSPSLTVIWKSKCTIKIKVSFWLLLVDHLNIRGMMQRKP >ONIVA12G10380.2 pep chromosome:AWHD00000000:12:11146179:11152095:-1 gene:ONIVA12G10380 transcript:ONIVA12G10380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFACQWSPLDHEPKALIFLCHGYAMECSISMRGTGVRLAKAGFTVHGLDYEGHGKSEGLQGYINSFDDVVVDCSNYFASVCERGECKGKKKFLLGESMGGAIVLMLHRKEPTNWDGAILVAPMCKIVEDMKPRPIVITILSKLSNVIPTWKIIPTEDVIDKAIKSEEWRQEVRNNPYCYKGRPRLKTGYELFMASLDIESTLDKVTLPFIIVHGGDDAVTDPSVSEELYTSAQSKDKTLKLYPGMCHALTSGEPASNIDIVFLDIIKWLDERKCSFWWKDGFVLQGPMVYLHSRHRISNLFSYAQKEDISFESFMQHQEITSNFHFPISTQALRELQELNELMATIQVSTLEDTWTYIWGTNLYQSKKVNSLFFAPIHPSPSLTVIWKSKCTIKIKVSFWLLLVDHLNIRGMMQRKP >ONIVA12G10390.1 pep chromosome:AWHD00000000:12:11167758:11169248:1 gene:ONIVA12G10390 transcript:ONIVA12G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMERSSRVICRVYIYKEAGSLNLESMYHSWGSNGSGSGSGSSTSSSSSSRPFNSVRMDGAVLPVSSRQQPPSSDHSPTEEELPRATAAVGRYSAEERQERIEKYRSKRNHRNFERKITYACRKTLADSRPRVKGRFARNSTTGDAADGSQSTGLAEVVSSPLATTNAMCNDDDDGGSGDLPEWWPAMKEALARQEDDDEDLLAAYLGVSSINLYSPRGHSS >ONIVA12G10410.1 pep chromosome:AWHD00000000:12:11203409:11203591:-1 gene:ONIVA12G10410 transcript:ONIVA12G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQNAITEIKDGLDKVTKSVLALRAGFEAKKVRGETTTMTTTPSLSATWSEVELLQATR >ONIVA12G10420.1 pep chromosome:AWHD00000000:12:11203682:11213612:-1 gene:ONIVA12G10420 transcript:ONIVA12G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKVEKGSFCRMEGVRLMLARVLLVLQLVVLVVILSPLAVFYLFGLLITAGLSLWRLLQRDYGAGEEAANLAPALNVLYSLALVQGALFFYYFTSRLLGRRLANLVAGVYRFGGEGEEEDDGGRASVVEYMRQTRNGCEKDPSSVRGRNLVTFAVTMMKESSSSSLSSGDYSSGARILDKLLSQAWLREQHELIRQLVGSSTDLMEKLLQTLRCTGTRDRGVREHAARIVAHLAGEITLARFPQGIRCIYSLLDVTTTTPRNQQQDDDNDDDDDDSAQSSDHYKKLMVQGLVILHKLAAAEHNRRIIINSTQGRQLLSMAMAPVSADLLHRIDHEAWNDIVACSLQLMCRLVTAPGETGDKLRSQVLNDKDAIGTMERILNCDGCNEKRLYILAINILTQLPMAAKNKVVDEASSMSVESRRKFTKLLLLIYTDEEKDAFMRQMAGEALAMLSERSKSDATIILKASDSTLKDLTAMLLDVNSNRGYRICAAEILEHLYIRYTEQDGYLNNLTEAMKDVLPKVLGEIFLVSWTHKEKQPGMTEKGTEGVNFSAQKADIESQDPVACQHEKVKEENEKVKEENEKVKEQDEKVKEQTVDMKLYAALLSLSEAIFQRLVNDDKDLAELTDKIAPGGGTAFSFAGKLKEMVEGNSEQATANCLRMLKITTRMIISLINLNGAKVGADLESLMHSLLKASEKMLELEGFMIFSSSDRTESTNPANILASLVKEAQELLEKKRQAQTTPAPSMETS >ONIVA12G10430.1 pep chromosome:AWHD00000000:12:11263953:11264803:-1 gene:ONIVA12G10430 transcript:ONIVA12G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPCAIAAPATYPVVTLDTHDIEHRMRAKLALSKCNAPEEGEVPKNAKVYLILSRGLEKNYGTRTPAP >ONIVA12G10440.1 pep chromosome:AWHD00000000:12:11268653:11270104:1 gene:ONIVA12G10440 transcript:ONIVA12G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVTQLLRETTKRVIGTKTTSRADLTLSCFSTVSNLQRLAGKVAVITGAASGIGKATAAEFIKNGAKVILADIQDDLARSVASELGPDAAYTRCDVADEAQVAAAVDLAVRLHGRLDVFHSNAGIPGRIPQDDALSVDLAGFDRVMAVNARPALAAIKHAARAMAPRRTGCVICTASGAGVVPMPALAMYSVSKATVIAVVRAMAEPLARHGLRVNAISPGATRTPMMLNEIPRLAVVSPGLSGELRRMVEEGASDAVKVLEPEDIARAAVYLASDEARYVNGHNIVVDAGYSVHKGAENSPAR >ONIVA12G10450.1 pep chromosome:AWHD00000000:12:11304462:11309384:-1 gene:ONIVA12G10450 transcript:ONIVA12G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMAFLTGIPAPHADAYTINGKPGDFYNCSAPNQTAKFEVRQNGTYLLRIINAGMNTPLFFKVAKHRLTVVGADACYTKPYKTDVVVVSPGQTVDALMVASAAVGRYYMAASPYDSAIPQGPPFSDTTATAILQYAGARRKTVRWRPPVLPRRPPVNDTATAHRFFSGMTALLRHGKPSAVPLAVDTHMYVTVGLGVSLCQPEQLLCNRSAPPVFSSSMNNASFVVPKNTSLLEAHFRREPGGVYTRDFPDTPPVVFDYTGDESDNATMQFTTKSTKVKTLRYNETVEMVLQNTRLIAKESHPMHIHGFNFFILAQGFGNYDKRRAERRFNLVDPQERNTIAVPTGGWAVIRFVADNPGMWYMHCHFDAHISLGLAMVLEVLDGPTPETSVPPPPADLPRCS >ONIVA12G10460.1 pep chromosome:AWHD00000000:12:11386524:11387691:1 gene:ONIVA12G10460 transcript:ONIVA12G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGERWLVAVEAKALLWASLSDVGRHLSQQGGVHLGQEQQLAAALNSAIGYGGIHESKFVTFEGGIYASKFVTFEGAEVGGWELNENFTG >ONIVA12G10470.1 pep chromosome:AWHD00000000:12:11393181:11394911:-1 gene:ONIVA12G10470 transcript:ONIVA12G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPIAAMTFAHPANVMTLASRQPKSKRSHISPATTAHRNLQTRLAHHHRATPASLLMAICNTVDKVINRFIDLPEQRPTVDPRRVLSGNFAPVDELPPTSCHVIRGSIPSCLAGGVYIRNGPNPQHRLPQRTHHLFDGDGMLHSLLLPSASSTPLSEPVLCSRYVHTYKYLLERETGGPVLPNFFAGFHGVAGLARAAVMIARVLAGQINLNKGFGLANTSITLFADCLYALCESDLPYSIHINPANGEVTTLGRCDFGGDLSFRMTAHPKKDPVTMELFAFRYNVFQPFITYFWFDRAGSKVADVPILSLQKPSVMHDFAITERYAIFPESQLIVNPMDMVMRGSSLVGLDRTMVPRIGVLPRYAKDESDMRWFEVPGFNMLHTTNGWEEADGEEIVLVAPNILSIEHMLGNMELMRARVDMVRINLCTGDVSCTALSPESLEFGVIHQGYVGRKNRYGYFGVSGPLPKIKGIRKLDFDLVGSGDCTVGRRDFGLGCFAGEPFFVPDNIDGYGNEDSGYVVCYTHEEDTGESWFVVMDAKSPELDIVAEVQLPSRIPYGFHGIFVKQAELLAQQ >ONIVA12G10480.1 pep chromosome:AWHD00000000:12:11425498:11428106:-1 gene:ONIVA12G10480 transcript:ONIVA12G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLHAKRTCDMISDISKDKLICNPEKFVSKSCVKWVKSINKEQKLPKLDLLFFPIVRDKHWVLTCINLLWEQINYFDSIKRGDISQWFILSQNLVTNFTKVAVDAKIPIKDISKFQTCSPPQYPVQSNLFDCGFFSLRYIENWDGKNLQAFNEGDMPNYQKFVTHMMVSSHLAKVDHDQLQAN >ONIVA12G10490.1 pep chromosome:AWHD00000000:12:11491304:11492319:1 gene:ONIVA12G10490 transcript:ONIVA12G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIYGWVVDSNVCDERTYGLVWVLETGGVAERLMMNVVFFMGDGDLVVLVVGKIALVLSNMLYEDMKAGPDRVLIIYDQTSYTMTCKSLENKQCIIVTFYPAQICLL >ONIVA12G10500.1 pep chromosome:AWHD00000000:12:11515953:11516791:-1 gene:ONIVA12G10500 transcript:ONIVA12G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETRGSFDDMQWFPVYLKLTCKCIQWSTTYHETTNNMDKNYDLLQISIVPVYQDRCIPPTKWWDMLAAYGPTENYVATNDKPPPITQQTQDYGYFDFSGFEVACRSVHERRSPERLSLSGRIPLPWARRKGKKKYTSTLRNFNEEDDE >ONIVA12G10520.1 pep chromosome:AWHD00000000:12:11571602:11574132:-1 gene:ONIVA12G10520 transcript:ONIVA12G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRKSTAAHTFPHDVLLFTFHPVFPFPRFFTFLQSAQWLFATFRSDGDGKQIPFRIQGHLRLGIVEFDASSGSDVAEEENGHRIALGRMVAVGVSYVSLLNAVASHCRLPWVSYLWEVDGDGVLLAGVELSLPDEQLGCGTVSKFFWVAAVQPSAVSHEMVALQAVRFLQVKYGFAVHDYNFHMLLSYRKIAASAVDVAITADAHLARLEARYGPTDLPCENVLFLCASAQMLCTLDQVAYMQMSRKEAFHQYNYFPLGKRTLLDIPRSAAASNRERRRMKRSAHRTDYPLMNVLDGIFRSKKIQRKKFFPTAYSLISIRCIGPKPTLEDILPLPKDYIASLKALYPERSYYGGPSHECPYCGA >ONIVA12G10530.1 pep chromosome:AWHD00000000:12:11587950:11593995:1 gene:ONIVA12G10530 transcript:ONIVA12G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPPPTPQCDGGFLQSRGALEDSGRSSDGDGDGWQIRWRHTVGSRQSCLPRDVEEVNNRNKMTWWLARWEAIATPEAPQPSPAIAVQVRWKPAAAAYLTAQGRGKCSRRHTGDLSSTRAQLLPRPSPHALPDRTAAHARIDTIAAVPALAAHVGTATVAEHTEAVAGRRLNTTELGEGMGLGPYPFTQGYNSKLNPFSVSICIFLTQSHGCHLTGVKSEGKQRVRFQKEGAKMQILKSRGKTDIQNKGMDAIAP >ONIVA12G10540.1 pep chromosome:AWHD00000000:12:11595960:11599657:-1 gene:ONIVA12G10540 transcript:ONIVA12G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPWAANHPHERQSRHLLVHVAAALRRQQRSHADRFLTREQLTGVVERFDMAKASTSPLSSPFTASSLDLDGTGFGGEDDRKLGGNAVVQILESFSGTHKQQPTGNRQPSQPTTPIPPSPRPPCISAGGRRHRPQPPRPFAAAATASPLRRRCLTHSPPPPPHPFATATASPLRHSRCLFVGVVASALFIGVVASALFVGVVASALFAGVVTSANSRRLFAGVVASPYHRHCRSAASSSRCRPVSRSVNL >ONIVA12G10550.1 pep chromosome:AWHD00000000:12:11602017:11602690:-1 gene:ONIVA12G10550 transcript:ONIVA12G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSNAHPGKVVEGGGREGDGRNGGSKSSDRPIRERGAGGLSQGGGIGKGKSGITSTRRSWWREGLACEAPLTLVTDPSRQRQDGSGGAKRRAASGSGETAATAAPCACAKCTHRRREWLGHGPIWAGSARLG >ONIVA12G10560.1 pep chromosome:AWHD00000000:12:11602053:11604161:1 gene:ONIVA12G10560 transcript:ONIVA12G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLPPPVGALGARTGRRRRRRLATSRCRPPFCAAAAILPLTRRIRHQRQLRHHATDVSRRIEPPNQRIELAPCSTSPRRRRRVLLIFLLRNRLTPPPLATYKRMTSSLSLFLSHVRPLVPPADLPHRSFASQTLAPPTPPRRDKPPAPRSLIGRSELLLPPFRPSPSLPPPSTTFPGCALLGNTISHWRSYLPATREPAPRSPSLLFPLGCQTRKEETVQQVLSASKELTWTQMATLKPWEILRHKARHN >ONIVA12G10570.1 pep chromosome:AWHD00000000:12:11628424:11638851:-1 gene:ONIVA12G10570 transcript:ONIVA12G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPLPHVGPTVQQETAMWAHAERFARASFPQSPAKKKKKKRGSSRLPPTTTSAIRRRPLAAGLRRLGFGSRRHLGRSEATAGLSWGTDRLACVCHRLLPPVRLCAAIYVPPPVVPLPAPSLPCAAAPPPALPPLRRRLCSFPPVRREPERERLRERERDAREKSNILILRLQSLKYLEFRDKLNFSRFHKSQVIVMSTNVNNGNSESNLKRSYQVVVSATRDMGIGKDGTLPWKLPGDLKFFKDITVTTSDPSKKNAVVMGRKTWESIPLKFRPLPGRLNVILTRSGSFDFATAENVVICGSLDSALQLLATTPYCLTVEKTFIIGGGEILRQSLNAPACEAIHLTDIESSIECDTFIPPIDLSMFHPWYSSFPVVENGIKHSFISFVRVTESIAEANDSSGKELTGNDSKKVKFEIENFSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTVSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDSIGLTQREEGDLGPVYGFQWRHFGAEYTDMHADYVGKGFDQLMDVIDKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVIGDAHVYRTHVEALEEQMRKQPKPFPILKINPVKKDIDSFVTSDFKLVRYDPHHKIEMKMAV >ONIVA12G10570.2 pep chromosome:AWHD00000000:12:11628424:11638851:-1 gene:ONIVA12G10570 transcript:ONIVA12G10570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPLPHVGPTVQQETAMWAHAERFARASFPQSPAKKKKKKRGSSRLPPTTTSAIRRRPLAAGLRRLGFGSRRHLGRSEATAGLSWGTDRLACVCHRLLPPVRLCAAIYVPPPVVPLPAPSLPCAAAPPPALPPLRRRLCSFPPVRREPERERLRERERDAREKSNILILRLQSLKYLEFRDKLNFSRFHKSQVIVMSTNVNNGNSESNLKRSYQVVVSATRDMGIGKDGTLPWKLPGDLKFFKDITVTTSDPSKKNAVVMGRKTWESIPLKFRPLPGRLNVILTRSGSFDFATAENVVICGSLDSALQLLATTPYCLTVEKTFIIGGGEILRQSLNAPACEAIHLTDIESSIECDTFIPPIDLSMFHPWYSSFPVVENGIKHSFISFVRVTESIAEANDSSGKELTGNDSKKVKFEIENFSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTVSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDRYTDMHADYVGKGFDQLMDVIDKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVIGDAHVYRTHVEALEEQMRKQPKPFPILKINPVKKDIDSFVTSDFKLVRYDPHHKIEMKMAV >ONIVA12G10570.3 pep chromosome:AWHD00000000:12:11628424:11638851:-1 gene:ONIVA12G10570 transcript:ONIVA12G10570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPLPHVGPTVQQETAMWAHAERFARASFPQSPAKKKKKKRGSSRLPPTTTSAIRRRPLAAGLRRLGFGSRRHLGDKLNFSRFHKSQVIVMSTNVNNGNSESNLKRSYQVVVSATRDMGIGKDGTLPWKLPGDLKFFKDITVTTSDPSKKNAVVMGRKTWESIPLKFRPLPGRLNVILTRSGSFDFATAENVVICGSLDSALQLLATTPYCLTVEKTFIIGGGEILRQSLNAPACEAIHLTDIESSIECDTFIPPIDLSMFHPWYSSFPVVENGIKHSFISFVRVTESIAEANDSSGKELTGNDSKKVKFEIENFSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTVSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDSIGLTQREEGDLGPVYGFQWRHFGAEYTDMHADYVGKGFDQLMDVIDKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVIGDAHVYRTHVEALEEQMRKQPKPFPILKINPVKKDIDSFVTSDFKLVRYDPHHKIEMKMAV >ONIVA12G10570.4 pep chromosome:AWHD00000000:12:11628424:11638851:-1 gene:ONIVA12G10570 transcript:ONIVA12G10570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPLPHVGPTVQQETAMWAHAERFARASFPQSPAKKKKKKRGSSRLPPTTTSAIRRRPLAAGLRRLGFGSRRHLGRSEATAGLSWGTDRDKLNFSRFHKSQVIVMSTNVNNGNSESNLKRSYQVVVSATRDMGIGKDGTLPWKLPGDLKFFKDITVTTSDPSKKNAVVMGRKTWESIPLKFRPLPGRLNVILTRSGSFDFATAENVVICGSLDSALQLLATTPYCLTVEKTFIIGGGEILRQSLNAPACEAIHLTDIESSIECDTFIPPIDLSMFHPWYSSFPVVENGIKHSFISFVRVTESIAEANDSSGKELTGNDSKKVKFEIENFSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTVSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDRYTDMHADYVGKGFDQLMDVIDKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVIGDAHVYRTHVEALEEQMRKQPKPFPILKINPVKKDIDSFVTSDFKLVRYDPHHKIEMKMAV >ONIVA12G10570.5 pep chromosome:AWHD00000000:12:11628424:11638851:-1 gene:ONIVA12G10570 transcript:ONIVA12G10570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPLPHVGPTVQQETAMWAHAERFARASFPQSPAKKKKKKRGSSRLPPTTTSAIRRRPLAAGLRRLGFGSRRHLGDKLNFSRFHKSQVIVMSTNVNNGNSESNLKRSYQVVVSATRDMGIGKDGTLPWKLPGDLKFFKDITVTTSDPSKKNAVVMGRKTWESIPLKFRPLPGRLNVILTRSGSFDFATAENVVICGSLDSALQLLATTPYCLTVEKTFIIGGGEILRQSLNAPACEAIHLTDIESSIECDTFIPPIDLSMFHPWYSSFPVVENGIKHSFISFVRVTESIAEANDSSGKELTGNDSKKVKFEIENFSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTVSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDRYTDMHADYVGKGFDQLMDVIDKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVIGDAHVYRTHVEALEEQMRKQPKPFPILKINPVKKDIDSFVTSDFKLVRYDPHHKIEMKMAV >ONIVA12G10570.6 pep chromosome:AWHD00000000:12:11628424:11638851:-1 gene:ONIVA12G10570 transcript:ONIVA12G10570.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPLPHVGPTVQQETAMWAHAERFARASFPQSPAKKKKKKRGSSRLPPTTTSAIRRRPLAAGLRRLGFGSRRHLGKSNILILRLQSLKYLEFRDKLNFSRFHKSQVIVMSTNVNNGNSESNLKRSYQVVVSATRDMGIGKDGTLPWKLPGDLKFFKDITVTTSDPSKKNAVVMGRKTWESIPLKFRPLPGRLNVILTRSGSFDFATAENVVICGSLDSALQLLATTPYCLTVEKTFIIGGGEILRQSLNAPACEAIHLTDIESSIECDTFIPPIDLSMFHPWYSSFPVVENGIKHSFISFVRVTESIAEANDSSGKELTGNDSKKVKFEIENFSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTVSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDRYTDMHADYVGKGFDQLMDVIDKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVIGDAHVYRTHVEALEEQMRKQPKPFPILKINPVKKDIDSFVTSDFKLVRYDPHHKIEMKMAV >ONIVA12G10580.1 pep chromosome:AWHD00000000:12:11638549:11641454:1 gene:ONIVA12G10580 transcript:ONIVA12G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCQLKELELEQDEIKDVLRCILHTIFFHRTLTLVRPKDVDCDLFEITYVQCGLPDLEKEVDEKINQFIAWVEKHPNRRSQVCLSFFDEKNKNPSWFTSKTERIYWEQWFINLHVISPKGHGKSRSSKASTSIRGKALEEASSKRAALGLLIQEVLFQIINFANEKKDHIPPISDRIFNHEISIPSSSDSVFGWNADVLRRVLNS >ONIVA12G10590.1 pep chromosome:AWHD00000000:12:11640832:11642150:-1 gene:ONIVA12G10590 transcript:ONIVA12G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGQPPPLQACQAAAFPRLPPATCYLRYKSRTSLRPSAFFATGHCFREPLPDRVSRVAPPRAHPLPIPAIPGLPEWPFRALPPTPRRSSAAAFRASPSGSAGSEAARGTRVVVRAARVSPPSRPQRPHRGLSSIPTLDMAYLMITVFSSTIQHSSKDVGVPPEYRIRRAVNTSKEKVSPGDSDIDTESSTNLQNSSVIRGYPTKCSVQLDM >ONIVA12G10600.1 pep chromosome:AWHD00000000:12:11644447:11647656:-1 gene:ONIVA12G10600 transcript:ONIVA12G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILEALQQTSIPVTGSSSITVSPENFIKCLKKFYHHWKEDGSNLWGSSTAIAIATPPPSDDIRYKKSLALSMWFFNRELPETIMVFTEKQIHVLCKQKGCDALKPLKMPVSKAVSIEIVLHNLAKGDNGSSLMDEILHAVCSHFESKSAVIGHLAREKPEGKILEVWSEKLNGSRLRLSDVSSGISDLLSVKDATEIMYVKKAAYLTASVMKKYVVPKLEKIIADEMKVQHSKLTDLTEKILLSPTKIDVKLKAENVDICYPPIFQSGSKYDLRPAAASNNDNLYYDSGSLIVCAMGAKYSNYCSNVARTFLIDCAGEKCNAYKVLCQAHDAAIAALVPGSKASDSYQAAVSLVRDKAPDLLPFFTKSAGTGIGIEFRETWLSLNEKNDRILKEGMVFNVSLGFQNLPEKTGDYKNKEFSLLLADSVLVCKEKPHVLTAFVSKADGDVFYSFDEEKTGSPSVKPSLNAKVMVPEKPVPKAELMLPLKENLRSRSRTPKEDLRKQLQAEILQKRTAEIAMNSNASNHKLLEGQGLRAMREPVAYKSTRDIPCSNRLEIQVDKQNEAILLPIYGVIVPFHVCTVKKAEIRGDSNRGVYVCITFNVPGTASNLQDPCLKTNANRIFLKAVTFISKDRKHADEVIQLMRIIQRGVTERAKRASLVSQERLQLCDRMTRDRIQLMDLWIRPTFAGRGRKSPGILVLHVNGFQYSASKSEKIEIMFCNVKHAFFQPAEKEMITLLHFHLYNDIMVGNKKTRDVQFYIEVMDTVDSVGLRRRTAWDPDEIEEEQRERARRSGINRQFELFVKRVNSIWSQPRFEQLGLQFETPSQKLGFNGVHGRTTCFIVPTPSCLVQLVELPFLVTSLREVDIVCLERVALGQKSFDMVFVFQDLKRDVIRIEVIPMTSIDGIKDWLNDCNLKYYESKLNLSWRKVLKEVMNNKESDENNRWEFLNPDASDSDSESSQTEDDQYEPSDADSCSESDDKDSDSESVVDSGEDDGAMDGSEDDGGDAAESWDEMERKARDADMEMGSESDSEDERQRRREKALAKSRRPSHPQAKGAAHKRQRIN >ONIVA12G10610.1 pep chromosome:AWHD00000000:12:11647658:11649648:-1 gene:ONIVA12G10610 transcript:ONIVA12G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTPAGGRGRGRPHPPPRRRLAPSDAAARCPGSVRRGAGRGGLYKNRIATPRGEPDFCEALQL >ONIVA12G10620.1 pep chromosome:AWHD00000000:12:11650229:11651044:-1 gene:ONIVA12G10620 transcript:ONIVA12G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPASGDDDVVNEKKEEGKGKAFCSCRRRACLHKIFLRLDLDGDGSLTKLELGVLLRSLKLLRLLSLRLAAEDEIHALLAIMELGAFLRSLLLRPAARDEINAFMSAWSSARSSAYSAPVWQSPLLIPSSSTWVPSSLIDVLASILTELIVGPCCPIITLDQAEVAEAFRAFDCKGNGFISAIELTRSIADTATPTASSSFYLTVGPITH >ONIVA12G10630.1 pep chromosome:AWHD00000000:12:11657949:11660783:-1 gene:ONIVA12G10630 transcript:ONIVA12G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRQGALRGPSDYSQEPTRHPSLRINAKEPFNAEATRRDLVASYITPVDLFLKRNHGPIPILHDIDSYYVTVAGLIERPAKLYLNDIKKLPKYNVTATLQCAGNRRTEMSKSRKVRGVGWDVCALGNAWWNTAKGRKKEKRCMDILQLTTWGGAKLSDVLQLIGVPYHTEITPSGGKHVEFTSVDQCPEEKGGPYKASIPLGHATNPAADVLVAYEMNGEVLKRDHGYPLRVVVPGVIGARSVKWLDRIDIIEEECQGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPVQSAICSLEDTNAIIPGEVTVTGYALSGGGRGIERVDISTDGGKTWFGAVRYQKEGVPYIAGDISSDKWAWVLFKTVIDVKGDTEVIVKAVDSSANVQPESVETIWNLRGILNTCWHRVRLLTIHNLRSLL >ONIVA12G10630.2 pep chromosome:AWHD00000000:12:11657949:11660783:-1 gene:ONIVA12G10630 transcript:ONIVA12G10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRQGALRGPSDYSQEPTRHPSLRINAKEPFNAEATRRDLVASYITPVDLFLKRNHGPIPILHDIDSYYVTVAGLIERPAKLYLNDIKKLPKYNVTATLQCAGNRRTEMSKSRKVRGVGWDVCALGNATWGGAKLSDVLQLIGVPYHTEITPSGGKHVEFTSVDQCPEEKGGPYKASIPLGHATNPAADVLVAYEMNGEVLKRDHGYPLRVVVPGVIGARSVKWLDRIDIIEEECQGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPVQSAICSLEDTNAIIPGEVTVTGYALSGGGRGIERVDISTDGGKTWFGAVRYQKEGVPYIAGDISSDKWAWVLFKTVIDVKGDTEVIVKAVDSSANVQPESVETIWNLRGILNTCWHRVRLLTIHNLRSLL >ONIVA12G10640.1 pep chromosome:AWHD00000000:12:11671312:11675777:-1 gene:ONIVA12G10640 transcript:ONIVA12G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGGGGGRKSSSPAGKPAEAARAGSLLAGLPSRGNFVADSIASSMGGLPIYVCLHDTAPPEGQVIDTDTTNILIRSLQLSKQKNEAKDVGSRTPGESSKGKRSASRLLDGKNPSKRANTGSTAGSSAHGELGSVFSEQTLQSFTVEKLRILLKERGLSPKGKKVSTENA >ONIVA12G10650.1 pep chromosome:AWHD00000000:12:11678196:11679252:-1 gene:ONIVA12G10650 transcript:ONIVA12G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQVRVTRKISDPNTKAVATDRTIRNLVCHEDLRLTCRNHLSHQKYRPSLLGETPGRRAKTKGFVTKNRAKPGDEDQWVRHQAW >ONIVA12G10660.1 pep chromosome:AWHD00000000:12:11696833:11698422:-1 gene:ONIVA12G10660 transcript:ONIVA12G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESCSYVLPLLTCCLFLFYSITCYALAAAAGGGGSCGGSDDHAAPRSYPLVGCLLDFYRNRRRLLAWYTGLLAASPSQTIVVDRLGARRTVVTANPANVEHVLRANFGNYPKGKAFTDVLGDLLGGGIFNADGERWFAQRKLVSHEFSARVMREAVGVALEREARARLLPALDAAAEGGGGVVVVDVQELLRQFAFNVICRVALGGGGGEVALALPLSRLAAAFDAAAAISARRGAAPVAAAWKVKRALGVGSERRLREEVKVIRDAIMEFVRDSSSRRRREQLLAAARGRDDLVSRMAAAGYPDEAICDMVVSFIMAGRDTTSSALTWFFWLMTRHRDVEREVLDEVDACMGDGGDGGGLAGVDLEGSRRARVLHAALCETMRLYPPVAWDSKHAAEDDVLPDGTRVGRGDRVTYFPYGMGRMEAIWGADAGEFRPGRWLAAAAAGGGVSPFKYPVFQGGPRTCLGKDMAFVQMKFVASAVLRRFELRPVAPEGSPEFVPLLTAHMAGGLKVTVRRRQRQNGTCET >ONIVA12G10670.1 pep chromosome:AWHD00000000:12:11720400:11721842:1 gene:ONIVA12G10670 transcript:ONIVA12G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J9V2] MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSEQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEANIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKEVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAYSVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMSKFDWDHPMHLQPTSPTAFKQVSVVWDAYEATKGAHGVCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >ONIVA12G10680.1 pep chromosome:AWHD00000000:12:11724890:11730777:1 gene:ONIVA12G10680 transcript:ONIVA12G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J9V3] MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRVDAWNSDQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKEVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVASMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRGLSMAKFDWDHPRHLQPTSPTAFKQVSVVWDAYEATKGAHGLCILTEWDEFKTLDYQRIFDNMQKPAFVFDGRNVVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >ONIVA12G10690.1 pep chromosome:AWHD00000000:12:11728747:11736014:-1 gene:ONIVA12G10690 transcript:ONIVA12G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKGGGGGGKGGGGKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLRCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFDITFENDKGSRSMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPRVAPLQVIVIPVPYKDVDTTAIVNECKKTVETLEKAGIRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDMANNQKNLFETAKQKRDACLKVVNTWDEFIVALNDKKLILAPWCDEEEVEKDVKARTKGDLGAAKTLCTPFEQPDLPEGQHDNIEENQTKTKCA >ONIVA12G10700.1 pep chromosome:AWHD00000000:12:11743544:11751153:-1 gene:ONIVA12G10700 transcript:ONIVA12G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27120) TAIR;Acc:AT3G27120] MAEQSHGGDGGGGGAGSGEPTNWRKEADDRLRRLHSLQFGADVALEGKDPAGAQVLALRLLGFLDSQALPGDGGAAGHEASFVAPIRAAASSSVAAAIRARAGRSDSAVFKLAEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMKTTDCQESTIEEGPHVEENSTDMENEKLSIRASKLVMQRKLTSLRSHKPLKANVVQDGNMFKSVSNISNESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICEHANSPLSNDEADAPANEFVTARTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQEQQTDLKNWMKQHGGDLQNVYIFPFLHQARAWIIRNLLEKDGLFKLTEEETNIVCKLTEDASMGPLREALQQGVGITKLNKEDMRPVMLKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >ONIVA12G10700.2 pep chromosome:AWHD00000000:12:11743544:11751153:-1 gene:ONIVA12G10700 transcript:ONIVA12G10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27120) TAIR;Acc:AT3G27120] MAEQSHGGDGGGGGAGSGEPTNWRKEADDRLRRLHSLQFGADVALEGKDPAGAQVLALRLLGFLDSQALPGDGGAAGHEASFVAPIRAAASSSVAAAIRARAGRSDSAVFKLAEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMKTTDCQESTIEEGPHVEENSTDMENEKLSIRASKLVMQRKLTSLRSHKPLKANVVQDGNMFKSVSNISNESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICEHANSPLSNDEADAPANEFVTARTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQEQQTDLKNWMKQHGGDLQNVYIFPFLHQARAWIIRNLLEKDGLFKLTEEETNIVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVMLKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >ONIVA12G10710.1 pep chromosome:AWHD00000000:12:11779540:11779815:-1 gene:ONIVA12G10710 transcript:ONIVA12G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCVFLRWPSTLPSLLGYRFLDDSKVAGLSSPVFSVDYLMLDSYPSRLLLETAVRKDESKATLFVNVTILFLSVLCAK >ONIVA12G10720.1 pep chromosome:AWHD00000000:12:11798989:11799207:1 gene:ONIVA12G10720 transcript:ONIVA12G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGLRRRRRIGKTLSPAAAVGDDVGSGRRMGTTSSPPAAGRGGWGSSSGGEDLASRSVRAGGGMGRRREE >ONIVA12G10730.1 pep chromosome:AWHD00000000:12:11806022:11808675:1 gene:ONIVA12G10730 transcript:ONIVA12G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKSNGYHASDATSSLDALSELYGNTFAVVKSMALKAAMDLGIADAIHHHGGAATLSQIVTRVALHPSKVPCLRRLMRVLTLSGVFAVQKPAPGDAAAEADEAPMYTLTPVSRLLIGAGNQRHMMSMLLHPCFIAPFFRISDWLQLELPEPCMFKHTHGQSFWEMTNEDAAFNTVVNDGMASDSIFTMDILVREHGEVFQGISSLVDVAGGNGTAAQAIARAFPEVKCSVMDLAHVVAEAPGGTGVEFIAGDMFESVPPANAVFLKPEKNAAKDCVKILENCKKAIPTRDKGGKVIIMDIVVGTGPSDQKH >ONIVA12G10740.1 pep chromosome:AWHD00000000:12:11836196:11843308:1 gene:ONIVA12G10740 transcript:ONIVA12G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVSVSTGALNTLLPKLADLLLLVAGEQHSSRRAVKDGVEHLESELTSMRAALDKVSAAPPDQLDGQVRLWARDIRDMSYDIEDAIDTYLLREAAAAPRRPCCIGFAHGHGRSRRRAAIVVEIERIKKEVEEVSRRRERYRIDDHVVGVVDDAPVDLRLPALYTNAASLVGVDASVEEVIKLLSMEGDQGAVMVRRKLKLVAIVGPGGIGKTTLANLVYQKLHGQFECQAFVSVSRKPNIKVVLSSILCQVSQLKYENFSSWGEKEIIDKIRDILKDIRYFIIIDDIWDKPTWQLLKCVLIDNDHGSKIITTTRNMDVAKLCCYSDDVDGTIQIQQPLSVADSEKLLYHKVFHNERCPPQLQGISQKILKRCGGLPLAIITIASLFANRQTQTEDHWNSDYIIDRDDLIWRWIAEGFIQPKQGTILYEKGERYFDELINRNLIQPICIDVHGKAQACRVHDTILEFIAGLSIEENFVAILNGQCSTSDLPKRIYRLSLQNSKDDITIPDATERFSHVRSLWQGIDLKMPLLGFRVLRALDLGDCSSQNIDNIGNLVHLRYLRLRGTHYNKLPKEIGNLRFLQTLDIKRTRIKELPSTVVHLTQLMRLMVDTWTKLPNGIGNMECLEQLSEIDTSMYPSLMKELSDLPNLRVLEILISTWEQTKEKPFLDCFSCMKKLESLHIFAPHISLDFMLNVDWTLQELKKFTVCICPKSEDIFNLSPLSVWEEFSPLSTLPKWINSSLTNLSYLSIIVKILRQEDLGVLGDLPALCSLDLQVIDVADEMLVILSHSGGNGHARSAFQCLSNFNFTSPAMVLAFRHGAMQRLQILSFRFQLKKTKVFHCDFDLGLENLTSLKTVHFGVDCRYARLWEVQTAEAALRNATSLNLNCPTLDLSKHFERLMYWDGMEEIPDLKIFKEENAGLAKIGPWGGNRGRLYDIQVAPHHLESIKVCSDMAAIHSFEFTYSDHNGKKHTAEFLVEVSGTFGRFRAALNIITSLTFVTNAQSYGPYGQREGTPFHIPVQSSGCIVGFFGRAGCDIVIHSLAFSYSDHDGQHHTAGPWGGDGGNNQTIQFGPSELLTTVSGTFGSYNTSYDVITSITLVTNIGCYGPFGKEKGISFNFPIQGNGSIVGFFGHAELYVDAIGVYVNPWVGIWKQEEKEGIIKIGSFGRGGGCRCDIKVTPQHLESITISSKIVINSLTFSYRSHDGQQYILGPWGGGGENNYKINLGPSEFITKVHGTFGPYGEFPIVITSLTFINNAGHQYGPFGQGGGTPFHAPISGNGSIVGFFGHQGACLEAIGFYFRPS >ONIVA12G10750.1 pep chromosome:AWHD00000000:12:11847373:11853257:-1 gene:ONIVA12G10750 transcript:ONIVA12G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:crumpled leaf [Source:Projected from Arabidopsis thaliana (AT5G51020) TAIR;Acc:AT5G51020] MGSGEDTGAGVAGGGGGGGAGGVVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVVDALSGEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRVLYFEQAFWRSPEKPFRQRFYMVKPCPKDMKCDVELSSYAIRDVEEYKNFCDRPKDQRPQPEEVIADIAEHLTTIHLSRCERGKRCLYKGSTPPEGFPNSWSGATYCTSDLSIHKNGEVHIWDKGFDDDGNQVWGTKAGPYEFKPAPKSNYDDMFSPLNFSAPLTLEKKIESSFAIDDQYPVY >ONIVA12G10750.2 pep chromosome:AWHD00000000:12:11847480:11853257:-1 gene:ONIVA12G10750 transcript:ONIVA12G10750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:crumpled leaf [Source:Projected from Arabidopsis thaliana (AT5G51020) TAIR;Acc:AT5G51020] MGSGEDTGAGVAGGGGGGGAGGVVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVVDALSGEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRVLYFEQAFWRSPEKPFRQRFYMVKPCPKDMKCDVELSSYAIRDVEEYKNFCDRPKDQRPQPEEVIADIAEHLTTIHLSRCERGKRCLYKGSTPPEGFPNSWSGATYCTSDLSIHKNGEVHIWDKGFDDDGNQVWGTKAGPYEFKPAPKSNYDDMFSPLNFSAPLTLEKKIESSFAIDDQ >ONIVA12G10760.1 pep chromosome:AWHD00000000:12:11880536:11887245:1 gene:ONIVA12G10760 transcript:ONIVA12G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0J9W3] MATAEVGGGGGEGDAAAAAVARAGGGGGGGGGGGEDALFTELWSACAGPLVTVPRVGEKVFYFPQGHIEQVEASTNQVGEQRMQLYNLPWKILCEVMNVELKAEPDTDEVYAQLTLLPELKQQEDNGSTEEEVPSAPAAGHVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPYDRYMESLKRNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWPESKWRSLKVRWDEASSIPRPERVSPWQIEPAVSPPPVNPLPVPRTKRLRPNATALPADSSAIAKEAATKVVVESEPNGTQRTFQTQENATPKSGFGNSSELESAQKSIMRPSGFDREKNNTPIQWKLGSDGWMQMSKPESYSEMLSGFQPPKDVQTPQGFCSLPEQITAGHSNFWHTVNAQYQDQQSNHNMFPSSWSFMPPNTRLGLNKQNYSMIQEAGVLSQRPGNTKFGNGVYAALPGRGTEQYSGGWFGHMMPNSHMDDTQPRLIKPKPLVVAHGDVQKAKGASCKLFGIHLDSPAKSEPLKSPSSVVYDGTPQTPGATEWRRPDVTEVEKCSDPSKAMKPLDTPQPDSVPEKPSSQQASRNMSCKSQGVSTRSCKKVHKQGIALGRSVDLTKFNGYEELIAELDDMFDFNGELKGPKKEWMVVYTDNEGDMMLVGDDPWIEFCDMVHKIFIYTREEVQRMNPGTLNSRSEDSHANSMERGSVGREMRGCLSTSSLNSENC >ONIVA12G10770.1 pep chromosome:AWHD00000000:12:11896840:11899662:-1 gene:ONIVA12G10770 transcript:ONIVA12G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRFHGAMWMQDDGGGDQEHGQAAPPGQEQHHHDQHLMAMAAAAAGGAGFRAAQAPAPLLDEDWYFDAAGGGGGGAHGSMMLGLSSVHGGIGAGTSGGGHGQQFSLLNMGAAAAPFDVSGFDLGVACGGVGGGGDVVSFLGGGNASNTALLPVGNAGFLGTFGGFGTAASQMPEFGGLAGFDMFDAGAVNTGGSSSSSSAAAAAASASAHVSNTAPFSGRGKAAVLRPLEIVPPVGAQPTLFQKRALRRNAGEDDDDKKRKAAAGAGAGALSADGADMVLDDGDDDGLSIDASGGLNYDSEDARGGEDSGAKKESNANSTVTGDGKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELESSPATSSLPPTPTSFHPLTPTLPTLPSRIKEEICPSALPSPTGQQPRVEVRLREGRAVNIHMFCARRPGLLLSAMRAVEGLGLDVQQAVISCFNGFTLDIFKAEQCKDGPGLLPEEIKAVLMQSAGFHTMI >ONIVA12G10780.1 pep chromosome:AWHD00000000:12:11916112:11916507:1 gene:ONIVA12G10780 transcript:ONIVA12G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRELAVEKHIRYIVTVEKVDRLSLGCELLDAAADSDWMLFAVAAAVMQKKDSFESLVMEHIRLNGAYWGLTTLDLLHKLHAVEADEFIEWIMSCYHPDSGISIQSESAAASSSSHPSERRSTFSTVTM >ONIVA12G10790.1 pep chromosome:AWHD00000000:12:11918143:11918831:1 gene:ONIVA12G10790 transcript:ONIVA12G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLQFISAFLLLSCLCSRGEALCSLSDLVVTQTTVPGQQIAGEPEYHVTVENRCICTQTGVKLSCAGFDSSPTRVDPSIIRHDGGGGGDLCTLNGGGPVTNGRSVSFYYAGKTRVSFTPVSSTVSCS >ONIVA12G10800.1 pep chromosome:AWHD00000000:12:11919322:11920703:-1 gene:ONIVA12G10800 transcript:ONIVA12G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLLMVFLACLLSSINNRGEAASCSLENIVVKHTATGGWAHGQPEYAVTVSNMCGPASRWGFDTTLAVDPAKLRPAAGGNLCLVNSGDPVVQGHDITFSYTWSSQFKFTPVSSTVKC >ONIVA12G10810.1 pep chromosome:AWHD00000000:12:11935161:11937110:1 gene:ONIVA12G10810 transcript:ONIVA12G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAAVAVAAALAAAGAAVAMAGRRRWGYRGIAVAVAVCVHAAVLLSAVVYLSVVPSAGPGASSSSSSLQETEVMKLTAKMEQIIGEFINLCNNPRIIKEMTYRITNPDGTEKAELAFTMKDDVKVQSPRTNDILDM >ONIVA12G10820.1 pep chromosome:AWHD00000000:12:11939185:11939832:1 gene:ONIVA12G10820 transcript:ONIVA12G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQQDDETTRSAHLQPLTKFLIPDGHVFVVDCAGDGDELTIHIKPRSDADADADADADDHLPPPIACGGAGKPSLFDGGWHRALFWSAPSPPDHQGAMVVPVPWAPPGITDDEINATLKTTAAASSVRLSPDTVILYWPDGEGNTAEVLVASMDYVGYVDVAGKPECRRAVSPLAQHAVLSTTPASFDTDDDGCVPRELGDDPQLMKRMHTSSS >ONIVA12G10830.1 pep chromosome:AWHD00000000:12:11951622:11954181:-1 gene:ONIVA12G10830 transcript:ONIVA12G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLRLVGAEARRRAATGAAGGERWLSAAAAAPTKGRPILTVGNRISRRLEGKIAIITGGASGLGKATAREFIREGAAAVFIADVNSDLGAEAAAELGPRAHFVRCDVADEGSVAAAVDGAVASHGRLDVMFNNAGVAGPLAGATEVASLDLAALDAVMAVNLRGTLAGIKHAARVMRPRGSGSILCTASVSGVMGGLGTYPYSVSKFAVAGAVRAAAAELSRHGVRVNCVSPFAVATPMVVAQFAQMLGGADEARVAAVVRGLGELRGAACEAEDVARAAAYLASDDAKYVSGHNLVVDGGFTSYKHLPIPQPHD >ONIVA12G10840.1 pep chromosome:AWHD00000000:12:11956870:11957559:1 gene:ONIVA12G10840 transcript:ONIVA12G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSTTLGNIQAAQRPSFSQAPRHDANFEPDSSAANAPPSAPSPGLVGWPQDIIGDIEFFPTGLLPSPLPPLLVF >ONIVA12G10850.1 pep chromosome:AWHD00000000:12:11990615:11994287:1 gene:ONIVA12G10850 transcript:ONIVA12G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKVTTATAHFLLVFLASTISHSVICSALGNETDQLSSLLEFKNAISLDPEQSLISWNSSNHLWEGVSCSSKNPPRVTAIDLSHQGLVGRISPSLGNLTFLRNLSLATNRFTGQIPASLGRLRRLRSLYLSNNTLQGTIPSFANCSELRALFLDGNELAGVLPGAGDLPVGIEALVLSSNRLAGTIPPSLGNVTTLRKLACMNNGVGGGIPGELAALRGMEVLAVDGNRLSGGFPVAVMNMSGLAVLGLSTNGFTGELPSGIGGFLPKLRQLTIGGNFFQGNIPSSLANASNLFKLGMSDNNFTGVVPASIGKLAKLTLLNLEMNQLHARSKQEWEFMDNLANCTELQVLSLEKNQMEGQVPSSLGNFSVQLQYLYLGLNRLSGSFPSGIANLPNLIILALDDNWFTVSVPQWLGGLKTLQSLTVSYNNFTGCENLQHIELDHNNLSGGIPPPFGKLISLKFLNLSHNKLTGSIPMLLGDLQLLEQIDLSFNHLRGEVPTKAQRLNIVVDVSDALAYLHHNHQGPIIHCDLKPSNILLDDSMTAHVGDFGLARFKIDSKTSLGNSVSTSSFAINGTIGYVAPECAIGGQVSTAADVYSFGVVLLEIFIRKRPTDDMFKDGLSIAKYADINIPDRLLQIVDPQLVQELSLNQEDPVATDENAAHCLLSVLNIGLCCTKSSPNERISMQEVAAKLHAIRDSISQSTTSRLSRRQFSYIDGDHHNTWGSVLLDMEQRVLMEEIVTLLPVERAVATTRFVLGLLRTDMILHTGVACRDALEMRASKQLKEATHEDLLIPNTGNFVETLYDVDCMERMLEQFIVTVHELVGVRSPVRLATDIGRRLGG >ONIVA12G10860.1 pep chromosome:AWHD00000000:12:11999464:12004954:1 gene:ONIVA12G10860 transcript:ONIVA12G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cytosolic iron-sulfur protein assembly protein CIAO1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0J9X3] MAMDGGAAAVLREAHRLTGHTDRVWSLAWNPSPGAGAGPVLASCGGDKAVRIWKRAADGAWQCSDVLEDTHNRTVRSCAWSPDGKLLATASFDSTTAIWEYSGGDFECVATLEGHENEVKSVSWSASGSLLATCSRDKSVWIWEMQPGNEYECVSVQQGHTQDVKMVQWHPILDVLVSVSYDNSIRVWADDGDDEWHCVQTLTEANNCGHSSTVWALSFNQKGDRMVTCSDDHTLKIWDTSADLSQPKTSDNQESWRHLSTLTGYHNRTIFSAHWSSEDIIASGAGDDAICLFAEDKSSMVEGPSYRLILKKEKAHDMDINCVRWCPQDPRMLASASDDGTVKLWELRGNALD >ONIVA12G10870.1 pep chromosome:AWHD00000000:12:12005861:12006356:1 gene:ONIVA12G10870 transcript:ONIVA12G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQVTAAPLVVTDGSEKTPSGTLADTFHLSKADLEWFCVEVVAVLVVVITRANNAEGGGVRLGCGTGIDGDNVRGLAGDRPGASNSLDYCFDLLLPGVDHAVPLVESSIAASKGDKFAVDLTSMQPQS >ONIVA12G10880.1 pep chromosome:AWHD00000000:12:12015017:12020927:1 gene:ONIVA12G10880 transcript:ONIVA12G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J9X5] MEASAGLVAGSHNRNELVVIRRDGGGGGGVGGRRAAEAKAACQICGDDVGEGPDGEPFVACNECAFPVCRNCYDYERREGSQACPQCKTRFKRLKGCPRVAGDEEEDGVDDLEGEFGLDGREDDPQYIAESMLRANMSYGRGGDLQPFQPIPNVPLLTNGQMVDDIPPEQHALVPSYMGGGGGGGKRIHPLPFADPSVPVQPRSMDPSKDLAAYGYGSVAWKERMEGWKQKQERMQQLRSEGGGDWDGDGDADLPLMDEARQPLSRKVPISSSRINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLAPVDFFVSTVDPSKEPPLVTANTVLSILSVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKRDYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGRDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYINNSKAIREAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCCCCCCGNRHTKKKTTKPKPEKKKRLFFKKAENQSPAYALGEIEEGAPGAETDKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFVTGILEMRWSGVAIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGVDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNNGPLLEECGLDCN >ONIVA12G10890.1 pep chromosome:AWHD00000000:12:12023281:12023908:-1 gene:ONIVA12G10890 transcript:ONIVA12G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTELVLLFVHGRDIDGVAQGLMLHKDTGIVILSPATADATTNKKRNHGDLAVDLLLVALSDGNPDGPQWRQPQCIAQPLPLLPCTTPSLLLSSSSTH >ONIVA12G10900.1 pep chromosome:AWHD00000000:12:12040705:12041643:1 gene:ONIVA12G10900 transcript:ONIVA12G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAGSVTRRPPPVLLACRSRPNNRRLIRLLPLFFAVVVLLALLPPCVHGARALNDAKEAKEAGDQTTTTTHAAAAAVARWSVTVREGGGGGGHGSGHAGAGHGHGSGHGRPEPAEHHTGRRSAAAGSVRPPMAASCAALLVAAVVALLRF >ONIVA12G10910.1 pep chromosome:AWHD00000000:12:12041826:12042626:1 gene:ONIVA12G10910 transcript:ONIVA12G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVRKQWLLLLVFFLLASSCVLAAGAEEKKTASTAAELAVVVRSSHGDHHGRHGRGGRKHKGKKSGAAASDRRPGGSTAIACCGVASPLLPIGCFLSSSMCYETTG >ONIVA12G10920.1 pep chromosome:AWHD00000000:12:12044442:12047360:1 gene:ONIVA12G10920 transcript:ONIVA12G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKRSCLAISLILLLLLVPSIHGARHVAAAIKGTGADSEMVVTERTAGGGGGHGRGYTSHRSHNPNNPNDGGSGTPVVDPHNVATRGHHHRGAATRTAAGGDPRLAACMLRLGATFFLLVLG >ONIVA12G10930.1 pep chromosome:AWHD00000000:12:12051244:12053246:1 gene:ONIVA12G10930 transcript:ONIVA12G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSIAYAPHRSLPSPSPLQLLAGLHPRLKSRLTFGLHLRLLHGLRLRLRIAGDKAQNSIGAAKEADLRAT >ONIVA12G10940.1 pep chromosome:AWHD00000000:12:12054415:12054825:1 gene:ONIVA12G10940 transcript:ONIVA12G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSIPNGGRITRHIIPTHYDGLVAFATYGRAMLVGNPATQELIVLPPGNGSDPCSRSTESTAAVGFDPWRNRYVIVRCFYCKFHNDPPVYNIGHEIFTLDIGTGDGWQRMQDPSRAISPGGRRPAACTRGGSFY >ONIVA12G10950.1 pep chromosome:AWHD00000000:12:12054913:12055567:1 gene:ONIVA12G10950 transcript:ONIVA12G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHNDDRLSDLAGVVAAIPSGPVTQPVGRDGGGNGQRWFHNFGATVAGDNGVEEEATLVAMLYKELWWHRERSKPVVKDVNMQGSRNNLFQ >ONIVA12G10960.1 pep chromosome:AWHD00000000:12:12059330:12060183:-1 gene:ONIVA12G10960 transcript:ONIVA12G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTEGTCEGAQGCRMYMRLRWSPNLRRCVRSMSRLSRGSDQGQCRSWQASEKPRSCGIPIDRANHKARARISWAAWFVDMNLGSGLRLRRAAVQAMEELDAGRLQLVVAAAVVASGSRRTHLQMGALSSAGWGDQGGAAAWLWCS >ONIVA12G10970.1 pep chromosome:AWHD00000000:12:12109728:12110369:-1 gene:ONIVA12G10970 transcript:ONIVA12G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDDGCGECCSSWKDFCWCLLCIAILLAIALIVVLVVAFGFVVQPSITVDDASLTRLALAATPTTALAYNLSLALTFRNRNWAMSMKNVEPLEAAYRFDGQQFDRIQLADKGAKQGPKKTVVYRLSSGSDAAAAPGLGNAGVAEFKKENATGTFEVEVGVTGKVSYTARITKCKIEATCKLKLQLAPPGQEPAAVVFQKVKCKLAKAEKNC >ONIVA12G10980.1 pep chromosome:AWHD00000000:12:12132251:12132577:-1 gene:ONIVA12G10980 transcript:ONIVA12G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVAAYLMATIGGNASPTKDDVRAILGVVGADVDEDKLGYLFDQVAGKDLSEILAAGSEMLAFGGVGAAPAAAATAGGGAAAAGEKEKEEEKVEEEDDIVFSLFDDE >ONIVA12G10990.1 pep chromosome:AWHD00000000:12:12140998:12141216:1 gene:ONIVA12G10990 transcript:ONIVA12G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTPASRTGRGETDGEVDRLAGERMRRAAGGSGGGGGGGGGDDGRGDAEDGREGGAEIGTEQAAAWFRQP >ONIVA12G11000.1 pep chromosome:AWHD00000000:12:12183558:12183800:1 gene:ONIVA12G11000 transcript:ONIVA12G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPPDPAGREVAAVGLGGWERAAMAVAATTMMTAMVATTMTMMLTAAATKTMSKPSTDGATSFYASDTNFGSARVVF >ONIVA12G11010.1 pep chromosome:AWHD00000000:12:12197247:12197600:-1 gene:ONIVA12G11010 transcript:ONIVA12G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRETSLDAAPPRSPRCDGDAADGREKKRAAQRNSLLVEWAVISSGTSFSLADHTRAKSTVKLDWYLMVSRSTSSRMGKMLLGQLYISQGYILFPDEMIIDADYIYDHAEQMKCL >ONIVA12G11020.1 pep chromosome:AWHD00000000:12:12234021:12238256:1 gene:ONIVA12G11020 transcript:ONIVA12G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKGHGKNDFKEISKDDYFSKNKEFATWSKDENGKFFSDLSSESARDLFEKWVLQIAGEEASMKDRFVSAHR >ONIVA12G11030.1 pep chromosome:AWHD00000000:12:12238592:12239117:-1 gene:ONIVA12G11030 transcript:ONIVA12G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDGDGRHIVYLRNAPTAEDIASGKMMKDMVVDGKELMHSPRLTTHGTNAMYTPPIEDMEDEEAAATTTCDKIEKREARQQLNRSLFQDDD >ONIVA12G11040.1 pep chromosome:AWHD00000000:12:12239091:12242950:1 gene:ONIVA12G11040 transcript:ONIVA12G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAITIHSHLYPSNGTLVCSPHAMSEVILNLSPPQKKAIEVSGFGNLLKINKIHIYRDLCNEIARSYDKEKKAFNINGTFVTMTLDDVDCLLGLPSKGDEIFEAPKINKPELFNLYKKEGQTTITLEALREAIINSSSYDDHFIRRFILFSIGSFICPTTQRYVCSEYLNLVNEIWYWEKLSTTLGKLYIAKKSPNEKVHDNDSETRTDEDFVCTSEEDVEQEQIDPREHVSNHNEESYINQNENMTCATKETSNQTNQSRKRLIGHTGRTYKPTNRTDFIYETRGKKKDIIRTQAQTKKTIVYIKKEDLTQQIIDKGPPKNALGQKKKKTNGETQLKNSEELTKKEDPFITYINNTEDNKVMVHIEEVEVKRIKMKVLTQPDFLNNDVMDAYIQCLRYKEKGIRGDGKAFLEMAIKIGLLNVEGAHVEASKPRDKRWIRDITRDYLAFDMKEVKTFRHDLAGILINSELNNIKDRPLVPTTT >ONIVA12G11050.1 pep chromosome:AWHD00000000:12:12244244:12249264:1 gene:ONIVA12G11050 transcript:ONIVA12G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVEWRMVRRSMGRRPWRWWLGEATRRRGLVARRRRRVEGRLRHATRWTRGRGRRTATGSAHKPARAMSKGFDGGKPPIAGEAVRRTSTEGRWRGRRRRGRARAARKGEGDGAGGGAGARTGDGGGEGDGRRRRWGWRGMATGIVENSTMREESDRDRDRD >ONIVA12G11060.1 pep chromosome:AWHD00000000:12:12253298:12253534:-1 gene:ONIVA12G11060 transcript:ONIVA12G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGRLGDGVEEVGGGRRPAWGQHGGGWWRAAGDVDAVAARIAGDGMEEVDGGRHKLGDGARLGLRRCRAPRWRQAA >ONIVA12G11070.1 pep chromosome:AWHD00000000:12:12292909:12293340:-1 gene:ONIVA12G11070 transcript:ONIVA12G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGISRSPAAASTPIAFNATDLAADESTSTGKVWLYGKLQLPHGMETVNHIWQVGSTVTGGVPMKHAFAKENLDAKGGLSLTGHGVVAPEPVPAPAAEGPSAEAENAASPPLSDKNAAANTHTRAPAALAAALSLAGFLAFV >ONIVA12G11080.1 pep chromosome:AWHD00000000:12:12304225:12305150:-1 gene:ONIVA12G11080 transcript:ONIVA12G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPISEGSHYCCSHVRIAGTFRDSDSHVGSSVERVGLYFLIELQDLQCQPRVLVRECF >ONIVA12G11100.1 pep chromosome:AWHD00000000:12:12310388:12316283:-1 gene:ONIVA12G11100 transcript:ONIVA12G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01420) TAIR;Acc:AT3G01420] MGSGLFKPRVHPDLRDVFSKMSFFDKIGFLFIHAFDKRNLWHKVPVPIGLLYLNTRRTLLEKYNLLAVGRSSHGALFDPKEFLYRTEDGKYNDPHNAEAGSQNTFFGRNMEPVDQKDELMSPDPFVVATKLLARREYKDTGKQFNILAAAWIQFMVHDWMDHMEDTGQIEITAPKEVANECPLKSFKFHPTKELPTNSDGIKIVYGNNEERAEKLRTYVDGKLVIGDDGLLLHKENGVALSGDIRNSWAGVSILQALFVKEHNAVCDAIKEEHPNLSDEELYRYAKLVTSAVIAKVHTIDWTVELLKTKTMRAAMRANWYGLLGKKIKDTFGHIGGPILGGLVGLKKPNNHGIPYSLTEDIDIGEMTGLKGEEQLSKIGFEKQALSMGYQACGALELWNYPSFFRNLIPQNLDGTNRSDRIDLAALEVYRDRERSVPRYNEFRRRLFLIPIKSWEDLTSDKDAIETIRAIYGDDVEKLDLLVGLMAEKKIKGFAISETAFNIFILMASRRLEADRFFTSNFNEETYTKKGMQWVKTTEGLRDVINRHYPEITAKWMKSSSAFSVWDADY >ONIVA12G11110.1 pep chromosome:AWHD00000000:12:12330065:12330891:1 gene:ONIVA12G11110 transcript:ONIVA12G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHPPPSLTAAPFYLLICGRFGMGSDSNGKKRIDLPCGAWRQIDLFEVVLLLQQGHLLAE >ONIVA12G11120.1 pep chromosome:AWHD00000000:12:12339323:12340509:1 gene:ONIVA12G11120 transcript:ONIVA12G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0J9Z8] MGSLCGTMIIILAMLPAILTMADPYCDCDCPQQCEVKLHYYLHQFRAGADHPNRNEEFVTSGGPSGLGAGLIHDWSLTTGLDPNVNIVGRAQGWHIVASQSSPANWYLSQNIVFQDSKYAGSTLQVMGIIEGSEEKVGEWSIMGGTGEFTNARGNIKYRAIKKEDVEWIRELDIQVLYTPNTPSDVQVAKNITKGN >ONIVA12G11130.1 pep chromosome:AWHD00000000:12:12348500:12352991:1 gene:ONIVA12G11130 transcript:ONIVA12G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEAILVLLAYNEGLLQEPEMRDYGGDNLKQSALDVLYTLALLQGVIFGYRETFANFKGSLVDAVSLQYDEPDLGVAVLQYLQEIRSGCAKNPSFAAGRNLVTFAVDLLESKSGDGYVRGLEILGALLRLPIMETSANSGDRRRRRVQLGQLVLIRQQLTASASFGHIVHRLLVTLGPRSPYNKRIRECAATIVLHVAGEILVEQFRRGIHCISSLLDPYGQCCLSHDHRRPAWLPEAYGRSTVLEPYEREWLMETWKSSRGCDQEHDRPDRLSPESDEKDDDHCKDMMFLGMLILGALAADNVNRTAMANSRGLIAKLIAPVSLDLLHSVGHGAWSKIMSKSLQVMNLLVDAPGEAGTDLRHEMLGNKKAISAMEAILECDQCGEKLQMLAMEILAALAIGYWRQSGGSS >ONIVA12G11140.1 pep chromosome:AWHD00000000:12:12433510:12434419:-1 gene:ONIVA12G11140 transcript:ONIVA12G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPVLLFALMVCLVIVSQGVLAARELVETTKVEVDGMKVELPEGRKGAAAVSGRPAKQSEKTKRNTRD >ONIVA12G11150.1 pep chromosome:AWHD00000000:12:12448767:12449341:-1 gene:ONIVA12G11150 transcript:ONIVA12G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSLVLMFVLMVSLVIVSQGVLAARELAEKTKVDVDGMNVDLPKGRKGAVAVSGYGGGWSGSYGGRHYP >ONIVA12G11160.1 pep chromosome:AWHD00000000:12:12463189:12464183:-1 gene:ONIVA12G11160 transcript:ONIVA12G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARRKKKKGKVAQVGRGFLDSDGSDETPTSPVLRRASSRGRRMSSPPASDAEAASGASASAPAAKANVAEDERVEAVPSPVRQREGKAPAVEASVSDVTLTAPHFVPADFATCPEITPFVDGVIAPTDGLGLFTELNEFSESYVAVESLFVQGLATHLSAKKSALERVDGYGLRLRMIEEDLHHKEDERRVVAETLKKTNAENSTQTGCRTRPTTCFGRGED >ONIVA12G11170.1 pep chromosome:AWHD00000000:12:12481277:12481919:-1 gene:ONIVA12G11170 transcript:ONIVA12G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSISLFFSPHLLSPSSPRSRRRSSTPRSHTPRHSSSVSSPPRYPRSCPSPRTAPRTTSGTSTLRRPDGHVLAAPGTDKATSHGKTRRDVGDAIPRHIWMLEAKAVSERDAAARALAALVATSGCRKLFKKKEQGIVNVVQLLDPSTVRGGIDARFLVSVLLAVSPSWRCQKHMVAAGACGFL >ONIVA12G11180.1 pep chromosome:AWHD00000000:12:12502388:12504455:1 gene:ONIVA12G11180 transcript:ONIVA12G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTAATAAVVVAVAVVAAMAMPAAGQGAPSGSPAPPYKNHTVAGADGWFFNATSNTTSGNYSDWAAGETFYLGDYLIFKTDDSSSVVQTSNATAYSLCDAEGPETLIYSPGHGDAASASPRAATIAVPLTVEGANYFFSEAGDGAQCEEGMRFEIKVAHGRGLPPDLAHPPPPPKPRVLAPPPDGTSMSPGVAGAGAGAAGDLTEGKSGGSRAGVGLLGVAAGVGLAVLVAA >ONIVA12G11190.1 pep chromosome:AWHD00000000:12:12521040:12525191:1 gene:ONIVA12G11190 transcript:ONIVA12G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLWVFSCSLFSLWILSFMRSQATEARHGTLAVMCDERARILQDQVKVSMNHLQALAILVSTFHHSKSPSAIDQTTFARYVERTAFERPLTSGLAYAARVTHSERELFERQQAWSIRAMNFSSKRPRAEEYAPVIFAQDAYKHVVSIDMLSGAEDRGNLLRARESGKVVLTAPFQLLNKRIGVVLTYAVYKSELPLNATVHDRIQSSIGYLGGVFDIEGHVDKLLEKLAGKEPMTVNIYDTTGESMIRMYGSSNESASGMCHVSTLDFGDPLRKHEMHCRFTQGPPWPWLAVASSYGTLVISLLVGYIFHFTDKWIAKVEDGYKATDMQMPAKDEFAATERISDMERDLKEDALFFDTTKSPSLLEISRLLNHRDPAQNVHQEEQELNLPLEAQDKLKETERKLGRMSKFITKVMKLTSASIRCLPSRFHCFNKKVWSISLLGFLLFILVIGAFDQPYNQPLGTGGEGDNNMMLKNFGFSRGRLLIDTLHGTWTKRGVQSSDTIRVDLRKMTGNNDSSGQQLKHWSSHKSSEIPAVLYVPMNGKQVIVHCNLIVDDKALVNWISNGDTDQSSKYQKETAGIQNRTDKPHLPANKSHKTTVSPWIVLLPVIMLVLLGSIIWRRCNDHRRRVQQKELELLGIMGPSRFQLQDLVAATGNFADENKLGQGGFGPVYKGYLRDQDLHVAIKVLSRRQSCQEQSAQGLREFKAEVKVMTQLRHRNIVKLVGWSDSKKQLLLVYELMAQGSLDKHLYDPEKILTWQQRYKIVLDLGSALLYLHHDCEKCIVHGDIKPANVMLDVSHNAKLGDFGLARLVEHGGEPQTTQVVAGTPGYIDPEFINNRWPRTELDVYSFGIVLLEIACGKRPASRQLPNGASSLLAWVRDLYDQGKILDAADQRLNGEFNQQQMERVIVMGLCCSHQDPIQRPSIVQAMDVLRSADAELPVPPAAHDTGHIRSMQEQAYADLPVEDHSVHTVTRSSACVRMKTGNSSCM >ONIVA12G11200.1 pep chromosome:AWHD00000000:12:12533133:12533405:1 gene:ONIVA12G11200 transcript:ONIVA12G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPVKVAVVAAVCVVLVVLSSSPAPAAAQMICSKCDQYCNSSCAGGMGGCSGACTDPASSSCTSCKQAYYYKCMNYCGSYCRSNCVNS >ONIVA12G11210.1 pep chromosome:AWHD00000000:12:12555224:12555517:1 gene:ONIVA12G11210 transcript:ONIVA12G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAASPKAATVAAAAAVFAALVVLSSSLVAPAAAKMFCSNCDDICNASCVNSDTIAKLCAPQCDGCSPEACQSCLQALKQECLTGCSDYCHKNCT >ONIVA12G11220.1 pep chromosome:AWHD00000000:12:12560405:12560686:1 gene:ONIVA12G11220 transcript:ONIVA12G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGANSPTAAIAVAAVFAVLVLSSSVALAAAKMFCGSCDDICTASCIYADTIRRACAPQCDGCSPEACQSCLQALRQECLTSCGDSCRKNCT >ONIVA12G11230.1 pep chromosome:AWHD00000000:12:12567023:12567317:1 gene:ONIVA12G11230 transcript:ONIVA12G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVASPTAAVAVAAMACVVLVVLSSSAVAPAAAKICDETCAHSCEYTDTVATACAPQCDGGCSPEACQNCVLAMSQGCLIICGDDCRTHCT >ONIVA12G11240.1 pep chromosome:AWHD00000000:12:12573949:12577302:1 gene:ONIVA12G11240 transcript:ONIVA12G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G06400) TAIR;Acc:AT5G06400] MATRSAAARLLSSSSAAAAASPLWSRRWRRHPFLHPPSRSKTTSSSSSSSKPPRRPPPRKDGGGPRPCLFQELSGLVAPSASDDPAFQPRRDGQERCGLLGHDTAQCAEGARRITPEGAAAASGSFTGSVPNNDALGFLPDGGIGPRSTATGGAPDSEQSIQEVGDGNAGDVENISEVVHRVTEVLRAEVPGLSVEQRLENLGVTYTPRLVSLVLNRCFKKRHLGFKFFDWVRQVPGFQHTTETYNAMLYIAGEERNFGAMEKLMDEMDKEMCLKDIKTWTIVISSYGKARQIGKMLSTFQAMGKSRHVAADSKVYRTILRALCNSAKSELALEFYKDMARNTEVGSDIFRLLLCCLAGSDNAEGVFYVRDDMIKSMKYPEEYCYLEALRSFCVSGKIEEAQKIFQQMMNKSIASSSAFEILLRGLCKDGRMDKALQVMEYMKSNSSASSATFGSLIDGYLRKGERMKALEVLQEMREYGCVPLASSYTQLMQHLFAFDQHEAACRLYEEMQENGIEPDVVAITALIGGHVRNGHISEAWDAFRNINENGQKPTLKAYTVFIQELCKASRPLEALKLLKEMLESDFRPSEQTFSRIISTLYDNHYLEEASNIERMRASFNCCSPIEELQHRTLDQVDYTDKFEKSSGSGPEEKERTVEFVGHPSYKDCEVSGSFPCDDTQDLEQAKDYNNEDVEQICRILSSSDCWSSIEQALEMTSISFTPDLVEAIMKRCKANSRAALQFFSWVGKRSYYMQTTKTYNTAIKLAGSAKDFKHMRHLYREMIWAECCPTVNTWNVMICQYGNAGLTEMALETFYQMKQGGFQPDKTTYSHLIMYLSRRKGRKVDAAVKIFHEMCRAGYIPDNGMVRTYLSVLCECGMIDRAESSVVLLCKHGFSIQAGYSILIRSLCRSDRMAEALSLFDNIKNYGCSRSAYMYGSLIHALLRRDRFEDASAKLAEMKNLGIAQSTHMYTSFMIYYLGKRDVSKAMDVLKEMTENGCEPTVVTYSALIRGHMAMGMVSEAWDVFQRMKLKGPVPDFETYSMFMSCLCKAGRSEDGLQLIHDMLNSGIIPSALNFRTVVHGLNMEGKYKLADSVLQSKWQLRNRRTFSDSFIVNSSA >ONIVA12G11250.1 pep chromosome:AWHD00000000:12:12576765:12582921:-1 gene:ONIVA12G11250 transcript:ONIVA12G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G06410) TAIR;Acc:AT5G06410] MWRRPGQLRLHLAAAAGAAGRGARRRNPLPPVPTVPSSSSSSSASTTTTRDLAAFARGSSSSSRSLSDRAGGGECWSCGASGAFLSCGSCGSVQPVDPAVDYFRIFGLEREYTIKDNNLEGKYKDWQKKLHPDLVHSKSEKERAFAAEQSALVIDAYRTLSKPLSRALYLLQLEGIPIDEEKTITDPELLMEMMEIREAVNDANDSQTLEKIQSQIKRKLETWSHSFQEAFERRDFDRAVKATQRMSLAEASSKRSLLNNA >ONIVA12G11250.2 pep chromosome:AWHD00000000:12:12580507:12582921:-1 gene:ONIVA12G11250 transcript:ONIVA12G11250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G06410) TAIR;Acc:AT5G06410] MWRRPGQLRLHLAAAAGAAGRGARRRNPLPPVPTVPSSSSSSSASTTTTRDLAAFARGSSSSSRSLSDRAGGGECWSCGASGAFLSCGSCGSVQPVDPAVDYFRIFGLEREYTIKDNNLEGKYKDWQKKLHPDLVHSKSEKERAFAAEQSALVIDAYRTLSKPLSRALYLLQLEGIPIDEEKTITDPELLMEMMEIREAVNDANDSQTLEKIQSQIKRKLETWSHSFQEAFERRDFDRAVKATQRMRYYERAVEETIKKL >ONIVA12G11260.1 pep chromosome:AWHD00000000:12:12589569:12598502:1 gene:ONIVA12G11260 transcript:ONIVA12G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAYDGGSGAGGKIRRRPPSRAAAASPYARPAPASAATRLGGGGGGGGSGGWFSRLVASGASLLLPSVFRKPPPPQPEHEREREHLGEPPSLPELLEEAPSQAETLDTPPSPPPPPLEDDIPEEEEEGTGTVANNSAKDGDDILRRSDSYDVMDLEELLKQRTFTRQDQVASPAELAKAYMGSRSSKGSPLRLRLHDPSVLSKSIEANTTQITRPPTLSLLASARNHAPTTSDRLGSNYTTPNRSAIYKMSSSPYFKSAVSSRDLFGTVSPYQAPSSLHTFGRQVLKRKSAALNNESASVGPIRKMHQRYNRVSPLLQTRSGHRGYSGSQASKLDEGLEHSVQSQKRRCLDKVGDITRSGVHDRANDSSFGQAPAQSIQMAAKILKQLDTIVPSQKEGTLATRQKHVDILDVEDPISQKTEVSAQGSLLKPSSSRVKESLPNNSNCAAKFTSAAKDSNTVDATSDKSAKLMPKDWLEMDNCRGSTKLSLNQGNDKTERKQSPIPENNDMSSGIINKEKPPTLPLRSHAPSNLVLSSEIDRNKILASSNGFSFPVPAALTGHSQAPPTPTLASPPVLSVEKQQLSASSSAPVTSVESIPRVFKPVSEEASVSNQCDTKSNTDKPPISQSSGQIVSFTSNPVFNVISSKPTTLSNGLADTTKSASAAVFPSNGSTKSVCSTNAGSSTPSFPKFSFQPGFRTSTSSVQSSGIQEPAITAPFSTVSSLAGGSSSPSSMIFAGATSQSSSITTSGSVPFQFSSQSSSESSLAGQDKSKAASSSAPFSFSPQFGSTSPFAGQGKSNAVSSQSTLLSGSQFSNSLSAQTSTSNSNLLSSEKVNPGSSPSFAFGSSAPGSSPVFSLAVGSGTTSATPASASSPIFCNSLTSTNAPPFGSPATSPFSSTSSPVFSFTSATPTIPNASPTTPLFGTPSPTVGLSTGTDQMNGGQMAGDKNPFAFSAASPFGLPSSSPSTPTLFSTPATQFASATSASPGMFQFGQHSQSSPGGFSIGTTGGNSEKSARRILKVKRKK >ONIVA12G11270.1 pep chromosome:AWHD00000000:12:12617243:12617761:1 gene:ONIVA12G11270 transcript:ONIVA12G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARMIGTSLWLRMCHGQFCQLCRHFGTLLLLFGFIYIEKALMGPYIKYRLKKSIEDTQLQMIWVNWIHGNANMPI >ONIVA12G11280.1 pep chromosome:AWHD00000000:12:12621888:12623450:-1 gene:ONIVA12G11280 transcript:ONIVA12G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g46050, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46050) UniProtKB/Swiss-Prot;Acc:O82363] MRRAAALLRLLRSGASAGVRGRPACAAVHPLSVKSGSGSDARVATALADAYAKSGLVDRARRVFDETPLRDQVLWNVMVSCYSSHGLVRECWDVFGSMRRSGFPGDGFTFSALLSVRASSSSCYDHANLLLVLGSSVHGIVIRLGLHLDVVVATALLDMYAKCGQVAEASRVFDAMVLRNTVSWNAIIVCYGKHDRGKEAFDLFVSMMRHGFCPDELTLASLFSSCADMAAANEATQLHAYTVRRGLQDFLQVGNALIMAYGKNGFVQEAKRTFGMIHNPDLVTWSSMVSSFAYLGLAKSAIDLFDRMLQQGIRADGIAFLGVLSACSHAGLIEDGFKYFLLMTRDYKIDPTPQHLACLVDLLGRAGRIRDAYEFLVNMSCDANVDVIGAFLGACRMRGNIESAKWAASRLFSLKPDDPINYLLISNTYAAAGDWNELAKVRSVMRNMCGNKVPGCSWIEIGGIVQTFVSNDMMLHQSREMQRMMELLVSLVEQDCNGDDTICNDPSSILKWQDFYLAFD >ONIVA12G11290.1 pep chromosome:AWHD00000000:12:12626829:12634628:1 gene:ONIVA12G11290 transcript:ONIVA12G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRNVVDPPLLPHLVTFQCMPSPIWPDQLVWIASLKLGLVLWIFATPASSSELDRWNARNLSGDSDQSQLDPVWIEDLKLSIPQQYGPRMLVINSQDLKSLKVYNVFLMSSSKPHFLFIQQVFNGWQFSQLATTAVDDCQCSLYVGDSILKLFQNNFNSATCSLLILYQPHEIDRSEHMDDLAHTTNQCDLEFNYLVSIHYSGVCIKSHVICRFNNIHCFLIQYLSYSKKVKRLVLKLEYCCS >ONIVA12G11300.1 pep chromosome:AWHD00000000:12:12640378:12643674:1 gene:ONIVA12G11300 transcript:ONIVA12G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTARQFLASAAELGSGRRRCGGGGACDMREDGGVEALMQCQRVSNLLIAASFLSIPLELFYFATCADLSEVKCAVLHFCAFIVLCGATHLLAAFTHAHPHSAPLLRALTAAKVLAAVASSAAAVSLLTFIPKLLRIKVRESLLRDKASRLHRDLGLVRRREEATSRAVRELTGRIRASPPDAHAILRTTALQLADALGLHACAVWMPAAGRPHDLVLVHHLTSRPDDAADLLLEVGDACTVAADDPDVVDVMASKVAKVLEPDSALAMASSVGAAPAGAVAAIRIPILRVSIYDGGGTPEVTEASYAILVLLLPPHDAAGGWSSHDLEIVQVVADQAAVALSHAAVLEESRSMRDRFAEQHRALMQAKHRAAMATRAFSSIQSAMCHAMRRPVHSIVGLVSMLQHPEADTMRPEQRLAVDAIARTSNLLSALMDEVTVNRQHLSVQRKPFSLHALIKEAISVAGCLSHCGGAGFLHQPECALPEWVVGDERRVFHVLLDMVGTLLNRCNTESGACRLSFSVRICNVGEERYSLDWIPMRPTFSGCNVCVKFKVGIGRSRSCAIERSLPCELPRRSAATTSSQMGHIFSGYFNKIVQMMNGNMWSASDSEGVGESVTLILQFKLQQGHVEASPPYIPHLNGLRVLLADDDAMNRGVTKKILERLGCQVMSAPSGAHCLSLLASAEASFQLVVLDLDDRAVPSAAMDGFEVALRIRELRNSCWLLIVIAVAAGVVATDDGGAVQELCQRAGINGLVQKPVTLPALGAQLCRVLQDN >ONIVA12G11310.1 pep chromosome:AWHD00000000:12:12644639:12644884:1 gene:ONIVA12G11310 transcript:ONIVA12G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVRMSCRLGTMVALVLVGVVLAAILQEATVDAASSSSDSPAAKSGTGYLDYGNLKAKLPPPGVAVTKRPCIAKEKCRG >ONIVA12G11320.1 pep chromosome:AWHD00000000:12:12651018:12654385:1 gene:ONIVA12G11320 transcript:ONIVA12G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPASAAAAAAAGEEEEEDAAWADALDFDDSGFLRRGPASSPSRLRPPRPDDPAPAASLSQGAARGLRSPPHLASSGPAARGHATDPDFSLAPWLHALGSLGEARAWKRQEIAAIRGDRALYRARLVVGVVTSCAPNRLGDLFLSLKDPSGTVGASVHQKVFTKEDNMVVSVGSCIVLKNVAVFRPSHKGCYLNVTKENLEMLVPKDFCFPSKQVFSSSPSESQHPVKCQETRDSSCQGDNRIRKTGVETYGQTTGNAVRDSTLRMDNGSTQGVGNHLDTRMKEKDINPSNKNTPSYSADQQFQKTSCSSASSDEKLSRPLEGERVHPNSKKQRGDAVLPDNVMSSTNIETYGLANNLNIGLDDVAHLVEHASIKKPNEHQQKDFITGTLGIVLPTQENSSVSNSDATTLSASLHSQPNKMASVTEWTDDQLSELFADY >ONIVA12G11320.2 pep chromosome:AWHD00000000:12:12651239:12654385:1 gene:ONIVA12G11320 transcript:ONIVA12G11320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPASAAAAAAAGEEEEEDAAWADALDFDDSGFLRRGPASSPSRLRPPRPDDPAPAASLSQGAARGLRSPPHLASSGPAARGHATDPDFSLAPWLHALGSLGEGGVEAVTGSDIPCLVLRFRCSSWRGYAGEARAWKRQEIAAIRGDRALYRARLVVGVVTSCAPNRLGDLFLSLKDPSGTVGASVHQKVFTKEDNMVVSVGSCIVLKNVAVFRPSHKGCYLNVTKENLEMLVPKDFCFPSKQVFSSSPSESQHPVKCQETRDSSCQGDNRIRKTGVETYGQTTGNAVRDSTLRMDNGSTQGVGNHLDTRMKEKDINPSNKNTPSYSADQQFQKTSCSSASSDEKLSRPLEGERVHPNSKKQRGDAVLPDNVMSSTNIETYGLANNLNIGLDDVAHLVEHASIKKPNEHQQKDFITGTLGIVLPTQENSSVSNSDATTLSASLHSQPNKMASVTEWTDDQLSELFADY >ONIVA12G11330.1 pep chromosome:AWHD00000000:12:12655887:12659141:-1 gene:ONIVA12G11330 transcript:ONIVA12G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G08455) TAIR;Acc:AT4G08455] MWCQSCKEEYEEEDAGTCKECYEEASETEEELKREIDDLRSRLHFLRLPSPSLDASSLSHSDLLLHAIPAHRVILASRSPVFRAMLENEMEESRSGIIKIYDVSYDVLRAFVHYMYTAEALLDEQMASDLLVLAEKYEVKNLKAYCEKFLTSKVSNDNAITHYAFAHRHSAKQLLETSLAAIMDNMSTLADREEYKELVEKDPRLVVEIYEAYLNRQVNTAAGKETDSSSRKG >ONIVA12G11340.1 pep chromosome:AWHD00000000:12:12683058:12683885:-1 gene:ONIVA12G11340 transcript:ONIVA12G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLFPDTPATISFHPAHRPGHKLKLVRTGGQKFKCDGCMEHGDGPRYRCERETCNFDLHTCCALAPATREHRLFPGCTFVLLPEPPPPTAAGERRICDACGEGVHARGLVYHCSGRGDGGLGLDLHPTCASLPARFAVGGGRVFELRKEASRRCAECGEMRCGGGRRFWFYRSYSYADGDGEALYLHVACLKRMQTQYGAAADVRSVQVMSSPVMEGVLRSLPPARRRATAAGGGGGLERFLTIVAGVIRAIIGVIFGDPTFLIELAVGAILNS >ONIVA12G11350.1 pep chromosome:AWHD00000000:12:12693042:12694206:1 gene:ONIVA12G11350 transcript:ONIVA12G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSASSSVVRRRNGELPIGKCPRFFMWESQYEQYLADGRVGVGHQSGRESHVEAMASMGFGVSELKGCNAVGQILVYIRVLQALLLLLILVVVISNGLAPSARTSNFHAQRSSLAPFDWATFGDL >ONIVA12G11360.1 pep chromosome:AWHD00000000:12:12716891:12718517:-1 gene:ONIVA12G11360 transcript:ONIVA12G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSGGSESSGGSHHGFDEEEVIIIVVLDILLTEVLLLWIGLLMIFTVTIGIVVLLVFRWMKGLRDGDGSAASKSVSFKLATPSRDGSKPAASSVSKSKSKVESTAASGSKSRSVECYTCGGRGHYMRDCPNQKKVLMTKEGYVSESILENSEGVQLDHTLTAGYRDIDDSSMDDGAEKKNGLSMLAYVVQRDGSNVDAKGQRCNIFQSECKFQEKVCKLIIDGGSFTNAISLDLVYALSLSTRRLSPPCYIQWMNQAGTQKITHKVIAILIKWIVMWCQ >ONIVA12G11370.1 pep chromosome:AWHD00000000:12:12721055:12725711:-1 gene:ONIVA12G11370 transcript:ONIVA12G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYRWILLLSVVVVVAVAAELPLASTTFKTLTGNAPLVIARGGFSGLFPDSSQFAFGFALSATSTDTSLWCDVQLTKDGVGFCLRDLLMQNGTTISQVYPGGKQTYRINGVPKTGWFPVDYNMSLLTNALFSRTDKFDFCNFRIFSVTGFMSSIEPSSLWLNVEHDVFYTEHGLNMTNYILSVQKLGFVKYISSPELGFLETLSGGIDNKVKLVFRFLDKAVSDPSTNKTYDSMLSDLAFIKTIASGIMVPKSYIWPVTSDNYIQLPTQIVKDAHDTGLEIYASDFSNDGIFPYNYSYDPLEEYLSFVSNGGFSVDGVLTDHPLTASEAIGNILIISHNGASGDYPDCTDLAYEKAVGDGADVIDCSIEMTKDGIPICMSSINLYDSTDVQNSKFSSLASVVPEIQTKPGIFTFNLTWEEISTLRPKITHPYHDFVRNPRYANQGKFFKLSDFLTYAKDKDLSGIMIIMKNAAFMAKSLGFDVVDLVTTALSNAGYDNMDPTTKNNKEIMIQSKDSAVLVKLKQRLTQCKLVYSLPVKVGDVSDSCVADIKKFADAVIVDRESVFAESKGFIIRKSKVVEDVQSAGLAVYVEVFRNEYVSPPVDFFADGTVEINNYVQLQVPIGDLAQLLDAECSTGGMLPALAPMPVLNSSDVIEPPLPAAEPKSAAGSSATNACVVGVRAPPPLFSSSREYVLLATLLLLQML >ONIVA12G11370.2 pep chromosome:AWHD00000000:12:12721055:12725711:-1 gene:ONIVA12G11370 transcript:ONIVA12G11370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYRWILLLSVVVVVAVAAELPLASTTFKTLTGNAPLVIARGGFSGLFPDSSQFAFGFALSATSTDTSLWCDVQLTKDGVGFCLRDLLMQNGTTISQVYPGGKQTYRINGVPKTGWFPVDYNMSLLTNQFSTHIYRWAVTQALFSRTDKFDFCNFRIFSVTGFMSSIEPSSLWLNVEHDVFYTEHGLNMTNYILSVQKLGFVKYISSPELGFLETLSGGIDNKVKLVFRFLDKAVSDPSTNKTYDSMLSDLAFIKTIASGIMVPKSYIWPVTSDNYIQLPTQIVKDAHDTGLEIYASDFSNDGIFPYNYSYDPLEEYLSFVSNGGFSVDGVLTDHPLTASEAIGNILIISHNGASGDYPDCTDLAYEKAVGDGADVIDCSIEMTKDGIPICMSSINLYDSTDVQNSKFSSLASVVPEIQTKPGIFTFNLTWEEISTLRPKITHPYHDFVRNPRYANQGKFFKLSDFLTYAKDKDLSGIMIIMKNAAFMAKSLGFDVVDLVTTALSNAGYDNMDPTTKNNKEIMIQSKDSAVLVKLKQRLTQCKLVYSLPVKVGDVSDSCVADIKKFADAVIVDRESVFAESKGFIIRKSKVVEDVQSAGLAVYVEVFRNEYVSPPVDFFADGTVEINNYVQLQVPIGDLAQLLDAECSTGGMLPALAPMPVLNSSDVIEPPLPAAEPKSAAGSSATNACVVGVRAPPPLFSSSREYVLLATLLLLQML >ONIVA12G11380.1 pep chromosome:AWHD00000000:12:12740685:12752594:1 gene:ONIVA12G11380 transcript:ONIVA12G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCARIGQSRERRQEERVFKDMTFLLSIASYRPNLLRSSLQSRAIAVLSGSGDLSCPSNFPRKEALLRHAFRARRFPEAAVTATRFWRAEQGAEAWRRRNSCAGEAILGKPPDCWTFIDPQCAETGKSMQIREALQHRTVARFEFLEGDEEPKGLLLFLGEVSPAHSYTGSLTLSETWRALHQLILDIQETKEAIAAHTIPGDLICMEKVSMRLGLVALVLLGIMLAASQQAVDASSPTSAISYEGLYRKPEDRPKKGDPVMKARGCTEAMKCNG >ONIVA12G11390.1 pep chromosome:AWHD00000000:12:12753406:12754170:1 gene:ONIVA12G11390 transcript:ONIVA12G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHVWISDLYAALQGGDELSRCCSGVASQGARRVVPEAPDKAGWCSQVFFLHRFLLMVWHIINNTIYNASNSDIPAKRVSTDEEGITE >ONIVA12G11400.1 pep chromosome:AWHD00000000:12:12760005:12761249:1 gene:ONIVA12G11400 transcript:ONIVA12G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTANSPSRCKTMVAPSPFPRPLSEGWWRRHLIPRPRPLAAVAVSVPFPSQFGCLRISLELVRHLRELPGLVHHLCGLTMCYPRILLLPRSTLHSLCLILLIAMIDPACLLVLIPYLLFNSSGEGINRHNLAHYDGPRDAMAICVVHAGGGDGSIQAHIMVCATLGAICSSVVTAHNTIVVQVCCRISSFSVCSLRLIGSMIRLTPVKFLFNKGLLCAW >ONIVA12G11410.1 pep chromosome:AWHD00000000:12:12803567:12805857:-1 gene:ONIVA12G11410 transcript:ONIVA12G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDSFVVRRRNPELVAPARATPRETQPLSDLDNDWFLRYIQPCLEFFRAVDDDDGHHHHRRRPADAIKAALAEALVYYYPMAGRLREQPNGKLSVECTGERVVFVEAEADVRIEDMGEPPMPLFRGSDEFLCDVGDAKVIVGRPLFFMQITQLKCGGFVLGTYHCHCIADGSSIFQLLKAIFDIARGEAKPTVLPVWDRELFVATSLSPHIIEEHQTLFDELESAICDDIMVTMPTENMVSEYFTISEKQMSCLWRYVPLNLTKTITSFELLTAVLWRCCTVALGYKPWQNRKLPLGYYGNGLMYPIVETTVKELCTNPLEHTIELVRKAKYKIRTEENMQLMVDVMPLWYEKPYIKVQRIFETCDIKWIGQDTLDIGWAKRIGGGIPTVNLPDTTSYQFRFKNEKKREVNRHLHVVATACNGYIQRGDGYLVK >ONIVA12G11420.1 pep chromosome:AWHD00000000:12:12806142:12807621:-1 gene:ONIVA12G11420 transcript:ONIVA12G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRWRTVWRRSVEDSGQLGDSMEEVGGGQRPVWGQHERGRWRAAGSGMAGDVDAAAARTSGDGVEEVDGGRHGLGDSVGLGERRCWVPRQRRHEGSGTTADGGGARIKI >ONIVA12G11430.1 pep chromosome:AWHD00000000:12:12850201:12851388:-1 gene:ONIVA12G11430 transcript:ONIVA12G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAENMVSEYFTISQRDMVALRRHVPFNLTKTVTSFELLTAILWRSRTMALGYKTCQIVRLMITVNARGRWKKLPLGYYGNGLVCPVIEITVNDLCTNSLEHTIELVRKAKHEMKTEENMQLMIGQDTLDIGWAKRIGGVIPTVSLPDMTSYQFMCKNEKGDHILVFRTTNAVMHLPLVVKRK >ONIVA12G11440.1 pep chromosome:AWHD00000000:12:12851429:12854193:-1 gene:ONIVA12G11440 transcript:ONIVA12G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDSFMVTRRGNPQLVVPARATPRGTKPLSDLDDDWDLCYLQPCLEFFRAVDDGDHRRPERLGDAIRATLAEALVYYYPITGRLRELPNGGRLAVECTGEGVVFVEAEADVRIEDLGEPLLPTFRGAESFLCDVGDAGVVITHLKCGGFVLGTHICHCIADAFGTLQFLKAIVDIARGEAKPTTLPVWEREHLICRNKSTTQHQGRTREII >ONIVA12G11450.1 pep chromosome:AWHD00000000:12:12869157:12869420:1 gene:ONIVA12G11450 transcript:ONIVA12G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAWRRLSGSARAGGRCRCRGGRSQRSGVGPRAARLGGGNGEARGKRTALTALLLMRIAELMAIAAGWRRGVEWGSASMAGGGEQ >ONIVA12G11470.1 pep chromosome:AWHD00000000:12:12899167:12902209:-1 gene:ONIVA12G11470 transcript:ONIVA12G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 2 [Source:Projected from Arabidopsis thaliana (AT4G24740) TAIR;Acc:AT4G24740] MECLAEMPRAPLADRRPRKRQRLGWDVGPEMHQVQIGLCGQEVANVISAVTLGLSSQEIPRFASPPLREDDKDGHFVFAVGDNLTPRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLGKYEKSRSSCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKNSYRSFPIALVREVAKQLLEFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYFKRLPKSSAIKVIDFGSTTYDQQDQTYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPYHMLKRADRHSEKYVRKGRLNWPEGCASRDSMKAVMKLPRLQNLVMQNVDHSGGEFIDLLQGLLRYDPASRLTAQEALRHPFLREQSERRR >ONIVA12G11480.1 pep chromosome:AWHD00000000:12:12917905:12921024:1 gene:ONIVA12G11480 transcript:ONIVA12G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMLRSPSIVLPLGLLTPTVLKPITLEFSMMPQAAAAALASLPTPWSTLPMDFSMLPQSEY >ONIVA12G11490.1 pep chromosome:AWHD00000000:12:12934748:12937467:-1 gene:ONIVA12G11490 transcript:ONIVA12G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAANPTPTSSSSSAAAAAAAAAAQHQQLQRQIFLMQQAQAQSQSQGHAPTPQQLSQQAMSRFPSNIDAHLRPLGPIRFQQPPQPQPQPPQPPPQPQPQQQQPHSGAPSPSQSQASPQQQQQQQQAAAMAAAAARVRSPEVEMALQDAMRVCNPDIKTPFQSLEDAVNSHV >ONIVA12G11500.1 pep chromosome:AWHD00000000:12:12969576:12970572:1 gene:ONIVA12G11500 transcript:ONIVA12G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIARLVLPLSFFAILVFLLPAQGESQRVSIPEGERNDIHLLEFHALIETMVIDDTMKSFCRLFDE >ONIVA12G11510.1 pep chromosome:AWHD00000000:12:12975204:12976370:1 gene:ONIVA12G11510 transcript:ONIVA12G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRLVRPSFVVIIVLLMAVQGESQRCALSSIDVSQTNTGKKVGTLDTVFQVMVTNRCQCAVRAIFLRADGFASSVAIDPELFRRAGAVGYLIGDGRRIPSGESIAFQYAWDHYFQMTPASVQADC >ONIVA12G11520.1 pep chromosome:AWHD00000000:12:12979600:12980344:1 gene:ONIVA12G11520 transcript:ONIVA12G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGSGMDPVMAEIIGCISCGDEQRQQGSSDDRRKEHAPTVAGVIVGQYLTITWWRQREVLTNGIFRSRLAFKVTELDDVNSVGDDYENDAANIQHNSFGFCCK >ONIVA12G11530.1 pep chromosome:AWHD00000000:12:12981513:12982307:1 gene:ONIVA12G11530 transcript:ONIVA12G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQTNLMFLIAVVVSLMLSQGESQPCGPSSIDVQQINTGKKVGTLDTVFRVTVENRCVCTVKAVVVQANGFTSSIPVDPKLFRKAGDTSYVVGDGQQIASTNSVMFEYAWSHYFQITPASVQVEC >ONIVA12G11540.1 pep chromosome:AWHD00000000:12:12985022:12985618:1 gene:ONIVA12G11540 transcript:ONIVA12G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSKPLLALVCLMIAKGNCVCLRCMNDYVVVDQQLVENDHGEVVVGGGEHTLYTFRVTVTNRCCCEVSSVVVAAPGFRSAVPVEPRLFRRIAGGEEKGYYLVGDGEAIPNNGSSVTFFYAWSTMFRMDVVSMTVSKCR >ONIVA12G11550.1 pep chromosome:AWHD00000000:12:12997226:12998558:1 gene:ONIVA12G11550 transcript:ONIVA12G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLQANLQLSIFAGLTLFTHNNLTTTTNKPDPKQIHSQTLQEQSINMAQESKPLVALLLCIMIAKGNCLCMGCMNDHVVVSQLADDRSKVGGGGGARTLFKVTVANQCCCDVGHVVVAAPGFRSAIPVDPKLFRRNPGGDRESYLVGDGGTIPANGSVTFYYAWSSMFRISVVGMTVANCL >ONIVA12G11560.1 pep chromosome:AWHD00000000:12:13002352:13004793:-1 gene:ONIVA12G11560 transcript:ONIVA12G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPAAAASKDWAALPSDLLWSVFAAAGQEEILRGAGLACSAWRRAARDEPALWRRIDLHSDVAAHGADSSFSDGGDDDDDDADDSDGYSVPVEDGSRSDALVEESNSDDAENKGDDAASVQSNRSSDDDFFGFSDAFVDVEESDDDDDDVPRKESADYEYEPKGWKEMARAGECVAFWGRADDKLLLYLSDRAPYLKSLRVSTHYDVSCQVLTNVIQKFPMLKELELVLKCSFYYVARPSYDFAHLLQSAMKSCIHLKTFAIRCADKSLASTYYHDDESQEAFTVPKKHPIILSVLNCCPKLRSLDVTNVAYLRMDEEEELRNKCLKIKDFRLFSPPPKVSSSESDDDCIGGCCCCDSWY >ONIVA12G11570.1 pep chromosome:AWHD00000000:12:13006212:13013313:-1 gene:ONIVA12G11570 transcript:ONIVA12G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAANPTPTSSSSSAAAAAAAAAAQHQQLQRQIFLMQQAQAQSQSQGHAPTPQQLSQQAMSRFPSNIDAHLRPLGPIRFQQPPQPQPQPPQPPPQPQPQQQQPHSGAPSPSQSQASPQQQQQQQQAAAMAAAAARVRSPEVEMALQDAMRVCNPDIKTPFQSLEDAVNRLRVPDEFVANSGSRLARINQLHQRQIFLMQQAQAQAQAQGQGYTPTPQQLSQQAMSFFPSNIDAHLPLPAPIRFQQPLPQQPPPPQQQIHSWGPSLLQSWASLQQQQQAAVAAVARVQSPEVEMALQDVMQVCNPDIKTPFQSVEDAVNRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLMEEKQAMMGLRAEIESREKAGREAAEAKMRMAMAEQARAEAQAHSEMIGHGPLRAHAAASQGEDGPSHEMMQEQGEDGWGNAQRDDEDPSEDFLNDENEPENGNSDMQEDWRRSGELDLNSR >ONIVA12G11570.2 pep chromosome:AWHD00000000:12:13006210:13013313:-1 gene:ONIVA12G11570 transcript:ONIVA12G11570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAANPTPTSSSSSAAAAAAAAAAQHQQLQRQIFLMQQAQAQSQSQGHAPTPQQLSQQAMSRFPSNIDAHLRPLGPIRFQQPPQPQPQPPQPPPQPQPQQQQPHSGAPSPSQSQASPQQQQQQQQAAAMAAAAARVRSPEVEMALQDAMRVCNPDIKTPFQSLEDAVNRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLMEEKQAMMGLRAEIESREKAGREAAEAKMRMAMAEQARAEAQAHSEMIGHGPLRAHAAASQGEDGPSHEMMQEQGEDGWGNAQRDDEDPSEDFLNDENEPENGNSDMQEDWRRSGELDLNSR >ONIVA12G11580.1 pep chromosome:AWHD00000000:12:13027174:13029215:1 gene:ONIVA12G11580 transcript:ONIVA12G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGRQPCKREQELGWKSSHPSSAHQLFDGMSSQPEMPKENQRISEHVPNNSTMNKEEKWLDEALDRILEKFKQMEAKRMQEKINQIFQKLEEIEVRRSKASEEMIAAIRATTAILKVASSPTPMAPPPPAPTNCLIECPNNNITWVTTNSSHIGEVLVPTANQELGDSEDKDDTPYIITKDFSEVTHDKCLTVGFVIKCGSNQADDTFQAMTGASKVVPTYAQPMDNFSSRMNDDNKLITLISTRCSVKWHGEDKNIFYQVAMASKVGKWAMRVICMVMLGIESWQPANNMVLNSKEEMLNENASFYAKVICEKQLAKGYRRTSSTGEGANSIQDEIYPFDLVSANVLEEVVYITSGYTWPCKCLMGGMRMP >ONIVA12G11590.1 pep chromosome:AWHD00000000:12:13037259:13044500:-1 gene:ONIVA12G11590 transcript:ONIVA12G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNISTGVMNSLLVKLEEVCRYDLAEFQFSTQFDFKNNIWNLKEELSCMNALLEKLPDMEALNIQERELRNKVRELAYFVEDKIDMFMHSFGTAVDKATLLTDTMELMLPNLFAKIDEIKDYYAVEEVKRVERYNLDVDECISSNPRQIDEIDDDISPVLCGEANSLVGINVPCEVITQLLMEDMEGESGQHPKVLSIVGLGGLGKSTLAIQVYNKIHGRFECAVFVFASRNRSASVILKDILSQLKYDGSADDIQSLIDATREKLACKRFININMNVERSVVIGSGGCGFRLGGDGLGACPRGCGINGGRRWTGLEPSVGEEADDEEGDSQEDEVSVTAGGALCLFLRFLVVIDDISSIETWNSISGAFVENNSGSRIITTTRTKDVANACCSSFRGIVYKMKPLGWTDSRSLFFRRLYGSDNYIPEPEELVIVVDILRKCGGVPLAIVVIASLLASQREVNKLDNWLKIKNSMGFELETNPNSKWMKHILKLSYNNLSWDLKTCLLYLHMYPENINIMKKDLVRQWIAEGFITQRDNRDLEDIAESYFRDLINRSLIKPVQFKYGEVVSCRVVHNLLLDLIVEKSTEENFVTVISTDQDCSRRGNFLIRRLNYRSNCGNIIQASESLHQVRSITYFGNWLHQRGESLPRLRMFKALRVLHMRIEGYFSFRAIYDLSPICNLFQLRYLKLEGIFFQFPDTIGELRYLQVVDINCGTNLVLVGGFLSDACLPSLRHLRAAGSEELGRGINRLTSIRTLEGINFCNCSVENIRHLGVLTNLRTLGVIYNRRRGNDEDDLIDMVKFAALATSLRELGGCNLRCLDFRVVLEGNIRQPPISFLCSWSPPPQFLQRCHLYKAFHRVPYWIQQVETLTSLCLKVVELKGDDMRVLSRLPCLAYLDLQVFMVPGMEIIIDSVSFSVLKELKLTYGSSTSSLSIEPGAMPKLRIMHLIVFGQAEQDTKSLYGIQHLHSLEDVIITSDYNNVLVSFREALDRHPRIGSIQVCIGASSDL >ONIVA12G11600.1 pep chromosome:AWHD00000000:12:13048293:13049578:-1 gene:ONIVA12G11600 transcript:ONIVA12G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENDDTVAVDGGGRRARMRRRHYFGPRCWTLGITLTSKEVFTYTNSKNQRLLHVDDIDRSYICTSCSMWLAAKDRVESAGDGGIASYDVKVFMQVNLLLLNEQMWEARSK >ONIVA12G11610.1 pep chromosome:AWHD00000000:12:13054566:13062799:1 gene:ONIVA12G11610 transcript:ONIVA12G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPDKKRRNKLAAPEPTPAPVPRLVRVCTGNRKKRKVASSPDKQPQPPVYMVLAHGRLTTVYDPEASTERGGPRLVFPKLNPVLISISDDGGGGAASSTLSLSCTPAVVRQLDFEPWFFVLDDLSHTMWRQLPSPPLFPCCLNPLDFLDPPKVRVTAYALVGSHILLSVSVQPPQQQQQDHKGTCAFDMDTEQWEMVHDTNLPFDGQALPLGSDDHRFVAAAADVAVYRMAVGISAVTGKKELTIVELRVVVASNCRIVPGNLLCAMGKGSFASFEFRSIAAASVGKVGKARIVHRTYSLVENTTDDGEGDWVVMVKKQDRQEPYT >ONIVA12G11620.1 pep chromosome:AWHD00000000:12:13067719:13074404:-1 gene:ONIVA12G11620 transcript:ONIVA12G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNISTGVMNSLLVKLEEVCRYDLKEIQFSTEFDFKNNIWHLKEELSCMNALLQKLPNMEALNIQKRELRNKVRELAYFVEDRIDRFMHSFGTVADKATLLTDTMDLMLPILFEKIHEIKDYVVEEVRRVESIYNLDESISSNPRQIEIDDISPVLCGKANRLVGINVPCEVITQLLMEDMEGESVQHPKVVSIVGFGGLGKTTLASQVYKKIHSRFECAVFVFASRNRSTSMILNDILSQLRYDGSADGIKSLINATREKLSCKRFLVVIDDIASIETWNSISGAFVETWNSGSRIITTTRRKDVANACCSSFHGIVYKMKPLGWTDSRSLFFRRIYGSDNYSPELEELIIAIDILKKCGGVPLAVVVIASLLASQEEVNKLDNWLKIKYSMGFELERNPNSKWMKHILKLSYNNLSSDLKTCFLYLHMYPENYNIMKKDLMRQWIAEGFITQKDNRDLEDIAESYFSDLINRSLIKPAQFKHGEVVSCRVVHNLFLDLIVEKSTEENFVTVIRTDQDCLRRHNFLIRRLSYQSNRGNLIQASESLHQVRSVIYFGDRLHQRGESLPRLQMFEALRVLHLRMEGYFPFRATYDLSPVCNLFQLRYLKLEGNRFQFPNTIGELRYLQVVDIKCSGDLVLVGGFLSDACLPSLRHLRTPWNAKLGRGINRLTSIRTLEEINFCNCSVENIRHLGMLTNLRTLGVIYNRRRGNDEDDQTDMVKFAALTTSLRELGSCNLRCLDFNVALMEHRQPPIGFLYSWFPPPQFLQKFHPHMIFFFRVPYWIQQVETLTSLRLIVDKLEGDDMRVLTRLPCLAYLDLQAIEVPGMEIIIDSVSFSALKELKLIYKSSSLSIEPGAMPKLRIMHLIAFGHAEQDTRSLVGIQHLHNLEDVIITYDYNNVMVAFREALDRHPRVGSIQVYIGASPKASQSHS >ONIVA12G11630.1 pep chromosome:AWHD00000000:12:13077191:13077520:-1 gene:ONIVA12G11630 transcript:ONIVA12G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNPAAVDLAMGSSSVADLALGSSTTANPAGSPPRAHVDLAVGRVLATARASALTSSRPSSTKTKPVCSSLRRLWLRAANRDFAYRMMLAVLFLCSDSDTPVRHGCGN >ONIVA12G11640.1 pep chromosome:AWHD00000000:12:13080418:13085439:1 gene:ONIVA12G11640 transcript:ONIVA12G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPDKKRRKKLAEPEPTPTPVPEPVPRLLRVRPGNKKKNKGASPEKQPPVYMVLAHGVEEEPTTHSVIEVAAGTAARRLLNTAAAAAAACRSPRWGHASWASAWIGGGTLYALSRTPAIVRPLDFEPWFFVLDDLSHTVWRELPSPPLFPCRLNPLEFLDPPKVRVAAYALVGSHILLSVSVQQQQEDKGTCAFDMDTEQWEMVHDSNLPFDGQALPLGSDDDHRFVAVASAAADVTVYRMVVGISAVTGKKELTIVALWVVVASNSKCRIVPGNLLCAMGKGSFASFEFRSIAAASMGKVGRARIVHRTYSLVDDGEDDWVVMVKKQDRQVYKLRDPHAYLAHPSWAVALFSM >ONIVA12G11650.1 pep chromosome:AWHD00000000:12:13089922:13095415:-1 gene:ONIVA12G11650 transcript:ONIVA12G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSSMGVMAPLLTKLAMLLGNKCKKLKGMRKNIEFLSHELTEMNAVLEKLADMEKLDGQQKLWRNDIREMVYDIEDCIDVFMYHLGDGNNKDGLLRKTARKLRNLRARYQIADKIQELKARVMQVAERRDRYANLGVSTSSIPKVVEVDPRLPALYEDAKNLVGIDGPCMEITQWLMDEVENGSIQQLKVLSVVGFGGIGKTTLAKQVYNQLKKRFNFTSFVSVSQNPDMVKLLRNLLSGTGFQGYGILDDHQKLIDTLRGHLANKRYLIVVDDIWNTQAWSIIRCAFAQNNHGSRVIVTTRIEDVATKCCVDFHGMVYKMEPLNEFNSQKLFCKRIFDSNSIPEQYKNVSEDMLRKCKGVPLAIISIASLLSSQGMNVDKWKKIHNFMGSELETNPTLEWMRHVLNLSYLDLSHNLKTCFLYLGIYPEDHTIFKADLIRLWIAEGFIHEKPGLDLEETAESYFNELINRSMIKLDDYRSREAISCHVHDLMLDLIISKCQEENFITIASKQPVKNDVSELPVRRLCHQLSYGNLAMERMKLSPPPISMFEHLRVLELRAYSTSVFLDLSAVSNLFLLRHLSIRGFRLKLPQKIGRLQCLRTLDLLGSLLVTGIPSDVISLSSLCHLTVSGDAELPNGIQKLVSLQTLLTFNSGGLPDIVTIVEKISRFNSSAIRLAKARRFNNGGLRSTNGGLRSPPASLEFPSFDDGWSTESILGHLSQHLDSPASSHSDLFSPNLVACSPHILSFASPWLQQLIIRKNIRNVPSWLWSSLKLTMLELRVEELSCRDVRFLAGLPCLVDLDLTAQATPENIIIDIITKRVTTRLGRITHTDNFPKLQKFVLTCDLACLTFEPGAMPQLQILKLDDKKPSNLEEGHGTGGAVQHGKTPLIGIEHLPRLEEVQVTSHSSKVPAYRDAVQRHPRFQDIHALGDEYKLLKGEWKDKVRELAYDIEDCIDIFLHQLHPREDKDRLVWKAVRKIKATMCLPAIKSPSKFKNSRHMSIYKIDVSTSGDRFIRI >ONIVA12G11650.2 pep chromosome:AWHD00000000:12:13089924:13091087:-1 gene:ONIVA12G11650 transcript:ONIVA12G11650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTREAQPQLLLSYALGDEYKLLKGEWKDKVRELAYDIEDCIDIFLHQLHPREDKDRLVWKAVRKIKATMCLPAIKSPSKFKNSRHMSIYKIDVSTSGDRFIRI >ONIVA12G11650.3 pep chromosome:AWHD00000000:12:13091232:13095415:-1 gene:ONIVA12G11650 transcript:ONIVA12G11650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSSMGVMAPLLTKLAMLLGNKCKKLKGMRKNIEFLSHELTEMNAVLEKLADMEKLDGQQKLWRNDIREMVYDIEDCIDVFMYHLGDGNNKDGLLRKTARKLRNLRARYQIADKIQELKARVMQVAERRDRYANLGVSTSSIPKVVEVDPRLPALYEDAKNLVGIDGPCMEITQWLMDEVENGSIQQLKVLSVVGFGGIGKTTLAKQVYNQLKKRFNFTSFVSVSQNPDMVKLLRNLLSGTGFQGYGILDDHQKLIDTLRGHLANKRYLIVVDDIWNTQAWSIIRCAFAQNNHGSRVIVTTRIEDVATKCCVDFHGMVYKMEPLNEFNSQKLFCKRIFDSNSIPEQYKNVSEDMLRKCKGVPLAIISIASLLSSQGMNVDKWKKIHNFMGSELETNPTLEWMRHVLNLSYLDLSHNLKTCFLYLGIYPEDHTIFKADLIRLWIAEGFIHEKPGLDLEETAESYFNELINRSMIKLDDYRSREAISCHVHDLMLDLIISKCQEENFITIASKQPVKNDVSELPVRRLCHQLSYGNLAMERMKLSPVRSYITFPAFGCSMQPPISMFEHLRVLELRAYSTSVFLDLSAVSNLFLLRHLSIRGFRLKLPQKIGRLQCLRTLDLLGSLLVTGIPSDVISLSSLCHLTVSGDAELPNGIQKLVSLQTLLTFNSGGLPDIVTIVEKISRFNSSAIRLAKARRFNNGGLRSTNGGLRSPPASLEFPSFDDGWSTESILGHLSQHLDSPASSHSDLFSPNLVACSPHILSFASPWLQQLIIRKNIRNVPSWLWSSLKLTMLELRVEELSCRDVRFLAGLPCLVDLDLTAQATPENIIIDIITKRVTTRLGRITHTDNFPKLQKFVLTCDLACLTFEPGAMPQLQILKLDDKKPSNLEEGHGTGGAVQHGKTPLIGIEHLPRLEEVQVTSHSSKVPAYRDAVQRHPRFQDIRATFNIYN >ONIVA12G11660.1 pep chromosome:AWHD00000000:12:13114269:13115789:1 gene:ONIVA12G11660 transcript:ONIVA12G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLETWVGFGSAMAGVGLLWSRMPEHVHEEARYIISSVVPMVMSYFNPYEQITVSEYGGERFRRNKMFDAVSTYLRSACLGSATKLKAELGNNIRDDPLVILDENQEVVDCLDGARMWWRLYPKASKNTGSTIISMFPGDTDEPRCYRLVFHKRHRQLVLKTYLPGVIRRWRELTAKDRQRLLFTNHSRQGESMWTSVPYNPPATFDMLAMDHAKKVEIMDDLRAFQKGKEYHSKVGKPWKRGYLLHGPPGTGKTTMIGSMANFLDYDVYDLDLTSIKDNAELRKLFLDTTDKSIIVIEDIDAIEVELTTKRKGIKMDNGEEVDNNHVVVELSNKTDNKSKVTLSGLLSFVDGLWSACGSERVFVFTTNHVDRLDPALIRPGRMDNHIEMSYCRLDAFKVLAKSYLDITEHSLFGEIGRLLDETNTTPADVADNLMPRGKKNGEISRLVDDVDGVADNLLRCKRKRDADECLAGLVEALKKAKMESATPPMDTIEEEANKEEHCS >ONIVA12G11670.1 pep chromosome:AWHD00000000:12:13120228:13127377:1 gene:ONIVA12G11670 transcript:ONIVA12G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVADEVTVLHGGVVVTMDGGFRVFRDGAVAVAGDRIAAVGPSADVLSSFPGAASTVDLAGRILLPGFVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMTEEDSYASTLLCGIELIRSGVSMTSCIVLPQSQKDLYEKHHNTADGRIRIWFGLRQIMNATDRLLLETRDAAQKLNTGIHMHIAEIPYENELVMRTKGIDHGTVTYLEKIDFLRSNLLAAHSVWLNKPEIGHFLKADVKVSHCPASAMRLLGFSPVREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLACLINKGREAYITGTTNPTALPAETVLKMATINGAKAVLWDNEIGSLEVGKKVIPLAVILSCVAFDSLMTINTAHILFPYSSQNPY >ONIVA12G11680.1 pep chromosome:AWHD00000000:12:13126479:13129938:-1 gene:ONIVA12G11680 transcript:ONIVA12G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSCGGGYRTQTINGRKGRFVRLEQQEDQERQPAATTTMDGGGGGGRVQHVMDSYFSSAPKIRTRSVRMAAAGVMSIGGYRAERLKSIGRVFQEDLTNMSQKIFDPQDAFLVRMNRLFVMACIVSVAVDPLFFYLPAVTATDSNTCIGFDRGLATGATAVRSAIDLFYLARIALQFRTAYIAPSSRVFGRGELVIDPAAIARRYVRRFFVVDLLSVLPLPQIPIWNFLHRPKGADLLPTKNALLFIVLVQYIPRLVRFYPITSELKRTTGVFAETAFAGAAYYLLLYMLASHMVGAFWYLLSIERLDDCWRENCRVLKFHQCKKYMYCGGGNLGQSGFLEWRTMIRQVLVMECAPADEAGTGFQYGIFTTAIQSGVVSTTNLVAKVLFCLWWGLQNLSTVGQGLKTTHYKGEALFAIFLAVFGLILMALLIGNMQTLPKDLRRDVKRHLCLRLVRRVPLFANMDERLLDAICERLKPSLCTEATYILREGDPVDEMLFIIRGRLESSTTDGGRMGFFNRGLLKEGDFCGEELLTWALDPKAAANLPLSTRTVKAISEVEAFALHADELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWASCFIQAAWRRHLKRRAAEQRRREEEEEEEEAALASSSCQITTTVLVSRFAKNAMRGAQRQRSRRDANLIVLPKPPEPDFQTMEY >ONIVA12G11690.1 pep chromosome:AWHD00000000:12:13146441:13147150:1 gene:ONIVA12G11690 transcript:ONIVA12G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAVHKASVSFKFKLIGGVETRSSCSTSTCGRRFRIQEDNKGEAASDYALSHLHSVHFEICMVIFKFNN >ONIVA12G11700.1 pep chromosome:AWHD00000000:12:13163573:13164473:-1 gene:ONIVA12G11700 transcript:ONIVA12G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEGNRAYIWAAGTGKSTMIRPTDNFLEYEVYGNHLTSGKNNTDCRSSSTDKMLRSNLLDLNSGKRSRGRTEENSNLGEKKKVDIKGDSFCLQISHLLSRVLGIYNTYQHLDLGPFRPTYTHTGPPLPDGSPACFQRVAAYHRLQCWRNTKVHHCFVGDIDAFEVEHTTKHKGKKAANGNEINVDDNHTLAYRGRAGLFSFINVQRILVFMTNLFDRLDQALIRPGKTAKHMEMSCCWFVGFMVLAKCYLVFDEIRRLDRRDDETDMTPARSCGQPLHVPVQEEDTSI >ONIVA12G11710.1 pep chromosome:AWHD00000000:12:13167080:13168609:-1 gene:ONIVA12G11710 transcript:ONIVA12G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASVEKWVGFGSAMAGVGLLWSRMPEHVHEEARYIISSLVPMVMSYFNPNEQITISKYGEERFQQNKMFDAVSTYLSSECAGGASKLKANLSNNRKDKPLITLDENQEVADTFEGARMWWRLYPKAPRTRGGVIVSLHPRDTDEPPCFRLVFHKRHRQLVLNSYLPSVVTRWRELSAKNRQRLLFTNHTKDGKSDWSNVPYNPPTTFDMLAMDPEKKYEIINDLTAFQEGKEYHSKVGKAWKRGYLLYGPPGTGKSTMIGAMANFLDYDVYDLDLTSVKNNAELRKLFLDTKDKSIIVIEDIDAIEVELTTKRRGKKAANGNEIDDNRVLIEFSDKNDDKGKVTLSGLLSFVDGLWSACGSERIFVFTTNHVDRLDPALIRPGRMDKHIEMSYCRFEAFKMLTKNYLDITEHPLFCEIEQLLNETNTTPADVADNLIPRGKRNNEISQLLDGAPADVANNLMLRCKRKRDADECLKGLVETLKKGKMHSATPPIDTMDQAKYKKPKN >ONIVA12G11730.1 pep chromosome:AWHD00000000:12:13174414:13176110:-1 gene:ONIVA12G11730 transcript:ONIVA12G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRQDFPSLHTSSFKLSFLFLYCHVRPISLTGASQAKPWRHQLRLVEVIPFSPFPLSLHFEKSRHRHLLLPIGLSSCCRRSSHLPLSLRLPPPSPGARCSGTPSPTGVPTSRPPESRHLARPPLGCQTEEKKRPYSKFDYRIQGADVDPDGYAEAAGNLEAQGKTLLKF >ONIVA12G11740.1 pep chromosome:AWHD00000000:12:13176951:13178419:1 gene:ONIVA12G11740 transcript:ONIVA12G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREIKGKYLVEDKTGFGGSVEERAQEAGPAGRRSTWRRGGPRSGAAAAGEEGRRQRRRGGAEGRVAKGRRGRRAKGRRQRLRRPWAREEGGPVDGRAAVAGG >ONIVA12G11750.1 pep chromosome:AWHD00000000:12:13180865:13182385:-1 gene:ONIVA12G11750 transcript:ONIVA12G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVEKWVGFGSAMAGVGLLWSRMPEHVHEEARYIISSLVPMAMSYFNPYEQITIPEYSDERLQRNKMFDAISAYLRSVCLDGASKLRAQLRNNSNDDPLISLEENQEVADSFEGARMWWRLFPKTSKKRGGTIISFLPGDSDEPRSLRLVFHKRHRQLVLNSYLPGVVRQWRELIAKNRQRLLFTNHTKDGKSMWSNVPYNPPSTFDLLAMEPAKKVEIMDDLRAFQKGKEYHFKVGKAWKRGYLLHGPPGTGKTTMIGAMANFLDYDVYDLDLTSVQNNADLRKLFLDTTDKSIIVIEDIDAIEVELTTNCNGKKAANGDDKHVVIELSDKNHDKSKVTLSGLLNFIDGLWSACGSERIFVFTTNHFDHLDPALIRPGRMDNRIEMSYCRLDAFKVLAKSYLNITEHSLFSEIGRLLNETSTTPADVANKLMPRNGEIGPLHDEIDGEPADHDSIMLRCKRKRHADECLEGLVETLIMKRAKMNSATPPMEEAKEEQPNAEES >ONIVA12G11760.1 pep chromosome:AWHD00000000:12:13205686:13207272:1 gene:ONIVA12G11760 transcript:ONIVA12G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVETWVGFGSALAGVGLLWSRMPEHVHDEARYIISSLVPMAMSYFNPYEQITVSEYGEERFRRNKMFDAVSTYLSRVCTGGACKLKAELCNNGRDDPIVTLDENQEVVDSFDGARMWWRLCPKASKNKGAITVTYYPGEADKPRCFKLVFHKRHRQLVLNSYLPSVVRRWRELTAMNRQRRLFTNHANEAKKSVWTSVPYNPPATFDMLAMDHAKKVEIVDDLTTFQKGKEYHSKVGKAWKRGYLLHGPPGTGKSTMIGAMANFLDYDVYDLDLTSVKNNSELRKLFLDTTDKSIIVIEDIDAIEVELTTKRKGKKAANGDEIHDKRMLIEFSDKNDEKSKVTLSGLLSFVDGLWSACGSERIFMFTTNHIDRLDPALIRPGRMDKHIEMSYCRFEAFKVLAKSYLDITEHSLFAEIERLLDDTDTTPADVANNLMLRSKRNGEISRLLDEIDGAPRADVAKWCKRKRDTDECLAGFVEILKKSKMESATTPMDSMEEAKEERPNAKESYKMGRIYDENCRLGNAS >ONIVA12G11770.1 pep chromosome:AWHD00000000:12:13215158:13215478:-1 gene:ONIVA12G11770 transcript:ONIVA12G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGWRRCGRRQRREAAGGGDGRALPSAAAACGGVGGVRREERRPPGAALPPLDPAGGRAPELRRLTVLLTTTEYAGRLDAAARLESDAAHEISRSAASPWDLR >ONIVA12G11780.1 pep chromosome:AWHD00000000:12:13231561:13232213:-1 gene:ONIVA12G11780 transcript:ONIVA12G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLTFRQQSPVTTTPAAMPSRIRRYRTRRQSAVEVELPRLLPTVAHRRPASNRAMPDPLKRRPTPLGGRGGAENLSGACR >ONIVA12G11790.1 pep chromosome:AWHD00000000:12:13236696:13238116:1 gene:ONIVA12G11790 transcript:ONIVA12G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRREPFKMFGAVSTYLSRVCAGGACKLKAELCNNTKDDPVVTLDEHQEVVDSFDGARMWWRLCPKASKNKGAITVSYYPGETDEPRCFRLVFHKRHRQLVLSSYLPSVVRRWRELTAKNRQRRLFTNHASEGNKSVWTSVPYNPPATFDMLTMDHAKKVDIMEDLTAFQKGKEYHSKVGKAWKRGYLLHGLPGTGKSTMIGAMANFLDYDVYDLDLTSVKNNSELRKLFLDTTDKSIIVIEDIDAIEDELTTKRKGNSERVFMFTTNHIDWLDPALIWPGRMDKHIEMSYCRFEAFKVLAKSYLDITDHSLFAEIGRLLDETDTTPADVANNLMVRSKRNGEISRLLLDDEMDGSPPADVANNFMLRCKRKRDADECLAGLVQTLKKAKMESATPPMDTIEEEAKEEHNLTQKKATEGGGYMMSARC >ONIVA12G11800.1 pep chromosome:AWHD00000000:12:13242052:13246423:-1 gene:ONIVA12G11800 transcript:ONIVA12G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHGEGPRGGASTVAAAGAHGWPLLVSVPVAMTELSRSTIGYWVDSGLGGGRRQWAWCRFAAPALGSGSCTLIRLGMDPPPPPSGAMTTLGTCGLGIGTVAAASD >ONIVA12G11810.1 pep chromosome:AWHD00000000:12:13253027:13254994:1 gene:ONIVA12G11810 transcript:ONIVA12G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLSRDPPEFFVSGVRAHGFPRDEGLLGVQYAAAAGVRGRGVGGGAARHAPLRRRPRRRRILQRRHRSLAVDAAAAARRPGSRGGFSGPGDASRRPLPPQAPPPARRGRRRRRFRLRQRRRRRRRRRRRSTDAPGGRRVRGGGRHRDLPGEGDAAAERGAGVHGGRDEPVRGRRRRRRGVRHRGDPRAVRVVQLGEPGGPARVPAARPRRLPPQRRPPAPRRRDRLLRVHQLLPLQALRLRRNLRRRPRRPIAAVNRRKEKVDKTKETKEKQ >ONIVA12G11820.1 pep chromosome:AWHD00000000:12:13273714:13274130:-1 gene:ONIVA12G11820 transcript:ONIVA12G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAAAGGAAAAGVPVPAPPANLLAAVTAPAAAGGVPVPVPAAAASPALPTAAIILPIATSASAAAAATAAIVPVAVPAIGAAFPAISISSTVDVFSQRSEHLVVVFQTAAMSKKYILLVLEIVLCTMYMSFFLWIV >ONIVA12G11830.1 pep chromosome:AWHD00000000:12:13275261:13281511:-1 gene:ONIVA12G11830 transcript:ONIVA12G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHYTMKKPRLLLLSLEVILIALLLPLRGAHCESSTQGEGGGGGAGAGAANLTVTGTVFCDACSSSSFSNHSYFLPGVKVRIDCMISVKSASKEEIKITAEKVTNTFGAYQLDIPAIDGFECATSAAAAADSFCRAAVIDNPSPLCNVPAVTTTVGHISSSSSGHDHDQPNACLYNLNSLYYRPGGAKNGGQCGGGDVPPAALNTSLFYCPPWPWPPIPFCTPRPWFPPIPFLTPPPPPFLPFPLPPPPWPWAPPPAALPPPAFPFPHLPPIFSPPSPPPPPPAAFPFPFPQLPPLPHFPPLPSFYPSPPPPPPPPPPPPPSFPWPFPPLAPLFPPYPSPPPSIGNLT >ONIVA12G11840.1 pep chromosome:AWHD00000000:12:13292909:13297752:1 gene:ONIVA12G11840 transcript:ONIVA12G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKAAPPSLSSGQNAHHHGARSRQSQEPMAAATQDHLPSSPAAAAADQPQAAAYIRLVIEQLLSRDTASDTGRIRLLLRRRPRGVVAASFPAAVTAALDDLEALYSKGSAPMAALARDAARSRRAGHEERLRRAASQRLAVEGPIRDLAAGIDAAGKRLGEEEAVVRRTQRRLLLLSGAVAEEADVGAVVSLVERLARAQGAEAALAVAMEAMKARHRRLLLQREAAEVAELTEISALEDIPQVARGRKEDDQLLREADDRLRADLTVLIECSVEPL >ONIVA12G11840.2 pep chromosome:AWHD00000000:12:13291045:13293015:1 gene:ONIVA12G11840 transcript:ONIVA12G11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMQQHPPPPDAAAAAGSADDDDCPAAVAYIRLIAQELCNCWHGVDATGGDALRRLLHRRPRGGGVTFPAAVAAALDDLEDLYAEGSAPMAASARHAIRERRAAHVERLRDATSRRLSVARRIRDLGMDLGAASGRFEEARAGVRRTLRRLAVVAGGGGAMEHAASVARLVELLGHGQGAEAALEAAMEAMEADYERLLQQRDAAEASELAEMAALEEIPQLPRATEEEDQLTLEAAGRLCDDTAALVNFLSQPPTLLPLPPPPLASALRNASSVGRCSTAFTGPCRSPSAVRLRG >ONIVA12G11850.1 pep chromosome:AWHD00000000:12:13340867:13341490:-1 gene:ONIVA12G11850 transcript:ONIVA12G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARLCPRSTSPLRMTPSAASSNAVDPTTTFPTLLQQVGLSAKKRLATSRWVWESRERYTLEVFRAKVAEFESTMHDAPPKNPTHLQLEALFLGGLCLQDLQRRVRQQHAQLRLRLLQPPLCPGWCACSARLAVVRLVHTLGEGAQASKARMRANGEPEYLVDYWMQETVREIDEAKAVRKPPPMQTISPLCRIFSPLSFPFIPAR >ONIVA12G11860.1 pep chromosome:AWHD00000000:12:13342548:13343327:1 gene:ONIVA12G11860 transcript:ONIVA12G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQVQGHPLHLAVAAVYVRLVVDNLTTTASPRRSHRLLRLLRLRRHHDYRRLRFPGAVAAALDDLEDLYSQQGRPGGEPITMAAAAFARHGIRRERARHVARLRAAASLRLSVAGSIRGLAVRSTATRRCVKTARGLIRQTQRLLPVGERDLDGGGEATTTERVVTVVEFLATFQGMEAELEADMEAMGPEHERLLRRHDAAVGAELAEAAALEAIPELPPATEEEVQLVREACRRVLSDLVVLIGFFKAVANYLRD >ONIVA12G11870.1 pep chromosome:AWHD00000000:12:13348704:13349222:1 gene:ONIVA12G11870 transcript:ONIVA12G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVSTVIFLAAIILPLLSFAEAQQPQTPPRRNPPRAPPSPRRAPSPSVTAEPTPAPVIAPSPPPVVAPSQPLVVAPTQPPTPSGGSQCLRENVIALNVCGQLDLSTLLNNPTKAMQDCCPPINNLSSTIAAGCLCEAVKINLGVTADVLFLKAVLRVCGKAELGNLGCFL >ONIVA12G11880.1 pep chromosome:AWHD00000000:12:13356835:13359174:-1 gene:ONIVA12G11880 transcript:ONIVA12G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0JA79] MAGLSLQHPWAFAFGLLGNLISFTTYLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKSNEALLITINAAGCVIETIYIVMYLAYAPKKAKVFTTKILLLLNVGVFGVILLLTLLLSHGEQRVVSLGWVCVAFSVSVFVAPLSIIKRVIQSRSVEYMPFSLSLTLTLSAVVWFLYGLLIKDKYVALPNILGFTFGVVQMGLYVFYMNATPVAGEGKEGKGKLAAAEELPVILNVGKLAGTPDRSSGAVHVHSVPRSCAAEAAAAEPEVLVDIPPPPRAVEVAAV >ONIVA12G11890.1 pep chromosome:AWHD00000000:12:13396933:13407097:1 gene:ONIVA12G11890 transcript:ONIVA12G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSVFASSILSKVTTFAVEYALDDIKLACTVRSEMEKLRNSLKAICAVLKDAERKQSTSSSLKYWLENLKDVVYDIDDVLDDVGTRALQQKVRKGEIRTYFAQLCIFPFELGRKIRRVRERLNEISALKRNFDLKEEPIDTPSDRIVQRETYSIVDERKIVGRDKAKNDIVKVISEAAESNSDTLSVLPLIGMGGVGKTALAKLVFNDKRTKEKFDKMLWACVANVSDLKQIVDIIIQSDSGESNKQLTLEALQKKLHELLGDKRYLLVLDDISHDNINDWEELMNLLPSGRSGSMILITTRLSKIASVLKTIEPYEVPKLSHEECMKVFLRYAFKGEKAKDTELLKIGESIVQKCDGLPLAVRTLGSLLSMEDISKWQEVKETNIPNTDILSVLKLSYDALPSDLRACFASLSTFPKDYEIFRELLIMYWMAMGLLNTASGSKEAIRMGEKYFNELAGRSLFQDYVFSHDGTISHCKMHSFVHDLAISVSPNEHATISCENFSASKRVKHLVWDQKEFSKDLKFPKQLRRARKARTFASRHNYGTVSKSFLEDLLATFTRLRILVFSEVEFEELPSSIGNLKHLRYLDLQWNMKIKYLPNSLCKLVNLQTLQLAWCKELEELPRDVKRLVSLRYLILTSKQQYLPNDALMGWTSMVFLQISACPMLTSLTEGFGSLSALRELFVFNCPNLPSLPSSMNRLVTLQKLVIHNCDELNLMEPKEAMGGMKSLKSIELVGLPKFETFPDSFASAASTLEYLKVSDCKEFKKLPDFIQRFSSLKKIEIPERRQYSKMTVLSVLASSILSKVTTFAVEYALDDIKFAWNVKSELEKLKNSLGAICAVLKDAERKQSTHSSLKHWLENLKDVVYDIDDVLDDVGTRVLQQKVRKGEICTYFAQLTIFPFELGRKIRKVRERLNEIAALKRDFELKEEPIDTPSDQFAQRETHSLIGEQNIFGRDKAKNDIVKMISEAAESNSNTLSVLPLIGMGGVGKTALAKLVFNDKSTKDKFDKILWASVSNAFDLKHIVNIIIQSDSGESNNQLTLEALTKKLHELLRDKRYLLVLDDISNDNVNWEELINLLPSGRSGCMILITTRLSKIASELKTLEPYEVPKLPHEECRKIFVRYAFRGEKAKDRELLKIGESIVQKCDGLPLAARTLGSLLFRKDISMWQEVKENNLLSTGKGKDDILSVLKLSYDALPSDLKTCFSWLSTFPKDYDIFRELIIMYWMAMGLLNPASRTKEAIRVGEKYFNELAGRSLFQDYVFNHDGSISHCKMHSLVHDLAISVSQNEHAVVGCENFSATERVKNLVWDHKDFTTELKFPKQLRRARKARTFACRHNYGTVSKSFLEDLLATFTLLRVLVFSEVEFEELPSSIGNLKHLRYLDLQWNMKIKFLPNSLCKLVNLQTLQLAWCKELEELPKDVKRLVSLRYLILTSKQQYLPKDALGGWTSMVFLQISACPMLTSLTEGFGSLSALRELFVFNCPKLPSLPSSMNRLVTLQKLVIHNCDELDLMESEEAMGGLNSLESIELAGLPKFKTFPDSFASASSSLQYLKVSDCPQFEELPDFIKRFSSLKKIEIPKSHDISL >ONIVA12G11890.2 pep chromosome:AWHD00000000:12:13396933:13406827:1 gene:ONIVA12G11890 transcript:ONIVA12G11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSVFASSILSKVTTFAVEYALDDIKLACTVRSEMEKLRNSLKAICAVLKDAERKQSTSSSLKYWLENLKDVVYDIDDVLDDVGTRALQQKVRKGEIRTYFAQLCIFPFELGRKIRRVRERLNEISALKRNFDLKEEPIDTPSDRIVQRETYSIVDERKIVGRDKAKNDIVKVISEAAESNSDTLSVLPLIGMGGVGKTALAKLVFNDKRTKEKFDKMLWACVANVSDLKQIVDIIIQSDSGESNKQLTLEALQKKLHELLGDKRYLLVLDDISHDNINDWEELMNLLPSGRSGSMILITTRLSKIASVLKTIEPYEVPKLSHEECMKVFLRYAFKGEKAKDTELLKIGESIVQKCDGLPLAVRTLGSLLSMEDISKWQEVKETNIPNTDILSVLKLSYDALPSDLRACFASLSTFPKDYEIFRELLIMYWMAMGLLNTASGSKEAIRMGEKYFNELAGRSLFQDYVFSHDGTISHCKMHSFVHDLAISVSPNEHATISCENFSASKRVKHLVWDQKEFSKDLKFPKQLRRARKARTFASRHNYGTVSKSFLEDLLATFTRLRILVFSEVEFEELPSSIGNLKHLRYLDLQWNMKIKYLPNSLCKLVNLQTLQLAWCKELEELPRDVKRLVSLRYLILTSKQQYLPNDALMGWTSMVFLQISACPMLTSLTEGFGSLSALRELFVFNCPNLPSLPSSMNRLVTLQKLVIHNCDELNLMEPKEAMGGMKSLKSIELVGLPKFETFPDSFASAASTLEYLKVSDCKEFKKLPDFIQRFSSLKKIEIPERRQYSKMTVLSVLASSILSKVTTFAVEYALDDIKFAWNVKSELEKLKNSLGAICAVLKDAERKQSTHSSLKHWLENLKDVVYDIDDVLDDVGTRVLQQKVRKGEICTYFAQLTIFPFELGRKIRKVRERLNEIAALKRDFELKEEPIDTPSDQFAQRETHSLIGEQNIFGRDKAKNDIVKMISEAAESNSNTLSVLPLIGMGGVGKTALAKLVFNDKSTKDKFDKILWASVSNAFDLKHIVNIIIQSDSGESNNQLTLEALTKKLHELLRDKRYLLVLDDISNDNVNWEELINLLPSGRSGCMILITTRLSKIASELKTLEPYEVPKLPHEECRKIFVRYAFRGEKAKDRELLKIGESIVQKCDGLPLAARTLGSLLFRKDISMWQEVKENNLLSTGKGKDDILSVLKLSYDALPSDLKTCFSWLSTFPKDYDIFRELIIMYWMAMGLLNPASRTKEAIRVGEKYFNELAGRSLFQDYVFNHDGSISHCKMHSLVHDLAISVSQNEHAVVGCENFSATERVKNLVWDHKDFTTELKFPKQLRRARKARTFACRHNYGTVSKSFLEDLLATFTLLRVLVFSEVEFEELPSSIGNLKHLRYLDLQWNMKIKFLPNSLCKLVNLQTLQLAWCKELEELPKDVKRLVSLRYLILTSKQQYLPKDALGGWTSMVFLQISACPMLTSLTEGFGSLSALRELFVFNCPKLPSLPSSMNRLVTLQKLVIHNCDELDLMESEEAMGGLNSLESIELAGLPKFKTFPDSFASASSSLQYLKVSDCPQFEELPDFIKRFSSLKKIEIPKSRAPSTITWA >ONIVA12G11900.1 pep chromosome:AWHD00000000:12:13405095:13409022:-1 gene:ONIVA12G11900 transcript:ONIVA12G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPFILDLDCDYYVNNSQALRAGICFMIERGGGGAAEDAGAVAFVQFPQRVDGVDPGDRYANHNRVLFDCTELGLDGLQGPIYVGTGCLFRRVALYSVDLPRWRPRRSLGCRLLGEDERLWSRMKQMVILSGPSGSRGVCSSSFPFFLPSLSLLPCSAPRSAVVPAGDGWIPPAAAPGAVRSLPLSLPFAWCSSGDRIAGGGKDQTH >ONIVA12G11900.2 pep chromosome:AWHD00000000:12:13404177:13409022:-1 gene:ONIVA12G11900 transcript:ONIVA12G11900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPFILDLDCDYYVNNSQALRAGICFMIERGGGGAAEDAGAVAFVQFPQRVDGVDPGDRYANHNRVLFDCTELGLDGLQGPIYVGTGCLFRRVALYSVDLPRWRPRRSLGCRLLGEDERLWSRMKQMVILSGPSGSRGVCSSSFPFFLPSLSLLPCSAPRSAVVPAGDGWIPPAAAPGAVRSLPLSLPFAWCSSGDRIAGGGKAHS >ONIVA12G11910.1 pep chromosome:AWHD00000000:12:13424202:13426656:-1 gene:ONIVA12G11910 transcript:ONIVA12G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVGVRDAPVAWLCGIWQKAAMVTLQGNKSWFGLAGGGATIRKKITDQAWEFGKPSQKRRFGSQGNEEKYTRASTVPPAVAAAAAKAVAVAAKQPPPSVGAGNLPLRLAAMLFRVWR >ONIVA12G11920.1 pep chromosome:AWHD00000000:12:13438812:13440944:1 gene:ONIVA12G11920 transcript:ONIVA12G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAAKKLPPGFRFRPTDEELVVHYLRRRALGSPLPPAVDIPDVRLLAHDPSDLLPPGWSEQERYFFTCKEAKYVKGRRANRATGAGYWKATGKEKPVAVSVAAAPRSQAAAVVVGMKRSLVFYRGKPPTGKKTDWVMHEYRLAGAGLAPCRRAATADHPARPAEGWVLCRVFRKKGSAAASTASPTADADDDDATTERADDAAAGVRFIDFFARADARRRRAASPVSSSCVTDASAEHCREQETTSRNGGAAAGDASD >ONIVA12G11930.1 pep chromosome:AWHD00000000:12:13454961:13461698:-1 gene:ONIVA12G11930 transcript:ONIVA12G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGMFGGAGAFWATRALEVVKRNDSPGLLWKRIKLTTTRKNNAKKRLKRLWQNEAVIRACGEAESSSSSSTSNTASASGKQQ >ONIVA12G11940.1 pep chromosome:AWHD00000000:12:13464238:13473194:-1 gene:ONIVA12G11940 transcript:ONIVA12G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAALTAAAAREHVERIRRERFYIGREERNPLAEDIHQAVTYLSQELYSKDLHFLMELIQNAEDNEYPSDVEPALEFVIIKKDITATGAASTLLVFNNERGFSAANVESICRIGKSTKKGNRHLGYIGEKGIGFKSVFLVSSQPHIFSNGYQIKFNEEPSEDCDIGYIVPKWVDEKPSIDDIHAVYGYSKKLPTTTIILPLKSDKILPVKNELSSTHPEILLFLSKIRQLSVREINDDPKASKLSQISISSEVDYKTQKDINAESYTLHLAMQENKRGDKEECTYYMWKQKFVVKPECRDKKRMEVDKWVITLAFPHGQRLSRGARSPGVYAFLPTEMVTNLPFIIQADFLLASSRESILLDSQWNRGILDCVPYAFVSAFEALLKSSSNAPSFALPPIFRFLPVATSSIPVFDSVRLSIKNNIATKDIMPCESFDTQKVFCKPSEVARLDGAFWRILIMAKKRGINLQNLCSHGTSVLNSYLDSKEYNDVLGFLGVGYVNHEWYGKFIDGSNLVTQAPEDIYLEILSFIADNWWLKFSNTSMSDVPLIKYVTGADCPSYCSVSGANMHHKRICIASNVNDLPWIIGWSKELSTTSNMFFLPLNTQKSLNTFCRRTQIIEWLQKIAKLEIRNLYEYAFAASKTIYKASSAIAYCHFLYHSHANKYITEGSIINLSHAMPLVDKCGSVIKQKNALLVPAEGSNWFTLIGTNPWRSQKYIDLSADYMSSGTYAGNYTPEGQLITFLRTYAQAMDVPFMHPPNASFPSVSSPLARENALLLLQWIKNIRSSNITLPHNFLSCIRNGKWLKTSVGYKSPSESFLSSVGWGSKLQIQFIFSGVPIVDEEFYGSKLRGYVEELRAIGVQFEFANANLHIADQPLSMENVILLLQWIKDLRSIGVQLPHNIRSYIRNGSWLKTSIGYSSPSRSFLLPAHSGNLGQIISVFSDVAIIDQEFYENKISAYKDELHGIGVQFEFADASVHIVNYLMSKSSNGALSRVNMFAMLQFIRNLTENNLTSVNFIEKIKKGCQFKTCLGNRLPVNSILFNSEWENASVISSLPFIDTLFYGEDILEYKPELELLGVHVAFKQNYQLLVDNFSLIRDQITPDVTILMLKCLRYAEIPQHFAKKLKELKWLKTCLGFRAPPGTFLVNDDWKCLLNIVDDVPLLDLKFYGDEIRVYAGELRKVSVIVGFIEASKAIACRVTKLLCSSLFTEERGVAMLECYRELSTKHGKLPVDLANCMKYERWLHTSLGFRAPQEAIIFGSEWEHVSKISNLPFIDDYYYSEYGQGKGISIYRDELMALGAKAELKHGAPFVISGLKIPHDASAITPEAVISLLKCIRSWKMLGSALPDNFMSSINLRWVKTTAGYRHPKNCLLFGPACSSLHRDDGPFVDEVFYGQEILSYESELHTLGVIVDARAGCALMAQCLKSCSNGDAISRIYSYLEALRWKPRNANDNWIWVPQGSDNGQWVSPDRCVLYDRNSLFGSQLHVLVTWYDYKLLRFFKTVFGVKGHPTIGDYCRLWIMWQNSKSTPTPKDCAAFFEFVDKNWNTEIGKYLAGSITKVPVCSEDRILLLPKQDVFIPDDLLLEDLFRMQAEQPLFVWYPPASLSLLSPAKLNEIYSTVGVQKISKVVTRDESEDLKLDHSLTMVQKGTVIKPGLLRIILAFLADPALDFPAEKRHEMVSCLTNVVVYETAMPLTVSYQVGLSSGRSLNVKSARIFRWEREESRIFMTRNFGSASLENAERVQCAAYFAEEISKGLLFERTDQVPALAELIMAGFLLDFDVPAVRFLLKFKNVRLLEDDEQFCSYLA >ONIVA12G11950.1 pep chromosome:AWHD00000000:12:13480508:13482502:1 gene:ONIVA12G11950 transcript:ONIVA12G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHNLGLSIHVIYIMFAAHQILGGGFHLTNQDVNMTMFGKSLQSKVGDATKSYMAMHQTYEGTYFGFVATLDVYGFEINYSQRIISSVWIVNRGPNDNLEENAIRIGWQVFPELYGDSHTHFFTYWTRDSYRTTGCYNMRCPGFQLTLGSKITPGDVISPVSDVDGARQKITIKEKSTGDWWIYYGFNSAPTVVGYFPANLFTNLSEKATSILFGGSVLAVEGASTPPMGSGLLPSILSDKAASIEDILLVDEDGKIAPFDVKTIKDETSDLCYAMTPIFGESTSRCLYGGPGGCVVG >ONIVA12G11960.1 pep chromosome:AWHD00000000:12:13489718:13491111:1 gene:ONIVA12G11960 transcript:ONIVA12G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIARGRPPVFKAPSANEAERERVDVVSIMGTAPLSLAGGPWSTAIGPARGAPGETAHSRGRLFLCDGPTCEGGPSTGAAQQVARGVKMAQRAEPTQGERTAHQVEIAEKSQRLSRWRRPKERWRLREWGSCFSKPGLDSHGIRCGTRDNGRCHVSARHCLLMGKTLVKRRKWKNLPFLAPGKPPARANLEHREPECTLNLHKALRGCSCWAFGQFGLHVSNLAFMSCLQPAGCCVAEVET >ONIVA12G11970.1 pep chromosome:AWHD00000000:12:13491400:13493027:-1 gene:ONIVA12G11970 transcript:ONIVA12G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain family protein [Source:Projected from Arabidopsis thaliana (AT5G13200) TAIR;Acc:AT5G13200] MDGKDSTTTPAPAPAPAPAPAAEPQTTGNAPPPPQQQQQQPEAAKWGTRQMGPPAAPGAHPENQQAARWTAARGDQELPPYVIMGEAAAPPPRGRPERGDSPMEHILDFFNTWSRKAEELASNIWFNLKTAPSMSDAAMGKLSLGAKALSEGGFDKLYKQTFAGAGADERLRKTFACYLSTATGPVAGTLYLTDRSVAFCSDRPLSFAAPSGQTAWSYYKVMIPVAKVAAAEPVTMKESPPEKYVHVVTVDSHDFWFMGFVSYDKAVHHLVQAVSSQQRGGAAADTAAAVPGDGK >ONIVA12G11980.1 pep chromosome:AWHD00000000:12:13495310:13496285:-1 gene:ONIVA12G11980 transcript:ONIVA12G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCGTAARWKVASRQNIAATAGDGRRCGAVDGGSMAEQGRVGVAHARRKTQPTEAWRWGVGSGRPAENCLGPRVWIWTTLIGVVEIGPLGCLLASIGLMGYWAILFVLFVGLFGRGEEWGAGAPVAGRWRRFFLHTLPVKTTMRKRSARRRAQSSSARNVAASTMDTRLGY >ONIVA12G11990.1 pep chromosome:AWHD00000000:12:13502388:13507984:1 gene:ONIVA12G11990 transcript:ONIVA12G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLQAAVLFTAVAAPTNCTTRCGDISFEYPFGVEPGCYHPGFNLTCSSNDTRLFMGDGTVQVLDISIPNSTVRVNATAMAFDPADDVQRGVINATTTWRAAAAADDDGGPFVVSRRNTIALMGCNARVDLRGGDRRHSDNLVSSCTAVCPPVGGGDDAAAHDDGDSGDGHTIAIIDAWNGKCSGVGCCQANIMLGYPSYTIQIKQLQEKNLHSFDFQYIAYITDETLNFTEEIAGRIATPAALPATLDFVIRSNSSCSTPANSTAGGECRSEHSFCEDYKGGGNTLLGYSCVCSEGYRGNPYVADIDECHSPGYCYGDCKNTEGGYLCQCPLGLTGNASIPNGCKDIDECMHPESYSCYGQCVNTFGSFQCHCHSGTEGDPTIRGGCIKIKHSVSGLTIGLGVSGGIIRKIKLHKVKRMKEIFFKQNHGLLLQQLMSQNANIGERMILTLRELEKATDNFDKTREVGGGGHGIVYKGILDLHFVAIKKSRIVVQREIDDFINEVAILSQVNHRNVVKLLGCCLETEVLLLVYEFISNGSLDDHLHVDGPISLSWVDRIRIALEVSRALVYLHSAATTPIFHRDIKASNVLLDDNLTAKISDFGASRYILIDQTGVTTVVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTRKKPIYRTDRGDSLVPHFASLLEEGKLVEIIDPQVKEEENGEVEEVATLAAMCTELNGQDRPTMREVEIRLENLRAKTKLAPYNKEPSRYEVSRLAGHCISARGDIEEVLISRQYSMEDEMLSLESEDIDDAGYEVAIYGKTVKGKQGSATMDHAGRGLAPMDQIR >ONIVA12G12010.1 pep chromosome:AWHD00000000:12:13525320:13526843:-1 gene:ONIVA12G12010 transcript:ONIVA12G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYYTRCLTQIKNRLLEKTSPHIELMNGDSLVLHFLSLLRERKLFEIIDLQVMEGEDKYQRSSKTSGNVY >ONIVA12G12020.1 pep chromosome:AWHD00000000:12:13535306:13537192:1 gene:ONIVA12G12020 transcript:ONIVA12G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHLDHLTPPCRKDEEATTSSDAVDLIYDLEWQADEHHDDQDQPHRQSAPQIAKRQMAQSLGQSAKFKRRYKLEAEENNGR >ONIVA12G12030.1 pep chromosome:AWHD00000000:12:13539135:13539770:1 gene:ONIVA12G12030 transcript:ONIVA12G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAAAGPHHQRLPSSSSASPPPPQPDPGGKRQRRPSVRLSGSIPLPSHLPHPRRIPITPASRSRKPLHLQLHPKPEHEEDDNPSSPASASADADDLVLAAAFPRKPRSLEAAQGESVAAAAAAAEEEEAAEGEVVDVVEWLWGIGMGRYAAAFEAHEVDGEVLPCLTMDDLRDMGIGAVGARRKLYCAIQRLPPPPALPPPPPPPPRR >ONIVA12G12040.1 pep chromosome:AWHD00000000:12:13560923:13563066:-1 gene:ONIVA12G12040 transcript:ONIVA12G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDPSHPHRQSKDTAAAPPPPPPQEQPQQQQPELITAPPPRDDVAHQEPSTSSSSGGGGTDAAGLSLQLGLGPSAPGSPSSSRRKRPRTDAHHEAAAGPSTSAADPQLPELRLSVLHPGPGPSSSASAVGAVVAAAPPPPAHEAGTWFVLRAAQNQRREPPLPQIPRSFLRVRDGRMTVRVVMRYLVNKLSLEDDSQVKGVRPSVTSWVTPHD >ONIVA12G12050.1 pep chromosome:AWHD00000000:12:13566236:13568293:1 gene:ONIVA12G12050 transcript:ONIVA12G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFQLEARDEYQRASLATRLVGAVKAHRLSFHLPSAVGVNGVVRRTAIAIVVFIVFVVAKAGGGGFAAPEFGATGSGLTQLATVIVVVLAVAVIVIIIVIVADASGDGSAAPKSGTAGSTHPGPEGGRSAAAWLCRSHNHRCHGHGGGKGGGGDGRWRRGKERRREQSGVGGEGGEEIF >ONIVA12G12060.1 pep chromosome:AWHD00000000:12:13582756:13584206:1 gene:ONIVA12G12060 transcript:ONIVA12G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQEFCQLVLLNFLDLSSNQIYGDLPTCWSNLQQLFFLDLSSNAFSGKVPTSTSKSLPICKNLTMVDLRDNRLSAEISSRIWESLPSLKILQLCSNMFEGYIPWQLSNHSQLQLLDLADNQLVEFANLKGLQFLKLSRNNISGSIPNDIGNMNALESLDLSCNAFSGRAPTGGQLETLNDPSIYSNDYGLCGTVLSACPIESSRFGSEPNKDEFRSLLSHNHRDCYWILAMVELSYLDHPPLVYSTPQVL >ONIVA12G12070.1 pep chromosome:AWHD00000000:12:13592566:13599634:-1 gene:ONIVA12G12070 transcript:ONIVA12G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0JA99] MAAAATAAASPVEGLTGGGGGGGGGVDGLFVELWRACAGPLVTVPAVGERVFYLPQGHIEQVEASTNQVAEQQGAPLYNLPWKIPCKVMNVELKAEPDTDEVYAQLTLLPEKQQDGNGSGNGNVSKDKVEEEEVVPPAATERPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQANIPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPSEFVVPRDLYKESLKRNHSIGMRFKMTFEGEEAAEQRFTGTIVGVGDSDPSGWADSKWRSLKVRWDEAASVPRPDRVSPWQIEPANSPSPVNPLPAPRTKRARPNVLASSPDLSAVNKEVASKVMANSQQNGLPRAFHSQENMNLRSRFGDSNELNTSQKLTMWSSGSNQEKNNVSVQRELGSQSWMQMRRPDGSSEILSGFQPLKDTRNPLSSFPSQISGNRSNTWNTINVHYPDQNANHNMYPGTWSLMPPNTGFGVNQQNYLMTPDITLPQRSLNAKFGGNGAFTSLRAHGIDQRSSGWLGHIEPSSHIDDASSSLIKPQPLVIDHNVQKAKGSSCMLFGISLDSPAKPELLISPPSVAFDGKLQQDALEEDECSDPSKTVKPLDGSQHDSATEKHQSCPDGTKNIQSKQQNGSSRSCKKVHKQGIALGRSIDLTKFTCYDELIAELDQMFDFNGELNSSSKNWMVVYTDNEGDMMLVGDDPWNEFCNMVHKIFIYTREEVQKMNPGALNSRSFVVCKNRRRHIHGRKESFRGVVVVAGALYVSGKPRSTPFVDGACPGISCPLFVFDKFWVCFVEVLSYCMICPVVVKGAEAKLPRPY >ONIVA12G12070.2 pep chromosome:AWHD00000000:12:13592566:13603225:-1 gene:ONIVA12G12070 transcript:ONIVA12G12070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0JA99] MAAAATAAASPVEGLTGGGGGGGGGVDGLFVELWRACAGPLVTVPAVGERVFYLPQGHIEQVEASTNQVAEQQGAPLYNLPWKIPCKVMNVELKAEPDTDEVYAQLTLLPEKQQDGNGSGNGNVSKDKVEEEEVVPPAATERPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQANIPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPSEFVVPRDLYKESLKRNHSIGMRFKMTFEGEEAAEQRFTGTIVGVGDSDPSGWADSKWRSLKVRWDEAASVPRPDRVSPWQIEPANSPSPVNPLPAPRTKRARPNVLASSPDLSAVNKEVASKVMANSQQNGLPRAFHSQENMNLRSRFGDSNELNTSQKLTMWSSGSNQEKNNVSVQRELGSQSWMQMRRPDGSSEILSGFQPLKDTRNPLSSFPSQISGNRSNTWNTINVHYPDQNANHNMYPGTWSLMPPNTGFGVNQQNYLMTPDITLPQRSLNAKFGGNGAFTSLRAHGIDQRSSGWLGHIEPSSHIDDASSSLIKPQPLVIDHNVQKAKGSSCMLFGISLDSPAKPELLISPPSVAFDGKLQQDALEEDECSDPSKTVKPLDGSQHDSATEKHQSCPDGTKNIQSKQQNGSSRSCKKVHKQGIALGRSIDLTKFTCYDELIAELDQMFDFNGELNSSSKNWMVVYTDNEGDMMLVGDDPWNEFCNMVHKIFIYTREEVQKMNPGALNSRSFVVCKNRRRHIHGRKESFRGVVVVAGALYVSGKPRSTPFVDGACPGISCPLFVFDKFWVCFVEVLSYCMICPVVVKGAEAKLPRPY >ONIVA12G12070.3 pep chromosome:AWHD00000000:12:13599641:13603225:-1 gene:ONIVA12G12070 transcript:ONIVA12G12070.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0JA99] MAAAATAAASPVEGLTGGGGGGGGGVDGLFVELWRACAGPLVTVPAVGERVFYLPQGHIEQVRRRRRRCSAERENLPLLLSPTRAGWGRAACVAQQQPAAAAAGTQTDVAFIALLLRLHKSHPDLLRRFLFSPPPPQVWGFTGERAWTWRRAELWGFFQFSERFGEGGEERWWM >ONIVA12G12080.1 pep chromosome:AWHD00000000:12:13626801:13628020:1 gene:ONIVA12G12080 transcript:ONIVA12G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETTVPRRRGVHVLAYGYAASWASFAPTSCSFLRNSDGGVTQLLAIHGADGHLTFMRTTATSRSFLTSMASGHGKRRWQDASNEVSRSGDVITSARGEIRSSPRWFNVYLGRKGYMRLEVKRHTFNEFAFIKGYIGI >ONIVA12G12090.1 pep chromosome:AWHD00000000:12:13630416:13636919:1 gene:ONIVA12G12090 transcript:ONIVA12G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEKRRLGEGEGEGEGGGVMRDARKDGVAREVIRMEREAVIPVLKPKLVMKLAYLIEHDLDRSEFLKLCKKIEYTIRAWYLLQFEDLMQLYSLFDPVSGEKRLEQQNLTPEEIETLEFNFMTYLFQVMEKSNFKLLSDEEYDVAQSGKYLLNLPIKVDEPKLDSKLLSTYFKEHPHDNLPSFANKYIIFRRGIGIDRTTDYFIMEKLDVIISRAWSSLLRVTRIDRLFSKKPQVKSKKDTKKTDEINEDLEEPELFVERIRLEKIELSVRNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLFGSLEMPKADIWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMEQGKATEQDLDLRCEELIKEEFGAECNFDVRDAVKKLERLGIVHRDSIGRIVCVSLKRANEILGNTTEELVMRAQQSPAAS >ONIVA12G12100.1 pep chromosome:AWHD00000000:12:13637452:13642112:1 gene:ONIVA12G12100 transcript:ONIVA12G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAEPGVRFSDRAARRSSLGLRSMVLLMHVVFVGAVFLLDPTLDRRIREEPWYIGAYGALVLIALVQYFCTAGSSPGYVLDAMKAGLTMHATYINTATLSKQSSPNNGSLNSPLSLPQQQKLNPTTSTSTWLQRVAELYPPGSSSRDWTCTYCRVIQALTFFACKAAANCPRSFSAAIYSHLVLGIAMTVTNVSFSLIITVFGLEHALGKRTTADSETILSIWTVALYIDSIRLDVDKACYIALTNQTTYEVARRKRIFYMRGIPDKVHPFSKGICRNIYVFCFSRQKGYDLEEVPPLEELEARAAPYTCRDIICCRCC >ONIVA12G12100.2 pep chromosome:AWHD00000000:12:13637452:13641810:1 gene:ONIVA12G12100 transcript:ONIVA12G12100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAEPGVRFSDRAARRSSLGLRSMVLLMHVVFVGAVFLLDPTLDRRIREEPWYIGAYGALVLIALVQYFCTAGSSPGYVLDAMKAGLTMHATYINTATLSKQSSPNNGSLNSPLSLPQQQKLNPTTSTSTWLQRVAELYPPGSSSSHLVLGIAMTVTNVSFSLIITVFGLEHALGKRTTADSETILSIWTVALYIDSIRLDVDKACYIALTNQTTYEVARRKRIFYMRGIPDKVHPFSKGICRNIYVFCFSRQKGYDLEEVPPLEELEARAAPYTCRDIICCRDG >ONIVA12G12100.3 pep chromosome:AWHD00000000:12:13637452:13642112:1 gene:ONIVA12G12100 transcript:ONIVA12G12100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAEPGVRFSDRAARRSSLGLRSMVLLMHVVFVGAVFLLDPTLDRRIREEPWYIGAYGALVLIALVQYFCTAGSSPGYVLDAMKAGLTMHATYINTATLSKQSSPNNGSLNSPLSLPQQQKLNPTTSTSTWLQRVAELYPPGSSSSHLVLGIAMTVTNVSFSLIITVFGLEHALGKRTTADSETILSIWTVALYIDSIRLDVDKACYIALTNQTTYEVARRKRIFYMRGIPDKVHPFSKGICRNIYVFCFSRQKGYDLEEVPPLEELEARAAPYTCRDIICCRCC >ONIVA12G12110.1 pep chromosome:AWHD00000000:12:13642361:13644250:1 gene:ONIVA12G12110 transcript:ONIVA12G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLISIVQPPSLLAIQFQPLQNNRFKRNNPAISARARTVRARAAELPAGVVVPREQPKLSEPFLGFTKTAEVWNSRACMIGLIGVFIVELVLSKGVLQTIGLEVGKGLDLPL >ONIVA12G12120.1 pep chromosome:AWHD00000000:12:13646415:13650500:-1 gene:ONIVA12G12120 transcript:ONIVA12G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRVKPEEKDGRCYPVEDDLEQLLKAIDSRTFRRTLSPGQAGADALRKNGQKKSSRSGPAQAAGIGISSKPVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLMVQSNGESHLHDDEKMNLIEISITPEKFSKNSSRATSEFSEDCDFETADGSAVTSLHSASSTSAEIRKIRIQDVISGDPIDSESSMVENEKLNKKVSTATDGSSPRAPILSKPITTSRLVKPVFRCKTIGKKKLKEEPPSLGTSSNSTKFCSSKESISLASTSCSSTSSITNPTSCADDEKTNLGPEKSGDKSPEWLRSEEKGECSQNSNSISEYGCSTSISDESQFGLCSYNNRPHMAKDLRWITIRELALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSECLFALKVMDIEYLINRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRSSSVAANHQPRKLAGPCAESSCISSSCQPSCAQTSCFMARPPLPKPRKPKSSHRKLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLKFPENPSISSNAKDLIKGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPEMRPCDVVTLATAKKKKEGKCLEFRSNSEDLEFEVF >ONIVA12G12120.2 pep chromosome:AWHD00000000:12:13646417:13649336:-1 gene:ONIVA12G12120 transcript:ONIVA12G12120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSSEIVEFTEEFDDNAPVSCPNLMHIRVKPEEKDGRCYPVEDDLEQLLKAIDSRTFRRTLSPGQAGADALRKNGQKKSSRSGPAQAAGIGISSKPVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLMVQSNGESHLHDDEKMNLIEISITPEKFSKNSSRATSEFSEDCDFETADGSAVTSLHSASSTSAEIRKIRIQDVISGDPIDSESSMVENEKLNKKVSTATDGSSPRAPILSKPITTSRLVKPVFRCKTIGKKKLKEEPPSLGTSSNSTKFCSSKESISLASTSCSSTSSITNPTSCADDEKTNLGPEKSGDKSPEWLRSEEKGECSQNSNSISEYGCSTSISDESQFGLCSYNNRPHMAKDLRWITIRELALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSECLFALKVMDIEYLINRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRSSSVAANHQPRKLAGPCAESSCISSSCQPSCAQTSCFMARPPLPKPRKPKSSHRKLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLKFPENPSISSNAKDLIKGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPEMRPCDVVTLATAKKKKEGKCLEFRSNSEDLEFEVF >ONIVA12G12130.1 pep chromosome:AWHD00000000:12:13672350:13673642:1 gene:ONIVA12G12130 transcript:ONIVA12G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNFSHLVLFFFCVASLLTSPSLAIRQGAFQAVSYKNLVGSYHQLTKRPSFSQSGGYRNLFQLISLDYDPTIGAPPQIHSVPSQHEQETISKLITKTVQKT >ONIVA12G12140.1 pep chromosome:AWHD00000000:12:13678831:13680365:1 gene:ONIVA12G12140 transcript:ONIVA12G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGNKRAWVADLEGGLAGAGAASERALWSKHFVHRVPAAVKDLHPHAYRPQVVSLGPFHHGDQRLVPMEPHKLRAVARFVGRSRRPVAEFVAAVEAAAAELEESYQDLAGEWRGERFLQVMFTDGCFLLEMMRTAKVIGGGGGGKHDEASGAVGGYAHNDPVFGRHGAVYMVPYVRRDMLIVENQLPLLLLQKLVAVETGKESQDLGDVEYEVKKMVLRFISPSCKTPPAKEHRALHPLDLFRKSLLSGQHQRPRGDRGSAGAGRDDRRRDDDEEANGGIIRSAAELYEAGIRFRRSPTASLHDITFRRGVLALPFVVVDDSTEYAFLNLMAFERLHAGAGNDVTAYVLFMDSIIDSARDAALLTARGVIQNAVGSDKAVARLFNGLSKDVVALDGAGGDGELYAVRRAVSRYCRKPCHVWRANLVHTYFRSPWAFMSLAAAVFLLAMTVAQTVYTVLPFYQQGGNGGEATYAAPAPR >ONIVA12G12150.1 pep chromosome:AWHD00000000:12:13718380:13720602:1 gene:ONIVA12G12150 transcript:ONIVA12G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWKRKLGRTLTRFLSKPPFKPKPTNPSPPPPPPPPGIQPPPPALPGMPHGRPPPPFPGGRDAFPQAASTVVPDPARFFAPALLSSPLPTNSFFQNFVLKNGDQPEYIHPYSVRSAAAALTLCYPARNHSPSFVIQTFVEDLTVSAASDAAAAAAGQRHRVAAFDDLSVTLDVSPSLRAFLVRGCPFVTVATSDAAGPVDISVASVHAFIEVASCDDALTKWRLRMNSGQTFLLYASAPIRLAQSSVTQLSAPGFSGVIRVAYLPDPSMEAVLDQYSRCFPTAGEASLNRPFCVEYTWRKQGWGDLLMLAHPLHLRLLSEDCCVRVLDDFRYRSIDGDMVGVVGDSWVLRTDPVSPTWHSMRGISDDGVGEVAAALRKDVDALASSPITTTSSYFYGKAIARAARFAVIAEEVGCPDVIPAVQRFLKATVTPWLDGSFQGNGFLYEPKWGGLVTLQGSKDTGADFGFGIYNDHHYHLGYFLYAIAVLAKIDPSWGRKYMPQAYSMVADFMTLSRKHGASYTRLRMFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALLGLSYGDTHLVSIGATLTALEMLAAQTWWHVREGDTIYEDDFTGNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPVLPISEALFPDISFVKELVDWTMPALSREGVGEGWKGFVYALEGIYNKESALQKTRALTGHDDGNSLTNLLWWLHSRGTADDGVVGFSRCCWYRQYCH >ONIVA12G12160.1 pep chromosome:AWHD00000000:12:13733509:13736209:1 gene:ONIVA12G12160 transcript:ONIVA12G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSMDDYSGRLVFSSAGAAPPCSSAGAGGGQMLLFGGHGGFVGGSPVMEEAELRRRRRKRPFLTTTHDELELQMEDLVDELYGVDEQGSSSAAARKRRLTAEQVRALERSFEEEKRKLEPERKSELARRLGIAPRQVAVWFQNRRARWKTKQLELDFDRLRAAHDELLAGRTALAADNESLRSQVILLTEKLQANGKSPSPSPAPAEQTAVPAAPESAKSFQLEEGRRLYDAAGSTTTTNGGGGGVAMPAARVAAARAASNDSPESYFAGARSPPSSSEDDCGGAGSDDDYPSSSVLLPVDATLVGDAFEHAVAATVAADEEAPLNSWEWFWN >ONIVA12G12170.1 pep chromosome:AWHD00000000:12:13746933:13752679:1 gene:ONIVA12G12170 transcript:ONIVA12G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASPCSRAGRHGAQLPHVAIFPFMARGHTVPLIHLAHLLRRRGLAAVTLFTTPANAPFFRRVLDDDAVAVVEVELPFPDHHLPGAMSALRPRLEASLAAARPRVGLLVADALLYWAHDAAAGLGVPTVAFYATSMFAHVIRDVILRDNPAAALVAGGAGATFAVPEFPHVRLTLTDIPVPFNDPSPAGPLIEMDAKMANAITDVNTFHAMEAHYIEHWDCHHVGHRAWPVGPLCLARQPCRAAGDSAAAIKPSWMRWLDEMAAAGRAVLYVALGTLNAEPHAQLRELAGGRGLPLSTGPVRPIDADLGTGLASRTVMFGLCLGQAKMPRAVRHDAQLPHVAIFPFMARGHTVPLIHLAHLLCRRGLAAVTLFTTPANAPFVRRVLDDDAVAVAELPFPDHLPGVPPGVECVEGLDGLSSFPAFVEAVSALRPRLEACLAAARPRVGLLVADALLYWAHDAAAALGVPTVAFYATSMFAHVIRDVILRDNPAAALVAGGAGSTFAVPEFPHVRLTLADIPVPFNDPSPAGPLVEMDAKMANAIAGSHGLIVNTFDAMEGHYIEHWDRYHVGHRAWPVGPLCLARQPCHVAGDGAGAVKPSWLQWLDEKAAAGRAVLYVALGTLIAVQEAQLRELAGGLEASGVDFLWVVRPSDADVGAGFEERVEGRGMVVREWVDQWRILRHGCVKGFLSHCGWNAVVEGVAAGMPLGTWPMGVEQPLHATLAVDELRIGVRVPAAATTGHGVVSGEEIARVARELMGMDGEGENGAGGKAARNAAALASKAREAVAEGGSSWKTLEEMLAVVCLPTDPSE >ONIVA12G12180.1 pep chromosome:AWHD00000000:12:13762554:13762934:-1 gene:ONIVA12G12180 transcript:ONIVA12G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREADEAALLLLSSSCCTPRLGSAGMDDAETTTRRGRKRTLDEVVDGDGGFGGGAVKPSSSRRGPAAASSSSSETETRALIQSCRLLHVRVRAMLAWGARRREKVQLECDNQLVADRAARGRQLR >ONIVA12G12190.1 pep chromosome:AWHD00000000:12:13765028:13766961:-1 gene:ONIVA12G12190 transcript:ONIVA12G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTGSGAVASRSGAVVAGSGPPATGGGVLAARRRGLAVVLGGGDLERLVGGNLAGDAAGAASGTEHGGAADDGCGGGGAARAGGDAGDAGGGNDASSQIWLAVVAVIDGGSDGGGGCGGIDCGGWLARQRPWWSRSREGGRAAPWVAGPAVQASWRPATCGCRGGQRCAAARGRHGDRRRC >ONIVA12G12200.1 pep chromosome:AWHD00000000:12:13766428:13767198:1 gene:ONIVA12G12200 transcript:ONIVA12G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRCRTTLASTTATRRWPPRCLHGRPRHPRGRATAFTTPRPPRPLSRQPAAAVDTATAAAAVTAAVDNRNHRQPDLTRGVVASSRIASVTTSSCGTSTAASVVRRASVLSTGGSTGSVARQVAADQSLQVAAAQNHRQTSPPSCQDAAARRWGTGSGHHGTGSRRHGTGSGRRRRHALSSCRANVLTVLAASEGERAPPPPSLQPPGFAGGGSGSGEAGEGRRKAGTARLVRRPRRPRDRATGRVVAVAGPSLR >ONIVA12G12210.1 pep chromosome:AWHD00000000:12:13779529:13781667:-1 gene:ONIVA12G12210 transcript:ONIVA12G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAB8] MSSPPPPPPSKPKRRRLLSLPAVYPCEDIAPAPLLASLLSLAADVASRRAADVDAFPVLRCGVRKAVRLAGILLAFLEEVQDAAAAAALPSSAVLGLTELHVAMQKLRFLLADCARRGARLWVLVNAGMVASELRLVLGSVAAAMDALPRSVAEASVEAGELARVVSEQAWRAAVRPDGADERAARSVRSILDQFKDGVAPDADDVRRVLRRVRVGSWSDCSEEIAFLESEICARLDAGDENSNDVLVMNSLMTFLVYCRVVLFDHIDARKSQPAAAAAPAAARCPEWIRPEALQCPITLDLMTDPVTVSTGQTYDRASITRWMKAGCRTCPVTGERLSTADLVPNTVLRGIIERMLLINGVTLPEPSAAGGGGHRHGAVANTAVPFGPAAAGAARLAVAHIVAQLSRGSTEERRKATSEARKLSKHSVFYRACLVDANAVPWLLCLLSSTDAAVQDNAVASLLNLSKHPAGRTAIVEVGGVGLVVDVINVGAKAEAQHNAAAVLFYLSSNSPDSAEEIGRIPEAIPTLVQLIRDGAYRGRKNAMVSLYGLLQSAANHGRAIAAGAVSALAALLLSADRDDLAGDSVALLARIAEQPSGAAAVLSQPGLVARLAEALAASSASSSRSARDHSVSLLASLCRHGGAKVVAVLGRMPGLMASLYSLVADGGSPQTSKKARALLNEIHRHYEVAPPPPASSASSDAGGDRVVRVL >ONIVA12G12220.1 pep chromosome:AWHD00000000:12:13794455:13797739:1 gene:ONIVA12G12220 transcript:ONIVA12G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPDGGRSFARRDQLLNIQSDVHKLWEEGKVFEAEPGSKPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFAFHCTGMPIKASADKLSREAQQYGYPPVFPELDSSIEVADDSQPDQGVSVAPDKFKSKKSKAASKVGLQKFQWEIMRGFGLSDEEIAKFRDPYHWLSYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVQWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMEVVPPFPPNLKALEGRKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFVLTSRAALNLAYQGLSKVPEKPTCLAELSGNDLIGLPLKSPLSFHDVIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLVSKPALRQKFGVKDEWVLPFKIIPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFNGRKVQEAKPLIKKQLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVKCLEKMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQNGNMYGKEIFSIRPEQMTDEVWDYVFCDGLAPTTDIPPALLSKMKQEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRTGPPSTYADHVFANEINIAVIETEKSYNAFMFRDALKLGFYDLQLARDEYRLSCGAAGMNRDLLWRFMEVQTRLITPICPHYAEHVWQKILRKEGFAIKAGWPVAGSPDPTLRIANKYLQDSIVKFRKLLQKQESGSKKPKKGAAAPPPSEENKLTVGLIYVNEHYYGWKEQCLRVLQSKFDSQARSFAPDEEITEALKNCSIGQETNFKQVQKLCMPFIKSKKDEAKSVGPHALNLKLPFGEMNVLEENLELIKRQVGLDHVEVLSASDEATRAKAGAHVSMLDKTPPSPGEPVAIFISKQGFEAQH >ONIVA12G12230.1 pep chromosome:AWHD00000000:12:13802634:13807560:-1 gene:ONIVA12G12230 transcript:ONIVA12G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPGHGRGTLRGGGGVRPTSTSTKLFGVVLSSGGPPTTGAPPRRRRWPAPKRSIHNDDVQAYGSALPPPAVKPDIVDGTSTSARPVDGDDVIEAPAPRPVAGVKKHIGLHVYYRFACSHLTQARVYREKENHVNLYAVLLRFLRLLLHTILKHPDYRTDNSSVKFFIEKTLLEVIGELEYLKPIVQQKRQQQQRNQQEKTTEDNIGTIGCKWYYVVTAKVPAKLNQDDLDGHSSTSQYSPTNSHDSRCSVSVEENEFSSSSEEEFPHVESIPKQISSMSFHSTQGHGISDCSPYRMVYVPEELISRFLNEAVENTTKSLETCGIIAGTLRVDMDVKYFIATDLIIPKQESTSYSCEATNEEEILDIFEQLGSPSHLGWIHTHPTQECFMSSVDLHNHYSNQKDLREAFAIVVAPSKREQNIFHLTVPDGMDEIGDCDDRGFHPHDRTTYEECSHVKWDSTISLHNVVDLREC >ONIVA12G12240.1 pep chromosome:AWHD00000000:12:13815460:13820862:1 gene:ONIVA12G12240 transcript:ONIVA12G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSATAARKMAVVICMLALIMGQQLTTTAAAGQRGRRLLVAEANNEKLPVGTTAAGAGGGRGNHYHVQCQMAAVICMLALIMMGQQLMTTADAASPAEQHGRRLLMERELAEVTKKLAVSPAVGDAAVDNSYHPMDCSPGCFIDPLIGVCFCTR >ONIVA12G12250.1 pep chromosome:AWHD00000000:12:13830471:13830882:-1 gene:ONIVA12G12250 transcript:ONIVA12G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPPSPVVKPDIDVVIVDGTSAQSVGCQETCRALCLPPLCPLSPYPARSPIDDQNTYEFCSVFLVHTAMRKC >ONIVA12G12260.1 pep chromosome:AWHD00000000:12:13832628:13834730:-1 gene:ONIVA12G12260 transcript:ONIVA12G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRRTAEESSWVVEVEKAVRGGGGADGDPSAEEAARWRRHSIYRVPACIKDLNRRAYQPQVVSLGPFHHGDPNLLPMEDHKRRALLHFLRRAAPRRRPLAEFAAAVADAAEQLVGAYQGLADEWRRGEPEKEKREAFLEMMVTDGCFLLEVMRTAAGWEVSDYADDDPVFSAHGLLYTVPYIRRDMIMIENQLPLLVLDKLVAVESGIQENEDYINRLVHNFLSPTAWPPVTGVGLALHPLDILRRSLLFGPAPSPRAPAPETAPTDDIIRSAEELYEAGIRFRRSPTSSLLDIRFHRGVLSLPAIAVDDTTEYMLLNLMAFERLHAGGGGGGNEVTAYVFFMDNMIDSPRDVALLAARRVVHNTLGSDKAVARMFSGLSRDVVLEPRSALDGVHREVNAYCRRRWHRWRANLVHTYFRSPWSLMSLAAAVFLLVLTVMQTVYTVLPFYQGGNN >ONIVA12G12270.1 pep chromosome:AWHD00000000:12:13837142:13846451:-1 gene:ONIVA12G12270 transcript:ONIVA12G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G16630) TAIR;Acc:AT4G16630] MDPGPLTPAQLGEIPLLPFSHETAHESPSSGLLPSLPLPPHTKPSIKPSPPAAARRRRPPPPPPTPAARRLLLSRGMDPDFRFDPDGSDDDGAAASAAAGRRKPAQSPWEFSSYAESVAAEHARRRTTSIDEKISQALSGSRRGGKPSIPDGDSEGDEDDSEVEDDSEEDDEEVVEGEIDDEEDEVEESEDDDEGVEVSDEEVEEEEGKGEEKSKEEEEGEEEEGQDGEEEEKEEGDEEAAEEEEETDNKSGVVDPSKFFASSEGASFHANSFLELNLSRPLLRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRVPAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLIVGGLSTKVQEVALRSMPDIVVATPGRIIDHLRNSLSVGLEDLAILILDEADRLLELGFSAEIQELIRMCPRRRQTMLFSATMTEEINELVTLSLNKPVRLEADPSLKRPATLTEEVVRIRRAREANQEAVLLALCLKTFKDKVIIFSGTKHSAHRLKIIFGLSGMKAAELHGNLTQAQRLEALELFKKQEVDFLIATDVAARGIDIVGVRTVINFSCPRDARTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVAECAKLIEELEDQISIIIQEEREERILRKAEMEATKAENMIAHKDEIYSRPKRTWFATEKEKKLLAKAAKESTSQGKSNSGVISAQQAEDLRLKEKKRREREKNLPRKKRRRLEAEREMLEDESEDEEEAKESKGGKKEKKGQSLVDVAYRRAKSMKASGKKGAGIGKGKNDKKAKQHSEKGPTRQEEMQELFQNDMSEWKQGRSLKKNNVMRKKSNNSFKSKSRYVTMLSPALVF >ONIVA12G12280.1 pep chromosome:AWHD00000000:12:13846312:13850738:1 gene:ONIVA12G12280 transcript:ONIVA12G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGHLMGFRGPSHARRAEAVFPLVGPALMGRDPFFSFKSSPRRRPPLAPPPPHAAASSSATATAPRGGGRRRRWSGSAAGRSSWRTAPCPMLSAPGSSQLLVLLLLFLWG >ONIVA12G12290.1 pep chromosome:AWHD00000000:12:13850224:13862068:-1 gene:ONIVA12G12290 transcript:ONIVA12G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIGAARWVVGKALGPVTDGVLEAWAASSQLGPNIRALKLELLYAQGMLTSAQGRDVRNPALAQLLLELRHLAYIADDVLDELDYFRIQDELEGTYETVDDAKEEHGLVRGLVLHARHTARAITGKLACSCAASESHVDEQEVDGKQGGFLSVAAHAVSKRLPCCSLPSVHDDASANMPANERCFLCGAWSSKAQQRKHAVHEAKLKFDRVEMSNKMTDIVEQLKPVCAKVATILGLVSLGYSNSAKPQGSDLEQRSKTTPEIIEPELYGREKQKQKVAEDIINEYCVHDLTVLPVVGPGGIGKTTFVQHIYDKVKSHFQVSVWICVSQNFNANKLAEEIVNKIPKSNNEGENESDQERIEKRIRSKQFLLVLDDVWTYHEDEWKALLSPFKKGGTKGNMVIVTTRIPKVAEMVELMSCSIKLKRLQDEDSMELFQACVGIKTWKDYPSDLKDVGTNIVKRLKGFPLAVKTVGRLLRNQLSLDRWTALLKSKEWELQVNEDDIMPALKLSYNYLPFHLQQCFSCCALFPEDYRFSRQELTNFWIGLGLLGAGDQNKIIEDIGLDCLNDLVDNGFFEREGNNYDSPYVIHDLLHELATNVSSYEFLRLNSSDVRSIQIPTSIRHMSVIIDNTHVKDRMTFENHKKDLSSLGKKLKAGNLHTIMLFGEWHGSFYKILGDILRDAKSLRVIFLSGASYNVEDLLPNFSKLLHLRYLRIKDSWMCGANLLPNCITRFYHLLVLDVQYHRGELGFLREMGNLLKLRHFLVHDDNIHSNICEVGKLIFLHELRKFEVKREMKGFDLEQIGQLLELRGSLSIYNLEKVEEIKEADDAKLACINHLDRLVLNWDNSRCNKDPIREGNVLERLKPHNNIRELHVVGHGGATCPNWLDGDFSIGNLQSLHIESVNWDKLPLPGKLYMTEGQEHQSCVTSHDFHNLKRLELVNIPKVKKWCGDGTINLLPHLQSLTISDCPELTKLPLSHSTSCQFQQSVICFPELREITVSNCPKLSSPPIPWTNSLCYVSIEGVDSGFELLNYNKDKQSKSSLNISGKDAPGSMFWNMLDFNNLTELQEMNITKCPPISLDHLKMLTCLKTLQITDSGSILLPVDCENYVQYNLPVEKLIIRSCGTRGRELTHVLSHLPKLSNLLIGKCQNVARLGVAEQRTITTPESSLSPSANKAAKTLTTIPQQQTGEAEEMETATADDGLLLLPPQIKVFEISECRELSLDSGGIHGLLSLQSLEIYDCPKLLCSSSSSYSPFPTSLQTLQLWNVEGMETLPSPLPNLTSLWINSCGNLRGGEVLCDLLAQGNLTSLYVHKTPNFFLGLEHSCSQVDKQEDVHRSWRLQELWTDDFARVLATPVCHLLSSSLTILDLRWNDEVECFTKEQEKALHILTSIEDLEFSRCKKLQSLPTGLSEIPNIKTLGIYGCLAISSLGNLPNSLQQLEISSSISSLDGTTIRSLPKDRLPTTLRVRYCGNEELKRQCRKLQGTIPIVPCRIVKKLGSAFKKRFRLFG >ONIVA12G12290.2 pep chromosome:AWHD00000000:12:13850224:13862068:-1 gene:ONIVA12G12290 transcript:ONIVA12G12290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIGAARWVVGKALGPVTDGVLEAWAASSQLGPNIRALKLELLYAQGMLTSAQGRDVRNPALAQLLLELRHLAYIADDVLDELDYFRIQDELEGTYETVDDAKEEHGLVRGLVLHARHTARAITGKLACSCAASESHVDEQEVDGKQGGFLSVAAHAVSKRLPCCSLPSVHDDASANMPANERCFLCGAWSSKAQQRKHAVHEAKLKFDRVEMSNKMTDIVEQLKPVCAKVATILGLVSLGYSNSAKPQGSDLEQRSKTTPEIIEPELYGREKQKQKVAEDIINEYCVHDLTVLPVVGPGGIGKTTFVQHIYDKVKSHFQVSVWICVSQNFNANKLAEEIVNKIPKSNNEGENESDQERIEKRIRSKQFLLVLDDVWTYHEDEWKALLSPFKKGGTKGNMVIVTTRIPKVAEMVELMSCSIKLKRLQDEDSMELFQACVGIKTWKDYPSDLKDVGTNIVKRLKGFPLAVKTVGRLLRNQLSLDRWTALLKSKEWELQVNEDDIMPALKLSYNYLPFHLQQCFSCCALFPEDYRFSRQELTNFWIGLGLLGAGDQNKIIEDIGLDCLNDLVDNGFFEREGNNYDSPYVIHDLLHELATNVSSYEFLRLNSSDVRSIQIPTSIRHMSVIIDNTHVKDRMTFENHKKDLSSLGKKLKAGNLHTIMLFGEWHGSFYKILGDILRDAKSLRVIFLSGASYNVEDLLPNFSKLLHLRYLRIKDSWMCGANLLPNCITRFYHLLVLDVQYHRGELGFLREMGNLLKLRHFLVHDDNIHSNICEVGKLIFLHELRKFEVKREMKGFDLEQIGQLLELRGSLSIYNLEKVEEIKEADDAKLACINHLDRLVLNWDNSRCNKDPIREGNVLERLKPHNNIRELHVVGHGGATCPNWLDGDFSIGNLQSLHIESVNWDKLPLPGKLYMTEGQEHQSCVTSHDFHNLKRLELVNIPKVKKWCGDGTINLLPHLQSLTISDCPELTKLPLSHSTSCQFQQSVICFPELREITVSNCPKLSSPPIPWTNSLCYVSIEGVDSGFELLNYNKDKQSKSSLNISGKDAPGSMFWNMLDFNNLTELQEMNITKCPPISLDHLKMLTCLKTLQITDSGSILLPVDCENYVQYNLPVEKLIIRSCGTRGRELTHVLSHLPKLSNLLIGKCQNVARLGVAEQRTITTPESSLSPSANKAAKTLTTIPQQQTGEAEEMETATADDGLLLLPPQIKVFEISECRELSLDSGGIHGLLSLQSLEIYDCPKLLCSSSSSYSPFPTSLQTLQLWNVEGMETLPSPLPNLTSLWINSCGNLRGGEVLCDLLAQGNLTSLYVHKTPNFFLGLEHSCSQVDKQEDVHRSWRLQELWTDDFARVLATPVCHLLSSSLTILDLRWNDEVECFTKEQEKALHILTSIEDLEFSRCKKLQSLPTGLSEIPNIKTLGIYGCLAISSLGNLPNSLQQLEISSCPAISSLGNLPNSLQQLEISSCPAISSLDGTTIRSLPKDRLPTTLRVRYCGNEELKRQCRKLQGTIPIVPCRIVKKLGSAFKKRFRLFG >ONIVA12G12290.3 pep chromosome:AWHD00000000:12:13850046:13862068:-1 gene:ONIVA12G12290 transcript:ONIVA12G12290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIGAARWVVGKALGPVTDGVLEAWAASSQLGPNIRALKLELLYAQGMLTSAQGRDVRNPALAQLLLELRHLAYIADDVLDELDYFRIQDELEGTYETVDDAKEEHGLVRGLVLHARHTARAITGKLACSCAASESHVDEQEVDGKQGGFLSVAAHAVSKRLPCCSLPSVHDDASANMPANERCFLCGAWSSKAQQRKHAVHEAKLKFDRVEMSNKMTDIVEQLKPVCAKVATILGLVSLGYSNSAKPQGSDLEQRSKTTPEIIEPELYGREKQKQKVAEDIINEYCVHDLTVLPVVGPGGIGKTTFVQHIYDKVKSHFQVSVWICVSQNFNANKLAEEIVNKIPKSNNEGENESDQERIEKRIRSKQFLLVLDDVWTYHEDEWKALLSPFKKGGTKGNMVIVTTRIPKVAEMVELMSCSIKLKRLQDEDSMELFQACVGIKTWKDYPSDLKDVGTNIVKRLKGFPLAVKTVGRLLRNQLSLDRWTALLKSKEWELQVNEDDIMPALKLSYNYLPFHLQQCFSCCALFPEDYRFSRQELTNFWIGLGLLGAGDQNKIIEDIGLDCLNDLVDNGFFEREGNNYDSPYVIHDLLHELATNVSSYEFLRLNSSDVRSIQIPTSIRHMSVIIDNTHVKDRMTFENHKKDLSSLGKKLKAGNLHTIMLFGEWHGSFYKILGDILRDAKSLRVIFLSGASYNVEDLLPNFSKLLHLRYLRIKDSWMCGANLLPNCITRFYHLLVLDVQYHRGELGFLREMGNLLKLRHFLVHDDNIHSNICEVGKLIFLHELRKFEVKREMKGFDLEQIGQLLELRGSLSIYNLEKVEEIKEADDAKLACINHLDRLVLNWDNSRCNKDPIREGNVLERLKPHNNIRELHVVGHGGATCPNWLDGDFSIGNLQSLHIESVNWDKLPLPGKLYMTEGQEHQSCVTSHDFHNLKRLELVNIPKVKKWCGDGTINLLPHLQSLTISDCPELTKLPLSHSTSCQFQQSVICFPELREITVSNCPKLSSPPIPWTNSLCYVSIEGVDSGFELLNYNKDKQSKSSLNISGKDAPGSMFWNMLDFNNLTELQEMNITKCPPISLDHLKMLTCLKTLQITDSGSILLPVDCENYVQYNLPVEKLIIRSCGTRGRELTHVLSHLPKLSNLLIGKCQNVARLGVAEQRTITTPESSLSPSANKAAKTLTTIPQQQTGEAEEMETATADDGLLLLPPQIKVFEISECRELSLDSGGIHGLLSLQSLEIYDCPKLLCSSSSSYSPFPTSLQTLQLWNVEGMETLPSPLPNLTSLWINSCGNLRGGEVLCDLLAQGNLTSLYVHKTPNFFLGLEHSCSQVDKQEDVHRSWRLQELWTDDFARVLATPVCHLLSSSLTILDLRWNDEVECFTKEQEKALHILTSIEDLEFSRCKKLQSLPTGLSEIPNIKTLGIYGCLAISSLGNLPNSLQQLEISSCPAISSLGNLPNSLQQLEISSCPAISSLDGTTIRSLPKDRLPTTLRVRYCGNEELKRQCRKLQGTIPIVPCRSSSSNFF >ONIVA12G12300.1 pep chromosome:AWHD00000000:12:13867288:13868477:1 gene:ONIVA12G12300 transcript:ONIVA12G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAMNPSEEGKALGMDPSGQGKALVIQPAVNPSGEGEAPVMEEKKKKTTTTKKKTKTMRFTQEQINNCIAYKDVEIPFNDNMPSLLEALGEETLANFPQDLIDKLKAYEDEREAEKASFIEIQNHIRGERDGILNQYYTKGYAEYEVVVDDDGEEDSKVPPRVVAPPGRRRFRNGVTVKKNQSGGGGSILQMPMQVGSTPQTRQYAGDNFLSLACKP >ONIVA12G12310.1 pep chromosome:AWHD00000000:12:13886341:13900879:1 gene:ONIVA12G12310 transcript:ONIVA12G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVPANFGEGGGHGERQWSKGSVVVAAARLGAAGSGSASQCEREHAERQMKLLEAQNLSANASADGEN >ONIVA12G12320.1 pep chromosome:AWHD00000000:12:13904116:13909460:-1 gene:ONIVA12G12320 transcript:ONIVA12G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSYSPALSAFVTNMTTGFQLLIMTSFFQKENGITLKSQNPTKAEQLGMEQASESCLPPLPISLERKDSTASKATKPYNKSNSLFINSGTEKSGFMKSYWPQTTIGAQVPLDFPSTAWGQMQEQHSRCFIQGTEAAEVTPLMEPYMLLLSANAESGNMTR >ONIVA12G12330.1 pep chromosome:AWHD00000000:12:13910236:13915280:-1 gene:ONIVA12G12330 transcript:ONIVA12G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKDTTEDRRAHPSRMEFAVSAARWMVGRALGPVTGELLETWAASKKLGSNIRELKLLLLHAQAMLENAEGRDIRNGALDQLLSQLRDLAYDADDVLDELDYFRIQDELDGTYEAVDDAEEERGLVRGLALHARHTARAIARKLKCTCSASARSHADAEEGRCLPATAVGKLLPCCSPPTVHNDHAAGAKANEQHLQAPKLKFVRVEMSKKMSEIVEQLKPVCDAVDRILGPLQPSGHSKNAKTQCIDLEKRPKTTPTIIEPELFGRKDLKRIVADEIMIGKYRDNDLTVLPIVGPGGIGKTTFTQHIYEEVKNHFQISVWICVSQNFNANVLAKEIVEKMPKGNNEKENESDQEKIEKRIQSQQFLLVLDDVWEYHEDEWKTLLAPFRKSGTKGNMNLEINSYGASGRELTQVLSHFPKLSNLLIWKCQNVARLGVAEQRTITTPESSLSPSANKAAKTLTTIPQQQTGEAEEMETATADDGLLLLPPQIKVFEITECRELSLDSGGIQGLLSLQTLRIYDCPKLLCSSSSSYSPFPTSLQTLDLSKVEGMETLPSPLPNLTSLWINSCGNLRGGEVLCDLLAQGNLTSLSVYKTPNFFLGLEHSCSQVDKQEDVHRSWRLQELSTDDFARVLATPVCHLLSSSLTILVLSCNYEVECFTKEQEKALHILTSIEDLEFYCCEKLQSLPAELSQIPTIKTLWISRCPAISSLGNLPNSLQRLGISCCPAISSLGNLPNSLQQLEISSCPAISLLDSISSLDGTTIRSLPKDRLPTTLREIDVRYCRNEELKRQCRKLQGTIPIVKA >ONIVA12G12340.1 pep chromosome:AWHD00000000:12:13928817:13932933:-1 gene:ONIVA12G12340 transcript:ONIVA12G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKMSEIVEQLKPVCDAVDRILGPLQPSGHSKNAKTQCIDLEKRPKTTPTIIEPELFGRKDLKRIVADEIMIGKYRDNDLTVLPIVGPGGIGKTTFTQHIYEEVKNHFQISVWICVSQNFNANVLAKEIVEKMPKGNNEKENESDQEKIEKRIQSQQFLLVLDDVWEYHEDEWKTLLAPFRKSGTKGNMVIVTTRKQKIAKMVESTDCSIKLDRLDHEDSMRLFQACVFDNKKTWEDYPSGLQKVGVDIVNRLKGFPLAIKTVGRLLRNKLTLDRWTRVYESKEWELQSNDDDIMPVLKLSYNYLPFHLQQCFSYCALFPEDYRFCGQELINLWIGLGLLGTDDQNKTMEYLGLEYLDQLVDNGFFEQVGKEHDSPYVMHDLLHELATNISSHEIRCLNSSTLSSINEIPKSIRHMSIIVDNRHVENRIAFENHKKDLSTLGNKLKAGNLRTIMLFGEYHGCFYKIFGDVLIDAKSLRVIFLSGASYDVGDVLCNFSELVHLRYLRIEDSRMCGASLPNSITRFYHLLVLDLQEHYGELGFPRDMGNLLKLRHFLVHDDNIHSSIFEVGKLNFLHELRKFEVKREMKGFDLEQIGRLLVLRGSLGIYNLEKVEGIKEANDAKLAYLNHLDSLVLDWDNERCNRDPIREGNVLENLKPHDNIRELHIAGHGGVSCPNWLSGDLSIRNLESLLIKYELEINSCGASGRELTQVLSHLPKLSILVIRKCQNVARLGVAEQRTITTPESSLSPSANKAAKTLTTIPQQQTGEAEEMETATADDGLLLLPPQIKVFEIRKWRELSLDSGGIQGLLSLQTLGIYDCPKLLCSSSSSYSPFSTSLQTLDLWNVKGMETLPSPLPNLTWLSITSCGNLRGGEVLWDLLAQGNLTFLSVHKTPNFFLGSEQSCSQVDEQEDMHRSWRLQVLSTDDFARVLAAPVCHLLSSSLTKLSLGWNTEVECFTMEQEKALHILKSIEDLEIWSCEELQSLPVGLSQIPTIKTLRIYDCPAISSLGNLPNSLQQLDISSCQAITSLGTLPDSLQQLEISSCPSISSLDGTTIHSLPTSLREIDVRNCGNEELKRQCRKLQGTIPIVPCRVLIVLLCLHLLLRLGTYYKYTTG >ONIVA12G12360.1 pep chromosome:AWHD00000000:12:13941124:13944313:-1 gene:ONIVA12G12360 transcript:ONIVA12G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELIAVVARAALQWALSSLLLANGAAFCLIAAAASWLRLGPPPCLLCAGVHRLLCSASAAAGADDARGQERVAFRRLLCDAHVAAIAAASEPEKKQQQQDQQKMISASDGAEAENSDKPGAMEGHRVVSIGSEICEQDHAGGDQRSTAGDDGDGDGDGPYVSLFELAPIVARAPQDEDGHAQEVFDDLPAELRRDGDGALTVGGLAAALRAQRRELEAVRAELDGERRAGAEAAEYQRQLEEQGEFDREAVRLAMQLVHEAETEKHALQRQLDAFRVKAQLYDYEAAATAAARDHDAAGDGGGGGNNYQSLVDFLPGSVFSSSPDLANLLKLYTEGNGGGRRLTDAPVPVVTEVVEEEEEEEEEEEEEVAVAAIGGVNSNGNGGAAATIAIAGDSLQEGSSDHLEPTEVSPQR >ONIVA12G12370.1 pep chromosome:AWHD00000000:12:13947993:13950412:1 gene:ONIVA12G12370 transcript:ONIVA12G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:like COV 3 [Source:Projected from Arabidopsis thaliana (AT2G18460) TAIR;Acc:AT2G18460] MAGREREREREKDQLLLIPVAADRAAAAGGHEEETSSLLPVGIVAPGSPPPLPSVILLPIAITFYTTWWFIRVVDGFFSPIYIHLGINVFGLGFATSITFIFLAGVFMSSWLGASLLGLGELFIKKTPLVRHIYSASKQISAAISPDQSSRAFKEVVIIRHPRIGEYALGFITSTLTLRGVADGRRGGGGGGRELACVYVPTNNLYLGDIFLMSRADVIVPDLSVREAIEIVLSGGMSVPQIISAVEGVVGLGGHGRPVKSP >ONIVA12G12380.1 pep chromosome:AWHD00000000:12:13950547:13952565:-1 gene:ONIVA12G12380 transcript:ONIVA12G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33670) TAIR;Acc:AT4G33670] MELRELGATGLRVSPVGFGASPLGHVFGDVPRDVARAAVRRALDLGINFFDTSPYYGGTVSESVLGDCLRAAGVPRDRFVVATKCGRYREGFDFSAARVTRSVDESLARLGLDYVDILHCHDIEFTDLDQIVNETIPVLQKIKESGKARFIGITGLPLSIYTYVLDRVPPGSVDVILSYCHYGINDTALVDLLPYLKSKGVGVISASPLAMGLLTDNGPPEWHPAPKELKLACRAAADHCKKKGKNITKLAMQYSLMNNEISTVLVGMNSPEQVEENVAAAIELSTSGIDKELLHEVEAILEPVKNMTWSSGIEQA >ONIVA12G12390.1 pep chromosome:AWHD00000000:12:13962538:13964337:1 gene:ONIVA12G12390 transcript:ONIVA12G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGEPTLMPVSAMCTPAFVARVVRSRWFVVFASMVVMAASGSTYIFALYSKELRSTLGYNQQTLNTLSFFKDLGTNVGVVSGLVQQVAPTWAVLLIGAAMNLAGYLMVYLALDRRTAAPPVWLMCVYICVGANALTFSNTGALVSCVKNFPESRGIVIGLLKGFVGLSGAIYTQLYLAIYGDDAKSLVLLIAWLPAAVYIFFVHTIRVLPYRRRGDGEELDSKPFFCFLYISIALAAYLLVMIVVQKQVRGFSHAAYVVGAAALLLILFLPLAVVVKEERKNASHLERALQQPPSIAVEHPTPTKEADGEPATSCVGRMFRPPELGEDYSIMQALVSVEMAVLFVVSVFGIGGTLTAIDNMAQIGQSLGYPAKSINTFVSLISIWNYAGRVGAGYLSEMLLARYRFPRPLALTAVLLASCVGHLLIAFGVPGSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLFNFGSAASPIGAYVLNVRVAGRMYDAEAARQHGGVAVAGDKICKGVMCFKRSFLIITGVTFAGALVSLLLVWRTRSFYKGDIYARFKVAPATAATSAAAAAEASSPEVEEKKGTEKNKKDGVHEHVSN >ONIVA12G12400.1 pep chromosome:AWHD00000000:12:13969065:13970148:-1 gene:ONIVA12G12400 transcript:ONIVA12G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAD8] MVFMAELVGPRVYSCCNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGAKEDRQLMTGLHTVADIYCNDCRELLGWKYERAYEETQKYKEGKFIFEKSKIVKENW >ONIVA12G12400.2 pep chromosome:AWHD00000000:12:13969065:13970137:-1 gene:ONIVA12G12400 transcript:ONIVA12G12400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAD8] MAELVGPRVYSCCNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGAKEDRQLMTGLHTVADIYCNDCRELLGWKYERAYEETQKYKEGKFIFEKSKIVKENW >ONIVA12G12410.1 pep chromosome:AWHD00000000:12:13977095:13980997:1 gene:ONIVA12G12410 transcript:ONIVA12G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATPTTNGSFLLGSGLDCGSSDVARMQGVLARVRGPFTPTQWMELEHQALIYKHIVANAPVPAGLLLPIRRSLHPPLGYSGSADSEPGRCRRTDGKKWRCSRDAVVDQKYCERHINRGRHRSRKHVEGQSSHAAKATVPAIAQPPIGASNGKLSGSHGVSNELTKTLATNRMMLDKANLIERSQDYTNQQHNILQNNTKGDNWSEEMSSQADYAVIPAGSLMNTPQSANLNPIPQQQRCKQSLFGKGIQHDDIQLSISIPVDNSDLPTNCNKAQMDHVVGGSSNGGNNTRASWIPGSWEASIGGPLGEFFTNTSSASDDKGKSRHPPSLNLLADGHTTSPQLQSPTGVLQMTSFSSVPSSTVSSPAGSLCNGLLTSGLVNAQTVQTL >ONIVA12G12420.1 pep chromosome:AWHD00000000:12:13985697:13993306:-1 gene:ONIVA12G12420 transcript:ONIVA12G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAE8] MAATLLPTLSPPASRAAAALLLRRAPPFPSPLPAPLLRRLLAPAPSPPLRSSPTFRSLATMPSAAAMPSAAAAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDMRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPKLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRIGAQ >ONIVA12G12420.10 pep chromosome:AWHD00000000:12:13986304:13993306:-1 gene:ONIVA12G12420 transcript:ONIVA12G12420.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAE8] MAATLLPTLSPPASRAAAALLLRRAPPFPSPLPAPLLRRLLAPAPSPPLRSSPTFRSLATMPSAAAMPSAAAAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRSTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPKLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ONIVA12G12420.11 pep chromosome:AWHD00000000:12:13986304:13993306:-1 gene:ONIVA12G12420 transcript:ONIVA12G12420.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAE8] MAATLLPTLSPPASRAAAALLLRRAPPFPSPLPAPLLRRLLAPAPSPPLRSSPTFRSLATMPSAAAMPSAAAAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRSTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFIAEKNGLQLVCPPPKLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ONIVA12G12420.2 pep chromosome:AWHD00000000:12:13986304:13993306:-1 gene:ONIVA12G12420 transcript:ONIVA12G12420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAE8] MAATLLPTLSPPASRAAAALLLRRAPPFPSPLPAPLLRRLLAPAPSPPLRSSPTFRSLATMPSAAAMPSAAAAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDMRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFIAEKNGLQLVCPPPKLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ONIVA12G12420.3 pep chromosome:AWHD00000000:12:13986304:13993306:-1 gene:ONIVA12G12420 transcript:ONIVA12G12420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAE8] MAATLLPTLSPPASRAAAALLLRRAPPFPSPLPAPLLRRLLAPAPSPPLRSSPTFRSLATMPSAAAMPSAAAAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDMRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPKLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ONIVA12G12420.4 pep chromosome:AWHD00000000:12:13986302:13993083:-1 gene:ONIVA12G12420 transcript:ONIVA12G12420.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAE8] MLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDMRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFLLKVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPKLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ONIVA12G12420.5 pep chromosome:AWHD00000000:12:13985697:13993306:-1 gene:ONIVA12G12420 transcript:ONIVA12G12420.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAE8] MAATLLPTLSPPASRAAAALLLRRAPPFPSPLPAPLLRRLLAPAPSPPLRSSPTFRSLATMPSAAAMPSAAAAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRDDAIGEAYDKSARWLGLDMRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPKLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRIGAQ >ONIVA12G12420.6 pep chromosome:AWHD00000000:12:13986304:13993306:-1 gene:ONIVA12G12420 transcript:ONIVA12G12420.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAE8] MAATLLPTLSPPASRAAAALLLRRAPPFPSPLPAPLLRRLLAPAPSPPLRSSPTFRSLATMPSAAAMPSAAAAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQVRYYTMPPASLFSPLTLCFCIIGHAMLCALLIVLFCAYNIWKEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDMRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPKLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ONIVA12G12420.7 pep chromosome:AWHD00000000:12:13986304:13993306:-1 gene:ONIVA12G12420 transcript:ONIVA12G12420.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAE8] MAATLLPTLSPPASRAAAALLLRRAPPFPSPLPAPLLRRLLAPAPSPPLRSSPTFRSLATMPSAAAMPSAAAAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRDDAIGEAYDKSARWLGLDMRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFLLKVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPKLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ONIVA12G12420.8 pep chromosome:AWHD00000000:12:13986304:13993306:-1 gene:ONIVA12G12420 transcript:ONIVA12G12420.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAE8] MAATLLPTLSPPASRAAAALLLRRAPPFPSPLPAPLLRRLLAPAPSPPLRSSPTFRSLATMPSAAAMPSAAAAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRYPTLVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPKLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ONIVA12G12420.9 pep chromosome:AWHD00000000:12:13986304:13993306:-1 gene:ONIVA12G12420 transcript:ONIVA12G12420.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAE8] MAATLLPTLSPPASRAAAALLLRRAPPFPSPLPAPLLRRLLAPAPSPPLRSSPTFRSLATMPSAAAMPSAAAAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQVRYYTMPPASLFSPLTLCFCIIGHAMLCALLIVLFCAYNIWKEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSSSRARLVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATKDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPKLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >ONIVA12G12430.1 pep chromosome:AWHD00000000:12:13997432:13998421:-1 gene:ONIVA12G12430 transcript:ONIVA12G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSPSPASGSSSSSDGGGARRLSELLEEQQEPFSLHLFLLDKGCSPALLDAACWPAAARAMRRRRRRPASALLSVILSKFLPRGAAAAKKVGGKRQQQQQPAAAAAIGDGAGEDDMEDEEEEEEEKQLSPVSVLEQSPFQPPASPAYSKSKQTCTLTSRLLRLRRGGAIAAATWIAQSSLAPRTSSIAEAIVIFRELLAAAYTPALPDHPVNNHTASSPSTSSSSSAAAASRYWEEEEKLEAEIAKVHGLIAAEMAAGWSVCPVGDARRRVGAELAAAVLESLTEEAAAALMLTWSNGRPRCDDDDDDDAIDDDARCVVVAEQSCW >ONIVA12G12440.1 pep chromosome:AWHD00000000:12:14008178:14009717:1 gene:ONIVA12G12440 transcript:ONIVA12G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDEASVSRRPSCCVASRSPGEKAEAVRALRHGVRPAGAPITGRGVVEERRERRRPRRRRAPGKVHGRVGRGWRRPWPRRAPRKNSAGGGVHGRVGRRGRAARAAAFAVASGAGREPRGWEGRRRIASAQVCAWEAWRRRRCLTCLCACFSLGFGISRAVVGLVDDWAATKPRLMGCPLVGFSSFLAREQLAS >ONIVA12G12450.1 pep chromosome:AWHD00000000:12:14008743:14008970:-1 gene:ONIVA12G12450 transcript:ONIVA12G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAARAVLPRRPTRPWTPPPTPDAAVDLPRRPTPPWTPPLASLFHDTSSVFANGRGKRWVEGGVTDETRREERG >ONIVA12G12460.1 pep chromosome:AWHD00000000:12:14012948:14025208:1 gene:ONIVA12G12460 transcript:ONIVA12G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPSDSSHHGIVENSPYRTTQGRNEETGELGASWYFSRKEIEENSPSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAGQRIKQKEIYDQQKELILLAERVVLATLGFDLNVHHPYKPLVEAIRKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRAAQAQPSHGNEAEGSSASVPNQRVSVKSEETPLPHQSKQSSSQHSTGAPGHHGVEHSNLEKQTVDQKMLQNDNGDHGSNKTRSNQSGSRVDFGANDGLHHDKQSMTENKNLPSHGNSSEIRDVNRNGNDGTNVTSLMVNKIDKDKVKAQMEKQRKLKGDVARKVEVIDDDDDLERQLEHDIELAVEDNKIKQERKQSSPHVMHRGDHRNADQVTGNGHLGKQNTPETAQDAPMDDIKEQRNSHGSKHHDSHDTAHERGERDYKRPRPEG >ONIVA12G12460.2 pep chromosome:AWHD00000000:12:14012948:14021907:1 gene:ONIVA12G12460 transcript:ONIVA12G12460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPSDSSHHGIVENSPYRTTQGRNEETGELGASWYFSRKEIEENSPSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAGQRIKQKEIYDQQKELILLAERVVLATLGFDLNVHHPYKPLVEAIRKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRAAQAQPSHGNEAEGSSASVPNQRVSVKSEETPLPHQSKQSSSQHSTGAPGHHGVEHSNLEKQTVDQKMLQNDNGDHGSNKTRSNQSGSRVDFGANDGLHHDKQSMTENKNLPSHGNSSEIRDVNRNGNDGTNVTSLMVNKIDKDKVKAQMEKQRKLKGDVARKVEVIDDDDDLERQLEHDIELAVEDNKIKQERKQSSPHVMHRGDHRNADQVTGNGHLGKQNTPETAQDAPMDDIKEQRNSHGSKHHDSHDTAHERGERDYKRPRPEDYMLLLDDDGWITGFMFETN >ONIVA12G12460.3 pep chromosome:AWHD00000000:12:14012948:14021907:1 gene:ONIVA12G12460 transcript:ONIVA12G12460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPSDSSHHGIVENSPYRTTQGRNEETGELGASWYFSRKEIEENSPSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAGQRIKQKEIYDQQKELILLAERVVLATLGFDLNVHHPYKPLVEAIRKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRAAQAQPSHGNEAEGSSASVPNQRVSVKSEETPLPHQSKQSSSQHSTGAPGHHGVEHSNLEKQTVDQKMLQNDNGDHGSNKTRSNQSGSRVDFGANDGLHHDKQSMTENKNLPSHGNSSEIRDVNRNGNDGTNVTSLMVNKIDKDKVKAQMEKQRKLKGDVARKVEVIDDDDDLERQLEHDIELAVEDNKIKQERKQSSPHVMHRGDHRNADQVTGNGHLGKQNTPETAQDAPMDDIKEQRNSHGSKHHDSHDTAHERGERDYKRPRPEDYMLLLDDDGWITGFMFETN >ONIVA12G12460.4 pep chromosome:AWHD00000000:12:14012948:14021907:1 gene:ONIVA12G12460 transcript:ONIVA12G12460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKVPQVTIATAIVFCHRFYLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAGQRIKQKEIYDQQKELILLAERVVLATLGFDLNVHHPYKPLVEAIRKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRAAQAQPSHGNEAEGSSASVPNQRVSVKSEETPLPHQSKQSSSQHSTGAPGHHGVEHSNLEKQTVDQKMLQNDNGDHGSNKTRSNQSGSRVDFGANDGLHHDKQSMTENKNLPSHGNSSEIRDVNRNGNDGTNVTSLMVNKIDKDKVKAQMEKQRKLKGDVARKVEVIDDDDDLERQLEHDIELAVEDNKIKQERKQSSPHVMHRGDHRNADQVTGNGHLGKQNTPETAQDAPMDDIKEQRNSHGSKHHDSHDTAHERGERDYKRPRPEDYMLLLDDDGWITGFMFETN >ONIVA12G12470.1 pep chromosome:AWHD00000000:12:14022024:14024512:-1 gene:ONIVA12G12470 transcript:ONIVA12G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFKAMAEKAGPSVRKQALTLTDAAASRVRQLLGVRQRAYLRLGVKARGCNGLSYTMNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFIDDPLRSEFVFMNPNSKGECGCGESFMTSTKNKGPAYLMDKCKVG >ONIVA12G12470.2 pep chromosome:AWHD00000000:12:14022793:14024512:-1 gene:ONIVA12G12470 transcript:ONIVA12G12470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFKAMAEKAGPSVRKQALTLTDAAASRVRQLLGVRQRAYLRLGVKARGCNGLSYTMNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFIDDPLRSEFVFMNPNSKGECGCGESFMTSTKNKGPA >ONIVA12G12470.3 pep chromosome:AWHD00000000:12:14024518:14025194:-1 gene:ONIVA12G12470 transcript:ONIVA12G12470.3 gene_biotype:protein_coding transcript_biotype:protein_coding ANSKSAIASFSPSTEAAPPPLVRRRRGATLHPRRKGRRIPCGGCGEASEEAGAGAATSLIKQIGFGSQRRRCL >ONIVA12G12490.1 pep chromosome:AWHD00000000:12:14036903:14040546:-1 gene:ONIVA12G12490 transcript:ONIVA12G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G47670) TAIR;Acc:AT1G47670] MAMAVEGAGAGVVVSEPEVVSIPPTPRGMSTPEGTATPPPAAGRGAATPARRVVEGLRGYLEEVGHLTRLNPQDAWLPITESRSGNARYAAFHTLNAGLGFQALLLPLAFPALGWSWGIISLTVAYFWQLYTLWILVKLHEAVPGRRYNRYVELAQAAFGEKLGMWLSLFPTIYLSAGSATALILVGGETMKLFYQIVCGPICSPSPISTIEWYLVFTSLAIVLSQLPNLNSIAGVSLIGGTTAITYCTMSWVLSVSQPRPPTVSYEPQAYTSLGSSLFSTLNALGIIAFAFRGHNLALEIQATMPSTFKHPAHVPMWRGAKVPQGGMLTALYAFHSHDIPRGLLAATFLLVVFNCLSSFQIYSMPVFDSFEAAYTGRTNRPCSVWVRSGFRVFYGFLSLFISVALPFLSSLAGLLGGLTLPVTFAYPCFMWICIKKPERFSSGWYLNWGLGLLGTAFSLALCVGGVWSIISSGMKFKFFKPPS >ONIVA12G12500.1 pep chromosome:AWHD00000000:12:14050252:14053030:1 gene:ONIVA12G12500 transcript:ONIVA12G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDPPIHGGGGVTAADHRPRRRAAADHRPPEFARCGGSRKRQRERERERGEIILASKIIVRRKSSPSRLRSLRPAPISHLAEQVASSSCVLWIPSKMAGQPSGADKEVINEQMVANMYANMRSEMNQLYSKITELEMEVSEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVVARMNEALEKKKKEITEFELKYKIRIRKADSDTQEEGSMKEGSAQGVLVGPASGQ >ONIVA12G12500.2 pep chromosome:AWHD00000000:12:14049929:14053030:1 gene:ONIVA12G12500 transcript:ONIVA12G12500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTMGPMDKSWFGPNPPMIESPTGPKMGPYTLAHGQRPPDSWRRGSDRCRPPPAPPRSCRPPSTGVRPLRRQPEEAYPLRQQGKMAGQPSGADKEVINEQMVANMYANMRSEMNQLYSKITELEMEVSEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVVARMNEALEKKKKEITEFELKYKIRIRKADSDTQEEGSMKEGSAQGVLVGPASGQ >ONIVA12G12510.1 pep chromosome:AWHD00000000:12:14055928:14059965:-1 gene:ONIVA12G12510 transcript:ONIVA12G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTAIVGATTGVMKPLLSKLTKLLGEEYAKLKGVRKQIKFLRDELSTMSAALEMLADSDQQLNPEMRDWRDKLRELAYDLEDCIDDFMSRVDHDGEKMGFRKFFRKLKKLKARHEIANEIEELKVRAIEASERHKRYNFDQLAHNSSTFGIDPRLSAFYEEVDKLVGIDGPKKRIIELLAMEMKGSLKVVSIVGCGGLAFVSVSQRPDMKKILNDIAEGVEISSRTLAGNDEKKLINILREHLKNKRYFVVIDDLWDAKAWKTIELALLNSNCGSRVITTTRSVAVASCCSSQDGYIYEMKPLSFDDSKWLFLKRAFGYEKSHYPHLEDVLDKILGKCGGLPLAIITISSLLSYQHAIDEWHRVLNAIGYGLARDPYAETMSNILSLSFFNLPHHLKTCFMYLSVFPEDYNIDKRRLVSKWIAEGFIQDEQGRSAYRTGELYFNELINRSLIEPVDVKYGQAKACRVHDIILDYIKCKATEENFVTSLGSTVPGCTTEYKVRRLSVNNSNEEDVNIPTSLDLSQVRSLTIFGNPMQTSVFDFKFLRVLDLVYRDSMGDLFANVEKLFHLKYLRISSHLMDYLQEKIGELQYLETLDIRYTSVKTLPSTITKLQRLARLFISRRTRFSDETTIAQLKNLEEIKEFAVSRSEQVTVLHEFSKLTKLRTLKVTLESPLSLDDYHSCVGTLLQSLCNLYDLCIMDQSDENYCLTLDSWHIASPCSLRKLLIKLVITKVPNWMGVLGNIGVPYLGILCMAPEDIEILGAIPSLLFLKLETLGGTNGRIIIHGNNRFISLKYFSLAIGACGTALEFEEGSMPKVEHLKLDFRLHELECLNGASDLGIQHLSALCMVEVEINCNCFKHTSNFFDDFELKCLNYDLMEETSDCIVRCVARTIKSAVDTLPNHPTISFQINISNW >ONIVA12G12520.1 pep chromosome:AWHD00000000:12:14138398:14139951:1 gene:ONIVA12G12520 transcript:ONIVA12G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDVYGFPNLNKDQVSSADLAVLNEQRHDLTDGNALFAGWMKKDDGDWWLYFGHDNNNLSPVGFWSSSVLTNLADHANVIAWGGYTESTIGNPSPAMGNGQWPGENSASFRDQFVDANGQGYDPAPWPAGLLLLSTNKNCYQVSPY >ONIVA12G12530.1 pep chromosome:AWHD00000000:12:14144317:14151329:-1 gene:ONIVA12G12530 transcript:ONIVA12G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGIKCSGAILISLIRLSKQYAAYFFKARKRAKYGKRTKCMGSLSPCICVNYYMIAKSAAANCQAAEKIYSEGMFEEYGVMVPQASSEVPITDVSLTGTDRYRSLAVKFIRDEAVSKVGLWGPGGVGKTHLLHQFNNLFHKNPAFDVVIRVTASKGCSVAKMLVKKDDTESQAVIIYEFLKSKNFLILLDDLWEHVDLDKVGIPNKVSSIGNYKQKLLLTTRSESVCGQMGVKNGQRIKVDCLDETDAWHLFKENVGTEIIENHPLVLKLAKEVANELAGLPLALIVVGRAMSTKRHPREWQNCIDFLQQSRLNEIEGPVCNEESVFARLKLSYEYLSDTNLKDCFTSCALWPDDYLLDRNKLSEYWMGLGLVEEEDIQRCYNAGYARIRELVDKCLLEETDDDRLVKMHDVIRDMALWIVSNEGRDKNKWVVQTVSHWHAAEQILSVGTEIAELPAISGEQTKLTVLILQDNHLSQNSVTGLCSFISLRYLDLSRNWLKTFPTEVCNLMNLYYLNLSHNKIKYLPEELGSLFKLEYLLLRSNPIREMPETILSKLFRLQVADFCSLQLEQPSTFEPPFGALKCMRNLKALGITINMIKYFNMLCETNLPVRSLCIIIRSKYSDEWKGFAFSDSFFGNDLLRKNLSELYIFTHEEKIVFESNMPHRSSNLETLYICGHYFTDVLWEGVESQDLFQNLRRLDLISCISLTNISWVQRFPYLEDLIVYNCEKLQQIIGSTSNNDNLPNADEKERKSLSQPCLKRFTLIYLKSLTTICDSSFHFPSLECLQILGCPQLTTLPFTTVPCTMKVIHCEEELLEHLQWDDANIKHSFQPFFKVISMNNNSAPQNFLDGLYAEWIYHRFEVR >ONIVA12G12540.1 pep chromosome:AWHD00000000:12:14159729:14160239:-1 gene:ONIVA12G12540 transcript:ONIVA12G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGGGNITAMDPPIAEQTRSLDNEPSSDPHHLLLVGLGFGGNSPPRTSVSGLKHCLLFEAPPHQIDSRQCRIEERPVGIKLIVVAAEELIPARSWWICVDLFAEGVRWMACSGEHLKPKHARKRGRNEEEGK >ONIVA12G12560.1 pep chromosome:AWHD00000000:12:14168709:14172279:-1 gene:ONIVA12G12560 transcript:ONIVA12G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGIKCCGVVLTPLISLAKQHAAYFFKAQKFVRALKTATERLRERVSDVETKVEGAARKGMQPRHEVEGWLKRAEHVCVETETIQAKYDKRTKCMGSLSPCICVNYMIAKSAAANCQAVEKIYSEGIFEEYGVMVPQACTEVPITDISLTGTDRYRNLAVKFIKDEAVSKVGLWGPGGVGKTHLLYQINNLFHKNPAFDVVIRVTASKGCSVAKVQDSIVGEQMLQKKNDTESQAVIIYEFLKSKNFLILLDDLWEHVDLDKVGIPNKVSSIGNYKQKLLLTTRSESVCGQMGVKNGQRIKVDCLDETDAWHLFKENVGTEIIENHPLVLPLAKEVANELAGLPLALIVVGRAMSTKRHPREWQNCIDFLQQSRLNEIEGPVCNEESVFARLKLSYEYLSDTNLKDCFTSCALWPDDYLLDRNKLSEYWMGLGLVEEEDIQRCYNAGYARIRELVDKCLLEETDDDRLVKMHDVIRDMALWIVSNEGRDKNKWVVQTVSHWHAAEQILSVGTEIAELPAISGEQTKLTVLILQDNHLSQSSVTGLCSFISLQYLDLSRNWLKTFPTEVCNLMNLYYLNLSDNKIKYLPEELGSLFKLEYLLLRSNPIREMPETILSKLSRLQVADFCSFQLEQPSTFEPPFGVLKCMRNLKALGITINMIKYFNMICKTDLPVRSLCVIILTKYLDEWKGFAFSDSFFGNDLIQKNLSELYIFTHEEQIVFESNVPHRSSNLEKLYICGHHFTDIFWKGVESQDLFQNLKRLDLITCISLTNISWIQRFPYLEDLIVFNCEALQQIIGSVSNSDNLPNADEKERKPLSQPCLKRFALIKLKRLTSICHSSFHFPSLECLQVLGCPQLMTLPFTTVPCNLKAVHCDQEWLEHLQWDDANVKHSFQPFFKVIPMVNEYLPQKFLHGLYAEWSYYRFEEGEFEEAEDGADQGLDS >ONIVA12G12570.1 pep chromosome:AWHD00000000:12:14177551:14177784:1 gene:ONIVA12G12570 transcript:ONIVA12G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRLSDFGLARLHDHGMDTHTTRVAGTMGYIAPELARLGRANKATDVFAFGVFMMEVVCRRRPNGMVNCQGTHSAP >ONIVA12G12580.1 pep chromosome:AWHD00000000:12:14180440:14182875:1 gene:ONIVA12G12580 transcript:ONIVA12G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPKRPYEKERLDAELKLVGEYGLRCKRELWRVHYALNRIRNAARHLLTLDEKNPRRIFEGEALLRRMNHYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSFHHARVLIRQRHIRRIINVPSFMVRLESEKHIDFSLTSPFGGGPAGRVKRKNMKKASGGGDGGGDEDEE >ONIVA12G12590.1 pep chromosome:AWHD00000000:12:14185518:14187391:-1 gene:ONIVA12G12590 transcript:ONIVA12G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGALPHPRPRLPLRRRRFWGEMAPREDDDFRWDKHDVVGFCSENVEILFYAVYNMSKDIGEKAAVIHNRSIMDHIVELWLSSARAMMEAEWAANNYVPATMEEYMSNAMVYAAFGTFVCPPVYLVGLSEEKKIAMRKMNSVMLCALADGGDGSPKSIEAAKVEIKRVAESSILEMRSLVFREGGPVSRLCKDRF >ONIVA12G12600.1 pep chromosome:AWHD00000000:12:14187332:14189708:1 gene:ONIVA12G12600 transcript:ONIVA12G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPCRIFEGEALLRCMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHACFLIRQRHIRVGRQIVNVPSFMVRLESEKHIDFSLTSPFSGGPLAE >ONIVA12G12600.2 pep chromosome:AWHD00000000:12:14187324:14189661:1 gene:ONIVA12G12600 transcript:ONIVA12G12600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPCRIFEGEALLRCMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHACFLIRQRHIRVGRQIVNVPSFMVRLESEKHIDFSLTSPFSGGPLAE >ONIVA12G12610.1 pep chromosome:AWHD00000000:12:14194109:14194838:-1 gene:ONIVA12G12610 transcript:ONIVA12G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTTRPLPLFLRRGGLSGHRGRGGSIVVREAWVRQLWRRDEDVEEAPHGGGPHRRERGKKRPTNQLPPSRRRTWAGARPSLPWPDADEASDDSPSASSPAAQGPRLTLWRGRSTSRRRGAPAGEREEEASEPVTTVSYPHAGGNSAPHPAAGETFDGSPNTVAWMVMGRLADASAYNSASLALCLCSCGAGIVVGHQRGCSGRVPPWRRRAVVGGASRAA >ONIVA12G12620.1 pep chromosome:AWHD00000000:12:14195495:14199429:1 gene:ONIVA12G12620 transcript:ONIVA12G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPWRPYEKERLDAELKLVGEYVLRCKRELCRVQYALSRIRNSARHLLTLDEKNPRRIFQGEALLRRMNRYGLLAEGQNKLDYVLALTNENFLARRLQTLVFKAGMAKSIHHTRVLIRQRHIRLITMLITLWFGLVRLESEKHIDFSLTSPFGGGPPSRVKRKNMKKASGGGDGGGDEDEE >ONIVA12G12630.1 pep chromosome:AWHD00000000:12:14212708:14236899:-1 gene:ONIVA12G12630 transcript:ONIVA12G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSICSMGQIPRISPHSYGMLPKQMSKGHPSMITRGGVEKGEVGGNVQSLQVMHSKELQAKIRKQLQRIELSPSLYDTAWVAMVPKRSSSQAPCYPQCIEWILQNQHDDGSWGINSSSLSVNKDILLSTLACVVALKKWNAGSYHIRRGLNFVGRNFSVAMDVQNIAPVGFNITFSGLITLASGMGLQLPVWQTDIDEIFHLRKIELERDAGGTISARKAFMAYVAEGFGNLQDWDQVMAYQRKNGSLFNSPSTTAAAAIHSFNDRTLNYLDSLTNKFGGPVPAMYPQNIYSQLCTVDALERTGISQKFAREIRDILDTTYRSWLHNEEEVMLDIPTCAMAFRLLRTHGYDITSAKLLKQQLLSNKLSTSAQSEVEYVLKFPLHSTLDRLEHRRNIEQFKVEGSKVLKSGYCGSHSNEEILALAVDYFHSSQSVYQQELKYFERLDELKFARVMPLIVHFISTATMFAPELADARMVLSQTSLLVTVYDDFFDCPETSREEKENYIALIEKWDNHAEIGFCSKNVEIVFYAVYNTYKQIGEKAALKQNRSIMDQLVEDLVSSAKAMMVEADWTATKYIPATMEEYMSNAEVSAAFASFVCPPLYFLGLKLSEEDVKSHEYTQLLKLTNVIGRLQNDSQTYRKEILAGKVNSVLLRALTDSGNTSLESIEAAKDMVNSDAESSMVEMRSLVFSEGGPIPRPGKDRFWEMCKIVFYFYREDDAYLTPKEMMSSARAVILDPLRQLIIPCD >ONIVA12G12630.2 pep chromosome:AWHD00000000:12:14204327:14236899:-1 gene:ONIVA12G12630 transcript:ONIVA12G12630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSICSMGQIPRISPHSYGMLPKQMSKGHPSMITRGGVEKGEVGGNVQSLQVMHSKELQAKIRKQLQRIELSPSLYDTAWVAMVPKRSSSQAPCYPQCIEWILQNQHDDGSWGINSSSLSVNKDILLSTLACVVALKKWNAGSYHIRRGLNFVGRNFSVAMDVQNIAPVGFNITFSGLITLASGMGLQLPVWQTDIDEIFHLRKIELERDAGGTISARKAFMAYVAEGFGNLQDWDQVMAYQRKNGSLFNSPSTTAAAAIHSFNDRTLNYLDSLTNKFGGPVPAMYPQNIYSQLCTVDALERTGISQKFAREIRDILDTTYRSWLHNEEEVMLDIPTCAMAFRLLRTHGYDITSAKLLKQQLLSNKLSTSAQSEVEYVLKFPLHSTLDRLEHRRNIEQFKVEGSKVLKSGYCGSHSNEEILALAVDYFHSSQSVYQQELKYFERLDELKFARVMPLIVHFISTATMFAPELADARMVLSQTSLLVTVYDDFFDCPETSREEKENYIALIEKWDNHAEIGFCSKNVEIVFYAVYNTYKQIGEKAALKQNRSIMDQLVEDAMMVEADWTATKYIPSTMEEYMSNAEVSAAFGAFVCPPVYFLGPKLSEEDVKSEEYTQLLKLTNVIGRLLNDSQTYRKEILAGKVNSVLLRALTDGGDTSPESIEAAKKVVKCFAESSMVEMRKLVFSEGGPIPRPCKNRFWEMCKIVFYFYRENDAYLTPKEMMSSARAVILDPLQLTHSPSCLGTLSL >ONIVA12G12630.3 pep chromosome:AWHD00000000:12:14204329:14212705:-1 gene:ONIVA12G12630 transcript:ONIVA12G12630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTMLLSSSCSMGQFPRASPHSHGMVSRHFRKGPPPKVTRAATGVEKRIEFEGNVGSLQVMHNKELKANIRKKLQGVELSPSLYDTAWVAMVSERGSPQAPCYPQCIEWILQNQHDDGSWGINPSSLSVDKDILLSTLACVVALKRWNVGPYHIKRGLNFIGRNFSVAMDVKTIAPVGFIITFSGLISLAAGIGLGSLQDWDEVMEYQRKNGSLFNSPSTTAAAAIYSFNDKALNYLDSLTNKFGGPVPAMYPQNIYSQLCTVDALERTGISRIFMGEIRDILDTTYRCWLHNEEEVMLDIPTCAMAFRLLRTHGYDITSDEMAHFCEQSSFDDSIHGYLDDTKTLLELYKTSQIRFSREDLILENIGNWSAKQLKQQLLSNKLSTSARSEVEYVLKFPLHSTLDRLEHRRNIEQFKFKDSQVLKSGYCGSHSNKEILALAIDDFHSSQSVYQQELQYFESWVRQCRLDELKFARVMPLIVHFISTATMFAPELADARMALSQTSLLVTVYDDFFDCPETSREEKENYIALVEKWDNHAEIGFCSKDVEIMFYAVYNTYKQIGEKAALVQNRSIMDQMVEDLVSSAKAMMVEADWTATKYIPSTMEEYMSNAEVSAAFGAFVCPPVYFLGPKLSEEDVKSEEYTQLLKLTNVIGRLLNDSQTYRKEILAGKVNSVLLRALTDGGDTSPESIEAAKKVVKCFAESSMVEMRKLVFSEGGPIPRPCKNRFWEMCKIVFYFYRENDAYLTPKEMMSSARAVILDPLQLTHSPSCLGTLSL >ONIVA12G12640.1 pep chromosome:AWHD00000000:12:14278896:14281011:1 gene:ONIVA12G12640 transcript:ONIVA12G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDDDRWEDLPMDLLTEILLRLPPISGRRRVRLVCSRWRDAVDELEPETTAHSRAKPLTFLKRSVESGRPATARVFDDLAGGGLGGSREIWNGGESRSSPDIVGTCNGLLCLMQRGGEITLVNPVTGESLAIPPPPPPPRCRNHTAAAPEAERLSFSYHPLTGRYSIVHFPAAFCYGGGDNLADPAVEVLTLGGAGASASWREVAARPARGAASLRASVDGATYWVTKVDARIMSLDHEHERVTPVPPLQPAIAGELDEVAGRLGVAITSNHKTTASKYNNVEVWVLDGACARGKMIWSHRYTIQGLQYHQEIAWPHFAYGENVLTILDSGHLRNQLYTHWLWPHKNRTSVATGDVVKGTLVEEFVIDPENVKMFSVINPEINVRMFSYVETNEPLNIYKEVCMDC >ONIVA12G12650.1 pep chromosome:AWHD00000000:12:14287223:14287750:-1 gene:ONIVA12G12650 transcript:ONIVA12G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPATNCSAVLLVVLVAAGTANAAIFTITNQCPYTVWPAATPVGGGVQLNPGDTWTIDVPAGTSSGRVWGRTGCSFNGAGTGGCATGDCAGALSCSLSGQKPLTLAEFTLAGSAGGSQQLDFYDVSVIDGFNVGLSFSCSSGETLTCRDSCCPDNTKLRHCNANSNYQVLFCP >ONIVA12G12660.1 pep chromosome:AWHD00000000:12:14289163:14289763:1 gene:ONIVA12G12660 transcript:ONIVA12G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWTKEPQIQGWWGSAMVALKESTSKAKRRWRRWWHRGWLRLDTAGLDNVNDRWRACDGSEGHNYPTGLGDGGDGDSDEGGPAKNLVLHVGVKPLGKIVYFHMPWIIGDGTDLMLKLDSEYYV >ONIVA12G12670.1 pep chromosome:AWHD00000000:12:14302959:14305461:-1 gene:ONIVA12G12670 transcript:ONIVA12G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGASPPVGGGTQLNPGQAWTINVPAGTSSGRVWGRTGCSFDGSGRGSCQSADCGGALSCTLSGQPPLTLAEFTIGGSQDFYDLSVIDGYNLAMSFSCSSGVTVTCRDSRCPDAYLFPEDNTKTHACGGNSNYQVVFCP >ONIVA12G12680.1 pep chromosome:AWHD00000000:12:14308962:14313964:1 gene:ONIVA12G12680 transcript:ONIVA12G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCMFASSNNTLMTLGIIPLLLSEMAVRIFSALIITRWPPFHHVRLNRARKLLAIAMAMVMAVSGVLSAGVAAELGTMASLVVMFQLFLGGMIAIYLDELLQKGYGLLSGVSFACIFWKAFTAEDPLLHWAAIIVFFKLVLQLQSCHITLPAVTSPDDPTLQTTYTISPSYMAYVPILFQPAFFSFPLVSISQTLSIKYGETNRVVNLLGKWQQMCKYPGYYVPVARIASYIVTGDPGSLLYAVFLLTGCAFLSLFCFKVCAKSSKRYLVRLVGKPKQTRLSPDDEQLPDEDESISPKQCRRYMTIAAIFVGFCVGFLSLLAGFLGLDGPAIMLAVTVIHSVVQDHSESDGIRAKVYKHTRLVSSLFLSIIMFDHRSPMAGGEGFFVDVQVDAVRAVVMTPAAGGNALRLLVQEQEQRAGGRRRTDGGDSEEDDGGDCLRPYEPIIICCAALVYLALWLCGLLLFCRSVQFLI >ONIVA12G12690.1 pep chromosome:AWHD00000000:12:14315745:14316059:1 gene:ONIVA12G12690 transcript:ONIVA12G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDLLVVAPAGSQEEQRDWRTNDDDVDYCDDYGMLNFQPGFDGSDDDDDGFPYVTITMCLVIVFLAMCLYGLSKLVLPYVPATWIHRGFLILRMHIVILSS >ONIVA12G12700.1 pep chromosome:AWHD00000000:12:14318222:14318587:1 gene:ONIVA12G12700 transcript:ONIVA12G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDVLVVAPGGGRDALLLVAQESAWRNTDGAGDGDDDDYGMLNFRPGLDGGDDDGFPYEMITMWLVVVFLAMCLYGLSKLVLPYVPASWIIHRGFLIDRYPAHAHYCCFEFFRMGKLY >ONIVA12G12710.1 pep chromosome:AWHD00000000:12:14324047:14324394:1 gene:ONIVA12G12710 transcript:ONIVA12G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDLFVGDDVVVHAAVAPPPAIGDDDDDYGMLNYRPADCDDGDGDGGGFAVYEPMIIVFTVVVYLAVWLYGLSKLLPYVRARLHLLRLPDYYCIYAHLFWTGLIGLIISPRD >ONIVA12G12720.1 pep chromosome:AWHD00000000:12:14326118:14327231:-1 gene:ONIVA12G12720 transcript:ONIVA12G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVRSRANASSGIGVAAECKQTFLELQRKKSHRYVIFKIDDKCKEVVVDKTGSSTESFDDFMDSLPESDCRYAIYDFDFVTEENCQKSKIFFVAWSPSVSRIRAKMLYATSKERFRRELDGVHYEIQATDPSELDIELLRDRAH >ONIVA12G12730.1 pep chromosome:AWHD00000000:12:14334044:14335027:1 gene:ONIVA12G12730 transcript:ONIVA12G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLKRRIPGRLGRLLASLRPPSRAGPLPVQTGFPTSLADLLVKNHDRLLLTKPRRRRRPTPPTTTTATASVAAADVETPLPSGREELEEEKVGVVAVRLRPELLGVGAAAALALMVIWSRWLVAAVTVASLSLFWIESVRSSSRRRLRPPPAAETAAELPDLRGRGVVSPIREAAAAATPRPSSADSDVGSEVSVSVSVSSIWTDGDEMSQLVVVGGGDSTTHPTKRKEKRRWLRKLIAKRKEKKDSSVVSSSHGELNQPDAGEQQQPSPPPTIAEASPADGRRGGALPVAAFVPVVLVGLVGGKLPAVALTVICAVFFSSVERR >ONIVA12G12740.1 pep chromosome:AWHD00000000:12:14339689:14343174:1 gene:ONIVA12G12740 transcript:ONIVA12G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTLSEVALMVVFGICLWTLIETNTAHYLLHGIHHKHPTDGLRLVFPPAAAAILCFPFWNLIRLITTPTTTHGVFGGGLLGYVMYDCTHYYLHHGQPSSDPGKHLKKYHLNHHFRIQNKGFGITSTLWDHVFGTLPSTKTIDKKSS >ONIVA12G12740.2 pep chromosome:AWHD00000000:12:14340461:14343174:1 gene:ONIVA12G12740 transcript:ONIVA12G12740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSFLNGSADLSPIQQKMVAEAFTVDLNEPLVFQVGHLGEQYQEWVHQPIVSKEGPRLFANDVLEFLTRTEWWAIPLIWLPVVCWCLTKSVEMGHTLSEVALMVVFGICLWTLIETNTAHYLLHGIHHKHPTDGLRLVFPPAAAAILCFPFWNLIRLITTPTTTHGVFGGGLLGYVMYDCTHYYLHHGQPSSDPGKHLKKYHLNHHFRIQNKGFGITSTLWDHVFGTLPSTKTIDKKSS >ONIVA12G12740.3 pep chromosome:AWHD00000000:12:14340461:14343201:1 gene:ONIVA12G12740 transcript:ONIVA12G12740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSFLNGSADLSPIQQKMVAEAFTVDLNEPLVFQVGHLGEQYQEWVHQPIVSKEGPRLFANDVLEFLTRTEWWAIPLIWLPVVCWCLTKSVEMGHTLSEVALMVVFGICLWTLIETNTAHYLLHGIHHKHPTDGLRLVFPPAAAAILCFPFWNLIRLITTPTTTHGVFGGGLLGYVMYDCTHYYLHHGQPSSDPGKHLKKYHLNHHFRIQNKGFGITSTLWDHVFGTLPSTKTIDKKSS >ONIVA12G12750.1 pep chromosome:AWHD00000000:12:14343748:14347726:1 gene:ONIVA12G12750 transcript:ONIVA12G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAJ3] MAGGSADAVTKEMEALLVGQNPSVVSGETCQTSSKEGKVADSNGSHSSPPEDDEDEAQGDGPSQDGGSEAAKKKKKKSKSKKKKGPLQQTDPPSIPIDELFPSGDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVHRQVRKHMRSILKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLQASKDATNTGVKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQIKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDVGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >ONIVA12G12760.1 pep chromosome:AWHD00000000:12:14351504:14352181:-1 gene:ONIVA12G12760 transcript:ONIVA12G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATSSAILVVVLVATLAAGGANAATFTITNRCSFTVWPAATPVGGGRQLSPGDTWTINVPAGTSSGRVWGRTGCSFDGSGRGSCATGDCGGALSCTLSGQPPLTLAEFTLGTSGGNRDFYNLSVIDGYNVAMSFSCSSGVTLTCRERSCPDAYQYPSDDSKLRSCNGNSNYRVVFCP >ONIVA12G12770.1 pep chromosome:AWHD00000000:12:14397699:14427701:-1 gene:ONIVA12G12770 transcript:ONIVA12G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSILDGLPTDAFVEILLRLPPSARRRSRLVCRRWRDVVDARTPEGQSRRAKALVFFFNRSSHSPEPRCSAHVFDDLSPPSSSGREIWNSGTETAAELAMVGCCNGVIALWEEGTGRLTLVNPSTGETLAIPPPPRLPPKRRRRRAPPVVSCLSFGYHPITGKYKIVHLPADDAMAAASSSSWCSPFDVVEVFTLGDVGVAGDGATWREVAAPPGSSCDVRLGVVSLDGAAYWVAADNAVMSFDLEHERVAAVEAPLPAMPLGTWLGALAVVGGRLGVAVMGCADSYPTTTIVEVWVLEGGRSGDEEEQRTWSRRYSIQGLAPGWQRMVWPYFCHGEHVLTSDARTRRRSAHAHRLHRLRDGSRLALAHLATADTAAAPMYDVQAFPYVGTTEPLGEYAPPDGVANIDELDPESLAPAMDDDDDRWGDVVPTDVLWEILRRLPQISGRRRLRLVCRRWRDVVDEVEPEVQRRRAKPLVFFKDGRYEPASAFLLHDLAGDDCDVTSLSLFREEEDGGGDDRDFFARYNNDDMVGSCNGLICLWFDRDPLYYGSIVVINPVTGESLNIPSPPTAIRAGTISFGYHPTTGKYKIVRFPSNGGVVDDVTLGYSAAASSSSSSSSPSRHGRGGHGDGVVDVLTLGDDTAGVDGATYWVTEGREIMSFDLEHERVAAVAPLPAMSMCRLPVSMAKEDACCQLTDVGGRLGVSIAIHRRNSFRIEVRILEGRSDKQRWSQWRTIQGLQPNQMIGRPYFAYGKERLNIVYRHLPCSLKAGSILSRAIEGTPVAKFKTEELRMFSYIETSEPLNIYKEEEDKKDKKDKKEEVEESSSSSSSSSSSSSSSVEEEEEEEEEEEFDEDEDEDDEEEEEEEEEEEVAVWILEGRCDKQRWRHWRTIQGLQPSQKIGQPYFAYGKWVLTNIYRQMFNERLSNIVYRHLPCSLKAGSILSRAIEGTPVAKFKTRELRMFSYIETSEPLNIYKEEDNKDKKEEAEESSSSSLKYQEEEEEENNEDEDNEEEVAVRPAAAPPRVPAVARRRRRGRAGGAAEARKAAGLICLWLDRAYSGGCGVFVLNPVTGETLHIPPPPLETMATSSHRRRREGPLSFGYHPTTGKYKIVHFPSNGRRVDDVTLGGTTSGAAAAASSFPSRHGGMVNVLTLGDGAASTWRAVGTPPWSLCVAWGVVSVDGAAYWVAEGREIMSFDLEHERVAAVAPLPAMSKCRLPVSIEVWLLEGRGGKQKWSKWRTIQGLQPTQKIGRPYFAYGKCVLTNIYREIVPALAMLPQGWEYYLKGNIEGTPVAKFKTRKLRMFSYIETIEPNTPSNQHVYAAAAMDGDDDRWGHVHRDIFGEILKRLPSISRRRRIRLVCRRWCDGVDEMEPEMAATMRAKPLAVLKDSRRRTLSAFVVDSLPPAPRRATTRCIFQHVDDGGGAGGHHRHRYDRWPNNVGDQVVGTCNGILLLAHCRYVGSHTLVLLNSATGERIVVQPPLKAKEILGGSAALSFAYHPLTGEYKVVHLPVSNWKRALAVAEVLTVGDGDSGAMSWWQVPAPAGSTCYLSWGVVSVDGATYWVGKGGKIMSLDLEHEIIAEVKQLPPMMEAHNVSSPPPPTTTATMGVDNGGCHLTEVGGRLGVAIATSQRGTTDVESIPFALAACNPRLGHGGHAAPFVHAPPCALVAGGRSPESLRITPVRHRAIPGRAQPWRRALGAAFRSAGGWKSTLKLYRRCGCYMDEETSNTGSAGGACKGSSTTERLGIHALLWANMS >ONIVA12G12780.1 pep chromosome:AWHD00000000:12:14438255:14441151:1 gene:ONIVA12G12780 transcript:ONIVA12G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDTEMERLLDEIPMLHHGDLLGRRDAAGDDGGGGGGGGFDVSCLIRELAEMGVVEGDDDDGMLSSPPGFFGGGGGGGGLSPTSSLCFVGQDAGFTAPSRPFSLERRRVDAPPPTLPSSLFDPFAGFCLFDATAAAGADSDGWDVRCSPPPPPPPPQAPPARGRSKAARRKGGCAAPAAAAAAAAAASPTKKSAAAASAAAARYESLAGLRGFMYHIARDQHGCRFLQQRLDDGKREVDFIFAGVARHAVELMVNPFGNYLMQKLLAVCDDEQRMAIVLTLTKDPFVLVRISLNVHGTRAVQKLIESLRTREEIQLVVEALRPGFLELIKDPNGNHVVQRCLQSFDANDNKPIFEAAAVHCLDIGMQCHGCCVLQRCIARSGGEQREKLVAAIASNGFELAQDAYGNYVVQYVIDLKVPTANASLTKQFQGRYIHLSMQKFSSNVVEKCLKVFKEADKATIILELLAVPHFEQLLQHPFANYVIYSAIQNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK >ONIVA12G12790.1 pep chromosome:AWHD00000000:12:14444041:14444277:-1 gene:ONIVA12G12790 transcript:ONIVA12G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGQRPWMAGSMRQWPQRGGLDATGGRSGIDATGGRGERPSSMRRRRGGLDATAMQQMEERRRGRHGGTCYWSSGQ >ONIVA12G12800.1 pep chromosome:AWHD00000000:12:14444404:14445452:-1 gene:ONIVA12G12800 transcript:ONIVA12G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAJ8] MAFLGEIGLYDGIVGTSWYRTSSLKRYRGWEGLVQIESRALCEVVLDSIIGEHEVSLAAKQSIAARVSQLLKAESTSDVAPAAAAEPALVSA >ONIVA12G12810.1 pep chromosome:AWHD00000000:12:14445589:14448025:-1 gene:ONIVA12G12810 transcript:ONIVA12G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNHQVTFSKRRSRILMKAREIYICKKPITWNCNTNSNKAQQQSCIRDEQIEVQDLISSSAKLKSGSSSGDSGATTVDRRLAAVAHWESNPAVRIHQASA >ONIVA12G12820.1 pep chromosome:AWHD00000000:12:14454507:14454731:-1 gene:ONIVA12G12820 transcript:ONIVA12G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAKEAATFGGGRRRPPPPPPDHADGRWTAPRRCSPRRASRAGEGEEADVGHHDTTPAPTDAGAATASDTGR >ONIVA12G12830.1 pep chromosome:AWHD00000000:12:14454792:14455184:-1 gene:ONIVA12G12830 transcript:ONIVA12G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRHSPPPRRHTSSSPPHRYVEPPHRRTPLSPPRHCRCKNNRRRRAGGSVPPNLVEARSDTQQSGDGGRGARRSGRGAKAAATWIWRGGGGKEEATRIWHGAGGKEAATQIWRGGVVVVTVGGGRRRR >ONIVA12G12840.1 pep chromosome:AWHD00000000:12:14459832:14460805:1 gene:ONIVA12G12840 transcript:ONIVA12G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLPTDAFIEILLRLPPFTRRRFRLVCRRWRDLLDERTPRIHARAKPLAFFTRGRHAAQPGSSSAYVYDDDDLSGEFVCCRQVWCGGAAAAAGLVMFGCRNGILAMLDERTGDITLANPATGETLAVPPPPRLPRNCAFHRAAVGFGYHPTTGKYKVVHLPPLRGEASALGVVEVFTLRGSNATWREVVVAPPPAGSSCDVDCGLVSVDGAMYWIAMGGAAAAIMSFDLEHERVARVTSLPAMARRKLAAGRCCHLTVIRRRLAVAITASDVTVVESDTPTVEIY >ONIVA12G12850.1 pep chromosome:AWHD00000000:12:14504321:14504630:-1 gene:ONIVA12G12850 transcript:ONIVA12G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAIDSEPTAIDLFKELHCSKTKCFSEPVTKAIEDMHAQEVLTSPSVEDG >ONIVA12G12860.1 pep chromosome:AWHD00000000:12:14529175:14530753:-1 gene:ONIVA12G12860 transcript:ONIVA12G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGTSRGSVSSCWAGGRERERMQPPVPYREGPLDYEPPLFCDYKVKAARWISWSPSNPERRYFTCYNARFGGCDFWEWHDPESDPYWKQLLLDLRNAVWTAREEINGLKAHLQDSRNEALKNRAVSRSKESNELESLRAALEQIEATNCVLVDRITQQQKCMNMLMYALAFVVVVLVGAMSILGHNMPSEDLLTFNFFLDCSTATIPAVADVLFADALGEVLVCATVLVFATFLGEVLVFATFLGEVLVFAIVLGEALALVDVDALAICCIRTK >ONIVA12G12870.1 pep chromosome:AWHD00000000:12:14554111:14555898:1 gene:ONIVA12G12870 transcript:ONIVA12G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDRGFLLLMWFLNPLGPEQLFRLKKSLTPSRILFLIPNTLPFFLHKIDHDSGRNLHPDSSPKAGSSGSLKCSNWATGHSRPNFRSRVRCNACFAYAHKARFCLAQRQNPGSASQESVVKTGPPAVPLALAPFTALVLPRRNAVYDSLPPWFNMRTMRMIWTMTWALWAKMRSLMFSLRQFQSHWTRLSPRARQE >ONIVA12G12880.1 pep chromosome:AWHD00000000:12:14585563:14587677:-1 gene:ONIVA12G12880 transcript:ONIVA12G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAFPTDRSSRIIVTTGVQSVATECSLGGFVYRLRGLNEKDSDELLWKLVKCERHELPRDVQSHSGDIVRKCDGLPLALVGVAEYVRPKLRALRQGKLHCRDIARDLGMYLADGRYTAFQRARRALVDSYEGLDSHGLNLKTCLLSASMFPRKRGVRRKSLVRRWMAERLVHYDDKDGRPVNIVALEIFEELVDRIMILQGADEGGGNHPAVLVGEPGSTVKKTNKCSVHGVLLDFLLHESATKRFARLIRNGERLDVAAINSNPARRLAVVHDIDTDSASGDTSRRAKLAVMVNGLDLSRVRSLTVAGTVLSDSDLKGCKLLRVLDLDGCTGVTDGVLRTICKLKVLRYLSLRGTDARVLPPEMAELRFLETLDVRDIVMPGAEVRVPSDDVFTVTLPWDVLRLPYLKYLFGAFELPRDIPRRRSAPWTTAARASDDAGQLDTLAGLFIGNGRTELKCLETLLGQMNRLKKLKIWWKWNKKGVTAEPPRDLPTAEITQLLVKFLKKRCFDLDSLSLDFGDQSLDFLNFPMDIHVPCKLRSIKLRGKLSSLPGIIIKIPSDHALTKLYLSSTGLSCEALLVLQRLPYLTYLKLVENSRGDFTDGRLTLNAGGFPSLRGLHVQAPKLPGVEIVAGGEGEAVAMQHLTTLQLLCGDVSGFQAADIDRFHHLEQVVLLKSSLDEGATKAWEAARKNHMNRPRIDTV >ONIVA12G12890.1 pep chromosome:AWHD00000000:12:14589919:14590838:-1 gene:ONIVA12G12890 transcript:ONIVA12G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIPTIISLATKIHELVKKDGEIEDKLKRGLANIKNELDMMNSVIMDKSSKAGAIDEQLVVKLQDLAYDVEDAIDLMVIDTNKTNKAPMHLGRVLRIVGIGDRRSQYILNIDDYQKRIDDLTNKWKDFFKNGSSAAASCPQGQGQPSGDGTSADAANRAASAVVVGGRHHLPPVGIEDPKKDIIDLLRHVDGHPVKLRVISIVGFRGVGKTTLAWAVFKEHGSLGEPPFDCQAWVQVAGQPAAAAHDESTNKSNAARLLKETLCQLRPETNPSDIIIRPNDDTALSYTILTLCDTIRTFLVGKR >ONIVA12G12900.1 pep chromosome:AWHD00000000:12:14591832:14597543:1 gene:ONIVA12G12900 transcript:ONIVA12G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPRVTSSMGVMFNLLSKLNTSMDQPGFKDVRGDLISLKDELGNMKALMHKFATQDESMDLQVKEWMRQVREVGYDAEDWIDSHPPVSSAEARGTRLGGGFFSRNSRRRKLAELIKELKDRVKEASKRRSRYLIREDWDDAAVDNDEPVDLGPSNVTVDRQLLYGLDGSMLVGTNAPVSELVGKLQAGGEQRFRVVSIVGAGGLGKTTLAREVYRTIHGEFDCCAFVSVGQNPHITAVLLNMLHQLDPQQRLVDDADQQPMDEPTVVGKLREFLEEKRYFIVVDDIWSASTWRDIKCALPENSTRGSRIVTTTRMNDVAKSCSGRPIDFTHLMKPLNEADSKQLFSSRLQQFLPELEACDEAETTAVSEEKLFRHVWKICGGTPLAIIVMAGMLNRKSPDWFDHEDNVVEALQKYPALQGMRRTLRICYSDLTLPVKTCLLYLSIFPEGAAMEKKRLIWRWIAEGFIPDAEGDKATAPWETAESYFNDLVTRRLIQPAESGDAVRVTVHNVVLEFISSVAGEENFVTSQVMLRSKPRDVVRRLSLNGGGGDQQGDVDGAESATEQEAPVNLSQLRSLTVFGALAKSMMSSIVYLQLLRVLDVRDATGLKDEHIQGIERLFFLRETDITELPEAIARRCNQLACLLARRLAVGEGMESLVQLQELSMVSVTDAASLDRMTKLVVSLNKLRKLGVSWSFDGAGDQDAMAALDGGKQLAASLQQIEKCGVESLLLDVVVGDGESKTRCWSLDLLVESWAPPRRLQKFTMRSPHYYFPKAPPKMACHESLSHLEISIAELRKSDVDVLCSMPSLFYLKLSTRASPLEAETVVITSEGFQCLQVWWFKCQDGGLGFDFGDGAMAQLLKLDLHFTPAKKAKLPGISNLSSLRQLHATVCCGKDDSEFKAAEETIKQLVSNHSNNPTWEVTMEFDKPTAAI >ONIVA12G12910.1 pep chromosome:AWHD00000000:12:14606899:14608113:1 gene:ONIVA12G12910 transcript:ONIVA12G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRLVASLCSAGAVLAVGLCVVIACLVVRRKRRKMKDMEEAGLFDDEDIGNVFDNATGPKRYRYSELAIATDDFSDDKKLGEGGFGSVYKGFLRDLNLDVAIKQVSKNSKQGKKEYVSEVRVISRLRHRNLVQLMGWCHGGGNLLLVYELMPNGSLDAHLHCTTGSKLPLPWMVRHEIVLGVGEALLYLHQDWEQCVLHRDIKTSNIMLDASYNAKLGDFGLARLVDHARGTRTTVLAGTLGYMDPECVIIGKACVESDVYSFGVVLLEVACGRKPAVVLPDDDDDSAVIHLAQLVWDQYYGQGRLLDAADPRLNGEFDEQEMERVLVVGLWCAHPDRAMRASIRQAVSVLRHEAPLPRLPAKMPVATFLPAVDRRSLVGSVDHSSSSAPAVPPAELLHNMNI >ONIVA12G12920.1 pep chromosome:AWHD00000000:12:14626835:14629391:1 gene:ONIVA12G12920 transcript:ONIVA12G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPLPYPPARSIIAIVIVTCRRRSCLHRPPPTAHRHGSAPLLPRLSSTAAAASAIPHRRRSLRPLPPLPPSTATAVDYAFAHRHRSLGFPPPPPPPQPSSTTATAPSAVHRRHRSLGRIIDKPTPSSRCRLHHQHKAFEKMNSHRRLEEEEQKR >ONIVA12G12930.1 pep chromosome:AWHD00000000:12:14676129:14684147:1 gene:ONIVA12G12930 transcript:ONIVA12G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRPIVSASTGVMVSLQTKLTSAARDEASSLKEVRTDVNSLSDELGSMDALLRSFAERDDPDLQVTEWMRQVRELAYDAEDCIDLYTHQHEQPPTTERRGGGGGGGGGGQARGFFPWMNRRPDMAKRIRELTDRIKEANKRAEAYRLVGADSKDAPCHAPSGGGHQSMGRHNSVAIDPLVLGVGGDRLVGIDKLRQALLTSLTGGDGDQAEMPLKVVSVVGAGGTGKTTLARKMSRCRKIHARFDCRAFVTVGRNPPMKMILLSILRQVTRQQHAEAENLDEHRVIEMIRESLQNMRYFVVVDDLWSPSAWKVIKCALPETNRGSRIVVITRSNEVAGSCSSSPSSVHLMSPLVERDAEDLFCIRIGCTREMYELHGLKEVFSNVFKTCGGVPLAFVAVAGLLARKFSELMDWSIAKNLVVSALDKCSKLQGMRRILHACYSDLSMPLKTCLLYLSCFPENHTIMKDRLVWRWIAEGFVPSGGDIGKTWSTGLTYFNELVNRRLIQPVVARDDDDDGCGSGSEPMGCTACTVHDVVLDFVVSMSSEENFVTSDAGIRSLDQPRDVIRRLSLNCSSQEDDGGVPAADSLDVSLSQVRSFTVFGGGAWLGSLLQNFRLLRVLDLQETDKLTNDDLKSIERLFRLRYLGLRGDGISKLPEKIGDLQFLEILDVRRTAVKDLPASIVRLRRLARLLATEFPMHDGMEKMASLQEVGMIKVNEATSPERLAELARQTNLRVLGINWCVNGNASADTGSANGSSGGDGQGFAERFRTALDNIGALSKVESLLLHAGDAIQTTLDGMVDTWKPPLRLHTFVMTSKGYCFPRVPPNMHKLSNLADLTISIVKLDVQDLQLLGALPSLVVLKIRTRESAPTTITDSGLRCLQLLCFESDDGGLGLVFEEWAMPNLHELRLSFKAGTEVRSACIDHLFSLRQVHVQVHATVVDHCDAEAAAAVKDAEEAIREQLGKFKTQPVLEFVVDNKVMEKPEDQAGQVGQKS >ONIVA12G12950.1 pep chromosome:AWHD00000000:12:14723025:14724717:-1 gene:ONIVA12G12950 transcript:ONIVA12G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVKKSVLVAHESHGEVNPPEKEGGGSPKRRTEAEEEEDDGDVEAAAGDLIGDLPDDILRDIIARLPTREACTTQVLAKRWRHLWRSTPLRLDYRSLPLRRHNKGNALAVAVAGVISAVLSAHPGPCRCLCVPADSSRDTVDTWLRSAAVDNLQELEFLSNRGGAPPPPSPPPPPPVSLFRFSYTLHIATISRCELQHTTVHELRFPRLKHLGLEDVTITEASLHAMIARCPLLECLLLARSVGFRRVRINSPSLRSLGVLVARRRIAALPRQTQLEEIIVEDAPLLEKLLDLAVHNNLRLSVISAPKLETIGCLTDRWFGPRIMFGTTTVVEGVSVVRLTEAVRTVRILAINMFVLNLAKVIDLMRCFPCLEKLYLKCCLSAGNNYWRCEYQTLIKILDIRLKTVEWENYRGTKPQIDFAQFFVLNAKVLESMKFVVKSEDYYDGFVAKQHKMLQLDKRASRCARFKFTTDRCHHHADPECPIDIEHVQDLSFTDPFECRC >ONIVA12G12960.1 pep chromosome:AWHD00000000:12:14728233:14732639:-1 gene:ONIVA12G12960 transcript:ONIVA12G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPMPMPAQAPPTAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADTQPQTTISRPQMVPHGASPGLGGQYMSQVPMFPPRTPLTPQQMQEQQLQQQQAQLLSFGSQMVMRPGVVNGIPQLLQGEMHRGADHQNAGAATSEPSESHRSTGTENDGGSDFGDQS >ONIVA12G12970.1 pep chromosome:AWHD00000000:12:14747346:14747936:1 gene:ONIVA12G12970 transcript:ONIVA12G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSSPSSHRHLPLCCLSSPDPTSHGPDLESSVEGEAGDREGTPEEEAGGGEGTAEGGEPTPRLSSIRSSSSIRATARRPEIHRRPTSTTATAADARRRRGGQRSIAARRSGHGTEARDPSSPRGGGEEWWSPRSLSARQLGGRLSEEEMRGADSGVSSSRRMARRRAWIPSIRLSAMGKWEREIGERGERKVKK >ONIVA12G12980.1 pep chromosome:AWHD00000000:12:14748637:14751763:1 gene:ONIVA12G12980 transcript:ONIVA12G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGAAAAAAATAEEAAAGGEHGPFPIEQLQASGIAALDVKKLKDAGLCTVESVVYSPRKDLLQIKGISEAKVDKIIEAGNASQLHAQRLEIIQVTTGSRELDKILDGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFAIMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGAAMFGPQIKPIGGNIMAHASTTRLFLRKGRAEERICKVVSSPCLAEAEARFQISPEGVTDVKD >ONIVA12G12990.1 pep chromosome:AWHD00000000:12:14752148:14754879:-1 gene:ONIVA12G12990 transcript:ONIVA12G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDASSAAAAQNPNPNPSTGPPPISAYYQTRAEHHAVVSSDWLAHAAAAASLPPSSDAADADAASDAAALLSPGSNGGGGGVIEEFNFWRRKPEAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFISDGCTILVHGYSRVVLEVLKLAASNRKLFRVLCTEGRPDRTGLRMSNELAALGIPVKVLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPIPAGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >ONIVA12G13000.1 pep chromosome:AWHD00000000:12:14756267:14756894:1 gene:ONIVA12G13000 transcript:ONIVA12G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGTFFPGTVGSKPIPCTCVIPKMSLKSRMPPNFDAYARSCRRHRPGVPCGRQVVPAIAVAVQGSTEEGRQDAIRLGDSFPQAVVAPLAAGACETKPHHAAPHSLLDLSIKRLKVEEEGLTLHLHRECGGTPTPNSRGFFGCAGSDGVVYIADGHDETKNALSFTGCLLADSLRRRASRRRLREKRERGVGSQN >ONIVA12G13010.1 pep chromosome:AWHD00000000:12:14764243:14764888:1 gene:ONIVA12G13010 transcript:ONIVA12G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLGDGFYHQGFDEGVGLFDIDMLLFGGVPGGGGGPLYNGADIYGLHPDNFNRYIVRFKGVTEDINVDMDPNVKEEDKQLVQDNHKWQFVRDYPR >ONIVA12G13020.1 pep chromosome:AWHD00000000:12:14765914:14768701:-1 gene:ONIVA12G13020 transcript:ONIVA12G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSSRTSVGFLHQKKGKSFSASPICSASQGGWRCLLLLLLLLVHAAVEKTHGSSPVRPHLLALNPLLPFKRAIMGISKVIGIAGTSLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWMLGKNSKGRFPIWSSVLFGPFLILARVYATMKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRAKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWNLGDGFFHQWFDEGVVRWWWGWRRRWRSTVQRCRHHGLLPDNFNRYIVIFKGVTKDINEGVFLFVVDMDPNAEEDEQLVQDNHKWQFVRDYPRRRERHIFSSSYPPAVG >ONIVA12G13020.2 pep chromosome:AWHD00000000:12:14765914:14768701:-1 gene:ONIVA12G13020 transcript:ONIVA12G13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSSRTSVGFLHQKKGKSFSASPICSASQGGWRCLLLLLLLLVHAAVEKTHGSSPVRPHLLALNPLLPFKRAIMGISKVIGIAGTSLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWMLGKNSKGRFPIWSSVLFGPFLILARVYATMKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRAKGKPVYVHCAFVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWNLGDGFFHQWFDEGVVRWWWGWRRRWRSTVQRCRHHGLLPDKGVFLFVVDMDPNAEEDEQLVQDNHKWQFVRDYPRRRERHIFSSSYPPAVG >ONIVA12G13020.3 pep chromosome:AWHD00000000:12:14765914:14768701:-1 gene:ONIVA12G13020 transcript:ONIVA12G13020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSSRTSVGFLHQKKGKSFSASPICSASQGGWRCLLLLLLLLVHAAVEKTHGSSPVRPHLLALNPLLPFKRAIMGISKVIGIAGTSLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWMLGKNSKGRFPIWSSVLFGPFLILARVYATMKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRAKGKPVYVVRWWWGWRRRWRSTVQRCRHHGLLPDNFNRYIVIFKGVTKDINEGVFLFVVDMDPNAEEDEQLVQDNHKWQFVRDYPRRRERHIFSSSYPPAVG >ONIVA12G13020.4 pep chromosome:AWHD00000000:12:14766498:14768701:-1 gene:ONIVA12G13020 transcript:ONIVA12G13020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSSRTSVGFLHQKKGKSFSASPICSASQGGWRCLLLLLLLLVHAAVEKTHGSSPVRPHLLALNPLLPFKRAIMGISKVIGIAGTSLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWMLGKNSKGRFPIWSSVLFGPFLILARVYATMKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRAKGKPVYVHCAFGGKVVVGVEEEVEVHRTAVPTSWAASR >ONIVA12G13030.1 pep chromosome:AWHD00000000:12:14772360:14773882:1 gene:ONIVA12G13030 transcript:ONIVA12G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATTRLGVRRPTPAPVFDFDRLLLGSSSGGGMVGDDVVADALLGFTYDPPAHGAAALDDVLAPLPGADKRPRERGVVADGRSFRRAGAALPAPPTELVTRFVPTPPPLPPVQQQQQQRWELPDAVFVQGAGVAEAKKGGAAASHDDHDDGRHHHHHNQAVQSAAARERRRRISSKTAELSRLIPGAARMNSTAEMLQAAARHVRLLQAQVGMLALIHSSGEAKAASSMAASREHHQMMMMRALLASGGVQERLAGEGRCLVPTSLVRAIADDDAMATSNPALSRDVNSFKDSLDQQQQQ >ONIVA12G13040.1 pep chromosome:AWHD00000000:12:14777570:14784397:1 gene:ONIVA12G13040 transcript:ONIVA12G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPKRSQLRVRLRVTARRRGGDGGADGGGSGGGGGGAGGRKRRLDAPAFNSAAKLQRREIGGRQLAARGGGAASAVPERFRNMHLQEEFDTYDHNAHLFVKLQFLKKRSKIIEIVAAKDIIFALAHSGLCAAFSRVTNKRISFLNLSPDEVIRSLFYNKNNDSLITVSVYASDHFSTLKCRTTPIEYIRRNQLDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDTNVQEIKISPGIMLLIYERTPCHVPLKILSIEDGRPLKSFTHLLHRNKKIDFIEQFNEKLLVKQEDENLQILDVRSSELIEVSVTKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHQDCSTNNIYITSDQDLIISYCKSEAGVDDGTVPPIGSINMSDIMTGKCIAKIAANDPTLRIGPRKNGNSERSSIWSTIPEALEDVTALFYDEDRNEIYTGNRHGLVHVWSN >ONIVA12G13050.1 pep chromosome:AWHD00000000:12:14782232:14784317:-1 gene:ONIVA12G13050 transcript:ONIVA12G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homologue of bacterial MinE 1 [Source:Projected from Arabidopsis thaliana (AT1G69390) TAIR;Acc:AT1G69390] MATSISAGFGGEPGAVLAPSASVLPAPRRRNPAASSKAQFSSFPRGQSCNLMLTPKHLCIEHQSCSKSSIQTFALSRNDFSPIAQEVEGFLHNIVNMGFLDRLKLAWKIIFPAPSIKENSNANIAKQRLKMILFSDRCEVSDEAKKKIVENIVEALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGETSGSVDVTFDFFVPNKNY >ONIVA12G13060.1 pep chromosome:AWHD00000000:12:14786838:14789049:-1 gene:ONIVA12G13060 transcript:ONIVA12G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G21430) TAIR;Acc:AT5G21430] MAAVGVVSPPAPPAVAAASTSSPRRRVRLPLGRVSSSAASFRARCAAAAEDGGATAAPEDAAAAAAVAEAVEGDPEAGTDVAGGAATSTRPPYSLISADNVQKAMRGLAITECDHYGRLGITRSASTDEVKAAYEKKCEELNSKGLEEEEINKEHDLLKESFTILWTEEERRLYDWSLARSGKPERYVWPFEVDPMELAPDPPKEPEDEFPTKLVGYFFLAWFILSVALSVTLNR >ONIVA12G13070.1 pep chromosome:AWHD00000000:12:14790316:14790642:1 gene:ONIVA12G13070 transcript:ONIVA12G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLAGVAGLGPAAASLSLAPGPLPPSSDTSASLLPPSSASSSPAPPPPPPPPTPTHAVTPPRPRASHRFSGCLGALGLDPIWCSSVLAPRVPCRCSGYRDYSRPCS >ONIVA12G13080.1 pep chromosome:AWHD00000000:12:14791257:14800175:1 gene:ONIVA12G13080 transcript:ONIVA12G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHGCSRHRHCSFVLLIVVVVVAYLLVGVVPALQASVIAEDDGRALMQFQSLITEDPYGALASWGGSSSSNHSASPATPCGWCGVTCGVRGRSRGRVTALDLRRLGLGGAIVAQSSLSSLTYLRWLDLSQNRLCGGVPTPLPLSLEYLNLSRNALQGAVSSELGSLRRLRILSLILLAEISVRNKHLVMQFNNLTGTLPPCAGNRLPRLKVLSVDRNQPHGAIPVSLCNSSKLEVIQMMKNSFSGVIPDCLGAHLQNLWELTLDDNQLEANSDSDWRFLDSLTNCSNLKVIGLAGNKLRGLLPASIANLSTSMEFLSIYNNMINGQIPQGIGNLVNLDSIYIHLNNLAGTIPDSIGKLKKLSNLYLYDNNLSGQIPATIGNLTMLSRLSLNENMLTGSIPSSLGNYPLETLELQNNRLTGPIPKEVLQISTLSTSANFQRNMLTGSLPSEVGDLKNLQTLDVSGNRLTGEIPASLGNCQILQYCIMKGNFLQGEIPSSIGQLRGLLVLDLSGNNLSGCIPDLLSNMKGIERLDISFNNFEGEVPKRGIFLNASAFSVEGITGLCGGIPELKLPPCSNYISTTNKRLHKLVMAISTAFAILGIALLLALFVFFRQTRNSRKGEHALLLISDQHVRVSYTELVTSTNGFASENLVGVGSFGSVYKGTMMSNEEEVVVAVKVLNLQQRGASQSFVAECETLRCARHRNLMKILTVCSSIDSRGLDFKAIVFDFLPNGNLHQWLHPREHGNQTGLSLIQRINIAIDVASALEYLHQYRPAPIVHCDLKPSNILLDNDMVAHVGDFGLARFVDHGQHSLPDISSGWATIRGTIGYAAPGQIGIRCSEDVPTDRLLICDTLRKLQGLDDKYRVHLRIGGR >ONIVA12G13090.1 pep chromosome:AWHD00000000:12:14801428:14805031:1 gene:ONIVA12G13090 transcript:ONIVA12G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring hexosyl groups [Source:Projected from Arabidopsis thaliana (AT1G11880) TAIR;Acc:AT1G11880] MASPLAGVVRLAAASRALLLALSLLSRLLFRPYDTSASLHPPCLLPSPSPNSTTTAANSIASLAVWDGVHFLRAAECGYEYEQSFAFLPLLPASLNLLARSVLAPLVPVLGYRAVLVVSGYVLNNVAFVAAAAYFYRLSVLILKSPSAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGVFYVFTGANTIAMIMLALSGSARSNGALNAGYFCFQALLHAYDAAFQKKRPMLAVQVLVTGFLRSVFVFIPFFAFQAYGYLNICLHGNMEELRPWCKSKVPLLYGFIQSHYWGVGFLRYFQVKQLPNFLLASPVLSLAVYSIVHYTKMLRQLFESNSIHELIVATVEGRSIEAYKSSDVDTVLKSKVSTNVTNKAQGNAVAKRRKSVATETDSNDQIIEVNKDVCPIVVLPFILHLAFMTFTAFFVMHVQVSTRFLSASPPIYWAASHILVSPSSSKRWGNIICAYFIAYILLGSLLFSNFYPFT >ONIVA12G13100.1 pep chromosome:AWHD00000000:12:14807710:14812230:-1 gene:ONIVA12G13100 transcript:ONIVA12G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIALLLDLASRGPRYASGVHAHSAISASAAAAALSATGIPLSARHLFGFPGFTIAHCDAGAPTGLNDAPDLINGLNDKIQDSLQYPIKEYPLELKPLFSAFALKNFSLTTLRSFLLYYLPLLEPHPHTDGDDEDDLLQDESENRPPVDLVTPFYNSVKQIIRETSVVTTRRILERIAVCHVSQRTAWKLLKDASKSSKRKSVRGMPFQEYSYCVARTTFRAHALGVAAAWVVQSIVEVYRCFFGKPSNDQAMFDEMDKVKLFGKKIYGITVKCGFSLVFASIGAGIGVLVHPVHGQWLGCTLGDFAGPIVAILVFEKFQLPL >ONIVA12G13110.1 pep chromosome:AWHD00000000:12:14823511:14824240:1 gene:ONIVA12G13110 transcript:ONIVA12G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFFSITASFVPLKLLPFLYSMYSSSSSSSSASSSSSSFSTSSSYLALLVLVISTCLSLLFTNLRQMIRARSHRGKPHPSMEDQAVHQEEESIIVPQDEVADDAPEDLTGSLSESSDSPVSEECTEEGSVSDDGDDDDDESLIEISLVDGHYLGQEKKKCAWKEQDLLSEFLPDLLLDKRDFMDILSEIGEEDNLIEIDIARGSIKCSSFGIKA >ONIVA12G13120.1 pep chromosome:AWHD00000000:12:14826761:14827222:-1 gene:ONIVA12G13120 transcript:ONIVA12G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARKLAAPLAGAREALVSAAAVVGGGEGGDGPLLPWDAVRERLDELLRYLAAALPELAAWLRDWAAAAARRARSALAVALPAAAAVALVLVLFFCCVSACGGGVGGRRRRRGPDGEEAGGGDGPVVSYRRGAGGGYRGGIFSLHPNKPIRG >ONIVA12G13130.1 pep chromosome:AWHD00000000:12:14828269:14830977:-1 gene:ONIVA12G13130 transcript:ONIVA12G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALILVLLSKIATTLGKAALNGIKSRLGKEIIILFQVENSMKEIESEFEIMQAYISQVQLQNRNNQIFVSWLKLVRKIAFEVEDIIDEYAFLLGKMGGTESFLKKTFCHSKNRTTWHNVSSQLQLVKIRLQHMTVMRERYGIKISDNGQKTLSNNINRQIYLSDSSYFNIDDDDDDAIVGQQGYAQKLIDCLNDNSVDRAIISILGMGGSGKTTLASSIWRRHDILNNFKCHAWVSVSQNYQIENLLSKILNQLDSKSMGHAIDDHNAMVVKIRSYLMDKKYLIVLDNMWDKDSWLLFDRAFPNNVFGSRVIITTRIEGTALLAQGNNSIRIGFLSPDDSWKLFCKKAFSKLTEAECPASLKTQADRILAKCQNLPLAIEAIGSLLSCRGMDEQEWASFYDQLNWQVTNNPELSWVSDVIHLSLNDLPKHLMNCFLYCGLFPEDSPIRRKWIIRRWIAEGFVEDRGTDTTPEEVAEEYLKELTQRSLIEVVDRNVFGRARRFELHNMVKEIIRTTSRKQLFALTCEHQDVTSLGDAARRVSVNTGGQDFQPGAAWQQLRSFLLFDRCMTVPWISTAVSSFRLLRVLCLRYSLLQEFPNAIAGLFNLYYLDLSRTKVKRIPKSVARLKNLQTLHLRDTSVNKLPREITQLTSLRHLFVSKGLYGTSIPGNIGVLKCLQTLREVKASKDLVENLGHLTQLRTLSITNVSTGHAKDLWTSIRKMAKLTRLAVSTHGMNEVLSLEKFRAPRYLQKFYLYGRLAEGVIFPVSGHFQNLKILSMRWSGLTQDPLGSLSQMPSLVYLELCEAYGGEALVFQDGWLPKLRQLYLIRLQNLNSLEISDGAMTNLAYLELRALKNLKAVPKGLEFLRLLKHLRAEKMPGGFTDGITGDQAFLERVEVECW >ONIVA12G13140.1 pep chromosome:AWHD00000000:12:14837354:14838483:1 gene:ONIVA12G13140 transcript:ONIVA12G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVSGASLAQRRRLLSPSSQPPASYDPPSNEPPLAVPLAIVGVAVLLVVVGAAVLLRRPSAADVAAKAAAAASLLRKLFTCSCMDGDDPFEVAGGMISSYNPNRNNVASPTNVKNFVVFSQLGCARNPAINRRQKTEPINRPPPPPHDAGSGRGGAAQQHALREFEQGVLSVATGRFDERRAVVGEGAVGKVFRGTVLGHDGLQAPVAIKRFHAAISKEDVELVRNDLAGQPLRHRNLVYIIVNLDKK >ONIVA12G13150.1 pep chromosome:AWHD00000000:12:14844474:14846749:1 gene:ONIVA12G13150 transcript:ONIVA12G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPPTAMVLPVSGASLAQRRRLLSPSSQPPASYDPPSNEPPLAVPLAIVGVAVLLVVVGAAVLLRRPSAADVAAKAAAAASLLRKLFTCSCMDGDDPFEVAGGMISSYNPNRNNVASPTNVKNFVVFSQLGCARNPAINRRQKTEPINRPPPPPHDAGSGRGGAAQQHALREFEQGVLSVATGRFDERRAVVGEGAVGKVFRGTVLGHDGLQAPVAIKRFHAAISKEDVELVRNDLAGQPLRHRNLVYIIAY >ONIVA12G13160.1 pep chromosome:AWHD00000000:12:14853801:14854496:1 gene:ONIVA12G13160 transcript:ONIVA12G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGSLEKHLFATERHPLLSWSRRFNIIRGVALGLQHLHRNGSIHGSIKASNVFLEEGDLTPRLGDFGCSWLEPSSTRDDHHIRAVYGLPEVLGCPVPNCTSKATFRADVFYFGALIIEVVCGRRFFGHGVPGGFRFLVDWVWSLHGDGRILEAVDAELLLEEDGGDLNRTQAERLLLVGLVCSNEDRDKRLDMDAIVEILQSDNVPPPVVPPKRPIFAPTYHHIHTMTTV >ONIVA12G13170.1 pep chromosome:AWHD00000000:12:14856352:14864187:1 gene:ONIVA12G13170 transcript:ONIVA12G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAIPVLVLQAKGDASDDVKAPIPAAQKKKNYIVGAFKPPCDISIAFSDARSRKQIAVKNDNGRTVMVPVFQSLETISGEVSVVPVPGKRIEHLGVKIELLGQIELYLERGKFYDFTSLVRELDIPGEIYEKKTYPFEFSTVEMPYESYNGTNVRLRYILKVTISRNIMGMGSIVEFREFCVRNYSPAPTINNSIKMEVGIEDCLHIVFEYSKSKYHLKDVIIGKIYFLLVRIKIKNMELEIRRRESTGAGSSAYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPSYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLETPQAS >ONIVA12G13190.1 pep chromosome:AWHD00000000:12:14877615:14878109:1 gene:ONIVA12G13190 transcript:ONIVA12G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQSSGGGHCSNPPSCGFCGRATVAISFAAVPAGFCTCNVCLRDLAGVLGYRCPLCNFTVHRQGCRRRHPPAAAAAYTQRQQQHQRASSYDQAPVAASSPRASGSRRKRVKTFVIRLVEKVIGPEKNGGGGGGRKKKGKGKGGGGEDEEEEEEEEEEEEGYDD >ONIVA12G13200.1 pep chromosome:AWHD00000000:12:14880006:14883190:-1 gene:ONIVA12G13200 transcript:ONIVA12G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTYQLKKIKITGPHVRPFFFLTPFSHLSFPFSLAKRLSPSGDSGRPESSSLCALRPATPPVLSRRRCRRRIDRCRLPVCSSTSATALVAFRRRTTFWLCDYGQRPKM >ONIVA12G13210.1 pep chromosome:AWHD00000000:12:14885256:14889693:-1 gene:ONIVA12G13210 transcript:ONIVA12G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSLPSFCLHRIRSDGRRGAPPSIRASSTGAGNDGGRNEDVDGKEEEEEKEKKSEVGRKVMVVADGGGDEARTALQWALSHSVRPCDTVVLLDVVRSVSGGGKDWDDPSRGCQHLETMRSICQAKRPEVRVELSLVEGKERGPAIVEAARKQGVSLLVMGQKKRSITWRLLVMWMTGGKGGGRGTAEYCVQNAACMALAVRRKSRRGGGYLITTRRQRDFWLLA >ONIVA12G13220.1 pep chromosome:AWHD00000000:12:14900599:14906154:-1 gene:ONIVA12G13220 transcript:ONIVA12G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQVRRIENEVSRQVTFSKRRPGLLKKAHEIAVLCDVDVAAIVFSAKGNLFHYASSHTTMERILEKYDRHELLSEGNNVIEEFPELEGSMSYDHIKLRGKIEALKKSQRNLMGQELDSLTLQDIQQLEDQIDTSLNNIRSRKEKLLMEKNTILEKKITELETLHTSIRASPTEAAAPPACNTADAFVPNLNICCGDSGEPETVTAPLGWTSSNNGLPWWMLQSSSNC >ONIVA12G13230.1 pep chromosome:AWHD00000000:12:14910812:14913720:1 gene:ONIVA12G13230 transcript:ONIVA12G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRWRTGPADRGLRRRRRPVGLSFETHHGGGVAPSPEFAACTASSCSAASSWCAMTGRAITTAVASQRRNQPKPPPQLKLDGDFRNLSSRAHGKPDSRVTVTCHPCCH >ONIVA12G13240.1 pep chromosome:AWHD00000000:12:14913451:14915553:-1 gene:ONIVA12G13240 transcript:ONIVA12G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRIAAASSDPTPPPPLVRRLRGIDRAARPRPSSLPDPARKFYKMGRGVSSGGGQSSLGYLFGGGEAPKSAEKPAPVQKPAPSSSAEKLKEIPAGIQSSKANNYMRAEGQNCGNFLTDRPSTKVQAAPGGGSSLDYLFSGNKDGK >ONIVA12G13250.1 pep chromosome:AWHD00000000:12:14917459:14920883:-1 gene:ONIVA12G13250 transcript:ONIVA12G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPREKSAAETSSAAAADAAGAGGGGDRWGAAVGNLTELGANVAALQRLLAKKAVFVDEDIFSKASLAADQARTIKILDQRVQSLERELDAAISAAARARTEKRQAEAAQRAAELRAQEVTKELENTAKVFQLHMEELRAKQEEIAKKESDIKVLEAIIRTLSNKDDGGSSE >ONIVA12G13260.1 pep chromosome:AWHD00000000:12:14921028:14924538:1 gene:ONIVA12G13260 transcript:ONIVA12G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANKIGNLLKRATSSSPALYQSIRCMSSSKLFVGGLSYGTDEQSLRDTFANYGQVIEAKIINDRETGRSRGFGFITYASSEEASAAITALDGKDLDGRNIRVNTANERTGGFRSGGGGYGGGGGGGYGGNNGGYGNRGGGGGGYGVAEGSADAFSGINLGGDGSFGGNPAGSFGDAGGSTGGDFSSAGGDSFGSRKNDELMDDLFKDDEPDNYANKQG >ONIVA12G13270.1 pep chromosome:AWHD00000000:12:14929117:14933978:-1 gene:ONIVA12G13270 transcript:ONIVA12G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKENPVLTACQAPSGRITRAQAAANRGRFGFAPSVSLPARTERKQTAKGKTKRGALDEITSASTATSAPQPKRRTVLKDVTNIGCANSSKNCTTTSKLQQKSKPTQRVKQIPSKKQCAKKVPKLPPPAVAGTSFVIDSKSSEETQKVELLAKAEEPTNLFENEGLLSLQNIERNRDSNCHEAFFEARNAMDKHELADSKPGDSSGLGFIDIDNDNGNPQMCASYASEIYTNLMASELIRRPRSNYMEALQRDITKGMRGILIDWLVEVSEEYKLVPDTLYLTINLIDRFLSQHYIERQKLQLLGITSMLIASKYEEICAPRAEEFCFITDNTYTKAEVLKMEGLVLNDLGFHLSVPTTKTFLRRFLRAAQASRNVPSITLGYLANYLAELTLIDYSFLKFLPSVVAASAVFLARWTLDQSDIPWNHTLEHYTSYKSSDIQICVCALRELQHNTSNCPLNAIREKYRQQKFECVANLTSPELGQSLFS >ONIVA12G13280.1 pep chromosome:AWHD00000000:12:14938120:14940607:-1 gene:ONIVA12G13280 transcript:ONIVA12G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNSESSLPLKEVIWAWCNADAVCFDVDSTVCLDEGIDELTDFCGAGQAVAEWTAKAMTGSVPFEEALAARLSLFKPSLGQVEECMEKRPPRISPGIAELVKMLKAKNVDVYLVSGGFRQMIKPVAMQLGIPPENIFANQLLFGTSGEYVGFDPSEPTSRSGGKAVAVQNIRQKCGYRTLFMVGDGATDLEARQPNGADLFICYAGVQMREAVASKADWLIFDFDELMGYLV >ONIVA12G13290.1 pep chromosome:AWHD00000000:12:14940709:14941490:-1 gene:ONIVA12G13290 transcript:ONIVA12G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLKRDEWARAGGHVDGSWPSDRHGRCLYPPFDSASPRIHPVTRQLVSPFAAAAAAFAAGDTVVSAAAAARIWPKWLVSVSLRGSPFAFLVFHQLGLR >ONIVA12G13300.1 pep chromosome:AWHD00000000:12:14941580:14946670:1 gene:ONIVA12G13300 transcript:ONIVA12G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G08790) TAIR;Acc:AT4G08790] MALASLAAAASLLPAAAARSRVSLARCRGMASSSSPSAAAGASAAARVGVVQMTSEAASSGVKFLCFPEVFSFIGSKDGESIKIAEPLDGPIMQRYCSLAKESSMWLSLGGFQEKGPDDSHQYNTHVLIDDSGEIRSSYRKIHLFDVDVPGNMVYKESRFTTAGDTVVAVDSPFGRLGLTVCYDLRFPELYQCLRFKHRAQVLLVPSAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDRLSTGFAVADVDLSKVEAVRTKMPISEHRKFDSVWKTSSL >ONIVA12G13310.1 pep chromosome:AWHD00000000:12:14948455:14955522:-1 gene:ONIVA12G13310 transcript:ONIVA12G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLGCATSLVSCQKVFEGPLVNSLLSIRQGGLKMYIIEDKGGAIALMLASLFFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVLIALTFGQLGDSKPNMPNFFTQLSQDNWPSVLFAMAGGVVLSIGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINRAEILFPGVACFLVAVILGSAVHASNAADNEEKLNGSTNIYKLGENGSVEPNKEVIEKDAPKDLENGASATKYVAKAEAGTAEYLIELEERRSIKVFGSSTFIGLGIVFFSGVCFSLFSPAFNLATNDQWHTLKQGVPHLVVYTAFFYFSISCFVIGIGLNILFLYRPMAGVPKSSFKAYLNDWEGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRKTYILLGFMLFMFIVAVAVLMASSGHRSTNITASFKASSSSSNTILSSDTLSLCFFDCSWFFHCLSDLNFLLHATQYSSSFLFALVSSSSSSSNTAASSSSTLAHSGSWYAIARSFLLLSSSFFLAASSAFLFSSSAFFFSAMSTTRLSLFLQNAASPLTASLYSRRALRVAFLLSSSMSRLTRRLSPRAASHSAAHPKSIAVPDHRRRGDGVGGHAHAAGVGELLREDPVEDVAVDLVEAAAGVGEAGEGGGGEEGEEVGDGGRGGGGDAAAAAGAGGGVVVGEEDLGAVGVVVGALVGVGEDGVRVLELLEGGGGGGDVGAGGLLVGVEGEREAAEGELDVGRGAVAGDAQDLHRFGAAADAMAAAAAAGEEEEDDGEAELGFQGGGGDECCLMASLTLI >ONIVA12G13310.2 pep chromosome:AWHD00000000:12:14952548:14955522:-1 gene:ONIVA12G13310 transcript:ONIVA12G13310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLGCATSLVSCQKVFEGPLVNSLLSIRQGGLKMYIIEDKGGAIALMLASLFFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVLIALTFGQLGDSKPNMPNFFTQLSQDNWPSVLFAMAGGVVLSIGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINRAEILFPGVACFLVAVILGSAVHASNAADNEEKLNGSTNIYKLGENGSVEPNKEVIEKDAPKDLENGASATKYVAKAEAGTAEYLIELEERRSIKVFGSSTFIGLGIVFFSGVCFSLFSPAFNLATNDQWHTLKQGVPHLVVYTAFFYFSISCFVIGIGLNILFLYRPMAGVPKSSFKAYLNDWEGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRKTYILLGFMLFMFIVAVAVLMASSGHRSTK >ONIVA12G13320.1 pep chromosome:AWHD00000000:12:14948468:14954191:1 gene:ONIVA12G13320 transcript:ONIVA12G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G74250) TAIR;Acc:AT1G74250] MASAAAPKRCYYEVLGVPRDCSPADIKLAFRRLALSLHPDKQPPGSDVAAATAAFQELQHAHSVLSDPHERSYYDSHRSQILFSDHHPSSGPGRGGGVASASASPVPDLFAFFSSSAFSGFSDSGRGFYKVYGDVFDRVFAQELAYARRMGMPADAVPTPPVIGNLDSPHAQVAAFYSYWLGFGTAMDFGWAAEWDAARGESRRVRRLMEEDNKKATRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRKAEEAARKKEEERRRKERAMAYQEPEWARVDEDEAAVFEDEEEEETRAKRKEELYCVACNKKFKSDKQWKNHEQSKKHRDKVSELRMVFEEEEEALKDAEEEEPEEVDVGFDFQPAQESEESEFSDAAEELADELSEGLEVRDEEEKGDKHLGNGEQKVGSYDETSVLEEMLSRSRRKNRKSGFVAPQEEASPAGAMDDDDDEDTSYEINNVKKKGRRRRAAKKGGTYADNGQGRKSENQPEESRHNNDENGADDKMEGPSSNEDSAAASKEDQQKGKTGNTKKNKKGTEKNTTTISSEQKGTSKGKKQKEVSKAPSNDCETCGSTFDSRNKLFSHLEETGHAMLKTRQKNR >ONIVA12G13320.2 pep chromosome:AWHD00000000:12:14948468:14951957:1 gene:ONIVA12G13320 transcript:ONIVA12G13320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G74250) TAIR;Acc:AT1G74250] MASAAAPKRCYYEVLGVPRDCSPADIKLAFRRLALSLHPDKQPPGSDVAAATAAFQELQHAHSVLSDPHERSYYDSHRSQILFSDHHPSSGPGRGGGVASASASPVPDLFAFFSSSAFSGFSDSGRGFYKVYGDVFDRVFAQELAYARRMGMPADAVPTPPVIGNLDSPHAQVAAFYSYWLGFGTAMDFGWAAEWDAARGESRRVRRLMEEDNKKATRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRKAEEAARKKEEERRRKERAMAYQEPEWARVDEDEAAVFEDEEEEETRAKRKEELYCVACNKKFKSDKQWKNHEQSKKHRDKVSELRMVFEEEEEALKDAEEEEPEEVDVGFDFQPAQESEESEFSDAAEELADELSEGLEVRDEEEKGDKHLGNGEQKVGSYDETSVLEEMLSRSRRKNRKSGFVAPQEEASPAGAMDDDDDEDTSYEINNVKKKGRRRRAAKKGGTYADNGQGRKSENQPEESRHNNDENGADDKMEGPSSNEDSAAASKEDQQKGKTGNTKKNKKGTEKNTTTISSEQKGTSKGKKQKEQVVFSLGRNRSCNAEDTTEKSLKRTRNRAVFFLPGFVSLVCGTE >ONIVA12G13330.1 pep chromosome:AWHD00000000:12:14971361:14978988:-1 gene:ONIVA12G13330 transcript:ONIVA12G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLVLLGTWPVVLAVLERRGRLPQHTYLDYSITNFLAALLVAFTFGQIGADTPETPNFLTQLTQDNWPSILFAMSGGVFNGLGTLATQYGWAFVGLSVTEVMASSLKVVIGTTLNYFLDGRINKAEILFPGVGCFLIAACLGSLVHSSNAADNQEKLSSAMVNHSNTNGDTENEELTKHLLEIEQPKDCEEQEPEVAPAAQAVEKLEAGTADFLVDLEGKRSIKVLGSNTFLGLGIVTFAGVSYSLFSPAFNLATNDQWHTLKGGVPHMVVYTAFFYFSLACFAIGIALNIWFLYHPMVGVPRSSLTAYLRDWKGRDLALVAGMVCGLGNAFQFMAGQAAGYAAADAVQALPLVSTVWGIVLFGEYRRSSRRTYTLLGSMLFMFVVAMVILMASSAHRRPL >ONIVA12G13330.2 pep chromosome:AWHD00000000:12:14971361:14979003:-1 gene:ONIVA12G13330 transcript:ONIVA12G13330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKDIGGAIALMGVSLVLLGTWPVVLAVLERRGRLPQHTYLDYSITNFLAALLVAFTFGQIGADTPETPNFLTQLTQDNWPSILFAMSGGVFNGLGTLATQYGWAFVGLSVTEVMASSLKVVIGTTLNYFLDGRINKAEILFPGVGCFLIAACLGSLVHSSNAADNQEKLSSAMVNHSNTNGDTENEELTKHLLEIEQPKDCEEQEPEVAPAAQAVEKLEAGTADFLVDLEGKRSIKVLGSNTFLGLGIVTFAGVSYSLFSPAFNLATNDQWHTLKGGVPHMVVYTAFFYFSLACFAIGIALNIWFLYHPMVGVPRSSLTAYLRDWKGRDLALVAGMVCGLGNAFQFMAGQAAGYAAADAVQALPLVSTVWGIVLFGEYRRSSRRTYTLLGSMLFMFVVAMVILMASSAHRRPL >ONIVA12G13340.1 pep chromosome:AWHD00000000:12:14990539:14996159:1 gene:ONIVA12G13340 transcript:ONIVA12G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKTAGPRGGGGGAAAAGKKKVGSGPSRVTLERLREGIARRAEEEERRAEEERRAEAERAAAEERARREEKEGKARAEEERRRREEERRRRRKEEKVREAARRREEQRRRLGIAVVADASGGGGDGDRRRPVYDSRKTKLQAKPHGDAQSEGDMGELLSSKPQLEEEQINALFIEVAAVADSVELGVGRKTGSSEEDGTISDGDDDSWEDKSLDGFDVQSDGNSPCVTKGETEEKLATSASQVVNPVDIDVAGEVEEDGILDSQDACAIEGDRVLREPICCILGHVDAGKTKLLDCIRHTNVQKGEAGGITQQIGATYVPVEYIMERAKPHEGVVIKVPGLLVIDTPGHESFSNMRSRGMSLCDIAVVVVDIMHGLEKQTVESLALLKDRNVRFIVVLNKVDRLCGWKHCPDAPIKKALENQSGDVKKEFQWRLTKVVTQLKENGFNTALYYDNQKFRKVFDIVPTSAISGEGIPDLLLMLVLRSQATMMEKLTFVNTVECTVLEVNDDKDLGTTIDVVLINGVLHKGDQVNVCTKQGPVATIIRDLLTPHPLKELRVKGIYKHHKELKAAQGVKIVARGLKYAIPGTSLIVVKPGDDLGQSEAKSQRNENEEGNVIQEISRLKTCKEGVYVQASTFGILEAIIEHLNSPGVSVPVSGCNLGPIEKKDVMKASAMLKRKEEYAAILAFNVRVMPEADVLASESGVKIVTADTVYKLVDSFNEHIKRSKELKKMQCAVDAVFPCTLKILPNRVYRKKDPFLCDVEVLEGVVKVGTPICVYVGGTVHGLGRMSSMQTSNGNQIDSAKRGVVVSVKITGESPKEKTRLYGRHFDESNELISQISRRSIDVLKEYYRDEMNDEYWQLIRRLKKLLDIA >ONIVA12G13340.2 pep chromosome:AWHD00000000:12:14990587:14996159:1 gene:ONIVA12G13340 transcript:ONIVA12G13340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKTAGPRGGGGGAAAAGKKKVGSGPSRVTLERLREGIARRAEEEERRAEEERRAEAERAAAEERARREEKEGKARAEEERRRREEERRRRRKEEKVREAARRREEQRRRLGIAVVADASGGGGDGDRRRPVYDSRKTKLQAKPHGDAQSEGDMGELLSSKPQLEEEQINALFIEVAAVADSVELGVGRKTGSSEEDGTISDGDDDSWEDKSLDGFDVQSDGNSPCVTKGETEEKLATSASQVVNPVDIDVAGEVEEDGILDSQDACAIEGDRVLREPICCILGHVDAGKTKLLDCIRHTNVQKGEAGGITQQIGATYVPVEYIMERAKPHEGVVIKVPGLLVIDTPGHESFSNMRSRGMSLCDIAVVVVDIMHGLEKQTVESLALLKDRNVRFIVVLNKVDRLCGWKHCPDAPIKKALENQSGDVKKEFQWRLTKVVTQLKENGFNTALYYDNQKFRKVFDIVPTSAISGEGIPDLLLMLVLRSQATMMEKLTFVNTVECTVLEVNDDKDLGTTIDVVLINGVLHKGDQVNVCTKQGPVATIIRDLLTPHPLKELRVKGIYKHHKELKAAQGVKIVARGLKYAIPGTSLIVVKPGDDLGQSEAKSQRNENEEGNVIQEISRLKTCKEGVYVQASTFGILEAIIEHLNSPGVSVPVSGCNLGPIEKKDVMKASAMLKRKEEYAAILAFNVRVMPEADVLASESGVKIVTADTVYKLVDSFNEHIKRSKELKKMQCAVDAVFPCTLKILPNRVYRKKDPFLCDVEVLEGVVKVGTPICVYVGGTVHGLGRMSSMQTSNGNQIDSAKRGVVVSVKITGESPKEKTRLYGRHFDESNELISQISRRSIDVLKEYYRDEMNDEYWQLIRRLKKLLDIA >ONIVA12G13350.1 pep chromosome:AWHD00000000:12:14995132:14997378:-1 gene:ONIVA12G13350 transcript:ONIVA12G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKWGLELSVPLPGVDMFVVEDRGGAVALMVASLLFLGTWPALLTLLERRGRLPQHTYLDYSVTNLLAAAVIAITFGQVGETRPGMPSFFTQLTQDNWPSVLFAMAGGIALSIGNLISQYAWAFVGLSVTNIICSSMAVVLGTTMNYFLDGRINRAEILFPGVACFLVAIFLGSAVHSSNAKDEEEKLSMSGFRNAFEHCQSEFSLRDLPLMSRSTIRLSRGIELSSDVADQVKLLPNQEAMEDGEDGDFDTGTAEFIVQVEKRRSIKVFGSSRWLGLGMVLLAGVCFSLFSPAINLATNDQWRALRDGAPRLTVYTAFFYFSVSCFALGIGLNARLLYRPVAGVPPSTIGAYAGDWNGRHWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLISTFWGIILFGEYRRSSRKTYLLLGSMLTMFVVAVVLLMASAAHRKTG >ONIVA12G13350.2 pep chromosome:AWHD00000000:12:14995132:14997378:-1 gene:ONIVA12G13350 transcript:ONIVA12G13350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKWGLELSVPLPGVDMFVVEDRGGAVALMVASLLFLGTWPALLTLLERRGRLPQHTYLDYSVTNLLAAAVIAITFGQVGETRPGMPSFFTQLTQDNWPSVLFAMAGGIALSIGNLISQYAWAFVGLSVTNIICSRTTMNYFLDGRINRAEILFPGVACFLVAIFLGSAVHSSNAKDEEEKLSMSGFRNAFEHCQSEFSLRDLPLMSRSTIRLSRGIELSSDVADQVKLLPNQEAMEDGEDGDFDTGTAEFIVQVEKRRSIKVFGSSRWLGLGMVLLAGVCFSLFSPAINLATNDQWRALRDGAPRLTVYTAFFYFSVSCFALGIGLNARLLYRPVAGVPPSTIGAYAGDWNGRHWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLISTFWGIILFGEYRRSSRKTYLLLGSMLTMFVVAVVLLMASAAHRKTG >ONIVA12G13350.3 pep chromosome:AWHD00000000:12:14995132:14997378:-1 gene:ONIVA12G13350 transcript:ONIVA12G13350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKWGLELSVPLPGVDMFVVEDRGGAVALMVASLLFLGTWPALLTLLERRGRLPQHTYLDYSVTNLLAAAVIAITFGQVGETRPGMPSFFTQLTQDNWPSVLFAMAGGIALSIGNLISQYAWAFVGLSVTNIICSSMAVVLGTTMNYFLDGRINRAEILFPGVACFLVAIFLGSAVHSSNAKDEEEKLSMSGFRNAFEHCQSEFSLRDLPLMSRGIELSSDVADQVKLLPNQEAMEDGEDGDFDTGTAEFIVQVEKRRSIKVFGSSRWLGLGMVLLAGVCFSLFSPAINLATNDQWRALRDGAPRLTVYTAFFYFSVSCFALGIGLNARLLYRPVAGVPPSTIGAYAGDWNGRHWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLISTFWGIILFGEYRRSSRKTYLLLGSMLTMFVVAVVLLMASAAHRKTG >ONIVA12G13350.4 pep chromosome:AWHD00000000:12:14995132:14997378:-1 gene:ONIVA12G13350 transcript:ONIVA12G13350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKWGLELSVPLPGVDMFVVEDRGGAVALMVASLLFLGTWPALLTLLERRGRLPQHTYLDYSVTNLLAAAVIAITFGQVGETRPGMPSFFTQLTQDNWPSVLFAMAGGIALSIGNLISQYAWAFVGLSVTNIICSSMAVVLGTTMNYFLDGRINRAEILFPGVACFLVAIFLGSAVHSSNAKDEEEKLSMSRGIELSSDVADQVKLLPNQEAMEDGEDGDFDTGTAEFIVQVEKRRSIKVFGSSRWLGLGMVLLAGVCFSLFSPAINLATNDQWRALRDGAPRLTVYTAFFYFSVSCFALGIGLNARLLYRPVAGVPPSTIGAYAGDWNGRHWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLISTFWGIILFGEYRRSSRKTYLLLGSMLTMFVVAVVLLMASAAHRKTG >ONIVA12G13350.5 pep chromosome:AWHD00000000:12:14995132:14997378:-1 gene:ONIVA12G13350 transcript:ONIVA12G13350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKWGLELSVPLPGVDMFVVEDRGGAVALMVASLLFLGTWPALLTLLERRGRLPQHTYLDYSVTNLLAAAVIAITFGQVGETRPGMPSFFTQLTQDNWPSVLFAMAGGIALSIGNLISQYAWAFVGLSVTNIICSRTTMNYFLDGRINRAEILFPGVACFLVAIFLGSAVHSSNAKDEEEKLSMSRGIELSSDVADQVKLLPNQEAMEDGEDGDFDTGTAEFIVQVEKRRSIKVFGSSRWLGLGMVLLAGVCFSLFSPAINLATNDQWRALRDGAPRLTVYTAFFYFSVSCFALGIGLNARLLYRPVAGVPPSTIGAYAGDWNGRHWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLISTFWGIILFGEYRRSSRKTYLLLGSMLTMFVVAVVLLMASAAHRKTG >ONIVA12G13360.1 pep chromosome:AWHD00000000:12:15018856:15020019:1 gene:ONIVA12G13360 transcript:ONIVA12G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGIIHEKITRIWPVTSAWWHNPRIVLDNFLMPLNPTRVHSSHVLAFIHLQVLFNGEDHGSGGGGVEALVLEAGLLPNGVANEAEVTVLERAAGALVDREGRGGALQAEKDAA >ONIVA12G13370.1 pep chromosome:AWHD00000000:12:15112723:15112947:-1 gene:ONIVA12G13370 transcript:ONIVA12G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAARAREDIHPATMAYIRHLVEVFRSASFHEACYVKNYMASDADIFRKGTLLQTRFFHTGILIIPCGYPSHL >ONIVA12G13380.1 pep chromosome:AWHD00000000:12:15119827:15120193:-1 gene:ONIVA12G13380 transcript:ONIVA12G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYHDRLAALMTTPDGVEEEATVPVMSLLERLDGAELEEAALSVAVDGFANLVEQLERLVEEKARLDAIPKLHGDHRKDDVIVFCAADRFIRSVRVLREFIAQYDA >ONIVA12G13390.1 pep chromosome:AWHD00000000:12:15124907:15126512:-1 gene:ONIVA12G13390 transcript:ONIVA12G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFFTQSALLCVLFLASSTVGGCFPLMEEEVQKNWQTLMAPILDVNLSSSGHESNQAGTLYLVVYGWGPSDNAITYYGVEATMDVYGFNLEHGQQTGGFISIYNKDEASAINNVIAGWNIEPESYNDSQTHFSTWFTQGSNACPDMRCPGFESVFSSEIVPGMVINPVSTTSSDKQYITVRVSKDPNSGDWQVYYGFNGEARLTGYYPRSLFTSLSYKPVTIMFGGYAFKKEHKLPSPPMGSGNAPIKNAASFSSVKFFDAGGNSHQINSALRYISNCYRVSDFEHDGFFYGGPGNFC >ONIVA12G13390.2 pep chromosome:AWHD00000000:12:15124907:15126512:-1 gene:ONIVA12G13390 transcript:ONIVA12G13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFFTQSALLCVLFLASSTVGGCFPLMEEEVQKNWQTLMAPILDVNLSSSGHESNQAGTLYIEPESYNDSQTHFSTWFTQGSNACPDMRCPGFESVFSSEIVPGMVINPVSTTSSDKQYITVRVSKDPNSGDWQVYYGFNGEARLTGYYPRSLFTSLSYKPVTIMFGGYAFKKEHKLPSPPMGSGNAPIKNAASFSSVKFFDAGGNSHQINSALRYISNCYRVSDFEHDGFFYGGPGNFC >ONIVA12G13400.1 pep chromosome:AWHD00000000:12:15131145:15131873:1 gene:ONIVA12G13400 transcript:ONIVA12G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARKDIHPATMAYIRHLVEVFRTTSFHDACYDQNYMGSDADIFRHRPGTTAVPDDVGAALDAIEEILRKGSPTLAADERLDILYNRTLQEETVGAVEDAVASMEAQVAGERDTVDAKKLRLKAVRAAVAEYRDGLAALMTPADGVEEQEATAAVMSLLERLDAVESEAAALAADVDGFDGLVEQLAAARERLVEEKARLDAIPVPSGDHRKDDVIVFRAADRFNRSVRVLREFVAQYDA >ONIVA12G13410.1 pep chromosome:AWHD00000000:12:15138636:15139393:1 gene:ONIVA12G13410 transcript:ONIVA12G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVLVVVRLDAAAVDPATVAYLRDLVGALNGKTFQLACDSQIAAADAGMFRLRPEPSLLAGVPDSVASAINALEELLRQGSPALAAYERHTTFLRRARQEEAVGAAMADVVPVNNLINDLQDALEARRAQLVAAQSAKRQVFAEITAAARSPAVFTEESCAWAAAELAALLTRLGQAQEREAEVEMAMARMMPSFLVMFWHLGIAKARVVCDGAMRFEESVSVLREYMA >ONIVA12G13420.1 pep chromosome:AWHD00000000:12:15144886:15145645:-1 gene:ONIVA12G13420 transcript:ONIVA12G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRHPPNRSPPDRHLPRLRALRAAVLPGHRARLAALNLKTAGRNNPEVVTAAVVSELERMNRAQEEEAAAAAAVDGLRASLAGLLERLVLAVEEAEEEAAKLEAMGPELPGLVEDVGVLFRAQKRFLDCLRVLRLSAR >ONIVA12G13430.1 pep chromosome:AWHD00000000:12:15150484:15151694:-1 gene:ONIVA12G13430 transcript:ONIVA12G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEACSDQTDKYNDGDLFHHRQLPSAVSQALDTIEGLYLILRRKRMARRTTWFPGKQIGVV >ONIVA12G13440.1 pep chromosome:AWHD00000000:12:15152508:15152984:-1 gene:ONIVA12G13440 transcript:ONIVA12G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLTDLPAAPNNSRVRPPLLACARRNKSCWIDADAEPNVITIGRPGKKSKQRRSGGRKGYQRDPKTTATARRKRMKRWRRERDVAIPEVVTNRMMQQVGVSVGALLAVGVAFLPVFYYLKKAAKVDVPTTTRRRLGVVLPAPHKQRLDPRRPGRRRR >ONIVA12G13450.1 pep chromosome:AWHD00000000:12:15158893:15162821:1 gene:ONIVA12G13450 transcript:ONIVA12G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDAAATAHPSLSFYSATTGLYSSLHPPLPLPSDPSLSLVPHLFSHLPLHHHSLLVDAPTAATLSCADFRRLVSSLAAGLRRRLHIARGSLVLLLLPNSLTFPVAFLAVLATGAVATTMNPSSAPAEIAARLRDTAPSLVLASTHNAAKLPPLAAPLVLVPDTFQQQHDDDQFDFFFHALLETDPETPVEMGVGVGQDDAAAVLYSSGTSGRSKGVVVTHRNLIAMVELFVRFEASQYTRPARVNVYLAALPMFHVYGLSLFAVGLLSLGCTVVVMRRFNVDDAVKAIRKYKVTHLPLVPPIMSALLRANPPLELDSLLQVSSGAAPLNHTLIHHFLHAFPHVDFIQGYGMTESTAVGTRGFNTCKHKKYASVGLLAPNMHAKIVHLESGSCLPPGSYGELWLHGPAIMKEFCFVTGYLNDDDDAFTRKDGWLRTGDIAYFDSDGYLFIVGRLKDTIKYKGFQIAPADLEAVLIRHPEIVDVAVTSDEDEEAGEIPVAFVVRKSGSTLSCTHVMEYVAKQVASYKRVRKVIFVEAIPKSAAGKVLRRLLKDSLVDASSGRSRL >ONIVA12G13460.1 pep chromosome:AWHD00000000:12:15163115:15172624:1 gene:ONIVA12G13460 transcript:ONIVA12G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVRNMAQLVVSLGILVAADKLVEQAFAAASIKFPSALFAMFCVFALLLLLPPSLANGFMAFFDPATVFIHRWLPLFFVPSLVVLPLAVRDVSPASALKILFITFGGWFASLVVAGYTALSVRRIVKTQLIPAEPMKRPSPFGPLEFWAWAAVFVASFAVAYVSPTALGTTATTCLPFLLASTVFGYILGSRLPSGVKKVLHPIICCALSADLAAVAYGYLSRSGVDAVLGDYLTESPSNPGAGDILMGFLGSVIISFAFSMFNQRKLVRRHAAEIFTSIAVASTFSLYSTAILGRVVELEPILTISILPRCITVALALRVVSLFEGVNTSVTAAVVVLTGLIGANFAQAVMDKLRLKDPIARGIGTASSAHGLGTAAVSAKEPEALPFCAIAYALTGVVASLFCSLPAIRHSLVFIAGDASASQTQHFSY >ONIVA12G13460.2 pep chromosome:AWHD00000000:12:15163115:15172624:1 gene:ONIVA12G13460 transcript:ONIVA12G13460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMADSHLDGVPAMRKTTASSSSSLNIAFLLSMVATNLLSLYHLSTRASTAPPLLLPAAHQAQAQDEQLLRQLTAIRATVSQLNHLRSSTPPPPPPPPELLLYSRLAPLASACSAHPDLLHRFMSYTPFSPCPDDALSLAEPLLLRGCHPLPRRRCFSSSSISSSHNLNNLPTDPFSPLPDSAVRWPPGAKCTSFSCLPPSLGFDLARREAARFLRAEGPLDLTVPQLLRLASLSRAGPIRLGLDVGGGTGTLAARLKRAANATVLTTTMDLGAPYSEAAAARGVVPLHAPLQQRFPVGDATMDLVRAGHAVNRWIPEAALEFLWYDADRVLRPRGLLWVDHFWCRRPDLAAVYQPMLRRLGYKTLKWAVADKTTPTPTAPPGAKHDHVYLTALLQKP >ONIVA12G13460.3 pep chromosome:AWHD00000000:12:15163115:15172624:1 gene:ONIVA12G13460 transcript:ONIVA12G13460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMADSHLDGVPAMRKTTASSSSSLNIAFLLSMVATNLLSLYHLSTRASTAPPLLLPAAHQAQAQDEQLLRQLTAIRATVSQLNHLRSSTPPPPPPPPELLLYSRLAPLASACSAHPDLLHRFMSYTPFSPCPDDALSLAEPLLLRGCHPLPRRRCFSSSSISSSHNLNNLPTDPFSPLPDSAVRWPPGAKCTSFSCLPPSLGFDLARREAARFLRAEGPLDLTVPQLLRLASLSRAGPIRLGLDVGGGTGTLAARLKRAANATVLTTTMDLGAPYSEAAAARGVVPLHAPLQQRFPVGDATMDLVRAGHAVNRWIPEAALEFLWYDADRVLRPRGLLWVDHFWCRRPDLAAVYQPMLRRLGYKTLKWAVADKTTPTPTAPPGAKHDHVYLTALLQKP >ONIVA12G13460.4 pep chromosome:AWHD00000000:12:15163115:15172624:1 gene:ONIVA12G13460 transcript:ONIVA12G13460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMADSHLDGVPAMRKTTASSSSSLNIAFLLSMVATNLLSLYHLSTRASTAPPLLLPAAHQAQAQDEQLLRQLTAIRATVSQLNHLRSSTPPPPPPPPELLLYSRLAPLASACSAHPDLLHRFMSYTPFSPCPDDALSLAEPLLLRGCHPLPRRRCFSSSSISSSHNLNNLPTDPFSPLPDSAVRWPPGAKCTSFSCLPPSLGFDLARREAARFLRAEGPLDLTVPQLLRLASLSRAGPIRLGLDVGGGTGTLAARLKRAANATVLTTTMDLGAPYSEAAAARGVVPLHAPLQQRFPVGDATMDLVRAGHAVNRWIPEAALEFLWYDADRVLRPRGLLWVDHFWCRRPDLAAVYQPMLRRLGYKTLKWAVADKTTPTPTAPPGAKHDHVYLTALLQKP >ONIVA12G13460.5 pep chromosome:AWHD00000000:12:15163115:15172624:1 gene:ONIVA12G13460 transcript:ONIVA12G13460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGMADSHLDGVPAMRKTTASSSSSLNIAFLLSMVATNLLSLYHLSTRASTAPPLLLPAAHQAQAQDEQLLRQLTAIRATVSQLNHLRSSTPPPPPPPPELLLYSRLAPLASACSAHPDLLHRFMSYTPFSPCPDDALSLAEPLLLRGCHPLPRRRCFSSSSISSSHNLNNLPTDPFSPLPDSAVRWPPGAKCTSFSCLPPSLGFDLARREAARFLRAEGPLDLTVPQLLRLASLSRAGPIRLGLDVGGGTGTLAARLKRAANATVLTTTMDLGAPYSEAAAARGVVPLHAPLQQRFPVGDATMDLVRAGHAVNRWIPEAALEFLWYDADRVLRPRGLLWVDHFWCRRPDLAAVYQPMLRRLGYKTLKWAVADKTTPTPTAPPGAKHDHVYLTALLQKP >ONIVA12G13470.1 pep chromosome:AWHD00000000:12:15174382:15180344:1 gene:ONIVA12G13470 transcript:ONIVA12G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTCLIARLCREGKLDEAIRMLAKMLASGSPPTVVTYTPIVRALYRAGRHDIATELFASMSSTNCSPDLVLHNVLMDCMTKDKRYDAALGVYLNLHESQMKPDAYTLSTLVRALHLSRNVSLLPRLFLDSADIPYDLVACNSVLNALCKSGFPSQAVQFFINMIKCDIRPDSYSYVGLLDSLCQLGRIDHAINVYHSIVSSDPDSNAYVHAAILCGLVKKGHNRMALMILNEAVRQNYALDAVCYTVVLHGLLQAHLIEEACMLFDKMKRSGMASNTCTYNIMLRGLCRTRDTHALKWFLREMECSDVEMDSISYNILVVFLIKLQHISSATALVREMVNLGMELSAKTSSLISQSMGHEYVLEDANIAENDHSDSTNDLLACSAS >ONIVA12G13470.2 pep chromosome:AWHD00000000:12:15174382:15180344:1 gene:ONIVA12G13470 transcript:ONIVA12G13470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTCLIARLCREGKLDEAIRMLAKMLASGSPPTVVTYTPIVRALYRAGRHDIATELFASMSSTNCSPDLVLHNVLMDCMTKDKRYDAALGVYLNLHESQMKPDAYTLSTLVRALHLSRNVSLLPRLFLDSADIPYDLVACNSVLNALCKSGFPSQAVQFFINMIKCDIRPDSYSYVGLLDSLCQLGRIDHAINVYHSIVSSDPDSNAYVHAAILCGLVKKGHNRMALMILNEAVRQNYALDAVCYTVVLHGLLQAHLIEEACMLFDKMKRSGMASNTCTYNIMLRGLCRTRDTHALKWFLREMECSDVEMDSISYNILVVFLIKLQHISSATALVREMVNLGMELSAKTSSLISQSMGHEYVLEDANIAENDHSDSTNDLLACSAS >ONIVA12G13470.3 pep chromosome:AWHD00000000:12:15175699:15180344:1 gene:ONIVA12G13470 transcript:ONIVA12G13470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREDPEAEEDSTEKLAPLRDGAVDGAAEADGDGDEVDHYDGERRYHEGRPLDRVELGELVVDVVAELLGGEGEGDLDAGDDLEKALQDGGEVGAGSADEPELLVPPPLLQRDAGPLDLQHGQEAERDGDDEQVGEEGDVERLHDELPREEGERREEAVDDEEDGGEGVDANVEVSDALENLQMQWIIVCLAAAEEEEEEEK >ONIVA12G13480.1 pep chromosome:AWHD00000000:12:15179048:15182518:-1 gene:ONIVA12G13480 transcript:ONIVA12G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGILKNGGKPPTAPSSAAPTVVFGRRTDSGRFISYSRDDLDSEISSVDFQDYHVHIPMTPDNQPMDPAAGDEQQYVSSSLFTGGFNSVTRAHVMEKQASSARATVSACMVQGCGSKIMRNGRGADILPCECDFKICVDCFTDAVKGGGGVCPGCKEPYKHAEWEEVVSASNHDAINRALSLPHGHGHGPKMERRLSLVKQNGGAPGEFDHNRWLFETKGTYGYGNAIWPEDDGVAGHPKELMSKPWRPLTRKLRIQAAVISPYRLLVLIRLVALGLFLMWRIKHQNEDAIWLWGMSIVCELWFALSWVLDQLPKLCPINRATDLSVLKDKFETPTPSNPTGKSDLPGIDIFVSTADPEKEPVLVTANTILSILAADYPVDKLACYVSDDGGALLTFEAMAEAASFANLWVPFCRKHEIEPRNPDSYFNLKRDPFKNKVKGDFVKDRRRVKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKAGGDEQQLEPIKIPKATWMADGTHWPGTWLQASPEHARGDHAGIIQVMLKPPSPSPSSSGGDMEKRVDLSGVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKAFREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHTTPWSCCLPRRRRTRSQPQPQEEEETMALRMDMDGAMNMASFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPRETLDASIVAEAISVVSCWYEEKTEWGTRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTHRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKVPSLLFDRLFFFFFFFFRCRQTNNYPLHLQVLQRIAYLNVGIYPFTSVFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKQLGDDVDDEFAELYAVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVAITISLLWIAIKPPSAQANSQLGGSFSFP >ONIVA12G13490.1 pep chromosome:AWHD00000000:12:15184512:15186222:-1 gene:ONIVA12G13490 transcript:ONIVA12G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNLMDKVNALGERLKVSGAEVSRKMSAGVSNMSFKMKEFFQGQNMADKIVDEATLETMDAPDWATNLEICDMVNTGNVNSIELIRAIKRRIMLKNPRVQYLALVLLETVVKNCEKAFSEIAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLRSRGIRFPGRDDESLAPIFTPPRSAPSAEQYSAAAQEGYQEIPDESFAPVHVVPAVQVNEAFEVARNSVELLSTVLSSSPQKEALKDDLTTTLVQQCQQCQRTIQRIIEMAGDNEAQLFDALSVHDELEKVLSKYKELKEPVVAEPEAEPAMIPVTVEPENSPRTKDGPVGKRAGSGADELLQDLDDMIFGKKGGTSSQQDRKEQKDDFISF >ONIVA12G13500.1 pep chromosome:AWHD00000000:12:15189931:15194367:-1 gene:ONIVA12G13500 transcript:ONIVA12G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G32200) TAIR;Acc:AT1G32200] MQAPPLASSPSPAWTAILPAPARLCCSRRGALRLEAKAAWRPAARGPRVPAKGAVLASEVVGPSPLLDARNEQELILHIRKEVEKGKLPADVAANLEELYYNYKDAVMQSRDPNAHDIVLSNMVALFDCVLLDVENPFTFPPYHKAVREPFDYYMFGQNYIRPLVDYRNSYVGNISIFQDMEQKLQQGHNVVLMSNHQTEADPAIIALLLERSNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDFPELVDMKRRANTRSLKEMALLLRGGSQIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVPGHIYPLSLLCYEVMPPPQKVEKEIGEQRVISFHGVGLSVTEEIKYSDITCREKFSESLYNSVVDQYNALKSAIFRGRGADSSDSAISLSQPWR >ONIVA12G13500.2 pep chromosome:AWHD00000000:12:15189933:15194367:-1 gene:ONIVA12G13500 transcript:ONIVA12G13500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G32200) TAIR;Acc:AT1G32200] MQAPPLASSPSPAWTAILPAPARLCCSRRGALRLEAKAAWRPAARGPRVPAKGAVLASEVVGPSPLLDARNEQELILHIRKEVEKGKLPADVAANLEELYYNYKDAVMQSRDPNAHDIVLSNMVALFDCVLLDVENPFTFPPYHKAVREPFDYYMFGQNYIRPLVDYRNSYVGNISIFQDMEQKLQQGHNVVLMSNHQTEADPAIIALLLERSNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDFPELVDMKRRANTRSLKEMALLLRGGSQIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVPGHIYPLSLLCYEVMPPPQKVEKEIGEQRVISFHGVGLSVTEEIKYSDITCREKFSESLYNSVVDQYNALKSAIFRGRGADSSDSAISLSQPWR >ONIVA12G13510.1 pep chromosome:AWHD00000000:12:15199481:15203971:1 gene:ONIVA12G13510 transcript:ONIVA12G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLDKCGGNISSLKRKRDNPAACCADAGNTSKLHKHPADNSVVRFYVDEGHKAKIKCHFNMQIIQSYQNFMTSALPKRILLRQGGEWKDFPKQIVKLAHSDFRTKKTITEALREPRLRGVSQETKLVVSKKLLERMSHTPCCLFPADLYHKISWVTIHGLGTVLGSKDIVGIYRTPAVDNHKEFRYNLFKKQAEHTKCKRGNANVRYAWLACSKDAVDEMMLNGVMHFEKTVKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVVMGNVEIVHHGSKQHRPSNEYFDSGVDDIKNPQHYIVWDMNSPSNCLLESKVRRYLSMGLDNIAIVSQLLFSYRQVPLLEQQQHAVIQTVTESTIDLCLLLRLDFKLTVDMNLQASPALGGHYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVSAENMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDRMLISTIMRLQDKLPPMSRHEAPKHVGQYDG >ONIVA12G13510.2 pep chromosome:AWHD00000000:12:15199481:15203971:1 gene:ONIVA12G13510 transcript:ONIVA12G13510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLDKCGGNISSLKRKRDNPAACCADAGNTSKLHKHPADNSVVRFYVDEGHKAKIKCHFNMQIIQSYQNFMTSALPKRILLRQGGEWKDFPKQIVKLAHSDFRTKKTITEGEHQTHLFLLDFVHMTFIDSKTGLQRPIAWIDENGKQYFPEFFIEDKTLYRKKELGNGNNVYIIVEPNETQEMNDHFGTSESSAESSNFEFSTDDVSSPKRAKAERSVAGNKTGGVKETIGENEPHALLPIPCRSLPQDKLGDHSRVQLAISAVQKLLLQGLGTVLGSKDIVGIYRTPAVDNHKEFRYNLFKKQAEHTKCKRGNANVRYAWLACSKDAVDEMMLNGVMHFEKTVKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVVMGNVEIVHHGSKQHRPSNEYFDSGVDDIKNPQHYIVWDMNVNSHIYSEFVVTIKLPSRVKDSPATEEDCHNLSEVSSLILSSGSPDSVRRYLSMGLDNIAIVSQLLFSYRQVPLLEQQQHAVIQTVTESTIDLCLLLRLDFKLTVDMNLQASPALGGHYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVSAENMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDRMLISTIMRLQDKLPPMSRHEAPKHVGQYDG >ONIVA12G13510.3 pep chromosome:AWHD00000000:12:15199481:15203971:1 gene:ONIVA12G13510 transcript:ONIVA12G13510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLDKCGGNISSLKRKRDNPAACCADAGNTSKLHKHPADNSVVRFYVDEGHKAKIKCHFNMQIIQSYQNFMTSALPKRILLRQGGEWKDFPKQIVKLAHSDFRTKKTITEGEHQTHLFLLDFVHMTFIDSKTGLQRPIAWIDENGKQYFPEFFIEDKTLYRKKELGNGNNVYIIVEPNETQEMNDHFGTSESSAESSNFEFSTDDVSSPKRAKAERSVAGNKTGGVKETIGENEPHALLPIPCRSLPQDKLGDHSRVQLAISAVQKLLLQGLGTVLGSKDIVGIYRTPAVDNHKEFRYNLFKKQAEHTKCKRGNANVRYAWLACSKDAVDEMMLNGVMHFEKTVKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVVMGNVEIVHHGSKQHRPSNEYFDSGVDDIKNPQHYIVWDMNVNSHIYSEFVVTIKLPSRVKDSPATEEDCHNLSEVSSLILSSGSPDSVSQTSSTVGAAAACSNSDKSNNKHPLLHKQDMNLQASPALGGHYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVSAENMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDRMLISTIMRLQDKLPPMSRHEAPKHVGQYDG >ONIVA12G13510.4 pep chromosome:AWHD00000000:12:15199481:15203971:1 gene:ONIVA12G13510 transcript:ONIVA12G13510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLDKCGGNISSLKRKRDNPAACCADAGNTSKLHKHPADNSVVRFYVDEGHKAKIKCHFNMQIIQSYQNFMTSALPKRILLRQGGEWKDFPKQIVKLAHSDFRTKKTITEGEHQTHLFLLDFVHMTFIDSKTGLQRPIAWIDENGKQYFPEFFIEDKTLYRKKELGNGNNVYIIVEPNETQEMNDHFGTSESSAESSNFEFSTDDVSSPKRAKAERSVAGNKTGGVKETIGENEPHALLPIPCRSLPQDKLGDHSRVQLAISAVQKLLLQGLGTVLGSKDIVGIYRTPAVDNHKEFRYNLFKKQAEHTKCKRGNANVRYAWLACSKDAVDEMMLNGVMHFEKTVKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVVMGNVEIVHHGSKQHRPSNEYFDSGVDDIKNPQHYIVWDMNSPSNCLLESKVRRYLSMGLDNIAIVSQLLFSYRQVPLLEQQQHAVIQTVTESTIDLCLLLRLDFKLTVDMNLQASPALGGHYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVSAENMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDRMLISTIMRLQDKLPPMSRHEAPKHVGQYDG >ONIVA12G13510.5 pep chromosome:AWHD00000000:12:15199481:15203971:1 gene:ONIVA12G13510 transcript:ONIVA12G13510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLDKCGGNISSLKRKRDNPAACCADAGNTSKLHKHPADNSVVRFYVDEGHKAKIKCHFNMQIIQSYQNFMTSALPKRILLRQGGEWKDFPKQIVKLAHSDFRTKKTITEGEHQTHLFLLDFVHMTFIDSKTGLQRPIAWIDENGKQYFPEFFIEDKTLYRKKELGNGNNVYIIVEPNETQEMNDHFGTSESSAESSNFEFSTDDVSSPKRAKAERSVAGNKTGGVKETIGENEPHALLPIPCRSLPQDKLGDHSRVQLAISAVQKLLLQGLGTVLGSKDIVGIYRTPAVDNHKEFRYNLFKKQAEHTKCKRGNANVRYAWLACSKDAVDEMMLNGVMHFEKTVKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVVMGNVEIVHHGSKQHRPSNEYFDSGVDDIKNPQHYIVWDMNVNSHIYSEFVVTIKLPSRVKDSPATEEDCHNLSEVSSLILSSGSPDSVSQDMNLQASPALGGHYEAPMLGDKVERAPSTPWMPFSMLFAAISTKVSAENMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDRMLISTIMRLQDKLPPMSRHEAPKHVGQYDG >ONIVA12G13520.1 pep chromosome:AWHD00000000:12:15204431:15211912:-1 gene:ONIVA12G13520 transcript:ONIVA12G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVEGRNCLPAEVRIGLETLKRRRLERMRLTAQNNAGDGPPVPARSGGDALRTPANCGVRLHANNGTALPSGTTQNKDPFAKRRVDKFDMSSLEWIDKIEECPVYYPTKEEFEDPIGYIQKIAPVASKYGICKIVSPVSASVPAGVVLMKEQPGFKFMTRVQPLRLAKWAEDDTVTFFMSERKYTFRDYEKMANKVFAKKYSSASCLPAKYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLSNSVLRLLQTPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFEKVASQFVYNKDILVGEGEDAAFDVLLGKTTMFPPNVLLDHNVPVYKAVQKPGEFVITFPRSYHAGFSHEQELRSCPCKSNRVVYVREDIQELEALSRKFEKDICLDKEISGFDSYKQAEKNEPFFEITRNLRNTEANLIEDAFSGATAADAAKSSPATSTLTSFAQHDVPVLAEAIVCANQADQLYSTTKQTISSPLVKGTDAVGANSSSMADANNGTGSCNASAVEYSGNSDSEYEIFRVKRRRLKKVRPEIQQHNKRPEDYGHCSVPSGRMSMKNLNSSSSCGEEHWRMKRRQLETQQDESSYSAKQKSYSYPSTSYSFRGEFVEMSRDAAAEFTDGGGEVELRLDIGKLGIENSRDVFVDVDDTSLLVRAKSDGTLRTLINVKQLFDRIKSSETIWFIDEDQLVVNLKKVEQELKWPDIDESWESLTSGITQLLTGISVHIVGDSTDINEAVAKEIAEGIGYLPVCTSELLESATEKSIDKYEASAKEEARRSVSSGNVAYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGKKSLYMRLGCRGDWPNIEPPGWDPDSDAPPTNI >ONIVA12G13530.1 pep chromosome:AWHD00000000:12:15224030:15226560:1 gene:ONIVA12G13530 transcript:ONIVA12G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIASWMVQSVSRLTDLVQDFNCLIQENILLQKLGIAAEHGYFVRWNKAAEWESSYPNHDFEWKHIAEPVMQVYTETTDGSSIEPKESALLWHYLDADHDFGSCQAKELLGHLERVLSNEPVVVKCGHYIVEVKPQVYTI >ONIVA12G13540.1 pep chromosome:AWHD00000000:12:15229358:15232731:-1 gene:ONIVA12G13540 transcript:ONIVA12G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSIFSESSDDFSVSPLRKKVILPSARHRKRNPKYRSPINPLFHNNVTRGVRANRGVNERDGITTKDYAVMEYVKLHNKSSPSATLVHIRGSYEIMSKTLMCLTNNPGRGNKSKWLDDRIVYSYSLLIKKRRNAIKNGKKIHVFDPTVLNWTADNVQYSRKDGRGRQTRINRALDIAQSDLVFYPFVQNDHWSLLVLDNLELQFYVLDSLVDMAIYKTFLHSVIKVIKTDLDVAASEGIRIPKIHDWKPKQIGKVPKQIAGETPSTTAVLAAVAIACAAAESHQLDLLLRPAAHPAPASCLSTPDPAPASTIFSASASSNSSCYTSYFAEEDFEYGVYDDQTSSGRMSLNGIKKLNLLRASKVVDIGTPQKKDYFLCSETPGAAKAWISTLL >ONIVA12G13550.1 pep chromosome:AWHD00000000:12:15232863:15239655:-1 gene:ONIVA12G13550 transcript:ONIVA12G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFRGTGNKDDAKCGKDCSTDSYMDNSSNTNDDDYAECSLAISSKVNELQKQQNEILRAIRDTDGKVSILEKTLQIWLTKYDKVNDKDVENSNRSGNSYREPPNYSTPKGHSYADNDIASPKSFTP >ONIVA12G13560.1 pep chromosome:AWHD00000000:12:15245168:15245938:-1 gene:ONIVA12G13560 transcript:ONIVA12G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSPVIRLDAAAVDPATVAYLRDLVERLEGKCYHQASDVQIFAADDDADLFRLRPEPSLLAGVPEVVVSAINTLEELLRKGSPALAVYGRHATRVRRLELQEAADAAMDELMSVNDVITDLHIAFRAKRAQLAAAQQAKGQIAAQIFAVVGAPATTRDSLARSAAALALLLPWFGAAHEREAELEMALGRMAPSFAPLNWNLEVATQRFEAADAAVHAVPHVAGSWRDDVQVVRDGGDRFEESASVLREYMA >ONIVA12G13570.1 pep chromosome:AWHD00000000:12:15257614:15260221:-1 gene:ONIVA12G13570 transcript:ONIVA12G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLLPRLGRAHEREVELEMVLGRMMPSFLALDWNLEVAKQHDEPTDTTVHAIPDVTDSWHDDVQVSFATEGTTSSRASAINILKELLRKGSLALVAYRRHVTWLKCIELQEFINAAMYDVMSANDVITNLHLTFKAIGRSWCLEIGAHRLWVKRIELHDAAIEEVTLVNNVITDLHLAFEAKRAQLAASQLAKRQIAVQIVAMVCTLVTNHKSLACTVAVLNLLLPWLERAHEREAELEMALGRMVPSFLALNWSLMVAKQRDEYSVMPRSRYP >ONIVA12G13580.1 pep chromosome:AWHD00000000:12:15260292:15266734:-1 gene:ONIVA12G13580 transcript:ONIVA12G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVMSVNDVITNLRLEFEAKRAQLDAAAQAKRRIAAEIVAVVCAPADTHESLSRAAAALSSLIPRLESARESENELEMALARMVPSFATLNWNLEVAKQRAIPDVAGSWRDDVQVVHDGGDRFEESASVLRDTIFWHPEYTKTRVHAIPELPGRQQERRRVQVISDGTMRFEKSVSVLRGSKLEPMLTAYRLHVSRLKRMHRADAVDVAMDEVTSVNNVITNLHLAFQAKRAQLASSELAKW >ONIVA12G13590.1 pep chromosome:AWHD00000000:12:15266779:15267027:-1 gene:ONIVA12G13590 transcript:ONIVA12G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASPVIRLDAAAVDPVTVAYLRDLVERLEGKCYHLACDVQIAAAAADADLFRLRPEPSSTPWRSSSARGARRWRRTGGT >ONIVA12G13600.1 pep chromosome:AWHD00000000:12:15276843:15279923:1 gene:ONIVA12G13600 transcript:ONIVA12G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon family protein [Source:Projected from Arabidopsis thaliana (AT3G12180) TAIR;Acc:AT3G12180] MSIELILWLFSFASIMLICLSDLEFDYINPYDSSSRINSVVLIEYALQGALCASFLLTLHWFPFLVMAPVAYYHGKLYMDRKHLVDVTEIFRQLNWEKKYRMIKLAFYFSLFIITIYSEVKLVVCIIEQQTNTASL >ONIVA12G13610.1 pep chromosome:AWHD00000000:12:15280955:15282353:-1 gene:ONIVA12G13610 transcript:ONIVA12G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAGGAGSSEGRRRPRHRGEAKASAVPACYHRPSAGSCHHACKYGGAHAFEERESRRPAAAQPRPRKTPPPPPLAAATAMAKLRSASSRRRVGDLTKPVKAGKKAAATAVDDTGKKGDAGVVVWKDIVAYESTPLPPEKTTIAAGGGDARKKKDVSVTKGKKPIKSSPHGKSKIVAESTDDVIDGSSSKKKLVKSFGSKLTGKPPPSPELKAGEKSTPPSSHKSKKKMTTTRTNSLKPPKPKRNLVEISQQISHQDPSSSSSSAAANDIKEEKPHSPPCQEEKKSGMAPPPSPPPRPSHRRARSMSITGSAKSVRFPFTRQASRSTTTTTTTAFKVIRSRSSRAAATAAPPEDAPATTRLRFFRRGDAGGSSSGGGGGSGFHLRMRSLRRRGSIGGTAAAGGGGGFVVPAVALRHQKTLEKKRSRRLYNSVIEETAGKLAIARKSKVKALVGAFESLISKIGK >ONIVA12G13620.1 pep chromosome:AWHD00000000:12:15286329:15290113:1 gene:ONIVA12G13620 transcript:ONIVA12G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDHVVAATGGGGGERAAHQYHVTDDTDAATASEEVGGGGGGWPRALLRRGWELAGKAAVAGAAATAAPVVVPPVMLLTAAGLALSLPFAAYLATLAATHRLMAALLPPHESGLDGAAVEQELLDAFYHFSTTDQEEDGGGGGVGIGLASPPPSVDEPVSFQESSVSRNGGKIEDGTTKGTVSLTTDVPEFPELPVVETREEDGVIHVQQLGQDHHHTHVLDTGDKAEESTISSVSGTPVEIFTDKDNVEKGVVEDTVVVEAAVVEQLASNAGIVAQELVDTNVAIVAISAPENEATTPTSDLVARVSEEVVGSSCDGEMQETAVVDDTMRELSDANMDEDVQHHDQRVVCSSVLMASPLAVGVYEDVMSTGSTQDIPEVCDETSQPGQEHDQSDGFEAKVISGDKDLYTEEHVRQQLETLRTITGYRSPFSSTLEGELAALYLFIGVEPPVSSRNASDLMEINAKLRLLKSIVGVD >ONIVA12G13630.1 pep chromosome:AWHD00000000:12:15291565:15295495:1 gene:ONIVA12G13630 transcript:ONIVA12G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRVDHNTMVAVATVGDGVTVTVPGEGGNVAGSLTSSSSSSDAAAAATPLAGGPSPSPPLVSSPPPGADAGFGLCGSCPSRLHCSPPLGLLGAARRLPQLSPSPHALLGYSPPAAAVVKIEPSNPVFATGIRFGGFVMGGSSIGEGTLSLSDFVASAKALIEKWKEEGYLALEGVYRNPGGRHAQSSKMHHVSWKPGNN >ONIVA12G13640.1 pep chromosome:AWHD00000000:12:15299228:15303216:1 gene:ONIVA12G13640 transcript:ONIVA12G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMRLSTGDFARAWLGECVDDLLPSTMDCCSADSARIRSTTRATTALDRVAGDFNGLLIVIITTHVPLAPGKIVLAAGEMWRTREMWQRG >ONIVA12G13650.1 pep chromosome:AWHD00000000:12:15305384:15309980:1 gene:ONIVA12G13650 transcript:ONIVA12G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLRCLSELARRRRGCCRPDHAVDAMRAGLQCLMSTCSSTKATDNHSFSNTYATSCANLPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPSSELLSHDEIIRVADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDAAIELGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEIMDKVRQRFNGVERLQDHPSETAKNFKIDGHAGTISFITSMTQHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVKRKKAKHAGMFDIAKTANRPMIHIGG >ONIVA12G13650.2 pep chromosome:AWHD00000000:12:15305384:15310357:1 gene:ONIVA12G13650 transcript:ONIVA12G13650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLRCLSELARRRRGCCRPDHAVDAMRAGLQCLMSTCSSTKATDNHSFSNTYATSCANLPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPSSELLSHDEIIRVADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDAAIELGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEIMDKVRQRFNGVERLQDHPSETAKNFKIDGHAGTISFITSMTQHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVKRKKAKHAGMFDIAKTANRPMIHIGG >ONIVA12G13650.3 pep chromosome:AWHD00000000:12:15305384:15310357:1 gene:ONIVA12G13650 transcript:ONIVA12G13650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLRCLSELARRRRGCCRPDHAVDAMRAGLQCLMSTCSSTKATDNHSFSNTYATSCANLPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPSSELLSHDEIIRVADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDAAIELGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEIMDKVRQRFNGVERLQDHPSETAKNFKIDGHAGTISFITSMTQHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVKRKKAKHAGMFDIAKTANRPMIHIGG >ONIVA12G13660.1 pep chromosome:AWHD00000000:12:15316226:15319486:1 gene:ONIVA12G13660 transcript:ONIVA12G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:A0A0E0JAV7] MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTAKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTENGNTKDDLRLPTDDNLLSQIKDGFGEGKDLVVTVMSAMGEEQICALKDIGPK >ONIVA12G13670.1 pep chromosome:AWHD00000000:12:15327023:15332429:1 gene:ONIVA12G13670 transcript:ONIVA12G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPPPPPRPRLALPPRSAAESLFTGAGDASPGPLTLASALFPSDPDGGGGGGVMTSSSSSAAGATSFTQLLIGNLSAPPPPPPPQQQQQQREAARGGGVARAGPALSVAPPPAAGSVFTVPPGLSPSGLLDSPGLLFSPAMFDAFDVLDMFIPDKILPKRATRIKLDIYFVKTSPEGGFGMSHREALAQVTAQASHSPLRMFDHTEQPSFSAAPTSSEAMQHMNAAVNMTGISDMVMGPTNNENVAFQPAEASQRYQVNAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPNCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGSSSAADQNEQSNDTVSGLSGIKRDQEAIYGMSEQLSGLSEGDDMDDGESRPHEADDKESDSKKRNIQISSQRTSAEAKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGNNQNAGNAAPSSSAQQNMQNLSSNQASLTMADFNNINQRPIGVLQFKSEE >ONIVA12G13680.1 pep chromosome:AWHD00000000:12:15335174:15336160:-1 gene:ONIVA12G13680 transcript:ONIVA12G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATRIVRMALHAFFSHYHPAASAAALLALPFSAAVLVSRSPALLQLAAPPRAILLLQPALSRRLRRVFVAAGFPPASQLLFLLNHRLSQSIVSFLATLPLAMSFLLLAKAYAVHAVVAARGRGARRCVGGAAPSMASLVRASYPAMARTQLASFGALLSAHAAVFAALLAAFNAAEALRLGSDGRAVLALSAAGVIVYSVALANAAAVCNLATVVAAAEGGRGGARAVLRAVLLVRGDAATAVAVALPATLATAAVEGLFQLRIARPYAVTGELTSSMVCEGFLIAYIYSIICVLDTVITCMVYQTCKMSHSCDLLELEEKGDLAA >ONIVA12G13690.1 pep chromosome:AWHD00000000:12:15338948:15346474:-1 gene:ONIVA12G13690 transcript:ONIVA12G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATAAAIRSGELLACPMALRRAAAPVAAAVSFRMGRAATARAGRLATRVRVRVVAAAEEGAAEGKSSGKKKRAASGIMKPKPISAELREFVGGAEELPRTEALKIIWAHIKGNNLQDPNNKKIIVCDEKLKKIFGGRDRVGFLEISGFFGTQTLTNK >ONIVA12G13690.2 pep chromosome:AWHD00000000:12:15340691:15346474:-1 gene:ONIVA12G13690 transcript:ONIVA12G13690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATAAAIRSGELLACPMALRRAAAPVAAAVSFRMGRAATARAGRLATRVRVRVVAAAEEGAAEGKSSGKKKRAASGIMKPKPISAELREFVGGAEELPRTEALKIIWAHIKGNNLQDPNNKKIIVCDEKLKKIFGGRDRVGFLEISGYVTGF >ONIVA12G13700.1 pep chromosome:AWHD00000000:12:15346739:15351119:1 gene:ONIVA12G13700 transcript:ONIVA12G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSIARLESSFRALSFRRRPKEMKHQALFLQSVLKNLVLMDSMVVPYNSHKLCYLPSLNCALVAYLHVSSVTFDAYAQLCHFCCNQNDVNGQDCYLCCQLMFSGFNALCQYLVVTFV >ONIVA12G13700.2 pep chromosome:AWHD00000000:12:15346739:15348800:1 gene:ONIVA12G13700 transcript:ONIVA12G13700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNNFTGPGIGLGFGIGCGFGVGWGFGGMPLNMFGLGIGGGCGVGLGLGWGFGKAYGCQYRSSRVQFQGIEFQKKTEGDEASSLVSPERVEKSHHPFSVEMDWV >ONIVA12G13710.1 pep chromosome:AWHD00000000:12:15349204:15349690:-1 gene:ONIVA12G13710 transcript:ONIVA12G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAIAKSAGLLREIKNKQSSNLMSRVQPSEARSAETALWVPHPRTGIYYPKGFEWVMEDVPSSAASFRQLYWLRSGDAETASSPTSNDAAAFDHPFV >ONIVA12G13720.1 pep chromosome:AWHD00000000:12:15354563:15354904:-1 gene:ONIVA12G13720 transcript:ONIVA12G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTEARLGDELRDGEASGAAADDGVGRATNETARRVCLCSLPCIVGPIKWWSGVGVGARRFTEHAQLMAALGSDDRDNNTVDMLSWTRASHAATAVSSMGAGDEQKDLELRL >ONIVA12G13730.1 pep chromosome:AWHD00000000:12:15355255:15358121:1 gene:ONIVA12G13730 transcript:ONIVA12G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAACTAVISSASADGVNERRDVAPVLDLGCGPKLGRNNAEISTKQNCTVAQDRHALCDQTRNAPMNLNRKRTHAQPVCAIPAVSPRPVVHRFKHFDNVEFEDCMVNRAFSVIVMVHFNAFLIATLVDTRCQLVVIGVYANHLTTHVLQWASTFANNHVVVGTMLQLDRTYYFRWFVVNGEVNLSFVDRYHVTRWVHIAEVLDSKSSFNKNIKHA >ONIVA12G13730.2 pep chromosome:AWHD00000000:12:15355775:15358121:1 gene:ONIVA12G13730 transcript:ONIVA12G13730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNRKRTHAQPVCAIPAVSPRPVVHRFKHFDNVEFEDCMVNRAFSVIVMVHFNAFLIATLVDTRCQLVVIGVYANHLTTHVLQWASTFANNHVVVGTMLQLDRTYYFRWFVVNGEVNLSFVDRYHVTRWVHIAEVLDSKSSFNKNIKHA >ONIVA12G13740.1 pep chromosome:AWHD00000000:12:15360625:15368791:1 gene:ONIVA12G13740 transcript:ONIVA12G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLGPEDSLDLVRTLRMASYGLLISGPSLHIWFNFVSKLLPKQDVMNTFKKMFLGQAVYGPIINSVFFSYNAGLQGETIPEIMARLKRDLIPTIKSGLIYWPLCDFITFKFIPVHLQPLVSNSFSFLWTIYITYMASLKKADVDVTTSS >ONIVA12G13750.1 pep chromosome:AWHD00000000:12:15366226:15366839:-1 gene:ONIVA12G13750 transcript:ONIVA12G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHIKMAILKQEQTFRQQVNELHRVYRVQKQLMIEMQSISTQAQAKADNRTIPRLEMDHQQWYRNSGEKKAPEFVEDFDLELTLATGAGRKQEKPSNSDSGATVSSSTSAESESERRFPESNVALRFQNESKRHDDQLMQSPWLYQCLSLKMA >ONIVA12G13760.1 pep chromosome:AWHD00000000:12:15377767:15380440:-1 gene:ONIVA12G13760 transcript:ONIVA12G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAAAGAGSGEPSSTSRPGKEREDGGDGGGRKEGEGGADFDLAGAAAGWVEARTSCPHLSAMPAASADDLARVPPPDSPCSRCHHPAENWLCLICKDVLCSRFINKHMLYHYQDTGHCLALSFSDLSVWCFACDSYLDVQSILELRPVYEVAHLMKFGQRPPFRSLDVLDLSSGQNGGSPSQS >ONIVA12G13760.2 pep chromosome:AWHD00000000:12:15377767:15380440:-1 gene:ONIVA12G13760 transcript:ONIVA12G13760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAAAGAGSGEPSSTSRPGKEREDGGDGGGRKEGEGGADFDLAGAAAGWVEARTSCPHLSAMPAASADDLARVPPPDSPCSRCHHPAENWLCLICKDVLCSLWCFACDSYLDVQSILELRPVYEVAHLMKFGQRPPFRSLDVLDLSSGQNGGSPSQS >ONIVA12G13770.1 pep chromosome:AWHD00000000:12:15382080:15384461:1 gene:ONIVA12G13770 transcript:ONIVA12G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCFVCSRISELEYFLYDSWDKNWCSPGVREPSWTDQEVRAHVLQTVLPQQRQGHRLHQVPLKSSWFPQPYCWVKRWSI >ONIVA12G13780.1 pep chromosome:AWHD00000000:12:15390431:15390679:1 gene:ONIVA12G13780 transcript:ONIVA12G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGLLPLTILLLAVAAALHGADRVTAVPSLEGLEAAGEGLAEPIKKVVGGAVAAGVESIGRKRPAMKDFAAGVKAGRKFH >ONIVA12G13790.1 pep chromosome:AWHD00000000:12:15392847:15394317:1 gene:ONIVA12G13790 transcript:ONIVA12G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANLKPFQAPTQYIYTFSLQLQFAPYSQNHCSGSAMECSSFEAICNESEMIAHLQSLFWSSSDADPCFGSSSFSLISSEGYDTMTTEFVNSSTNVCFDYQDDSFVSAEDTTIGNKRKVQMDTENELMMNRSKEVRTKMSVSKACKHSVSAESSQSYYAKNRRQRINERLRILQELIPNGTKVDISTMLEEAIQYVKFLHLQIKLLSSDEMWMYAPLAFDSGNNRLYQNSLSQE >ONIVA12G13800.1 pep chromosome:AWHD00000000:12:15424405:15427670:1 gene:ONIVA12G13800 transcript:ONIVA12G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLEEVDLWFYYKTPPGDLARGRDSPPPSTLRPARLLPIAVPPSTVDRHPLHRLAASTLQPTASHADKEVKIEFGEAATAKVLRLPESSSSLTAAMTSSQAYMDQCVIVDILAPALVETEAIRLYPPMPIDLPKMEQRDRKKRDGKMTLTYSGHCFFLHVSFYCTRNRIKPSGIGSWRI >ONIVA12G13810.1 pep chromosome:AWHD00000000:12:15428158:15430793:-1 gene:ONIVA12G13810 transcript:ONIVA12G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGEDTKGVQSFTTYSVEFDCERGDAVTHGLVTVECDPDTGEISHREATFLVLRRGESGRRDAVRMFEATCRLRGSVAIANAFLVANCDGLKRWVICYEKLAGILSDYPDDHMLTTFGAFSSNGTDSLSPYWSNQIKMIITTLSDMGIRNVSHGGMSNPESYALTESLDIKLINMGKNENSRTYQHDLADFGTFLCQTPYIGASKCTSWTGFHFLINSNAMSQRYLWADIVKGHPLFLDPPSKLRCYVNLFEWSELIQISEKTAFCDACYKLMPDLTQKTLWGWISCCPASVKIYLNQAYKGISIYEDIITFVKNMILHGRQELMNANGGRWSDAHFCHKIEQLFPGFMSVAYALSKATRID >ONIVA12G13820.1 pep chromosome:AWHD00000000:12:15449203:15453451:1 gene:ONIVA12G13820 transcript:ONIVA12G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTILDVFKSRNINGEFSGTVIHFYSFSYEGKDDTWYKGEIFPVTSLKTPLEKGKGIRVSIWTFPAEKEKELKEMILDLLPLESTALLKPSFAAYNSHNDRAILCCTPCTSNMTSWIAKQVQIPSDSYLQEDSKNMICSILNLSKVMWDLGYACDGLDDPQSFIMMDSNVKLLPFGIRKRQNSDSEMMHRIKFSNLLENHLSQRWNDIEVNEFIKLMRNPSASLEHLLNHPLLLPPEIRMSSYLNLWIENLTPDQHNLYNSITSYGKWTTRDAHRFSRNTASHYISHWRRLIPSSNRTKAPFDMVDKELKKCFPGLLLFATELDIQ >ONIVA12G13820.2 pep chromosome:AWHD00000000:12:15449319:15453451:1 gene:ONIVA12G13820 transcript:ONIVA12G13820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTILDVFKSRNINGEFSGTVIHFYSFSYEGKDDTWYKGEIFPVTSLKTPLEKGKGIRVSIWTFPAEKEKELKEMILDLLPLESTALLKPSFAAYNSHNDRAILCCTPCTSNMTSWIAKQVQIPSDSYLQEDSKNMICSILNLSKVMWDLGYACDGLDDPQSFIMMDSNVKLLPFGIRKRQNSDSEMMHRIKFSNLLENHLSQRWNDIEVNEFIKLMRNPSASLEHLLNHPLLLPPEIRMSSYLNLWIENLTPDQHNLYNSITSYGKWTTRDAHRFSRNTASHYISHWRRLIPSSNRTKAPFDMVDKELKKCFPGLLLFATELDIQ >ONIVA12G13820.3 pep chromosome:AWHD00000000:12:15449319:15453451:1 gene:ONIVA12G13820 transcript:ONIVA12G13820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTILDVFKSRNINGEFSGTVIHFYSFSYEGKDDTWYKGEIFPVTSLKTPLEKGKGIRVSIWTFPAEKEKELKEMILDLLPLESTALLKPSFAAYNSHNDRAILCCTPCTSNMTSWIAKQVQIPSDSYLQEDSKNMICSILNLSKVMWDLGYACDGLDDPQSFIMMDSNVKLLPFGIRKRQNSDSEMMHRIKFSNLLENHLSQRWNDIEVNEFIKLMRNPSASLEHLLNHPLLLPPEIRMSSYLNLWIENLTPDQHNLYNSITSYGKWTTRDAHRFSRNTASHYISHWRRLIPSSNRTKAPFDMVDKELKKCFPGLLLFATELDIQ >ONIVA12G13830.1 pep chromosome:AWHD00000000:12:15475256:15480130:1 gene:ONIVA12G13830 transcript:ONIVA12G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGRGGAAEEEEEGCDLFQCFCKWRKRCSPRIPRVSPGRDYVCISSDASGDSTPKWSVLVGCTSIYEPFHNLRTHRFRVSDSGRVMGCSDDMLERFRGVSPHDDEHTVFSSATAAMAPNSRNMCIICAHSPSFDQRSTDTSGGGGGGGQDIGLLPKVFFMDCVDKSLTVLPPIPFPHGSYQSVSAHGELWTLATVEDPGPSGVKRRLLVYRLDGTSNSWAKISDIDFPYRRPSVNIFCGGPLLQGYAVISDRFILMSFIDLSFFCFDCVSSSLTRVTTEDETFQYVPIRGRAAHVAHNDNGIYFIERGTLFRYNYSPESNKPLKPPEVIDAICPYRKEGYGFVIHLRNDILCAVWMNMNIPCKCATRHVLITTFRIECQLDKDDFEPKVLEVLHSTCRRIGMLRSKAPEHESYDRLCFIQEYLDDSSEIDPSIALMMGARSSYSEADEVDPKMLLCCREFLSIRELSSCVVLEECRVMVKSEFYFICQSGQHTYLYKISTARGKLTCHETILEAEHSLETIRNGDVGIDDPPAWHFVNYGVKLYVIPSVPQYNHYYEVDVYRNSSLILESKRPSICFSAVCRVGQRIVALGDTLEAVYILDLQNVEWVFCKTSSTFLDLRKEIKISGFVDLGNDSMMISEVDACECFILDLKKKQWFVVEPPNGDIWQYCVGLLSGICMFIEGFIYTCSDGEMVAYELIEKDGLYHWDAPVIMRLPWKKFSNRKFMAFCPICKDVIHDDIAFSIVEARPFGSSHTVATTIVQVKLQETTRGSKRPVGIAHADISTSSIEQNGWILSNYAFTL >ONIVA12G13840.1 pep chromosome:AWHD00000000:12:15481553:15493783:1 gene:ONIVA12G13840 transcript:ONIVA12G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPSSWPSLAVHAAVAVAAAAAATPRRRASRRRLGFGRETRVFERAEGRGEEERRVVATWHAGSRSRDAKQRTACVRFISFRWAGLSRRHGSNRLRGTRGGGGGVTGATPQACNVGIPDLPAAPGCPPPPPSPVPLSVLAPRKRLENHSPAESSHKCVNDGIHDCATGKGKRLRGFEKGPSSSRLWQWWYSKPKKEKKYWRPQVRRIEGCQNVRSVKQIRVFSSFFFLLVCNLMRMVPDDRVVQALQVGCIFLFPESNRN >ONIVA12G13840.2 pep chromosome:AWHD00000000:12:15481553:15494707:1 gene:ONIVA12G13840 transcript:ONIVA12G13840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPSSWPSLAVHAAVAVAAAAAATPRRRASRRRLGFGRETRVFERAEGRGEEERRVVATWHAGSRSRDAKQRTACVRFISFRWAGLSRRHGSNRLRGTRGGGGGVTGATPQACNVGIPDLPAAPGCPPPPPSPVPLSVLAPRMETRDHDGPAAVKRGTVGPAGWSRGTMTVPQLQNTGP >ONIVA12G13850.1 pep chromosome:AWHD00000000:12:15498223:15500824:1 gene:ONIVA12G13850 transcript:ONIVA12G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADESTGEIWTVVVGCTSADIRMHNLRLHRLRVDATSGRVLGRPGDLLRRLMRVAPADDEAEVFPDARAALITRDDDQRRLYLFCDRWLLSPGSGWGDSGQVATPISKPTVAMALDLSDRTLPTIFAMDLPSSSCALAWPVPAAAKIWAPYVAPIPGGRGRSRRLTMLHLDETSDDQYCWIDAGSIDLPQEDSSSSSTISMSASGTGYYSSIYGGYAMSGKTPLLQGFAVLGDVILVSLRTCDFYLFRCSTCHWSRVYLVGDCCHYIPLNGRAVFSEEDDHIYFFRDSCLYAYKFSLEEGKMAPPIKLASLYDDFSAHGNAFIASLSNRVLEFGEDDLWLEEDTNDAVDSSSQVSLPCCRTFPKGSLEK >ONIVA12G13860.1 pep chromosome:AWHD00000000:12:15505653:15508553:1 gene:ONIVA12G13860 transcript:ONIVA12G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADWQKLAVEISVKPVPFKHPGPTSSAHGQQKNFGRVKQQRFSSYPSSSPFVLNVLEGAISGTDTLRSLPVGSSAAGKSESPQPHKVNLRYEVDYSFGCSGIEEIDGGYGLALQASKLSEDIMAYQALLGSKDQD >ONIVA12G13860.2 pep chromosome:AWHD00000000:12:15505653:15508553:1 gene:ONIVA12G13860 transcript:ONIVA12G13860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADWQKLAVEISVKPVPFKHPGPTSSAHGQQKNFGRVKQQRFSSYPSSSPFVLNVLEGAISGTDTLRSLPVGSSAAGKSESPQPHKVNLRYEVDYSFGCSGIEEIDGGYGLALQNILIIEGFKFNFNEDIMAYQALLGSKDQD >ONIVA12G13860.3 pep chromosome:AWHD00000000:12:15505653:15508553:1 gene:ONIVA12G13860 transcript:ONIVA12G13860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADWQKLAVEISVKPVPFKHPGPTSSAHESPQPHKVNLRYEVDYSFGCSGIEEIDGGYGLALQASKLSEDIMAYQALLGSKDQD >ONIVA12G13860.4 pep chromosome:AWHD00000000:12:15505653:15508553:1 gene:ONIVA12G13860 transcript:ONIVA12G13860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADWQKLAVEISVKPVPFKHPGPTSSAHGQQKNFGRVKQQRFSSYPSSSPFVLNVLEGAISGTDTLRSLPVGSSAAGKASKLSEDIMAYQALLGSKDQD >ONIVA12G13870.1 pep chromosome:AWHD00000000:12:15511658:15512441:1 gene:ONIVA12G13870 transcript:ONIVA12G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPSGKRRPLTPNWEDTGQGWGGWGGSGGLGLMKGVAGDGGGDGGGKDGGGWQRRRRRAEGGPAAAARAEGGNGGGGATGGGGGGGGKGRDEREEGGGGEIRDFPAENHPPPLNPRDIPNPESLLHPPYLTPQIAPNPPPTKNSPHLPRIRAESRLPRRAPPRRSSAWLGHRRRARSCSLSRAAPSLPPPAVPARVHQVEGVVEDGTSGRGRRRRHEAAAARVRGRGGQTGGTARPGTGTVPARPVSARPVRHG >ONIVA12G13880.1 pep chromosome:AWHD00000000:12:15516248:15524677:1 gene:ONIVA12G13880 transcript:ONIVA12G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIALRPLRRIKYTSMEPLFSSPHRQGQMEAVCAGGCRSSDGLDALKPVDKLAPTLSGNGGTKELSRTFAVRLTSFKLVVVVNARDPLFYRCPDLEVANGMVERRVSEDGGGSGAKVDGDGQGIAAADPGRLAWHGSRDNDKRQRKWAANLGGTPPGSCIVLLHISLLSRVPNSTPKFCWLIVMPGWFSGWCCPGFQVQQLPSSKGTGLVFAMLLLLHQTTTITTTTLYICKMGGLWRRAMALPSL >ONIVA12G13880.2 pep chromosome:AWHD00000000:12:15517245:15524677:1 gene:ONIVA12G13880 transcript:ONIVA12G13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKSCPGDQFACGFVVENTTRQHVVADGRASTNFISAWIQTFAVRLTSFKLVVVVNARDPLFYRCPDLEVANGMVERRVSEDGGGSGAKVDGDGQGIAAADPGRLAWHGSRDNDKRQRKWAANLGGTPPGSCIVLLHISLLSRVPNSTPKFCWLIVMPVAGVAQVSKSSSCHHPKALAWSLLCSFSFIRRRAMALPSL >ONIVA12G13880.3 pep chromosome:AWHD00000000:12:15517245:15524677:1 gene:ONIVA12G13880 transcript:ONIVA12G13880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKSCPGDQFACGFVVENTTRQHVVADGRASTNFISAWIQTFAVRLTSFKLVVVVNARDPLFYRCPDLEVANGMVERRVSEDGGGSGAKVDGDGQGIAAADPGRLAWHGSRDNDKRQRKWAANLGGTPPGSCIVLLHISLLSRVPNSTPKFCWLIVMPGWFSGWCCPGFQVQQLPSSKGTGLVFAMLLLLHQTTTITTTTLYICKMGGLWRRAMALPSL >ONIVA12G13890.1 pep chromosome:AWHD00000000:12:15516275:15516478:-1 gene:ONIVA12G13890 transcript:ONIVA12G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAEDDAAAVRSASPKHMTVGSGGVSRRWRTRQRPREAEGKAEEAEAEVAARGGGRGGGGGGGAR >ONIVA12G13900.1 pep chromosome:AWHD00000000:12:15530601:15533687:-1 gene:ONIVA12G13900 transcript:ONIVA12G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCACFGGGGAERERRAEAERAESKEARAKAAEAAQRRQEEFDRSAAGRAAKAQMKAMKEAKTSSNQGEPVLKVKSVPRLNGRWDRKFFIGVDPASLAFIAYTKKRLIMVDKSLTALDFREDSAESFSDV >ONIVA12G13910.1 pep chromosome:AWHD00000000:12:15536323:15540966:1 gene:ONIVA12G13910 transcript:ONIVA12G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARELPQGHGMDLRQDATARRWLNSLRDVAYDAEDLIESAVLQQQGDRYSAVNSVLHPISRYRFAQKVEEIKSRIRDMVDRRTSYAAMARELGHGAGETSISPISRHFVSCSRWRSSSYHIGNNTIFGLEQDTDMIMERLLQPTEHREVIVIVGMGGIGKTTLASLVFNKARGVEERNTWSGQAPPKATDPGSSSKRSHFDVCAWVPVGQDPDTLHLFSTISIQIGANLDLSRDVAEIKHHMFTFLLDKRYLIVLDDVWREETWHELVDAFPMSTNGSKILMTTRSKLIAISADPASYPHELNPLSDEVSFHLFLSKVFPMSNLNQAMSYPPLMEDLGRQLSKKCGGLPLALVVLGGLLSAKEKKHDVWSSILNSMNWNDNEAEKQCLKILALSYDDLPYRMKLCFLYLGAFREESEISISKLTKLWIGDDLIPQQSGRRRKEDTATDYLNELIQRCLMQPVLLKHKQRSTRVRVHALLRELAISEGRENRFLYCEYSNQAVSEMEMKPYRCLVLHPGPDKRYNLHDFEKMRALLIVPRTTGTQTICVGHQVIRSFFPLIFRWCRINWQTVWSMPYIRVIEVEGLMMPTDALRSIQSSLIHLRYLCLRNTQLVAFPFNESKFPSLQTLDIRETSVKKLPDTIWALKTLRHLYLNGMEPPSIRCLTNLQTFCGVLVSNDQIAMEFLTLKDLRKLQIELKAWNGYPLLVKSLKLLLALTSFKLSSTEISSEVINKIAHHSPLRKLHLQGMLHPPVLTLSEYFSDYITSITLSASRIGTDQLKTLGSLTCLWELKLKDDALLPCPQHSFPQLGYLKISSLTNLEAFRIERGAFSNLVRFSVHYCSKFRSIIDVLEHTTSLQVLKLKGMELLPDITDSCRNKNVSVITMAY >ONIVA12G13920.1 pep chromosome:AWHD00000000:12:15548853:15549452:-1 gene:ONIVA12G13920 transcript:ONIVA12G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGRGLRRVDSLPRSPMALSLAPRASLAKTESIKKRDKNNNKGSKRARLRAGLSAALHLGGGHRRAGRRGGGGDEGAGAVSSAAAPGVAVLLRAAADDADERPAAAAANVGHGGGDHASGGRGRSWAVAVAMVLVLACVVALGRGPAICCCTCAAWWCGGRAVDPACRRRWSSIGGGGGGRHSSVPPQAGCLKH >ONIVA12G13930.1 pep chromosome:AWHD00000000:12:15552819:15555512:1 gene:ONIVA12G13930 transcript:ONIVA12G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT1G10200) TAIR;Acc:AT1G10200] MATSFQGTTTKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPEKTVENENAIKVSSAFAGTREKCVGCNKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKASQSGSVEDEDSEY >ONIVA12G13940.1 pep chromosome:AWHD00000000:12:15558307:15560951:-1 gene:ONIVA12G13940 transcript:ONIVA12G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT3G12350) TAIR;Acc:AT3G12350] MEALPPVAVAGTVLEDLPEDALLAILALLAPTDAAAAACACRRLAAAASSPSLPLALALRLGLPPPRPLLPASAARLLRSLHRLRRLLGLWRRLPSSSFSGSGYRSTSSSSSLAAFEWAPGGTLAASLLAPSARGLAVAKSPFVTLSIDETGETVAAMGDVPVSVNFVGNNHIVVEAAAASSGDDDDEAAMEGGSPPEVMYMHFANRRSTGAGRKRRSKQGRRRGRAMEAEHFVRIADAEPTEARPLQGLWKGISESRTLEFYLVTYDGIGGITCRQVSDTRGQNSGFTPIFWTTNTTFLEQPFSEKELDHYIRREHIQGVDSDHAATENRAISRILCINSSYDVVDHHLSAPLDDMRNVEGRIWLYDDGTFGFGFSGSNSIIDLKHVSSDGCILDALH >ONIVA12G13950.1 pep chromosome:AWHD00000000:12:15564730:15568471:1 gene:ONIVA12G13950 transcript:ONIVA12G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSLEEEETMASPTTSSCGTCKCGANDDKAKKTKTKKCELVGYEELPEWLKDNEFIHGYYRCEWPMKETILSIFSIHNETLNVWTHLIGFLLFLCLAIFTAMVIPSGDNLHSNSSRSRSNATAMDYYYIHGDLMVMSNMTRVLRHEALAAAACLLLHDPADLSQHEQIPTSCPTNTSSYYSSFSHLHNDAGEVTAATAAVITEPITRWPVFAYLGGAMACLLASTACHLLLCHSERANYVTLRLDYAGIAALIVASFLPIVHYSFLCDPWLRYAYTAAIACAGAATVTASLVPAFQSPGLRPLRAALFSGLAASGVVPVAHKLALYGGAVREAATSARCEAAMGALYALGVAVYAARVPERWFPGRFDLVGHSHQLFHLLVVAGAYAHYLGALEYLKWRDAVKC >ONIVA12G13960.1 pep chromosome:AWHD00000000:12:15569851:15576897:1 gene:ONIVA12G13960 transcript:ONIVA12G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAIAAASAAVVALAVANRVLYKLALVPLKQYPFFLAQLTTFGYVAVYFSILYARYRAGVVTGDMLALPKRRLAAIGLLEALGLAAGMSAGAMLPGPAIPILSQSFLVWQLIFSALLLGRTYSMRQIIGCFLVASGVILAVASGANEGQFLSEVKFIWLALMVASSAFQAGASILKESVFIDGAKRLKGRRPDIFVVNSFGSGFQALFVFLLLPLLSNLKGIKFAELPAYLNGGAECFLNVDDSLIDCGGAPFLPLLFILVNMAFNIALLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPHGAELSSSFILGGVVLLMGLIIYNLPQSSKKQSKIE >ONIVA12G13970.1 pep chromosome:AWHD00000000:12:15574767:15578576:-1 gene:ONIVA12G13970 transcript:ONIVA12G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALDWSFCEPLLTVAISLMDRALFYFGGVKEKEKGSKKRFGGVDEMILERLGHVRAICDESRHLVLTNLKISFSYNLKEIWSFRIDIEGFEHWIEEIEYRGLVGEGRHPTFNILKAKITNKFAKSPDSYAIREGLDHLMRLADIAARIREYLQNDISFHSFRATTPVAVGRGREKEDIEVVSRGREKEEIQVFGREREKEQIVQWLIKQPAKNSESEIFSTDHIRLFAILGVAGMGKTALAKVACQEPIVSTIFDFVVWVQVPYDFTTETIAKVIMETVTSVSPEYYSSKFLQHALTGKRLLLVLDDTWEDESVKKWEALVATLSNCKRGSSILLTTRMQSVVDMAAEAVGSPAECLELDELGKSDNLLLFMSRLPSQVHSEGYSHLRLIGEQIAENTGGCPLVTEKVASWLGSCMENHHWNAVLQKGWQKLGLNAISASSRLSYERLPSELQICFRYFSIFPKGYKFNKVELANMWISSGLIPFGLSKQDDTGLQHKKAAYLFSAEDVGEQYFAALVRKSFFCRLLETDPSNGNMKEYYVLHNLMHDCAQFVARNECARVDDNNFQDVRRTTVHLSIAHCGSLRAIPPITNLRTLIIQSEFCLDQEAELMLGEVLRKSARLRLLYLDVPSLSNALDEIPSLTQLRYLFLFSCDKSHIRSILKLCHLQVFKLKYFTGKQADLDGIRNMRFLRCLHVPDSMLSKILKIGMPTTLQELQEFEVAKNDGHMLSALSTLTNLKRLSLRNLQNVRNCKDAMEIKLKDKPDMMFLSLSWNKHSNDPEDIDHQIIDNLEPNKGIQQLHVYGYNGVQLPVWIENSFLIHLVSLELQYCMEWRTLPSFKELSSLKYLKLEHLFQLGSVIEEQSGSIESDNAFLPPLLNTLIVRWCPNLKKLPALPCTLEKLIIRHVGLAVLPRLHQAYASTCESLSVDSRLSLLHIESCAHLTSLDGLLDQQQHLQHLKTLLVRHCAKLCHLPANGFTELHHLNFLEIVACPMLRNVKTDSNLFPTSLNNLDINPCCHIEASVLMSLPNLTYLRRLSLFSCSSVEKLPSDEVFRTLKNLNDMLIARCESLLSLGGLGAAASLRTLSILYCDKIHSSSSPQAGCSFMLWKLKVDREAMLLVEPIKSLRYTLELHIGDDYAMDSLPEEWLLQNASSLRLIEIGVAKNLQTLPTQMEKLVSLQSLHIEKAPRIQFLPKLPFSLNKLTIWGCDPRFLKLYERNVGSDWGKIENIDHVDMKAYSEGALSFYSELLSFPCNNQLYVIVSLIN >ONIVA12G13980.1 pep chromosome:AWHD00000000:12:15585187:15596541:-1 gene:ONIVA12G13980 transcript:ONIVA12G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFLFQKFSVLSGSMPNRPTSAQGGDVSAIHDALRRFSTVLPNYHGLPVSVGRCFQKRHEEYKPPAHTASICPCWWGEEKWGSIDVCLIRHKCRSAIHVIAEVDTIARYAEAGAAPGQMLSKAVARALNLDFLELPTAADLISPASDLISPA >ONIVA12G13980.2 pep chromosome:AWHD00000000:12:15594251:15596541:-1 gene:ONIVA12G13980 transcript:ONIVA12G13980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFLFQKFSVLSGSMPNRPTSAQGGDVSAIHDALRRFSTVLPNYHGLPVSGSKFGAGVVEVGKGSSTLPMCIVEQIHHSSVWFGSSSAEQGSAKHAQTSRKMLSEEA >ONIVA12G13980.3 pep chromosome:AWHD00000000:12:15585187:15596541:-1 gene:ONIVA12G13980 transcript:ONIVA12G13980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFLFQKFSVLSGSMPNRPTSAQGGDVSAIHDALRRFSTVLPNYHGLPVSPPAHTASICPCWWGEEKWGSIDVCLIRHKCRSAIHVIAEVDTIARYAEAGAAPGQMLSKAVARALNLDFLELPTAADLISPASDLISPA >ONIVA12G13980.4 pep chromosome:AWHD00000000:12:15585189:15594159:-1 gene:ONIVA12G13980 transcript:ONIVA12G13980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVIIPKMKVGTSRNHEPPAHTASICPCWWGEEKWGSIDVCLIRHKCRSAIHVIAEVDTIARYAEAGAAPGQMLSKAVARALNLDFLELPTAADLISPASDLISPA >ONIVA12G13990.1 pep chromosome:AWHD00000000:12:15585598:15592980:1 gene:ONIVA12G13990 transcript:ONIVA12G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKSCPGDQFACGFVVENTTRQHVVADGLISIFCSVPFHSTRPSVEGAWSLQCRELNKSYEWLVPMCLHLVRVIHFCKLEENDKLVLTPFEKNVDIWRQLWRVLERSDPVANGMMERRGSKDGGRSGAKVDGDGQGIAAADPGRMTREEVGGQSRRHTTRVIYCSAPHLPVKQSAHQRSQVLLLLVLPRFPSPAAAIIQRHWLGLCYPSSEYDGMLNISLIVDFNPCRRRAMARPSL >ONIVA12G13990.2 pep chromosome:AWHD00000000:12:15585598:15592929:1 gene:ONIVA12G13990 transcript:ONIVA12G13990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKSCPGDQFACGFVVENTTRQHVVADGLISIFCSVPFHSTRPSVEGAWSLQCRELNKSYEWLVPMCLHLVRVIHFCKLEENDKLVLTPFEKNVDIWRQLWRVLERSDPVANGMMERRGSKDGGRSGAKVDGDGQGIAAADPGRMTRGGTPPGSYIVLLHISLLSRVPISGPKFCWLIAMPGWFSCWCCPGFQVQQLPSSKGTGLVFAILHQNMMGC >ONIVA12G13990.3 pep chromosome:AWHD00000000:12:15585598:15592929:1 gene:ONIVA12G13990 transcript:ONIVA12G13990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKSCPGDQFACGFVVENTTRQHVVADGRASTNFFRCRELNKSYEWLVPMCLHLVRVIHFCKLEENDKLVLTPFEKNVDIWRQLWRVLERSDPVANGMMERRGSKDGGRSGAKVDGDGQGIAAADPGRMTRGGTPPGSYIVLLHISLLSRVPISGPKFCWLIAMPGWFSCWCCPGFQVQQLPSSKGTGLVFAILHQNMMGC >ONIVA12G13990.4 pep chromosome:AWHD00000000:12:15585598:15592929:1 gene:ONIVA12G13990 transcript:ONIVA12G13990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKSCPGDQFACGFVVENTTRQHVVADGRASTNFFRVWTQHLVRVIHFCKLEENDKLVLTPFEKNVDIWRQLWRVLERSDPVANGMMERRGSKDGGRSGAKVDGDGQGIAAADPGRMTRGGTPPGSYIVLLHISLLSRVPISGPKFCWLIAMPGWFSCWCCPGFQVQQLPSSKGTGLVFAILHQNMMGC >ONIVA12G14000.1 pep chromosome:AWHD00000000:12:15596715:15599970:-1 gene:ONIVA12G14000 transcript:ONIVA12G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPAREYNRSHLASVPTATATLSPASPGSSPASGIHSTAEIRPSSGPSAGLTVTLPPPPATGATACTDRAATATARARARGARGGSTAGSSSHPSLSPGPTAARSSEADARAQSSPPPGAILPPCTC >ONIVA12G14010.1 pep chromosome:AWHD00000000:12:15597133:15603634:1 gene:ONIVA12G14010 transcript:ONIVA12G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGYLAEVALLTSASEDLAAVGPGEREGWLDDPAVLPPLAPRARALAVAVAARSVHAVAPVAGGGGRVTVRPALGPDDGRISAVEWIPLAGEDPGEAGERVAVAVGTDARWLLLYSLAGDLLHKQPDDELMSVLHNLPDINITCKARQLNTGDLLIDGHSTGFYAKGCAAIVDSGTSLLAGPIAVNSPGFCVAKRANWRAHLNDWLQLAVKPGIEELIINLSSVNADYKSFYLVDLEIHCGIFILPVATFIQKIGHLKCLTRIQLCMVNITENGLSSLLSISLGLERLELRHCSTIKSLKIPCLQRLSYLEVMTCDGLRVIESKAPNLSSFRFAGDLCVYKYHLVKQCKLSKSTAFYARTELPSSMPNLERLLIHSDTEMVNTQMLPSKFYHLKYLNIALRGGTYDYLSQVSFFDTSPFLETFNLNVIKV >ONIVA12G14010.2 pep chromosome:AWHD00000000:12:15597100:15603634:1 gene:ONIVA12G14010 transcript:ONIVA12G14010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGYLAEVALLTSASEDLAAVGPGEREGWLDDPAVLPPLAPRARALAVAVAARSVHAVAPVAGGGGRVTVRPALGPDDGRISAVEWIPLAGEDPGEAGERVAVAVGTDARLQELLSGGSGDSLWYIYLACCNFHPKVRIGHLKCLTRIQLCMVNITENGLSSLLSISLGLERLELRHCSTIKSLKIPCLQRLSYLEVMTCDGLRVIESKAPNLSSFRFAGDLCVYKYHLVKQCKLSKSTAFYARTELPSSMPNLERLLIHSDTEMVNTQMLPSKFYHLKYLNIALRGGTYDYLSQVSFFDTSPFLETFNLNVIKV >ONIVA12G14020.1 pep chromosome:AWHD00000000:12:15604520:15605940:-1 gene:ONIVA12G14020 transcript:ONIVA12G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASGAQARARLAAAAASGAKASDVDWWNGTFNLLQALKDEQRFIRHEFEALQKFMKSELDLKVAKMETVVATMRGLHENSQKECLKNSVLLLGVTAQSSGFCSSRLSVQVWRFQPPSKARLRSATTRGRRVVVSSTRDYKRVETFYQHW >ONIVA12G14030.1 pep chromosome:AWHD00000000:12:15608416:15610545:1 gene:ONIVA12G14030 transcript:ONIVA12G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47840) TAIR;Acc:AT3G47840] MAAPFRLGRSVWTRAVRPDPPPLSMLDHLARLKQLARSSRLADAHRLFDGMPLRDEVAYATLLAGHATAGDFPGAMALFSRLRASSPPLAPADPFVLSLVFKSCAAAADARFLPHAASLHAFAVRSSAVSSVFVATALADVYAKAGCLGLALKVFDEMPHKNVVSWTTLVASLTRAGRRHEALRRFSEMRASGVHCDSYAYAAALTACADAGLLSRGREVHAFCAKLGLDSTPYVANTLATLYARCSDVDRALAAVSRMGTRDVAAWTTVISAYVQTGRAKEAIEAFVRMLREESSVAASPNEYTYAAVIAACADIAWVCLGEQLHAQAARKGFACARSVANSLVTLYTRAAGCLSAADAVFRESVVKDVVSWSAIISGYAQEGLAEDAFALFREMRHHSGCPRPNEFTLASLLSVCATAASLDAGRQLHTLAVAAGLEHHAMIRSALIDMYGKSGSMLDADIVFSHRVKDDVVSWTAMIVGYAEHGHSKKALELFQEMCHVGLKPDHVTFIGVLNACCHAGEVELGLRYLNEMNQIYGLYPAKEHYGCVVDLLGRAGRINEAEELIGKIAANERDGVVWTSLLRACAARGEEETGKKAAERAMEAEPWGAGAHVAMANLYASKGQWHEAAQERHMMKQKGVVKGAGWSSITVGGEGRRVGVFVASDRTHPQDSAIYRMLELIYFGTGMARYVPDQLDLGSEVDMMISS >ONIVA12G14040.1 pep chromosome:AWHD00000000:12:15617982:15618812:1 gene:ONIVA12G14040 transcript:ONIVA12G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAALRLRLLYRMLRVGELLALVVFLSWSSSRVPAAAAAVVRLAGSLLLNARFVFVLGNAIVLLLLALSRHDLSISSNHGTTTTAAAAAVSSDSAGAGSTPASTTAPPAASFPLFIVPQPSPPPPHATEAPVVAAPPAPVVPCAPSVAPAAPAAAAAFEDKQAVRVNKARAPRRSRSEKMGSRGAFRRAASPELRRSESDNGRRRRSSVTARDAEVCWGADDAEEFRRTVEAFIAKQTRFHREESMTMTMSIVAGVGHGEVAPAIAGALAVVE >ONIVA12G14050.1 pep chromosome:AWHD00000000:12:15623484:15633218:1 gene:ONIVA12G14050 transcript:ONIVA12G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEENLAQTKRRLGLGVVWCWWVWAVHQTGTGGGDEGFAAPPLRKPCARRRRHRGSSPPSDREGGGAAERVVGRRHERRGRPGGRVPFYAAAAAAEPRAGDAAAIPPASRKLVQGLKGILTDRSEAEIYATLLDCGMDPDVAVERLISQDPFHEVRRKRDKKKEIKAPQETRPRPFYKPAFRGSKTGGDSTVSGKGPTKKETELHSLPKSSVSDSVKESNPTEKISAADHATINDNLILSSGQAHAKSTPLQPPSQVKHGWGGMPGRPSMADIVKMGKPQAKPVRSVACNTGIPTIGGSVISNATNHTSKDSQDLVLPSQVNSVATDRIPNGTNEVSPASNDSSIDVLPPREGLEVPESVATVKPGSSTADVYKDEVEEDMDSDKNKEMSASNADSQTSSGPYPASSKEVHSEHTQIATHHNDLIVETEDSQSDGNAFENNRDSEGNMSATDKQFEQLILHEEKKSKSSEDNPAVIIPDHLQVSNADCAHLTFGSFVSGTLDAPVSLKTANGDEEVAAVSDNHSIDQSDVRIHEYENKDTVAPAADEHVASSTKSDTENVDVAPVQQPELRTADLIDVPNNTMYNNLSTSDYATPSAVQPDSSAHIYLQEHRQLQNISPLSSFMQGNIPNGLLPPALPPLRDFDPAFSLLLTNPPLATMVHGTTSSSMGNATTVSTQPQEIVNPGASSNPQLNQSQPSTSTSIASGPPLPQHLTLHPYAQATLPLGYASMIGYPSLAPSYTYLPPPAFQQPYMNSGLFHQAAAAVPNSSVKYPLPQYKGNVSLASLPQQASLLSSYVGGFGAASSMPGNFALNQSTPSATAAPGFDGTVPAQYKEGNQFVSLQQSENAAMWMHGASSRTMPPLAANALYGYQGQQGHQGGLRQGQLPSQFGAPLAPTQPGLGHEHRNPSDGNLSSAAAAAAAQANQMWPNSY >ONIVA12G14060.1 pep chromosome:AWHD00000000:12:15635376:15636890:-1 gene:ONIVA12G14060 transcript:ONIVA12G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWRVHCLGWLLVLLLFSHEAAWGGGAEQVATQAHHDASRRLAAQVAHGTPERDVTSPLATVPVDNPAANPTVTSTTNPAAMPGTQTTPSLANPVAAGGGGGGGGGSWCVASPSASTAALQVALDYACGQGGVDCSAIQSGGGCFNPNTVRDHASFAFNSYYQKNPVQTSCDFAGTAILTSTDPSSSSCKYPSTSTGASVLNTSTPTNPAFGGYDNSPPGFGNNSPPLYGSMSPPGYNDNIGAAAAMAGSKETLLSLACVVATVSLNLYK >ONIVA12G14060.2 pep chromosome:AWHD00000000:12:15635376:15636512:-1 gene:ONIVA12G14060 transcript:ONIVA12G14060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYALVEEAAWGGGAEQVATQAHHDASRRLAAQVAHGTPERDVTSPLATVPVDNPAANPTVTSTTNPAAMPGTQTTPSLANPVAAGGGGGGGGGSWCVASPSASTAALQVALDYACGQGGVDCSAIQSGGGCFNPNTVRDHASFAFNSYYQKNPVQTSCDFAGTAILTSTDPSSSSCKYPSTSTGASVLNTSTPTNPAFGGYDNSPPGFGNNSPPLYGSMSPPGYNDNIGAAAAMAGSKETLLSLACVVATVSLNLYK >ONIVA12G14070.1 pep chromosome:AWHD00000000:12:15642212:15643108:-1 gene:ONIVA12G14070 transcript:ONIVA12G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant basic secretory protein (BSP) family protein [Source:Projected from Arabidopsis thaliana (AT2G42900) TAIR;Acc:AT2G42900] MEAPLLLPLATASSSSSSGATADESSSSPSTASIVARVVAVLAVASVSLFARHEASKGFHVDIVNAAPRDTVAGRRFDLFFVSNGKAERILHYANRGVEAALFPDASFPRKQVTRVTVRMAGHNLTDDDDATVIAAGVAPGEYVISLSPRLMHPASDKAADAVANAVRRAVARMWLWDGRGAAPARVTEAMVDYLASGADAVEASPANDDDDDDAPHCMSARFLGHLERRRGGFVARLNRAMRDRWSDAAMDAALGVPARPVCAAYRAAAAAAALTQQQPPAALAGSTSAGDGSRVAM >ONIVA12G14080.1 pep chromosome:AWHD00000000:12:15644577:15650020:-1 gene:ONIVA12G14080 transcript:ONIVA12G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGLFPVLIGWIYAEILEYRKSLLYGKVHSDANLENETIKEDDKAVLLEGGQSKSPSTKLRNMSTKANLIRFITMDESFLLENRAVLRAMAEVGIILVYFYICDRTNIFPETKKSYNRDLFLFLYILLIIASALTSLKKHNEKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKYNEKPSVMAIKIACCFLTVILIWEIPGVFEFLWAPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLFIKGAIVTLSLTAGYLWYEYIYRLDKITYNKYHPYTSWIPITVYICLRNCTQQLRSASLALFAWLGKITLETYISQIHIWLRSSTPNGQPKWLLSFVPDYPLLNFMLTTAIYLLLSYRVFEITGVLKGAFIPSRDNNRLYQNFIAGIAISACLYFCSLILVKITIHGTFGLSIGPPSYSFTGASAT >ONIVA12G14080.2 pep chromosome:AWHD00000000:12:15645183:15650020:-1 gene:ONIVA12G14080 transcript:ONIVA12G14080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGLFPVLIGWIYAEILEYRKSLLYGKVHSDANLENETIKEDDKAVLLEGGQSKSPSTKLRNMSTKANLIRFITMDESFLLENRAVLRAMAEVGIILVYFYICDRTNIFPETKKSYNRDLFLFLYILLIIASALTSLKKHNEKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKYNEKPSVMAIKIACCFLTVILIWEIPGVFEFLWAPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLFIKGAIVTLSLTAGYLWYEYIYRLDKITYNKYHPYTSWIPITVYICLRNCTQQLRSASLALFAWLGKITLETYISQIHIWLRSSTPNGQPKWLLSFVPDYPLLNFMLTTAIYLLLSYRVFEITGVLKGAFIPSRDNNRLYQNFIAGIAISACLYFCSLILVKITIV >ONIVA12G14090.1 pep chromosome:AWHD00000000:12:15656356:15660571:1 gene:ONIVA12G14090 transcript:ONIVA12G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSCPRVYMSRKALDFGQLASCRCRWAGRSGMRVAPRRRMPCVCFVASPSQPGLAAVDVPAEAVSSARTTTMIPERISVSSLLEVVSDDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLLELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLLASSTRSAAIFSGVSTTICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPKLREIIDSEFSESDSLATAIDLVHRSGGIRRAQELAKEKGDLALQNLQCLPKSQFRSTLENVVKYNLQRID >ONIVA12G14100.1 pep chromosome:AWHD00000000:12:15661708:15668090:1 gene:ONIVA12G14100 transcript:ONIVA12G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JB22] MAVKMRIGRRRAIQQGIAEGGFEWRRVWGCREADSRGALWELVWGERSVRERNAAGAAEEVIALFIMDEMCDLASNLEYVVEMAKCDVHRTSSAEEFFTEYGDANRYRIQEVIGKGSYGVVCSAIDLHTRQKVAIKKVHNIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTASVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVLLGGDFSDPQHLAYCSLPFQVRNEKARRYLSSMRKKDPVPFSQKFPNADPLALKLLQRLLAFDPKDRPTAEEALTDPYFKGLSKIDREPSCQPIRKLEFEFEQKKLSKEDIRELIFQEILEYHPQLQKNYRNGTERATFLYPSAVDQFKKQFSNLEESNGSGSAIPMERKHASLPRSTTVHSTPIPPKEQPLAASLKSSRPVSDEPCKNPWVMGGFSGNIPTSSQVSQVAKPVAPGRPVGSVFPYETGSTNDPYGPRGPVMSSGYPPQQQISQAYGYHQVPARMNCVEQSQAMDAYKMHSQSQTQAYAYPNSKVTADVALDMRGSTFHHSAGSKNGSLDRMVTQTDIYTRSLNGIVAAATSAGVGTNRKVGAVPISTSRMY >ONIVA12G14100.2 pep chromosome:AWHD00000000:12:15661708:15668090:1 gene:ONIVA12G14100 transcript:ONIVA12G14100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JB22] MAVKMRIGRRRAIQQGIAEGGFEWRRVWGCREADSRGALWELVWGERSVRERNAAGAAEEVIALFIMDEMCDLASNLEYVVEMAKCDVHRTSSAEEFFTEYGDANRYRIQEVIGKGSYGVVCSAIDLHTRQKVAIKKVHNIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTASVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVLLGGDFSDPQHLAYCSLPFQVRNEKARRYLSSMRKKDPVPFSQKFPNADPLALKLLQRLLAFDPKDRPTAEEALTDPYFKGLSKIDREPSCQPIRKLEFEFEQKKLSKEDIRELIFQEILEYHPQLQKNYRNGTERATFLYPSAVDQFKKQFSNLEESNGSGSAIPMERKHASLPRSTTVHSTPIPPKEQPLAASLKSSRPVSDEPCKNPWVMGGFSGNIPTSSQVSQVAKPVAPGRPVGSVFPYETGSTNDPYGPRGPVMSSGYPPQQQISQAYGYHQVPARMNCVEQSQAMDAYKMHSQSQTQAYAYPNSKVTADVALDMRGSTFHHSAGSKNGSLDRMVTQTDIYTRSLNGIVAAATSAGVGTNRKVGAVPISTSRMY >ONIVA12G14110.1 pep chromosome:AWHD00000000:12:15668134:15681067:1 gene:ONIVA12G14110 transcript:ONIVA12G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JB25] MAVAPPSPLSLVFVVLAAVSLSASAAAAPASVLPRGAIPTKSGYLPIPPANASLYFAFYEATEPVTPPATTPLLVWLEGGPGCSGFLSNFLQIGPYLLAGGSLSPNPFAWNRRFGLLFIDSPLGTGFSVAPSPAAIPTNQSVVADHVLAALQSFYSLEPSFRARPLYLTGESYAGKTIPAAGALIVATNPTLPEKKRINLRGVAIGNGMTHPVTQVTTHADIAFFMGLINGKQKREVEAMQARAVELIKAERWSEAYVAREGLLKWMENASGVPSLFDVEVTTSLEAEAAALAPLLNGAEAKAALGARGDAEWKMCSAAVGAAQHEDVMKSVKPEVEALLRRGTTPTRVLLYGGIRDVKDGPVSTEAWVRELEWDGLAAFQDADRAVWRHRGGELAGSVQRHGALVNVAVYGAGHFVPFSQGRAAQEMIEDWVFGKGLFGASGGKAAILKHN >ONIVA12G14110.2 pep chromosome:AWHD00000000:12:15672296:15681345:1 gene:ONIVA12G14110 transcript:ONIVA12G14110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JB25] MAPPSRPFYLVYVVIFVAVSLSVTAATATAAAVLPRGALPTKSGYLPIPPAANASLYFAFYEATEPVTPLATTPLLVWLEGGPGCSGFLSNFLQIGPYLFAGGSLSPNPFAWNRRFGLLFIDSPLGTGFSVAPSPAAIPTNQSVVADHVLAALQSFLSLEPSFRARPLYLTGESYAGKTIPAAGALIVATNPTLPEQKRINLRGVAIGNGMTHPVAEVTTHADIAYFMGLINAKQKRAAEAMQAEAVALTREERWREASAARARLMSWLENATGVVTLLDVEVQQSVAVMAAGLADFLSTAEVKAAVGARPDVAWEACSAAVGAAQEEDKRELEALQARAVELTNAARWSEAADARELVLSWLENATGLATLFDAAKQRPYETGPVGKFVNRAEVKVALGARGDVEWEECSDAVGAAMHGDVMKSVKPEVEALLRGTRVLLYQGIRDLRDGVVSTEAWMRELEWDGLPAFLDADRAVWRIGEELAGYVQRSGPLSHVVVYGAGHLMPADNGRAAQEMIEDWVLQAGLFGRHGGMKRAA >ONIVA12G14110.3 pep chromosome:AWHD00000000:12:15679815:15681345:1 gene:ONIVA12G14110 transcript:ONIVA12G14110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JB25] MAPPLLLVSLLLIGFVSARAITPSAEAAAVFPKEALPTNSGYLPITTTNASLFFAYYEATHPLTPPASTPLLLWLQGGPGCSGLAGNFFELGPYFVNRDALSLSPNPFSWNRRFGLLFIDNPLGTGFSAAPSPAAIPTNQSVVAAHLFAALQSFFALQPGSRSRPFFLTGESYAGKAVELTNAARWSEAADARELVLSWLENATGLATLFDAAKQRPYETGPVGKFVNRAEVKVALGARGDVEWEECSDAVGAAMHGDVMKSVKPEVEALLRGTRVLLYQGIRDLRDGVVSTEAWMRELEWDGLPAFLDADRAVWRIGEELAGYVQRSGPLSHVVVYGAGHLMPADNGRAAQEMIEDWVLQAGLFGRHGGMKRAA >ONIVA12G14120.1 pep chromosome:AWHD00000000:12:15683100:15684850:-1 gene:ONIVA12G14120 transcript:ONIVA12G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGGGGHGLYEDHPAAAGFLPFDHDDDVVASFFFGRSAASGGGAGAGAGAGDDDGVGLITPYSSITDYLQGFLQDPVYASSPLGGDAAVKHETVVDHPSQAGGVAAAPATPNSSVLSSSSEAAGGDDLRRCKKGRRPEDDEEEDIDDEGSAVQSCKTNKMKNKKGAKKEREPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNSSYPRSYYRCTAPRCGVKKRVERSEQDPSMVITTYEGQHTHPSPVSYHMHRQQGLMHVSARGVMPGAAGAYQFGAPPPPLLGFDEALAARVRMTMNQQQQQQQLGFVPSIHAAAARPTMPPLHLHTAQQDLFLP >ONIVA12G14130.1 pep chromosome:AWHD00000000:12:15688881:15689335:1 gene:ONIVA12G14130 transcript:ONIVA12G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEISVRLSGMREENASSLVRQPKKKAGKKRERRTQSKSQIDPKSVHQEHNLQMILPRCANASGNLQIDLLTISHESSLDSI >ONIVA12G14140.1 pep chromosome:AWHD00000000:12:15689337:15689597:1 gene:ONIVA12G14140 transcript:ONIVA12G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAMLGSGKTATASPAGGSNTASQGSGKTVVASHASESSTASQGLRKTAGGGGFARIRQDGGRRRLRGSIAASLLESTSRGRFG >ONIVA12G14150.1 pep chromosome:AWHD00000000:12:15723545:15724075:1 gene:ONIVA12G14150 transcript:ONIVA12G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGPSSHSPEPVNNLFIPNLSLHWHGADEQSTRPPPPTETERGEGERQAVGVEASERMGGGGGDELSAQPRRQPRGGSRRWSSRGRQPGRQQKAAPRRPGRRPKAEGVRCRPMVACSKWQSARSARSPGGDGDDREAGARLDGIDRNDDDLDRNDDDLQMTTARWLRGWWRGRRQ >ONIVA12G14160.1 pep chromosome:AWHD00000000:12:15754682:15759057:1 gene:ONIVA12G14160 transcript:ONIVA12G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFETKKDQGSISVFPIYFTGIRTTDLQILLTTEFSERRQILLWIAFFASFAVKVPMVPVHIWLPEAHVEAPTAGSVILAGILLKLGTYGFLRFSIPMFPEATLCFTPFIYTLSAIAIIYTSLTTLRQIDLKKIIAYSSVAHMNLVTIGMFSRAAAVRNADHYISRRRRKLEELPFIRFAGVAHST >ONIVA12G14170.1 pep chromosome:AWHD00000000:12:15761452:15762006:1 gene:ONIVA12G14170 transcript:ONIVA12G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPFLCGGDDPVKNSEVRPPARHPREGPNDGDGGHKPSPPPHAGGAVNGNGGAAPVPATSPDTEVQAPTYGDKQISRPKEGAAGKPPTVVPAANHPQAPTGDEAKKGHGGGGAVGRRNGISSTVLTAPPPVGPMAAPATTVKDAPPAAAAAANDVHGDADEQHPGYGDHGEVDDRKPRRRSWL >ONIVA12G14180.1 pep chromosome:AWHD00000000:12:15779284:15783158:1 gene:ONIVA12G14180 transcript:ONIVA12G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JB34] MLRCPSCHFWLKGLGSGHLALAYHPGMLSWEIREPFNVFARSFSNSALLGSNTQHSVEPCATQIILARDRSKFSNMRHLPSCRFITYKELRALHGPKASNTQHSVEPCAMQVILARGRSKFSNMRHLPFCRFITLSVIFLLSIPLLVASVPQDILSPGSSISVEDNSNMLVSPNGLFSCGFYEVGANAFIFAVWVNQSIGKTVVWTADRDVPVNGRGSRIELRDGNMVLLDFNSRLVWSTGTTSGQVRSAKLLDTGNLVLLGHDGSRIWQSFDSPTDTLLPTQPIAANLKLVSGKYMLSVDNNGSLALTYDTPEGHSKYWPRNINATPFSGDQPQGLDMLGCISAGNHIRYCASDLGYGVLRRLTLDHDGNLRLYSLLEADGHWKISWIALADSCQVHGVCGNNGICRNLMNPICACPPGFVFADVSDLSKGCKPTFNISCDKVAQAYFVEIEKMSVWGYNSNYTASTAFDVCRKSCLDDLHCEAFSYQYGLGGCTLKSSLYTGGFTPSEISITCMKLTADAAFTLKELVAATAKFKHEIGRGGSGVVYEGILDDGKKIAVKKLQDMVQGELDFQSELSVIGRIYHMNLVRMWGFCSERGHKLLVFEYVENGSLAKLLFDTASTTGALLRWEQRLRVALGVARGLAYLHHECLEWVIHCDVKPENILLDEELEPKLADFGLAKLLNRGKDVQMLSRVQGTRGYIAPEWASNLPITGKVDVYSFGVVLLEIVRGLRVSDWTVVDGEEEEVEMVFRTTVAVLKERLRGEDRSWLPGFVDPRLDGNFCRLQAAAMVELAVACVEEERSRRPNMKLVVEKLLNFL >ONIVA12G14180.2 pep chromosome:AWHD00000000:12:15779284:15783158:1 gene:ONIVA12G14180 transcript:ONIVA12G14180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JB34] MLRCPSCHFWLKGLGSGHLALAYHPGMLSWEIREPFNVFARSFSNSALLGSNTQHSVEPCATQIILARDRSKFSNMRHLPSCRFITYKELRALHGPKASNTQHSVEPCAMQVILARGRSKFSNMRHLPFCRFITLSVIFLLSIPLLVASVPQDILSPGSSISVEDNSNMLVSPNGLFSCGFYEVGANAFIFAVWVNQSIGKTVVWTADRDVPVNGRGSRIELRDGNMVLLDFNSRLVWSTGTTSGQVRSAKLLDTGNLVLLGHDGSRIWQSFDSPTDTLLPTQPIAANLKLVSGKYMLSVDNNGSLALTYDTPEGHSKYWPRNINATPFSGDQPQGLDMLGCISAGNHIRYCASDLGYGVLRRLTLDHDGNLRLYSLLEADGHWKISWIALADSCQVHGVCGNNGICRNLMNPICACPPGFVFADVSDLSKGCKPTFNISCDKVAQAYFVEIEKMSVWGYNSNYTASTAFDVCRKSCLDDLHCEAFSYQYGLGGCTLKSSLYTGGFTPSEISITCMKLTADAAVQNSIDYKPHGPYLSCQGRSFSTSADTKAFQWNYLYMPIGSIFAVEAILFPLAWCFLCKRKQDSISRNDGFALIRDHFRKFTLKELVAATAKFKHEIGRGGSGVVYEGILDDGKKIAVKKLQDMVQGELDFQSELSVIGRIYHMNLVRMWGFCSERGHKLLVFEYVENGSLAKLLFDTASTTGALLRWEQRLRVALGVARGLAYLHHECLEWVIHCDVKPENILLDEELEPKLADFGLAKLLNRGKDVQMLSRVQGTRGYIAPEWASNLPITGKVDVYSFGVVLLEIVRGLRVSDWTVVDGEEEEVEMVFRTTVAVLKERLRGEDRSWLPGFVDPRLDGNFCRLQAAAMVELAVACVEEERSRRPNMKLVVEKLLNFL >ONIVA12G14190.1 pep chromosome:AWHD00000000:12:15784140:15791405:-1 gene:ONIVA12G14190 transcript:ONIVA12G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGVGGVPCGSMAAEAGGGGGGGGGGGGGRGGDGAGGGAAGGGGGGGGGGGRQGGRAAAGGRRGGLRAEAGGRRRRGVRVSGLCQMDFQCFLRFAFLFGGVDTHDYDVVKLEEGDEEDWGQEDVGNAAVKVMCRICFSGENEGSTKAAKMLPCKLCNKKYHRSCLKNWGEHRDLFHWSSWVCPSCRSCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVTHGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQSDQNLQYTCGACRGECSQIRDTEDAVRELWKRRDVVDHDLMASLRAAAALPSLEDVSPSHPNSDDEKLGAYVMKNDGRNTLKFSFKSNSTKPALDSSEQEKNAIKSSGSNKKHSKKKGNQNNKTVSEQDEIFLEKRNETKSLGSLGDQIADVTRDKSSFKNDADAFVLSSAQSAEKALKLQSAKAAAHNADMIPKVKIKGTKVPSLHFKDVGEENAAKSDTGKGTKLVIHIGSRHKSRSGSPKSEMSNSQKEQELVSMHGGKVDVTSQFKSSRSEIKEKSVMKLVRETGVQQNSLLGDLGASKKHATGKRSNAIVSAMENASESGTRSRSFGQKQSVNHLTENQGNASFSVNNSPDSLKPSLLKLKFKRPIFEQPSTQSSQPEEPGTWASPQEELNVAKGQRSKRKRPSLDKMDGSESKAPAAKRHEQSTGEEAMDANWILRKLGKDAIGKRIEVQLASDGKWHQGVVSNVINGTLCLQLDNGRSENIELGKRAIRLIAQSQLPATRVVSRPLQAPAFGLSQSLIHGRLGGLKRIGFILTSGSVGTNNWEGFLGATLDVEEMPDDGHVHVAAE >ONIVA12G14200.1 pep chromosome:AWHD00000000:12:15792096:15795826:1 gene:ONIVA12G14200 transcript:ONIVA12G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02820) TAIR;Acc:AT4G02820] MLMSRRLVPAAAAARMASSSAAAAAVSGGAEGGGGEGRGGDTLGRRLLKLIYPKRSAAVVLRRWAEEGRTVQKYQLNRVVRELRKYRRFKHALEICEWMRTQPEMRLLPGDHAVHLDLVAKVRGLPSAEKFFEDMPERAKGPSTCNALLHAYVQHGRRDKAEAMLEEMAKAGYLTCALPFNHMMSLYMSSGELEKVPEMIKELRRYTIPDLVTYNIWLTYCSKKNSVKAAEKVYDLMKDERVVPDWMTFSLLGSIYINAGLHVKGRDALVEMEKRASRKERAAYSSLLTLYASLSDRGNLDRVWRKMRETFRKFSDTEYKCMLTSLTRFGDIAEAESFYSEWESASGTRDSRIPNTILAFYIKNGMMEKAEGFLDHIVQKGVKPSYSTWELFVWGYLSDGRMDKVLECLKKALSCLEKWDPNPQLATAIYSQIEEKGDIEAAEKLLVMFREAGYVTTEIYNSVLRTYAKAELMPLIVDERMDQDKVSMDDETRSLLRLTSKYPIGEVSTLMS >ONIVA12G14210.1 pep chromosome:AWHD00000000:12:15794607:15798422:-1 gene:ONIVA12G14210 transcript:ONIVA12G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGSCSWVAQASVLGCGGGGGGVVVVRRPSWDGGAAVGGRAKGFGVVRCCVQEKKPRVRKTKEERREMVESFVNTYRVSNDGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLNATALSFEDCPDSVESPVTHELGQDSVEILDMSDDDQAGMDTVTDMSTQQLLGSSNLLDAGILNGALQNGNIADMACLETNSEKQDEVPCAQSAEIDPSSSEKLPPSFSHAPDSESEFEMDSRVVAHETTSSLTNGVISSGPSAVITNESLLQDHDDTTDNAVDEAVLCLQTNGSSQANETILQEHETRPESVMSNDAQTIDSQSNSRVDTFNSNTSEDTTKSIEVSEVQRLHPDEEEKAENLVSHAELDTKVFSHVEGKNGMVEEDNSELKQSISIITMEEHDSKPEHGDSTTTAISRHALCLLTLRCMLTVYNFLHASQNTTAYLENWEGAAEERDQPVLANH >ONIVA12G14220.1 pep chromosome:AWHD00000000:12:15806749:15809217:1 gene:ONIVA12G14220 transcript:ONIVA12G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHGGDGGAGGGGGGEGERSVRVNIEAQASDPAGMVVAMATPFEPQLSDDSPPRSSMQSVAEVVDDAAAVEATGGGGDEVVAVAAVGPERRLTMLALRLAILEKAASGLGALGFIWATVVLLGGFAITLGRVDFWSVTVILLVEGARIFSRSHELEWQHQATWSLAAAGRSSFRLVARSFRFVFHLDDGKAAADAGGGGGGAPRMGRRRWRISWSFLSRHVGRVFYWLQLASATACVAVSAVRLAGQDFGEAEDARTNRRSALDIFYGLALAEALLFLMEKAMWEWEVSYGRLLQRVAGECHLAGEPGLVAVRRFFYDAYSRCVDGSIFDGLRMDLVSFAEELLVGGSHDEQRIGVGILVNVAANPRLGEAALRRVGTSAAVVDRLVEMLTWSGGAAEAAARASAALVVSKLASKKRNALRVAGVPGAIESVSSLLYAAGDEECNLLGLLIIKKLARDHDNCSKIGNARGLLDKIIDFSAIGGGAVITPSRAKAVKRSLQVVKMLAETTGSTGKLLRREVAEIVFTVSNIRAVLQHGAGHLELQRLGAEVLTRLAMDADAREKIGGTGGVVSLLLAMFLQPSITDEGDAARVEAGEALAMLALDSRRNCDRILRAGGGGGAATVARLVDALTDDAAGIGAARILTNLCAYAGGEWFSDLHLVTSGAATALRNVMTTKKSKLLEVSLGLVAQMARFMGPHELSHHLAGAGVAGGEEELVGRLVAVLARYGSPSIRVPRIRRFAVELAVAMMTEGRRRRGAVAVMMAAAGMGPVLRRVAETTSELECFHVFSGSAGLSRHAVSLSALVDTALELMGARGTDDQ >ONIVA12G14230.1 pep chromosome:AWHD00000000:12:15810692:15821256:1 gene:ONIVA12G14230 transcript:ONIVA12G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSVRAIWILTPHDAVAFSRRFAVVEKRWRVAWEAEGGARAEMMPLPADYEVAAAFAERRRREGTARGSGIRTSMSSAGSDSWVDDPITRHIISLHIDKEEGEGFMLWPVVLQKRGSYYILVLPLVDPQSFKAYESLLKRSDCGSSAKEKGNLSSILLNLPCITGALMVAHVIGDIITGDTAEPEVIVSTGPSVGGLLDSLTGSIGISARPKPIAAPVAAPTASISSPVGAPQSESLKGGMRPFDKDLLRNFILGAMPFGTPQDLNYANVTSVRTTGFSADPLPTDQKQPAWKPYLYKGRQRTLFSSLETLNAALYDRDDVQDFLSVSGQVTCRAELEGLPDVSLPLSGLKAAHVEVSSFHHCVQASEPTNDKQTLIFQPPLGNFVLMHYQASCNMDPPVKGFYQLSMVSENEGAFLFKLRLMEGYKSPFLMEFCMVTMPFPRRRVASYDGNPSVGTVSMTEHSIEWRIVSSGRGLSGRSIEATFSGTVRFHPITIQRVNSSFRSVPSTAFVEDSDSEQDNTKNGANLDDYLMEKMNKDLQAVNLEEPLSWQAYNYAKVSFKIVGGTLSGLTIDPKSVTIYPSVKAPVEYSMQRSGTVPGVGLVHAPFSLLPTHLPESHWRQACELAPTFNELVDRVSLDGDFLQDSLSKTKQVDDFTSRLLEIHRKMMEINKEENIRLGLHRSDYMLDSETNSLLQIELNTISASFPGLGSLVSELHRTLIDQYGHLFCLDSKRVPGNEASSQFAKALARAWDEFNVDRFDGKTVSVVYFRAGYTPNDYPSEAEWAARLLLEQSSAVKCPSISYHLVGTKKIQQELARPNVLERFLENKEEITKIRKCFAGLWSLDDEEIVKSAIQKPELFVLKPQREGGGNNIYGIDVRETLIRLQKEGGDALAAYILMQRIFPKASLSNLVRGGVCHEALTISELGIYGAYLRNNDKVVMNEQSGYLMRTKVSSSDEGGVAAGFAVLDSLYLTDKAM >ONIVA12G14240.1 pep chromosome:AWHD00000000:12:15823177:15823585:1 gene:ONIVA12G14240 transcript:ONIVA12G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNFIRRCDDDFLNLYLVGLSGCTALRELHLAENKISDVEGLHRLLKPTWRVPTL >ONIVA12G14250.1 pep chromosome:AWHD00000000:12:15823687:15824079:1 gene:ONIVA12G14250 transcript:ONIVA12G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDPELNKRLASASAPEHDQTAGPYGTVRATTGKGEEKETLTLNLKEEGRGDKGSVLTGEKVAAGGESRAIAVASGSCHAPRAAPAVASVSSLRRREEFFCSSRVDDFFPGLTEQGNPWWAGLKFVGA >ONIVA12G14260.1 pep chromosome:AWHD00000000:12:15827864:15829777:1 gene:ONIVA12G14260 transcript:ONIVA12G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNTHDFIESLFGEKTIPKDIEHLLSLINKQTKVLLYTVHPSLESHSERGWCFTKMYEHIKFKITAAQKNMILMKVPYHEKWRTIANQNAVLHETINFIYSNYGRLPKFNEKGVLYTEIEIQLHEAEMFLDSSRSVLEVTMKDMKLLEMCDGD >ONIVA12G14260.2 pep chromosome:AWHD00000000:12:15827864:15829777:1 gene:ONIVA12G14260 transcript:ONIVA12G14260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNTHDFIESLFGEKTIPKDIEHLLSLINKQTKVLLYTVHPSLESHSERGWCFTKMYEHIKFKITAAQKNMILMKVPYHEKWRTIANQNAVLHETINFIYSNYGRLPKFNEKGVLYTEIEIQLHEAEMFLDSSRSVLEVTMKDMKLLEMCDGD >ONIVA12G14270.1 pep chromosome:AWHD00000000:12:15838008:15842271:1 gene:ONIVA12G14270 transcript:ONIVA12G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDWVMLDPYVFRDGPFSDDDPTAAIGHNSRGDKVGVRFLLRAPPEPSSIFLDWEAGTGDCDDFSVVAAHRDAVLFQMGCLVSLGSSNAYKAFDYLLYRAAGAGGSSPSLDLLAPFGGSVDELKARMEADGLIRLTNQHLRRLKCLDIGVLCRDGEEFAVAELQITRSEVGPELHVLRSSTSSRKWELKRPPITPANGGSLDLEKFLWDWDADTVIPFGSYLCWVDYCLGIIFCDMFDENPQLHYLEFPADVRGACFGGCCQAVGVTNGVMKLVSLVPDDGLIAENYTPESGFTIVCWTLRIDEMDKMVWEKDAVLKSDYLWSMLKPDFLWPLDEFPFITTNRFPRVQFPLISIDDSSVIYLVLAQNGRVEEAGYNYDETWLLAIDMSKMTLKMSFPYIEDEMGDPSPEMLAFAEDKFWRFEPFLAADFSRHFNLRCPRHVEVWLQTFGPAERTPIRRHLCEEVFVVLKGKGILVLKYTGQPREIPVFQNSIFMVPVNDPHQVWNSDEHEVRICSSIY >ONIVA12G14270.2 pep chromosome:AWHD00000000:12:15838008:15842271:1 gene:ONIVA12G14270 transcript:ONIVA12G14270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDWVMLDPYVFRDGPFSDDDPTAAIGHNSRGDKVGVRFLLRAPPEPSSIFLDWEAGTGDCDDFSVVAAHRDAVLFQMGCLVSLGSSNAYKAFDYLLYRAAGAGGSSPSLDLLAPFGGSVDELKARMEADGLIRLTNQHLRRLKCLDIGVLCRDGEEFAVAELQITRSEVGPELHVLRSSTSSRKWELKRPPITPANGGSLDLEKFLWDWDADTVIPFGSYLCWVDYCLGIIFCDMFDENPQLHYLEFPADVRGACFGGCCQAVGVTNGVMKLVSLVPDDGLIAENYTPESGFTIVCWTLRIDEMDKMVWEKDAVLKSDYLWSMLKPDFLWPLDEFPFITTNRFPRVQFPLISIDDSSVIYLVLAQNGRVEEAGYNYDETWLLAIDMSKMTLKMSFPYIEDEMGDPSPEMLAFAEDKFWRFEPFLAADFSRHFNLRCPRHVEVWLQTFGPAERTPIRRHLCEEVFVVLKGKGILVLKYTGQPREIPVFQNSIFMVPVNDPHQVVYVGFILVGCAIYIKVWNSDEHEVRICSSIY >ONIVA12G14270.3 pep chromosome:AWHD00000000:12:15838008:15841801:1 gene:ONIVA12G14270 transcript:ONIVA12G14270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDWVMLDPYVFRDGPFSDDDPTAAIGHNSRGDKVGVRFLLRAPPEPSSIFLDWEAGTGDCDDFSVVAAHRDAVLFQMGCLVSLGSSNAYKAFDYLLYRAAGAGGSSPSLDLLAPFGGSVDELKARMEADGLIRLTNQHLRRLKCLDIGVLCRDGEEFAVAELQITRSEVGPELHVLRSSTSSRKWELKRPPITPANGGSLDLEKFLWDWDADTVIPFGSYLCWVDYCLGIIFCDMFDENPQLHYLEFPADVRGACFGGCCQAVGVTNGVMKLVSLVPDDGLIAENYTPESGFTIVCWTLRIDEMDKMVWEKDAVLKSDYLWSMLKPDFLWPLDEFPFITTNRFPRVQFPLISIDDSSVIYLVLAQNGRVEEAGYNYDETWLLAIDMSKMTLKMSFPYIEDEMGDPSPEMLAFAEDKFWRFEPFLAADFSRHFNLRCPRHVEVWLQTFGPAERTPIRRHLCEEVFVVLKGKGILVLKYTGQPREIPVFQNSIFMVPVNDPHQVVYVGFILVGCAIYIKVWNSDEHEVRICR >ONIVA12G14280.1 pep chromosome:AWHD00000000:12:15845147:15845732:1 gene:ONIVA12G14280 transcript:ONIVA12G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPGQPQEIPVFQNSTFTIPVNDPHQVIVVISRPPIKVFFYDDWNMPHTAAKLQFPIFWDEECLTAPKDEL >ONIVA12G14290.1 pep chromosome:AWHD00000000:12:15846027:15848272:1 gene:ONIVA12G14290 transcript:ONIVA12G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRTGPTAAVAAGGGAHLACAGRGALLLALVAVAAAVFLPVTESSCPRDNSLVKDVSKMYQSNYGREGFSHITIAGALAHGMKEVEVWLQTFGPGQRTPIHRHSCEEIFVVLKGKGTLLLGSSSMKYPGQPQEIPVFKNSTFSVPVNDPHQVWNSDEHEDLQVLVIISRPPVKIFTYDDWSVPHTAAKLKFPYFWDEDCLPAPKDEL >ONIVA12G14300.1 pep chromosome:AWHD00000000:12:15852068:15852912:-1 gene:ONIVA12G14300 transcript:ONIVA12G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEVLGFESGGSHVTMDILPHEVSELESNLLDIHEKRRLAKESRLPLPPAMPWRGKRIVLDRYDGRLIMSQVPTKLPFTLHASRVDGRLRLSCMHPSDSVDELKVASNGKKDVEDHAKGNHGTLHTALNCNYPKSINFERKLMCSEVLGIKSSAGHVAMEILPREILEPESKLPHICEKRRLVEEPRPPLPTTMRWKGKHIVLD >ONIVA12G14310.1 pep chromosome:AWHD00000000:12:15861084:15862610:1 gene:ONIVA12G14310 transcript:ONIVA12G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSSAAAAANGNGHHAAADGVVVNGNGFHAEAMEVEKRGGDQKAEKLKALNSILLKEAADRRGQVAALTSRLDELSADDAALAAAERAVAQAALAAPLRAAADEVSSLRARLAAVEVSLRSAESRGASEAAAKDEANARLEAVAEEKGQVLKLLRAKEAEVASVSHKVSKLETMVAQLERNNSELFGEKDELAKQLEVTKEEIRMVSDQKTAVERSLGELKNTAQAYQIEMEEKVKAKVEELKVLGAKKAEMDARVMSLEAELKAAVAKRGELEADAAAKKGEFDMVKGENDRLRSEVATAVEKHRTSEAEVERLCTELGVVRKEKEAAAKAFDAEKAGIMRELGDLKQKVEEIQASKGAAEEAGREKDAQAVKLRNELKELHVSMSQLQASCDELDTKRSLLNDEKNYVQEALDAEKAEARKLKSKIEALENCNVEKDSEIGKLKLALMEKREKIDVLIKDIELLNLEVAEAHRKRKGGIWAWLYAATTTMVAAISFIYATKSR >ONIVA12G14320.1 pep chromosome:AWHD00000000:12:15864794:15865308:-1 gene:ONIVA12G14320 transcript:ONIVA12G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0JB51] MSSAGGGGGRGKSKGSKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSRLLGAVTIAAGGVLPNIHQTLLPKKGGKDKADIGSASQEF >ONIVA12G14330.1 pep chromosome:AWHD00000000:12:15866063:15867096:1 gene:ONIVA12G14330 transcript:ONIVA12G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGIKKYVWDPFFIDTKKLWNPHVPSLSPPSSFFSLLAAPSLSPAAGGDGGERWRRGHGAAACERQRRGSGGGRHWSGDGGGARAELYRLVADGDQVFYATRRGTRMLNMSDFCDRSRTDAWDFSAFVRTYAAYLDDRLEYRMQAKHGGAARQGRPLREQLYASSGNRFNYDDFIMRDDEATNTEADKAMALVARETPTSEMTLEQLLAKAQLLVGDGGGEAAGGGACLLGGALVEAGGVGGHQASRGEGIRAVVVVGDGEAIGVGRQLRLLPTIEMPMRP >ONIVA12G14350.1 pep chromosome:AWHD00000000:12:15902038:15903057:-1 gene:ONIVA12G14350 transcript:ONIVA12G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRCYDAASSRAAASNPHCLGEPGGHLADRADLRRLLGAAMFAVGHLADLRRLLGAAMVASGATPAARCGLLPRRAQLHLLPRRRGRQRSRPVRPVAMRRRVVGNWFGHESPPPPSPRAPTPCAHASRRRYRRPPLPCTPTSRRRRPPSAVASRTRSLRARELPPLSSSAIATSKHLKLQDLPVKTQGWLRQSLRNPTPKSIERCSFIFVTAIQLCVGNMHGFCFRAGLVAARVSS >ONIVA12G14360.1 pep chromosome:AWHD00000000:12:15908444:15909070:-1 gene:ONIVA12G14360 transcript:ONIVA12G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGFCASSFVAVGLVVLVCSAAAAAAETHVVGDSKGWGFSVAYDSWASGKAFAAGDTLVFNYQAGVHNVVAASAAEYRSCKVRNSADAAATAAGSAKLDLKKGVNYFICGVPGHCATGMKLRVVAN >ONIVA12G14370.1 pep chromosome:AWHD00000000:12:15933901:15938902:1 gene:ONIVA12G14370 transcript:ONIVA12G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGHSNELGRANTLKKGGLRPIPVIIGNVFGLTNEVSERIVSASVTANLIIYLTTKYHLGAASSAIIIFVYQAAANFLPVCGAIVSDALLGRYLMVTLTLFSCTTGTFMLFLTSLIQKLTPPDCGLSNQGCTSPSPLQLFVLCASLGFMSLGASGVRPCCLAFAEDQIAHWDEAQKDRALRGLFSWYYVSVGFAQIVAVTILVYFQDQVGWKVGFGISAAVMLSITLVNLAASPFYVKMKPQRSIWISLLQVVVVSLKNRHLVLPKTYQSAQFHNASGLRELVPSEKMRFLNKACILRYHATNVSDGAGRTNSWNICTVEQVENLKSALSVIPMWSAMIMTFLIQSSSFGVLQAATMDRRVGTKKFQLPAGSISIFEIITFTIWSGCYDRYIVPFLRRITGRQQVLTLKQRMGIGVSLSIASMLVASAVETYRRKVAVKGGLQHDAKGTLEMSVLWLAPQYVIIGLAGAFSSIGQIEFYYAVLPKSMGSFVLALLFFGAGVASIIATLVIKAINLITGRNGMAPWLSNNLNEGHYNYYYFLLAVLGAIDLIYFIVCSYVFDERTQNMSLETSGDAKDMVEFQG >ONIVA12G14380.1 pep chromosome:AWHD00000000:12:15946586:15949296:-1 gene:ONIVA12G14380 transcript:ONIVA12G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSWARSGRDAEVRVAAVGGGGEAAVWSAVGEKSATRPPDPVAGSPVVEEKAVGGRLEAVVRSAVGESREAEVAADTVGRRRRWSRTPAVKDEGGDGWWGGGGNRELGEEAADGALSKALKV >ONIVA12G14390.1 pep chromosome:AWHD00000000:12:15949838:15950151:-1 gene:ONIVA12G14390 transcript:ONIVA12G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLAYTRGEAALARLKAYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGQLSKEVGWNYYGTKHA >ONIVA12G14400.1 pep chromosome:AWHD00000000:12:15986689:15988988:1 gene:ONIVA12G14400 transcript:ONIVA12G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATNGGFDAIVVGGGIMGSCAAYAAASSSASRGGGARVLLLERFDLLHHRGSSHGESRGIRATYPQARYPPMVRLAARLWGDAEAEAGYRVLTPTPHLDMGPRADPALRAAIENGGAAEVVGASDDSAASWPWSAVFRLPEGWTAASSELGGVIKATKAVAMFQSLAAKNGAVLRDRTEVIDIAKQVGAWASKLVKSVAGVDLPVQPLHTLICYWKARPGREHELTPESGFPTFASYGDTCIYSTPSMEFPGLIKVCAHGGAPCDPDRRDWCAGGDALADPVARWIDELMPGHVDTAGGPVIRQSCMYSMTPDEDFIIDFVGGEFGKDVVVGAGFSGHGFKMGPAVGRILTEMAMDGEAKTAAEAGVELGYFRIGRFEGNPEGNRAENKEYTVPQTLVEKPFVVPVRNPLLVPVSKPGVPIRD >ONIVA12G14410.1 pep chromosome:AWHD00000000:12:16035367:16044038:-1 gene:ONIVA12G14410 transcript:ONIVA12G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSELIPRNYKSIVHNNWPKKPNQYTIQQSLSPFTGRSASPRLPSQLAAPLVPPPRPPSSPPMSSGGIPSTSARRAFSPGGDGPPATMRRLLQERRLRRPNHRR >ONIVA12G14420.1 pep chromosome:AWHD00000000:12:16050064:16055166:-1 gene:ONIVA12G14420 transcript:ONIVA12G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JB60] MLTATQTLAPAVLSRSHGAPSSFSSQPRRTAAAASRVSCTRVGALSEVVNGELVVGDQEQTTDDLLTRHKNVVADYTLSATVTVSLKQDDSTPRKVADMVNRDWLFLDFFSSHIGSFIYEASFSIPSSLDAVGAVQVVNRYSSEVYISDIDVHLCGGRHQWTDITFHCNSWIDYNPNDQRFFFPLKSYLPSQTPRGVKNLRKEELRAIRGDGRGERKEWERIYDYDVYNDLGDPDNDPATRRPVLGGRGRPYPRRCRTGRRRCRTDPSSESPPAKDGAGIYVPRDEAFTERKAGAFATKKALSALSAFTTAQRVSGDRRRGFPSLAAIDALYEDGYKNRPSSSQQEADNLEGYFREVLQKQVKLLLKGEKEEFKEELRKVFKFQTPEIHDKDKLAWFRDEEFARQTLAGMNPLSIQLEFPIFSKLDEETYGPGDSLITKELIEEQINGVMTAEEAVEKKKLFMLDYHDVLLPFVHAVRELDDTTLYASRTLFFLTEDGTLRPIAIELTRPKSPNTPQWRQVFTPGSSVAASWLWQLAKTHVLAHDNGYHQLVSHWLRTHCCVEPYVIAANRRLSQMHPIYRLLHPHFRFTMEINAQGRGMLINANGIIESAFAPGKYCMELSSAVYDKFWRFDMEALPADLIRRGMAIECEDGELELTIEDYPYANDGLLIWDSIKEWVSDYVNHYYQLASDIHMDKELQGWWNEVRTKGHPDKEEGWPELNCHGSLVEVLTTIIWVASGHHAAVNFGQYPYAGYFPNRPTIARRNMPTEGQACSHDGMQPTFVEDPVRVLLDTFPSQYQTTLVLPVLNLLSSHSPGEEYMGTHAESAWMADREVRAAFGRFNERMMSIAEMIDCRNKDPERKNRQGPGVVPYVLLKPSYGDPKDMTSVMEMGIPNSISI >ONIVA12G14420.2 pep chromosome:AWHD00000000:12:16050064:16055166:-1 gene:ONIVA12G14420 transcript:ONIVA12G14420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JB60] MLTATQTLAPAVLSRSHGAPSSFSSQPRRTAAAASRVSCTRVGALSEVVNGELVVGDQEQTTDDLLTRHKNVVADYTLSATVTVSLKQDDSTPRKVADMVNRDWLFLDFFSSHIGSFIYEASFSIPSSLDAVGAVQVVNRYSSEVYISDIDVHLCGGRHQWTDITFHCNSWIDYNPNDQRFFFPLKEFPIFSKLDEETYGPGDSLITKELIEEQINGVMTAEEAVEKKKLFMLDYHDVLLPFVHAVRELDDTTLYASRTLFFLTEDGTLRPIAIELTRPKSPNTPQWRQVFTPGSSVAASWLWQLAKTHVLAHDNGYHQLVSHWLRTHCCVEPYVIAANRRLSQMHPIYRLLHPHFRFTMEINAQGRGMLINANGIIESAFAPGKYCMELSSAVYDKFWRFDMEALPADLIRRGMAIECEDGELELTIEDYPYANDGLLIWDSIKEWVSDYVNHYYQLASDIHMDKELQGWWNEVRTKGHPDKEEGWPELNCHGSLVEVLTTIIWVASGHHAAVNFGQYPYAGYFPNRPTIARRNMPTEGQACSHDGMQPTFVEDPVRVLLDTFPSQYQTTLVLPVLNLLSSHSPGEEYMGTHAESAWMADREVRAAFGRFNERMMSIAEMIDCRNKDPERKNRQGPGVVPYVLLKPSYGDPKDMTSVMEMGIPNSISI >ONIVA12G14430.1 pep chromosome:AWHD00000000:12:16066531:16092832:-1 gene:ONIVA12G14430 transcript:ONIVA12G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSIGRSVLKGALGFAKSTLVEEVSLQLGVQRDQAFIRDELEMMNSFLMAANDEKDDNKVVRTWVKQVRDVAYDVEDCLQDFAVRLGRKSSSWWLSPHTLWERRRIAKQMEELRGKVEDVSQRNMRYQLIKGSKPTVATNVAPSSTARATMSGAHEERWQHEKAIDHLVRLVKTKVDERRVIAVWGTSGDIREMSIVGGAYDHLKRSNKFECCAWVNLMHPLNPTKLLQTIVRQFYVRSLQEAGKATPSCQILSSMLIKEDQGLGFRVLRSMLMKEDHLNDEFNKYLSDKCYLIVLNDLSSAEEWKQIKMLFPDNKKGSRIIVFTQQVEVASFCARTEEVAPEHMQLFADQTLYAFHCKGAKDGVDSMEDSSNLNEDTTYNAVEGKSLTRTYSMVTAFKESEIVGRVDEIKEIIELISKGSQQLEKISVWGMGGIGKTTLIQNVYRSEKVKKMFDKHACVTIMRPFNLNDLLMSLVRQLKDSKTSGEKELASILEGKKYLIVLDDVLSTTEWNAIESYFPAMEETGSRIIITTRHESIAKHCSGDQQGKIYQLNRLGDSDAKNLFAKKQQQLHTHGQHRGSSAPSPPHRTPEPVAPVWHLRPHGSTRATVNSWERWDGWLQTHSGSGEINGEIHNLNTACIHYLCHGGGGGKSNGRIGVFKESVNLDQEDLELIEEAKLILKKCKGLPLAIVTIGGFLASRPKTALEWRKLNEHISAELETNLELEAIRAVLNISYDGLPYHLKSCFLYLSIFPEDDKISRKCLVRRWCAEGYSRELLDKSAEEIANNYFFELIDRSMILPTQNSTYSSRGADSCQIHDIMREIAILKSKEENLVLRLEGGCRLHNHDTVRHLSITNSSEDWKTTVDMSRIRSLTMFGEWRSFFISDKMRLLRVLDLEDTEGVRNHHIKQIGELLHLRYLSLRGCMHIAYLPDSLGNLRQLETLDVRDTFILRLPKTITNLRKLKYLRASVDEDTYEGTVEKLLELMRNRLCIFTVALLLLCLACTTNSIGMLDLGINTREICSMFCCSILPSIAMRLQGSGVVAPRGLRRLTALHTLGVVDISWESSVLQDLKKLTQLRKLEVTGVNKKNSKKFFSALAALSRLESLSLLSKGKPGLCGCLDAEEKFSPPKDLKSLKLQGNLVELPKWIRQLNNLVKLKLSETMLKDHDAAIQVLGMLPNLTILCLSRESFHSLEGEELNFSEGSFKSLVVLELNFSGSKCVKFEQGAFLNLELLLLSVYYKVVETEFSGLEFLQSIKEVQIDGYCLNTEGLKKYLLPPGAESLSLLSKGEPEFSPPMNLKSLKLQGNQVELPKWIRQLNNLVKLKLSETMLKDHNAAIQVLGELRNLTILCLSRESFHSLEGGELNFSEGSFKSLVVLELHFGGSKCVKFEQGAFLNLELLLLSVYCEEVETKFSGLEFLPGIKEVRLHGEFCARNEQAAPRLKEDLLAQLSENPKKPILKTSGYDGLPYHLKSCFLYLSIFPEDDKISRKRLVHRWCAEGYSRELWDKSAEEIANNYFFELIDRSMILPTQNSTYSSRGADSCQIHDIMREIAILKSKEENLVLRLEGGRRLHNHDTVRHLSITNSSKDWETDVGELKTTVDMSRIRSLTVFGEWRPFFISDKMRLLRVLDLEDTEGVHNHHIKQIGELLHLRYLSLRGCMHIAYLPDSLGNLRQLETLDVRDTFILRLPKTITNLRKLKYLRASVDEDTYEGTVEDLLELMRNRLCIFTIALLLLCLACTPNSIGMLDDGINTREICSMFCCSILPSIAMRLQGNGVVAPRGLRRLTALHTLGVVDISWQPSILQDIKRLTHLRKLEVTGVNKKNSKKFLSALAALSRLESLSLISKGKPGLWGCLDAEEKFSPPKDLKSLKLHGNLVELPKWIRKLNNLVKLKLSETGLKDHDAAIQVLGKLRNLSIHCLPRKSFHSLEGGELNFSEGSFKSLVVLKLHFGGSKCVKFQQGAFHDLELLVLSGYIEEVGTKFSGLEFLPRIKEVQLHDYFYDIN >ONIVA12G14440.1 pep chromosome:AWHD00000000:12:16104227:16110500:1 gene:ONIVA12G14440 transcript:ONIVA12G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMATQPLGPVLSPSHGGPSSFSSSVSLGGQWAPCRPAVSSKVSCTRIGLSEVDNGKVVGHIDVDEEEQTMQVQGITTVTATVAVRLKEGISTPEKVADMRHTEPQPAKYLRMDDVTGSFIYESSFGVRSSFGAIEVYISDIEVHLHGGHHHSSAVTFQCNSWIACNNPDDHRFFFPLKATYSLPYRHHRSIGCKLVTLIINLRKEELKAIRGNGRGERKEWERVYDYDVYNDLGDPDNDPATRRPVLGGRERPYPRRCRTGRHRCRADPSSESPPATADGIYVPRDEAFTERRAGAFATKRALSMLSAFTTARRVSGDRRRSFPSLAAIDALYEDGYKNRPPSSQPEADDVDGYLAGMVQRQVKLLLKGEEEEFKEELRKLFKFRTSEIHDKDKLAWLRDEEFARQTLAGMNPLSIQLEFPIFSKLDEETYGPGDSLITRELIEGQINGVMTAEELDDTTLYASRTLFFLTEDGTLRPIAIELTRPKSPNTPQWRQVFTPGSSVAASWLWQLAKTHPYVIAANRRLSQMHPIYRLLHPHFRFTMEINAQARGMLISANGIIESAFAPGKHCMELSSAVYDKFWRFDMEALPADLIRRGMAFHGEDGKLKLTIED >ONIVA12G14440.2 pep chromosome:AWHD00000000:12:16109760:16111380:1 gene:ONIVA12G14440 transcript:ONIVA12G14440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTACSSGTLSRNHVNHYYPSASDIYSDEELHGWWNEVQTNGHPDKKDGWPELDCHGSLIKVLTTIIWVASGHHAAVNFGQYPCAGYFPNRPTIARRNMPTEEEHGCEGMQPTFVEDPVRVLLDTFPSQYQTTLILPALNLLSSHSPSEAAWMANREVRAAFGRFNERMMRIAETIDRRNRDPERRNRWGPGVVPYVLLKPCYGDPKDMSSLVLVKTKFSPKKADQGLA >ONIVA12G14450.1 pep chromosome:AWHD00000000:12:16113629:16116875:-1 gene:ONIVA12G14450 transcript:ONIVA12G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFSESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHMGLSNFTTGKGKVSLGESNVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >ONIVA12G14460.1 pep chromosome:AWHD00000000:12:16119469:16123345:1 gene:ONIVA12G14460 transcript:ONIVA12G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0JB66] MSTMKFCRECNNILYPKEDRDQKILLYACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKAVRCAVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRE >ONIVA12G14470.1 pep chromosome:AWHD00000000:12:16122308:16124408:-1 gene:ONIVA12G14470 transcript:ONIVA12G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G56345) TAIR;Acc:AT1G56345] MTRLPLLLHSPRFAAALTTPPPPPLPPARRLVAAAAGGDLSLAMSAATGEYPVPVSPPYPAASKDVELRRAMTASARSAAYSSAPVVFEDEWLAVVDKPAGVYCDALLSALPCSAATLGDEATKPNLHLANRLDRDTSGLMVITKCNKVAGKLVKAFTEHKVKKTYLALCIGYPPAWEKIKICSGHGRSKHGAWRVYAMSDVGRSLPGGSVVRDMSTRFEVLGINGKGQFREPSNFEVDETESITVQEKAADLTSDGDEKNSIILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYSGVIEWNGVDYDGHALHAESLSFVHPVTGLPVTFRSPLPSWANEFISTMA >ONIVA12G14480.1 pep chromosome:AWHD00000000:12:16124972:16129134:1 gene:ONIVA12G14480 transcript:ONIVA12G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKVVRPEEVLDSLANDGTIDALRMKIIAQLKANEDMKKNTMMMVEQSRVLNTPGAEKKTKRELFDALRQELENPVLEKASREVWDLILENGGLGKEITDTIESVFCRLSGIDMMPPPPSTSIPSHQERERNMAADGGEKSKEIDTPEKPSSSSRKRPYSDTTTKGAGAVPNGGATSQHDGSEDSSQK >ONIVA12G14490.1 pep chromosome:AWHD00000000:12:16127819:16132656:-1 gene:ONIVA12G14490 transcript:ONIVA12G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT4G02060) TAIR;Acc:AT4G02060] MAATATKTIDFAAERALAKDFLANFAGPRGEPKYLNILQDVANRKIRAVQIELDDLFHYKDADDEFLQRVTENTKRYIGIFADAIDELMPESTEAYAVDEDRDILMTQRVDEGADGGADGTDPLQRMPPEIRRFFEVYIKAFSKVTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTVHLRGELTRKVAPGDVVEMSGIFLPMPYYGFRAMRAGLVADTYLESMSITHFKKKYEEYELKGDEQEQIDRLAEDGDIYNKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLTDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMETDLEMARHVVHVHQNLESPALGAYISAARRVVPSVPRELEEYIATAYSSIRQEEAKSNAPHSYTTIRTLLSILRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTNSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHPNTFDIHFIDA >ONIVA12G14500.1 pep chromosome:AWHD00000000:12:16137170:16140577:-1 gene:ONIVA12G14500 transcript:ONIVA12G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKVSTPSITTENKKNYVPIKEKQRCHRFLIHRIRAEVERATPSAAGACASRSLPPAAILSGAPSCSVPFSVGVRFPAAGASGAALTTSGVRTGAAVQRSPAWFYLLSRDAAGGGGNCDDSYRRAWSRLLRRLVRESRSFCSLSISRHVCSIAIPESRT >ONIVA12G14510.1 pep chromosome:AWHD00000000:12:16141263:16141700:1 gene:ONIVA12G14510 transcript:ONIVA12G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSPAGSSDGDSAGVVVAADHRREKRRLSNRESARRSRLRKQQHLDELVQEVARLQADNARVLARASEIAGQYARVEQENTVLRARAAELGDRLRSVNEVLRVVEEFSGVAMDIQEECPPDDPLLRPWQIPCPAAAHMLQY >ONIVA12G14520.1 pep chromosome:AWHD00000000:12:16142986:16143177:-1 gene:ONIVA12G14520 transcript:ONIVA12G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 5C [Source:UniProtKB/TrEMBL;Acc:A0A0E0JB72] MAGGRIAHATLKGPSVVKEICIGLTLGLVAGGLWKMHHWNEQRKTRSFYDMLEKGQISVVVEE >ONIVA12G14530.1 pep chromosome:AWHD00000000:12:16146684:16150065:-1 gene:ONIVA12G14530 transcript:ONIVA12G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGSNHHDASGFASPCPLPAPTHHAKGEEAGDRVQLEPSLKKKEWSQRHAFPSWGILVFLVVGDEDNCGGGGGGGDGRVMRERGEMEGREGSRLDNSLTRKRRSSSTARRRPRPESNHVVDVEQQQQQQQQRDGYSSSSSSAIGSDEDANSDGEEHQRREIHLNAPSPDRAARRAAMEGGAMSSNPNPRSSHKTKGSNQLHSEGSGGGGGGSSRKGESGHGAVVSAGNRESSTGDKTRKLKLKIGGIRRSVPAKPSPDMSHSRSLPVKPPRPGDSQQRQKHSSQAEGVKDSSRLASSRDKKTKKEKSIDDALTPEQPAKVHREPSSDPVRKSRRIAKKSILDSELDEDYDTNILDDFGTSEGVEVHTREPAKKTGSSSKKNAAKKAKTKSTSYEIDNDFVTSRSKRDGNKRSRESTDADNSEEEPTSDSELDAQNRKQKAVTESPANVRSEPLTTRRRALQSWMDGNSNSAIEFPDGLPPAPSRGKKDKLSDAEMLAKKAEAAQRRKMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREDKERAARAQTIPENTIRWVMGPKGTVVSFPEEVGLPSIFNSKPCNYPPPREKCAGPSCTNAYRYRDSKLNLPLCSLKCYKAVNGNA >ONIVA12G14530.2 pep chromosome:AWHD00000000:12:16146684:16149040:-1 gene:ONIVA12G14530 transcript:ONIVA12G14530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREGSRLDNSLTRKRRSSSTARRRPRPESNHVVDVEQQQQQQQQRDGYSSSSSSAIGSDEDANSDGEEHQRREIHLNAPSPDRAARRAAMEGGAMSSNPNPRSSHKTKGSNQLHSEGSGGGGGGSSRKGESGHGAVVSAGNRESSTGDKTRKLKLKIGGIRRSVPAKPSPDMSHSRSLPVKPPRPGDSQQRQKHSSQAEGVKDSSRLASSRDKKTKKEKSIDDALTPEQPAKVHREPSSDPVRKSRRIAKKSILDSELDEDYDTNILDDFGTSEGVEVHTREPAKKTGSSSKKNAAKKAKTKSTSYEIDNDFVTSRSKRDGNKRSRESTDADNSEEEPTSDSELDAQNRKQKAVTESPANVRSEPLTTRRRALQSWMDGNSNSAIEFPDGLPPAPSRGKKDKLSDAEMLAKKAEAAQRRKMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREDKERAARAQTIPENTIRWVMGPKGTVVSFPEEVGLPSIFNSKPCNYPPPREKCAGPSCTNAYRYRDSKLNLPLCSLKCYKAVNGNA >ONIVA12G14540.1 pep chromosome:AWHD00000000:12:16152410:16162084:1 gene:ONIVA12G14540 transcript:ONIVA12G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLLASLASSASCLDMSGGMSPSRSSKPWSQVSTSVRAVSSMVPVVSLSPAAMALSASSRLYAMSSYESMQSRSTGFASAGVLASAASAPAAFFAAAALARTAPIASRSTAVASSFAAAPAAGGGTTVIWHTTG >ONIVA12G14550.1 pep chromosome:AWHD00000000:12:16152598:16153293:-1 gene:ONIVA12G14550 transcript:ONIVA12G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPLVACVLLAAVVFTAVAPPPAGAVCVPRNGKAAPGKPGMSPAPPKKLTPAPPTTPPPKAKPILPGPGGDLVKALCAKTDYPVVCQMTVVPPPAAGAAAKLDATAVLRLAMGAVRAKAAAAKKAAGALAADARTPALAKPVLRDCMDSYDDIAYSLDEADKAMAAGDKDTTGTMLDTARTDVDTCDQGFEERDGDIPPLMSKQDAELAKLASNCIAIAVAAGLRSSS >ONIVA12G14560.1 pep chromosome:AWHD00000000:12:16154914:16156945:-1 gene:ONIVA12G14560 transcript:ONIVA12G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G30090) TAIR;Acc:AT2G30090] MAKSEVSIREYDRERDMEAIEKLERSCEIGAGKGFSIVTNMMGDPLCRIRLFQLHVMMVAELTGGGGELVGVARGCVKRVATGVGDTVLAGYVLGLRVSPVHRRKGIGLKLVESVEAWAARHGACHVVAAADAANAASRGLFVGRRGYATAARLSILVQPLADVRPPPAAASSRSDVRIERLAVEQAAMLYKRRFGGEPLCPSDVDAVLGAAPSLGTWMARFAGGGGGDGGDGAWACVSLWNTCASYRLQVVAPPPRPAGGGRALLARLAAVAPTPPCMGGAGAGAAPRGATCGEFGFVLAYGIDGEGGAPAVRALVRALWTLAAGVARRVGAECKALVVEAAAGDPVARHVPRGRLASRVDDVWLVKPGGGAAALPAGAARRRFVDPRDF >ONIVA12G14570.1 pep chromosome:AWHD00000000:12:16168875:16170325:-1 gene:ONIVA12G14570 transcript:ONIVA12G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JB78] MELAERMASRGHHVSFVSTPRNIARLPAPVASAVELVALPLPRVDGLADGAESTNDVPDDEQGLLMEAFDGLAAPFADFLAAACADDGGGGRRRRPDWVIADSFHHWAAPAAARHGVPCVALLPSAAVMAAWVVPPPATSSPSPAAAMPSYEWEKLKARFLAATSHGASSPASGGMSRATRCSLTLERCTLAAMRSCVEWEPEPFRAVAAGLGKPLVPLGLLPPSPAGSRRRRAVAGEEDDDSTNPLLRWLDAQPPSSVLYVALGSEVPLRVDQVHELALGLELAGARFLWALRKPRSSSAASAAAAAAAAILPPGFQERTASRGVVTMGWAPQIAILEHAAVGAFLTHCGQNSLVEGISAGNPLVMLPIAGDQGPNARLMEARKVGLQVARDGVDGSFDRHGVAAAVRAAIVDEETRKVFVANALKLREVVADEELHERYIDEFIHQLRLSSPTYQA >ONIVA12G14580.1 pep chromosome:AWHD00000000:12:16183715:16188063:-1 gene:ONIVA12G14580 transcript:ONIVA12G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVTATAAAALLASPPQGHLGRRCHLVVPGLRLRPPASSSPPHAAPPLRFSNFVPRCYMTNVEVDVSHTSEQEALDDHPPLLPACAIPVVHLRDCPDASPFPLHESASHSTDFEELPVLSEGELHTIAATPAHPAGLYALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFTKLSVFIGAPIVGKLMDHFPRIPMYTGLNAVQVATQLISAAMVIYAMKNVTHASTSAVVLKPWFIALVAAGAIERLAGLALGVAMERDWVVLLAGTNRPVALAQANAVLNRLDLVCETVGASVFGLLLSKYHPVTCLKIACGLMICSFPVLVVLGQLINRFSCHALDSSRTPSDESICANLLDVRKIVQNGLSAIRNGWNEYKQQTVLPASVATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISSSLVERVGILKAGAAGLIVQASLLSVALVVYWTGSISQRTPLLIFLAAIALSRLGHMSYDVVGTQILQTGVPASKANLIGGMEVSISSLAELVMLGMAIIANDVSHFGFLAILSVSSVAGAAWMFCQWLGNPTDEQRELFMFDPHFQVEPMLVADVEHHNNQLQ >ONIVA12G14590.1 pep chromosome:AWHD00000000:12:16194517:16199243:1 gene:ONIVA12G14590 transcript:ONIVA12G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:genomes uncoupled 1 [Source:Projected from Arabidopsis thaliana (AT2G31400) TAIR;Acc:AT2G31400] MATPTHFTPSQTHAAASHHHPSAAAAASAASARLHASAQPASAAASASAAAAALCPPFPAAGAACPPAQGPIFGGGPGAKPWVVQQQQPQRAAAAALGPEFRRARSTRNISKRSRGGAWGAQDRGGGARGGPFSAAAGRCVEKLLRVAPDDRRALGAALASSRGELVAPDDYCHVLRELGDRDKSALRAFEVFHAALPHVGNGAVDKGKLLTASIGALGKMGRPDLARRAFDAGVAGGYGNTVFAHSALISAYARSGLANEAMGVLESMKGAGLRPTTVSYNAVIDACGKGGVDLRFTLGYFRQMLKDGLCPDRKTFNSLLAACSRVGHLEDARAVFDEMIHLGIGRDIYTYNTFIDAICKCGNMELAMQVLLDMEAKGVKPNVVTYSTLIDGYSKLEKYEEALKLCEKMKSMRIQLDRVCYNTLLAIYVKAGKYAEIANVCDEMEELGIEKDTVTYNSLINGYGKQGRLDIVSILVQDMRKRGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKPDVVLFSSFIDTLAKNGLIEWALSLLNDMTEMGIKPNVVTYNAIIDAFGKSKVMMEDDSEVGDMGIVGVYGGQIVRVANPVSRGGRSATDVRMRRSQELFFILELFQKMVQQGVRPNVVTFSAILNACSRCNSFEDAALLLEQLRLFDNFVYGVAYGLLVGSREVWSQAQSLFNQLGRMDSPTSSAFYNALTDVLWHFGQRRKAQQVVFEGINRRVWENTWGEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSRIAGASTLRRVIEALLNSIGAPFQVERFNIGRFVSPSAVVAAWLRESGTFNILLLHDERVQQAAPSNLVPRLQALQL >ONIVA12G14600.1 pep chromosome:AWHD00000000:12:16202389:16207073:1 gene:ONIVA12G14600 transcript:ONIVA12G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:A0A0E0JB81] MGSYAYKYCMCFTRKFRSPAADPPPDVRAAFLAAGGGDGGLRRFLAQAQGEAPAEVDRILALLSGGGGGGGGGGIAARLVGPRPGPAPSLDDFFGFLFNADLNPPIATQVHQDMSAPFSHYYIYTGHNSYLTGNQLNSDSSDIPIIKALQRGVRVIELDMWPNSAKNNIDILHGGTLTAPVQIIKCLKSIKEYAFCASPYPLVITLEDHLTPDLQAKVAEMLVKTFGNLLYIPSSDPINEFPSPESLMKKIIISTKPPQEYKKFLKSKDNQNINGGLANLAEEGSLRRIDSNAEESDGKDELDDQDEDSSDEDDPKFQQETACEYRELITIHAGKPKGHLKDALKVDPDKVRRLSLSETQLAKATASHGADVIRFTQKNILRVYPKGTRINSSNYDPMNAWTHGAQMGHDKALRLMQGFFRANGGCGYVKKPDFLLRTGPNGEVFDPNASMPVKKTLKVKVYMGDGWRMDFSKTHFDTFSPPDFYTRVGIAGVRADCVMKKTRTIEDQWVPMWDEEFTFPLTVPELAVLRIEVHEYDMSEKHDFGGQTCLPVSELKQGIRAVPLHDRRGTRYKSVRLLMRFDFL >ONIVA12G14610.1 pep chromosome:AWHD00000000:12:16207921:16208987:1 gene:ONIVA12G14610 transcript:ONIVA12G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLWFWEMQTFIPYSQNNPSSSVVIPAPMSAKPSALQMPSPSVGSFTQLPYHMVVVLKSIPRGDLVEYFFCETSQPVDTKSILHPTKQLPMNFSADSNLLLQPVTRESSLSILAYSFPGFEDVNVCYLKQPCQKILYHIQQNLGIETIKKCQMLMFSSLEMQLLHSNYHDARNGMPHECHDALCVGRGTIEGIEPIEGTHYLKAIFVPQALGLLRIPAL >ONIVA12G14620.1 pep chromosome:AWHD00000000:12:16209438:16209856:1 gene:ONIVA12G14620 transcript:ONIVA12G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGVQNVHLDQEERSADLIEKIDTVYCKTESHRSLTEERRPVLSEEQNTVDRIDFGTNKLSSLHRIFEYTKRNKSVSKIGTDTVSKDHPKNLVPFTEECLAVMEAFGEVSLLDIIYF >ONIVA12G14630.1 pep chromosome:AWHD00000000:12:16214985:16222133:1 gene:ONIVA12G14630 transcript:ONIVA12G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGEELLKKIRELEVGQAQLKQEMSKLGGAAAAAERRRSQSVSPRRGAAPPPPHPPPLPARRLSGGFEGGARAWARGSASFPHSSPLQREGRAAAAAGGGLTEKQYTRVLQSLGQSVHILDLEGKIMYWNRSAEKLYGYPASEALGQDGLMLLIDSCDINVVNDIFRRISLGESWTGKFPVKNRAGDRFSAVATNTPFYDEDGSLVGIVCVSSDLRTMEEIISGPSICARPHPESSRTYCEASCSNSNRKASLLSRSPFDSQQPLQSTIASKITNLATKVTNKVRSRVRADENGIEREGGSGESHCSDRDAKEEPTSSGTTTPRGDAPRGAFATEESSPGKTAKMNSDESEGKVGFHRILSSKAEALLNKKGISWPWKGRDNDGPDVKNQATWPWLHGEQDGSQNHQKISDSAITQDGQGAEYNQPNKNEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHEADCLDYEILWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEVIQTFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNNTKLDWRRRVHMALDIARGMNYLHHSSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNHRLEIPSETDPQWTSLILSCWETDSQLRPSFQQLLERLRELQRQYNVQTQMQRNASAAAKNSSIEE >ONIVA12G14630.2 pep chromosome:AWHD00000000:12:16214985:16222133:1 gene:ONIVA12G14630 transcript:ONIVA12G14630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGEELLKKIRELEVGQAQLKQEMSKLGGAAAAAERRRSQSVSPRRGAAPPPPHPPPLPARRLSGGFEGGARAWARGSASFPHSSPLQREGRAAAAAGGGLTEKQYTRVLQSLGQSVHILDLEGKIMYWNRSAEKLYGYPASEALGQDGLMLLIDSCDINVVNDIFRRISLGESWTGKFPVKNRAGDRFSAVATNTPFYDEDGSLVGIVCVSSDLRTMEEIISGPSICARPHPESSRTYCEASCSNSNRKASLLSRSPFDSQQPLQSTIASKITNLATKVTNKVRSRVRADENGIEREGGSGESHCSDRDAKEEPTSSGTTTPRGDAPRGAFATEESSPGKTAKMNSDESEGKVGFHRILSSKAEALLNKKGISWPWKGRDNDGPDVKNQATWPWLHGEQDGSQNHQKISDSAITQDGQGAEYNQPNKNEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHEADCLDYEILWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEVIQTFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNNTKLDWRRRVHMALDIARGMNYLHHSSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNHRLEIPSETDPQWTSLILSCWETDSQLRPSFQQLLERLRELQRQYNVQTQMQRNASAAAKNSSIEE >ONIVA12G14640.1 pep chromosome:AWHD00000000:12:16225416:16231559:1 gene:ONIVA12G14640 transcript:ONIVA12G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWWMNPLIKKGYEKPLEETDIPALGIEDEAGTQYSMFMNKIDASKSSLFWIIVSCYKREILVSGFFAFLKVLTLSAGPLFLKEFINVSSGKEAFKHEGFVIVLGLLFSKCLESLAQRQWYFRTRRVGVQVRSLLSASIYRKQQKLSCSASTEHSSGEIMNYLMVDTYRIGEFPFWFHRTWTTGLQLCIALMVLYNAVGPATVASVFVIVLTVMLNAPLAKQQQNIQSELMEAQDMRLKTMSESLTNMKVLKLYAWENHFKGVIEQLRELELKWLSAFQLGKAYTSVLFWASPALVSAATFLACYFLGVPLDPSNVFTFVAALRLVQDPINHIPNVIGSVIQARAAFNRLNEFLGASELQKDQVSMEYSAHSQYPIAIKSGCFSWDSSENYNLRNINLMVKSGTKVAICGEVGSGKSSLLAAILGEVPRTDGVIQVSGKIAYVSQNAWIQTGSVKDNILFGSTMDKPRYEETLKFCSLVHDLEILPFGDLTQIGERGANLSGGQKQRIQLARALYHDADIYLLDDPFSSVDAHTATSLFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLNLVNAHKDIVNFPNDNMVDYNGDKSPFKRETAVVLDGGKESIKNAEFDQLIRREEREIGGTGLKPYLMYLGQNKGYIYATLVAIANIAFTSGQLAQNSWLAANIQNPVDLGLQTSRSLFSQLLTALFRAPMSFFHSTPIGRILSRVSSDLNVIDLDVSFTLSFSISATLNAYINLGVCFFTWPILFIAAPIIIMAVRLQRYYLASSKELMRINGTTKSLVANHLAESISGAVTLIDNNASPSFHCFAATEWLTQRLEIMATTILSSSAFVITLLPQGTLSPGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERISQYMDIVKYTQDASPVLKGISCTFQGGDKIGIVGRTGSGKTTLINAIFRLVKPSGGKITIDGQDITTMGLHDLRSRIGLIPQDPILFNGSIRYNLDPHGHFSDKQIWKVLGKCQLDEVSNEKKGLDSLVVEGGSNWSMGQRQLLCLGRALLRRSRILILDEATASMDNATDAVIQKTVRTELKDSTIITIAHRIPTVMDCTRGKWSSMKSPRN >ONIVA12G14640.2 pep chromosome:AWHD00000000:12:16225416:16231559:1 gene:ONIVA12G14640 transcript:ONIVA12G14640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWWMNPLIKKGYEKPLEETDIPALGIEDEAGTQYSMFMNKIDASKSSLFWIIVSCYKREILVSGFFAFLKVLTLSAGPLFLKEFINVSSGKEAFKHEGFVIVLGLLFSKCLESLAQRQWYFRTRRVGVQVRSLLSASIYRKQQKLSCSASTEHSSGEIMNYLMVDTYRIGEFPFWFHRTWTTGLQLCIALMVLYNAVGPATVASVFVIVLTVMLNAPLAKQQQNIQSELMEAQDMRLKTMSESLTNMKVLKLYAWENHFKGVIEQLRELELKWLSAFQLGKAYTSVLFWASPALVSAATFLACYFLGVPLDPSNVFTFVAALRLVQDPINHIPNVIGSVIQARAAFNRLNEFLGASELQKDQVSMEYSAHSQYPIAIKSGCFSWDSSENYNLRNINLMVKSGTKVAICGEVGSGKSSLLAAILGEVPRTDGVIQVSGKIAYVSQNAWIQTGSVKDNILFGSTMDKPRYEETLKFCSLVHDLEILPFGDLTQIGERGANLSGGQKQRIQLARALYHDADIYLLDDPFSSVDAHTATSLFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLNLVNAHKDIVNFPNDNMVDYNGDKSPFKRETAVVLDGGKESIKNAEFDQLIRREEREIGGTGLKPYLMYLGQNKGYIYATLVAIANIAFTSGQLAQNSWLAANIQNPGVFRHQGLYFPNYLLPYFVHQCLSFIPLQLEGYLAVISATLNAYINLGVCFFTWPILFIAAPIIIMAVRLQRYYLASSKELMRINGTTKSLVANHLAESISGAVTLIDNNASPSFHCFAATEWLTQRLEIMATTILSSSAFVITLLPQGTLSPGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERISQYMDIVSEAPDIVEDNQLPVKYTQDASPVLKGISCTFQGGDKIGIVGRTGSGKTTLINAIFRLVKPSGGKITIDGQDITTMGLHDLRSRIGLIPQDPILFNGSIRYNLDPHGHFSDKQIWKVLGKCQLDEVSNEKKGLDSLVVEGGSNWSMGQRQLLCLGRALLRRSRILILDEATASMDNATDAVIQKTVRTELKDSTIITIAHRIPTVMDCTRGKWSSMKSPRN >ONIVA12G14640.3 pep chromosome:AWHD00000000:12:16225416:16231559:1 gene:ONIVA12G14640 transcript:ONIVA12G14640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWWMNPLIKKGYEKPLEETDIPALGIEDEAGTQYSMFMNKIDASKSSLFWIIVSCYKREILVSGFFAFLKVLTLSAGPLFLKEFINVSSGKEAFKHEGFVIVLGLLFSKCLESLAQRQWYFRTRRVGVQVRSLLSASIYRKQQKLSCSASTEHSSGEIMNYLMVDTYRIGEFPFWFHRTWTTGLQLCIALMVLYNAVGPATVASVFVIVLTVMLNAPLAKQQQNIQSELMEAQDMRLKTMSESLTNMKVLKLYAWENHFKGVIEQLRELELKWLSAFQLGKAYTSVLFWASPALVSAATFLACYFLGVPLDPSNVFTFVAALRLVQDPINHIPNVIGSVIQARAAFNRLNEFLGASELQKDQVSMEYSAHSQYPIAIKSGCFSWDSSENYNLRNINLMVKSGTKVAICGEVGSGKSSLLAAILGEVPRTDGVIQVSGKIAYVSQNAWIQTGSVKDNILFGSTMDKPRYEETLKFCSLVHDLEILPFGDLTQIGERGANLSGGQKQRIQLARALYHDADIYLLDDPFSSVDAHTATSLFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLLMSQGQIMHAASYQELLLSSREFQNLVNAHKDIVNFPNDNMVDYNGDKSPFKRETAVVLDGGKESIKNAEFDQLIRREEREIGGTGLKPYLMYLGQNKGYIYATLVAIANIAFTSGQLAQNSWLAANIQNPGVSTFNLVQVYTAIGIGSIMFLLFRALLAVDLGLQTSRSLFSQLLTALFRAPMSFFHSTPIGRILSRVSSDLNVIDLDVSFTLSFSISATLNAYINLGVCFFTWPILFIAAPIIIMAVRLQRYYLASSKELMRINGTTKSLVANHLAESISGAVTLIDNNASPSFHCFAATEWLTQRLEIMATTILSSSAFVITLLPQGTLSPGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERISQYMDIVSEAPDIVEDNQLPVDWPSIGSIVKYTQDASPVLKGISCTFQGGDKIGIVGRTGSGKTTLINAIFRLVKPSGGKITIDGQDITTMGLHDLRSRIGLIPQDPILFNGSIRYNLDPHGHFSDKQIWKVLGKCQLDEVSNEKKGLDSLVVEGGSNWSMGQRQLLCLGRALLRRSRILILDEATASMDNATDAVIQKTVRTELKDSTIITIAHRIPTVMDCTRGKWSSMKSPRN >ONIVA12G14640.4 pep chromosome:AWHD00000000:12:16225416:16231559:1 gene:ONIVA12G14640 transcript:ONIVA12G14640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWWMNPLIKKGYEKPLEETDIPALGIEDEAGTQYSMFMNKIDASKSSLFWIIVSCYKREILVSGFFAFLKVLTLSAGPLFLKEFINVSSGKEAFKHEGFVIVLGLLFSKCLESLAQRQWYFRTRRVGVQVRSLLSASIYRKQQKLSCSASTEHSSGEIMNYLMVDTYRIGEFPFWFHRTWTTGLQLCIALMVLYNAVGPATVASVFVIVLTVMLNAPLAKQQQNIQSELMEAQDMRLKTMSESLTNMKVLKLYAWENHFKGVIEQLRELELKWLSAFQLGKAYTSVLFWASPALVSAATFLACYFLGVPLDPSNVFTFVAALRLVQDPINHIPNVIGSVIQARAAFNRLNEFLGASELQKDQVSMEYSAHSQYPIAIKSGCFSWDSSENYNLRNINLMVKSGTKVAICGEVGSGKSSLLAAILGEVPRTDGVIQVSGKIAYVSQNAWIQTGSVKDNILFGSTMDKPRYEETLKFCSLVHDLEILPFGDLTQIGERGANLSGGQKQRIQLARALYHDADIYLLDDPFSSVDAHTATSLFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLLMSQGQIMHAASYQELLLSSREFQNLVNAHKDIVNFPNDNMVDYNGDKSPFKRETAVVLDGGKESIKNAEFDQLIRREEREIGGTGLKPYLMYLGQNKGYIYATLVAIANIAFTSGQLAQNSWLAANIQNPGVSTFNLVQVYTAIGIGSIMFLLFRALLAVDLGLQTSRSLFSQLLTALFRAPMSFFHSTPIGRILSRVSSDLNVIDLDVSFTLSFSISATLNAYINLGVCFFTWPILFIAAPIIIMAVRLQRYYLASSKELMRINGTTKSLVANHLAESISGAVTLIDNNASPSFHCFAATEWLTQRLEIMATTILSSSAFVITLLPQGTLSPGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERISQYMDIVKYTQDASPVLKGISCTFQGGDKIGIVGRTGSGKTTLINAIFRLVKPSGGKITIDGQDITTMGLHDLRSRIGLIPQDPILFNGSIRYNLDPHGHFSDKQIWKVLGKCQLDEVSNEKKGLDSLVVEGGSNWSMGQRQLLCLGRALLRRSRILILDEATASMDNATDAVIQKTVRTELKDSTIITIAHRIPTVMDCTRGKWSSMKSPRN >ONIVA12G14640.5 pep chromosome:AWHD00000000:12:16225416:16231559:1 gene:ONIVA12G14640 transcript:ONIVA12G14640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWWMNPLIKKGYEKPLEETDIPALGIEDEAGTQYSMFMNKIDASKSSLFWIIVSCYKREILVSGFFAFLKVLTLSAGPLFLKEFINVSSGKEAFKHEGFVIVLGLLFSKCLESLAQRQWYFRTRRVGVQVRSLLSASIYRKQQKLSCSASTEHSSGEIMNYLMVDTYRIGEFPFWFHRTWTTGLQLCIALMVLYNAVGPATVASVFVIVLTVMLNAPLAKQQQNIQSELMEAQDMRLKTMSESLTNMKVLKLYAWENHFKGVIEQLRELELKWLSAFQLGKAYTSVLFWASPALVSAATFLACYFLGVPLDPSNVFTFVAALRLVQDPINHIPNVIGSVIQARAAFNRLNEFLGASELQKDQVSMEYSAHSQYPIAIKSGCFSWDSSENYNLRNINLMVKSGTKVAICGEVGSGKSSLLAAILGEVPRTDGVIQVSGKIAYVSQNAWIQTGSVKDNILFGSTMDKPRYEETLKFCSLVHDLEILPFGDLTQIGERGANLSGGQKQRIQLARALYHDADIYLLDDPFSSVDAHTATSLFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLNLVNAHKDIVNFPNDNMVDYNGDKSPFKRETAVVLDGGKESIKNAEFDQLIRREEREIGGTGLKPYLMYLGQNKGYIYATLVAIANIAFTSGQLAQNSWLAANIQNPGVSTFNLVQVYTAIGIGSIMFLLFRALLAVDLGLQTSRSLFSQLLTALFRAPMSFFHSTPIGRILSRVSSDLNVIDLDVSFTLSFSISATLNAYINLGVCFFTWPILFIAAPIIIMAVRLQRYYLASSKELMRINGTTKSLVANHLAESISGAVTLIDNNASPSFHCFAATEWLTQRLEIMATTILSSSAFVITLLPQGTLSPGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERISQYMDIVSEAPDIVEDNQLPVDWPSIGSIVKYTQDASPVLKGISCTFQGGDKIGIVGRTGSGKTTLINAIFRLVKPSGGKITIDGQDITTMGLHDLRSRIGLIPQDPILFNGSIRYNLDPHGHFSDKQIWKVLGKCQLDEVSNEKKGLDSLVVEGGSNWSMGQRQLLCLGRALLRRSRILILDEATASMDNATDAVIQKTVRTELKDSTIITIAHRIPTVMDCTRGKWSSMKSPRN >ONIVA12G14640.6 pep chromosome:AWHD00000000:12:16225416:16231559:1 gene:ONIVA12G14640 transcript:ONIVA12G14640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWWMNPLIKKGYEKPLEETDIPALGIEDEAGTQYSMFMNKIDASKSSLFWIIVSCYKREILVSGFFAFLKVLTLSAGPLFLKEFINVSSGKEAFKHEGFVIVLGLLFSKCLESLAQRQWYFRTRRVGVQVRSLLSASIYRKQQKLSCSASTEHSSGEIMNYLMVDTYRIGEFPFWFHRTWTTGLQLCIALMVLYNAVGPATVASVFVIVLTVMLNAPLAKQQQNIQSELMEAQDMRLKTMSESLTNMKVLKLYAWENHFKGVIEQLRELELKWLSAFQLGKAYTSVLFWASPALVSAATFLACYFLGVPLDPSNVFTFVAALRLVQDPINHIPNVIGSVIQARAAFNRLNEFLGASELQKDQVSMEYSAHSQYPIAIKSGCFSWDSSENYNLRNINLMVKSGTKVAICGEVGSGKSSLLAAILGEVPRTDGVIQVSGKIAYVSQNAWIQTGSVKDNILFGSTMDKPRYEETLKFCSLVHDLEILPFGDLTQIGERGANLSGGQKQRIQLARALYHDADIYLLDDPFSSVDAHTATSLFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLNLVNAHKDIVNFPNDNMVDYNGDKSPFKRETAVVLDGGKESIKNAEFDQLIRREEREIGGTGLKPYLMYLGQNKGYIYATLVAIANIAFTSGQLAQNSWLAANIQNPGVSTFNLVQVYTAIGIGSIMFLLFRALLAVDLGLQTSRSLFSQLLTALFRAPMSFFHSTPIGRILSRVSSDLNVIDLDVSFTLSFSISATLNAYINLGVCFFTWPILFIAAPIIIMAVRLQRYYLASSKELMRINGTTKSLVANHLAESISGAVTLIDNNASPSFHCFAATEWLTQRLEIMATTILSSSAFVITLLPQGTLSPGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERISQYMDIVKYTQDASPVLKGISCTFQGGDKIGIVGRTGSGKTTLINAIFRLVKPSGGKITIDGQDITTMGLHDLRSRIGLIPQDPILFNGSIRYNLDPHGHFSDKQIWKVLGKCQLDEVSNEKKGLDSLVVEGGSNWSMGQRQLLCLGRALLRRSRILILDEATASMDNATDAVIQKTVRTELKDSTIITIAHRIPTVMDCTRGKWSSMKSPRN >ONIVA12G14650.1 pep chromosome:AWHD00000000:12:16237423:16242449:1 gene:ONIVA12G14650 transcript:ONIVA12G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prefoldin 3 [Source:Projected from Arabidopsis thaliana (AT5G49510) TAIR;Acc:AT5G49510] MAAAAASSSSSSAAATPQGVTERRGIPAASFVEDVETYLRQAGLDVNSGLAFLQERLQQYKIVEMKLLAQQRDLQAKIPDIEKCLDIVATLQAKKALGEALTADFELSEGIYSRAKIEDTDSVCLWLGANVMLEYSCDEANALLKKNLENAKASLEVLVADLQFLRDQQTITQVTIARVFNWDVHQRRSKQAIKET >ONIVA12G14660.1 pep chromosome:AWHD00000000:12:16249102:16251528:1 gene:ONIVA12G14660 transcript:ONIVA12G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKLFPALFSLLLHGGAATLPPPRVPAVTVHGCTPPAARLSAGGEKTVTMVVDVEGALLRSSSSRSLFPYFMLVAVEAGSFLRGLLLLLLYPVISLLAGAGGGDVAVRAMAAVAFCGLRESRFRAGRTVLPRWLLDDVGKEAVDAIVTLTRRRSSPAATATVVWTSSMPRVMVEPFLREYMAAAEGGGEVVVAAREMKVVWGFYTGVMEDGGEVAAASPEVRRAMEGVDDVVGFSGGSMDLLRSPLVSFCKEVYVVSHEEKSKWRPLPRRREYPRPLVFHDGRLAFLPTPLAAAAMLVWLPFGAALAATRLAVALALPYRHATLLLAATGQSWRLRGSPPPTPTPPPQRATGERRRGQLYVCNHRTLIDPVYVSIALDRPVRAVSYSLSRVSDLLSPIGATVRLARDRAHDGAAMARLLEAGAHVVVCPEGTTCREPYLLRFSPLFAELADGVVPVALAAEAAAFHGTTAGGWKSMDALCYLANPRMCYTVEFLPAVDASPVREGKAASTELANAVQRRVAEALGYESTMLTRKDKYLMLAGNDGVVRRRGDVGAK >ONIVA12G14670.1 pep chromosome:AWHD00000000:12:16254543:16256769:-1 gene:ONIVA12G14670 transcript:ONIVA12G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein [Source:Projected from Arabidopsis thaliana (AT3G17170) TAIR;Acc:AT3G17170] MEATAAPRPLSLLARQPQPQPQQLRLRLQRVAAFTGVGGGGRRLMVAAAAKKRRGKGGEGGEEEERVDTHSFAPKAGEATGPFPEAVLLRKKMVKDDGQVAPEFADADEEKLYEFLNIQMESDLNLKRMRHYEVVYLIHEDRVEEAEDVVSKVQDFVREKKGRIWRLNNWGLRRLAYKIKKARHANYILMNFEIQAKYINDFKTLLDKDERIIRHLVMKRDEAITEDCSPPPEFHTLRAQQQYMDDEYIDDEDDGKEEQEPEEDWDDKGEMENAGYEDDDEPEIILVDEVERDNNENIRRRNRTMKVEKYAAEKVLR >ONIVA12G14680.1 pep chromosome:AWHD00000000:12:16283381:16284097:1 gene:ONIVA12G14680 transcript:ONIVA12G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANSALQVISGNPGALRDKLHAASGSCPPANYRDGAVVLENAVLLSFLAELGASTPTCVYFGQAPRGQQTNLLLGRLGLARGSVAARHITEAFTDGELNLVIGDGGDGPHGMEVPVFDAEGRRYGLTCGYSDYAMCYRLFGAAGEANNREVRDVAVGKDKLMKVFTFRSPALRPVEVDLDDGHPDGALGMIVLFYDLDAKEAVKNELLDTDTLTVNQIMKHYPKLAQMMLN >ONIVA12G14690.1 pep chromosome:AWHD00000000:12:16302305:16302664:-1 gene:ONIVA12G14690 transcript:ONIVA12G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPLCDAAHPAGGRGSGAPPGCERLRSCALRRPSCPELPTPLQSSLCLPSSIRRLGISATGFSTASRREGTEAARCTH >ONIVA12G14700.1 pep chromosome:AWHD00000000:12:16322922:16327880:1 gene:ONIVA12G14700 transcript:ONIVA12G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPLRGIKNDLHGRLQCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSFLGGQPLLILGVAEPTVLMYTFMFNFAKDRPDLGRRLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENRKALEFVSSWRFANGMFAIVLSFGLLLTALRSRKARSWRYGTGWLRGFIADYGVPLMVLVWTGVSYIPYGSVPKGIPRRLFSPNPWSPGAYDNWTVIRDMPNVPLLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLLCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVATARQSMSQNASLSQLYGSMQEAYQQMQTPLIYQQPSVKGLNELKDSTVQMASSMGNIDAPVDETVFDIEKEIDDLLPIEVKEQRLSNLLQATMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTMYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFIAAQDIDVALARTQSAEILDDIVTRSRGEIKRLNSPKITSSGGTPVAELKGIRSPCISERAYSPRITELRHDRSPLGGRGSPRTGETRSSKLGEGSTPK >ONIVA12G14710.1 pep chromosome:AWHD00000000:12:16330756:16332840:-1 gene:ONIVA12G14710 transcript:ONIVA12G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDEELVRPQASGAKNMGFAKFDSMSELHCKIPTVLVHWGLGLMRLKSGWKEMPSIQKALPPELADNVIRLYRECLRRARFIGHQKHNTGLIVSMVREQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKMTGRKFSS >ONIVA12G14720.1 pep chromosome:AWHD00000000:12:16338859:16347747:1 gene:ONIVA12G14720 transcript:ONIVA12G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTTDKRWAAPARKSGMTVLGKIPKPINLPSQRLENHGLDPNVEIVPKGTLTWGSKPTPTTPNAWNSSSLLSPKNDGSSNSPSHFNGRPSSGGGSRPSTAGSESLDSPNAWGSSSRPSTASGTLPSNHLQTTTNRPRSAETRPGSSQLSRFADNSSENMKVSIRTIDKSGSSSHGHGFTLSTGDFPTLGSESNSQRGHSSKGRPTSSSGKETAQNEQGKSITAGPAEEILSSNSQSADNIKTDQHVYDGGAPFPGTSLPNEAQQPQPYPGNFCVAPPHFDSWHAPPGHPPDGMWHRGAAPGGPYRPLGPPGGGFPVEPFAYYGQFQPSSEAAARQGPGHGGYQPKNGDAYLSVPPSSYMMNQPVIPVRPVYQGPMSYDGYYGPPRANFNNPNVRDPPFVGGPHQPGILNQFPNQHEKFHPGHPQNRPGKHETAPNEHFESDRVHVIQRGQPRILHDNLRGPREVERNAQPAPPLLPHPNGNRIDVNKRSDIRESFNEKNRILMKSAPDHRGPAGTSHLSIPENVHSHPREADGGTLRKKFKEDNSVVPDQQPVIKKNVALIEKIESLNNKARNVDARNITEPFPSKQAKEMQKSTSSKEDQKLPNEPVLEPSQSELTEIITAGKLGESTRDRTHRRGDSSRSSHHGSSKDRLVNNFAGEGLRENSAADSSPIVGSRNSQHEQPPEDALKLAPVMVTDDTSLDFESQRAKMRELAAQRAKQLQAEEEERTKQQRAKALAKLEELNRRSSVHQKSSNDVPPDIADVQQKQKPKPTVLTHTLGVGKDPTIHNTTTLARNSEHEAQKGVAQSHDINVPKPKQGYRRRQAVSEEKNPSEKSSGAISTESGKKIAEAFSNTSTAVVTSHDDTLAHNKKSARHSRNKKKVDEAPVTSKHPPVALNEQNAVKVPNEPKPQTAGVIISSSIVPTEGTVVTVGSIMVGGISFGSLNQECVKPQESVKPADEVHSSTSNSHPKRQQAKRSGKNQQSTRPIERPHGNEGAVWAPVKPSGHSEQSGEAMRSTGVVAPTQPAGLNTNDGENVTKTKRAEMERYVPKPLSKELQQQNLRQILPSEKSCEDNKIRDKEIVERSTGAKPETAPEAKKWEGKKTSKGHGKSHPSWRRRNTDESTLVGPKATELADNYQESHELQKHTVHQPPEPDKQADAPARNSSVPAETVSSVVTVAKEHGAANKQRRQHVKAQRNEGSNYPNENKDQMAAPPAPGIDSNSYERRNMSRSDVKHSGTVPQSRSHWKPKTIPQSQGNSHGNNAKDGHVDSATPQDSSNNNLAENIGWNDENHAHSEEVKGEKRHVDDYQKSESHENAEQQQQLSHAPRRQGHHNGGRYHRGGGTNRGRGYDVGKPSHVTNAERRRGGTHLEYQPVGSYNKTADFQQNPGTDERTEGAPVHRERIHNRVPRPAGQFVKRNPASTPAANSYRDE >ONIVA12G14720.2 pep chromosome:AWHD00000000:12:16339186:16347747:1 gene:ONIVA12G14720 transcript:ONIVA12G14720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTTDKRFAIPHHPPRAAALVRWAAPARKSGMTVLGKIPKPINLPSQRLENHGLDPNVEIVPKGTLTWGSKPTPTTPNAWNSSSLLSPKNDGSSNSPSHFNGRPSSGGGSRPSTAGSESLDSPNAWGSSSRPSTASGTLPSNHLQTTTNRPRSAETRPGSSQLSRFADNSSENMKVSIRTIDKSGSSSHGHGFTLSTGDFPTLGSESNSQRGHSSKGRPTSSSGKETAQNEQGKSITAGPAEEILSSNSQSADNIKTDQHVYDGGAPFPGTSLPNEAQQPQPYPGNFCVAPPHFDSWHAPPGHPPDGMWHRGAAPGGPYRPLGPPGGGFPVEPFAYYGQFQPSSEAAARQGPGHGGYQPKNGDAYLSVPPSSYMMNQPVIPVRPVYQGPMSYDGYYGPPRANFNNPNVRDPPFVGGPHQPGILNQFPNQHEKFHPGHPQNRPGKHETAPNEHFESDRVHVIQRGQPRILHDNLRGPREVERNAQPAPPLLPHPNGNRIDVNKRSDIRESFNEKNRILMKSAPDHRGPAGTSHLSIPENVHSHPREADGGTLRKKFKEDNSVVPDQQPVIKKNVALIEKIESLNNKARNVDARNITEPFPSKQAKEMQKSTSSKEDQKLPNEPVLEPSQSELTEIITAGKLGESTRDRTHRRGDSSRSSHHGSSKDRLVNNFAGEGLRENSAADSSPIVGSRNSQHEQPPEDALKLAPVMVTDDTSLDFESQRAKMRELAAQRAKQLQAEEEERTKQQRAKALAKLEELNRRSSVHQKSSNDVPPDIADVQQKQKPKPTVLTHTLGVGKDPTIHNTTTLARNSEHEAQKGVAQSHDINVPKPKQGYRRRQAVSEEKNPSEKSSGAISTESGKKIAEAFSNTSTAVVTSHDDTLAHNKKSARHSRNKKKVDEAPVTSKHPPVALNEQNAVKVPNEPKPQTAGVIISSSIVPTEGTVVTVGSIMVGGISFGSLNQECVKPQESVKPADEVHSSTSNSHPKRQQAKRSGKNQQSTRPIERPHGNEGAVWAPVKPSGHSEQSGEAMRSTGVVAPTQPAGLNTNDGENVTKTKRAEMERYVPKPLSKELQQQNLRQILPSEKSCEDNKIRDKEIVERSTGAKPETAPEAKKWEGKKTSKGHGKSHPSWRRRNTDESTLVGPKATELADNYQESHELQKHTVHQPPEPDKQADAPARNSSVPAETVSSVVTVAKEHGAANKQRRQHVKAQRNEGSNYPNENKDQMAAPPAPGIDSNSYERRNMSRSDVKHSGTVPQSRSHWKPKTIPQSQGNSHGNNAKDGHVDSATPQDSSNNNLAENIGWNDENHAHSEEVKGEKRHVDDYQKSESHENAEQQQQLSHAPRRQGHHNGGRYHRGGGTNRGRGYDVGKPSHVTNAERRRGGTHLEYQPVGSYNKTADFQQNPGTDERTEGAPVHRERIHNRVPRPAGQFVKRNPASTPAANSYRDE >ONIVA12G14730.1 pep chromosome:AWHD00000000:12:16349719:16354203:1 gene:ONIVA12G14730 transcript:ONIVA12G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAAIDLHILSAQVTESTDFTELVNQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTVFLPTEKAMTPDACAPLIATLPLEVRTKIGDFIRGVYSVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGNIQFPLPFGRVLSPSESFIHELDEKTSSSLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDESKLKAARMSIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCIMAEA >ONIVA12G14740.1 pep chromosome:AWHD00000000:12:16354292:16357688:1 gene:ONIVA12G14740 transcript:ONIVA12G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Magnesium transporter (InterPro:IP /.../7); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G03345) TAIR;Acc:AT5G03345] MGIGHVLGVLGGALLAHAAYATIQYRAVLKITEEEFSSPPMDVMMQLLLGLALCMWAGLAVPAKFLSVLPHSEENRIVSLPANLDFMIFNHRGRALPSDPDLKLKT >ONIVA12G14750.1 pep chromosome:AWHD00000000:12:16358710:16367646:1 gene:ONIVA12G14750 transcript:ONIVA12G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRPAASAAAAAAANPSASTSQPPPPPPHPPPPPPPPPPAAAAPSRSSSAAAAAVARVREEGEPLRARFTAPSNVSKYADASTQVLSANFPGKGSNSLSLSSAFPHKTVAPSYKKTTRVNQGLFKPGTNRNLTWQKPVSSDNLVISFSDDDSGSDSGMSKQDKRGRKDSSQGTYKTGINVHTGIMREEAPQQKIHAAKVGSANWSAVPLTYRNSGVGRGLSATFARRDPPVRQVTPQKAIHKDGNVVGVSSAVHNLESLRHKIAARENELKVKRPMSPSLLKDSSFPTGQTRLPLEKIGFEASSIGACSHLNGPVGHDIRPIKRLKPNQECSNNQVLVNQIPPVPTGKSLGKSNVQPCERREHIENGIRDCNVNEAVHTVTTEPGGHHIGAIKSLSLSKMQHTVIPDADNHVTGKQHVKHAAPPTANEQSVVEDANTLVPITSVRAGANVEMSSIQVKDNMLSTWNGQHIMPADTSTVPNLRPQLGPGVENAENCGDQIVITGQNTSLLSLLEMEEFQERELEDAQEHRRKCEVEEREALRAYRRAQRALIEANERCAILRRKRELCSAQVHGFIAENSSLAQPLSIQNAGHGLVMPSVLNSQANADGQMPGNQGGRSGSPYPEESPQQPVDKHEARSHNFNDNSTPSDYMEDDLLPPSKRARSDCISNLEDHMEETIHVYPVENRQISGESVQDYELLEVSLRSRLVERFGKKSYLNNSGEATEELSFGKVSEIEREKAYVGPPLQEADENVMTNIEGMVELGNDAHEKRADSSNAPSIGNYDHEENISSFGELCMPLSVTHPIIPSSAPQNAARHMKGLFPDLHKKASDYKNDCQTSNAASVATVRLPDVAKDNAKMHSTTRKDMDIVSGAVDPFWPFCMFELRGNCNDEECPWQHVEHHAWRKSKHTKHSKPSFSGWIPYGLFQHILPVPTYRVGSNLIRADLNLIQSVLASSIWQYWQRGFCASFPFPLSVQRVLPSDAPSLQAGDDSSANFDRDRQLLNLRMLDSRKNKIVQGSVDAELFLEGALILYFGKINKPDRLKALLYLARAIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVCSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTAVTDMELKDRSSFVLDIFLQMVYFLCMSGNVDKAISRIYGILPTATPDCSGDKLLADVISCLTISDRCIFWISCIYVSIYRKLPEEIIDQLEFLKDLPNSLVWSPIELTVDNRSQILVLLNYAAGKIAVDINETVKNGDPSYLRLSQFLAVNHINCLATLEGFQSCANLLVKYMEEYPMCPHILVFSARLHRKYGSCPGLKGFDELLLGWPKEVQGIQYLWNQCAEHALADNIELAEKLLTRWFEEYGKDGDIQSGGVTRPMEISNEESVRSSVSSIQEVGSGTSTSEDQIFWLLNLSLYRTIENNLQEAKVAMDKALKLAHGESYEHCIKEHAAIHTLEKTSSSTDVQTQATFSLISGYLVDQQNLPVRDLLSRRFMKNVKKHRLKQLIDETIGPTSANPALINSVLEVCYGPSLLPEMIGEVKYLVDFVESVMEVLPANYRLALAVGKFMVKHCTGDDSISMGTRFWASSILINAIFRAVPVAPESVWLEGASLLEKLQAAETVKRFYQQATSVYPFSFKLWHSYLNSCKASGSSTESIAEAARQRGIELSVTPP >ONIVA12G14750.2 pep chromosome:AWHD00000000:12:16358821:16367646:1 gene:ONIVA12G14750 transcript:ONIVA12G14750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLVIQLRRQPLRARFTAPSNVSKYADASTQVLSANFPGKGSNSLSLSSAFPHKTVAPSYKKTTRVNQGLFKPGTNRNLTWQKPVSSDNLVISFSDDDSGSDSGMSKQDKRGRKDSSQGTYKTGINVHTGIMREEAPQQKIHAAKVGSANWSAVPLTYRNSGVGRGLSATFARRDPPVRQVTPQKAIHKDGNVVGVSSAVHNLESLRHKIAARENELKVKRPMSPSLLKDSSFPTGQTRLPLEKIGFEASSIGACSHLNGPVGHDIRPIKRLKPNQECSNNQVLVNQIPPVPTGKSLGKSNVQPCERREHIENGIRDCNVNEAVHTVTTEPGGHHIGAIKSLSLSKMQHTVIPDADNHVTGKQHVKHAAPPTANEQSVVEDANTLVPITSVRAGANVEMSSIQVKDNMLSTWNGQHIMPADTSTVPNLRPQLGPGVENAENCGDQIVITGQNTSLLSLLEMEEFQERELEDAQEHRRKCEVEEREALRAYRRAQRALIEANERCAILRRKRELCSAQVHGFIAENSSLAQPLSIQNAGHGLVMPSVLNSQANADGQMPGNQGGRSGSPYPEESPQQPVDKHEARSHNFNDNSTPSDYMEDDLLPPSKRARSDCISNLEDHMEETIHVYPVENRQISGESVQDYELLEVSLRSRLVERFGKKSYLNNSGEATEELSFGKVSEIEREKAYVGPPLQEADENVMTNIEGMVELGNDAHEKRADSSNAPSIGNYDHEENISSFGELCMPLSVTHPIIPSSAPQNAARHMKGLFPDLHKKASDYKNDCQTSNAASVATVRLPDVAKDNAKMHSTTRKDMDIVSGAVDPFWPFCMFELRGNCNDEECPWQHVEHHAWRKSKHTKHSKPSFSGWIPYGLFQHILPVPTYRVGSNLIRADLNLIQSVLASSIWQYWQRGFCASFPFPLSVQRVLPSDAPSLQAGDDSSANFDRDRQLLNLRMLDSRKVGRIRHITVIVRIVGVISIWFSALNLSFQNKIVQGSVDAELFLEGALILYFGKINKPDRLKALLYLARAIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVCSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTAVTDMELKDRSSFVLDIFLQMVYFLCMSGNVDKAISRIYGILPTATPDCSGDKLLADVISCLTISDRCIFWISCIYVSIYRKLPEEIIDQLEFLKDLPNSLVWSPIELTVDNRSQILVLLNYAAGKIAVDINETVKNGDPSYLRLSQFLAVNHINCLATLEGFQSCANLLVKYMEEYPMCPHILVFSARLHRKYGSCPGLKGFDELLLGWPKEVQGIQYLWNQCAEHALADNIELAEKLLTRWFEEYGKDGDIQSGGVTRPMEISNEESVRSSVSSIQEVGSGTSTSEDQIFWLLNLSLYRTIENNLQEAKVAMDKALKLAHGESYEHCIKEHAAIHTLEKTSSSTDVQTQATFSLISGYLVDQQNLPVRDLLSRRFMKNVKKHRLKQLIDETIGPTSANPALINSVLEVCYGPSLLPEMIGEVKYLVDFVESVMEVLPANYRLALAVGKFMVKHCTGDDSISMGTRFWASSILINAIFRAVPVAPESVWLEGASLLEKLQAAETVKRFYQQATSVYPFSFKLWHSYLNSCKASGSSTESIAEAARQRGIELSVTPP >ONIVA12G14750.3 pep chromosome:AWHD00000000:12:16358821:16367646:1 gene:ONIVA12G14750 transcript:ONIVA12G14750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLVIQLRRQPLRARFTAPSNVSKYADASTQVLSANFPGKGSNSLSLSSAFPHKTVAPSYKKTTRVNQGLFKPGTNRNLTWQKPVSSDNLVISFSDDDSGSDSGMSKQDKRGRKDSSQGTYKTGINVHTGIMREEAPQQKIHAAKVGSANWSAVPLTYRNSGVGRGLSATFARRDPPVRQVTPQKAIHKDGNVVGVSSAVHNLESLRHKIAARENELKVKRPMSPSLLKDSSFPTGQTRLPLEKIGFEASSIGACSHLNGPVGHDIRPIKRLKPNQECSNNQVLVNQIPPVPTGKSLGKSNVQPCERREHIENGIRDCNVNEAVHTVTTEPGGHHIGAIKSLSLSKMQHTVIPDADNHVTGKQHVKHAAPPTANEQSVVEDANTLVPITSVRAGANVEMSSIQVKDNMLSTWNGQHIMPADTSTVPNLRPQLGPGVENAENCGDQIVITGQNTSLLSLLEMEEFQERELEDAQEHRRKCEVEEREALRAYRRAQRALIEANERCAILRRKRELCSAQVHGFIAENSSLAQPLSIQNAGHGLVMPSVLNSQANADGQMPGNQGGRSGSPYPEESPQQPVDKHEARSHNFNDNSTPSDYMEDDLLPPSKRARSDCISNLEDHMEETIHVYPVENRQISGESVQDYELLEVSLRSRLVERFGKKSYLNNSGEATEELSFGKVSEIEREKAYVGPPLQEADENVMTNIEGMVELGNDAHEKRADSSNAPSIGNYDHEENISSFGELCMPLSVTHPIIPSSAPQNAARHMKGLFPDLHKKASDYKNDCQTSNAASVATVRLPDVAKDNAKMHSTTRKDMDIVSGAVDPFWPFCMFELRGNCNDEECPWQHVEHHAWRKSKHTKHSKPSFSGWIPYGLFQHILPVPTYRVGSNLIRADLNLIQSVLASSIWQYWQRGFCASFPFPLSVQRVLPSDAPSLQAGDDSSANFDRDRQLLNLRMLDSRKNKIVQGSVDAELFLEGALILYFGKINKPDRLKALLYLARAIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVCSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTAVTDMELKDRSSFVLDIFLQMVYFLCMSGNVDKAISRIYGILPTATPDCSGDKLLADVISCLTISDRCIFWISCIYVSIYRKLPEEIIDQLEFLKDLPNSLVWSPIELTVDNRSQILVLLNYAAGKIAVDINETVKNGDPSYLRLSQFLAVNHINCLATLEGFQSCANLLVKYMEEYPMCPHILVFSARLHRKYGSCPGLKGFDELLLGWPKEVQGIQYLWNQCAEHALADNIELAEKLLTRWFEEYGKDGDIQSGGVTRPMEISNEESVRSSVSSIQEVGSGTSTSEDQIFWLLNLSLYRTIENNLQEAKVAMDKALKLAHGESYEHCIKEHAAIHTLEKTSSSTDVQTQATFSLISGYLVDQQNLPVRDLLSRRFMKNVKKHRLKQLIDETIGPTSANPALINSVLEVCYGPSLLPEMIGEVKYLVDFVESVMEVLPANYRLALAVGKFMVKHCTGDDSISMGTRFWASSILINAIFRAVPVAPESVWLEGASLLEKLQAAETVKRFYQQATSVYPFSFKLWHSYLNSCKASGSSTESIAEAARQRGIELSVTPP >ONIVA12G14760.1 pep chromosome:AWHD00000000:12:16368418:16368804:1 gene:ONIVA12G14760 transcript:ONIVA12G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVGALVAGARERWWCRGEGGAAVRHAVAWAGALALAVSVASFAPEAAFVWALTGGGGGGGSGELCAAGAVRVPLDGGGDFVCVPARMAVRSGADMIVPPAFAGLAVGASACFVRALAIGRRLDDY >ONIVA12G14770.1 pep chromosome:AWHD00000000:12:16370188:16373872:-1 gene:ONIVA12G14770 transcript:ONIVA12G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRWSTAAAAAGWLRVAAVVVAAASATSSAAGEGVGRQTVPAMYVFGDSLVDVGNNDFLPPPAPRPPEPPCGIDLPPEAAAADGGGGGRFTNGFNLADVIAQHVGFKKSPPAYLSLTTPGRDGELRRGLVGANYASSGSGILDFIGNGTISLGEQVKLFTKTKEAMVTAGEVDGESIDNLLSQSLFITCTGGNDYNAFTDGIVPVSDAPVFIAHMVATYIKHIKTLYNLGARRLGILDVLPLGCLPISRVPIENGSCSGTDNWQARLFNRLLRREMTAAATASMPDLVYSIGSIYYTFYDMIKNPSSAGVREVARACCGDGKLNAEADCSATTHLCPDRDNYIFWDKVHGTQAAYHNCVHAFFHGSPRYAEPISFTQLVASPAVDLGRPSPGTNRTARI >ONIVA12G14780.1 pep chromosome:AWHD00000000:12:16376812:16386165:-1 gene:ONIVA12G14780 transcript:ONIVA12G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGGHHRDEEEEAAAAPLLAGAAPLGYSGHRRSHAGDLHVLSAAFLFVFSAYCAAQNLESSVNTEGDLGTVSMGILYTSFTLFSVAASPVVTWLGSKRALVVGTSGYVLFILANLVPTWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAIPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMATALIISFGAFLLLTLVVEKPSTVRSNQFQSGANFTTYLDQCYLQPKQHILYARAIRNQKEMKYLSVDVQHNTTLTPFKLPPPPPRAMDARGGHHRDDEGDEEAAAAGAGADGGATAPLLAGVAPLGYSGHRRSHAGDLHVLSAAFLFIFSAYCAAQNLQSSVNTEGDLGTVSMGILYTSFTLFAVTASPVVTWLGSKRALVVGTSGYVIFILANLVPIWYTMVPASLYLGFSASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDEVSRGKICCLLDEKGNTAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQAAFVWAVFTKNIVTPVLGVSGVGGAMAIYGAADAVCALVAGRLTSGLHSATSIVSVGAILHAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLHTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRS >ONIVA12G14780.2 pep chromosome:AWHD00000000:12:16376596:16389430:-1 gene:ONIVA12G14780 transcript:ONIVA12G14780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGHAPAGAELAVALDEEEEEAAPLVSGGRGAAAAARAATSQTRDLHLLSSAFLFVFLAYHAAQNLQSTVNTDENLGSVSLGLLYTSFTAFSVVGSPVVRRMGSRRALVLGTSGYLLFIAANLVPSWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAIPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMATALIISFGAFLLLTLVVEKPSTVRSNQFQSGANFTTYLDQCYLQPKQHILYARAIRNQKEMKYLSVDVQHNTTLTPFKLPPPPPRAMDARGGHHRDDEGDEEAAAAGAGADGGATAPLLAGVAPLGYSGHRRSHAGDLHVLSAAFLFIFSAYCAAQNLQSSVNTEGDLGTVSMGILYTSFTLFAVTASPVVTWLGSKRALVVGTSGYVIFILANLVPIWYLLASELVKSSVASSNPAHIIYLTLQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDYKRHLAVFTKNIVTPVLGVSGVGGAMAIYGAADAVCALVAGRLTSGLHSATSIVSVGAILHAVVLFCPMGGLLGAAVPLFIGALWGVGDGVLHTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRSNQFQSGANFTAHLLHQCYQQPKQHTLYARAIRNQKEMKYLSVDVQHNTPLSAICFSDFFAELK >ONIVA12G14780.3 pep chromosome:AWHD00000000:12:16376812:16386165:-1 gene:ONIVA12G14780 transcript:ONIVA12G14780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGGHHRDEEEEAAAAPLLAGAAPLGYSGHRRSHAGDLHVLSAAFLFVFSAYCAAQNLESSVNTEGDLGTVSMGILYTSFTLFSVAASPVVTWLGSKRALVVGTSGYVLFILANLVPTWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAIPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMATALIISFGAFLLLTLVVEKPSTVRSNQFQSGANFTTYLDQCYLQPKQHILYARAIRNQKEMKYLSVDVQHNTTLTPFKLPPPPPRAMDARGGHHRDDEGDEEAAAAGAGADGGATAPLLAGVAPLGYSGHRRSHAGDLHVLSAAFLFIFSAYCAAQNLQSSVNTEGDLGTVSMGILYTSFTLFAVTASPVVTWLGSKRALVVGTSGYVIFILANLVPIWYLLASELVKSSVASSNPAHIIYLTLQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDRRMILIIPLIAYSGLQAAFVWAVFTKNIVTPVLGVSGVGGAMAIYGAADAVCALVAGRLTSGLHSATSIVSVGAILHAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLHTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRS >ONIVA12G14780.4 pep chromosome:AWHD00000000:12:16376812:16386165:-1 gene:ONIVA12G14780 transcript:ONIVA12G14780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGGHHRDEEEEAAAAPLLAGAAPLGYSGHRRSHAGDLHVLSAAFLFVFSAYCAAQNLESSVNTEGDLGTVSMGILYTSFTLFSVAASPVVTWLGSKRALVVGTSGYVLFILANLVPTWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVLCLPLVSHPMGGLLGAAIPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMATALIISFGAFLLLTLVVEKPSTVRSNQFQSGANFTTYLDQCYLQPKQHILYARAIRNQKEMKYLSVDVQHNTTLTPFKLPPPPPRAMDARGGHHRDDEGDEEAAAAGAGADGGATAPLLAGVAPLGYSGHRRSHAGDLHVLSAAFLFIFSAYCAAQNLQSSVNTEGDLGTVSMGILYTSFTLFAVTASPVVTWLGSKRALVVGTSGYVIFILANLVPIWYLLASELVKSSVASSNPAHIIYLTLQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDRRMILIIPLIAYSGLQAAFVWAVFTKNIVTPVLGVSGVGGAMAIYGAADAVCALVAGRLTSGLHSATSIVSVGAILHAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLHTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRS >ONIVA12G14780.5 pep chromosome:AWHD00000000:12:16376812:16386165:-1 gene:ONIVA12G14780 transcript:ONIVA12G14780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGGHHRDEEEEAAAAPLLAGAAPLGYSGHRRSHAGDLHVLSAAFLFVFSAYCAAQNLESSVNTEGDLGTVSMGILYTSFTLFSVAASPVVTWLGSKRALVVGTSGYVLFILANLVPTWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAIPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMATALIISFGAFLLLTLVVEKPSTVRSNQFQSGANFTTYLDQCYLQPKQHILYARAIRNQKEMKYLSVDVQHNTTLTPFKLPPPPPRAMDARGGHHRDDEGDEEAAAAGAGADGGATAPLLAGVAPLGYSGHRRSHAGDLHVLSAAFLFIFSAYCAAQNLQSSVNTEGDLGTVSMGILYTSFTLFAVTASPVVTWLGSKRALVVGTSGYVIFILANLVPIWYLLASELVKSSVASSNPAHIIYLTLQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDRRMILIIPLIAYSGLQAAFVWAVFTKNIVTPVLGVSGVGGAMAIYGAADAVLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRS >ONIVA12G14780.6 pep chromosome:AWHD00000000:12:16376596:16389430:-1 gene:ONIVA12G14780 transcript:ONIVA12G14780.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGHAPAGAELAVALDEEEEEAAPLVSGGRGAAAAARAATSQTRDLHLLSSAFLFVFLAYHAAQNLQSTVNTDENLGSVSLGLLYTSFTAFSVVGSPVVRRMGSRRALVLGTSGYLLFIAANLVPSWWGQTPRLRATAMWAPPPPPRLKLPPPPPRAMDARGGHHRDDEGDEEAAAAGAGADGGATAPLLAGVAPLGYSGHRRSHAGDLHVLSAAFLFIFSAYCAAQNLQSSVNTEGDLGTVSMGILYTSFTLFAVTASPVVTWLGSKRALVVGTSGYVIFILANLVPIWYTMVPASLYLGFSASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKKGNTAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQAAFVWAVFTKNIVTPVLGVSGVGGAMAIYGAADAVCALVAGRLTSGLHSATSIVSVGAILHAVVLFCPMGGLLGAAVPLFIGALWGVGDGVLHTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRSNQFQSGANFTAHLLHQCYQQPKQHTLYARAIRNQKEMKYLSVDVQHNTPLSAICFSDFFAELK >ONIVA12G14780.7 pep chromosome:AWHD00000000:12:16376596:16386165:-1 gene:ONIVA12G14780 transcript:ONIVA12G14780.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGGHHRDEEEEAAAAPLLAGAAPLGYSGHRRSHAGDLHVLSAAFLFVFSAYCAAQNLESSVNTEGDLGTVSMGILYTSFTLFSVAASPVVTWLGSKRALVVGTSGYVLFILANLVPTWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAIPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRSNQFQSGANFTAHLLHQCYQQPKQHTLYARAIRNQKEMKYLSVDVQHNTPLSAICFSDFFAELK >ONIVA12G14780.8 pep chromosome:AWHD00000000:12:16376596:16389430:-1 gene:ONIVA12G14780 transcript:ONIVA12G14780.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGHAPAGAELAVALDEEEEEAAPLVSGGRGAAAAARAATSQTRDLHLLSSAFLFVFLAYHAAQNLQSTVNTDENLGSVSLGLLYTSFTAFSVVGSPVVRRMGSRRALVLGTSGYLLFIAANLVPSWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRSNQFQSGANFTAHLLHQCYQQPKQHTLYARAIRNQKEMKYLSVDVQHNTPLSAICFSDFFAELK >ONIVA12G14780.9 pep chromosome:AWHD00000000:12:16386190:16389430:-1 gene:ONIVA12G14780 transcript:ONIVA12G14780.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGHAPAGAELAVALDEEEEEAAPLVSGGRGAAAAARAATSQTRDLHLLSSAFLFVFLAYHAAQNLQSTVNTDENLGSVSLGLLYTSFTAFSVVGSPVVRRMGSRRALVLGTSGYLLFIAANLVPSCICLTAGQGLTIGSATDKMTRGGDRKETPKQLPAGGDKPRGFEPLPCGPHLRLRASSPPLPSHPLATSLYPLYKRPSRRSFPPQAARIDRF >ONIVA12G14790.1 pep chromosome:AWHD00000000:12:16388953:16389506:1 gene:ONIVA12G14790 transcript:ONIVA12G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSTSARLDPIRRTTGEPTTENAVNDVYRSPSDTDPRKTKRKAELSRCRSRVWDVAALAAAAAPRPPDTSGAASSSSSSRATASSAPAGAWPSSMADLGGGVGGGVASVPNCASTLLCL >ONIVA12G14800.1 pep chromosome:AWHD00000000:12:16392149:16397995:1 gene:ONIVA12G14800 transcript:ONIVA12G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diaminopimelate epimerase family protein [Source:Projected from Arabidopsis thaliana (AT3G53580) TAIR;Acc:AT3G53580] MSSATAAATATIAAAAAAAAAKLAATPAPAPSRRRLTLRGNPTARRGVAAMAVSTPRSAAAAAFLERRESERALHFVKYQGLGNDFIMVDNRDSAVPKVTPEEAAKLCDRNFGVGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFKIHTGAGLIIPEIQNDGKVKVDMGQPILSGPDIPTKLPSTKNEAVVQADLAVDGSTWQVTCVSMGNPHCVTFGTKELKVLHVDDLKLSDIGPKFEHHEMFPARTNTEFVEVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAEQKCVVDLPGGPLEIEWREDDNHIYMTGPAEAVFYGSAVH >ONIVA12G14820.1 pep chromosome:AWHD00000000:12:16412242:16418899:-1 gene:ONIVA12G14820 transcript:ONIVA12G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAATVHRRWRRRLLPKLMLLLCAVVHGQQPDSLGFISIDCGIPDGGGYSDESTRGLRYVPDAGFLDAGAGLSAGINPPYTDRDLAARYLTVRYFPGAASAAGERGGCYTLRQLSPGGRYLVRATFYYGNYDGAIAMLPVVFDLHLGANRWTAVNVTAADAIYIFEAVVSPPADFLQVCLVNIGKGTPFISGLDLRPLKPELYPEATANQSLLLLNHDRPPARFAFNRYQFWRPASYYKLFRYPFDPYDRLWQPYGDDPSWTNITVAAAVDVTNISRSDDPSPILRSAATPANATVRRLDFPWSSDDAATTTYLLLLYFAELQRLPAGAARRFDVLVDGDASAGGGRRGYTPRYLAAEVVRATVRAARPGQRHVVSLVAAPDSALPPIVNGLEIYSVQPMPELATNDRDAKAMMEIRDNYELKKNWMGDPCAPKAFAWVGLNCSYSSSDPALVTALNLSSSVLIGPVNLSFGDLKSLQYLDLSNNSLSGPIPDFLVQMPALKFLDLSSNKLSGSIPSDLLQKRENGSLVLRIGNNANLCYNGANNTCAPESKQSKRILVIAIAVPIVAATLLFVAAIVILHRRRNKQDTWITNNARLISPHERSNVFENRQFTYRELKLMTSNFKEEIGKGGFGTVFLGYLEDGTPVAVKMCSKTSSEGDKEFLAEAQHLTRVHHRNLVSLIGYCKDKKHLALVYENMQGGNLEDRLRGEASIAAPLTWHQRLKIALDSAQGLEYLHKSCQPPLIHRDVKTRNILLSGDLDAKIADFGLTKVFAGDVVTHVTTQPAGTLGYLDPEYYHTSRLSEKSDVYSFGVVLLELVTGRPPAVPLGDGDGGGGESVHLAVWARQRLAEGDIESVADAAMGGCFEVNSAWKVAELALRCKERPSRERPAMADVVAELKECLELEASRALGRGYSCYSSGSGGGSSVATTTTTSGAANVSAAASAASVSDAQIGELRQESVLELGPR >ONIVA12G14830.1 pep chromosome:AWHD00000000:12:16412558:16414746:1 gene:ONIVA12G14830 transcript:ONIVA12G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATLSMSPSASRCRAHTARWTLSPPPPSPSPRGTAGGRPVTSSRRTTPKL >ONIVA12G14840.1 pep chromosome:AWHD00000000:12:16445462:16458648:-1 gene:ONIVA12G14840 transcript:ONIVA12G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACSRGSLWRLVNVVLLAALLLPLQTSSQQSTTKKIYIVYLGERQHDDADVVTDSHHDILASILGSKEEALESIVHSYRYSFSGFAARLTKEQASMIRGLPEVVSVRENQIHELHTSRSWNFLGMDYRQPNGLLAKANYGDDIIIGVIDTGITPESPSFADDGYGPPPSKWKGICQVGPSFEAKSCNRKLIGARWYIDDDTLSRMSKNEILSPRDVDGHGTHTASTAGGNIVHNASILGLATGTVRGGAPRARVAMYKTCWSGGGCSTAGQLKAMDDAVHDGVDILSLSIGGPFENQGTLHVVAKGIPVIYSAGNDGPIAQTVENSSPWLLTVAAATIDRSFPVVITLGNNDKFVAQSFVVSGKSASQFSQIKLYMGDDCNAGNIDNTVKGKIVFCFGTKFDVQLDYYNITKATGEKGGKGVILPQYNTDILLGDDLLTLPIPFVPVDYEITYRIYQYINGENDGTPKMKISFTRTTIGTEVSAPKVAVFSSRGPSPIYPGVLKPDIAAPGVSILAASPKTTFFEQAPYHFNSGTSMSCPHVSGIIAVLKSLHPQWSPAALKSAIMTTALTYDNYGMPIQANGKLPKIADPFDYGAGFVNPNMATDPGLIYDIDPLDYFKFFNCMAGLGSGDNCTTAKGSLADLNLPSITIPNLRTYQAATRTVTNVGQDNAVYKAFLQPPDGVEMAVKPAVLVFSKEKKVQSFKVTFKVTRRQTQGDYRFGSLAWHDGGDHWVRIPIAVRIVIEDIYSKIS >ONIVA12G14850.1 pep chromosome:AWHD00000000:12:16467906:16470714:1 gene:ONIVA12G14850 transcript:ONIVA12G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPTIGHCPSRDDDLEMVREKLIKGFIGIDAEYHIGIKEIGVLNDNPFHSACNEKLPPEEAEMAASELNSQWQELLNDKSWNLFHTITVDGDRQVEVIYADDDRLKDLKMTWGEGPYKSVTDALVERKEYNIDGPGVFDLWNYKEGRKASLGECIDYVFDHVKQLKIVRRKNPSVESESVCDAGRTLIKYGDMA >ONIVA12G14850.2 pep chromosome:AWHD00000000:12:16467906:16470714:1 gene:ONIVA12G14850 transcript:ONIVA12G14850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPTIGHCPSRDDDLEMVREKLIKGFIGIDAEYHIGIKEIGVLNDNPFHSACNEKLPPEEAEMAASELNSQWQELLNDKSWNLFHTITVDGDRQVEVIYADDDRLKDLKMTWGEGPYKSVTDALVERKEYNIDGPGVFDLWNYKEGRKASLGECIDYVFDHVKQLKIVRRKNPSVESESVCDAGRTLIKYGDMA >ONIVA12G14860.1 pep chromosome:AWHD00000000:12:16472294:16473874:1 gene:ONIVA12G14860 transcript:ONIVA12G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHGSAAALRATGRCLAPLIIPASCVVWVLFFFPSPSPDVAVRRDGFLPAVTLPVQRAGDTPPPPPIIDASPPPPSTSPPPPPPRRGRPARRDRCAGRYVYMHELPSRFNSDLLRDCRTLSEWTDMCRHVANGGIGPRLPPAARGGVLPATGWYDTNQFTLEVIFHARMRRYGCLTADASRAAAVYVPYYPGLDVGRYLWGFSNGVRDLLAEDLAEWLRGTPAWAAHGGRDHFLVGGRIAWDFRREDGGGEGSQWGSRLLLLPEAMNMTALVIEASPWHRRTDVAVPYPTYFHPWRPSDVSSWQRDARRARRPWLFAFAGAGRGNGDDHDRHHGGGVVRDRVIAQCARSRRCGLLRCGARGRRDDCYDPGNVMRLFKSAAFCLQPRGDSYTRRSVFDAILAGCVPVFFHPGSAYTQYRWHLPRDHAAYSVFVPEDGVRNGTVRLEDVLRRVSAARVAAMREQVIRMIPTVVYRDPRAPSARGFTDAVDVAVDGVIERVRRIKQGLPPGGDDDDDHRWDAYFDTQ >ONIVA12G14870.1 pep chromosome:AWHD00000000:12:16475915:16480862:-1 gene:ONIVA12G14870 transcript:ONIVA12G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAKAAAREDKAAAAAAATGKETAVSRAVAEDDTALLLAAVGSFRKEAMGRLRKGSDASRMLDQEMSTRLLHLACKHDAVQCARLLLEGGHGITASPVDARDQLTRTPLQVAAEAHSARCIELLLSKNARTDLKLVDGRPLVALEIALLSRRAQVKWSLDNSIEDLLSSLQEKDLNAVRLLAEKTREVGEVAYRYAMEGRVTVLAMLLLVAEEKISAPVSVVIEGVRTKKSIYYSIVDEALSIGDAPARDSNERRKALLSEIQLLNQFGAALWRDRNIDKRSLPPLLKAAKVGDVNVTKMLLMGDVDVNEADPEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDTPSKTKETPLFLAVKNGSLDCVKLLLRSGASTKVQNLRWVIFGFKKNMLLFDDLMHLPSFDPLTLNRKQRPIDVATSQDMRFILTSANVAPWNRSSHPKKSVTNKESCKEFLEDFGDYDSDDLNESFTGLKTSASHRDFRSSNGSAQGGKSKNHCAPKQGSKFVPRPNHWPKHDYTRKIFVGGLPPSVGAEYLTEFFTAEFGPVEEAVVIGIRMGDRVQSRGFGFVKFKREEDVISAKETHHVYMLGKRVEVKDAVARGSLPAEIQKIAPFRHHSQEVPKVTHHLLDGELKEEHYIRKRRPLPEKCLPSWFFIFRKWLPGFLADATERLGDRYPLSSLKGDFRAICRMELDHGTLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPVSRPKYVPLLEPFSFDHDELPESVSDHQSPRSPLTTNITEDSPRNTDSQQGDTCSESNVQSHQGDECCGSNTESQQDSASTDNGSLLGEVTVSTPKPDSIESIPTGKSDLIELVPTRKPHLIDTVPTRKPDLIVTEPTRKPIVIEPVPTRKPSVIEPVPTRKPMAIEPVVPTRKPIVIEPVPTRKPIVIEPVPTRKPIVTEPLPTRKPTVIEPASLTQKIVSEPMRKTDLFESGLARRIGLIGSRPTTCFVDCPVERPAVTPSNCEADMRFSFFQSQWDRFLAPYPKSDYCIICRSYDAAMQLVPCLHKICVACMMRCNVRACMTCGTAGVMERASDQRCQLMVVCRGAEAIVRCSPCMHSIACRGCFLASVTLLKGCTTCGCMIEHFKFC >ONIVA12G14880.1 pep chromosome:AWHD00000000:12:16484680:16485135:-1 gene:ONIVA12G14880 transcript:ONIVA12G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACRPVVGHGRPTVAEGDLAAMHIVVDLAMEFFSPTVMESMMLEDKAMRLEILEVSNEECRREEEARGIEKAATASCLPQLLERVARGGDNHCYNGQWTCLFPVDLHNHYRRLCMLGLERLVVWWLCLGPDLLQQYIIDAAGAVSHSHPT >ONIVA12G14890.1 pep chromosome:AWHD00000000:12:16486592:16493818:1 gene:ONIVA12G14890 transcript:ONIVA12G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLFCFPPNLRFPPSSRTFRPPPSSPSPPAPNSAAISPAPPSDEIVQVDVLERHLLAGLSPDDYKGISEDEILYDASFEATEDKFVKYQITWWILLSVLLILAWGVGLLMLLYLPIWIYVCRKDFRSRKLCLTPHAIVYKVTRPATFPCFGVLRNEKHVVLHSVSDIVVEQGYLQSLFGIYSIRFENIGVRRPSSDDIKITGISHPHDFRKAVLVHLLNTSNLNLSRKAYVHDDQQSTSSKPITMSSVPPLGDLILEKLDEVEISVKGLTMGFIGSTIRPTDSNIFSGTSPLTVDLGCHILKR >ONIVA12G14890.2 pep chromosome:AWHD00000000:12:16486669:16493818:1 gene:ONIVA12G14890 transcript:ONIVA12G14890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGISEDEILYDASFEATEDKFVKYQITWWILLSVLLILAWGVGLLMLLYLPIWIYVCRKDFRSRKLCLTPHAIVYKVTRPATFPCFGVLRNEKHVVLHSVSDIVVEQGYLQSLFGIYSIRFENIGVRRPSSDDIKITGISHPHDFRKAVLVHLLNTSNLNLSRKAYVHDDQQSTSSKPITMSSVPPLGDLILEKLDEVEISVKGLTMGFIGSTIRPTDSNIFSGTSPLTVDLGCHILKR >ONIVA12G14890.3 pep chromosome:AWHD00000000:12:16486576:16493818:1 gene:ONIVA12G14890 transcript:ONIVA12G14890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGISEDEILYDASFEATEDKFVKYQITWWILLSVLLILAWGVGLLMLLYLPIWIYVCRKDFRSRKLCLTPHAIVYKVTRPATFPCFGVLRNEKHVVLHSVSDIVVEQGYLQSLFGIYSIRFENIGVRRPSSDDIKITGISHPHDFRKAVLVHLLNTSNLNLSRKAYVHDDQQSTSSKPITMSSVPPLGDLILEKLDEVEISVKGLTMGFIGSTIRPTDSNIFSGTSPLTVDLGCHILKR >ONIVA12G14890.4 pep chromosome:AWHD00000000:12:16486576:16493818:1 gene:ONIVA12G14890 transcript:ONIVA12G14890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGISEDEILYDASFEATEDKFVKYQITWWILLSVLLILAWGVGLLMLLYLPIWIYVCRKDFRSRKLCLTPHAIVYKVTRPATFPCFGVLRNEKHVVLHSVSDIVVEQDLRTLVSEGLLAVLVHLLNTSNLNLSRKAYVHDDQQSTSSKPITMSSVPPLGDLILEKLDEVEISVKGLTMGFIGSTIRPTDSNIFSGTSPLTVDLGCHILKR >ONIVA12G14900.1 pep chromosome:AWHD00000000:12:16504013:16506229:1 gene:ONIVA12G14900 transcript:ONIVA12G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAADSFPAGGDDAIRDVYGIGGGGEEDDPSLFLYLSDLAPVSPSAYLDLPPSPPPPTTTATTMVKEGEEAPEDLVLPFISRMLMEEDIDDKFFYDYPDNPALLQAQQPFLEILSDPSSNSRSSNSDDPRLSPTSSSDTSAAINSYDAAATATAVAAAAVPVPQYESIELDPAAFFAAANSDLMSSAFLKGMEEANKFLPTENKLVIDLEASSENNYLRGLEEAKRFLPSDDKLQVGFAAAAAPVVSVKKEAVDVVVATASGGGGRGRKNPYDDEELELEGGRSSKQTAVQGDDVAARAMFDKVMMPSHENCTEMMEKLRIAMKEEAAKNEASAGGKGGNGKVKGGRRGGRDVVDLRTLLIHCAQAVATDDRRSATELLKQIKQHAKPTGDATQRLAHCFAEGLQARIAGTGSLVHQSLVAKRTSAVDILQAYQLYMAAICFKKVSFIFSNQTIYNASLGKKKIHIVDYGIQYGFQWPCFLRRISQREGGPPEVRMTGIDLPQPGFRPTERIEETGHRLSKYAQEFGVPFKYNAIAAVKMESVRKEDLNIDPDEVLIVNCQYQFKNLMDESVVIDSPRDIVLSNIRKMQPHVFIHAIVNGSFSAPFFVTRFREALFFYSALFDVLDATTPRESEQRLLIEQNIFGRAALNVIACEGIDRVERPETYKQWQVRNQRAGFKQLPLNPEIVQVVRNKVKDCYHKDFVIDIDHQWLLQGWKGRILYAISTWTPNDALSYF >ONIVA12G14910.1 pep chromosome:AWHD00000000:12:16513011:16514335:-1 gene:ONIVA12G14910 transcript:ONIVA12G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDGGGCGGDEFGDDGGGLRRVPRRRPSSRTSPPRGRRGALVNAPAVNAVPVTPSSMMPTTRASRCTSNPRHPSRIWTVGVWRRWLATVAAGRWWLDGGAIIRLTVHRRRWRYVEAGKEESRSGRAVDGGNNWNRERKPCRAIWLADNGNAVWRRSPPWRRCFSIPLSFPYHILRVKTLLRFRTSGGGDPRRILLGGTALEKPLRARILSLVYALASNFSSRP >ONIVA12G14920.1 pep chromosome:AWHD00000000:12:16520491:16520679:1 gene:ONIVA12G14920 transcript:ONIVA12G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCMDDKWKLTKKGSRRLEEGRASRGPSRSVPGRLASLVKEQRARFYIMRRCVTMLVCWRD >ONIVA12G14930.1 pep chromosome:AWHD00000000:12:16521367:16534771:1 gene:ONIVA12G14930 transcript:ONIVA12G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGSGDELAAAAAAAAASTDPGRGRKRPPSPSTPTPSDDGEDSDDGWAVSDSGSEEEEEEYDDEEEQEGMHRPFTVDDFPRLSSDHSVQTDALYDIPHLLTVDNAGTVDCLNGCWCLSMNLLQFIDLRISGYCHTQPGRAKIFGFFAVWDDLEPLRNYVFRHGIDSYEAVSVKTKTGMACLPLTSPARGICITSRALFEFQLCIRTEDSPEAEDEPIGETLIERCTEFTNILRSASFTKTVRLYGEKCGLDVKFALLVNAVQATVDVEIIHSPAFGLNLKLYAKTSGFSDVIRLFRGVAQSGRRIRSLVAVVRRSHLDLCIEGSPADIGLGEKLPCVRWEHKFGAGFHRTADEVVKLGDFTTISLHGKLSTSIRRRRDRGASICNIAGGGGGGGGGGGGGVEEKWRSMEAETSRRRRRWLTPAAGRRDRLPPQLLRLRAMTGRTPTTAARSATRRRRKTKTKARRIKKGCTAHLRLMIFQGLVVIILRRLKRGIDFQIFAFKALCHSFFSVPSTILSLTNAGIGLVLITDSMTNLKPGRAKIFGFFAVRDDLEPLRNYVFRHAIDNYEAVSVKPKTGMACLPLTSPARGICITSRALFEFQLCIRTEDSPKAEDEPKGGTLIEGCTEFTNILRSTSFTETVRLYGEKCGLGVKFLLLVNAVQATVDVEIIHSRACGLNLKLYAKTSGFSDVLRLFRGVAQSSRKISSVVAVVRRSHLDLCIEGSPADIDLGEKLPCTRWEHRFGAGFHGTVEEVVKLGDFTTISVKVTWKAGLWVRAAAAVAAAELRRMEVDGGGDESSAATPDPGRGKKRPPSPSTPPTPSDDGEDSDDSWAVNDEEEEEEEEDEEDQEGKHRPFTVDDFPRLSSDHSVQTDALFDIPHLRLGGPSPLSLFRAFNDPLTDKRRHWFGSYYRLDDESEISVDSAGAVDCLNGCRCLSKNLLQFIDLKISGYRHTQPGRAKIFGFFAVRDDLEPLRNYVFRHAIDNYEAVSVKPKTLYAKTSGFSDVIRLFEGIGLGEKLPRVRWEHRFGAGFHGIEDEVVKLGDFSTISVKVTWKAVGKRPAPKG >ONIVA12G14930.2 pep chromosome:AWHD00000000:12:16521367:16534539:1 gene:ONIVA12G14930 transcript:ONIVA12G14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGSGDELAAAAAAAAASTDPGRGRKRPPSPSTPTPSDDGEDSDDGWAVSDSGSEEEEEEYDDEEEQEGMHRPFTVDDFPRLSSDHSVQTDALYDIPHLLTVDNAGTVDCLNGCWCLSMNLLQFIDLRISGYCHTQPGRAKIFGFFAVWDDLEPLRNYVFRHGIDSYEAVSVKTKTGMACLPLTSPARGICITSRALFEFQLCIRTEDSPEAEDEPIGETLIERCTEFTNILRSASFTKTVRLYGEKCGLDVKFALLVNAVQATVDVEIIHSPAFGLNLKLYAKTSGFSDVIRLFRGVAQSGRRIRSLVAVVRRSHLDLCIEGSPADIGLGEKLPCVRWEHKFGAGFHRTADEVVKLGDFTTISLHGKLSTSIRRRRDRGASICNIAGGGGGGGGGGGGGVEEKWRSMEAETSRRRRRWLTPAAGRRDRLPPQLLRLRAMTGRTPTTAARSATRRRRKTKTKARRIKKGCTAHLRLMIFQGLVVIILRRLKRGIDFQIFAFKALCHSFFSVPSTILSLTNAGIGLVLITDSMTNLKPGRAKIFGFFAVRDDLEPLRNYVFRHAIDNYEAVSVKPKTGMACLPLTSPARGICITSRALFEFQLCIRTEDSPKAEDEPKGGTLIEGCTEFTNILRSTSFTETVRLYGEKCGLGVKFLLLVNAVQATVDVEIIHSRACGLNLNDVIRLFEGAAQSGHRISSVVAVVRRSHLDLCIEGSPAGIGLGEKLPRVRWEHRFGAGFHGIEDEVVKLGDFSTISVKVTWKAVGKRPAPKG >ONIVA12G14930.3 pep chromosome:AWHD00000000:12:16521367:16534736:1 gene:ONIVA12G14930 transcript:ONIVA12G14930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGSGDELAAAAAAAAASTDPGRGRKRPPSPSTPTPSDDGEDSDDGWAVSDSGSEEEEEEYDDEEEQEGMHRPFTVDDFPRLSSDHSVQTDALYDIPHLLTVDNAGTVDCLNGCWCLSMNLLQFIDLRISGYCHTQPGRAKIFGFFAVWDDLEPLRNYVFRHGIDSYEAVSVKTKTGMACLPLTSPARDSPKAEDEPKGGTLIEGCTEFTNILRSTSFTETVRLYGEKCGLGVKFLLLVNAVQATVDVEIIHSRACGLNLKLYAKTSGFSDVLRLFRGVAQSSRKISSVVAVVRRSHLDLCIEGSPADIDLGEKLPCTRWEHRFGAGFHGTVEEVVKLGDFTTISVKVTWKAGLWVRAAAAVAAAELRRMEVDGGGDESSAATPDPGRGKKRPPSPSTPPTPSDDGEDSDDSWAVNDEEEEEEEEDEEDQEGKHRPFTVDDFPRLSSDHSVQTDALFDIPHLRLGGPSPLSLFRAFNDPLTDKRRHWFGSYYRLDDESEISVDSAGAVDCLNGCRCLSKNLLQFIDLKISGYRHTQPGRAKIFGFFAVRDDLEPLRNYVFRHAIDNYEAVSVKPKTLYAKTSGFSDVIRLFEGAAQSGHRISSVVAVVRRSHLDLCIEGSPAGIGLGEKLPRVRWEHRFGAGFHGIEDEVVKLGDFSTISVKVTWKAVGKRPAPKG >ONIVA12G14940.1 pep chromosome:AWHD00000000:12:16540169:16544394:-1 gene:ONIVA12G14940 transcript:ONIVA12G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKKAARARVREGARGKPNKTTTPSSSPRFARARGGEMDRDEEMGHGDRSLLFIGDEDDDLEADRDGGSTPSSDPGSFSDRSDPPSVDDIDEDDDDVVGDGRRAPRDDDDDQRGTWPQSFRQSIDMMSAVPSPAMSSIITAASPNLGRLAAVGSSLLKRATSSAVGQEGSSLPLSRPLLPPSSLSQLSTASGPPVRDSADSLPPRARPPPPPLQGESVVPPPLPRPSSACLRSNYIDLPPPSTRCGQKQAILNGLNVLCGVGILTTSYGIKQGGWLSLILLPLLGCCSCYTGLLLKKCIDSSPSIDTYPDIGQAAFGIYGRIFVSACGVEYITLLGDSLSSVFPSADLAFGGIYLNAHNLFAITMALAILPSVWLKNLRLLSYLSAGGVIATTTVIVCLFWVGIGEGVGFHPGGTALNLTHFPVALGLYGYCYSGHSVFPNIYSSMEERPKFTFVLLFCFIVVTFVYAGVAVAGFLMFGESTMSQFTLNMPQQFIPSKIAIGMTIINPYTKYALTLTPVALSIEEALPRRMQTYQVGMCVRTALVASTVVVALTFPYFALVMALLGSVFTMLVALILPCACYLSIKKGSTPLWEVVLCITIILLGILCACVGSYTSDGWSMHV >ONIVA12G14950.1 pep chromosome:AWHD00000000:12:16554098:16555349:1 gene:ONIVA12G14950 transcript:ONIVA12G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVSLTAMAGHQGGSRPLDQFFDRMETMSELGFVGSDVDDGEHGGGGAAPSMWDNLAGGGGGSAVAATTPANLLQQQVRSNEICTYPSLVAMLACSHAWRHLLLAASISNYYFFFLFLFGGNYLFQFSYKTIQICQLIN >ONIVA12G14960.1 pep chromosome:AWHD00000000:12:16556003:16565614:1 gene:ONIVA12G14960 transcript:ONIVA12G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGGGRPPASRRRRRKPRGGRMERVSTPARLRRPPRLDAAEWFRIGSLPNMCMAWMGCEDIPGEHMSAPDEKAPTEVAGMPFSWDMQSEAAKYFGNFDTEIQASCQTQEPSYRVIYGSCNSGQMIGLGDSQYKLAGSSSSQSLYSDNFSDATGFNQLKLQKQTSADGNTESWSELNRDAIGGLSGKGSPLAKSGKQLEQRMAKALSAQPYHPVFPVTQGVSSSNSSSQHVVSSSGEAKYIGNSGQEMQVACETAKEPSHRALYGSKNPYQLTGLSDLRDKIIASSSFEGVNTGSLTSTLSGMNLPTNSAKENTEYNQLKLQKQIDGYQRFESGSGLNRDDAGDITVTGVPQQVQVGQNMVNALSAKPYHPVFSVSHGTSASSSSQHPYMARQLLEHPEYNPRMHRTHPSLQPTIEAASTSMTSHVKEPCYQENVGDSNPGMSLLRSTAVSSRDVENKSPNLGDRVHADVSEIYSTLDHQTSANSMQIQYAPQVVRQPSQQSLHADVTETYSAFGHQSAASNIQRQFAPRVTRQASHRSLYESSNSSLWTGPHDDSQMELFRPSSSRRISTNVISGELPRINLQSETMMGNADFNQQNLRRQISLCENTESHSFGTYNELPRTSSFLRRQSSESSQLNSASVKCSPGSGSSSTMMGPPRPFNTVSGGASSLLGSPLSSMSGGSSSGGNPDHQGTVLSSIYQPDGYNINSTLPLRMRTHAREAYATSVLPQATRRSHQVNFDSAERRSQYVQQRGLDDTINSNMLSARQQFQRQVERQLQRQLERQLQRQLERQLQRQVQRQLQRHHERAAASEAHPFYGNDETSVRMNPTEEFLDIVKDHEAFFLSFYHPSRIPARVMNTVDQCYGVDMEREMPHQESHPTPGCGTELSHKNDTSGFERSDVDVEHIHDSKRLNNEELNALLLHRKFSSLNKGNYRLFHIEGHVLQCSIDQCGSRFIQQKLPTATPDEKLMVFKEIMPHFLEMVTDVFGNYVLQKMIEHGAPFQRREITACLFGSVSSLSCQLYGCRVVQRAVELSDLDQKIQIAKELNSNIMKCIHDPNANHVVQKCIEHVPPRFIQFFVESMYGRVVELSVHPYGCRVIQRILEYFDSSIQEIFLEEIIEEVYYMAKDQYANYVVQNILQHGKALVRSAIIKKFIGRVVAMSKQKFASNVIEKCLIFGSYDEKQKIINEVIGTTDLVRSGETEALVVMVNDQYANYVVQKVIETCDEWQRKLILRRLRAHHSLLHDCTYAKHVVARLDRLIDIGERKMANPRRPRRHGKDPVPPLT >ONIVA12G14960.2 pep chromosome:AWHD00000000:12:16556003:16565614:1 gene:ONIVA12G14960 transcript:ONIVA12G14960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGGGRPPASRRRRRKPRGGRMERDIPGEHMSAPDEKAPTEVAGMPFSWDMQSEAAKYFGNFDTEIQASCQTQEPSYRVIYGSCNSGQMIGLGDSQYKLAGSSSSQSLYSDNFSDATGFNQLKLQKQTSADGNTESWSELNRDAIGGLSGKGSPLAKSGKQLEQRMAKALSAQPYHPVFPVTQGVSSSNSSSQHVVSSSGEAKYIGNSGQEMQVACETAKEPSHRALYGSKNPYQLTGLSDLRDKIIASSSFEGVNTGSLTSTLSGMNLPTNSAKENTEYNQLKLQKQIDGYQRFESGSGLNRDDAGDITVTGVPQQVQVGQNMVNALSAKPYHPVFSVSHGTSASSSSQHPYMARQLLEHPEYNPRMHRTHPSLQPTIEAASTSMTSHVKEPCYQENVGDSNPGMSLLRSTAVSSRDVENKSPNLGDRVHADVSEIYSTLDHQTSANSMQIQYAPQVVRQPSQQSLHADVTETYSAFGHQSAASNIQRQFAPRVTRQASHRSLYESSNSSLWTGPHDDSQMELFRPSSSRRISTNVISGELPRINLQSETMMGNADFNQQNLRRQISLCENTESHSFGTYNELPRTSSFLRRQSSESSQLNSASVKCSPGSGSSSTMMGPPRPFNTVSGGASSLLGSPLSSMSGGSSSGGNPDHQGTVLSSIYQPDGYNINSTLPLRMRTHAREAYATSVLPQATRRSHQVNFDSAERRSQYVQQRGLDDTINSNMLSARQQFQRQVERQLQRQLERQLQRQLERQLQRQVQRQLQRHHERAAASEAHPFYGNDETSVRMNPTEEFLDIVKDHEAFFLSFYHPSRIPARVMNTVDQCYGVDMEREMPHQESHPTPGCGTELSHKNDTSGFERSDVDVEHIHDSKRLNNEELNALLLHRKFSSLNKGNYRLFHIEGHVLQCSIDQCGSRFIQQKLPTATPDEKLMVFKEIMPHFLEMVTDVFGNYVLQKMIEHGAPFQRREITACLFGSVSSLSCQLYGCRVVQRAVELSDLDQKIQIAKELNSNIMKCIHDPNANHVVQKCIEHVPPRFIQFFVESMYGRVVELSVHPYGCRVIQRILEYFDSSIQEIFLEEIIEEVYYMAKDQYANYVVQNILQHGKALVRSAIIKKFIGRVVAMSKQKFASNVIEKCLIFGSYDEKQKIINEVIGTTDLVRSGETEALVVMVNDQYANYVVQKVIETCDEWQRKLILRRLRAHHSLLHDCTYAKHVVARLDRLIDIGERKMANPRRPRRHGKDPVPPLT >ONIVA12G14970.1 pep chromosome:AWHD00000000:12:16573587:16575644:-1 gene:ONIVA12G14970 transcript:ONIVA12G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G55550) TAIR;Acc:AT3G55550] MRHLAVVLLLLLLAALAASQEFTYSGFRNGGGGGGAGNSPNLTLNGVTELRPDGILRLTNETSRLIGHAFYPSPLRLLAGGAAVSFSTEFAFAVVPEYPKLGGHGLAFVVAPDPRLPGALPSQYLGLLSAADVGNATNHVLAVEFDTVQDFEFGDINDNHVGVDLNSLVSNASASAAPVNLKSGDTIVAWVDYDGGAKLLNVSIAAASASKPASPLISFHVDLSPIFLDQMFVGFSASTGLLASSHYLMGWSFKLGGGAAPPLDVPSLPSLPRPAAGGKNRTSAILAAAFSAFVALVALAGAAAYAAHRYKNRDVVEPWELDYGPHRYSYAELRRATRGFRDRELLGAGGFGKVYRGVLPGKPPRTVVAVKRVSHESRQGLREFVAEIASIGRLRHRNLVQLQGWCRRRGDLLLVYDYMPNGSLDKHLFGDGLAAARLTWGARVKVLRDVAAALLYLHEGWERVVLHRDVKASNVLLDGDMSGRLGDFGLAKLHEHGANPSTTRVVGTLGYLAPELTRTGKATAAADVFAFGALALEVVAGRRPIEPRAPPEELVLAEWAWERYAAGEVGAVVDARLRGEFDAGEAEAAVKVALWCSHPAPAVRPTMREVARYLDAGGAAEVPEPPPPPPPPPVSSGEVGYYDFVHSYPTSSYERAAAAADGVTQTSVATFPYSPLSMRSSHVSV >ONIVA12G14980.1 pep chromosome:AWHD00000000:12:16584248:16585348:-1 gene:ONIVA12G14980 transcript:ONIVA12G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGSGSGSGSRDEYGRAVARAAVAQALEAAGFDCAHLSAVDTLVDVILRYVVHLGRTAAFNANLAGRVLANEYDIIQALEEIGTDFDGFVGAATSDRCLVGSGVVRELIDYVESKPEVPFVRPLPSFPVPRVEPQPAQSFAMAGKESGMKHVPEWLPVFPDPHTYIRTEVWSEEEAKARVDKVEQVRQRRKAEKSLLSLQRRLALAGADGFRPAVTENTAEKGKEIQVAGSKRNPFLEPALPPGEKEVSDVAMQPQRRKISVLDAFAPAIQAANMMDIDTGPGWDNNQNQKSIVPKERAPVHLKIGIDKKPLSAVLNSKPLDLREDPSFLKEEVKDERKRRAGMILRASMENPQELPQL >ONIVA12G14990.1 pep chromosome:AWHD00000000:12:16586309:16590870:-1 gene:ONIVA12G14990 transcript:ONIVA12G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G03667) TAIR;Acc:AT2G03667] MCGIALVLSGGGRVVVAPSAAAAAVAAVGIQPSDEGKGVTVDELKAALRRRGPDSLGCERLRVRADGTTLGSDGCDCGVGNGGDVGDTELCFIGATLQLRGAEPILQPMVGQSGNVLVYNGEIYGGVHVADDQNDTQSLLSSLESCCSCECHALVRDEACLCCGSVGKSVPQILSTIKGPWALIYWQAEGLKNNVVWPGCIREKKPLAPTVKVMESGEDNDFPESTNMSYWEELPCGIYSIQLKSLEKSGMCMKEACVSKVRRHDWINSSLDELIQWKRKSIVPTVDDLTSHQNSVGDYCLSQSFRNSTEADKNAAYKVLIALRESVMLRTNLNRLFQDDLNKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNDFCTGRTEGTSENFPYPWRLVEIDTVLTNLKGESEHVMSLIYPSNTYMDLNIGIALWLAAGGDGWVDGSICNMQDGCRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVLLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWDIAKLDEPVGKGDKKILREVANLLGLKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHQRAR >ONIVA12G14990.2 pep chromosome:AWHD00000000:12:16586309:16590870:-1 gene:ONIVA12G14990 transcript:ONIVA12G14990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G03667) TAIR;Acc:AT2G03667] MCGIALVLSGGGRVVVAPSAAAAAVAAVGIQPSDEGKGVTVDELKAALRRRGPDSLGCERLRVRADGTTLGSDGCDCGVGNGGDVGDTELCFIGATLQLRGAEPILQPMVGQSGNVLVYNGEIYGGVHVADDQNDTQSLLSSLESCCSCECHALVRDEACLCCGSVGKSVPQILSTIKGPWALIYWQAEGLKNNVVWPGCIREKKPLAPTVKVMESGEDNDFPESTNMSYWEELPCGIYSIQLKSLEKSGMCMKEACVSKVRRHDWINSSLDELIQWKRKSIVPTVDDLTSHQNSVGDYCLSQSFRNSTEADKNAAYKVLIALRESVMLRTNLNRLFQDDLNKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGQLAPDRISALAGLKELQRISPIRRWRLVEIDTVLTNLKGESEHVMSLIYPSNTYMDLNIGIALWLAAGGDGWVDGSICNMQDGCRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVLLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWDIAKLDEPVGKGDKKILREVANLLGLKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHQRAR >ONIVA12G14990.3 pep chromosome:AWHD00000000:12:16586309:16590870:-1 gene:ONIVA12G14990 transcript:ONIVA12G14990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G03667) TAIR;Acc:AT2G03667] MCGIALVLSGGGRVVVAPSAAAAAVAAVGIQPSDEGKGVTVDELKAALRRRGPDSLGCERLRVRADGTTLGSDGCDCGVGNGGDVGDTELCFIGATLQLRGAEPILQPMVGQSGNVLVYNGEIYGGVHVADDQNDTQSLLSSLESCCSCECHALVRDEACLCCGSVGKSKDSKTMWFGRDAFGRRSLLVHWPSPDDPRFILSSVSPPSFASNNSAPTVKVMESGEDNDFPESTNMSYWEELPCGIYSIQLKSLEKSGMCMKEACVSKVRRHDWINSSLDELIQWKRKSIVPTVDDLTSHQNSVGDYCLSQSFRNSTEADKNAAYKVLIALRESVMLRTNLNRLFQDDLNKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGQLAPDRISALAGLKELQRISPIRRWRLVEIDTVLTNLKGESEHVMSLIYPSNTYMDLNIGIALWLAAGGDGWVDGSICNMQDGCRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVLLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWDIAKLDEPVGKGDKKILREVANLLGLKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHQRAR >ONIVA12G15000.1 pep chromosome:AWHD00000000:12:16592034:16592704:-1 gene:ONIVA12G15000 transcript:ONIVA12G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAARAVAMPSLSPATVAARPSSRRLHKVAAMATQKPTSGTRRGTTVYFPVGEPGPRQTTSGKAAPPPVKLLTNVEKLRLLTKAEKAGLLSAAERAGLSLSAVERLGLLSKAEELEVLSAATDPGTPGALLGVALLLLAAGPAVVYLVPEEYPWEVAVQAVVALACVVGGSTAFAASSFVSKLQSSSS >ONIVA12G15010.1 pep chromosome:AWHD00000000:12:16593188:16596489:1 gene:ONIVA12G15010 transcript:ONIVA12G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCEIFISLISIMHVILSWKTSFFLYDVSWKRIFAKKKKTSTGEGTDLFWLSSLAEVLNL >ONIVA12G15020.1 pep chromosome:AWHD00000000:12:16596131:16596439:-1 gene:ONIVA12G15020 transcript:ONIVA12G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNEVKEKEKTDKPSTRRRILGVTAFIAAAAAGTLFLLSAIGESSGDPDKTADDDPPTTRTMKAPGFGGKVMISRDKFEGNPKDYFRASRKGDEEDVGAFK >ONIVA12G15030.1 pep chromosome:AWHD00000000:12:16600350:16602624:-1 gene:ONIVA12G15030 transcript:ONIVA12G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQCHGSISHALQIFTSPSTYVNPRRQQFVCHHSSSLVDMGIWIWALSSYVFPIGLFHVGFVVRVELSLLRFDDGLRGHLLLSPGMLTPKSTAQ >ONIVA12G15040.1 pep chromosome:AWHD00000000:12:16607371:16610315:-1 gene:ONIVA12G15040 transcript:ONIVA12G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKHHKNVEAGKSSFHRMVLGQLVGEFGFDEENVPCNTPRSSVRSRFGASASRIVASTSGASVSPGEYVRDPGSILSLQPWIFKRSGSQKNEEKMMLASGSRVVGEGKNLMDSFRDGSAVEVSPRSPGLGSGPGRGRGALRSRRSRRHLIRPLVPMENSYIPQLYSADFEIDECTFGPAPSPASARPFIVTDGRRVISKSRYQPVPVPFHIGFEKEGRRNSSEMVESVIGIAPLPELKKSKRERQGSHNGGMGLSAFKSSKPSKSTDLLDRLRIFSTGVSIGIISSTLSNKNELDALKGTVKRMENLIQDLHDELEMREGLTVKELPNEMSVKIDDDESKAHVTDSEPMSKIEEELEAELARLELNITSNCLKEQTFDFSEVEQDLIGDIVQGELKIDTTHCDLADHSSESAHGRDSRESSPDYTHDANYPVSPRDLSLRLHKVIQQRLEERIKELETTLAQSEMQTQVQVMATEQILCERTCSDSDSGSPNQESPVYIQETNSLVEPFCLNLAGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVHEDYSVDRSLIWGLEDGSARKLKKVPTWERILKSREPNRTQESDGDDEDEFEDDDQDSKMLIQQIIERTKQGSPVLIHAQRILFSVDD >ONIVA12G15050.1 pep chromosome:AWHD00000000:12:16617244:16637494:-1 gene:ONIVA12G15050 transcript:ONIVA12G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JBF1] MRFLIMAAIRWVVLAYIVVIGCATIARGDEQPLSRIAIERATVAAVDSASVKAQPTVLGLKGQSSDWVVVEFSHPKPSNDDWIGVFSPSGFSSEICQPEYYGDLPPYLCTSPIKFQYANFNNADYNRSGKGLLRLQLINQREDFSFALFSGGLSAPKLIAISNKVSFQNPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAIPFVEWGHKGGNQMLSPAGTLTFSRNSMCGSPARTVGWRDPGYIHTSFLKELWPDSLYTYRLGHRLLDGTHIWSKSYSFRASPYPGQDSVQRVVIFGDMGKAEIDGSDEYGNYEQASLYTTNQLIKELDSIDMVIHIGDLSYANGYLSQWDQFTQQIEPIASTVPYMIGSGNHERDWPGSGSFYGHNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIADTEQDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNLYTGQFNATTHVIVGGGGAMLSPFRATVPYWSFFRDYDFGFSKLTALNHSTLLFEYKKSRDGKVYDHFTISRDYRDIMACSIDNCPRTTLAPLWRRPPATVAHANRCGRRRAPAMLAPPQASVAASIPVSLRSMIRLWVVVTWLVLCAAAAAHPGEQPLSRIAVERMVLAVNESAHVRASPLVLGLKGETNEWVEVEFFNPNPSNTDWVGVFSPADFSSAICEAYGVPQYYPMLCTAPIKYQYANFNNNGYSKSGKGKLKLQLINQREDFSFALFSGGLENPKLVAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDFKKAVPFVEWGAKGGQRVLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLLGHRLPNGTHIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGMGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENSAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTFYEEEGTFEEPMGRESLQELWQKYKVDLAFYGHVHNYERTCPVYQNKCVVSGSDHYSGPFTATTHVVVGGAGAGTSDSEFTTSNIKWSYYRDFDYGFVKLTALNHSSLLFEYKKSSDGNVLWVVATWLIVCAAAHPGEQPLSRIAVERTVLAVNESAHVKASPWVLGLKGQNSEWVEVEFFHPSPSNDDWIGVFSPANFSAAICEPENKRQRPPVLCTAPIKYQFANFNNDGYNKSGKGYLKLQLINQREDFSFALFSGGLLKPKLIAVSNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAVPFVEWGAKGGRSFLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSLLGHRLPNSTLIWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCSYYEEQGTFGEPMGRDTIEELLQKYRVDLAFYGHVHSYERTCPVYQSQCVVNASDHYNGPFKATTHVVVGGGGASLSEFTTSKIKWSHYTDFDFGFVKLTAFNHSSMLFEYKKSRDGNVYDHFTISRDYRDILACSVDNCPRTTLAT >ONIVA12G15050.2 pep chromosome:AWHD00000000:12:16617244:16637494:-1 gene:ONIVA12G15050 transcript:ONIVA12G15050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JBF1] MRFLIMAAIRWVVLAYIVVIGCATIARGDEQPLSRIAIERATVAAVDSASVKAQPTVLGLKFQYANFNNADYNRSGKGLLRLQLINQREDFSFALFSGGLSAPKLIAISNKVSFQNPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAIPFVEWGHKGGNQMLSPAGTLTFSRNSMCGSPARTVGWRDPGYIHTSFLKELWPDSLYTYRLGHRLLDGTHIWSKSYSFRASPYPGQDSVQRVVIFGDMGKAEIDGSDEYGNYEQASLYTTNQLIKELDSIDMVIHIGDLSYANGYLSQWDQFTQQIEPIASTVPYMIGSGNHERDWPGSGSFYGHNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIADTEQDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNLYTGQFNATTHVIVGGGGAMLSPFRATVPYWSFFRDYDFGFSKLTALNHSTLLFEYKKSRDGKVYDHFTISRDYRDIMACSIDNCPRTTLAPLWRRPPATVAHANRCGRRRAPAMLAPPQASVAASIPVSLRSMIRLWVVVTWLVLCAAAAAHPGEQPLSRIAVERMVLAVNESAHVRASPLVLGLKGETNEWVEVEFFNPNPSNTDWVGVFSPADFSSAICEAYGVPQYYPMLCTAPIKYQYANFNNNGYSKSGKGKLKLQLINQREDFSFALFSGGLENPKLVAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDFKKAVPFVEWGAKGGQRVLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLLGHRLPNGTHIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGMGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENSAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTFYEEEGTFEEPMGRESLQELWQKYKVDLAFYGHVHNYERTCPVYQNKCVVSGSDHYSGPFTATTHVVVGGAGAGTSDSEFTTSNIKWSYYRDFDYGFVKLTALNHSSLLFEYKKSSDGNVLWVVATWLIVCAAAHPGEQPLSRIAVERTVLAVNESAHVKASPWVLGLKGQNSEWVEVEFFHPSPSNDDWIGVFSPANFSAAICEPENKRQRPPVLCTAPIKYQFANFNNDGYNKSGKGYLKLQLINQREDFSFALFSGGLLKPKLIAVSNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAVPFVEWGAKGGRSFLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSLLGHRLPNSTLIWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCSYYEEQGTFGEPMGRDTIEELLQKYRVDLAFYGHVHSYERTCPVYQSQCVVNASDHYNGPFKATTHVVVGGGGASLSEFTTSKIKWSHYTDFDFGFVKLTAFNHSSMLFEYKKSRDGNVYDHFTISRDYRDILACSVDNCPRTTLAT >ONIVA12G15060.1 pep chromosome:AWHD00000000:12:16638707:16644150:-1 gene:ONIVA12G15060 transcript:ONIVA12G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diacylglycerol kinase1 [Source:Projected from Arabidopsis thaliana (AT5G07920) TAIR;Acc:AT5G07920] MYKMMYPSWNDISVYISEYWSVIIATVIFASVTGVTIYYTVNQLNKNISLSLMKAIRARARKYKKLKDKVPASSHIWRKELGSRSKGLKCCVCLKSVSSPQYMGGVIHQCDICGATAHPSCSGNAHKDCKCVSMVGFEHVIHQWAVQWIDTSDRSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHNNLSIETGDICDLGPLKRLILSPLCVKELHWTGAAGLISSITHGANELASNVRERIRSRGKKYRKGTISVDSDSSGTIDPPSDIEGDSQETNNAAKRREDHANGELPEVHESSESENDKQLLTENTTSIPNGQHEDSHVHNNQKYEIVDVPSDSRPLLVFINKRSGAQCGDSLRQRLQILLNPIQVFELGKQQGPEVGLTLFRKVPHFRVLVCGGDGTVAWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLSWGGGLGIVEKQGGLFSVLKDVEHAAVTVLDRWKITIKDNQGKLMSQPKYMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAKEGAKNMMDNTFDYFPWDVKLEIDGSKINIPQDSEGILVANIQSYMGGVDLWKNEDDVSDNFHPQSMHDKMLEVVSFTGMLHLGRLQVGLSRAQRLAQGHHIKIEIKTKMPIQVDGEPWSQDPCTIVVSHHCQAFMLKRVSEEPIGHAASIMADVLENAENNGIITASQKRTLLHEIASRLL >ONIVA12G15070.1 pep chromosome:AWHD00000000:12:16649756:16659991:1 gene:ONIVA12G15070 transcript:ONIVA12G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKTAFVGNLPANVTEEYLRKLFEHCGEVVRVAVSRKGQYPVGFVHFASRTVSVARPVVENDKKRIREEVKTRRSNVSRDKPDHSYGRRGPDSYDRQAKAPRLYNEVSDTDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIRSLNELPEKAAVAVLNQFLISGADKHNKGDYFASLIAKYQAETFSSALRLQGSTYLPRNPEIQNKRFPHQDYEYTASGSSRYSSLGDYPSSSYVDDPASSQSRNRRYDEYRPDLVRYPDSRSRQEEIVRIERYPEPRFAHEPRQDTGRHLDLGYVQERNSNIERSAQVAFSSREGGYLSASRYNTNIVPEFSSRSSAEYSTARQQVRFDPFTGEPYKFDPYTGEPIRPESNPRRSGSLY >ONIVA12G15070.2 pep chromosome:AWHD00000000:12:16649781:16659991:1 gene:ONIVA12G15070 transcript:ONIVA12G15070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKTAFVGNLPANVTEEYLRKLFEHCGEVVRVAVSRKGQYPVGFVHFASRTVSVARPVVENDKKRIREEVKTRRSNVSRDKPDHSYGRRGPDSYDRQAKAPRLYNEVSDTDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIRSLNELPEKAAVAVLNQFLISGADKHNKGDYFASLIAKYQAETFSSALRLQGSTYLPRNPEIQNKRFPHQDYEYTASGSSRYSSLGDYPSSSYVDDPASSQSRNRRYDEYRPDLVRYPDSRSRQEEIVRIERYPEPRFAHEPRQDTGRHLDLGYVQERNSNIERSAQVAFSSREGGYLSASRYNTNIVPEFSSRSSAEYSTARQQVRFDPFTGEPYKFDPYTGEPIRPESNPRRSGSLY >ONIVA12G15070.3 pep chromosome:AWHD00000000:12:16646249:16652973:1 gene:ONIVA12G15070 transcript:ONIVA12G15070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTPVMMRRARARRPFAVLAAAAPPPPSAGRNRENVEGEAMSFSQCVRRFGKPAAKKGSARTPRRATPVAAAAAAAYDAPRAPLWDRSEERERIRARLSSPDDGGEEEEGSSGKKRTRTRTRTRTRRSVALREAMAGLPEHGDGRVRYLVDTFERLLSLSSDPGEQSRRRRKKKTPVARKTGSSWPPPPTPTPTTTRADEIDVSYPSIASSSEVSFPINGVVLRFLASLLIIFFPSCNHFLLFLILVAHNFFLCLKFFRRSIARDEPPRRQKRCSSICSSERSWSRKKIGVTIQRPFNLRTERRGKMKEESLVQRMKNKLLEEERLRNPVAQGLPWTTDVPENPVKPLGKEPTEPIDVVLHSEIRSVGRARFDHQVAERNSFLEKLNMERERQQKLDEELEIKQLRKEQVPRAHPMPDFSKPFVPKRYFVFIANPDRRVKGAEVFVGGLPRSVTERALREVFSPCGEIVDLRIMKDQNGISKLQGKRLAVDLSLDQDTLFFGNLCKDWGIEEFEELIRKSMLEDVVESCNSRMMMKMEIHPFLNEEDLGAFTNCSSAPLVP >ONIVA12G15070.4 pep chromosome:AWHD00000000:12:16652169:16659991:1 gene:ONIVA12G15070 transcript:ONIVA12G15070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKTAFVGNLPANVTEEYLRKLFEHCGEVVRVAVSRKGQYPVGFVHFASRTVSVARPVVENDKKRIREEVKTRRSNVSRDKPDHSYGRRGPDSYDRQAKAPRLYNEVSDTDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIRSLNELPEKAAVAVLNQFLISGADKHNKGDYFASLIAKYQAETFSSALRLQGSTYLPRNPEIQNKRFPHQDYEYTASGSSRYSSLGDYPSSSYVDDPASSQSRNRRYDEYRPDLVRYPDSRSRQEEIVRIERYPEPRFAHEPRQDTGRHLDLGYVQERNSNIERSAQVAFSSREGGYLSASRYNTNIVPEFSSRSSAEYSTARQQVRFDPFTGEPYKFDPYTGEPIRPESNPRRSGSLY >ONIVA12G15070.5 pep chromosome:AWHD00000000:12:16652169:16659991:1 gene:ONIVA12G15070 transcript:ONIVA12G15070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARNHDSSVGKRRLNRGFAFVRFSSHAAAARVLRIGSRTDFLLGGLHPSINWAEKESHVDEDEMAKVKTAFVGNLPANVTEEYLRKLFEHCGEVVRVAVSRKGQYPVGFVHFASRTVSVARPVVENDKKRIREEVKTRRSNVSRDKPDHSYGRRGPDSYDRQAKAPRLYNEVSDTDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIRSLNELPEKAAVAVLNQFLISGADKHNKGDYFASLIAKYQAETFSSALRLQGSTYLPRNPEIQNKRFPHQDYEYTASGSSRYSSLGDYPSSSYVDDPASSQSRNRRYDEYRPDLVRYPDSRSRQEEIVRIERYPEPRFAHEPRQDTGRHLDLGYVQERNSNIERSAQVAFSSREGGYLSASRYNTNIVPEFSSRSSAEYSTARQQVRFDPFTGEPYKFDPYTGEPIRPESNPRRSGSLY >ONIVA12G15080.1 pep chromosome:AWHD00000000:12:16665560:16666615:1 gene:ONIVA12G15080 transcript:ONIVA12G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGFHGAAAASPTTVARAGRVMRAAVAAFFHGYHCYTSVAGLLVLPFSAAVLASGAMASPSSGALAAVSARIRRMFDAAGFPPSSFFALLNAKLSQTVFTFAAALPFTLTFLLLAKACVAAMLRPDDDGEGVARRGRGVARATRLPPCGSVAGAYPAMVATHLFGAFVMLSANAAVFSLMFLAFNGADLLGLTTTSHAAATLALSAAGAIAYSVAVGIATVVCNLAVVVSAMERRAGHAAVLRACVVIRGRVPTALALALPTNLGMAAAEALFQLRVVSQAQRHRLAGAGDGKRLAAGVAGEAFSIAYIHALCVVLEIIVSCMFYRSCRRSEADELRELEPEEKGDLQA >ONIVA12G15090.1 pep chromosome:AWHD00000000:12:16696895:16707347:1 gene:ONIVA12G15090 transcript:ONIVA12G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPTAMFLGDSDGEGISLVLYFKLNENYEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCCVRLNRVDFVDHGQIPTLLPCDDDD >ONIVA12G15090.2 pep chromosome:AWHD00000000:12:16697173:16707347:1 gene:ONIVA12G15090 transcript:ONIVA12G15090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPTAMFLGDSDGEGISLVLYFKLNENYEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCCVRLNRVDFVDHGQIPTLLPCDDDD >ONIVA12G15090.3 pep chromosome:AWHD00000000:12:16696950:16707347:1 gene:ONIVA12G15090 transcript:ONIVA12G15090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPTAMFLGDSDGEGISLVLYFKLNENYEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCCVRLNRVDFVDHGQIPTLLPCDDDD >ONIVA12G15090.4 pep chromosome:AWHD00000000:12:16696950:16707347:1 gene:ONIVA12G15090 transcript:ONIVA12G15090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPTAMFLGDSDGEGISLVLYFKLNENYEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCCVRLNRVDFVDHGQIPTLLPCDDDD >ONIVA12G15090.5 pep chromosome:AWHD00000000:12:16696864:16707347:1 gene:ONIVA12G15090 transcript:ONIVA12G15090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPTAMFLGDSDGEGISLVLYFKLNENYEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCCVRLNRVDFVDHGQIPTLLPCDDDD >ONIVA12G15090.6 pep chromosome:AWHD00000000:12:16696864:16700367:1 gene:ONIVA12G15090 transcript:ONIVA12G15090.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQV >ONIVA12G15090.7 pep chromosome:AWHD00000000:12:16705337:16706180:1 gene:ONIVA12G15090 transcript:ONIVA12G15090.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSRETTLGWRWRRRRMRISRAMNRTLSGSPLSNRTFFSATILPVSTFRALNTLLYVPCPIWIMDAPPLPPPLIVSLIEKERCSTLAALRGTQPWTASAAAALSHDGHPAATCSASTYLWMFPSRTPPPTTTTDEHSPPPRAAAFFFPSPSSSYASSRTGRLQIDATSAAAAPVDALLDTLYAADADDDDVVVASYAGDATAAPLDAAHPIAAAGNTGEEQETGDCLLCFLLLHHHHHLLLFFFQSNGNVKLELLLKIAKLSCFCFL >ONIVA12G15100.1 pep chromosome:AWHD00000000:12:16703040:16706008:-1 gene:ONIVA12G15100 transcript:ONIVA12G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKGAAVASPAYEATTTSSSSASAAYSVSRSASTGAAAAEVASIWSRPVRLDAYEDDGDGKKKAAARGGGECSSVVVVGGGVRLGNIHRYVEAEQVAAGWPSWLSAAAAEAVHGWIGQGTYSSVFRARNVETGRMVALKKVRFDSGEPESVRFMAREILILRRLHRHPNVVSLDGLITSRSSPNLYLVFDYSDHDLAGLSSDPSLSFSLPEIKCYMRQLLLGLEHCHARGVMHRDIKCANLLVSGGGELKVADFGLANVFDASSAAAMTSRVVTLWYRPPELLLGATAYDASVDLWSAGCVFAEMHARRPILQGRTEVEQIHRIFKLCGSPGDAYWRRAAAGGGGGAGFRPQQPYESRLRETFGGMMGDDAFALLSKLLSVEPSARGTATEALASEYFRTEPYACEPSSLPKYAPNKEMDAKLREDSRRRVNVGGRNHGGVGGGEATKRLSRGHKSMQDTTAAATAAAVVASQRHGHGHVHAEESLPRANGGEARLFVDMQPVPVIASKRHDDPTPPPPPPPMSRSYQDDAGDRLPLSGPVQLTASTGFAWAKMPRPDSTTTAAAAAKRSSSKVPRTNSNGGAYEAEKQEAMKQWAQVADAFTSSESYNNRFKEPTATAGAAAAAATKEVKSSKVSSPSDHFHHCRNPSSPAISLTIFPPLAEAQGRRREVAQGGILRAVAVAAAAHRGAPPEPRAADPASWPPLVVPQRQQEGAAMRKTDMA >ONIVA12G15100.2 pep chromosome:AWHD00000000:12:16701083:16705530:-1 gene:ONIVA12G15100 transcript:ONIVA12G15100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIGQGTYSSVFRARNVETGRMVALKKVRFDSGEPESVRFMAREILILRRLHRHPNVVSLDGLITSRSSPNLYLVFDYSDHDLAGLSSDPSLSFSLPEIKCYMRQLLLGLEHCHARGVMHRDIKCANLLVSGGGELKVADFGLANVFDASSAAAMTSRVVTLWYRPPELLLGATAYDASVDLWSAGCVFAEMHARRPILQGRTEVEQIHRIFKLCGSPGDAYWRRAAAGGGGGAGFRPQQPYESRLRETFGGMMGDDAFALLSKLLSVEPSARGTATEALASEYFRTEPYACEPSSLPKYAPNKEMDAKLREDSRRRVNVGGRNHGGVGGGEATKRLSRGHKSMQDTTAAATAAAVVASQRHGHGHVHAEESLPRANGGEARLFVDMQPVPVIASKRHDDPTPPPPPPPMSRSYQDDAGDRLPLSGPVQLTASTGFAWAKMPRPDSTTTAAAAAKRSSSKVPRTNSNGGAYEAEKQEAMKQWAQVADAFTSSESYNNRFKEPTATAGAAAAAATKEVKSSKKHKVGGGRLHRVGFSGPLLSQPRRIEELLQNHEQQIRRAGRHSWFRKVISEVDAELINIKRA >ONIVA12G15110.1 pep chromosome:AWHD00000000:12:16710250:16712830:-1 gene:ONIVA12G15110 transcript:ONIVA12G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVESKTYLPGYFTMADSSVNSNGNWLSYHEESKPSGHVSDSFTITTANASPDYDKEMLKRTMLVHEATFRKQVYELHRLYKTQKDLMAQFQREECNGYPRSADMLQARSYSSQATSRDVKRVWQVMPPTSGNDIKQSSINFAKGSEYARNGAPLMNNNNGRSTKKMLDLQLPADAYADDDDDDDDDVEILEEKPAKILPRINGSVVGGIVKLNVGNSEGSSHMEKSWIAGLHPQHVSTVNVLNKAVEESSSMKMPDFLGVGTSTSQSQRYSSGRVNLNHLSLEDTMKEKRIGEASGSNFFGANEEVKRNSSFNNKTDYQNVSMGWFKQEPNGINFSAAHYLPRCNPFNQLIDAPTSSNAAVKSPWQSSNTSYTANGYYGSVYTPFAQNGFFNGFSVDSINTPMATNHYHNQRSSKFPGEPQYQKHSPLHGVNLNDTPQDVTAIQEQGSENSPVDISWLRKDPVDLMKSQVQPSCANGQSQISLGSTAYSEGSTRVLGFPINAAAERNTEPLIKREADMEMHKKDDANARNLIDLNAAPSMDEPDIDVHQSEGGTVPQQPDDPSEDSLARTAAESLVALCKDVFQAGSPLADILHWFADLAIASKEDAVVCSSESDSDDEFEALTLQLEETKGYELYSTPKTPVEHKSNKDHGSVAASLLQTKPRRGRARKRPQKKDFQKDILPNLASLSKHEVSEDLHTLGRSTPSKRGGRNGSQSRGRRRARSVAIAVEEVEVSPPPAPAPPPPPPADLDANALGITGWGRTTRRCRRPRCPPANNASLRLA >ONIVA12G15120.1 pep chromosome:AWHD00000000:12:16730896:16732725:-1 gene:ONIVA12G15120 transcript:ONIVA12G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G29230) TAIR;Acc:AT3G29230] MSTAASSSASAVRPPPTWGAPSQRRLVEQHLASLPRGLPRAAHVRELHAQVLKQGLHLNPRAAARLVSAYALLRLLPSSRRVFDAIRDPHADAFLANTMLRAYALGGAPRDALAAFSAMPRRDSFTYSFLIKALSAAGVAPVRAVHSHVVKLGSVEDTFVGNALIDAYSKNGGFSDARKVFDEMPERDVVSWNTAMAAMVREGELAGARKLFDEMPEKDTVSWNTILDGYTKAGEVEAAFELFQRMPERNVVSWSTMVSGYCKKGDLEMARVIFDKMPGKNLVTWTIMVSACAQKGLVDEAGKLFAQMKEASVELDVAAVVSILAACAESGSLSLGKRIHRYVRKRNLGRSTHVCNALIDMFFKCGCVNRADYVFDTETVQKDSVSWNSIIGGFAMHGHGDKALELFAQMKQEGFNPDAVTMINVLSACTHMGFVDEGRRYFSNMERDYGIMPQIEHYGCMIDLLGRGGLIKEAVDLIKSMPWEPNEVIWGSLLSACRLHKNVEYAEIAVNELSKLQPSNAGNYAVLSNIYAEAGQWSDMAKARMQMKGTGSQKTAGSSWVELNETFHEFTVGDRKHQDSDQISEMVDRLSSHVKHVGCVPAGHELLVQ >ONIVA12G15130.1 pep chromosome:AWHD00000000:12:16737328:16741886:1 gene:ONIVA12G15130 transcript:ONIVA12G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLDKAAARSTPLALPAAGAAAAANQSPASLPLGPPAAASAKPLVAAANPPLLPVVAPAAAAPKSSSGAVEESKEGVEESNKSNVLTIGSIRSTLMKHEDTIIFGLLERSQFCYNPDTYDPNASRIVGFNGSLVEFMVKKTEKMHARGQNNVVTSFQMGRYKSPDEHPFFPENLLEVVEPSVEYENVLHPAAANININKRIWDVYFGDLLPRLVKEGSDGTLQEDSLWQDSDKLMELLTFAKVEDDVRARVMSKAMTFGQVVSEDLENEIKLKIEPELAVELYDKWIMPLTKEVQVQYLLKRLD >ONIVA12G15140.1 pep chromosome:AWHD00000000:12:16744165:16747110:1 gene:ONIVA12G15140 transcript:ONIVA12G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEVVKEVIIVSTPESSKSTSGDFPVNSLENVDVSSVPSDLKRKEKSVPHYLRASTGSCHDSCKFGAHHSPEPKKYWPVRRRRHQDRANAGCGKQGQDEIQTQKGRSRNKDLELKICLVKDGNVHDKPEFIEVKKPPIEMASDNSETSPCVQDQLSSAEESKRVEDGADLPCGDDKFLIPDGNAACFVDGESSEGAVSIELEMPLAIQDSDASDDHIADAISPPECVYKAGEQLLVDDMCDDGSGNECAGSEKKSTQIVMASEKREKSGHGTKSKSLYNVSVKPKVKETSTATRSNASSQKIVRTLDRKASGTTIESSNGSKVVRATKFNRDKKFRSTVASNVPKVKEIKVTSPATVMDQSSKPTRQSKLKSLVANDAPSPSVIQRNKLTER >ONIVA12G15140.2 pep chromosome:AWHD00000000:12:16744095:16746109:1 gene:ONIVA12G15140 transcript:ONIVA12G15140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVHPKPPLHLHSPPSSVPNSTCIATPTHHQQQQKPASDLSKEVVKEVIIVSTPESSKSTSGDFPVNSLENVDVSSVPSDLKRKEKSVPHYLRASTGSCHDSCKFGAHHSPEPKKYWPVRRRRHQDRANAGCGKQGQDEIQTQKGRSRNKDLELKICLVKDGNVHDKPEFIEVKKPPIEMASDNSETSPCVQDQLSSAEESKRVEDGADLPCGDDKFLIPDGNAACFVDGESSEGAVSIELEMPLAIQDSDASDDHIADAISPPECVYKAGEQLLVDDMCDDGSGNECAGSEKKSTQIVMASEKREKSGHGTKSKSLYNVSVKPKVKETSTATRSNASSQKIVRTLDRKASGTTIESSNGSKVVRATKFNRDKKFRSTVASNVPKVKEIKVTSPATVMDQSSKPTRQSKLKSLVANDAPSPSVIQRNKLTER >ONIVA12G15150.1 pep chromosome:AWHD00000000:12:16749811:16755944:1 gene:ONIVA12G15150 transcript:ONIVA12G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWFLIPLLLCFPTLIRSEDYSDVTIVVRGAETIASTSNEFICATIDWWPPEKCNYDQCPWGQASILNLDLTNPLLAKAIQAFSPLRIRLGGSLQDQVVYGTPNLGSPCTPFSKSSSGLFGFSQGCITMERWDAINKIFMDTGAVVTFGLNALQGRQQMGRGVWGGAWNSSNAREFMEYTVSMNYPIDSWEFGNELSGSGIGASVGAEQYGKDIIELKNIISQLYGNSRKPLVVAPGGFYDQKWYAQLLDISGPNVLDAMTHHIYNLGAGNDPQVANRILNPQYLSQSSDTFRDLQMTIQRHGPWSAPWVGEAGGAYNSGSRKVSNTFLNSFWYLDQLGQSANALLWHRLMGKGVISLDTSGSSYLRAYAHCGKQKGGVALLMLNLNKNMGFMVSVRNDLNINFREMAGIKRDNSFVHGLKRTVSWVGSKASDGQEKREEYHLTPQDGNPFARTVLLNGAPLQLTGDGDIPSLPPVMVSVNSPIYVAPLSIAFVVFPDFEAEGCER >ONIVA12G15150.2 pep chromosome:AWHD00000000:12:16749811:16755944:1 gene:ONIVA12G15150 transcript:ONIVA12G15150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWFLIPLLLCFPTLIRSEDYSDVTIVVRGAETIASTSNEFICATIDWWPPEKCNYDQCPWGQASILNLDLTNPLLAKAIQAFSPLRIRLGGSLQDQVVYGTPNLGSPCTPFSKSSSGLFGFSQGCITMERWDAINKIFMDTGAVVTFGLNALQGRQQMGRGVWGGAWNSSNAREFMEYTVSMNYPIDSWEFGNELSGSGIGASVGAEQYGKDIIELKNIISQLYGNSRKPLVVAPGGFYDQKWYAQLLDISGPNVLDAMTHHIYNLGAGNDPQVANRILNPQYLSQSSDTFRDLQMTIQRHGPWSAPWVGEAGGAYNSGSRKVYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTETFVPNPDYYRQVFLYFALPQSCFTTLYTFCTKPRYMFSALLWHRLMGKGVISLDTSGSSYLRAYAHCGKQKGGVALLMLNLNKNMGFMVSVRNDLNINFREMAGIKRDNSFVHGLKRTVSWVGSKASDGQEKREEYHLTPQDGNPFARTVLLNGAPLQLTGDGDIPSLPPVMVSVNSPIYVAPLSIAFVVFPDFEAEGCER >ONIVA12G15160.1 pep chromosome:AWHD00000000:12:16754977:16759395:-1 gene:ONIVA12G15160 transcript:ONIVA12G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JBH6] MQIRLSPSMRSITISSSGIGIGGGNGGGGGGGGGVGSGLLDLMKLKAAARHFSYRTVFHTVLILAFLLPFVFILTALVTLEGFNKCSSLDCLGRRLGPRLLGRGNDGSMRVMRDLYRMLDEINSEEVPVDLKVPDSFDEFIWDMKNNDYDLRSFAFRLKATMESMDRELRTSRLSEQLNKHYAAIAIPKGLHCLSLRLTDEYSSNALARKQLPPPELIPRLSDNSYLHFVLASDNILAASVVVSSTIRSSLKPGRIVFHVITDKKTYPAMHSWFALNTLSPAIVEVKGVHQFDWLTRENVPVLEAIETQHTVRSRFHGNHLARNSAGDSPRVFAAKLQAGSPTYTSVLNHIRIYLPELFPNLNKVVFLDDDVVVQRDLSSLWDIDLVGKVNGAVETCRGGDTWVMSKRFRNYFNFSHPLIANNFDPSECAWAYGMNIFDLSAWRKTSIKDKYHHWVRENLNSNFTLWRLGTLPPGLIAFRGHVHPIDPSWHLLGLGYQEKTDIPSVQKAAVIHYNGQSKPWLDIGFKHLQPFWTRHVNYSNEFIRNCHIMEPQL >ONIVA12G15170.1 pep chromosome:AWHD00000000:12:16763012:16764073:-1 gene:ONIVA12G15170 transcript:ONIVA12G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCGKNFKRRGNLLNHELTCKYISDVHPQTESSGDAQARKDILGFHIPRQKRTVPTFPASDYAQGGINGATTSEAKVDRDPEEETDVLEALLLLREQPAYPGEETFSDGEPGAGNVDDEPAASNPDKVLTMCSDKCSSSPVECYGGDERRVGARAIAGVEEDDLNPNVGCRGVTDCVDESDVIQKQKRKPDLSVADLRDLVEMKQQNMKADTNIHDQGHPSVMQMQKNELGLDLLPHKNSSDHEVPTLSVSSMDDPDGLVSTDTNSDKETETVNVGIDINIPEQRESSVTRMQNEKLGLNLLPRNDGSGHEVPILSVSSTDDLNSLIPTDTSSDKDTNSNVADSLGHCPAQE >ONIVA12G15180.1 pep chromosome:AWHD00000000:12:16764086:16765165:-1 gene:ONIVA12G15180 transcript:ONIVA12G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPIRARAPSPALARSVQSTRGVHRERLTSKKAKEERAGRVGINKKLDSSLLVKESLPTTTWTCTDLRWVQDFRNGF >ONIVA12G15190.1 pep chromosome:AWHD00000000:12:16766896:16773530:-1 gene:ONIVA12G15190 transcript:ONIVA12G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGKPWKHCCNKCDKSFRSGNALGGHMSCHRSVGNQPKSTSSPPTVVDLHMPLLSSCDDNLLLLPPETQCQMCSKVFSTSGSLREHMMMHGGEKVVVKAEEEAAGLIEALGIADSMQDVMVFSSVKRKRSFRSKRQTPALSLEEIEAADALLLLSGCFDKTSAYEDCYLGDIEDSSLRSIVLTEVNMNAVDRCSVRSVGSKEPINDNNSGYKDCYGQSDKENCLIIPKEEMDPNDFDHELVRDAALRKPRTDNSDEEMKFGDLPAAAMKDNSHRCNTCGKSFGSGQALGGHMRRHYVRKCNRQRGVADRAGSVLMKVQKLKLRLDPILFDVTLPALTDGDCCISVGVKPEPQLCRPIMTRVLILRLTTNPLFFPDASLSPLLSPLPQPKPPQLAAALARPRRMNLGREMASDVPQDDVQCHFCGTYLRPRSFRKHQQRCKYNPDALTRENLPASSIPASATRAMHSEMASDGPQENCQCSFCHKDFEGRSSCAKHELQCKNNPDVHSQTEPSGNAQGGIGSLDVHVPRRKRTARNQPLPEQHLKKPASDYAQGGTSDAPETPPKPTTSEVKVDSAVNAPDITIPDNKDPSVMQKQKKKLDLNLQPHSDSSDQEEQADNFSSINDLSTIGTNSDKKKNTITGVADTNIPDHKDPSRMQMQIKLDLNLPPHDDSFSSMDDLNALVPADTNSDKEKKTDNGGAPADANSDKEKRTDNGSVPTGTNSIKEKKTDNGGVDINIPDHKDPSARQVQKKLSLNLPPCNDSSSSMDDLKTLAPTDTNSDKETNTSNGGVDTNIPDHSDPSAMQTKNKLDFNLPPRNDSSDSLV >ONIVA12G15200.1 pep chromosome:AWHD00000000:12:16778162:16786005:1 gene:ONIVA12G15200 transcript:ONIVA12G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDSEEEEEDDDEAVDFVDEDDHPHPHQQQQQLRHQVVDDDDDDDAHARSGYHSEEVEGEADNGGEGEAEGEGESEGQVGMEEESEAEAHRADLDQGESDGEKVQSSPERELSDRVMQNDAAGMDSEDEAYQQRPVASRRRGVVASESEGSEDDYYAGRAHEDEEPRQTRKASSSPVEEERDQEVVRDVFGESDEDEPAPYRDQQEIDEDSHRSPMEDEGHYEKDLQPDDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPERMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPERVKQEKERALGQNIRAHSILQRKREKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFGDELEAEALAERRIVSAKKSSMGRNIPRKPSFPARPPRRQENEYSESEREESEYETEGEDIEHSPTQGREDELDEEDEYEEDVEEEAAMSDEEIEEPKRRRESGGASASQRRKEIDSDDDSPPRKQQAVHRRKAVVFDSDDE >ONIVA12G15200.2 pep chromosome:AWHD00000000:12:16777927:16786005:1 gene:ONIVA12G15200 transcript:ONIVA12G15200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDVEEETRNQMMQNLFGDQSEEEEEDDDEAVDFVDEDDHPHPHQQQQQLRHQVVDDDDDDDAHARSGYHSEEVEGEADNGGEGEAEGEGESEGQVGMEEESEAEAHRADLDQGESDGEKVQSSPERELSDRVMQNDAAGMDSEDEAYQQRPVASRRRGVVASESEGSEDDYYAGRAHEDEEPRQTRKASSSPVEEERDQEVVRDVFGESDEDEPAPYRDQQEIDEDSHRSPMEDEGHYEKDLQPDDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPERMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPERVKQEKERALGQNIRAHSILQRKREKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFGDELEAEALAERRIVSAKKSSMGRNIPRKPSFPARPPRRQENEYSESEREESEYETEGEDIEHSPTQGREDELDEEDEYEEDVEEEAAMSDEEIEEPKRRRESGGASASQRRKEIDSDDDSPPRKQQAVHRRKAVVFDSDDE >ONIVA12G15210.1 pep chromosome:AWHD00000000:12:16796862:16799565:1 gene:ONIVA12G15210 transcript:ONIVA12G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFSSSMEEAGHCHRRPQRGPLVPVAAIAEDHHVPDAPPPPPAAAAAEEESTNDSFKNQDLFDVVASCVKQTADAGQQQPSFSYPAASTPISVLQVLRPRDPNLNFTGSRKRKDEPNGCGAHHLGKENMPIGEGNLPKESKSPVWEHMEKDQPSKDMATCVHCSKVYTAKSTNGTSHLRRHLTSKCLKRKGLTEELAKLTSTKKARKIR >ONIVA12G15220.1 pep chromosome:AWHD00000000:12:16820071:16824322:1 gene:ONIVA12G15220 transcript:ONIVA12G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAAAALEGSEPVDLVKHPSGIIPTLQFSLGGYYMFEVLICLIDEKGGRSEIRESEKVEHAYIAACHSVPASMCSHKNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFAAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQCLLPI >ONIVA12G15230.1 pep chromosome:AWHD00000000:12:16826845:16828335:1 gene:ONIVA12G15230 transcript:ONIVA12G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein [Source:Projected from Arabidopsis thaliana (AT3G19553) TAIR;Acc:AT3G19553] MTGAGEAAPARRRGLTVLPLVALIFYDVSGGPFGIEDSVRAGGGALLPILGFLVLPVLWSLPEALVTAELASAFPTNAGYVAWVSAAFGPAAAFLVGFSKWASGTLDNALYPVLFLDYLRSGGGLVLSPPARSLAVLALTAALTYLNFRGLHLVGLSALALTAFSLSPFVALAVLAAPKIRPSRWLAVNVSAVEPRAYFNSMFWNLNYWDKASTLAGEVEEPRKTFPKAVFGAVGLVVGAYLIPLLAGTGALPSETAGEWTDGFFSVVGDRIGGPWLRVWIQAAAAMSNMGLFEAEMSGDSFQLLGMAEMGMIPAIFARRSRHGTPTYSILCSATGVVILSFMSFQEIVEFLNFLYGLGMLAVFAAFVKLRVKDPDLPRPYRIPVGAAGAAAMCVPPVVLITTVMCLASARTLVVSAAVAIAGVAMYYGVEHMKATGCVEFLTPVPPDSLRGSSSSSSSSAASDNGGDDDVEDVCALLLAAGEHAGEGVSVSKENY >ONIVA12G15240.1 pep chromosome:AWHD00000000:12:16836761:16838695:1 gene:ONIVA12G15240 transcript:ONIVA12G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLPLRISPRAAAARVLVAGELLHLVSKMQPPVAKKVAARVDTMEIKSQIAKKLGAERSEHYFHSLKKFLGGQLGKEEFDKICVATMGRDNIKYHNFLIRSILSNAYSATAPPQPPPPSRQATTGNSQTSTVSVSNGAVANHGVMAGVMRGPALATREARFERPSPLGKSPLGHQGTGEFVSAGSKAPLEVVSVEDGEEVNQAGGSPVYAQSRSPIRAPLGVSFGDPKAQNSRPSIPHPSLICYKNGELPEAQRLLKLLENKLQAEGLSLTQECADVLNSGLNAYLSRLLKSCMGVAKSRGKRVMMNYPNVTTVAVINGVQYQRSTGSADYSYQASLLDLETAVVCNPQLLGGNSSRVHDKISAHLLNG >ONIVA12G15250.1 pep chromosome:AWHD00000000:12:16840816:16846150:1 gene:ONIVA12G15250 transcript:ONIVA12G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMDKLVRQCDMEVMKMAMLKHEETFRQQVHELHRLYRIQRQLMSDLTMAELSSGHRRRQPRRSSKQPRRALNLQLPADEYIVNADAADDNDDTAELDLTLAVGGGRSSRKCNAPIAAAAAAAAGGSSPFASDCSGSGLSSSPSSAEYSDGAAMFLHAPPPMPPPCQRAMAFDLAMGDAMKQQQSPWLVQCQYLSLRMT >ONIVA12G15250.2 pep chromosome:AWHD00000000:12:16844342:16846150:1 gene:ONIVA12G15250 transcript:ONIVA12G15250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMDKLVRQCDMEVMKMAMLKHEETFRQQVHELHRLYRIQRQLMSDLTMAELSSGHRRRQPRRSSKQPRRALNLQLPADEYIVNADAADDNDDTAELDLTLAVGGGRSSRKCNAPIAAAAAAAAGGSSPFASDCSGSGLSSSPSSAEYSDGAAMFLHAPPPMPPPCQRAMAFDLAMGDAMKQQQSPWLVQCQYLSLRMT >ONIVA12G15250.3 pep chromosome:AWHD00000000:12:16844393:16846150:1 gene:ONIVA12G15250 transcript:ONIVA12G15250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMDKLVRQCDMEVMKMAMLKHEETFRQQVHELHRLYRIQRQLMSDLTMAELSSGHRRRQPRRSSKQPRRALNLQLPADEYIVNADAADDNDDTAELDLTLAVGGGRSSRKCNAPIAAAAAAAAGGSSPFASDCSGSGLSSSPSSAEYSDGAAMFLHAPPPMPPPCQRAMAFDLAMGDAMKQQQSPWLVQCQYLSLRMT >ONIVA12G15260.1 pep chromosome:AWHD00000000:12:16851595:16856427:1 gene:ONIVA12G15260 transcript:ONIVA12G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASKLVYFQRRRPSPAPPEPEPEPPDPRRRPCRAGAGASAARRRKPGQEHVPGSKRDTSKGVASAGNITGQAGSTGSSSRLNRSVSDHGRLPDSVQQARERLLQRLNSVDLSGRRQNTSLSSETIHGGVAPGVSTTADSIFSSLTSCFHTDVSIAPCKLQESTAETFNTADKHTFIAHCSEPAPTQEVASCRVTDDDDLAGPSTECSICLERCGDADGLLELRCKHIFHSACLERWLRSRSDCPYCRASVLLTAEG >ONIVA12G15260.2 pep chromosome:AWHD00000000:12:16853414:16856427:1 gene:ONIVA12G15260 transcript:ONIVA12G15260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCGTNAMLEATSAGVAMLTWPMVADHFVNKILLVEAGVAMHLAEGADAVPDSGQMAKAIAAAVGDKGKPFRERAGQEHVPGSKRDTSKGVASAGNITGQAGSTGSSSRLNRSVSDHGRLPDSVQQARERLLQRLNSVDLSGRRQNTSLSSETIHGGVAPGVSTTADSIFSSLTSCFHTDVSIAPCKLQESTAETFNTADKHTFIAHCSEPAPTQEVASCRVTDDDDLAGPSTECSICLERCGDADGLLELRCKHIFHSACLERWLRSRSDCPYCRASVLLTAEG >ONIVA12G15270.1 pep chromosome:AWHD00000000:12:16857344:16861301:1 gene:ONIVA12G15270 transcript:ONIVA12G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDGLLWHAELKPHASGEYSIAVAQANAELEDQGQVVTSPAATFVGVYDGHGGPEASRFISSRLFPHLHRFASEQGGMSTDAIKRAFHATEEEFLHMVKRSWLKQPQIASVGSCCLVGAITDNVLYVANLGDSRAVLGRRGPDGREVVAERLSNDHNVAEEEVRKELTEQHPDDSRIVIYTRGVWRIKGIIQVSRSIGDVYLKKPEFARDPIFRQYVCSIPLKRPVMTAEPSIKEHQLRQQDLFLIFASDGLWEQLTDKAAVDIVFKNPRAGIAKRLVRAALTEAARKREMRYTDIKHIERGSRRNFHDDITVVVVYLDHHKHGVRPNLGNRNSFRFTNAPVDIFSGSSEEVDHHPLRLNLAMDGAVG >ONIVA12G15270.2 pep chromosome:AWHD00000000:12:16857570:16861301:1 gene:ONIVA12G15270 transcript:ONIVA12G15270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRWLARPAERCLGRGGGGGGGGGGDGLLWHAELKPHASGEYSIAVAQANAELEDQGQVVTSPAATFVGVYDGHGGPEASRFISSRLFPHLHRFASEQGGMSTDAIKRAFHATEEEFLHMVKRSWLKQPQIASVGSCCLVGAITDNVLYVANLGDSRAVLGRRGPDGREVVAERLSNDHNVAEEEVRKELTEQHPDDSRIVIYTRGVWRIKGIIQVSRSIGDVYLKKPEFARDPIFRQYVCSIPLKRPVMTAEPSIKEHQLRQQDLFLIFASDGLWEQLTDKAAVDIVFKNPRAGIAKRLVRAALTEAARKREMRYTDIKHIERGSRRNFHDDITVVVVYLDHHKHGVRPNLGNRNSFRFTNAPVDIFSGSSEEVDHHPLRLNLAMDGAVG >ONIVA12G15280.1 pep chromosome:AWHD00000000:12:16863160:16863771:1 gene:ONIVA12G15280 transcript:ONIVA12G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFFGFLVAGAGAGTSSDGAGAAAMTGAGARGAGVGGGDDDNAGAGASATGGAATGGGNVGGGAPSTGAGAGGDGDTVVGAGAAAGGGNAGDGEVAAAGGGDVVGAGEVAGEVSLLPEWDGDAAGEVEMWRWRFLEEDEGAEAAGECAAPELGAAAGDDWEVTAEAAARRSTGRRRWRAAIGNGSEISVWRSEGGESKAELK >ONIVA12G15290.1 pep chromosome:AWHD00000000:12:16867344:16870788:-1 gene:ONIVA12G15290 transcript:ONIVA12G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQGFFSALREEVARGLSPARARRRSQAAAAAEVAAALRYAGGGGGGEMLAPLMEGPDPESGDGGRSSSSAAAARREGWGRWVRGQLSRAPSTAGAAVVAAGGGGGAARRNDLRLLLGVMGAPLAPVHVAAGEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLSSVRNSYAMGKVRMVATEFETGGRVVRNRMAARATESGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHSAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCADPETLRARSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMVAHAGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSIDCFIPPTDIKSGSISETVELPHGEKSKVGLLQCHSAKVAALEKADDNVAWSGALQRDFKTRRGVSHPAPNHMVRDHGGGAVLSLIAILIYRSPARKKGVLSLL >ONIVA12G15300.1 pep chromosome:AWHD00000000:12:16882355:16883422:-1 gene:ONIVA12G15300 transcript:ONIVA12G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24520) TAIR;Acc:AT5G24520] MEQPKPPSVAASAAEAQNPNAFTCELPHSVYALAFSPSAPVLAAGSFLEDLHNRVSLLSFDPVHPTAASFRALPALSFDHPYPPTKLQFHPRAASAPHLLASSSDALRLWLAPLDDLAATATAAAPELRSVLDNRKTSASEFCAPLTSFDWNEAEPRRIGTASIDTTCTIWDIERGVVETQLIAHDKAVHDIAWGENGIFASVSADGSVRVFDLRDKEHSTIFYESPRPDTPLLRLAWNRYDFHYMATLLMDSSAVVVLDMRAPGVPVAELHRHRACANAVAWAPQATRHLCSAGDDGQALIWELPATPGAVPAEGIDPVMVYDAGAEINQLQWAAAYPEWISIAFENKVQLLRV >ONIVA12G15310.1 pep chromosome:AWHD00000000:12:16884119:16886310:-1 gene:ONIVA12G15310 transcript:ONIVA12G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSSSGSPPNTEALMDQIKAQLAQAYAQEFLETVGNKCFAKCVTKPGSSLSGSESSCISRCVDRYIEATGIVSRALFSSTH >ONIVA12G15320.1 pep chromosome:AWHD00000000:12:16896153:16896398:1 gene:ONIVA12G15320 transcript:ONIVA12G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSTGFDGDGDRIGTYARFSGPKLRGLRIWPQYHLIYRTKRGRHNHVASVARSPDLTSGNGKTQRQCSTMKSFKGLVR >ONIVA12G15330.1 pep chromosome:AWHD00000000:12:16899453:16905856:-1 gene:ONIVA12G15330 transcript:ONIVA12G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHKASKQAVPGCLTSLAHHMCAICCIQSIASISTPTFGRRVNNHASIDNKQLQRATIAANRRECCCAHRSSGACFAHRRSDIIDIPTYLRWQAAVKV >ONIVA12G15340.1 pep chromosome:AWHD00000000:12:16906289:16907530:-1 gene:ONIVA12G15340 transcript:ONIVA12G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTSSRFSKEEEDEEQDEAGRREIPFMTATAEAAPAPTSSSSSPAHHAASASASASASGSSTPFRSDDGAGASGSGGGGGGGGGEAEVVEKEHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLDAAANEKGLLLNFEDRAGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRGIGDEAARHRLFIDWKRRADTRDPLRLPRGLPLPMPLTSHYAPWGIGGGGGFFVPPSPPATLYEHRLRQGLDFRAFNPAAAMGRQVLLFGSARIPPQAPLLARAPSPLHHHYTLQPSGDGVRAAGSPVVLDSVPVIESPTTAAKRVRLFGVNLDNPHAGGGGPAAAGESSNHGNALSLQTPAWMRRDPTLRLLELPPHHHHGAESSAASSPSSSSSSKRDAHSALDLDL >ONIVA12G15350.1 pep chromosome:AWHD00000000:12:16932130:16934257:1 gene:ONIVA12G15350 transcript:ONIVA12G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56891) TAIR;Acc:AT3G56891] MLRFWRTQRSVTSSDALSVSKTYRGDERPHGLRGLREAREEGHVPARRREHGGDRHGHAEGDGDGVRGPAGGAPGGAADGEGGGVLAVAVRRRVLPVRDPVPGGRHLHGDAQVLRPRLQRPGDRLLPQPRLHPHRRRPRPRLLPRRQRPRLLHHVT >ONIVA12G15350.2 pep chromosome:AWHD00000000:12:16932122:16934257:1 gene:ONIVA12G15350 transcript:ONIVA12G15350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56891) TAIR;Acc:AT3G56891] MLRFWRTQRSVTSSDALSIVEMNVHMDCEGCEKRVRKAMSRLEGVSTVEIDMDTQKVTVTGYVDRREVLRAARRTGRAAEFWPWPYDGEYYPFAIQYLEDDTYMATHKYYVHGYNAPVIGSYPNHAFTHIVDDHALAFFHDDNVHACSIM >ONIVA12G15350.3 pep chromosome:AWHD00000000:12:16932170:16934257:1 gene:ONIVA12G15350 transcript:ONIVA12G15350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56891) TAIR;Acc:AT3G56891] MLRFWRTQRSVTSSDALSVSKTCKCTVRRRWSTIHFPYAFLSAVCVCVPKIVEMNVHMDCEGCEKRVRKAMSRLEGVSTVEIDMDTQKVTVTGYVDRREVLRAARRTGRAAEFWPWPYDGEYYPFAIQYLEDDTYMATHKYYVHGYNAPVIGSYPNHAFTHIVDDHALAFFHDDNVHACSIM >ONIVA12G15360.1 pep chromosome:AWHD00000000:12:16934309:16937708:1 gene:ONIVA12G15360 transcript:ONIVA12G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPMPVAGAGWWDLVNGSTAWQDGIFLSLAALYGLVAASSFIQVVRIQYRVPEYGWTTQKVFQLLNFIVNGARCSIFAVRRQVQQVNPEIFQHVILDLPGLAFFTTYAMLALFWAEISYQARGLETEGLRSGFYTINGVIYVIQVLLWALLWHNPNPSMIVLSKLFIAGLSFSAALGFLLYGGRLFFMLKRFPIESKGRQKKLREVGRVATICFLCFLARCIMMCFDAFDKKADLDVLDHPILNFIYYLIVEILPSSLVLFILRRIPSKLRLAQYHPLNSG >ONIVA12G15370.1 pep chromosome:AWHD00000000:12:16937925:16938632:1 gene:ONIVA12G15370 transcript:ONIVA12G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAAASTRAPFAVAASSSRRRTVLAAAVKATGGSNPAAPHPVLSSLRLAASAAVILAATSPAAIACTPAPPPPPPEVTVAASPDDPVTDDPHPFEKLIVETAALASFGGAEAARARLSAAAAAAAGVVGGREQYARLLAAQELFVDGKVDDAIAAFEELAREDPGDYRPVFCQCVLYSVLGRAAESESMLRRCRELAGEESVADFVMPVSPLPVDSSEAEAEPDSPEAETEKL >ONIVA12G15380.1 pep chromosome:AWHD00000000:12:16940103:16941179:-1 gene:ONIVA12G15380 transcript:ONIVA12G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JBK5] MATAGVTEHLLSPRAGAGDDMSAGDGEGWCNALAKYEALASSLPSCHGLGSAPYRRYGGFWYPAHLMPATLAARDTFVARPSDVILATMPKSGSTWLKALAFCVVHRGRHAPAAGQHPLLHSSPHDLVPFLHSIYEISRSCRVAPGHRLDAMPSPRILAVHEPLSSLPASVKASGCRVVYLCRDPKDAFVSLRHYLDEIKPEGSTMTPFDEAFELLCDGVSPYGPMWDHAAEYWKESLARPEEVVFLRYESLKEDGVGSVRRLAGFLGCPFTGEELAGGVPETIVELCSMERMRNVEANRDGEHGATWSSFKNSAFFRKGEVGDWKEHMSPEIARRLDDVVEEKLRGSGMSLIRHRQY >ONIVA12G15390.1 pep chromosome:AWHD00000000:12:16942805:16955135:-1 gene:ONIVA12G15390 transcript:ONIVA12G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYYDSDDDDVVLVQQGAGTRSARAKDGRYSSWSQSELEKQMFSWSLKDVKKIPTIFSSLKEYMGSFTVPLIEETRADLCSALEGIKHAPAAEVTRIKLCSDEQLIYSFFAKKADPKDIFQEVYAPKEADTLLLTDRKPRHISDLGRGEKPLVIASVLKAEDAEGNTVVRLSSKHVEQQFGLESSLFAVFLINMTTYNRIWSELDAVVASVRNTDIIRMIVNCNPKVGQECSYSSELPLHLPDRALGGLEDFKLNKSQKVAVLDCVSAMQQRSSSVRLIWGPPGRGKTKTISTLLWAMLVKNHRTLTCAPTNTAVVEVASRVLNLLEDPSAGSGKACFLSDVVLFGNEDRMNVDGNLTKIFLEKRARRLQKCLSPGSGWVHSLSSMIRILEQPLPTIHVRANVRAIFLVQGILYKSATTAENFSYMTQALHMLKFFGKLVEPKSEQSLKTLFKLSPDGSISSLFQNFVTYVQDSVSTELKDARAQCLQKLKHISDHFELPNVFDKRSIEDFLVRNAKSILCTASSSSRLHYLPEASPFDLLVVDEAAQLKECESLIPLQLPGVRHAVLIGYEFQLPALVKSRVCEDAEFGRNLFERLSSLGHPKHLLDVQYRMHPGISKFPVSSFYENKISDCENVLHRDYERKPLAGPMYGSYSFINVDAGKESKGKQDKSLMNPIEVAAVTRIVQRLFKESVDTGRKLCVGVVSPYKGQVRAIQERLGKAYETHGGFTVKVRSVDGFQGAEEDIIIFSAVRSNTTGSVGFLSNVNRTNVALTRAKHCLWILGNANTLATKTIWREIVADAKNRGCLFNASEDKELNNAIIRAVIELDEVDDLLEMDSLRISGSRFGVRLNLTF >ONIVA12G15400.1 pep chromosome:AWHD00000000:12:16960263:16966214:1 gene:ONIVA12G15400 transcript:ONIVA12G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleoporin protein Ndc1-Nup (InterPro:IPR019049); Has 36 Blast hits to 36 proteins in 17 species: Archae - 0; Bacteria - 0; Metazoa - 1; Fungi - 0; Plants - 35; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G73240) TAIR;Acc:AT1G73240] MDRAPPRGAPRDAVGQRWLAVFVFQAALSAAASALYLAASPRRRHARLGVPRGLLLALHPFLSLAATGLLALAFLVSASPHPRAPPVPRRALAASLLAAAGALCVGAAASLVPEDSGWAAVAGMEFRGAVLGAVFAAHYFGRRRWLLQFPVVQRPPFYGLKMGLLPSGKRALKVSLQAFFLSFILIFVLPQQFRIRGSIGSQIIAQIGIFIMSTAVAFCWEISHHFVQVVHTRRCSFAPPQSTAAAETNPTEYILETLELSDPRSLMQYLAYQDLCAVSECNLEPWRRAAFFEESGETYKRIVTACLKPLEEFTSKIAEALEGFSSEKPELLSQQFKLAAAFNDSQICTWCARTLSSLTARSRQEDRYGVAQLTGCNAAVMSTLLSALVAVEACLGKKTNPQPAHSLGPASIKWANFSTGRKGNVTAIASTQRGGLHTKAFSMADVLRTSIYQMVSAFEHDMRANAKASSLEKNWISEGRKPVFGSQAVLVQKLSLFIEYRAV >ONIVA12G15410.1 pep chromosome:AWHD00000000:12:16965016:16965651:-1 gene:ONIVA12G15410 transcript:ONIVA12G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYAWCRRGGAADCEEQEEDIGSPSTSAGSSARSSGSSSELADDASSSSSSSSAERRFEMSDLMTQLPFKRGLSRFFDGKSQSFASLAAVASLEDLAKPPRKRLKPSQSCGGGLDAHRGRVLSPRRHCPKAVVAGAKKATARAALSMLAASPRRPPLAAPARPEGVAAKFLVVN >ONIVA12G15420.1 pep chromosome:AWHD00000000:12:16969633:16969944:-1 gene:ONIVA12G15420 transcript:ONIVA12G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0JBK9] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYPEHARRKTVTAMDVVYALKRQGRTLYGFGG >ONIVA12G15430.1 pep chromosome:AWHD00000000:12:16970501:16973588:1 gene:ONIVA12G15430 transcript:ONIVA12G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPSARRVSAVLYHYPCPDGAFAALAAHLYFSAAALPVCFFPNTVYDPIRSDALPFDEIKDVYLLDFVGPPGFVTDIAPKVESVTILDHHKTAFESLCGNPTLGENVNKVIDMQRSGATIAFDFFSNKLLTIGSSLWNHRSGNSFNGVKYLPDNKLETVHKLFKFIEDGDLWRWTIPNSKAFSSGLKDLDIEFDVNINRKLFDQASNLLLELDPEEVISRGQATLSHKQKLIDECLEKSYEIALGCGRFGNCLAVNADAISNLRSELGNQLADKSRNLNLRQRYVVLTRTNGTFSRSIGAVVYKVPELNNDNMLKISLRSLNEEDTTSISKEYGGGGHRNASSFLLSVTEFDRWKVGAEPCNTKM >ONIVA12G15440.1 pep chromosome:AWHD00000000:12:16979788:16981201:-1 gene:ONIVA12G15440 transcript:ONIVA12G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGSQSSFDTTCRAHDGPKEETRGEVMQHSYCDQLYCWCCFGRVHPQGFSAVATDQVGVEFVTLFSQSVMALAVTRGRFL >ONIVA12G15440.2 pep chromosome:AWHD00000000:12:16979786:16987494:-1 gene:ONIVA12G15440 transcript:ONIVA12G15440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVTRGRFL >ONIVA12G15440.3 pep chromosome:AWHD00000000:12:16979788:16986551:-1 gene:ONIVA12G15440 transcript:ONIVA12G15440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEVGESKRWQQSDRKKEPRKERSKARERTDGRGQSLRTDGGGRRSSTVTEGDLRNSGAPEKETVAILLTAGFKDERGRQSVFVRHNLQSRTVISCTAGAALVVCIPKDFLRWPLISVMALAVTRGRFL >ONIVA12G15440.4 pep chromosome:AWHD00000000:12:16981976:16987494:-1 gene:ONIVA12G15440 transcript:ONIVA12G15440.4 gene_biotype:protein_coding transcript_biotype:protein_coding LRSAAAVRWCGHATVGNDEASSRNGEEEEETEAEGGAQASAPIRSRRRSGPSAVGKQGTSLKGCGGTSRCAPFFRATSA >ONIVA12G15440.5 pep chromosome:AWHD00000000:12:16981642:16987494:-1 gene:ONIVA12G15440 transcript:ONIVA12G15440.5 gene_biotype:protein_coding transcript_biotype:protein_coding LRSAAAVRWCGHATVGNDEASSRNGEEEEETEAEGGAQASAPIRSRRRSGPSVFIGSLKTNKKSKSIQLSKDALLEL >ONIVA12G15440.6 pep chromosome:AWHD00000000:12:16979788:16980284:-1 gene:ONIVA12G15440 transcript:ONIVA12G15440.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGATCIYALTFAHDMSIHCAFILLQSYCDQLYCWCCFGRVHPQGFSAVATDQVGVEFVTLFSQSVMALAVTRGRFL >ONIVA12G15440.7 pep chromosome:AWHD00000000:12:16979957:16980284:-1 gene:ONIVA12G15440 transcript:ONIVA12G15440.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGATCIYALTFAHDMSIHCAFILLQSYCDQLYCWCCFGRVHPQGFSAVATDQLEVKRWMVGKKR >ONIVA12G15450.1 pep chromosome:AWHD00000000:12:16981503:16987260:1 gene:ONIVA12G15450 transcript:ONIVA12G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFEGRSPEARGVEQVCNMKRFSFASSFKALWYIHAYANDSSFALLQFQQCIFAKLDGFALLVCLQGANKHCILIEKALVALKKGAHLLKCGKRGKPKLCPFRLSSDEKMLMWYSKDREKRLNLSSVSSVVLGHKTVHSIYGSPRLMQKNVLQSNLDFSEPFFSPRQRTWSDLDYYMEKVTPDVVNRVKHSCRDIKVADKLNEQIITQLPKQKSSEGLHVAYGATSLKDIFVWGEVPGNVLDHGDVSKANVSLPRLLNTTHIIDVQSVACGEKHAAIVTKQGEVFSWGVDNGGRLGHKVSVSVSDPKIIESLASTRVKAIAFGAKHTCAVSISGELYEWGEGTHCLGLWGDQYQRSQWFPHKLFGPLDGISILKIACGHWHTAIISSAGQLFTYGDGTFGVLGHGDTLTVARPKQVESLKGVRAKAIACGPWHTAAIVERMGTVKSNAPSGKLFTWGDADRGKLGHADKKMKLVSCAKAQTIVLTITGVVFTIGSKEHGRLGNPLSEDTSICLIEGPLKTEFVREISSGSSHIAVLTMNGKVFTWGKGTEGQLGLGDYVDRSYPTLVEALEDKQVHSIACGFNFTMAICLHRPLSSKDQSVCSNCQLTFGFTRKKHNCYNCGSMFCNSCSNNKVSRAALAPDRSKRYRVCDACFSQMQKVEEHSKLDPQQKIQKDEVCPIEIRSYTPKLARIFKEANAIMEKMASAQSPHQRSQNLAVPDHVRTLRWGLVECPSQFRCVRESIPYCSTLNKQTVSGSIVRVMNETMAPKPASSLLKSANDSKAELDLMENILLEEVKQLQEQVTTLAKQCRQRSLKVQLYKRKVEETWLIAKDEAAKCKAAKDIIKVLIDQHDFLSKNLLAGEKLDNSRIMPSHIASAKSLKAELPDPPDKNVFTSEFQQSKSNRDHHNSRQVDRECTQPSIASMADYSVTHQNCRRTSNGSTRCTEGTDATTAPTDSNGVIEQIERGVYATVVTSPGGKKCIKRIRFSRKHFGEDQAQKWWEANESMIFGKYSSMEQTVG >ONIVA12G15460.1 pep chromosome:AWHD00000000:12:17008845:17014977:-1 gene:ONIVA12G15460 transcript:ONIVA12G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLRLHHLLLSILSALPTCPLDHSTPTSAAAAAAATASHHHVVTTLPGYDGKLPFRLETGYVAVDEEEHGAELFYYFVESESGDPRRDPLLLWLTGGARCSVLSGVFFEVGPVRLALEHHRPYDAGELPRLRYHPHGWTKAASVLFVDSPVGAGWSFSRHPDGYLVGDVSASLQLKHFLAKWISDHPEYLANPFYIGGDSYAGKIVPFLAQKISEDIEAGVRPIVDLKGYLVGNPVTGESIDFDSRVPYAHGVGIISDQLYETIMEHCQGEDYSNPKNAICRQALDRFNELLGESSGGHILYNYCIYDSDIDGSIQEKPKIPPFPPRECIGSVDEWLRCHNGDLPYSMDIKSNIKFHHNVTTKGYRALVYSGDHDAMIPFLGTQAWVRSLNFSVVDDWRAWHVDGQSAGFTITYMNNMTFATVKGGSHTVPEFEPERSLAMFKRWISNEPL >ONIVA12G15470.1 pep chromosome:AWHD00000000:12:17020412:17023908:-1 gene:ONIVA12G15470 transcript:ONIVA12G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAAATATASSAATPADDEAHSLLPSTPSDEEDDDDLEERAYEATEKVIVSISDFPDADDDEEESGLATSTAASGIPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGDTLLWLLLWATSMGLLVQLLAARVGVATGRHLAELCRDEYPSWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGFLPLWAGVVITALDCFIFLSLENYGVRKLEAVFAILIATMAVSFAWMFTDTKPNMKNLFIGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPNKEHQVREALRYYSIESTIALAVSFMINLFVTTVFAKGFYGTKEAGNIGLENAGQYLQEKFGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFFNLKLKKWIRSLITRSFAIVPTIIVALFFDKSDSLDVLNEWLNVLQSIQIPFALIPLITLVSKEKVMGVFKIGRNTQAVTWTVATLLITINGYLLLDFFSSEIRGLLSGSILCVAVLAYASFVLYLILRGTELPNQIITTIRKSFS >ONIVA12G15480.1 pep chromosome:AWHD00000000:12:17040507:17045073:1 gene:ONIVA12G15480 transcript:ONIVA12G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSGILAPTRGASSSSSAVGRKLLLLGARHPPSSVAVAGRGVWRRGLAGVGVAAAAASSSSPDELHARGRPLRGGAYEERSALWNLIKDIEPLDLSIIQKDVPSETVDAMKRTVSGMLGLLPSDQFHVVIESLWNPFFKLLASSIMTGYTLFNAQYRLSLERTLEFSEEETECKKRDSCEEIHSVGRPSMFLSLPEDVGLTIESEMADEKLCGNMDGLGSLSIEAKKLILGMQSRLDSMEKELHELKKKNSSQQMQQFAGEEKNELLYYLRSLSPEKVVELSESSCPGVEEAVYSVVHGLLATLSPKMHTNRSPTSENMAGGAVNFGMEEDDEFTELVEDVSLPFQPLISIPRDRLARLLFWCMMLGHYIRGQECRLELMHLLAVSSDAHS >ONIVA12G15490.1 pep chromosome:AWHD00000000:12:17046004:17050140:1 gene:ONIVA12G15490 transcript:ONIVA12G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENSTPASAARLTRSSAAAGAQAKRSAAAGVADGGAPPAKRKRVALSDLPTLSNAVVVAPRQPHHPVVIKPSSKQPEPAAEAAAPSGGGGGSPVSSASTSTASPSSGWDPQYASDIYTYLRSMEVEARRQSAADYIESVQVDVTANMRAILVDWLVEVADEYKLVADTLYLAVSYLDRYLSAHPLRRNRLQLLGVGAMLIAAKYEEISPPHVEDFCYITDNTYTRQEVVKMESDILKLLEFEMGNPTIKTFLRRFTRSCQEDKKRSSLLLEFMGSYLAELSLLDYSCLRFLPSVVAASVVFVAKLNIDPYTNPWSKKMQKLTGYKVSELKDCILAIHDLQLRKKCSNLTAIRDKYKQHKFKCVSTLLPPVDIPASYLQDLTE >ONIVA12G15500.1 pep chromosome:AWHD00000000:12:17049259:17049855:-1 gene:ONIVA12G15500 transcript:ONIVA12G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADESSVNKESSEQQQQQQLTSEQDDDGATWLSLTLATQGSPEEATAEAEETEAANCSESEAPKPSSAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSYHAQRMMMGLPLEAHAAFVHSLRVNQSSVIQKASPQAQIRTAPRFHEGSISWPPIAYEEVPNSTWPGSFRLRSQPSDQPSEQSKIDLNLRL >ONIVA12G15510.1 pep chromosome:AWHD00000000:12:17051517:17053890:-1 gene:ONIVA12G15510 transcript:ONIVA12G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGGAAPFLIVIAVLFLVVAILARTRRRDGDAAPSQPVLGHLHLLKRPPLHRSPRRRGQGAAGVAAAGPRGGCCSRRRTPRRGGALHGVRRRDGGEAPGCSPWTSSGTATPPSPTAKGILENPNNCTFEEEREKSQRRNFIYLLDKGICMTNPPHLLTVVKKVCSFITWDGYQYMSNQFGRTNAVALPLKKGAGFELILQQDLHY >ONIVA12G15520.1 pep chromosome:AWHD00000000:12:17055306:17069148:-1 gene:ONIVA12G15520 transcript:ONIVA12G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRHGPFINWSDGLYLFLSSSSSRNRFLRVFLPFPDPSTMMVGLEVATTAVTGGDASLVVVVGVLFLMVAVVVMTRLGDGGAAPSPPAMPVLGHLHLIKKPLHRSLAEVAARVGAAPVVSLRLGARRALLVSTHAAAEECFTACDAAVAGRPRLLAGDVLGYGHTTVVWASHGDHWRALRRFLAVELLSAPRLAALAADRRAEVASLVDAVLRDAAAGGGGGGTVTLRPRLFELVLNVMLRAVTARRHAGDETRRFQEIVEETFAASGAPTVGDFFPALRWVDRLRGVVATLQSLQKRRDAFVAGLVDDHRRTRRAAAAAADKDQKKNGIIDALLTLQETDPDHYTDNVVKGIVLVLLTAGTDTSALTTEWAMAQLVAHPEAMTKVRAEIDANVGAARLVEEADMASLPYLQCVVKETLRLRPVGPVIPAHEAMEDCKVGGYHVRRGTMILVNAWAIHRDGDVWGSPEEFRPERFMDDGAGAGAVTAVTAPMLPFGLGRRRCPGEGLAVRLVGLTVAALVQCFDWEIGEGGAVDMAEGGGLTMPMATPLAAVCRPREFVKTVVAMVAGGGNGGAAVLVGITVLLFVVVVVVVVLVRWWSGGEGGAAPSPPALPVLGHLHLLKKPLHRSLAAVAAGVGAPVVSLRLGARRALVVSTHAAAEECFTACDAALAGRPRTLAGEILGYDHTIVLWAPHGDHRRQGDAPAQALVLNVMLRAATTRRRHASVDARKLQEIIEETFSVNGTPSVGDFFPALRWVDRLRGKVGSLKKLQARRDAMVTGLIDDHRQWRSGSAGDGDQDKEKKGSLLFAGTDTSALTIEWAMAQLVTHPETMKKARAEIDANVGTARLVEEADMANLPYIQCVIKETLRLRTAGPVIPAHEAMEDTTVGGFRVARGTMVLVNAWAIHRDGDVWDAPEEFRPERFVDSDAGGAVTAPMMPFGLGRRRCPGEGLAVRVVGVSVAALVQCFDWEVGDDDVVDMTEGGGLTMPMATPLAAVCRPREFVKTILSTSIGHSIAHLNAPRLEND >ONIVA12G15530.1 pep chromosome:AWHD00000000:12:17071196:17073350:-1 gene:ONIVA12G15530 transcript:ONIVA12G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISQAFVFASLLLLLLLTWLLFHLLSYQAPPPNGDGGRRIPSPPALPVVGHLHLLKKPLHRSLAALAARYGGGAGLLLLRFGARPVVLVSSQAAADECFTAHDAALAGRPGLASRRLLTDSCPTIATAGHGARWRHLRRLATVHALCARRLAATSPARDAEARAMAARLYSSSSSSSAASAVVVGVKPAAYGFVASVIMSMVTGERMAEEDVLRFKAITEAGLAAAGAANRQDFLPFLRLLDFGRARRRLAGIAKERHDFGQRIVDEYRRRHRRRLAVAADDSSSSPPRRTVIGDLLRQQESSPESYADEVIRTVCLSLLQAGTDTSASTIEWAMALLLNNPDVLRKATDEIDSVVGMSRLLQEPDLANLPYLRCIITETLRLYPLAPHLVPHEASRDCMVAGHVIARGTMVLVDVYSMQRDPRVWEDPDKFIPERFKGFKVDGSGWMMPFGMGRRKCPGEGLALRTVGMALGVMIQCFQWERVGKKKVDMSEGSGLTMPMAVPLMAMCLPRVEMESVLKSL >ONIVA12G15540.1 pep chromosome:AWHD00000000:12:17078288:17084621:1 gene:ONIVA12G15540 transcript:ONIVA12G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALATSVGINLGLTVLLAAAYTLLRRRPPYVAVYSPRRPYAPPEPWLPAAWRRTEADVHAAAGLDGVVFLRIFVFSIRVFAAAAVVGVGVLMPVNFMGDQLRQIDFSDLPNKSVDLFSVSNVQDGSNKLWLHFSAVYIITGITCYLLYYEYKYISGKRLEYFMTSKPLPQHFTVLVRAIPVTNGVSVSDAVDKFFKEYHSSTYLSHTVVHQTGKLRRLLNDAENICTKLANLKSVRRTSGDPPGKFLGIFGRNDLVGKYQKRLEDLEENVRMEQSDTTRSRQEVPAAFVSFRSRYGAANAIYIRQSDKPTEWQTEHAPDPHDVYWPFFSTSFMDRWISKFVVSVASILLILVFLLVSAFVQGLTYMEQLETWLPFLKNILEIAVVSQLVTGYLPSVILHFLSSYVPSIMKLFSTMQGFISVSGIERSACNKMLRFTIWSVFFANVLTGSVLGQLEIFLDPKEIPKRLAVVVPAQASFFITYVVTSWTSIASELTQTAALLFHLWGSCAKCCKRDESKPPSMHYHSEIPRVLLFGLLGLTYFIVSPLILPFVLVYFCLGYFIYRNQLFNVYSPKYDTGGRFWPIVHGGTIFSLVLMHVIAIGVFGLKKLPLASSLLVPLPVLTLLFNEYCRNRFLPIFEAYSTESLIKKDREEESKPEMAEFFSNLVNAYCDPAMKPIQQHSNSDERTTPLLS >ONIVA12G15550.1 pep chromosome:AWHD00000000:12:17089587:17090795:1 gene:ONIVA12G15550 transcript:ONIVA12G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLQPHSLTQAKLAIDRSSSMPPSMATARVASPSTDRKRKASSEDDIDAAAAAAALTSPLAPARGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPRPSPPPAAVAPPETTTTPALPSKVTNLLLLRLKARNQQLVDAGAAAPQEAALLQLQQQTPASCQEVFVRSHGEEYGFHVDDFLSDACSNEQYSGDSSLGLDDDDGEVEDEEEEELDFQFMDVAPGASSAAAEAGLGGEGALCSPFEMVAAELGGAVDVTAHDAMRQMDYERKISASLYAFTGVSECLRIRAAAGATAAARDHLTGLREACRKKQKFAAAAAAPPQQQEPSPPPQQPETPASSGGGMSETASGGGGDGDVLMWSSLDLAPICHMA >ONIVA12G15560.1 pep chromosome:AWHD00000000:12:17091870:17094784:-1 gene:ONIVA12G15560 transcript:ONIVA12G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40190) TAIR;Acc:AT2G40190] MAFLAGLAALLAALLAAAFRRIRRHPHPAPAAGFFHPYTNDGGGGERVLWCAVRAAQELRPGLPCAVFTGDADASPDGLAARALDRFGVRLLRPPQVVHLNRRKWIEASTYPHFTMIGQSLGSVYLAWEALNKFTPQFYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMVERVKQRSSMYNNDSRIAGSIWLSRCKILYYSIFSWLYGLVGSCAHLVMVNSSWTRSHIENIWRIPERTRRVYPPCDTSALQMLPLERSTTPPILISVAQFRPEKAHGLQLEAFAIALKKLSPEFPKPKLQFVGSCRNKEDLERLQKLKDRSTELHIDELVEFHKDISYRDLVQLLGGAVAGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPMMDIVLDEDGQQTGFLASEKEEYAEAIVKVLRMPEAERHEMATAARKRAQRFSEHRFHEDFTDAVRPILSAT >ONIVA12G15570.1 pep chromosome:AWHD00000000:12:17099046:17107875:1 gene:ONIVA12G15570 transcript:ONIVA12G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIWGKMRYSFTCIKHKRLIIQVRSTRREKMARKLFVLVLIMCSTTALITCTNGGAGDGGEGLHMKLTHVDAKGNYTAEELVRRAVAAGKQRLAFLDAAMAGGGDGGGVGAPVRWATLQYVAEYLIGDPPQRAEALIDTGSDLVWTQCSTCLRKVCARQALPYYNSSASSTFAPVPCAARICAANDDIIHFCDLAAGCSVIAGYGAGVVAGTLGTEAFAFQSGTAELAFGCVTFTRIVQGALHGASGLIGLGRGRLSLVSQTGATKFSYCLTPYFHNNGATGHLFVGASASLGGHGDVMTTQFVKGPKGSPFYYLPLIGLTVGETRLPIPATVFDLREVAPGLFSGGVIIDSGSPFTSLVHDAYDALASELAARLNGSLVAPPPDADDGALCVARRDVGRVVPAVVFHFRGGADMAVPAESYWAPVDKAAACMAIASAGPYRRQSVIGNYQQQNMRVLYDLANGDFSFQPADCSAL >ONIVA12G15570.2 pep chromosome:AWHD00000000:12:17099046:17105421:1 gene:ONIVA12G15570 transcript:ONIVA12G15570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIWGKMRYSFTCIKHKRLIIQVRSTRREKMARKLFVLVLIMCSTTALITCTNGGAGDGGEGLHMKLTHVDAKGNYTAEELVRRAVAAGKQRLAFLDAAMAGGGDGGGVGAPVRWATLQYVAEYLIGDPPQRAEALIDTGSDLVWTQCSTCLRKVCARQALPYYNSSASSTFAPVPCAARICAANDDIIHFCDLAAGCSVIAGYGAGVVAGTLGTEAFAFQSGTAELAFGCVTFTRIVQGALHGASGLIGLGRGRLSLVSQTGATKFSYCLTPYFHNNGATGHLFVGASASLGGHGDVMTTQFVKGPKGSPFYYLPLIGLTVGETRLPIPATVFDLREVAPGLFSGGVIIDSGSPFTSLVHDAYDALASELAARLNGSLVAPPPDADDGALCVARRDVGRVVPAVVFHFRGGADMAVPAESYWAPVDKAAACMAIASAGPYRRQSVIGNYQQQNMRVLYDLANGDFSFQPADCSAL >ONIVA12G15580.1 pep chromosome:AWHD00000000:12:17105418:17110113:-1 gene:ONIVA12G15580 transcript:ONIVA12G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGNNIRFVATELATDIVIIVGEVKFYLHKFPLLSKSSRLQTLVASTNEESNDEIDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSSSIFRTWKDSIIVLQTTKSLLPWSENLKVINHCVDSIATKASIDPSEVEWSYTYNRRKLPSENGLDSHWNGVRKQQMVPRDWWVEDLCDLEMCLYKKVIMAIKAKGRISSEVIGEALRAYAHRRLFSSLESAVSNGLDCTRHSAALETIISLLPSEEGSVPCSFLLKLLRASCLLGSDEACRDNLTKRIGAKLDEASVSDLLIPANSDEAAMYNVDMISAMLEEFMAQHREDDDGAKLQEDDDQEAMDGDDDNLNGVSRSSKLAIAKLVDGYLAEIAKDPNLPLSKFIALTEMVPLATRPVHDGLYRAIDMYLKEHPGLTKGEKKRLCGLMDCKKLSPEASMHAVQNERLPLRVVVQVLFFEQVRAASSASAAAAADMPPAARSLLPREQDGNSYGSSRSTATEDDQWAPPPTTTSVDVTSFRSMSLANNKNGGVSGGGGDQAACKKPPPSSASAKGSGGGGLMPKKILSKLWSGKASSGENSSSDTSESPGEETRSTPSRNTRHSVS >ONIVA12G15590.1 pep chromosome:AWHD00000000:12:17123936:17124321:-1 gene:ONIVA12G15590 transcript:ONIVA12G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDEVVVETKPAAIATPSSSASGVSGGGGGRAHECNVCGKAFPTGQALGGHKRCHYDGTIGSAAGAGASKPAAKTTVAVAASRGFDLNLPALPDVAAAADQRCAAEDDEVLSPLAFKKPRLMIPA >ONIVA12G15600.1 pep chromosome:AWHD00000000:12:17128925:17129122:-1 gene:ONIVA12G15600 transcript:ONIVA12G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERVKGMVMWFDVIGGFRPSNGGDHSYGWGDCGYGGGGRYDGKEAAVRATSVARRTTWPRTPP >ONIVA12G15610.1 pep chromosome:AWHD00000000:12:17132211:17139307:1 gene:ONIVA12G15610 transcript:ONIVA12G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT2G26510) TAIR;Acc:AT2G26510] MGEMNQPPPPPVAVPPPMVMQPIMHPPVGTGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLLQTLVGTRLPTVMNASFAFVVPVLSIAKDFEQNNYASSHQRFTHTMRATQGALIVASILNMILGFSTIWGAFARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPYYFHHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFANKNSMRNIYIIGLSLFLGISVPQYFHEYTASASTGPARTNAGWFNDIINTVFASGPTVSLIVASILDNTLEFRGYENDRGLPWFMPFLHRRKGYSDPRNDEFYSFPIRVHDVIPSRFL >ONIVA12G15610.2 pep chromosome:AWHD00000000:12:17132945:17139307:1 gene:ONIVA12G15610 transcript:ONIVA12G15610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT2G26510) TAIR;Acc:AT2G26510] MGEMNQPPPPPVAVPPPMVMQPIMHPPVGTGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLLQTLVGTRLPTVMNASFAFVVPVLSIAKDFEQNNYASSHQRFTHTMRATQGALIVASILNMILGFSTIWGAFARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPYYFHHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFANKNSMRNIYIIGLSLFLGISVPQYFHEYTASASTGPARTNAGWFNDIINTVFASGPTVSLIVASILDNTLEFRGYENDRGLPWFMPFLHRRKGYSDPRNDEFYSFPIRVHDVIPSRFL >ONIVA12G15610.3 pep chromosome:AWHD00000000:12:17132211:17139307:1 gene:ONIVA12G15610 transcript:ONIVA12G15610.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT2G26510) TAIR;Acc:AT2G26510] MGEMNQPPPPPVAVPPPMVMQPIMHPPVGTGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLLQTLVGTRLPTVMNASFAFVVPVLSIAKDFEQNNYASSHQRFTHTMRATQGALIVASILNMILGFSTIWGAFARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPYYFHHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFANKNSMRNIYIIGLSLFLGISVPQYFHEYTASASTGPARTNAGWFNDIINTVFASGPTVSLIVASILDNTLEFRGYENDRGLPWFMPFLHRRKGYSDPRNDEFYSFPIRVHDVIPSRFL >ONIVA12G15610.4 pep chromosome:AWHD00000000:12:17132945:17139307:1 gene:ONIVA12G15610 transcript:ONIVA12G15610.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT2G26510) TAIR;Acc:AT2G26510] MGEMNQPPPPPVAVPPPMVMQPIMHPPVGTGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLLQTLVGTRLPTVMNASFAFVVPVLSIAKDFEQNNYASSHQRFTHTMRATQGALIVASILNMILGFSTIWGAFARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPYYFHHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFANKNSMRNIYIIGLSLFLGISVPQYFHEYTASASTGPARTNAGWFNDIINTVFASGPTVSLIVASILDNTLEFRGYENDRGLPWFMPFLHRRKGYSDPRNDEFYSFPIRVHDVIPSRFL >ONIVA12G15610.5 pep chromosome:AWHD00000000:12:17132945:17139307:1 gene:ONIVA12G15610 transcript:ONIVA12G15610.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT2G26510) TAIR;Acc:AT2G26510] MGEMNQPPPPPVAVPPPMVMQPIMHPPVGTGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLLQTLVGTRLPTVMNASFAFVVPVLSIAKDFEQNNYASSHQRFTHTMRATQGALIVASILNMILGFSTIWGAFARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPYYFHHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFANKNSMRNIYIIGLSLFLGISVPQYFHEYTASASTGPARTNAGWFNDIINTVFASGPTVSLIVASILDNTLEFRGYENDRGLPWFMPFLHRRKGYSDPRNDEFYSFPIRVHDVIPSRFL >ONIVA12G15620.1 pep chromosome:AWHD00000000:12:17192478:17193971:1 gene:ONIVA12G15620 transcript:ONIVA12G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCNCSTQLDIYVLLLQLKSSSEYLLFLNPECCHVQFLQCHQQQYMLYDNNAVKQQCNFRSVSRITEMIGMCTEANASWILSYTL >ONIVA12G15630.1 pep chromosome:AWHD00000000:12:17199861:17201709:1 gene:ONIVA12G15630 transcript:ONIVA12G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVEAELGEQWQQRRMSLGMGAEAMGCEGHRCRPPHRLAYIQSLSPSKLRKTTHTSFGSTCDGHYQLHPAVAANLHVELDPAIESTVAEWSPRRSC >ONIVA12G15640.1 pep chromosome:AWHD00000000:12:17201936:17213934:-1 gene:ONIVA12G15640 transcript:ONIVA12G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRDTRTSVFEEQLRLHQVLCVQQRVCAGRKELPFVCGDDASAQPERKRRVYIVVALHQAH >ONIVA12G15650.1 pep chromosome:AWHD00000000:12:17210488:17214141:1 gene:ONIVA12G15650 transcript:ONIVA12G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGNPDCLYLHDVGSQEDSFTKDEIISAYTRSRVPQMASSVSQRRAGTVLPPPAEDFSYSAVVAAKHPIKNGITNTANQSRLSPPNSSSGRSTLPPAASWGHRDLNTRTTATGVASSQSLTKSKTDPQSNSFSSSSTASSTKLPSSWNDDTSTVPKMTEGRDSLSKTLKPYKPGIAKETQAVTSLESSLDIDFSTIPSAWNDDDVTSDGMSKGSDEKQVVNDNGKFECSVSSKPAESGHLTSKSTTSPKKDIAVNSTRQSPLNCVSSPSISKSEVKDGDGDYQVTNMASKTSTSVIRKDQSNQAAIDTATEDTRSESTNIDRLSVGVSSVTLSRKDGVQSIAENQQPDAILSTSVVVPFSQNLKLADSNDSTCQPSSDKHHDWCSDIQSSVSPQLNDIESYAVATDKSHGRVLDAADQASSSPYVHFPNTSPISLWNGKEINHTSTSDRTSTMMQPGLLSSVDSTSTMLNGHQEGLGTIYAPGKVSEHPRMKNHQPGAVGAVRIDNIGSFDKAVSVNKDESSIIADILSLEFDPWDESYSTANNFAKMLSASEKNDVLFDAPSWKTKTSNKTRPFDDLLGINTSHYQPQLARQTSDIEFVDPAILAVGKGRMPGISDSGLEMKTSHTFPSQLQTSNDPRFQLLMQQNVPSHQNVGFAEHVQDAFNPMNDNYLASRLIPQNHGSLSSYTQMSLQQPRSSHLTNGHWDGWGDLRQGNNVSMPDMSRMLYPTEANNFHMLGSNDLYNRAFGL >ONIVA12G15660.1 pep chromosome:AWHD00000000:12:17215630:17222733:-1 gene:ONIVA12G15660 transcript:ONIVA12G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASAALVLLFVAFSLSNAQPGFISLDCGGDDDYTDGIGIQWTSDAKFVSAGQKANLLLQNQQLQQYTTVRSFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDLSLGPTPWTTVVIDDATTPVVQEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDEKQFFLRLSARINFGAESNASVRYPDDPFDRIWESDLVRRANYLVDVAPGTERISTTKPIFVSTNEEPPQRVMQTAVVGKNGSLTYRIDLEDFPGNAWGVSYFAEIEDLTPNQTRKFKLVIPGKPEFSKPTVDVEENAQGKYRLYEPGYTNIPLPFVFSFGFKKTNDSSEGPILNAMEIYKYIEISVGSQDANIMASLVSRYPEAGWAQEGGDPCLPASWSWVQCSSEAAPRIFSISLSGKNITGSIPVELTKLSGLVELKLDGNSFTGQIPDFTGCHDLQYIHLEDNQLTGALPPTLGELPNLKEFFSGNSDLRMGHSNTGRTIVIIVCAVVGAILILVAAIVCYLFTCKRKKKSSDETVVIAAPAKKLGSFFSEVATESAHRFALSEIEDATDKFDRRIGSGGFGIVYYGKLTDGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRNLVSFLGYSQQDGKNILVYEFMHNGTLKEHLRGGPDDVKINSWVKRLEIAEDAAKGIEYLHTGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPVVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDMYSFGVILLELISGHEPISNDNFGLHCRNIVEWARSHMESGDIHGIIDQSLDAGYDLQSVWKIAEVATMCVKPKGVLRPSISEVLKEIQDAIAIELQRELPSSIHHLMSKTSPSEAVNTTGSLQDLEQNASFDELLMRPGLRLNGTGRAAQAASEILNETAILVTSRC >ONIVA12G15670.1 pep chromosome:AWHD00000000:12:17228989:17231082:1 gene:ONIVA12G15670 transcript:ONIVA12G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09650) TAIR;Acc:AT3G09650] MSSSSNALVQHYYSSKPPPPPRLVLVPFFFSGSGSGTNNTASSPAAASSTHTHTHTADANAQLDAHLLSLLRDGHTDAAYHLFASNPSLPLSPVSSSRLLAQLSYSSFSRASALLHRLRARQALHLLDANSLSLASSAAARSNNPHLAYSLLLSMLRRGLLPDRRAYTAALARLPPSRALRLFDALLHHLRHHHNKTNSLPDTAAFNAALSACANAGDCIRFRHLFDQMPAWNASPDALTYNVLIKMCARAGRKDLVARVLERILSSGLTPCATTFHSLVAAYVGFGDIPTAERIVQAMRERRTDICLLFRAVADDHIISHDQQSCVLEDIVKPWEQEEVPLLPKAYPPNSRVYTTLMKGYMNAGRVEDVVAMLRAMRREGETSPASRPDYVTYTTVISTLVAAGDMERARAVLEEMGQAGVAASRVTYNVLIKGYCQQLQAGKAKELLDVDMAEAGIQPDVVTYNTLIDGCVLTDDSAGAVALFNEMREWGIAPSAVSYTTLMKAFAASGQPKLAHKVFDEMEKDPRVAVDRAAWNMLVEAYCRLGLLESAKKVVERMKARGVQPDVATYGSLAKGIAVARRPGEALLLWEEIKEKEVDGEVVEALADVCVRAALFRKALEMVARMEEMGVEPNKAKYKRMYVDLHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYATDWRLQDDGLN >ONIVA12G15680.1 pep chromosome:AWHD00000000:12:17231551:17235737:-1 gene:ONIVA12G15680 transcript:ONIVA12G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCRIPAATGSGNKENNISQNRRHVLDCQTPCEGKGVATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEIGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKALAGTQDKRSAKAIQKSIPRPPAGYKYPYGDFGIIGLPNSSGIAQIQRIPKKSEPSPPVVSRSRSTSSVTNVPKPTTRPATVTSECTHKVEGLPLKLKTERSSVTKSSGPTIGKDMVPPTVTTICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSDNAQRNPERCFSGNISSVKPPSLPVQPATRDPNVLASSLPGVEDATVCSLKQSLSESTVPYSEKSGNISYQEMPDDDFSLAGNGATTELSFRDNDDGRNSMPNECSVALSVGQDLNAICCSSIEPAEDSCFLKVICSSSEPSVGSNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSIHCTDQIPQFDSSTGIKPSLAYSQLDSNNSLCSEVQLTSSEGPDIDSEMELDTDDSFTVEEPPLLHVGDECDHDYRSAECSHMNLVAPSPCVDQEALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDKIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTSD >ONIVA12G15680.2 pep chromosome:AWHD00000000:12:17231551:17235737:-1 gene:ONIVA12G15680 transcript:ONIVA12G15680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCRIPAATGSGNKENNISQNRRHVLDCQTPCEGKGVATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEIGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKALAGTQDKRSAKAIQKIPKKSEPSPPVVSRSRSTSSVTNVPKPTTRPATVTSECTHKVEGLPLKLKTERSSVTKSSGPTIGKDMVPPTVTTICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSDNAQRNPERCFSGNISSVKPPSLPVQPATRDPNVLASSLPGVEDATVCSLKQSLSESTVPYSEKSGNISYQEMPDDDFSLAGNGATTELSFRDNDDGRNSMPNECSVALSVGQDLNAICCSSIEPAEDSCFLKVICSSSEPSVGSNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSIHCTDQIPQFDSSTGIKPSLAYSQLDSNNSLCSEVQLTSSEGPDIDSEMELDTDDSFTVEEPPLLHVGDECDHDYRSAECSHMNLVAPSPCVDQEALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDKIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTSD >ONIVA12G15680.3 pep chromosome:AWHD00000000:12:17231551:17235737:-1 gene:ONIVA12G15680 transcript:ONIVA12G15680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCRIPAATGSGNKENNISQNRRHVLDCQTPCEGKGVATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEIGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKALAGTQDKRSAKAIQKSIPRPPAGYKYPYGDFGIIGLPNSSGIAQIQRIPKKSEPSPPVVSRSRSTSSVTNVPKPTTRPATVTSECTHKVEGLPLKLKTERSSVTKSSGPTIGKDMVPPTVTTICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSDNAQRNPERCFSGNISSVKPPRYKQPVDPKSRFHLTKQLPTNFSAASSLPVQPATRDPNVLASSLPGVEDATVCSLKQSLSESTVPYSEKSGNISYQEMPDDDFSLAGNGATTELSFRDNDDGRNSMPNECSVALSVGQDLNAICCSSIEPAEDSCFLKVICSSSEPSVGSNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSIHCTDQIPQFDSSTGIKPSLAYSQLDSNNSLCSEVQLTSSEGPDIDSEMELDTDDSFTVEEPPLLHVGDECDHDYRSAECSHMNLVAPSPCVDQEALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDKIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTSD >ONIVA12G15680.4 pep chromosome:AWHD00000000:12:17231551:17235737:-1 gene:ONIVA12G15680 transcript:ONIVA12G15680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCRIPAATGSGNKENNISQNRRHVLDCQTPCEGKGVATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEIGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKALAGTQDKRSAKAIQKIPKKSEPSPPVVSRSRSTSSVTNVPKPTTRPATVTSECTHKVEGLPLKLKTERSSVTKSSGPTIGKDMVPPTVTTICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSDNAQRNPERCFSGNISSVKPPRYKQPVDPKSRFHLTKQLPTNFSAASSLPVQPATRDPNVLASSLPGVEDATVCSLKQSLSESTVPYSEKSGNISYQEMPDDDFSLAGNGATTELSFRDNDDGRNSMPNECSVALSVGQDLNAICCSSIEPAEDSCFLKVICSSSEPSVGSNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSIHCTDQIPQFDSSTGIKPSLAYSQLDSNNSLCSEVQLTSSEGPDIDSEMELDTDDSFTVEEPPLLHVGDECDHDYRSAECSHMNLVAPSPCVDQEALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDKIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTSD >ONIVA12G15680.5 pep chromosome:AWHD00000000:12:17231551:17235358:-1 gene:ONIVA12G15680 transcript:ONIVA12G15680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEIGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKALAGTQDKRSAKAIQKSIPRPPAGYKYPYGDFGIIGLPNSSGIAQIQRIPKKSEPSPPVVSRSRSTSSVTNVPKPTTRPATVTSECTHKVEGLPLKLKTERSSVTKSSGPTIGKDMVPPTVTTICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSDNAQRNPERCFSGNISSVKPPSLPVQPATRDPNVLASSLPGVEDATVCSLKQSLSESTVPYSEKSGNISYQEMPDDDFSLAGNGATTELSFRDNDDGRNSMPNECSVALSVGQDLNAICCSSIEPAEDSCFLKVICSSSEPSVGSNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSIHCTDQIPQFDSSTGIKPSLAYSQLDSNNSLCSEVQLTSSEGPDIDSEMELDTDDSFTVEEPPLLHVGDECDHDYRSAECSHMNLVAPSPCVDQEALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDKIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTSD >ONIVA12G15690.1 pep chromosome:AWHD00000000:12:17236086:17236322:1 gene:ONIVA12G15690 transcript:ONIVA12G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLTHGVVDEGGGERRWIWLVAEEAGKEAARRGRGECGKRKTRRWSRWVAEESRTTPSASGGGGGEPAMRLSWMGN >ONIVA12G15700.1 pep chromosome:AWHD00000000:12:17236491:17239022:1 gene:ONIVA12G15700 transcript:ONIVA12G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALHHQLSLASSAALSASDHDLAFHLQLSEAIQASLPSNAAAPAPPEEPSDASCALEIHAADLARAEHDRRDAQACRAYHARAAASVRVAAHDALFARDLAAIPEDRWAHDGDYFERPLPLEGGGALFRVLFKGMASREVVGPRDRDPGVGVLAVAICGPRGEVVLRIHKPVQAQAQQGRMMLEAMALVEGLNAALALGTRTLNVLTDNKPLHNHKRLVDLINEAFSTKQKFEQCEILCVARTQVNYVTKLATDSLRTQIAKAAAVSAGKEKKENCTICLEDTDVSKIHAVEGCAHRFCFSCMKERVKVKLLHGMLPACPQDGCTTKLTVEGSKMFLSPRLLAIMVQRIKEAQIPPTHKIYCPYPKCSALMSMRELIHPMQASSSKYTLVDAATLRKCVKCSGSFCISCKVPWHHQMTCYDYKRRYPHARLEDAYLQNLARQRLWRQCIRCKHMIELAEVCYHMTCVCGYEFCYTCGKEWKEKKATCSCPLWDERNIIRDDPQGNAAIHDDPEDEYDDYYDEDENNYYVGEGLQYNVDYHRQYDGGDRHHGHFYQYNR >ONIVA12G15710.1 pep chromosome:AWHD00000000:12:17239460:17243804:-1 gene:ONIVA12G15710 transcript:ONIVA12G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEHPMVAAARAALAHLQLPLPPAPAGSNMDHHLLPHFLHRSPPSPCFLLLRTQHKPKPPQPQLHLLLCTAFDSLAHSLQEVLDKHKPKLHLDTLFLHGNLPFAKVGVPPPPPPPAPLLSPPSVSAHHQHQPATPTETETEDTAGNDSPPPRTLPVRLLNIPVDRLRSTLSTLSLTELIDLVPHLVARSLPSPDTHPDKKKLFSVHHFFRYAEFEGKRFFEELDRDGDGQVTLEDLEVAMRKRRLPRRYARDFLRRTRSNLFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKGAGLPANEDNAAAMLRYLNADSEESISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISTGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLSDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQTESDTADSVHEKRTTVGSRG >ONIVA12G15710.2 pep chromosome:AWHD00000000:12:17239460:17242118:-1 gene:ONIVA12G15710 transcript:ONIVA12G15710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYLNADSEESISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISTGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLSDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQTESDTADSVHEKRTTVGSRG >ONIVA12G15710.3 pep chromosome:AWHD00000000:12:17242135:17243804:-1 gene:ONIVA12G15710 transcript:ONIVA12G15710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEHPMVAAARAALAHLQLPLPPAPAGSNMDHHLLPHFLHRSPPSPCFLLLRTQHKPKPPQPQLHLLLCTAFDSLAHSLQEVLDKHKPKLHLDTLFLHGNLPFAKVGVPPPPPPPAPLLSPPSVSAHHQHQPATPTETETEDTAGNDSPPPRTLPVRLLNIPVDRLRSTLSTLSLTELIDLVPHLVARSLPSPDTHPDKKKLFSVHHFFRYAEFEGKRFFEELDRDGDGQVTLEDLEVAMRKRRLPRRPSGQ >ONIVA12G15720.1 pep chromosome:AWHD00000000:12:17250845:17255461:1 gene:ONIVA12G15720 transcript:ONIVA12G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTEGPIPRYACCGDTLQIFSLQVKEAKGGLDWPLHVYGLVATRDSVDQKRNLLFRYTRDNCQILTPQDSHLLLTGPSRAVVVIDPVTFEVELKVKGKTEAEDKVLSLRVFMQHYNGEYPS >ONIVA12G15730.1 pep chromosome:AWHD00000000:12:17262316:17263350:-1 gene:ONIVA12G15730 transcript:ONIVA12G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAEVRDASGAGFFFGPQSRPTKKPANLRAGHPAWTLQNCLAHPAQLVGRHGIRAQTGRRETQPQRRSRDGRRETGDGGGETAPQRPLLSSSSSPIHPNPAADALQSPDPAK >ONIVA12G15740.1 pep chromosome:AWHD00000000:12:17263481:17263806:-1 gene:ONIVA12G15740 transcript:ONIVA12G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0JBQ8] MARSSSQSQSSVGAAAGAARPATVGPRGTAAAAAGMRRRRASTAGGSGGFSGGGGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAASASA >ONIVA12G15750.1 pep chromosome:AWHD00000000:12:17267817:17272299:1 gene:ONIVA12G15750 transcript:ONIVA12G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JBQ9] MAVHLVVESSHGAQEGDAATSNEKGGAGGASCMEEGRRGRQGRNNGEEIDQPAPYSAWWPAPASSLLLPPDLLLSLLAPPTFSRPTGLLPTRQLACAESKEETGAASPIKNAIKNAAAGEMAEEEKLAGAASPLLRLRSARGETGTAIKNAAAGEMGEEETAAMGITRTRGDQETPRKKKGRSWRSRNTKKRGRRRRSAARVAGRRARPWMTARRRCEGKRERSPRKRRMTNVCAGRWCPGFEHGFVSSNQTRRYRRMKRICCMTLAGAPVTPPTTMRFDHPAAIARPQDVTTFHIVDTDGARVRLLFRDSDLYFVAFKTGSCWFRFNDEHIPTFLTPTVPIAYTSGYMNIAQVRVGYHCLYDIFNVISRYTPESAQEKTNERQRILQCAALMLSESQRFGHVQLLVKRQIHKMMSKKITAKQNKKIHSWGSIARYCISHECKEDVLHHLSTLIVLFNPDQNKYENYKIVGRRKLLSRAAAAAEEAAARAAEAAARPSQAAAAEEEEEEEDDDDDEDEYPWQCQTRAGQELLILLYDKDCVPKAIRSGGHRVRLPPPLQAEDETNKQKMMIKKKNTHHPAVPSSSDNVEDLPTVSAPPVTPMVVTQQKNHKKTQAEEVDEKKNQENPNTDATIGAPSGGENQQNRSLISCDGINLYESDLDSLRGPHWVTDAILGYALAKFSRAYSDDGLLLVQPTNAALLNNKHYVAAAEADHLLLASRRLVLIPVNDNLDFNQAGDGKHWSLLVIHKTSNDGVIQFIHHDSVRSGDNSYNLHAAQKLANVLRGVLPTAGDVINAETPQQTNGNDCAIHVLAAVQVICGWWRANANKSGPADWIRKLDKRISEGNITQMRASLLQDIERDCQKKKSKDQ >ONIVA12G15760.1 pep chromosome:AWHD00000000:12:17277598:17278026:-1 gene:ONIVA12G15760 transcript:ONIVA12G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVPLLADGANEQWHARTPEPLHRAGERVAAVVCGAGTIARRRRGRHAHGPWHRTARSPHSAPRAADVLNWSDKPVCQEKAAYILMVLAHRSYASWSPLPRRGSRDAEHGGNLQALGLEGGREGARGGRHARGSLPAPGSD >ONIVA12G15770.1 pep chromosome:AWHD00000000:12:17293367:17297815:1 gene:ONIVA12G15770 transcript:ONIVA12G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 23 [Source:Projected from Arabidopsis thaliana (AT1G30270) TAIR;Acc:AT1G30270] MSVSGGRTRVGRYELGRTLGEGTFAKVKFARNADSGENVAIKILDKDKVLKHKMIAQIKREISTMKLIRHPNVIRMHEVMASKTKIYIVMELVTGGELFDKIASCGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFSCPSWFSTSAKKLIKKILDPNPSTRITIAELINNEWFKKGYQPPRFETADVNLDDINSIFNESGDQTQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQSQGSVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKACATTLSWVYRLKSVHPFLKVFEVTPSLYMVELRKSNGDTLEFHKFYHNISNGLKDVMWKPESSIIEGDEIQHRRSP >ONIVA12G15780.1 pep chromosome:AWHD00000000:12:17300697:17310383:1 gene:ONIVA12G15780 transcript:ONIVA12G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSAAPPDPPPRSASPPATPVASSAGASSPPAQTNAASIDWLGGEPISKVESSSQIAPHAPRPSLSTNAAGAAVDFSQPSCRPWERGDLLRRLATFKSSTWASKPKAASSLACARRGWVNIEMDKIACESCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHLGDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFISLPVIAKSAIESMKLTRSPQIDCVLSQAITILSGELGYKTDSTTGIDINHQDESCSYSQAQKLISLCGWEPRWLPNVQDWEENSTRSAKHTASADPDQIHSRLPEHKQNSYSASVKKDKGKGKIRVKDSGCSMRSPLLDCSLCGATVRIWDFRSVPRPSHLSINNIGAPDMRKGVLTRGISATSGINGWVAEGTERENVEGRGEAGTDEGKSLSNAQVDLNLTMAGGLPSTHSVMPSMHDHFNDGGMGRDLMIGQPTGSELGGFAASFESRGPSSRKRNLEEGGSTADKPLNRLHPADSIEGTVIDRDGDEVDDGAQDSDIRSNKRPRGFNLFDVNRPSSSGAGPSRNLSFDLDIDVNKFDTYKAEGPSALHNPSASMRASSVIAMDTVHSAEENSTESVEYHPCDVDDVHKPSSAVRSGGMSEALDLNYSNQAPQSSFVQPAAESNAREIGGSSMNGGEEVLNAETAPAFARDQLSLGVSGGSVGMGASHEAEIHGVDVSEHKTDSVVGDVEPAPELTENMGNTGESAPGPGMMDEFVPEDVGREEPQGDSQDVASRLVGRADSGSKICGSTKADSVESAEKMSHAIGHESNLQHSLSRNARVYSGIDLSKDEVTQIAKLPANDDYDPGDDLAANGGNDYEAGLPEFDPISHHNNYCPWVNGHVAAACCINTGSSTSTGLSGWQLTVDALETIQSLAQAQNQIMPSDSAASLYKDDHVAPSRKLLKRASHSKCDHGAALHADEGEDDGSPATAVVRTDVISGEHPRRRPPLPGGRADDAPHIAGGGGGEFSPPSLHPLPAGCCTMVINRGVAKTMWRSATFRVSRRLSLEPMASALRVKATPCRCCGVMRKGEIWEHIKTASIILGSCSAIAAAYFVVGSFMMQELDAKMKHRFDHAKTHIDAKMDEQGFREAIGMPFLLFILMLFLFALVDADDCKGKSIRKRKKKPSLLHN >ONIVA12G15790.1 pep chromosome:AWHD00000000:12:17314764:17315785:1 gene:ONIVA12G15790 transcript:ONIVA12G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNVSAMLGLVAVVAVVGCIMKPHFEAQLEKLAQAFVLLFLLALLQAMVEMQKERRQKSLEDDHADDSEESKKKLKPTKT >ONIVA12G15800.1 pep chromosome:AWHD00000000:12:17323632:17326275:-1 gene:ONIVA12G15800 transcript:ONIVA12G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0JBR4] MAEETPVETPAAPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQDYELGAFQAILSMK >ONIVA12G15810.1 pep chromosome:AWHD00000000:12:17333143:17338215:1 gene:ONIVA12G15810 transcript:ONIVA12G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4) [Source:Projected from Arabidopsis thaliana (AT1G30360) TAIR;Acc:AT1G30360] MDTPSFVTSLLTSFVIFVVLVLVFTWLSSRPGNAPVYYPSVLLRGLDPWEGRGRGTRSPVGWLRQAISASEGDVVAAGGVDAAVYLVFLSSVLSILVFSGIVLLPVLLPVAATDDNLNLERAIGLKNGKTPQNFTELEKLALGNVQEHSRRLWAFLLSVYWVSFVTYFVLWKSYKHVSNMRAAARSTPDVKPEEFAVLVRDVPKPPPDQTIKDSVDSYFRALHPDTFYRSMVVTDHTKADKIYQEIEGHKQKIAHAEVVYAESKTTGKPEGTKPTHRIGFLGLIGKKVDTIEYCNDQIKELLPKLEAEQKTTLREKQQQAAIVFFNRRSAAASASQTLHAQMFDKWTVEQAPEPRQIIWSNLSKKIYERQIRQVVVYTIVFLTVVFYMIPITAISALTTLEKLRAKLPFLKKVVDQQEIKTVLQAYLPQLALIVFLALLPSLLMFLSKLEGIPSQGHTVRAAAGKYFYFIVFNVFLGVTISSTLFSALTTIINNPPGIVNMLASSLPGSATFFLTFVALKFFVGYGLELSRLVPLIIFHLKRKYLCKTEDEVRAAWAPGDLGYNTRVPNDMLIVTIVLCYSVIAPLIIPFGVAYFALGWIIAKNQVLRVYVPSYESNGRMWPHMHTRIIAALLIYQITMVGVILLKKFIYSPVLVPLIPISFIFAYICHMRFYPAFAKTPLEVVQHDVKDTPNMDAVYTSYIPACLKPEKLEDVDIFEDAQSHTTSRAPSI >ONIVA12G15820.1 pep chromosome:AWHD00000000:12:17338838:17343542:1 gene:ONIVA12G15820 transcript:ONIVA12G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21065) TAIR;Acc:AT4G21065] MPVRGRNLVSWNSMLNSFAANGRPNEVLTVFREMLGVNFAPDGFTIVSVLTACAEIGALALGRRVHVYVEKVGLVDNSHVSNALIDLYAKCGSVNDARRIFEEMGLGRTVVSWTSLIVGLAANGFGKEALELFSLMEREKLVPTEITMVGVLYACSHCGLVDDGFRYFDRMKEDYGISPRIEHLGCMVDLLGRAGRVEEAYDYIITMPLEPNAVVWRTLLGSCAMHKKLGLGKVAWERLVELDPGHSGDYVLLSNLYAAVGMWADVHVLRKTMVKDRVRKNPGHSLVELRNSVYEFVMGDRSHPESEQIYEMLADIAERLRREGYIPRTSNVLADIEEEEKETALNYHSERLAIAFALLKSLPGIPIRIIKNLRMCGDCHVAIKLISKVYGREIIVRDRSRFHHFQGGACSCKDYW >ONIVA12G15820.2 pep chromosome:AWHD00000000:12:17338475:17343542:1 gene:ONIVA12G15820 transcript:ONIVA12G15820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21065) TAIR;Acc:AT4G21065] MSEAIPSTHPALRHCVALLRLHLAAPSLAAAKQIHARALRAGVPTSHPLLAKHLLFHLAALRALPLRYAVAVLSRLLTRGPLDPFPLNTVLRIAAGSPRPRVALELHRRRLAPPDTHTYPPLLQACARLLALRDGECLHAEAAKNGFATLVFVQNSLVHLYGACGLFESAHKVFDEMPVRGRNLVSWNSMLNSFAANGRPNEVLTVFREMLGVNFAPDGFTIVSVLTACAEIGALALGRRVHVYVEKVGLVDNSHVSNALIDLYAKCGSVNDARRIFEEMGLGRTVVSWTSLIVGLAANGFGKEALELFSLMEREKLVPTEITMVGVLYACSHCGLVDDGFRYFDRMKEDYGISPRIEHLGCMVDLLGRAGRVEEAYDYIITMPLEPNAVVWRTLLGSCAMHKKLGLGKVAWERLVELDPGHSGDYVLLSNLYAAVGMWADVHVLRKTMVKDRVRKNPGHSLVELRNSVYEFVMGDRSHPESEQIYEMLADIAERLRREGYIPRTSNVLADIEEEEKETALNYHSERLAIAFALLKSLPGIPIRIIKNLRMCGDCHVAIKLISKVYGREIIVRDRISAAGARVAPQEKAESATPIPTLSPPEGNMTFVDGVTWCVARPGVSQEDLQNALDWACGQGGADCTPLQPGGRCYQPDTLLSHASYAFNIFYQQNGNSDIACNFGGAGTIIKRNPSFGSCKFLASETSAASALNRRSMWMIFAAASMLFLHLRVFQSV >ONIVA12G15830.1 pep chromosome:AWHD00000000:12:17350733:17352233:-1 gene:ONIVA12G15830 transcript:ONIVA12G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFIADRLMERTSSTLLTVLRSGPAATAATKRSIHHAPSAAAGCICATTVAGSCSKAGPAAPKLPLLPGNTPTLRSPSAAAGCSWGLATAAAAAGPKSTALAAVCSRGLAAAATGKSIVLAAVRAKVVGVAVALLRVMVGPQRAYTILARLARIYQGDGSTMLRVATAAVKMQ >ONIVA12G15840.1 pep chromosome:AWHD00000000:12:17369977:17370613:-1 gene:ONIVA12G15840 transcript:ONIVA12G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit K [Source:Projected from Arabidopsis thaliana (AT1G30380) TAIR;Acc:AT1G30380] MASQLSAATSVPQFHGLRTYSSPRSMVTLPSLRMSKKRSQGIRCDYIGSATNVIMVTTTTLMLFAGRFGLAPSANRKSTAGLKLEARDSGLQTGDPAGFTLADTLACGAVGHIMGVGVVLGLKNIGVLDQIIG >ONIVA12G15850.1 pep chromosome:AWHD00000000:12:17371804:17386365:-1 gene:ONIVA12G15850 transcript:ONIVA12G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEAPPETSKPAEAKPSKTRLSAPTGRFALGTASSIKKRTDVAPSAELGMSRSSLTKSTSSMNAAPVQRRSSTGSAGKQQDNGSSVVAKKASPSLSDGVKKSKPVAASMVSSKSLLEKKSSVQSERAKVDAMKKPAVKSSPISTLKKVPSLTENSSSSASSSFRRAASNATLNSPRSPSVTSSVTKKVGSRTSSMDKGSSMPVRKKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRTLKQLRLTPVLEFVYLRDNRLSSLEGIEILKGVKVLDLSFNDFKLPGFEPLENCKLLQQLYLAGNQITSLATLPELPNLEFLSVAQNRLKSLCMASQPRLQVLAASRNKISILKGFPHLPSLEHLRVEDNPLLEMPHLEAASILLVGPTLKKFNDRDLNPGEAEVAKQYPAHTAICIRDGWEFCSPELAADSTFSFLLEQWKNKLPQDLIVKKAHVDHPFEEDPCHCHFSFTNQCDEGELVLKYQWFIGGKTPTDFVPLPEELSEVYWPKREDVGRCLKVECTPILNDAEFPPIFAVSLPVSPGTGCPKVINLTVHGDLVEGNVLRGVPEIAWCGGMPGKGVARRRWNGNAVVIDGADRMEYQLTLDDIDSSLVFMYTPVTEDGVKGEPQCTMTDFVKAATPSVSSVHVVGDIVEDNTIKGNGKYFGGKEGLSKFLWFREKENGEFLLVLSNSTEYTLTKEDVGRPLKFVYVPINLEGQEGEAAYAMTDAVKKAPPKVLDLKIVGEAREGSKVSATATVKGGTEGFSRVQWFIGSSSTFLNENELGVLTTSKVSKTFRIPLSAVGYYIVAKFTPMAPDGETGEPAYAVSADVVEMLPPSLNFLTVTGEFSEGQMLTASYGYIGGHEGDSLYSWHLHETEDDEGSLVSEASGLLQYQVTKEAVGKFLSFKCVPIRNDGILGEPRVFTGKDRVTPGRPTILSLELTGEAIEGTTMVASRRYWGGEEGETIFRWILTSSDGSQKEIEGAASSSYTLNCNDIGFYISVLCEPVRSDGVHGSLVSTEESGPILPGPPTCLSLELAGPMVEGGCLTFHAEYTGGFKGDCIQEWFRLHSDGSKEKLSTDECLDLTLDDVDSRIELIFTPVRDDGSQGSPKSVLSDTILPGDPKGVDLVLPECFQDNEISPIKTYFGGKEGTGKYTWYRTKEKLDNLEPDLVASCSEVGVNLMYKPSLDDVGFYLILHWVPARYDGEIGDPLVAVTSDPVMAAFPSVSDVHLKQKSSSLYSGTGVYYGGYEGSSLYKWYRESSDGTRHCIDGADLIIYEVTDADYSCRLLFGYIPVRSDGIIGEERLSEPSDIILPERLKIEALSFKGNQVERETLTVLEQIPSTAVQQHLWSNYKKEITYQWFASSGSEVDQTFEPLANQCSRSYKVRFEDIGRCLKCECSVSDVFGRSSELISIVTAPILPGKPKIEKLEIEGRGFHTNLYAVRGTYSGGKEGKSKIQWLRSMVGSPDLISIPGEIGRTYEANVDDVGYRLVIIYTPVREDGVEGQPISASTEPIAVEPEIYKEVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRILEVNRKRIKVVKPGSKASFPTTEVRGTYVPPFHVELYRNDQHRFKIVVDGESEVDLMVQTRHMRDVIILVIRGLAQKFNSTSLNSLLKIEA >ONIVA12G15860.1 pep chromosome:AWHD00000000:12:17395186:17397081:1 gene:ONIVA12G15860 transcript:ONIVA12G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JBS1] MGSTGDQPIVMAGPVPFVDVVVDNAGGAAVANKADPGGVLPQEEEYESIVSSLPSNPKLQLLCYQGKWLLESWVPGIIAIQRPGGGFAPRRGDVVLASLPKCGTTWLKALAFATMARRAHPPPPPAAGDDDERRQRHHPLLRLNPHDCVPSMEKLFAAGLGSKIMDALPSPRLMATHVHHSLLPASITDNPHCKIIYICRDPKDMIVSLWHFVRRRLPEIPFLELFESACEGRCLSSPIWDHILGYWNASKTRPETVLFLRYEELLHDPADSVRKLARFVGQPFSPEEEEAGVVEDIVRLCSFERMKNLEVNRAAGLSPVLQQNAYTNGSFFRKGGTGDWANHMTPEMAERLDAIVEEKLRGSGLSFRS >ONIVA12G15870.1 pep chromosome:AWHD00000000:12:17400479:17400761:1 gene:ONIVA12G15870 transcript:ONIVA12G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFVRRMLPELLFHEVFELACEGRCLSGSTWDHILGYRNASKANPETVLFLRCCMIPAVGAVTKLARFVGQPLISPDEEEAGVVHGGHC >ONIVA12G15880.1 pep chromosome:AWHD00000000:12:17401839:17403278:-1 gene:ONIVA12G15880 transcript:ONIVA12G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JBS3] MPSSSSSRSHVAVVAFPFSSHAPKLLAVARALATAAPSATFSFLSTTDSLARLPATAVVPAANNNLRFVEVPTGDDGGDGDQEEITPVWRRMEMFVEAAEGGGLRRAMEAAGDAAGGVAVSCVVGDAFMSMAAEVGVPWVAVWTGGPCALLAHLVGDAIREDIGDDDDLRGARGDELLTSYPGLGSYRVRDLPFGGGGGGDMHRVFTMLLGRVARRLPRAATAVAINAFPGLFPPDVSAALADALPNCLPIGPYHLLPGAAATPANDDDPHGCLAWLARHPAASVAYVSFGTVAAPPPDELCELAAGLEASGAPFLWSLREDSWPLLPPEFLDRATKAGDSAAGLVVAWTPQAAVLRHPAVGAFVTHSGWGAVLEGMSGGVPMACRPFFGDQHMNARAVARLWCFGMAFDDDGGGKPSMTRGRVAEAVASLLAGEEEGARMMRARARELQAMVVSAFEPDGGSTKNLHKFVEIVCARRV >ONIVA12G15890.1 pep chromosome:AWHD00000000:12:17403792:17404359:-1 gene:ONIVA12G15890 transcript:ONIVA12G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPGEGGGGGGGCGGLMGVLEKRPVMGVVVEEEEKEKGAKVVKREKRATTTLETRWPPWKCEEGGIMADTHKYQT >ONIVA12G15900.1 pep chromosome:AWHD00000000:12:17417155:17424221:1 gene:ONIVA12G15900 transcript:ONIVA12G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALQKGKIGIIVNSQWYVPFSQSKTNKDAARRVLDFVLGWLMDPLIRGDYPLNMRELVGNRLPKFTKEQSEMVKGAFDFIGLNYYSSSYAENVLPSYGLKNSYNTDFHARITGSRNGTLIGPQAASSWLHIYPQGLRELLLYIKENYGNPTIFITENGVDEVNNKTMPLKEALNDNTRIEYYHKHLLALRNAMRDGANVKGYFAWSLLDNFEWADGYTLRFGLNFVDYDDGMKRHPKNSAHWFKKFLREMKQG >ONIVA12G15900.2 pep chromosome:AWHD00000000:12:17417266:17424221:1 gene:ONIVA12G15900 transcript:ONIVA12G15900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAVAMSGGILLLLLLLLAAACVEAGELPPISRRSFPKGFIFGTSSASYQVRLPFRIILPTRDQEQFDKTAALTEFLQEHRDYVFGRGNPPEGIVEIKDIPHVSLPMAPSLQRSILSFTEGLVQYSHPEKMQEDVHMMKEMGMDAYRFSISWSRILPRVQSFVTLFHYDTPQALEDKYNGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWIFCSKAYASGTYAPGRCSPWEMGKCSVGDSGREPYTACHHQLLAHAETVRLYREKYQFTEEVVRQSQFIHDNDLHRRSAKLSFIIQNYLLLGIHFQPGPGGRVCQYRH >ONIVA12G15910.1 pep chromosome:AWHD00000000:12:17431588:17439998:1 gene:ONIVA12G15910 transcript:ONIVA12G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRSIDDQFSKLHPSLPVDTRIGIVGAGPSGLSAAYALAKLGYRNVTLFEKCHTVSGMCESIDIEEELGSDFEEMDTHKLSLIDSQTGNIRDLEVAEDYVSMVSLTLKLQDEANKSGRAGLHALSGLASDPTHEFLKQNGINSMPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSMWERLSKSLPFEVFCDTQVLNVKRNSCGANVTIKNNNGEKQVLEFDKIILSGAVAFKNSKTYRSSSLTDGESEVVELNNLERELFSKVQTIDYYTTVVKINGFEHIPKGFYYFEEFMEDPTTIGHPVAMQRFFADTNIFLFWSYGNSADIKGSFVAKCVTDVVSSMGGNVQKVILQRRFKYFPHVSSKDMKDGFYERLESQLQGFQNTYYVGGLLAFELTERNASYSIMAVCKHFAIDGEGELTPYVKRLFPLSHNRNPSPPRDLGELEGVEFPDLPSLDGYLQYWGTHKVTEKNVIYTWINEEGKLMNRRTYQELHGNASYIAQKLLTSTKPVIKPGDRVLLIHLPGLEFIDAFFGCIRAGVIPVPVLPPDPMQSGGQALLKVENISKMCNAVAILSTSSYHAAVRAGYIKNIVTLAKRVQKCSAQWPDIPWIQTDSWIKNYRRSSDSFNSDTVLFTKPQPSDLCFLQFTSGSTGDAKGVMITHEGLIHNVKTMKKRYRSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGTSVLFSPMTFIRNPLLWLQTINDYHGTHSAGPNFAFELVIRRLEAEKNKVYDLSSMVFLMIAAEPVRQKTVRRFIELTQPFGLSEGVLAPGYGLAENCVYVTCAFGECKPVFIDWQGRVCCGYVEQDDTDTLIRIVDPDSLTEHQEDGVEGEIWISSPSSGVGYWGNSEMSQRTFFNQLKNHPNKKFTRTGDLGRTIDGNLFITGRIKDLIIVAGRNIYSADVEKTVESSSEVLRPGCCAVVGIPEEVLAQKGISIPDSSDQVGLVVIAEVREGKAVSEEVVNNIKARVVEEHGVAVASVKLIKPRTICKTTSGKIRRFECMRQFVDNTLSLAKGNHVSKKKGLFRSLTTGTGMESKRSLLRQTVDLTISHWPKSQVKNSNEITEFLTQIVSEHTGISKDKISLTDSLPSYGFDSIAVVRAAQKLSDFLGVPVGAIDIFTASCISELASFLENLVHKSQPQLAPWPKSKVKNSKEIIEFLTKIVSDQTGIPKDKISPTNSLPSYGFDSIAVVQAAQKLSDFLGVPVGAIDIFTAGCISELATFLENLAHKSQSQLAPGASCYIEDETQVDPMDAISPEFSVLGTGILQLLALTYVCFVLLLPAYLASSTYMSIFSTVSLVRSPLLSYLSSLVMAPIVWIFYISLTSLSLSILGKSFLQPNYVLIPDVSIWSVDFVKWWALNKAQALAAKMLAVHLKGTIFLNYWFKMQGARIGSSVVIDTVDITDPSLLTVADGAVLAEGALVQGHEVCNEVLSFRPIWIGCEASIGPYAVLQKGTVVEDGAVVPPLQKTGAGKSTRRTSRTSVSIKKEAAKANMILEHLVSIYAVGILGALSGAIVYTLYTHLSGKAASPLHFSFACIAGAFHWLPAAITAYAVIVQETPTSALSFALFTAFADLSYGVILSILTSITSRALAAKPGTKQNGIASLIHRRITISAHVRFAKMLSGTEAFCVYLRLLGAKIGRHCSIRAINPVANPELISVGDGVHLGDFCNIVPGFYSKGGFTSAEIKVQENTVVGSGSLLLPGCVLQENVILGALSVAPENAVLRRGGVYVGSQSPAMVKNTLLDEDERIEEMDQAYKKIVGNLAANLAITTMNVKSRYFHRIGVSGRGVLRMYEEIPSFPRHKIFASGKSFPVIVRHSNSLSADDDARLDARGAAVRILSDNDGEAPLLDLTLKSGKAFYARTIADFATWLVCGLPAREEQVKRSPHIRDAVWGSLRSTDSYTVLHYYSNICRLLRFDDGREMYAKFKLRPADPDVPEDSGKVVPRGILPPETGAIPRDEDDTRPLLFLADDFRRRVGSPDGVRYVFQLQLREVPTDAAARDVALDCTRPWDEAEFPYIDVGEVSIGCNLPTEETEKLEFNPFLRCPEVDVIPATSCAQSASIDHGRSLVYEICQRLRNGEPLPASWRAFLEQSDTKIDLSGCPVAAAATPTRSNAGDATKVTLARTWYQALWATLCQPLLQTLVPYSVLGLVIFLPLRGLLAVAAATRFPLYWLLPAFWAASGVAAMATCAAAKWALVGSRVDGDTAHIWSPAVFLDTVWQAVRAATAEYFAELTPGSAPFAAWMRVMGASVSPGDGVYVDSMGALLNPEMVRLERGAAVGRDALLFGHVYEGEAGKVKFGAVSVGEDGFVGSRAVAMPSVTVDDGGCLAALGLAMKGETVKHSM >ONIVA12G15920.1 pep chromosome:AWHD00000000:12:17441031:17442046:1 gene:ONIVA12G15920 transcript:ONIVA12G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHCAVKPCCCCCLLVAAVLAVAVFLAMSPPAAAAAAASSSQLAAAAALQRAETTATMYTAKELREKQDVTKGAEEDVTTTTTTTGFGAESEREVPTGPDPIHHHGRGPRRQSP >ONIVA12G15930.1 pep chromosome:AWHD00000000:12:17455351:17460730:1 gene:ONIVA12G15930 transcript:ONIVA12G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L6 [Source:UniProtKB/TrEMBL;Acc:A0A0E0JBS9] MAPTSKLSQGIKKASRSHTYHRRGLWAIKAKHGGAFPKAEKPAAAAAASAPKFYPADDVKPRQPSTRKPNPTKLRSSITPGTVLILLAGRFMGKRVVFLKQLKSGLLLVTGPFKINGVPIRRVNQPYVIATSTKVDISGVNVEKFDDKYFSRDKKQKAKKTEGELFETEKEATKNLPEFKKEDQKVVDAELIKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >ONIVA12G15940.1 pep chromosome:AWHD00000000:12:17488135:17489901:-1 gene:ONIVA12G15940 transcript:ONIVA12G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEAEECSAACGFSLTCQEDGADLGDGVVDDDDDGDVFLFYNAVAAADEEEEEEEYVEQMVSKEASFCCSSSSSLFDAAAGDGYGDGDGDGDWFRQARLAAIKWILETRGYFGFGHRTAYLAIAYFDRFCLRRRVDREAMPWAARLLSIACVSVAAKMEEYQSPALSEFDAGGGRVFCSDSIRRMELLVLSTLGWRMGAVTPFDFLPCFSSRLHRHHHGGAGAAGHGAAAAARVALNAVGFIFATAEAGSVLDYRPSTVAAAAILAASYGAPLTKEALESKMSNLSPSCLIDKENVHACYSMMVGDMNNNRRSSKRPLQCSDSNEITTTSTYDSVLVDDVTDTAAFAATAMNKRLRPEPPRIR >ONIVA12G15950.1 pep chromosome:AWHD00000000:12:17496465:17498796:-1 gene:ONIVA12G15950 transcript:ONIVA12G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35910) TAIR;Acc:AT4G35910] MAAAAASSCGGAGCGPHCSSSASAAAVEDAAAAAAEKVGRLSLSRECGKCGGGAAAVAVAGGLGLCGECFRANLLGKFKLAVTSNAMVRPTDSVLLAFSGGPASRVALQFIHEMRCKAIESWDVSNSQALPVFGVGVAFVDESVLCSKPRDEIEMAIEDIRSIVSSLSTGVKAMHIVRLEDVFSTESEDGERRLREAVDMIGDDTGREDFLRCLRMLSLQKIAMENGYAKIMLGSCASAIACHVLSATVKGQGYSLPADVQYVDTRWEIPVVLPLRDCLAQELTLLCELDSLKTQQHLDRPSNGINSLVASFIKRLREENPSREHTIVRTAQKLKPFSFNKFSADGYHDFLPSRLRPKFQKVDSDESTFSEILCLMCGSPFSESELQNLESTKHKAQKKIDLYTAHCCQSCYFQILPAGENLNEHFFSLLPKLWTGKMDTISDSHSLLRDQIEEYLLEENDDGN >ONIVA12G15960.1 pep chromosome:AWHD00000000:12:17500399:17501688:-1 gene:ONIVA12G15960 transcript:ONIVA12G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGLIADMSWTVFDLPSHSDESEMMAQLFSAFPIHGEEEGHEQLPWFDQSSNPCYYSCNASSTAYSNSNASSIPAPSEYEGYCFSDSNEALGVSSSIAPHDLSMVQVQGATEFLNVIPNHSLDSFGNGELGHEDLDSVSGTNKRKQSAEGEFDGQTRGSKCARKAEPKRAKKAKQTVEKDASVAIPNGSCSISDNDSSSSQEVADAGATSKGKSRAGRGAATDPQSLYARKRRERINERLKTLQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGLDLNIDT >ONIVA12G15970.1 pep chromosome:AWHD00000000:12:17505286:17507567:-1 gene:ONIVA12G15970 transcript:ONIVA12G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGGFEARVARIASTIRVIPDFPKPGIMFQDITTMLLKPDAFRDTIELFVEQYKDKGITVIAGVEARGFIFGPPIALALGAKFVPLRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVEPNDRAVVVDDLIATGGTLSAAVKLIERAGAEVVECACVIELPELKGRDKLGNKPVFVLVKAD >ONIVA12G15980.1 pep chromosome:AWHD00000000:12:17509724:17512991:1 gene:ONIVA12G15980 transcript:ONIVA12G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPRRSRTRPRRPPDTIHSWIILDRCAHCSDGDVVGDDDDDDVTASEIAHTCSGRRIRASLRVADPPAVSRLHLHRLDGPWPDAYDLHHAEVLATHNGAILFRTGVPFSDPGFVAPGHFPVDYFVYTAGGGGAASPPSFTRLPPCFIGGFSDPAEDEYYKPYRVQRQRIMLGENIGFLSGDVAGEFTVADIRNYDGNSLELCIFNHHATLPSPSPEQSPEQWRIQRVPKIGDDDELPKWVNDLVLPLHGHYLCCVDFYNGILLIDADNLQQFSYIPLPEEAMNGSRRVDDDEDDPDPARRVSVTGTGLVTLVCVDKIATRGKITRDFTIKSWDDGRDLYWIVEIDMVKRAMRSPVALYIHEEEEGEGEGEGEGEERECGGGSCMLWKAFCGHYFIPSWFPSYLHEDPIQSRKRSETMQKAKQERTMDKSGTHGNVETGEGKTRVCNARQHRLVAYGNGSNVGLVQFAAG >ONIVA12G15990.1 pep chromosome:AWHD00000000:12:17514529:17517291:1 gene:ONIVA12G15990 transcript:ONIVA12G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHGWMVLDRIVRCWSDDDDVVGGDDDPTASEVAYTCSGHPIRVSLRVADPPAVSRLYVHRPGWPRVYDLGDAEAIAAHRGSILLSARVPFADLGTVAPGQFPVDYYVYTAGEGLRRPSLTRLPPCFIGGFSSPEVDRHFKPHRCQQQRVMVEQNVGFFCHGAGNFTVADINIHKGKAVELCVLNHYADCPQQPQWKVQILEMQQQPNQNHHLRGWWTDAVLPLHDSYLACVDCYHGIILIDVKTQRYFNYIPLPAEAKHGRRRVDKYSPDPARSASINSAGNITVVCIADDNAAAGGRNNNSTAGSAFIEIESWCLVDIHESRWILDFTMEAGKFWDICSAANQPLLPHAPPTFPLVSMANPFAISFLLYDKANNFLLEDKGNGLYWMIEVDMRNQILLSPATLYISEEEEELFINGKEEEQERCCDERYPPMKYFYGHNFIPSWFPSYLKKGGDTTSRLRSLMMSRQNKRV >ONIVA12G16000.1 pep chromosome:AWHD00000000:12:17541245:17546889:1 gene:ONIVA12G16000 transcript:ONIVA12G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLFEELAGEAVKELLRAVRGTFFCRSTAERLRRNVEPLLPLVQPQAAQGGGGWGLGRSAGELAELAAQLREALELARRAASAPRWNVYRTAQLARRMEAADTAIARWLSRHAPAHVLDGVRRLRDEADARIGRLERRVEEVAAAQQQQQAAATALPPPAISLPFALPPPPPPPKAMAMMAMDTPPTKGMAVGMEVELPFPDDEEDESMVGGGVRVGKEKVKEMVMSGGGGGWEAVGICGMGGSGKTTLAMEIFKDHKIRGYFSDRVFFETISQSANLDTIKMKLWEQISGNLVLGAYNQIPEWQLKLGPRDKGPVLVILDDVWSLSQLEELIFKFPGCKTLVVSRFKFPSLVTRTYEMELLDEEAALSVFCRAAFDQESVPRTADKKLVRQVAAECRGLPLALKVIGASLRDQPPKIWLSAKNRLSRGETISDSHETKLLERMAASIECLSGKVRECFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILVELSNKNLLTLVNDAQNKAGDLYSSYHDFSVTQHDVLRDLALHMSGRDALNNRRRLVMPRREESLPKDWQRNKDTPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSVYYLPPFIATMQNLKALVLINYGTISATLDNLSAFTTLSDLRSLWLEKITLPPLPKTTIPLKNLRKISLVLCELTNSLRGSKVDLSMTFPRLSNLTIDHCIDLKELPSSICEISSLESISISNCHDLTELPYELGKLHCLSILRVYACPALWRLPPSVCSLKRLKYLDISQCVNLTDLPEELGHLTSLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQVAEECYNLDWLVD >ONIVA12G16010.1 pep chromosome:AWHD00000000:12:17545521:17547771:-1 gene:ONIVA12G16010 transcript:ONIVA12G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAGPLGMEMPIMHDGDRYELVKEIGSGNFGVARLMRNRASGDLVAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIQKILGVQYSIPDYVHISPECRDLITRIFVGNPASRITMPEIKNHPWFMKNIPADLMDDGMVSNQYEEPDQPMQNMNEIMQILAEATIPAAGTRGINQFLTDSLDLDDDMEDMDSDLDLDIESSGEIVYAM >ONIVA12G16010.2 pep chromosome:AWHD00000000:12:17545521:17547771:-1 gene:ONIVA12G16010 transcript:ONIVA12G16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAGPLGMEMPIMHDGDRYELVKEIGSGNFGVARLMRNRASGDLVAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKLAQSLIICLWMEIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIQKILGVQYSIPDYVHISPECRDLITRIFVGNPASRITMPEIKNHPWFMKNIPADLMDDGMVSNQYEEPDQPMQNMNEIMQILAEATIPAAGTRGINQFLTDSLDLDDDMEDMDSDLDLDIESSGEIVYAM >ONIVA12G16020.1 pep chromosome:AWHD00000000:12:17560703:17563728:1 gene:ONIVA12G16020 transcript:ONIVA12G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSASAAQHEEAAAAAAFVLGGVDMRMLAARTATGALARAGGGEAAAAAAAARFEDCIRSLEAEKAKMEVFRRELPISVHLIADVIEWLKDEVEKQRLLRRRQVEAPAAAPPPEMFAPPATAKRKSAASAAAEGVKAEADANDKRSWMSSAQLWSCGSHTSTSTSNGGSVKKQQNKVSNAFMPLATLPAFAKSLEKADAAVPDLSLSSRVAMADAPACPAAPSATSSAVTDVAVAQRQQAVQRKARRCWSPELHRRFVAALQRLGGPQAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRASDGGGGGGDHQTVGGRLWPPPPEQYTTSQHSTSQSGSPQGPLQLTVSSSHAVSVTAGDSCDGGEEEEEEDGKSGSYSWEMQNGARASSSS >ONIVA12G16030.1 pep chromosome:AWHD00000000:12:17564470:17569225:1 gene:ONIVA12G16030 transcript:ONIVA12G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADPVFKNAALDMDVLYRSGETHQGVATYCPRLVSVGSRVYILHVHGGIICRVSWLFEFIRSGNVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGGCNSQKSVEDKDLIECLENGVNFWTDYSKVHYHPQSLYELYGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTLVLLYCVRDPMTLGPSRRNQRESIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSCMSPYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELVHILSDQGRQNMVTALDVAMPAPSLTDRDAMGNIEMKLHSLTPEISDEDEDPYSVESLVVHGALDRGGQRTSISQVKDSVCSVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLHDWGFGREEVEDMGEHLAKLLCPFHPEMDLTSDSD >ONIVA12G16040.1 pep chromosome:AWHD00000000:12:17570997:17576954:1 gene:ONIVA12G16040 transcript:ONIVA12G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JBU1] MESYLEENFGGVKAKNSSEEALRRWRKLCGVVKNPKRRFRFTANLDKRGEAQAIKHANHEKLRVAVLVSKAALQFIQGLSLRSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLITHGGVTGIADKLATSPADGLSTAEESIKRRQDVYGLNKFTESEVRSFWVFVWEALQDTTLIILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQRLSIYDLLPGDVVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVVVNEDNPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFIVLSQGLISKKYHEGLLLSWSGDDALEMLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIKEVNNPKNASDLCSELPETVVKTLLESIFNNTGGEVVIDQDGKYQILGTPTETALLEFALSLGGNFKAKRDETKIVKMEPFNSTKKRMSVVLELPGGGCRAHCKGASEIVLAACDKFMDETGAVVPLDKTTADKLNGIIESFANEALRTLCLGYREMEEGFSVEEQIPLQGYTCIGIVGIKDPVRPGVRESVATCRSAGIMVRMVTGDNINTAKAIARECGILTEDGLAIEGPEFREKSLDELLKLIPKIQVMARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNNDLMKREPVGRTGKFITNVMWRNILGQSFYQFIVMWYLQTQGKSMFGLDGPDAEVVLNTIIFNSFVFCQVFNEISSREMEKINVLRGILKNYVFLGVLTSTVVFQFIMVQFLGEFANTIPLTRLQWIASVLLGLIGMPISAIIKLLPVGSS >ONIVA12G16050.1 pep chromosome:AWHD00000000:12:17571200:17571551:-1 gene:ONIVA12G16050 transcript:ONIVA12G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWASPRLSRLAVKRKRRLGFLTTPQSLRHRRSASSDEFFAFTPPKFSSRLQHAVAGWLRLRLRRAPSLPAAWPLRRGDEEEEEVRWRWS >ONIVA12G16060.1 pep chromosome:AWHD00000000:12:17592991:17594860:-1 gene:ONIVA12G16060 transcript:ONIVA12G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKASDRADHTSVCNPTYTWQEIPDDPPLPGHDSDEDGVSNKAVGPEATSKHCNGDNSVMRAGETTLQARIQQLFSESKKLSINVEHIIAQVSQAVNMNCGAEENSLLKEFDGNNPVTLPKLSDVVSARGLKYVWSEIKAFQELLKQRPVQRDIILKEISINLDLWSNFFSKPPPEIIRLMEGLRVLKGALSEEAPLPTTNLVLAQQDQINQHVDLLRTAQDKVESSCVALEALTSQYNVEQTVEEGNKRECSRQARKIRAEIAVLQARLQQVEDAHSSAQHRQDVVTENLNSHLERHRQAKDRKSEIAAHLKQASVHQKKAE >ONIVA12G16070.1 pep chromosome:AWHD00000000:12:17601196:17603634:1 gene:ONIVA12G16070 transcript:ONIVA12G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRAQLSRQKGVGSVDGRIEQSYQGCGAGQKLKQEVAALVMPTRELTATSFINPILKVDQNKHKIDEKSVSDIHDKYWLANIINRAYLLAIFSSNFCAVILLSMEAVSFPEKNRYNLL >ONIVA12G16080.1 pep chromosome:AWHD00000000:12:17612662:17614334:-1 gene:ONIVA12G16080 transcript:ONIVA12G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVGCLFLSTWIRCFTDAFSRGMSWKGDFTLDDFKIVDGHVRRIKKPKRSCCREEMQDDVSKFVEEIERIFRNDDSRLISKHPPYFRDFIYRLRNMEIISDALSPRHKLLIESHMCFMTSSSRVSFIIKLYCKYEGANESDEPKWATAIQDARSSSEWRSTVSRVIIFKDLIARADKAESPYGSTRFEAFRLVRNAAMHAAEYRFDGRRNAIVERFPNDSGIELMIPCHFEEYLFPTLLQT >ONIVA12G16090.1 pep chromosome:AWHD00000000:12:17617057:17617245:-1 gene:ONIVA12G16090 transcript:ONIVA12G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRGAVDWPRWRLGGAAQRRQQRQPRLGAAAALARNVKAVALVRRSSSAAEAAANFVA >ONIVA12G16100.1 pep chromosome:AWHD00000000:12:17626284:17626910:1 gene:ONIVA12G16100 transcript:ONIVA12G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLVQALVYLILRSLSSVFSKDSKLGGDVAAETREKGMVASVDNDEACELVSGTDLVIGVDVDDEGEDMSAPHLPAAASRRHRQRQRLDGGVVESILVMMAIAARHHRRPHEWDGDASELAPP >ONIVA12G16110.1 pep chromosome:AWHD00000000:12:17638189:17640705:-1 gene:ONIVA12G16110 transcript:ONIVA12G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETITLQQYVNAARQTFLTVALLPDQNHSLEITPEGCLFLLTWTKCFTEAFSKGKSWNGDFTLADFKVCRGHVQKHKKPKKFGDEGMKNDMEKFVEEIELVFRSRDSRLRFTYPPYFSDFTFRLRNLEIIQNTNNEEEKFPDDSGIELMIPYHLGDFIARIVAKVILDGIDITDEYDLNLAS >ONIVA12G16130.1 pep chromosome:AWHD00000000:12:17672197:17675884:-1 gene:ONIVA12G16130 transcript:ONIVA12G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSAKPRSRRATHGYMVLDRIVRCWSGVGGDDDPTASEIAYTCSGHPIRVSLRVADPPAVSRLYVHRPGWPRVYDLGDAEVIAAHRGSILLSARVPFADLDTIAPGQFPLDYFVYTARPGEGLRPSLTRLPPCFIGGFSSPEVDRHFKPHRCQQQRVMVEQNVGFFCHSDDAGDFTVADINIHKGKAVELCVLNHYADCPQQSQWKVQILEMQQQPNQNHHLRGWWTDAVLPLHDSYLACVDCYHGIILIDVKTQPGKFWDICSAANQPLLPHAPPTFPLVSMANPFAISFLLYDKANNFLLEDKGNGLYWMIEVDMRNQALLSPATLYISEEEEAEFLLPPATFFINGKEEDDERYLPMKYFYGHNFIPSWFPSYLKGGDTTSRMRSLMMQQAKQESAIEKIGRWELSKMKGKQQMATERLRKL >ONIVA12G16140.1 pep chromosome:AWHD00000000:12:17683560:17700093:1 gene:ONIVA12G16140 transcript:ONIVA12G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSWDASEDTTGSMDRAKAASREDKAAAGKETAVSRAVAEDDTALLLAAVGSFRKEGSDASRMLDQEMSTRLLHLACKHDAVQCARLLLEGGHGITASPVDARDQLTRTPLQVAAEAHSARCIELLLSKNARTDLKLVDGRPLLALEIALLSRRAQVKWSLDNSIEDPLFSLQEKDLNVVRLLAEKTREVGEVAYRYAMEGRVTVLAMLLLVAEEKISAPVSVVIEGVRTKKSIYYSIVDEALSIGDAPARDSNERRKALLSEIQLLNQFGAALWRDRNIDKRSLPPLLKAAKVGDVNVTKMLLMGDVDVNEADPEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDTPSKTKETPLFLAVKNGSLDCVKLLLRSGASTKVQNLRKQRPIDVATSQDMHFILTSANVVPWNRSSHPKKSVTNKESCKEFLEDFGDYDSDDLNESFTGLKTSASHRDFRSSNGSAQGGKSKNHCVPKQGSKFVPRPNHWLKHDYTRKIFVGGLPLSVGAEYLTEFFTAEFGPVEEAVVIGIRMGDRVQPQGFGFVKFKREEDVISAKETHHVYMLGKRVEVKDAVARGFLPAEIQKTASFRHHSQEVPKVTHHMLDGELKEEHYIRKRRPLPEKCLPSWFFIFRKWLPGFLADAIERLGDRYPLSSLKGDFRAICRMELDQGTLGYPKLSDFMHSLPGICRMCVVPVGSGPATHMVLLPPVSRPKYVPLLEPFSFDHDELPESVSDHQSPRSPLTTNITEDSLRNTDSQKGDTCSESNVQSHQGDECCGSNTESQQYSASTDNGSLPSEVAFGTTDLVELVQTREHDLIAPASTRRFDFLELVSTREPNVIETVSLNQKIVSEPLTDLLQSGHTRRVGMIDSRSTCLGDFLVERVAEIPTRHINEDSNAQIFVVGCHGQLTLQVDLKKKTSSMIQAYEEKTGINHEYQYFIYERKVIDPDDTLSCYGIEKGSTIHACSRLRGGASMTLGQYLNRYKDDLLEDVILPDGRAYPVMKPEPSGVLRSWLKCFTTAFSKGYCWGENFLLSNFKVVNGKVEVIKEAKHQIRTDFLQAHLRKIDETIRWYFCRDGERFPPYLDELCDFLKLRRGLVLSEDAKEFIDDHVCFMNSYERGMLGIKLYKKLNSLSPGQKLKLLRALEKIAWDLSLMDDVDKLPLLCDIIVQVKAEGKPFPRTILGGFRLLRDYFAHIPEHQYDKATKPWKRKFRIYIGIDLMIPEPHQQCNNNPRMTGKQFKNVKVHENHTGSKLMKISDHKMKHI >ONIVA12G16140.2 pep chromosome:AWHD00000000:12:17683560:17690468:1 gene:ONIVA12G16140 transcript:ONIVA12G16140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSWDASEDTTGSMDRAKAASREDKAAAGKETAVSRAVAEDDTALLLAAVGSFRKEGSDASRMLDQEMSTRLLHLACKHDAVQCARLLLEGGHGITASPVDARDQLTRTPLQVAAEAHSARCIELLLSKNARTDLKLVDGRPLLALEIALLSRRAQVKWSLDNSIEDPLFSLQEKDLNVVRLLAEKTREVGEVAYRYAMEGRVTVLAMLLLVAEEKISAPVSVVIEGVRTKKSIYYSIVDEALSIGDAPARDSNERRKALLSEIQLLNQFGAALWRDRNIDKRSLPPLLKAAKVGDVNVTKMLLMGDVDVNEADPEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDTPSKTKETPLFLAVKNGSLDCVKLLLRSGASTKVQNLRKQRPIDVATSQDMHFILTSANVVPWNRSSHPKKSVTNKESCKEFLEDFGDYDSDDLNESFTGLKTSASHRDFRSSNGSAQGGKSKNHCVPKQGSKFVPRPNHWLKHDYTRKIFVGGLPLSVGAEYLTEFFTAEFGPVEEAVVIGIRMGDRVQPQGFGFVKFKREEDVISAKETHHVYMLGKRVEVKDAVARGFLPAEIQKTASFRHHSQEVPKVTHHMLDGELKEEHYIRKRRPLPEKCLPSWFFIFRKWLPGFLADAIERLGDRYPLSSLKGDFRAICRMELDQGTLGYPKLSDFMHSLPGICRMCVVPVGSGPATHMVLLPPVSRPKYVPLLEPFSFDHDELPESVSDHQSPRSPLTTNITEDSLRNTDSQKGDTCSESNVQSHQGDECCGSNTESQQYSASTDNGSLPSEVAFGTTDLVELVQTREHDLIAPASTRRFDFLELVSTREPNVIETVSLNQKIVSEPLTDLLQSGHTRRVGMIDSRSTCLGDFLVERVAEIPTRHINEDSNAQIFVVGCHGQLTLQVDLKKKTSSMIQAYEEKTGINHEYQYFIYERKVIDPDDTLSCYGIEKGSTIHACSRLRGGASMTLGQYLNRYKDDLLEDVILPDGRAYPVMKPEPSGVLRSWLKCFTTAFSKGYCWGENFLLSNFKVVNGKVEVIKEAKHQIRTDFLQAHLRKIDETIRWYFCRDGERFPPYLDELCDFLKLRRGLVLSEDAKEFIDDHVCFMNSYERGMLGIKLYKKLNSLSPGQKLKLLRALEKIAWDLSLMDDVDKLPLLCDIIVQVKAEGKPFPRTILGGFRLLRDYFAHIPEHQYDKATKPWKRKFRIYIGIDLMIPEPHQQCNNNPRMTGKQFKNVKVRSGSVNE >ONIVA12G16150.1 pep chromosome:AWHD00000000:12:17690158:17691207:-1 gene:ONIVA12G16150 transcript:ONIVA12G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDELADKCKAIEKLEDELIEFRNLLDVRSIFLQNASEIYFSKLLDEKKYCGCETHSLNTMVEERKLHTEQKDEEVKLMERTRARMKREELELEFAKSREQMLTVPSSAMSSLESGIDLTDSSSHPGIVVALLMGLLQQQLNIKFQ >ONIVA12G16160.1 pep chromosome:AWHD00000000:12:17691856:17704940:-1 gene:ONIVA12G16160 transcript:ONIVA12G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phragmoplast orienting kinesin 2 [Source:Projected from Arabidopsis thaliana (AT3G19050) TAIR;Acc:AT3G19050] MVRDLAAVRRTPARASTSSSASEVGNDENAPVDASDAAVVEPEAAAARPPLLAIQPPQSGLKRKPESPAPTPSKLPFRTPEKAAARSRFGWVPPRGEEQPPPRVGGTPYSAVSTPGRHRGKSSAAAASEGGGGSTQSTPTKSVTKPAYNIGMSASRPPMSGGQRGAGLGLGFSMAARGTPMSFAPVTVVNTAEVPHFELREDPSFWMENNVQVVIRVRPLNNTERNLHNYNRCLKQESAQSITWIGQPESRFTFDHVACEAVNQEVLFRVAGLPMVENCMAGYNSCIFAYGQTGSGKTYTMLGEISELEVRPSQDRGMTPRIFEFLFARIRAEEESRRDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLPLREDIRNGVYVENLTELEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERHPPEHRQRTSGAEGERLKEAANINKSLSTLGLVIMSLVDQAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVWYSASETLSTLKFAQRARLIQNNAVVNEDASGDVLALQRQIRLLKEELAVLKRQRAPRSLSFTSDIFERSGVDVDDGTESMNMDEENDNDAHDRRSLQDLRISNKQLRLLEETLAGAFRRESVAEATVKQLEAEIEQLNRMVNFWWQETTFVIFLEGIINGLLVYERENDTRSAKMTLKFREDKIHQMEALVRDKLPAESYLLEENNTLLKEIDLLRAKIDKNPEVTRFALENIRLSNKLKSYNQFCNEGEREHLLNEVSILRNQVLQILERRAEAEQPNNFPTNFELKRTSQELETCRGELQACLEANKKLAREIADLQNELSNIHSSNREGHPNVVEKFSSALNQYDSHAPEKKDQCFQEGFMINTDDILNLQLELDIIKTILAEERTTRAEVEKRITCLDDELKAANIHILQTCRQSETMQRELSDARSVIEALESQQIMLINELDELKESNQQSLEHLKKRDLEISRLNNELDVHCRQEFLAMEEPKVQLLKCFENDDSPLQTKLKRMQASLEKARKLNTRYQRDQSSHSSAQQEMDEVSRQVEVETAEVIMCLQEELISLQQQLDASSKNELLANQRIDEARLEREQLNDRLLEVMKENECFSALIEEKDKKIGMLTNDWDKLASDIGNFLLDGNAALDEASDQVAFISESISQRKWIEDQVQKMCRGISQRDELLKELQSRLKEADDIRCDLDLKLRSLRGAMQAINDTHQQEKNDQENAMSVLRSQESNERYVNQQQLQELQRIQLLLDESIESFVQKEVIEQSYISLQRAMEEVIHHLESQLDQSKRDLTQLLSETQDKEQALERLKNEENGVLLTVLSDVLKAKGVIHEFETGFNAIQSSFSVDPEEVVCQNSDLNLEDRVGCDPTGAFEAGEKHNGDVLCKLSKEMECVVYTMQMLQSQMVKLLQEKENAKEYHFQSQRTIKDVSAKVLQLKSEIIDKEKGYEARLKELEIKMQEKEKDTAESFISWNKEREALELEVSEAKSLAIQKSFEASTLISKFEEAQATISDADTTVNALVEANEKAKLQIQNFKENEALFLSEKERLLTEISSLKMLLDVKDQTYENLLLEKLEFVSSDVEWMKSKLQQFAELARTWLEENWLEIIGKDCAVSVLHLCHMGILLERITGLSAENGFLQRGLCESNSLISKLREHNDRAKNELEMCSVLKGKLLLDINHNFSRIAKKEQEATELNSRLDAFEKKILHLQAQEEAMLARSNSMYNELSILVEEIDATNKSALATESKEKEELRHQLDEALLCNAMLKDIIQEDVDLPQVNNYMKGCSEFELCNRLADYHNELVTTNIIAKDIESFVLSSELVQHKAQLQKQELMFIDALDGLTTEATLSRVDKDLGSAVIFSLLDDSNKIMIDFDNLKQNKDELMENLHVLSEENLNLRSVVGSLESSIESLQTELDGKTKALMELQYSHTTILEEFKLKSKATELGVSRENDLRSENNLLKHEYLDIVRKEQMMAELVANLDSEKLFVTIQGRLEQVADQVQMYTSDQLNMVTKVSNEIDFIQMSIEGLITHNGFLQSELIRKDELAKGLSFDLSLLQESASVAKDQADELIQLTEAIESLEQELDSKSNELVDAVSGRQLLEAQILKSNQKVSALEEQLASKINELKEVSVEKDELMSKLNHIEGISYTMEDELADKGKAIERLEEELIELRSLLDARTCFLQNLQNDFSKLLDEKKYCETQVLILNEKLEMAQALAEESEAIATEAKQMAEERKTHAEEKDEEVKLLERSIEELETTVCALENKVDIIKEEAERQRMHREEIELELQKVRQQMLAVPSSGQATSSLEGGMGDFTDSSRHSREIKNELLAAQENIRILQKDVAEKETEIAQCKAHISELNIHAEAAAREYKQKFMELEAMAQQVKSDNTSANACSTRPEKISLKPRGSGSPFKCIGLGFVQQMNSEKDEELSAAKQRIMELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMATWAALVDNQQQMDTQESAVTQAHESKEQSDELMKLRSQLDELIEERQSWLDEINQRQSELGAARITIEKLRQKEHFMVAEIELLKAENANGKAIIFNLEDEVKKLTRQQNLQLRINHHNEELSAKLQKLGAVVARTKEELARYRVSDGKDPYEQMEEEELLRNRLEESEQDRSKLAENLSSLCATVLKIAGVRNHESDASLLKALEALNQIQLRIASMEAGVEDLKLKCKLLHEKARLSELRSESSSLSSGRSRSPSVCRSPSISSFR >ONIVA12G16170.1 pep chromosome:AWHD00000000:12:17710990:17713008:-1 gene:ONIVA12G16170 transcript:ONIVA12G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLHQSPVPLLPPPRVVGVQQQQQQEAVVPPPPAMAAAAGGGGREQCPRCASRDTKFCYYNNYNTAQPRHFCRACRRYWTLGGSLRNTMSPTAALAIFSRKSGAGCRSSTIAIFLHKSGAEAQLGTTSC >ONIVA12G16180.1 pep chromosome:AWHD00000000:12:17716165:17718590:-1 gene:ONIVA12G16180 transcript:ONIVA12G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWNRLGPAPPNCATDLPWDTAAPRDHLDSALPVRATESVPHRQSTPPLSRVAALRYHLVSAYPACGEGVLYMGLKWVGKEDDRAFKIVEIIDYD >ONIVA12G16190.1 pep chromosome:AWHD00000000:12:17719889:17720266:-1 gene:ONIVA12G16190 transcript:ONIVA12G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHEAAAAAAAAAASGGGGGGGLLVSLLGAAPVLEGRLGGGIGVDLLGGEQLGFGAMAMPPAPLLWPARVLEGGDAWKSAAAAAGVSYFPFPALWQELAAAAPVELAGGGGLLRHGGGGAPQLM >ONIVA12G16200.1 pep chromosome:AWHD00000000:12:17732767:17735493:1 gene:ONIVA12G16200 transcript:ONIVA12G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSHCAAVLLAKNHRRRGGGGGSNGHRGDDPASFLRQLRDALDAASEDGSLCPPPDAAGAGADADADAAVSRSRSLARLRAQRDFLRATALAAAGGPFRSPSDIPLLPAAIAGFLAMYPDYATTSDVDRLRVEHYSHLDAAAPGGGAGGRVCLDYCGFGLFDSGWDSSSSSFTLSELNANLSNHALYGGAEAGTVENDIKERILEYLNVPASEYALVFTVSRGSAFRLLAECYPFETNRRLLTMFDHESQSVSWMAQSARAKGAKTRTAWFRWPTLKLCSTELRKEIVGKRRGRRRDSAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVIGSLQGRNGCNASGMVRIVPVFPQYLSDSVDGFDAMDGLEDDSGVHKDEKPASDARNGSQLPAFSGVYTSAQVREAFESDPGRDSSSDRDGASTIFEETESISVGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKGGHFKKGKLGSPLPSSWFAGRKSNKRMSPNLTSRISRSPLYDGQVISFDAAVLSVSHDADCLKEDPEEEIYENGRRNHFRQVSEIQEEPEVEEVVCQHAMNGGGDHKESAIRRETEGEFRLLGGRDGNSRFAGGRLFGVEEIDAGLSMGRRVSFSTEANIIADRLHRASDAAEASGYAFRDDDGCVSDGYDDAQDWGRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLRLPGSKGGDGDPLVHIYGPKIKYERGAAVAFNVKQSDGTFVNAEVVQKIAEKNGISLGIGFLSHIKVDLNHKQLNGAFDIPEASFYKNGRKDSKKVTIRVEVVTASLGFLTNFEDVYNMWAFVAKFLDPSFLESERLTIAADHSEGQT >ONIVA12G16210.1 pep chromosome:AWHD00000000:12:17763596:17766941:-1 gene:ONIVA12G16210 transcript:ONIVA12G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQIFQVSYKLREGNRVAHRLADLGSFVPDLALPPPELTGVYAAAGRWEAEVRRWRPEAAGPAPGHVDWGWLEVVGRVVESGKTLVMESL >ONIVA12G16220.1 pep chromosome:AWHD00000000:12:17768957:17769211:1 gene:ONIVA12G16220 transcript:ONIVA12G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLARSQLAEGRRSGEAEATCYRCWEGGIRVGATNHGSRDAEGPKQKPSLVFHWVGSGYTFGRGNLPGGTVEVPLCPSAKISG >ONIVA12G16240.1 pep chromosome:AWHD00000000:12:17777944:17778237:1 gene:ONIVA12G16240 transcript:ONIVA12G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATATASGGLHAAGGEWLGELSAALQGKWQAMVSTDQRRRRQRGDEADEKKGVAGAGAALGVETRRRKEEGDVGACGGAMSDTTVFLLLDHFAPS >ONIVA12G16250.1 pep chromosome:AWHD00000000:12:17778850:17783325:-1 gene:ONIVA12G16250 transcript:ONIVA12G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEYSFAAEYDGPPLPYSLPRAIPLDLSRIPLASLSSPTSPPPPTAPPLPVVRPLTPSSLCSAIHGHRAGVSSAAAGAAAAQSSSSSSSAAASASAGGGGGVAGAAAVDSPTSVIENHHAALHHSAELPSTPSDDDENADEDDYDGRRAGAPPPPPLKSHHQPMVTFAETSGSLLQSSEDDDDDEEDEEEDEEYGEDAMPVRSSGSLSPAHWRGASKSRGCFRCGKGGSFWARGDKEACLACGARYCGGCLLRAMGSMPEGRKCLDCIGRPVAESKRDSLGRGSRVLRRLLSATEVELVMRSERECAANQLRPEDVYVNGTKLSPEELVMLQGCQCPPSKLRPGFYWYDKVSGFWGKEGHKPHCIISPNLNVGGALDQKASNGNTGILINGREITKSELQMLKLAGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPMPNKANNQCGEEPVNVVNRPIPDYLEQRTIQKLLLVGSGTSTILKQAKFLYKSRPFSVEECEDLRLIIQSNIYNYLGILLEGRERFEEEAIADRRTTCQSDPSSSGHCEPELCNDVTEYYIAPRLKAFSDWILKAMAIGNLEDIFPAASREYAPMVEELWKDPAIQATYNRRSELPFLPSAASYFLDKAVDISRTEYELSDMDILYADGITSSDGLASTDFSFPQLALDGRGFDEPDPQDTLLRYQLIRINNKGLHENCKWLQMFDDVRLVIFCVAVSDYDEYYEDANGNVVNKMMETRQLFESIALHPIFEQMDFLLLLTKFDILEQKIGKSPLTSCDWFSDFTPLVSRNLIIGTSKSSRGSSTGSTLAQMAGHYIAVKFKSVFQSFTERKLYVSYVNALDQESVRSAIRYGREIIKWEDEKPVFGSSETTYSEEPSSYSH >ONIVA12G16260.1 pep chromosome:AWHD00000000:12:17793901:17796472:-1 gene:ONIVA12G16260 transcript:ONIVA12G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGLEMPATSATTAHLRPSSYTTTTAAEIFHYLSADSVAILVLGHLAVREREPGHQLVSFWVPFVLVHLGGQDTITAFSRQDNELWLRHLLNLVTQVAVAGYVVAKASWPDVRLRAAMIIMFVSGSIKYAGRTLCLYLASPEKVRSNAQNDLSKPQTPDFQPSSMTSYVFDCILEGRYPGNDSGRRETYFFSVDAPQNMLQSTTGANDVPGILQRKFVSNPSRYKSYVYVGEYLEIFLPANLPTGILRAANYIVPAWARKRWSEELAQYSMIKSQCAAVQAYTIRQWKAAAAGSALDKSTSSGVDFSTSVLIWHIATDMRYYTTTRDGDSSSICSDDDRVKTTKERKEMSRQLSSYIIYLVFNCGVMCLLASPRLYMTKLKLKSPNIFRQGNNPDEKVAVVTKLLLEGGNNNDDDDGDDQETVQATVLDVNQQAGNNNELLQRAKAALYYPVVPHAQKVAKELININDEAHRWGLIADVWVEMLYYTAPRCGAAFHYEHLSTGGEFVTHILFLMQILLPLLASLLGT >ONIVA12G16270.1 pep chromosome:AWHD00000000:12:17803130:17803567:1 gene:ONIVA12G16270 transcript:ONIVA12G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVFVLRKNNSENIKKLFRGKADAILIKVVKEFPSHANTEMGNVQTSDTIANFWHAVPDLFYLLV >ONIVA12G16280.1 pep chromosome:AWHD00000000:12:17817758:17819155:1 gene:ONIVA12G16280 transcript:ONIVA12G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKKLLQFLRPTDTAVAAARLSDDDDDGGAPSSVPPSPMSTWSGRTSAAASPSPYVMSPWVNLPGFGVGGGDEMVAGGGGTGLLGSLVKEDGHVYSLAAAGELLYTGTDSKNVRVWRHRREFAGFRSGSGLVKAIVVAGDGRIYTGHQDGKVRVWRASADDPAVHRRVGSLPGLGDVLRSAVRPSRYVETRRRHSALWLRHFDAVSCLSLDAAAGLLYSGSWDKTFKVWRVSDSRCLESVRAHDDAVNTVAAAGFDALVFTGSADGAVKVWRREPGKGGATRHAMERVLRKGESAVTAIAVAAEARVVYVGSSDGAVTHWQWRRGGAGVAGPPRNGGALRGHRMAVLCLAVAGRVVVSGSADRTISVWRREEGADHTRLAVLAGHTGPVKCVAMDEEDDTAGDKRWVVYSGSLDGSVKVWRVSSTPDAAAARTLAHGWKATPSPLGAWTPYAATPARKRMAAA >ONIVA12G16290.1 pep chromosome:AWHD00000000:12:17825037:17825511:1 gene:ONIVA12G16290 transcript:ONIVA12G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRIMPTSSRFDQEAFAEELNRIIGGLAAAGDDDPGAAERRYARLSEADREELRCVRRAFANLDRAPGCRRRGGPRCRIRFRLVGGRLVREEGEEEEEVKGKGKGKHEGVPTANGGVGESPSSSVAAAAGSEEEVEEDELCSAFRSACGASS >ONIVA12G16300.1 pep chromosome:AWHD00000000:12:17826407:17845521:1 gene:ONIVA12G16300 transcript:ONIVA12G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT3G50380) TAIR;Acc:AT3G50380] MVLAEAARARLLAALRPWLAAEPRELRVELGLLRSRAVARGVELDAAALSDAVPGSFPARVDRVAVAEVELVASPWAAPGLDAVVRGVDVALTLREPAPKKQRPDIKEWISNEKKRVIAAMDPQGQMLHGKIEDLVSSLEDKFTSVFSTALLNCSKVRFDDVTVQVRYLDDSHLVILRTHDLQFGPELVFRCSLFRGLVGSYMPSRKKNHMFVKCDHFEFLLKGNDHTDCTVSLTGTTASVRLDNLHLTAFGIHVASAFWEIAPKFIPSLMVILEITSQKEDYEVRSGRELWKIAAQKLENSIACRRFSLRKAMSCASFWQHYVHTYILLLSLLGYPSGEVIKRNCSRVQSTRKVRETIRNHLKTVSELEEKIPVEAIARGRSAARSKLTVSQQQSEQELSKALLVSNTLKFLSPLLYVWKFLVFICWSLWRFMSSRSRGCKSSVQNFPCASDDSEIKVQFSICLGELSVTFLPLSDHHFTGTPKLNNGNKAYHIDTPSVHLVIKSSSILYTDGFTTQSFFFVIGELKADVSGIPKLLQAANGSITRRNSSFGTEEFSEDINSKTILWSDSASMHPFSGKQPDESFSYNGDSSIALLQSDMEELWSFWTVVSTFYNDSGVMHHEKPSVIFEFKSFLIDPYKSTSGFQQCRFTVGRVNLDVDYLCASSTYLLYRQFVHYKELKELTEKSAEFSNRSDSCATRTSGIADKLRSFNQRLKFLIADAIPINTLQISALIAGPSIRLIFDKNSLLQNSKNKQVPLFSQMNNTSCITLSLAYVECVIWPASLSSLTQKADLHVKESHDTFDGVEEQLESHRLALDSAGHVYSGTVVLDSCFKFADLTLLVDHIEANQQSHIFGPMSANFQLSTSRKYASSFFVTRNILSINLGGRIVGCMAFLFMDDLFPIFQVIKGMQMLALNSELGDIKYSQCFIGRLASFCNRHMDGSTMGTAVEYIIHEETVDCYTELVAEMKLDLEPTHIIVSASRDGLIFNPAMFSNSDINYISSSTVFEGVAALESLDILALGIWFSSRSSSLKLLLDGECTDLLVNLSGIQSVVFENQPQMSICDDILQYSTVLSSSPYDKSQFILSDCVFHLCAGPNKDSLMNDKMQVESISGCSTDSSGIYYFIELEFTEVYIGDYNMHNFLIEVNKPSKQKIALLIHDDLQIVKCKIKGGLIFLETLSLAKFVVCCKIYFRLLMDLSSWAASNSVKDSVTSVSAGSETTVTNRPHVSSGVHSQSKESQLGSVKCLDVDLSQFSLTLAIADESGRYQGLTLEVDAILQQLNLGMKILFEVKRISISSISIMPNTGHVKLRDVPAPRFRSSKSLALPSQSEIQEYLPFLEADNVLTYDHDAPSSSNSTVESSTGNPPLELSSHKSYILRHFATYLKLEKKELNGDSNLMRSSGDWFGNGSVSGLEMILSLFAPFHEILRSGSTQKEIQTGDTPHQELLDNRDYTIPDGAIVAIRDLDQQMYVSIKNTGKKYQVVGTYHYSLSSECALFKVKHHKGWRSDTPCISLLSLYAKTDEGKELALSFSHGSDLVEVSSSVDKPSSLWTTSPLRFDGFEDDGDDGKYCKIISRSSNHLVNKKSKYGIAFNDGLLEFVRKPGNPFKVKVLDESLFSDVARPFVPNVNLDNNTYLDVENELPFGMGDSLETGVSSQHVIISIDKIVFTITHEVLDTGNVFPLVQNCINDTRIITQIFPSKIRILSSFKVIIHYFNARKYLWEELVSPITAYMFFRHRFFNLVPVTRCRRMPLRFFVHLKQVDIFVNELSIDILLYVAGKLNVMGPYAVKSSAVFPNCCKIENNSRLTLVCHFQNNEDAIVSGQQSASVFLRHLTFEDNHPPDQSIVSISLFKEGLFSTAPINVSLQDSGVFASRTRVLSLKDSRSFSGPFVVVKVSQNSEEGLSLSVQPLLRIYNKSDFPLELRFQRPQKSSEEAAFVTVRSGDMVDESTGVFDSMDLSGGSKRALMSLALGKPEISEHSGNFGPTTLVKWSEDITGEKAVRISGVMEKLNYNIRRAFSIDSMKSSFSSLSCDVSIDGQHVTALHFLVHTLSREVPLHPTNGSPVFDRNATVAFQLQREIFIYPTVQVYNFLQTDIHVILTDCEPENTRDDNFDIIGKQATITSGSSAYLYVNPAMFTFSVKLISYGSKSKAVNTSDWVKRMQKQISRAQFLDMELEFVIGTGRFHSSLRLLRQEKGFLEVAVFTRYTLHNTSDYPLLCTAPHKKSLPMYVNLNNWSGTVKETINLPPQDGCILASMSMNSWFTRSSKLRIGLQHEKGSEAFIDLEALSGFTEFSLEIHDNILPRRMATFGMYLQPVLYDLPVPSQVVLIVPRYVFSNESATAVAVRQCFVEFCPKETGYCWSGPICVSSIGRFFVKFRRSQGTVTDDMKRGTLQDGKWKQFASVDVIQETASFVLHFNTPALREIKIDKISPWKPFLKMSQNSRLNIDSSFNNGLSSGKQRFDESFGLRVFKIGYEVYADGLTRVLRICEHKDNPKADNIEHPIANVQFRMTYMCIHLLEKGQQGEEKGQSPSAILAARFQHISADSVITDRYRHISLAIHSVNLDEKWEGASFGSILRRNKLQDATLSENILRIIIKLNSTNSSVKQVQYCSIILQPIDLKVDEETLMKIVPFWRTSLAPPGTPSTQFYFRHFEVHPIKIIASFRPGSPYTTYSSAQEALRALLHSVIKVPEISSSAVELNGVLLNHALVTFRELFLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVIDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSIVRGAEANGFNGMVTGFHQGILRLAMEPSVLGQAILEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRIRVIDDQVILKNLPPNSALINEIVDNVKSFLVSKGLLKGDSSTIRPLRHLRNEPEWRIAPTVLTLAEHLFVSFAVRVLHREATKAIAGVVSKAKRPAGGGEDDGKGESPSSSSVGVLARRSRVWSVGRFAVSGMVAYVDGRLCRHIPNPIARRIVSGFLLSFIDSRDND >ONIVA12G16310.1 pep chromosome:AWHD00000000:12:17846598:17852471:1 gene:ONIVA12G16310 transcript:ONIVA12G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQVGSSNQKNLNVACYEDLTKILGLSRFDSENLSDVSNTGLPMRCALDPTNPARMRFIPPENGLKSADVSSDNLQDMSSCCDSPHSQSGKAKFMCSFGGKIMPRPSDGKLRYVGGETRLISIPRNFSWNELVQKTLKIYSQPHIIKYQLPEEDLDALISLSCDEDLQNMMEEYSSLEKANSSPRLRIFLVSQTECEDSSLDSRSLESEPEYQFVVAVNNLAQLKRSTSGNSLMSQSKHHLDNSPLHFRDTPVRQTDRDSGAKSLGGNSLNEPPSQFFIDPFTQPMVSESSATPSACLTRQRTMKQSRMQSSADKSILNQEYENKSEVYNGSNLKTMFPDHQHNKQNNTDTVIGVGTSPRHFQIQSQVKDLAVPRNESGLSSHTNYDMPIPVETPFYSEKLSVHPENAVLSAEGMTHDFSDPLLKDRTQVLAANLSLAAGSHIASSFSQEIYQTKELERKLSVTRPDFVCVKPTDVARTEEPRHLVSNHTDQPYNQGIVSGTSVEPTIYYQQDSLSSNVRQGHDGGSTVQQWDKPYHQENRAGTNVAHQFSFVDTGLKSYCARGARMSSDELDALESSVPTSVPANDHSCSFLNECSIGSRIENSDLGSQLDKLNSGRAAADYETAGCVSGNDKVFLPINSFDAFASQISMVNRESSVYQNGKLDQSSVHNYGLATSPLTGMSDSNVSANLPSSQNPFPVCVSSREVPLEYNITRNYVVNGFDNESMKLNDRMHNNVQMDAPVIVEDATDNAPLGILSSRPLVPLVEVAAEEQQQVIISSLKDDDARSDVPELANEDHDDEPAADGSISDAAVAELEASMYGLQIIRNADLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQEKLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLLRKDRMLDRRKRLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIALWEILTGEEPYANMHCGAIIGGIVNNTLRPPIPKNCEPEWRQLMEQCWSADPDIRPSFTEVTDRLRAMSSALKGHSQGNK >ONIVA12G16320.1 pep chromosome:AWHD00000000:12:17856007:17857311:1 gene:ONIVA12G16320 transcript:ONIVA12G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESQWSSSSSLPPAKRNPSPWQSELCSDLLGLVLQRLPSHADRVRLRAVCRSWRAAAAAAGAPPPMPWLALRDGGLIDLDGNPVRCPRPILRDGVVSFHPVGGGNLVFLEHDDGGCSLMNPLSAASGDRDDPLLPLPELAAAVRRAVDSCETPTVATYTPKPSYSTVIMSSSPVVADSSSSPPDTLVAALILNRCAVAISTCKRHDGAAASFSFMDERSRIRSVWLRATAICAIAFLHGKLYAVTSKEGLHVLDLDNGGGGEGGAVFRPCIADDPEKKSVHVDVERRGHLVVRYLVESGGRLLMVRWWKSLPPPVWSADRPPSRFDFLEAADGLGRWKAVDSLRGRALFLGKADSRSVVAGGGGGGAGAREDCIYFMRRSFWYPSKEEDFGQSGVYDMRSGEISSPQLPERGTAELRLHCEYPRWFYPADYSY >ONIVA12G16330.1 pep chromosome:AWHD00000000:12:17859613:17862901:1 gene:ONIVA12G16330 transcript:ONIVA12G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPSRLMLRFRDGELRFAATDDALRVPLGYAIGAPFIRNERIFRLLDEYARTHARGGGADTVANIAAWDRDFMAREVTDTVTLYDLFVHPKVWNIEVMWLHRLECFILAIVVGATALGIDGLSDLCAQMTADAVKGRPVGEVKALLGITDVGMTQEEELKLQQDNDAILYLR >ONIVA12G16340.1 pep chromosome:AWHD00000000:12:17863627:17869253:1 gene:ONIVA12G16340 transcript:ONIVA12G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARARIVASAVARLNLVPWATRTHATPAAALAPPVGDIPHAQWRGLPDDVVARVLVRLPVVDLFRLGYLFSPRWLDIWRANPLHLHDRQFASPRIAADDVADAIANVLELHVGDGVQFVGVQGGVGSDDDDDGDGGGGDEVVGADGDDLGVIVNPGLVDDDDDGGDDHDGGLDVAEDEAAVQNAGVVDDSGPGVAVELEEGPEDEASGVEDESADQAGRHRPPSPGGIGADDGVISDDDLYGHDDIPAGGYEIGRVYSFRLETTRWRLDHLDRWCAALQRGRVREVILANLAIEGHPDLPQGIRDCGTSLKGLHVSFTVEADHIDPLVNLRGLGLCGCAINHGVISRALRPESEIRGLTVDFNRQLGDVSVQNTRLRSLEMFDNLMEGSTITVDDAIQFRNLDLYPTRPSRICIVDAPSLRRIGSLDLFITVLEIKGVVIQAGMVQRPPKKRSVRILGLRVNYTEMGHRVPREIEQILKCFPRLEQLEIMRDDEVTQEERLLEADDEHIYQGNNFFRDLGCFKHHLRRIYLTGFRGGKYELALGKAILDEARAGTQFKMLLPLGSNTDNISNQQRWLIEHFRMNTPNDAVRDGHVSIILKREAAACSTRLRPVEISDSPERGMDNVRRSSVTSVMSGIGSSPAALTESTSRATLAPPSNRHADWRGLPDAAVARVLDRLPVLDLFRLGYLFSPRWLDIWRRLPLYLHDHQFAAPPIAADDVAQAITNVLELHVRNGVQFVPVQGGGGGGGGGGHGGNEVAARDGGGGDVSSDDEEYGIYDDVTANDDGGYEIGRVWCFRVETTPWRNGHLHRWCAALRRGRARVVVLANLYLLEHTRLPRALLDGTSLVALHLFYFTVEAYHIDRLRGLGLYGCVLEPGMIERVLHPESEIRELAIHSAMGGTIAVVAAAATRLRSLRMFNIQVGTVAVDDAVELRNLHMRDTRPSRIAINGAPRLRRIISLDIFHTVLEIQGIVIQAGMVEQPPEIRSVRHLGLRVNYTAMVDMLPRQIEQILRSFPRVKSLDIWRCDDVTQAEGLLQWDDVHYDGSNFFDGLESFNHHLRWIYLRGFRGGKCEVALMKIMLDKARVLTLLRMEYSPLPSSLIEHTLNELDLSLWIFKTHTPNDAVRGDLVSFVAADASGRCVRLAAQG >ONIVA12G16350.1 pep chromosome:AWHD00000000:12:17872928:17873239:1 gene:ONIVA12G16350 transcript:ONIVA12G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVSKNSPPATARHEDGGAGGAAPPVTSCLYLHRPEPGAGALDKDAVLRRIRHRRRANRLRESLQSLLLTQQQQQAAPPPETAADKGRERLAWLDDAFSSP >ONIVA12G16360.1 pep chromosome:AWHD00000000:12:17874552:17878886:-1 gene:ONIVA12G16360 transcript:ONIVA12G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSAIPAVAIMPSPLFLWRFKVVLFLLWGLCCCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYARVFDLAQTHLSHREIWRVLLYAILLIVLLSPFDMFYLSSRFYFLRTVWRIMLPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATTAWLEADSICGSHSVAIPLVLVFPYLCRLFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPDKWVSFYRPLWLMSSVINSLYSFYWDIKRDWDLSILTRIFMFKNPSTWTNLLYGQIWVYYWVLASNLVLRCTWTYKLSAHLRHNYLTVFTIAALEILRRFQWVFFRVENEWNKMTAKQSLEMSSDMPSEGDRLLDSNSHTV >ONIVA12G16370.1 pep chromosome:AWHD00000000:12:17888356:17895458:-1 gene:ONIVA12G16370 transcript:ONIVA12G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPVDGFSADANLPSPRRSDCEVALEKNSLYEYPTPAISKFETAERERERESERERGGRGGTEAACSTRLRRDSPERNGEARARVVASAVARMKPPRATVTHATPAAVLAPPVGDVPHAGWRGLPDDVVARVLVRLPVVDLFRLGYLFSPRWLDIWRAEPLYLHDRQFVSPRIAAADVADAIANVLELHVGDGVQFVGVQGESRSDDDDDDDEDDDDDDDDGDGGGGNEVVGADGHDLGVVVHPWREDDDDDSDDHDGGLDDAEDEAAVQDAGVVDDSGPGVAVELEHEAADQGGGVRVVDDSDGEGAAGRCRLRFPGGIGADDGVISDDDLYGHDDIPDGGYEIGRVYSFRVETTRWRADQLHRWCAALQRGRVREVTLTNLTMEGFPDLPQGILDCGTSLKGLHVFFFTVEADHIDPLVNLRVLGVYGCSGMITRALRPESEIRVLTIDFNHLGDVVVQTTRLRSLWMFNNVVQGTVIVNDALQFRDLHLLPPTRPSRIFIGDAPSLRSIGSLDLFNTVFVIKGIVIQAGMVQRPPKMRSVRILGLRVNYTDMGHRVPREIEQILKCFPCLEKLEIMRDDEVTPEEGLLKADGEHIYQGNNFFRDLGCFSHHLRWIYLTTFRGGKYELSLGKAILDEARAGTIFRMVPPPGSNTDNIVNQLRRAMQHFRMTTPNHAVRDRHPIARSKFETAERRQLAPYASSSAAWRGRRRPPAKSPERARWPERMDHESIVASDLSIYPPPPGTRTLATPRVVLAPPPVAVVNQPHADWRGLPDAAVSRVLDRLPVVDLFRLGYLFSPRWLHIWRARPLYLHDRQFTTPPIPAANVANAITNVLHHHVGEGVQLLPVQGGGGGGGGQGEGALVGGGDADEAVTSDDEIYEDEGIIQNAGHEIGRVYCLRVETTRWSLDHLVRWCAELQRGGARVLMLANLAIPEHPELPQAILDCGASLLGLHVFFFTVEAYHIAALVELRALGLYGCIEGHGMIDRVLHPESPIRKLAIHGGMGRTFAVAGATRLRSLVLFDNQVGTVAVDGAARFRNLYMSDTKPSRIRIGAAPRLRRILSLDIFNTVLVIQGLAIQIGMMEPPPQIRSVRHLGLRVNYTEMDVRLPRLMEQILKSFPRVKSLDIMRCDDVTQAEGLLQWNDAHYDGNNFFDGLESFNYHLRWIYLEDFRGGKCEVALMKAMLDKAINDTILDKQRPPALTLNQLDLSLQNFKLHTLNGAIRGNLVSFVAADASGSCRDDEVTVAEGLLKATDEHIYKGNNFFHGLRGFRGGKRELALIKAILDEARAGTRLRMEYSTRSNPELTMDQLDQLVSPDFRAAHSK >ONIVA12G16370.2 pep chromosome:AWHD00000000:12:17881518:17888356:-1 gene:ONIVA12G16370 transcript:ONIVA12G16370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETIVYPLLQLIIVELAYDQQLKRRQLAPHASAVSRFPTRRRGTMEEDRRRSAAFVMSGFKSSPTEATESTPRALLAPPSTNRHADWRRLPDAAVARVLDRLTVLDLFRLGYLFSPRWLHIWRLLPLYLHDRQFTTPPIPAGNVAQAITKVLELHVGNGVQFVPKQGGGGGGGHGGNEVAAPDGGGGGGGHGGADDSSSDEESGLCDDVIAHDAAMLNGGFEIGRVFCFRVETTRWSLEQLNRWCAALHRGRARVIVVANLHLPGYPRFPQALLDCTSLLELHLFFFTVEAYRIDRLLVLGLYSCAWGLGMIDRAIHRESEIRELAIDGVEGPTFRLADTRLQTLRMYENQVGTVAVDNATRLRKLHMHHTWPSRISINGAPRLRKIVSLDLFTTVLEIQGIVIKAGMVEQPPEIRSVRYLGLRVNYTTMVDMLPRQIEQILRSFPRVKSLKILRCDDVTQAEGLLQWNDAHYDGNNFFDGLECFNYHLRWIYLTGFRGGKCEVALMKAMLDKASVLTQLRMEYSTGSLPQLTLNQLNLSLRNFKLHTPNGAVRGDLVSFVAADASGSCVRLAVIFFLSSGHRHGVISPPRRSVSHPPADGHRPAMSKKR >ONIVA12G16380.1 pep chromosome:AWHD00000000:12:17896841:17897986:-1 gene:ONIVA12G16380 transcript:ONIVA12G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAGAGAGAGLPMVKLRGGDGVEFSVQARRLAELAPRHVWDLPAIESGDIYDTVQLYRRNAERFTSRATGGLLPQGVLNVQTIFAQRVNDLDTLGHLTRAAIVLGMEDLKDECYNRMLQDHQMGPQQVKLFLQNVLGHP >ONIVA12G16390.1 pep chromosome:AWHD00000000:12:17899033:17901704:-1 gene:ONIVA12G16390 transcript:ONIVA12G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAMEAAPAPVPLPLVLRFRNGEDRFALTDQPRQLPLGYAIDAPSIRSARTFGLLDEYARVHAQHARGGPGAVPDIAAWDRAFMEREVTDTDELHDLFMHRHLSNLFHRKMLHIILVMADVPVEYFLAWNHACSQAASTLEMDGLSVLCAQKTADVVKKRTVEEVKALLGIADVGMTPEEELKLQHDNDAILLPPLTTRSADHHHHHRYFCR >ONIVA12G16400.1 pep chromosome:AWHD00000000:12:17902306:17902882:1 gene:ONIVA12G16400 transcript:ONIVA12G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFIVNFIPDTFSSVILCAKKKTGWKWRGFFYLHPVLFSRRGWSRGGEGVDTARSPPLGMVKGDGDGEAKSESGSVVPPHKSNSFSSVATTTEWWVRLVAKGRDVAGSTSLVELKPSELACRCDSDGPPKLLGIYMVARRGGSEDDVYLPPLLSSLGHPQCETLLECPH >ONIVA12G16410.1 pep chromosome:AWHD00000000:12:17909630:17910349:1 gene:ONIVA12G16410 transcript:ONIVA12G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAGGLLLLLLLLTIPDDGALSASPAAAGGERCRRQCGGLDAVPYPFGFSGSCPIKLACNESGSTAALILPDNAAADTAAESYTVVASSFNRTASTFVVSLPPACNRTVAGARRRLSGANYGLSSRTGMFLRGGCRNATGATACSVPAEVMSKMLRTAQCAGGDEAASASSLTCIASIPPNSTAEARGVGMFARWDTVEEPRCDNLLTSAFYGETPEGVFALELAVAELGWWVNGSCA >ONIVA12G16420.1 pep chromosome:AWHD00000000:12:17912203:17919624:1 gene:ONIVA12G16420 transcript:ONIVA12G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAGGFVFLLCVHLFLIPPDGALSAPGGGGERCRRQCGGLVVPYPLGFSGSCPILLACVDAGNSTAALILPGGGGGGNATTTTSSYSYTASNFNASRSTFVVALPPSCSRTVSEARRWLSGANYGVSSRTGLFLRGDCHRNSSASNATNCSIPAETMSRMVPTASCGAEGNTTASALTCVTSTSPAPDPAKPESSSGSGIVGLFAEWKKLEEPSCDNLLTSVYGDTREGVFSLEFSVAEMGWWVNGNCTHGGGGGDLAWRCAPNATCLNVQTPTGGWGHQCKCLHGMVGDGFAAGEGCYFAATATPGPFKHVNIYAPANSFPFRSPAELAKLKMHLAGGRLLLLLCVGVQFLLILPYGALSASPAAAATGGDERCRRKCGGLDVPYPFGFSGDCPILLACDEGNSTAALLRPTNGTSTTMEPLSYAVVGKSFNSTASTFVVSLLPSCNRTVSDARLWLTGANYGVSSSTGLFVRGCQNAKNNSCSVPAEAMSSMLTTAKCGGGGGGGNGTASSPVTCIPTMSTEADMAKGVGLFAQWDKVEEPRCDNLLTSVYGETTNDGVFTLEIAVAEMGWWVNGNCSNHSAAAADLVGLCAANATCHDVRTPSGAWGHQCRCLEGMDGDGFAAGEGCHFPAKKSSTKKILIIVGGVLAGTVAAGVLFLCCARCRRSGGGGGRSGFDRLAAKRLLSEAASSSGVPVYSYHEVARATNSFSHTHRLGTGAYGTVYVGKLPASSPSLVAIKRMRRRHDDGDDDAAVAVLLNEVKLISSLSHPGLVRLLGCCLDRGEQILVYEFVPNGTLAHHLAGGGLPWRARLGVAAETAAGHAGNFHLSDKSDVYSFGVVLLELITAMKVVDFARPAAEVNLASLALDRIGKGRVDDIVDPALVDRADEWVMRSVRHVSELAFRCLAFQKDVRPAMSEVAAELARIRDAAPASVPGARTGAGSRPPMVIDVGVGFDGVDAAVKKVGSPVSVQDVWVSDQSSPSTNGSMPRFA >ONIVA12G16420.2 pep chromosome:AWHD00000000:12:17912203:17919624:1 gene:ONIVA12G16420 transcript:ONIVA12G16420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAGGFVFLLCVHLFLIPPDGALSAPGGGGERCRRQCGGLVVPYPLGFSGSCPILLACVDAGNSTAALILPGGGGGGNATTTTSSYSYTASNFNASRSTFVVALPPSCSRTVSEARRWLSGANYGVSSRTGLFLRGDCHRNSSASNATNCSIPAETMSRMVPTASCGAEGNTTASALTCVTSTSPAPDPAKPESSSGSGIVGLFAEWKKLEEPSCDNLLTSVYGDTREGVFSLEFSVAEMGWWVNGNCTHGGGGGDLAWRCAPNATCLNVQTPTGGWGHQCKCLHGMVGDGFAAGEGCYFAATATPGPFKHVNIYAPANSFPFRSPAELAKLKMHLAGGRLLLLLCVGVQFLLILPYGALSASPAAAATGGDERCRRKCGGLDVPYPFGFSGDCPILLACDEGNSTAALLRPTNGTSTTMEPLSYAVVGKSFNSTASTFVVSLLPSCNRTVSDARLWLTGANYGVSSSTGLFVRGCQNAKNNSCSVPAEAMSSMLTTAKCGGGGGGGNGTASSPVTCIPTMSTEADMAKGVGLFAQWDKVEEPRCDNLLTSVYGETTNDGVFTLEIAVAEMGWWVNGNCSNHSAAAADLVGLCAANATCHDVRTPSGAWGHQCRCLEGMDGDGFAAGEGCHFPGVLAGTVAAGVLFLCCARCRRSGGGGGRSGFDRLAAKRLLSEAASSSGVPVYSYHEVARATNSFSHTHRLGTGAYGTVYVGKLPASSPSLVAIKRMRRRHDDGDDDAAVAVLLNEVKLISSLSHPGLVRLLGCCLDRGEQILVYEFVPNGTLAHHLAGGGLPWRARLGVAAETAAGHAGNFHLSDKSDVYSFGVVLLELITAMKVVDFARPAAEVNLASLALDRIGKGRVDDIVDPALVDRADEWVMRSVRHVSELAFRCLAFQKDVRPAMSEVAAELARIRDAAPASVPGARTGAGSRPPMVIDVGVGFDGVDAAVKKVGSPVSVQDVWVSDQSSPSTNGSMPRFA >ONIVA12G16420.3 pep chromosome:AWHD00000000:12:17912203:17919624:1 gene:ONIVA12G16420 transcript:ONIVA12G16420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAGGFVFLLCVHLFLIPPDGALSAPGGGGERCRRQCGGLVVPYPLGFSGSCPILLACVDAGNSTAALILPGGGGGGNATTTTSSYSYTASNFNASRSTFVVALPPSCSRTVSEARRWLSGANYGVSSRTGLFLRGDCHRNSSASNATNCSIPAETMSRMVPTASCGAEGNTTASALTCVTSTSPAPDPAKPESSSGSGIVGLFAEWKKLEEPSCDNLLTSVYGDTREGVFSLEFSVAEMGWWVNGNCTHGGGGGDLAWRCAPNATCLNVQTPTGGWGHQCKCLHGMVGDGFAAGEGCYFAAKKSSTKKILIIVGGVLAGTVAAGVLFLCCARCRRSGGGGGRSGFDRLAAKRLLSEAASSSGVPVYSYHEVARATNSFSHTHRLGTGAYGTVYVGKLPASSPSLVAIKRMRRRHDDGDDDAAVAVLLNEVKLISSLSHPGLVRLLGCCLDRGEQILVYEFVPNGTLAHHLAGGGLPWRARLGVAAETAAGHAGNFHLSDKSDVYSFGVVLLELITAMKVVDFARPAAEVNLASLALDRIGKGRVDDIVDPALVDRADEWVMRSVRHVSELAFRCLAFQKDVRPAMSEVAAELARIRDAAPASVPGARTGAGSRPPMVIDVGVGFDGVDAAVKKVGSPVSVQDVWVSDQSSPSTNGSMPRFA >ONIVA12G16430.1 pep chromosome:AWHD00000000:12:17920125:17922539:1 gene:ONIVA12G16430 transcript:ONIVA12G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRGTYPKEHPNICHCPPRTKGAPEEEHRMCFKVECSKCGKFTWNGCGKHVASVYDGIEKGKHCTCKPWPGVDTKADGSTSNPKEGVRDPLNNERAPDVVCATLDIKA >ONIVA12G16440.1 pep chromosome:AWHD00000000:12:17923760:17925573:-1 gene:ONIVA12G16440 transcript:ONIVA12G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCSYGSHCAALPFPAVVSRAASSPPPRSRGRRPSLPPAGCFHAAPRDAALVSGSAAGGVSRRRCELFDLHQHIVPFAESWAWQQSIVTRRKGLVGTDEDHSDTLIALQHPPVYTLGTDSTEDYLHFDVKDAPFEVHRIDRGGEVTYHGPGQLVMYPIINLRYHQMDLHWYFRSLEEVIIRALQSAFSIKASRVEGLTGVWVGDKKVAAIGIHVSRWIAYHGLALNVTTDLTPFQLIVPCGIKDRGVGSIKEILQIASDGKEIDETALIDKAYRTLLKEFAEVFQLSLYHNPNWDLQESKNFS >ONIVA12G16450.1 pep chromosome:AWHD00000000:12:17928733:17929800:-1 gene:ONIVA12G16450 transcript:ONIVA12G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18930) TAIR;Acc:AT3G18930] MFEGGGISSPPAPNPAKSPVPAPAPAPVPGSVAEREFAGGRRGAASSSLSPPLIAMLAVVGAALLVVLYARLVSRVFRAARRRWRRRRRRRLLMIPGSPADDSFATFTTYDNYYHTFSPYGLDDAAIKSLPSAQYLKSSAMAAVALPPPVASPVRAARRVRPSLDDLLFFHPVPQPPHAAADPSAAPAEITPASPDHVGPRDDFLLKRSYSFGFERHLLAVEAPSTASPPWRYRLNIGGGGGGADGPNRGGRSSFWSKRWPSPFGGGGGAAAASRVFSFRSYRSAAAKSSPFSRRRGGTGPGGATAPGGGFFMSLASEPPSILAAARRASAAAAASSRLRCGDPEALLSPDRLSR >ONIVA12G16460.1 pep chromosome:AWHD00000000:12:17933449:17934273:1 gene:ONIVA12G16460 transcript:ONIVA12G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein [Source:Projected from Arabidopsis thaliana (AT2G16050) TAIR;Acc:AT2G16050] MRYGEVVHFSHPHHRLRLEHADTPFRCDGCREVGIGARFRCPFAGCDHDLHRQCALPLSPPPPPLRHPFYPRCAFVFLARAPGAPGTRYCNACGRDVAGFVYHCRACGFDLHPCCATLPHVLDAAAGAASGGGGGGARLYLHPKATAACHRCGHRGRSWTYRSSCKSYSLHVACVMDMLVESWNGVGRHKGGVAGGGNVYDGVTVAGSGGYRVPVIRGAAKSSHASRGGSYWGRKGKVKRCCEIAGFAAQVVISAVLGDPTALIAGVIGSLIAR >ONIVA12G16470.1 pep chromosome:AWHD00000000:12:17939067:17940208:-1 gene:ONIVA12G16470 transcript:ONIVA12G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQVTSFGTLKQDVWTGLSDGLKSYLSKSVASIILFNGIAIEHQFFTKFLTTATLVRALNATTKHHDDLKIQVRLDGTRLYDGYMAEYDLDNDFSVVEVYSVRDVQVGPFQSALESLPHGEVLAVGRDTSGEIMVETVELNGDSKVSEDDRDLHCKVSKPWEGGPLLSVDGDMVGMNLFFTNRRAIFLPWGTTLNHYLTFVQKKTGLVQ >ONIVA12G16470.2 pep chromosome:AWHD00000000:12:17937144:17939062:-1 gene:ONIVA12G16470 transcript:ONIVA12G16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHRPEASIGEKSNSHPEVHGDFLDQEQLDLDSMGYPKLPSSIDKERAEMTLLQAGPCTRQGMGVTD >ONIVA12G16480.1 pep chromosome:AWHD00000000:12:17945626:17948846:1 gene:ONIVA12G16480 transcript:ONIVA12G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRAKRVDASARMRAVPIAVTPEGFWCCPSPAALHKSLKNNPHHHGGGGNHKPPPPTPPPQQHKTTTPSAPPSRAPSVLSEPVAGDAPDEPPPQPAAAEAEAERHKICVGFGQPETSDVTVVLYGKEGIAVRMSVHGDVLRRSSAFFAEKLSSSSSSSGSGGHGHGSCLEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAESLGFHACVKSCMDYLEAVPWVGEEEDNVVSSIRHLQSKAYGVSPLLKRITSDNLKSPTDTLALIMEMVLKSTDDRARREMKALVLNLLKDSNHCTDGSSDISSELLYSSCQGCLDRLRLLFSEATGQEFSVELTRQITLETDNLLWLVEILVNQRICDDFVALWANQSEIEELHGKLPVASRHTVSCITARLFVGIGRGEMLPSKNTRLLLLQVWLQPLIDDYSWLQCSCRSFDRKLVEEGIGQTILTLPLEDQRSMLLAWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVSQARCGG >ONIVA12G16480.2 pep chromosome:AWHD00000000:12:17945626:17948846:1 gene:ONIVA12G16480 transcript:ONIVA12G16480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRAKRVDASARMRAVPIAVTPEGFWCCPSPAALHKSLKNNPHHHGGGGNHKPPPPTPPPQQHKTTTPSAPPSRAPSVLSEPVAGDAPDEPPPQPAAAEAEAERHKICVGFGQPETSDVTVVLYGKEGIAVRMSVHGDVLRRSSAFFAEKLSSSSSSSGSGGHGHGSCLEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAESLGFHACVKSCMDYLEAVPWVGEEEDNVVSSIRHLQSKAYGVSPLLKRITSDNLKSPTDTLALIMEMVLKSTDDRARREMKALVLNLLKDSNHCTDGSSDISSELLYSSCQGCLDRLRLLFSEATGQEFSVELTRQITLETDNLLWLVEILVNQRICDDFVALWANQSEIEELHGKLPVASRHTVSCITARLFVGIGRGEMLPSKNTRLLLLQVWLQPLIDDYSWLQCSCRSFDRKLVEEGIGQTILTLPLEDQRSMLLAWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVSQAR >ONIVA12G16490.1 pep chromosome:AWHD00000000:12:17950604:17961473:1 gene:ONIVA12G16490 transcript:ONIVA12G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLHLPCPLSPPPGFLPVASPAQGSLSRLRLIPSRLFFFFFFFFFFFFFFILWKAFLGGERSRTGAVRGRGLSGRRGDRRRTEFLVCCRLKLWFSEVLETFSIGEKLQKIQPSDNLESWVVNRVSRGHYDGSWHEGHSSDNARGAYDESDNEDIDRAIALSLSEEQNKGKAVDIDYNLEEDEQLARALQESLNADSPPRQNIPVENVPSEPPRELPPILFASSGSRTCAGCKNPIGHGRFLSCMDSVWHPQCFRCFACNKPISEYEFAMHEDQPYHKSCYKDFFHPKCDVCKNFIPTNRNGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPMDIKYITLDDGRKLCLECLNSSIMDTPECQQLYMDIQEFFEGLNMKVEQQVPILLVERQALNEALETEKNGHHLPETRGLCLSEEQIVRTILRRPIIGPGNRIIDMITAPYKLERRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGFRSLSPQVEEGICQVLSHMWLESEIIFGSSIDISATSVASSSSSSSTPTTSKKGAKTEFEKKLGAFIKHQIETDSSEAYGDGFRAANRAVESYGLRSTLNHMKMTGASTSPSLSVTHSVFFPGNGHAIRELPPPPPPSPSPSRPPTSPSTPPHSPPARPDGDPQHCPPAAALREGGATVCDPPPATGRPRSQIRLGHHGLRRSERLLCVRGPAAERESMAAASGPWLLVVVVAWVLGVAAASSSSSSPGQAGTSQKFNKCMPCSRRYVADSYLDTLIGQLAQHRDLTETSDSADSCKGLDYDLDAPMLTELHSELVGEGSHRRLVYHVEFANCADAMVNFLDNYDANLVVIENLPNGVFADPFELQHFVERKVYLDMAVFGDTNLELPSALSNRSSVEIHVDLAPLASMNCNLVIDLPLHARYPPLDASGYATVEFGSPDLLLRYRKKDTVPESCSWLLKDLDAAPVEKATWRIPCGDEAHIGFVSSITFLSALVCSILIVIAALFY >ONIVA12G16500.1 pep chromosome:AWHD00000000:12:17963036:17968068:1 gene:ONIVA12G16500 transcript:ONIVA12G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLDGVRQLLAVVFKCCDLELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKVFDLFDTKHNGILGFDEFARALSVFHPSAPLDEKIDFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIIESIIDKTFEEADTKHDGRIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >ONIVA12G16510.1 pep chromosome:AWHD00000000:12:17969570:17977966:1 gene:ONIVA12G16510 transcript:ONIVA12G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIEDYTGDGRSSSTEELPSDQQSHSGESLAEWRSSEQVENGTPSTSPAYSDSDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVINRDPKKSKYSDTLHRFWKKEHDWGWKKFMELTKLHEGFVVDDVLTIKAQVQVIREKADRPFRCLDGQYRRELVRVYLSNVEQICRRFIDERRSKLSRLIEDKLRWSSFNAFWLGMDPSVQKYMTREKTDTVLKVLVKHFFIEKEVTSTLVMDSLYSGLKALEYQTKNKKGVPKLAETVQMDIRSTPMVLVDQDMFVLADDVILLLERAALDTLPHQPLPTKDDKSSQNRTKDGSSGDDFNKDSIERDDKRLVELGWKTLEFFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRIRKKQAKQKKNSRKNNKGKNEKVDIKEIVIESSSPPDDRILDDLSSQAEEITSNADNPEEVSDISDNRDDSSELLHNDLEDSESSPVNWETDASETQATVPEGGEMQNEHAGKRTYFLDDSSSTCSSDSVPSVILNTGGAWTNVKSSSNRGNNRRNNKDHDARSGLGHGGLNSVPNGIAGSSSSTSVNSKDHQHEDDRVVSQKKQNAHRQTDVMSPSKLRMTESSVSPIKKQHIFSQQPKSSLESANNLSSRASEASGAVTASSVMGVTTTPTAQLVSNKGPLTIHATHNERSVPVARHPQVPTVSKSEAQKQTSLVSSGTATQAITVSRPLSAPQVPAAKQTAPVASAVQTVPLLSRSMSAVGRLGNEPSASAPSYIPRSYRNAMMERSSVGASSFTHQTSSEQRVAQSQSMFSLSPSILSPEHLAGNDRSSLRQGLTFGTVKPETLNQWREESSQQASSSSSSSSNDHGAVSSSNGGEFEKFYLFGKPRSKQLSEISARSTPFQPQGLVSDEFPHLDIINDLLNDEQCERRTLKVLQRSQQAFARQYSMPNNSSTPDYGMFAQSDPYLFDQPEQYYDEEIPRFYNSLNGTSRGLRERSYSHFDLPSYSNGQFDDMMMNQWPYGQTDLSLPNFGADMNGYPYQSSDYPNSANGLSRYPPYRPANGH >ONIVA12G16510.2 pep chromosome:AWHD00000000:12:17969570:17977966:1 gene:ONIVA12G16510 transcript:ONIVA12G16510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIEDYTGDGRSSSTEELPSDQQSHSGESLAEWRSSEQVENGTPSTSPAYSDSDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGYKCFNAFWLGMDPSVQKYMTREKTDTVLKVLEVTSTLVMDSLYSGLKALEYQTKNKKGVPKLAETVQMDIRSTPMVLVDQDMFVLADDVILLLERAALDTLPHQPLPTKDDKSSQNRTKDGSSGDDFNKDSIERDDKRLVELGWKTLEFFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRIRKKQAKQKKNSRKNNKGKNEKVDIKEIVIESSSPPDDRILDDLSSQAEEITSNADNPEEVSDISDNRDDSSELLHNDLEDSESSPVNWETDASETQATVPEGGEMQNEHAGKRTYFLDDSSSTCSSDSVPSVILNTGGAWTNVKSSSNRGNNRRNNKDHDARSGLGHGGLNSVPNGIAGSSSSTSVNSKDHQHEDDRVVSQKKQNAHRQTDVMSPSKLRMTESSVSPIKKQHIFSQQPKSSLESANNLSSRASEASGAVTASSVMGVTTTPTAQLVSNKGPLTIHATHNERSVPVARHPQVPTVSKSEAQKQTSLVSSGTATQAITVSRPLSAPQVPAAKQTAPVASAVQTVPLLSRSMSAVGRLGNEPSASAPSYIPRSYRNAMMERSSVGASSFTHQTSSEQRVAQSQSMFSLSPSILSPEHLAGNDRSSLRQGLTFGTVKPETLNQWREESSQQASSSSSSSSNDHGAVSSSNGGEFEKFYLFGKPRSKQLSEISARSTPFQPQGLVSDEFPHLDIINDLLNDEQCERRTLKVLQRSQQAFARQYSMPNNSSTPDYGMFAQSDPYLFDQPEQYYDEEIPRFYNSLNGTSRGLRERSYSHFDLPSYSNGQFDDMMMNQWPYGQTDLSLPNFGADMNGYPYQSSDYPNSANGLSRYPPYRPANGH >ONIVA12G16510.3 pep chromosome:AWHD00000000:12:17969570:17971815:1 gene:ONIVA12G16510 transcript:ONIVA12G16510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIEDYTGDGRSSSTEELPSDQQSHSGESLAEWRSSEQVENGTPSTSPAYSDSDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGYKWYVLLVRVFM >ONIVA12G16510.4 pep chromosome:AWHD00000000:12:17969570:17971126:1 gene:ONIVA12G16510 transcript:ONIVA12G16510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIEDYTGDGRSSSTEELPSDQQSHSGESLAEWRSSEQVENGTPSTSPAYSDSDDDDCGSFFNSLSYFLFLLNTLPLRSFHTSGYCSAGLNT >ONIVA12G16520.1 pep chromosome:AWHD00000000:12:17979047:17979382:-1 gene:ONIVA12G16520 transcript:ONIVA12G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTRPRAASASASASPPLQNLAVLAARAGKRDGGRRLGHGREGMGWDARGRGEGRLRRAPPELLAGAVGMGARSDEGEVAAAAAGRRPAAAAAVVGYRSERDGGGRGGE >ONIVA12G16530.1 pep chromosome:AWHD00000000:12:17982900:17986102:-1 gene:ONIVA12G16530 transcript:ONIVA12G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G04650) TAIR;Acc:AT3G04650] MPPTPPPLLLPAPRCPRSTAVLAASRFAASASSSSGGGGGGSSGGARTARPPPAPRRRRGKPGFSRQSAIKKSFHQEQVVFSTPVPPDPSVAVVGGGASGLACAASLAARGVRAVVFDTGMHGLGGRMATRAIAAAGDQQQQQLVFDHAAQFFTASDERFKRVVDEWMDKGLVREWGGLIGELDAGGHFRPMPSSSPPRYIGVDGMRPLADAILPESDLIEVVRPCWISKLEPFNGLWRLFENEKPHGQYDAIVIAHNGKCANRLLSTSGLPLLTRQMKLSSVWALLAAFQDPLPIPQTDSYGTFEGAFVKDVDSLSWMANNTQKLFPLQTGRPECWTFFSTAAYGKRNKVPQENIPKITAEKVKEDMLRGVELALGLSRGSLQQPFYTRVQLWGAALPMNTPGVPCIFDPQGRAGICGDWLTGSSIEAAVLSGMSLANHIADYFASSGEQPEEFAIGLHENLSQVEGHDIGQFPGLESQKPHVAEAQLTPST >ONIVA12G16540.1 pep chromosome:AWHD00000000:12:17987510:17991641:-1 gene:ONIVA12G16540 transcript:ONIVA12G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ureidoglycolate amidohydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) TAIR;Acc:AT5G43600] MATSAAARFLAALAGAAVLLVLLGGAAGAVVGHDDDAAAARRTMEEFAGFPASDYRGDGGGGSGGSSPFYVDSDGLQRQIDELASFSDSPVPSVTRVLYSDKDVQARRYIKGIMNQLGLSIREDAVGNIFGRWEGSEAGLGAVATGSHVDAIPFSGKYDGVVGVLGALEAIRMLKRSGFQPKRSLEVIMFTSEEPTRFGISCLGSRLMAGSEELARSLKETVDNQNVSFFDAADSAGYKMHPEELHNVFLKKDDYFAFVELHIEQGPILEKEGIKIGVVTAIAAPASIKVEFEGNGGHAGAVLMPARSPPHIYMGKETFYRNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHVEIDVRDIDEKRRNNVIEKVHQSAIEISKNRGVLLSEFKIINQDPPALSDKSVISAMEFAAKQLNLEYKLMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYASPEDMANGVKVLALAMARLSLQ >ONIVA12G16550.1 pep chromosome:AWHD00000000:12:17992610:17998450:1 gene:ONIVA12G16550 transcript:ONIVA12G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNIENPAEDMSGIASNLDNEEQAIPLSDVPEQYKEDPENTYDEETKDSSYEESGIPYNEDQVNINDGNVGHQHEEDQAIPSEEGHAYGGEAQGEQQANAVTDEKKWPAKDEPDAPLPPAVDGLLRVHKRITDGLDGESDQPQRAAESVPPVALSDDRVVEIQESNLCHLLRRGVLLHRGVIHQTFLLVAQVMVVIHSSCLLGLKTTIILLLMYLLSKSNHTMEFLHMAVMHLLLVLLLPQGINTHHMITHSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGVPGEMTVEISGSASQVQTAQQLIKNFMAEASPQGPPQAPAPPAQPVDTGYSSYQPYGGTSYGSPPGGAGPHNGGSYGGAPPPYPPSYGY >ONIVA12G16560.1 pep chromosome:AWHD00000000:12:18001857:18004571:-1 gene:ONIVA12G16560 transcript:ONIVA12G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTRHTQFRPYSRGRAVKAHLHIEDSISLTLVLDTVDPHGERFPSRPSSQSNAPGSSTSPYFPTRVASSPTPLSSSSSSPLLPASSTPLPTPIPSSPRSRWPDPSGDDDEPLAGTAPCSELRESEASLAGLAPAAAAAAAMEEEVEAANRAAVESCHRVLALLSQQQDPALLRSIASETGEACAKFRKVVSLLGNGGGGGGGGGGGHARGRMAGRSRPSAVLREKGFLESSSGGGQLGMMMSGAATPSTSSAVHLRNRIGGGSGVPPDSLRGLDLVSSSSKGGAHQFDPPKLVQPLSVQFQFGATAHRYPFQQHQHQQKLQAEMFKRSNSGISLKFDSPSATGTMSSAFMSSLSMDGSVASLEGKPPFHLISGPVASDPVNAHHAPKRRCTGRGEDGSGKCATTGRCHCSKRRKLRIKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEGEHNHTRLPTQSAQT >ONIVA12G16570.1 pep chromosome:AWHD00000000:12:18018163:18021055:1 gene:ONIVA12G16570 transcript:ONIVA12G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFPYSSGDLGEATTAAAAAVDMITLDQIFRDYDASTGDDLFELVWESCGGGEIDSGAGEVQPAGVPCCRRLLPGSSPEPTSEDEMAAWLSTIVTGSGGGGGDDVAAGGDHQDPAVKKPDGEPLTEKMDKKLPTRTEERQRVKHKARRNPGYAETHGLTEKRRRSRINEKFKMLQRLVPGCDKAMYPTMVRPAAVYPVVQPPPAFAAGGPPAASQGGLHRHRRLMVVVSVHHRCRCFRLGRQ >ONIVA12G16580.1 pep chromosome:AWHD00000000:12:18033214:18035436:1 gene:ONIVA12G16580 transcript:ONIVA12G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWLYPIVGGHQVAAGGWLSPEAGDDRRAAPPAPEKKQMDNMPAVASPTTTMNKDEASDDSGERKKKKKKASSAAGKASRHRHAAGAHNLTEKRRRFKITERFRTLQRLVPGCDNKSNQASTLDQTIQYMKSLQHQLEATSAVGSPAAAVLYPAAVHPQSYMHPPAPPPPVAGAAAPVTMAPMPAGMVLAAAPPGAAPPPGPPATMMPFGAMLPYPPYPAVLLPPPPATLYGRPPAAAPSVAARRHGSTSGGGRISKSSSSSLRKEL >ONIVA12G16590.1 pep chromosome:AWHD00000000:12:18038871:18039062:-1 gene:ONIVA12G16590 transcript:ONIVA12G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGAERWQPPAAPTGLGGGAAGLRALVATVASRVSTTSPLAALAGSGRCSRGVWVTVGCIEG >ONIVA12G16600.1 pep chromosome:AWHD00000000:12:18040127:18041937:1 gene:ONIVA12G16600 transcript:ONIVA12G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISPAVAPPPPRPPLLGQSSSSPVTTIPTSSSAATTEVSRWAGLLPERSSVVAAAPRRRDKINDKLRTPQLATSALPPF >ONIVA12G16610.1 pep chromosome:AWHD00000000:12:18046147:18048717:-1 gene:ONIVA12G16610 transcript:ONIVA12G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTTTLLPEQPLVDDHHLPAAAAAAPPSEEEMAAWLYPIVSGHEVAGGGWRSPEAQDDRRAAPAPEKKQMEKMPAAASPTTTMNKDETSDDSGERKKKKASSAAGKASKHHHAAAGAHNLTEKRRRFKITERFRTLQRLVPGCDKSNQASTLDQTIQYMKSLQHQLKAMSVVGSPPALLYPAAVHPQSYMHPPPPPPPVTMPMHPGMVLAAPPPGAAPPPGPPAMVPFGAMLPYPPYPAVLLPPPAAATLYGRPPAPAPGVAARRHGSSGGGRISKSSSSSLCKKL >ONIVA12G16620.1 pep chromosome:AWHD00000000:12:18064495:18065058:-1 gene:ONIVA12G16620 transcript:ONIVA12G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSLRGTVLLVAAAVVLASAPVSPGGGGVDTVAESCNAIRDFVEVAFCEAALRSSGPGAATADRHGHLLIAADLAAARGDSARDDAVAAAAAARASGGGERDGLEACGILYGSSVAAVRLMRGYAAARSWEAARSLLWLSGQAGMGCAAALDSPAPGMDRANEDFSRLTTMATALLNSVAATSG >ONIVA12G16630.1 pep chromosome:AWHD00000000:12:18066073:18066702:1 gene:ONIVA12G16630 transcript:ONIVA12G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAVVLAVVVFLAAGVQAGSGGGGGGAAWTALDGVCGDLGGYYVTPELCKSALCGGGGGGDTSSSSSPCRAASGAGKVAALAARLAAANATAARASVEAASRALEQNPSSSSNGTAAAAAAARRQGMRSCLQLYAGAVPALEWAARSVSAGRYRGAREVLQSAQYVSLGCEGMAGGGGGAAALPRENERFSVMAIVAHAVVASMLGP >ONIVA12G16640.1 pep chromosome:AWHD00000000:12:18066943:18071845:1 gene:ONIVA12G16640 transcript:ONIVA12G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSAVALQAALDGDLHLLCEMAKKLDLRGFKDMNGRNALHLAASYGHLEICKFLVEESGLDVNSGSHRGETPILLAACDGDINVLIYLLDHGGDPAIPNAVGFTPLHYAAEYGHVDIVRLLLSKGVHVDPLNYSGAPLHLATANDHDQVAKVLLEHGADPNRVVNHVLSPLVVACCSHSLKCMKLLIKAGADVNDRSSTGPRTTPLVLAVDDGSADIVKILLEAGADPNIRNEDGRIPIMMAAARGQRELVEILFPWTKPIPCLPDWSVDGIIRTMRFTRTEPEDAVPVEILVSDSKLNAKEAFAEGEYITAIYFYTKALEKAPLDATLFANRSLCWLRLREGDVALLDARRCKALRPGWSKAWYREGTALSLLKSFHSCNLVALVCTLCAYLALVVGIYLCYAQNYREAAAAFTEALKLDPENYEIKNALREALECRKRAARSEEDKNP >ONIVA12G16640.2 pep chromosome:AWHD00000000:12:18066943:18071845:1 gene:ONIVA12G16640 transcript:ONIVA12G16640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSAVALQAALDGDLHLLCEMAKKLDLRGFKDMNGRNALHLAASYGHLEICKFLVEESGLDVNSGSHRGETPILLAACDGDINVLIYLLDHGGDPAIPNAVGFTPLHYAAEYGHVDIVRLLLSKGVHVDPLNYSGAPLHLATANDHDQVAKVLLEHGADPNRVVNHVLSPLVVACCSHSLKCMKLLIKAGADVNDRSSTGPRTTPLVLAVDDGSADIVKILLEAGADPNIRNEDGRIPIMMAAARGQRELVEILFPWTKPIPCLPDWSVDGIIRTMRFTRTEPEDAVPVEILVSDSKLNAKEAFAEGEYITAIYFYTKALEKAPLDATLFANRSLCWLRLREGDVALLDARRCKALRPGWSKAWYREGTALSLLKNYREAAAAFTEALKLDPENYEIKNALREALECRKRAARSEEDKNP >ONIVA12G16640.3 pep chromosome:AWHD00000000:12:18066943:18071845:1 gene:ONIVA12G16640 transcript:ONIVA12G16640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSAVALQAALDGDLHLLCEMAKKLDLRGFKDMNGRNALHLAASYGHLEICKFLVEESGLDVNSGSHRGETPILLAACDGDINVLIYLLDHGGDPAIPNAVGFTPLHYAAEYGAFHAFQFTRHLWDLRMVLAYKLLGILCANIVVPSGHVDIVRLLLSKGVHVDPLNYSGAPLHLATANDHDQVAKVLLEHGADPNRVVNHVLSPLVVACCSHSLKCMKLLIKAGADVNDRSSTGPRTTPLVLAVDDGSADIVKILLEAGADPNIRNEDGRIPIMMAAARGQRELVEILFPWTKPIPCLPDWSVDGIIRTMRFTRTEPEDAVPVEILVSDSKLNAKEAFAEGEYITAIYFYTKALEKAPLDATLFANRSLCWLRLREGDVALLDARRCKALRPGWSKAWYREGTALSLLKNYREAAAAFTEALKLDPENYEIKNALREALECRKRAARSEEDKNP >ONIVA12G16650.1 pep chromosome:AWHD00000000:12:18077056:18083449:1 gene:ONIVA12G16650 transcript:ONIVA12G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSYNDVDAGDMFAAADYYSAGDGGDLFDMVWPGRRRRRQEDNTSGCLPLSPPPPPPELAVDDQLLAGGDGGGSEPVAVAVAEDDDSGERWTENQKRRCKINENLKTLQQLVPGCDKSNNQASTLDKTIRYMKSLQQHVQAMSVGCIMKPAAAGVSYQPFPQPPPPEAVTCWSSWRRSPQDPGMPLLDLPPPPLPLSTTTTMTCSLTSSFCWCR >ONIVA12G16660.1 pep chromosome:AWHD00000000:12:18083966:18084445:-1 gene:ONIVA12G16660 transcript:ONIVA12G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRRCAGSSGGSHPRTDPAASRPLPRGIPLPSPPLPFSLPRPGGGEGCRRRCGRRGVRIRRPATLPLRDTAPLPSPSFPPQIRRMGGASVVGRPEGSADAGGGWHGGRREGRSGGRRRRRRGREQPPLDDYIEDDDDNDDNNEAAAGRGGGTTGFIF >ONIVA12G16670.1 pep chromosome:AWHD00000000:12:18087097:18087657:-1 gene:ONIVA12G16670 transcript:ONIVA12G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQSGQQRAADGGEAAGKRARPSSAAGADGERRPAVLAGERGEEVREREQSGRRWRRRRGGRASVGARQASVRPAERSGGVRPEQARERAASRADPRDLTSPRWCPMTKAAAATHGSAAMVLFTPSLSVDPNPEPPVVKAPDPKPPTSHHDVDVVAVAGADVAGYLPFANRRPRSALPAAGGGA >ONIVA12G16680.1 pep chromosome:AWHD00000000:12:18108544:18109853:-1 gene:ONIVA12G16680 transcript:ONIVA12G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTRRPLRRRATTVDDLDDALLTRVLVRLASPHWFIRAAATCKRWRRIIGAGGGSPCRAVEFHRRGRNPIVGHYYYPGRGSGGAVFVPSASPSLQPRVDGRHFSLGFLPDSDSWRLVDSSGSLLLLAKRKSGWMRHCFPDLVVCEPLTRRYRLIPRLEEMKHHRCIGAFLRRDHYHHVCSRMPVPTLKVFVALYEGYAGVSADLGTATAFVFGSERWPWPCDRPWSRWRLAGRATGVHISGAESVHFVGRVHRAASTGGWTTTTTACFYSTFSRVALPEAMKGARRRDDGTSSAFRVVAGADGDVRIAWLMSGVPSVFARRREQWWMEKRLQLPAHTAPESFIIAGNNAVIADAGAGRVLLAPAEVDKRRRRRRVISIELATMKIDEPKHHAGGVVTYPYELPWPVTLNACFLSKWSRNEGWGCV >ONIVA12G16690.1 pep chromosome:AWHD00000000:12:18121181:18122251:1 gene:ONIVA12G16690 transcript:ONIVA12G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCDSAVVVGAEAEARARARAGRRRRAGVEGAGRWNATATAAGVAAEEAATRKRRASGGEAGLVVVAKRHGAASVAGRRREMEDAVSLREAFAAPANGEVAAARCDFYGVFDGHGCSHVADACRERMHELVAEEMGAGSPAAAAREPASWTETMERSFARMDAEVIAGCRAESGSCRCEGQKCDHVGSTAVVAVVEESRVVVANCGDSRAVLCRGGAPVQLSSDHKPDRPDELERIEVAGGRVIFWEGARVLGVLAMSRSIGDAYLKPYVTAVPEVTVTGRSDADECLILASDGLWDVVSNEAACEVAQSCLRRGRQRWCAEAAAVLTKLALARRSSDNISVVVVDLRRGNAL >ONIVA12G16700.1 pep chromosome:AWHD00000000:12:18124290:18125307:1 gene:ONIVA12G16700 transcript:ONIVA12G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAMAPSPPKPVSPRQISLGDLRAVSMLGRSVKGVVFHVVPTMAGEVEGVVSSSMALKAISRETEQHKKMGSGGEDRHRRIWFECDVLMSLSHPLLPSLRGVLATDVVVGPRRWLQAKLVAAKNRHATTVAEIPPLKSTVELTNDAIVARMEAGKKRAVVEVLHRRIDRERDDPRRLRSEVALEQMVLVRHQAARALVSDVDVDVDAAHHNVVAVPTRRPEELRGGVEGEERTAEFHVEEIVAAIRLAEAHARKQEAAEQWHGRDLEVVMFCNKICILIFVAKSCSI >ONIVA12G16710.1 pep chromosome:AWHD00000000:12:18126934:18127152:1 gene:ONIVA12G16710 transcript:ONIVA12G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLFFFLFPFLPLTRVAFFAVGGGAMWEVLLTRWEGRDDQVLLYGLLPAGVDHGELMGRAQFCLCPTGDD >ONIVA12G16720.1 pep chromosome:AWHD00000000:12:18127190:18127429:-1 gene:ONIVA12G16720 transcript:ONIVA12G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSVGVSKRRWRLTRWRGTGLCDGVEVALRQRTALAGIGEERERQWCKGKKALALELTGDGGAGRRRRCPQRSNPP >ONIVA12G16730.1 pep chromosome:AWHD00000000:12:18131374:18134533:-1 gene:ONIVA12G16730 transcript:ONIVA12G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHRRLLHLAVVFAGLLLPGVRAGAGGAPSGDAGALLAARAALTDPTGALSAWRGDDLCRWPHVACDAAAGNAAVSDGVVAGLYLGGLYLAGGFPVALCSLRSLRHLDMSSNDLTGPLPACLAGLQALETLNLASNNFSGELPAAYGGGFPSLAVLNLIQNLVSGAFPGFLANVTALQELLLAYNSFSPSPLPDNLGDLAALRVLFLANCSLTGSIPPSVGKLTNLVDLDLSSNNLTGEIPPSIVNLSSLVQIELFSNQLSGRIPAGLGGLKKLQQLDISMNHISGEIPEDMFAAPSLESVHMYQNNLTGRLPATLAAAARLTELMIFANQIEGPFPPEFGKNCPLQSLDVSDNRMSGRIPATLCAGGKLSQLLLLNNMFDGAIPDELGKCRSLMRVRLPCNRLSGPVPPEFWGLPHVYLLELRGNAFSGNVGAAIGRAANLSNLIIDNNRFTGVLPAELGNLTQLVVLSASDNGFTGTVPPSLASLSVLFLLDLSNNSLSGEIPRGIGELKNLTLLNLSDNHLSGSIPEELGGMDKMSTLDLSNNELSGQVPAQLQDLKLLGVLNLSYNKLTGHLPILFDTDQFRPSFLGNPGLCYGLCSRNGDPDSNRRARIQMAVAILTAAAGILLTSVAWFIYKYRSYNKRAIEVDSENSEWVLTSFHKVEFNERDIVNSLTENNLIGKGSSGMVYKAVVRPRSDTLAVKKLWASSVAASKKIDSFEAEVETLSKVRHKNIVKLFCCLTNEACRLLVYEFMPNGSLGDFLHSAKAGILDWPARYKIALDAAEGLSYLHHDFVPAIIHRDVKSNNILLDADFRAKIADFGVAKSIGDGPATMSVIAGSCGYIAPEYAYTIRVTEKSDVYSFGVVMLELVTGKSPMSSDIGDKDLVAWAATNVEQNGAESVLDEKIAEHFKDEMCRVLRIALLCVKNLPNNRPSMRLVVKFLLDIKGENKPKVMKITEALPAT >ONIVA12G16740.1 pep chromosome:AWHD00000000:12:18150397:18150873:1 gene:ONIVA12G16740 transcript:ONIVA12G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAALGGGRRRARGGGSRRREAEGGDDDNSLGGRDGDGGGAGWREARGGSDGDNDLGGTAAELGAGMAMAAAARDDDLDDDPDDDDGAPTTLRGVQLLRAGLARRFGWPNLASQPAILARLASLLDLVFVVEWLKFGLERLVERLLEMLLMNNLCF >ONIVA12G16750.1 pep chromosome:AWHD00000000:12:18154791:18158191:-1 gene:ONIVA12G16750 transcript:ONIVA12G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARSAPESLPPAFLEFLQENGLDPMMYSMVDTIPRYIRLKPGMEPHIPEIQSELTCHLNKVSWLPDFYAIPPQVQIASSMAYQQGKIYGIDAASGAAVLALDVQPGEHVLDLCAAPGAKLCMLADMLGGRGSLTGVDVAKHRLAACRTMLQKYSLGDRCRLFVADGTSFSILPVNSSLGNGEGSTCHKDNGSTLSEWTSKRSWKDRQKSKKARMAGSPHLTSTSEPELIYYGKHSGLVGLRKCDAIRPSADDEAQTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLDAERTDDLLHLQLRLLTNGFKLLKTGGSLVYSTCSLTVAQNENVVQQFLCKHSSAELQKIDSADSWPCRGGSIFKTLRFDPATSQTSGLFVAKFTKLQI >ONIVA12G16760.1 pep chromosome:AWHD00000000:12:18167564:18168625:-1 gene:ONIVA12G16760 transcript:ONIVA12G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHLSLPLLSIFSWAGWPACWGGSRWGEAGEEDRRRWPATGDAAAVVTTQEQVGRRSGQVTTIISEQFPPDVDASIATVEIYLLVEMKWKERSGTVKTAKRRGGWGNDMARMAAGEQERCLVRVLVAIPVLIQISVSEVARTLSRRTAVGGGSIAATLGLAGCLASPPPPSCRACRPSRASADCACSSNFTATVAHHSSLSASTERRLTPPPCSPPAPPPPCSSSSASTERKLPLPTPPSSPPVGQCRRPAWSPREKKSEREKRGKKDEADLDRLTYGARVGSTLTQ >ONIVA12G16770.1 pep chromosome:AWHD00000000:12:18169255:18173809:1 gene:ONIVA12G16770 transcript:ONIVA12G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSDVALQAAQDGNLRLLRKMAKKLDLRGVKDKNGLSALHFAASHGHLDCCKFLVEESGLDVNSVGHKGETPVFYAAIDGNVQVLGYLLDHGGDPVKPEERGCTPLHNAAENGHDEAVRLLLSKGVHVDPLNYRGAPLHLAASKDRVQAMKVLLEHGADPNRVINHIFSPLMMACCGHSLKCVKLLVEAGADVNGAGADPNIPDEEGRIPIMVAAARGQRELVEILFPRTKPIPCLPDWNVDGIIRTMRTTRIEPQSAIPVEEQVSDAKSKGKEAFAKGDYLTAIYFYTLAMDKSPLDATLFANRSLCWLRQREGDRALLDAQQCRMLRPGWSKAWYREGAALSFMKDYKGAVDAFGEALKLDPMNDEVKNALREAIECLKRAA >ONIVA12G16770.2 pep chromosome:AWHD00000000:12:18169255:18173809:1 gene:ONIVA12G16770 transcript:ONIVA12G16770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSDVALQAAQDGNLRLLRKMAKKLDLRGVKDKNGLSALHFAASHGHLDCCKFLVEESGLDVNSVGHKGETPVFYAAIDGNVQVLGYLLDHGGDPVKPEERGCTPLHNAAENGHDEAVRLLLSKGVHVDPLNYRGAPLHLAASKDRVQAMKVLLEHGADPNRVINHIFSPLMMACCGHSLKCVKLLVEAGADVNGNSTNGPTPLTEAVDDSLTDVVKFLVGAGADPNIPDEEGRIPIMVAAARGQRELVEILFPRTKPIPCLPDWNVDGIIRTMRTTRIEPQSAIPVEEQVSDAKSKGKEAFAKGDYLTAIYFYTLAMDKSPLDATLFANRSLCWLRQREGDRALLDAQQCRMLRPGWSKAWYREGAALSFMKDYKGAVDAFGEALKLDPMNDEVKNALREAIECLKRAA >ONIVA12G16780.1 pep chromosome:AWHD00000000:12:18174953:18180505:-1 gene:ONIVA12G16780 transcript:ONIVA12G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGPASPEATEAAKEEEEVVEEEDDEEEGEEDGGEQPRRERERERRRRRRRKREAAAAVSEVVMVKRELLARCMTCPLCRRILRDATTVSECLHTFCRKCIYKKINDEELEHCPVCKIDLGCAPLEKLRADHNIQDVRSKIFPLKRKKVNAEEVESPIAPPAKRKERSISSLVVNTPEITPKSLTGRRTRASTRKSAAALRDLGPIIPPVKKDSDNTNKNADNSSLLDSLSKVPQTRRQVLSNAETSSHPSSKDKGGDDKDLDKSELWRPLNCLVEAASKTKSYRSSSAARGNQPTESPSSANASRTKAREYLLKSKVQDEKKEVPVATVPFKRKGPGRGRKPAQPPAAAVSSPSASKHEKLLTPVWFSLIASFDQKGAPPLPQIPTHYLRIKDDNMPASSIQKYIMQKLSLPSETEVEISCCGQPVNPIQPLRNLIERWLRFGPARTLQTVVGSSGGDYVMVISYGRPKAA >ONIVA12G16790.1 pep chromosome:AWHD00000000:12:18184362:18184665:-1 gene:ONIVA12G16790 transcript:ONIVA12G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAIQLCDPSRRYEALGAPKVTVVQSVRLLGAGGTTVGGSRGAGRLEAVLCLDGSYLEAVTTRAHQGRPKDGHQASALPVELGSSEAEKKAGPA >ONIVA12G16800.1 pep chromosome:AWHD00000000:12:18189000:18195091:1 gene:ONIVA12G16800 transcript:ONIVA12G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MARPPVLSVALPSDTGRVLSIQSHTVQVFSLGLLWVCYSGCDNGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGSQLWDLIEGLAENDLLHYTHLLTGYIGSVSFLTTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQDLISVYQQKVVPVATMLTPNQFEVELLTGLRITCEEDGLKACNTLHSAGPRKVVITSALIEDKLLLIGSHKKAKEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLERAAELAVSSLQALLRRTVEDYKRAGFDPSTSSLEIRLIQSQDEIRSPQITCKAVKYS >ONIVA12G16800.2 pep chromosome:AWHD00000000:12:18189000:18195091:1 gene:ONIVA12G16800 transcript:ONIVA12G16800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MARPPVLSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGSQLWDLIEGLAENDLLHYTHLLTGYIGSVSFLTTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQDLISVYQQKVVPVATMLTPNQFEVELLTGLRITCEEDGLKACNTLHSAGPRKVVITSALIEDKLLLIGSHKKAKEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLERAAELAVSSLQALLRRTVEDYKRAGFDPSTSSLEIRLIQSQDEIRSPQITCKAVKYS >ONIVA12G16800.3 pep chromosome:AWHD00000000:12:18189162:18195091:1 gene:ONIVA12G16800 transcript:ONIVA12G16800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MARPPVLSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGSQLWDLIEGLAENDLLHYTHLLTGYIGSVSFLTTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQDLISVYQQKVVPVATMLTPNQFEVELLTGLRITCEEDGLKACNTLHSAGPRKVVITSALIEDKLLLIGSHKKAKEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLERAAELAVSSLQALLRRTVEDYKRAGFDPSTSSLEIRLIQSQDEIRSPQITCKAVKYS >ONIVA12G16810.1 pep chromosome:AWHD00000000:12:18199320:18199894:-1 gene:ONIVA12G16810 transcript:ONIVA12G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFAAAMKSPLPVAASAAAATGGDGKSPLFCPKPRRPVAPLRCHHDMDLLDLLLSKGEETLFCGSPPRRASNPVVHDSRFGLDCPPMPAWSPAPPVAPPVVVHRPTPRPAVAMPMSSPRGSGGCTARARLAFQPAAVRVEGFDCLDGGRGGRGHGITAMA >ONIVA12G16820.1 pep chromosome:AWHD00000000:12:18213920:18218569:1 gene:ONIVA12G16820 transcript:ONIVA12G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDASSALAAAAAAGKKRGSYNCGRCGLPKKGHVCAVAGEEQKPPRRALHFDEAAPPPPPEKKVKVEVVEVDSSSSEEEEREARGWVEVGGGRRVPGEVVVEVMRRLPPRGVAASAAVCRGWRGCARRVWRAADELRLRAAGVRPVGALLPRCPALSRLVLRMESDIDATMLACVAFSCPNLQYLEISMVGSAANRMTGDELTRFVSEKRSLSVLKLDGCSNLNFLNISSSSLSTLWLSDLSSLSKSVINCPNLNELSLGFTQQNNDSTDLISLMDSLGRTCSNLRNLHISSIHLCNEAVFALESANLRGLCMLSLILGSKITDAAVASIVRSYASLDLLDLSGSSITDNGLGMICKAFPHTLTRLLLALCPNITSCGVQVATSQLPLLQLMDCGKSLCANSQPEAERSYFGEIYGGIKFCSKLPIQRKQQPNYQKLIIKHANLKKLSLWGCSALDALYVNCPELSDLNLNCCTNLHPGAMSLPENAERLLLQCPSLKDVHASGCRDMLIGAIRNQVLNEFASAEPRVPCKRLADGSKRVQVPHFMLEQQFEEEKWGSGSKRSQCTVHLS >ONIVA12G16830.1 pep chromosome:AWHD00000000:12:18221581:18225740:1 gene:ONIVA12G16830 transcript:ONIVA12G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03030) TAIR;Acc:AT1G03030] MAAAAVTVKLGGWSSYCYCPTTTRRSKPAAVKMMMALCPTSFRIELPRRTIRAAILNKRHTVPCYQRQEGASSPAPQIEAKSMEEVYDALAEHLFSVLKNIEHLDSKYIVGIAGPPGAGKSTVASEVVQRVNKRWSQKHENGSSLISTEEIATMLPMDGFHLYRSQLDAMEDPKEAHARRGAPWTFDPSRFLKCLQTLREEGSVYAPSFDHGVGDPVENDIFVKPQHKIVIVEGNYLLLEEDAWRDIRALFDEKWFIDIDIDVSMQRVLQRHVATGKEPDVAAWRISYNDRPNAELIMKSKKSADLVIRSVDLSR >ONIVA12G16840.1 pep chromosome:AWHD00000000:12:18227210:18231755:-1 gene:ONIVA12G16840 transcript:ONIVA12G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0JC33] MAADLAFEATELRLGLPGGGGDGDAAAEAARSSSGKRGFAETIDLKLKLEPAAAAVDDDDDKEEAAADDREKKVDIVGADNDDASPPAAAAAGGMKRSPSQSSVVTAAADPEKPRAPKAQVVGWPPVRSYRKNILAVQADKGKDAADGGGDKSGAGAGAAAFVKVSMDGAPYLRKVDLKMYKSYLELSKALEKMFSSFTIGNCGSHGVNGMNESKIADLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAMEKCKNRS >ONIVA12G16850.1 pep chromosome:AWHD00000000:12:18241905:18243698:1 gene:ONIVA12G16850 transcript:ONIVA12G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0JC34] MENLKATELRLGLPGTEEEAAPPPSTPRAGSKRALAGEPDQAKIKPAAAAKAQVVGWPPVRSYRKSCLQPTTTTTKSKPPPAAAAAETQQKEDVAGAGGLFVKVSMDGAPYLRKIDLKVYKGYRELREALEAMFLCFSGGAAADAAVNPSDFAVTYEDKDGDLMLVGDVPFEMFISTCKRLRIMKGSEARGLGATRG >ONIVA12G16860.1 pep chromosome:AWHD00000000:12:18250990:18255946:1 gene:ONIVA12G16860 transcript:ONIVA12G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGAGVGGGGGGGDPGAYAAVLKTKLDLYCAAVAKSMEAKLQESSLGYLNLQASDTSQLVSQDSFNGYGSTRVTNSNAIHEDDDQGKPANSGTSKEQSDDDGDLEEDTDPVNAKRTRRMLSNRESARRSRKRKQAHLNDLESQVSQLRSENASLQKRLSDMTQKYKQSTTEYGNLQDDMNAMRRKVNIAEEAVRRVTGIGLQLFTTSEMPASSMPFSSGVSDAASAALVEDDWTNCSLPDEAIPVPSAAMALRSPSVRRVASLENLQKRIHAGDVTHFEAASALSLPEATACDNK >ONIVA12G16860.2 pep chromosome:AWHD00000000:12:18250990:18256443:1 gene:ONIVA12G16860 transcript:ONIVA12G16860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGAGVGGGGGGGDPGAYAAVLKTKLDLYCAAVAKSMEAKLQESSLGYLNLQASDTSQLVSQDSFNGYGSTRVTNSNAIHEDDDQGKPANSGTSKEQSDDDGDLEEDTDPVNAKRTRRMLSNRESARRSRKRKQAHLNDLESQVSQLRSENASLQKRLSDMTQKYKQSTTEYGNLQDDMNAMRRKVNIAEEAVRRVTGIGLQLFTTSEMPASSMPFSSGVSDAASAALVEDDWTNCSLPDEAIPVPSAAMALRSPSVRRVASLENLQKRIHAGDVTHFEAASALSLPEATACDNK >ONIVA12G16870.1 pep chromosome:AWHD00000000:12:18255267:18259846:-1 gene:ONIVA12G16870 transcript:ONIVA12G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPHGLTHEISWVIMGRPTVTHGALWADPLARFQVEQDQLRCRIAPHTPPPPHRAVELCPSAVAVYHGRARCAPSRSPLGCSISPPCSAMRTPKIAAQLPPADLPTGHRRRGWIIWADAPTFSASPTITLAAPPPTMDLNMCRCCRFSKLATRRTDGDLKAIAALGTGIASSGRLQFVQSSSTRAAEAASDTPEEKGMLLAGISDVVNN >ONIVA12G16870.2 pep chromosome:AWHD00000000:12:18255267:18259846:-1 gene:ONIVA12G16870 transcript:ONIVA12G16870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPHGLTHEISWVIMGRPTVTHGALWADPLARFQVEYQAGRTSCAAASLLTLRRRRIALWSSAPLPLPSTMEERDAHPQDRRLDAPFLLPAAPCAPPRSPRSCHQQTSQPAIEEGDGSYGRMLQLSAPHPPSLSPRRRQRWTSTCVDAAGNDKADAASKCVTSPAWILFCRFSKLATRRTDGDLKAIAALGTGIASSGRLQFVQSSSTRAAEAASDTPEEKGMLLAGISDVVNN >ONIVA12G16880.1 pep chromosome:AWHD00000000:12:18275153:18277159:1 gene:ONIVA12G16880 transcript:ONIVA12G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGENDDNGGAAGSSRRLPAVGAMRGPCGCEEKLKTTVVVVLSDDDDYEEEFRRTLVLARSAVYLLLRALIHIYTRKRRPAASKKQHRHRFHGIHRRKSGRWSAEIRDNMIKGSRSWVGTFDTAEEAAWAYDAVARRLYGAKARTNFHHLPPPRPVVPLPAPAVAKRKMNSKRKKPAEVAVAAEMVAPAGGEPAAGEMAPVLLGNALEATNGWEFEPYSCMGLVVGGAVQLLHNYAADEPEPADELQLLHLHGGAMVDFAADGCLWSF >ONIVA12G16890.1 pep chromosome:AWHD00000000:12:18306125:18306895:-1 gene:ONIVA12G16890 transcript:ONIVA12G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response factor [Source:UniProtKB/TrEMBL;Acc:C6L7Y0] MCGGCLIPDELVGKPARRTRAAAAGGDSGDGWKHGRRLCPAAAPCNCKPRRRAGAADDDDVGRRRRTTRTRAASEVRFHGIHMRSYGRWSAEIRDSSYRGHRVWIGTYATAEAAARAYDAEARRIHGAKANTNFPPPPNDVDSGAPPPPPWDLEAHMRFLGEVELDDGGAEPPPPPSHGIPELLHMEPELASATQSVHGDDEPWGLDKYMRFLSEVELDDGGAPLPPPPSQHGGVAAAGSPQYGCRYDYLLLMMCN >ONIVA12G16900.1 pep chromosome:AWHD00000000:12:18340627:18345013:-1 gene:ONIVA12G16900 transcript:ONIVA12G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGPDNHRAIAVAAAAELPPPSVVAVEMAPPHGHLPGKEVYNEEEEEEDDDDDDEYDGREFEEEFLRFSMMVDEEDDDDDDDEVEIIAVVPPPRWPLVGARGTTSAVESVTNLQARTSPLPNPVVPQTGTKASKRGDSGAKAKPAAAKKRRSKHGFLGVHQRTYGRWSAEIRDNVIKGSRFWIGTFDTALDAALAYDAVSRRLYGLNAKTNFPAAAGEDDLPPPPPPAKPCSSTKRPKKCNTSGDLGAAAAPPQAVDTPAAAAAGVELTSLLCSVAAQAQEVSDGWEFIQELLLLGGGVSPLDYLNGQELAGAAVGDLWSF >ONIVA12G16910.1 pep chromosome:AWHD00000000:12:18347382:18347769:1 gene:ONIVA12G16910 transcript:ONIVA12G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADLGRIEGVVAEVREERDKWRMSKKRESSSGGWKGGGVAMEVGVTVMVPMKKVAVSAAPLVALWMVDIWSWTQQ >ONIVA12G16920.1 pep chromosome:AWHD00000000:12:18368302:18372498:-1 gene:ONIVA12G16920 transcript:ONIVA12G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JC43] MAMAAMDARKKKSGGGGGGEPLLGKYELGRMLGRGTFAKVYLARAVAGGEAVAVKVIDKAEVMGTAGMAPRVLREVAAMRRLRHPHVLRLHEVLATRARIYLVMELATGGDLLSRLAALPRRRLPESAARRVFVQLVDALSYCHARGVAHRDVKPQNVLLDGDGNLKVSDFGLAALPDTLRDDGRLHTACGTPAYAAPEVLRRRAYDGAKADAWSCGVILFVLLAGHLPFDDSNIADMCRKAHRREYELPRWVSQPARRLVSRLLDPNPDTRVAVESLAAHHPWFKRSLSVDSQLDGLLNGEPERAVAFQAAPPPPLNAFDIISMSPGLDLSGLFGEHDKSLREKRFTTTASPEKTLEQLGLAGGKLGYVVVVGKKGVECLPLAGGRLSSGIAAMSVEMSEVAPPLLLVELRLEVAAGDVDGGDGEVKGFGWEQLRMELGDVSPLLLVDLRLEVTVDVDGNGREVQGFGWEQLRMELGDVVRAWHSCEDLCEV >ONIVA12G16930.1 pep chromosome:AWHD00000000:12:18388739:18389239:1 gene:ONIVA12G16930 transcript:ONIVA12G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVRVRQEQVAEFREAFAFFDKDGDGCITLEELDTVVRSLGQTPTREELAEMIRDVDVDGNGTIEFAEFLALMARKASRGGENGGGGDDSGDAADEELREAFKVFDKDQDGLISAAELRHVMISLGEKLTDEEVEQMIREADLDGDGQVNFDEFVRMMMLSDQ >ONIVA12G16940.1 pep chromosome:AWHD00000000:12:18391771:18392226:1 gene:ONIVA12G16940 transcript:ONIVA12G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGTRRKGEGGTIVYARGQSPSCCHLDLERGRAEGIKKMVVARSRGSHMNEEEQMKQKLQLPSSLPSTLRRAPFAHEDAICHVSIVASCIVRVFIIGLAEDMSLRGSTSLLLPAASSNLRHAVCTYNIYYPPLIRHRCKMAHHQLDPFLS >ONIVA12G16950.1 pep chromosome:AWHD00000000:12:18406667:18408808:1 gene:ONIVA12G16950 transcript:ONIVA12G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSTLKVRFMGHTHMVTFMQSSKQVSFILAGLLQDAHNLCPFNSMQCAVCACNFHIHVVPSCVLFLACKNVMANHIHHACKLS >ONIVA12G16960.1 pep chromosome:AWHD00000000:12:18414134:18419820:-1 gene:ONIVA12G16960 transcript:ONIVA12G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGRVTAGGGRGELRPPELPRDPALEFLSRSWSASGAADVSRRALAAAAFPAAAASAAVIAEDVSGELDVDGSASGSSFSFASAATSQLIMDRIMSQSQEVSPLTSGRLSHSSGPLNGGGSLSDSPPVSPDVDDSKFCRAVSTPKPQPYRGVVGGGGKTVGRWLKERKEKKKEEARAHNAQVHAAVSVAAVAAAVAAVAAATAASGGGRDDRAARTDMAVASAATLVAAQCVEAAESLGAERDHLAAAVASAVNVRTPGDIVTITAAAATALRGAATLKARALKDVWNVAAVIPVEKNAIAAATTGGGHHKHNAQKQQHHHRHHGNGSNTSSSFSDEVAAVDDDDDDDNNFLTICSQELLARGTELLKRTRKGALHWKVVSVYIHRTGVVMLKMKSRHVAGTLTKKKKNVVVDVCRDVAAWPGRHLLEGGEHRRYFGLRTAEHRVIEFECGSQREHDMWTKGVARLLATIDGRRKRFA >ONIVA12G16970.1 pep chromosome:AWHD00000000:12:18429976:18432890:1 gene:ONIVA12G16970 transcript:ONIVA12G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCSAACSLLLQHCSGLQEMGKAGRWLRSFLTGGKKDRKGKDGGQPPAPPSPAPPSAKEKRRWSFRRPPAQATTNTSSLCFSDVHAVSPAPEAESSAAADVAEENEAAAAAAVRIQAAFRSYLARKALCALRGMVKLQAMVRGQLVRRQASTTLRRMQALVAAQRRARAERLRLLDDDKDKHARSPRPPTTTSRRSSPQHHRSRKPLEVVDRGSEENVRVVEVDNGGGGGGAARGCGRRSTCGAAAAAAKGELYQKVSPTPSALTEASARTMSGRLDDYSFSAAASEASGRHHRVPAAAVAGGDHAAALQQLFPKNYMSNTESSRAKARSQSAPRQRHDQPISAAASPSPSPSCGEWTTTPGDRRRRASLDPRDLAAPASAAGVGVGARMERCASQARASASASAACPWAVRMDRSTASLAGGSDGGSSAATAVTAATAARVTS >ONIVA12G16980.1 pep chromosome:AWHD00000000:12:18434700:18443091:1 gene:ONIVA12G16980 transcript:ONIVA12G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT HAIR DEFECTIVE 3 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0JC49] MDEAAAAEAVQLIDGEGEFAADSAERFMAAAGVAGCGLSYAVVSIMGPQSSGKSTLLNQLFGTNFKEMDAFRGRSQTTKGIWIARCVGVEPCTVVMDLEGTDGRERGEDDTAFEKQSSLFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLEHLEPVLREDIQKIWNSVAKPEAHKDTPISEFFNVQVTALPSFEEKEEQFREQVQQLRQRFSNSIAPGGLAGDRRGVVPASGFLFSSQQIWKVIRENKDLDLPAHKEWMVLESDVQSGPVPGFGKKLGYIVDVHMQEYDKEAIYFDEAVRTAKRQLLKSRVLNLVQPAFQKMLAHLRTRALEKYKTELNLTLESGKGFAAAVRDTTESNLNEFDQGCADAVIEQADWDYSKILEKVRRDVEDHTLSIREGKLSELTNHAKEKLRKALVEPVESLFDAAGPSTWASIRNLFKRETEAILPEFQKNLAGFEMESATSEGMVSKLRDYARSIVENKAKEEAGKVLIHMKESHDKDSIPRVWTGKEDVRAIAKDARSAALKLLSVLAAIRWDEKPDKIEKILTSTLLDGSVTSKSKGASASSDPLASTTWEEVSPKYTLITPSQCKSLWKQFKAETEFAITQAVSTQQAHKRGNGRLPPPWAMVAIAVLGFNEIMTLLRNPIYLFLLFVGYLLVKALAVQLDINREFQNGVVPGIISVTAKLIPTLQNILNKVATEQQQQQGHHQDAAAEAPQQQQQPQPQPPPLLLSPRSPMSELRRPLHMPFSPVRKAVSPSPSSSSSTVTSPRNAGEDQKPRQMVQPDNESNNAYSIV >ONIVA12G16990.1 pep chromosome:AWHD00000000:12:18443173:18443433:-1 gene:ONIVA12G16990 transcript:ONIVA12G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLCMCHAACIVTIVTCLYKMIQNLPLICAANRTGGRRKQWMHGSLSGEAVGMGAMSWLLAGVQQSRQHPMSTTVSPVSLCKYPD >ONIVA12G17000.1 pep chromosome:AWHD00000000:12:18444589:18448048:-1 gene:ONIVA12G17000 transcript:ONIVA12G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGAFGSALLVRHKLEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKRANGTYFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTKYSGAFRGLIKSMLRKSPEHRPSAAQLLKHPQLQPYVLQVQLKSSPTRNILPIHQSLTDKVKKMTFPSDVVDSARRRMARRNSLGNERTVTFSKPSPERNSVSSTRSIKEYTTTQSVKGLSVDSSEAGDEVTSKAIITKTSSILRTPKSLPAKTYTARNQLEPPKTSYNRTYRSELPSKTTPNKIARPARRASLPLSTYETPTKRSISILEQLDSPDVSVNAPRIDRIAEFPLASSEDPLLPIHNKLSPGHGSCSTPPFINRSITKDKCTIQVLRTDGDNGSDSSGRNATAASSRGSNDSRQQRFDTSSFQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEKASPRETAIWLTKSFKETAS >ONIVA12G17010.1 pep chromosome:AWHD00000000:12:18454741:18458768:-1 gene:ONIVA12G17010 transcript:ONIVA12G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04190) TAIR;Acc:AT1G04190] MAEGGGGGGGSAASAALKDQGNEQFKAGNYLKAAALYTQAIKLDPDNPTLYSNRAAAFLHLVKLNKALADADTTIKLKPQWEKGHFRKGCVLESMEHYEEVRVKDNSLLFLNREKDNYLFFIFCYVSFSQAFRIWQAEKIVAISSFQIALQHNPQNTEVSRKIKRLTQLAREKKRALDVENMRSNVDVAKNLDSLKTELAGKYGDAELGQSIFTFVVNVIESAIKVWHDTGKVDARVNFLLDDQKTDTEKHAPVVNIDKAFDSPHTHSSCFTFLRQYSEESFSKAACMVAPKSAISYPQVWKGQGSRKWKLDQSDGFFVQFESPILRKIWFVPSTTEKGRVLCRSPELLDISIHEVLPRIFKETA >ONIVA12G17020.1 pep chromosome:AWHD00000000:12:18461876:18470217:-1 gene:ONIVA12G17020 transcript:ONIVA12G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRKDPTPDVILPPPHSSSSPVKMMSPTNPMRKYSWWWDSHISPKNSKWLQENLTDMDSKIKRMIKIIDEDADSFARRAEMYYRRRPELMSLLEELYRAYRALAERHDHAAGELRSAQRKMAEAFPDEFQLDLDDDLPAETLSTETEADSRDMTPFFLSFINSGDSKKRAKDDQEHEKLQKEISSLSQENQELKKKISSVLENSDRAESEVASLKEALAQQEAEKEAAFSQCQQSSDRLQALKSEILQTQEEFKRLKEEMQNGLENLSTAEERCLLLERANQNLYSELDKLKNDSKERHGELNEKHVELEKLSISIQEEQLKSMQAEMTRLSLEKQLAQAKEKLRILTLEKNGEASKFNDIEASKVRLQNDLDKIREENRKLEEQNNSSISAIIRLQDEVISLKNAQRLLEEEVSRHVEEKKVLQYELSQLKDDKGDSERKHFSIKEQIQVVNFNVESLQALAQEVRDGNVELKETIKHHEGVKALYVDNLMQLERTLERNAHLERSLSAATTEVEELREKKVALEESCKHLNSKINGFQSERSMFIARIEGISHTMEKLSEKNVFLENLLSENNTELEILRRKLNDSEESTHALLNQNSVLRSEKRTLVREVDSMNGALLNLEAQFTELEGHHLDLQQEKNKASSEVIMLQEMLRLEREAHKELNYSGKTQFSAVQKQLSFLLEEGRRRENQLQDEEHKIVEAQMEIFVLQKCLGDMAEANSDVSGQLQKQKELCEIQEEKLTFLTENNQRLTEGIGSVMEELHLDDKYGSLDLMKLDVIVQLILHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLRQEWQAKSEELLQLQNERHDLMKISCELRKEMEARNRRVEEMKGEAKFLVRQLSELQESRQSLQAEVIKLIEENSSLSGKLYDSREKEKTADDDFNTLLGEAISTDILGVVFKSLHDERTSQLQSLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSKTMSICDSSGSEIGAGRRRTMRRDTKLLKSGRKSQQESTVNIEQRKEIDHAGLEKSNELLREKLHKLQSEVQALRSKEQPVIDVKSCDAEITKLLTNMQMATANAALFKEKVLELIASCESFEISEMVQKEVLKEEITRRNSYVNALKDKLNAVEIENSRLKVDLNGDFTLLGALQTEVTALEKQTMSLANDCLPSNKLRMEEFSVSPQLSKIAVKPIHGEPNATKMVKDMELEKLHGTIKALQKVVTDTGVVLEQERLDFNANLLDARRQIDLLRLRDDMAAAVDDSDAASDPAAAAYDRRLLKDIQLDLVQTTTPTNRSRAATATATAAASSQRHHRRRNGGSTEAPPLGLWSVVRASRRRQQEEGSDGDDDDLRPPQSEASAERGRRSCSSEVSQLTVVKDLSVDKQELLLPPRPPPPAAEAPHREWKKKVIERLTADAQRLVDLQSIVGELRASAEAAPELDDVTAQMVDAESAVAQLIDTNGKLLRKAEEFTSADAAGGAAGDDLRSRSQRKILERVRKMSEKIARLEQETQRFQHALLRHEEERATRRAAAAAAAAASSGKSSAAVQRRSSRVQLVEYLYGRRRDSRRQRRGPSCCMRAKAIDD >ONIVA12G17030.1 pep chromosome:AWHD00000000:12:18479519:18483805:-1 gene:ONIVA12G17030 transcript:ONIVA12G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETPAPALLAPAENASAEAAFAVPPAAIAAVVEANGNSEKKKKRKTDEGEGCKSCSCKKSKCLKLYCVCFASGSHCSESCGCDPCYNKSIHGVPRSTPALPLKAVQTLETGQDSVGGAGCSINCKCDDCKNPYGRKVGVILDGKNILAGPTPNERNGTEADSSDDEEDDYYMNRPLSPVSPSPVSRESSFQQETLVGVEVQTMNGHLYPKPLTQVRPESWQLTRRPTEEVRGEAWHYLRRPSEDGTSDVMEGHADPKFQRDNKQLENHVDRYSIPRCIEVMNAMADLSPIEKSLAPDVFLDPSNREIFLSLTVDIRTMWLKRKMKSLV >ONIVA12G17040.1 pep chromosome:AWHD00000000:12:18484614:18486359:-1 gene:ONIVA12G17040 transcript:ONIVA12G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPSAVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPDRPPTVKFHSRINMTCVNPENGLVDQRKFSLLSNWRREYTMEAILTQLKKEMAASHNRKLVQPPEGTFF >ONIVA12G17050.1 pep chromosome:AWHD00000000:12:18487910:18494334:-1 gene:ONIVA12G17050 transcript:ONIVA12G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRPPRAAAAAAAAATAAVEDSPVFNFINSLSPIPPPKPSDSAHNVQLFKSSDLAPVSSIFASPHVNPAKESKLPIREDSVQLSRESHSPNSVRTRTGATSSIIRMIRCKNIVSENCSITCYLNDSTSSKASQPIQLCGGSAESDTNQNTDGKKDPTTEQDRTDIEFVLLDQCGPEKMDSSQSGNNACENQLSEQHKDELGSFDGGYMFAHQPHSDMLRLAPPFESETQLVNETLQTDNVYCETLLTDGPSGSYTQNSAPDPHLYWAGAVEGCATDYTPQMLPGACQSQLVPNDQINNKLNEPSDYMPMDHNVSSQNLRGMRRRCLFNEKSGAANKGAKNSSARHSTNSTTPRRKISSSDNNLKTLRTPPCALPGIGLHLNALATVPKDKMVPHNDIQSSLNQASNVPSAVGSSPPTDDPHTINDDSSQTAVVAYVGESSQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPSNMETVLSTREQIESRNPLAFAPKVIRTEPGQELAGGVGCSMSCRCEGCKNAFGRREGVALLGIEEAKRGCEEKDGGVKEETTDNDKQLVIYQDSVNLTPAESVLATPSVVDYRPLVALPPLSSKKPRSSTKLGGYSSRLEGHLKSDILLSPFESYAEMMLGDGTSNVLKGESSPQTSVKVVSPNKKRVSPPRIGTGLSPICKSGRKLILKSIPSFPSLGGDITNEDPNTSSLAP >ONIVA12G17060.1 pep chromosome:AWHD00000000:12:18498302:18504337:1 gene:ONIVA12G17060 transcript:ONIVA12G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methylcrotonyl-CoA carboxylase alpha chain, mitochondrial / 3-methylcrotonyl-CoA carboxylase 1 (MCCA) [Source:Projected from Arabidopsis thaliana (AT1G03090) TAIR;Acc:AT1G03090] MASRLLLPRRRSRHGGASLLLARLLSSSSSEAGGGGAVEKVLVANRGEIACRVMRTARRLGIPTVAVYSDADRGALHVRAADEAVRLGPPPARESYLNASAIVDAALRTGAKAIHPGYGFLSESADFAQLCKAEGLTFIGPPPSAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEANKIGYPVLIKPTHGGGGKGMRIVQRPEDFVDSLLSAQREAAASFGINTLLVEKYITQPRHIEVQIFGDQHGNVIHLYERDCSLQRRHQKIIEEAPAPNVTAQFRSHIGEAAVSAAKAVGYYSAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRIANGECLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSTATVRVETGVEEGDTVSMHYDPMIAKLVVWGESRNAALVKLKNSLSNFQIAGLPTNVGFLQELAGHSAFEKGLVDTHFIERYQNDLLSTSTQALSGSHEAEELGAILAAACICKKDHVSSEVSLHDKKLSMWYAHPPFRMHHFAKRLMEFELDRELGGSSDDLLKLSVTYRSDGTYFVETEDGSSPGLDVKVDSRGDHDFRVDVGGLQTDVTLAFYSKDNCNHIHIWHGKHHHHYRQTLRAEQSPDDSSQPSASSEARSHPKGSVLAPMAGLVVKVLLKDGARVEEGQPVMVMEAMKMEHVVKAPCAGYVEGLKATAGQQVFDSSVLFTVKENKPN >ONIVA12G17060.2 pep chromosome:AWHD00000000:12:18498302:18504337:1 gene:ONIVA12G17060 transcript:ONIVA12G17060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methylcrotonyl-CoA carboxylase alpha chain, mitochondrial / 3-methylcrotonyl-CoA carboxylase 1 (MCCA) [Source:Projected from Arabidopsis thaliana (AT1G03090) TAIR;Acc:AT1G03090] MASRLLLPRRRSRHGGASLLLARLLSSSSSEAGGGGAVEKVLVANRGEIACRVMRTARRLGIPTVAVYSDADRGALHVRAADEAVRLGPPPARESYLNASAIVDAALRTGAKAIHPGYGFLSESADFAQLCKAEGLTFIGPPPSAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEANKIGYPVLIKPTHGGGGKGMRIVQRPEDFVDSLLSAQREAAASFGINTLLVEKYITQPRHIEVQIFGDQHGNVIHLYERDCSLQRRHQKIIEEAPAPNVTAQFRSHIGEAAVSAAKAVGYYSAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRIANGECLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSTATVRVETGVEEGDTVSMHYDPMIAKLVVWGESRNAALVKLKNSLSNFQIAGLPTNVGFLQELAGHSAFEKGLVDTHFIERYQNDLLSTSTQALSGSHEAEELGAILAAACICKKDHVSSEVSLRMLCGCPFILSCNILLVWTDNDIILLADDKKLSMWYAHPPFRMHHFAKRLMEFELDRELGGSSDDLLKLSVTYRSDGTYFVETEDGSSPGLDVKVDSRGDHDFRVDVGGLQTDVTLAFYSKDNCNHIHIWHGKHHHHYRQTLRAEQSPDDSSQPSASSEARSHPKGSVLAPMAGLVVKVLLKDGARVEEGQPVMVMEAMKMEHVVKAPCAGYVEGLKATAGQQVFDSSVLFTVKENKPN >ONIVA12G17070.1 pep chromosome:AWHD00000000:12:18505350:18508550:1 gene:ONIVA12G17070 transcript:ONIVA12G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCTMVPADLVEDKAAAAAVEEDAGSYLRADQVDLMSLDFEIEERMADRFRKLNSGGVERGDEGPKAAWEIDLSKLEIGHVVEHGDHGTLFRGKYYGQDVAVKLLDWGAEGDSSEDQIAHFRTSLKEVVAVWHEFNHPNITKFIGASMGTTNLNIPKDIPDHSSRKGARTDLPDRACCVVVEYLTGGTLKQHLIKHYRKNKKLPYEEVVRLALDLARGLSFLHSKKIVHRDVKSENMLLDPQLNLKIADFGVARLVEAQDPKDLTRTTGTLGYMAPEVLDGKPYNRKCDVYSFGICLWETYCCDMPYGPYSDLSFADFSSFVVHKNLRPEIPDCCPSAMASIMRRCWDANPEVRPEMEEVVRLLESLDTSNGGGMVLEKKKRKQPGGGCFCFFVPRAA >ONIVA12G17080.1 pep chromosome:AWHD00000000:12:18513001:18519395:1 gene:ONIVA12G17080 transcript:ONIVA12G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0JC60] MSIAAEGRGGDPGSRVDPPMVLPQQNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFQVLQGFDTVLLYWDKTASGYCDKAGVYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRVRSCGHGTPTLSAFASSVDSWLMRLRKAALKEEEQLFLSVDRTITLLGLTDSTSSFLKAGEDYCGFHSPHNIKSYAIYVLEQNICIKLCKELCLMPFGILAHRWHLGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPYEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDISRAILSAGKSFQLVQHIQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESAGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEACGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTAVESHFNLSCYENPGITACQEILERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLESLYTFPTLLPCVNENVPLSAILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQLCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILPFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPR >ONIVA12G17080.2 pep chromosome:AWHD00000000:12:18513001:18519395:1 gene:ONIVA12G17080 transcript:ONIVA12G17080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0JC60] MASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPYEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDISRAILSAGKSFQLVQHIQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESAGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEACGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTAVESHFNLSCYENPGITACQEILERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLESLYTFPTLLPCVNENVPLSAILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQLCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILPFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPR >ONIVA12G17080.3 pep chromosome:AWHD00000000:12:18513001:18517191:1 gene:ONIVA12G17080 transcript:ONIVA12G17080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0JC60] MASSEVAVHAVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPYEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDISRAILSAGKSFQLVQHIQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESAGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEACGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTAVESHFNLSCYENPGITACQEILERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLESLYTFPTLLPCVNENVPLSAILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDQIGKQILSKLMGDWX >ONIVA12G17080.4 pep chromosome:AWHD00000000:12:18510267:18513172:1 gene:ONIVA12G17080 transcript:ONIVA12G17080.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0JC60] MANITIVRITIGEEKKICRLLEVKAAHVKAKGRNDGGSRRKSEKEICRCLLRSLRRRQDESRTRG >ONIVA12G17090.1 pep chromosome:AWHD00000000:12:18520148:18521986:-1 gene:ONIVA12G17090 transcript:ONIVA12G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRKERSSELEVAVAGDDDDDRLSSLPDDVIGRILSFLPARQAARTTQLSRRWRRVWPAHVAALNLSVLDLPRRRRRCPGMIQREFAALAGEALLRFPTTGIPSISVEVDHYINVAADGWFGQAMERAVGSVRVTSLRGLVGGLPLPPCARAAAMAVAAPRTVLTLPGVDDRQVFGRLSELSLSLVRLGGGGERPLDEFLSSCCPRLRRLRLRGVRGRHAVRRLALHTLDHLEVLDIDGVDDLEALDVSAPNLRCLNVRSCFRGGGGDVAVTAPGIEAVVWHRSYPEHLAFRSGLARVRWLAGPLKLAAVGRRDLFDAPYTTQLLRSCSLAVGHLDMELVMPDDMALANWLGGGGGACEDLIRHLPELPRVTVLSLNIRWSFASGGGGGIAASLASLLSKTPSLTRLHIRTSPYCFSVFDDSRKPRLGRRLDSLREVSVDGLTGGDREEYSVVVELLLATIVPPSLERMSLAFHGHAAPAIIDDIAREIPLHFPIMATGRWERSPPSAVTWKSS >ONIVA12G17100.1 pep chromosome:AWHD00000000:12:18522298:18522792:1 gene:ONIVA12G17100 transcript:ONIVA12G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAASGGGRRCVEDDACRICGWRSHNESFCVFNYMDGYFSSRSCREQCKPGWHRHAAAAADDFDADEWRRCFVRVTNVAAGVEGHSLRWLFQRFGPVRACSLSREGQPAGDGDGGGLAFVTFYSGGDAEAAVEGLNGHLAGDRRLRVDLAYPRVVVLSHHA >ONIVA12G17110.1 pep chromosome:AWHD00000000:12:18523366:18523800:-1 gene:ONIVA12G17110 transcript:ONIVA12G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRSSRRRRWWLLGMLVEASFSAGGREGAGGGRGDEIYVKKPVAAAATQDETLVAMLMSFMTRSVVGKWFYRKAPVRRTDDRNNGRRGSGGAAAGGGGVSLSVAEVMPPVKASRAHGCTECGVEFDSASTYGGTTSWAPRLRR >ONIVA12G17120.1 pep chromosome:AWHD00000000:12:18530503:18536098:1 gene:ONIVA12G17120 transcript:ONIVA12G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEAKDGEEVMGEGREGDPVDKIRRLLRIAIYNIGCVEGFFPDAYFSDIVVREDDMVIKQLVPIVDSESRRLMNLIDEAEACLCDALKKKYLKTLVFSICEKYEGPVLQEFIFSFSYASTGPDEVVMMMTRTGSKVITKFEASAVKVTPNQMRSSACKMIRLIVQQTRTYPVQEEHAIEMKLSFYEDTTPEDYEPPFPKYCVNSEDVAIWNNILKMEVGNINNKHVVLTLKVKSAQSYCKNSIVDDCSDYEMCECETYGYTSAPNDDTEEDYHTGMLASPIKAWYPQDTGTQMTRKRKTGFVLVSSSKKIKFLLDSGASHHICNDKAIMRNLKDVKKEYQVSLASCGGLELKAEMMGTVVTKDMKLSQVGYIPEMEFNVVSIGQLAVQGLITTGGDGRFSVIDAKEARVVGEGHLQRKTEKVDGRVYHEYVFKSLIWEIEGDDEKLIEPLRADDDEIDEEEEKKCWVIDTGCGRHMIPDISILTQVKREEVTFQAACGIVSSTHKGLVK >ONIVA12G17130.1 pep chromosome:AWHD00000000:12:18536774:18537134:1 gene:ONIVA12G17130 transcript:ONIVA12G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRKEISSDQSDVDPDEVVAVAGDDRLSSLPDDLICRILSFLPTREAALTSQLSRRWRRVWPADVAALNLDWTCRCASYSPLSPAAREALLRFPTTGIPSFSLEIDHYINVAADGW >ONIVA12G17140.1 pep chromosome:AWHD00000000:12:18537147:18537969:1 gene:ONIVA12G17140 transcript:ONIVA12G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVGSVRVTVFGRLAELSLSSCCPRLRLRAVRRLALHTLDHLEVLDINGVDDLEALDVSAPKPPVPEPERPLLLPPSPRRVSRPPVGTAATRSISPFRSGLARVRRLDGPLKLAVVRRRDQFDRRPLHHAAPAGLLSRRRPSRHGARDAQPAEADSGGDAVAGECEDLRDDKCTLSLFSLLKASPFPFPQIAGPFRHRSLHPSSQPKCPTAVTARFPHHPPSARRNAAPTHRTICRVHFGICVPSATSRHPMIEQPHYMII >ONIVA12G17150.1 pep chromosome:AWHD00000000:12:18538288:18538806:-1 gene:ONIVA12G17150 transcript:ONIVA12G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNAAAAASSTAATINFWKDPNAESCCICGGEAAAATTVAEAEEEEEHTELTCPYNYLAPAAARCYVPCRARRAAWRGDAAGASERRRFLRRFVRVSNLPASCRPADLAGLLAGFGPLRMWHVAMDGPRECKGFAAVVFERREHADEAIEGLNCFSFGGRSLRVDWAYPSA >ONIVA12G17160.1 pep chromosome:AWHD00000000:12:18540135:18546381:1 gene:ONIVA12G17160 transcript:ONIVA12G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEIDWEEVMPTTTTASSSHGDDVEVRFVSTSTRSSVAQRLRPRGARTEEEAEIVRMRDDELRREMAFAGRLRPRFEGILGDGGEGNRRRRALLEAEARRRGIGPAAAKDGDDRHSTSRDVFSFDIEDQLGEDASRRFCGKPWPVSSTKENYGQLGIETRRSSRQIEQRKPISVDKMYSSKPCSSTLSGHKLRVHAIDPDEKADDEKSEPSTRYSFRNYIKNIAILVIVHEISKIEISRTFNPSNEKCNETFVSLIDLEFIRAQLCVQQGGRKNISRVSLIFKRWVQDVVLLDDEDVQPEGQVDCRMHDRRNETMIYYPSRDDPEAVELSSSDIKCLDPGVYLSSPVINFYIQYMKRTKLHDDDCREKFYIFNTYFYSKLEEALLGKGEFLKLRRWWKGVNIYHTSYIILPIHGTAHWSLIIICFPSKESNSGPIILHLDSLELHSSAKIFDTVRRYLEAEWCHLRKNPPPDISISETIWDDLPSNIQKEKVQVPQQKNEYDCGIFMLYYIERFIRLAPERFTRDNLSMFSRSWFQPEDASDLRQRIRELLLEEFESARLDEALSEADTSDRSDNEEDATKSAESEQAAAAAAAAAPGNGSSEMIVEGGDTGISNEDIKGVAASKEASSSICRSADNLAGCVLLEEATLSDSVMKDEEDTTKADPVSSQDEQEVAVLSPGAWKNSEENTHKQPQPDICCDSSDSEMDDVKIIEDPYQRTNKQNCRIF >ONIVA12G17160.2 pep chromosome:AWHD00000000:12:18540135:18546381:1 gene:ONIVA12G17160 transcript:ONIVA12G17160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEIDWEEVMPTTTTASSSHGDDVEVRFVSTSTRSSVAQRLRPRGARTEEEAEIVRMRDDELRREMAFAGRLRPRFEGILGDGGEGNRRRRALLEAEARRRGIGPAAAKDGDDRHSTSRDVFSFDIEDQLGEDASRRFCGKPWPVSSTKENYGQLGIETRRSSRQIEQRKPISVDKMYSSKPCSSTLSGHKLRVHAIDPDEKADDEKSEPSTRYSFRNYIKNIAILVIVHEISKIEISRTFNPSNEKCNETFVSLIDLEFIRAQRKEKHQSCLSDFQKVQDVVLLDDEDVQPEGQVDCRMHDRRNETMIYYPSRDDPEAVELSSSDIKCLDPGVYLSSPVINFYIQYMKRTKLHDDDCREKFYIFNTYFYSKLEEALLGKGEFLKLRRWWKGVNIYHTSYIILPIHGTAHWSLIIICFPSKESNSGPIILHLDSLELHSSAKIFDTVRRYLEAEWCHLRKNPPPDISISETIWDDLPSNIQKEKVQVPQQKNEYDCGIFMLYYIERFIRLAPERFTRDNLSMFSRSWFQPEDASDLRQRIRELLLEEFESARLDEALSEADTSDRSDNEEDATKSAESEQAAAAAAAAAPGNGSSEMIVEGGDTGISNEDIKGVAASKEASSSICRSADNLAGCVLLEEATLSDSVMKDEEDTTKADPVSSQDEQEVAVLSPGAWKNSEENTHKQPQPDICCDSSDSEMDDVKIIEDPYQRTNKQNCRIF >ONIVA12G17160.3 pep chromosome:AWHD00000000:12:18540135:18546381:1 gene:ONIVA12G17160 transcript:ONIVA12G17160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEIDWEEVMPTTTTASSSHGDDVEVRFVSTSTRSSVAQRLRPRGARTEEEAEIVRMRDDELRREMAFAGRLRPRFEGILGDGGEGNRRRRALLEAEARRRGIGPAAAKDGDDRHSTSRDVFSFDIEDQLGEDASRRFCGKPWPVSSTKENYGQLGIETRRSSRQIEQRKPISVDKMYSSKPCSSTLSGHKLRVHAIDPDEKADDEKSEPSTRYSFRNYIKKRKEKHQSCLSDFQKVQDVVLLDDEDVQPEGQVDCRMHDRRNETMIYYPSRDDPEAVELSSSDIKCLDPGVYLSSPVINFYIQYMKRTKLHDDDCREKFYIFNTYFYSKLEEALLGKGEFLKLRRWWKGVNIYHTSYIILPIHGTAHWSLIIICFPSKESNSGPIILHLDSLELHSSAKIFDTVRRYLEAEWCHLRKNPPPDISISETIWDDLPSNIQKEKVQVPQQKNEYDCGIFMLYYIERFIRLAPERFTRDNLSMFSRSWFQPEDASDLRQRIRELLLEEFESARLDEALSEADTSDRSDNEEDATKSAESEQAAAAAAAAAPGNGSSEMIVEGGDTGISNEDIKGVAASKEASSSICRSADNLAGCVLLEEATLSDSVMKDEEDTTKADPVSSQDEQEVAVLSPGAWKNSEENTHKQPQPDICCDSSDSEMDDVKIIEDPYQRTNKQNCRIF >ONIVA12G17160.4 pep chromosome:AWHD00000000:12:18540135:18546381:1 gene:ONIVA12G17160 transcript:ONIVA12G17160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEIDWEEVMPTTTTASSSHGDDVEVRFVSTSTRSSVAQRLRPRGARTEEEAEIVRMRDDELRREMAFAGRLRPRFEGILGDGGEGNRRRRALLEAEARRRGIGPAAAKDGDDRHSTSRDVFSFDIEDQLGEDASRRFCGKPWPVSSTKENYGQLGIETRRSSRQIEQRKPISVDKMYSSKPCSSTLSGHKLRVHAIDPDEKADDEKSEPSTRYSFRNYIKKRKEKHQSCLSDFQKVQDVVLLDDEDVQPEGQVDCRMHDRRNETMIYYPSRDDPEAVELSSSDIKCLDPGVYLSSPVINFYIQYMKRTKLHDDDCREKFYIFNTYFYSKLEEALLGKGEFLKLRRWWKGVNIYHTSYIILPIHGTAHWSLIIICFPSKESNSGPIILHLDSLELHSSAKIFDTVRRYLEAEWCHLRKNPPPDISISETIWDDLPSNIQKEKVQFSRSWFQPEDASDLRQRIRELLLEEFESARLDEALSEADTSDRSDNEEDATKSAESEQAAAAAAAAAPGNGSSEMIVEGGDTGISNEDIKGVAASKEASSSICRSADNLAGCVLLEEATLSDSVMKDEEDTTKADPVSSQDEQEVAVLSPGAWKNSEENTHKQPQPDICCDSSDSEMDDVKIIEDPYQRTNKQNCRIF >ONIVA12G17170.1 pep chromosome:AWHD00000000:12:18549439:18553583:1 gene:ONIVA12G17170 transcript:ONIVA12G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSGVAEEGGAAAAAAVRRWVEAGGGRLVMDGGLATELEANGADLNDPLWSAKCLLSSPHLVRKVHLDYLEAGANIIITASYQATIQGFESKGFSKEQSEDLLAKSVEIAREARDMFLKEHSDRPIQHPILVAASIGSYGAYLADGSEYSGDYGEAGTLEFLKDFHKRRLEVLAEAGPDLIAFETIPNKLEAQAYVELLDECNISIPAWFSFNSKDGVHIVSGDSLIECATIANGCSKVGAVGINCTPPRFIHGLILSIRKVTDKPILIYPNSGERYDAEKKEWVESTGVSDGDFVSYVNEWCKDGAVLIGGCCRTTPNTIKAISRSLNQRHSSLHLPVA >ONIVA12G17180.1 pep chromosome:AWHD00000000:12:18554975:18559929:1 gene:ONIVA12G17180 transcript:ONIVA12G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >ONIVA12G17190.1 pep chromosome:AWHD00000000:12:18560645:18562684:1 gene:ONIVA12G17190 transcript:ONIVA12G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTGAGGSPAASWSDMVFDLLATVFHTFNDPADLLRCAAVCRSWHAAAASVRDRHPLISRRPPPPCLVYTADQGNDHGDRHRVATVFALAGGGMTYEVTLPVDPPIRDRSWLGSSHGWIVTADADSAELRLVNPVTGQQIDSLPPVDTIEHVRHRSQSAAAAADEDDYDYEIVQYNWTMEQRHDRPPTEAKADELAGYLLMRAFLSSDPSSDSGGGGCTVVLLHRPRYQLSFARVGVDERWAWVNLPDSDFYTDVVYNDGDGMFYAVTHQAGVHAYDFSGGPSAVRRTIVLADQIYGIIGTETKYIVRAPGGGGGWLQVWRMLEPVRAADGAKTTWLAADGAMRTVFKTVWIKVYRVDLAAQTLEETATLGDGGDSHALFIGGNQPFWVPAGGGDGERPAGGVLPNHIYYTDNDEDYALLNPEGPRDIGVYSVADGSISPLCPTRPWLTWPLPTWLVPSFGYYPWSDMLFDVHATTVLHTFNDPADLLRCGVVCRSMA >ONIVA12G17200.1 pep chromosome:AWHD00000000:12:18565740:18567128:-1 gene:ONIVA12G17200 transcript:ONIVA12G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPATASWSDMVFDLLATVFHTFSDPADLLRCAAVCCSWHAAAASVRDRHPLFSRRPPPPCLVYTAAASGDGDDNTRAATIFPLAGGGRTFEPPIRDRSWLGSSHGWIVTADADSAELRLVNPVTGQQIDSLPPVDTIEHVRHRSQSAAADDDDDEDDYDYEIVQYDWTMEQRDDRPPTQAKAGELAEYLLMRAFLSSDPSSDSGGGGCIVVLLHRPKYQLSFAQVGVDERWAWVNLPDSDFYTDVVYNDGDGMFYAVTHQAAIHAYDFSGGPSAVRRTIVLADQLHGVIDLETKYLVRAPDGGEWLQVWRMLKPVRRAADTHGDTTPTTAVYRKTTIWIKVFRVDLAAQRLQETATLGDGGDSHALFIGCNQPFWVPAGGGDGECPAGGVLPNHIYYTDNDEDYALLYPEAPRDIGVYSVADGSFSPFRPSLPWLTWPLPIWLVPNFGYYCQG >ONIVA12G17210.1 pep chromosome:AWHD00000000:12:18567146:18581697:-1 gene:ONIVA12G17210 transcript:ONIVA12G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTGAGWSPVSWSDMVFDLLATVFHTFNDPADLLRCAAVCRSWHGAAASVRERHPLFSRRPPPPCLVYTADQGNDHGDRHRVATVFALAGGGRTYEVTLPAEPPIRNSSHGWIVTADADSAELRLVNPVTGQQIDSLPPVDTIEHVRHRSQSAAAADDDEDDYDYEIVQYDWTMEQRDDRPPTQAKADELAEYLLMRAFLSGGCIVVLLHRPKYQLSFARVGVDERWAWVNLPDSDFYTDVVYNDDDGMFYAITYLAAIHAYDFSGGPSAVRQTIVLADQLHGVIDSETKYLVRAPDGDGWLQVWRMMKPVRAAAAHDAGGDTTRTTAVYRKTTIWIKVFRVDLAAQRLEETATLGDGSDSLVLFIGCNQPFWVPAGGGDGECPAGGVLPNHIYYTDNEEDYALLFPESPRDIGVYSVADGSFSPFRPTQPWLTWPLPTWLTPMSLGAAALWIKVFRVDLSAQRLEEMATLGDGGDSHALFIGCNQPFWVPAGGDGECSSGILPNHIYYTDKEDGNTEAPRNIGVYSIADGSFSPTYEVTLPVEPPMRNRFWLGSSHGWIVTADADSAELRLVNPVTGQQIDSLPPVDTIEHVRHRSQSAAAADDDEDDYDYEIVQYNWTMEQRDDRPPTQAKADKLAAYLLMRAFLSSDPAADGGGCIVVLLHTPDYQLSFARVGVDERWAWVNLPDSDYYTDVVYNDGDGMFYAITYLAAIHAYDFSGGPSAVRRTIVLADQLHGVINSETKYLVRAPDGDGWLQVWRMMKPVRTGAAHDAGGDTTRTTAVYRKTTVWIKVFRVDLAAQRLEETATLGDGGDSHALFIGCNQPFWVPAGGGDGECPAGGVLPNHIYYTDNEEDYALLFPESPRDIGVYNVADGSFSPFRPTQPWLTWPLPTWLSPIVELAVRFA >ONIVA12G17220.1 pep chromosome:AWHD00000000:12:18582808:18585495:1 gene:ONIVA12G17220 transcript:ONIVA12G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSHHDRYGVDRPTDDEKNDGATKDHLGDCKENVRTTTDSEEHDKTIEYPEMATSSDEDASDGEEEAGMSSDSEDDSSYNPPKIANTAFILEDSSHRDGSIYKGNSEWKRTYRIADLEETRLEAMILSGPKYCIMHGETCIRHGPCPMLHFFSLKLAEIAVTGGPVELYGYLAARDLLDPLRNYIFHTSRDDPIIVEKGSLIEISGPKRGIEILSDVLIEFDMRIKTGAEEEDDRQLIDGVLVVGCETPTDVTLRRRIHGDCGTVEIKFSHLRNAVEATVQVAILEVQSHFNLSIGSYVGSLPDEMQLFCGHIVEPCGLRKFVLSAMMRNLLRLKLKVSQGGSYAEHFCSFEPNHHGHSCQQINTEFASFLVKITWSTLDFGNPQNYL >ONIVA12G17230.1 pep chromosome:AWHD00000000:12:18593142:18605403:1 gene:ONIVA12G17230 transcript:ONIVA12G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHLVAVAIIIGSLCVTAVGQGNKIVLPFAPSCSTAGNYTGDSQYKKNLDQLLSTLSTSAAGDGWFNTSSVGTGADQVFGLLMCYATATRRSARNASPALRPGSCRCAREAERRTPTTTPASSATPTSPSSPSSPTAPTPPSPGTLDTHLYNANAGVLPWPLRHEIVLGIGSALLYLHEEWEQCVVHRDIKPSNIMLDAAFNAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMITGRANTESDMYSFGIVLLEIACGRPPIMAPEHQAEQGQDMIHLAQWVWDLYGKGRILDAADHRLDGEFNGGEMERVMVVGLWCAHPDRSMRPTIRQAVSVLRGEAPPPSLPARMPVATFLPPIDAFGYTSSLVGAAAPAEAAQALLTLRHLAQSNNELVPFWPYCSTTGNYTGRGNQFEKNLDQLFSTLSTSAAVGGWFNTTSVGTGADQVFGLIMCYADCNATECEKCLAGAPAGIKQVCPGSRTVKANYDACLLRYSDVSFFSELTYGKVEVNRSTYTFNGPYANYDACLLRYSDCNATECQECLAGAPAGIKQVCPGSRTVKANYDACLLRYSDVPFFDAADFTYGKVEVNRSFYWFNFRFVENMTAMNDTRRELMSRLADRAGDNKLRLKNDSVPYADSLLNTSRLYGLAQCTRELAGSECQKCLKKYVEDLGREYTNQSAGGIKGYSCYLRFELLPFDITLPPAPSPSQPPLIPATPVPPPAPAPSASTGLVVGLTLGAVSFLVVIGFSIRFVLRRRRKHAGLTKDQEMDDGDFFDDEAAMEDDFEKGTGPKRFRYGELAMATDNFSDEHKLGEGGFGSVYRGFFKEMNLDVAIKRVSKSSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNASLDTHLYNTNADVLPWTLRHEIVLGIGSALLYLHEEWEQCVVHRDIKPSNIMLDATFNAKLGDFGLARLVDHGRGSHTTVLAGTIGYMDPECMITGKANTESDVYSFGIVLLEIACGRPPIMVPQHQAEQGQDMIHLAQWVWDLYGNGRIFDAADHRLDGEFNDGEMERVMVVGPWCVHPDRSVRPVIRQVISVLRGEVPPPSLPVRMPVATFLPPIDAFGYTSSAVTRSSTSTNSWI >ONIVA12G17240.1 pep chromosome:AWHD00000000:12:18605681:18608441:1 gene:ONIVA12G17240 transcript:ONIVA12G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLVIFLLFLAVAGPLCETAVGDDTDLNLPSWPNCSSSNNYTGDSQYKKNLDQLLSTLSTSAAVGGWFNTTSVGTGADKVFGLIMCYADCNATECQECLASAPAGIMQVCPGSRTADANYDACLLRYSDKSFFSELTYGADPTIAWNVYVRPYVENMTTMNDMRRQLMSQLAERAGDTKLRLDNGSLPYVDSKLGTSAMYWLAQCTRDLAASECRRCLSGYVDGLSDTFPNTSGGANKGYSCYLRYQLWPIHITLPPPPSPRPSQPPSSPASPSSPPPSVSIGLVAGSTVGAVLFVVVLGVSIWLLLRRRRKHAGGRTMEQEMDEATSSTMRPMTLRRALDPNGFATASSPSPPTASPTSTSSGKAVSVYRGFLKELNLDVAIKRVSKSSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLVVYELMPNAGLDIHLYSANASSLPWPLRHEIVLGVGSALLYLQEGWEQCVVHRDIKPSNIMLDAAFNAKLGDFGLARLIDHGRGSHTTVIAGTMGYMDPECMLTGRANTESDIYSFGIVLLEIACGRPPVMAPEHQAEKGQDMIHLVQWVWDLYGKGRILDAADHQLDGEFDGDEMERVMVVGLWQLGLTEV >ONIVA12G17250.1 pep chromosome:AWHD00000000:12:18611207:18616986:1 gene:ONIVA12G17250 transcript:ONIVA12G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfurtransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JC83] MAATLLSRAAAAAAVSLRGARSHHILPSSLPKETLLPPLLLLILTTTTASLLAVGGRVGWARAAEEGAGFGCRASVPAAPGGVGSFGIAARCNATSSSAVSEATNALPRTEPVVSAEWLHANLKDPDVKVLDASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDRTSSLPHMLPSEKAFSAAVSSLGIYNKDGIVVYDGKGLFSAARVWWMFRVFGHDKVWVLDGGLPQWRASGYDVESSASSDAILKASAAREAIEKVYQGQLVGPSTFEAKLQPHLIWNLDQVKENIDAKTHQLIDARGKPRFDGAVPEPRKGIRSGHVPGSKCVPFPQLLDSSQKLLPPEELRKRFEQEGISLDQPLVTSCGTGVTACILALGLHRLGKTDVPVYDGSWTEWGAHPDTPVATAA >ONIVA12G17260.1 pep chromosome:AWHD00000000:12:18616185:18626329:-1 gene:ONIVA12G17260 transcript:ONIVA12G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLVIFLLFLAVVVGSLCETAIGHHNLNLPYQPSCSTTGNYTGDSQYKKNLDQLFTSLSGGAIAGDWFNTSSVGTGADQVFGLIMCYADSNAMECQKCLAMAPAVVQHPCRGSRSVNANYDACLLRYSDEPFFSELTTYGGNTNVSLDVDDTNLYVENMTAMNDTRWQLMSQLAGRAGDTKLRLDNGSLPYVDSKLGTSALYGLAQCTRDLAASECRRCLSGYVNDLSNTFPNNSGGAIKGYSCYLRYQLWPIDITLPPPPSPPPAPPAPPPPSVSIGLVAGLTVGAVSFVVVLGVSIWLLLHRRRKHAGLTMEQEMDEGDFFDDEAGDFEKGTGPKRFRYGELAIATDDFSDEHKLGEGGFGSVYRGFLKELNLDVAIKRVSKSSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYEXXXXHKLGEGGFGSVYRGFLKELNLDVAIKRVSKSSKQGRKEYASEVQIISRLRHRNLVQLIGWCHGGGELLLVYELMPNASLDTHLYNHSANALPWPLRHEIVLGVGSALLYLHEGWEQCVVHRDIKPSNIMLDAAFNAKLGDFGLARLVDHGRGSHTTVIAGTMGYMDPECMITGRANTESDIYSFGIVLLEIACGRPPVMAPEHQAEKGQDMIHLVQWVWDLYGKGRILDAADHRLDGEFNGGEMERVMIVGLWCAHPDRSVRPVIRQAVSVLRGEAPPPSLPARMPVATFLPPIDAFGYTSSAVTGSSTSSGNIGVPNTQTSTDCSTTGNYTRGSQFEKNLNQLLSSLSAGAIAGDWFNTSSVGTGPDQAFGLIMCYADAGDATRCKECLARAPAGVRQECPGSRAVTASNDACLLRYSDKPFFSAYDASTNISYTKLAGDQIVVQNVTTMNNTRWQFLNKLAERAGDNTLRIDNRSEPYVATASPAMAPRLVIILFLLAVAASAAVAQSNNEEPLWPDCKTTGNYTVGNQFEKNLDQLLSTLATAATDDGWFNTSSVGTGTADQVFGLIMCYADYNAMECEKCLAGAPAGIKQVCPGSRTVKANYDACLLRYSDASFFSELTYGKVEVNRSTYTFNGPYVENMTAMNDTRRELMNKLADRAGDNKLRLFNDSMPYVDSKLGTSRLYGLAQCTRDLAPSECQKCLKRYVDDLGQYYTNQSAGNIKGYSCYLRFDLWPFNITLPPAPSPLSSSPPPPIPATPVAPPSVSAGLVAGLTVSAISFLVVLGFSIRFVLRRRRKHAGLTKEQEMEEGGIFDDETAMEDDFEKGTGPKRFRFGELAIATDDFSDEHKLGGAMAAASSSSLDTHLYSANAGVLPWPLRHEIVLGIGSALLYLHEEWEQCVVHRDIKPSNIMLDAAFNAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMITGRANAESDVYSFGVVLLEIACGRRPIMADHHHQSEVDEDRIHIAQWVWNLYGSGRILDAADRRLTGEFDGGEMEAVMVVGLWCAHPDRSLRPTIRQAIGVLRGEAPPPSLPARMPVATFLPPVDAFNHTSSSVATGSSSASTDTTRSSRTTETSSLLK >ONIVA12G17270.1 pep chromosome:AWHD00000000:12:18626386:18637647:-1 gene:ONIVA12G17270 transcript:ONIVA12G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLFFSFLFLLLARETSAVAADGCSRRCGGLVVPYPFGFSGSCPIMLSCNVDGGSNSTAALILQGNDATTTDRSYTVVDGSFNSTASTFTVSVPPSCNRTVSDARRWLSGANYGVSSRTGLFLRGCRNATSSDCSVPVETMLRTTRCSGGGGNETASSSLTCIASLSPATPAERGLGGLFAQWEKVEEPRCENLLTSVYGDTREGVFSLEFAAAEMRWWVNGSCGGGVDDLGRCAANATCIPMQTPSGNWGHRCECLPWMAGDGFAAGEGCYAGKRRRMRVVEFATAGSVAFLLCLALSVWCLLRRRQWRRNNAKLTVKMARKHLPKDARFFRGKPIEDELELEAAGPRRFHYGELAAATANFSDDRRLGSGGFGSVYRGFLNGGDVAVKRVAETSRQGWKEFVAEVRIISRLRHRNLVPLVGWCHDGGDELLLVYELMPNGSLDAHIHSSGNVLPWPARYEVVLGVGAALMYLHHEAEQRVVHRDIKPSNVMLDASFSARLGDFGLARLIDDGRRSRTTGIAGTMGYIDAECFLLAGRASVESDVYSFGVVLLEVACGRRPAVVINGGEDAIHLTQWVWDTHGGAAGGGILDAADTRLNGEFDVAEMERVLAVGLWCAHPDRGLRPSIRQAVSVLRFEAPLPSLPVRMPVATYGPPVSTASAPTSNDTSAGRLHP >ONIVA12G17280.1 pep chromosome:AWHD00000000:12:18635203:18636033:1 gene:ONIVA12G17280 transcript:ONIVA12G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSYGFGMISISDYYLGGGGGPSAAMQWQFPASKRQFGFDGRQQYAAAEQHGHREQGVDSYGVAAPHHFPSPSPRHAVQFSQANPSTLRGSVDERFPVFVLLAVSAVPEADGGRRRVRLPAGSPRRGGRRRQEAHRLPRQTGMIRAIIQCMPRKQNHGKTRLWTGMMH >ONIVA12G17290.1 pep chromosome:AWHD00000000:12:18646200:18647520:-1 gene:ONIVA12G17290 transcript:ONIVA12G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGRRYAALWPTAGGGFGADSAGSALGTTAAEGSGAGTDNGGLGATARRKRSASARSPPPARPPPATNTSAEERFPASPRAARKDLSEASSGAEEERSAAPVCDDGDGDLFPLGNFAFVLLSNAGRHGAALGLFWHCSLPPPPQTNALIPPCGTLQTLLCRHVAL >ONIVA12G17300.1 pep chromosome:AWHD00000000:12:18648397:18650624:-1 gene:ONIVA12G17300 transcript:ONIVA12G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20760) TAIR;Acc:AT4G20760] MATARTLAAAAAAARAFSSTATSGGGVSMVQGASRGIGLEFVRQLLKRTDEGRVVATCRAPDSAVELQKLRQEHEQRLAVLPLDAAAASIGETHGSLNLLINATGILSIPNVIHPETTFSKVQKSSLLLAYEVNAVGPILVIKHMWPFLKAGGRSETGRGFSLVANMSARVGSIGDNGLGGWHSYRASKTALNQLTKTVSVELGKKDNIACILLHPGTVDTDLSRPFQKNVPKDKLFTREFSVQKLLSIIDNVKKSDNGKFFAWDGQEIPW >ONIVA12G17310.1 pep chromosome:AWHD00000000:12:18654227:18654670:-1 gene:ONIVA12G17310 transcript:ONIVA12G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTREHVVAGGDGGIVGGGDSKAVSAGGDGAVVFVGSSRRRYVISNKHLSHPLIAALIDDDVPAAAAGDGKEGGGGGGVVERRRSTSAAATTSSSTKPIAVNCEVVLFDHLLWMLDNAVDLRAGGDGDGDGGDDHAAMRELAQLYAY >ONIVA12G17320.1 pep chromosome:AWHD00000000:12:18661833:18668678:1 gene:ONIVA12G17320 transcript:ONIVA12G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGQSYVSAPPAFSADGRLLLACTGRTVSVFSASTGMLVSELEGHEGDVTAVVVAPPPVAAAAKLASYCWTAGLDGFLIYWDFAAAELVRKVQVGLPVHSMVIPNLARISRGAEIYTPFAFVSVEDTSKPSNEKLRGQLRIYDLTKGRQVGSLLAETRKPEMIVASSSGEFLGITNKRKLHVWRIPTKNFKPDKIRKIKLSHTKNLNTLAFHPSERIVASGDATGRILIWRGFGNAKFSGSDGTKSELDEDRGDVRGNGDADTCSTWHWHSSGVRFLKFSTDGAYLFSGGMEGVIVVWQLDTGKRRYKPRLGSPLLSFVDSPDSSIACVSCMNNQVHLLKMPNMEVMKSIAGIKLPISSPNLGGCYRDVYGFDYSNKLVAVPTEDYCIQFYDLFENTEVSEVQVCERNFQPVDDITMYISLVSLSIDGKFMCTVDVKLPEEELGGLVTLKFWNQGSRAGQYFLSTVIYEPHSDAGISAIAFRPGKNMAVSSSFGGNFKVWVQSMLSQPSDEKNQSGWRCQSVGSYKNKPMTAATFSSDGSVLAVAAENVITLWDPDNNTLVGVIAEALSPITKLSFIGTSPFLMSLSQSSKPQVAMWNVPNLSMQWSYSLFAEAACCSSSRSEFAVLALLSCPDGETLAEQDGVILLFDAENPKPVSSWSVKKARGGSVAFLKGDSSLDANRKDRIDGEASSLVYVNGSHEYVIFDPRSNEELHIGKSAHKNIQTEEPGPIGYASIFGELPKLESKKDVPEILFIPSERPWETIFSGSTHVLPPLTKLCPAFLASLLEKRPVANE >ONIVA12G17330.1 pep chromosome:AWHD00000000:12:18668552:18669424:-1 gene:ONIVA12G17330 transcript:ONIVA12G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEEEAAAAVARWGDMDADCLVEIFRRLPLDDVAAAAPLVCRSWRAAARDASLWRALDLRGGGGAAARFMPWSPLGAAFAARYGVRRFTFAGYLRLCVARAAGRAAELALPPLLGAPDLDLVSLRCTELRRVALPALSAADDARLPGLVARWRRLEHLELEHRPASFPATAARVGAGCPGFSSLKMAGAIRDVDAAAMAASLPRLKRLCLDGCYLPRHELLAVIHGCLELESLSAKHCVGFDEGDEEVAREAAMIGRLEVGGSRLVDKFDQRDVDGLDDDTSSYVDVM >ONIVA12G17340.1 pep chromosome:AWHD00000000:12:18674774:18675301:1 gene:ONIVA12G17340 transcript:ONIVA12G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHHHHHQLRRLAVLAAALCVVVVATAARPLQARRHGEAVEMDVSAAAPSGDGAVREGKWLPLLPAGGGLMHFPVVGGVSMPWMAGAPPAMAGPGVQLVPPYVGATRQEQLSLWASLFNPFQVRPRLPAAAAETTSPAVPVDIPAIAGVSPEKTTVDEPAAGEPKWGVFFGNNN >ONIVA12G17350.1 pep chromosome:AWHD00000000:12:18679034:18683670:1 gene:ONIVA12G17350 transcript:ONIVA12G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWNTTGMGDGFAPLGEDDGLVELLWCNGHVVMQSQAPRKPPRPERTTAAAAAMAEDESASWFQYPVDDVLEKDLFTELFGEMTAAGGGGGDVRRAACKEERGAVAAFQSRMMPPPWPARGKAEFGDVDDVCGVSEVVMAAKMDGEAKVAAAEAVGESSMLTIGSSICGSNHVQTPPAGAAPPPVGNGKAAAARRAHDTATVASSSMRSRSCTAKAEPRDSGSPSEDVEFESAAATCSPAQKTTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWIGGGMAPPAVMFPAAGVHQYMQRMGAVGMGPPHMASLPRMPPFMAPPPAAVQSSPVVSMADPYARCLAVDHLQPPSPMLAAAKNLQQQQNTAEPPPPPPAGSLPPAATAQPLTPDDILHKKYDNCSKSESKGGTS >ONIVA12G17350.2 pep chromosome:AWHD00000000:12:18679034:18683670:1 gene:ONIVA12G17350 transcript:ONIVA12G17350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWNTTGMGDGFAPLGEDDGLVELLWCNGHVVMQSQAPRKPPRPERTTAAAAAMAEDESASWFQYPVDDVLEKDLFTELFGEMTAAGGGGGDVRRAACKEERGAVAAFQSRMMPPPWPARGKAEFGDVDDVCGVSEVVMAAKMDGEAKVAAAEAVGESSMLTIGSSICGSNHVQTPPAGAAPPPVGNGKAAAARRAHDTATVASSSMRSRSCTAKAEPRDVAAAAGGGKRKQRGAAAMESGSPSEDVEFESAAATCSPAQKTTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWIGGGMAPPAVMFPAAGVHQYMQRMGAVGMGPPHMASLPRMPPFMAPPPAAVQSSPVVSMADPYARCLAVDHLQPPSPMLAAAKNLQQQQNTAEPPPPPPAGSLPPAATAQPLTPDDILHKKYDNCSKSESKGGTS >ONIVA12G17350.3 pep chromosome:AWHD00000000:12:18678568:18683670:1 gene:ONIVA12G17350 transcript:ONIVA12G17350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWNTTGMGDGFAPLGEDDGLVELLWCNGHVVMQSQAPRKPPRPERTTAAAAAMAEDESASWFQYPVDDVLEKDLFTELFGEMTAAGGGGGDVRRAACKEERGAVAAFQSRMMPPPWPARGKAEFGDVDDVCGVSEVVMAAKMDGEAKVAAAEAVGESSMLTIGSSICGSNHVQTPPAGAAPPPVGNGKAAAARRAHDTATVASSSMRSRSCTAKAEPRDVAAAAGGGKRKQRGAAAMESGSPSEDVEFESAAATCSPAQKTTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWIGGGMAPPAVMFPAAGVHQYMQRMGAVGMGPPHMASLPRMPPFMAPPPAAVQSSPVVSMADPYARCLAVDHLQPPSPMLAAAKNLQQQQNTAEPPPPPPAGSLPPAATAQPLTPDDILHKKYDNCSKSESKGGTS >ONIVA12G17350.4 pep chromosome:AWHD00000000:12:18679034:18683670:1 gene:ONIVA12G17350 transcript:ONIVA12G17350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWNTTGMGDGFAPLGEDDGLVELLWCNGHVVMQSQAPRKPPRPERTTAAAAAMAEDESASWFQYPVDDVLEKDLFTELFGEMTAAGGGGGDVRRAACKEERGAVAAFQSRMMPPPWPARGKAEFGDVDDVCGVSEVVMAAKMDGEAKVAAAEAVGESSMLTIGSSICGSNHVQTPPAGAAPPPVGNGKAAAARRAHDTATVASSSMRSRSCTAKAEPRDVAAAAGGGKRKQRGAAAMESGSPSEDVEFESAAATCSPAQKTTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWIGGGMAPPAVMFPAAGVHQYMQRMGAVGMGPPHMASLPRMPPFMAPPPAAVQSSPVVSMADPYARCLAVDHLQPPSPMLAAAKNLQQQQNTAEPPPPPPAGSLPPAATAQPLTPDDILHKKYDNCSKSESKGGTS >ONIVA12G17350.5 pep chromosome:AWHD00000000:12:18679034:18683670:1 gene:ONIVA12G17350 transcript:ONIVA12G17350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWNTTGMGDGFAPLGEDDGLVELLWCNGHVVMQSQAPRKPPRPERTTAAAAAMAEDESASWFQYPVDDVLEKDLFTELFGEMTAAGGGGGDVRRAACKEERGAVAAFQSRMMPPPWPARGKAEFGDVDDVCGVSEVVMAAKMDGEAKVAAAEAVGESSMLTIGSSICGSNHVQTPPAGAAPPPVGNGKAAAARRAHDTATVASSSMRSRSCTAKAEPRDVAAAAGGGKRKQRGAAAMESGSPSEDVEFESAAATCSPAQKTTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWIGGGMAPPAVMFPAAGVHQYMQRMGAVGMGPPHMASLPRMPPFMAPPPAAVQSSPVVSMADPYARCLAVDHLQPPSPMLAAAKNLQQQQNTAEPPPPPPAGSLPPAATAQPLTPDDILHKKYDNCSKSESKGGTS >ONIVA12G17360.1 pep chromosome:AWHD00000000:12:18679991:18686372:-1 gene:ONIVA12G17360 transcript:ONIVA12G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYGNLAFSSSALPFPARFVGLTGIDDPYKFLLLECRQESVAARLLLAVGCRPVAVAAAPPCSAAAEGSWLRRAGRSSSLAVRYELLLCTSAARRRFAVVVFCAGEHVAAADSNSTSSLGLPDSMAAAPRCFRLPPPAAAATSRGSALAVQDLDLIDDDATVAVSCALLAAAAFPFPTGGGAAPAGGVWTWLLPQMLDPIVSIDDSPTASAAATFASPSIFAAMTTSETPHTSSTSPNSAFPLAGHGGGIILLWNAATAPRSSLHAALRTSPPPPPAAVISPNSSVNRSFSSTSSTGY >ONIVA12G17360.2 pep chromosome:AWHD00000000:12:18679991:18686372:-1 gene:ONIVA12G17360 transcript:ONIVA12G17360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWYGNLAFSSSALPFPARFVGLTGIDDPYKFLLLAIIKKLESFGFDTTCSEANMVHNCKRLCTSAARRRFAVVVFCAGEHVAAADSNSTSSLGLPDSMAAAPRCFRLPPPAAAATSRGSALAVQDLDLIDDDATVAVSCALLAAAAFPFPTGGGAAPAGGVWTWLLPQMLDPIVSIDDSPTASAAATFASPSIFAAMTTSETPHTSSTSPNSAFPLAGHGGGIILLWNAATAPRSSLHAALRTSPPPPPAAVISPNSSVNRSFSSTSSTGY >ONIVA12G17370.1 pep chromosome:AWHD00000000:12:18693015:18694115:-1 gene:ONIVA12G17370 transcript:ONIVA12G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASRPPPPPPPPPSSAAAAGAAAQWLSPRVSFSLDDAGGGGGVGRAEAVAVAGKGSADFEFLLGCSAVSMLPADELFSGGKLVPLRLPGVAASSSSLAAVDGEAAAATVVSQSPAALQVEAVAMKEKVVVEAKIPARRWRDLLRLRKQQASSSSSSSSATSSSEPKPLRRLLRRGPKPPEPEPSLSLPLLREPVSVPADEPDTTKHDKPTPPSPSTQQLPPKIRLSPTQAPPPPPPPPPPPPAVAADSPRLNAAGKVVFNGLGRSSSSPSSLAGGRRHHHGRNGGGGIERSYSAHVRVAPVLNVPVCSLRGSRKSVSVFGIDRLFSPSSSSSSSSAAAASKKGKLAKKEAATTMAAAAAAPQ >ONIVA12G17380.1 pep chromosome:AWHD00000000:12:18700839:18710116:1 gene:ONIVA12G17380 transcript:ONIVA12G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16650) TAIR;Acc:AT1G16650] MAAATPASGGGGGGYSCETAEQTREWMEAISAFLHLHRPLLEAHVVNFFKDRLWEMVDAEWMECLRWEPVESLLMLPSGCEHWPSSLRDFVLTAKSLVLPREQKSPRSLLPDLHVASINTVLAQGMNSKKKHEIETLAGLVHAITKSCGAKTVIDVGSGQGYLAQALSFEYQLPVVAIDASSHHASVTNTRAERIKNVGKQQLRVPRTVTCHVLSSDTLAAVTLEACQDDHAEHVPESKNFNESSPQIEKPNDSIPPLVLAGLHACGDLSVNMLRLFVSCEQVKALISIGCCYNLLSEECHEDTNTCPGFPMSKAAKLSNLVLRKSTRDLGCQSAERWRSLTKDIALQNFDIHAFRAAFQMFLEKHFPEVSRSSPSIGRQGKALRRQRLRKVMESPMAMAETDALSYSTQKEQIMTKDDPLPTGPNNFKEVHVDFLPELSTGFVDSAVSGAAIVPDDIYLDKSQKFTLFKDFTVSGLGRLGCDFVENVSLLEIWKDVQPFTEFIGPFWCLRVALGPLVETYILLDRLLFLQEQGSVIEASLFPLFNPTMSPRNMAIIAWKLSANPSKMAARTTVPVLPMMDQHQGGHSEPSRLFPSPNPYPDLYTRRCHAKPQAKSDGTGGNRRAVLGRAKEGEKWGEDCRRNISSRRGAETPGYCLLLHP >ONIVA12G17390.1 pep chromosome:AWHD00000000:12:18710545:18718478:1 gene:ONIVA12G17390 transcript:ONIVA12G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMSFLSMVEAELPPGFRFHPRDDELICDYLAPKVASKVGFSGRRPPMVDVDLNKVEPWDLPEVASVGGKEWYFFSLRDRKYATGQRTNRATVSGYWKATGKDRVVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEGVHDQQASSFSSKEDWVLCRVICKRKSGGGATSKSRSLTTTTTTIVHDTSTPTSSPPLPPLMDTTLAQLQASMNTSSSSAIAAVAALEQVPCFSSFSNSIASNNNNSNSATVNAQQCYLPIVTGSNNNGMSYLDHGLPEFGSFLDTQSCDKKMLKAVLSQLNSIGGEVLPGLPPPSEMAAAVSSSWMNHF >ONIVA12G17390.2 pep chromosome:AWHD00000000:12:18710515:18718478:1 gene:ONIVA12G17390 transcript:ONIVA12G17390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMSFLSMVEAELPPGFRFHPRDDELICDYLAPKVASKVGFSGRRPPMVDVDLNKVEPWDLPEVASVGGKEWYFFSLRDRKYATGQRTNRATVSGYWKATGKDRVVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEGVHDQQASSFSSKEDWVLCRVICKRKSGGGATSKSRSLTTTTTTIVHDTSTPTSSPPLPPLMDTTLAQLQASMNTSSSSAIAAVAALEQVPCFSSFSNSIASNNNNSNSATVNAQQCYLPIVTGSNNNGMSYLDHGLPEFGSFLDTQSCDKKMLKAVLSQLNSIGGEVLPGLPPPSEMAAAVSSSWMNHF >ONIVA12G17400.1 pep chromosome:AWHD00000000:12:18717925:18722429:-1 gene:ONIVA12G17400 transcript:ONIVA12G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0JCA4] MGEKERLLGELSASLAYCCPGFGSWTPSPLYNLPKISAPIIQNLPHIQSLDQLMDLEKGKKPSEQAAACRIMQVKDKLITLQPVVRACVFLATAVAAVIMGLNKQSYTTVVAIVGTRPVTQTFTAKFKDTPAFVFFVIANAIASGYNLMVLVTRRILQRRAQSLSVHLLDMVILTLLATGSATAASMAQLGKNGNLHARWNPICDKFGSFCNHGGIALVSSFIGVALMLALNLLSAAANSPRSNVTGQ >ONIVA12G17410.1 pep chromosome:AWHD00000000:12:18726092:18729359:-1 gene:ONIVA12G17410 transcript:ONIVA12G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRNILLYPRGAPSVCCAVCHAVSSTAPSPGMDIAHLICGGCRTLLMYTRNATSVRCSCCDTVNLVRPVSSIAHLNCGQCQTVLMYPYGAPSVKCAICNFITNTGMNTMRHLPPNGTSYTVPSTSAPTTQSQNVTVVVENPMTVDAKGKLVSNVVVGVTTGDIKVEEKKYFGVPY >ONIVA12G17410.2 pep chromosome:AWHD00000000:12:18725350:18729359:-1 gene:ONIVA12G17410 transcript:ONIVA12G17410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRNILLYPRGAPSVCCAVCHAVSSTAPSPGMDIAHLICGGCRTLLMYTRNATSVRCSCCDTVNLVRPVSSIAHLNCGQCQTVLMYPYGAPSVKCAICNFITNTGPTTQSQNVTVVVENPMTVDAKGKLVSNVVVGVTTGGKKINNKDYVIDRT >ONIVA12G17410.3 pep chromosome:AWHD00000000:12:18726129:18729359:-1 gene:ONIVA12G17410 transcript:ONIVA12G17410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRNILLYPRGAPSVCCAVCHAVSSTAPSPGMDIAHLICGGCRTLLMYTRNATSVRCSCCDTVNLVRPVSSIAHLNCGQCQTVLMYPYGAPSVKCAICNFITNTGPTTQSQNVTVVVENPMTVDAKGKLVSNVVVGVTTGGKKY >ONIVA12G17420.1 pep chromosome:AWHD00000000:12:18732145:18735543:-1 gene:ONIVA12G17420 transcript:ONIVA12G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYNYLENNSLAQSLFGNSHSSIQLDWKTRVKICIGVASGLKYLHEEVRPVIVHRDIKASNILLDKDLSPKISDFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTDPRLPLQDQFLLERAWALYESGDLKSLVDSTLKGVFDTEEAQRLLKIGLLCTQDTPKIRPSMSTIVKMLKGECAIGDKIMRPGLITDVMDLKIRTVEPVQFSASPPKSPSDSNSQVSMLAVAGSTVVEESP >ONIVA12G17420.2 pep chromosome:AWHD00000000:12:18732145:18734566:-1 gene:ONIVA12G17420 transcript:ONIVA12G17420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYNYLENNSLAQSLFGNSHSSIQLDWKTRVKICIGVASGLKYLHEEVRPVIVHRDIKASNILLDKDLSPKISDFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTDPRLPLQDQFLLERAWALYESGDLKSLVDSTLKGVFDTEEAQRLLKIGLLCTQDTPKIRPSMSTIVKMLKGECAIGDKIMRPGLITDVMDLKIRTVEPVQFSASPPKSPSDSNSQVSMLAVAGSTVVEESP >ONIVA12G17430.1 pep chromosome:AWHD00000000:12:18740149:18743812:-1 gene:ONIVA12G17430 transcript:ONIVA12G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSILRRAAPLRRRAVSALAAAVLRREEAAAEVVVSWRATIPAAWFHSSPAWLGFRETGAAGAAARPQYAADEGLFYEEDKRGAKAGGVAAGGAEEGLEVAKLGISPKIVSQLASRGITKLFPIQRAVLEPAMQGKDMVGRAKTGTGKTLAFGIPILDAIIRHNEKNSPGKFPLAIVLAPTRELAKQVEREFSDSSNVETICVYGGTPISQQIRQLNYGVDVVIGTPGRVIDLLKRGALNLSEVRFVVLDEADQMLSVGFDEDVETILDRVPPKRQTLMFSATMPTWIRRLTQKYLKNPVTIDLVGEDDQKLAEGISLYSIASEGHAKPAVLGELIKEHAKGGKCIVFTQTKRDADRLSYTMGRSFQCQALHGDITQAQRERTLKGFREGHFNILIATDVAARGLDIPNVDLVIHFELPNSSELFVHRSGRTGRAGKKGKAIVMHSYQQSRAIRMVENDVGCKFTELPKINVEGSDLMSGGFDSFGGGGFGREGGGSYGRRGSFGSSSSRGGGFGDSGFGRSGGGFGRSGGGGFGRSSGGGFGDSGFGRSGGGGFGDSGFGRSGSGGGGYGDSGFGSSGGGSGRSGFGRSGGFGDSGSGRFGGGFGNSGSGSFGNFGGNNSGQSGGFGSS >ONIVA12G17440.1 pep chromosome:AWHD00000000:12:18749821:18751500:-1 gene:ONIVA12G17440 transcript:ONIVA12G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTPQPPPPVDVDLGKLSYEIFSLLESNFLFGAGAGGGGGVCSLPGTPGRALLGGKVRVLAIDGCGPGPGDALLAAAALVRLETALREKSGDGDARVADFFDAAAGAGAGGVLAAMLFLKGADGRPRYTAADALASAATAVRSVDGRTAIAAASGGVAAMGNPTAAAITHVLHNKQEFPLAAGVDDLLVVSIGSGSSSAATPSTAAGWRTPLPSRSPSPAEMVRLTAEGVADMVDQAVAMAFGHTCGRNYVRIQAASPACKTKALSSVDAKKAAAIADGMLTQRNVEAELFRGRRLSEKSNREKLDAFAAELVKEHERRRASPGLPNVVIKQVAAAAAAVTPARLSSATTTSSATATTARTTVSSMPSPAASLDSGRH >ONIVA12G17450.1 pep chromosome:AWHD00000000:12:18769342:18769536:-1 gene:ONIVA12G17450 transcript:ONIVA12G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGTLAPKTKNIVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEGMKKKDAGNSSAAGS >ONIVA12G17460.1 pep chromosome:AWHD00000000:12:18778156:18781514:1 gene:ONIVA12G17460 transcript:ONIVA12G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,4-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G13290) TAIR;Acc:AT2G13290] MEPAAGDTIEPALRATAKLGFPSHGGWRGDRNRRRIGRDCRRRSRERRKKKEVAGHRPGDVEEAAAAAAVVAVVVEQRRRRLHLHLLLLLRPRGETDILMARTSPFPPLTRRKIASLKLLIPCVLVLSVAVIVVTQYFHNISYLLRPLWDTPPKPFIRIPHYYAPNISMPQLCQLHGWGILPTPRRVFDAVLFSNELDILEIRYGELLPYVDRFVILEANATFTGIPKSLSFLENINRFAFAGSKIVYDMLPVMEMDPGSHRQPFHVEAGHRRALNMLLRRSGIAVGDVLIMADSDEIPSPETVQLLKWCDGIPQVMHLELKNYMYSFEFPVDYNSWRATAHVFTEHTLYRHSRQSNLLLADAGWHCSFCFKDIKEFAFKMKAYSHADRVKQDSFLNPDRIQRVICNGEDLFDMLPEEYTFKDLFKKMGPIPKSGSAVHLPSYLIKNADKFRFLLPGGCLRPG >ONIVA12G17460.2 pep chromosome:AWHD00000000:12:18778466:18783481:1 gene:ONIVA12G17460 transcript:ONIVA12G17460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,4-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G13290) TAIR;Acc:AT2G13290] MARTSPFPPLTRRKIASLKLLIPCVLVLSVAVIVVTQYFHNISYLLRPLWDTPPKPFIRIPHYYAPNISMPQLCQLHGWGILPTPRRVFDAVLFSNELDILEIRYGELLPYVDRFVILEANATFTGIPKSLSFLENINRFAFAGSKIVYDMLPVMEMDPGSHRQPFHVEAGHRRALNMLLRRSGIAVGDVLIMADSDEIPSPETVQLLKWCDGIPQVMHLELKNYMYSFEFPVDYNSWRATAHVFTEHTLYRHSRQSNLLLADAGWHCSFCFKDIKEFAFKMKAYSHADRVKQDSFLNPDRIQRVICNGEDLFDMLPEEYTFKDLFKKMGPIPKSGSAVHLPSYLIKNADKFRFLLPGGCLRPG >ONIVA12G17470.1 pep chromosome:AWHD00000000:12:18784867:18788878:-1 gene:ONIVA12G17470 transcript:ONIVA12G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTEFKGEYSLPSKKSPLDQFEGVKTHLYRLGAEHENGTLKSFAYTDQGSPSLLDTIILSQWEDYAWKGHFGYDVTACNLKVVEGGWSFVVQLNDKWNSCVLKEHDKFLEPVGCLKPNCMNSYDELLLCIAQGDKDIPEVVPSTKPPKDGLLLIANAYPVEYGHIFLVPSATNQLSFFWDKRMLSLIARIASEVNSAAFRVFFDSCTSTMPDHMFFQACYFANPLPVESASTVAIYHGKATSAVHLYEIIDYPMKALVFTGKDVNMLANFVSEVSLTLHDNNTAYSLLISNNGTKVFLFPQVKNLATGCFLSAWECSGYFIYRAKYDFDRASENEISNRMASVTLQDGAFENLKNLCCAVADDLVM >ONIVA12G17470.2 pep chromosome:AWHD00000000:12:18784869:18787453:-1 gene:ONIVA12G17470 transcript:ONIVA12G17470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTEFKGEYSLPSKKSPLDQFEGVKTHLYRLGAEHENGTLKSFAYTDQGSPSLLDTIILSQWEDYAWKGHFGYDVTACNLKVVEGGWSFVVQLNDKWNSCVLKEHDKFLEPVGCLKPNCMNSYDELLLCIAQGDKDIPEVVPSTKPPKDGLLLIANAYPVEYGHIFLVPSATNQLSFFWDKRMLSLIARIASEVNSAAFRVFFDSCTSTMPDHMFFQACYFANPLPVESASTVAIYHGKATSAVHLYEIIDYPMKALVFTGKDVNMLANFVSEVSLTLHDNNTAYSLLISNNGTKVFLFPQVKNLATGCFLSAWECSGYFIYRAKYDFDRASENEISNRMASVTLQDGAFENLKNLCCAVADDLVM >ONIVA12G17480.1 pep chromosome:AWHD00000000:12:18790617:18793710:-1 gene:ONIVA12G17480 transcript:ONIVA12G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAESLEYELQKEVSNIMIQSYITSMRREFETKLWENQNCISTLNKNWKEKISKIAALRDELSTIYSVVSASESGVFSSHGSHEKVEELNFLKMKDDNESSITERTTDSRELMFDIPDFSLLKHMPSEEVTNFLKSEWLKLRRQHESELHEKTEELFRLKREFAKDIALLPSRKERELEIIKSKLLQSISKLDEITSREDNPYCDNYDDAEVCGFEDRIGSLLHENEQLQGLLADKKMVAKHLSLQVLDAERKMAQHSLSELKLVKQVEKLSHELEDLKIESHIKDLLELSTLREVFDNYENHIDDANQEETFLRELLVEKEEQLSIMYEDRQKLKYENNQLVAIAGSTLMQHHEQVNLVNDLTNFREKVCEQELLILESKSESNSMKSSLYEALQQINVCKQEIHGLTDNLTAMSIALEEAKEQNASLDATIQEMKKTSAPSINSHKGQAGHLEYALVSMEKLSKSYSDFESRLAQSMKRNEIRLTNIICQFNPLVQQVAVLKKKEFWYKQILEIKCSNLQKAEAEVDILGDEVDALLSILGKIYIALDHYSPVLKHYPGVTEILNLVQKALKGESI >ONIVA12G17490.1 pep chromosome:AWHD00000000:12:18797992:18802628:-1 gene:ONIVA12G17490 transcript:ONIVA12G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLWKQGWRWVVSQKHILTWAHMAASGGTERLAFLVDRHWPAVSRACVSSGRLALAALRQWRGCAARGILEMASLGPASVFVILWSFFVCITSPACALYALLGMGAAGAVIHYMGYTPGLFIVGLFGILIMWMYGYFWITGMLLIAGGCMCSLKHARFVIPVLAMYAVYCVAVRVGSLGVFLTLNLSFLTNDLLNKLLQGYEGSTEERQFEEPKHSDPVMDEFYRSCEFPSAPDSEPETVSSAKPFCSTPVQDVLHVQKEASPSKVVKSDSVSLDEMKRIMDGLTHYEVLGIPRNRSIDQKILKREYHRMVLLVHPDKNMGNPLACESFKKLQSAYEVLSDFTKKNTYDDQLRKEESRKMTQRSRVVSQQTGVEFLSEESRRIQCTKCGNFHLWICTKKSKAKARWCQDCSDFHPAKDGDGWVENKFSSSFKEIPRAFVCAESKVFDVSEWATCQGMECKPNTHGPSFMVNMVGADRMSQRSYSSRYPFSLNAEMIPEDEFELWLQQALASGVFSDSPKRRKSWSPFKLPQKGIKSWRRSS >ONIVA12G17500.1 pep chromosome:AWHD00000000:12:18807542:18810479:-1 gene:ONIVA12G17500 transcript:ONIVA12G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64150) TAIR;Acc:AT5G64150] MLASAAAAVGVAALLPGRLKPKPTPRLLKRLATASSSASTPPSPRSGGAGVEAEADTTPLFLRPAAHPVAAASLAAFRRRAAELVPDSAPHLHRHLRWLLADAAARDAGADPALLRAPLGDLESLWLRHVRDRRPFQYVVGNEHWRDLVVAVREGVLIPRPETEAVVDMVAKVQGFEAGWWADLGTGSGAIAVAVARMLGPEGRVFATDVSEVAIDVARLNVQRYGMQDKVEIRHGSWFEPLEDLKGKLMGVISNPPYIPTEDLPGLQPEVGWHEPKLALDGGKDGLEHLLHLCEGLSSVLKPGGFFVFETNGNKQSEFLVDFIQTKWDSSFRDVEAVLDFADIKRFILAIWRRLTSW >ONIVA12G17500.2 pep chromosome:AWHD00000000:12:18808787:18810479:-1 gene:ONIVA12G17500 transcript:ONIVA12G17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64150) TAIR;Acc:AT5G64150] MLASAAAAVGVAALLPGRLKPKPTPRLLKRLATASSSASTPPSPRSGGAGVEAEADTTPLFLRPAAHPVAAASLAAFRRRAAELVPDSAPHLHRHLRWLLADAAARDAGADPALLRAPLGDLESLWLRHVRDRRPFQYVVGNEHWRDLVVAVREGVLIPRPETEAVVDMVAKVQGFEAGWWADLGTGSGAIAVAVARMLGPEGRVFATDVSEVAIDVARLNVQRYGMQDKVEIRHGSWFEPLEDLKGKLMGVISNPPYIPTEDLPGLQPEVGWHEPKLALDGGKDGLEHLLHLCEGLSSVLKPGGFFVFETNGNKQSEFLVDFIQTKWDSSFRDVEAVLDFADIKRFVTGYRR >ONIVA12G17510.1 pep chromosome:AWHD00000000:12:18821199:18821450:-1 gene:ONIVA12G17510 transcript:ONIVA12G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLKAPMMAMAGAITVHDLMACPAQWDMSMPITANYAFFGLELMTIPFQTAPRKLIKNA >ONIVA12G17520.1 pep chromosome:AWHD00000000:12:18822077:18822799:-1 gene:ONIVA12G17520 transcript:ONIVA12G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKMNAPTMAIAGSITVHDLATAKRLVLLSRSSTPSTGSVHSVVSASLYKARAFVVHNNLTTAKWLVLLLLSGSSTPSSHSVHSVVFASLGSSMNASLSTAQVVPLPTKNFSNDDWESHEREMLGIHRRTGLSHLMFEIYHVMKPKRKKKLTTKKNIKNSGTMTKTET >ONIVA12G17530.1 pep chromosome:AWHD00000000:12:18834036:18839846:1 gene:ONIVA12G17530 transcript:ONIVA12G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKAERKAALDAGAWMFNIVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGYIQPSYLPVPELIKFVSFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCFLEILFDKVRYSRDTKLSIMVVLVGVAVCTVTDVSVNSQGLIAAIIAVWSTALQQHYVHHLQRKYSLGSFNLLGHTAPAQAASLLVLGPFVDFWLTNKRVDTFNYTAIVTFFIILSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTVLVLTLGFLFFGKEGLNFHVAIGMILAVIGMIWYGNASSKPGGKERQVYSAPSEKTQKHNILSSQSELDQKV >ONIVA12G17530.2 pep chromosome:AWHD00000000:12:18833967:18839846:1 gene:ONIVA12G17530 transcript:ONIVA12G17530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKAERKAALDAGAWMFNIVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGYIQPSYLPVPELIKFVSFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCFLEILFDKVRYSRDTKLSIMVVLVGVAVCTVTDVSVNSQGLIAAIIAVWSTALQQHYVHHLQRKYSLGSFNLLGHTAPAQAASLLVLGPFVDFWLTNKRVDTFNYTAIVTFFIILSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTVLVLTLGFLFFGKEGLNFHVAIGMILAVIGMIWYGNASSKPGGKERQVYSAPSEKTQKHNILSSQSELDQKV >ONIVA12G17540.1 pep chromosome:AWHD00000000:12:18842775:18848836:-1 gene:ONIVA12G17540 transcript:ONIVA12G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVHLPNLAGARRPSPCGGHRRARGGARFSVSAAAPGGGVKEEEEEEEEEEEKGTRGKERIVIRVSDPVRERRLPPPLFSSPDAPSPAAGRRRRKGEDEDDGEDRRRRYHVNVGDAIRALREELPAAFYREPSFHIYRDDIVFKDPINNFTGIDNYKRIFWALRFTGQIFFKALWIDIISIWQPVEDVIMIRWIVHGIPRVLSDGPGRFEGTSEYKFDKNGKIYEHKVDNVAKNTPTKFKVLPVVELIRSLGCPSTPKPTYFETSSLQLISLLPFWFKLTWMRCYLSLYLILANLSKG >ONIVA12G17550.1 pep chromosome:AWHD00000000:12:18860110:18861582:-1 gene:ONIVA12G17550 transcript:ONIVA12G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JCC6] MGGGRGGAPKTASARERRPHQPRVLLLCSPCLGHLIPFAELARRLVADHGLAATLLFASARSPPSEQYLAVAASVLAEGVDLVALPAPAPADALPGDASVRERAAHAVARSVPRVRDVARSLAATAPLAALVVDMIGAPARAVAEELGVPFYMFFTSPWMLLSLFLHLPSLDADAARAGGEHRDATEPIRLPGCVPIHAHDLPSSMLADRSSATYAGLLAMARDAARADGVLVNTFRELEPAIGDGADGVKLPPVHAVGPLIWTRPVAMERDHECLSWLNQQPRGSVVYVSFGSGGTLTWQQTAELALGLELSQHRFIWAIKRPDQDTSSGAFFGTANNRGEEEGMDFLPEGFIERTRGVGLLVPSWAPQTLILGHASIGCFLTHCGWNSTLESVSNGVPMIAWPLYAEQKMNAAMMEVQAKVAIRINVGNERFITNEEIANTIKRVMKGEEAEMLKMRIGELNDKAVYALSRGCSILAQVTHVWKSTVG >ONIVA12G17560.1 pep chromosome:AWHD00000000:12:18865592:18869139:1 gene:ONIVA12G17560 transcript:ONIVA12G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSCLIMHICVCDGPIDRHATIRVAACRRRGSQPCRVAGGDVLEDRAPDLADARRHSRPHQPSELSGLSFEGGHGGQRVLPREGPLPGLQDDAPLHPRHRRRRAAPSRPRRVRPVRLRKAPGDPLPALHPGRLADRRRHVVHARRVRGRAARRRDVQAQALLRHVARVHGGVRRVQPRHPRRPRRVHGGRQGRAGAEAGVQGGGREARGGARRRHGGMPRDAVRVRRVRVARAQGRGVHGDAGRRRRDRGAGGGRVPRGRGRARRGGGGIQEARRGARERGHLPLPASGRHDLGAQLN >ONIVA12G17560.2 pep chromosome:AWHD00000000:12:18865224:18869139:1 gene:ONIVA12G17560 transcript:ONIVA12G17560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAAVLLLMVAAAGASHAASPAEMYWKIALPTSPMPGAIRDLISPASSVGSASKEDTVGNVFFLEKDLFPGSKMTLHFTRATAGAALLPRGRAESVPFASERLPEILSQLSIPAVSPTADAMWSTLAECEAARLAGETSKHKHYCATSLESMVEFVASSLGTRDVHAVSTEVDRAGPAPRQAYRVEAVRPVAVPGGDMVACHGMPYAYAVFGLHGLKGAAYTVTLAGADGTVAQAVAACHGDVDGHGAVAEAYKRLGVAPGSVAICHFLPQDDMIWVRN >ONIVA12G17560.3 pep chromosome:AWHD00000000:12:18865224:18866427:1 gene:ONIVA12G17560 transcript:ONIVA12G17560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAAVLLLMVAAAGASHAASPAELYWKIALPTLPMPGAIRDLINPVSSAASASKDKEDTVGSVFFLEKDLFPGSKMTLHFTRATAGAALLPRGRADSVPFASEKLPEILSQLSVPAGSPAADAMRSTLAECEAAPQAGEAKRCATSLESMVEFAASSLGTRDVHAVSTEVDRAGPTPRQAYRVEAVRPVPVSGGDMVACHGMAYAYAVFGCHTTTAAAYTVTLAGADGTKAEALAACHADAAPGVAEAYKRLGVAPGSVPVCHFLPQDDMLWVRN >ONIVA12G17570.1 pep chromosome:AWHD00000000:12:18872061:18874115:-1 gene:ONIVA12G17570 transcript:ONIVA12G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAEEKSRARGTALWRLLRSLAVLGPRMQRRREAAVPFRGQVRNTAAASLLLLLSLSHVPLYAGAGDADPDPLLWARPLLAAPRGTVMELGVAPVVTSWVVVRLLNALLFDSDSSTTVASCELLARCLAYVTNASRLVIGIAAALGMCGSGEAGNATLVVLQLFAGGVVVVLADLLHETGYGVEGVSAASLLVATNACERAVSHLFSPVKLRLAGAGPEFEGPVFAVTHRVAAATPSPRRGTDEFVPIKLLYTSAMPIMLHAAAVSAFCVLHLHSSGGGGFVVNLLGRWKDAGGGAAYPVGGLAYYVTPPSKLLVDPGLIHELLIHSVFVVASCTLLSMAWAEASRSSAREFRTRVIGTGYFVWDETSRRIDRVIPAAAAVGGFAVGGLAVYAGAVGAIGEAGPELLFAVLVIKNLAETFYAKSKLITTYS >ONIVA12G17580.1 pep chromosome:AWHD00000000:12:18876672:18882797:-1 gene:ONIVA12G17580 transcript:ONIVA12G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGGRGERLSSSSPTAAAPQVDAGKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLNAMNKLLMEENDRLQKQVSRLVYENGYMRTQLHNPSAATTDTSCESVVTSGQHHQQQNPAVLHPQRDANNPAGLLAIAEETLAEFMSKATGTAVEWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDIIHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCEGGGSMIYIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEIPYGAGRQPAVFRTFSQRLSRGFNDAVSGFPDDGWSLLSSDGSEDITISVNSSPNKLVGSHVSPNPLFSTVGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAASLRASPYAVPGLRTSGFMGSQVILPLAHTLEHEEFLEVIRLEGHGFSHDEVLWTIRVNYLFLCCWAGQVGFGGRHAAVLGHRMGLTFCSGFLVDQGVLQLEDELLKKGGVMSWALGWASATESVAPFRFRTLCSGVDENATSASAQLVFAPIDESFADDAPLLPSGFRVIPLDTKMDGPSATRTLDLASALEVGPGGASRASVEASGTCNRSVLTIAFQFSYENHLRESVAAMARSYVRAVMASVQRVAVAIAPSRLGPQIGMKHPPASPEALTLASWIGRSYRAHTGADIRWSDTEDADSPLALLWKHSDAILCCSLKPAPMFTFANNAGLDILETTLVNLQDISLEMILDDEGRKALCSEFPKIMQQGFTYLPGGVCKSSMGRQASYEQAVAWKVLSDDDAPHCLAFMLVNWTFM >ONIVA12G17580.2 pep chromosome:AWHD00000000:12:18876672:18882797:-1 gene:ONIVA12G17580 transcript:ONIVA12G17580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGGRGERLSSSSPTAAAPQVDAGKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLNAMNKLLMEENDRLQKQVSRLVYENGYMRTQLHNPSAATTDTSCESVVTSGQHHQQQNPAVLHPQRDANNPAGLLAIAEETLAEFMSKATGTAVEWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDIIHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCEGGGSMIYIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEIPYGAGRQPAVFRTFSQRLSRGFNDAVSGFPDDGWSLLSSDGSEDITISVNSSPNKLVGSHVSPNPLFSTVGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAASLRASPYAVPGLRTSGFMGSQVILPLAHTLEHEEFLEVIRLEGHGFSHDEVLLSRDMYLLQLCSGVDENATSASAQLVFAPIDESFADDAPLLPSGFRVIPLDTKMDGPSATRTLDLASALEVGPGGASRASVEASGTCNRSVLTIAFQFSYENHLRESVAAMARSYVRAVMASVQRVAVAIAPSRLGPQIGMKHPPASPEALTLASWIGRSYRAHTGADIRWSDTEDADSPLALLWKHSDAILCCSLKPAPMFTFANNAGLDILETTLVNLQDISLEMILDDEGRKALCSEFPKIMQQGFTYLPGGVCKSSMGRQASYEQAVAWKVLSDDDAPHCLAFMLVNWTFM >ONIVA12G17590.1 pep chromosome:AWHD00000000:12:18901723:18904114:-1 gene:ONIVA12G17590 transcript:ONIVA12G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVVHDVSGNHGADERQKQQRQGEPEDQQEASVTSTDSHTMVATPSTDYATPYAHHDMAHAMGQIAYANIDPYYGSLYAAYGGQPMMHPPLVGMHPAGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESEKKLVKGRKFELVRSTSEQQLASSSRLNSPIYISHFHTKINLLYYFDSSALCSHISMSHGINMP >ONIVA12G17590.2 pep chromosome:AWHD00000000:12:18901530:18904114:-1 gene:ONIVA12G17590 transcript:ONIVA12G17590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVVHDVSGNHGADERQKQQRQGEPEDQQEASVTSTDSHTMVATPSTDYATPYAHHDMAHAMGQIAYANIDPYYGSLYAAYGGQPMMHPPLVGMHPAGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESEKKLVKGRKPYLHESRHQHALKRARGAGGRFLNSKSDDKEEHSDSSSRDKQDGVAPRDSGQPSTSPSSKGASSAKQNKKSKTSN >ONIVA12G17600.1 pep chromosome:AWHD00000000:12:18908419:18911769:-1 gene:ONIVA12G17600 transcript:ONIVA12G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAGGEVRRRGGCCGGGGGGGGLFPEESFASWGAYGRALMETGPRLVERATARSAAAVEVNEVRGRSGAEMKRNLTWWDLAWFGVGAVIGAGIFVLTGQEARDAAGPAVVLSYAVSGVSAMLSVLCYTEFAIEIPVAGGSFAYLRVELGDFVAFITAGNILLEYCIGGAAVARAWTSYFATLLNHHPNDFRIHAVSLAADYSRLDPIAVAVIAVVCALSETRDPARDIPAGLVGAMAVTTAAYCALAATLCLMQPYREIDPDAPFSVAFSAAGMGWARYVVAFGALKGMTTVLLVSAVGQARYLTHIARAHMAPPCLARVHPRLGTPVNATVAMLAATAAIALFTDLRVLANLLSISTLFIFMLVAVALLVRRYYATGETARGDRNRLAGCLAVIVASSVATAAYWGLGGDGGGWAAYAVAVPAWLAATLFLQLRVPMARTPEKWGVPLVPWLPSASIFINIFLLGSIDGRSFMRFGVWTAALLAYYFFFGLHASYDTAKALAAEVAAGKVEEGGSKPAVVGAAGN >ONIVA12G17610.1 pep chromosome:AWHD00000000:12:18914647:18923581:-1 gene:ONIVA12G17610 transcript:ONIVA12G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRSDSGSGSRGADPCRGRKRGRLLMLEEEEEEEEEEEEESGMEGCSAPACGDVRGDFVGWCSDRHQVASCSGDQTQSASMFAAMQENACSIDSKGVVCPQSGLGYSAGQNGTHGGGGSMLHQNLEGCMYMNQLGQMCGPYPPEQLYDGLSTGFLHRDLAIYAVFGGKMANPVSLGSLKQFLSQWSSDSVVATRDESAENKKMAPVNKLILPDNLSSEESCWMFEDAEGRRHGPHSLAELSYWHHSSYLHDLSMIYHVDSKFGPFTLVSLIDWWSGGTEHSESSANDSGSLNALMDDVVEDISHQLHAGIMKSARKVFIDEIFSSVLPEMIACRKTEKQMAAKRKSQAAKTDNVSNKNALVLKGKGDGTSTRPKSLNSYNNKVPEDPSVAVQSTAMQYEFADILSAVWETIYNKSMKSIWDEVLYDPVMDYCDAWLKRKNELNLLSTVVPGASDNQKMQDTDEMSPKAICDSDAPESDMDFPPGFGPNQESAEHSHSACVEYVTEKTDGRSGSSITLFSGPLGRVQERIRTPVHAPEPSSPPGISVHETPSPAEMPRDEISDMAEMARDEISDMAVDTIPCPADMAASGTSTVPEVTTDKLIIPYVEHQSPSASHASIFEKLDAHEEAELDDSFDEVPPGTEAGLASLVIMEKNKYQPSKSVDSVLDIYRYTSWAFFRQILHESVMKEWASLFSGALSNCFDSWYARKNIVAKTMDDTLRPKEYTYYRKRKLRKNCEASSSEKPMDEQLSRPLRDLVECKVNMKNIHRSSKAGISQRVSVVEKPSKKRAKPSHNDNINLNIQQDLKLLSDKVPKRNRSSHPTSKPLVSSKVPTEDRTTSAMPAKKRKQKNLATESNLKTKAVILSPESHGCEAPTEKRTTAIMPVNKRKKNLSGESKLKAKPLTSPESYVCEAPIDNRTTSTMPAKKRKQKNLSNESNLKKKPLVLCPESYGCARASVSGWEWRDWARNATPSERAQVRGYRVRSILSAPENNVLKSSQVKGSSARTNRVKLRNLLAAAEGTDLLKIMQSKSRKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGELIRRQVSDIREDQYEKSGIGSSYLFRLDDDYVVDATKRGGLARFINHSCDVPRINELGSKVYKSGGNCNWVLPQYVCVMRACRLYWAEVHCRNVLGFTDRLDGLIRAIQRKVHGYI >ONIVA12G17620.1 pep chromosome:AWHD00000000:12:18925540:18929936:-1 gene:ONIVA12G17620 transcript:ONIVA12G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKLSSKPDAFTRRGQAWYCTTGLPSDVLVEVGEMSFHLHKFPLLSKSAILGRLIEENSDSDECVIKLSDIPGGAKSFELVARFCYGLKIELSSENVVYLRCAAEHLEMTEETSGDNLINQTESFFNQVVLRSWKDSLEALRTCDGLLPHAEDLHIVKRCIESLAGKASIDPDLFGWPVSEHSTMQSPGGSVLWNGISTGAKVRNCSSDWWYDDASSLSFPTYKRLISSMESRGIKQEIIAGSLTYYAKKFLPGLNRRQSTGPMPLPAATLSDEEQRRLLEEIDRMLPLQRGLISTNVLLWLLRTAMILKVDRACISNLEKRVGMQLDEATLEDLLLPNFSYTMDTLYNVECVHRILDHFLAMDQTMGGGGASPCLDDVMASPSLAPITAVAKLIDGYLAEIAPDINLKPLKFQSLAAVLPEYARPLDDGLYRAIDVYLKSHPTLPEAEREQLCRLIDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSVAGCLLVSDNLEASSRTLRGGGAVAASGEAGCWATAAVRENQALRVGMDSMRLRLMELERECSDMRQDIRKLGRGRRRLRGGGGIVAGGKDGGGWAARVQRMLTPRMMKLQMCSAQHDAAEQQRMNNEHKKVEKVAKNKKQLSMDDGDDEEEA >ONIVA12G17630.1 pep chromosome:AWHD00000000:12:18932547:18935083:-1 gene:ONIVA12G17630 transcript:ONIVA12G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGIAKHGAGKWRTILKDPDFSNVLRYRSNVDLKDKWRNMNVTVNASGARDRVKAPVVKKPRSAPKHEGHSTSTAIAAVTSDGDDDVAEPVPLATSTSSKRSLSRLDNIIVEAVRSLNEPTGSYKTAIANYIEEQYWPPADFDHVLSSKLNDLTASGKLIKVNRKYRIAPSSSLSEGRSSKVVLLEDIKKEPTKLDREDVAVLTKSQIDAELARMRTMTAEEAAAAAARAVAEAEAIMAEAEAAVREAEAAEADAVAAQAFAEAAMATFKNRNHSSLKLPVGAGAASVSSDLVVCTLVPRSNAMQLLLQLG >ONIVA12G17630.2 pep chromosome:AWHD00000000:12:18932549:18935083:-1 gene:ONIVA12G17630 transcript:ONIVA12G17630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGIAKHGAGKWRTILKDPDFSNVLRYRSNVDLKDKWRNMNVTVNASGARDRVKAPVVKKPRSAPKHEGHSTSTAIAAVTSDGDDDVAEPVPLATSTSSKRSLSRLDNIIVEAVRSLNEPTGSYKTAIANYIEEQYWPPADFDHVLSSKLNDLTASGKLIKVNRKYRIAPSSSLSEGRSSKVVLLEDIKKEPTKLDREDVAVLTKSQIDAELARMRTMTAEEAAAAAARAVAEAEAIMAEAEAAVREAEAAEADAVAAQAFAEAAMATFKNRNHSSLKLPVGAGAASVSSDLVVCTLVPRSNAMQLLLQLG >ONIVA12G17640.1 pep chromosome:AWHD00000000:12:18935104:18935613:-1 gene:ONIVA12G17640 transcript:ONIVA12G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTEDGEPSAWVPPPLSLTLSPSLPAQRRRRPVVVLPPTQRRRRRRRPPRPPAQHRRPSSPSPSSPSPRSSCG >ONIVA12G17650.1 pep chromosome:AWHD00000000:12:18937671:18940963:1 gene:ONIVA12G17650 transcript:ONIVA12G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLPRQLALSAAAAAAAMAKEESRKEKKAKTKAASSAAAVPDARRAAVVAAVAAFLESSGFPRALAALQSEANLEAGSWQSSPVSLEELVSGFLEDSSNSAPGASINGSIEHEKTAAGVAEDAGKKKKKKGSDTKVSEAENKVAEPSAVEKPSEYADVETKEKKQKKKKSKKQENDEDVEARLEKAESAIINKFETVDTLKEDSKNGLVDVAPVEKGKKKKKGKSTPETSDKVDTGSTDAGADCAKGKGDAAEMEKDNNEKKSKKKLKKSKENVEVVENKEVAGKDSAPKSNDENNSGMETEKGENGMPPSDNAVVGKKRKLEEVEGSNLPAKEDNTASQKLSNGSSEDDGAKPNKRQKKSSEPKTVNAFQRVKLEDVKFADDRLQDNSYWAKGGADSGYGAKAQEVLGQVRGRGFRHEKTKKKRGTYRGGQIDLQTHSIKFNDSDDE >ONIVA12G17660.1 pep chromosome:AWHD00000000:12:18946135:18949774:1 gene:ONIVA12G17660 transcript:ONIVA12G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQMGVMSPTKLRMKLLGSHGGSIGRVDEAKKSPRASPARLDADEDDDDHPKNSLLPQELDEGSLPLRFHCGVCNGNGDVCLIPVISSSDYPKDQSDSSRSRSDASHGRAGNGYDSGGFEFYREERPPPPPPAAVAVVGGTFFRQVPSKWNDAEKWLAGRHVVHSNPIFSKKAAAAAAAVSGRVAPEASASSSPPSVASRQRQQKRLRVSSEAAAVSMRDVGTEMTPMASKEQSRSGTPAGAATPSLSPLCSVPTSPRGAASASSASSERELQIRTRREIAALGLQLGKMNIASWASKDDDDELPRASPEKRPRPHSGDGGGEAKKREFEARAMAWQETHKCKLALRFQRKEVKIQEWESCQKAKFEAKMRHAEVQAEQMKARAKQKLSRRLSALSHKAEGKQARVEARRSRQAARLARQVHRIRETGAAPSRLRRCCSWLFL >ONIVA12G17670.1 pep chromosome:AWHD00000000:12:18950468:18955263:-1 gene:ONIVA12G17670 transcript:ONIVA12G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0JCE3] MKLSPPASADMPQALPENDGEQRCLNSELWHACAGPLVSLPVVRSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPEEQKEPFLPMELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIWNDNNQLLLGIRRANRQQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITSISDLDSVRWPNSHWRSVKVGWDESTTGDKQPRVSLWEIEPLTTFPMYPSAFPLRLKRPWASGLPMHGMFNGGGNDDFARYSSLMWLRDGNRGTQSLNFQGHGVSPWLQPRIDSPLLGLKPDTYQQMAAAALEEIRYGDPSKQHPATLQYQQTHNLNSGLNSLFASHVLGQVQFQPQQSPLQVVQQGHCQNTGDSGFLQGQLPRLQLHNTQQLLKEQELQQQQRQHVLQEQSSQEMQQQLPSSDHRVADVASESGSAPQAQSSLLSGSSFYNQNLLEGNSDPPLHLHNNFHNFSNQEASNLLSLPRSSQLMASDGWPSKRLALESAVHPEAPSMHPKIEKVNHQGISHFPGAFPPQSARGCSIVQDCRADAENRLLSSSFELQDGMTSIITDANRETDTMAIPLLRYSGADLTTENTLATSNCLGESGTFNPLNNISVNPSQGATFVKVYKSGSLGRSLDISRFSSYCELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFANSVWCIKILSPQEVQQLVRGGDGLLSSPGARMQQSNACDDYSASHNMQNIAGNIASVAPLDY >ONIVA12G17680.1 pep chromosome:AWHD00000000:12:18965151:18969515:1 gene:ONIVA12G17680 transcript:ONIVA12G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSSEVFLGGRGRARRRVAPLLAAVAFVYLLFVSFKLSGLAGIADPAAVTRPASGGAGEVVMPRRLEDPAPRARGDGDGVAVAGYGRITGEILRRRWEAGGRGRRRWGRGGNFSELERMADEAWELGGKAWEEACAFTGDVDSILSRDGGGETKCPASINIGGGDGETVAFLPCGLAVGSAVTVVGTARAARAEYVEALERRGEGNGTVMVAQFAVELRGLRAVEGEEPPRILHLNPRLRGDWSHRPVLEMNTCFRMQWGKAHRCDGNPSKDDDQVDGLIKCEKWDRRDSVDSKETKTGSWLNRFIGRAKKPEMRWPYPFSEGKMFVLTIQAGIEGYHVSVGGRHVASFPHRMGFSLEDATGLAVTGGVDVHSIYATSLPKVHPSFSLQQVLEMSDRWKARPVPEEPIQVFIGIISATNHFAERMAIRKSWMQFPAIQLGNVVARFFVALSHRKEINAALKTEAEYFGDVVILPFIDRYELVVLKTVAICEFGVQNVTPEYIMKCDDDTFVRLDVVLKQISVYNRTMPLYMGNLNLLHRPLRHGKWAVTYEEWPEFVYPPYANGPGYVISIDIARDIVSRHANHSLRLFKMEDVSMGMWVEDFNTTAPVQYIHSWRFCQFGCVHNYFTAHYQSPWQMLCLWNKLSSGRAHCCNYR >ONIVA12G17690.1 pep chromosome:AWHD00000000:12:18970131:18974760:1 gene:ONIVA12G17690 transcript:ONIVA12G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDKKFGKGPRELTGAVDLISHYKLLAHHDFFCKKPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLVQNAYLRDKPAYIQPFDMETLGQAFQLRETAPVDLPSAEKGIPTISGKPKSESKDKEKKHKKHKDKDRDKDKEHKKHKHRHKDRSKDKDKDKDKDKKKDKSGHHDSGGDHSKKHHEKKRKHEGMEDSADVHKHKKSKVAHTAIMILAGIANFLIE >ONIVA12G17690.2 pep chromosome:AWHD00000000:12:18970257:18974760:1 gene:ONIVA12G17690 transcript:ONIVA12G17690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDKKFGKGPRELTGAVDLISHYKLLAHHDFFCKKPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLVQNAYLRDKPAYIQPFDMETLGQAFQLRETAPVDLPSAEKGIPTISGKPKSESKDKEKKHKKHKDKDRDKDKEHKKHKHRHKDRSKDKDKDKDKDKKKDKSGHHDSGGDHSKKHHEKKRKHEGMEDSADVHKHKKSKVAHTAIMILAGIANFLIE >ONIVA12G17700.1 pep chromosome:AWHD00000000:12:18974880:18976256:-1 gene:ONIVA12G17700 transcript:ONIVA12G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNQSSLLHGMAGKLRASLVAAKCSNRFVRLPAAAAAVDSAHHTRSRFLSSQVATSKVYEHPSSSWRKPARTSRMISSAMAAGADDGDRAELLGYGLMVDAAYLTYDAVTKQQPGGGERYEAVLSGELDKLIATADASRRRRRHVVTAHFFATIEPLQAVLDALPVVGGVDKTYWFGYVAVARRGDCWDVVVAWRGSSTLADWMMDMHIMNLVDFGGGAGTAGHVAEGFYNVYTSKDAKVKHGTVSAKEQAVMEVKRLVDHLRRRSGAAGEKPVKVRVTVTGHSLGGAVAVMTAHDVAAALAADADAEGVRVRAVTFGAPRVGDDAFRRAVAALGVEVFRVIVKQDIVPKLPMGKEYVDASDGDYDIIKLDDGGNWLSPLELIRAHSLDLYLQLITLRNPAITSVLSNSNSDAPPPPPAAVREEWVNMKEEEGYMRLPLEKLEEELDKLEGPSPRK >ONIVA12G17710.1 pep chromosome:AWHD00000000:12:18982473:18993697:-1 gene:ONIVA12G17710 transcript:ONIVA12G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKGSLLAGFATFLQLICGGGGGGEDYQLPIDHNHPDHKADILMYGDMVEAAYKAFAGDDDEKEVHYYGGGGYLYLATTNLYATIDAVPAPLEAALPVLRGVDKPYWFGYVAAAWRGGYWDVVVPWRGSVNVADWSMNIQFPLVPFKPYTSKDKGIGCGGAAAAAAGEVEKGFHKVYASKDKAGKGQRGELSAQEQVVEEVRRLVGHFRREDPGVGVRVTMAGHSLGGALALMAAHDVAAALADDDVPVRAVTFGAPRVGDGAFRDALIKGRHVDVVSLVVKQDLVPRLPPGHRYVQVTEKVVELVVDDAAVAMSLSASHSLEQYLHLFRRLCDDDGQAFAAPHDGGEVAPEKKNKWPEMEEEADGYKRLPLLSVPAYLDMVGGEQSQIQGNGGNGCSGKVVHQRRLVINLEDKNHRADLLRYAVMVDAAYKTFDEVKKHPGESYETVLSSRLATNAGYVVTAHLYATVEPLPLPPWVVDSLPAAIAGLNKPYWFGYIAVGAGKQQGDDCWDDIVVVLRGSATVADFMMDIHVERVAFQGLDGEPAGGEVAEGFHKVYRSNDADKEHGELSVQQQVVEEVKRLARHLRRKQQQPGKLIRVTVTGHSLGGALALMAAHDAAVALADEDRHRRSSEPLIGVRAVTFGAPRVGDDAFRGALAARRVQVSRVVVKQDIVPKLPANNVLDGDYNIELDDHDASKSPRELIKAHSLDMYMHLILMTLPNTDYYSTMFKINETSTDQAPEADGEADDKPKWIKMRDDNGDGFMRLPDSDLDDALL >ONIVA12G17720.1 pep chromosome:AWHD00000000:12:19002146:19003600:1 gene:ONIVA12G17720 transcript:ONIVA12G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVRAPVKPEMVELSPAAMERYSSDADTTAPNSSLSSAASSTGSLARCSSLSRLSFDCSPSAAVAAAATSCSPPRASVLLRPHRSSDVAWAAIRAASTTSAAPLGPRDFKLVRRIGGGDIGTVYLCRLRSSPERESPCMYAMKVVDRRAVARKQKLGRAAAEKRILRQLDHPFLPTLFADFDATPHFSCAVMEFCPGGDLHSLRHRMPSRRFPLPSARFYAAEVLLAIEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLQSTTSPSLDGDTDTDDEASGGASCFPDHLLRFKRRRNAVAAPRPRFVAEPVDARSCSFVGTHEYVAPEVASGGAHGAAVDWWAYGVFLYELIYGRTPFAGATNEATLRNIVRRPLAFPSGSGSCGPADADARDLIARLLAKDPAARLGSRRGAADVKSHPFFKSLNLALLRSSRPPVVPGAGASAAPLHRSQSCKAAPTTPPPPTTTKPANATARFDLF >ONIVA12G17730.1 pep chromosome:AWHD00000000:12:19018480:19037451:1 gene:ONIVA12G17730 transcript:ONIVA12G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVTTKHPAMQEALALAVKLIPGIFFLAAAVQDAASSGYSLSLPGCPDKCGNISIPYPFGIGPSCAATSISSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTKFTEGYELKRTPFLPSPSRNRFTVIGCNTLGLIGMGCCEAAIPTDLTAWGAMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDRAQRGAPVVADWAIRNGSCPEEGKDIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECVLRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLRTRAEQVAIGTSVSAIALMALTCVLAMQVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIHILTEKDIKKATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDRRSSIPLDIRLKIATQSAEALAYLHSSTSRAILHGDFKSANILLDDQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHRLTDKSDVYSFGVVLLELITRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVLECLQMIRRHPMHAASDHKGDSYAHHNYEGSPSIVVHLNETIYESIETSRLVDDLKYEQVGSKIVPQQLASDHHTSNSATMQEASVLLMLIVFHAMAISTTTSEPAISLPGCPGKCGNVSIPYPFGIGAGCSATSLSSYFTITCNDTFQPPRPMVRDLLSETEVIDISLERGESINSTSNGAPCTGMGCCETTIIPDLKDFAAILVMNQSAVWEFNPCFYAMLVEAGWYSFRQQDLVGHLRFVNGRANRGVPVIHDWAIRNGSCPEGKKVPKDYACVSSNSKCVQASNSQGYLCKCSEGYEGNPYLPKGCQDIDECKLRKEDPKYKELYPCRHGMCQNIPGNYLCKCGVGKRPDGTNYGCQTVLNQVERVIAGLSVSAVVLMALICLLVMKLQRRKYRKEKEEYFKQNGGLRLFDEMRSRQVDTILILTEKEIKKATENYSDDRVLGCGGHGMVYRGTLDGDKEVAIKKSKVIDDDCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFVSNGTLSEFLHGNDHRTPIPLDLRLNIATQSAEALAYIHSSTSRTILHGDVKSLNILLDDEYNAKVADFGASTLKSMDRNDFIMFIQGTLGYLDPETFVSHHLTDKSDTYSFGVVLLEIMTRKKALYNDTLNGNEALSHIFPLMFHQKRHCDMLDFDMIDEKVMVVLQKLAELAMHCLNPRGDDRPTMKEVAERLQMLRRLHMQLVSKSNPTRVSCSYEGSSMRIPSDPMKYQSMETAKLIKENHT >ONIVA12G17730.2 pep chromosome:AWHD00000000:12:19018291:19037451:1 gene:ONIVA12G17730 transcript:ONIVA12G17730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVTTKHPAMQEALALAVKLIPGIFFLAAAVQDAASSGYSLSLPGCPDKCGNISIPYPFGIGPSCAATSISSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTKFTEGYELKRTPFLPSPSRNRFTVIGCNTLGLIGGYKGTVSHYVTGCYSYCESINSTSDGAPCAGMGCCEAAIPTDLTAWGAMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDRAQRGAPVVADWAIRNGSCPEEGKDIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECVLRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLRTRAEQVAIGTSVSAIALMALTCVLAMQVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIHILTEKDIKKATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDRRSSIPLDIRLKIATQSAEALAYLHSSTSRAILHGDFKSANILLDDQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHRLTDKSDVYSFGVVLLELITRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVLECLQMIRRHPMHAASDHKGDSYAHHNYEGSPSIVVHLNETIYESIETSRLVDDLKYEQVGSKIVPQQLASDHHTSNSATMQEASVLLMLIVFHAMAISTTTSEPAISLPGCPGKCGNVSIPYPFGIGAGCSATSLSSYFTITCNDTFQPPRPMVRDLLSETEVIDISLERGESINSTSNGAPCTGMGCCETTIIPDLKDFAAILVMNQSAVWEFNPCFYAMLVEAGWYSFRQQDLVGHLRFVNGRANRGVPVIHDWAIRNGSCPEGKKVPKDYACVSSNSKCVQASNSQGYLCKCSEGYEGNPYLPKGCQDIDECKLRKEDPKYKELYPCRHGMCQNIPGNYLCKCGVGKRPDGTNYGCQTVLNQVERVIAGLSVSAVVLMALICLLVMKLQRRKYRKEKEEYFKQNGGLRLFDEMRSRQVDTILILTEKEIKKATENYSDDRVLGCGGHGMVYRGTLDGDKEVAIKKSKVIDDDCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFVSNGTLSEFLHGNDHRTPIPLDLRLNIATQSAEALAYIHSSTSRTILHGDVKSLNILLDDEYNAKVADFGASTLKSMDRNDFIMFIQGTLGYLDPETFVSHHLTDKSDTYSFGVVLLEIMTRKKALYNDTLNGNEALSHIFPLMFHQKRHCDMLDFDMIDEKVMVVLQKLAELAMHCLNPRGDDRPTMKEVAERLQMLRRLHMQLVSKSNPTRVSCSYEGSSMRIPSDPMKYQSMETAKLIKENHT >ONIVA12G17730.3 pep chromosome:AWHD00000000:12:19036989:19043616:1 gene:ONIVA12G17730 transcript:ONIVA12G17730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQLLVFLIPMALFLKLAMPVDGAMAMPGCPDKCGNVAIPYPFGIGENCSATNLNSYFNLMCNDTFHPPRPQIREPEAHIEVTGISLERGEMRVLSPVNHICFTSNTTSTKSSGVGYDLSRTPFLPSPSRNRFTVIGCNTLGLITGYRGASGQYVTGCYSYCEGINSTSDGAPCAGMGCCEASIPANLTAFAVTFDLNHSKVWTFNPCFYSVVAEVGWYNFKKQDLVGHLGFIKERAQNGVPIVADWAIRNGSCPKKGEKEPSSYACVSANSYCTAVINSPGYLCNCSQGYGGNPYLSDGCQDIDECEMRKLDPKYEELYPCRKGVCQNTPGSYICKCKKGKKSDGTGYGCQPADSPDYRMVVGLSVSAIVVTAMACMLIMQLQRRRHKKEKIEYFKQNGGLRLYDEMISRQVDTIRILTEREIKRATENYNEDRVLGSGGHGMVYRGTLDDNKEVAIKKSRVINDDCREEFVNEIIILSQINHRNIVRLLGCCLDVDVLMLVYEFAHNGTLSEFLHGTDHRSPIPLDLRLKIATQAAEALAYLHSSTSRTILHGDVKSANILMDDQYNAKVADFGASTLKSMDESEFILFVQGTMGYLDPESFTSHQLTERSDVYSFGVVLLELLTRKKALYTNDFNKNESLSYRFLSMFRQNKHQAMLDPEIVDGSNVVAIEKLTKVVVQCMSPRGDDRPTMKEVAERLQMLRKLQMQATCDGENDRDVHDNFGGSPSVILHFDEMTDSSIETTKDEMISYRYQPIQMGYHLVPFPIINGPHESPFRT >ONIVA12G17740.1 pep chromosome:AWHD00000000:12:19018789:19022064:-1 gene:ONIVA12G17740 transcript:ONIVA12G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAHIAGHTPPRRGEVESPPAESGPPVRPFQDEATGMGPVVCLRWRLPPSWRRRRRSPEDQHFLAVGIGGGGRSLDRRRKEETPPRHGVGEDAGRSSSKACDLRRGFQIKASWLQAKGSKSKHFFSAIPNGPISHNRCSPLSSVIYEPKVANKVLLLEAVPPNLTDHGIEARVEAPYLALVHLKHRPPGGEVSWYGGLAAAHPCTWRPIRCAVDAFTVGVATSHIVAHGSLVATDEPQGVATDDREAVARRGGEEGCALQLIPFGELGERGICREADVVDRAEHPHLAVLQ >ONIVA12G17740.2 pep chromosome:AWHD00000000:12:19019484:19022064:-1 gene:ONIVA12G17740 transcript:ONIVA12G17740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAHIAGHTPPRRGEVESPPAESGPPVRPFQDEATGMGPVVCLRWRLPPSWRRRRRSPEDQHFLAVGIGGGGRSLDRRRKEETPPRHGVGEDAGRSSSKACDLRRGFQIKASWLQAKGSKSKHFFSAYKLYLN >ONIVA12G17740.3 pep chromosome:AWHD00000000:12:19018789:19022064:-1 gene:ONIVA12G17740 transcript:ONIVA12G17740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAHIAGHTPPRRGEVESPPAESGPPVRPFQDEATGMGPVVCLRWRLPPSWRRRRRSPEDQHFLAVGIGGGGRSLDRRRKEETPPRHGVGEDAGRSSSKACDLRRGFQVANKVLLLEAVPPNLTDHGIEARVEAPYLALVHLKHRPPGGEVSWYGGLAAAHPCTWRPIRCAVDAFTVGVATSHIVAHGSLVATDEPQGVATDDREAVARRGGEEGCALQLIPFGELGERGICREADVVDRAEHPHLAVLQ >ONIVA12G17750.1 pep chromosome:AWHD00000000:12:19033470:19036979:-1 gene:ONIVA12G17750 transcript:ONIVA12G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAARLSPSTGAATAARIAGFNGDCGFRTPCVRLIVVGPVDLWAFCFFSAARGSPGAGAPPPAATLLPLAAAALRSPPYWDSLRCCLLPIQIVEIFLRDLPLGNRLARDGDAIGVGSPVAGWSRPTVGWIDGGEEGGIGGSDQASKRSKFLSGTGCELRSSDQISLWEDKDSLHILQSICTGAIPDGPVMDDRNTSVGPPIDKPEVPNEVLLPEAVPSGLHKHGVEAWVEFPHRALVHHQDRCEVLQVWDDGGLAAAHPSAGSTVGCAVDALAVGVAASHI >ONIVA12G17750.2 pep chromosome:AWHD00000000:12:19035495:19036979:-1 gene:ONIVA12G17750 transcript:ONIVA12G17750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAARLSPSTGAATAARIAGFNGDCGFRTPCVRLIVVGPVDLWAFCFFSAARGSPGAGAPPPAATLLPLAAAALRSPPYWDSLRCCLLPIQIVEIFLRDLPLGNRLARDGDAIGVGSPVAGWSRPTVGWIDGGEEGGIGGSDQASKRSKFLSGTGCELRSSDQISTSLAVSMLWYFIGSDGIRIDDPSYEQETLVGFDFETSCMWSLLNICRRSATSFIVGLSSPLGFRQCMASSASFCSTTITFSSIMSKSNISQCLFWWNIKGKI >ONIVA12G17750.3 pep chromosome:AWHD00000000:12:19033470:19035387:-1 gene:ONIVA12G17750 transcript:ONIVA12G17750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDKSLRVKQAYQSHQHNCGNTETCRMIFQDESTHRAIPDGPVMDDRNTSVGPPIDKPEVPNEVLLPEAVPSGLHKHGVEAWVEFPHRALVHHQDRCEVLQVWDDGGLAAAHPSAGSTVGCAVDALAVGVAASHI >ONIVA12G17750.4 pep chromosome:AWHD00000000:12:19033470:19034633:-1 gene:ONIVA12G17750 transcript:ONIVA12G17750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLIVNLKKLISFTQSLWEDKDSLHILQSICTGAIPDGPVMDDRNTSVGPPIDKPEVPNEVLLPEAVPSGLHKHGVEAWVEFPHRALVHHQDRCEVLQVWDDGGLAAAHPSAGSTVGCAVDALAVGVAASHI >ONIVA12G17750.5 pep chromosome:AWHD00000000:12:19027164:19036697:-1 gene:ONIVA12G17750 transcript:ONIVA12G17750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFLLGGAWLSRRRRAAAGGHSPPARRSCSQISPLLGLAPLLPPPHPNRGDLSSGIRIFLWEIDWLATATRSGSGLRWLAGRGPRLDGLTEGRRGESVVQIKQASEASFFRAPDANSGVQIRSGSSQLPTHEVVLEVGTTDALCKADLVKEKTMKASAN >ONIVA12G17760.1 pep chromosome:AWHD00000000:12:19045975:19047961:-1 gene:ONIVA12G17760 transcript:ONIVA12G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVISSITLLTVISSKWMTTDGDPPNLPWMSRLVAAVSRSLAALDRRRKKSQTPFLQGYISSYLGSCLRNVHSSTSEDRDKKHAFRSQTKLV >ONIVA12G17760.2 pep chromosome:AWHD00000000:12:19043629:19047961:-1 gene:ONIVA12G17760 transcript:ONIVA12G17760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVISSITLLTVISSKWMTTDGDPPNLPWMSRLVAAVSRSLAALDRRRKKSQDGWRHERERESKPSDLVMFAGDGTKGEATPATHSVRFAASGAFYLLLRATTAAPELASLPARRLARRLGAAAGHRRRRRRRGRHGFDGDPDFPEAHISWARTRSRSRAAQ >ONIVA12G17770.1 pep chromosome:AWHD00000000:12:19043710:19047904:1 gene:ONIVA12G17770 transcript:ONIVA12G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPPSTAMSRRSTQPASKTARRQGGELRCGRGRAEEQVEGSGGGEPDRMRRRLTAQAAASSGYSMALPGCPDKCGNISIPYPFGIGPSCAATSISSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTRFTEGYELKHTPFLPSPSRNRFTVIGCNTLGLIGMGCCEAAIPTDLTAWGAMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDRAQRGAPVVADWAIRNGSCPEEGKGIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECTLRKQDPKYEDIYPCRKGVCHNTPGGYLCKCKLGKRSDGTSVSAIALMALTCVLAMQIQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILTEKDIKKATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIFANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVAECLQMIRRHPMHAASDHKGDSSAHHNYEGSSSPSMSAHFDETIYKSIEASRLVQDLVR >ONIVA12G17770.2 pep chromosome:AWHD00000000:12:19043710:19047904:1 gene:ONIVA12G17770 transcript:ONIVA12G17770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPPSTAMSRRSTQPASKTARRQGGELRCGRGRAEEQVEGSGGGEPDRMRRRRVATYTTPDQVTPKHPAMQEALALAMKLIPSIIFLAVTAQAAASSGYSMALPGCPDKCGNISIPYPFGIGPSCAATSISSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTRFTEGYELKHTPFLPSPSRNRFTVIGCNTLGLIGGYKGTVSHYVTGCYSYCESINSTSDGAPCAGMGCCEAAIPTDLTAWGAMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDRAQRGAPVVADWAIRNGSCPEEGKGIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECTLRKQDPKYEDIYPCRKGVCHNTPGGYLCKCKLGKRSDGTSVSAIALMALTCVLAMQIQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILTEKDIKKATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIFANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVAECLQMIRRHPMHAASDHKGDSSAHHNYEGSSSPSMSAHFDETIYKSIEASRLVQDLVR >ONIVA12G17770.3 pep chromosome:AWHD00000000:12:19043710:19047904:1 gene:ONIVA12G17770 transcript:ONIVA12G17770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPPSTAMSRRSTQPASKTARRQGGELRCGRGRAEEQVEGSGGGEPDRMRRRLTPKHPAMQEALALAMKLIPSIIFLAVTAQAAASSGYSMALPGCPDKCGNISIPYPFGIGPSCAATSISSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTRFTEGYELKHTPFLPSPSRNRFTVIGCNTLGLIGGYKGTVSHYVTGCYSYCESINSTSDGAPCAGMGCCEAAIPTDLTAWGAMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDRAQRGAPVVADWAIRNGSCPEEGKGIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECTLRKQDPKYEDIYPCRKGVCHNTPGGYLCKCKLGKRSDGTSVSAIALMALTCVLAMQIQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILTEKDIKKATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIFANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVAECLQMIRRHPMHAASDHKGDSSAHHNYEGSSSPSMSAHFDETIYKSIEASRLVQDLVR >ONIVA12G17770.4 pep chromosome:AWHD00000000:12:19043710:19047904:1 gene:ONIVA12G17770 transcript:ONIVA12G17770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPPSTAMSRRSTQPASKTARRQGGELRCGRGRAEEQVEGSGGGEPDRMRRRLTAQAAASSGYSMALPGCPDKCGNISIPYPFGIGPSCAATSISSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTRFTEGYELKHTPFLPSPSRNRFTVIGCNTLGLIGGYKGTVSHYVTGCYSYCESINSTSDGAPCAGMGCCEAAIPTDLTAWGAMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDRAQRGAPVVADWAIRNGSCPEEGKGIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECTLRKQDPKYEDIYPCRKGVCHNTPGGYLCKCKLGKRSDGTSVSAIALMALTCVLAMQIQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILTEKDIKKATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIFANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVAECLQMIRRHPMHAASDHKGDSSAHHNYEGSSSPSMSAHFDETIYKSIEASRLVQDLVR >ONIVA12G17780.1 pep chromosome:AWHD00000000:12:19051463:19053511:-1 gene:ONIVA12G17780 transcript:ONIVA12G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSAYAPAGGVGTHSAPGRIRPPRGLGFSTTTTTKSRPLVLTRRGGGGGNISVARLRCAASSSSAAARPMSQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYSRKLRVVDVGGGTGFTTLGIVKRVDPENVTLLDQSPHQLEKAREKEALKGVTIMEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGIKEAYRVLRLGGVACMIGPVHPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKREHGDSPLQLGPKVEDVSKPVNPITFLFRFLMGTICAAYYVLVPIYMWIKDQIVPKGMPI >ONIVA12G17790.1 pep chromosome:AWHD00000000:12:19054488:19065747:-1 gene:ONIVA12G17790 transcript:ONIVA12G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHGHILYSFCIGCNARMLVLIGNSPLDGLVLTTMHRTSSLCTYAYLSAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRVYIDLSGIFLSSYDGILLASTPSVVIFGGYESDRKWPEDAGECAGGGAGGGFLMKAVVGSGRQGRGTYLQNDLPNHFRIFSSPPDSVSVSPPLTDSSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTNMLCADILSPCEQTGSHNVHLCWDQTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDLNLH >ONIVA12G17790.10 pep chromosome:AWHD00000000:12:19054776:19065747:-1 gene:ONIVA12G17790 transcript:ONIVA12G17790.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHAISKSGEGQLDLLILDCLYRTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDL >ONIVA12G17790.11 pep chromosome:AWHD00000000:12:19054776:19065747:-1 gene:ONIVA12G17790 transcript:ONIVA12G17790.11 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDL >ONIVA12G17790.12 pep chromosome:AWHD00000000:12:19054776:19065747:-1 gene:ONIVA12G17790 transcript:ONIVA12G17790.12 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDL >ONIVA12G17790.13 pep chromosome:AWHD00000000:12:19054776:19065747:-1 gene:ONIVA12G17790 transcript:ONIVA12G17790.13 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDL >ONIVA12G17790.2 pep chromosome:AWHD00000000:12:19054488:19065747:-1 gene:ONIVA12G17790 transcript:ONIVA12G17790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHGHILYSFCIGCNARMLVLIGNSPLDGLVLTTMHRTSSLCTYAYLSAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRVYIDLSGIFLSSYDGILLASTPSVVIFGGYESDRKWPEDAGECAGGGAGGGFLMKAVVGSGRQGRGTYLQNDLPNHFRIFSSPPDSVSVSPPLTDSSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDLNLH >ONIVA12G17790.3 pep chromosome:AWHD00000000:12:19054778:19065747:-1 gene:ONIVA12G17790 transcript:ONIVA12G17790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHGHILYSFCIGCNARMLVLIGNSPLDGLVLTTMHRTSSLCTYAYLSAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRVYIDLSGIFLSSYDGILLASTPSVVIFGGYESDRKWPEDAGECAGGGAGGGFLMKAVVGSGRQGRGTYLQNDLPNHFRIFSSPPDSVSVSPPLTDSSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTNMLCADILSPCEQTGSHNVHLCWDQTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDL >ONIVA12G17790.4 pep chromosome:AWHD00000000:12:19054778:19065747:-1 gene:ONIVA12G17790 transcript:ONIVA12G17790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHGHILYSFCIGCNARMLVLIGNSPLDGLVLTTMHRTSSLCTYAYLSAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRYESDRKWPEDAGECAGGGAGGGFLMKAVVGSGRQGRGTYLQNDLPNHFRIFSSPPDSVSVSPPLTDSSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTNMLCADILSPCEQTGSHNVHLCWDQTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDL >ONIVA12G17790.5 pep chromosome:AWHD00000000:12:19054778:19065747:-1 gene:ONIVA12G17790 transcript:ONIVA12G17790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRVYIDLSGIFLSSYDGILLASTPSVVIFGGYESDRKWPEDAGECAGGGAGGGFLMKAVVGSGRQGRGTYLQNDLPNHFRIFSSPPDSVSVSPPLTDSSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTNMLCADILSPCEQTGSHNVHLCWDQTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDL >ONIVA12G17790.6 pep chromosome:AWHD00000000:12:19054778:19065747:-1 gene:ONIVA12G17790 transcript:ONIVA12G17790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRYESDRKWPEDAGECAGGGAGGGFLMKAVVGSGRQGRGTYLQNDLPNHFRIFSSPPDSVSVSPPLTDSSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTNMLCADILSPCEQTGSHNVHLCWDQTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDL >ONIVA12G17790.7 pep chromosome:AWHD00000000:12:19054778:19065747:-1 gene:ONIVA12G17790 transcript:ONIVA12G17790.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHGHILYSFCIGCNARMLVLIGNSPLDGLVLTTMHRTSSLCTYAYLSAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRVYIDLSGIFLSSYDGILLASTPSVVIFGGYESDRKWPEDAGECAGGGAGGGFLMKAVVGSGRQGRGTYLQNDLPNHFRIFSSPPDSVSVSPPLTDSSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDL >ONIVA12G17790.8 pep chromosome:AWHD00000000:12:19054776:19065747:-1 gene:ONIVA12G17790 transcript:ONIVA12G17790.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRVYIDLCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDL >ONIVA12G17790.9 pep chromosome:AWHD00000000:12:19054776:19065747:-1 gene:ONIVA12G17790 transcript:ONIVA12G17790.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPNGHGAATATGEGGTPPPPPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSWFPPSTEHAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRREGIDVQLARDGLRVYIDL >ONIVA12G17800.1 pep chromosome:AWHD00000000:12:19068592:19073968:1 gene:ONIVA12G17800 transcript:ONIVA12G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G59030) TAIR;Acc:AT3G59030] MAETSSARSPLLDVDESSGASEELLRREPVPRSVLSRLAAWEAGNLWRISWASILITLLSFTLSLVTQMFVGHLGELELAGASITNIGIQGLAYGIMIGMASAVQTVCGQAYGARKFRAMGIVCQRALVLQFATAIVIAFLYWYAGPFLRLIGQAADVAAAGQLYARGLVPQLLAFALFCPMQRFLQAQNIVNPVAYITMAVLIFHILISWLTVFVLGFGLLGAALTLSFSWWVLVALTWGLMVWTPACKETWTGLSVLAFRGLWGYAKLAFASAVMLALEIWYVQGFVLLTGFLPDPEIALDSLSICINYWNWDFQIMLGLSYAASIRVGNELGAGHPNVARFSVFVVITASVAFSILATILVLVLRYPLSTLYTSSTTVIEAVIKLTPLLSISIFLNGIQPILSGVAVGSGWQVVVAYVNVGAYYLIGLPIGCVLGYKTSLGAAGIWWGLIIGVSVQTVALIIITARTNWDNEVMKAIQRLRQTAVDDGTVPIVDDIE >ONIVA12G17810.1 pep chromosome:AWHD00000000:12:19072567:19073941:-1 gene:ONIVA12G17810 transcript:ONIVA12G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPHSAEKGMGDDGMLCAECSGERARATTACSAQSAQGRTSHRIGNGAEVGCVDRSTGISLDVVDDGNRAIIHGSLPQSLNRLHHLSKKHHHEHHHS >ONIVA12G17810.2 pep chromosome:AWHD00000000:12:19072997:19073941:-1 gene:ONIVA12G17810 transcript:ONIVA12G17810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPHSAEKGMGDDGMLCAECSGERARATTACSAQSAQGRTSHRIGNGAEVLNETEKSRDASEQCVTDY >ONIVA12G17820.1 pep chromosome:AWHD00000000:12:19078959:19081484:-1 gene:ONIVA12G17820 transcript:ONIVA12G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAEGMDRFDMEGDFEGGRFGRDGEFYYERRRERAPQTRDDALYGVFAEGDSDYDSDDGRRRSRRKRRRDEAEPDLSRPVHFQSAGKFMPSKEPEPEPEERPGLGAAPASASAAGADDAAAEEEDLDLPTAFGQRIAEGARARREERARERETAARRRQASGVAAGKPAPALGSLGSNTKVAKMMAMMGYKDGMGLGKNEQGIVAPVETTLRPKNAGLGSVEGFKEPKPFFTKENLPPPPPPAPAKKEQQRWSKKAGAKKGPVLTKNELLAMRSEQEQDEQPTVVQKVIDMRGPQARVLTDLKGLNVEQEMEANDVPMPELQYNVRLLVDEAKADIVRLDGQLRREQEKVASLVREKEKVAKQEALQKCQLQVMEKIAGVLEQVRVDDTAGVLSLEGLLKTFQELKACYVEEFKMCSVAWIACRFAHPLLIRVFQGWQPLQNPLFGLEIMSSWKDLLQGDQAYDFSGDVESMAPYAQLVSEVILPAVRISGTNSWEARDPEPMLRFLESWERLLPPIVLQSILEHVIMPKLSAAVDSWDPRREKVPIHVWVHPWLPMLGQRIDTLCHSIRYKLSSVLHVWQAHDASAYAVLSPWKDVFDAASWEDLIVRYIVPKLKMALQEFQINPANQKLDQFNWVMIWASAIPVHHMVHMLEVDFFSKWQQVLYHWLCSPSPDFNEIMNWYKGWKGLFPPELLANERIRMLLTAGLDMMNRAAEGLEVVQPGARENVGYLRATEKRQFDAAQQASQYTTHHAVPGAAMADLSFKESIQAYAMDQGLLFMPRVGKFYNGMPVYEFGTVSICIDSVKRLLYAQLQEGIERWSAVSLTQLIEMNRKARQR >ONIVA12G17830.1 pep chromosome:AWHD00000000:12:19083680:19085110:1 gene:ONIVA12G17830 transcript:ONIVA12G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G65030) TAIR;Acc:AT1G65030] MALIAGSYERFIWGFSLKTLTSSSSSETLALAPLFSYPAHAGPIRCAAAAPRAGLAASGGSDDTVRLYDLPAAADLGPLLDPAAPVSALAIHSLGPVPRNLLAASDDGLLHLYDAGEGFPLLASLRVFPRHREPADALAVHPTGRVALAVGRSGGLAMLNLVRGRRSFSCRLERPATAIAYAEDGAGGDRFVMAAEEKVTVHDSEDARIIHEIDCGKRVLAFAPAKKGILYTGGEERGITAWDLSSGKVKSRIEDVHSTRVKGIVVFDDKNDGSELCNLIASASSDGIIRIWDARMIAKEKPTPLAEAKTKARLTCLAGSSLNCKSTEYYILS >ONIVA12G17840.1 pep chromosome:AWHD00000000:12:19086463:19091397:-1 gene:ONIVA12G17840 transcript:ONIVA12G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain [Source:Projected from Arabidopsis thaliana (AT1G72250) TAIR;Acc:AT1G72250] MEEEGSGRGGDRAAAHGRIGGDSPVMVSSASVRKTVKMSETCDFIPYVDDDDDGGNSEEENSASSGVLPCDGMQHDTPDYIRRGAAAARHRIAPLELFSDPSPPQGPPSPSPTNGGAALEATSNDGVAAPQVHPPEGTGGGEQETTTLGSQSVHETLHIEENEGKCSCCGQLKQEYSLLLREKEECRRVLEDLMRENELKSRECHEAQASLHELRMELMRKSMHVGSLAFAVEGQVKEKSRWCQLLNDLSEKFKALKAEHQILLQESLECKKFVADATQMTTTIQQHVNQYASLECEFKDLKERFTEETKERKDLYNKLIEVKGNIRVFCRCRPLNGEEIEEGASMAVDFESAKDGELIVRGHVSSKKVFKFDSVFSPEEDQEKVFEKTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGIEGARGVNYRTLEELFRITKERQGLFQYEITVSVLEVYNEQIHDLLPTGTQPGATAKRLEVRQVAEGVHHVPGLVEARVTNMNEAWEVLQTGSKARVVGSTNANEHSSRSHCMHCVMVKGENLMNGEQTKSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGDVISALATKSQHIPFRNSKLTHLLQDSLSGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGQARKQVDIGELSRYKLMAGRAKQDSKNKDAQIKSMEETIQSLEANNKAKDLLTMNLQEKIKELEAQLLVERKIARQHVDNKIAQDHLHQQQQGMKPENSPYPTRSPMAERNLNSTAEKPATLLKDLGIARQMFSDSNTDTYSINHLMSMSSEKENNPAGGAQPTKARRVSLCGGAHQQPAAPTRRGSLIPLPRRNSLMLPLPLPKPATPAAAASPLDMITEQCSSPPVIAPNDNRCGGGRNKRIINSILRRSLQKKVIIRPPLMAAHQSGRRAGAGVAGTTTHGGSGVVMRARRVPVSGGRGGGGGVQHNREKERGWNNGTSLRQLN >ONIVA12G17850.1 pep chromosome:AWHD00000000:12:19093279:19093914:-1 gene:ONIVA12G17850 transcript:ONIVA12G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYAPFSSPPLRGAVAAAGRRSRPASRSSSIGGWSGSGSGGASAEYISLRDVLVEGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGSWREYSSHDIHEFDASNIGIRNHLLKHAASAYLQSAVVVPPREQGCLARLWRHVVRLRAARGGGGVGVGGGDGGARGRGRGRVLLRACSWQGCVDDPAELCASFVARSARRIAAFLAGIWA >ONIVA12G17860.1 pep chromosome:AWHD00000000:12:19094763:19096257:-1 gene:ONIVA12G17860 transcript:ONIVA12G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRSKCSSVGRTLMGGLGNNLFGAVNSSVETQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >ONIVA12G17870.1 pep chromosome:AWHD00000000:12:19111131:19112024:1 gene:ONIVA12G17870 transcript:ONIVA12G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVGGGKERKRPRGALVGVGGGGASAATAAAWRTSRVARAAAGGKDRHSKVVTSRGLRDRRVRLSVPTAIAFYDIQDRLGVDQPSKAIEWLIRAAAAAIDALPSLDCSFALPAAASSPPPPAADDAEVSTSETSKSSVLSLANAPCDNGGGAFAELLHCSNTNGSKPLQQQQQATLAYYAAAQSAHMAAPMSFEVMAMPPHLAFSQEQQQHATVAAFDRGTLQSNASLWPPPPQPPPSQHPFLLQRFAAAPAEVAGLPFFLAGGVGGAAAAAPAATTNGGERRLQLWDFKEERKT >ONIVA12G17880.1 pep chromosome:AWHD00000000:12:19132873:19139177:1 gene:ONIVA12G17880 transcript:ONIVA12G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGSCPAAMKATSQGAFQKENPLDFALPLIILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSSKFLHNVFPPKSMTVLDTLANLGLLFFLFLVGLELDISAIRRTGKKALAIALAGISAPFALGIGTSFAFRATIVKGAPQAPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAVALSGSGSPIISLWVLLTAAGFVAAIFLFLRPALAWMARRSPEGEPVKELYICATLAIVLAAGFVTDTIGIHALFGAFLVGIAVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTNVATIRGAKSWGLLVLVIVNACLGKIGGTVITSLLVKIPVREAVTLGFLMNTKGLVELIVLNIGKDRKVLNDEAFAIMVLMALFTTFITTPIVMAIYKPARPTAPYKRRTVDGGEADGELRVLACFHTNRNIPTLLNLVESSRGTGRGRLVMYAMHLVELSERSSAITLVQRARRNGMPFFNSGDKAEQMVVAFEAFQQLSSVRVRPMTAISDLDTIHRDVIDSATAKRAAIVVMPYHKMLQHDGTFQSLGSAYHAINKRVLREAPCSVAVLVDRGLGGHAQVSAKNVAFSVAALFFGGPDDREALAYATRMAEHPGVAVTLERFRPSRAQPDEEDAADEAAVEAFKSKVGMVKDGSVRFDERPAQSKAEVMEAINSLSMFSVFVVGRMPPTAPLVEKPDELGPVGSYLASPEFRTSASVLVVKRYDPAANPASKRYDPKARPPAATEEDALDELTGAAVVPVAHSQMNHDICAAFVELIMLLFALSLFFLTCFSNYCRQTSINLIANTTEITRIILLLLNEKYSILPEITAILKAFLGQCPK >ONIVA12G17880.2 pep chromosome:AWHD00000000:12:19132873:19138798:1 gene:ONIVA12G17880 transcript:ONIVA12G17880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGSCPAAMKATSQGAFQKENPLDFALPLIILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSSKFLHNVFPPKSMTVLDTLANLGLLFFLFLVGLELDISAIRRTGKKALAIALAGISAPFALGIGTSFAFRATIVKGAPQAPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAVALSGSGSPIISLWVLLTAAGFVAAIFLFLRPALAWMARRSPEGEPVKELYICATLAIVLAAGFVTDTIGIHALFGAFLVGIAVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTNVATIRGAKSWGLLVLVIVNACLGKIGGTVITSLLVKIPVREAVTLGFLMNTKGLVELIVLNIGKDRKVLNDEAFAIMVLMALFTTFITTPIVMAIYKPARPTAPYKRRTVDGGEADGELRVLACFHTNRNIPTLLNLVESSRGTGRGRLVMYAMHLVELSERSSAITLVQRARRNGMPFFNSGDKAEQMVVAFEAFQQLSSVRVRPMTAISDLDTIHRDVIDSATAKRAAIVVMPYHKMLQHDGTFQSLGSAYHAINKRVLREAPCSVAVLVDRGLGGHAQVSAKNVAFSVAALFFGGPDDREALAYATRMAEHPGVAVTLERFRPSRAQPDEEDAADEAAVEAFKSKVGMVKDGSVRFDERPAQSKAEVMEAINSLSMFSVFVVGRMPPTAPLVEKPDELGPVGSYLASPEFRTSASVLVVKRYDPAANPASKRYDPKARPPAATEEDALDELTGAAVVPVAHSQMNHDIV >ONIVA12G17890.1 pep chromosome:AWHD00000000:12:19139577:19145002:1 gene:ONIVA12G17890 transcript:ONIVA12G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP protease 5 [Source:Projected from Arabidopsis thaliana (AT4G18370) TAIR;Acc:AT4G18370] MAVHPLLRLLLLRPPPPPPPPPPPPHSPPFATTRRASSASAAAAALLLLAASPRLPRPARADPGDGGEDIDEARVVRLFQEASPSVVFIKDLVVGRTPGRGGGQAVEAEDGEEGAATVEGTGSGFVWDTSGHIVTNYHVVAKLAGDGSAFHRCKVLLEDSSGNSYSKEGRLVGCDPSYDLAVLKVDVDGDKLRPAPIGTSKGLRVGQSCFAIGNPYGYEHTLTTGVISGLGREIPSPNGRPIRGAIQTDAAINSGNSGGPLIDSYGHVIGVNTATFTRKGTGISSGVNFAIPIDTVVQSVPNLIVYGTSVSNRF >ONIVA12G17900.1 pep chromosome:AWHD00000000:12:19154251:19155054:-1 gene:ONIVA12G17900 transcript:ONIVA12G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLNMQAEAAGGDGGDAEKKSGNNKQMMVAAKVSISILVMSLPVLYVSFLRIPPATLFRDTTFWFLMSNSIIIVIAADSGMLFFAGRPASSSGELQAMVVTDVSLSHALVVAPPRGGQEDDDGVVVAGEPAEEESTMMLVPYYGGEVVQAAARPTRLTASREAERTTTMARRRRRSRSHSSHHALMMTPPVVQEKSIVVVREEKLRRTATERPPEPEEEMTTTSSSEYSRLSDEELNRRVEEFIARFNMEIRLQLEKEQEQAAAA >ONIVA12G17910.1 pep chromosome:AWHD00000000:12:19159521:19164615:1 gene:ONIVA12G17910 transcript:ONIVA12G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0JCJ4] MATAAAASLQYALHGAASASAKPRSAAPGRSVRVAAARRSASADATAESKSGGHEVLLFEALREALIEEMKEDPTVCVFGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFAGMGVGAAMKGLRPIVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYICCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAATLEDATVMQPAQIVAAVEQICQ >ONIVA12G17920.1 pep chromosome:AWHD00000000:12:19170094:19170720:-1 gene:ONIVA12G17920 transcript:ONIVA12G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSLSIVDEDGFVIDLSLTLGLTSPPPSPGGASPSIPPGRGGGGGTSGGDNNRGSRGGGNGGGGGGVRLFPCLFCNKKFLKSQALGGHQNAHKKERSVGWNAHLYLPAGVAAATTTTTTAMAVPDMVGMPTHKMSSMALHSCRPHQGSHVTAADIATLAAPPHYTVDHGVAGIASGGGDSSVGWRQRQREAGGEKQRQVDLNLKL >ONIVA12G17930.1 pep chromosome:AWHD00000000:12:19177673:19180664:-1 gene:ONIVA12G17930 transcript:ONIVA12G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRPTTRSSSGGVEPRYRQVGFVTTTAEPAAAAASSSSSPRGSDVYPGSLSPVMIPPPRIPDHLTALSPAPVSLLPSSPPPPSSSRLDAAESDLDDDDVDVSWARPPPPALLESKEGLPDTQNEGAPAPAPVQQKQKLSKAERRAIQEAQRAAKASAKEAGLSRKSVATVSTSNAAMSKQLKTVKTPLKKDLPQLTPPVASEKKTSEHPPDRDRKKDVPPPRMQFDNVHRVEKTKKRSLVNQAEAQNRVELFRHLPQYVHGTQLPDLESKFFHLDLMHPSVYKVGLQFLSGVISGGNACCVAMLLAFREAIKDYSTPSTKTLNRDLTAKISSYVSFLIECKPLSISMGNAIRFLKNRIAKLSLTLSESEAKASLQSDIDRFINEKIIIADHVIVSHAIAKVRDDDVLLTYASSSVVEMIFDHANELGKKFRVVVVDSRPNNEGQALLHRLVAKGISCTYTHINAISYIMHEVTRVFLGASSILSNGAVYSSVGTAAVAMVAHAFGVPVLVCCEAYKLHERVQLDSICSNELGTISFLLVN >ONIVA12G17940.1 pep chromosome:AWHD00000000:12:19195609:19197303:-1 gene:ONIVA12G17940 transcript:ONIVA12G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLDTHGQNPTCTSSLHQHQLRSSDLAASPASRQDIKPTLHQLLFCHLCKNKKSLGVAAVVQGIDVIHAVAIRLGDSAALVLQFVDQGAAEYAVEHTLHKMRGRHRGGRLKMKLDVKKMDFDERTMWKMDFDDRVSIT >ONIVA12G17950.1 pep chromosome:AWHD00000000:12:19225763:19227679:1 gene:ONIVA12G17950 transcript:ONIVA12G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTFTPNSPASSCSIHHRASPSRGARNSVRFTRPRAAAAATNSVLSAPSSVPPAYVPPPPPPPTKMFPEAGDAAAAKAAARRGGKKKDGLNFFQRAAAVALDAFEEGFITNVLERPHALPRTADPAVQIAGNFAPVGEQPPVRSLPVSGRIPPFINGVYARNGANPHFEPTAGHHLFDGDGMVHAVRIRNGAAESYACRFTETARLGQERALGRAVFPKAIGELHGHSGIARLALFYARGLCGLVDPSHGTGVANAGLAYFNGRLLAMSEDDLPYQVRVTADGDLETVGRYDFDGQLGCAMIAHPKLDPVSGELFALSYDVIKKPYLKYFYFDADGTKSPDVEIELEQPTMIHDFAITENFVVVPDHQVVFKLGEMFRGGSPVVLDREKTSRFGVLPKHATSSLEMVWVDVPDCFCFHLWNAWEEAESGEVVVVGSCMTPADSIFNESDEHLESVLTEIRLNTRTGESTRRAVLPPAAQVNLEVGMVNRAMLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGRFGGEPCFVPMGGAGAAASPARGEDDGYILSFVRDEAAGTSLGPTSPGGVQATTSGAAGDEAAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFINAGELATQA >ONIVA12G17960.1 pep chromosome:AWHD00000000:12:19255308:19255873:1 gene:ONIVA12G17960 transcript:ONIVA12G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRNASLLGLVLQLALLLAAAGGRAQRQAAPPPATITHQEMNDNGAAAVTAMSVQAQAQQQQQVMVGSRSPDCTGNCGPSCVGRCEAGLVRPVHPPKPPPAPTTTGAGAAAPPVKEVGDVGGGDDYKPLRWECKCRTAAIHRHIVKINP >ONIVA12G17970.1 pep chromosome:AWHD00000000:12:19258567:19263919:-1 gene:ONIVA12G17970 transcript:ONIVA12G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 3 [Source:Projected from Arabidopsis thaliana (AT4G04850) TAIR;Acc:AT4G04850] MAAAAAAAAPRHHHHHVVVGSSRSAPVSVSVCVRRWCGGASSSALLRVGMIGGASASSAEGRGRRRWWRRGRRALRVRAAAGMDIASAVEVINDLGFDTLTFLGVTVLVVPAFRVVKASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALARYAFGMGLPQVLLSTLAFTAFELPPNGAIGTKILQFLFDSRPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNVVEQSVWPMLLAESLKALGGLGLLSLGGKYLIRRIFEFVAESRSSEAFVALCLLTVSGTSLLTQWLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMELLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEIGRRAAGIIDEKSETKEKPAEMVNYDATEPIVILGFGEMGKVLAKFLSAPLSFGLDKDAEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPLVLQSAGVSSPKAVMVMYTGKEKTIEAVNRLRQAFPGVPMYARAQDMSHLLDLKKAGATEVVLENAETSLQLGSMLLRGLGVMSDDVSFFSKLVRDSMELQAQEALNNIENREIDIMKPLEIRISDLVERNGNGSRMIAQEDSLRLSSRPNIPLIEATLEDRIPETTGENDQTGYDFNNIDSEDGVKYCLLEASDDESEASNSSKEMIDQSV >ONIVA12G17980.1 pep chromosome:AWHD00000000:12:19264675:19273338:-1 gene:ONIVA12G17980 transcript:ONIVA12G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JCK1] MDVDSRMTTESDSDSDAAAQGGGGGGFGSETSSASPSAPGTPTAMGAGGGAAPIAAAAVAAAASAAVVAGPRPAPGYTVVNAAMEKKEDGPGCRCGHTLTAVPAVGEEGAPGYVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVSSNKWSRLTPVGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDMIYVYGGLRGGVLLDDLLVAEDLAAAETTNAANQAAAIAAASDIQAGREPGRYAYNDEQTGQPATITSPDGAVVLGTPVAAPVNGDMYTDISPENAVIQGQRRMSKGVDYLVEASAAEAEAISATLAAVKARQVNGEAEHSPDREQSPDATPSVKQNASLIKPDYALSNNSTPPPGVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPESATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPLNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHLEDTWMQELNANRPPTPTRGRPQAANNDRGSLAWIYFGYEPG >ONIVA12G17980.2 pep chromosome:AWHD00000000:12:19265449:19273338:-1 gene:ONIVA12G17980 transcript:ONIVA12G17980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JCK1] MDVDSRMTTESDSDSDAAAQGGGGGGFGSETSSASPSAPGTPTAMGAGGGAAPIAAAAVAAAASAAVVAGPRPAPGYTVVNAAMEKKEDGPGCRCGHTLTAVPAVGEEGAPGYVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVSSNKWSRLTPVGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDMIYVYGGLRGGVLLDDLLVAEDLAAAETTNAANQAAAIAAASDIQAGREPGRYAYNDEQTGQPATITSPDGAVVLGTPVAAPVNGDMYTDISPENAVIQGQRRMSKGVDYLVEASAAEAEAISATLAAVKARQVNGEAEHSPDREQSPDATPSVKQNASLIKPDYALSNNSTPPPGVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPESATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPLNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHLEDTWMQELNANRPPTPTRGRPQAANNDRGSLAWI >ONIVA12G17990.1 pep chromosome:AWHD00000000:12:19277827:19280103:1 gene:ONIVA12G17990 transcript:ONIVA12G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAARLLLLLPLLLLRISLAAASPNAPLAVALVEAAAFDRARPPTPCTAPSSPGRITTAPSRGRLAGSAAGTGSAATAGSGGDAEAANATRTTSDAATGSDKAVRNNPTTTTTTTAATTTTTTGGDDAAAPAPPPSPGKAFSTTSDTTSASATGTAASASVGAGSVAHESSSRCATFAEQRAPTTNKPTSRAPSAAVRDDGYATPATAPVGAVHDAGDATPAAAPVGTAMNTAQRGGRRMGAGGQNQRGDEEEDQTKCLVCKFEDPVFRLACCNKVLCNLCLRDFVRTMHGECTRGLSAGCILSLRPPESLPVGVDLNRATPYQHVPEFPPWYFSSAVKKNSRVHLFYYNVKELEEGREPTVTEVIHVLYPPPAPMSPPIVTVSATKRPFWEL >ONIVA12G18000.1 pep chromosome:AWHD00000000:12:19281252:19284956:-1 gene:ONIVA12G18000 transcript:ONIVA12G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPESSAAPARHIPDELVEDIFARMPARSVLRCRCLSRAWAAALSTDAFVDHHLLLANRRGGPKLCIPPRSASADTINAWSPEAETTTPLMAVPHGTCNGRIIPYGRPCRGLLLLHAIFARLYFVCNPSAGEVAALPDGRMAGDPRPGEDYASVGLGYDARTRTHKAVRLLYHHGHPAACHVYDIAAATSTGHWRPAATGAKPPDLVHMNKLAVYAQGHLHWITTKSVGDADAIMSFSMAAEVFGRVPPPPGTTDMKGFMITELAGCLCVYPAYLSSERSLDIWLLTDYSTATWELRCRIDPTSATSPETNDFFLVNREVTPLVLTDDHRRVLLLSEEHEVAEYDAASGTLRRHAGPPELRRRHGDGTPQLVPYEESLVSAGRPYEDILFSPPAARAVALVLRRLPARELGRLKLVCRSWRAMIETDRFAASHNAHARETAMASFAAGCHVSLGSYYYYSLVFVPLESCSNRKPPLMSTKTVVRNACHGLVLVTDVNGERNIVHNPVTGAGRNFSFLTPRRCPPKIPEVDDGRGCAGLGYDASREEHVLVRLAYAGGEDCAAVQVWRLRDIGPYKLTESRPPIPPDVGVPPVHVAGKMHWMGEQRRLGILVFDVSTMAFDTMPAPPALPDAGGAVLATLAGKLCVAHSCRETETMSIWAKSAGDEGEWETLHVIDLARWPAFSPRAAELVVPMAVDGRDGRVLLDAGKALGYYDARSTTLETTLRSPLSGRTAREDVRESASMRCRGRGAAGDNNKSMGEEQRQRPSSAMPVAPDLEEEIGIPRCSPEMAVADDDDDKSMGRSGGSASGVGSRGGDGDPRDAARRLVALRNGSSSGGTVRAGRGRGGAAVAATLAGGEKARPTWYGGVGGEEDVVRWRRQDEDGVNFELTCCGVYHNLS >ONIVA12G18010.1 pep chromosome:AWHD00000000:12:19285739:19286483:1 gene:ONIVA12G18010 transcript:ONIVA12G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARSVLRCRCLSLAWAAALSSDAFVGHHLCLSNLRRHGPKLCIPPVSASADTINARLSVSPLPLATRTHATQPPPPLLASPRLASPRRR >ONIVA12G18020.1 pep chromosome:AWHD00000000:12:19286486:19289272:1 gene:ONIVA12G18020 transcript:ONIVA12G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAAGRRRVVVVPLLLRISSASPCAGLRAPVASSPGTTTRESAATYGYQGDADDVGRGNDAARTNPTTTTTPASSTNATPTASHVAAGTNAPPPTTSHAGDDAAAGPHVSSSLLRLRPAATATDTAPASVGAARVHDSSASQRHCSRRAGDCSGSGRQILTLETPGSLSLEEDIEKPDYQHVPEFPPPWFLSSVAKKNGRQQPMVTEVIHVVGTDKKLSQAIVTPPSSRRPPLSAL >ONIVA12G18030.1 pep chromosome:AWHD00000000:12:19289896:19293220:-1 gene:ONIVA12G18030 transcript:ONIVA12G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKKEKGEGLGRALIRQRNKAAAAVKDRGDALAHARRRAQPLESVIEVSDIDAVLERAAEADRLHSALADSVSSSDLVIDLDATGETDEERRRMQKEQEALHAGSLRVPRRPPWNSRMTVEELDENERRAFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAKEIDEHKRTMILVNKADLLPMNIRKKWADYFKAHDILYVFWSAKAATATLEGKILSGYSEQDSASLDLDTKIYGRDELLKKLQTEAEFIVAQRRAAAIKEDSRATSSDSVSSVAKHVVVGFVGYPNVGKSSTINALVGEKKTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVSYGVLPIDRMTKHREAIQVVADRVPRSVLEQIYKITLPKPKPYESQSRPPTAAELLRAYCASRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPGMVDTENEHEETSGLEGPTTSAYNESGGSDSDERDDTVDPAQPDMRHVLSDLESFDLATEGSKPAGKKKKEASHKQHKKPQRKKDRSWRVGNDGGDGTAVLRVYQKPAVNLSAVSASDKT >ONIVA12G18040.1 pep chromosome:AWHD00000000:12:19294577:19300927:-1 gene:ONIVA12G18040 transcript:ONIVA12G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGGAAGDAEEEVDARIRWVPWWLLWNGGIAWMATKGIDANCLATSTIESRRVGSAFHEWDSFSCAGAVFSNGKWRQASALFHGDLLLISLEDITAGFMGHDGFQMVASAMSQDSVDGPQVSGCSATSLGQPKSKRRTGDKARGEKKALKVKINLASPAKKIKCHLMMLKSSKKKGKKGTVAGRIGRKCTLSRDSKGRFLPRESKGGDIGGSATESEVDYDRFMNFQAPDFATILSILKGWKGMKQCNKIRRLKDPDFVPLMNVMSNTGYVTEDDGHYDVLKVLMHADGWSA >ONIVA12G18050.1 pep chromosome:AWHD00000000:12:19300193:19300579:1 gene:ONIVA12G18050 transcript:ONIVA12G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGESALSQEILKDAFLPKGSNGEDTRESTTESEIDYDRFMNSQAPDFATILSILEGRKGMKQCNRSRRLKDPDSIPHAMNNTGRDRGRQLLRRAQIFYHLQNLLDYLPFIKLPFLRCSITKPNYI >ONIVA12G18060.1 pep chromosome:AWHD00000000:12:19302555:19306214:-1 gene:ONIVA12G18060 transcript:ONIVA12G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFNKSQEGFGQVAAVATLASNGGGSLPWLLYGEPLGQGKPAMSPEGVVPRAQTPLDPPQVPAMDRGVPEILNFSMVPGKGEKCSEHSTTIALQSPFAEYNGCFELGLGQSVVPSNYPYTDQHYGLLSPYGVRPTPSGRILIPPNMPADAPIYVNAKQYSAIIRRRHARAKAERENRLVKARKPYLHESRHLHAMRRARGSGGRFLNTKKEANGKTTGGGRKVMDIIIPPLCPAASPSSEQCNPSSVSSLSGSEVSSIYEHEDMDHFHSFDHLRTHFFTPLPSLMDVEHGAGNPFKWTAASDGCCDLLKA >ONIVA12G18070.1 pep chromosome:AWHD00000000:12:19319870:19320967:-1 gene:ONIVA12G18070 transcript:ONIVA12G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHAASPYVLSLLLLLSIPAVFLLAPRLLPPKTLPSIPDADETDDLALFRRAVLLSAAPDSSSASAGAASLFGRRPQPKVAFLFLTNSDLVFSPLWEKYFAGNHHLLNLYIHADPSAAVDLPATASFRGHVIRGTKATARASATLISAARRLLATALLDDPSNHFFALLSQSCIPLHPFPTFYRTLLSDSDNNGGSPRRPRRRRSFIEILDNEPTLHDRYYARGDDVMLPEVPYDSFRVGSQFFVLVRRHAVMVVRDRRLWNKFKLPCLTKRKDSCYPEEHYFPTLLDMQDPQGCTKFTLTRVNWTDSVDGHPHTYRPDEVSGELIRELRKSNGTHSYMFARKFAPDCLKPLMEIADSVILRD >ONIVA12G18080.1 pep chromosome:AWHD00000000:12:19325365:19330432:-1 gene:ONIVA12G18080 transcript:ONIVA12G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVRAQARVRARRVRISLESQVTQKKASEQNVHEDHVREIEERWCDGIGSAEQMQAKVLKRQEAAAKRERAMAYALTHQWQAGSRKQKAATLQGLEVDENQWSQNWLERWMAARPWENRLLDTNAKESAPTGDDNHADENEAKAPNKPKGKVSISTTHPNGSNKKNGANHKKSHSDISGSSSGQSAGVLPTNSLGSSKLKPKPSDEISEEVNSQPSNLASRSTSNPKERPTQVNASSKKRLSLPNNGTMGGGVGKGATNGRTNQSMSSKNAAKGSSKLVSKQQQRPNPPNTTVKRVEVKA >ONIVA12G18090.1 pep chromosome:AWHD00000000:12:19333682:19335691:1 gene:ONIVA12G18090 transcript:ONIVA12G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGCNSDNSRYYDLLGVPRGADGDEIRRAYRRAAVTHHPDKGGDEEAFKEVARAYQVLGDPALREVYDVYGEDGVNGGVGAAAAGFGRYDDAFDEFVETFRYLVAAGGADRAFGDAVEMLRHLVAGVAAGGGADAGGKAFDEVIVGMFKNMMSGGDSSAGGAGDAVEFVDLSLEEFYNGATKKTGSTLASPATCAACSGAGYKVISQLMRLRRRGSEPCAACGGRGEVSRGLKRCSACRGSKVATDTKVLELAVEKGVPDGHRITFPGEADVKENGVAGDLVMGLRQKKHGKFTRKGDDLVYEHELSLAEALCGFQFVITHLDGRRLLVTSGAGEVIRPGQLKAIDGEGMPVHGMPFAKGTLYVAFRVAFPGTMTLALRDAVAAAFPAATKAAAVEDGGGCEETTTTTTTRDVGGEEEMKLNEKGEQSPTTRMEHGAGGEDEYVHVHGHVDEEEEDNEEM >ONIVA12G18100.1 pep chromosome:AWHD00000000:12:19337508:19338789:1 gene:ONIVA12G18100 transcript:ONIVA12G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERESAIAEEKKVVVEEEEVGDLKPFFYDEAAAAEKRRLQEEAVAAGKAERGRRRAAHRAILDRISERDPETGETYYTRYHDQDLSEFNIDKVSPLPPMRFTAKAYRLSEASLYILNMVNVLAIRIDDGDVPFPIAVYGPRILTGPHRGMVLVDGLYFEINLKLKGVRVGSAEVDKQISKTVWRMNGVFLETIFLSQNLLTAVRPITIDPCRNLYPLQLMYAFVSNAVEATVSVKVLQGHFYGKITALPQHSQRLHFAS >ONIVA12G18110.1 pep chromosome:AWHD00000000:12:19360184:19363626:1 gene:ONIVA12G18110 transcript:ONIVA12G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTDDDSYYPRKRYAYDRQVSSSRWRTSREYIRGPGPETHTTESAQDGQDPPAGVYSYGYFSGSGNDPQVQGHFVPEIQKYNPYVIFKGEQLPVPIWELPEEKVQDFHDRYFIAKDKSRVEARKTLNRLLEGNINTIERGHGYKFNIPKYTDNMEFNEEVKVSLAKAGKTISRSFCNANQREVASRTGYTIDLIERTLGAGLNISKRTVLYTNKDLFGDQSKSDQAINDICALTNIRRGSLGIIAAEKGIVVGNIFLELTNGKSISCSIGVQIPHRLDQI >ONIVA12G18120.1 pep chromosome:AWHD00000000:12:19364810:19366914:-1 gene:ONIVA12G18120 transcript:ONIVA12G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPSNYTTTHGKSAHPQQNETPDQENDASAVVQPSPRTNIGSQAELGSWNVLLQQIVPERGTEYSDENMSPTIATSEVRNETPSNVSIPSTIVTGTPANVTNLSAIELRRKRDREVYASLSAVVVHS >ONIVA12G18120.2 pep chromosome:AWHD00000000:12:19364810:19366914:-1 gene:ONIVA12G18120 transcript:ONIVA12G18120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPSNYTTTHGKSAHPQQNETPDQENDASAVVQPSPRTNIGTEYSDENMSPTIATSEVRNETPSNVSIPSTIVTGTPANVTNLSAIELRRKRDREVYASLSAVVVHS >ONIVA12G18130.1 pep chromosome:AWHD00000000:12:19368073:19368381:1 gene:ONIVA12G18130 transcript:ONIVA12G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGSPRRCPWHRYASGPRGRCGEEDQGGGVVGRHEEVHGDGGVAGCGEEEQGGDAAGHGEEEQGGGVARRREEVHGGAAITGCGVGAVGAGEGEERPTA >ONIVA12G18140.1 pep chromosome:AWHD00000000:12:19375124:19386607:1 gene:ONIVA12G18140 transcript:ONIVA12G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPHEDALDAGAVDVLTFRLHPLAVLKLSHRIREFVHLHDDQYQQARMSGCVIGVRRGGTVDISDTFDILHDPDPGTFDRALLEKDQEILLCNSDGSPPVSFVQGNYTVEIVGAERISLDHADFLYPSPCVVFDVLAPPLGEKKNAFRTMLFETPSGFAMFRVSDVLFRYPEDIWSSFTDPHTAHQVVRTIGFIEVGDKSVVWDNDIGPGEDIEHFILKFPIKSLVVPDAQLKFIIEKNLNISCWFNGRIVPELIWGLNYALDEFVPREKGNLSNERHFPLSKQLHEQLKAYGFSISPQLINREFITSFGYLNYLERTSNCISGDLHQKFDRFFCGLEMSEGEFVRGVAHRLHSMEKKCNTISRLKRMEAEDRGTGLGHPWVCAAAVAVGFGVMAGLCIAMKRAN >ONIVA12G18150.1 pep chromosome:AWHD00000000:12:19391447:19394933:1 gene:ONIVA12G18150 transcript:ONIVA12G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAVNASRVMRRRAAGEDLGDGDFWAGGAPRLYDFSQQEQKPFLPAPPSPAPVPASPPSPAAESVAPCLLTLQCSGVGWGVRKRVRYVGRHHHLARHHAPERAVDAARDDDEASSAKAKNESPKEEAAAAEEDDDVEHKVAVRTTSEEKKKKRRRKRGRGRVRGHGVAKRPKKEDEEGTKLSAPKAEQLEEEEEGAAVAAPTGMIDRWKATRYATAEASLLAIMRAHGARAGKPVPRAALREEARAHIGDTGLLDHLLRHIADKVAPGGAERFRRRHNAGGGLEYWLEPAELAAVRRKAGVADPYWVPPPGWKPGDPVSPEGYLLEVRKQVEQLAVELAGVRRHMDHLTSNVSQVGKEIKSEAEKSYNTCQEKYACMEKANGNLEKQLLSLEEKYENATHANGELKEELLFLKEKFVSVVENNTRLEHQLTALSTSFLSLKEELLWLEKEEADLYVKEPWEDDDEKQEHDAGKEAKDDDVAGVGAANDQPDVDGDGTTTTTTTTSNGGSGKRTSRKCSVRISKPQGAFQWPTPSLPFSPELAAPPSPPLTPTAPVVAGAANFATMDELYEYMMAGGLPTPPSTTSNAGKLPSLPAATACATTPPVKTADAAGDVGTELALATPAY >ONIVA12G18160.1 pep chromosome:AWHD00000000:12:19395904:19401446:1 gene:ONIVA12G18160 transcript:ONIVA12G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKISSLCTALSSVLNHADDSSRALSDALSRRPIHLESAARGFLQGLERRSEAAGADLSRLESMAFGTVSFEELLGHCGEALSMFSRHADAIESRLVAFGYVPPGSTNSLPPWGILNPIVSIFFKLLVENCEFAMLLVEEEVSEDVQEDWDDEKLPGVAGNGCFGGTSSVLRSSREMVDDDDALYPYVVRFENSMSLKNLGFSDACLATLSSQDSGLSGSTEILYRKPESVADVENKVNDAESMIPPKETNGPGNDSQGAIKASKEEYEKLPPYMKTLATWEELQEAISKLNSYFGSDKTQGNVALNQDDVGEIGLGRKGRSYLLILLRMNQLAMENIDGSIFYNIRKSDS >ONIVA12G18160.2 pep chromosome:AWHD00000000:12:19395904:19401446:1 gene:ONIVA12G18160 transcript:ONIVA12G18160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKISSLCTALSSVLNHADDSSRALSDALSRRPIHLESAARGFLQGLERRSEAAGADLSRLESMAFGTVSFEELLGHCGEALSMFSRHADAIESRLVAFGYVPPGSTNSLPPWGILNPIVSIFFKLLVENCEFAMLLVEEEVSEDVQEDWDDEKLPGVAGNGCFGGTSSVLRSSREMVDDDDALFENSMSLKNLGFSDACLATLSSQDSGLSGSTEILYRKPESVADVENKVNDAESMIPPKETNGPGNDSQGAIKASKEEYEKLPPYMKTLATWEELQEAISKLNSYFGSDKTQGNVALNQDDVGEIGLGRKGRSYLLILLRMNQLAMENIDGSIFYNIRKSDS >ONIVA12G18170.1 pep chromosome:AWHD00000000:12:19399235:19403476:-1 gene:ONIVA12G18170 transcript:ONIVA12G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEGGAMRALMRRKQVDSDRVRAAGGHQLAKELSVTQLVAIGVGSTIGAGVYVLVGTVAREHAGPALTLSFLIAGVAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGQDSLPWILARHELPWFDVVVDPCAAFLVLVVTALLCKGIKESSFVQGVVTVLNCFVMLFVIIAGSYIGFKTGWVGYKVAGGFFPYGANGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGTALSVCCSLYMLVSVVIVGLVPYFAMDPDTPISSAFARHGMHWAMYLVTTGAVLALCSTLMGSILPQPRILMAMARDGLLPSFFSDVNQRTQVPVKSTIVTGICAACLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYAPPDEVPLPSSLEASFRLSQEYDEEKVRGSPVDANHEQLSSVVESINDTLIEKKQDTSMEESKRRKAAVCSISSVCVGVVVLTTSASFTFLPFLLRCFFCVFGGLLLLAGLGVLCYIDQDDGRHSFGHSGGFICPFVPLLPVMCILVNTYLLVNLGGGTWMRVGVWLVMGVFVYIFYGRTHSSLTDVVYVPVAQAEEIYGSSSSSGFVA >ONIVA12G18180.1 pep chromosome:AWHD00000000:12:19408178:19410185:-1 gene:ONIVA12G18180 transcript:ONIVA12G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGRGSRGGGGRDGGGVVEEERSGGVEAAPPVKRRRVAVAPSAGAGGSRRVQAEASPLQRLFRACRAVFRGTGTVPAPGEVDLLCSMLDKMKPEDVGLRADQEFFTARDDDEGIPLIKNTTLYECDNFTMIIFFLPRNAIIPLHDHPGMTVFSKLLIGSLHIRSYDWVDPEPALSCSSSSGDQLRLAKRVVNGVFTAPCDTSVLYPTTGGNMHRFRAIAPCAILDILGPPYSTEDGRDCTYYRAIPYSRHSVKNGAADQLTGVDEEGHRLSWLTETIPRMLRMRQIRYGGPPISDDE >ONIVA12G18190.1 pep chromosome:AWHD00000000:12:19413260:19418480:-1 gene:ONIVA12G18190 transcript:ONIVA12G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthetase family protein [Source:Projected from Arabidopsis thaliana (AT5G41480) TAIR;Acc:AT5G41480] MLPRFPVSLLRSRSLLRGSARRGLVTAMAGARGGGGGGGGGEDGQLGEFYEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYTSPHLLTIRERISVGQSGGPVSAELLRDLFGHAKEAIGQSIESEDGALTHFEVFTALSYLLFSQENVDIAIVEAGLGGARDATNVIQSTELAASVITTVGKEHLAALGGSLQSIAVAKSGIIKQERPVIIGGPFSPDIEQILRDRAFLTQSPVISACDPGIRSITRCIGWDCGKPYQSCDIVIEISNDIPLFIELQDLKLQLLGDHQRQNAVTACCTALCLRELGWNISDTSIQAGLEKTQLPGRSQFLTKEETSVLGLDGTSSVLVDGGPRPDVVLLTEASIAGGRSRSMPASSLKEIWMAAAQSQGISCYSDIGTITGVEAPIIASRPTTSRSLSGDKPMLIGCCTPFSSDLTRVASRLLQTQEDGDTGLICVTGSLHMVSSVLGQLRQV >ONIVA12G18190.2 pep chromosome:AWHD00000000:12:19413683:19418480:-1 gene:ONIVA12G18190 transcript:ONIVA12G18190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthetase family protein [Source:Projected from Arabidopsis thaliana (AT5G41480) TAIR;Acc:AT5G41480] MLPRFPVSLLRSRSLLRGSARRGLVTAMAGARGGGGGGGGGEDGQLGEFYEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYTSPHLLTIRERISVGQSGGPVSAELLRDLFGHAKEAIGQSIESEDGALTHFEVFTALSYLLFSQENVDIAIVEAGLGGARDATNVIQSTELAASVITTVGKEHLAALGGSLQSIAVAKSGIIKQERPVIIGGPFSPDIEQILRDRAFLTQSPVISACDPGIRSITRCIGWDCGKPYQSCDIVIEISNDIPLFIELQDLKLQLLGDHQRQNAVTACCTALCLRELGWNISDTSIQAGLEKTQLPGRSQFLTKEETSVLGLDGTSSVLVDGGPRPDVVLLTEASIAGGRSRSMPASSLKEIWMAAAQSQGISCYSDIGTITGVEAPIIASRPTTSRSLSGDKPMLIGCCTPFSSDLTRVASRLLQTQEDGDTGLICVTGSLHMVSSVLGQLRQ >ONIVA12G18200.1 pep chromosome:AWHD00000000:12:19423142:19441118:1 gene:ONIVA12G18200 transcript:ONIVA12G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTSAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTNFSYSSHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVENSFALLSLLSSILPVYKEVIAELKAAGATWIQFDEPTLVLDLDSHQLAAFSAAYTELESALSGLNVLIETYFADIPAESYKTLTSLNSVTAYGFDLIRGAKTLDLIKSAGFPSGKYLFAGVVDGRNIWADDLAASLTTLESLEAIVGKDKLVVSTSCSLMHTAVDLAYFAANAAAQASRRSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYISAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKLAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYNEVKPALANMVSAAKLIRTQLASAKKMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFALLSLLSSILPVYKEVIAELKAAGATWIQFDEPTLVLDLDSHQLAAFSAAYTELESALSGLNVLIETYFADIPAESYKTLTSLNSVTAYGFDLIRGAKTLDLIKSAGFPSGKYLFAGVVDGRNIWADDLAASLTTLESLEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDNEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQASRRSSPRVTNEEVQKAAAALRGSDHRRATNVSARLDTQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEDEYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNAMTVFWSKMAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRTQLASAKC >ONIVA12G18200.2 pep chromosome:AWHD00000000:12:19422787:19441120:1 gene:ONIVA12G18200 transcript:ONIVA12G18200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTSAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTNFSYSSHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVENSFALLSLLSSILPVYKEVIAELKAAGATWIQFDEPTLVLDLDSHQLAAFSAAYTELESALSGLNVLIETYFADIPAESYKTLTSLNSVTAYGFDLIRGAKTLDLIKSAGFPSGKYLFAGVVDGRNIWADDLAASLTTLESLEAIVGKDKLVVSTSCSLMHTAVDLAYFAANAAAQASRRSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYISAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKLAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYNEVKPALANMVSAAKLIRTQLASAKKMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFALLSLLSSILPVYKEVIAELKAAGATWIQFDEPTLVLDLDSHQLAAFSAAYTELESALSGLNVLIETYFADIPAESYKTLTSLNSVTAYGFDLIRGAKTLDLIKSAGFPSGKYLFAGVVDGRNIWADDLAASLTTLESLEAIVGKDKLVVSTSCSLMHTAVDLVNETKLDNEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQASRRSSPRVTNEEVQKAAAALRGSDHRRATNVSARLDTQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEDEYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNAMTVFWSKMAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRTQLASAKC >ONIVA12G18210.1 pep chromosome:AWHD00000000:12:19441191:19442306:-1 gene:ONIVA12G18210 transcript:ONIVA12G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRVYEEVEPEVEWRQAGEEQDVVEIALPGFRKEQVRVQVDNHGMLRATGERPPAARGGRWVRFKKDLRLPDNCDADAVRARFDDHKLIITLPLVAAAVDVDESSATSPEFKTPPALSPVPTPPPTRPPSVKPPVVQPKPQPPPTLPPPSPPPPPPTVPPRTPGDTPAVVEPKPQPSPPPPRAPVKMPRVLEPKPSPPPPPSPLPPPPEDYWSPTAVTPPEPTKPKPPPPSPPPPPQQPSQWTPPPAITPEPAKPAAGKPTSSSPPSTKDSPRPPLPAPSPAANGGDPRLPATTPLSKQKRKEEKREKEAQEMGRAGAAAAEEERPPATATASGGGRREAEERRLMVNMAAAAAVLVGIIVSVWRTLSS >ONIVA12G18220.1 pep chromosome:AWHD00000000:12:19446852:19448562:-1 gene:ONIVA12G18220 transcript:ONIVA12G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRPTPSTASSLIPLAGSTATAHTSAAARNPTATYTAAPPSTAYASGCRAPAATVSDSPSPTTHPATAVCAPAPPSPRRVASATSATRSPSEFPHASTVAPSTPASTPAATPMETSSATSSRASTYDQSTDIRNPPASHGRRAERLLGGDAASVVAAAAAARRAPASVAARRVVAVVVGAVVAARRSGERSAVATAVGFDHRCAAMSGMSGEWRRSTRRRANVLGAEVGLEEEETGSGGGDASSSSTTRSGAARSEFVGGVGAAVRGPDEEDVAGVEGEERRGGPDGDGAGEQHGEEVAERHEEEAHVAHEPAPLDDHRLATTATPGDDSDHAGDDGGHEQRATEHAAEANIPGILPSAAAAVAAACSRGERHDAGEHVGRAVPERQQRDAGDRRRQAEHGGEPLQRGAEVGRRRVAEEVEEHEQPQRERRVAQPWRPPEPAVQQPEVVHVPHRRARRVRPQIPAPRAIAVELLQLPAPTGRGIRRRRARRRDATEEDVSLIPRGDEHGQEEDDDDGESQERGGGGIARSSPVQRSQEGRHGR >ONIVA12G18230.1 pep chromosome:AWHD00000000:12:19446863:19448623:1 gene:ONIVA12G18230 transcript:ONIVA12G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRTLHRRRTRNAAAAAFLALAVVVVFFLSVFVATRNEADIFFSGVAAASSSSPDSSSGGCRELQELDGDGARCRYLRAHAPCAPVGYVDYLRLLYCGFGRAPWLGYAALALWLLVLFYLLGDTASAYFCASLEGLSAVLRLPPAIAGVTLLSLGNGAPDVLSSVVAFAAGARGGDGGGGGGEDAGDVGLSGVLGGALFVSTVVAGVVAIVAGRRGGGEPVIIERRGFVRDVCFLLVALCYLLAVLLTGAVTVWSAASFLSLYAGYVLLVWTSHCCANASDELEVDDTKQPTSDLAAPLLVVDDDDASPPPLPVSSSSKPTSAPRTFARRLVDLLHSPLYLPRRLTIPDIAAHRWSKPTAVATALLSPLLLAATTAPTTTATTLLAATLAGALLAAAAAATTDAASPPKSRSARLPWLAGGFLMSVLWSYVLARELVALLVSIGVAAGVEAGVLGATVLAWGNSLGDLVADVALATRRGDGGAGAQTAVAGCYAAPAFNTVVGLGLSLTVAAGARHPEAYAVEGGAAVYVAVGFLAAALVWAVAVLPARGMRLDAVLGVGLLVIYFVFLCVSLAMLTPLPSPH >ONIVA12G18240.1 pep chromosome:AWHD00000000:12:19450120:19451301:1 gene:ONIVA12G18240 transcript:ONIVA12G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRNDGGEADGRASKTARRRPAQTTMKHLYLVLDDGDEATTTYTIHKLDVDDDAGIIHAPDVDRPATRFAAAPAAGGGQMHFLAMAGKNIVAVDGQGGGPTAVYDTEAAALTFASPLPGRLSSSGAGLAVVVEDGGHGGGEALYALTSLGERMPASFEALSWARDPCASPSSLLFSSSSSPGRHYYWSWKNVADTPPPFAEEEAVTAYAVHPDGRTIFVSTTGGGGGGTYSFDTERRKWRRHGDWVLPFRGQGYFDGELDAWVGLHREVHGRVCACQVASRGGARPPEYRETLDYDSVSSSRSKNRRQRATLTYMGDGMFCAVETCETPPTSSSSSEGGGGGGGVEVHVTVFGLKYNRRGELQARVRRAAGAFPLPKQHVPSFSPVAFWM >ONIVA12G18250.1 pep chromosome:AWHD00000000:12:19452332:19453494:-1 gene:ONIVA12G18250 transcript:ONIVA12G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETMYTSLRNYCKFNKDRLILQTITPQVKSPPEQIPWTNPLEPKEGASTSTEEEEKNMCRHISYTTSNEVKCKIRNAYVLLKNFHRAGLTFGGHFTSENFLMDTCGNMRFGNLSKGVINKLEDGDIEKDTDRFVKMTREEVFVSVTTLPLDIIQWIELIDRCARGYDSLAEDYITLKDGYRAAAHFMSLHNMFEKMETTDNPTYEKIKTKLSKYTKWKKGVEAPDGNTHLKETLDFIDPATGRKAYYSDDICGLLKLLRNTRQHSARAKEDVFVLIVAQNFPRLMGDFQKVMFKQGYTLNESVDSSKNQTFACQFTKSHTDSGIQKVDHEDSRHLQVTLKELN >ONIVA12G18260.1 pep chromosome:AWHD00000000:12:19453891:19455759:-1 gene:ONIVA12G18260 transcript:ONIVA12G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLMGPRYGGGGGGGGAATEEGAAAVGEGRSKTTVKQEGCDAAVARSKATVKQEGCDAAVVDGEEVGSRRKYAGEVEERKPVVGLKVKAETGKRSAADGEKSFAAAAAVVGRYAAGLKNKAVPGDTSTAGGGARSGGGFGRRSGDEESGIDLEARGHISGEGSAAGADRGGRINRPFAGMRFILHGFPHLLKEQGDYSEGAVLIWNGEGKKVVNIQWIDDCYIQGIKIPENDAPVSS >ONIVA12G18270.1 pep chromosome:AWHD00000000:12:19457742:19458827:1 gene:ONIVA12G18270 transcript:ONIVA12G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRDDDDDDGGDAYRRHHHDKRPRPPAPPQQKHLYLVLDDWDRGYSIHKLDVVLDDAGVIHGGGGGPAVRFAAPRSSCDARFFPMRGDSVVMVSDAAPTLVYDTGAAALTVESPLPGLLAGGMAIAMPGGERLYALTSLGERFPRAFEVLSTGGGWSWKNAPVAPPPPPFEEAVAAYAVHPDGHTIFVSTSGDGGGGTYTVDTKRGEWRRHGGWLLPFHGQGFFDAELDAWVGLPRHGDTICACQVPSRGGARPPEWDTMDDDDVVPSWKKSRRRPGRRRRATLTYMGDSKFCVVDSVECDGDDGGGVVTPPQCEVHVAVFGLKYNRRGELKATARRAAGSFRVPKHFSWFSPVAFWM >ONIVA12G18280.1 pep chromosome:AWHD00000000:12:19459990:19462079:-1 gene:ONIVA12G18280 transcript:ONIVA12G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDPLTNKAAFSGLRQYVKELDSNTLPPFLARVCDPVKPCSFSEEEMLCIFETAAQAHGRKIVPHIALIVSAIVRMMSSRNAVGCSKVVCALSRYVVDPLATEALKSAIIGSLCRPFADCLMSTKVESNSFGSALCVAALVQSNNWRFASNELVNDVCLKVSGALEEAHAQSIAHLNLVVVLLTQNPLMLEPYGRSLIRSGLQILDESAKASSSQMIISSIQMIHSIMKGLDLGIISSEISSIIHAMEQFQDDTMPAISIAAFEASETAKLLVGRQKESGHDNNLSQLANYSVRNGRKGSYSHSLMDDADIRDNGSCDSHSCDLNSVHLSTDFDSQHSVGQCGFGSTRARRRLWCNKSDKSHGMSNHDLFRTVIPDSHEASGLMAHFSSVDPIKPDRRLSDVPTRVAGPCYVCSAAHETNHCSQISRAQVLSGDMRMNSTPRKQLHSCTFCRDPEQDGHPPLESPAIQHCSGPGTNILQFRKNSELKERKEFWDSIRQENQCHMQNTDALIEDLKFPADDDDEDFDGAAKSPCQECQAVNEKKTGGKKRNANRSHYPVFLFVFVVAIIALFFPWWKDYKEPYVVPT >ONIVA12G18290.1 pep chromosome:AWHD00000000:12:19466753:19470666:1 gene:ONIVA12G18290 transcript:ONIVA12G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHPPNAAASSLEELFPHQPATESDRSGIEWLSVYVEDCLSTSASCTNPVSAELPPITMASQGAAKPKLPPRSSTNARKKKRSLASVISDTDDQHCITLFVEPPLLLLDHKDWLAESELILPKKDKDEELVQEQEQEEEENYKMSAGMQFQQEQLVITCSYCLSSQSPQWWDGPSGPTCDACRLRIEARNGHTTSSKKRYGQEIDKEQDIGKRRDKKKIKKAVYVNDELLSEEPMKRCTHCLSYKTPQWRTGPLGPKTLCNACGVRFKSGRLLPEYRPANSPTFVSDIHSNSHKKVMQLRNSVPHPRK >ONIVA12G18290.2 pep chromosome:AWHD00000000:12:19466753:19470666:1 gene:ONIVA12G18290 transcript:ONIVA12G18290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHPPNAAASSLEELFPHQPATESDRSGIEWLSVYVEDCLSTSASCTNPVSAELPPITMASQGAAKPKLPPRSSTNARKKKRSLASVISDTDDQHCITLFVEPPLLLLDHKDWLAESELILPKKDKDEELVQEQEQEEEENYKMSAGMQFQQEQLVITCSYCLSSQSPQWWDGPSGPTCDACRLRIEARNGHTTSSKKRYGQEIDKEQDIGKRRDKKKIKKAVYVNDELLSEEPMKRCTHCLSYKTPQWRTGPLGPKTLCNACGVRFKSGRLLPEYRPANSPTFVSDIHSNSHKKVMQLRNSVPHPRK >ONIVA12G18300.1 pep chromosome:AWHD00000000:12:19472115:19476496:-1 gene:ONIVA12G18300 transcript:ONIVA12G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JCN9] MAVQVQRTPLPRSTYTGHRGYLLRAPPAVTRCTVDRAFPRSHGPPLPRLLYKTSRPDASSSNSTSRHFPRRSKDCCCFAVRSIPDGIMGETIAKDVTELIGNTPLVYLNRVTDGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYRLVLTMPASMSMERRIILKAFGAELILTDPLLGMKGAVQKAEELAAKTNNSFILQQFENPANPKIHYETTGPEIWKGTGGKVDGLVSGIGTGGTITGAGRYLREQNPDIKIYGVEPVESAVLSGGKPGPHKIQGIGAGFVPGVLDVDLINETVQVSSDEAIEMAKALALKEGLLVGISSGAAAAAAVRLAQRPENEGKLFVVVFPSFGERYLSSVLFQSIKKEAENMVVE >ONIVA12G18310.1 pep chromosome:AWHD00000000:12:19504274:19506269:1 gene:ONIVA12G18310 transcript:ONIVA12G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAVDLVNETKLDNEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAAQASRRSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYISAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKMAQSMTSRPMKGMLTGPVTILNWSFVRNDQP >ONIVA12G18330.1 pep chromosome:AWHD00000000:12:19515709:19516889:-1 gene:ONIVA12G18330 transcript:ONIVA12G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLHRVRRRWCCSLTSCSCSKGPASSYGGACTREQRRLVQIRSKVLLSEVLRAIGGNEAQYTCRAVADRYIGFAEATVYGARGDEESFVVRAQGISAIRPRDTEESAAHALISIIKKECRVEFDDTNWFDMNHYHCEIEQLKRALGRARKKHNTLAKKARLLEIGWDKALDLLAFVNQICDGICSSALGGPDADGLNNREVGVLYDVHRLGEYAQSHMDEGLANLSSVASRCI >ONIVA12G18330.2 pep chromosome:AWHD00000000:12:19515709:19516996:-1 gene:ONIVA12G18330 transcript:ONIVA12G18330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNHYHCEIEQLKRALGRARKKHNTLAKKARLLEIGWDKALDLLAFVNQICDGICSSALGGPDADGLNNREVGVLYDVHRLGEYAQSHMDEGLANLSSVASRCI >ONIVA12G18340.1 pep chromosome:AWHD00000000:12:19520075:19521019:-1 gene:ONIVA12G18340 transcript:ONIVA12G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPPTTEEEEEEEEGPAREKSRRRGKWPLSDQVKILSALAAHRQAGEELPSGRALFDEVKQQLSRERFTARDLDKKVSHLRDRYLDHIYKPPPALRRPHQHHTTLFDLSHKVCPQFQHPPQAQAVHISDGAYSASGPIVISSDDSSGHIVISSNDGAGADSDAMVVSLSSNGSAPGPIVLSSDEEEEPARKKSCRENWSFSDAVKILTALAAHRQAGGELPSGHALFDELQHQLSRERFTARELDKKVKSLKDRYMDELYRPPAARRRPQNSTLFDLSRKAWPDFHFQPQPHVEAVQISSSSSSSSASSSS >ONIVA12G18350.1 pep chromosome:AWHD00000000:12:19521690:19534843:1 gene:ONIVA12G18350 transcript:ONIVA12G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGVYLLGEKLFQKPPVKILTALAAHREAGEELPSGRALFDEVKQQLSRERFAARDLDKKVSHLRDRYLDHIYKPPPARRRPHQHHTTLFDLSRKVWPQFQHPPQAVHISSHGADSPSGPIVISSDESSGHIVISSKAAAAMAPTGALASPTPTIVIISSSSSWTSSSSSSTSTGSVSVGGDEGATSRLRRGPYRCWAVDDEIKIIDTIAALRRDNMGNMPDAAVLLRALQAADPPLLRRGLDAATLSQKVYSLKIKFRSAAMTAATNAGKKRLRNKRNKALYHHSKKAWPEELRQAKATAANNIQARRLRTSYGGTRVGFSSLSSISPHQSS >ONIVA12G18360.1 pep chromosome:AWHD00000000:12:19556958:19564806:-1 gene:ONIVA12G18360 transcript:ONIVA12G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSHGNQHFVSSSDGPTVPGVQSLPAVRGKGAGPDDFSSSYRCVQRCISPQVNARHCDVRIARNNGMSTTIGASPSSRIAQNDTSLHREILYPFLPVDIALLYMVMKFPLGVDAKFNSAYYFRITNARGNARGIE >ONIVA12G18360.2 pep chromosome:AWHD00000000:12:19556958:19564806:-1 gene:ONIVA12G18360 transcript:ONIVA12G18360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSHGSAPSSESSNHTISFISTPEIGISMSVFCRPFQRPVNRRLAFNPINPATPIDQHFVSSSDGPTVPGVQSLPAVRGKGAGPDDFSSSYRCVQRCISPQVNARHCDVRIARNNGMSTTIGASPSSRIAQNDTSLHREILYPFLPVDIALLYMVMKFPLGVDAKFNSAYYFRITNARGNARGIE >ONIVA12G18370.1 pep chromosome:AWHD00000000:12:19572001:19572808:-1 gene:ONIVA12G18370 transcript:ONIVA12G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISGCRPAACMSWRSVGDAVVHDDANSTRRMTRVNLMAPSFLARRHANTTDSRSSGPPRKIAAIWVPTFWSPWFLAAVVSSLVGILVGSWKLAPPLQNGRSVKMPTVHRASSSTLRLSSRQWCSRAPRRTRRAPVSCRRRRWRRAAAPAIGFVAGDNLTVPLRFALPPRCRRSQS >ONIVA12G18380.1 pep chromosome:AWHD00000000:12:19576113:19576916:-1 gene:ONIVA12G18380 transcript:ONIVA12G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLVRLASDEMPQTLDASSSSSCYDAFVPVFRPDPSSGFSAASVVAAADRLRSQFLSVEPDLFHDALVAPSPDHLGFPDEEEEEEIRWDCLQLDDDEEEEEGVADLRLEASNAAEEFDWEEVASPSGGAGMDQPEPEWEVLADVPPPADEGFVYTSDRDVYEVLVGEGLFLKSKPPAARSAVEALPSAVVAAGEDGEGEECAVCRDGVAAGERVKRLPCSHGYHEECIMPWLDVRNSCPLCRFELPTDDPQYESWKASRAAAA >ONIVA12G18390.1 pep chromosome:AWHD00000000:12:19579939:19581392:1 gene:ONIVA12G18390 transcript:ONIVA12G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPYLACAVLATPLRTFVPSCPQVWQTRRDVSSFTVRLHQLFGAIFFHDRRDCVTVFVSNVSSCTIGPRCPPIATYSSPGAQNWNLTQQPHSWALQFHWQGPK >ONIVA12G18400.1 pep chromosome:AWHD00000000:12:19584407:19586877:1 gene:ONIVA12G18400 transcript:ONIVA12G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGGNGFGVESNASAVHGGMAMAWQWHGGQMSGGSSCAAAPPPVQQPAMDSLAWSSTVSPSTGAATAASGAGFLLPPAAVRGGFGSFPVNSGGIVEPAGDCSSESKKRRSDEIAGTDHANASNALADSGNETECSKDVNGEVIGPPATAAAGGKSKGKGAKDAGEAQKEGYSHVRARKGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKALMLDEIINYVQSLQRQVEFLSMKLSAVNPRIDLDIESLVNNSKDVLRFPGQPSSAPMGFSFSTEMMPGLQLSRPGILQGGVHGMINPDVFTSLMQKQQQNDKGAFREPQMHQTLDGSFRNTAQMPYPQVMSSEELSIRQDQDGFHM >ONIVA12G18410.1 pep chromosome:AWHD00000000:12:19587585:19596982:-1 gene:ONIVA12G18410 transcript:ONIVA12G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKFAFFPPDPPAYGVADEEEPPPPGSAAPAAAATARRVSLTGVPWREGVEARRVRTRRGTEIIAVYVRCPKARLTVLYSHGNAADIGKMYELFVEFSARLHVNLMGYDYSGYGRSSGKASEANTFADIEAAYKCLVEVYGTREEDIILYGQSVGSGPTVDLAAQLHRIRAVVLHSPILSGLRVMYSVKKTYWFDIYKNIEKMPLVKSPVLVIHGTNDDIVDCSHGKQLWELCQNKYEPLWIEGGDHCNLQTFPVYIRHLKKFISTIENMPLEKESSSTENEKLLAETETASDGSALSDAPWTQRLEPPRKSTRHELPPRLSNDRVDKRRRSTGRREKPRSIVEKKEKSRRSVDTFDRTRDEHDQPDKPRKSIDRSLGASSVAALRPCAGRVRAPGAGAARGSGSARCGRGVRWEAGSGSRGRLVRVRCDAAVAEKAEETAEEEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLGVTDSSLLADGGELEIRIKPDPDAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEGVADSSSYVIKEETDPEKMLTRGTQITLVLRPDDKFEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEDEEAKEGEEAKEGEQKKKKTITEKYWDWELANETKPIWMRSPKEIEKTEYNEFYKKAFNDFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEISEKDDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFHTSKNEGDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDNKESKQEYTLLCDWVKQQLGDKVAKVQISKRLSLSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLNAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRSDTETEAATTGDASTETGSSEATVTEVIEPSEVRPESDPWRD >ONIVA12G18420.1 pep chromosome:AWHD00000000:12:19601776:19602951:-1 gene:ONIVA12G18420 transcript:ONIVA12G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRWGRGCARRRRPAAGARLREAACGGGAVRGGGASVGRGCARRRRRRLAACGCAAQARSVGGAAVRGGGPQAPLSRCATCGPCGGGGGERRRVWSYGSQFFVLFLLPFSLPRDGGKKPTHLAGRSRDADDGKGGVTVATEEGEHDASNGARGGSMHVPLRRPGTARRRLDEDVRLRVADVARWGLRADASMADSKTREVQPNAEAYP >ONIVA12G18430.1 pep chromosome:AWHD00000000:12:19604708:19606177:1 gene:ONIVA12G18430 transcript:ONIVA12G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHAPPRSPASDGGHGDGDGDTKKPHVLVVPYPAQGHMLPLLDLVALLAARGLALAVAVTPRNVPLLAPLLASCPPSSVATVTLPFPALPAASGLLPAGCGENTKDLPGHLFRPFMASLAALRAPLLAWCKAQHRRRRRVTAVVSDMFTGWTQPLAAELGVPHVTFSASGAHYLAVSHSLWRRLPRRRCPDEVVSFPDVPGSPTFHWSHLSWLYRTYVAGDVVSEAIRQFFLWNLESSCFVANSFTAIEAAYVDRPLPDLMEKKVFAVGPLSDAVGRCTDRGGKPAVAPARVAAWLDSFDDGSVLYVCFGTQQALSPAQAASLAGALARSAAPFVWAARSGTPVPGGFEAATAARGMVIRGWAPQVEILRHRAVGWFLTHCGWNSVLEAVAAGVAMLAWPMSADQFTNAWLLAEAGVAVAVAEGVDAVPDAGQMADAIASAIGNGGASVRQRAAELGRSTAAAVAEGGSSSVDLEELVSMLSSPKLTN >ONIVA12G18440.1 pep chromosome:AWHD00000000:12:19615482:19616840:1 gene:ONIVA12G18440 transcript:ONIVA12G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTVMPPKDGRVFVRGLAAGTGEADLLRHFDRYGVVDEVSILRVVGEVDSLTGLPALRFAIVKFGHPEFAPASPSTIGSRSSTARRRHRAAAAAEMGSPAAGGHRRAAAAATASPPVNRWSLVLLQEQSMRKRDDVLQILPRGSSRRVDSFHVFSQVLET >ONIVA12G18450.1 pep chromosome:AWHD00000000:12:19624914:19628356:1 gene:ONIVA12G18450 transcript:ONIVA12G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVASARLLARLLPEVEDSSTNAGGVMAVSFRRKVLYTAVSLLVFLVAGELLLYGVQNYYGGGEHDPRYWMNAMSASLRPTVMALGLVPLLYSEMVVHLCMALKIIGVHDDRLPDHRRRLERTRRLFAMQIAIVSPVAIVLYASAIAGGTPFITTAALVFVFQLIAGGLIAIYLDDLLRKGYGFLSGLSLFSAANCCACIFWKALNHAYPWMMMLATLAFFLLVLIILGNHHITLPPMLSIKYGETNKVVNMLGIWKEMRQYPGHYWPVSGIASYITTPLTVCSRSKRYLVRLLKKTQRTRVSPEQLLQEHDEDESISPRRCRHYMTMAAYIVGICVGLLNLLAGFMGLGGSGPAIMLAVTVIHNLVEGRNTGHVAAFGL >ONIVA12G18460.1 pep chromosome:AWHD00000000:12:19630268:19631913:1 gene:ONIVA12G18460 transcript:ONIVA12G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEFELDEEVFLDIPVDVVHSVVALAAGGGGALQPAQESGGGDALHPERERALHPAEDRGGVALAVEVVPPREPDDEQGTGAGHGGEEEETDIVVGDYMERGGGDDDDVFSSTCLTTVLFTLLSIIVWAVFLYGAAKLCVNYLVPLFRQQPPPSLPSPASHTYDADADMRCPCPCPSGEDGDAYASELSWLPPLGNASAAVLPVDTLRVAAGAGGPYHSVVALLVAAVIFAVLGYLVKHLMTH >ONIVA12G18470.1 pep chromosome:AWHD00000000:12:19632614:19634954:-1 gene:ONIVA12G18470 transcript:ONIVA12G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine hydroxylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JCQ7] MEEEEASAAFGATPEMEGFLCDRLLDAAQPIAERFRALFSLRNLRGDAPRRALLQAARDSSNLLAHEAAFALGQMQDAEAIPALEAVLKDLSLHPIVRHEAAEALGAIGLEKSISLLEESLAVDPAVEVQETCELAIRRIEEQKNTSGVESATVSPFLSVDPALPAKQGLPVEQLRHVVQHSPTCLHCALELLLNEQESMYERYAALFALRNDSGDAAVSAIVAALGVKSALLRHEVAYVLGQLQNKAASDALSTVLKNVDEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKAFEFLFLQTPQVQQES >ONIVA12G18480.1 pep chromosome:AWHD00000000:12:19636591:19638547:1 gene:ONIVA12G18480 transcript:ONIVA12G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEFTFVPLSEWIENWRLNPSPPNPKPIGGAWQGSSGEGVRAARQRLQGRGLARRSDGAVARRRQRPLPGARSSRRPSRRRRGRPVSHHLRALMPGNAAPAAAPDGRLPAAEDEQLALDDVAGISELAKCFFSAHKVPVQDDATSGYLHVFLLTPFLVYNATTGGAGYYVQLCYTLRIGSQGSLIPLWVA >ONIVA12G18490.1 pep chromosome:AWHD00000000:12:19640647:19641039:1 gene:ONIVA12G18490 transcript:ONIVA12G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMIRRLSRVGDCSSPSSPPPFSPAPRRGGGGGGSSGKRSSSAAAAHEGVPEGHVPVYVVGEGDGGEAAAAERFVVRAELLGRPALAELLRRAAQEYGYDHHGPLRIPCRADVFRAALAAAGDEDDDSE >ONIVA12G18500.1 pep chromosome:AWHD00000000:12:19643591:19651390:-1 gene:ONIVA12G18500 transcript:ONIVA12G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFAGVGGGAAGKEAGTVGGGALLELTPHKLALCHLVQVFAPPPQAGVSAPALPFPFESVAHHNRLGLFLFALTRSCEDFREPPLEELLRQLKAVDALVNGWLCEQLTSTLSALTSPDDLFNFFDKLRGVLSAPEGANVEDEFLDPNSQLGVFLRCCILSFNTMTFEGVCHLLANLVEYCNSADTSYDLAEDEDFNSEMEMSNFMDTNMHVRDGVFDKYNQGYAPRSHMVDSSSSLVHAPASLHDFEEANMFKADDNLGPTCLRSRWQLEAYLNQQADILEKDPSSVPLNSFNATMSQLQKLAPELHRNCEEFLVTMVYFDLYSTCQVQFLQYLNALTHDDYVAALDNLHRYFDYSAGMQGLFSRTASPFQDIIVGKYESALLCLGNLHCYFGHPKKALEAFTEAVRVSQMNNDDSCLAYILGAISNLLSKIGMSSTVGTIGSPYSLGNNIGLGTPLSIQQQLLVLLKRSLKRADTLKLTSLLSFDHLSLAKFDLKHVQRPLVSFGPNASTKLRTCPADVCKNLRLSSRVLTDFGTDGLSASNDNGSFSTSWLRNLSAASNSWCSSSKKSGKLLTNDFDNFHFHAQPSPIPASVLQLAGSAYLLRATAWEHYGSAPMVRMNSLVYATCFADAASSSELSLAYVKLIQHLATFKGYSAAFSALKLAEEKFPLSANSHIQLLKMQLLHERALHRGHLKVAQQICDEFAVLSSSVSGVDIELKTEARLRHARTLLAAKQFSQAANVANSLFSTCYKYNMQVENASVLLLLAEIQKNSDNAVLGLPYALASQSFCKSFNLDLLEASATLTLTELWLALGSTHAKRALSLVCQSLPMILGHGGLELRARAHIVLAKCYLSDPKFSEKPVPLCPFMMAVSEDPSAVLDPLNQAAEDLEVLEYHEMAAEAYYLKAMVYNNLGKLDEREEAAASFKEHTLALENPYNEEDSLAC >ONIVA12G18510.1 pep chromosome:AWHD00000000:12:19653105:19655895:-1 gene:ONIVA12G18510 transcript:ONIVA12G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHYTOENE SYNTHASE [Source:Projected from Arabidopsis thaliana (AT5G17230) TAIR;Acc:AT5G17230] MASSSSAAALWTAAPHPHGSCIRIHAIFHQRHQRRGRRPVVVASSVRPLQAASLAVATAPVAVASRRTAAEEAVYEVVLRQAALVEEATHRRGAGAPRWAEEDAVDWGLLLGDAYHRCGEVCAEYAKTFYLGTQLMTPERRKAVWAIYVWCRRTDELVDGPNSSYITPKALDRWEKRLEDLFEGRPYDMYDAALSDTVSKFPVDIQPFKDMIEGMRLDLWKSRYRSFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASTESVYNAALALGIANQLTNILRDVGEDSRRGRIYLPLDELAEAGLTEEDIFRGKVTDKWRKFMKGQILRARLFFDEAEKGVAHLDSASRWPVLASLWLYRQILDAIEANDYNNFTKRAYVNKAKKLLSLPVAYARAAVAS >ONIVA12G18520.1 pep chromosome:AWHD00000000:12:19665610:19666272:1 gene:ONIVA12G18520 transcript:ONIVA12G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAQAQPIRPGDVYPPSAADHHQARRERDKAVAADQGGGGGDLRVTEADLPAAGKRVVTATAGGQVMAQFTVPVPSAEEETTDAVTIGEALQAAGSDDNEPVGLADAAAVQAAEMRATGLAGVVPGGVAAAAQQAAEANMRRRPDGDDGENKATTTTLMKDVVGGAAEALPADMVATREDADRVAAAAARNAARRGGGGGKGVAEAVAAAAEMNEGRMV >ONIVA12G18530.1 pep chromosome:AWHD00000000:12:19666709:19670779:-1 gene:ONIVA12G18530 transcript:ONIVA12G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSPPLSTSLPLSSSFLSLFSSHSRRWGRRESGGGGDEAAAVGDEATTLEKGDACIRRLAFASQPRGSPRLLCLPNPPTVASLSSSSACTAAGSFPRTSSPSAPSPWSPADNDDTSQPAIETSRGTGEPDLSRIVHPPSGNHQGADCAKIEGLLGTTTCVNLQEHFIVVLSLTPSCDFNLGLLAEKNVP >ONIVA12G18540.1 pep chromosome:AWHD00000000:12:19700763:19701304:-1 gene:ONIVA12G18540 transcript:ONIVA12G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSASPAVLVVVVLVATLAAGGANAATFTITNRCSFTVWPAATPVGGGTQLSPGQTWTINVPAGTSSGRVWGRTGCNFDGAGRGGCATGDCGGALSCSLSGRPPMTLAEFTLGGSQDFYDLSVIDGYNVAMSFSCSSGVGLTCRDSRCPDAYLFPSDNSKTHACRGNSNYQVVFCP >ONIVA12G18550.1 pep chromosome:AWHD00000000:12:19711513:19712211:-1 gene:ONIVA12G18550 transcript:ONIVA12G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRVVVLLLLAVAVVSSADAATITVVNRCRYTVWPGALPGGGVRLDPGQSWTLNVAAGTKAARIWPRTGCTFDGAGHGRCLTGDCRNALSCAVSGAPPTTLAEYTLGTASGDATDYFDLSLIDGFNVPMSFQPTSNAARCGRRRGPSCGVDITAQCPPELKVAGGCDSACGKFGGDVYCCRGKYEHECPPTKYSKFFKDKCPDAYSYAKDDRSSTFTCPAGTNYQIIMCP >ONIVA12G18560.1 pep chromosome:AWHD00000000:12:19718277:19718831:1 gene:ONIVA12G18560 transcript:ONIVA12G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAASSSALAVVLLVMAATSLSANAATFAITNQCPYTVWPAATPVGGGVQLNPGDTWTIDVPAGTSSGRVWGRTGCNFNGGSGSCATGDCGGALSCTLSGQPPLTLAEFTLAGAAGGDQDQDYYNLSVIDGFNVGISIGCSSGETLTCREKSCHDAYQYPSDDTKVRTCSGDTSYQIVFCP >ONIVA12G18570.1 pep chromosome:AWHD00000000:12:19722754:19723651:1 gene:ONIVA12G18570 transcript:ONIVA12G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPHLGPRPLLHPAPPPFVGWKLTGTLNPSMSDRSRKSSPPAPGRPSVYSASVVGGSPETVQDSAPAQSPVEQRPRPAPSKEQPVRCQILAAGVPAATLIDHDCPGSSRTPPPGSAPGHTVYEQRSPW >ONIVA12G18580.1 pep chromosome:AWHD00000000:12:19722839:19724547:-1 gene:ONIVA12G18580 transcript:ONIVA12G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGLALCLCPPPSHNNNGVLHLASTTCRHRSRVAAAARHRRRCRRRRGDHHHGERCSYTVWPGALPGGGVRLDPGQSWSISVAAGTPAARIWQRTGCSFDGAGRGRCSTGDCAGALSCTVSGEPPTTLAEYTLGRPGAGGDDFLDLSLIDGFNVPVSFQPTNGGGAGCSKGRGPRCGVDITARCLPKLRVPGGCASACGKFGGDVYCCRGKYEHVCPLTSYSMFFKGLCPDAYSYAKDDRTSTFTCPAGTNYRGLCPDAYSYAKDDRTSTFTCPAGTNYRVDFCPPTNGVGVTAGDEDDEIASA >ONIVA12G18590.1 pep chromosome:AWHD00000000:12:19726635:19733310:-1 gene:ONIVA12G18590 transcript:ONIVA12G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVRAAHGASRVARLAAAAAARHYEEGASSRAASLAASRVARSARAAAASAAASRRRRITELSKPPDNSHRLSFRYNTDPPDDGKCVTEEDLESDEDVWALFERYCKSYKRKYDDAEMVRRFHIFKFNAKTTYCWNNYLHKDVKELARAKKDRDLGLPVDSWYLQKELGEFDDGVTHLPINLPYTPRKKKLGLDVIYSNTINLNRYIYRFVALEYVIFNTRLVFYKTEGVSAAAVSVLHPSTC >ONIVA12G18600.1 pep chromosome:AWHD00000000:12:19733980:19734632:1 gene:ONIVA12G18600 transcript:ONIVA12G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATRSSAGVVPAPTTTSTAAAADTTSKKIPAEGGPHEEYVPAAEVASALPVPIPDGNPVEGAFTNGGLGGNQAFFPGSSGYGTAAGLGAGGFGPYGGGPGTYGYNGPLYFGSAPTRTASPAGSCAAAAVLLLLSAAMYI >ONIVA12G18610.1 pep chromosome:AWHD00000000:12:19744932:19745198:1 gene:ONIVA12G18610 transcript:ONIVA12G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTALTAAAEVASAIAEAAAMSSAEADSSVGRSVVRMARAAASVMEVMAAERAASSSDVASARERRGEEVRSRGERKREDRLYLIP >ONIVA12G18620.1 pep chromosome:AWHD00000000:12:19747411:19751314:-1 gene:ONIVA12G18620 transcript:ONIVA12G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45170) TAIR;Acc:AT5G45170] MAAARCLPLRASPPEVAKAFSSSIPASSSSSSSSSSTTTTTAAASLPLRAAVPPSSGRRIPPLRCASSSQGSASPDIAVLLEVEGVLADVYRFGNRQAFNVAFQNLGLDCANWTEPIYADLVRKASGDEERMLLLFFNRIGWPTSLPTNEKESFMKSVLREKLKALEVFSASDSLPLRPGVEQFIDDVLNEGVPVAILTTYGRNGEKTSRSIIEKLGQERTSKIHIVGKEEVERSLYGQLVLGEGVASSLDEQLVKEAQKAASAEKQRIAEEVASILKLSVDINAASKSSEKIIVTLRAGSEYVGRDVQNCVLVAGSQSGVLAAERIGMPCIVVRSSLTARAEFHSAKAVMDGFGGTDLTISKLLSKKWS >ONIVA12G18630.1 pep chromosome:AWHD00000000:12:19753569:19755699:-1 gene:ONIVA12G18630 transcript:ONIVA12G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKRLERIIKEIDSSPISPGGSGAALLAEDDDLVFPGFRFHPTDQELVGFYLTRKVEKKPFSIDIIKEIDIYKHDPWDLPKVSHGAVALQGSSSSSSLSTAAAAEKECGYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSSSLAAAAAGAGDCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPSSISDSDHLQDASETWTICRIFKRSMTYTKGRAAAAASMNKRISHQLQHIHHHQQQFYYHEVVHDHGHHHRRHLQHYAGSASMAAAAANIVDVIDHSSDAETTTRSHSHSQSHLVADIRHRQSPFMLDFHAGTAVSSSSTAAAVWSEVMSFSRDGGSSSGSSWDELGRIMDISTNSANNNYYL >ONIVA12G18640.1 pep chromosome:AWHD00000000:12:19762002:19763007:-1 gene:ONIVA12G18640 transcript:ONIVA12G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRLVASAARRAAAACRAARAAVARHDAAAASRAARLAEAAACRAARLAAAAAAADVSDRLSIRYSSEPPDDGKCLTAEDIESDEAVWSLYERFCKSYKLKRDHAEMARRFETFKSSANSVHTWSSYEHKDLDGLACAKERRDLGLSVERWFLLEELHPHDDARERIIF >ONIVA12G18650.1 pep chromosome:AWHD00000000:12:19768583:19771907:1 gene:ONIVA12G18650 transcript:ONIVA12G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNGNKIRNAKLVLLGDVGTGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAASFTRAKKWVQELQAQGNPNTIMALAGNKADMVEARQVPAEEAKTYAQENGLFFMETSAKTAINVNDVFHEIAKRLLQGQQAQDTPAGMVLNQRPAERMTTFTHLYLSVRKMEKYDQIL >ONIVA12G18660.1 pep chromosome:AWHD00000000:12:19772275:19775323:-1 gene:ONIVA12G18660 transcript:ONIVA12G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19670) TAIR;Acc:AT4G19670] MEERLADAEGGFTCNSRGEDDFRSCCGDEDEWEDTEESFTAGVAKGELDESSVRLFFKGVSSPEAEGKKLSGIGVVMERSPGVPMLQVQKKLDFYVDELVADHLALMDGLSVALQNGIRKIFAFTNSEKLYFQARIAEAEILEDQLLVALGHRILELVEKLEDFDIILLPSFELERPLQLAKEAIGIMYLSPYEVGTCSICCEEKRGAQMIKVGCAHTFCYSCLTSYTQEKLQTSKVPVRCPQLRCKYHISASECKSFLPVSCYDTLERAFAEAGTSDMERFYCPFPNCSVLLDLSQHFSRASTSSQSDLNCVECPECHRDICINCGVPWHVMMGCDEYQSLPVDERDAGDLSLHRLAQNNRWRRCQRCRRMIELTQGCFHMNCWCGHEFCYSCGAEYTNGIQTCQCVFWDEESIEASSAAHSTQASEIWAWDTFDCMPTAIEGYSEQERAQLALIQRFLSGGFNLGEPPSQSPPRCADSYIIDTMKDLHQLPWLERFVSVISDSYNEDYIQ >ONIVA12G18670.1 pep chromosome:AWHD00000000:12:19785507:19789926:1 gene:ONIVA12G18670 transcript:ONIVA12G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAHRLSGEYRVLFWKTGKRDQGAVYYVGTLGSSKKPRCIGLPMASDEMKPVLSRGVICIEEHPPVILHGCLHWDPGDVYDKKVVVFDPVAESFIRLIPSPTAFRYDANLHEMDGMLGISRTNDRTRIAKLWVLQDYELGVCKGKLVDKFQWDGVAPSVTGHWFKESLVSHPFFQLQDNAHERLGKVDELLVNDNFNLTGFTVKIAYSLLLYYHLLDSNIVDKPTENIPEEEENVVTP >ONIVA12G18680.1 pep chromosome:AWHD00000000:12:19800693:19811148:1 gene:ONIVA12G18680 transcript:ONIVA12G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGRGRRARGERRAAAPSVPEELLWEILVRLPAKDVLRCRAVCRSWCRLATSADFLLAHHRLQPALPLVSFHGELSEASVETFDLRRTPAERRPVLAFNDYSHRKNFTIHASCDGLLLLSLANHRFYICNPATRQCVVLPGLTGATVAALYPLRPSGEYRVLFWKDVNTSTIDAYYVLTVGSSEKPRCIGMPVASESMKAVLRHGIYIANKHLPVMLHGCLHMGPGCILGSAIIVFDPVAESFVRLMPPPTALSRANLHDIDGTLGISSTFDDRSGRVAKLWELQDYEMGIWSLKYQIKLPVQEMTRITDSKYYYVTVVSENGDVLIRCRHPWYLFHCCLDEELRRESLPTSRSSSEKGVTPWRAVRSSAWCATPPSRTVVLVHADAFPVPSRAAVPPSPFPVTVATAGNLRANPVSYAVELVRAPKGGTMWKAGRGRRARGERRAAAPSVPEELLWEILVRLPAKDVLRCRAVCCSWRRLATSADFLLAHHWLQPALPLVSLHGEVSDASVESFDLRRTPAERRPVLAFNDYSHRKNFTIHASCDGLLLLSLANHHFHICNPATRQCALVPGLTGAIVAALYPHRPSGEYLQLIYYVLTVGSSEKPRCIGMPVASESMKPVLTRGIFPANRHPPVMLHGCLHIGPGCIRPSGVIFDPVAESFVRLMPSPTASSGANLHDMDGTLGISSTFDDRSGTVRIAKLWELQDYEMGIWSLKYQIKLPAQEMAHITDSKYYFVRVVSENGDVLMLCHHPWYLFHCDSKGKLLGKFLWDGVCPDITGHWFKESLVRHAFFQMQDGSCWGQAISQNYNIQTKQASDGSGRRRCDRDNIGCGGADGWTVGNGRLVVAGTTMVVGEREASGREASTGFAGNSMKLA >ONIVA12G18690.1 pep chromosome:AWHD00000000:12:19814762:19815058:-1 gene:ONIVA12G18690 transcript:ONIVA12G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCVVLLLVLLICSSVLALTSGVGLHFELTHVDAKGGRYTTTERARRAVDRSRRRLAAFMSSAGHGDVRAPVQRAQGEYFTEYLIGDPPQRAEAIR >ONIVA12G18700.1 pep chromosome:AWHD00000000:12:19823443:19833004:-1 gene:ONIVA12G18700 transcript:ONIVA12G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLPPARAYAKVDDDIPVMVSITVPSGISSVQRKPVDLVVVLHVRSDWKVPKNCMKLLVEAVSIVAETLGDDDRLAILPVQPSLELVSAAESREAASAVSTMVIKSVTFEEKRDGVNTLAASLLSAESILFGRGQYDKENRAGHIIVISNTSDDGVAHVESLLQPWRFPSVHAFSFRNSRNARTMHNIVASSYDCTYAILDDEHGNTNDAFRTTIRRITSSVQIEVKLVCEEKNVVLSSIQAPLVSYFISSDKKAITIWANAHPNPAANSVATTNYIVNIRNKGQATLFLDQVPNLLKVENVQNNNQLQSSLAENVVVAIHEDTMAEMVRLEAIKVVDRISANDNQDWEQRHAAADELRGWWTMTRSNKLYAKVDWKAIAISRLAAEMQEMEIRLYNDYLWKEYMLSWLSHQRWQLPLPPLFIDRQATDDVPVQLKINAKRLGGTNLADQNRQKHGVAVLACVKVPETGLAKQKTPFVDLVVILDVGCQAIEMEGKARERLQVLSEATGVILDKLKHKDRLAIITVQSSLTKHGASLLEMSDQGREQTFTKIQSFINLVARKSTKHATQTWREKLKNTVKLARNCIHISSVNSSLPTPTFPASQHANSTTARSAPAAADGISCKLSKVLLTNAIQPVQKSISDYLTCSNHENLQHAIFLRKAGMLDNRPQEEKDRMGAIIVISDNHDNSICMEALSTNYNIHTFGFNGMHNVRAMYNIASRSNGMYDLLNDDRNLITEAFISCMNKITSIIVLGTEVDMICSSSRSPGVALSTIECGQFESFMIDNARKSTIMVGALHATSVKNFLFYMDNVREDDHDNIFKLFTVHVRCLPTPNTVDEKLNNQVEVIWNGIDGENNDDVVASIARVAAVEIITRITDPNYDQKLVGRLSREMETMQDIILRVMEKINGNLQYVERADPSYNKKLEQRLPWEMLLKVYEYKQAAEKVLRERKELAKTAQRRVFQHSKISNVHLEHPGYTTLMEESLTKELEEMEANLLREIFDPNYYIKLVDRLVRVLSPEGSGGSTRYAGMRHKLTLVIENMEANLSGLINGPTNNKQLVEQHVSNMCKSCSNHARAHGQVRLAMEMEKMNIRLGKEMVTMICRQIIVPVYYKNLMARSAMLTCLTDSESQRANGNALYALTIEKMEITLVMEMDKVEANPERMDKIVSNYNEKMVVLLVREMFLEVSKYAQVAGEARLSREMEDMEDSLVYKATTDPDYPDYYKKLVSNKLSYMLSWLSFQGSCEQPPH >ONIVA12G18700.2 pep chromosome:AWHD00000000:12:19823443:19833004:-1 gene:ONIVA12G18700 transcript:ONIVA12G18700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLPPARAYAKVDDDIPVMVSITVPSGISSVQRKPVDLVVVLHVRSDWKVPKNCMKLLVEAVSIVAETLGDDDRLAILPVQPSLELVSAAESREAASAVSTMILFGRGQYDKENRAGHIIVISNTSDDGVAHVESLLQPWRFPSVHAFSFRNSRNARTMHNIVASSYDCTYAILDDEHGNTNDAFRTTIRRITSSVQIEVKLVCEEKNVVLSSIQAPLVSYFISSDKKAITIWANAHPNPAANSVATTNYIVNIRNKGQATLFLDQVPNLLKVENVQNNNQLQSSLAENVVVAIHEDTMAEMVRLEAIKVVDRISANDNQDWEQRHAAADELRGWWTMTRSNKLYAKVDWKAIAISRLAAEMQEMEIRLYNDYLWKEYMLSWLSHQRWQLPLPPLFIDRQATDDVPVQLKINAKRLGGTNLADQNRQKHGVAVLACVKVPETGLAKQKTPFVDLVVILDVGCQAIEMEGKARERLQVLSEATGVILDKLKHKDRLAIITVQSSLTKHGASLLEMSDQGREQTFTKIQSFINLVARKSTKHATQTWREKLKNTVKLARNCIHISSVNSSLPTPTFPASQHANSTTARSAPAAADGISCKLSKVLLTNAIQPVQKSISDYLTCSNHENLQHAIFLRKAGMLDNRPQEEKDRMGAIIVISDNHDNSICMEALSTNYNIHTFGFNGMHNVRAMYNIASRSNGMYDLLNDDRNLITEAFISCMNKITSIIVLGTEVDMICSSSRSPGVALSTIECGQFESFMIDNARKSTIMVGALHATSVKNFLFYMDNVREDDHDNIFKLFTVHVRCLPTPNTVDEKLNNQVEVIWNGIDGENNDDVVASIARVAAVEIITRITDPNYDQKLVGRLSREMETMQDIILRVMEKINGNLQYVERADPSYNKKLEQRLPWEMLLKVYEYKQAAEKVLRERKELAKTAQRRVFQHSKISNVHLEHPGYTTLMEESLTKELEEMEANLLREIFDPNYYIKLVDRLVRVLSPEGSGGSTRYAGMRHKLTLVIENMEANLSGLINGPTNNKQLVEQHVSNMCKSCSNHARAHGQVRLAMEMEKMNIRLGKEMVTMICRQIIVPVYYKNLMARSAMLTCLTDSESQRANGNALYALTIEKMEITLVMEMDKVEANPERMDKIVSNYNEKMVVLLVREMFLEVSKYAQVAGEARLSREMEDMEDSLVYKATTDPDYPDYYKKLVSNKLSYMLSWLSFQGSCEQPPH >ONIVA12G18700.3 pep chromosome:AWHD00000000:12:19823443:19833004:-1 gene:ONIVA12G18700 transcript:ONIVA12G18700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLPPARAYAKVDDDIPVMVSITVPSGISSVQRKPVDLVVVLHVRSDWKVPKNCMKLLVEAVSIVAETLGDDDRLAILPVQPSLELVSAAESREAASAVSTMILFGRGQYDKENRAGHIIVISNTSDDGVAHVESLLQPWRFPSVHAFSFRNSRNARTMHNIVASSYDCTYAILDDEHGNTNDAFRTTIRRITSSVQIEVKLVCEEKNVVLSSIQAPLVSYFISSDKKAITIWANAHPNPAANSVATTNYIVNIRNKGQATLFLDQVPNLLKVENVQNNNQLQSSLAENVVVAIHEDTMAEMVRLEAIKVVDRISANDNQDWEQRHAAADELRGWWTMTRSNKLYAKVDWKAIAISRLAAEMQEMEIRLYNDYLWKEYMLSWLSHQRWQLPLPPLFIDRQATDDVPVQLKINAKRLGGTNLADQNRQKHGVAVLACVKVPETGLAKQKTPFVDLVVILDVGCQAIEMEGKARERLQVLSEATGVILDKLKHKDRLAIITVQSSLTKHGASLLEMSDQGREQTFTKIQSFINLVARKSTKHATQTWREKLKNTVKLARNCIHISSVNSSLPTPTFPASQHANSTTARSAPAAADGISCKLSKVLLTNAIQMLDNRPQEEKDRMGAIIVISDNHDNSICMEALSTNYNIHTFGFNGMHNVRAMYNIASRSNGMYDLLNDDRNLITEAFISCMNKITSIIVLGTEVDMICSSSRSPGVALSTIECGQFESFMIDNARKSTIMVGALHATSVKNFLFYMDNVREDDHDNIFKLFTVHVRCLPTPNTVDEKLNNQVEVIWNGIDGENNDDVVASIARVAAVEIITRITDPNYDQKLVGRLSREMETMQDIILRVMEKINGNLQYVERADPSYNKKLEQRLPWEMLLKVYEYKQAAEKVLRERKELAKTAQRRVFQHSKISNVHLEHPGYTTLMEESLTKELEEMEANLLREIFDPNYYIKLVDRLVRVLSPEGSGGSTRYAGMRHKLTLVIENMEANLSGLINGPTNNKQLVEQHVSNMCKSCSNHARAHGQVRLAMEMEKMNIRLGKEMVTMICRQIIVPVYYKNLMARSAMLTCLTDSESQRANGNALYALTIEKMEITLVMEMDKVEANPERMDKIVSNYNEKMVVLLVREMFLEVSKYAQVAGEARLSREMEDMEDSLVYKATTDPDYPDYYKKLVSNKLSYMLSWLSFQGSCEQPPH >ONIVA12G18700.4 pep chromosome:AWHD00000000:12:19823443:19833004:-1 gene:ONIVA12G18700 transcript:ONIVA12G18700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLPPARAYAKVDDDIPVMVSITVPSGISSVQRKPVDLVVVLHVRSDWKVPKNCMKLLVEAVSIVAETLGDDDRLAILPVQPSLELVSAAESREAASAVSTMVIKSVTFEEKRDGVNTLAASLLSAESTWREKLKNTVKLARNCIHISSVNSSLPTPTFPASQHANSTTARSAPAAADGISCKLSKVLLTNAIQMLDNRPQEEKDRMGAIIVISDNHDNSICMEALSTNYNIHTFGFNGMHNVRAMYNIASRSNGMYDLLNDDRNLITEAFISCMNKITSIIVLGTEVDMICSSSRSPGVALSTIECGQFESFMIDNARKSTIMVGALHATSVKNFLFYMDNVREDDHDNIFKLFTVHVRCLPTPNTVDEKLNNQVEVIWNGIDGENNDDVVASIARVAAVEIITRITDPNYDQKLVGRLSREMETMQDIILRVMEKINGNLQYVERADPSYNKKLEQRLPWEMLLKVYEYKQAAEKVLRERKELAKTAQRRVFQHSKISNVHLEHPGYTTLMEESLTKELEEMEANLLREIFDPNYYIKLVDRLVRVLSPEGSGGSTRYAGMRHKLTLVIENMEANLSGLINGPTNNKQLVEQHVSNMCKSCSNHARAHGQVRLAMEMEKMNIRLGKEMVTMICRQIIVPVYYKNLMARSAMLTCLTDSESQRANGNALYALTIEKMEITLVMEMDKVEANPERMDKIVSNYNEKMVVLLVREMFLEVSKYAQVAGEARLSREMEDMEDSLVYKATTDPDYPDYYKKLVSNKLSYMLSWLSFQGSCEQPPH >ONIVA12G18710.1 pep chromosome:AWHD00000000:12:19839712:19847331:1 gene:ONIVA12G18710 transcript:ONIVA12G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVRIEEALMQEKTIHATIARKLGVNLHLSRSFTLQVTLLSLAWIRRHSIAIMPKLVLWVAYQLADSTALFTLGHMAISSRSREEQPLMAFWAPFLILHLGGQDNITAYSFEDNRLWLRHLQTLVVQVMGASYVLYKYMPGKETLVMAAAVLIFVVGILKYGERIWALREATFDNIARCLDQQEDYASAREREGDDLLQHVLQGRSSMDEENVLIGAHGLLDICRGLFIGSRGGRRGYLRHVLLSFQMYGRLDKLMELELSLMYDILYTKATVIHTWIGCCIRVIALAATVTATFLFLLSSKHGHSRKDLAVTYVLLAGALLLEMISMVRAVFSTWTVVFLYKLKWYWLYGEVLSIRRVFRVATHRRWSGTVGQHNFLWASANAVDASAAAEAKEEPPASEPTGYYCLDTMDRCCCHRTKLSDSTKEQIMRKILEMHENRQEIGSQPGVRAFKALGLDGSLGWRTIQDIGFEDSIMAWHLASDICLFSDRSNKLDLHEGVAVLSNYMMFLLVHRRYMLPGPVRRTRYEQVRDDLNKFMHRKGRARSPQDLFVWALRRGLHDHLNSDDPPAQYDTGVRLAAVLYHRLDRLDIIFGVWVEMLSYVACNCSRESHARQLSSGGELVTIVWLMARLVDMS >ONIVA12G18720.1 pep chromosome:AWHD00000000:12:19878143:19879543:1 gene:ONIVA12G18720 transcript:ONIVA12G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JCT5] MDVGCESSSKLHLVILPWLAFGHLLPYLELAERVASRGHRVSFLSTPRNIARLPPVSPAAAPRVDLVALPLPHVDGLQGGAESTNDVPSDKFELLWKAFDAMGAPFAEFLGAACAEDAGNKRPDWIIADTFHHWAPLVALQHKIPCAVLLPSASVVASSISQSQGQRVRLPCYEQKQNERIFTVNGASGMSVGERCTLAMERCTIMAMRSCPEWEPEPFRQVVTFMKKPLVPLGLLPPSPDGGRRRAAETDDSTVRWLDAQLPDSVVYVALGSEVPLRVELVHELALGLELAGTRFLWALRKPHGLDDSDVLPLGFLQRTHGHGHVAMGWVPQTTILAHAAVGAFLTHCGRNSLVEGLLFGNPLIMLPIIGDQGPNARSMEVNMVGLQVRRDDKDGSFDRHGVAAAVRAVMVEEETRRVFVANALKLQEIVADKELHERYIDGFIQQLIFHVADGNSDTAAPDPSS >ONIVA12G18730.1 pep chromosome:AWHD00000000:12:19896821:19899325:1 gene:ONIVA12G18730 transcript:ONIVA12G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLTPQTLAAGDLVWAKSKRRPWWPAHLLPDGLVSYLADPDPDHAPRRASDLRPFAHPDADLMARATTTRAFVAAAWVAALANGWGPAGPGHYPRRPVADLVDKIDLDVPAAWDAQDQDTRPFEVPQETPTQKKRSVAELMDNDDDKATPHHQDSATTISKSNKRERKKSKYLSPPYTNLGGIALVQKASDSPKPSPPAAAEDDEYKVLPKPLQENVSPQEVLLFVRRTGLDVFHRIRSMKAVHAFLSLYTSSLLVEDADYKSFIAHECSTENAFTNAAVETSDSFVNSRAALKPGKCALKRTRKQGQNEGGSSSTKTEKRGKKSPAAALGCGVTITPAIPIRQARAEDIRSPTKPENGARGMAVGVQLEKIKPDFKSPTLASAKVAKEPGQEQDKANGGSVLKTPANACKNLSDQPAKQNDAGMLEARQLHTNIQAHPGVQGIVVDVPVRCVPVEAVKSEANIPLHRDGQNAAVDVTDKSAPLPKSEDVSLSQPTDGNKEHASAEVRTVQESYASLEAMVPEMLMKAEVANGTNVAAASNSLKDEGQRADQPSLKKMVPGANVNHSSGEATNSAFPDIAYSTPKKKKKKIAEHFGNPAALLLDFAKGVVLPSKEELLSAFGKFGLVIESETDIVKDTHSARVVFGKSAEAEAAYNSAETLGMFGPPFATPRLHYLPPIKLSVPSPASKPPLTDIRKNLERMISSLAGHSSVKKATPSDGSKQMPENLLGEMQGLLAKVDKMLTGPSATASNPH >ONIVA12G18740.1 pep chromosome:AWHD00000000:12:19900865:19904831:1 gene:ONIVA12G18740 transcript:ONIVA12G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT5G20170) TAIR;Acc:AT5G20170] MEEAVRVDLDKLPIKRLHAIDEAGNEHYPPDTSSEEQRLSAIRRIDFSWVIDKDAKKPKKDTAQQQQQQAWPWQGMMESLQQAQQELSVVIDLISTVEANDAVAVAGMLKPKSLPTETLVDTAVSAATKLQRVRHLSRYFKQSAKTMEQQFQKESRLQQNWKVKRQRFGGSGPGSEGFMFDLIDTSQLDTAAMPRLSSLPLIPIDQDSSGTLSVQVPQKSCRFLSLNFRGDSANGVENYGHKLKDGISSITSSETDNDDVNKSIKHAHSILRNIHKSIFEEQVFDMVIRETFVQSQGINVTGMREDFLQLAIGQECSLCLSLAHSGDGSDSEMVDHEDHANSEDASNLVLVTMNGKLDPLRKDVTGFPNPRSLEIYLLQLFHENILRKVREKSLNIGRYQSPAQVAGDDYGLLGHFCLTVAHRIFSNKVLVELESVVSRVPYLHLRSLPTWHSRTSSWSLCLKVPQPILAADRIAKPSDNHELKYKSRSQFNTKVIVKDSQISLMGEGSPSIAGSLTGKPSDGYLVNSYNCDLEDLPTMLLQQVASQVIHWLHEEALVLGMNVTRDFLCLYFDLEQGETLGLVANVDPDDTCGCISWYLTIDHPTEDGKMSADSQEFEKRRFLGYVSLEVLYSTLMDLINLCNAGAHH >ONIVA12G18750.1 pep chromosome:AWHD00000000:12:19908681:19915959:1 gene:ONIVA12G18750 transcript:ONIVA12G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JCT8] MFLCSSTFLSTSSFICGVESHSYHSSLCDSPQPSHLLHLHLQLPPSNSIQIAIAQWWKIHLRGASMAEKEGNLDAVLKEAVDLENIPLEEVFENLRCSREGLTTQQAQQRLEIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIKAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIDVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGDGKMYRVSKGAPEQILHLAHNKPEIERRVHAVIDKFAERGLRSLAVAYQEVPEGTKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNLIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDAKMFSEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >ONIVA12G18750.2 pep chromosome:AWHD00000000:12:19908681:19915959:1 gene:ONIVA12G18750 transcript:ONIVA12G18750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JCT8] MFLCSSTFLSTSSFICGVESHSYHSSLCDSPQPSHLLHLHLQLPPSNSIQIAIAQWWKIHLRGASMAEKEGNLDAVLKEAVDLENIPLEEVFENLRCSREGLTTQQAQQRLEIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIKAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIDVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGDGKMYRVSKGAPEQILHLAHNKPEIERRVHAVIDKFAERGLRSLAVAYQEVPEGTKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNLIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDAKMFSEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >ONIVA12G18760.1 pep chromosome:AWHD00000000:12:19916184:19923374:1 gene:ONIVA12G18760 transcript:ONIVA12G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G39940) TAIR;Acc:AT5G39940] MPQWPSLQLPAAAAAAARRATPFALCRSSSSSVSASASNQDLVACSWQKEDEAEAQSLVVVGGGAAGVYASIRAKSLAPHLNVLVFDKGRFLSKVKISGGGRCNVTNGHHLEPLGMARNYPRGHKELRGSFFKNHGPQDTMRWFSDHGVELKTEDDGRVFPVTDNSASIVDCLLNEARRLGVSLQAGKAVTGASVTENGKFVVKVEKRTVDFVDHVNAKYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGWKSQIKWKHGNPFRIHVTFPIVKAKLKLDGIKRSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFLHKDHHAKHKINNSFPMEFALVKRFWKFLLEQESLDGDMHWASIPNNNLNTVALRLKQWMFEVVAKGQFKDEFVTAGGVPLSEISLSTMESKKQPNLFFAGEVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSNMRQQQPYLQLDGS >ONIVA12G18760.2 pep chromosome:AWHD00000000:12:19916184:19923374:1 gene:ONIVA12G18760 transcript:ONIVA12G18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G39940) TAIR;Acc:AT5G39940] MPQWPSLQLPAAAAAAARRATPFALCRSSSSSVSASASNQDLVACSWQKEDEAEAQSLVVVGGGAAGVYASIRAKSLAPHLNVLVFDKGRFLSKVKISGGGRCNVTNGHHLEPLGMARNYPRGHKELRGSFFKNHGPQDTMRWFSDHGVELKTEDDGRVFPVTDNSASIVDCLLNEARRLGVSLQAGKAVTGASVTENGKFVVKVEKRTVDFVDHVNAKYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGWKSQIKWKHGNPFRIHVTFPIVKAKLKLDGIKRSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFLHKDHHAKHKINNSFPMEFALVKRFWKFLLEQESLDGDMHWASIPNNNLNTVALRLKQWMFEVVAKGQFKDEFVTAGGVPLSEERYTFVPELATLWISKRKIDLSMTVVQVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSNMRQQQPYLQLDGS >ONIVA12G18760.3 pep chromosome:AWHD00000000:12:19916184:19923374:1 gene:ONIVA12G18760 transcript:ONIVA12G18760.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G39940) TAIR;Acc:AT5G39940] MPQWPSLQLPAAAAAAARRATPFALCRSSSSSVSASASNQDLVACSWQKEDEAEAQSLVVVGGGAAGVYASIRAKSLAPHLNVLVFDKGRFLSKVKISGGGRCNVTNGHHLEPLGMARNYPRGHKELRGSFFKNHGPQDTMRWFSDHGVELKTEDDGRVFPVTDNSASIVDCLLNEARRLGVSLQAGKAVTGASVTENGKFVVKVEKRTVDFVDHVNAKYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGVTFPIVKAKLKLDGIKRSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFLHKDHHAKHKINNSFPMEFALVKRFWKFLLEQESLDGDMHWASIPNNNLNTVALRLKQWMFEVVAKGQFKDEFVTAGGVPLSEISLSTMESKKQPNLFFAGEVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSNMRQQQPYLQLDGS >ONIVA12G18760.4 pep chromosome:AWHD00000000:12:19916184:19923374:1 gene:ONIVA12G18760 transcript:ONIVA12G18760.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G39940) TAIR;Acc:AT5G39940] MPQWPSLQLPAAAAAAARRATPFALCRSSSSSVSASASNQDLVACSWQKEDEAEAQSLVVVGGGAAGVYASIRAKSLAPHLNVLVFDKGRFLSKVKISGGGRCNVTNGHHLEPLGMARNYPRGHKELRGSFFKNHGPQDTMRWFSDHGVELKTEDDGRVFPVTDNSASIAGKAVTGASVTENGKFVVKVEKRTVDFVDHVNAKYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGVTFPIVKAKLKLDGIKRSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFLHKDHHAKHKINNSFPMEFALVKRFWKFLLEQESLDGDMHWASIPNNNLNTVALRLKQWMFEVVAKGQFKDEFVTAGGVPLSEERYTFVPELATLWISKRKIDLSMTVVQVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSNMRQQQPYLQLDGS >ONIVA12G18760.5 pep chromosome:AWHD00000000:12:19916184:19923374:1 gene:ONIVA12G18760 transcript:ONIVA12G18760.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G39940) TAIR;Acc:AT5G39940] MPQWPSLQLPAAAAAAARRATPFALCRSSSSSVSASASNQDLVACSWQKEDEAEAQSLVVVGGGAAGVYASIRAKSLAPHLNVLVFDKGRFLSKVKISGGGRCNVTNGHHLEPLGMARNYPRGHKELRGSFFKNHGPQDTMRWFSDHGVELKAGKAVTGASVTENGKFVVKVEKRTVDFVDHVNAKYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGVTFPIVKAKLKLDGIKRSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFLHKDHHAKHKINNSFPMEFALVKRFWKFLLEQESLDGDMHWASIPNNNLNTVALRLKQWMFEVVAKGQFKDEFVTAGGVPLSEISLSTMESKKQPNLFFAGEVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSNMRQQQPYLQLDGS >ONIVA12G18760.6 pep chromosome:AWHD00000000:12:19916184:19923374:1 gene:ONIVA12G18760 transcript:ONIVA12G18760.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G39940) TAIR;Acc:AT5G39940] MPQWPSLQLPAAAAAAARRATPFALCRSSSSSVSASASNQDLVACSWQKEDEAEAQSLVVVGGGAAGVYASIRAKSLAPHLNVLVFDKGRFLSKVKISGGGRCNVTNGHHLEPLGMARNYPRGHKELRGSFFKNHGPQDTMRWFSDHGVELKTEDDGRVFPVTDNSASIVDCLLNEARRLGVSLQAGKAVTGASVTENGKFVVKVEKRTVDFVDHVNAKYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGVTFPIVKAKLKLDGIKRSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFLHKDHHASLDGDMHWASIPNNNLNTVALRLKQWMFEVVAKGQFKDEFVTAGGVPLSEERYTFVPELATLWISKRKIDLSMTVVQVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSNMRQQQPYLQLDGS >ONIVA12G18760.7 pep chromosome:AWHD00000000:12:19916184:19923374:1 gene:ONIVA12G18760 transcript:ONIVA12G18760.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G39940) TAIR;Acc:AT5G39940] MPQWPSLQLPAAAAAAARRATPFALCRSSSSSVSASASNQDLVACSWQKEDEAEAQSLVVVGGGAAGVYASIRAKSLAPHLNVLVFDKGRFLSKVKISGGGRCNVTNGHHLEPLGMARNYPRGHKELRGSFFKNHGPQDTMRWFSDHGVELKAGKAVTGASVTENGKFVVKVEKRTVDFVDHVNAKYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGVTFPIVKAKLKLDGIKRSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFLHKDHHAKHKINNSFPMEFALVKRFWKFLLEQESLDGDMHWASIPNNNLNTVALRLKQWMFEVVAKGQFKDEFVTAGGVPLSEERYTFVPELATLWISKRKIDLSMTVVQVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSNMRQQQPYLQLDGS >ONIVA12G18760.8 pep chromosome:AWHD00000000:12:19916184:19923374:1 gene:ONIVA12G18760 transcript:ONIVA12G18760.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G39940) TAIR;Acc:AT5G39940] MPQWPSLQLPAAAAAAARRATPFALCRSSSSSVSASASNQDLVACSWQKEDEAEAQSLVVVGGGAAGVYASIRAKSLAPHLNVLVFDKGRFLSKVKISGGGRCNVTNGHHLEPLGMARNYPRGHKELRGSFFKNHGPQDTMRWFSDHGVELKAGKAVTGASVTENGKFVVKVEKRTVDFVDHVNAKYVLVATGSSQQGYSIAAQFGHSIIAPVPSLFTFKITDKRLADLSGVTFPIVKAKLKLDGIKRSAPELTQIGPMLVTHWGLSGPVVLRLSAWGARELHQYNYQAKLTVDFIPDIHIEDVKRILFLHKDHHASLDGDMHWASIPNNNLNTVALRLKQWMFEVVAKGQFKDEFVTAGGVPLSEERYTFVPELATLWISKRKIDLSMTVVQVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLASSNMRQQQPYLQLDGS >ONIVA12G18770.1 pep chromosome:AWHD00000000:12:19920744:19925027:-1 gene:ONIVA12G18770 transcript:ONIVA12G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWNLDEEEAEEGLPNAAAVQLGLPPCDCWVARLALEPRARRRRLERPKRPLRSFLRLRYPIRGLPFMLRAKQLGTLTQCARSFYVNGSRCGSTDGASCTCPEDETSAPKRQTANGIEQKFHSTRRPSVKAQTPSVQHVVGSIGRATGYPAPAVHIVPSNSSQETEPASGNTSNHPRTPQVLGNDYVQPSKQTARSISHSAIAGAGVYTELVNLKSTSNNGGTNQAPQMATSYSYQPLSDAQPSNIKSHNQHSLPEAKMPYNPSVQNNLGKGVSRSGYAKSNQSFSGPPAMVANSPSQIKNQRHPGQRHTNYHSNNFSTDARWDEVQTQNLSAPAMYTGSGHKSQALAGTIKSHGGGPQSNLRSLKSLRSVEQYYHTLQQMKWGPMTEHVLDNLHCKIDAFQANQVLKLLHDHTIALGFFQWLKRQPGFKHDGHSYTTMIGILGQARQFGTMRKLLDEMNSVHCKPTVVTYNRIIHAYGRANYLREAVKVFEEMQKAGYEPDRVTYCTLIDIHAKGGYLEVAMDLYTRMQEVGLSPDTFTYSAMVNCLGKGGHLAAAYKLFCEMVENGCTPNLVTYNIMIALQAKARNYENVVKLYKDMQVAGFRPDKITYSIVMEVLGHCGHLDEAEAVFIEMRHDWAPDEPVYGLLVDLWGKAGNVDKALGWYHAMLQDGLQPNVPTCNSLLSAFLKINRFQDAYSVLQNMLAQGLVPSLQTYTLLLSCCTEAQAQMGLCCQLMAITGHPAHMFLLYLPDAEPGGQNVRDHTRYFLDMMHSEDRESKRGLMDAVIDFLHKSGLKEEAGFIWEVAAQRNVYPDSVREKDPSYWLINLHLMSEGTAVTALSRTLAWFHRQILTMGKCPERIDIVTGWGRRSRVTGSSLVRQSVQKLLHLFEFPFVTTRGNTGCFVGCGEPLNRWLHNPYVERMHLL >ONIVA12G18780.1 pep chromosome:AWHD00000000:12:19928998:19933016:1 gene:ONIVA12G18780 transcript:ONIVA12G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRWSALAASELIQCFAGSSYCFAVYSPALKASQSYDQSALDKVAFFKDVGANAGILSGLLAAWAPAGRRRPWLVLLAGAALCAVGYLPIWLAVTGVAPAPLPLLCLYMLLAAQAQTFLNTADVVTAVENFPDRRGTVIGIMKGFLGLSGAILVQVYRTIHIAPSTFILMLAILPTAITLLLMYFVDVHRSDHQRYNKKFMDAFSLIAITVAGYLMIIIICDQVLKIISSAVQTVCFVILLLLVLSPVAIAVKAQKTESMKQEEETRDQAERIGLLQEQISTNASSSSDERCQELSTGKENMNLVQAMCKLNFWLLFLAMSCGMGSGLATVNNISQIGGSLGYSTKETSTLVSLWSIWNFSGRFGAGYISDHFLRSRGVGRPFFIGVTLLVMSLGHAIIASGILASLYVGSVLVGLCYGCQWALMPSITSEIFGLNHFGTIFNVVAVASPVGSYILSVRVVGYIYDMESPPGARACSGNHCFALSFVIMACVCVVGSAVAFMLFVRTRRFYKRVVYARLQSFL >ONIVA12G18790.1 pep chromosome:AWHD00000000:12:19934178:19936092:-1 gene:ONIVA12G18790 transcript:ONIVA12G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMMENLGSVSTLWSALVSVLLFWPVVNNHVPAGLRQWLSTMVDKLTSYLSPYLHVTISEYGHQRFRRSDFFLAVEAYLSHACARRARKLRADLGKDARTVQITVDDHQEVTDSFRGATIWWYPSKKPPRTNVISFYPRDDDARFYRLVFHRRHRDLVLDAYLPHVLAEGRAVTIRNRQRRLFTNNAPGASTSYYSRKSVWSHVPFEHPATFDTLAMEPADKDAILDDLTAFRDSKDYYAKVGKAWKRGYLLHGPPGTGKSTMIAAMANFLDYDVYDLELTAVKTNTDLRKLYIETTGKSIIVIEDIDCSVDLTAKRSNDKKKKKSSDEDDDDKPKLPTEQEKDEASKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIEMSYCRFEAFKVLAKNYLGVEQHEMFVEIRRLLEEIDMSPADVAENLMPKASKGKKRDPDACLAGLIEALNKAKVEAAEAAKAKEEEEAAAAKAKEEEEAAKAKAAKEKEKDDEAAGTKHEELFVLDDRRDHICQDRARSGSPSL >ONIVA12G18800.1 pep chromosome:AWHD00000000:12:19939074:19940630:1 gene:ONIVA12G18800 transcript:ONIVA12G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTAAMEGAAAGGSWAAGFSLSAVASLLFFFSMLQEHIPFQLQDCLAAMAARLHALLSPYVTVTIDDHASDSFSRSEAFLAVEAYLSASPCAARARRLRADIAEGADRMALAVDDHEEVADDFRGATMWWRKSKAIPRANVISWAPRQDERRSYHLTFHRRHRALVEADYFPHVLAEGRAVTIRNRQRRLFTNNPGADWSGYDDARVWSHVKLEHPSTFATLAMDPVRKQEIIDDLDMFRDGKDYYASVGKAWKRGYLLFRPPGTGKSTMIAAMANFLDYDVYDLELTAVESNTELRRLFIETTGKSIIVIEDIDCSIDLTGKRKKKKKDKKKKKKMMPPSDDDDEEKKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDMHIEMSYCCFESFKVLAKNYLGVEQHEMFGEIRQLLEEADMSPADVAENLMPRSKTKDVDACLERLVKALHEAKETKAAGGNDDDDESSSSSDDSDSSSDSEEQDKLAVVTKLDFSLAQPNYII >ONIVA12G18810.1 pep chromosome:AWHD00000000:12:19943157:19946749:1 gene:ONIVA12G18810 transcript:ONIVA12G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGAAEGERFGWPALWSAAASLLFLLSMVQEHIPFQLQDHLAALAARLHALLSPYATITIDDKSSHYFSRCEAFFAVEAYLGASPCAANARRLRADLAEGTDRMALAVDDHEAVADDFRGATMWWRKTKALPSANVITWSPRNAERRSYRLTFHRRHRALVENAYLPHVLAEGSAVTEIIDDLDMFRDGKEYYASVGKAWKRGYLLFGPPGTGKSTMIAAMANFLDYDVYDLELTAVKSNTELRRLFIETTGKSIIVIEDIDCSIDLTGKRKKKKKDKKKKKMTPPWARDDDEEKKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDMHIEMSYCCFESCWAKNYLGVEQHELFGEIRRLLEEADMSPADVAENLMPRSKTKDVDACLERLVKALHEAKEAALSKAAAAGKKKADEEIKNDSDKDPKDMKEK >ONIVA12G18820.1 pep chromosome:AWHD00000000:12:19949873:19950726:-1 gene:ONIVA12G18820 transcript:ONIVA12G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMQQCRSLRAAVEGVAGEPDRPQCSEVSAHPCSRRRQDTGSDAERCMRHARSASSGWWPATVRTLSCGHDFHEDCNIAKWLRDNKKACPDNVY >ONIVA12G18830.1 pep chromosome:AWHD00000000:12:19953377:19958556:1 gene:ONIVA12G18830 transcript:ONIVA12G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRVETMREIELVRRKDRRSRSSHQHKSTVELLHPLDYSYLRERERECHCHCIHHSISHLRAPARETEMLRRRGLLLVFVVVCFGGGWLLPASRAMPLCTDSRPPVALNNTLAFCAYARGSSSCCDAAADATLQKQFDAFNVSDASCAALLKPILCAVPSSLPCFLLSSPHAHRGPRHTPKCNPYSAELFNAGPNIRTIPFLCNSTSSSSAQSKDSTQDYCKLVWETCKDVTISNSPFQPPLQGGAPLPTSSSKLIDDWQSEADFCKSFGGAPNDQSVCFSGNSVSFNTTPPSPSPKGICLERIGNGSYLNMAPHPDGSNRIFLGSQPGKIWLATVPDQGSGGILQFDETSPFADLTDQVHFDSQFGLMGMAFHPNFATNGRFFASYNCDRTKSPSCSGRCSCNSDVGCDPSKVGTDNGAQPCQYQVVVSEYSAKGSSANISEVTSADPSEVTRIFTMGLPYTSQHGGQILFGPTDGYLYLMMGDGGGKGDPFNFSQNKKSLLGKIMRLDVDNPPRQSEIANQSLWGNYSIPKDNPYTDDSDLEPEVWALGLRNPWRCSFDSARSSYFYCADVGQDQYEEVDLISKGGNYGWRAYEGPLVFNQPSAPGGNTSLNSINAIPPIMGYSHSDVNKKIGSASIIGGNVYRGSTDPCLVGRYLYADLYASAMWTGTEAPESSGNYSSSLISFSCSKSSPIACDTAAGSPLPSLGYIYSFGEDNNKDTYVLSSKGVYRVVRPSLCGYTCPTEKPATNTGTTTPSSAASVTGKQMMGALLLSVLMFWVLVR >ONIVA12G18830.2 pep chromosome:AWHD00000000:12:19953377:19958556:1 gene:ONIVA12G18830 transcript:ONIVA12G18830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRVETMREIELVRRKDRRSRSSHQHKSTVELLHPLDYSYLRERERECHCHCIHHSISHLRAPARETEMLRRRGLLLVFVVVCFGGGWLLPASRAMPLCTDSRPPVALNNTLAFCAYARGSSSCCDAAADATLQKQFDAFNVSDASCAALLKPILCAKCNPYSAELFNAGPNIRTIPFLCNSTSSSSAQSKDSTQDYCKLVWETCKDVTISNSPFQPPLQGGAPLPTSSSKLIDDWQSEADFCKSFGGAPNDQSVCFSGNSVSFNTTPPSPSPKGICLERIGNGSYLNMAPHPDGSNRIFLGSQPGKIWLATVPDQGSGGILQFDETSPFADLTDQVHFDSQFGLMGMAFHPNFATNGRFFASYNCDRTKSPSCSGRCSCNSDVGCDPSKVGTDNGAQPCQYQVVVSEYSAKGSSANISEVTSADPSEVTRIFTMGLPYTSQHGGQILFGPTDGYLYLMMGDGGGKGDPFNFSQNKKSLLGKIMRLDVDNPPRQSEIANQSLWGNYSIPKDNPYTDDSDLEPEVWALGLRNPWRCSFDSARSSYFYCADVGQDQYEEVDLISKGGNYGWRAYEGPLVFNQPSAPGGNTSLNSINAIPPIMGYSHSDVNKKIGSASIIGGNVYRGSTDPCLVGRYLYADLYASAMWTGTEAPESSGNYSSSLISFSCSKSSPIACDTAAGSPLPSLGYIYSFGEDNNKDTYVLSSKGVYRVVRPSLCGYTCPTEKPATNTGTTTPSSAASVTGKQMMGALLLSVLMFWVLVR >ONIVA12G18840.1 pep chromosome:AWHD00000000:12:19958587:19960262:-1 gene:ONIVA12G18840 transcript:ONIVA12G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLLPPNVAGVVDEVETARQLGEHMDQICSRSKHTILREERVVGGGEVDEPVPLQVRVLVGVEEGGGGVEGVGDHGGATVGDEVGPLDDVDVGGGGDDRVVGEVGGEVGPVGVWRLGIEVEDVEVPGVVEREEDAAERGGVARGAGDEVGEARGGSGGWRGGGELVLDEEGGVGRAGGGGGGEGEGEGEGGEEDEEEEGEGGADGEGEKPAAIDGGGGGGAGGVTVVCGRKEHRGGGLWREERRENLHLVMKGIHH >ONIVA12G18850.1 pep chromosome:AWHD00000000:12:19959501:19964694:1 gene:ONIVA12G18850 transcript:ONIVA12G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTGTAAAAAVDRRWLLPLAIGSALSLLLLVLLTTFPLPFPFPSSAASRPPNPTLFVEHKLAPSPPSTASPPRFAYLISGSAGDAAALRRVLLALYHPRNLYILHLDAEAPDSDRANLAADLADHPVIAAAANVHVIQRANLVTYRGPTMVANTLHAAAAFLYTNQHPHLEWDWFINLSASDYPLLTQDDLIHVFSKLPRGLNFIDHTSNIGWKEYQRAKPVIIDPGLYMKKKSDVFWIPQRRSVPTAFKLFTGSAWMALSKPFVEYCIWGWDNLPRTVLMYYANFISSPEGYFHTVVCNAEEFKNTTVNHDLHYISWDNPPKQHPHYLTIEDLDRMVASDAPFARKFHADDPVLDKIDAEILLRGPDMLTPGGWCGGTRENGSDPCSVIGNTTHLQPGRGAVRLQRLMTSLLSEEKFHPRQCK >ONIVA12G18850.2 pep chromosome:AWHD00000000:12:19960079:19964694:1 gene:ONIVA12G18850 transcript:ONIVA12G18850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHCFVLFSRYQRAKPVIIDPGLYMKKKSDVFWIPQRRSVPTAFKLFTGSAWMALSKPFVEYCIWGWDNLPRTVLMYYANFISSPEGYFHTVVCNAEEFKNTTVNHDLHYISWDNPPKQHPHYLTIEDLDRMVASDAPFARKFHADDPVLDKIDAEILLRGPDMLTPGGWCGGTRENGSDPCSVIGNTTHLQPGRGAVRLQRLMTSLLSEEKFHPRQCK >ONIVA12G18860.1 pep chromosome:AWHD00000000:12:19964208:19965666:-1 gene:ONIVA12G18860 transcript:ONIVA12G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPYALRKQLEAADKCFADGNIKGGKMHGDMAAALFSSAPEAQCVQAAFKVHAAAAATKDKTKTDHYAVLGVKLSATET >ONIVA12G18870.1 pep chromosome:AWHD00000000:12:19981760:19982368:-1 gene:ONIVA12G18870 transcript:ONIVA12G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLPYALRKQLEAADKCFSDGNIKGGKMHADMAAALFSSAPEAQCAQAAFKVHAAAAAAATKDKTKTDHYAVLGVKLSATGKPDATTTNAVRKQHKALCAMFATAKDTSAAVAAANKLVDEALSALTDIKKSDVMSPPPTSTSTYSYQQKQQVARRKAKQRQEDQEFQARAACYQEEEEDDYYGGGRDKDGGRGGRHRGR >ONIVA12G18880.1 pep chromosome:AWHD00000000:12:19983799:19984170:-1 gene:ONIVA12G18880 transcript:ONIVA12G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCMSMMHIVLLLLVVALVLLNVSCEAARGMPAPQGATTMAKAMEAGGGGGLKDHKTFLPPVPGMGGGGVGGFAGMGGPLGGVIGGIGGVLGGSPAGLGGGLGGGSSGGLGGGAGGGCIHP >ONIVA12G18890.1 pep chromosome:AWHD00000000:12:19986215:19988148:-1 gene:ONIVA12G18890 transcript:ONIVA12G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRLLATCWWRATAQWRFAEAVISVGCSGDGSHDCGSGGDVGGGEGGVAVMSHPSRVFAGRKPSLGSFESRRAAVAWRLVTLSGSRFGVSLLLGLCVGDVGVWVVLRSVTLSGGLSGVSLLLGLCDGDVAVWVVVYFFLFPGYDPSGL >ONIVA12G18900.1 pep chromosome:AWHD00000000:12:19992105:19993853:-1 gene:ONIVA12G18900 transcript:ONIVA12G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLDDVLSLCNNNTRMCNALVLSVVVVSFLQLLKHVLLTPSRLPLPPGPRNLPVVGSAHRLVNTLAHRVLRDLADVHGPLMHLRVGQVPVVVVTSKELARDILKTHDANFATRPKLVAGGIVAYDWTDILFSPSGDYWRKLRRLCIQEILSAKRILSFEHIREDEVRMLADEIRAVGPSVAVDLSARLHRITNTIVSRAAFGNKRSNAADFLVAIKQSVIMASGFYVPDLFPRFSVLLCWLTGMRRTLHGIRDTIDSILEEIISEKEEAKQQQDNNLVDVLLSLKDKGDFGFPITRDTIKAIVLDIFAGGSGTSANAMEWAMSELMMNPRVMNKVQAEIRDAFHGKQSIGEADLRARDLKYLKLVMKETLRLHPPAPLLVPRESIDACEINGYMIPAKARVIVNAWAISRDPRYWEDAEEFKPERFAEGGIDFYGSNYEYTPFGSGRRMCPGYNYGLASMELTLAQLLHSFDWSMPDGATEVDMTEAPGLGVRRKTPLLLCAAPYVASPIYA >ONIVA12G18910.1 pep chromosome:AWHD00000000:12:19998565:20001033:1 gene:ONIVA12G18910 transcript:ONIVA12G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/hydrogen exchanger 28 [Source:Projected from Arabidopsis thaliana (AT3G52080) TAIR;Acc:AT3G52080] MDCSMTSSILSANYNTILFEFGVILVTSKILYALLRKLYQPRIFSDLLLGIVLAQFRVLSLTNAINLVFGKIGGFVFAPYLFALGVEMDPSALLDAPTADAAVAYAGIFSTAVLVTLFHAPIMRPTSGIVHENSLRAFLALAAALANTASPVLTRLATDLKIAKTAVGRLAVGAGIASDMLTTMLIAIGSMIWRDTGTEGAASPLAQPALTAAVLAVVLMSGLVSRAMAEWVDGRNPEGRRMRGFDLSLVALVAATLCWFTSALRLDVNMAAFLVGLAFPSEGRVSRLLVSKINLVLSSFVLPLYVAHVCLSLRQTTDDIEAAGLRKDQGFRVYVMELPFPWWKVLFVTAMGTLGKLVGCAAAGLLRGLGWLEALALGLLLNVKGYFHVYCALAAFEAGIITDKSFMAIIFMVAVNVATTPMVGMAIASWARRSVQWRLMGLQHHDPATELRLVVGLQGPQDVATLAFLMEALRWGGGNGELAVYAVDMVQLTDQTAAAIVKGGGFDGVTVVDEEVSEMRKQIGEALDAYQGDDGAVRVRRVLALSSFTDMHSDICICAEEAMAALVLLPFHKRQRREDDGGAMDMEPPCPLGFRLVNQKVLQLAPCSVGILVDRHRHVDAAASQSQSQGVVVVFIGGADDREALTLASFMSKHASVRLTALRVVQNATAQARARARTSLFESSKSSRRAGASSSSSASSVLGQEEVQAQVDDKFFAEFYRKHVAGSKAIGYMEKHVGDGAELVAVLRALQAEYRLFVVGRGRDRRSVLTEGLEEWAECLELGPVGDILASSDFSSTASVLIVQQYDAKKHYKVIDEEFMPL >ONIVA12G18920.1 pep chromosome:AWHD00000000:12:20001619:20009252:1 gene:ONIVA12G18920 transcript:ONIVA12G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) TAIR;Acc:AT3G63520] MGGGDGDEVLLLPEPRPRRGLASWALDLLERAAVRLGHDASKPLYWLSGNFAPVHHETPPAPALPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFYGLFMVQMQQLRKKLKVLDFTYGHGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFAFGYSHEPPYCTYRVITKDGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDDTNIRWFELPNCFIFHNANAWEEGDEVILITCRLENPDLDKVNGYQSDNLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRINESCTGRKQRYVYCAILNSIAKVAGIIKFDLHAEPEISGKKQLEGGGNVRGIFDLGPGRFGSEAIFVPKEPGVSGEEDDGYLIFFVHDENTGKSEVNVIDAKTMSADPVAVVELPSRVPYGFHAFFINEEQLAKQSA >ONIVA12G18930.1 pep chromosome:AWHD00000000:12:20010878:20013580:1 gene:ONIVA12G18930 transcript:ONIVA12G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0JCW6] MAALLLLVVAVAIAIVPWGGGAVAVAVAREVPVEYLYPPFNLTYFHFLDTNGVFLLSRNATFSAAVYNAGVDPSSDDNQSRFFFSVLHAASRTPVWTATAGTTILQSIVLSLTAQGLALSDPDPAADYAWSTPRLRAPVAALRLLDTGELALLDAANTTLWSSFDRPTDTLLPAQPLLAGVLLTSPVSDQDLNPGAYRLMLTDTDALLQWATNNGSSFLTYWALSTDPNSVQDSNAAVHSMTANSSGLYLLAANGRDTVFRLRFPSPDANGDPCLLKLDSSGRLRALRLSTTSPRATLPTVWAAPTGGCDLPLPCRSLGLCTPGTNGSSCSCPDAFSTYSTGGCAPADGSALPLLADTCAPPPNFNYMTLGEGIGYFANKFASPDTSGEELPACRNLCSANCSCLGFFYRNSSKSCFLLNYRIGSLFRGDSDAAVGFIKTLPPASRRQGGGKGSSLSFITIVFGIALPTVAAVLIGFVVYVMWVKSRQASNKKKKKKKKQGGSRSWFKLPMLSSQQASYASEEQQGEEDDGDGDEVLIPGLPARFTYAELEEATEGFKSQIGSGGFGCVYRGELTDPERSAVVAVKRMNNLGSQGRREFLTEMAVIGNAHHVNLVKLRGFCAEGARQLLVYEYMNRGSLDQCLFRAAAAPLEWPERMGVCVGAARGLAYLHAGCTRKILHCDVKPENILLDDRGGVKIADFGLAKLMSPEQSGLFTTMRGTRGYLAPEWLTNAPITDKADVYSFGMVLLEIVRGRKNCRSGKGSGGEASSDSDGYFPAMALELHEQGQYEAVVDQRLEGRADVAQVERVVRVALCCLHEDAALRPAMTTVSAMLDGSMEAGVPRTEQLDYLRLYGRGLVDVRSGLHAAGKGGSDFTSGGAGSSSWSPTSCVSAQQLSGPR >ONIVA12G18940.1 pep chromosome:AWHD00000000:12:20016717:20022432:-1 gene:ONIVA12G18940 transcript:ONIVA12G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPSPSPSSSCAKHHRSPDPADPAASPKRRRRHHRRRRDVDDDDESPLADADRPPAAEDVEEGEILDDAMDVDAQLSSGNHAHMNSDADATVLHASRLPTHSSSRDETKSNHTAHEPESGGDADDTKGDRQSQRVPKSPLLTREKERKHKDEHRKSYPKDSHSKEQSRRSPSRHHSSQDHARHHSRSRDTGAEANGSRASTREDSDRDSNGRNSKHGRHATRSRDNETERSSSYAVRDEAYDERERYKHERRHRSNPVDRDKVDLHELTHRDRERSSSRSRSDRRESAHHIRDESRESERRSSSSRHKDNERRDRSKDRYKESDKVDSGHERDKTRDDRDRGRHKDLESRKRRNGEAKDRDDRHKDSTRSKYSTSDSHKHRSRSRERGRDAERRGQRSEELKENTFREEDEEEYQEKIEQQLAMQEEEDPEKIKEEARRRKEAIMAKYRQQQLQKQQLESLPRSNDEEEVEMNRGDNADLKGDNDSRFVASEEAENKHDSSDAIVGETDFTVGKSPAHNDGAGTLGNQRTTGVSGLGEGTPKSERSADMFCDDIFGESPAGIRKLGKDDGLRIEKNALHDNWDDAEGYYTYRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEELAIKIIRNNETMYKAGKQEVSILEKLASADREDRRHCLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCLYELYTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDPVTKKAVTRMILNIKPKDIGSLISNFPGEDPKMLSNFKDLLDKIFVLDPEKRITISQALSHPFITGKNSARASAVKVHILYAKGPT >ONIVA12G18940.2 pep chromosome:AWHD00000000:12:20016730:20022432:-1 gene:ONIVA12G18940 transcript:ONIVA12G18940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPSPSPSSSCAKHHRSPDPADPAASPKRRRRHHRRRRDVDDDDESPLADADRPPAAEDVEEGEILDDAMDVDAQLSSGNHAHMNSDADATVLHASRLPTHSSSRDETKSNHTAHEPESGGDADDTKGDRQSQRVPKSPLLTREKERKHKDEHRKSYPKDSHSKEQSRRSPSRHHSSQDHARHHSRSRDTGAEANGSRASTREDSDRDSNGRNSKHGRHATRSRDNETERSSSYAVRDEAYDERERYKHERRHRSNPVDRDKVDLHELTHRDRERSSSRSRSDRRESAHHIRDESRESERRSSSSRHKDNERRDRSKDRYKESDKVDSGHERDKTRDDRDRGRHKDLESRKRRNGEAKDRDDRHKDSTRSKYSTSDSHKHRSRSRERGRDAERRGQRSEELKENTFREEDEEEYQEKIEQQLAMQEEEDPEKIKEEARRRKEAIMAKYRQQQLQKQQLESLPRSNDEEEVEMNRGDNADLKGDNDSRFVASEEAENKHDSSDAIVGETDFTVGKSPAHNDGAGTLGNQRTTGVSGLGEGTPKSERSADMFCDDIFGESPAGIRKLGKDDGLRIEKNALHDNWDDAEGYYTYRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEELAIKIIRNNETMYKAGKQEVSILEKLASADREDRRHCLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCLYELYTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDPVTKKAVTRMILNIKPKDIGSLISNFPGEDPKMLSNFKDLLDKIFEFCQGLCCESAHFVC >ONIVA12G18940.3 pep chromosome:AWHD00000000:12:20016717:20022432:-1 gene:ONIVA12G18940 transcript:ONIVA12G18940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPSPSPSSSCAKHHRSPDPADPAASPKRRRRHHRRRRDVDDDDESPLADADRPPAAEDVEEGEILDDAMDVDAQLSSGNHAHMNSDADATVLHASRLPTHSSSRDETKSNHTAHEPESGGDADDTKGDRQSQRVPKSPLLTREKERKHKDEHRKSYPKDSHSKEQSRRSPSRHHSSQDHARHHSRSRDTGAEANGSRASTREDSDRDSNGRNSKHGRHATRSRDNETERSSSYAVRDEAYDERERYKHERRHRSNPVDRDKVDLHELTHRDRERSSSRSRSDRRESAHHIRDESRESERRSSSSRHKDNERRDRSKDRYKESDKVDSGHERDKTRDDRDRGRHKDLESRKRRNGEAKDRDDRHKDSTRSKYSTSDSHKHRSRSRERGRDAERRGQRSEELKENTFREEDEEEYQEKIEQQLAMQEEEDPEKIKEEARRRKEAIMAKYRQQQLQKQQLESLPRSNDEEEVEMNRGDNADLKGDNDSRFVASEEAENKHDSSDAIVGETDFTVGKSPAHNDGAGTLGNQRTTGVSGLGEGTPKSERSADMFCDDIFGESPAGIRKLGKDDGLRIEKNALHDNWDDAEGYYTYRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEELAIKIIRNNETMYKAGKQEVSILEKLASADREDRRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCLYELYTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDPVTKKAVTRMILNIKPKDIGSLISNFPGEDPKMLSNFKDLLDKIFVLDPEKRITISQALSHPFITGKNSARASAVKVHILYAKGPT >ONIVA12G18950.1 pep chromosome:AWHD00000000:12:20023300:20030463:1 gene:ONIVA12G18950 transcript:ONIVA12G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGDGKGLKQQQRQKLKPALEVEDFINLLHGSDPVRVELTRLENELQYKEKELGEAQAEIKALRLSERAREKAVEDLTEELTKVDGKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARHEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRILQGEMQQLRDKLAIAERAARSEAQLKDKFQLRLKVLEEGLRMSTTRTNVSAARRQSIGGADSLSKTNGFLSKRPSFQMRSSVSTTTTTLVNHAKGASKSFDGGCRSLDRYKGHVNGSGMNVSTDSSEDKESNNSDEKANEFTSVETEDTVSGLLYDTLQKEVIALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESEGKKRRMEVAAMEKEMAALRLEKEQDNKAKRFGSSSSQLPPGRTLPRSGSARNM >ONIVA12G18950.2 pep chromosome:AWHD00000000:12:20028174:20030463:1 gene:ONIVA12G18950 transcript:ONIVA12G18950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADADDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFDQEMATAATGSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSMWIAKAEYDESGPSIVHRKCF >ONIVA12G18960.1 pep chromosome:AWHD00000000:12:20029519:20043986:-1 gene:ONIVA12G18960 transcript:ONIVA12G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEAEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEFGTKHGLGKLGAGIRANINPDLLLAVFLPALLFESSFSMEIHQIKKCMAQMVLLAGPGVLISTFFLGSALKLTFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGFLLLLYVFVQISRILVVVILYPLLRHFGYGLDLKEATILVWAGLRGAVALSLSLSVKRASDAVQTHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDRLAATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLDDEPVHPHAVSDRNDRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMAHLDDALQTDLKKFKRNPPLVKMPRVSDLLNTHPLVGALPAAMRDPLLNSTKETVKGHGTILYREGSRPTGIWLVSIGVVKWTSQRLSSRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSIEIFLWQESALVVARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNFIGILLEGFLKTKNQTLITPPGLLLPPNADLNLFGLESSAINRIDYCYTAPSYQVEARARILFVEIGRPEIEADLQRSASLISQTLELPRTQSKEHSGLLSWPESFRKSRGAQNGASLTEIRDHPASFSARALQLSMYGSMINDMKSGQGQGQRRQRHRHTKASSNKAHSSSYPRVPSRSSNTQRPLLSVQSEGANMTTARQAAAAGASLPPEPEEAGRRRRRQRKAIEEDEDNSSDESAGEEVIVRVDSPSMLTFRRKVRSREETKRRWNLLTAAGVGGVARAWTCGARGWLAVVRCERAMGMGRNGKRKLDVRSGVVVTYSYK >ONIVA12G18960.2 pep chromosome:AWHD00000000:12:20029519:20043986:-1 gene:ONIVA12G18960 transcript:ONIVA12G18960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEAEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEFGTKHGLGKLGAGIRANINPDLLLAVFLPALLFESSFSMEIHQIKKCMAQMVLLAGPGVLISTFFLGSALKLTFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGFLLLLYVFVQISRILVVVILYPLLRHFGYGLDLKEATILVWAGLRGAVALSLSLSVKRASDAVQTHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDRLAATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLDDEPVHPHAVSDRNDRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMAHLDDALQVAGIFCLSFNYYYADFVVSVTNHNGNTNSSQFTWQTDLKKFKRNPPLVKMPRVSDLLNTHPLVGALPAAMRDPLLNSTKETVKGHGTILYREGSRPTGIWLVSIGVVKWTSQRLSSRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSIEIFLWQESALVVARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNFIGILLEGFLKTKNQTLITPPGLLLPPNADLNLFGLESSAINRIDYCYTAPSYQVEARARILFVEIGRPEIEADLQRSASLISQTLELPRTQSKEHSGLLSWPESFRKSRGAQNGASLTEIRDHPASFSARALQLSMYGSMINDMKSGQGQGQRRQRHRHTKASSNKAHSSSYPRVPSRSSNTQRPLLSVQSEGANMTTARQAAAAGASLPPEPEEAGRRRRRQRKAIEEDEDNSSDESAGEEVIVRVDSPSMLTFRRKVRSREETKRRWNLLTAAGVGGVARAWTCGARGWLAVVRCERAMGMGRNGKRKLDVRSGVVVTYSYK >ONIVA12G18960.3 pep chromosome:AWHD00000000:12:20031608:20043986:-1 gene:ONIVA12G18960 transcript:ONIVA12G18960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEAEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEFGTKHGLGKLGAGIRANINPDLLLAVFLPALLFESSFSMEIHQIKKCMAQMVLLAGPGVLISTFFLGSALKLTFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGFLLLLYVFVQISRILVVVILYPLLRHFGYGLDLKEATILVWAGLRGAVALSLSLSVKRASDAVQTHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDRLAATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLDDEPVHPHAVSDRNDRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMAHLDDALQTDLKKFKRNPPLVKMPRVSDLLNTHPLVGALPAAMRDPLLNSTKETVKGHGTILYREGSRPTGIWLVSIGVVKWTSQRLSSRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSIEIFLWQESALVVARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNFIGILLEGFLKTKNQTLITPPGLLLPPNADLNLFGLESSAINRIDYCYTAPSYQVEARARILFVEIGRPEIEADLQRSASLISQTLELPRTQSKEHSGLLSWPESFRKSRGAQNGASLTEIRDHPASFSARALQLSMYGSMINDMKSGQGQGQRRQRHRHTKASSNKAHSSSYPRVPSRSSNTQRPLLSVQSEGANMTTARQAAAAGASLPPEPEEAGRRRRRQRKAIEEDEDNSSDESAGEEVIVRVDSPSMLTFRQPSSAADR >ONIVA12G18960.4 pep chromosome:AWHD00000000:12:20029519:20043986:-1 gene:ONIVA12G18960 transcript:ONIVA12G18960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEAEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEFGTKHGLGKLGAGIRANINPDLLLAVFLPALLFESSFSMEIHQIKKCMAQMVLLAGPGVLISTFFLGSALKLTFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSASWGFLLLLYVFVQISRILVVVILYPLLRHFGYGLDLKEATILVWAGLRGAVALSLSLSVKRASDAVQTHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDRLAATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLDDEPVHPHAVSDRNDRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMAHLDDALQVAGIFCLSFNYYYADFVVSVTNHNGNTNSSQFTWQTDLKKFKRNPPLVKMPRVSDLLNTHPLVGALPAAMRDPLLNSTKETVKGHGTILYREGSRPTGIWLVSIGVVKWTSQRLSSRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSIEIFLWQESALVVARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNFIGILLEGFLKTKNQTLITPPGLLLPPNADLNLFGLESSAINRIDYCYTAPSYQVEARARILFVEIGRPEIEADLQRSASLISQTLELPRTQSKEHSGLLSWPESFRKSRGAQNGASLTEIRDHPASFSARALQLSMYGSMINDMKSGQGQGQRRQRHRHTKASSNKAHSSSYPRVPSRSSNTQRPLLSVQSEGANMTTARQAAAAGASLPPEPEEAGRRRRRQRKAIEEDEDNSSDESAGEEVIVRVDSPSMLTFRRKVRSREETKRRWNLLTAAGVGGVARAWTCGARGWLAVVRCERAMGMGRNGKRKLDVRSGVVVTYSYK >ONIVA12G18960.5 pep chromosome:AWHD00000000:12:20031608:20043986:-1 gene:ONIVA12G18960 transcript:ONIVA12G18960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEAEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEFGTKHGLGKLGAGIRANINPDLLLAVFLPALLFESSFSMEIHQIKKCMAQMVLLAGPGVLISTFFLGSALKLTFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGFLLLLYVFVQISRILVVVILYPLLRHFGYGLDLKEATILVWAGLRGAVALSLSLSVKRASDAVQTHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDRLAATKLRILNYTKYEMLNKALEAFGDLRDDEELGPPADWVTVKKYITCLNDLDDEPVHPHAVSDRNDRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMAHLDDALQVAGIFCLSFNYYYADFVVSVTNHNGNTNSSQFTWQTDLKKFKRNPPLVKMPRVSDLLNTHPLVGALPAAMRDPLLNSTKETVKGHGTILYREGSRPTGIWLVSIGVVKWTSQRLSSRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSIEIFLWQESALVVARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNFIGILLEGFLKTKNQTLITPPGLLLPPNADLNLFGLESSAINRIDYCYTAPSYQVEARARILFVEIGRPEIEADLQRSASLISQTLELPRTQSKEHSGLLSWPESFRKSRGAQNGASLTEIRDHPASFSARALQLSMYGSMINDMKSGQGQGQRRQRHRHTKASSNKAHSSSYPRVPSRSSNTQRPLLSVQSEGANMTTARQAAAAGASLPPEPEEAGRRRRRQRKAIEEDEDNSSDESAGEEVIVRVDSPSMLTFRQPSSAADR >ONIVA12G18970.1 pep chromosome:AWHD00000000:12:20048501:20049514:1 gene:ONIVA12G18970 transcript:ONIVA12G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29700) TAIR;Acc:AT1G29700] MATTTTTTTTMGGLHRLISRSSCSRRTFAASTLPQRPRAIAVRVRSSSAGVTKSQRQRQRQVKLTYLEINSWVWEVGGARILVDPILAGNLDFGAPWLFDAAKKRLKNLGVQDVLQPPGVDLLLITQSLDDHCHARTLAQLAAAAPDLPVVTTPNARPVLAALPTPFRDVTYLEPGQSTNCNGGGVRILATPGPVLGPPWQRPENGYIMFLLQEEEEDAKGLVYYEPHCVYDRSFLEKEALRADVVITPVVKQLLPANFTLVAGQEDAVELASLLRARYVVPMSNGDVDAKGLLTAVVATEGTVEAFKGMLADTLPEAQLLHPTPGVPLHIHLPHLT >ONIVA12G18980.1 pep chromosome:AWHD00000000:12:20049516:20053848:-1 gene:ONIVA12G18980 transcript:ONIVA12G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sucrose transporter 4 [Source:Projected from Arabidopsis thaliana (AT1G09960) TAIR;Acc:AT1G09960] MPRRPSGGGGGAGPAAAAVRKVPLRKLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLVGHLSDRIAPAASPLGRRRPFIAAGAASIAAAVLTVGFSADLGRIFGDSITPGSTRLGAIIVYLVGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYKIFPFTVTPSCSISCANLKSAFLLDIIILVVTTCITVASVQEPQSLGSDEADHPSTEQEAFLWELFGSFRYFTLPVWMVLIVTALTWIGWFPFILFDTDWMGREIYRGSPDDPSITQSYHDGVRMGSFGLMLNSVLLGFTSIVLEKLCRKWGAGLVWGVSNILMALCFVAMLVITYVAKNMDYPPSGVPPTGIVIASLVVFTILGAPLAITYSIPYAMAASRVENLGLGQGLAMGILNLAIVIPQVIVSLGSGPWDQLFGGGNAPAFAVAAAASFIGGLVAILGLPRARIASRRRGVGSLEKILEIKWREGMHGLE >ONIVA12G18980.2 pep chromosome:AWHD00000000:12:20050236:20053848:-1 gene:ONIVA12G18980 transcript:ONIVA12G18980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sucrose transporter 4 [Source:Projected from Arabidopsis thaliana (AT1G09960) TAIR;Acc:AT1G09960] MPRRPSGGGGGAGPAAAAVRKVPLRKLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLVGHLSDRIAPAASPLGRRRPFIAAGAASIAAAVLTVGFSADLGRIFGDSITPGSTRLGAIIVYLVGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYKIFPFTVTPSCSISCANLKSAFLLDIIILVVTTCITVASVQEPQSLGSDEADHPSTEQEAFLWELFGSFRYFTLPVWMVLIVTALTWIGWFPFILFDTDWMGREIYRGSPDDPSITQSYHDGVRMGSFGLMLNSVLLGFTSIVLEKLCRKWGAGLVWGVSNILMALCFVAMLVITYVAKNMDYPPSGVPPTGIVIASLVVFTILGAPLAITYSIPYAMAASRVENLGLGQGLAMGILNLAIVIPQVIVSLGSGPWDQLFGGGNAPAFAVAAAASFIGGLVAILGLPRARIASRRRGHR >ONIVA12G18990.1 pep chromosome:AWHD00000000:12:20054848:20065437:-1 gene:ONIVA12G18990 transcript:ONIVA12G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes (SMC) family protein [Source:Projected from Arabidopsis thaliana (AT3G54670) TAIR;Acc:AT3G54670] MAAAAAGKGGGGQGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYHLPATGDELHFTRAITGAGGSEYRIDGRLVTWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEDQKNRAEEKSALIYQEKRTIVMERKQKKAQKEEAENHLRLQQDLKLAKTEHLLWQLYTIEKDAEKIEAELEEDRRSLQQVLEENQSSDYELSAKKKEQSGFLKKMTLCEKSIAKKKLELDKKQPELLRLKEQISRLKSKIKSCNKEIDKKKDDSKKHLEEMKSLQSALVDVTRAIDELNEQGQNKSDKLQLADDQLQEYHRIKEDAGMSTAKLRDEKEVFDKELNAGVEAKKNLEENMQQLRSRENEILSQERELRAKLNKILHSIPKHEDELAHLREEHNKIAKERQTSGVKYQMLKQRLDEIDTKLRELKADKHESERDARFSETVRSLKRLFPGVHGRMTELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLVFDKAVLYAVGNTLVCDELDEAKTLSWSGERYKVVTVDGILLTKSGTMTGGISGGMAARSNKWDDSIIESWKKKKNQYESEMSELGSPRELQRKELAVSEKITGLEKKLHYLNVEENNLREKLRRLESEKSNIEEEIDRLEPVKEELETRIGKKEREVRVLEKKINEIVDRIYKDFSKSVGVKNIREYEERQLKDAQALQERKLSLSNQMSKLKYQLEYEQKRDMQAPIIKLKETRESLEKELKSLQERESEARAEAEQISNQMEELKAEAEDWKSKSDECETGIDELKEKNGSVAAALAKLDRQVKSKEGKLVQLRSQEREIHEKCELEQLKLPTVNDPMDTGSSSQIPILDYSQLSENYLQDMRLSERDKLEAEFKKKIGDLVAQIEHTAPNLKALDQYETLQRKEKDVMEKFEAARKEELEIADKYNSVKQRRYELFMEAFDHISKGIDQIYKELTKSQTHLLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCQRVDEQDNGGCGFQSIVISLKDSFYDKAEALVGVYRDSERCCSRTLTFDLTKYREA >ONIVA12G18990.2 pep chromosome:AWHD00000000:12:20054848:20065437:-1 gene:ONIVA12G18990 transcript:ONIVA12G18990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes (SMC) family protein [Source:Projected from Arabidopsis thaliana (AT3G54670) TAIR;Acc:AT3G54670] MAAAAAGKGGGGQGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYHLPATGDELHFTRAITGAGGSEYRIDGRLVTWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEDQKNRAEEKSALIYQEKRTIVMERKQKKAQKEEAENHLRLQQDLKLAKTEHLLWQLYTIEKDAEKIEAELEEDRRSLQQVLEENQSSDYELSAKKKEQSGFLKKMTLCEKSIAKKKLELDKKQPELLRLKEQISRLKSKIKSCNKEIDKKKDDSKKHLEEMKSLQSALVDVTRAIDELNEQGQNKSDKLQLADDQLQEYHRIKEDAGMSTAKLRDEKEVFDKELNAGVEAKKNLEENMQQLRSRENEILSQERELRAKLNKILHSIPKHEDELAHLREEHNKIAKERQTSGLRLDEIDTKLRELKADKHESERDARFSETVRSLKRLFPGVHGRMTELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLVFDKAVLYAVGNTLVCDELDEAKTLSWSGERYKVVTVDGILLTKSGTMTGGISGGMAARSNKWDDSIIESWKKKKNQYESEMSELGSPRELQRKELAVSEKITGLEKKLHYLNVEENNLREKLRRLESEKSNIEEEIDRLEPVKEELETRIGKKEREVRVLEKKINEIVDRIYKDFSKSVGVKNIREYEERQLKDAQALQERKLSLSNQMSKLKYQLEYEQKRDMQAPIIKLKETRESLEKELKSLQERESEARAEAEQISNQMEELKAEAEDWKSKSDECETGIDELKEKNGSVAAALAKLDRQVKSKEGKLVQLRSQEREIHEKCELEQLKLPTVNDPMDTGSSSQIPILDYSQLSENYLQDMRLSERDKLEAEFKKKIGDLVAQIEHTAPNLKALDQYETLQRKEKDVMEKFEAARKEELEIADKYNSVKQRRYELFMEAFDHISKGIDQIYKELTKSQTHLLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCQRVDEQDNGGCGFQSIVISLKDSFYDKAEALVGVYRDSERCCSRTLTFDLTKYREA >ONIVA12G18990.3 pep chromosome:AWHD00000000:12:20054848:20065437:-1 gene:ONIVA12G18990 transcript:ONIVA12G18990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes (SMC) family protein [Source:Projected from Arabidopsis thaliana (AT3G54670) TAIR;Acc:AT3G54670] MAAAAAGKGGGGQGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYHLPATGDELHFTRAITGAGGSEYRIDGRLVTWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEDQKNRAEEKSALIYQEKRTIVMERKQKKAQKEEAENHLRLQQDLKLAKTEHLLWQLYTIEKDAEKIEAELEEDRRSLQQVLEENQSSDYELSAKKKEQSGFLKKMTLCEKSIAKKKLELDKKQPELLRLKEQISRLKSKIKSCNKEIDKKKDDSKKHLEEMKSLQSALVDVTRAIDELNEQGQNKSDKLQLADDQLQEYHRIKEDAGMSTAKLRDEKEVFDKELNAGVEAKKNLEENMQQLRSRENEILSQERELRAKLNKILHSIPKHEDELAHLREEHNKIAKERQTSGVKYQMLKQRLDEIDTKLRELKADKHESERDARFSETVRSLKRLFPGVHGRMTELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLVFDKAVLYAVGNTLVCDELDEAKTLSWSGERYKVVTVDGILLTKSGTMTGGISGGMAARSNKWDDSIIESWKKKKNQYESEMSELGSPRELQRKELAVSEKITGLEKKLHYLNVEENNLREKLRRLESEKSNIEEEIDRLEPVKEELETRIGKKEREVRVLEKKINEIVDRIYKDFSKSVGVKNIREYEERQLKDAQALQERKLSLSNQMSKLKYQLEYEQKRDMQAPIIKLKETRESLEKELKSLQERESEARAEAEQISNQMEELKAEAEDWKSKSDECETGIDELKEKNGSVAAALAKLDRQVKSKEGKLVQLRSQEREIHEKCELEQLKLPTVNDPMDTGSSSQIPILDYSQLSENYLQDMRLSERDKLEAEFKKKIGDLVAQIEHTAPNLKALDQYETLQRKEKDVMEKFEAARKEELEIADKYNSVKQRSQTHLLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCQRVDEQDNGGCGFQSIVISLKDSFYDKAEALVGVYRDSERCCSRTLTFDLTKYREA >ONIVA01G36950.1 pep chromosome:AWHD00000000:1:31735231:31743937:-1 gene:ONIVA01G36950 transcript:ONIVA01G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19840) TAIR;Acc:AT5G19840] MMSSTGHVFYGDLRSHERVLVPFSKFMNSCKSYLRCPNASSDSPKAQEILKEPASSEEGCSSSLESCDQVYLAQVSILNTENKERCSLEVLKEDIQEPTFLRGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYMKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEMLEHMDAYYLRRILSRLVDKEMNKMVQENSVSCSKGTTVCQPTDEESGGPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELQSMLLAMARTFPRTLEALVLNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVHADRPK >ONIVA02G04140.1 pep chromosome:AWHD00000000:2:2696273:2698492:1 gene:ONIVA02G04140 transcript:ONIVA02G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSEPSKEAASVDDSSAVQKTGAWSNTLNILLQQASTYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVVVVLLCGQLKLIEHDGLNFRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTCGLVLYNNLEVYMLFPLEMLLTGELNQMKGDSAKVTNWLSSDVILPVALSCLFGLSISFFGFSCRRAISATGFSVLGIVNKLLTVVINL >ONIVA02G23650.1 pep chromosome:AWHD00000000:2:21719325:21724093:-1 gene:ONIVA02G23650 transcript:ONIVA02G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQEAHKRMKIKKAPHVLVIHLKRFKYVEQLSRHKKLSYRVVYPLELKLGSMSEDADCEYSLFAVVVHVGSSPNHGHYVSQIKSHGNWLSFDDDTVQISEESTLQTFYGSSREHCGGNTDHGYILFYERLGGKS >ONIVA06G19840.1 pep chromosome:AWHD00000000:6:18528196:18529814:1 gene:ONIVA06G19840 transcript:ONIVA06G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMVMEELRIASTTWSWKPAPCWSPKPSAPVSRMPSSNGTWVALHRPYGPCSPSPTTTSPPSLVDMLRWDKLHTDAIRRKATAGGDVVLEPDKPIVDVQQSDYKMQASFGIGTGGRSGSSSSSSRISRPSAIDDPILAQPMSIDTSIDLPWIQCAPCPMPECYPQQNALFDPRRSRTSAAVPCGSAACGELGRYGAGCSNNQCQYFVDYGDGRATSGTYMVDALTLNPSTVVMNFRFGCSHAVRGNFSASTSGTMSLGGGRQSLLSQTAATFGNAFSYCVPDPSSSGFLSLGGPADGGGAGRFARTPLVRNPSIIPTLYLVRLRGIEVGGRRLNVPPVVFAGGAVMDSSVIITQLPPTAYRALRLAFRSAMAAYPRVAGGRAGLDTCYDFVRFTSVTVPAVSLVFDGGAVVRLDAMGVMVEGCLAFVPTPGDFALGFIGNVQQQTHEVLYDVGGGSVGFRRGAC >ONIVA06G19880.1 pep chromosome:AWHD00000000:6:18545819:18547440:1 gene:ONIVA06G19880 transcript:ONIVA06G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMVMEELRIASTTWSWKPAPCWSPKPSAPVSRMPSSNGTWVALHRPYGPCSPSPTTTSPPSLVDMLRWDKLHTDAIRRKATAGGDVVLEPDKPIVDVQQSDYKMQASFGIGTGGRSGSSSSSSRISRPSAIDDPILAQPMSIDTSIDLPWIQCAPCPMPECYPQQNALFDPRRSRTSAAVPCGSAACGELGRYGAGCSNNQCQYFVDYGDGRATSGTYMVDALTLNPSTVVMNFRFGCSHAVRGNFSASTSGTMSLGGGRQSLLSQTAATFGNAFSYCVPDPSSSGFLSLGGPADGGGAGRFARTPLVRNPSIIPTLYLVRLRGIEVGGRRLNVPPVVFAGGAVMDSSVIITQLPPTAYRALRLAFRSAMAAYPRVAGGRAGLDTCYDFVRFTSVTVPAVSLVFDGGAVVRLDAMGVMVEGCLAFVPTPGDFALGFIGNVQQQTHEVLYDVGGGSVGFRRGAC >ONIVA06G22150.1 pep chromosome:AWHD00000000:6:20922540:20923030:1 gene:ONIVA06G22150 transcript:ONIVA06G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLAAAQRWPLPAGGGGCMGGDVSPPSSQIRLRQRRCGGGGYRREAEGAWAAASPLPPPTSSGGGAQRTVTVEGGASEEVAATDWGEGFMGGGVSPLLLDLVEAASVGSGDLASGSG >ONIVA08G00520.1 pep chromosome:AWHD00000000:8:375433:377843:-1 gene:ONIVA08G00520 transcript:ONIVA08G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVLSAPDGAKVSRFNLMKLQGRQEAAAAGTESHHAHHAFDELLHRPTTSSIVDLNRALSDAARHSPAVAISLFRRMVMVARPKVPPNLITYSVVIDCCSRVGHLDLAFAALGRVIRSGWTAEAITFSPLLKALCDKKRTSEAMDIALRRMPVLGCTPNVFSYTILLKGLCDENRSQQALHLLHTMMVADDTRGGYPPDVVSYNTVINGLLREGRQLDTAYHLFDQMLDQGLSPDVVTYNSIISALSKARAMDKAAVVLARMVKNGAMPNRITHNTLLHGYCSSGKPNDAIGVFKRMCRDGVEPDVFTYNTLMGYLCKNGRSMEARKIFDSMVKRGHKPNSATYGTLLHGYATEGSLVEMHHLLDMMVRNGIQPDHYIFNILIGTYTKHGKVDDAMLLFSKMRRQGLNPDTVTYGIVMDALCMVGKVDDAMAQFGRLISEGLTPDAVVFRTLIHGLCARDKWDKAEELAVEMIGRGICPNNIFFSTLLNHLCKEGMVARAKNIFDLMVRVDVQRDVITYNTLIDGYCLHGKVDEAAKLLEGMVLDGVKPNEVTYNTMINGYCKNGRIEDAFSLFRQMASKGVNPGIVTYSTILHGLFQARRTAAAKELYLWMIKSGIKFDIGTYNIILLGLCQNNCTDDALRIFQNLYLIDFHLENRTFNIMIDALLKGGRHDEAKDLFASLLARGLVPNVVTYLLMMKSLIEQGLLEELDDLFLSLEKNGCTANSHLLNALVGKLLQKGEVHKAGVYLSKIDENNFSLEASTAESLVLLVSSGKYDQHINAIPEKYRPVVKTRAV >ONIVA08G23630.1 pep chromosome:AWHD00000000:8:24224877:24225962:-1 gene:ONIVA08G23630 transcript:ONIVA08G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRRRLLPILSLLLAVTFLAGVATAKKTGQLTVFWGRNKNEGTLRETCDTGLYNIVIISFYSVFGHGRYWGDLSGHDLRPIGADIKHCQSKHISVFLSIGGAGNDYSLPSSQSAADVADNIWNAHMDGRRPGVFRPFGDAALAVKLYAYNKLYRARTPVRLTATVRCMFPDMRMTKALDTKLFERIHVRFYDDAKCSYNHGGLAGVMAQWSKWTARYPNSEVYLGLAAVNLPGKNDNVFVKQLYYYLLPNVQKAKNYGGIMLWDRFFDKQTGYGKTVKYWA >ONIVA10G18290.1 pep chromosome:AWHD00000000:10:18666614:18667408:1 gene:ONIVA10G18290 transcript:ONIVA10G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDDLKMLGVYVSPFPLRVKLALSFKGLSFEYVEEDLHNKSDLLVSSNPVHKRTPVLIHNGKPISESMVIVQYLDEAFPGAGAALLPSDPLDRAVARFWASYIDDKVIRMITVHQFSHASFSDDFGADRMIQLFSAWKMVFKGKTEEEKAEGRKQTFAVAETLEGALRECSKGKPFFGGDAVGFVDVALGGFVPWVHAMEELFGLRQFDAATTPLLAAWLERVGELEAYKAVMPDAGMMIEFKMKQA >ONIVA11G17660.1 pep chromosome:AWHD00000000:11:19000314:19002510:1 gene:ONIVA11G17660 transcript:ONIVA11G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0J3J3] MPAPLVPYREEELRNLRGDDNPGPYKDHDRVYRYDVYNDLGEPDSGNPRPVLGGSDEQPYPRRCRTGRRRTNTDPDSESRNVGFPLTNHFYVPRDEVFNDRKKAYFDTNNLKLYIMQKYATFLLHADQQTPFEFDSFADVLSLYDEGSINLPGWLNTFLQPLLGIIPFKLLQQVLTPDSEFILKFPMPAVIREDKTAWQTDEEFAREMLAGTNPVVIRRLGETEFPPKSKLDTSKYHNQNSRITAAHVEKCLEVEGLTVEQALADGRLFILDHHDHFMPYLLDANHQPDTFVYATRTLLFHRNDGTLQPAAIELSLPRFEAGSTLISSVGEVYTPASDGVEGHIWQLAKAYVTVNDYSWHQLVSHWLNTHAVMEPFAIATHRQLSVAHPIHKLLHPHYRDNLFINALGRQSLINAGGSSENTVFLGKYGLSMTSEVYRNWNFTEQALPEDLIKRGIAKRRSNGELELLIKDYPYAVDGLAIWSAIETWVRDYCAIYYADDAAVQGDAELQSWWKDVREEGHGDLKDHKWWPEMKTVAELVQSCATIIWIASALHAAVNFGQYMYAGYVPNRPSVSRRPMPKPGTDLYRELELHPEKEFLLTITKQDLSIAGIALVELLSSHSDDEVYLGQRDSPNWTSDLDAMNAFDRFRERLLEVEKNIVAKNDKGSGFKNRTGPVNIPYNLLFPYASGDAEANTGVTGKGIPNSASI